>CAK72097 pep:novel supercontig:GCA_000165425.1:CT868110:14833:16715:-1 gene:GSPATT00038714001 transcript:CAK72097 MLILTALTNGIVKEVTVIFIAVVGVIILGNLILLQTISFMLAEYFQIQKIILILKWMPNC YSKDNINFKRFYDNNNTPVLVLDDRQILDFSVTSQSHTCGQTLTISVTIQHRRRSLLMWF CNFWGGGLISFSFSIIKCQYNCPGCIENQQIFCLKWSLHQYSLNQKYITISDGWTFSLNY DHYWYNGDIQFLKFQQIQYQTQLPLHQDVLIRFYKYDEITIIVDYSQGKKTITSGYQLIE ILIENHCDSILLLNIKTQIPTEYCLIRDFEVFYSQPEKKIVNKLHEGCLEFIEDKCLVCQ DGWTEDRFLENCHPICSDGNIQDQYCHIRDLKVSYTQAEIVVSKLNEGCSMQIDNLCLIC KEGWIKDEFLDNCHPFCGDGKIQGQEECDDANLISNDSCYQCKYSCINFCKTCVFGICYE CAFGFDLNADLNCVSFCGDGNVVPYSDEQCDLTDNGEWDHCQDCRFISIANCKHQLLSMC LVCELGFQLLENACFPLCGDKYILQQYEECDDGNLRPYDGCFQCKFQCAEDCNICHLGEC ILRCEDGYNFVNNRCLSICGDQIVTKEEDCDDGNTIQFDGCFNCKYSYSCPQNCNECYQG TCLECNDQYQLLNSNQCK >CAK67724 pep:novel supercontig:GCA_000165425.1:CT868054:7642:8422:1 gene:GSPATT00036504001 transcript:CAK67724 MQHLKLSISSLNQSFLYSGNFKEMSYQQLISYAEGRLGRVLPPEFQFFYVNSEGIQFVVN SEEQIQKVKSENQQVIKIILKEKEKEKVYHPNTICSQCHSSPIMNARYKCIVCENREFCE NCLDHGQVHPLLIISNPEQEQFFDGFFKTSMNKVKNLFNCQYNLRDAFLKKKSELFGTNQ KNHQLQQISLEHKQLQQKQGNQQQIEDEFNNKILNLSEIFEGTPEQFEKIVKQNQHLSLE ELVELISDQTQ >CAK67725 pep:novel supercontig:GCA_000165425.1:CT868054:8457:11083:1 gene:GSPATT00036505001 transcript:CAK67725 MHICIICTSETDFPTKCDCHFCLLCLLNWFEEKNRDKPIEILNCPNQDCKFTYTREEILS YCSRQPYEGRIQEILLKEYLKSQDIRPCPSGKCKYFGYIELGNKCDDELECLDCKTTWRD FALASYTFQTEQLIKNIFGIIREFCYCFITANECPNCGVLIQRNGGCKHMTCKACAHQFC WYCKQQWGRHKESQCFGSGAISIVLLITMGINILYQLGIFYYFLYVFYPLFLLLELLTMN VLVVGTGFGIFLLFKSILDLKNNRRRICDNLIALGGSILLLTLEYLVLWFSMAYLDITFS KAVVGFIIEISIIILMICYFNRVGFGWISLPVLSIVLFYFLGINGVIIIIWQIPMIIGHN NFLTQVGFNVLALGLLNCLAHHQYIQYWDLQYCIIAKIPIQVIQCLQALQHYLIFMDQYL VDLYFSYLLIKCNKNLLLMQDKPFSKLHLRWESQPPLVEPYIAILEENWYDTKDSFKQMK QENFDEFKIPKRIGQLLMEYVQRPDNQKQNWQLELAKIFSEISDQDQLYKTLEILFKIIS VQINRGLTSPLKLFRVTFQNTDLHQIIQQAELLLVEYKMEQQALWKEAAEELVNYTQKKS GFNPKAAIIHTSSQNVSITSELAKKEGYDVYSFSQKLEQLHQKRFQIMSQPISNREIKVF IGRKQQSPVQLQQQIQGQDDYEEVQPQKDQQLLQFLQQVAQSLIVIRRIVICIQKKEGIF GPSQQANIAKTDIRVQFPNSVIVQAIFGPLETLKTLYDLIQDMLENSNLDFYLYTSPPPL RMTQKHLNQTFDDLNSVPNGLFYFGVEKQTSNFYLKEQWMQCAREL >CAK67726 pep:novel supercontig:GCA_000165425.1:CT868054:11120:12009:-1 gene:GSPATT00036506001 transcript:CAK67726 MQFNFLISKCMSVNENGMTILNGTPQRNPCLDEVITTMGEASAKAQNLKQVITSPSRFYQ TDQKLYIKSEGKQCLGILKIGKKNLFHRDLSGLIKEIQPLCVLDFYVHESVQRRGIGKEL FEEMLRCENIMPEKIAYDRPSPKLLGFLKKHYHLSNYIPQNNNFVIFSQYFENRQQNGNQ KLASQTSYYQNTVTPQKMEQLGSLMQQMTISSTKPKNQSQQQIKSQAPWGTDQKSQSNMY QTTTGLMSAQIQKKI >CAK67727 pep:novel supercontig:GCA_000165425.1:CT868054:12551:12972:-1 gene:GSPATT00036507001 transcript:CAK67727 MLIRISANIIKRIRQTYCVQNQTRTQLETISKIDDLEKVQLEWINLAKQKNDNTFDQDDE INEQENKKETKANEDLQESESESDDDDEPKGGRKIPIVELVKGFIPRLLRPEPQE >CAK67728 pep:novel supercontig:GCA_000165425.1:CT868054:13211:14274:1 gene:GSPATT00036508001 transcript:CAK67728 MKFINRCLYKFSVNVIRDQIYEFDKSAISLSVRINSLKDFNDFQKLRDLKIHRIIYNLND QAELMEFLAEHNDECIKKIFDPSFFIFRGVSVDVPTILRDGNFRKLEQKALLQICQHLFK LKTDQNKTQFQVFMVYMIILFLCSKTRWKHQQRGREELDDLQSVIENSFNFEVNHVLSYN PKFTYDLLFKLANQQKTLKSVNFNIYRYANPQQSELDQNREILATLYQHVDEQYNTIFLK NFIFEDKFIKQQNLSEGIVSYSHLVDMLKLMHFRGSLVFEYNKNLERNVEFIDQLLQSSK YILSDKYKLGEEHESHIVEGDTMPPIDV >CAK67729 pep:novel supercontig:GCA_000165425.1:CT868054:14298:15183:-1 gene:GSPATT00036509001 transcript:CAK67729 MNNKEVINLYIEDRQDTLEWMGDEVEIETLDEVVTFILNDIKQKYQTDLLTLRDQENQYY EIDQIVEFKRPHTYITIPQRQDVKTLAKNEVRLNRNTYTIKNDSILLEKYKGARKVESVD YQLTAIKKANQKYVDTLIGIFDDLVIQPKKRNSIKNEAVKPIQFQNEPESPKFGQSPKES ISPSWILRKQLSDSSKKNDLKQCTIEEVAKHNTINSAWIVINSKVYDVTKYLNKHPGGQE ELMKGVGTDGTALFMQNHPWVNAHYLLEQFQVGFLINHK >CAK67730 pep:novel supercontig:GCA_000165425.1:CT868054:16450:18385:1 gene:GSPATT00036510001 transcript:CAK67730 MSLFLQTYCQSDFEKSNKFLQSQQEEEFDFLVLRNESEEKHTAFYYHKWANFVVWGILAD LGILANRYGSLSKHRLNLHSIIMGLCVFLTVIAEILMIAIWNPPEFYGNQNLGSIHAPIG FAYLGLMILQSIGGVILKLCIESSNPQRYTKIMSLGHIYLGYSMYFLGKIQCGFGFYIVY SNLKGEGEGNLIMFWIVYALLFFWRIIFEWLYQKGTLFDYFYSANQTIERTGSIQDSLFV QYLIQNDQLNIEKEYSNKMWFIFNNSIVDLTGFVHPGGQYIWEKTKGREISRFIYGGQSL EDGNTAAYTHSNSVITLIQRQTIGHLNGNSHENVTQQNINKWTLVNHQKISEKISLFGFK NSSKQIESKFTNLHQFGKYYQIKSSVNKKISERQYTSVVSMAPENVQYRQKLINMIQQLN KIESEDAVQMGQQARYLNELPLIIKKYESNFGFSQYIHSHLNEDYEIEGPYGPSLGLPNK GRVVFVCGGTGILPFLDLLDFQLQSATYQIVKKKFGQKVAERLNPFECQFNNGLHITLIF AITHKSELIGLEIFKNLISLQNELEEQSFRMILKITEQIEGFTCVNDRFDQEFMRQQLGQ LSQYDKFYVCGPPAMNQTVPQALMSLGVQEKYIHYV >CAK67731 pep:novel supercontig:GCA_000165425.1:CT868054:18503:20590:1 gene:GSPATT00036511001 transcript:CAK67731 MDSFQIKGIHFCVSDHHQAKEARNQVLQDSVSQNKVKAIVQEIEGLENQNPFWYSDLAIY LNIDEIHITGGLIDHSQNVSIVNQQVRITKHVYFKNLINKELMITNIFTSSPLLKVDFEK IVLPSNSTTQKTKVCTMIYEQPLSEIRSKTFEIWLAVEIAYFLRVPVKLIVYDKHLMCQT KLLGQCSVQGQHSTVEFNNLGIYQQYTKIFSFYNPNPVKIDVSYKQNERKKNQIRVLLAN RNDIKSASKRKFSFEMQPYTRTELKVIINTNYYEILSNSKLTFRTQYEIIEVDVTYSVQK GSVNYYPSVITLDPGMTTKVQQFDIYVRSTFSQKIQLLQVENQQIKHQNISLLTMATNIA TNSRSDILHVDYMGKQNFYSDSKYHKQQAKELLNSFGRNKIKIQTDVLQDSEILINYKSN QKFNEQILKKIIKQDPKILISQQTVVMSEQPNIFFIVISILNMLYIATLLRNNVVKSKEL KITIDSNFSQEQIDFQSIFKTPECIQLQSKSETNEVVSDKADEIQDMIIAEDIMSKENII YTNLQSQIDESSSHFSQGESLDDDQISEYQEKVVEQKKVPNQKNFNLFQRVEFTIPTQLT MNTQSSQECWDTKDPIFLPQDSDEEMLDKNSVQRLESMLNQIQHKLPQFEQSTLKLPLKW NENNQINPYFPKPPPGI >CAK67732 pep:novel supercontig:GCA_000165425.1:CT868054:20630:22817:1 gene:GSPATT00036512001 transcript:CAK67732 MKFVIDDLEVIFPYKFLYKEQLEYMQALKQTLDEKGHGILEMPTGTGKTVSLLALIIAYL AQRPNTVKKLIYCTRTVVEMEKTLEEVRLVLKARKAEGLNDNFTAVGLSSRKNLCINPDV INQKDRVDAECRKRTAEWVKRGQNETCIFYDNYEKSGKETIANLPHDVYSLNDLRKNGAY SIQCPYFTAREMVKKANIVVYNYLYLIDPTVSSQLTKDQIKESVVVFDEAHNIDDVCIEA YTVRLNKPILAEAINNLKVVETQIQSETNEIQNRLNEEYKKLIKNLEKKPEGELNQLVIP GQIRKARNFIDFMKRVINSLRKKLKDNSEALKTSHAILHNTKGFTEEIQKEGNLDIDSLK QCGERLNLLLNTLQIAETDKFRPLSSVAQFVMYLVQYQEGFKVIFQSNNYEGTLNEKLMT LACFDSSLAMKNIFAQFQSVILTSGTMSPIEIYPKILDFKPVVAKSIDIELTRNSIQPII VTMSEDGTELTSEFTLRNNEDVSRNYGNFLLELSENVPDGLIVFMPSYSRMEEWASIWNK FSKNKVLFIESKDVAETSQKLQQYRKCCDVGRGAALFSIARGKIAEGIDFEGHYGRCVAV IGFPALNSKDTLILERCKFLEEKFKITKNDFIEFDAMRQTCQCLGRVLRGKQDYGIMIMA DKRFAQKSKLQKMPRWIYKQLDQSRCLNITSETAITVVRDFFRQMAQPFKIADNSYFTQD TL >CAK67733 pep:novel supercontig:GCA_000165425.1:CT868054:22846:23956:1 gene:GSPATT00036513001 transcript:CAK67733 MIALKRQQFRAKQRQIVLNKLFQQNRMSSLLVFKLDKLEQILTQQHYDSLEYQESIQQII MMSHNVNLSQLYHLLIRNFIDTIQYQMDVGYYVLSVQVYFMFLIHQMEMAQQPMLVQLVK ETGIQQFLAFNDPMTLQFLELFLIQMPALNDMEIDTIYNELLKWSQSINLNYVISALSCL NLLIRLKQELLSPDLSISILQLLNTEYSQLAFEILQLSNWQHFKEEQLILVWDEICKQLL NLNNEEICISILKSIVQFHTPYQLKEICQYLQVANDYYGIKVMEMLSATQINRNFDQITS ELLDQISYRVIRNQTLVPLLKQFLFKNKLIYESYNFPLQIEYLLQ >CAK67734 pep:novel supercontig:GCA_000165425.1:CT868054:24022:25103:1 gene:GSPATT00036514001 transcript:CAK67734 MIEDYDKSTELVTDSHFFKHAIRWDSLFYMEIMENDYRYFKNHAFGYGIVLLGKLFSNSL ICILILQKICNAISTELIYKISMHYSNNNHKFSQLSALLFIFTPASVFFNSLYTESFFAF LVLVAIYLQIKQKTYLSSIIFALSIHLRSNGMFFILYSGYPYLVKAIILLKDKKFKQVVS TALEAIPIALIYLVSIGVMLYLPYKNYCQQNEMEWCKSIIPYAYGYIQKNFWDVSFLSSY KLRKLFFIYWGIQGILIQGFWLYKWMTKSCTIKNNIQGISIILPTIILFIITTFIAHVNC STRFFSTQIPFYWCLADLFYKKDGKINKWVLFYILEFNLGGLILFTNYLLWA >CAK67735 pep:novel supercontig:GCA_000165425.1:CT868054:25704:25900:1 gene:GSPATT00036515001 transcript:CAK67735 MIKWQNKIRKGKSDLRKGVLSNRACNYSSLNQQSTKKLNFIRQIFKRCNNKQRNNIKAR >CAK67736 pep:novel supercontig:GCA_000165425.1:CT868054:25988:26287:1 gene:GSPATT00036516001 transcript:CAK67736 MGQAHPNPNGQYVKICTLCREQKPPHHFHCGKCRKKALIGFGSSLGLVILIVISTGLDLN NEWISFLSVLCIVSFFGGCYFCQSRLTNGQVNQYVEFYE >CAK67737 pep:novel supercontig:GCA_000165425.1:CT868054:26500:28638:-1 gene:GSPATT00036517001 transcript:CAK67737 MLPSVTPIKEFQSQPLVRKIMKSQLESTIKSNKSSSDIINKQCKSSYQHYRKQNNQQEEI QVQKLFFRNRESSSRDTPPSFLITKHFESRQEMKPLTIEETKYQEKIEFHGKRLKINQDS IENNLMKSFQRFRLQENVCQRSIVTSQRAKRSSITMEQIPTRDRFFTKQAGQLQSLFQEC DKLQLLISYISTQVPCNIFSCMSLIIQSKTNPFSRKFTSVVQEQVFINFIYRLKINSKKI YFYLKDGTPVYSHLDIPPKQSVLIYSTSTVYKQIFNPQLLYLENCCSLYNCEEITKKNEP DYTQQIDSIIHTLVHQNYQSIDDEYQVDKVPEEIEINQQFMNSKELKHSYKEIKRNKNFK PIINKMFQPCNAVKQKNYIQQYMNDEYIIKNPKIEKLEKIEGWYEQELKKIKFKPEEENS NEDLMAVHIDSVSSQQTIESQITQAAQLLEPLLKKFLNQNSGYSQEVGPEVNFNNDEEEE KPESINHIEQNIRELITNKGSLHKLLKINKELFIEGIPKILSETNFSRYELHNTYILYCA LQQITSQRYRYYKVDDGVDYNTYRMGIYQIFMQSEYLAQEIFNKIDFNYSGFLNWSEFLK LMVSIRAKTLVQKLDLFIQISDKDGNGQLCWDEIFQLSKVCLSKYIQNSDDFLDMLCEYY TRLIFKVVEKEPHEEIPFSAIKDAILSQKEDSDLLCMFCGADI >CAK67738 pep:novel supercontig:GCA_000165425.1:CT868054:28649:29735:-1 gene:GSPATT00036518001 transcript:CAK67738 MLRIIIASLFISSVISATTKPTIGILTNPSDLKDYDKSLYSYFPSSYVKWIEQAGARVIP IHWDSSYDEITSILNQINGVLFTGGDVDLYLNNTQPGFTFNKFTDTASFIFQKVIQFNKA GKFYPLLGICQGFQLINYIASSYYEVLTRMTDDLGKQRLLEVNSEEDSFVLKSIDSVTLE YLKNVDGPYYSHNWGVVQHTYEKAYSLGAFFKIIAYSRDGVNLKYVTICEGREVPIYGYQ FHPEKHQFEWITKATHDVQHITYSQQLAMDFIAMARKNDNTISDEELAKLIIYNYKQINR MEIPNTSFSQVYLFDRNRNETKSDLQQLGIYQNIKFFRKRH >CAK67739 pep:novel supercontig:GCA_000165425.1:CT868054:29739:30352:-1 gene:GSPATT00036519001 transcript:CAK67739 MLKIFRQANLFKPQFMRRYDQANSPLHIAIGDTSELRTKLFPEKRRIPGRKGKIILAFGV LFQVWGIMHIVEVRRQFRRKELELKKMQRKSLPFYQAMQDIRYLAAEDKRSILIEELFAE HGSDYIKQITDIYHQKDVWVPFKKRAAHQYTRATKDPYPYFDIPGSRFLHGYDVYNI >CAK67740 pep:novel supercontig:GCA_000165425.1:CT868054:30373:31959:-1 gene:GSPATT00036520001 transcript:CAK67740 MSYIQLLKQVMNQESNYSPELSRFEPRQMLGKGAFATVISAFDKVKRINVAIKIVEKKLF RSKEQEDAIRQEALTLQTLFHKNIIQILGFFETQQKFYIVMNQVDGVTLEEYIPKLQMHE VTPIIKQILRALSYLHQKDIVHRDIKPENILIGGNGEELSVTLIDFGLSASVNRIEDGLM SKNCGTLLYQAPELIKKGNYTRSVDIWALGIVVYNMLYKGEHPFYRNGDTKITYCEKIKG FSLNFKIDEDQDTRNFLERTIAYLPEHRLTANQCLEHPWITGKGDISVPFTLNEIIQCQV KKEQRIAKYIKMFVFLKYLMIKSKDALGKTDEINETGSPHDNVSIIGSELSIASNNFRIR PLMMKSQTKLNKVWNNDSSSNSFVGDSSRNTTDMIPETFVQTNRTTFTKKQLRKSNSMNP QEMMNKLKMMNAQKAPQKEAPQLKNRRIISNFHKSNQKLVTEASSQRSNIDCLNKIPNSP LNNTIAHFQRSNFSKKPAQL >CAK67741 pep:novel supercontig:GCA_000165425.1:CT868054:32021:33646:-1 gene:GSPATT00036521001 transcript:CAK67741 MNKLSELLIDSEEKQPNFITQYCKPLRILGKGAFSTVVEIQNLMTNKKGALKIIEKSHFN VLQLEMLKKEVQLLNQLNHQNIVRVTFSKETKSKLYIMMDLITGVTLEQFQQNKLEDQIV HNIVTQLLQAINYLHSMDIIHRDIKPENIMISQVGEDVKLTLIDFGLSVQLTYLEGSGLM SDNCGTFLYMAPELIQKKRYNRSECGYMGNGYCGKHPFYQQFDDKESYCEKIQQMKWNWQ PGMNNNSINFLIRTVAFLPENRLNINQCLEHPWITGKENSTEPFTFIEILRSHSNFQKIK SLIQAIQFLQKLKVLCPNVDQFKHYSTPVAKTPVRIIKIPKVKQQSISQTNEKFKQLKQE VLQGSNVDTASIGSNRISQFKIQTITQRRERTSCDHLQKLQQQKESSKFLFPHYHRSLER SAKSNHTINTTSDNSLNQSSILQKSGITDGRQSLNNVKPLQQLKRTTFASRGQNILVQQE VLASQRVRISKPPIQKKPIND >CAK67742 pep:novel supercontig:GCA_000165425.1:CT868054:34738:35490:-1 gene:GSPATT00036522001 transcript:CAK67742 MLTERKKRNFKETTELQIQLRDYDVQKDKRFQGSTRLLHAPYPNIKIGVIGNLTHCDQAK ALGLTAIDQDGLKKFNKEKKPIKKWCKPFDILIASESLMKVIPRLVGNVFTKIGKFPIAI PETESVSSKVNEVKSSVKFQLKNTLSLGTAFGTDEMSEDQLRQNLSTTINFLVSLLKKGW QNVGTLHIKTSMGKPIKIYG >CAK67743 pep:novel supercontig:GCA_000165425.1:CT868054:36064:38388:1 gene:GSPATT00036523001 transcript:CAK67743 MSDSDDSVTELQEESDKAEVIQYFSTNYAKVVSNFQQYCETRNQMSSLVMKVNAFENKID KVKKSNLYDIREISNKTNLIINLTKLQNMLLQMKNNVIHGQKAIMNIKQDKHTAAIRAIQ KLDSKNLPDFVSNLQQLITNKVQVEANTKIQQWVELSKQQQIDMGQSVIQNCEQLLMVNS QFARISLFQPDIRSSIVMRQSTVGRVSMVRQSIVRQSVAPSQSRISFFQQFKQQQTSNLQ VSRTSQLNQSVLDQSQQMLHESLIEPSAWEFSIDYSQLKMPQLIYENLNQRLSFYQQLKQ SRIKFLQYVCGTEIRQSLNDFRNYLACILGFVLMDLELNKSFKDYEEMNQVVLENVKLIE KQIKNSFINFDLRDLLQVKKEIQLFSVALAKLHQYQLSNQINKCLNDQFLVYSNRRIEEI KKTLIKSIQTDSGVFLVLQNKEQFDQLCTILKCTQNKKLELPISQFAQDAVYQINLFIEG SITYLQSLNEYFDQNVIFYMDTLFMAICDIIKNHVQQCDNFMLLAQSTLNFNHLELSIQY YKKNIESLLQVTQTKEMECQSHFKNTRYFCEEALQNAINQKVVLPQIDWAPSAPNKGPND YFIMLNGYYESIIGTLQLMVPQFVDSSIYLTFKYLNKLIWKQLLEAKAFNIIGLSNLEVD LQSFLKVCNQQMFPESVKEITQFLNLFLVDVPASYLDNHKRQTNYSYLEVPKLLKIFPRY KKVIYGKLPVVRKREVDAVIKKIQENLQAF >CAK67744 pep:novel supercontig:GCA_000165425.1:CT868054:38389:38924:-1 gene:GSPATT00036524001 transcript:CAK67744 MIQSRKKRPSKYNVVDDQKREQIVEKIFKEKMKIKEVRLKHSIQVAQLFQIPLSTVKAVK SVYLKEGRIQKKAKRNRPKKVVTTIIVALIDKNKIPTDANEIQKCTKVSFGHANQNGNLT EQCMKQGIDLLMQMLTQIRKNQPSIGKHSAMLSKLLKNYQQQCKSFD >CAK67745 pep:novel supercontig:GCA_000165425.1:CT868054:39027:41585:-1 gene:GSPATT00036525001 transcript:CAK67745 MKPAQNSLNAKQSGEFKALVKLLDAKDYKKGLRNAEKLLEQVPDNWEVVSLKAIFMYYND EQQKGLELAKQAVYKNLGSDFCWHIYGLIYKAQKNYIEAVKCFLQAINKGEENIQLERDT ANLQIHTRDFEGNAQLRHKILTKKSNMIVNWIAFIFAQHLIGNYEIAFKAISSAEQLIQK DQQNPIKKVEMNEFKLYQIQLAIDAKDYQKAKQNISAFKNDITDMVAYYELQYDLYVKLG STQEAVEAAKHLLQLQPQNWKYYQLLKKANPQFDLSIYDNTLVQGQLLAEQSGDTFKTNF VKFIDPFFQKSLPSLFREIKHLYKQKEKLDVIREAFESYLNAGPIQKLWALMLLSQHYYQ VKQYAKSLELVEEAIAHTPTLHELYLIKAKALHKQEKFKEAYETADRARQLDLADRYLNN KTIKYALRANLVYLSQELLRMFLRDGSNPYELQMIWFELGVGRTFLRLNYLGPALAQFYL IFKHYQEMYDDQLDFYQFSIRKYTLRSLLQMFDAMDTRYGAKYFIETAGLMIEGLNRLKL KQQDQGKVEQKKLTPKEKKQLQKLQQKQEEEKHLREQFSIEGHIYSIELQKKFDLSGEYL LSQLKTEKDIIKLQNQFAQLLIHTNYHNKEVNFQAFKQLVSFYIQQNRPLLSVKLLNKLR NNQTESNRIVNHKYQLMVIQYLNSYKGPQIQYVQEYQQDLSKFDADFWKSVEIKSNLDKT IKSHCEHILQNKPFNVEQLESNELEFLQEYPTAKTTNPFYTNDPNLEWQNIQISLFQSTQ QTQQVSQQQQ >CAK67746 pep:novel supercontig:GCA_000165425.1:CT868054:41725:42684:-1 gene:GSPATT00036526001 transcript:CAK67746 MSLIVYGTKLMNLYCVFQITWKTQQAFKNQNSEFEDTLLIKWVLLYSFIELEWMFTLILE LIPFGFIVPFIIKGYIILPHSRWHNIVYKLIQNLEMDEYTNTFFIYFTKLMRYILIPCLS VVENCIDLVRANELEALELKVIRIKEKILNKIQSVEINKQKHRARNSDIIQNNINNKQLM SVLAKVQDNHQFKDYNLIFNPLDNEIQFIDPMSMECIHKRNLILISISEDFSLKATYEDG DGNLESIKLHNSEDINKWLIPVLEILIQQNQDE >CAK67747 pep:novel supercontig:GCA_000165425.1:CT868054:43276:44110:1 gene:GSPATT00036527001 transcript:CAK67747 MSSLVTQADSELLMIKQNEFKEINDDFPTMGLFCRKKVKREQVDNSQCCLVGTQKIKEIA EDQKEQDVGINKYIYDINKILKEQQEEELILEHRVENSIQYICGETLVKAMKQPDLVIYD CRYQYEFEGGHIMGAVHMNHSINLFDELFNQSQYSKKIVVLYCEFSIKRSLEKYFEIRKL DRNINQYPKLTYNNLYLLCDGYSKFYQNFSHLCNGFYISMNDPAYEQQLDQEQQRRIIVK QKSNYRKSLVVSI >CAK67748 pep:novel supercontig:GCA_000165425.1:CT868054:44399:45472:-1 gene:GSPATT00036528001 transcript:CAK67748 MDQHLLTTSCSDEEEENKNLKQVQLVVFPKRFLNPLTTFRMNGDFHLYSDAKNIVFNNNL KLGVSSQNPYKKVSKVLIDRGRLVIQYTQLDFSITPWEYVVKKLDSYGDADIHQLSRYIQ KYNESLKDGLQQVQGLFETPVPNYRASGLYQYKYIKDLDQLFISQVQYDIKLIEELGYNI SSFIDSCLKHGIPEISLKYGSTNQEYYKNIMEFAKPLAHPEKTQDYYLYSHLYPEGLKTE VALQVEHLSNSTEEEQLINFNIYYNYQPQQNSIQFNNNDKKTKQRNCISHYYSLQENQFQ RCGYKKVKI >CAK67749 pep:novel supercontig:GCA_000165425.1:CT868054:45839:47121:1 gene:GSPATT00036529001 transcript:CAK67749 MIFRISRSCFSSISVKLPSFKVHHLELDQLPQTATTTSAELLAYYKSMQLQRRMEIACDN LYKQRLIRGFLHLADGQESIYEGLHAGLTFDDCVITAYRDHCIALLRGDTPHQIIAEMMA KQTGSTKGKGGSMHYYKKATNFYGGHGIVGAQIPLGTGLAFAQKYLKKPNVTLIMFGDGA ANQGQLYEAANMAQLWHLPAIYFIENNLFGMGTSIDRASANTKFYTRGDVIPGIQIDGNN VFQVRETLKFAKKHCLEKGPIFIEAMTYRYHGHSMSDPGVTYRTREEVQQQRKTRDCINY VKNIILENKVADEHQLEEIDNTAQNEIDIAVEQAKVDPVPPSTELATDVYVDNQNHFIRG ILYKDSILPKSQ >CAK67750 pep:novel supercontig:GCA_000165425.1:CT868054:47144:48034:-1 gene:GSPATT00036530001 transcript:CAK67750 MLRNQLQFQQSCQTNIDSIKNPKRTIKPHQYDSCINNPLTQQFSNVKLASTLSMGRSIFQ KQLEQMKKQYIIRTGYIRIADPEILNELFELNLENMKKKYSKYSNIHQLNIPKDMIKIFM KFGYQEINKFMQMSIVHLLFEYKSLLQYQDKWEKDAVIALTSIAFIKQDCLYPQVMIVSP DDQSAIAIKVMLDHLNIYYNNVYQVSKKNSIVQDRKYLKEAQIVIGTADRLYYVIQQKYL NPIFLESVVFQEFSQTTDLGFKKDIENILRILPKSTSKHFFFSQVFSVEEHDILDI >CAK67751 pep:novel supercontig:GCA_000165425.1:CT868054:48055:49240:-1 gene:GSPATT00036531001 transcript:CAK67751 MTTAQKIAEIEAEMTRTQKNKATSYHLGLLKAKLAKLKREMIDSSSKKGGGTQEGFEVSK SGDSRIGMIGFPSVGKSTLLTKLTGVFSKIAAYEFTTLTCIPGVLQHKGAKIQLLDLPGI IEGAKDGKGRGKQVIAVARTCNLILIVLDATRPMVHKKIIERELEGFGIRLNKQPPQIEF KKRDKGGITISRTQDAPRLDDETIKAILREYKINNCDINLKCDATDEDLIDVIEGNRKYI PCLYNSTFWTRFHITCPSLPFWNGIWNELVERIWDYLDLIRIYTKPKGLEPDYNAPVIVQ RKFSTITDFCNKLHKSMIKDFRYALVWGASVKYNPQKVGKDHQLLDEDIVQIVKKI >CAK67752 pep:novel supercontig:GCA_000165425.1:CT868054:49296:52694:1 gene:GSPATT00036532001 transcript:CAK67752 MPAEIARGKKYQQQHINNDLPTKDELFSQLGIMPDDDSVRANDHRGDHLRISKQLIQDKV RDYCISLQQEQLNYLPPKPPTPLVIKQKVSTQRLTSQELEKMLEEHRQQMRPIAEQYEQM RLSDVRSKSYNPKSQRSKSPKVKVTYHEEPVNIDKLRRSQKDIEGPHGDFLKGVLPKQKL QKFKSESKLISDDDFQKKFKNYLRNKRKQDLSRNSSQEKLEFDLYQSTSPNRGRWNQKHI NRAVFKDRSVLGNDSSISKSKSISKSKSKKDKKRDQSMSEQQLCEQIFKQIDNKQVGLVD KVQTIKYLISNPEIMEAFNINPKDLNQQVNKFPTKQQGVFTQSEFTKFLQRYKSQYDHEA FGGLSHVSQQQQVSSCLLNEDQIQILKNIFDQLQNDMIAQRKELIQAFRNDIQVVRMLHV QAAYVAAIDKILNLESILSQLEAQAFEFPYISWKQFMDAFEYDTMVSKQQLSFANLKESQ KQVDDQDRIDAPEELQQLIKDQFNKIVMDDYVTAFDLIECIRRAPLYSQLRKQIVRKQSK KSDIKEETLEQVLKRIEETAEEYLTFQEFMSYFTRRGQPKFNEEVASLAKSVNVTEALQN DENRIDGYDSDPELHTYQDKMLPRERLIKKHPSQQALLTYSHRKKSLPSKTPITKEDFLS AIQPKPQYGAHSKPSDYQFKVTQPQPFNFDKREKERSLSIREKKLQEMLDDKKRNNSFKS FKAKEVPQIVKQDGLYEKIMNDNEKRREEVKKNSVQLTLKNERPFSFYKRDKHSSKKPRR KYWEERERFVFKAKAIPWHVHVELLRQMEQDEETKRKERIAKHAQELAMSSRMPPRMEMH ERQKQGQPQSPKYMKTQLSYKAKPIPDFEKLHSSFQDQLNRKKLQMRTTEPEPFNFQQSH KGVDRPYLDRENETKINPVKEDKIEEARKKMSIKPARQPPSTKKWESNCEFLKKERIKKA EKQEQLKKEEQERLAKKEKFKQRVQQSEAIQDNSKKLEQQRKDRIAQLKKESKEQEMKQK QIIENCKRKATEQPLLVERPTKRDVELEKMKQLNKIDKMLKQNGVMNRDEYFNKEEKAML DDIHYLKKHGYEDDFEKE >CAK67753 pep:novel supercontig:GCA_000165425.1:CT868054:52751:53927:1 gene:GSPATT00036533001 transcript:CAK67753 MFEQDYYKRIINKQTPPNRGMINTAKPWFPELKHRLAVKDYDYKFFALPPNKMVSLMKKE KLSIPTDNQIREFLRNHSLKHLVHYNADDYKNVEDIQPFQCLSQKNINQNHRKFIKQPQQ NIKGFFFDQKPKTAQQNLETQTSLQYSKIRELQSQNLQNRPKKEMPFQDICQEKSKLKFH ELSVKSTPRLNPTISRCFPSVNKMKNYRKVNLLMRSLKKIKLLNLTIPQIMKQQIFQRTA FASQYSKEFIFAAKQNNINQIQNLLQTNPFLVFQYDFYNMTALHWACKNGHLEIVKILLQ HHADFDALEVMNRTPLSIAIQENQQEIVKLLLTYGANPWSTILTDLKILLQRNPEMKKIV SEARKIQILNKWSRLPQSMFNISW >CAK67754 pep:novel supercontig:GCA_000165425.1:CT868054:54870:55715:1 gene:GSPATT00036534001 transcript:CAK67754 MQEKIIIIKKNQEIIHQDFNLNEKVYETIKKQCNGDNDILILCQHQACYFDETFYQLKNR VSINEDLIIVVESLCETVQQFQYFQKQQLEQIYQTPQEQTQDIQQSVNQTKINSQIQEQK LQNKTNEKLLGIVTEIKKTYFVSQSNICESEIQYGSNNQSNATSIVETCFLCNNLVEKSI QLECCHKYHEECLESLFYSQLQNQSKVLHCLCSKQQYASALKLIKDEGSQMLLKHKLLQN QLNGIIQNYKLAKCQKPTCYFYYNWNKKLNQETSFCPLCLN >CAK67755 pep:novel supercontig:GCA_000165425.1:CT868054:56180:56662:1 gene:GSPATT00036535001 transcript:CAK67755 MGNNICGLDEEKKHVLQPESKIITTRTIKQTTISIIQADIAEDLSDVVVNASHEPAWSYI AKRDKNNNANELTQQTIVKIGELIKTKSENVNSLEIYHVRLPYYQVRLGLNFSRTQKIYN KYFRAIYHV >CAK67756 pep:novel supercontig:GCA_000165425.1:CT868054:56880:57059:1 gene:GSPATT00036536001 transcript:CAK67756 MKLLDEFRIPDLYKFRQQQFLNYLCIQLQQLENTEELKHEVYLLSTGITTEIEQPDGQQ >CAK67757 pep:novel supercontig:GCA_000165425.1:CT868054:57573:58096:1 gene:GSPATT00036537001 transcript:CAK67757 MKQYYSIFNFRQLALHQYSIFQLIIKHQYYQLSQMRLNNLPDVLFPNPIQHPNYKISFLE KVKEDILNNQFINEPMRRSRQNMTIRSFQRGSIRIISASIATSQNNTQTNFRSGSSKFRI IKEQSQVEEMDETFSRTRTPSQLGRRLKRGLTMFEKQF >CAK67758 pep:novel supercontig:GCA_000165425.1:CT868054:58123:59707:-1 gene:GSPATT00036538001 transcript:CAK67758 MPPRRNTHNSHCIKEFFGNRTKKQKKEIKEMQKQFKKCPQLGQVGSQLRRVLSQYREEIM KEFNYGDLVKQISEVSKLDSIGGMLNSILYLNVTRVSDYWSDIYTPDHVDDVNDYLNQLL SQHNTQILKHWLKSSFSILRQDQVEDSDSWDSQSNSVGNQNWNYQMLNIYGSSGKMSTIV AIARTYNIEVILTYEYTEKKEFEEMFASQHIKFKPEQQNTEFGIKKKIIIHRGPLPKFLN SRFLQIQRVPFIWITDSCQNHEMFDGFELLQYQHDDIVKYFYLILIMEYNYRDQLDSINS EFKKKVIYENLKDRAKLVDFYNNFYLIKPTITTKELNIKFDLSEISMITLQMKGNVRSIL NWLQFHHEDQSICTLIMQMKLDQTHLQYMLKNHLPIFKTDQFENQFNLHAQKWHDQQCIE LVDDIVECRNSILKRNYSKKSFKSKFNPFLINFVIKTNAINTQQRTSNTRQRRLNKEPTD IYKPLQQFFQDEQEYEWFKQFDKSSAFLVN >CAK67759 pep:novel supercontig:GCA_000165425.1:CT868054:59760:61161:1 gene:GSPATT00036539001 transcript:CAK67759 MELLHRQILTRLLKILERALSLLQYYADCGKIIDRNLIVTIIYNLAGVNQALCNLDKAAQ YLEGTVFNLQEMIQQENNQVFLIKRMHLLAVVQLQYCGILSQLNQHQVASNIAHSTILLL NRLFDISYQYQSSQQTTNKNVQKYQKGQYIFEEYDFKNLLLKRSDELLKFIKQFITSQLI EQEEQPKLQDIANHILTWKLNSLNNDKLPKQSFSKLYQRSMIGLLNENEWLVSFNVSNIM FIRLLSYEEVCLKGEILYEFSQKVLIEKLILLSMAYFSLASETRNLKNEQKSQLYHLKSI YIVSNVLHDNSPYLDHLIQSFCTHYQQRLETIVEEQSFVDQSTKVSLRRKESTLANKENC NDHLKQLIESLSKDKKQKQESIQKTLNQLQNLKDKLSKSRSETILKRFKTEPSLHFDKSE KNCKQILQQLMKQ >CAK67760 pep:novel supercontig:GCA_000165425.1:CT868054:61177:63800:-1 gene:GSPATT00036540001 transcript:CAK67760 MQLEQDGIRNDEKQIKYALLSRDQAEQRRMDVDNCQYSLDLVVQNDIIMGMILINFTCSS NHIQIDFCGQQLTSVKVNNNEWTLEEIAQNWRKHQLSINTIKGENCIMITFTVGFSESEF GLIKYTQNQATYIISLFCPNYCHSCFPCFDQPDIKAKIKLQLTCPKEWLAVSNMNPILIE QCSETQNQWNFATTPKISLYLFSINMGQWKKISNELHSGLQMNLYSEQEKYMACLKQHIP IIQEGFKYYESLFGIPFPFEKYDLIFCSFYFSGMEHPGAVLISKNMIQNKFDSTLLTKLF LLLLHELSHMWFGNLVTMKWWNDLWLNEAFAVYISYQALQLVSKKEPFITYKFYDPKIHY LLYKQNGVNLDINTNSHPIEMKIEDANQGLQAFDSITYNKGSAVLSSLVTLIGFDKFIEI VKQYLEKFKWTNATTNDLFELIHYHSKAVDIQRWKQEFIQQNGINVVEVISQDQQKFVKQ CSVSGNAIRQHLMNVLLIKDDNQMEERSIMMTKDTHYLCESKDYSAAILNYTDDAYCIST LDDKSLSFVLTNFNKLNLSNLVKVSILNNIFQMTYILGTFKVQYFIEFLLPSMIPDIDAE VLNFMIEKLDILFAYLSVEQQLMFGPIIFEKLDLLRTSNNELKQLILGQIGNFAFDKEHI HKIYEILMISGAQHRSLALLGKFLDCLFLKRHYLNQEHLQSYEQFWSTLKPYNPNFVIRQ NAAAYTWEEIQLYFLSLIENTAEYNQMEHVLKGINNQYSLIYEQYLQIYYSNIKLLCAGL DQHKILIVLNEGFPKQGDFNLQLQLLNELEQLFPNLNFTLNQLKQRTIQKQKIQQSFD >CAK67761 pep:novel supercontig:GCA_000165425.1:CT868054:63920:65030:1 gene:GSPATT00036541001 transcript:CAK67761 MQDQQSMLSVYNNPWNKLNTTQQKGYLLAKSIDQHPEFKTEILDALGQQQTKNIFKDPIS MQLCKYDSTIGPKLENNKIVIRSIVGKPDIFKRKLSLLTGQDPKSSSRRTFAKGNTTNTF RNNNNTIKTQHVFTGEELLAKVNLIEKHLFESRLKEDQEIMNMPFQKKQILLKETRALEE YLKLEKEQSNLLMGVTKQANKDPSKSVMIDCYRFRRKQEYSITNDSLNRNNDDRTMKILE IDDDSGYPLFLMSQNDQVIRKPSIINQDETTQSILKQMNDEPVYRSFSSKDYLKSRSNRY TKIATEKININIDSLLVQGQSKLDQEVKFDINGKYILQPIEKGNEDETITENYSGQYKIN YK >CAK67762 pep:novel supercontig:GCA_000165425.1:CT868054:65145:65972:-1 gene:GSPATT00036542001 transcript:CAK67762 MNISKEILGFGLTCEVRKVQIKDQEYAIKMFNKQCSTQQIEKEIHILSQIKHNNIVHLVD GNPQERFMVTELLEKMDLFDILAKGQKPFQFVSIKYIIQKLTAAIHCVHKLGFVHRDIKL ENILLDKQLEIKVCDFGFAESIQGGFVQRSSGTLGYLAPELQHPGLINTEALPITEVFSL GVCLFLLAFAHPPFRSSTKACPYWRLINSNQWTKYWLTVDKNNRCNAEFRLLIQGMLEPD PAKRMTIDQVLEHQFLIGGSKETFEQEVWERFKIE >CAK67763 pep:novel supercontig:GCA_000165425.1:CT868054:66082:66995:-1 gene:GSPATT00036543001 transcript:CAK67763 MQVLDIKLGEGAVSVVKQIKIGENMFAIKQFKKKAGQKEYTIHGMLNHPNIVKFIIGNEN FIVTELMIPFDLFEFVQTAGSMSVNASNCILKQLAGALKYMHQLRIAHRDIKLENVLVDE LNFQVKICDFNASQSLDEGKVSKMIGTDIYMAPEINSIGSIDALDLQECDIFSLGVLYYI LLFGVFPFKTTDKSCPYYRLLLQNKWGEFWYYSQKNKNKKVPPFCMELIQGMIQIDPKKR LTLDEVLNSIENVNEMEYIQEMQEIHTRLRLRP >CAK67764 pep:novel supercontig:GCA_000165425.1:CT868054:67132:67845:-1 gene:GSPATT00036544001 transcript:CAK67764 MASKYLQKYPVPEGFNQILHDFTREVLRDQPEDIIKYGVDYFECMRDGKEFKFESKFNIA QGQAPGRSHLPPKPINSIEQAKKVVETSNQRNEIERKASAPQKQASGSSHRTRQQSAGSQ ASHPEEKKAAKDYINELYQKVEQDIEDIETGKIEANNAFFQPHFVKNDEKDMEKIIKIQA SAKGMLVRNQLKQQHPVEQPQVVYQEDEEGIVEEYAQDQEKDQ >CAK67765 pep:novel supercontig:GCA_000165425.1:CT868054:68686:69039:1 gene:GSPATT00036545001 transcript:CAK67765 MGDYYLEFLQQYLHNVNLRKKIGERTIERKGCQKQFEVRLKQKEGNNHSFEERKKRLRSL ASEIQRNFECPITKCGKKYGYDTIPFVQQKRRFLELAYQTQAS >CAK67766 pep:novel supercontig:GCA_000165425.1:CT868054:69078:70997:1 gene:GSPATT00036546001 transcript:CAK67766 MNQQFLVVEASLIDRLFDKAEILSRGNDLIHGISSIIYRMTTNKKNKWLKLFDKGGNYAL QIYENINRQVFETKVLQLQKAMTLHLNPYSTRIILIAKEQLNYTKFNLHVVYELQHVDLD SKSIKEQREGLNQKEAVALLSLLCQNYVLGAQYTNEFLDISPQNIFRNGNSYIVSNFGIN FAGTKFDRIYLPKTDPIYQEKVPSQKNILYSYAFRAALVTLCQMTQIDPLDLFYLDGQFK SELLDNLLYLITKKEDNDKKKDKRFIRSIDKFFNEKLIHTKGQDYNEQLKKNFGKSFEYE RSDRILKYKYNKEFIDIFKKLLNVTHIQSRAIFPLLVSQPDNRLGVQNSYDEQFYYLNNY MNGTFDNKVLDGCGIVSSDQEGQGKNKGRITQKCGQFSKGKEFGEYNIINIHKKFECTLY NLQCGLTFRYVNWEMLYLVEDVVAPINPIDKSPKESIYIFQGTIENGNLKEGLSHQLDNT IFKGKFQNNQPYEGKMNYQNQNNDVFEGIMEGFNRKNGLFTTKDFTFEGQFQNDQFLNGL LEDKDGGKFYGHFNNGLKVKSGIYYYPQSSIQYQGLYANDKRHGLGKFIDKSQENCIQEV IYNNGKCESKLNEHFSKALKERKTTKLKTIG >CAK67767 pep:novel supercontig:GCA_000165425.1:CT868054:71040:73288:1 gene:GSPATT00036547001 transcript:CAK67767 MFQQYDSLVVDASFIHRNYTQVSVIGKGHDFYLGINSISYLMHHTKENGRYILQIYENLG YDLFRTKVLHLQKAMLECVNDVNTRIILLAEKQLNDKQSDLYVLYRLDELTERLDQQKQL TPEQANDLLITFCKNLLITGRVTYEFLDIAPQNVYVHDNRFIISNMGISYKGSKFHRPYT AITDSFYKEKTLTNMIYTYSFQAGLVVLCAITKINSAEFFFEDGQLKANLLHDVLKAFKE KLTEPQKEKLKKKFTSLAKIDKPFDADISKIIPFLFQILSMDQQKRSIFSLLICHPENPL KVENPFFQQSLEVEQQYVGFGKLEGMKIIPEGYGYGMFGNEYHYGMFQNGTLTDDGTVEI KQNNRIIYLLKNLVISEKYQQAIAEVNKYIFWGEFDNKQYKPNGDGVLVSVYTTQKYIPK KKQSICFIGNLKMGIKDNGQEYYKNKTEFDGDFENNQPVSGRIIYGPKHKFEGIIQDYQR KKGILVYNNKIFEGDFENDRVKAGKLNYADGSSYDGQFKNGLKCCQDGKFIFWNTKLEYS GGFVDDKMHGKGILTILETNEQLEVEYSQGKCLTELPEAFKLLTKKKQLLEVKKQDENKP KKGKQKQNDDEEIEEDIQDDLGDEGNDYNDDEVNRINILIIKRSICVGGEDEEEEANHLQ IKELLYCLNIDVTNEEIEALNEQTNPEGEQDIQYEKLYKLFRNDQQQDQLEVQEAYSLLS G >CAK67768 pep:novel supercontig:GCA_000165425.1:CT868054:73474:75043:1 gene:GSPATT00036548001 transcript:CAK67768 MQKTNIKSVTARNEQAKAKLTKEQFLTLAKMCQSTSTQQLLSKKLPSQQKPVLASQLNIT PRNNTPKSCRTAQEMKYFLYQKNLVKDPINKPQNHSQLLPKTKNSLHRNFSQLEKSSNSQ QNNGQSTAPNTVKKKYNLLSTDSANKSDQRFSSVQFAQQIAQQLKKKYEPLQPQQKKENL HVSLDDFVTQINRPQSIISPFKQTKSLSPSTRVNIAPSKSTHSFYHLESLYYVSSLVESF RHILPQNQEQQLFRDHAVQTFNCISFCVKLQEPTKMILEQKRMEIPMKSHYKFKKTVVFD LDETLIHCNENQNLKADIYLPITFPSGDTAQAGINIRPFAKWILQELSQLCEVIVFTASH QCYASQVIKYLDPHSTLLQGQLFRDRCVLSPDGVHIKDLRVLNRDLKDIVLIDNAAYSFG VHLENGIPIIPYYDNKEDKELKMLYEFLVDQVLPAPDCRIVLQSVFRLREYFNYGEPKQA IEKLY >CAK67769 pep:novel supercontig:GCA_000165425.1:CT868054:75124:76605:1 gene:GSPATT00036549001 transcript:CAK67769 MTLSITISQQIKAYNLAACPTLPEFLETAYKTQKSLKKNEQFRKRIEVIQGFEFNTASTQ IEESNDHQYIVAAGLYAPSIKIFETSQLSMKCSRGLDSEIVKFCILGDDYKKIAMVCEDR NIELHAQYGKHFKLRVPKQPTDMIYNPYNCNLLVSSQNEDITRLNLELGSFVESYTLQQE TGVNCLSMHDQLQLGLVGGNKQLSIIDFRSDQIVQQLGVSDVTSIATQNLQVALGTAEGQ IKLFDLRKHIPIHVYQHQYRLPIKKIVMNEDMIVSCDAKILKFWQGNRLFTNIEPQSEIN SFTWVKNSGMFLLALEQPRMGIYFIPQLNAAPKWCPFLDNLTEEMEEEEQQTVYDEYKFL SYEELEKLDALHLLETAMLKPYSHGYLIHLKLYLKLRQQKGLDFEDYKQKRIQQNYEKQL EAERVSKGYVIPEEPEMEQPVKKIDPRFEKMIKDHDYTVDKKSEAWKRAHPSEVRVKKQI NKNKR >CAK67770 pep:novel supercontig:GCA_000165425.1:CT868054:76690:77793:1 gene:GSPATT00036550001 transcript:CAK67770 MIIEEVQGNKKNKNIPWIEKYRPSTLDEVISHEEIVATIKKFNEKNRLPNLLLYGPPGTG KTSTIIALAKQIYQNKYNQMVLELNASDERGINTVRETIKGFAESQSFTFTKDKNTSIKL VILDEADAMTAAAQFALRRIIEKYAKTTRFCFICNHISQIIPAIQSRCTRFKFKQISLDV ASSRIKYICENESIPLNEQAIKSVFELCSGDMRRVVNMLQSLSLSTSNSNLEVINSQYVY QFTGMAHPDLIKQIMEYLMNQSEIQKTYLKIKTILNEQGISLQLLLTELSTQLLGLNVLN DKQKCNVIERMAELEYRLSICCNDQVQLLSLIGIFHEVLL >CAK67771 pep:novel supercontig:GCA_000165425.1:CT868054:77834:78539:1 gene:GSPATT00036551001 transcript:CAK67771 MAEEQVVTVKFANQPKLFGKWDYDEVLVTDPCFKDYIAVQTSKSRVFVPHTAGRYQRKKF RKAQCPIVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGGA REDFTKVGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAAA NEDGQKSYTIKKRDELEKVAKTNR >CAK67772 pep:novel supercontig:GCA_000165425.1:CT868054:78620:79966:-1 gene:GSPATT00036552001 transcript:CAK67772 MIPSTKWVILLLTSLSQFSFRFFVDYPSLLATEIKNHFIVDQFQINLLFSFRSFPNIVMP FVGGMLLDRYGTRRGLFGFMLFIIFGTFLCYLSIVLNNFTLMLIGRFFMGLFLECCYVGI YKILSKWFKEASFAYSIDTAFICGGTIASTILLPYLVNNYSLETALLACLILCVVSFIGL NAVTTIDKIYSVETKQETIPQFSFALLTQFNIDFYIIALSSIFCYTSYNIYSYNNAEMFK AMYHLSSYSAATLYGLPCYMAIFIAPYLGHLVDKHGYRMQGLQITSVIQLSVFTMVYLMP SCETTCLIIPAISQLLNGIFFAAYVVTLWPCIQMVVSNQLSGTAFGVVYSSVSFGVSSAS IFIGKVVQEETQSSYSNMLGILLLITFLGASMNYLLYYRDSKYFNNQKYVISQNSTEMEL KMLD >CAK67773 pep:novel supercontig:GCA_000165425.1:CT868054:80461:81513:1 gene:GSPATT00036553001 transcript:CAK67773 MLKSQSTELFVSPEELQRITFFATQNQRNSHKPNISTVKQEEYTFKPSINKKSANIQRTV DDLLRWNERKSQRLQQCYEDATQELQQNANRLTHEVDTNQPSVFERLYVVRKSNETIQCK CSLAIHLQIETTKRNTSDSHPYFTKNMSNTLYSEYKKQMSGTPEQQESEHQQVLEQEEPT PQKACLPNRFYFDQSEHQQNTEPEPKVTIPQHMFVDFRQQIKQINEEPEPVPSKKPMNKK EIDLMVERMNNWLSKRNYKIQEQQDRQMQNESNECTFYPKLSCTKQTKAQQSIQNSQRVS QQSSYSNLFRSKNN >CAK67774 pep:novel supercontig:GCA_000165425.1:CT868054:81683:81940:-1 gene:GSPATT00036554001 transcript:CAK67774 MKKIEIFQVQKNKAREKQKHKEFSKSSELELISYIDEQCQVIEILGRVFDYHNLKVFQLN PKSSEKCQQVNRIAIFLLSTQQDCY >CAK67775 pep:novel supercontig:GCA_000165425.1:CT868054:82330:82991:1 gene:GSPATT00036555001 transcript:CAK67775 MLFIILIFLVDIIKAEEQFLIIINTNLGIHDDFVKTHIELDSKDIKIFYTGIIDQYPASA ARFDHLTFRDQNQYQLYEHEVHQQKHQLTCFDSEYKDTQIENGINSYQKVLSKNENITLV LDNSQIPCNGFIYESIEPWITVLMQFGITKTYFYFKIFLIITILFSFIILTSAIILTWWE IKKLEQKMRRRSSFPRILQKLQIK >CAK67776 pep:novel supercontig:GCA_000165425.1:CT868054:83098:85933:1 gene:GSPATT00036556001 transcript:CAK67776 MIYFLDPMKMLQLRIRFYELNRLQSNLDLFTLNFQVQSRGNLQEIEENDLWKYILKSIPY KLKISQIREQNYSIPVPFEHKLSTEITLYPIFQKSLLEKLHPSKLNDQEYQQMKKLKIFA DEYLCLRSIIVNSSSKLELTIMLNSLSASLKQKYSDAIHSLILYYFINFHFEFEFMQLYI DKMIHFRENLKHKRIAIIGQTWRNYILYQYCKQLHFHVTLFRSVDEPKYFYSPTYLQNKL CLKIFPKEQIEFRDYKPHYDQSMFQTLANVLENQNEEQAFKIMNQEVALFLTQNALTEFT TVKQLKQHLISNHLNQPIIFNNEFQWKEFVRDIKSIKSIKVKNKIQVKCDSKIFEFDFLV FGDSNQFVNSLISSQSIIKDNPSFDPEFILKIKPLFQGLKMMYITKAICKFTSTFWPQNY VRQVMYDNSLMIIYDFYQDSLLIELQKPYKEVVKKFDYHKREIIKILQETFKDEINRDNT RSIELFPCDYFVQSEFEFNKIFKESNIYFNLPIILGNGINLLTQSIQNAQMIIQDIYLDS ENPDYSKVKVQEESIIQGTFGLNVNLSGIKLPYEFKKYNYILDQGNSKGIHFQCLVFFQS KLTDESIHYLFTIIEDNLSRKIYVLVAEDDQKNIIMERSLNKLYYYLCNTDNQQQEEINL YHYVNPRFKFPNIYPELLLQGTIDNYATNEMIHKMAKCCMGSLFIGLEHPSIKLLSRAMK YKQAKFPQYFLNLINKPIIAGKFELKVQFDGGLKITRRFLSTQKNEYQVYHIDINQNYDI FITELDMIEKNVKEIWDHMYYCFLDKYNMKQFYYEMLEFIEGEQKIINQFKDWNKIIQPT EQQRTFQPQRMQIAQSDLLYSTMKQDDTFEIVNGKLYFCQNGNRLISKSRDIRNNEQNLI KQ >CAK67777 pep:novel supercontig:GCA_000165425.1:CT868054:85946:87234:-1 gene:GSPATT00036557001 transcript:CAK67777 MTHLIKDTFYKEKLYQVSLSSFSFAQEQAGKQKEAKQPISEDVLKKALTTYGKTAEGQHY AYLSFMANNLDLTNLHGIEKFKHLQHVDVSNNSIKSLKPLNGLKYIITLKASNNRLTKLL DLKHIPLQIMDVDCSNNEIEVIPDLSCHRFLRYLNLSNNKIRQIEGVQKNKYLQVLKLAN NHIDHIENLDGMNLTELDLFGNEITILDGLTQLPKLRKLELSQNQIKSLNGIIDLISVRE LRMANNKISRIKELSYLENLVFLSVLDLCYNPIQNRRYYRWQVLYKLPGLRNLDGVQVPP EDIVKAENLYGMDLEDRKRIFKEVLPDEEFIDRRIHISELIEPETEDENENQEFIDQYDK AGKMMQKQTKSMGSQKSISEMYHSNKSLQEREYQ >CAK67778 pep:novel supercontig:GCA_000165425.1:CT868054:87415:89168:-1 gene:GSPATT00036558001 transcript:CAK67778 MSLDRMRKSLQSLLGIQSNPQNEQPSQVSKQSQPQQVLRTSDQIFKNKFVIIQKKEDKLN PYKYALERLKEYNLTMLRANDLLKCPIISYKFNKEEKRIHENIVTKPRWKKEESSQEIIN IKPEDDRKSFFFHASYTKDENPQPSQSKQTAKKSQVSLRNSDSYGEYNFEKEKQTVENIL KSNKQKAQEEDNQSESDSIVIIDKKSEPKFRKLNPPPQELNKDPKQISGKKETQNADDIQ TSKKPTVQKYDVQEKLPERQVPQEYPTNPTTEIQKNEVVENKQPQAVEPQVEQKITPLIS DQVQIAPDVNPFTQSVQNQLFTPPWLDNPISQQQQSQVSFNLFQQPLIQQQPQMQQPIMQ PIQFQNPMQQQQTQNLFPFQNQQQSQNVFSSQNLFNQQPNLFQNNQQPQQNFNLFSQTNQ FQQQPLFQQQQSQQPLFQQQPSSESFFQQQSSTQNLFQQQPLFQQQSSTQSFFQQQPSSN LFASQPQNQLFQQPNQQATNLFGNNDQRSSVVDLFSAQSVQNTPNLFQQNQTQNQESLFS LNTQSQSNNTAQQRAYSHSDRYKKNLSKYTTERIE >CAK67779 pep:novel supercontig:GCA_000165425.1:CT868054:89256:91239:-1 gene:GSPATT00036559001 transcript:CAK67779 MPRKNKRQNTKNKQDNNTDQNDQAEQIESAPDIDKKEEEQILNVEQNNQEVTTEQAVPQN QQNANDKQTPNQDQDNQKHEQKNQIEDIQNTQENDQFEQFNDAQKQKQQDLVSDVQQQTK NGENDIQSPSQQFDQKETSKRISATLNEETHKPQEPQFLGQPSPDSAHDENIKPSSKPNV SLSLHDSEVEHSIETPTQRPLKEVAIVQIQQHEVVLNAQAPLFVEKANDQVMLEGAPQTQ LRKQNDEVVLERVQQQKMEVKVEYTNIEVQPKTHIESHQQIELEKQPQIQQQVVVERTET QSQVQVEVQKNKSEIVTEEKSKVQTQNSNDGVYLQQIPVVQLENHQEVSIEQQPRINLES RNLDTQIEKCPQIEIPLNAQSTSLHEHPIVNLEVNHNIHQVHPSPHVQSIQTEQFNSIEQ QPNPSVEQKGYALFSVQAPKTEVQVTYTQVNSEPQQQLKVESNQQQTQLIQEEEKVHVQQ DDQTHIEVQPSHEIINVEKREVIEHKPQLHTEQNSNPVEVSHNDSVRIEGYHVDQKNNQQ KQQKQVPQFVQSPTQQVKDSEPLQPQNDAEQQFLNRSQSENHPSSSKIIQQVPQEVGMLG LSVAAAAGASQFIMKNGVKSKEGLFAALAGSAILYAGYRLFKSKSQQNEKKD >CAK67780 pep:novel supercontig:GCA_000165425.1:CT868054:91435:92301:-1 gene:GSPATT00036560001 transcript:CAK67780 MALDFRGKVVIVTGAARGIGKEYCNYFAERGAKVVVNNRCKKDSENYLLVNEIVRNINLK GGIAIANYDDILDGDHIIKQAIDNYGRIDILINNAGIIKDNVFAKMTKEDYEDVVDVHLN GTYKCCKAAWPYMAKQRYGKIVNTISGSGLYGQVGQANYATAKSAIIGFSFSLAKEGEEF NIRTNILSPVAASRMTETILPQDMLEGINPNNVAPLVAYLCSDDCQENGAIYECSGGFIS RVRQDKEPIDFLKWSKL >CAK67781 pep:novel supercontig:GCA_000165425.1:CT868054:92348:93173:-1 gene:GSPATT00036561001 transcript:CAK67781 MDIEQQDDNWMPLESNPQVMNEQAIKFGINVDVAQFHDLLGFEDWAFEMIPAPIYGVVFN FPIKENTDQFVEQEAAQIQEKGQHVSPNVFYMKQLAKNACGTIAMVHVALNADPAIIQEG SYLAEFRKSVQGKTPQQIGEAFKQAKELKQVHKEAVQQGESACCDEVDRHFVAFVLKEGD IYELDGCKQFPINHGKSTPETFLADVSKVIQKFFERDPNEVSFSTVVLAKALQE >CAK67782 pep:novel supercontig:GCA_000165425.1:CT868054:93252:94912:-1 gene:GSPATT00036562001 transcript:CAK67782 MQYHVSKQDVLGTLQDVFLQRSHQLNNLKHSHKQKKPPSAIKAKLDPSTSKNKLERYLEK YQQRKQLQEENNSSLAQSIQNTNMAKLQQKLNDSQTAYSSKMSLPRESTENDDKEQQLIG VGLSQYMQQLQRSKLQSAQVNQECQTESQKEQVDVQSANGSIQDNNQSSNSVGEEIKILN TKHVQWNTHNKQIQLGLQLETGQHIKQDFSCQFNTEIKLNQLIENQQIKQICQNNQEIKL APQNDFGCQFNQSNNQISIILQDQCTKNTRTKNRCLTSASRKDQNNQLNSNDQITFLEQE LQLRDEMLETKTRTIADLQQQLNDFYQIFSKESDIEQDNGQQDLNLSSEHWKVDELNRQS DNDEDQLTSLMLKQSEEIQELKRVLDEAIEAKKQLSEEQEELIIQIKQCKQKLIDVEESK AREIDCLNQDYQDKLSKQNMIMDELQSQICSIQTSPTEIPLNSNQITVIHPTQEQSIDER DSFSSQGKTKNVLKHINKMSIMTAGWMSNKQDYVTLGVLGRIDQTKSQTKLDSFKKLN >CAK67783 pep:novel supercontig:GCA_000165425.1:CT868054:95441:95931:-1 gene:GSPATT00036563001 transcript:CAK67783 MSDDDQFSLHSFDPDQEKNIENEIDQFYKELSLQFYGEDKKKKVSLLMSGSTQETSKPSR DTLPDVKKGFMYVTPLSTRPGSPRVGRIQVQKRATNQNSSGSPSKLKPLQTKKLLQLLQS PYNSKNTQKQAFIKKQLDMIKRIQIQELKEHYYK >CAK67784 pep:novel supercontig:GCA_000165425.1:CT868054:95955:96995:-1 gene:GSPATT00036564001 transcript:CAK67784 MQNNLSSTIFVPQKLSEQLKLTNQNLDHIQEQSLLLFQQFQVLENTSLKQHAILTLMDTL LFYKSTHPIFNKLFITLITLMNQILDPQLSFQDIKQWSQLVISIISVIQRLINNYLLVGQ KRDSNTQALKLKINSSKYDNQKYCLQLQNEKLEKWQLYETNSFIQKHFDTKKIDPNFLKT SIKKLEEEENQLNLEIEKLNDAYQFLSIDFLEYQRKLLRSLNEIFKIISKLNSELQDERL YEFIQDQKIEFWRTYAPEYRDLADDCKSTYFSMLGTIERLQMSVQNNEEHMKFWIEVLKS IKGQKQLLNEIKSIMLYSLQQFPQESAAVLSHLDKAVLYQLNKLAQ >CAK67785 pep:novel supercontig:GCA_000165425.1:CT868054:97068:99604:-1 gene:GSPATT00036565001 transcript:CAK67785 MVNFTVDQIREIMNKQKNIRNMSVIAHVDHGKSTLTDSLLCKAGILSAKESGEKRMTDTR QDEQLRGITIKSTGISLYYEYDINYNNTKEQFLINLIDSPGHVDFSSEVTAALRVTDGAL VVVDCVEGVCVQTETVLRQAMQEKIKPVVMINKIDRAILEQKHDGETMYQNFVKVIDNVN VIISTYQQEDMGDLQVQPQLGSVSFGSGKECWAFSCTKFAMIYSAKFKVEPKKLQERLWG DNYFDDETKCWRKDNEGASGKKLNRAFVAFIMDPICKLATAVMEGNMEMANNMFNVLGLK LTQEEQKLSGKVLLKAVMSKWINAADTLIEMIICHLPSPKEAQKYRTAYLYEGPQDDIIA QSMRECNPKGPLVMYVSKMVPTSDRSRFFAFGRVFSGTIGTGQKVRIMGPNYKPGKKEDL FEKTIQRTVLMMASKVEYFADVPCGNTVGLVGVDDVLLKTGTISDHPECHLIRSMKYSVS PVVRVAVQPKNPADLPKLVEGLKRLAKSDPLVLCTQEETGQNIVAGCGELHVEICLNDLE KDFANIEIIRSEPIVSYKETVQSTSKIVCLAKSANNHNRLYAQAEPLQEGLQNAIEKGEI TAKDDYKERAQLLSAQYEWDKDDALKIWSFGPDNVGPNILLEKTSGVQYMNEIRDSMESA WQIATKAGALCEENQRGIRVNILDCVLHSDSVHRGARQIMPAARRLFYACELTAQPRLQE PVFLAEITVPIDSAGGVYNCLNMRRGTIIEEEQVAGTPLSIIRSYLPVAESFGFTAHLRG LTQGQAFPQCVFDHWAVLNSDPFEAGSKLNELVLSIRKRKGIKVQLPDLNDYLDKL >CAK67786 pep:novel supercontig:GCA_000165425.1:CT868054:99770:100717:-1 gene:GSPATT00036566001 transcript:CAK67786 MNNYNKSAKQRIEIDILKQYYSESQGQFTRDLDAMQLMLNKSEREKQEATKRIQLISRNF TSTNDYPITQENNTLFKTAKYAITGYETQSLNQESSHYFQQELPYTTIKVHEKKKEPSVQ TVIVKNSKGLQDESQIYFQEENNMHSQSIINIMNDLDYPRRVQTGHDRVSEMESIKPKPY KTEGQSASVSFTRELQRNEPLRLEQQYEPIHHIEFNKQEQMKEDKIDLQIDDLLEEIQGM VGNESKNPEQTLVSSKLKQQTERNKIPLVVDRGENFQPVTLVNTQIILQDSKVLNSRVKD ENLENLDKLISELCS >CAK67787 pep:novel supercontig:GCA_000165425.1:CT868054:100763:102201:1 gene:GSPATT00036567001 transcript:CAK67787 MLGALELDRQMKRKLESTNYSILQNFDVFQHPYCTRPHKHYSDVEQLKKFLQHIPYLKEI TEGMSMRLVEKIIHQLSLKFLPANCQCDSQFQIMLSGEIQIGQRTFVQTDTINEVGSVLR DSWVLILSNEGYNDLIREYHENLMAHKLHLIQQYSVFNKISIQRLKNQLDQFKQEQATNN TILYQEKQPVDSFYLVVQGEVKLVQEEIELQLLGPKSVFGEMELVEGNEFRYHKAVVTQQ ISYYRIGYHQLKILLNSSGLYETFCQNYHIKAQFWKARKQQCKKEVIIPIDYSEFKYDIP KTYHNYLSASKLLKYAKQNTSNPEDDYKETKEDKLLESYKKFNHQIKLNFKQNHLLRKVL IQAKQTNHDLPIMKGLQLERYLPKNHFTHKKSLKIISQFNETAYQRFVSDIESYPSTTTF VKGSRLFSAASRLQSPASRLQSAAPKLFTSPSKLQQFRPITAIKRSISNGPQ >CAK67788 pep:novel supercontig:GCA_000165425.1:CT868054:102386:102837:-1 gene:GSPATT00036568001 transcript:CAK67788 MQLHSTIQFLQELFILMGIKTNFRTQQQPANQTLQIYYDPPMQEVKSGLIFVLPEEKVPN CAESKLGKNKLEQFATQEVMKLVSSNYNLNCLAEKAYKDFISAYQHKLDQNNYEVLKLNP MRLCKSFGFDVTPKLNSKFSCL >CAK67789 pep:novel supercontig:GCA_000165425.1:CT868054:102963:103444:1 gene:GSPATT00036569001 transcript:CAK67789 MSLILSQINKDNVKVGKGCCIALGVQIISEIGEIIIGDYTIIEEGCIIRNNQFKKMVIGS YNVFEIGCKVENANIGDCNVFEMRCIIESGCTIENNCRFGINSRIPPKQNFPNFSRVYYP FNCLKVPPYDSSQHKEEISELCAALFQMGSKK >CAK67790 pep:novel supercontig:GCA_000165425.1:CT868054:103508:105146:-1 gene:GSPATT00036570001 transcript:CAK67790 MEQRQFSICQDTQNQEKYLCLNKECYTSKKKQLHCHECLVKLHKTDKNSIKHYEEFVTFD SIVNDLIRRNNKKLELYNQMIIDAHQFKKDKFKELCTLKSFSSKSSEFQNQVFKKIELQV EEGPKQLNALVSLIRQGLQFQKEKLQFHIEQYLSNDTKFEDSLKQVYEEIKRNIETYIAS IELQDSQTIKKKTIQQLQKKIADLEGVKTMPKSDYLPTKITTLIIIIPVLYLIYTTQQLD NQLLKLQMKLDQQSLSILELQKLLVSEVKELQKEQNKQTETLLKQSHESLQSGNDLKEIL INNDSKLALKVIQLQKKTDEEFNKTKNILQDLISKNPQKLTLDMKTNVEPIIFNEQSEIL EMDYIINRLIIGQQLKFTKAELIYKSKRDGLSINAFWQSLKKYGSTLLIAKFHNNEIVGA LTLPPYIPSLYGDYMVDVAKKSFLFSYTKNHIYQLRDHRFTLYSKHLVGPQFGQGPDLSL QGSTFDQCSSNIGYTYEFAQEKGESIMDGSPLILEMEVFLLN >CAK67791 pep:novel supercontig:GCA_000165425.1:CT868054:105236:105844:1 gene:GSPATT00036571001 transcript:CAK67791 MSTSKQQLRRNSAVKQLNQSMALIQELYIKTFHYFFYSLKNHIQILCSILVLMINKIQES YFQTFLEKEPSIQKVKSIQMQVNKYTIQKKTNQFESESLDSSRSVFNSDYDPKPIFETQP RYYTTISVSKKNKMFERSSNAQNVNQKLAQRKRIQSFQLDEDAYSFEEEESLPEKLMDVD LYFRQDAIVSVQKILSTQRKLS >CAK67792 pep:novel supercontig:GCA_000165425.1:CT868054:107528:110218:1 gene:GSPATT00036572001 transcript:CAK67792 MTVVNQHQLQVRKPSDAAQELLRSPPTTSVTPQIASDRPPQSEMQDLLLIKEEPSNIEQS VQISDRCKGAHQGQKNNLSQQSILIDEKPQHSYSDVQWSRLMSLKTVNTHPSFQLNILGD KAAVFDQSNSLKKCFHPKKQNLYYHTSDIIYCLYLCFFITQLLINLQDSFKGQHIYQILL IIFQVIHALNQIIFEQYENGEIINSIQQLIQNYLKKQSIFDIITSIPVIFALSFEEMHFI QLLHIIRLWKLRQQVIGFELIYGKLVQYTYYILEFHFVQFIALTILQFNHNRQLNFYDEF IVLFYKSNESNAITYLIRLLILIYYCYCIFRFTLKESLDVHKLSLSYDTKQQIKAYINKL NSQQSEDMNFLSHLPQKYVDDVKFQRYFHLLQKMPIFKCSFSESTLRQICSLIQEYTYIP NQIVLMQQTSNQHLFMILSGEVQISQKKEGSSNSDFKIKIIGKDSIFNNQAFFKNTYSNI NATSIGYSQIAQLDLESFLDCIKSHYQERQKYKMMVDQIVLYEVYSLCQLCCYVCGKFHD IDECDHVHYVPKKQILVQYIQSNDQQIRRNYFRPKTRQKQNRLKSYILKIEEAALQYQQQ NFESDNTDENKKYQDEVISIQQPYLGEMSHPYSERQSSLQQSQQTPKRGSQNSMRDSLHS LQQQQQQQQHQLMDIPNISPVQYIQQPPSGISHSSYGQLFKDVSINRKNFNGSSDKNSSG NVFSLPYSSNGSKNTPNNMISKQEKDELISIQMKKAKLQDSVYYHQKRIQNQMDNCSQNT HSKYQKYHTTQPQQQQTKTIRSDRKSNSCISESEKGEYQVQQQQEVQPSRYGIQSSKFTG ETAKQFMRNPLEMPNLEFYQSFEKSNQFSDYFPQHNIDQAIESYKQYQQSRSSAHK >CAK67793 pep:novel supercontig:GCA_000165425.1:CT868054:110385:111395:1 gene:GSPATT00036573001 transcript:CAK67793 MSALKAKGRKVFEIPICTICLDPMIKDLCVFTVCGHVFHYNCGVNCHQNSRKCPNCRMRS TELQSLHYAVEEITGIDEQMSQLLTDLTVDQKQHMAKLLADHEELLHENQRIKQRNKFVE EKNESIQTEVQHIQAELKRCLEKNKELENKERLQQSKLKTLEVCFQSLEEENKKLKQEIE KSEALLKGYDYLESLAKVINNPNEDMYLKLIRQKSPIEQAKQIYDLYIIKMGQFKNVQKE VTQLQEQKDQQQKKYEQLQQKYERMKDLYDEIQKENLSIKELSRNQNQNPIQKIIEHYED KQENEFKRKPVMMLKPATFGSSQLRKQPLSQSLLKK >CAK67794 pep:novel supercontig:GCA_000165425.1:CT868054:111411:111970:1 gene:GSPATT00036574001 transcript:CAK67794 MKTYNSIGDYTYNRQFQRMRYLTEMPAGDDPLVKTSDYLLHDLGVTTQQVGVQHGIVKKV PHDKYLL >CAK67795 pep:novel supercontig:GCA_000165425.1:CT868054:111970:112879:-1 gene:GSPATT00036575001 transcript:CAK67795 MQSNLPIESVLDILDSGEKIKCIQGLVVTFDQMKSNQDHNAYLIKKIKELRLVSQIINDM LCSDEVVHSPKRQQSKIKKSRIIFDKQLDLQLLKTSIIKIESSIREGFKLHQELHPKFQL IQNQNLEDQEDDLFNQLDIMRLVQIYQSEMEYVNEYFNRVEKNPNNSNNQSLDILNQSYQ DMLLDENIFIIVRYFQNLNQMIEALENLKQKLVQYDEFESQSTNFENSRNSSTNEKHFTR SKSQTYELCEQQRNNVLKEITNEIKEKSSETCINCSIF >CAK67796 pep:novel supercontig:GCA_000165425.1:CT868054:113019:114617:1 gene:GSPATT00036576001 transcript:CAK67796 MFICCKSNKKPKVVQTQTQTPPQQTQPKQSKYQIRTSNDQDQHSTNLTNMEGTNDKINLS LQEIEKFILYDKKTIEQERELVTKLIELPDKTHLIEFNWAIAYTNYIKDAGIQPGEIKNK ILYDKIKAKEELVLDKDYVLVNDQVWNVLVNIYKGGPMLTINDLDRKSENNLLDQSLKVF ASPSMNDLDKKQAKIRNLKQMPIKELQIIGLENEIYFCYLNSVLQCLMGIPQLNYHFLHQ YSTDCRPFSYAYAILLKKASKVHYKARLLAKELIKVLQKHFSIYEMHDSSELLLFILDKF KEEIYINNAPQFIQPEQCQFKQSLTFIDEIFYGQLTSYIKCSSCDKISQHQDQFYDLSLP LVSKNFMQRKLNISECLNNYFKEEMIEGEWTCSFCNEKLRNIKRRVKITFTPNILVLQLK RFQSFPQKKKIKEPVTANMELNVKNFCIPEVVETKYELQGMIVHSGSIDQGHYVAVVKRN QNFYLFNDDEIERLSPNQINQIDSAYLFMYRRKSD >CAK67797 pep:novel supercontig:GCA_000165425.1:CT868054:114658:116323:1 gene:GSPATT00036577001 transcript:CAK67797 MSSNTLLTYLQSQITQITLNIEHIQKDISLLHNQFEQKIFTAKRELNSRDDSTQIQIEQL KQQFDCTIKVLEQKMLHIDENKMDQNEAYQMMESNRKNIQEDVDLLKNEMAYQKKYFDDL LNEKIRRLEISNLTETVNQFKDQLKQYYQEEQKQLNEHQTLTKSLLNANKKAFFQELERI VQQVDSMKTEINDQQQLFVKKPELETRINQIHLLLEQKSDLIEVQNALNTQQIDIAQRFQ EFKDEVRTVLELQGNEFYQLINKKANHSEVMQLLQGKIDMETLLSHSEDKVPLKDFHQHM SLIENIALDLAKKQDANTFLEYKDANNLQIAEIQKEVQKKMSKREFKEVLEKKANHEDVS KAFNEIQSILSSKFNYEDFNRFQLNQNTLNEQLCSQNIIGRWLYKGNFLSPGSLIPWNLQ AINTLTENYLWDKDKPNIIVVAPGIYEITLGFFAKKKPKIDIMVNGETIINAVNNSSYVV HHSSGKLKDTKTSVTGLTMIDFISLPARARINIAYQGDLGEGFLCLRKV >CAK67798 pep:novel supercontig:GCA_000165425.1:CT868054:116711:118672:1 gene:GSPATT00036578001 transcript:CAK67798 MKDNINLLILLIMQQTSQSRLPFNPPGKKLGVYRFHNEIGRGNYGVVYLASDDKGNKYAI KSIKKEIFKENGGIIGDLIRNEKNALLQIKNNHVVRIYEYIESVNQAYMILELCDGGNFE GYLACKKFQITVYEAVQYIKQIIQGVNALHEKRIIHRDLKLSNILMKDNSALLKIADLGF CHILSDYESLAKLNLGTIGTKAPEIILNKPYDCAVDIFSTGVMFYQLLCGGNYPFHPTTE EEYLDQILKGRKSFKVSQRIIQPFNHKMKLRRKNFSNQLEECFIIILNKDQNGAKCSIVP FSKQVHHYNIQKALQLETHLGFNSRVQDVKKIQDFYIQNQEKIVSMVSPKFADIGHFTFP DEVVNRSLTEKEQNQQIVANDIQYVSTVSFQDKDEIQQIQQDSCKINELFEKYLTLHQIV YDLIHKTNHLNVKQILFPILIISKRIFKLQKELIKIIDKDGNIFDSPYYNSLIITDQWKV LIEEMLNSKNWIYEQVQHNIKTFYLNINQRPDDYKKKYVQSEWLEEADVYFSKENFDNIF QQCINRYIGYLIQDIYQVEKDQIKKKIIIVYLVKILTVNEIEVDDIPIYQLEPLLQSKNV DKLFQESYSIYMQKQ >CAK67799 pep:novel supercontig:GCA_000165425.1:CT868054:118701:119897:-1 gene:GSPATT00036579001 transcript:CAK67799 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLI FAEHSQIITIQRGINPSLGFKIKRRNTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQ QRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTID AVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLH LVLRLRGGQ >CAK67800 pep:novel supercontig:GCA_000165425.1:CT868054:121268:121675:1 gene:GSPATT00036580001 transcript:CAK67800 MSQVMLVLGPNRVGKSSLIDALTYGQFHREFYPQDIHFQVKLAKVAQHRVYEVQSIEWDG KPLGLVQFYSNVADLILIVVNICGCYDQMDQVHDIYQALPITNNKQQIVLIGNLNGQNKR NQKLCQSLSQFAKLN >CAK67801 pep:novel supercontig:GCA_000165425.1:CT868054:121711:121833:1 gene:GSPATT00036581001 transcript:CAK67801 MSVQMEFHYITEPYSLFDDIWSRNQNYVSQFVSQAVSLLH >CAK67802 pep:novel supercontig:GCA_000165425.1:CT868054:122333:122812:-1 gene:GSPATT00036582001 transcript:CAK67802 MYMRYHYDLKSHIKQSDCKLNVNHTRTPSLPSLLPQQLSPVKEKSPQLSKMGEQILKQWG FFPDVAYTSRKGNYTEHIKTEKRFKDKFEDHLYNLNTERLRCQKNDYLQNEFNLKKSVHF NNVVTVKDIDGQVSQKEIKLISRNKKRQRTKLENLAVIS >CAK67803 pep:novel supercontig:GCA_000165425.1:CT868054:123103:123641:1 gene:GSPATT00036583001 transcript:CAK67803 MSEKKFLELTDKRQSLENELKILEKQIFDLETKYLEETAATGNVIKGWEGYTTIKSGKLN GNVQRKSKANVNDRIFSQSSKTSPFYQITETVAEEPKSPKSPQFRPRRMKKKKHYGYKKD DIVENSQVSSSEDFQQDQIKKVKGSKKI >CAK67804 pep:novel supercontig:GCA_000165425.1:CT868054:123823:124825:1 gene:GSPATT00036584001 transcript:CAK67804 MNKVVSIWNIRINNDSFIQQINVLDCNLRNIMYQFVLISIIISFTLACQLKQLICEDLID KISCDSVESHVAKCNWNQKTNQCQSQNTNLNQHQNYGQYNECGWYQKCDQKKLMQVIKTF NQSEEEIQRCDSIDSFQQCISFNNNGIGCTWKHNKCYQISQCSEINDFMQCRISRIKEKC QLVINGKSSRDLFDEYECRAKDCKFNLFSQCQNFVNGRRCFQYYGECSQCSYFTTLQSCS DTNQCTWQNDVCRNILCSDLKGKNHCESKPFCLFNQSNLQCETRTDNQLYCYAYDIPSDP IKSKKKVEI >CAK67805 pep:novel supercontig:GCA_000165425.1:CT868054:124975:125679:-1 gene:GSPATT00036585001 transcript:CAK67805 MRTIRILNDKVTYVQKQNLYVYMNFNQIIRSRSKSPVRQIPRTCHVSYFKVKEQRRSHLK KEYFSKLEAAFHKISQQLLQYSFKQIRQKLQIDNEKTKIIQERTNQKILMKTFSKWSRQY KSNKLSQSLISSPTFSNNFKSSKYSVSEFKISSTAKKQPTLTTKKVWNALVKIYRNNQRL IFTLYKIFQIQKVKKKSILYKWYKRTYKRLLLNQKLYKLVSILNKQIAKYLKAI >CAK67806 pep:novel supercontig:GCA_000165425.1:CT868054:126302:136157:1 gene:GSPATT00036586001 transcript:CAK67806 MLFIPFVLLIPSCVGFRDFAGNFTKANSNFSCPEYHYYQPDLIPHTCVGYVNICKGVTEV TVKIYNFQEVLCHPRFQAFNLQPLTTNNYILICVPPYSTTYQYNSNGEVVHTCELTNNVF CVLAQKYGSTIKCEYCFGYLMKTPCDYIKSGIMVLSLDLQLECPLNCKRCDVVTLYCSEC LDGYTINGPGDYKCSYKCKTEDMKCTYTPSTQTYLTVYCAVGYEMVLVNGLYVFCSAYCT LCANKVCQTCDTGYKLVSGKCFGDPNCAAISYGIYYYHRIIYNSNNSPIGYTCLQCDFGY FQNGPLCQLCSSMPGLETCFLCNSGTVCKTCQSGYYLNDQKQCIPFDGCDGRCNTCLTND PFYCTTCDYTNLKRVTVNGQCVCAQAQNYAERFGTCVLCTEGFCKTCTLDFFQCTSCDPA RNRVLVGTNCPCLQGYYETYLDDMKCQKCHETCYNCDDPTKNDCTECDSLKNRYLFQGQC ICKRGYVEALSGGALLCLACHPRCEKCSKPKDATTNKYCTLCIAGQNRMLTSKQSCDCMN TYGDLNGVQDVCFQCYYTCGGCINNQPTGCNMCLASSNRYLTSTKECLCKDNYFEDGVNN RDCKRCHYSCYNCNNNSGIDQCTQCPTTRVADGTGSTFKCLCSDPHSFDDGQSLLCGQCH YSCLTCNGYDKSNCLTCDLAYRVFGFSKCQCPTGYYEVGQLQCQKCHFTCQNCYDKNSDN CITCSANMNRTMMGNTCICKSGTSDYNGSQALCENCSYRCANCTQLDTSQCTSCPEFSFR ELGANKSCSCPSNLIDIPVNPTCQVCHYTCYSCSGFKSTQCTNCSDLQLRQLNSKGECEC KSHYYDIGSAQCQICSKFCVDCYQTSTNCTSCPSDRYLTTTSTCLCKTKLQGLLISTFQF STQTTCSKCHYSCLTCDGFSSLNCITCSDTENRIQTGTACLCKPNYFDYGSSLCKKCSYR CKTCSQREEQCLSCPPFSLREYNSLMMLCNCPNGYYDDGTNPLCQQCHYTCLKCTGLATN CELCSGISQRILDQILLTCNCQQGYYDDGVEICKQCHYTCFSCNGAGPNSCNSCPSVATS YRVFYNKTCNCLNGYYDDGSSSNCKKCQNQCLTCQTYSYQCLLCPSTRHLDGSSCICDLG YYDTGAQKCSQCVSNCLNCQTSSTNCVSCDSTKKRILNTTTKTCVCQSGTIEINGICQDC DIQCKTCSNSTSYCTSCESIRLLDNNQCICLDGTYESSIDHQCYFCHKSCLTCVYQATQC LTCSEPDFRIIKPGNGCACFDGYYENSITLSCEKCDSPCKTCDLYATNCTSCFEALHYNK QQNYCVCQSGYFYNATTKLCEACNFTCKECSTQLKCTQCDLSTRYFDDQHFKCLCQDGYY EANLSQCQICHISCQTCITSSNNCLTCETLLYYRNFNNNQCICQDGYYDIGISMCQKCND VCKTCQVTAYKCYSCYQSEHIRTLVSNQCICQTGYYDNGTLVCQKCSNECLTCSGSENHC TSCDINQKRIDQSVIYRCPCMTGFYSDENKICQKCHIKCTSCLNQSDHCLSCKLVTGSNR YTLSQNCDCKAGYFDDGIQLQCQQCNQRCKTCVNTSTNCLTCFDSLRFNPPNCNCIDGNY EDAQKYCQPCNHECSTCINKPENCLTCKPGRMTAQCVCQDGYFEAGVQECLKCNIQCNTC NQTASNCLSCKGDRINSPGCSCPLGYFDDLINQNCQLCDSLCQTCDRLGCSTCAGNRIIS KEYTCDPPNNSICNNITPWCSTCDVAVLNIQISDDLKSVIVKFDFPLNPKSFSSQVQKNL CYQILSNTTLSKFGFNPQCQIDDIDQKILYLNFGNNPTIIEGDSIEFLPNTLQQLDCNSK LSVFVLTDVKAPGNPVIPILEFNVPEIQLNPCDSNIISVKSKSNDGFRGLKNIIWSYAVQ GSSGHGDLENFIQLQSSLQLLDLNMDALILPIQSNITFTVVFSNFIGQQSSQVIKISTHS GAAPSVNFNVKKQFYSFSVINIIFTIQKINCTTKLIENPNYQISLKEKAKTFGSSSEVDY QTVSSQIDFKIKIPSYKLTPRAFYTFELNVSDSSIKYFSSQQTTIEILPAGFLCKFQGVQ QVQDYKKDLSMSIECIDLDTNYLANQDPDLTVNVNCTDLSTNDFCLDVKSQKIKVNQTDF RQKISKYQVQPYTVQSWKVTALKNGSNQTFEQIIVFLDDNFKELQMNYSKGYNMRPINNY ENLNFTFVIPIIDQPLILEYSIAIIYDYEVLVILQPSCYSYQFRLFDYYQKFTKGNQINL KFLVQFTNDIMPGQHNLKVNLNQPPQCISQVDSNSNKALSVVKVIANCLFSTDTPYKYQL RVLINEQDYMDYKQRKTDYSLILYSFQSSNKFQILLPSSKIYIILQIMDADGSIENNYQE IIMNKIDLNCTTLSYQNSTFRYQIALALELLLNNYQNSACLNVANQILSNIKQLSSTYKF EDQQLAYKFIKIYTHYYLNMSSSKSTNKTKRILIESSSDRCYDKVNQKFIFTNKNVSNEL QYNYTYLNTQFNKLKNDVDQLIKNRYEIEQELSEDTLVLQEPLYQQRELIIDALQNQLLF IDDAFYVLSKTKITMNSEKLLILNIGEELLQLIQIISDQINSQAQVNGNQLDIEGQQLSW KLLRQSKSDFNKLINIESDFTDSFVAFVEKSQLSISYNFYNLSTEYQARLQQQLNYTNLQ IDSNNLLRLSLRNYRTMQYYVNYDNLTQKYNAILQQISYCEEDHQYNYDDIYEPSCIQMS NSNLFNVCDRIDKEENSTVNVICSCNQFGNVFIIQSPLNQTKFINDSGIQIESLETDQKT LEIFEQPFILLQSILTCFSILVYYQLYTLENTPQEQMSQQYQNIESSDDLSKLERKDQLK CYPGDVFIIKENYKYIHQFFSIFYCDDVVVKKSYRFLQFFTELSFLNPMAILLLTTFSDE LLLKMVFFINFSMILLMRFIFKLFQAIYRFGGKLAMLIVCIQILLQILSYILFIYALMNN TQNSAYINYMIGVIIGGTMVLTYLIYDPLIIFVRIQIYKLIIQSIKSQQLNPLFHFVYFF IQHYKLDQIFDKYVVF >CAK67807 pep:novel supercontig:GCA_000165425.1:CT868054:136256:145255:-1 gene:GSPATT00036587001 transcript:CAK67807 MLGVSLPLCLNKKLMLGGEELQQLRTGTKCLECLFGYFWDTMFNQCSSNRLNDKPRKNCP ICNESGCLYCRPGYVLVNSACVVLTCVKKHCLYCQLDDPNICTVCNDGMGKYLTSAGECE CNIKGSQGDYYGTCKSCTTLYCQLCDPTPFSCTHCDSFYGRELVGTSCVCQTLFFEFFDK TRTDGRPLVCKRCHKTCYACKGTTMSDCVDCGDPNIYHRYLTVKGECACIEGYGNVLSFS TQENKLVPDLTCASLECHKKCAECIQSRPGTSLEYCIKCIDGQNREISDDLDCVCQENYS DDGIYEICYKCYYACASCYGVLSKSCVKCSDSSHRYLSQKKECLCQDQYYDDNVNMECQQ CHYSCQNCLFDSGIDKCTQCPSSRISIVAGSTFICTCQEIGYYDLDGTMECQPCHYSCLS CDGPELYNCLSCDTTYREFDSVQCNCPIASYDIGNLLCQGCHYSCKSCDNVNLDNCIECS EEVQYRVQIGNTCTCMEGYYDITGEPICGKCSYKCQLCKDTSYYCLSCPPNSGRQLGSDN SCSCTEEFYDEIQIPICKMCHFKCQSCTDATEQSCNSCNKNKFRKLINNECVCMQGYFEQ EVQECQKCSSYCQECVDQYDNCTACSKDRYLNGNKCLCKTKVQGYQISTYELKGVLQCQN CHYTCLTCQKSSAPNQCITCLDSENRVQIGSTCICKDGYFEVGRAVCQKCSIQCKLCISK DDTCLECLENSLRVLNQMLKKCVCPDGYYDDGQNSLCQQCHYSCYTCSKMSTLCSECKAS SHRQLNDLILTCPCNQGYFDSGVQECQKCHYSCLSCNSFQNCLSCINQSVSNRVLYLNSC ICLPGYYDDGFSANCKKCDYQCLTCISQSYQCTSCPQTRNLQQNCDCLNGYYEVGYAQCS KCDANCFRCSKSPTQCIECNGQQNRMLNKQMSNCVCKFGYFELNGVCQQCNLTCYTCIDQ QDNCTSCPMDRIQIGSVCKCNDGFYEDYNDKQCYLCHSSCLTCIYTATQCLSCISGDFRI LKWGSKCECIDGYYENLTNQNCMPCNQTCLTCKYNANHCTSCDTSLHYDIQSNQCVCMSK YYYDVTTKSCQQCHFSCLQCQSQYECTTCNTLTREFDNISLKCICRNGYFETNTELCQQC HYSCSTCLSSSTNCQTCSQSYFRLLNNNQCQCLQGYYDVGVSMCQKCSEVCKTCQSSSTK CTSCYDSEQHRIQQGDQCTCQSGYFNSGSVICQKCSNSCKTCDIQSHFCTSCDLNQKRID KSIQKKCPCITGFFEDQNQNCQKCHIKCYDCINSSETCISCNYQVNSHRHSLSYQCNCKD GYYDDGTQIQCQKCSYQCKMCLNASTNCSTCSNSFRSNPPLCNCIDGYFEDQQQTCQPCE YQCGTCITNPAYCLSCKPDRIGPTCECSDGYFEAGLNNCVQCGFQCLTCAQDSNNCTSCK GNRISVPICKCPNGFFDDFVNESCLQCHYTCDTCDANRCITCNGNRILSQEMTCDSPPGS VCYQDTPWCSSCVVAVLNIYFSDELDRLIIVFDFPLDDKLFQSYSLSNKCFQIFEMTSID KLGRNPLCSVSPTDNHKLLIQLGDYPNINVGDQLLFNNKSLSHLSCEQPLSVFVHNQVKP PIILLQPLLEFDVPDYLINPCVETQIYQMNRMYDGKRALINPYWYYTSSSRTNKQLDDFI ENQNTLKDFNLIIPAATLPINSNITFFVQFSNFLSTSQVQHFMISTHDGDSPTIFLNIKR RYFTFQQILLNFNIETIQCYKKVILTSQLYTYAVQLFQIEKTPKEASESNINYDITSSQK DHSIAIQEYKLSPNSNYTFQINVTNKISGHNQLQTFKIQIISAGILCQFDGILNVQSFAK DMNLLIQCKDLDTQFNWNADPDMFTEIECFELTRNQKCIDIHKNIILVNKTEKLQHIKKF SVEPLTIQEWSLKVTKKAAEYHFREVIVFLENEFKVQKINFNSGYLMRDINNFELLNFTF AFTEDQQLSLIDYSVGIVYDYQILNIISPTFTTFQFRLFDYITQMNRGNQINLRFNAQFT DNIMPNLYNLNLNLNQPVPCQQLQINQMDLQNNLNYYSIAAICDYSNNFPYSYQLKYFYR ESDYYQYLKFQSDYSITFQQYQTSNKFELSLPSSMNSSNINILVQVIHLGGSITNIYQKF NIQKSKLNCTLNFNQSLSLQIKISLLFETYNNECSNLSITVLDNLKQILFTAKNQDKQLI LSSIKLFKLIQTSNQMDKGQIRLFEEKLQEGTDKCFDNLKKHFIVYGIPQQQNESQIKLK NKITNNVVLIKSLISSSLLNLQSIVLKLNTNQLFWDQQLEQNKEFSIDSLKSSLILIDDI FQDIQQINQSDEMMLNQSMFLLDQINTIVSIIQEKAIVDGIYQDFEGASFNLSLKRISKK HLNQLLNIDTNAFDFLVSFCQLEQLSLAFNPYLFSSNFSFNSLDHSNDSEIQIADQPLKR VSLKNYFKKKPFILIDQLQNYIISFNNYTICKSDYNIIQEFDLICIVKTTNQEIKKCTLI QQFDESLNQTLVACQCNYSGEVFLLKSIIKDNTIEYIDIPTINQKSQIQIGFIIGDPLFI IINIYCFITLIAYSYYIYQELKIQKQGRIKQQCEISSFRQSIHLYPGNLQIFKKELKYLH QITALYYHEINHTKLSKGILSTFSLISFAFLLFLLEFSNLEIQKIWIYVIFMFINCVLFE MARGILKILRVLSRFGKIITIMCAIVQVVLLFSPSIEYMVGFSQQVEWDIVTLIVVFLSC LIVIFVILEPILVFIRIFLYNLILPSIKSNQLNPMHHLLYFFIFHENLESELSQYKLI >CAK67808 pep:novel supercontig:GCA_000165425.1:CT868054:145322:145817:-1 gene:GSPATT00036588001 transcript:CAK67808 MTCGSPLGQLVMSINEEGKYFFQCQYETTEDKIRCYLALIKSGIYKCLYCQDNYYGENCE KYNTTSTKLTSKCQQQNLDGTCALCYPGYGKKSEYDQTCSQSCIPYSSCYMDLTTNVVYE LPCMEDGAFQLGTICVCKNS >CAK67809 pep:novel supercontig:GCA_000165425.1:CT868054:146900:148468:1 gene:GSPATT00036589001 transcript:CAK67809 MQVVNVSNKQIKNFNLETINAVYDTHLNYAKTRSINCSHNLLGSTDGFSQFQCLYNLDMS HNRINQFFQLTSSLVEINLAHNLLGSVFDDFQKSLKSQGTRLYVGSFLSNLKNLKIIDLS YNNISSISSNIFDNNTQLRKINLSNNQLACALWCFTKLENLEIIDVGHNQLNINDLKPLE KIRLESLNLRFNPLENTDKCEEKLMKHLLYTRRIELSYTQLEQQIIMNSKQSSKLDQSVN SNDSIQQQATERSTMSRLSKVSNRLARPKTPQNTKNETYQINRTPVKAQNLQEVKKSKGE LKKNISITPKKNNQTPKQQRQVCMKTVDQHDEQIKQRDSYQQQKSTTKIPALNLQMLKVT PFQLSEVGSEREDFPMLFEEDDEKQLLEELRLLGEVVKQKKQHEVSIERQITMNKNIISL MKIMIKGIYLYDVNEYNKGFDEMMTELQKELESKNPQFQDLKLKLMRFNKEHYELNKMYY SLEQSERVVDNIKQQLI >CAK67810 pep:novel supercontig:GCA_000165425.1:CT868054:148571:149351:-1 gene:GSPATT00036590001 transcript:CAK67810 MDQTRESLLCRFIVNLDQEEKKPDRLFFHLQNAYWYYLDFLNPEDKMSQTEFYSWLLNPL SEYNEIRGNLKHYLKQFKQYQKHIPLYGAILLNETLDCVLLVMNYNQTVYSFPKGKVNKN ESGVECAIREVWEEVGYDISKKISEKDYLEFVCEDTGQPQRMYIICGVSEDHKFTTSTRY EIGSIQWVQIKDIQRGDSAYDCKNIFSTKNNQRKAKSKTQIRQLNQI >CAK67811 pep:novel supercontig:GCA_000165425.1:CT868054:149378:149794:1 gene:GSPATT00036591001 transcript:CAK67811 MQQYQSQPAFNPKHLFTMKKYFNGYVQQNRFFHKKTETKSDNGSEVFKEIQQLPQIVQRI DRRIENVNYKYKSAPMIEKKKQNSRKLSFPVLKYSPTENKLQLQKIKDLIEQHTSRVIVK DDMLKHMVGYSKISQMII >CAK67812 pep:novel supercontig:GCA_000165425.1:CT868054:150084:151439:1 gene:GSPATT00036592001 transcript:CAK67812 MVNFDQLLEESLKMGSFQKKSLLVVSLIDFSDGVEKTIIGIMLTILSHEWKLDQSEVSNL ASIYFIGIVGGTMFCAFNTDTIGRQRTLMLSSMIGFVCMLWMSLAQTYLEMFLLRLGFGF IFGTTIPLGHIVITEIIPANIRGSVMTFIASVFIVGKIYCTFLCMALLQGFDEGHWRILV ALNSLPLLICCIGSVMYLRESPRYYLVKQQYQQAFEEIELIALQNNSTNFQLTNNMKEGL IENSQQIIRSETNFKQLFKGKYEGFTIRMWVVFILTSFIECSLYILMPFWLHQHNKGFEI ILFMMISELFANMCIYKVIDSKIFGGRQKLVIYIALIIGVISLITYIQGEELLVFGMTTI SFFLKMMFTTEMVIMNENYTTQFRSMGVGLTQTVGKFTGAVIPFIIFPIFQINPFLPFLL YFICMLIQAIFAYQFPFDMTQVQLDCVKVFS >CAK67813 pep:novel supercontig:GCA_000165425.1:CT868054:151489:153057:1 gene:GSPATT00036593001 transcript:CAK67813 MDYSQHLDRTIKELQQQILQMETITNKTNSHKRPLEMKYLSHKSSVPSTLPDINNDIDKI LQTAEQFLTDPNKTQIEPKKQEKQDFEQPKRKLESFKQPSNKKQILSRKVSQKKLSPSKL SMHKRSGSLYKQEVTPKVTQVQPKFAMQQRESTKSFKDQSRLIKQSPTKSIHEKNSILIE DQSKAKPPIPSVQPVRKQPSQPYLQLQPIPQQQQQQQNLNSSYTQAQTNNNKMENNQQQQ QNALKKFDFNGYKELSRLKRMKDAIFVEYQQSYTYFREKEQKARARFLTKFHQHMNERKN KPSSFLSDQIHPQFFSFIEEQLTIGQPKTLLILKYCEQVQKTIDSIEFDDELLKNLKRAN KQNISKISLTELVEVFKLWSQVQIIRQNYQQLTQFIQPIPELTYDLIQWICLRPKVIKKD KQQVQLSQKVIRYNQFATSQEEALETNKLIIKEEIFRFLWDIIQKLVFSQSQLETSMALF KSILKFLTKNNCSVYVYKNSDLFI >CAK67814 pep:novel supercontig:GCA_000165425.1:CT868054:153072:154109:1 gene:GSPATT00036594001 transcript:CAK67814 MHNQLLTNRCNFKTDRISKILSAYTVESEKHNQQSFRMLSQKIKSKIGNQISDHQTLYPK PDIKDSKIEISKFFSDHKKTQIQINVKDLLMHKSQMQKSLSKKSRDSNQRQNEIIEKLNK TQNEIYKNYIAKRDSNGSKTYRDSRDLDIRIKLNDKSTQSQQALLQTLINKSNEKKSVEP RDSPDLCYTPSHNMLQSTFQNSLLIRSGSQGNVASTSKLNNKIQDSKLKKIKNYLEQAKT HRPIADYYKLSQEIDKVKKGKLVQVERNKLLSLLKIAYRAKSKIDQFNEYLKKQEKISTL IQSFLINELLTENSIESILSLE >CAK67815 pep:novel supercontig:GCA_000165425.1:CT868054:156058:156948:-1 gene:GSPATT00036595001 transcript:CAK67815 MICKKPQLYKKLKMCLKCFLEENSINKDDVISINDLNTQVGKLLKQLLTMKNLQTQLLET LNEIDLQYKSKMSKAISQNKQTYEQVDSIQNNLSGCSDMEVINLSNTQISCYLQLLDQKD SLRNKDKELRELLNLLNDLKKCLTESVEKILIESKKISKILELEENFDQSPDIQYPQKEK EKLHFQIDQALFKSEMKIQETTAIQPKGLSNKNILNPEITVDLEFPQSSRSFKACYNPNS LLLDLIDKIRISLGNEQGEIIVYSNGVLCQHECSFQEYQIQNGQTLKCYISQRRIV >CAK67816 pep:novel supercontig:GCA_000165425.1:CT868054:157037:157264:-1 gene:GSPATT00036596001 transcript:CAK67816 MARLDEIDQQAPGSKQVDRGLSLVPEEEQEENQSALLKMQKDNANIQKQDLDKSINNISQ NKNQDKINNSQLSKK >CAK67817 pep:novel supercontig:GCA_000165425.1:CT868054:157861:158082:1 gene:GSPATT00036597001 transcript:CAK67817 MSNSQQMFCQDHKNQQITAICKIPRSNGKTKMCQRCLVNDRSIKEGDIIFINEFETEIES LRSALETIQNTQL >CAK67818 pep:novel supercontig:GCA_000165425.1:CT868054:158231:158871:1 gene:GSPATT00036598001 transcript:CAK67818 MKQVILCNYLIQQELLRSINLELQESLNPLRDLRTRLNQQCERILQDIQSINQILNEEES IFSENQQENQHQEHQNHDLNSYVSMSMSQGYQSRIKSSLLESYKQVIQNSQQQREELCQI LPEQLRCQYNQTLTIILVFDHLVNAYEIEIDSQASIGDLAQEFKIVLNHQKEILFSFEGN ILEEEFTFQQLNIQNGYTLQAQLIY >CAK67819 pep:novel supercontig:GCA_000165425.1:CT868054:160960:162055:-1 gene:GSPATT00036599001 transcript:CAK67819 MSNSQQMFCQDHKNQQITAICKIPRSNGKTKMCQKCLVNDRSIKEGDIIFINEFETEIES LKSALETIQNTQLQQLEILNEIHQSQDRLRQVILLMRQKKHSLDNFIQRSLSSLQIESIN LSDNEVSDFMQLFNQQELLRSINLELQKSLNPLKDLRTRLNQQCEKILQDIQSINQILNE EESIFSENQQENQHQEHQNHDLNSYVSLANPESYYFSNSRAESPVAESFQKIIQNYQYQI NESLFNTQMFVNSISSQIILKRQSGRELNENILINLNFDILANIFEVEVDPYLTIGELAQ EFKIVLNHQKEIIFLYKNNILNEEKSLKQLNISNGFTLQGQLKY >CAK67820 pep:novel supercontig:GCA_000165425.1:CT868054:162111:164391:-1 gene:GSPATT00036600001 transcript:CAK67820 MNRIDFTWVPFHVVACSSEDFNTPADFLSTQQYGQQRSWECSRTADYPVEIILRFHTRIQ VDHLLIASKTDKGIPKMNIYIGDGLNGGWNDAEYRRAGQCENVYVKPLQVKLTGIGNYLK IKIIEHPKRAPQNPYGQVSISLLKIWGIARSYNIVDQSEEVYGAKDNIDKMLIDLGIPVD MINWFEDDDRNYQYAPIDDESRETLNDLKLKRDSARRVEDFEFLKELKRDMKLIFEIGKE IWKLKRELSFCVAKEDYLRAMELRNRLKRLEAKRDTFDALYETSRYEKMINLQRPTTAEY MRHLEYLEMQEQMNADALRRQRELEEQNRRRMIQDEQNQLGKSIDNKIDKTPWWEKGGDD RNIKKKKKKEKKEDDEPLLNFNNPFAFNEGDVDLELYLNPLLAQAGEKMVDIPLEILRRL HQLGYLTVFGAKAWTGIHSDSWRIREACAQAVLNFLEMPLPDKYKNGKTKKLFLAAMEFA KICMEDKILSIYFIGLKILSTALAPPVCGTDVSPAIINKVLKEFTPILIEKISELNFRAR DISLHTLLSIYRHPAANLGMLVQCCFDKMVMDPNFPTLFVPPDKQPTRIIQARLEIVSNV LQEFGYDQRQFNHQDIFFILATPCLFHQANEIRLLSIEVIAALYQFVGVEIRTMVDAIEN LKPGLKDQIMARLDEIDQQAPGSKQVDRGLSLVPEEEQEENQSALLKKQKDNANIQKQDL DKSINNISQNKNQDKINNSQLSKK >CAK67821 pep:novel supercontig:GCA_000165425.1:CT868054:165848:167667:-1 gene:GSPATT00036601001 transcript:CAK67821 MLLCIFLILSIQAKQIKQPSPRYINETIEPGNYTRQAQEKLSIQYGAYPGVFAVDLHKRV NGQIGSQSFNLDDFEKVEEDIIGEYGCFAIQQNLEISYQLKLWLDKTGVTRDVYFTDLAT SESSSRIYVVRNDFKIFSINLERGYYGISLDSQQQSFASLLKYDPEGEFNHPFLIDDMGE NRIYIITSNGGASFNTDTQLALSQFRLESEVKQKDFIFNVHYNVKHKLIYVACGHDGLDV YKISNNQLVFVSTITTIQLKIPQGQVIDVDSNGDDRLYVLDKETGLRFYKIYNLENGQVD HLFTITISKTKSFDHFESTFFIVAKTVNNQDFAVEVFVDFQNADYFFNNYYIDEMTINDV NVFEYYAVLIGDDGHKIVQHSIYSGFLDEKLLSHTSFQDPDLFKLKEYSYEEQNTLNIRL LVGIARYEFKFMTIKMNHPIVECKFEDAEVNQYIVLLNSTNCKGKEETPNVWQQQFTLCN ITHSFTFTTTEIAGNYYAYAYYTIAIYVLFVIIVWLIIFMYVCFKKWGHKLSFLAWKKKI VDSTAPYNESFDVKEQEMADQKSKS >CAK67822 pep:novel supercontig:GCA_000165425.1:CT868054:167686:168927:-1 gene:GSPATT00036602001 transcript:CAK67822 MQSALPRVRFQSEYPVKKVVSPLIELPIEYQLVEKAGQGSFGEIYKIYNTLTRTLHACKV EFKDTRQQNQQSLLVKEYNTFQQLKGIPQVPQPYQLIQQQDYKLIIMPYYGSGNLEELRK KQIHEKFSETCIIRMAYCLISVIEAVHERKYVHRDIKPENFLVGSFGDHHTVYLIDFGLA KPFVDAQGIHIQQADNKGMVGTARYTSINSHLGAEQSRRDDLEAMCYVLLYLYNGQLPWQ NFNCQTRQEKFLKILEAKQKFASGQLEINIPPILKKIYDHSKGLRFDEQPNYSKMKEICK EGFSKTRPYCFDWCVVDCKIKPFEDINDGQSELASEFSTVRKQLKGQTTDQISTNLKKIL PCEQIKEEDEESISELPNVQKITKFYQNKKH >CAK67823 pep:novel supercontig:GCA_000165425.1:CT868054:168949:169645:1 gene:GSPATT00036603001 transcript:CAK67823 MQSYNPAVSHKRKERDIAKLMMSNYHVEQCPQNPYNFKVNINGPSDSLYAGGVYTINVLL PEQYPYKSPSIGFLTKIFHPNIDEASGSVCLDVINQAWSPMYDLMNVFEVFLPQLLNYPN PSDPLNPDAASLLIRDKPRYEKKVKDMVLKYATKHNQEVQNIEIIKESKQDDEDNVSLIS LDNVSQLSEHSYGHAEIQSLF >CAK67824 pep:novel supercontig:GCA_000165425.1:CT868054:170445:171715:1 gene:GSPATT00036604001 transcript:CAK67824 MYKTIQKLGDGVFGSVTKALDERTQEFVAIKSYRDNKVKDESKIKEIQILKKLNHPNIIK LRNVIKQNKTLAIVLDFSERNLLQYYKSVKEKNRTLCEQQIQSIVYQIASALNYLHTQGY LHRDLKPENIMIQDNGVVKLIDFGQVTYQNEQHTDYVSTRWYRSPEQIKQQSYNQEIDIW SFGCIIAELYLLTPLLPGTTEIDQLYQIQNLNLNQYSNIIPPHPLRLIKSMLQEDPHQRV TAIEILQNNWLSHPFKFLPKELDVQDDSKYLISPAQTPVKVQKYFEKQENNQNVLNHHSA GMFNFVQPMQNNSNVDEDLDCGYIPSYVTSLQGTQKKRVIPQQAQLANEQQTQEARMELE PQLLYVKTTINQQQQNRLNKNFFSKPYQYVQLQSAKHTKEKQQLD >CAK67825 pep:novel supercontig:GCA_000165425.1:CT868054:171747:173815:-1 gene:GSPATT00036605001 transcript:CAK67825 MDLNQLECLLQQLTEAKDQIIKTANYCSFYPESAQQIASMIENEFTQTRKDKKRIFLFLI HQIILDESQKKRQDNPFLKAFGGKLKKLLGDFCIVDDIKDLESAYTMIKRWERDQIFHPQ FIQKLRSILQPKYDQLKQQQETFNALNQTDASANKVLQHNIQTVQVWKLVYNNSKSNSHT KYISIFNSQQILIVRLQNSIAIMISLMRRQHQIRTYKLLFKKGKCAESNQSRALFTCSNT ILKRLSREKTCNLNFTKECKRRSINTRRRRKSGEQLYFKQMLEQRLRSISVQNQQNPLID CYFRSECSPERLKRKSQKSQQSIILTSPIRCIERNNADDFEIYLDGNQTVKEGWLYQSKR VYVQLTRQSIKEYNDEQKQQFIRVLSLKEFEFVVEQERQLIKITFTHLKQTILYQAKDVV EAKMWVNSLNVVFDKHRNYPKNRLQLQEVPRYLGVEVISEESFLRIVESGDLLLFETNNT GAKLQRIFTNTKYDHVAIAIKMANKYLFIFDANADTGVTFLEWSQFIEVNDLYEKLAIRK LMNVNRAEIEKKMIEFLQQVHGKKYEVTLSKLFRQKSQSPSKKNDTYFCSELVAKAYKKC GLLESDKACSSFWPVEFTKPLKFSKEALITDDIVVILKKHLAIKS >CAK67826 pep:novel supercontig:GCA_000165425.1:CT868054:173869:175286:-1 gene:GSPATT00036606001 transcript:CAK67826 MQQNSNYEQLIKKKINLINDFESGKKQYLKEITERPDTPQFSDRKRNENNHSFILIKEQI EYDIIVDKETFSSFVDVKPYSEQDLTQMMNQLYIFVDSKFWNFCLMLAYTEETWFQQQVI PYDRMAKILGQIFSCVEKFDDQVAQDLEKVINYRSLAHLDRLLFENRLPQFEKVIKMLRS QILNNPHPAFILRESKIKEKPFDSFSKLQAYKSYTPTKSSVSSKGIQRSEMLYNHSKQLQ EKARHGQLLKLKQENDEMLSFQKNVKKAGGDQVFQRLFNDSKALQTKQQQQVVNRDVLDK ECTFKPEIKGTLMKSDQKMDQIPGFENTIKRMQKAQNEKEYKEKYFEIKQSQLEKVNNNK EIKEFKLSEREENDEPILFLDVRISKNKIGRLGVRKNDDLQQVVKNFARTFALQKTQIDS LQKHIKSALETLNKHQE >CAK67827 pep:novel supercontig:GCA_000165425.1:CT868054:175297:176537:1 gene:GSPATT00036607001 transcript:CAK67827 MIMDDKIQRILQMNDFQRSSDIRKISQSQVTENTNKTYSITVTNQSKPKRRKVVKLKNHL LQSHVSPDIQKLYYQTKKNEDSTQHNQTEQTKSINLPSISDQSNKGNLNEFIALVLEVFP PDHQLWMDLQIKDQQLEEVIAQNQNYFSDLLMLYIQEMEIRQLKDKKLGYKGKYTTQYSE DFSDKINKDNTSINRWIYDRIKQSNTFLQNISTYNQHYIPKRYDKIGNFKPDHKPNLNEI SCLSSYAVNYRDWKKQYHGLIGPINSSSEKKLPFVAETNYTRNFVTQKYEKQDPIIPKQL GPFPNQPKTLIRDTTHKSQFCLPQQDNSSTQNLVYSQRTNHIKSFDGYQPHYNKQSTISN QGEDFADRFYHSSIVQKLMKKSQKQQNN >CAK67828 pep:novel supercontig:GCA_000165425.1:CT868054:176566:177259:-1 gene:GSPATT00036608001 transcript:CAK67828 MKSVTPINSSNTIDVVENTISPQKGNRLSNREKRSISHKIKDLTYDNQLFDKVDNKIRAY LEKNQVNYEKTLVKLYKLDSLKSEKAKRMLGELNQTRRKQQLSAVQQTKSIERNNSLPSS KQMQMDLKQIQQELKKINPEIEHKILMNISTFKFNQSNCARLEEEEWERVALYYPYKIRP KMTNFEQELDRLNSYYSRNENSLNQLMQIQKDLLHYKLLQQQ >CAK67829 pep:novel supercontig:GCA_000165425.1:CT868054:179059:184954:1 gene:GSPATT00036609001 transcript:CAK67829 MILSLNFVSIILGVFGWRDYYNQYSNIYGFQIEKCPDLHVQKASLHPYQCVCNSKRVNLA YAVICKGVEEIQVKIELNGTQHQCHPRFQPWGAGTPTMDNTTVHCGFDGGDQLVMEMLAD GNIRLALTDEKNKRCLITAYQYSIYSCQFCKPPYFGLDCKNVLRYFDQRYTNPLRSCSQN CLECDEGSQSCTKCIPGTDNINSNDKACQLDCLGFTSCSIVSNTFQNSSICLPSTVLRID RCVSCPTGCLACTLDFQDLFKQKCDSCDSNYIRQLVSSNAPNMYSCYRLFNCPSVRQQLI GQTISSSGEYAYNIRCTLCDPGFVYDLTTQICQWSQFKKPGCFFLDPQGNNCVSCYPWFS LQSDGSCKLISCNPNCYTCLDTNPDICTTCDGFNNKVLSNGICICDSNYGLKIDECVKCT EGYCQECEIGNFFSCTSCAPETNRILVDQQCICKPGSCEPADGSVTCILCDKSCVNCSGP TSNDCTLCPDEITTNRIQIGNSCPCKPGYADYEVKDAICGKCHPRCKQCFQAADETSNQY CLTCIPGQNRVVSDNLNCDCKENYGDFDGTLDICLICDYTCGVCNDFGPMNCTSCLESSH RYLTALGECLCKTSYFDDQTQNTECQKCHYSCLRCANSIEDNSCLECPPTRNPSDPLATQ FQCICESSNFFDDGLLPTCLQCDTSCLTCYGPLSSNCLSCDSTYREFDLSSCLCPPGYYD VGQLECAKCHYSCYQCFDNTAEGCINCSIDFHLRVLRGNTCKCIDGYYDEPGTSKCKKCS YKCETCEEQAEKCLSCPLNSLRTFDSTTGCLCPEEYYDQQNVIICQKCHFKCKNCSAQTE SSCLSCDPLSYREIKLQQCKCQPHYFEMEVQECAICSALCYECVDRLDNCTSCYDDRYLI GNKCQCASKFQGASISTFEFNGLVKCQKCHYSCATCGGKEEMDCILCIDTDHRYQVGNTC VCKEGYYDAGLPVCQKCSYKCKGCSRQSEYCTSCQDNSLRQLVSDFKTCKCNQRYYDDGQ SEVCQQCHYSCLRCNDIDTKCELCSFESNRIYNDQLFSCDCDVGYYNTGVEICQKCHYSC LSCNSGDSSSCIQCVDSNISNRVFYNNTCKCLFGYFDDGQSIKCQKCDVQCLSCINQSYQ CLSCPQTRKLETNCKCQQGYYDIGLQLCQKCNSICSACEFTSNNCTQCDSDQFRQLNEIT KTCDCQIGYLELNGICQQCDQSCKICQQQLNHCTSCVQFRKLNNNNCICIDGMFESIQDK QCKLCHKTCLTCAYSDQYCLTCSVDNFRIFKSGNTCECIQGYYENQITYACEQCSKSCLT CSQQYNNCLTCDTTLNLSLINNQCLCSQSYYFDTLTNSCQQCNITCLDCQNQNECASCKL TTRHLDQDQKKCICNDGYYETNQANCQQCHLSCETCINIDTNCLSCISISNRILTNNQCL CIQGYYDAGIEICQKCNGKCKTCQSSASTCLSCYQIEQNRYQSTDKCLCKSGYFESNTDI CQSNLNQLIIQNAQTNVQHVKVRLIIVQVVIPIQKEQINHLFTNVHVQLDFIKIKIIFVK NAIINVKLVQIQVINVLSCKFELNSNRKSLSDQCNCKEGYYDDGTQLQCQKCNFKCKSCI NDSNNCQICSNSIRSNPPMCNCIDGYYEDLQLTCQMCAYQCKKCVTQPQNCLTCKPERSG TDCKCSDGYYENGSIFCDCNNSQQFDIECAFQCATCSNSSSNCNKCKGNRIQEPQCICQP GYFDDQLNEDCQLCDSSCLECNKIGCLSCNANRIINDDMDCVPPPNSIWYNNTPWCSSK >CAK67830 pep:novel supercontig:GCA_000165425.1:CT868054:185007:187430:1 gene:GSPATT00036610001 transcript:CAK67830 MISVKQLFILISFQIQKHLIHNLNQINVCNYLNQNLSKVLGQIVNAFLIQMIIINYQYLQ EKTQKQMQVMNQYFQVILYLMLIVKLHQTKFIFPTLQLPLNPLAPQIEYIVPLHKLNPYT ENSIYLKSIKNHGLRKLININWTFQAETSQITEALNDFITQINFLQELNLLIPKLTLPSD EILKFKIQYENFINIHSQSEFTIQTHSGCLPYIEITAKPQYFVYETITISLSANTLYQSN QSDSSKYLIEISEIDRYPKKSSSSQLNKSMQSNPFEKIQASILKYTLSPNTTYTFQVNAT NLNTNKAQQQNLSIQIPYAGLICKFNNKEIQSIRKDLNLQIQCRDLDTIYDWNTDPDLYV QVACKDLTLNSTCINQLKQLINVNVTDTFQFIKKNSISSLTVQEWSVIVTKFDQIYKFTQ IIVFLEDDFPVLDLDFNQGYLMRQVNNYEQLNFTFLIPFNEKSQLLDLSIALIYNYQIIA ILQPKYFSHQFKLFNSLEELKLGNNINLKFTAQYTNNIMPSLNTIKLTINQPPICSKLTI TRSNDQALSNMMVTTTCQYSDDSPYKYELRVFLREQDLTDFLKGSSDNSLILYPYQSQIQ FQIQIPTSVDSSTIGLLLQVLDQGGSITPIYEQITSTPAKINCSQIQFTNLKLQSKISLL FEAMNQKCNELHNQIYLDLLYQQILPDQNDNILKFQAIKLYRQFLIQFGQTKPKNRLLFE SNQKGCYDKNSGRFFITQNEDESNSNSTTKIEELQDNVKKLDSSFKYFRGMKKQSEEGLN QNNYVWNQELFQQLQNFQGGLINLLLC >CAK67831 pep:novel supercontig:GCA_000165425.1:CT868054:187585:188490:1 gene:GSPATT00036611001 transcript:CAK67831 MIFQLKKRTKKMFNQQFNIEPAIEDYIIDFIQYESTHLSINPLIFSPDQDKMLQQHFNDK TMQILSDNYYLIKLFNVIKNRYITYENISSYFGTKFGTYEICSNQSQKIKEYEILCISRT VSGGFHKCNLNKVENNETIELTCECKSLGEILLISSTNFSFVDVINKSTEEAGFDITSFQ DDFFILIICTSFLTIILFGIYIFQLIRDFRHNSDDINLSQACSVKVDVNKMMYKGSFTLF KKKFKVYLNLIIRISIKSSRLLIIETVKLNSVIEFQKSHPKITYCQHLTLQSFISKVLTY P >CAK67832 pep:novel supercontig:GCA_000165425.1:CT868054:188809:192168:1 gene:GSPATT00036612001 transcript:CAK67832 MCKRKYFKLDDNQYIISFYQCITWGLYIYWQQKIKELENEELAKPIQISYLENVQICQYR LYLISEFGYYLFWISKMNEVQFSMYFEYPGYFRNLLLSSNCQSNYNVQYNQSINSTIIFQ NTQQYIIQFQGTDIQIEQMENIVIAKTQNQLTVIYNQEYQQVIQLNTLHITIDNNYNLIY WLDEITKELIFYKISYPKNIIQPSKHVQVQNQKKICYKLKYIIEHQYNKNQTLDFNYQCQ NESSTVYSKEGLQLPHKYEIKLDQNLEFRLNILDQYSFQSICPKHYNFNPNDTILLYFET NTNISFSMIQTKDYIYFQNCHNSNKSRVDIQNCQVFYFQSYILLHNQKKQELQLFGFQDI LIRKFRIQIQITDIVQYQQIFLIFTSDSKDPKIIDLSKRYQIILNKQTNKILNALHQIYF QDKQQNQNLTTNHFFINFENQKLFQYNQYLIILIHKNVQMIRLENIQIIFLKQLLNLQYY LLGVHLINNSINDYHFDSQQVSVLSSFKLDHYELIKPLKYQINKKYLALASLSENKTYVL IFEIRITKPLLLVKVIQISRIEFFFLGYQLFYYNFEDEINIYNLLYFEVQLQDSTEHNEI AVKTNITFQIISEMKSEPTIYLGFTLKFYNECYRLFQKSNHSSINSTQNHIIPSKYFYGS IDLLKIEVSNETNILEPLRFIEWIDISRLRYEGRIKKIDIGPILFINQSFNETYSIYIVL ADNDLGQTFIINPTDQLFVKILPINNQYILFFFDQVNFIQGAMYLIDEDTQNLIKEPIQE LNITLNQSYSHYLIFKTGDLIVFKSKSQLLLYMILNSSIKFIENDLYILDILKVQGNNQF YISFSQLRDRQAYYFHVLSINQFNLVSIGTAILQLQTIFIELQAYVQILLYEHIDEKSQI SILDCEIIDQEFRIQVFQIFNKIQIISQICIKIENYSISFKVLNILRHELGDKMKLQFYN KNHLILQSLSNSYYFYDLKEPLNFIDYFGSIIQNNDLYYPFNTTHLYVFQRNTSQIYLAE LGYKIDTQSHLFENQIFTLVAENQLSQARCRITINENSHQEEDNNFLIKLVIVLVLILIF YFLLRRIQLKRKQLNNQRHHHQTFAKISNVEE >CAK67833 pep:novel supercontig:GCA_000165425.1:CT868054:192513:193501:1 gene:GSPATT00036613001 transcript:CAK67833 MISVLPMNDHFIMYFLANHQVFFQLLQLIKPNKQNQLIQTKFLINRMNDFIALVLEVFPP DHQLWMDLQIKDQQLEEVIAQNQNYFSDLLMLYIQEMEIRQLKDKKLGYKGKYTTQYSED FSDKINKDNTSINRWIYDRIKQSNTFLQNISTYNQHYIPKRYDKIGNFKPDHKPNLNEIS CLSSYAVNYRDWKKQYHGLIGPINSSSEKKLPFVAETNYTRNFVTQKYEKQDPIIPKQLG PFPNQPKTLIRDTTHKSQLQSKKQSHQKLKWVLNFDTILANYGLIIRVVFQFLLDLFKVH LHLF >CAK67834 pep:novel supercontig:GCA_000165425.1:CT868054:195634:196017:1 gene:GSPATT00036615001 transcript:CAK67834 MKVFGWREYYREYFNIYGYQTSEKCPDLHIQKIGLHPHQCVCNLKGVILAYALICKDVTD VIIKTELNGTQHLCHPKFQPWGAGTTTRDNTTLHCGFADGDQLVMEMLSDGNYKYYCKCM YEVIVQN >CAK67835 pep:novel supercontig:GCA_000165425.1:CT868054:196099:196504:1 gene:GSPATT00036616001 transcript:CAK67835 MDLSVKKLFGVLINGFQIHPDVQIIFIQECLGNCLECDDTTMTSCSKCIPGRDNQNPSDK TCSIDCIGFTSCSIVSNTYVYSNVCMPGTVLRQDRCACTFSIIVYSMSRWLFNLYLGYNV HDEENL >CAK67836 pep:novel supercontig:GCA_000165425.1:CT868054:196684:199621:1 gene:GSPATT00036617001 transcript:CAK67836 MLFLLDNANVKFIENNSLASYSVKQGCFFLDNQGINCVACYPWYSLQQDGTCKQMACNQD CFTCLDTNPDFCNTCDGYNNKIPDINGICQCKPNYGLKIDECVMCSEGYCQECEVGNFFS CTSCAPETNRILVDEKCICKPGSCEPADGSLTCIVCDQSCVICSGPTINDCTLCPDEITS NRIQVEDLHRIQIKDAKCGKCHPRCKQCFQAADETSNQYCLTCIPGQYRVLSENLNCDCK ENYSDFDGTSDICLICDYTCGVCNDFGPTHCTSCLESSHRYLTALGECLCKTSYFDDQKF NIECQQCHYSCLRCANSIEDNACLECPPTRKPSDPLATQFQCICESSNFFDDGLLPTCLQ CDTSCLTCYGPLSSNCLTCDPTYREFDLSSCLCPPGYFDVGQLECAKCHYSCYQCFDNTA EGCINCSIDFHLRVLKGNTCKCIDGYYDEPGTSKCKKCSYKCETCEEQAEKCLSCPLNSL RTFDSTTGCLCPGEYYDQQNVVTCQKCHFKCKNCSAQTESSCLSCDPLSYREIKLQQCKC QPHYFEMEVQECAICSALCYECVDRLDNCTSCYDDRYLIGNKCQCASKFQGASISTFEFN GMVKMLKQIFIQLQECHYSCATCGGKEEIDCISCIDTDNRYQVGNTCVCKEGYYDAGLPV CQKCSYKCKGCSKQSEYCTSCQDNSLRQLVSDFKTCKCNQRYYDDGQSEVCQQCHYSCLR CNDIDTKCELCSFESNRIYNDQLFSCDCDVGYYNTGVEICQKCHYSCLNCNSGDSSSCIQ CVDSNISNRVFYNNTCKCLFGYFDDGQSIKCQKCDVQCLSCINQSYQCLSCPQTRKLETN CKCQQGYYDIGLQLCQKCNSICSACEFTSNNCTQCDSDQFRQLNEITQNL >CAK67837 pep:novel supercontig:GCA_000165425.1:CT868054:199747:205517:1 gene:GSPATT00036618001 transcript:CAK67837 MAFVLMVCLNQFKINNVNYVIKHVQHVLIQINIVQLVQLIILEYLNLEILVNVYKDTMKI KQLMHVNNVQNLVQLVHNNRIIVQHVIQLLIYLQSNNQCLCSQSYYFNSLTNSCQQCNIT CLDCQIQNECTSCKLTTRHLDQDQKKCICNDGYYETNQANCQQCHLSCETCINIDTNCLS CISISNRILTNNQCLCIQGYYDAGIEICQKCNGKCKTCQSSASTCLSCYQVEQNRYQSID KCLCKSGYFESNTDICQKCSNECLTCQGSPDYCTSCDTNSKRIDQSFIHKCPCIIGFYQD QNYICQKCHYKCQTCINISDQCLSCKFELNSNRKSLSDQCNCKEGYYDDGTQLQCQKCNF KCKSCINDSNNCQICSNSIRSNPPMCNCIDGYYEDLQLTCQMCAYQCNTCATQPQNCLTC KPGRSGNDCKCSDGYFENGSILCDCINYQQSYIECAFQCVTCSNSSSNCNKCKGNRIQEP QCICQPGYFDDQLNEDCQLCDSSCLECNKIGCLSCNANRIINDDMDCMPPPNSIWYDNTP WCSTCQVAVIKVYLSDDLSKIIIHFDFLLNSKQFNSQFELNKCLQLFELESVQSFGLNCQ CFLDPDDNHQLLISLGENSKINVGDELLFLSNSLSHVNCETTLNKFIFPKLQLPLNPLAP QIEYNVPLYKLNPYTENSVYLKSIKNYGFRKLTNINWTVQAETSQITVSLSDFITQINLL QEQNLLIPKLTLPGDEILKFKIQYENFINIHSQSEFTIQTHSGSLPYIEITAKPQYFVYE TITISLSANTLYQSNQSDSSKYLIEISEIDRYPKKSSSSQLNKSMQSNPFEKIQASILKY TLSPNTTYTFQVNATNLNTNKAQQQNLSIQIPYAGLICKFNNKEIQSIRKDLNLQIQCRD LDTIYDWNTDPDLYVQVACKDLTLNSTCINQLKQLINVNVTDTFQFIKKNSISSLTVQEW SVIVTKFDQIYKFTQIIVFLEDDFPVLDLDFNQGYLMRQVNNYEQLNFTFLIPFNQKSQL LDLSIALIYNYQIIAILQPKYLSHQFKLFNSLEELKLGNNINLKFTAQYTNNIMPSLNTI KLTINQPPICSKLTITRSNDQALSNMMVTTSCQYSDDSPYKVRTQNFLKGSSDNSLILYP YQSQTQFQIQISSSVDSSKIGLLLQVLDQGGSITPIYELITSTPAKINCSQIQFTNLKLQ NKISLLFEAMNQKCNELHNQIYLDLLYQQILPDQNDNILKFQAIKLYRQFLIQFGQTKPK NRLLFESNQKGCYDKNSSRFFITQNQDDPNSNSTTKIEELQDNVKKLDSSFKYFSGMKKQ SEEGLNQNNYVWNQELFQQLQNFQGGLTNLLYFVDELYSNFLSANTTNTTIYSSIVELLK YKSSITDEIQNNIVVNDQPLYIEGKDMISQLKKRTKKMFNQQFNIEPAIEDYIIDLIQYE STNLSINPLIFSPDQDKMLQQHFNDKTMQILSDNYYLIKLFNVIKNRYITYENISSYFGT KFGTYEICSNQSQKIKEYEILCISRTVSGGFHKCNLNKVENNETIELTCECKSLGEIFLI SSTNFSFVDVFNNSTEEAGFDITSFQDDFFILIICTSSLTMILFGIYIFQLIRDLRDNSD DEILQEVCSVQVDINKMMYKGSSTLFKQKFKDIHQVISLANYKDQQIKFSYRILEVISQI NLLLTFNIVEFYFQSLNIPLIFAFMIVNPIIVFILRIIYKIIETIYRFRNIPAIISSFIL IILLILPNIVLFIIHKTRLEAQSEIYIMVIIFLVNIVIQQTVIEAISIFGRISIYRLIAS SLKQMELNPLFHLMHFFVMHSSLEDIFDEFLKI >CAK67838 pep:novel supercontig:GCA_000165425.1:CT868054:205675:205923:-1 gene:GSPATT00036619001 transcript:CAK67838 MQNQQLHLTYIYYSIILILPFTERMNIFQILFVQLIIILLSILSDSLILCNYYKFTIVSI NYIVLVMTFIKMTKILDYRVKI >CAK67839 pep:novel supercontig:GCA_000165425.1:CT868054:205963:206970:-1 gene:GSPATT00036620001 transcript:CAK67839 MNNNNNRYYSDDPNQQLQYNQQTPNQQDPFNQNSKQPQQLAYQIGNVNHAAFNNPMAVND SECSGLVSSADSDIRAGFIVKVYAIMSFQLSITFLLILASYYFQNVRNAIINTSTIQYTP LTIFCFVIALVIEVAIFCCRKVARKVPLNYILLTIFTLCFSTVVAAPCIICFELLSNGVQ LVIIAASITVAITIMLTIYAWRTKTDYSAAGHFCFVLSMSVLIMCIIGLFVRNIWFHLFI CTLCIIIYGGYIIFDTQLIIGNHSNYLTIDDYIIAAMLLYVDIVILFLRILEILMIIFGK T >CAK67840 pep:novel supercontig:GCA_000165425.1:CT868054:207017:207160:-1 gene:GSPATT00036621001 transcript:CAK67840 MSNEDKDKNVDKMERQLGQLGKVTLQNRKMSNMINDAIHHLQQKQIN >CAK67841 pep:novel supercontig:GCA_000165425.1:CT868054:208084:208704:1 gene:GSPATT00036622001 transcript:CAK67841 MKIQIVSQIISVLPMYNQFDFAFSSKSTSLFRISIQNVKLTNQIQQNYIPKLCDKIGNFK PDHKPILNQISCLYSNAVNYRDCKKQYHGLIGPINVYIDKKLPPVAKTSYTQNFITKKYE KQDPIIQKQLYSISHYLQRSIPESSKTLIRDTTQNSILVTRKDNTSTKHLVYRKRNNPIN ALNGSQILIQNQQFLVYLISVVFQIY >CAK67842 pep:novel supercontig:GCA_000165425.1:CT868054:210618:215326:1 gene:GSPATT00036623001 transcript:CAK67842 MIVGLYFVSIIVGVFGWREYYREYPNIYGYYTQKCPELHAQKVGMHPHQCVCNLKGVILA YALICKGVTEIIIKTELNGTQHLCHPKFQPWGAGTPTRDNTTLHCGFDGGDQLVMEMLAD GNYQYYCKSLTDDKNIRCLITASQYGIYSCQFCKPPYYGLDCKKVLWYFDYRFTNPPRQC LGNCQECEDSVSCYKCLPGKANANNDKMCSLGRSQFKLLLQIVQDLQIALQLLIHINLVM FALRELYLDRTVAFVYFKQQFIECPDGCLTCTQDQQNMNKRSCDVCDSNYFRLLYSRNEP DMYYCYRANNCDTSRQSLISQTVLNTGEYVYNIRCYLCDPGLIYVVSSEQCQGRINEYIS LAISTVKQGCFFFGTNQGTNCVACSPWYSLQSDGTCKPMACNQECFTCLDTNPDFCTTCD GFNHKIPDNNGICICKPNYGLKADECVKCSEGYCQECEVGNFFLCTSCAPEKYRILIDQQ CVCQPGFCQPADGSATCILCDKSCVNCSGPTINDCTLCPDEMTTNRIQIGNSCPCKKGYA EYEVKDAKCGKCHPRCKSCLQAADETSNQYCLTCIPGQNRILSENLNCDCKDNYSDFDGT LDICIICDYTCGVCNDFGPTHCTSCLESSHRYLTGLGECLCKTSYFDDQIQNTECQKCHY SCLRCANSIEQNTCVECPPTRMPSDPLATQFQCICEFSNFFDDGLLPTCLQCDASCLTCN GPLSSNCLSCDSTYREFYLSSCLCPPGQFDVGQLECAKCHYSCFQCFDNTAEGCINCSID FHLRVLKGNTCKCIDGYYDEPGSSKCKKCSYKCETCEEQAEKCLSCPLNQLRTFDSTTGC LCPGEYYDQQNVIICQKCHFKCKNCSAQTESSCLSCDPLSYREIKLQQCKCQPHYFEMEV QECASNYYAQLQFCSALCYECVDRLDNCTSCYDDRYLIGNKCQCASKFQGASISTFEFNG MCHYSCATCGGKEEIDCISCIDTDNRYQVGNTCVCKEGYYDAGLPVCQKCSYKCKGCSKQ SEYCTSCQDNSLRQLVSDFKTCKCNQRYYDDGQNEVCQQCHYSCLRCNDIDTKCELCSFE SNRIYNDQLFSCDCDVGYYNTGVEICQKCHYSCLNCNSGYSSSCIQCVDSNISNRVFYNN TCKCLFGYFDDGQSIKCQKCDVQCLSCINQSYQCLSCPQTRKLETNCKCQQGYYDIGLQL CQKCNSICSACEFTSNNCTQCDSDQFRELNEITKTCDCQIGYLELNGICQQCDQSCKICQ QQLNHCTSCVQFRKLNNNNCICIDGMFESIQDKQCKLCHKTCLTCAYSDQYCLTCSVDNF RIFKSGNTCECIQGYYENQITYACEQCSKSCLTCSQQYNNCLTCDTTLNLSLINNQCLCS QSYYFNSLTNSCQQCNITCLDCQIQNECTSCKLTTRHLDQDQKKCICNDGYYETNQANCQ QCHLSCETCINID >CAK84035 pep:novel supercontig:GCA_000165425.1:CT868495:3:406:-1 gene:GSPATT00039668001 transcript:CAK84035 MNGCEYTCPCCNRKCDQDNDDNHKHQCQNGHQIRGINGILTKTSPSLYTCEEILDEWTLH TLETKLYKTWKETKQIHSNWLFKSQNEENKLNNKLKWTNIWNKGYGKLICSYQEKQLKKK SSIQIQLL >CAK82850 pep:novel supercontig:GCA_000165425.1:CT868446:2077:2484:-1 gene:GSPATT00039514001 transcript:CAK82850 MHMMYNIESGKVANTMLFVRMRIPSKLKDFSEMLELLLGNNYHISTSNLQWKDIQRITPF LFIKTSPTQYEKLIRFTQPLDSLILKLKSMQLISNAYTMVGRQEIVDIPGKSLDRRAFLQ KENSLLGESKNMNQL >CAK84292 pep:novel supercontig:GCA_000165425.1:CT868500:1498:2067:-1 gene:GSPATT00039674001 transcript:CAK84292 MSKQPDYQKEGIQQKEIENYDLNKEADLLQIEKVKDADRKRYIVTGRKIAVEHTRNWWDL FDNKMYVICQTRGLPEVVQYGILELGMKVVH >CAK82632 pep:novel supercontig:GCA_000165425.1:CT868441:15102:24137:-1 gene:GSPATT00017003001 transcript:CAK82632 MSSRKSQYNFIQTNDSKPSNNIYQSQSSEPTTRNKKTKKLDQVETYIQIFETYLKLLIGQ EIGLEEEERLNGYQNFDCSDNNNTLDLEQPEAIISLYNPDFVQDDYEFQLNQLEIFEQII QSLSIDEQSNKEKLFLKAIEYVFLQLLDHKSYSVYDIRTRRLETQTNEQRNALYQLGSAQ DLFHNSEQSPKSSYLMENNFQNKFQTQDYQKEEQNNIIQKLVTQFRQQLMGNIMANLGNI NNISEYFQVDYQKLQYIQKKAYSQGEYLRQSGKFWHRTQKVTSDILTLIRNILIVQFTRR GFQVQQKISQDGEKIFLLLYMSEKMLETAAENCQLPKKISYCFTDLLSLEPVDRQYRPLR LNGRLWRPQDYQISPYLKYLRPLITEQIQQINFKKVAREVGQTGINIELFQYGKSDIHGD QDGPSDEEWTAYYKYLVHLNNHINLCRKEFQLKSDIALIIDKQKTVEQLVAIRTHSKHKN FDEFNEEEQKHYRDLNEEVQQLIIQSNSLVISSKLPQIKKIKLYLQQQLAHNYLTIFNES LKVANCTNHQLKTVWERYNITPFDLYVPFQINKKDSSTKNIERYQLRWCRYIKNEKNHIT LFPSNERLKLAYSVLQSCVSLDTLVKLKLVNKIFCLHDFYELYGWCKNIQNAVSEQNKFY KKRTFDLVSDWEFNYKEPWVLPKERICSYFGEKIGLFLEFTTHHILCYSVLSILGFLFTI AMITSKELDKQTYTAIISIFSLLVVLWNSFVTDYWKTTQIKFNIRQGFNKRAQEKLILMS FKGQYIRSVENDELNSLGSSQAQIISKLIISIFILILLIGSDFGVIIAKFNEFNNKFYNL EIIISSSLNFGIQKLIEQYYEQIATFLTDFENLQISNQYETSYTIKKYTLYSLSGLFPLL VIQFLNSPFYLYCWEESCKNEIQYFFATTIFWIFTLQFIKYFRLYFKVKEQFHINQQIQN TDSLLQLIQDQNSKVPFWRSSEKDGIVDEYMEFFLFSTLINLFGCVFPLSVTFFWIWMIL QVQILKLRLCYQLQRPWPKNEGSLGVWDDLNQLINLVAILSNSGITSIYYKSRLLDDIIL LFLSLLFYHFLIKCITLGIFGNTPRIMQQIIQRGKYIYKSNIQILMNKSRKEKQDKEQLQ RSPIFKIFAAKEINFTHNFETISSDEDISYFYSKNIGSISKRLVAEEEQLQLKLIEFPQN NDSQKQLFTSNTGLKIFQETIDCQSGSALFQKKEEQKSDALLMKRQQTKLNEWGKKIKNV KTLDYQFLRDYFSKRICTWAFQVSSIRQDEKKLIQDRTKIWRFLFRLNLLSNYTMLWSDF RIHLSQSFIQRKLKILHNLDYKRYKILKQSYETQFEFYTDKAYIKFKQQLKQFGTELTQD EKNEQAAILQKKANFITKKSWLNCRKVQIFRYRCLFFKGFRKQTIRQSSLQIAILQYEAK KKLSSVQFESDIHKKFGALVQYSSINQYTLDMFIDLFDQLEYEQKSSYIFQSTNGRLNNK IYHLQSLKSDIYKNIIDKSKDTYIFEQYNTKLEEYSLQYFIDEINQIPNIKIQKHIHDIL WQVQIDEKEYLMQFFQVRHSQTLQFQKFYNGGHGIFLGQSKNYIRLLNIVDQIDDFLIKG YCVSLYSCSNSKSLYQVISFRRKNSLYYTVEELMQFLYSNLILLQKHKIANISIYNYILV QNEYMVLNSVNQETNPIQQLVQMIAEMILLKPIEDLQNAINTMENPLQYLLSEILLQQKS PTQIIEMISVQQRFVELDYYSLIEKVINFDPQSLLDQKYKTQTKKEVTYQQYMELMKHQI NFHFRIKQFKTALNLIQEVENYMKINLFQNDSNLLLSFINYFSKNLHSYLLKSNEIKKIL DILLIYYFKISTLFALRQEIDLENCKLIEALKRCIIQLRIIFRQLNLNIKLENLSDIEQS IILKRKIKQNNNQASSISSFDRLNLINTLRKNKDYIQIIIQYQTQIQRYLNQFQVLRAIQ TYFHQNYKLADIQFQEIIQNQQTIVFPEPRPLLLDIPLDCSTALINFEQTSPLDDEILEN YGQESLNQLLTDENQLYYSQLLYYKFLQLIIHFDSKNEQEQNHYKEFIALEGANEDLFNF YKNQLILLSKDKQSLFTTYTTENCEVGKYLKITEMKWNEINRKKLINLIESEDDDFMKLQ IIAISNLNPTLENRRILLAQCDQVYINSFQRLCQIKSIQQILHLDDFQPTPHNIKCQLSK QNIHLMKQFSFIKLLQDFHSQFQPQNDSWFFHQNLNELQIFYYLSICFAYSPKLIENLNQ QKTKQLENAINQINQLSTNPKFYCQSLKCIQINNQQIQELQIDISLSQYQQYQLFCQFLN CSIISHSNLKQSYMQIREYLNRDFECSIIVLALLHSYMYFQQFDMFDLIYQFSQRLLNSQ AFIFHKIHSGFDQDLQILENVYKNKSNCLPLPSKYLYEYTPNFLYFEDIKFNCQYLLFNL MINQDYHLISEILVETLSILQNQTQLIRLMHLFESLLDVVNAMMGNIEPKKQYITRLGEY KETTLMYALLSQLKCRFHLNLIDYENALKYSNKTLSYIDACLKQQRTIISIANNEIVQEY PINTTLQNFQFQVYDQLINESHDVDYIHLFNKDFINEAILNHIRILINIEKDLPKFNLLF ELQLELQNKIHINYLQMIYATYFSLLLNQHNQIQIKQCVIDHSKYIEILKLKIVNEEMRQ SAYYALKFNMISEITEIDQKLKILDFQLNILLGTKNGINQKLMNTWIMQCCQKGIDGYIK LSSKDQKLVHPYVSLMYLIQCQAFQFLNQIHQAQRLIEDTEACLKEWFDLRQHPLKGLFF YYQGAHNRWLYNQYLICVQEIISLQQFDRVEIKFLVQGLINQEKQLIKTFDYFHSNLIKS IKTHLANFIYQSVGKRNQRQIVQGGTKLESTKILNDVISASTLGSLDGVTQFLDALAIFN YFETENKCIDLIRFALISQDK >CAK82633 pep:novel supercontig:GCA_000165425.1:CT868441:24646:25835:-1 gene:GSPATT00017004001 transcript:CAK82633 MQLFQRCLVARFSLAIPKFKHKEQPYVKDIPMPKRPKLDPKDPYEKYELVKPREYEFRQA LDERHTKNFYPGTTYVAVHKGGITYHLFDASRFPYGKMCSKIAYHLSGKHKPTFRNNEPG KVTDKFIIVNGCNMYLTGKKINYKVLTYHTGYIGNLKQIKFRDLILQKPEQLVAWTVSKM LPNTVYRMDKLDHIHLFRGRFHTFDDILPQILPHHTDFEYRGSILQDMKEGDDRTLLFAS KPVSQEQIKQDLGDIKQQIMDPSELDNDLIFTPFVERPQKIKLNMTQHEYDKLNRRRKRL MQRYRKYMPIPYRNTIEKADFTKSYVVKSEKQLNRLGLQKIKPLDDDPELDDETTKF >CAK82634 pep:novel supercontig:GCA_000165425.1:CT868441:25848:27185:1 gene:GSPATT00017005001 transcript:CAK82634 MYQAQLHGMKLAMAPYRRRSSKNLQEVPSNADFERQQSNGSLRMDPGPINIEVRENNTKV KNTQLQIVDWIVLITPICYTLVILFLISWIYITTPQIRYPYIAHNILQRAHKIQSMYPII DIIEFSQFSYHIRGCPPDFEEATLGIWEGIENGNVCDKSNKNCELVQSMEAQRLRFWKQK LYCIKYDKTAQWRNGNQCQKQYKACSSYICVKQHLQCPITDLQSIHQQGDLQFGKNWYRI VRDERQTPLLFFNISASSTCLNLNDQPQFKSKEYYPLSKYPETGCNEFGDYTSITNSLDS GMVLEILKENDIPFEQLSHFEEYVQNTDEYQLQALRGIKLNEMPQCNKLNTEIFNNSSKK SFRITRIMRRNNLPLITGCVILILFSILTIRFHNNKYLTFINKRITMIVNIGAAIIMIVT LVYSSFFLYDVLASVCI >CAK82635 pep:novel supercontig:GCA_000165425.1:CT868441:27551:28176:-1 gene:GSPATT00017006001 transcript:CAK82635 MSNHEDDLEVQEPVEQQKEDESQKKGGTDNKHQLKDIDLTSIFVRNLDENTTEDDLKEYF KDCGNIVKVTLRSDKNTGTLYSYIQFQDQSSVEDALVLSEGIIRGKKILVFQKRTNLRNR GRGNRGGRGQPFQYITRGRYLYAEPIRGRGAYRGGK >CAK82636 pep:novel supercontig:GCA_000165425.1:CT868441:28200:29059:1 gene:GSPATT00017007001 transcript:CAK82636 MSFIKLIGIDNQEIEFEENKNSVKEIKLQCIAKQERRITIKVKTTDPDVFQVVGKPIVFT ELNQKGVLLIKANSAKKRDNDKDKICIVAQEYEDSLRQSAQGPSELKPPNIQEIILTVRV LNNKTTQQANDQKFLESKSLLDRQMDQIVESKFINPISKFGDTQKFQSAYAQFDNVIERQ KTEFLSMYQQKSQINNSNIMIEAQPQYKQEYEQYLILTDEQEKELFKLSELKNKLQRQKL KQQQIVETPKPKALNTLHIGYAIIVVILSFMIGMLAKT >CAK82637 pep:novel supercontig:GCA_000165425.1:CT868441:29124:29616:1 gene:GSPATT00017008001 transcript:CAK82637 MLSDIKQFEQEHKEEMKLLQQKYEQRIDLLSQKIEILQKELEVKDNIYSDLKNRSIQNEE SKIQKTEEDVVQDFGATIQKFHKQKEKELMKIKKNYQKELIHNQKLLRKKDATIEQLQQE ISQYKQAASQNMDNQKILLLSKLIDLANEIQKQL >CAK82638 pep:novel supercontig:GCA_000165425.1:CT868441:29828:30292:1 gene:GSPATT00017009001 transcript:CAK82638 MNRRKNEQNNNAYERILEIKKRIYKTQTQFPLSLWNPMYMYNAYLNFNFICLPPGFQQKF KLIKPKKIKNWIDDIPILVGVDDFEQRDTCAICLLDLNEKNVIKILKCNHFFHQECIKEW LQLKAECPTCRDQMHK >CAK82639 pep:novel supercontig:GCA_000165425.1:CT868441:31042:32751:-1 gene:GSPATT00017010001 transcript:CAK82639 MFNKDNLSKLLYFQRLRNITSEKDYEPILQSFTQQAKLHYLPKDRFVFKYKLKIDYFLIL LKGRCLKLIPKSHEQLTQEIKDLEMKYPGIQRLPQNFYLNPSTKTLYFKGKETYPKENLV TLQDQLNQLQIPSFQMLSLMAHLIEGHVVRFQTSHIINEDDALIESITKNAQCTIITLDN CEFLQLQIQQYLEIIETSKRRKNEKIEFLMCRAFQDGIKFPDFKRVLQELIISSERVKLC NHQNLYSFEMQSHYVYLILKGEFYVSYSSHNNNFEVQICGGDTQYDKFKKTLRHDQKHVK QLVRYPIQINESTFELLKQSNKFSNREYVLLKLGPGQLLGEEDFNHDCFNNVYHSFNCQC VSAKGSVLAIKKVDVYRICIVNDWFAKLFHKRCEMKRKWLQERFETHMNKDIQNEIMKKT IQPEKTQRIDDSLFVRLKIIDEEANKHKSTKIQKTQISPERDLTTRCNQRKVALKKLIEH NKKSNPNNDPNCIGMIQYLIKLDRHKQMLLQHSIRLALGSQMSKQRNASQHTQTNDQSIS KSKISPQRTYSYRLGRSNSLISSVINYKK >CAK82640 pep:novel supercontig:GCA_000165425.1:CT868441:32774:33706:-1 gene:GSPATT00017011001 transcript:CAK82640 MFKTYLMPLIKSEYVSRPIVEVNTYLIQLVHINDYVFSNKTIGITITLHIILILFTITLI RVVMTIPGHVPAEWLIKIENEIRQMIENEENMINHNKKGSQTSTSFSSEIDDEQRLHLNV KVKNELIDKQGRRHCKNCSAFKPKRCHHCRQCKTCWLKMDHHCQWLNNCIGYGNYKLFMN LLGYAWFLISFIMITYSRCYYDTMNSYSSDAKLFLVSFTFLYCCFLWIILTAFTLFHLWA IKSNITTLEYCENKPREPLQKGVWNNIFEVFGKNPLVWFLPIQPDTKPILD >CAK82641 pep:novel supercontig:GCA_000165425.1:CT868441:33774:36254:1 gene:GSPATT00017012001 transcript:CAK82641 MGSMYQYIEAIALLYNISFIKQKPVIIQSNPLEQNKYGFEENFNGSSVSEESQDIIIVEP LDEQKQAINKANALYLTGQNQSVQASSYTNKQINQSAIPSKIYSQTNQSNQPEHKPYFQQ SQRPVYDPTVSVPRKYDPSLIQRTQQQQPPLKSNANQQFLPTMEPQPRITQSVVKPTEKQ FHQPNNYDNFQRDKNFQEQFEVSDDEDVLQIQDQQKSSQKQLSVADFPIERKIANSITPS DKKPNQIQQSAQNRESKAPILTEKDKQQLIANAKQQMLTLDLLTSKLSTQNYTGQDICEI VSIQKGIIKLSQDLNNILSQDRNSIVLVGIIGQNKSGKSTLLNEIAHTSNKQKFGNNGIW MLSKPLIIEQKSYYFIDCQGTDNQLLYAFVMLSCSTLLYNTLKLDDNSIQCFPVLDTIFR LLINEYALMQLLPNFIWVQRDALQARPIIELQEFLVKANKGQQFENLIKQRDVACIGPQG TSEYQNSISQLKDRIILGSVCKQVNSYNLNGPLMYLYMESIVDLLNKPITLQLDQLWLSI CEDYTKAIYNQSLNSFVQQVDNWMKDNQKVDEIEIYKQFRDFKDVSLQQIFPTCFLNNKN RSYQQYKKKLQEIIATKEKQALQFCLYTSQCENDALMNKNISKMNFRDIDSFSQSFSSMI DQLLKQKYQFQQCSAFAECLQKNYKKLIEELFSKYQKQQANSSEEQQFNLQQSKIQVSNK EDELKKKQEQVSFLEKKRNLLIKEIQDLQDQIKLERESIAPDARQSQQGQNDEQLLELKQ KCAQNKQEIQVLRKQVQDAERKKNDEGCNIQ >CAK82642 pep:novel supercontig:GCA_000165425.1:CT868441:36330:36499:1 gene:GSPATT00017013001 transcript:CAK82642 MFNEFEFTLINLLNVKEFLEFLQESGLDIKIHKNRMENLKMWNVSQQSK >CAK82643 pep:novel supercontig:GCA_000165425.1:CT868441:36803:39073:-1 gene:GSPATT00017014001 transcript:CAK82643 MQVHTDKSPVIRTTKSRGTVSPNSIKSIPITHKVINRIMVPIYLRDQQQQQQPQNPDVIR LQQELEMWIQRYRELEQLYQGHKNHLVIITQYQERIDMLVQENNQISMILEARIQEVQQW ERSYQELQQQLQYTQTNRESEFQIQINQYSNVIANYEKNINDLKTTLQQNQKETLQWRER CDYLQKEQEKIKQYYENQRQQDAEILIQNADQQRQQNENEVYKLRNQLDMRMKELEQFKQ QNHQMEQTLIEVGSSRQNYETKLLLLTQEIENSNDVFQKKVQQFEQTKRRNQELEQENQI LREQYDQFAQQLDFYRQKYEQEVLNQRKQESSKLDRERTLSEEMKRIQQQIEERDRRIKQ LEVQIQANSQYQSQLIQLEDKCQLYQQEIERLNGQVRQKEQQIQQIQLHNEQTQQKHYKN ENTLIKIQNDYQQLIIQQTTYQNNLEQIQRVKMQLEERVVLLGGEIERLNDIQRQLMNEL DQNVRTKRNENETINTERQKYSLIIEQQSRELSEYKLKLNQIELIRSQSEQLKSDNDRLN QLLRHLQNENEQWRQNYNKLEEQLQQDYETKIIMLSQEIERLSILINERSTEMETNRRKV TILEEELNKKRYSQDEKLAMLTSEIERLNLLNLSYSEQIEMLKIKLIKQDSSDLLLLREK NLYLNQEIERLQNLVEDLNKELEIYRLKYADQFGVGSKYEELLLYLSLYKVEIESLRAQL TDKEKEVNDMRKSQLAPYRR >CAK82644 pep:novel supercontig:GCA_000165425.1:CT868441:39814:42626:-1 gene:GSPATT00017015001 transcript:CAK82644 METQRITATLNPELKQKYQEIEELQILKENLKKFKLDANLKPIKQEKVLKLCEKIKDADS NRTTKKKYESVLKEIREYDLSKYLQEIANTIIDCQLHQSNIKFFVELCIVLNNYNEFKNI LQQTAIKHGQQLLEKKGGNYENEDKRAQKRKNLLRLFGELHKPVFQQKELEEILKLLLPH GTLDQLLINIQVMTNFMNHFGFQYFGKHKYETRQDFLINPDLQNIFIDTEYKTLEDALTQ YIKEIESTLTNLYKDIHKLAENQTDPSVNQKIKEMKEQFIRLKDNYRIIKDCMGEYDPSN PENENENEILNLNIRDFQSSSFDDTDCFLLEERKLYCQFITINNPPDPNQIVVQQNQKQK DCSSIEIADQMALEFVNTKQNRKKLLEEMQNFKQNYTIVIPYQCRLFATLCKHYKEFEEE IIKSFFEQYKQIKPNDHLERKQRYLRYLCELTKFKILKQDIILDILAQLLEDLVSYNVEM IALILNNCGRFLKYSGESYKKFEYLLTQLDKQRKLKPLAQKEESTLTQALLSFDRQVKPK KEKSEIQKFIKFIIFDQLDDFNNAFETMERLPLSDQECKKYLIKQLFKISIKGRYSTLSI VACLLATLKDKYPDITGQVVDSLLDDIICGLEDNNINKRQRRITIIKMIGELYAYQIIDQ ELLFKLLYLIIEYGHASDISQAEQDLIDPPEDSFRINQVIGLVESVREYHKQLKTDLLKF LVYFQRYVLSKRYLPQIVEFSLLDLYEMVDPRLTKIRTIHQAEQIYQSNNIEDALSRLPD QTVQLQGIDKKLIEDQKEVEISNKLEQELEQQFQQQLKESQIETQLKQPNVKQKSKNIVM IKGAGDVKVITKVEISNNNKKSTQVPNQYVGFQQ >CAK82645 pep:novel supercontig:GCA_000165425.1:CT868441:42736:43924:1 gene:GSPATT00017016001 transcript:CAK82645 MDQLTTKVATRDDILLYLKNCAQNRNSRVVAMYNSHLDMLIEGNDQDLMVFPIDDRLANR AHGAMETGNVKNYRISSLDFHMNRLFRSAEIIGLKIDLTKEQIKNKIHEMAYLAYKVLER ENHPNLQDQILVLKIWVSTGIGDFDIYGCNKKSIVYAALYLNGNVGDDSDKGVKEYIHLD MWTQPNYFSNAKTVNYLELATMADYSKVRGGYFGIKVDPQGNLLEGAISNVAFITKDNTF GYPPLSKTIRGNTLNKALKIVDSDLLPKSSVKEVKEVELNIKDIDQIVELFHLSNDHIIP ILSVNDQLIGNGEVGPVTKYLQEKLEIARASVKLDTSGDIIKGFESLQ >CAK82646 pep:novel supercontig:GCA_000165425.1:CT868441:43958:45040:-1 gene:GSPATT00017017001 transcript:CAK82646 MKVVQFNNGLYYGQLDYFYKKIGLGVYVYDDSCLYYGQWKNDLYNGEGMIIFPFGGILKA TFKNHSIEGIGILITNNEVMIGEYQNSVLINKALLFNGKQWRLRSFLKNGQYEDQDCQPS KEQKLFSEFIKQFPSLYKSKLLLSLVGFIINENQMYIGEFKNNKLDGLGRILDFDKRMLE DGYYKNGLLQKGFRYYVENNSFEQVQLVFEQGSFDYKIIMSGMNFPIELIQKQRALMHLT SKQYIGKSQEIHYFHNRFSKCNNQIKPLLKITLNQFIFDEEVSNEGETNKPIEHVRKASF HNEKNKQLDEQTTTRTSSFHEKSRQFEFDLCKIEFENALKPLPINVKQPQKKRIFDKYNF >CAK82647 pep:novel supercontig:GCA_000165425.1:CT868441:45240:48176:1 gene:GSPATT00017018001 transcript:CAK82647 MNQKKSYSYLEIRLETLEALWIQHSGFLDKITQESGVNFYYQQNLIVLVGNPICCENAAQ RIYSKVYEDFIMQIEQFRSNDELKPFFQLFQNPQYRELSKGIQGFWIYSAQSLMKQYLNM EQKGYQSRFPELPQYFLITWFPKIQMLYIQQMIFQMIGFKYSQQGLNQKFKFDGQYNVTA LEAISIYLNLDLDSIINQYSLQMELNQIPNQFFLVIKANNRNSISETCQILENYLERRKF WSIAYLQIKLSSKDINYLKKSLNDQIRSNSTINLYSYEEVVQESKRLDQQFHIYSTEIIV QRHSPDSLFVFGDADKQGEITDTIIQIVRKLKQSNRIEQEDRLQQQFTYKENSNQQFSWN PQVNQQASIPFQNNSKNYYYDYNEKQFDQRPVQQKYGTSLNDQQTNSYQQTNPYQQTNPY QQTNSYQQTNPCQQINSYQQTNSYQQTNSYQYPKQNQTSEPFWNDDQTDRPLKYYKKYQK NNDNNWSQTKYNNQKRYKQKQREQDFQELDITLYVPKVPQNNQNNQIFTSPQQQEEEKSS QLLIVEELNKVIKCQIIKIELFKYQIIYTQNHQKNSQKINFQEFKLSFEDFMKKYYNLQP EIMLLFNTENIIINVNFKQQEDIHQVKNIFHKYFLQQMYYVTQYSTQALGLVNILKVNCS VQHFSTNTDLSGFVNENQFSELVEIQDGQQMAIKISNFSYRNHNLLREKFNNFEISQSFQ QNSSVLDVSRQIGYGKKVILISEDQLKYLNQECADLEAFQLKKFKEFFKMPFYCVQIEYV EELLEQAKVEFKRMNEKYVKAQVQAKEKENNKVWMKEIKKDQFCQASKLYCYNMMEAFYT NLLNDVNESLMSTEQYQSNQYQSMYYEAIIDLGKRELNPNDPQSIENLLFHSDFKFEPKE MQFLIDLPLGTFITVWVRNQKDILSEFQQIKKDEILPRGYILKQ >CAK82648 pep:novel supercontig:GCA_000165425.1:CT868441:48808:52279:1 gene:GSPATT00017019001 transcript:CAK82648 MHFNNQIWAILWKNMKMTNMKELGKELFIPLITIFVLLMVQDGDEGTGKLFMAIMVPVYI PSCFVGLCRQLLVEYVHEKTNKYRDLYKIMGMKLQNYRIAWFITYIIKSILIGSLILVTL LYKLNFQQSLQMVICFMLFILAQVGQTLLLSTFFSNSQLAGEFGSFFQTILSTFYFASLY ELEYLVYVCPQSIISFYLMNKLNGSKMEGVSNYCILSLAIHLIVYYVLFNVLEESCMKGG RCLWTRKNKTGYVQLEDQMEMRRQYDEEGLAFTSVEIVKLFKQYGDVVAVDNLNLKIYSQ QILCILGQNGAGKTTTLNILTGLIEKTSGKILYFGKSFDKKRDEIQKLIGFCPQENLLYD QLTVSESLQYQSMMRGTDSASEIDMWLNLMQLQQYSNYKTHELSGGNKRKLQIALALVGG SQIIFLDEPTASIDPESRRQIWKILKEIKQINKTLILTTHFLDEAEELADRIAIMKQGQI KIYGSPENIKKELEVGFTLTFGNIQSSQQQSKIKQSFPELECSIGPKLEYDNIKYIINQN QLFSIVEKLNEMSKTENIKIQLIQNTLEAAYLKLNKDNLEDDKIIKEYSFPIKSTNDVKT KQLLQISALLYKKYYVLKRNFTLQLMLILPMFILLIAYIFGYHLLQDEAQTQFFVSLFIS QSFSLNSSIFISTPILERELKIRQQLKQMGVSQFTYIFGTFLGDYFIIFIVTTFIYVLSL QIDMLLTSEQLLTILSLFGLSVISFSYASSFMFSSNQSAFKIFPVINFLFCYFLPSMIDL QLQNQYSEVFTCIFPLYTLNLALKDDNQDYSIYFLVQFVIYMFLYQALERNIILFENRKN LQDRNNKLQVQNIQYGYTSNKQVLKQIDLQLCPQKILTLLGPNGAGKTTLIQLITDCLKT RWGSIMYNENMIISSCQQSEGLWEDLTAEEILYLFARIKGGIRNVKNEISQILRILQIKG KIVNMSGGQRRKVALAISLIGDSGMIILDEPSNGLDPISRRNLQNMIKAHVQKRNSSIIL TTHDMDEAQYLSDSISFIINGQQPFPQQSVTQLIEQYGSGIILTIRAKNKEALDQVILAF PKHQKEGLLIVIKLGNEYDVATTIKILKNLCQEDLIGSFTIRQANLEQVFLRQCRKQYDE D >CAK82649 pep:novel supercontig:GCA_000165425.1:CT868441:52319:55021:1 gene:GSPATT00017020001 transcript:CAK82649 MLISDFLVAAKPSKQNKKDSEESQQQPKQKKKLKLTNTVFEPSIHSLKDFKFEDENGEQQ QIEQIDKLSNAISSQPMLNIIRLNNPKTTTSLKKLIEQQEEDQLTRHLKFRQEDVSYLFT LLLNETKSQMKELKDDYQSENEYLQYFLPPFINETLAYVKSTAEDMAKKYRSPALPLPLR YQLMIDRKNTILGKYLVLEAVEGDFDQADKYTWKKTCISEYFEFIAAKSYIVQIFIRNER EYVNLLGIISKQESNRDKNLIYLPWNSRVINSLKIPNIVVESNNNKQNIYQLRQSYVPLT YPNFEELYPYQFLVHLNPIFSPATYLIELKALLNIGKSKYMNCLLQPQNFIEPRPLMKSA YEFGVPLLQITEEYLKNNKKFNQSQMSAIRHSTNYTHPFTLINGPPGTGKTYTSMGIMNI VMQRMNQINEDSIILACGHSNTVINDWVRKINKEFSNAKVMRIGVAEKSDPDIYDYCLEI KTQRQFFMKFTPDYEKKSICELIKPNKQKYDQLTLIHIKQKIAQLNEKIYDLRDFYDDLD QQQQFSVDTDLYRLESQKKDLRRFYSTLIQILGQNKEIYKEMMLKNLQGIQIIVSTLTSC ASSLLEQYMEDKYVSMCIIDEAPMCFEPSTLIPLSKHKIYKLVLIGDHRQLGPVIYDNTN AFEYNYNRSLYERLLQTTQQFIMLNVQYRSMQNLSRVTSQFFYEGKVQDSESVGKMQFPQ YLANKLNNSNNFLFFDVHGGTQFNSNNSLFNEYEAYGVFYLVCYLLQDYNDQNEKPISII TPYRAQVKLIKKYLYKFNPQLLDYVEIDTIDAFQGKENDIMIISLVRSEGLGFLTDYRRA NVATSRAQYGQFIFGNSKALLNEQRLWSPLYQYLYQRKQIKSFGDKEAYDPNFFQNILNQ >CAK82650 pep:novel supercontig:GCA_000165425.1:CT868441:55214:55456:-1 gene:GSPATT00017021001 transcript:CAK82650 MQQLKQLQNYEQQMYFKSKLIHNLQNYLPDNQKIQDCNMKSDPQDGDLFLKEQSKLKQNG LQNKPLHSLVVLYKNLQQKR >CAK82651 pep:novel supercontig:GCA_000165425.1:CT868441:56697:59064:1 gene:GSPATT00017022001 transcript:CAK82651 MYLNDLHASNYQIQSPVAEQRLLYQEAFGQIRLKQIHSQSKLKDLLLKAIMKLRKYTKIK NIDLLSKHQLLAFNDVSSCHNTFVKKEKETTQFEKQYYQYKQQNLSLQLIVTNLMNMVRM QGLRSIKASHPLLIFWSFLKFLLVLHLCFIFPLSDSFIQQLDEFIQIQQPIFFIEIVILA VDIIMRLNVQIYNKGTVITQTKQIIVQYFRKEFLIDFGGLLGLLAFLFSQFTPLKYLFVL KIKELSNFMEVFKYEIDPKGKFKSHLKLMTLLITVVLLAHCFACVWIGVGQHSLNNNWIV LRDLQNAHWLEIYVNGLYFAITTMTTVGYGDITPINPYEVSVSICLTLFSSCIFAYVFNT ITSILKDLDANKSKIKHDLEILSLYMKKRNIDSDLQKRVENYLRLVYKHQPSIQEKKIFN KLSPQLRQELNEQDKGVMLLKQPALVNNFSLKFLRDLIESIQEINLTPNEQLPNDQGLNI LQKGELKLFFGEHQTLVGYLKPGDGIGVKSLFNGQNQSTRLSCKSEGFSQVYQISQNDFF KKLKDADLDQYYQIKDKIMQNNYDNLYEKCLLCRKFGHEICEDIYFNLNKELILAKYRHS VNQERKIGYNRKRKHKYRVFQALAIKRQSATQCYQKSMKFMKMSQKQNEENDEISESYKS DSYDELEEQRHFLDEQKDNITVFIDRENSMKDINKEERKIVRSKVKGPSLVRMLQNFSSL KVWQDDFFILGDFDKMKCFRMYYPTHNFDQVIKYYNQKRKRINKTLLKVQQR >CAK82652 pep:novel supercontig:GCA_000165425.1:CT868441:59208:61181:1 gene:GSPATT00017023001 transcript:CAK82652 MYSSIRESPQRHDTRSFVQEIEFLKAKCQELETENRLLFEEQQQLQRRAHDIVTNDSNVE SYIKENNMLQTENEKLIKLSRQRKTDADLWKSKYENQLQQILQMKSNYEFEIKQLNAELQ KLGAVLSQAEAERQRQLVGISGQIESQSNQDFENLKKATNLQIEISESQIRKLRDHIDEQ NNEISDLQQKILRQKTEDDIQIERLLKENELLRVKIHQQESEKQRELEHMNDNLNNFSQQ QIQLLKHEFARQSDVQQSEIDKLKGLLEIKNAEIETLLGQNAKNKQMFEDQINDLRTEIQ ILKQKLLDQERQARVILESSLKDQQHQHQRDQETLKSYYQTQIINLEKEINDLKGIIEHK NQQIQIQIEEKNLQRQQLEQLIVDLRREIENQKLITFEQEKQKNNEINELDDQFQKSTSL LNENIDQQKLQILFLEGEIEKLKEMLSQKTKDQESLIAQFNLHKRQLEDDIRRLKDEIHS LKQEILSITSSKNQEIQDLQSKNDRVTIQYREKLRQSDISQEQQLLEIKRLRETLSVKEQ ENENLANQRNLLDKDLKRARDEIEQFKQRQLALEREKFTQLEDLKNKLEASNSYQISNLK AAYNTQISVLTEENVHLKQQIDQRRMYDSSVRM >CAK82653 pep:novel supercontig:GCA_000165425.1:CT868441:61188:62444:-1 gene:GSPATT00017024001 transcript:CAK82653 MDYEMPSLSEPFTWISYAILGSLVGLAQAGGIGGGPIVSPVMMVLLGCSSKQAIWNTYIM LFGGSIGNFARLGREKIQDGSSPLINYQLVQITLPLLLAGAILGVASGKWLPKLVIVIFL FAILLNVFLKTKNVYKKVREKERNDLLIQVEMKEININDQNILPQNLQQLKDNESKLYPT ENLKEIALSVFIVVALTLLKGAATIPSILGIGYCGYGYHFINFIIFGVGFYNVQRYRQQI KKDEVLKESIGYDFSGGKISEVYDITVKSSMKAGFLGGLVGLGGGVVLTPVWLETGINPA RAAASATFTVMFTSFISVFIIALSGGYQLSQFLILASVSGCGSYLVAGILKKLVKKYKRE SIIIQVLLVVIAFGLVVLPLQSIKDVYYNPISSIQFGRLC >CAK82654 pep:novel supercontig:GCA_000165425.1:CT868441:62609:63478:-1 gene:GSPATT00017025001 transcript:CAK82654 MDKLDKIIAQLDELELSQKHKEEQIKHNQNSLLLRLPKVILIQRLCAFLDDLDLYRFTAT CSSLRNIMFCPLGFKLLSLSRNAQHIVGGTKQQQQQQILLQEKQEIGSSGHLSGNSSVFD SEEDVLAQLHALKSVKEFLTTKLQSSQAQIQNLEDLIADSSDTLKYEKSVNLKLQSKINI LQNQLSISELQRQDVKENLSELNSKYNKIISSMEQDRALLQDENDKLLGHKKVLIQEVYK LRGMISQMETDQNIYQSTLKQVRAFMDTIDLKPI >CAK82655 pep:novel supercontig:GCA_000165425.1:CT868441:63499:64035:-1 gene:GSPATT00017026001 transcript:CAK82655 MIKKAYQSVKNIWRVFYDEKLKFIDNFEERMSLKIQQRQAKYESSFQRQIRFTQFRVGIR YLIGGMLITSVSIFFTDKIHDSNRPMDISIELLECTNNMDERAFFAFDEILKQGQDLKTL DVSTYCALGNMLASVNQSEFSQTAFYFAHLKNHKEIKANSDLQFETVTKSKTGGTEGQ >CAK82656 pep:novel supercontig:GCA_000165425.1:CT868441:64057:66949:1 gene:GSPATT00017027001 transcript:CAK82656 MIISQITNNDELQEPLETNMNKSSKVLLWGRRNQRQSFGIENPIWKYKALKIIILVSRFL FLITQQIFRKDLTNISKSEFNLIHDASSDYDFFKYRGDLPKDKPSYLLLKLHSWFSCIIC KQQNTFLQKNHKKWLLKPDDTIMIVWNVTMIVIVTINVFYVSLRISFPEIRELSLIGKEL IFEQIPVYIFFIDIILKLNTCIYFRGNLISNRKKIIKHYCAEGLIIDLVLVVPFFIGQLL NFSYLDFVIVLKVFQLSGLFSSLFNRLELTSRQTAIFDLFKMISFMILVAHFSACIWHIL GQWGEWGHEDGKTWIKSWLDRYVVSFYWSIVTMTTIGYGDITPVNLTERIFVIFMTMISS ATFAYTVNNIGGIFQDFSKQSVQLKNNMNQLNRFLRSQNVSDDLQIKFRRYFEYLWSKPS QKVIQFAELIPKSLKDQMIVDVNIKILNQISFFQQFSQPLLNKLCMNLEEKQIQSNDYLF TRNKQSLQLYILVSGEIKLQIQFKDKPKLLQRLDAPSFVGQLDFFNNQPYSYDAIASKAT KILHISRETLHNIYKDFPLDYEKYKQISEDIVQHKQLSHISVICNTCQSNTHFINSCPFL FGTPNRAKAIYKYRKFTPCDRKPDFQRHNHSRKMYALKHQFIVLESILNYMMKNEEFIHI EGFKELQMQTQQFQNNQFTFNQIPIGLSVHHSNNSVLPPLLINSSSKQSQSQRILQSVGN LLPPQVEDSPRTFRNNQIINTTNLRNYHKLSINHGSQSDLPKVEDEVPIIGKFQKKNDVE SLCVNNDNQQQQQLSQQQYHKQLNQQHQQLQILPQIQINQVGLRRKSVRLKREESSEDDN DDSDQIMGQHIDIFQQFERMQEFNNYYPHNNISIVVNKYQERIFGYMRQIKKRKSRKQET LLHLLKQAQGKQRL >CAK82657 pep:novel supercontig:GCA_000165425.1:CT868441:66964:68095:-1 gene:GSPATT00017028001 transcript:CAK82657 MGNCQCQSADIQKTEEMQPLEFKQENFTSFEDQQLQFCQAQQILQQETIKSSNETSDDTH RPNPQTVWQTQTSFATYNLIVQKLMDELGAYEIDDEEGYFYGVYEQKDGSLYKGCWHHGE KFGYGCLIYQDGSIFQGQWRNDKANGKGRMIYIDGDWYEGDWVDDLKHGNGKYVHNDGTI YQGEWKNDYQDGYGDEQFSDGSKYKGQFKNGKKNGFGHYIWVDGQSYEGNFQSNYFSGFG KYIWTDGRQYEGQWQNGSMDGNGIMKWPDGRKYEGQYSNDKKHGTGVLEWPDGRKYSGQW ESGKQHGIGEYFNGQISKKGQWNQGKRLKWLD >CAK82658 pep:novel supercontig:GCA_000165425.1:CT868441:68163:68688:-1 gene:GSPATT00017029001 transcript:CAK82658 MKQNRVSILTKQNIRYEGIVYAIDKIRKTVFLKEVKCFGTENREATVFIPPSTKITQMAE FNNEQILEIKKLSNNEFPEHLPSTLNDQMQSSQKEKIVSQILNQSCTYEMNRSPLPLINN TKKRYQMSQSPDHRKHDQKPTYITNNYLQMKKMEQFKKMLMFRKQR >CAK82659 pep:novel supercontig:GCA_000165425.1:CT868441:69770:72494:1 gene:GSPATT00017030001 transcript:CAK82659 MDSNLQDLIKNYAPLSDFCPDPNYQFDEFKDRLFQPFSRQEKVSKCCDFSKQTQVQKDLE DEYETVGQKVVKKPAQKKIGFVPQQQQQVVYQSYYQTGKKSKMSQAAKRVIVYKKCRFKD SISIKTDWISIHETQKQNIEKVQYSLIDIKEIQSIGTIKKYNKEFDKVRPNYEKKISIIG GEVISGSVQDDKYFKQLIAERQGTEELPTIYTTDKLLFAVQTLKYSIYPWDILVTKKGNS YIFDKCPQNRSDLTYLELQTINENITVDMPEDEKTVRNYCEESTIAQLSWQLLSTYNQPV LFSNSLQNDEEAEQNDQAEKYLFSEDLCFKYLEVSVKDRIEKAAKGEEVRAGQDRFKVVV RTTVEAENNDGQPVLVKSLNECETPPDWKKSLLSQAGITTNTAQVYNTNNIAKWLCQAQL LESEEIKVGYLARQNQKDQDKHSLLLVETFTQRDLAAIINFKSVEIWQSVRYLVDYLKTQ EDGVYVLLKQAYKQSIRIFNMTRIHYDIIWYIIKVIIINHYKLKFQIQYSQNLYILNQIK KRFQFFLQTIMARGPKKHMKRITAPKSWMLSKLGGNWTTRPSQGPHKLRESIPLSVILQQ KLKYALYAREVQMILADKDGNIKVDGKVRSDFGYPVGLQDVITIDKTRESYRVLYDVQGK FILKSIKPDEAKFKLVKVTQKKVGPNNVPYIVTNDSRTIRYPNPEIHVNDTLKIDLETGK IVDFIKEEPGNICYIIGGNNIGRVGLIQHRERHLGSFDIVHVKDSNGKHFSTRINNIFTI GKGKKSLISLPDDNGLYLTALEKKQAREHHEEQQKQ >CAK82660 pep:novel supercontig:GCA_000165425.1:CT868441:72495:72818:-1 gene:GSPATT00017031001 transcript:CAK82660 MKQQYYAPKPDFCLLEQQPQSLPDDGISHDSRKSLDFVFDATLKIRYDRFGNLIDKKKRQ QQVSFRDRIEKTQKLYDLIVFDYFKIEQIDKQKKQLRQNQQLCCKIQ >CAK82661 pep:novel supercontig:GCA_000165425.1:CT868441:72901:73460:-1 gene:GSPATT00017032001 transcript:CAK82661 MSQSVLWEVVKGNNAFLVKRNGLTLSTDPFNNTGVQTYSSTGFISKNAVGVVPTSGKVNQ INNINLVAKKSTKFQQADRKAKNTQSVYASTLAVKHGVHTASRVIRKRFGTSRLGLQKAA LRKLVKLNRANSVRRRNELAAAKAQKK >CAK82662 pep:novel supercontig:GCA_000165425.1:CT868441:73464:73978:-1 gene:GSPATT00017033001 transcript:CAK82662 MQEWPKKLFLAIAFISCFTCYARPDYNLPLFAFAYLLWDIDRPVSQKIRLIYLFVYSWII DFVWLVYWGPFWNSSAFSHNWADGIQTFVLVLSVINFIIKLGTIVVCILAEKECKDALHP ENAMAHAKNIFNSEGQHQ >CAK82663 pep:novel supercontig:GCA_000165425.1:CT868441:74615:81083:-1 gene:GSPATT00017034001 transcript:CAK82663 MSEVICTVSTLDDVLVLKSSASLITQPSFTSDYYFKVSVQLNAGIWYLLSIYPTSVDSGF YYEPIILRTISSLDDNAMIYDYNYRAGHLNVDELPPSGMTITFLVDQDLYPNYDDPGAQY VITIFITPRENIKGAQIELQIVQGQHTDGIDDTDFKILSKSCWSKFTSYTCTTTEKSIKL ITQDDIISNTQLQIQFGVENPGFVSQRDIRVVTKSPTQGNRIIEKGFRSNVFGVTTIPTQ LEGVQFLWGIDSQEDLTDTQIGVFKLENAAVMKGPYNSIKVSFSVSASANLVFPLTVKIK FNCIYALSYATKHNFQSYNDENPVYCEFVTDSILCHNVGTLSASSVYYVSTKALYDASSS ASEFGKLSFYLEQSVTVNTLYIYGENPGITISYLQDSHYLDLTGWHNPSGGLLGQTQVTS IPTDTLTTLDAVFGSDGTVGIVNGDKMLLFFMKTSSTQVWDATVVACPSTAANYIKMTIM MNSMVLHSDQQNAPKKLQYIANDGGGVFSDEQLICIQKGYCDIGNQNTYSIYSSSNTAYG IATFISGGGCVTNFINENNNFIGTSGSTPGQQGVIGIPVTFSTNFVQSSQYADEQLLDFI VNFYKVDSSGQNKISQHLINAYTISRVNDLHVSFVNYYMGTTVGNDGSFIPTLLRIKVPQ LSVSFDKVQVFFDSKIQLFSSYPDCYKFSAQNGPSEAWMLHQSIICDKSNQILIPIIPKG LTYTLHIGLTSQIDGILTNIPIKVYRPFGLPVSGETRKYTPSVFTVESSDLTFPFVRTPF LFDMHIDATKFQDGSAYLDMEITTSSNSNVDLEQNQNSHIGAGLTLTSIDVNLFDEATIE SYFGDLQCTQFVYNTNIYTTFCPFDTQINYPLQISNQVVILYGRYSKIGSFVSYALSNSK GILQAALQDSGVIDRQLYTCKLDSTSQIFANSLGQQRLQFPIRFSSSMKLGGTNFGSIKI VITLTLAISGVNVVTSTNLCTIEGVNNIACAYTSTATTITVTLTSSVVQVIQANTVIKLT FMIQSTYGLTSFGTNQPFKVQIYVPTIRGGKTQPYGDTYDTPAVLQELCTYSLLNLQNTQ LGKIFYIGNLRLETPTSNGVGKLIFDFKLQSAREYLSSSKQYIQITLGFLRDQNMESNKL KCQIYEGLQLSKLIYSVDTTTMPNIIIKFNQDITNPHSILFTMKCKNFETPTNTFSTESL IGKLIDSVGTTIIQSSSPIGYSQLSTITYTPKGQILLQSKTLNSPGFDAIYEFAITNADL KVLLTYMIKLQFHDGISLSPYVSCQLNSTFCYCTLKDGIYIINVCIVIKPTELAILRITL PQPNRLHWPILPSIYIAIDKDDDFTNGVYMQEILTDTLQDEYQTQPIEVVDTTLSSYYIR TSQTHKLSLLLPSGSVMNGNILFVQFPSFYMDPLSRATATTCSITRDDDNTKTNFTTTCT VITEQYIQMILSEDSANNLIKQYTIEIGNIPLPEASVPEDPTNDYRLKVHIIQSGNTKVK FTSAFYYSSPVSLIQEDKQDVIWSGGQFKYERDENLNIIDETSKIINIFIGTYRTLISIQ PTSQSSFQETFGFQKSILKTFPSSLSANSGSKNSQFLAGADPNITIGYSVFKFSKLTGGS NYVSVLPNIYANIVNSPYQLTTDQTLYQIPQGGTSQPITINVENCFPMSDLRIQLGNDNS ALNVISNNPQNIIYSGKSQYKLYFDKYEYIWQVNNNDTVLEVGTKVQLSFILTGVSAPYY SPPPNITIEIIASPADFPVLEFDSQPIAYKNDIKFAFKCNNIGTLFWVLGCGGDITYIPL EEIFNQTIYQGYSRTQRNVSDLEWKIYGFQLINGVAKEHFSGLKSFSDYTIQAYCADLVG VSSEALIYNWQTRFNGGNGIKLNITFSSPLTSIQQLELICGINYIFTIDYNRITDARGQM CNIETLEILSLSSNDIASTLTGVLTSYYIYFQPDQSLMDETINDVVQKALSDKKSFISLL SNKMSLDPEEDPTISDAIYSEPDQSTKPTLNYTKPEVGSVNATMNFTLSKAKGYVGIGIE QTDTAQIPSIAQIRKSINYLGRSLHQSFLLFFNYSSAIQNQTQQVWFTNLSPNLKYKIYW FYSNDDTGSLAKVSNVSYAQIQTSPSQTYSCGRIHYLLLIMIMILIH >CAK82664 pep:novel supercontig:GCA_000165425.1:CT868441:81332:83647:-1 gene:GSPATT00017035001 transcript:CAK82664 MVMLQVGFCLIFYVLRVTKINNMLAQLQEFFNLSELMNDLVNLMKVLLVIVSVVHNFGCL WHGIAHFNPSFTWLDAYNYRDRQIGSKYNVAIYWATMTMTTVGYGDITAKNDLELLVNNL TMFIGSIVFAYSVNSIGILVTNIYKNSQEYSKTVSLINKFMVKNKIEFDLQTKIRSYLEY IWKEEQEQNDDQVGDVISKLSKQLQEELQFQLRGNILRKCKIMVDTFSESLIKNLLQLME EQSYSPDERIISVNELDDSALYIITKGDVELIFEGAEMKDKVKRNTFKNYTQYDSFGEFS FFSGNPRTATAISRGFTRVFKIKRQVFLELLKHHPNDQEKYVSIRESLRFGDYSPLQQQC FGCQSKRHMIVDCNYVHYCVDKDRVIKQETFPIQQERRNRQHRFTQKYNARINLSTIQTK AAKYTNDVSFTMTQDFDSPTLVMHDIFEDMEIGQNQIIASLDDIKPSAASIKEDDQQQHQ QQSMISFKNNLSKSALQTFSIAGFGNKPNQKKKILKISEEEDISDESKNQLETTPSKPNI MNVKQTRRSVMTQKGTATDARNYRASIDLKMMGNPHQSEINSKQPTNQSNNAKSLESSPL RPAINQRHPTLVTNLTQNNIPSSTMWVNQVLLGFEKMQIFQSFQPKYNYDQVLKSRYAKL QKFFGKKRLLPEFSVYSFFHEAISKGAKLRRYGEFQKVKRSPQVTNFNLTNRFMKSNFAF SMQSGNYMN >CAK82665 pep:novel supercontig:GCA_000165425.1:CT868441:83665:84429:-1 gene:GSPATT00017036001 transcript:CAK82665 MSQHSLEGDFKGPIPFLLSSRIGLQDGDLQPQSSSSLNAQSPRLFLSSQSNLHKKLNVLS ASIEIECPVNVKPNFMKLIVAKSIQNNFIKNLINRSYVRQLHQLTQYQISQLDDLQFSIE KTEVNKNQQIFGFINVFTPHSKFLIFWNLFQIITYLVIFFWLPFKISFEIYHLSQLYTEQ DSLTVEIILMAILALDIAVGMNIAFIDKGQLIKDRQKVILNYFQKNAFVDLVQIHKLFSW CLLELQQFSFLCPI >CAK82666 pep:novel supercontig:GCA_000165425.1:CT868441:84528:90581:1 gene:GSPATT00017037001 transcript:CAK82666 MLILFLFTLCYAGTIWPGFISNTIANRNQYSDYTIQVIPETNVPAGGYIEIVFPIQYQSG LGEKAYCTNCTISGHTISFYFADTPILSVANTLYIYNILNPAERGGTGNFIIRTKRFEFI YDENLIFQTIGIADDIAQLSSCIVSFVDSPTSGSLGKYNFAFKTNINLPLGTTIQLFIPN VFIISDNPSCSLYSINDLVIEGNVVCKLLDGSRVQVSGFSNDITSGSEVGIQISLTNPSY SQVTSAFTIAAYRYGTSIIYTWKTGINGIQILPGLIKDISLLPLQKVRLATDKIVDYQLK FLPTNSLPAGSAIMIKFPNTFTLETGEPYLFFKSGLEDISESSPLQITALGLGTDILISN YKPTLPNQIIIQFRARNPSTAGPSSALDIRTCTDNTCANIIDQNKVDAIIQVEFVASLPF AQVALSPSTSQQTPTDITFTIIPTFVIPGGAYIKVLLDSAFYVGTVSAANCLSSLMIPSI MCNKSDNLITWQNPASVQYNVNLAQNFYINNLVQTPTYSGTYTVDIEIYNSQGTLLQSYT NFMVVGPQVLASPTLEFVGPEQSVVGTPNIPRYSILITTFYNQIVIPEGGYDSTKQKAQG RIKFQFPANSNYLNNFIGTVYKDGDQIPCKAVKGLLPMENLKIQCNIYYNAGGAFIIMRN FKEIPYRSNIEIHIPNIQNPAAATAGFTFTILQKQFGVDTDLNYFTITQTFRTQFSLNPG VSVSQMQISNTKLSGVFNVSMDIVPGNDFDDGTDFIVKLPGYDTMFIPDEEIVVCYIDNY LTPCVTYPGVDWILMRIKSPQVHYYTAPVKPKLHIYNLRWPRYSDSVGWVLWTMLKDPFS SGMNYEVEARTLYYNGGTDKFPAPDVNDFDEAQILSPKKGKGFVGLNFEFYFKTKYLIPD GSEFILTFPIDFSLDGSYPQPQFQAPQFYSQSDSKPLLFVVSGNVLRVKNIHEHPASGPF RIIVQGVKSSKQPSIPQAKPFLAELQVGGKSIVKTQDTINGFDYFDYGTDFVPGKVIFNS ITAFPSNQLEYASYEFTFILTNELLAGGEISITFPKEFYPKLPIPEVDCRCNVTGALTSF KSCKLWDYTYIMITDERYQSGEITFQINNVLNPLSGNAGLFTITSKYDGTFLDISDDTLQ TVRQLFISPKSEPVLVQSIDFAPRNEGEEATYTFQFVPSTYIDSAFIVLEFPQNYDTRLG NTVICKPYDCIAQNRQIWVSGVTNYLPLGTDPIIVIVSGIVNPNQNVNDQWTGYFGIGVI KQNTYNYIDYNSKAGRLQVVQAPGWSYLYNVLPQNLYSRWSNTSYMFNMTALLYVPKESS QGSIIIDFPKQFDIPDGSKKCAVHKSTFAQKLTCTSLTNSITIQGNTEDKNGIITFNVTD IANPSDEVTIDQILVKTYDGFKKQIIEKSYKNLDPWTFTYKFPGPLITINDDSVITVERG TQTKDLWFKVAYPCALDLVFTPISELTIVPNKIKLSLTDIQQKFRVSASQTLQPGTYYIT WKVSGELADVPYYTPVKKSTVKVTNNKNIRVNVPTLNEVPIGGNSLDTYVSVDYAPDLGF EILLTSLSTALTLDKRSMQFVAGQNNATFRVSCNKTEYQKVSSNNLQISLTLSGINKEVY LLTQTRIDITIIQEDSEPPFITGLLNDQTSQTQTNIIFSVGEIAVVYYMIALQGTQQPSN KQLLDLGPALYNTTQSQFGKVSIGKTNTATVRIRNLRAQTNYVVYAIAEDRSSLFSDIKS LKFNTTNRFNASSVTLTFKQSYLNQAERQNIMKKIAFVLSMPPAKVIEKTTYTTQRLLQS VSIGLSIYLISIPTTENFPSPYNMVAILNSRLTQLSKLVSNLDTTTKLVPQDFSPVYPSF MTKPSQVSSDFTTCTVQGTLDMVGALFVISVQAAQDTASPLAQQVWWGYNSQNLVMPANW AQVTVPNQAYQIIIENLTLDTDYNVYMIAGSAHPGYPDLSTEEKAVNLVSCRTKAQQPDT ILDINWAKLLIMNILVLITF >CAK82667 pep:novel supercontig:GCA_000165425.1:CT868441:91396:93111:1 gene:GSPATT00017038001 transcript:CAK82667 MNLPKISFFDDPKSQLWIKEVIQMSDPIISGTLIRNSKKGTQKEINYFVQDGKLANNKKF IDLQNVTLDKLKNYGFKLTRNRKSVELFAHNEQAQVVWYDYLKSFCIQRGFNNVYTINKL IGKGNFAKVYSAQKKSDQSQYAVKAFDKLKFQDIRIDKPALIKELSIMRKMDFRGVIKLY EVYENDNYIFLVCELLEGGELFNQMKGKAYDEKTVANIMYRILQSIDYIHSIGVLHRDIK PENLILRSKGDMTDVVIADFGLADYYSPSGDYMFKRCGTPGYVAPELLQDKIYDFKVDIF SAGVLMFIMLTGASPFKAKSYDEIVMKNYHCQIEYSLINNHPLSEEAIHLLRALLEKNPD LRISTELALQHQWFQKQADYQLHLEENLPRHKRNSDLYARTPLMGQELNQSLTSTPLSVT PQMRSKSNTADINREDQSGNTFRQQSQSVKVQKQECILEENDYNVNEEDDIPRSNQIKMY QIQPKLKKNQEEQQKYNVDNANNIRGSLKQAF >CAK82668 pep:novel supercontig:GCA_000165425.1:CT868441:93571:95508:1 gene:GSPATT00017039001 transcript:CAK82668 MKYFFLLALVLVVLSREQIEEVDGVLQLTRKNFQQAVDENSRLLVKFYIDTCGYCKKMKP VFIQLAGLLKEYGFVLGEVNVHENKALSAKNNIKSYPTLKLFKNGVVQDFPNSSDSVELL FEFALQNAYDQITKLNTQDEIDLFLKRTNFAVLKYVNNNDDLQELVNENLGIKFGIVENP ELQQAHPSKYTLYHKELPEPLNYNGEINGLLEWILKNGYPEVITLTEEEFVKAEREKISL IAVADVKNSQIHEHFKTLTKQYKNQIRFVLIDPNSKLPNKRFQYLIKKKAVAKNAIYFYN YETNKTNTIELVGDSLETLKKLIGTVIIESSIQQEAKPVDSGAFFQGDGQVHVLTTANFK HQVYDNPNHVFVKIYAPWCGHCKKLAPAYEELAQQLNRKDIVIAEVDFTADRIEGIEIEG YPTLLFFKTEGGQKKKIEFSGERTAEGMKNFILKSLDSDSKSEPESQLTEESQDVQEIDR VDIPNEGQVIQLTRENFEHFVLRSKQDVFVKFYAPWCGHCKAMAADYVKLAEEYKDSKNV LIAEIDATAYKIPIVEVKGFPTLVLFKKGNVRVKQVKFSGKRSAQGMKTFIEENGSFAQK KDL >CAK82669 pep:novel supercontig:GCA_000165425.1:CT868441:95908:96774:-1 gene:GSPATT00017040001 transcript:CAK82669 MSKKSTYVSIGREIEQARMTKSSGFSDVLNEKELRNRATSLLQKPQLKKCYKSKKDGGII KPNKMPEQLKNTFIDKLNLSNLDIAAPPKSAVSHQSSTTTQPLCFEIDFDWLDYCDEQKF KQIFKEDLKDIPDIEQYVEDNQSYLCHIFAQCACAKCTCNKCICQYKKKLELNYAYGMVT SNRFDYVPKQNKCFTPIITQSHYDNIGLPVASMQFNSTQRDNYKWIPSDRPRTAAKSMYE SHNLPTGQTTNNVRIFIQQSCSHSSIGRTIIPIFLRLRNFEPLSKQIQ >CAK82670 pep:novel supercontig:GCA_000165425.1:CT868441:96802:97671:1 gene:GSPATT00017041001 transcript:CAK82670 MNNNMNQFCRVNWPKGLKSFKSKSIRLSQEVVSYLKEDGILLNDVWKRPPLQDNGTKRFP ELEQLVNEILDDFESVFIKLNWRAPLDCQNTFQDMCFQDLYDIMMALKYSGVIMEMIEDY DEQVIDQNHPEKCQLVAQPSQGYLLELKKYYKLRPNAEFRCFVKNKKLIGISQKNLYLIT EDESVKDKIQNYFNKIVDLIEIDNYVLDVYIDIPPKENIILVDLNPWQEHTRPKLFTYEE LDIFQECQLRLVKNKDMIVQEDYSGYRESVDIETLIQQQQQEKQQLQQQ >CAK82671 pep:novel supercontig:GCA_000165425.1:CT868441:97672:100122:-1 gene:GSPATT00017042001 transcript:CAK82671 MEFVTALVLIFIACGCGIAWAIFNWIAVHKIEIHHKHEGLTEKLQGAEQEKIETLLEIGE HISEGAQAFLKEEYTDCSVFIAIMAVALIFVSPWSTLAFVLGAATSMLCGYLGMAIATAA NFRTAFCAIRSLSDAFQMAYRGGCVMGFLLVSISLSILSLIIIIYNSVLVEEGDLDTLIS MFDYVAAYGLGGSTFALFGRVGGGIYTKAADVGADLVGKVEKNLPEDSPKNPATIADNVG DNVGDIAGMGADLFGSFAESTCAALVVSSTQLRAIDEGVLNISIGQLMYPLMVSAFGIGI CILVSGYAVFIQKVTDIHKIESTLKQQLLLSTVALSPIIIGISYWALPADYVMIQADGTT QLENLKPWHAFVCSLMGLWSGLLIGYFTEYMTSHSYTPVREVAKACGTGAATNIIYGLAL GYLSTIVPIISIAVTALLSMKLLSFYGVALAALGMLSNLTIGLAIDAYGPISDNAGGIAE MSELGEDVRTSTDALDAAGNTTAAIGKGFAIGSAALVSLSLYGGYLTRIQTYKNKDAKID DPIIFAMLLIGAMLPYAFSAFTMKSVGKAALQMVEEVRRQLHEHPGIYAGTEEPDFRACI AISTKASLKEMIPPGLLVIVTPTAVGLFFGPQAVAGLLPGALISGVQMAISASNTGGAWD NAKKYIEAGFYKNDAGEVKKKGSDEHKAAVIGDTVGDPLKDTSGPSLNILIKLMAILSLV LAETFCKTGWLYSE >CAK82672 pep:novel supercontig:GCA_000165425.1:CT868441:100404:102823:1 gene:GSPATT00017043001 transcript:CAK82672 MLNQVLQVIGLQKNEEQQMEAIYDDFQRPPKISIAKMHAAATKFAKTDQNIDLDKTNVCP CCGLPAVIEEIPLCSSRSQFSFNGSGIALYFDFLVFSGIIVFTYIAISCGYNIYVNSHGN RCSNINNSLADKCKTDFFNQFSLTNDHEQLNETRSILNFISFVVIVFMTLFYRRHINKIA MELDDAAILASDFSIFVENIPREAKEIEIQEYFSQQFQTEKVEFRKLCIAYEIQDYIKLN TQKQLKESVLTKVLEFEAEGKSIPKSIPPKTQLKLEIDKISKDLDDMEDNRANIFKFSGI CIISYNYERQADNVCKTFKATRFQILLDQLGFEQNQFQKFRNNNIFVKKAPEPGDVIWGN LGITIKEQYKRKLITNAMTLLLLAIGFGLLLALSYTQNVINQNISKGSTAEAALVTFIGF ASSILILVINTILAKMIIKFAELEQQATRTDYNISVAYKMGVAQFLNTAILTLIINLFIS GNISSLDQAIWQTGGLNSDVMLIFITNSIMPWLTQLIDINYFYKLYVRQKIIQQGENCKY TQNEANKAFEGPSIDLSQKYANICKTLMFTFLYSALLPIGVCFTFISIVCIYWAEKYLLV RRDSKPAPTGSAMAEAMVDFYIELILLLFSLGCTFWEWVNYDKVHILTWLQLGLSTLHYL IPINKICGCIVDLGIDNATPSSYDANYLTFYDDYDRRNPVTLDAAKEKWIKLHQEIVQPN PKIKTNNLIQKYKLLNHITQGLAKIHPLNSKNIKESDEIQELQF >CAK82673 pep:novel supercontig:GCA_000165425.1:CT868441:103572:105994:1 gene:GSPATT00017044001 transcript:CAK82673 MLSQVFQVIGAQQTEEQQMEAIYDDFQRPPKISIAMMHAAATKFAKTDQNIDLDKTNVCP CCGLPAVIEEIPLCSSRSQFSFNGSGIALYFDFLVFSGIIVFTYIAISCGYNIYVNSHGN RCSNINNSLADKCKTDFFNQFSLTNDHEQLDETRSILNFISLVVILFMTVFYRRHINKIA MELDDAAILASDFSIFVENIPREAKEIEIQEYFSQQFQNTNVELRKLCIAYEIQDYIKIE YSKIIEGICAYKTEGKSIPKSIPPKTQLKLEIDKISKDLDDMEDNRANIFKFSGICIISY NYESQADNVCKTFKATRFQILLDQLGFEQNQFQKFRNNNIFVKKAPEPGDVIWGNLGITI KEQYKRKLITNAMTLLLLAIGFGLLLALSYTQNVINQNISKGSTAEAALVTFIGFASSIL ILVINTILAKMIIKFAELEQQATRTDYNISVAYKMGVAQFLNTAILTLIINLFISGNISS LDQAIWQTGGLNSDVMLIFITNSIMPWLTQLIDINYFYKLYVRQKIIQQGENCKYTQNEA NKAFEGPSIDLSQKYANLCKTLMFTFLYSALLPIGVCFTFISIVLRRDSKPAPTGSAMAE AMVDFYIELILLLFSLGCTFWEWVNYDKVHILTWLQLGLSTLHYLIPINKICGCIVDLGI DNATPSSYDANYLTFYDDYDRRNPVTLDAAKEKWIKLHQEIVQPNPKIKTNNLIQKYKLL NHITQGLAKIHPLNSKNIKESDEIQELQF >CAK82674 pep:novel supercontig:GCA_000165425.1:CT868441:106632:109054:1 gene:GSPATT00017045001 transcript:CAK82674 MLSQVFQVIGAQQTEEQQMEAIYDDFQRPPKISIAMMHAAATKFAKTDQNIDLDKTNVCP CCGLPAVIEEIPLCSSRSQFSFNGSGIALYFDFLVFSGIIVFTYIAISCGYNIYVNSHGN RCSNINNSLADKCKTDFFNQFSLTNDHEQLDETRSILNFISLVVILFMTVFYRRHINKIA MELDDAAILASDFSIFVENIPREAKEIEIQEYFSQQFQNTNVELRKLCIAYEIQDYIKLN TQKQLKESVLTKVLEFEAEGKSIPKSIPPKTQLKLEIDKISKDLDDMEDNRANIFKFSGI CIISYNYESQADNVCKTFKATRFQILLDQLGFEQNQFQKFRNNNIFVKKAPEPGDVIWGN LGITIKEQYKRKLITNAMTLLLLAIGFGLLLALSYTQNVINQNISKGSTAEAALVTFIGF ASSILILVINTILAKMIIKFAELEQQATRTDYNISVAYKMGVAQFLNTAILTLIINLFIS GNISSLDQAIWQTGGLNSDVMLIFITNSIMPWLTQLIDINYFYKLYVRQKIIQQGENCKY TQNEANKAFEGPSIDFSKKYANLCKTLMFTFLYSALLPIGVCFTFISIVCIYWAEKYLLV RRDSKPAPTGSAMAEAMVDFYIELILLLFSLGCTFWEWVNYDEVHILTWLQLGLSTLHYL IPINKICGCIVDLGIDNATVESYDEKYLTFYDDYDRRNPVTLDAAKEKWIKIQQETVQPI SYQKTNNEIKQHHKINHIATVSTKVHPLNTANSREMDDLQQLQV >CAK82675 pep:novel supercontig:GCA_000165425.1:CT868441:109661:110175:1 gene:GSPATT00017046001 transcript:CAK82675 MSIFREKQRTQLFIIGKDFTTHRRQQKILIIRKLNQKQLKQQVYQQLQTAVKQYYRVQNL FHKNYFKEASIKIIKTQKTYPFRSADYHCKTERQQFQEQESFASYIELKLNQLYTDSIDA QNSLRSKQQSCPNSLLQSYLINTNADIIDISNQKMLQIRKYQK >CAK82676 pep:novel supercontig:GCA_000165425.1:CT868441:111232:112040:-1 gene:GSPATT00017047001 transcript:CAK82676 MNSSIQTEIGRYTDINRIFETVQKRGYLTQLTAFLEEVFTNEINFASQRLKEVIGAMQRQ GQKVEYSIQLNRNIQIFKSNFDLILTATSNNVWNQIVNSMNLISDETFQINNNKSEIISP ISRKIDRQSEPDLKKMTMSQSYVSRSPSKISTIKQQQTIPLSEMSIQMRASPTTFNKAKR QLDNSLTTSSPGVGRYRTEVAEKHIRETSPNATIGKSAKISWIDEKLQKEDTHSPGPIYN PVKTFCSKRVK >CAK82677 pep:novel supercontig:GCA_000165425.1:CT868441:112100:113077:1 gene:GSPATT00017048001 transcript:CAK82677 MTQGKNKKLGKKKGQKKTIDPLARKEWFELTAPVPFSAGGFGYTCINKSAGTVVATEAIK GRVVEASLADLQGQSDQMAWRKVKLVIDDVEGTRCRTSFYGLDSTKDKIFGMIKKRQTLI ETSVEARSSDGYILRIFIIAFTKGIKNQQRKTTYAQRSQIKDIRKKIVEIVLREVSKKSI TGLLTYFNQEILSKEIGKATRGIFPLQNITLRKVKLVKRPKVDSQKLREFYDDSQRNKNT VRRHGQAEDQSALNLIKQGQIEKEVTQEQQ >CAK82678 pep:novel supercontig:GCA_000165425.1:CT868441:113147:113858:1 gene:GSPATT00017049001 transcript:CAK82678 MSQQAQKGGKGAQPKTDAKPQTAAQTKVAKPQETKENVMRKIRIEKLMVHICAGESGDKL TKAAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDQARDILVRGLRVKEME LKKRNFSESGNFGFGIQEHIDLGLKYDPYTGIFRYGLLCCVVKTRIESCSKKIKKFKIGH TIKSDQKGSCRMVQINL >CAK82679 pep:novel supercontig:GCA_000165425.1:CT868441:113860:116502:1 gene:GSPATT00017050001 transcript:CAK82679 MGCGSSQPAAEPDKKSKSLKSASTSEIEDLIGKKIPKLLIQAEMKHRFTADEEAIKSDLI SLQPPQENDNVVARAQKKMQKKYRGGEDVEGQIIENVKRVEREMQLMLISIIKSFKSHFV FFSLPQDSLQKLIENMFYCTIKAGEFVFKQGNQASAYFVIERGQVEIIINENPIRVLKQG DQFGEIALLYNATRSASTKALTNCGFWSLERATFKKTIEEITLKEYDENRKFIDQVQFFS FMTSEQRDMIGNALITTKFNPGQNIVNEGDQADSFYVIKSGQVQILKGDKLIRKMGAKDS FGEQALYEKSVRGATVKAETEVRCVALGRENLTKILGDKIQLIIFNNIMRWSFEKSEILK QLTKIQLEKISQKAKIENFKKGQVIFEAKKPCDKLVVVLEGVLINSKQESVTKGSCFGDQ FLQKERYGSPLECAFTMVGDGVLATITFQALFKIFGGDLETALKKNENSHEKKIQQIGKR EDASHIVLEDLIYIKKLGEGQFGIVYLVKHKETKKVFALKSVSKASIIEQNLEKHITQEK TVLEQINFPFIMGFVRTFKDDMSIYFLVDFIRGMELFDVIRDIGLLQKPETQFYIGTMIL CIEYLHSKSIVYRDLKPENIMVNAQGFMYLIDLGTAKPLLKTKACRTYTIIGTPHYMAPE IIAGKGYTFSVDLWSIGICMYEFMCGGVPFGEELEDPYQIYEEIMNTQIKYPTFLKDRHA KKFMEQLMNRQPETRLGASYSALKAHQWFDDFDFDKLFSRELKPPYLPKPENMVSEQDIQ KRFNQNKLVLQEIKNEQDSHKIKYNKHLAKDPNWDKDF >CAK82680 pep:novel supercontig:GCA_000165425.1:CT868441:116604:117857:1 gene:GSPATT00017051001 transcript:CAK82680 MSLLSKFTSIFGSNYNTQASDTPLNQQLQEVLTQILKANNKIDLKQKSELLAQYLLEKEE HIEYLFSFLQTTSKDLNPLSVVHLLSVIHQQFQYNELIQDVAIKLRETKMPWIQLEKHEW QSTKTEPDLPKKQQSQIILTEFEDSSRPAAIYAQLCYVYLQRLAANIDLYRAVSKFMYPY VSDKDQIEPKLMFLWHYKIQNLINSGLILLQYDQELVEIQTALYQDVWKFQKFICVEIEK IIDQYVTLPSSDTLSLYEIYQESTKHYEILLKFHQFTQTTTEAPRECHFNNVNLQEFLGF VSKLKLLNLPNFKKKIKVPNKGDPGMGVPTRNPKVRHLKHQSNQFLVNDTDSSDSDGVAD INPQIIQKIKNKTVHLRGESTFQQKYK >CAK82681 pep:novel supercontig:GCA_000165425.1:CT868441:118066:118922:1 gene:GSPATT00017052001 transcript:CAK82681 MDIALCQNPNHDSQRLKYICVDPNCNVAKRIGCADCFLDDHITHIRKTTAQFKEQVSQSI DTISQVEFSKANSSPQKDLDCQIDFELENCIENIKSRFLAIKNDLKSQIDSDMLKVQDNC SQFKQNMNNELNPFKLTIENELPSLNQYELNQLVKFYQEAPKIHKHYSKASELLQDEKSK VKQKKQKHLNKMKSIIQVLLKEFNDLMTTKNVQIDDCSEYETPQKYTMSPNKMINLVETA RSTRRFPMSQSQKKYFLSAVTKKLD >CAK82682 pep:novel supercontig:GCA_000165425.1:CT868441:118963:120016:-1 gene:GSPATT00017053001 transcript:CAK82682 MNNQGKRNLPSHLQYDTVSPATKLLEKRRKMYEVHEAFEAQREEFQKQEVKFKLEEEKIR SKDMEIQESLIKFCKFLQDNEAKKKRAEGRLEEEKRQKLQKEKEIQELNGLLQELSKKQQ RLEKKVTSMKKYEEYLDSVAKQYPEQYHDMSSILERHSTLSSQNTKLVEEHSSMENLYEK LKYESTQYEKDRNHEILQFNNDRRNQKKKYQKEINQYKLQKLQQMKHHQRIQVQEGFQWP LIIYLIDIKHELEEAKQENNKKDDKKLKKEENKKQQNKIEEKKEEDDNYEVKSQQAIQKL KIISLYLNDFKKIIFGCKEDYKKAQNK >CAK82683 pep:novel supercontig:GCA_000165425.1:CT868441:120154:121664:1 gene:GSPATT00017054001 transcript:CAK82683 MLIYKKATPQELQKYRDDQVKSWKKEKDLQRLNESQVNQMLDLFLLFASQRTFTIRTKDV EAQNTLLRVNEESTLFSNVLSKMNLVDEDLNFIDFLKLYLQCIGEAQQEEKIKELFTTLS AKGAINLEDLKRLAQELDHYHLKEEDLNEIMKVVSGNGQEITEEDFIRFYTQIIIMIKII YRFSTQQINYYTILELNATCTQKQIKQNYLKLAKIYHPDVYKGNDANRFKLIQEAYNTLK TPEKRQSYDQTIFKERAFANQERPQSSESEENTQKNEYTAKQTKDGINFTFTKKEYKDAT EEKTVEDEFRKFMQEPLKINPEEVKVYENVVARQMTKEHKAQDEFIIAKENKWKIFFFKA HQIGYSQQVKEYIKGINQQWQEKKNDTEEMKQQKRQMQKRIANGIFFIFIGLSLPFFYSA LARRQEIDKDFKEDLDFLYKVADQMDYLEISTRFVY >CAK82684 pep:novel supercontig:GCA_000165425.1:CT868441:121731:122124:1 gene:GSPATT00017055001 transcript:CAK82684 MSNQVNFGEGKINENYPSSIKTMLEQGKDPKDLLIQYCRPQCKWYDDKYDRCVKAFLSLK NADPEKNCMYPYRDLVTCVEACVQPKIQHALKGNEHGFIFH >CAK82685 pep:novel supercontig:GCA_000165425.1:CT868441:122262:122829:-1 gene:GSPATT00017056001 transcript:CAK82685 MDQISEKRRQKIESRMSEQDLKEAQQMDQAETQESQYKLIQQIKAKQSQYNKGTIYVAIL VSVVTALFQLLGKSVEYVGSKPQLGQIWITAQMILLIIHYQQTKKLDKRLNNDELSSTLR SLVGSNQFILNILKYLQLALTFFSYFKNVVVGLAISQVVFILIISIA >CAK82686 pep:novel supercontig:GCA_000165425.1:CT868441:122854:123512:1 gene:GSPATT00017057001 transcript:CAK82686 MSSKNYKLIHQKIPNTTRYRDDQLPINNKYYVRLTQDSTRVLDLIDKDKQIPHLSMIDRF WGQKTQRLPEPIHQEEKHVQLPQEIIPKEEEKKETLPQIEQKTETPLKKTISLKQFKESL LFDERTSSIPKTTIQNHIIEDLIRDSDIFLKKSEMIEQVQSLKRLKVLKKYEANHQNLPG VGKLPYIINDYHSKSTNPGYSRNNKGNFFTR >CAK82687 pep:novel supercontig:GCA_000165425.1:CT868441:123547:126538:1 gene:GSPATT00017058001 transcript:CAK82687 MSRPSASTSSNSRSSRSRYGKEVKELQDALNQNKIESKRDAIRKIIDAMTRGKDVSMLFP DVAKNMETANLELKKLVYLYIINYAKIMPDLAVMAINSFRKDARDKTNPFLRALAIRTMG CIRVKHITEYLLDPLKESIKDEDSYVRKTAAICISKLYDVSPELIDEQGLLKLLENLLND GNAMVVANAVCALLIVQESKGTTMLQLNAQTIQKILTAMNECNEWGVIYCLDALALYIPE DGKEAEAILERVSPRLNHSNPGVVLSACKIMMKFLDYLQNPEIIRQNALKMTAPLISLLS LGKEPEIQYVALKNINLIIQKRPIIIEKEIKVFFCNFNDPIYIKLQKLEVLSKIANQDNI QQILHELKEYTQEVDVEFVRKSVRTIGRCAIKLEKSAEKCVTALWECLKTKVNYVVMECI IVIRDIFRKYPRKYEMILKDLCENLKSLEDPEAKASMIWIIGEYVDTIENADDLLSNFIE NFKDEPANVQNQMLVAVMKLFLQRPVDGKEIIHNLLKVATTECENPDVRDRAYIYWRMLS TDPELAKKIVFSERPPISDASYTLENELLDKLIENIGNLSSVYTKKPESFVKKLREVLNS KMQDKPEEVYEGEQLMDGRPEDYSDQQAGPQSNVYEGNYETSSQIQQQTNAQSQIQQVLQ NIDLLDLDDSQERQQQPPPSSQQQQQQQQQQQQQQQLQQNIKLPFSEVLSSITSGAQQKI VGLQIEGAFQKNGDQIILELKFANKTQDKTFQGFGIKFNNNPFRLQPDSIEISSPPIQPG QSLITQIFVNLNGPACQDAPQMPYRIQVALKTNLDVFYFLIPMSLSVLFSSNGQITQQKF VELSQAQNYARKNEILQLQVDYQKMREKLERNYLYLVGIRKDDKGVELLSYSVVLANGMS ILINVIHTTNAINLQLQAPHPTLIPLIFQALGFILTIN >CAK82688 pep:novel supercontig:GCA_000165425.1:CT868441:126551:128253:-1 gene:GSPATT00017059001 transcript:CAK82688 MSNEIILETVRELLPDIEKEIREQVNQELQIEYQYKFQQEIDSLALFNEEQIRAELEQQI RIDYEQQKEQLAQDVIREFDDSLQRGQLEQQLRFKIQEELEEQINEEVQKKSKQLKLQYK KRIEQEKNQLQKDFEQEWKQRVDAEKKRLEREKSEIARLKSVEYVKLKKLQDEQKKHSSL LKEQETKYQDIMKSLQKQIQELTQQLESALQDQITKEKLQNQSENFDILNLDKQMNIPFS KQDQIYNQKSIPIYQHKQITEEECQESQQTSVNLNNCKQQQNQRANQQQNSKNQKYLKKK QPTQYENQLEKGIKQQKGNMEIQKPQVWDQENNQRQMNRIDKIIKEYDEIPAQKEQFMSY NSLFKENDLDNSKNKQMKQLRQKVAEDQEKDQRLQTKYLGLQINIMNDFIVNYVEYPEYH QVKMLLQDIIELFNQWMISYQERIEFFKRIKQIANSNFRDIIPFLQTQIHKFKLIQNYYS DFQQRFNLKNQIMANYSQFNKCKYLFEQINQLNLKSINLSVLGCDFQEMVQLDQWEKDFL EREELKSQILCKIQSKLI >CAK82689 pep:novel supercontig:GCA_000165425.1:CT868441:128278:133196:1 gene:GSPATT00017060001 transcript:CAK82689 MELKSNFGGKSNLDQDRSAIDKIQNEVKMIFFKVTQQLLKDEEKSIILNLLAIVIQFFQV TYIIFNRQTWKVWQTFEVTEWLNKIFGSYSMLVPQFQMISFPALVAMMYVCLGLVVFAFM LIFLLSYRLKSAFTWPIYILRIMIQMFLSVLYMPIMDLFFSLIACTTDENGNTINQIFID VTCWQGAHIVHGVVSILAIVIFYLLCITFALIYYEPRYLPKEPLSKKSGRNLAIFLTYEL VMIICYTFMVGRSHDYLFILIIMIGSFIIFWKMHIENPHNNIYIAKMWSMLVSVNMWSVI LLCFAKFLEGQLFFGTIYAWLSGLPLMIVAVLKTEKLNYDLLLTNLNKVTDHQDVLNLTD HLIKLYYIQDADSQLMIDGFLEIHRATCAREDCYLKQKKIPNQRLVKPFFKDQNLNERDV DLIMVLGQIYFNQIKRFPNEIALRLRYSLFLLDLMKQRQQAINELIQAETLCPSLDNEFT IFRYKQIIEYEMNAAQNENLGNLDVASELAFQNYMRSFQNKIERATLMHMDFWSQLQEDS PDLGKMNNIGAKINLAITQVEELWNKMQMMTSNLPKAMRLYGKFIIEVLQDKDFGEELLE KARRLQQQNSKNKNKQMISILSGEDLSQEPNATTLVSTATEKFAQIINLNLSCCNLFGYS KSEMINRKINIFMPNLYQKFHDSYVERFLQTNDNKNINKERLIYIKQKSNYIAPCYLMLK IIQSLDDNLQLAAQFRLPKIFRPTCYIIVDSDETIDSISASCIPLLNLDQKVIQHKKLFL IDIFPKLAENKEQYLSKVGGLLQVTQYSSQVNNINQIEEKQQEQLTFMCYMTEVINQSID QLVGYIIRLELQGQEYSTNQELLANNNPLKSGNSLQFKFNPQRNMYSGEFLADTNSQRVD QTILWDQNDQSSMISSNQMDITGNIKSQVKTEKSEEQNLNTKINYGEGIKILRLFENRIQ DIDDKDDVMSDDEEIGKNSVFQNNMEQAIENDQQRNEQHNIFRSRKNLFQIINSNQTPKV ITKLNWTANILALILVVLSFVDFFIIYQQYDDIYNTIVLVKNQNQRNAELQTITTTVQNL LMLNLDVWQLKTDSDKATYESAQKTKLNNSITKVDNLNKELMLSSVSLSDSIIGMMRTDI VTMKSSDGNEQLYDLSEAIEQIISKSLIIRDKSIANINKQDIDVNFVLYNSLNSLIYQLR LFSTLYANELRIKTQDNGQNFLTILGVSAAAIGLGLIIMIIAMISVSKNQEEVLAMFLDL PDKTVKYLYNKSENFISNLQMGEDDEVLSEIDDVEKEEQEELNKTLKTRRKKKHFKNTNK DQRNFIFGIFFVLLITQGYFVLNYLLSHTFLSNLDQMIPEINATARAESFYRFVDIGERA LFIDRNQTIMNQDAYTIVKNNLNSLYVLDSSMHQEHSLNVEITNQIYIDAFKEIFMSQPC TILANVMNEVNEQECQTFADGAIYQGMAVGVARYFENLRYIMTIYDQFWNNSKVNFTSLA RGFVTFKNITKNSDNSTNYVLNLNNFNHTKEARQMQDNYHRGTFRYLVKKMIEGIQQDME NSKTQLLAFFIVFEVLLFIIYFILWLPLVVKMTKDIWRTRLMIMMIPLRVIQNIRSIKAY IKDNIQINEIDV >CAK82690 pep:novel supercontig:GCA_000165425.1:CT868441:133233:138126:1 gene:GSPATT00017061001 transcript:CAK82690 MEVKSNYGQTRPQLENESLLVRMQTELKNIIFRVFFLMLKDEEQSITVAALLQTIMFLQY LSLLFYRQLYIIWKNEGVSYELHELFGYLMLTPYFEMLEFSSFASMMYVLIGIILIAIMI LLLVGYSNTIKLNTAVSWPVFILKQLFILFSTILYLPTLNLFFEMVNCHENDQNIVVLQA FDQECWNGAHIVHGIVAILGILIFVIFTAIFNLLYFEARPKIKDLLSKQSGRAKTFVYFY LLVMQMSIIILDPKDHTYIIIYIILVGAFISFYKLHIEQPYYNQMMQKVVSIYSTLILWS ALLLCFSNYLEDNIFHGTIYAWLVGLPLLALAVFKKQKYHYDLLLMNISKVDDPNQIIKL INYIQTLLTGYQNNQQFNIMLNALIDVHKNTCQIDDCVFKNKKQINLRIIQQKDEDISQR DYNIYLLLGEIYSRTMRKHSTHSKLRINYAFYLLDYLKQRQQALNELIQAETLCPSLDNE FTIFRYKQIIEYEMNAAQNENFGNLDVASELAFQNYMKSFQNKIERATLMHMDFWSQLQE DSPDLGKMNDIGAKINLAIIQVEELWNKMQKMTSNLPKAMRLYAKFIIEVLQDKDYGEEL LEKAKFLQIQNSKMKNKSQIQIFNVDDINFESLPTLIVSVQNDKFAQISNLNLSACNLLG YHKTELLNRRINMIIPTIYAKFHDQYIDGFFEKSDASKISKEKFVYLKLKSGYIQPCYLQ LKMLQTAEENSQLIVQFRSLRNFKSACYIILDADESIESLSSSCICNIFIDHKVISHKKI FIQEIFPNYNRNDYLNKIGCKIALNLSTELIQNSYYIQYYINDLEDQTQIEFQIQVTEIE NEKIGQIFGYVIKLEKVTEIYSQQFTPELLQQLAIPNQSVSSFQFKYFANKALYVGENQD DINSARVDQTVIWEYTSRTSEETQEEKKIVIEDNKIAKPNYAEGIRTLKLFENRIQDLED IKLDFSEQEEEQHSSVFQRHQDSQEEIEFGQKNNMFRNRKALNSAINNQQIPKIMVYLSW VINILVLAVITLTFTSYFLGLFLFQNFQDSLNLLGYATTRNLECNQIVMNIQNLQMLNLG IWNLTEVEATDYEIQQRTELNESIFTLTQVNKKLMLSDIYINSEIEELHSQRVVNVRINK NSYQKYDLIEATQQIISKALIVRDKQLSQINKEDEDTSFILYNLFNDIVFQLRNETGQYS YGLQSVAEDNALNFFIILIVSGCSFIILLIFILLFLMQINQTKEQILQLFIEIPEKTVKY LYNKSENFISNLQIGEEEEISSEVSDEENDEEKELNKSLKSKRRKKLLKNKNSFFRTQFT LAIFLVSTLIGYFILNYFLNQTTYSNLKQQIPELNITARSGSFYRYVDNSERQLFLNRDD PILLQDAYTSVVNNLQQNYDLDSSLHSEHAKNSEICNSDYYDTFQQIFMMNPCNIFIAIG YSTQEYCEAYASGSIQQGMAVAIARFFENVRYIMTIYDVFYGYPDVNFTAAARGSGVFRN ITQNSDNVTNYIYNLNNFKQAQEARVLQSVFIKGAFIYLVDQYIIALKDDISLTQTQLLA IFIVFEVLVFLVYFIGWYPASIRMTRDIWRTKGLIMMIPLNVILKIKSIKEKVGNLVQGT DQ >CAK82691 pep:novel supercontig:GCA_000165425.1:CT868441:138153:143131:1 gene:GSPATT00017062001 transcript:CAK82691 MELKSNFGTPKGSIDSERSIIDKMQSELKGVFFRVIQLMLREEEQSIPLTCIGILLQYMQ ITYIIFNRQIWKVWQNEIITKRLNKIFGYVLLTPYFEMVSFSGFAAMMYVCLGLVLFALM LIFLLSYSINKSKSSFTWPIIFLRFLINLFLQVLYMPIIDLLLSMLSCETNQNGVLVHQL FDVECWSNIHIVHAIVAIFGTVLFFVLCTLFSLLYYESRYLPHEASSKLTGRANAIFLTY ELVMVICYTFMNGKNYEYLMILIMMIGSFTIFWKIHVEAPFNNVYVQKSWSMLVAMNMWG ATLLCFSKFLEGVLFFGTIYAWLVGLPLMMIGILKSEKMHYDLLLLNLNKVQDPDQVLNL TNHLLKLLFKSQQQQNYSLLLDGFLEIHKATCTRDDCYLKQKKQINLRQQKPLFKEGTVT ERDVDLLMVIGQIYFHQIKKFPNELNLRIRYSFFLLDNMKQRQQALNELIQAETLCPSLD NEFTIFRYKQIIEYEMNAVQNENFGNLDVASELAFQNYMRSFQNKIERATLMHMDFWSQL QEDSPDLGKMNDIGAKINLAIIQVEELWNKMQKMTSNLPKAMRLYAKFIIEVLQDKDYGE ELLERSRLLQNQNNKMKNKQTISLISSDDIGYEPQPTLLVSTAQEKFAQITNLNLACCNL FGYNKSEMINRKINIFMPNLYSKFHDAHIDNFLNSNDSKNISKERLVYIKMKSNYILPCY IYLKVIQSIDDNVQLAAQFRIPKSFKPSCYLILDSEDVIDSISSSCIPLLNIDSKQISHK KTSISDILPNYMQQKQLFLSKLGGPIVFNSATQSFVNNSQQASQELDDQDKREDSIQFQC FTSEVTNENQDQVVGYVVKLEQQGLDMSMNLEVNNIQQKVLGNSMQFKYNPSKALYFGEY VADTNSQRVDQTILWDQNDQSSMISSVQGGAEVLKSQVKTEKSDEQNVQQQIKINYGEGI KTLRLFENRVQDIDDKEEMISEEDDQQGKSVFQRQSDMENDQDGQQQDFNNVFRSRKNLS ILVNSQITPPVMTKLSWTANILILALVALSFADFFVCQAQYDEIFNTILLVEDTNLRNAE LSAILTSVQNLQMLNSNIWQFNTSADIKNFEQSEKSKLNKSITQVSILNKRLMLSDIFIS DELNELQSDDVVKMNFGDNEYQQFDIMEATQQVVSKALNIRDKELNKIHYEDSDVEFIIF NLLNDLVFQLRNSSNLYAKGLTNKTTEKKETFLVIIGISAGALGLGLLLLTIITLSVNKT QEEILFMFLDIPDKTVKYLYNKSENFISNLQVGEEEEVLSELEELEKEELEELNRTLKSK RKKKKFKNTNKDQRNYIFAFFLIVLILEAYFIFNYFMSDTLLTNLAQLVPEINATCRAES FFRFVDNAERSLYINRNMTILNEDSYTTVKTNIDRLYALDSSIHQEHSLNVDITNPIYSD AFKQMFMLQPCTILSQEMKEMTETECQTFADGAMYQGMAVGVARYFENIRYIMTIYDQFW NNSKANFTTIARGFTKFKNITMDSDNERNYILNLNNFNQSVESRVIQESYNRGTFRYLIQ QMQKAIQDDIDSAQTQRLALFIVFEVLLFIIYFILWLPLVLKMTRDIWRTRSMIMMIPLK VIQRIRSIKQFIKDFLHAKEADS >CAK82692 pep:novel supercontig:GCA_000165425.1:CT868441:143181:144989:1 gene:GSPATT00017063001 transcript:CAK82692 MDQKQKMQHLMNNFMKKEQTKQGQVTVKLYVNNEFSQQIQYPTRNQTVNQLVNYLRNLLN QTQIVGFQTLESNIYLDYYLTTNMDLAVLSNLTLSLKPLYGINQNGINLDSFYFLQCIGI GGFSRVYLVRYKFNGQFMALKMISKSFIEQNDKFQIVQNERDIMVHLANTNNSHPNLCKL LCAFETKNWVCFAMEYCPGGELFQQLKRVKKMDEQQAKFYFSQVCMAIHHLHENKVIYRD IKPENILIDSEGHIKLADFGLAKPNVQDNKMAYSFCGSPEYMAPEMLLKSGHNYQIDHYC LGALLYELVTGLPPYYSNNPEQIYQNILSHDLPQPNKNISAEAKDLINKLLQKDTQNRLG RTYGIYEILKHPWLSEFSLYKLINRSYDPPFKPDLFKMNFDQKEILSGEHQFQKELQKSM NTEMENVFTPFFHNFYYSSFQFKQPQRSSSITQINISNVDDKFTNILLSTTKSKHVSQTH QSSSQSQLKKKKLLQNDVENKENLMKNNNNQMIMKAGVKHKKNNQSMPDNVLNVQQNELR SYSSQKVNEQLQKLTNNYQTNLIKSPSTENDEQKQHKLQFGENKQLNKNQSNQCSLIRYF KQ >CAK82693 pep:novel supercontig:GCA_000165425.1:CT868441:145015:146364:-1 gene:GSPATT00017064001 transcript:CAK82693 MIKICGYCMSNLQKLRKYAPPKKLDAKTLRPYQHPTNPLTKKIDILSPTKPERFLLEDYE TPATLYTINEKAIKTTDLGTKVSNKILLKPLAQKPSVAIEEQKFLAVSIIGPPNSGKSTF LNQLIGEPISAVSNKSNTTVSEIRGVHTDLKSGVQMELVDTPGVTKRYKFSKHFVTKAWD VIEDTNMVIILIDAIKTLDISMKNVMSRLNKIKVDQEQLKSYFRNEDDFRASEDKPIPKI LVFNKMDLCFNKKKLKWLQTEMEDLGKYDQTFYISSLTGYGMDELKQYLYSQSFQCRWKY NENQKHLFTPMDQLEQIVRQAIFKRFYNEIPFIIGIYVKEFRVTSKESAKIEVQINVQTS SQARIVIGEDGRAIKQVKNEIEIVMADIYKRLFQVKLQVTIKQGDKQIEFDAEKEDRTEH AQLDETLWRKEERERRQLLNVKFSKFLQD >CAK82694 pep:novel supercontig:GCA_000165425.1:CT868441:146377:147040:-1 gene:GSPATT00017065001 transcript:CAK82694 MKNDEQFLSFMVENDDSQLVESRLFKDNDEDYFKSLSKQFYGSKSQDVKKQLKIIKSAGD LKMKQSNTANLSNVLQPFSISYQLQQQIHKLLSKPKPQGQSLQSSFKTNQKMNQIKITYQ QLQNRKLVIPKHEDSNIQTQNSYRSTLNTSTKSQPKKDNVLDLYQMKLKTERSVEKPKLM KVLKRNKMH >CAK82695 pep:novel supercontig:GCA_000165425.1:CT868441:147060:151230:1 gene:GSPATT00017066001 transcript:CAK82695 MDLQVQKLQQEITDLKEYEQMLEDQIKEHEETIDKQKLDLNTKETEIKNLKKQLQEAIKK ADVINNSDDVSGAKIIQLEVEIHDLNQQLQESAQKIKALTQQLNAKIEENEMEIEILKDD HQKSLSDQQIQIEALQKTINELQQLQKNTETKQSQEIAPTQLNDQEELLQKIQNLTNQIE QYAKQLEIKDKQIEQLQLNEGKQGSMEEVGKRSQEEDQNLEEKIAQLEEVLLQYQEENSQ FAAKEEASQKEKQQLKQQLESLEKQIKEIQQQEQDASVAQQLEDLKLEYEELVEEYTSTN EKLQQSELECQQLTDKCQEYLSVKEQLQDQITQFETTLQHHNEVLEENNELKQLMNKASE QINNLQSNNEYQTLYLQLRDEYEEFKEQLHITIKEKDQQLYQAAQFCQELEAFKEQAHML EENNTLLSNQLQTQLENNEKYQQRIEELENQLNTLQNQNQDVNDLMQKLDQLKQDNENLK TQNYEYDEMIKNYEIQMDALKQIECDSPKKISKLQQENSETTAEYIKLKENTQREIHLLK QERTNLQNEIVEIKSLLDTYINSGETFESKTQYIIDYWNKLQSIQDEQNNLVEKFQLEIQ ELNENIGQIQSLQNLSTDHQQLNQELQINSSEKNELAADENLNLSLEKLVTNEETNQNNF HFEVKKVQQTEYVEISTQTEDVIEQNQQQDQVQQQEQQQEQQQQQQQQQQQQQQQQQQQQ QQQQQEQKQYESQLIQTDDVIFSAPQNSDKETNDQLCQTDEQKEDLQEIQGQNSEQQNNE QNGIELNSQQLNGEQDHDQSIITKLMQPNEDLDGQGSQFQDLNQTDQLKKKVEDMDNLRK SLEKEVYNLKDKNKKLEEKLQIIQKPGTPRKQSDAKEERKKQQDNELIQRLQKQIKELQE EIQSFNVHQLNEQIEQQSNEIEQLQSEIEQYKEQLIKQKQQTIKFKNQLDTIYNLGPQAL TMLQSEPKKEFSSTQLIQQEKYQISSFLYIKSQKSYQCQFIELNRKQIKLFQSIKGKIEK AAFDTYTFDEIFFDTQKIHQFLDQSKLKLSSDQTKVYLILGQSKTHKKLIMIMLIELLYN LIQKQQLNQKITFCYQERIGKQWIEIEQFNTQTSSIQDLEYTFQAIKVHLLTKQKALGEK IRKLSITYQTYIENQLQTTSNFMIATCPSLPQGDFKNVIQKILNCQINPNIQFNIFLNIT PALQQYQKSAEILSMAKQIKLAKGQLDIAQLTSSRSVSEYNLVDDEAQKQMRIINNKLIL KQQEIDQGKKALDLSFKQNLTINQDNIIPFLNKIEDQYENELEEEQKILECCISRQIGNS IRLDYQKIANKLIQC >CAK82696 pep:novel supercontig:GCA_000165425.1:CT868441:151292:152616:-1 gene:GSPATT00017067001 transcript:CAK82696 MQSAITTSQFDQLNNPTFKPSSSIVIGRQKTQDQNPLRMSLMASVNDRLVQMQQMNQKDV KPNEIIEEVQVEFEGIQNIDYRLAQSIISQDVENETSEAEFLQQSKILQSGLFQCKQPDS YSGWIEKKSNSLFSSYKNKFCVFHDGILCVYENEEKKRARVVLNFHLFNFKYFFKQQNNV ITEFGLKCEDDEAVFQFKGQHAHDWFQVIKSCIDTYRLNPKFQYYIRPFEEYYKKRLIHN QQFLDMAQTGDILLFQGKHISCKAQRMVTRSQYGNVFKSQLDHVAMILKYTNGSIYVLEA TGTFGVGIFEWKHMVGKQWYELYNKVVYRQLEIQRTTEFLLKMEQFTKENLGKAYSLTVK KILTDKSVMITSSEKNTYFCSQLVAQAYKKTGILKTELSATQFWPGSFSNEKQDLELTNA KLSDEYLIGFNM >CAK82697 pep:novel supercontig:GCA_000165425.1:CT868441:152641:153447:1 gene:GSPATT00017068001 transcript:CAK82697 MNNLYQFDRSLLTIDIPGAQPRKKSIQLNKMESLDTKQCRQLKLQQQSVIIMLTFRLNIA QQDQHYGRRRYQHDFHHFCNSFVPQGEYYFDVSQKKHYNLQEGEIKQMRALENIYDQPKL LTTNDIDGAMPGSLQSKVVKNMEKAQKLRLERDSQRALNNEALYLRPMMDCRLQMEKQPT RTKSFSMQQTPQNPLIDNQIIEINNLKQFNEMRKLPKIGENLPSIQNRVHKYSFVEGFDR ESSILQKCQPMKVFV >CAK82698 pep:novel supercontig:GCA_000165425.1:CT868441:153487:154035:-1 gene:GSPATT00017069001 transcript:CAK82698 MGTVVIGRCQCQCYINYISCDCTCQENELRTESRTNRFTENGNKRNKKKKSPGDSTERLS RFSSTRKPSSRSSSLRSKYLQSNECNIETDESSQARMKRKPKFNPSFVKTSKYYNFGEYT LKSQSVLPQQSINVQKKNAQSPIVMQNERRNQPFKTDDNKKEEQYEYRPFQKANKYQQLF FK >CAK82699 pep:novel supercontig:GCA_000165425.1:CT868441:154117:155315:-1 gene:GSPATT00017070001 transcript:CAK82699 MQRLIEIEPKKYLEFEEILLAYAQMSIKNLTEKKVTFKVPLNLKQYRQKLQNLIYFQQDH LLVKSTPIKHKLLKFLQTDLQKMIKYMKINFKLMPALSILKNQVIINSQNQLDLTIFWKS RDISTIQSQILRSIIKQKQYSMEELLEIEPKDFLEFNVKENKLAYAQMSLKNLTEKNVAF KIKATKPDLFQIIPFIGQINPNQTQIIEISTNRPIEDDQIYDNKFKVNACFIDSKDSDLT IFWKSRDESNIQSQILCSRIKQYIVEDTQSRSQGSESKVFEQNLSISQQQDSIMFNSIIV KKADDDQIDNNKDQLENTNYKNIKSLTRKPELKINKHNQKNIIVISTLLCSSLLSQLLQQ KVI >CAK82700 pep:novel supercontig:GCA_000165425.1:CT868441:156081:157249:1 gene:GSPATT00017071001 transcript:CAK82700 MQVNKQKCMFCEKLSTDGSFHFILKRALYCKYSQSQILIFSKDINDILDDNPTKCTIHYK DHLHFNDQTEYMRRFYRFFESDDRLPSLFEYYKYHINIPRNFYSKIINKRMEKNRELRYQ KIKQELGLHQEITNQTSPKKKDTSEDQSVSQMKNLLKDLKIESTQTDVSRVSNTTILKDL VKMIGNNTEKTKPFQIFNYNKLIQKQINPLIEAQKLQLKKLEPTHNNENTIKHIKQASLT DRNPKSNLLSRHSSHSKIKVEVKPIKRPPQLKQRQASLGNIEITKSCQTTARNNPQQNEL LLELAKKVFSTKIALNNNVLTKKKSNQQQNNNFFVKGRSNIYGSLKNLEELKSSMRSLNV QRKTPNKLIKSHQGTPNQKKK >CAK82701 pep:novel supercontig:GCA_000165425.1:CT868441:157291:158807:-1 gene:GSPATT00017072001 transcript:CAK82701 MFSNQSKNTIIHLIKDTVKGEKELENIKQSLILRITDSNVSYQTCFLHLAYEKDRITRND LEVFLQNQQIRFDQREISMLKYTTYQDFLDLILPNNQIFLKQYQQGQLNYNNPTSDILNL IGKVIGMNIEIIRKTQAYLDALILRIDKNTLLNFLCPKSDKNMPVFLQLLKNIDLDISFE DVVCAIRRLDKDQDSDVNRFDWEELLKCSQPPKFNQGSRGNLQKYVSKQQSQLSIHRSES RQKTDQENTNSNRNIPLRSSTKSLQKQSSLKEEQILEFQLHLLTVGKLQDELDQIKLELS SRADYSISQAFQFFDLDVDGSIDLQDLKLGFLQLGIDVNEFIIRQLLHSYSTHHSQTQWD LTDFKYLFPESKQPLSSYIGAFTYETKKIIKVLIQKYLELIRYRKESTHQMGSDMKNVDV IFNYIDLDQDGIITQDDFKVLDVKSKHVLLFFQSFQDYPYQITYNQFQKYFI >CAK82702 pep:novel supercontig:GCA_000165425.1:CT868441:159607:159929:-1 gene:GSPATT00017073001 transcript:CAK82702 MSYNHKQSLPQIRSFMVERNAYLIDLTQRTIIKKGNQSVKLKLPSLSQRQSTITIRYPPK VKQIGDSLTEDIRKILDYQSPEITLKKMLDKKVKTVKFL >CAK82703 pep:novel supercontig:GCA_000165425.1:CT868441:160562:162524:1 gene:GSPATT00017074001 transcript:CAK82703 MNQKFKFHEKSQSLPLIVRKPIAVMNYKLYEKYQHFQNYYYIRDINEILSDAQTKIVINF KDQLAIDEDVSQEEYLKRFYQLKEFPQKIELLTEYYKFHVDIARIFQEPICSILNKYYDK KRKYDYFRIAKMIEEENKLNPNKPPKGIVGERPSPANSQESALQEQDEKEHQNIKNIQIL NELSWLKHDKTLPKEVSQTINELCKQIGSGGDQSSFSIINFQKQEKVSLDNFLMYIGGKA NVQQKTSPTARHSQEQLIQELIMNQKAKMQQKDNKRNSMHKKNETKYSIGSIEMKNNFVK DQFNNLSKKSNRQASTDIIESGTRIDNKPQELVKVKSKTIVSKNQLKMNKFIGDLNQISP TPSMLKISLGPASLKSSQPIIQFQNNQLTKLLLEEVESQQKLRNGAITHRPQSSRNTLFG SSSTRNSPSINYKKVTSSKQQKEDNQKNQKLKTTNSPQKSHQLGLLAKNLFIKALNAQNK KNSNLVKLSSNQEKVLNQYNKQKPSFTSQQNDIVEKKQHKKIKSDCRAFYNKFSVHEIGC LTDRNDKETNNMFSKINKHGSPQTQHFRRLHSEKQESLSSNMKGILISQMLKQLTKQNLQ SQQKQDLRSVVKSSTKKF >CAK82704 pep:novel supercontig:GCA_000165425.1:CT868441:162573:164159:-1 gene:GSPATT00017075001 transcript:CAK82704 MQQKSINLVHRRFKFPEIEGSNKSNRSKGSPTSSRNNTSQERDLSIDGGNSIQVFKIRNL QVSERSETPKQDKIFSMSKSTRLRSRQEQNEDYTEHNQQELILPKIYSPVITPSKTVVSK MKGSIFKPLLNRQESIDHLINIKLQPKIHDQLKKGVNIKCLYLSQRTDVQINKIIVLNFD SVLLRKRKSFWEQQNEITMCSQIIGSRCDNSYCACVLSKELKSTLYTLSKQFYIVFLFEC AIRGQVWQQYLIESGFPIDAIYSIQQIRLTGGGIKMKQIISNFGSSDIKLLLYFGTIDCE SQQLNIPQEQFYYQIPIVQAKISLQIYLFQLLKSKSLDSKLLFEICMLNCSRSENFNFKR HISVDNYDLGPIIKKMIQLEEEDFIENHIFKSLKQDEEDKVQILDEEEQEEDVDQKNALL IWLYQSKKLLLDYFKSLNNEDQTQNPISMIGDVLRRNSSIQQNYMLEDYEKQANRYKLYK ELQAQTKAQNICRKQIQIDCLIIHD >CAK82705 pep:novel supercontig:GCA_000165425.1:CT868441:164927:166402:-1 gene:GSPATT00017076001 transcript:CAK82705 MFTELFKKNNIVTIDQMMLVFQNSQKSFIGRRCQPAEFLILQKIYENYTTVSKVLNWNYR SAELLPNEDDQIIQLNPGKRIKNILLVGITGQGKTTLINSFYNFIKNIKFEDETRYLVIN DDRLNQTGKSVTRNVDLYKIQIDDDLVFNFIDTPGLCDTDGVQRDQEIIDQISERLKKLY DNQEKIHQVIIVSQLSTNYIVDGNHTLQQLALLNVLKLFGMDMAQHYIHALTFSDFTSIH RNNFENQQSIFYVLSQRDNLMQTYNLSKNTVTLTSPTNNEGTLLLSDVQINELSKEYCQF QNSVFFSKKCDMISNIQYKRNQENYTKFINKITSDEGFALDQTIQVIKERNRLKEKIEQL QEELQLRMKIDKIIQTNKNKIENYDQIVKENKEFYYIIFETNILIKDCPIRIFMTNCNTC KKTCHKNCSVSNDKLRNCNVMIQKTPNIYICESCSHSVEEHQQNYIFIQKLKFLIYLRDC LGQERIQRYES >CAK82706 pep:novel supercontig:GCA_000165425.1:CT868441:166685:172881:-1 gene:GSPATT00017077001 transcript:CAK82706 MIYEIRHERKKSENSNAIKFKQRQSEEVQVINQINQSDRLNSIHSQLKQSINISDRSSYM GIENRLLPQDSVSEQEHQQKRHSLEGFQNKFDIEQPIPLIQLIEHEARDRCKVDIVKQMK CQGDLNEFQRKPQVEPAILDVDQDIIRKHEKHSQRNYRTDPYYVKLVELHGHQRIKHELE HYHDPYPLLQVRKIIVYMSFLIPIVAKTIVNSIGFQILMTILILFNIALYIIVKTEHRED TDQIEQIITILFFTEIGLRILSQGFLFSKNAYFINFSNVFDFSIVLLSALNLYRPDIMII DLSPLRIITLLQYLGDIFDGLRVMLTALRQSIKYILEALAIVGLFSLFFALAGLYLFQGL FNYRCMPTNPDEDAGDDWIQCNVDQCPDEMTCQYVANTVKVPTSFNNIIYSYGQILRTIT MDDWSWVMFFTIRIFSPWVWIYYLLIIFVGGFFGFNLVIAVLKTHYAEAAEEFLQQQEQQ EINKQLLEQQNYNETQIRDTTNVFDVALLKKIEFHKTFSQYYKLLNSSKQLSSYKLENFQ SKNSTQPRLLSAKQRNVNDNNMNFIQSSLQKLRGLTFKNVLLIKFSRLRKQQSLINLKNY TDDEDYLKILEDLMKFDFAQLSKQLNPNQYQKYSSLEDVLPSFANIQNMKKHIDDKVIDF SKYTFSFQYAIPQLNQLQDQLLKSNNTQNSFKYPLKKSFRVQKHIIKENGSQDSVITRKP CIRTEKKNYKGFTKHMVRSKHTVQFVLKKGEVVTFLFIQGYYLNYDKILFKIKQKIEKEK IKQQCLEQEYKNIRMKDIKNKIISDQNWSGNDVIDQNDISINFRSTLIAMNKVDQVIWVR GIIGKILILKKQVSRMVSSKITEIALDLLIFVNFTFLSLYGIVDASIISQVEDITTILLS IETFLRYCSFSFKELSNSNESIMQTMIVILNFIEFTMSDYMPNLTEQNLRLIRGTKCILF YRCLKYNSMAVTIGHIASKTFKQYIYLTFLMFIVIFLYALVGMEVYAGRFDQSDVLGQLH SYNNVLKSFMTVFNIMTNDDWYGVFILGTGINETFAVVYSYSMVIVLNYLTYGLVLAILL DGFGKYLDRQIFSEMEENEPSKLVIQNTEQQETQQTQQFSEINNMRSLQNVPLQKTNSKQ KIALIQHLINSIRQIYQKIQDENPELYNGVNCEQSLFCFDKTNHLRKLCMLVARSKLYIL INDLSLVSSIVIFIIQTYNDYEENKETYPQVAQFYINLILAIDYAINVIAKGLFIDNGAY FNSIWQILDVIYIIAFFIQYEKDDYVKPIFQIFLYVGYFRPLNLLNRITILNVLSSALYK SLVDILNVLLTLFSVWIIFGVYGIILYERQFGFCEDKMEFRVSYQECIEQNRTWVNFKHN FDNITMAIPTLFTVSTFDGWGEMLQIAENSDNANVGPVPFNSYIHTYVFFISFCFVGSMF FLSLFTGVLFSNLKVNQRKIEKKDFNQNQKEFIEISDIITKDIPVFSTPPDNVIRRFASI IMNDNIMQTIFFIVLLLDLVNNILFHSDMTTKHLIIINYIHHGFSITITIWGLIQYLALG LFRFFDNYWRQFLFILILFAITDLVIDFEFSWVEFAFNSSPLTPYYRLYRICFMLRSLRL ILIFQGLINIQRLMRVMVYALPFLGKIFFILIDTMLVFALFGCQLYGQIDSGQVMDEQIN FHNIAQAMLTLFKCASGDDWRTIMTDTMHHNPNCTNDSTYCGSTYSQIYFFLFMLLSNYV FLNLFVLGLIEQFESFFQVQNSIIQTYVENEDRIKTIWCKYSPETQGKAMHYKFLCRFLM DLGAPLGRGKEENLWDAAKQASAFKLKCDHRGYIQYNQLIYELFRTCFQEDVFKTGSKNG IKQIKQYNKEMQMKLMNYRRNLFIKRANICLVDLRTNFNILHDYLNVLIAFKAWESHSKK LIKRVNFKNREYTENMDSSKEEQNDDELQQSENSILNDMNQLRGSIQISDTDRFQPMITD ENQTKHLLTSLSQESMQAPQLPCYNHQLPQKSKNLFIYQPKRS >CAK82707 pep:novel supercontig:GCA_000165425.1:CT868441:172985:174446:1 gene:GSPATT00017078001 transcript:CAK82707 MQSLINLDRHYLSQSNSLIEFKSTKIQYQYSNHLSDYNVHQNSLCTGFQIPYVTIYFYKI NKAIMKQILDLQKLIAFRHTMHQNPEASLKEFQTQKRVREFLIGLNVQEDVIKVCGTTGL IVDLSGAAEKSGDDRLIAFRADIDALILNEANEHLPYRSTNGCAHMCGHDGHTTCLLAFA QIFQHYKDTIPKNKTVRLLFQPAEEDYGGSVDMIRDGCLDNVQEVYGFHQWPTAKIGQVW CKEGSMMSQPIQMQFDFKGKGGHASEPHKFNNPVQCAAEYLYTIHNQLSQEKGQFTFAWT CFNGGTAFNVIPDTASVKGMIRSLEAGLGDRLFNDCFSVAEKTCQKYGCSVKVQRQAENY PLTANHPQEAQHILRLGDKYFGAGNANAGPCPVYASEDFGNYTLRVPGAFFFLSSGKNDT NNPMLHQSNFDFNDDLIELGAGFWWEIAKDRLLL >CAK82708 pep:novel supercontig:GCA_000165425.1:CT868441:175404:176146:-1 gene:GSPATT00017079001 transcript:CAK82708 MNQLNSDLKSQIIEYFEELQNKLNLIGYSDIRVILPDDISVAFKYITRILDQFIELNQEI TEQYELLEQSLQKIESENRYHIHVEQRLKIHCDSIQESLTQKDEQCRILQMKIDLQERQI FQLKKEIDVASRRRIETEKSVTDTQSRQYLMINGIPSQTTLKQIIKPKTRLQTETLEINK VRSISSLDYNNFSILIKRNDERKKRSSTIQIKQKK >CAK82709 pep:novel supercontig:GCA_000165425.1:CT868441:176157:177371:1 gene:GSPATT00017080001 transcript:CAK82709 MLQESKQNRVCVPTQSAMQEQIQYVTCGAESTFCITIQRKVFMWGSGIQIPQQLDLQEIE QIAASKEHWFAWSETQVFGCGSNKQGKLGMNNSVNTPTLFDIFSEASQYGWQLQKIAVGL DHSVALIEVEEENKIFVWGSNTYQQLGFDASEQFVAIPHQLDSDNLPRIVDIYAQHNYTM AIDEEGRIFSWGSNEFGRLGQNAFAKCMKLPSPITSLGNAKIAKLALGTFHVLAIDTQGN LYSWGRGLQGQLGHGNSNDCNKPTQISSLQAIREVTCGEAHSMALQNNGSVYVFGSGQFG QLGLGDYKQQDTPQMLQIHGEMIACGRHHSGVLTKNGALFMFGNNEQSQLGLECGKSGAY STPLPKQSPMNVLQHLCNLDRPIKSLS >CAK82710 pep:novel supercontig:GCA_000165425.1:CT868441:177640:184651:1 gene:GSPATT00017081001 transcript:CAK82710 MEQVDYEELLKRFRYSYVKPNAQLRQYLQSLPSDQYPELFQKIENLNYDAFKIKRLLRML KKPHQIPQYFDLKLRNKLRINENVPHEVVDFNKKHTLILTSQQQGQQLFDKLKEEFFVDS KNRKSDSLTFKHQFYKALQDNLTSQCIEQCLRLLKRSEQNMDTLQIVLGELVNTEYDITP LLGAIHNALSEFIKNDLSITCLKLAHSCVKGDLTNAVQFVAKMIQGTHDENRKFAFLGTL PNKCEKQVEIIYNLAAILNDDQKVFDLVTRMRDLKVPLGNWAHLKKLLSINKHTNAFVSL LVVDWLLEKKQESNSKDLESVMEQIVNGLATNFKNFAKVVTQPVMFLAQLKCVVLYALFC EDDAPAKLMIAINENLNKNTDIFNPKSHLCQLKYLIAPSCQEESQLFLDCLFMYQAITYD ENDQFNYLLPLIILIAFSGKVKNSVNVKSSLELLALFQAIDINILYNKIFKTLHEFLYQV NPYQGEGINFRKDRLIHFVRLIVVQLGNNQIESPKYIYTTFSHTFISQLEYKLPKYEIDV NPISWFTNRGLMSNNPYTVQSIVNYCRLLVEQGYVDQIVQPIEEWLNPSNINKLNSLDHL DEQLEQLEIEQYDHTILQQSGLKWMIDEKPVDEAELQLQQQLKKFENNNAVLMDEGEAKQ QMLLKQKNKMCLQYSLCLKLFEGLLHTKAKEQFITKYFDKISAFAKEISTRYDCCMYLLK YFETNPLLQQFKWPLTYFFLERSMNSIPSDKILEKINQFQDCLLKDIKNPPIHLIHLMNL YIINQNSFTPITKFKAFAIILKYKDQLAISEYFTPFVLNINICGHAKNAGQVLQLIFNKE SQEHYHLFFNNILNLVPEAQNLILRTIPSLPDSFDIHIKVRVLAEFDETKDSALPFVDKE FKVLNQLQKWDIVSFVKKFPREHSEVMINLLNEVNGFPEMIIQAASKLVADQQLQEEQLE FFPYFVSKQINTYPKQLIGKTIDLLIQYSSTPERQQLAVLCREAGVLLIKCLGKESSSDI LNQIETHLQSKNTCASIRAITFLGVLSQFLQGHNQRKTQEQIVQLFRNSDKDSQLELARS LQELLSFFPDTENLVIELLKSNKQEKDEKIKRGNAYMIAGLIKGLGVEMMEKLGILELLE VKEKKESLEDKFYVLIQLQAMIELFQKILEPYVLKVMGILMKYFGEGRVEVRNLAMTNAS RLLQSLSSYGVKIMLQPLLEGLDSDTQWRAKVANIWALGHLAHCSPKQLSSCLPQIVNSI SKAISDTHPDVKAEANKSLNEIGSTIKNPEISSIADILIISLSNPYDENLRGLQVVLETK FRHYIDAPAMSLLIPIIDYGLRAQDSNQRKQASILIGGLPHLIQKSDDLVPYMNLIVGGL EVVIGDPLLEVRTVAAKAIGQLSKKIGIENTKTFFKFIWDVLENKEASTNKRSGAAHAFA EISCIHGDIYLEEQLQFIFSQIQRPEVFVKEGYIGIFIYIPSILQQKFENYVKDVIENVY ECVSHEDDIVSSITLRVLKILIKNFGILQNELLYPSLTETMLNEDARKRNAGTILSGEML KITQKYVFAQLNNPESHQYINIDLYYLNLMTLYTMQQDVADVVRQNAVAIWKEFIDNTPK TLKKGLKQYVIQLCNIMSRDGVVQNGINSIRNFCEKYGETQMSEAFNHIGYILQNYQDNL SLVKGAYSVLNQIYIHISLDIATRYRDQFIEYSRIYIFCQDNLIKSQLFQSIGTMINKVK QTTFVELIIEPYLIDLSNMNETDPKYSGYLEVFSRLCQLNQTLVLHYLIVLILVPPLHQF KIDILTNNASTFSSVIYKKYENKVPIQILFNDLYHCADFNAHYEWNDRTESLIYCIQQLS IHIKSDTENYLAIFIEDILRQLQAKSKPIFESEAHPNDFKHCLIPLEVLQYFLQNTSEDH QQFSQAIIEKVAPFLYLQTNSLSKQQLEKFYTLANKIIYAINNTLEKQHHFDNMRNIHTC FMNRINIQIIPGLSIQDGAGIEPYVSMLIDSFVYGKEETFKYAYDFMKILIRSADTQQLQ IYILKLAGSLIRILNYKGNNSMKVLALQLLHQSQEKGLVLRQFKPQLAVTYEKLIIDINL VDGGLKQLSKNYSQFLKLHDKKDLLLNQLFNKGVQSATQEARECHLKIVKKAIKDQQEQV FSSAVLEKFFTLSKQYFEKCIQSQKFDAASPCELNRLGIYIDYIQLAHILALTYNYTNKN NKEQYVQKYIKFDQYGLLLRLQFVRYQNKDLIPETISYAVEQINTSDNMTYVLQLLRTLR KFPNLSVRQQLKPKFMEIPQILSALSRLQ >CAK82711 pep:novel supercontig:GCA_000165425.1:CT868441:184682:185236:1 gene:GSPATT00017082001 transcript:CAK82711 MIQSFQQQCEQLQSENQEIIKQLNKLKQSALEKNQEILKLQNLVKGVDQEYQPIRDKIQS IKDQLLDSELNKEAIVDSFDDLENMIISQIAEQIKQGKKGKRIQKKESPSKGKINNENDQ NTINLRKRTIKSQHFDENPETSESSEPIKVQKKKVKTNSEAIDKANCLINEIFNFN >CAK82712 pep:novel supercontig:GCA_000165425.1:CT868441:185274:186496:1 gene:GSPATT00017083001 transcript:CAK82712 MGNNNVCLAEIPVYRTHHTSPKSKTKWKREDLKSGSCIQTLVDKENNPDFFIHYQCGQEL PQYRNKLAQTKWSEAVNSSISTINDSQQTIDSLIDKPEFRELVLLGPPKQLRWLSWINLL TKSQPPISYEKYLENPPTDISNIIKDIDRTFVDNALFSHQSCGQEQLKRVLCAISNALPT MGYCQGMNFVCAVLLIVSGCDEHQVFQAFMQMLINEQHLLCFAFSNDMPLHFFLTSLIHY QIRKKFPKLNLSDISDSFWLSKMILSLFTYVFKMEDCIRCWDYLMVRGMIRGIPELILAF IDATYTQLCKFQEEDFAYNFKGPETTTIKFNVGELIYLAKSNHTLDRSFISKFAKKMRDK NNPSQLLDLLQYYNNPQLYKKQVSFYINTIYYQFLTNII >CAK82713 pep:novel supercontig:GCA_000165425.1:CT868441:187423:188837:1 gene:GSPATT00017084001 transcript:CAK82713 MRKKSQSKGNTQKLQVEVDIMEQRIVGLKQILQSQKESAKEKMQMSQGSTRWSAASTNVP LRNYGKHVLEKHREVAKTFQQKNKLNKVPSRPSSRSSSLSKQSKQSETQSQQNQSYLTQS LNFAGKNSANENLKRALQVQQYQLEYSNEVVKFLNSINLDKHAGVILENGFDEMELLKEI SVDHLKDMNIPPMEARKLLNRVQKLVETEERKLLQSQKQAQRLKESQSHIEEIANSEIYD EEEQHRLFQEAVMEYRQQQNQQKEQKEQKEQAIQHTEEILIEDESLGYISSRQNKKEEQQ RNKMKFLLSGANEWKMFDVDYDQKQGTDQQPVIIDKTSCYQCYKLFDQKFAIGNNGREFC TKECLESYKLQNLYLCRSQSCAKQIDIAQAYYEIGQWFCNQDCFKQYQWESVNIRGRTHI SL >CAK82714 pep:novel supercontig:GCA_000165425.1:CT868441:188854:189833:-1 gene:GSPATT00017085001 transcript:CAK82714 MAETKEEVKAQPKVYSYADLTEFENKQAFSSIGKMPISTKQTLPSYSFGSAERANQAKLY HNKELARIDFAGKGSPGPVYNVRGGDQFYYTKDAETKFGTDPRNTLNTGAKFDYYQRKDV DFEPQEADLIRKTKAPNVKIGLESRFPPEKRLKGTPGPQYDPAIKPEVPTPPQFSFGYRR DIPGASALAPTCSTPVIVGPGAYLQKPPANTSNLEDPAHWTIPKGPKIGKIFEGWDKNQT YDTKQIAVGVQVNSKKKSYPAFSVGKSTREAKVGHFKQLMVKVPSKVHIPHPKI >CAK82715 pep:novel supercontig:GCA_000165425.1:CT868441:189889:191531:-1 gene:GSPATT00017086001 transcript:CAK82715 MNRLDEHQLQSFINSRMQFGDLKYKTLVESLLFDQDNQELITKIYHIFQKHIQLFQILKQ NNLLEDHINAVISKQILGDGMIEKKLISNKFVTGFQKLFGQNQYALALATIKLKNHMINT LRNVNAQDTAIDELFKDKEIHIQLDLEETKTFMTKINQDQQFDFIRNYIHYNLYKNQSFD QNLKLWKKKFQSQNNNLVLSNITIQIHFIDWIDQVKQIIERLWMPYCSHLDEIRLAETND PLAFKPLQFISDIYEKKKTNFFIIDSLMNHINNHEYNFDKIQVAFSHKNPKAVLISFLEL LDWCNDIQKWEKIIFTLVQNIKMEYVTTQPYQDSFRDGGFVMENYLDAILQNIITLYEKV DQQKEINFFDVDCLDESNFEGVLYEIKNDGLDFYDDKQLICGCVTFKLEQQSQMDGKIGQ AIMDLPAIQKINIQVSKITQEYLLPLWENNKLKPKVIKLVLFRIAQCIRSETQKKDRSEN TIHLQF >CAK82716 pep:novel supercontig:GCA_000165425.1:CT868441:191576:192730:1 gene:GSPATT00017087001 transcript:CAK82716 MQLSKQEAILKELFEDDYFTQIIQHPSVQSPYEELICAICYNLLEIPIVLPDCKHTFCRD CLDAWVGQQAMNCPYCRIDVSHYLCIDVSNIFKANNQLKFSLIDFNTMFLIDNIEIKCPD CNWKGKTKDLKLHQQKYCNLMSCPLKCNQKFTSEELELHKDICQNRIIKCPQYCGEEFEA HKVFSHLQNCMYTYKECNKCNCILQGVKILNHNCNIESCRNSQFGCKKQEMHQNCEFELN YCKYCFNFLMNKDLETHICQIQSSIQDVLNSFQKQLLESLQPVKHDLQLLQNAMKKCQQE SILLLDVPTDRINIVEILQLFGPVQNLKQYLNLPNLDQKKVAVRYFHENAALCCKQYMKI LGITTQSLEMGEKQVKIQPKQKIS >CAK82717 pep:novel supercontig:GCA_000165425.1:CT868441:192765:193828:1 gene:GSPATT00017088001 transcript:CAK82717 MNYLFYGFIGYCTLSLTFFVFPYKKKNVFKHSKYQKYLQRKAILHISHRGGCRENVENTM QAFQHAYDLGTDCLEMDLCMTKDKKVVVLHDSSLLRMCGVEAHVKDYNYKDLPKFLDKVR LDFAPNDYISTQNCKTPYLPLFEDVLKKFPDVLINVEIKTPDPEVVKAVNDLILKYQRQD TIIWGARCILISNIIVQKQNELLKSINPAIPRFFTVEGIMRVYLLYITGLLPFFDIPDDS MQAPLYTDDFYNWKLHVANSTSEKLQQMFFHNFVWFLGLISKPLFYHLHNRGIFVYYWVL NNENEYERALKTGCHGIMTDCPTLLKEHLVKKKLYGKQ >CAK82718 pep:novel supercontig:GCA_000165425.1:CT868441:194681:195055:1 gene:GSPATT00017089001 transcript:CAK82718 MKPTYVYSNPVLSLLSSNSTQSSPMTTSELNDSIEYYMDQKDYQTEVEIVKYLHGLGVRT KTNPLIKEQQYWEERKQKSQIMSETIVNSSERLVLNPTVNRLMRKNVIQIPRNSNSPYFL LDQL >CAK82719 pep:novel supercontig:GCA_000165425.1:CT868441:195127:202448:-1 gene:GSPATT00017090001 transcript:CAK82719 MGLLRRLSQIFKSEVPQTNNDHLHENQQYSKKIVLENGESVNVRGNENQFNLVKTSRYTL TNCLYVLIIKFFKRFLNLYLFVINMIQLDYNYLISLLLTFTIHIIIELYFDTQKRKRDEI VNSRQATVFADLKKNVQSVASQNLIKLGGRKSLNQNIVEHENLVNNNNYQKDICMRKVSI DTVTYRQDKQSRIPLFTMKRWDQLQVGDIIYLKNNEICPADVLILDMGQSVSMASNTVMS GNTNEVRKRACPLTTISKEHKIQLLDYRTILNGVIRYDQTDSDQYYKGMVKLKKDPKPLE INKENIFFREQLLLNDLYLFGVILSVGLDCRCYKSFKHVRKVWLFFEKKANLYFLIAILS LILLSVIQYTIEYYGEHHNNLEFQLMNYSGLLPLYFYFLIDLLYFTQMLYNNYLFLKEKG NSKHPLKEINPLLDNAHIKLNHLQVNYSPISNLSLINHVLIDKTGTLTMPNFKIKWIFIF DSLYKLRYKAFAEKEFQNALKQTKIRQELNQPIDERLEPESTPMIKCNNNEEVNFKIEDL DDDHPPYDELHRTQPPRFRPESQLSSQFDQSAEGAQIQKQSLARGSSLIVNSLHESKRQL TYQRGSQKFHAPRIPSNLEIVSEVEFSGNEITLIRRIQDDEFKPHYFEAMFALVVCQNTR SLYQKSDDSFFYEYSSELDKQQLYLCKHYGFKFICRSKQENQMRYVIQDGEEIHLIDVMS LHSFNSRKFKLCTKLGLENEDSQYLQYLRDDSLDMIGCLSLEKDQVAKLDLMIKDLQVQG SRPVLFYRSLMTESQMQTFNKEANKLLDIQSNKIEFMHEYQFLMHEFEKNCDLVSVIGVQ EKVNKNVTPTLNYIKQLGLPCWVVSGDNYEKVLPIAYRVQLLNQNDTVVHIQAKTQDELF LQLKQLLQSLSGQLRSHSNKKELKHSDTLIHKKGSFTCSPRWISSGQKNLHIKPFQIVIS GESLEQILRDAYLKKHFQFLFQFTSNFIGYRMTPQQKSILIKLLKDRKLNYKFILSIGDS FSDINLFNHSDFTFQMQSGRQIFPHDKNNFEDLQHGRVSIKQLDQESQFCQHKQDHGFIE PLYNSDVYIKDFELIHKMIALDSRKAALYFERILIFALFRSFGIIYLLCVTQLIRDEIVV ITKDYLKFHSNIFFLLSSSFIISEISEKVENFNHNEFMYFVFKNDQLELNHNKFGKYIAR ITFFPLIQALLINLYTQYADLSNQNGIVLTSSELGSGLFLLLLTVDASKLVQRNYITQKQ FVFNIGLTFGLYTLISLQFDSISIIYQWAASVQILFSFVFIVAIHCIFNLVLYHINRPFF MPLAIQTSKEYDEILKIYNKISGDLAKQIKLHRATIEKISYFARKLFNGDEDMDPIIKQM LSGAFADETENSINSITLRFKSQLLQNKFTEDTLQYVVRTYRIIVAITFLFYEVATYIII LLAFDSFTLSYWTDYYYICMFGVLLLLMLFCWSRYYKLYFFEVNFIILFIRCSSIIIWLF NQDQSVGGMNLNMLQLSLAVNIYHYQEQPLISQVFSAIYVAIYLIKKSNEDDVYILINQY VMSIASLFLLVLTFRRIIHIFLEVFLGRINMNKENQIMSDILSILLPQFIRDRINKAGQY DIQEDQGMVAVLFCDIIDFDQLIKNEQSNVVDILDKLFRRFDLLCQQHEVQKIETVGKTY MAAAGLKIHVSQKSNPVNKVISLALDMKRYVMSNETFQIKIGIHYGNVIAGVIGHHKPQF SLIGDTINTASRICSTAESWDVAISEQAYRQTNKYELVYVQRDVVAKGKGKLITYVVNTK RGGKQRKQTIMIQRPPKQPFRDPKDYQNLDQVHENQLSEIGIGSNREVQPLVNRNEDPQP VTLNKKQKSEIYSFVTKTQKQISIESPNKSLQQQMLFYIVSSINQIKEGGLQKIMLLNPL WEGYNPKDLIQLLERKTRCELNVSDYQLNMEYHVEKDKIRALYSVDEDAQGQNLLLTLNP KKLYLEFNEDATVTLEFYEFLSSLYRPFAVQYLLTIGSCVLISSMSCVSLNGQIYLANLI TGVMVGLLHLLQCTLIHRYESFYNVIYFLILAYLLLGSYALDCFLITDHYEIQMAKGIIY CLSVLLNRVLRHQNKLVYLVLFLGVTIAAIIVNDWPWSRFYYCAVISIFAFCIQLFMFLK NVNSYNVNKQLIEKSIKYQYLLNYLLPKNVLEEFFRPNEEKRVLREQADEVTLLFADIAG FTEYSSKVQPEQVVNMLRNLFTEFDKNSLLHNVFKLYTIGDCYVVMGMVDYGKGIQRNPS QEAVNVVRMGFAMIDAIRRVRAHINHPTLDMRIGVHTGSIIGGVLGTELVRYDIYGPDVL IANKMESKGAKGFVQVSQETKDIIEREFPDLFRFEYKQSIEFESIERKTSGYFVYQ >CAK82720 pep:novel supercontig:GCA_000165425.1:CT868441:202567:204252:-1 gene:GSPATT00017091001 transcript:CAK82720 MKVRPRNQLRLPKNSGHIQTETSQDQLQSTNDSRFRSDLTCRQTVRVRNAIKEALMISNI KLAFQEEIDKIKEEIRQPEKQQQMITEMLQQNRVIIQNTLIQLNQLFLERKDRDQAIRII NEISNQVMKSKIPDLKIFIYLKLAKVVCHYKLLYFSIILAKIVKRMSDNEHLLKYKLLAY KLLGICFFKLRNRQAKIYFTKYLMCSWKLNKKDHELKAYEYLGKYYFQEGDIDKATIFHE RMVNGAILLPHSSLRVLGIRKLEQGSIGKGKKNYGLVEHNEINVSSDAEGFELIFNDDDT LPNKVAIQKMDQLVQDGKRKSLINYPIRKNLIFQHRSLHLLDNKIKTRNTNQLPVMLNHL SPNRQLVNYQFLELNKAISNYKLTHNMDPYFERKDAQSNSRFGISGCLDQIMNIYIIIKQ SKNYITKQQEEIGERNVEIEINLDVEQISYKLDDILKFQQQENSSLQSLKQLTLPVEVPV RLAKELDARFQRSIRQLQIKQVRIGEQMRGPIRRISGRIN >CAK82721 pep:novel supercontig:GCA_000165425.1:CT868441:204356:205497:1 gene:GSPATT00017092001 transcript:CAK82721 MAEHIPEERALTEEERQKYDRAGFIGHQVQKRLLASNILIVNMTGSNTELAKNLILAGAN ITIVDNEIINERDTDTNFIFTKQLLGQKRGQIAQEELKLINPLVKIDWLQEFPTPDKLIK HNGIVTSTTDFEEMIKYDKLSREINIPYYNLVCCGHYGFMYIGLGSKFTYEREKKVVMQI VFGKKLQEQDRYREFEEVIAHSVPLEETLNYNYKPQGMLINTIYYCLLMMKKAQEIPELK AYDPYQPNDELLDKFTQIGKDIYKAANGKDATEEQANTFRDFARLYGIDYCPVYSVVGSV ASQELIISTSRINEPALNWFCYDSVQSYGQVEVIQDVSSFKVRDLPERKQLVPKE >CAK82722 pep:novel supercontig:GCA_000165425.1:CT868441:205612:207685:1 gene:GSPATT00017093001 transcript:CAK82722 MSATQTQHLIFGSTWPQENMIIKYCSLQQSFQKVPINTALKINNWSCAIPYKDNEILITG GLGNTNKIISNDVYLFNTQTNQLSQFSQFTQSRYVHQCVSHNDKVYVLGGRQYGPDFESV LSHCEVYEGGVWKTISPMTKRRSNFGVFIYNDQIYCIGGFDGKKNTKSIEYYDEVKNIWV RSKIRMPRGLAGFHLIPLENEKIMIVGGMTLQGPSQACIVLNLKNNTCVSYSQLNQIRSL FHMFRDEFGNYIVYGGMNKQNTFELFNYENKIWEEFLINMDENVQEILNQNLRGMSSVQQ VCRVENYQQLMQQKQELQLQPDDVVFMFGNDEYPFILPLPKQPTENLNPLEVPTQLCMYS YMSVCSIDAELPNCRQILLAGGIDSKISQINKKAYLVTIIFPEMKVKVKKVGKLSYHRYA ASCVYNAPYVYFIGGRGYQNDVLSLHSTVERFNIDEEEWDQIAPLNEAKCSMTANVIGEK IYVFGGYVGEGQISHKIECYNEQSQEWDVLNIELPFSLEGLSSIVIDDQDLFIFGGKGFD GSKKEIIRFNLDDLESNQNVSGQIVGTLQHSRSLPKPILLDDKNVLIIGGYFFDNPEINF GEMFNLDNPEDSNNEYANQIELAIIQMGANDSINLFSITN >CAK82723 pep:novel supercontig:GCA_000165425.1:CT868441:207717:209185:1 gene:GSPATT00017094001 transcript:CAK82723 MNQSLVQNQQSQTSINYGNVKYSFKCLRRHLFRDKVYHVHVLHNMITIGELFNDPNPKYK MELNLKNTVYWKQERRQNKAFGIKYKKNVKFFDASPEHLIKFRQAISCLIGFHSIEDHYK IDRMIGRGSFSQVHKVYRLQDNKAFAMKQVSSKQKTDKENMVYINLIQQLLENEIGILHS LNNEAILKIYEVFRIEENQYGIIVEYVDGICLATLIEQLKKNQNKLKEYDIKIMLQAILK ALVVIHSEQVIHRDIKPQNIMISQQSFRNVRIIDFGLSIKNQLQYNRCGTPGYMAPEIVN MKKDEQKAWTSLCDIFSLGVVFFKLLSKGISCFQGQTSDQVVVSNKKCQIDWTIVQQFNY SKNCISLLKAMLAIDPGERITADQALQHPFFADLPPILATDFAGNSMTFKSKNLINQTID KANLDSIESFNDLSGIQKQRIYVRELKPSRISRIV >CAK82724 pep:novel supercontig:GCA_000165425.1:CT868441:209249:210629:1 gene:GSPATT00017095001 transcript:CAK82724 MQYTEDNSKEFSDFSILKTKNSETQVVQPTENPPPMKFTFQEAEEIIQKFRVYKPQNKQF KYESLLKLQNCKLKIYKDSYYFGQLVNGKKNGFGVILSNNGRTYEGQFENDRKHGQGFER FPDGASYHGTYINGKPDGVGKFLWANGELYDGSWQNGLKHGQGIWNGIKGDSYVGEWRMG NPQGFGVHVWVNGDRYEGEFHNSLKHGEGIEYLINGDVYKGQYVNGKPEGVGEYQWSSGS YYNGTFSNGLRHGKGLWIKDRNATLTDSYDGEFVNDKKCGYGVYKWAKGSKYEGNFYDDL RHGYGSMYWNDGSYYIGMWEQGYQWGEGEYCKKGEQPKFGTFEKNLLVREDQEKLIQYHS KQQPQIRIRQYTSKQINQVYIASQRSVRSNSQRPSSQSQQRNVRPSSVKSVNQVKQGSMG NNQFFCKKKRQPSLPKQTFDFDF >CAK82725 pep:novel supercontig:GCA_000165425.1:CT868441:210797:211165:-1 gene:GSPATT00017096001 transcript:CAK82725 MKYQLDNKSTELRTEPCQTTKQVLISLNGDLPLISQTDIAYPKVPQSRESQKLQCINCQK VSNTKLEYKTGKGAYLLILLFVVFILTIPLAILVYFLKMFKDVQHYCSECGCLLGTKHFL IG >CAK82726 pep:novel supercontig:GCA_000165425.1:CT868441:211191:214049:-1 gene:GSPATT00017097001 transcript:CAK82726 MSDSSSDEDYRKFNRKAKKSSVRTNVQDLESNRFAQEFAQRLLRERGFDITEEEMGFKKQ NTKQVKATTYVHSIDQATLKELQKEFNKCENIGQICTILKALSNHKEATPQQQLQYKVQR SDFLIKNRMYEEAKTECFELLEKELATLEKVKVLLSLCKAQLETNETYNGCMQALRLKSY AETVKLMPSFNLGIYDLTPEQIYKQIDEIYQNTIFKIQRDNKKVISLLGVQGNSATGCLG QGDLTQCSELTQYQMFKNRKILSVGCGEHHVLVLISGCSCINPYQVSQCKGEKCNNGNEV FGWGENFLGQVTMNEQDGNFISVPYNISQLSNKNIIGVQAYKSTSIAWDSEGRIYQWGAK HPLKIDPIADIQQIILGFKYGAILARKSLFIMGELDQNIKYPKLTKLADNIDQIAGGDNH LLSLNSQGEVWGIGQNKFHQLGENNHQQYSQVQDEECFYINANENASIFVTSDGEVLYCG QVSKEKVIDYPKTLQIDEDVQIIQACIYEGVIYALNLQGKIFKWDIDKEPKSKLYFSAPV RQLHLCRGIRLCESTVLQGEWCRLELEDEDQEEFETFSDINLIVNLGDKYGPYGIKQNHP IRYNIYVTDGSDMTTEQLLHKNQVVSMSKIQSQQENKTYLNFMNDGYKAEIKEIEEPSKH KLVLQVNKPGNYQVYLYLNELLLIDCPLNLNLVAGSRQEELMQAEELKKQQQQAELQRKQ TLLIKKQQEEEERQRLLNEQQQDLLQRQQETKKRADEKLQQYQLKMQEEKRLKEEERLLK KDLLTGGGFDLNKVKQQQQQQQQQQELNQINNPPKKIIKQANNALTQKVKQQMQNLQQER QQLQQLNNQQQQPKQQNKPQSQPPKLNPVKATPKALQSIQSTKTTDKAFSTQETFKELHQ TGQFRKTDTQLQKIQQELQQEFQQLKRQETNKYKR >CAK82727 pep:novel supercontig:GCA_000165425.1:CT868441:214078:214548:-1 gene:GSPATT00017098001 transcript:CAK82727 MTKVVNYKVNGSGRDTYINYGNGGFYKADSLHTDESFYQLSKQNSLPIINSKFNLYHTDG TGRDSYISESQGGFYSFQPRINFLGRLRHYDPSPEALRENDFLRRSQLVKPAKEQERQLR QSTIVEQVTQRLQLPKIKRE >CAK82728 pep:novel supercontig:GCA_000165425.1:CT868441:214705:216598:1 gene:GSPATT00017099001 transcript:CAK82728 MQNSFIKNQIFLIVIIREINYVVNILGMDETKFSEAESNMNNLVLSYENFKLILERKTRI WKRRRIKLIVNHNIYIYINYKQLILISYLILQKTYIIKMQKSSRSLSSKKNEKLITKRNK SLQETESYGKFLEFLNSNQNQPFRQFLYMQEFFNTNLNSFPSDEEIQAIQQSLFSIQQGI SNRKKNWTFDEKKVLIWVVGKLSQSQDHDIRELPNSFWDDVSELICRRDPQSCKLKWSQL RKPDLQQKPFTQQEDQLLLDLINKYNDSEQGKKWCQISEELNSQNLNYRTSKQCRERWLN HLNPKISKDPWNDDEDILLLDLILAHGRKWAEISKLFDGRRNENNVKNRFSSLIKREKDL IVDLKNGQITNLDAILGNPTGQTLNNQSSIAIEVLKNKILWRKGQNKSGEQFNDFRRTSI HQTSGKQEEFYLKRTSIVKYSVGHLGQDEILNDELVPCLVNLEKNIIYFCSKEQILKLLE NQINQINYNFEQAKKDIKSFDSGLINFKTQLSIISEINDSKISSFLCGQDNEDNYSQIGK VVQKQFMDLEQYLNLDPPQNQQIKHFLNPIQQIHYKAYYQIRKACKSEVLQQNVRISDQK IPRSLPNVISIVSQ >CAK82729 pep:novel supercontig:GCA_000165425.1:CT868441:216694:218179:-1 gene:GSPATT00017100001 transcript:CAK82729 MSVRRVQSAVASSKQTTAVIPLSELKRMQDHITVYHQDTPEKFELHKLSQDRVKNWNNTL KQNKVQKDRTKFERFKKDEEERRKIDEDERRYQALVKQQILEKANKQIYENNERIRQFQS KMLVVDALQEREGQKQIKEMQKLLEKEREKVQYEQMLEIQAEKDLLEKKKQEELLEKKKQ QHDMLKKQHDIMRDKFIKQVQEEKIEGELMKAKVQADLEEEQRIAKQKKDKILENQQLLI QGNEVLKKQRELEKQKLLEEEKKIEEHAKKKERVLEIRKIRDEQRKAEKQAQRQKLIDAQ TEKLKKQKEEQEKLLNKNIVEAEIKAEEVEKIKKEQRRKLQSAISYSHKVKMEIKQQQEE EEKKLNQEFQQYWINRNKELHEQELREKMEIRKKNQELKDFHHQQENERTQKRTQEIMKN FDERDKCLARLDNQDKEFDEWAFQMVKRYQAEGKNTDILMKELNQFKYK >CAK82730 pep:novel supercontig:GCA_000165425.1:CT868441:218197:219261:-1 gene:GSPATT00017101001 transcript:CAK82730 MQTYEIIITIGNCLSLCGALVIFFLFFHFEKLRQGFFSHTIIYITIGSMIQIIGIQLSSS YGMTNCKVSVSVLICGSLIMIFWSTIMIWALKKLFNVYSNRGSLQELENMHNQLRNNEFK LLLCSFGIPILLAIWPAVLDGATSEQQTNNFCYLYTTSHQTSAVKIQVEITKLIAWEIPI IFYLIYSMTVLYNIKKLQSIPQNNNLVMKKSLMKLYLQKSKKLLNDCQLILLSQSFVLFY SQLWTQRPSLMLKQINFNSKIGGIQSTISWTMLSLWEFFNFFAYISQSSVQEEVFRGNSK EQELNPLLPQDLEESINERDYFYQINSNMQESGQQNN >CAK82731 pep:novel supercontig:GCA_000165425.1:CT868441:219318:220869:1 gene:GSPATT00017102001 transcript:CAK82731 MDQSLNDAEAFLKQKIKINPLKDQMIQNQKNYEIQLQVAHYFKKSDTYTKKSAIKLMSNE NDEQLVYFIFGEHIPDQSKKQDYESFKIDVIETMHPRIDRFFVIVFFNTNMMTASTLNDF KDFYGTLPEKYFFHMKKFIVLHANFIVKGGGIFGMNEIKSFFKKITTFADTLLILSKEEW FRYKMLAALPESVKAYDQSYKDIENQRKKTVLRKQQTQQAVGDDDIDSDDNFDKDSKILG LNLHEYERNKFGIPVILDMLVQYFEKQPEKLQTEGIFRKQAAVYEEKVVEAALACQKVGF LNKVENAHTIACVFKQFFLKLKEPLMTYQLYDWVVQNKPQIEQDLEVSVQMLFDYMPEMN REILLFSLGFLYSVIREQEHNLMTAYNMAVVFGPNFFRSEVVKMADLGHVTLFVQIVQIM LEQKKDYDYKKMLEKRSDNLNFDASLVPFLPDDLANLDDILDMVSDKNVVNETERKELKA RYK >CAK82732 pep:novel supercontig:GCA_000165425.1:CT868441:220923:221198:-1 gene:GSPATT00017103001 transcript:CAK82732 MNGNKGISRNNVLISQILQKEARINRSCNNRLPSLYQSARTQRNQIMTNQPYKQFRLTRY KNESKIFGNQILDIKKKQLTSTTLHTLEESL >CAK82733 pep:novel supercontig:GCA_000165425.1:CT868441:221227:221918:1 gene:GSPATT00017104001 transcript:CAK82733 MNPLLDNFRQEHKNLKSFKELIKLESKALKQFLSETIQLSIKYNSSLFDGFHYFLSKFQS DLQNQYILLKQLEKYFQKIKQDAQTCFKNLLEFDNKEFDEIDIDTNNDMPKQQNYSMILI DDVIKKKKCLRKKTSQMIEIFTGKHNFTLFNYQKSNNQENTLFSEEMNMGTNSEATILSN IIESIILDENESILDGGSSFEKQLLKDGQFHQILCEPKKQF >CAK82734 pep:novel supercontig:GCA_000165425.1:CT868441:222021:228209:1 gene:GSPATT00017105001 transcript:CAK82734 MRASTKISSKRVTLTSELANRSLSLAQIYHVGKGKRERADFLKDSNKLKFSLQTQAFKNS SHPSNIFDDRGSDQSDDSFYLSSENISEDSILSVHVVDKYNKNDEGSSFKQSNNSQSKQE IDQHKSQIQMDGINYKQNKNEGEAQLQKIIKKYQKQMQRNPLQDPYYVQLKILRGYERLK FELTRYHDKNRFLQMRKRIGLVKSIYFKLFMTTLIIFNVALFIYVKTNNRKDTDQIEQVI TVFFLIEVSFRIIASGVILNRKSFFRSPLNIYDFTLVVLTTLNLYRPDVIILDLSPLRMI TLLNYLGNVLKGLSVMLKALISSLKFLLEALIIVGLFSLFFGIFGVLLFQNLFNYRCQFE NGDETEGWIQCNENQCPEGMSCSYSDYTPKPPTSFNNIIYSVGQILRTITMDDWSWVMFF TMRIFNPWIWIYYLLIIFVGGFFGFNLVIAVLKTHYAEVAQETVQEEIKQQMMARLKEKQ ENPERDLIQIFDVAVLKHIGIYKAFLSYKKQLTNPLYQSQSHPIEELSQENIKTKVRTLS GRQRTNEKNRNQKGFQAYLDQFSLKYLLLPRFKYLDKFKQMIKVSNYTDDPLEMKLISRL LENQFSQLSPYPNYDLFQNFNSEDDVLLAFIEKEKQRKIVDQNQLIKQCRQIKFKQVYSL TKVPKQTMISSKALIPIKSARRKIKTSQIDQTNDEIALKHLNVNREASIQVKMNNFKHCQ TQSSFPFSVVSKKKIYVMIQEQYIDYEALCEKINTKIPTMIDNSTSNEFKYRLLRMKELK KRMIEKKNWSGSDVLLLDILRANEFNEIKQKLNYQDQQIWLTGLKGKITTAKKYCYILIS SRFSQFFFDLIILFNFTFLSLQGIVNPGLISNIEDITTILLCFEQSLKLFSYPYRELLNY PSQVLQLFIIILNFIELTLGDQITNSNLQTQKLIRGTKCLLFYRCLRYNKMASKIGQIAS KTFESYIYLTVLMLMVIFIYALIGMEMYAGQFNQLDTLGQLHSFDNILKSFMTIFNIMTN DDWYGVYVMGGNINYTFSVIYSYSMVIILNYCTYGLVFAILLDGFGKYLGNQEEEIMEKD ELQNQQISANSEQHEITYDETIANTNQLQSKTQKISLISHLLVSIKSSYKSIQTKHQSIY EGIECQQSLYLFDQSNILRIVITKLVIKIYYQYFIDFVIYSSIIIFIIKTYNDFETNSSD MPDKLQFIANIIILTDFILNVIAKGLVLDAGSFLTNTWQLIDVIYIIANLIQYQLNNQII KVLLFMGYFRPMKLMYRIKWLSQLRAALGQALLDILNVFITLLSVWLIFGVYGIIFYEKQ FGYCEDKMSFYVSYDDCIESNKTWVNYKHNFDNITVAIPTLFTISTFDGWGEILQVAENS QTSNTGPIPFNSYLYTYLYLIIFCFIGSMFFLSLFTGILFNSLKENQFKMENSQYTQVQR EFMKITNILMSDFPLYSAPPKSRVRKVSSKVVNNTHIQYFIYGCLILDLIILLLFNSEMS DNYFDIANSMHYSLTILYLIWIILLFLALGVNRYFDNYWRRFYLFLVVIGLVDLIANSIT NWTHLYFRSHPGNDYYQLFRLFFSLRSLRIILIFQGLISIQRLLRVMVFAMPYLVKIFTI LIITMVIFALFGCQLYGRIDSGQVMDDIINFTNFGNAMLALFKCASGDDWRTIMTDTMQH NPLCQEDDKYCGSAANQLFFILFMLLSNYVLLNLFVLGLIEQFEQFFQMQNSQIQTYVEN IDKIKNIWCKYSQETQGLSMHFKFLCKFLIDIGQPLGVDKDSNLWDACKLSSQLKLQSDI HGYIQYNFLMYELFRRCFYNEVFKSGSQESIEQIKKFNKESQFRLMYYRKNKNLPRSNIS PSATIQPNINLLHDYLNVLILFKTWEQFSQQLIEKVNQDQDYFTDQSVTMPGKIQNDYQQ SQNHILQNNQESELENEIISNASVSRHSVSINSQINRYNDLPIYKGSYDTSLQSENRDFP YFIKVNKQNELDE >CAK82735 pep:novel supercontig:GCA_000165425.1:CT868441:228526:234896:1 gene:GSPATT00017106001 transcript:CAK82735 MNNEDLERITFSNQTSIQGTAHFRSRLGNDKFENIKLNKQLKSQSFNTYGSGTPKARKTK KTKTNIILRNEENVFGSRFDNDNLSRKDISSVKMTFGAKSDKVKQDSTKQIIQQINEKVS KQKYKFAQEKMKCRTEKTINNSDNQFYKMNKKYEKIKQRIEQIYLVDLYKIYGYQRLKYE LNIYQDNYSFLELRKIIVKFIYGILIILNHMQRTIFYKIFMSIVILVNLVIFVSKILSLD DDKFVVYEIMVIYIYIVDQLFRIISKGIIGHKHAYFRVVKNWFDLILTVLQINTTLIRNI ESVDFSPLRLITLLLYLGDFVPGIYTFKLGLKIMLKSLGKSFKYLIEALIIVIVFGLFFA TLGQSLFHGLINYRCLPRQYDTLDNQPWISCRITSCPDDLVCQYVQSGPNIPTSFNNIFS SYAQVLRTITMDDWTWVMYFTLKSYGTYIWVYYLLIIFFGGFFGFNLVIAVLKIHYSQQT NQKLNQLEEDDQHQQEEKLNLQVLKQNGLYHPILQYKLYLKGDHQLLHKSFCSLGSSLSE QPRILSGKHYSKYDNNYQFLKQFSLKTLLLPKFLDIRKYQNLLQDLYDNLMEKPDINMQC LTHNEFTRYWANAKNVGYISNSASDVMHIKSEISQKMLAQQRVLQFRYHTKPRNHMQNQK EFKVFPIFKSSRLKDKIASFASSGITLMDIHHHQSRATSQLQTMSIHPNNNLFVSTQIKK NTNTFILHKEKEIYVKIYGFYHNYKAVSELINKKIQIRIADEIKDYEEKYLQIRMSEMQT GIIESKNCSISSVINNYEHKNIIKHSLNHVDYLIWLLGIRGKIIIIRKITFIIITNKFTN FFVDCVILINFLCLSLIGIISNQFLTVIEDFTTIVVSLELVLKLISFQTSRLIQKDQLIF ESIIILINFSEMSFRQVLNQQDIIARLLRGTKILLFHRCLKYIRMAVLIGLIAKRTFKQY IYLTFLMFLMILIYGLLGMAVYASSFDETTLLGHLHSYKDPLKSWMTVFNIMTNDDWYGV LALGTKANQVFAFIYSFSMIHFLNYITYGLVMAILLDGFGEYLIEIKKDQDIRFKDGVSE KHDSDSDAEENDKEKEKATKSLKQLAQNQQVQKDTQYWYLLESILKQIKTKRRKIYLGIK CKESLFCFDKFNKQRILCVKTIRSEIFYWSRLGLNIGFIVLLAIQTYQVEYMVIVDSLIL ITNLLITVQTIMLIIALGLIHEKGTYIQHVWQITDIMYLVCYYLQLINTESRILHLFLYL RYLRPFKLLYSFKPIVKLYNSLVAALYNMSNVLITLLIIWTMFAVYGMILYQNKFGFCDD LMQFEINKQQCEDEGRRWITYQHNFDNITVALPTLFVISTFDGWGEILQVAENSRPSYQG PFPFANQLPTYIYLTLFCFIGSMFFLSLFTGLLFLNLKASQQKIEYDDLTVYQQEFIQIS QKILRDSPSFSQPPRNIIRRIAQKLNSNSYFQYLSFLILILDTIIQMSFYQDMDVEVALE LNKFHEIISVLFTFWVIVQLLDYGFKRFIDDQWRLFYSFIVIISMFELIATQKYDLFKIQ FTSTMFTENYQIIRLLILILFKGFDDLQRLIRVMIFSFPFLGKILFILIVTTLSYALLGC QLFGHIDKGVIIDSQINFSTFTSSLLTLLKCGSCDNFRSIMTDTMIHNIYCYDDPRYCGS QFAQIYFISFVFISNYVLLNLFVLGLIEQFEKFFQSENSILQVYIESIDKIKTVWCKYSY QRHGKAMHYLQICKFLLEIGQPFTHVKDNIWDAARLAGYLKIRTDDQGYIQFNSLIYEIF RRTFKQQVFKQGSEDSIKIIKKFNKEMQMRLFYFRRDKLQKRSFIATYVEFNSNFSIASD YLSILVIFKAWQNYTKQLIKRSKLEQEDFSDNSSFGQEILTYSQEQTRKKNKIPSNPIKS NQCLTFESDNNLNFKQLDLPVYNDNEHYLTPKRDVSKMTSNLLQQLQKRTIIKPKKDSTT PSSIIKQPTKLARYPADIIFNPQSNQSNFNQSANNSFRSFISHTESSLSSIDGIPGMII >CAK82736 pep:novel supercontig:GCA_000165425.1:CT868441:234933:236170:1 gene:GSPATT00017107001 transcript:CAK82736 MKQRLVTQTDEGSQENPIQKSNSLQTQFQIIQVVGNGTFGMVYLALDTKTNEKVAIKKVF QDRRYKNREHLIIQELNHPCIVKLRQAFFTQGDNSKNADDIFLNLVMDYIPETLSKFIRN YKKNKNPFPNVLLKIYSYQMLRALAYLQGIGICHRDIKPQNILVNPNNHVLKICDFGSAK RLVPGEPNVAYICSRYYRAPELIFGATEYTTSIDIWSIGCVIVEMLTGEPLFPGESATDQ LVEIIKILGTPTIEQIKKMNPQHQEFKFPQIKCHPWAKVFAKFKPEPLFVDFVSKLLVYP PKERLKPLEALLHPYFDEIRQQGFSMPNVQLPNFFDFQKEELQIQPEIAHKLIPSWFKQK Q >CAK82737 pep:novel supercontig:GCA_000165425.1:CT868441:236403:238341:-1 gene:GSPATT00017108001 transcript:CAK82737 MQNKIWRDVQDVEQLSQGIVAEIQEIKQKAEKSEDLVYSMCKDIKSLDIAKRNLTFSIAA LKKFIMMLTAIEKLKEHCKEKKYAEVANLLSAFTELSQYFVKFQNIPQILEINGEKEQLI KDLKLQLIDDFILFQKGTNLMQVKDLQDACQTVEHLGLKFRDELIQKVCKYIISPYQELF QQIENRSLETTERRYAWMARVIKDFDTKTQNVFPEYWAVHCQIYYEFCAVTRLQITDILE TLKDLDVAVLMKALQATLKFEQKLNDEMKKRYEEWLGKKNINQFAISELPKIKGAISDSF EPYLRPYIDSEEREIMQHIQTILNSDKLDVEGDLKILNSSMSMFAYFKQMLKRASQYSRT QVMFDIQKLIKKALKRYSDEIIFKINQSRNNEQLSQILCCFVINTAEYCKETIPALQESL VQHLDSPFSDQVELSNEEEYFNQMMNKSIETLLVYVDSKIDQFYQQMLKIDWNEFENMGD ASKYIRDTISFLEGHIKTIKDLLSESYLIFYLNKLVVYLNNKFINSVFRIKKISEIGLSQ LMLDVSELKTNLVRISKLKQESKSQQSFNNFVQKTLSRSDSILKLIQMSIEKFVENFPDY AKKYESAPTDLDKILGLKQLRRQDVPQLNKFFTKIN >CAK82738 pep:novel supercontig:GCA_000165425.1:CT868441:238528:239242:1 gene:GSPATT00017109001 transcript:CAK82738 MKYILTEEHVPIPEKIEITQKSKVVKVKGPRGELTKNFRHSALDIQVQKKVNKKNNVAKS RVSVRMWQSYRKQRCQVNSVASQIRNMIRGVTTGYKFKMVLAYAHFPIIINLIGNGQGVE IKNFLGEKIIRTIKCLPGVTITRNEAEEKNVLTLQGNDLNNVSLTCALIHQACAVKNKDI RQFLDGIYVSEKRLEI >CAK82739 pep:novel supercontig:GCA_000165425.1:CT868441:239648:240493:1 gene:GSPATT00017110001 transcript:CAK82739 MNMPYFKPRSQASQNSFIKQYQDFLQKNRKNTASILEPKKQQAKQSYSPLFKQPKLSQTK VKNLRLQTSPSPKRAHCYSLSSNQQTENSTQIDRSLSQYEFETKDKSVVSSASLFQETTK QLEEIKQQTPELQQGIVGILGLIKKEKQVILNDMKHMTKQMNKYKQQYEEIQKQQEQLQH KFKVAKDGNEIHARSKDKVNDTYLQMIQLLKGLQVEYNDVLPELEFSLQNQIEDVDEEVR IPDKDEIIILQKLIIKKLVKKIEDQKQLNQLLQTKIKEYEI >CAK82740 pep:novel supercontig:GCA_000165425.1:CT868441:240517:242366:1 gene:GSPATT00017111001 transcript:CAK82740 MFIIILFQIITTTFAFPDVTLSFGSLRPKQKDPEENLPAVVYENNQMNRYFDYDQFPLLS CCPTNLTKYKVDTLNLHGHGKQKFTLPLIITNNQDKTIVLRQLTITDYLDYQDIPVAPQL SSLPIIQPHQSFVVDLIHSCERQVNNSNYWSIQNVFIRFQDFQGLNFQYQFICDSDFHPK RFDWSNVILITFDSVAIIILATFGKIYSFRITFVTPAKRKQFQEQNIQNVDLSPFQGFYL YWPQALVYMMLLLIALFTSMSYKEKAEQIIKILVYIICILTSFHFFNEIFGKFRNTLPFL AQKIYCLKIRDYFSIILSALLLFFYLYYEQPWFVSNLLSICILGSLIKLFKITSLKHALQ FFVPLMIVDIFCSIYLSQTVRYEWDSVALRYFNTPLSAQFPYFRYIYKKKCAWVSIFNLL FPGNLLNYLLGFFLGYVNRFDKHKQTYVYEIIAFFGLLLGLLFWVLIQYIFSFPLPTSIF TEVLMILTTALVAVQRNEFNIFYSGNFYDQILMDPFKNDIALQEPTTVEMFGLGTTTQVI NQDTVQQQDLVTRGEQGLIFNNQLFAGLASINRSQQQNVLQSKQQSQFQKY >CAK82741 pep:novel supercontig:GCA_000165425.1:CT868441:242805:245424:1 gene:GSPATT00017112001 transcript:CAK82741 MKRSEQINKAISIREAQEVENQLLKQNHCQVLGFLKHEQRLYQSEITINLSENSELIFVK EGEVLSMQVLQFNSSQKYIDHNTILNISPNFEQLKRLFWVGQTNKKGQKIGKWNAFWRGE NLNVGGCYYDNGEKYGKWIELFENYWDKAQVTYQGYYENNKKQGYWKILSSDTEIGGGEY EDGEKTGYWVDIYENYSRLAILFISFSWCKVTERGKYKNGKKIKEWIQIYQNKIVGAGTY NDDYVKAGQWSDLHENFYDWCQVTHEGKYQNGIKKGQWKTIFEQKTLAQVNYKEGGIKDG DWCEIYNNFSINNQTKYICKYKDGKPCKQLHIIDKIHTIGGGTFNENGMKNWKWIEIHEN YQDYGKVTYEGIYNNERKEGKWRTYYNYFLIGGGCYQFGLKFGNWIDLHEHFQDSCQVSF KGEYKNGKKIKRWDTLYKQEIIGGGQYDENEYKIGRWITLDENFGSLCQVTWNGQYSKGI KFGKWEIYFKNQIIGGGIYDYKGTKQGQWIELHSQFWDQQCIRFSGEYFDGRKQGFWETL QQNKRIGGGKYDLDGMKQGKWIQLHQKFLKNNLFFIGDYVDSRKCGKWDALLDQKLIGCG LYDDNGFKIGNWIDLHEPYMNTCISYLGKYNNGRKEGEWKTFIQYQQIGGGHYDENGQKS GKWIELDGNYNQNILTREAEYQNGIKQ >CAK82742 pep:novel supercontig:GCA_000165425.1:CT868441:245495:252226:1 gene:GSPATT00017113001 transcript:CAK82742 MGNTQGTPSDLDSFRKYAGLTIKHVMMLRYKLYNRNRERLEMLSEADLSITKDAFSLAMK TKEEETERIFRIFDLSSSGKIETLEFVCALSLMAYANLREKCDLMFGLYDFDRNRTMNKQ ELIILIKTVLTTVNAISSKGECSIQEAVGIAQVILDRYDTNGDASISAKEFYSFVSKDPD IVKMLLSYGLISIQDLRFNFGEPQNSELPVPEADSDLENEIYKSRIIKTAEDEGVALGLE TQMKGNGIEQLAWYQQLSKDNMIMDPDDPEDVNGNPPNATLEIDHVYGFRCFDTRNNIKY STENELLYHTGSLVIKDGEKQEFFMEHTDDVTCLDVWEHLVATGQMGSKPSIFVWDSRSM ELRAVFRGVLTVGVSNLCISNDGRKLAAVGMDEDQCVVVYDIEKGSQTRMNGRKDESLLA NGKGPIVEIFDIKFEKSDKQIVIACMGEVNFVTYDNNVLRVVKGMWDSKYCSYQAILSIG LLENAVVVGSFKGYLLLWRVGRTTMAIPDAHKGPITTIHTCSKKIMILTGGKDGQIGWWD HNLKKIRAFNLANQKDIQLNNIKITALYENMDQTAILIGTRGGQILELKNSELKVRVNSH FDGKLEALVCHPTKDLFYTSGEDQMLMLWDLSTKELKEKKKFDYCIKTLDVNDKYLVGGC GNGNVLLLEPYKLTLLQDFKDRDSEITCIRIVDEYLIAFYSSPYYEFFVYSIRQKFKKLL SIPGRPGNCTSIDYSMTKRILVTFDNQQLWCIDLDQRQLIKYKEDDWKSFTSIYGWQIKG AWPQKADGTEIITTDRDSTKSVLAIGDKYGQIKLYKYPAYKLNCSFYRYLGHSGRISKLR FSFNDKCLISLGENEKSIIQWNFQVEQNVVERSSTAHSRNRSAFKSELDQFDDQMDGNDN QKTNKPFLDQIKMDEAEEESMKLPVNYLNLRYIFGVSTSYKGNTLYQQLKYAGQQKIVYP IGCICVILDTKIDQTAPYRKQNFYYGHTQLILCLSVHPKSQIIATGTVGDEINLWDVDTR QTLVHIRGYHKNGIKNLKFSIDGTLLLTCGSDQFNSICVYDWQANRIICNSKVDKSPLTD CCFLGNPKVIQFVTVGKYMRVWEIKGRNLSFDQFPGQLSAVTFAFNSELVCANDQGELMV IKNKQTNRILGHSGRVNVLIAVKQNLYSGGDDGKIILWHSIQNQLQQIQQFMETQTPPLL SMDIRNDNIVFLTSTCDIKELVITAQIHSIDIIKGHGDEVKGLAVSKYKVYTCSSDRTIK VWDIRNRVCSLNSEPYQFQLRSIDVYNNLIVCGDSKGFLYLLDEKLSPLGSASTNFNLKQ KTIAYEISRVKFDPKGKRVCVGAQGGPSHVEIWTISQADNSYKFDKEKQIINCGFTGGIM QMDWSEDGTHILASSTNYELKCISLPKQRDVQYNYDIKWQTWSCIYGPGLQYIYPHNPGE DITSVCETGNVKEFVFVTGDQFGNISLFQYPITGRCCRTYKGHSGPINWIQFCMETEAKQ YAISIGQKAIMVWQLEIDEPTVLDPETEKLLGINVQAQKKTGLEKYGIPQQQQAKDEEFV DKISLKPWASNIKEPSSYYKDPINQNTPPLIDLELEHAFGYRGNDCKNNIRFLKSGNIVY HSASLGIVLDMNINQQKIFNMHEDDIISMDLHQDGIRVATGEINSGVIYVWDSNTLQPLC KFSQLTKGIKSLHFSPFNQLVAVAMDEFSTIAIFEINTKSESGGSLSFKYQSGRQVINEI KWMNENTFYTIGPYHLKEWAYSSQMVITKIPLQDKLILNTFLIDEEEIYLADNRGKVLIY QKKVLQHTLEHHTQQVDALFKTKEYLFTGGKDQLITIYDAKQIREEFLIQFKLLDLIKLA FQPNIKSVCYFEDKLVVGTVGCEIWELSMKDAKITSQTKFVPKIMMKGHYAPQIQSELWG LAIEGDFVYSCGDDATLRQWSVSQRKQTLFIRTVQDSNFVDIKPDENGVYPDSVKGRSIA VNSDFIVVGFKDGGVRVYDRELKQKSYFKVAKDWISDIKFSPNNEYIAFGSHDNCIYIYR LPEFVVHLKPLRKHSSFIKNIDFSVDSTKLISTCGNYDLIFWDITTGKQMPQGRNILRDE KWSTFTNTLGWPVQGIWKDDYNGQEINCVCRSTSTFAPKQPDNYYLLAVGNDFNEVLLYR YPCINKKSEPVQRRGHSSPISQVKFTQDDQYLVTMGGEDMTILLWRVIKKL >CAK82743 pep:novel supercontig:GCA_000165425.1:CT868441:252245:252497:-1 gene:GSPATT00017114001 transcript:CAK82743 MSLLKVTINNNGVEIANEEYQYQNIVQLMDELKKAHGMIQEKTKDINYSIVDTQGAEGVK KVKKNPEEEEEDDIQDNE >CAK82744 pep:novel supercontig:GCA_000165425.1:CT868441:252564:253072:1 gene:GSPATT00017115001 transcript:CAK82744 MDQQDYHKIDPTIIEDPMLDDGYTRKFGAYKPKFHGTTPGYYPFECIDYFYVVLMTLFTW GMCLPIWYGLIEAAKGNSKQYAIVCAVVFVGFYVIQALATYYGGRMKKVIENQMIEDKLK EMQQKELEKQKLLAAI >CAK82745 pep:novel supercontig:GCA_000165425.1:CT868441:253139:254105:1 gene:GSPATT00017116001 transcript:CAK82745 MFYQQFKEYPKNSQREKTQQERKTIKSMDWNCNGSYLATSSDSTIKIWSFDGNNIKRSTD LKQGSEQLEKLLFHPTQPDIIASITKEYVKIWDIRTKQSVRQEKKEQMGIACWSPNGNEL AVGTKQNKETQIMFLDMQGGERQIMYQPKDKDKNFELKNIMYDKDVFVAVGQQNGVGMIQ FMDVNNPQLPPLHQMEAHRAGITQCKFSKDGQHMYTGAQDSLIILWQLPDFVVCRIIDDS GIRDQVDVCF >CAK82746 pep:novel supercontig:GCA_000165425.1:CT868441:254172:256423:-1 gene:GSPATT00017117001 transcript:CAK82746 MGGALKCGIQRKPKKRNTHKLIAQKYLGKFDDFELDMLILIFKDLASRQSNLMLSRDTFN TFFQIIGFWGEQIFNKFTNKQEDYMNFDEFLAGMEMYIKCSDEQRIVNLFQLYDLQNQKV IAKSVRQKQMQNYPQYELKKICEDQLFLDESLIIDDFGNRRKHRHRKYVMHLNNESVQQL RKQSQNISEQQFESSHKIISEGLQSCFSKQSDALFVQDSMIQENGTPQKRFGLMITAQVD GQKMEMCVNQSLLIKKYVDLVYKNKHDQLLSLQEFQTFIAKHPNLIQPLYTAFNYNIWGV TDKQLNYKQLILITSGDLYRISKKKEIKQKYCELYPHVLLEFRKKGEKPSKVICLQGLII EEKVDQQVQKYGFKILHVGKQYNSKSYECSDDKQFRLWSNALQAYNKQLLYLYWSGEISK KYSILEKIGQGQSSIVYQCQDKLTKETYALKIINKQQISQNQVEAIKHEVDIMKLINHPY IVRSVESFENRSHIYLVTELIKDGDLYDYVEERKYLAEEEAALVLSQLIEALQCIDSMGI VHRDIKPENIMIVLNQNKIKQVKIIDFGFANYLSTLNERKEKFECGTMNYIAPEVYQQCK ELTSKIDNFALGGVLYFMLCGYPPFYSEIPLEIKENIINGNYDIQDEFWQCINDDVKELI AGLFEVDPLKRFPLKQVKEHIWMKKFLERRKSKKK >CAK82747 pep:novel supercontig:GCA_000165425.1:CT868441:256835:257790:1 gene:GSPATT00017118001 transcript:CAK82747 MTLFPSSSCQFSSYQLNEKLCSMILNIKYVSHQFLKLLKLNQFNCHMNKLVVQQVKSIFN SLPNKDLDKLLNLLLAAGITKNQKQVGYKCVECSIMFKGINASGFGRDEAEAIHICLKNF IDQMLEEEGHLSFLRNILRDLKQLDFQEQDIDQLQQKIGNISIIQNNQNYQTVDSLLNGE NEQILGQIVDNKPKKFETLQDNNNKENIQNQYLKCCTHEQELKKEKMRVEHLELRIKLIL EENRILKSLLNEKKESARQESNREGDTKRIRQQARSSSVKMSKIQQLNGVPKLNLDALPT YIGKQKTLF >CAK82748 pep:novel supercontig:GCA_000165425.1:CT868441:257822:260961:-1 gene:GSPATT00017119001 transcript:CAK82748 MKQQIAPKPKITTQLPILPEIKRSSKDSSGTPLKFMKPGVQNPLSQSRLSRQSSSIETTI SQESQRKQSQTKKPNVETLVKNKTYFNHRSLMNDYLKRQNISIATKVFCFNSQDEYVRRC LQKHGWLETPSSQQIFDLKWVYTENPDDFKTLLDGQFYNHFSNTKELTTKSCLLANFKNQ CEYGYDTSTFFPRAFDLGNATDREDFLKEYERTAVTIILKKAIAALRMKRKNEMKKLKQV IFQEWKKREENSKIRRIDVKRTVKRKYKNIYTAQELEDQSQQSDVKFDGSIMSEVLSKLS DMKRQLKDGFYDDKNFELSQQYISKTRLNLIAYSQLQKFERGSNPSQFLIRKLYRYHLFL KKYDPAYKVDGIHNIWIIKPGGCARGQGIYLEKDITEAINSGQQMQARLVQKYIERPLLY KGFKFDLRQWVLVKSFQPLQAFVFSHCYMRMCSQPYDVKDTKNLLKHLTNFSLNKSEFKN QNDSIYSSDFMQQWLPVDWQKVVRPQCNQLMIKTLKILQDQFEGESKYCFELFGFDIMLD EYCKPWLLEVNLSPACAERADWLHEMLDSMAESMFNIVFGDEFSKPKKYYYELLIDEDQT YNNQQQNDGQFEIWGQKCNIKREKMIDKRYIESLAALMIQKFYRMYKAKKIKWFLRDTKY AIVIQKYVRRMLAKLLRIRLKNYYSARKIQTLIRGFLAKKRKYHLKRAKMATKIQCRFRC NQAIQIKNELKKELALLYLDQLISFKISTKVAKDLKLKLFKYKKIQRWWKTQYKKRVNRS AKINSLVKCFIQKRKYKKLLKNHRAAIVIQKNYKRFKAIKLRKRLEKEQSTLLLQCLMRI KLANRQRRLDIIQNGLNKLTKAYGRHKSRVAMVNIWNIGLLRVKAIMKIQAYRLVIKSKR YVRRLKRLKKFIRLQAFIKGFLQRQRYRRLIKRKRAIKLIIKYQEKNPIEKGERAQSLLL IAQQSKYNSNYNHQKLHQTIQQIISKAKNANAKRQTIQIPKKK >CAK82749 pep:novel supercontig:GCA_000165425.1:CT868441:261136:263533:1 gene:GSPATT00017120001 transcript:CAK82749 MTTPLDNVTTLKDKIKILDDIKNHTKNLDLQAIYKHIHNIGQDSHELLEHALKINFGNVL RNQGSNDQTEALQVFKKVCETARDLNHICIYVKLIKNNSRQDLQKVYLKELGFQTEKLIT EFRKQGTIIQQLRLDTNDITKQRFMIEMITTLCPQDLGFNPELFSLMLIPFKCLHKADPT DISLLERLADVLLSQCNGQGIANQNQVHDWLALLTGIIQYAYEGNSIGLFILLRKIPDQH ISELLYRHKYYMLLNYFNNLKVALKIFQGLTFYSITQQSIFFIKTISQFLYEYALEIRSK QYSDILKYIYQEFILTTMLGNIQMVNYSAELIDLLLFYIVGMSSIDQDPFLKSLDLLYAR LKQASTFKIFNKEFATQRIQFLLTVAIYYNGLNTDEQYLNVIKIYQITNQLNPHNQYTQW NFSKLIEQQNQIDQNILLRDSKDFVGLENLTNTCFMNSILAKLIHDLVLQKVYQSLQLIP YPSKLSSLQKLFLLLTYQKQGYCSPYELKRQLREPYSNTNDQQDVSEFAHHFLEDLLELL PKHLQIPMEKIFFGYHRSCIECFNCPKRQPAYRPKEKFLGIDLHFNEDEDNQDLYDMIRK AYEKEQIEFTCDKCNQRTDRVFKSQQLIQLPSVLFMIVHRFTFNAATQTMNKMLTKVPFR FQIDFRDIFNQKKLNSKDCIYDLYAFIVHMGKNSYSGHYICYARQLNKPDVWVTFDDTMI SNLEYDSDQLDKELIAEETPYLLFYQNQSGQPLIFNN >CAK82750 pep:novel supercontig:GCA_000165425.1:CT868441:263554:264434:-1 gene:GSPATT00017121001 transcript:CAK82750 MEQDSVSILCEQKERKIKVILIGDQSVGKSKLMECLLNIESKVNDKQIQSVDFFISRILL PNKITQKIHVWITSGEIKNMFLYLSMFSAMIRAFLLQSNIYIIAFSLNNMVTLENALNTW FQLCVKNAKQTNAYYYFLGTMGDLERKCPKYNQIIDQINEKCSEYKVAVFGSKNAHPIKG IRQSEKWNDDHIIEFATKRLPQNVYYSECSATENLGMDRSMSIFTKLIMSAMDIQDKANG IVKVTEQPTSQQPQLQSTQDKRPSAKLEIQQQPQEQQEKMCCNIF >CAK82751 pep:novel supercontig:GCA_000165425.1:CT868441:264471:266148:-1 gene:GSPATT00017122001 transcript:CAK82751 MNNYDYTRNIQNKYVINLRKLLGQGSYAEVHLGYYYQNEKQQVAIKSFDKKSRRLKEVQK YINRERQNQLQLQSPYVVKMIDFVEDEDYFYFILEYCEQGNLQNKINTSTLTHEQVFDIF YQIVQGYKEIRNKQIVHRDLKPENILFSNGIAKIGDFGFSKLLDELDQNIPQSNLGTPLY VAPEVMDGNYSSKADIWSLGVILYKMLYGKTPLEMQPKRRSSLQWQVYYPEAITIPKTYL NLLQRMLIQDPKERIEWEDIFQVVDQIFYEQNLLRSQIRHSTEVREISALLQDNNTKVKS IFNYFLYISDIIKFIRQLMKEVQEISQIVSLSTEQQLSYSVITQKYMVNELKYYIDVLQG KNMFWIQILPADFKLFKESDKYDQTLQNFQSNYQQMNEPYNKAKKNYEQFQQSSIRMSTD HTNQILTQVQFKLPNNIVALSSDQNDQAFGQVFNQIYHNIIEHIRMKLNIQAIQDKDKKT LRKILIKLLYTLQPLQFSHRIFEPSKIEQKLLNEQNLENEFQIIYAKCGSQKL >CAK82752 pep:novel supercontig:GCA_000165425.1:CT868441:266368:267666:1 gene:GSPATT00017123001 transcript:CAK82752 MEQKIVFKEEARKAFLDADGNVDFGKVQAKWTELATVKVSLGNKYFTPEVVEQAKKLSAA DQQRFLDVMMGGLANDDSSVGVYATRPEDYDVFSFYLQPLIREYHKIEGETKQEHDWNIP VDEYVLTKIDPKLEKVSMRARVARNVKGWNLPPLMSKEERINFESKMVQAFENFGIKGKY HSLTPGHKNQITQEQADELIKRHFLFNDMTTDNHLTSSGVASDWPFGRGIWISEDETKMI WVGEEDQLRIISIVQGNDLGKVDQSLHQLLTGIEKSGLEFAEHPVYGVITTCPTNMGTGK RQSILGKFPNITNGGKDEKKLKDIARQFGLQARGMGGEHSAMDHEGTADISPSARFGVTE AVVTKRLYEGLIKLYEIEAQLGKEQQQA >CAK82753 pep:novel supercontig:GCA_000165425.1:CT868441:269110:269691:1 gene:GSPATT00017124001 transcript:CAK82753 MTFIVKTRKVLLNKVLNRRQLSVELLHPNKPTPSQESVVKELASKYKADERNVVVYGLRT TFGGNRTTGFALIYDTQQYLLKFEPKFRLRRRGIIPKRDGSRKGWKEVKSKLKKTRGAEK TKIYMSRKTDKREVIRAQKETYLKGFVGK >CAK82754 pep:novel supercontig:GCA_000165425.1:CT868441:269704:272841:-1 gene:GSPATT00017125001 transcript:CAK82754 MKKELEGLKWEKQDQDRKLERLYEQIAQANESQDHFRQQLEEEIKKTFSLYSEINKLKQE IEDLKAQHQKEMLQQQKVIQGKDEEIKKLQDKLQDFQDQDKDLSDKLKRLMNENEKNTQL IRQLQSEKVELEQQILELKKLLSQYEQEAIEFQKEKQQLLQKIEDIQNDKTEVQLLNIEI EKLKVELEQKQNYEELKEVANQINTIYQEKHLLETQHIELQLQYNRIVEELKEWKNKYAK VENDKKNSDSQNIVLKDKLDQQQDTYLAQIKAKDEKIRQLQQELDDAQQQNENLEQQVQD QCKNMKIIPKKVQAPLLKNNSFQQVFVEDKVFSKDAPNEEIQLKFSDINFTRSKGHLTIC FWVKIDRTTSKEMIPILKISSENKKLLEMGVYLDSKQVYSTFIPNNHPKNTKQQNPLTVT SQLPIKLGEFQFLALILNESGQYMDMGLCLNGVRDDQVSISTSLIFPEAQLSFGQYTTHH LVLKDCLVITENLQRINIFKEVFQTFYCKYNGIKKMSRRQGQKKDNISYLSAGDLIFFLI QQYEQSPQKSGSRSKSPPQNQAVTINNRTIPAALVIQYEQAIDQKKTQETNHEEIQEPPQ WSRRYSVDKLKKFLQTNLSYKQLLSPFIELYEYISLGLQLLQPQRNDEQPPTKFHKVIKP KIQLSPYYMMPYKEFLRYIQFVGLLRITIEKFDELCEQMEVVYTINKEKYIHYDHFLIVL RECIMKSKELREKKKQEKGEPEPPQKIESYKHTYKEIIVKSTGKEEQDIVLSNAVEVSEI SIKKNEQETKLEVKLKNDSIQTYPLDYLSGASIGSLNLTLQRKLTFIFTNNSKLNEIKIE NQFLYLEEQSEITLRHEVELEENEKIVEFNINDKQVRLVVQMSKMYIEEIPAPELDPDNE LENLSLPQVPENWNLGKFYVNITRCQNCDKHQQTTRHQEKDFIEKKEYVTNILKELFPNV EIVENEDKTDKLENFEVYIKNVIDHNKIILLQKQESMKNFKDHFKDKLPELYENLMHIIN FFGTTEKLGQEQDKLK >CAK82755 pep:novel supercontig:GCA_000165425.1:CT868441:272888:274480:-1 gene:GSPATT00017126001 transcript:CAK82755 MNTQEKIDSISEQVDSLSRKYGERSLSPTQDSQRRNMRRIKSGGQSLKLFNLKPQQQTFL KTTKETSGGGFYKRSQIMGTTFPSLRTREKKNNDSKQANTKNEENDSLVHLDSIGGIKSL LGPNHHCHFEIQVKNLIAKLEASELLTIKAKEELESVIWMVKNYNSEGLISKLLQLQQLK NELEKDQQQMREEIDMLGMQRDDWQSKYQEIYEKLLKMQGIENDLHDALHKLQMSNESLE QNNRRLREKQLEVQDWQRKCNTHDEQFKIRITKLEETLKEKETYIQQLHKKLQRLDRLRQ EKLEEEQRRSKQLHAELLDTRVNKVQNLQDEIVKQKKVIQQRVEEIEEQEKKNKQLNNKL NLLETQLKNFDDVARQEKEELEKGWQKKYKELEKQSVQYKRDLNQLEIQLQQVDLLVQQK EQEVEQAVAKSKELSGLNERQLQTIQANSVEILRLTKEVQEKDQDLEYAEQQNEDISKER TSIDGEN >CAK82756 pep:novel supercontig:GCA_000165425.1:CT868441:274597:275647:1 gene:GSPATT00017127001 transcript:CAK82756 MQGILIQQQQFTQQLIRIISKEFEPSEGLELELKHVMESFFIEIKQLEYEMKESIGNTSK IANHTRQSIIDEFHDAQHDQWKHRYDELNTEFLILKACNQQIELQKAELQQKLIDQRTKY EELNRQKKEDKDNYRQQYEALKKKAQDKIHRLKDKVVLFQTQLQTYAIESEHLRIIASED KLNSPQMPTTIKFKLKAILKEVQQLRNLSNSLLLENSKIIMQAKEQLQILNFKKDIQGTI IRRNNTPPEPAKIKQYYSQSQSIQNSPDRKLKTEKPNMIRKLNVTRSSISKNLLAEFDAS AQITKFIKQYYNADQQLRNSTGFHTKLF >CAK82757 pep:novel supercontig:GCA_000165425.1:CT868441:276274:277228:1 gene:GSPATT00017128001 transcript:CAK82757 MQPPEEKPKEEGEQKPKEEQQPQQPPQQQEKPPAKNYLDLSNKPIPPNATVIQFEVCINC SKSHSFCTQHDELRYAKLYHEFKEAVEAGIPNSFCVVNHAIQKPSIGAFEITHQSNALIS NVDKIIYSKKNSGLFPAVAPTVERIKRFLDDLQNGKDVKGYATTKEKKLEKPIEKKSKPT FQAYIKMKEEEFARQEAIREENERKRKEQEEKEEKERQERERIEAENKRIAEEEAAKKAE EERLKKEEEDKIKAEEEKKKAEEAAKNPQNQEGGEKPQEQPQGEKPAEKPAEKPAEKPAQ >CAK82758 pep:novel supercontig:GCA_000165425.1:CT868441:277247:279931:-1 gene:GSPATT00017129001 transcript:CAK82758 MSQYQSNDPYEFLHYCNDQDQQIHDQNKLFIENLVIYDVKYQIHHHKTVLQIFNDQNQLV KTLWGFQDVQFPTKSNLDQIIKDSIESKLIVRQSYLNKQTVYSQTYPGGQLFKIGYQQEI DAWLIYCDNLTIVAQASKDLSEFQFQRFDQAVEVAELWFNILDEFSQEKIKYLKFDLHNR TVYGHIINQESLIYHPDNILQFIGIVDVNFEQAQQLFCFYGFPHPKTSQFKLQLKLLQKH VERIQRSDIELESAGAILYFNDQYYVVHTAEYLIYKHIQSMLLNEQHIERDFKQFVKSLQ VKPPRPFKFYQSIQEQGLKILESNNKIEKTIKFNFNDFITIILHNIFNNQTFKWNQLKSI KEYRVLWSSYQPSSLTRIPVYTGEDLQKNPENVFLIIPVGVNGVGVSTFARTFQSFYDQT QIVSDIQDAKIRDNYVIILEHNHTPEEAQSILSKCSQFYNKIILYPHTKKSFNQLSLPFS YELIVTAMKRTCTTIEKVQFFVKKAKSFENFRVSQLQVNGVIEFPFVNEEIKIDHQYIED DLLNVFSNESKKNLNQLFKSLKELHLQNQSDETYDEEIKNIIHQLFPKVTKITKHQPIKV DDVVRKESEDVVIHEKKTKLPKKEKHVQYDPTYLPNSLSFQLKGGYNIKDRINKWILDCL NAGNSHFKNDETYHKIVNIFQKQTFENGEQHFIPEKELKLELLTISQNDQSVRQLPYFKD FVQDKEAEIFIKTLFVSFDGLIAGLAHQSALPCQSPYPHIPIYFKNLKQRDSLSVISQTI LQNQILKQAFKDGQLSKVTNQPIFAAEDIKYRNKTYRVYVISLQDGIKVSAISTDRL >CAK82759 pep:novel supercontig:GCA_000165425.1:CT868441:279986:280506:-1 gene:GSPATT00017130001 transcript:CAK82759 MNSNPPPVIVLYKQSLNDPDKKNFSKLWQQEPIEVKEFFSNLFQALKYSKDGMAHAIFEL ETSDSLSEISEINWKNQGFISEQSNSMQELLSFLEIEKLPLQSAFLENNSINAIAQLCER MKTLNINEELNNKINDSFLKISKLRK >CAK82760 pep:novel supercontig:GCA_000165425.1:CT868441:280774:281521:-1 gene:GSPATT00017131001 transcript:CAK82760 MLQVQYDTISEFEHVQNNSFYDEESNVNIFTQHQLGLFKKTSYFVENEELNLKNNHECST AEETESSEHSDNSLEHLPSPKCPSKELPPPINADQHLFNLDMKYYPSTSQENFILNFINQ DDLQRIKVGLDYKILDDPQTLRFENWVQQMCNTKANQKEMQRKLKVKKYLEKKHNRTFGK KVHYHIRQKVAEERLRIKGRFVTWKQAVKMLEKSETKREWTHSDYFKIKILLNEKYGQTL >CAK82761 pep:novel supercontig:GCA_000165425.1:CT868441:286184:287459:1 gene:GSPATT00017133001 transcript:CAK82761 MQQIQELGIKCPVNDHDYAYMVCLDKTCKDIRVFCDSCDRKGEHASCRKDHQKLQTTISK FQEIEKESESLKQDLLLTAKELYKLFTQIIQIITKKYQYSKQRLEKLNAIQLHQALDHII KYDEFQNKYLQEVKQCSKNMILQLENIIAELKLENIVDTQELDPKEKVEHFYQKGYQLYS EDDNYEQAIKLLDLSLLIDPNHINSLFIKADCLRLLGNYTDAITWADKALSVNSKHVDSL HTKAGALLMLDNPIEALRFADKALSIDPKHAYSIHIKGESLRLLDQYENAIKILDQALHY DPNYVYSLASKGACLQSLEKYNEAIQSYNKALQINPNFQWAQDKKTECENQLKKNP >CAK82762 pep:novel supercontig:GCA_000165425.1:CT868441:288203:288567:-1 gene:GSPATT00017134001 transcript:CAK82762 MMITKEYEGERLFWYQFLRTKFQIIINNNEEVKYVTDGQILRLDHNKEPQKPPEILNNLE QIKYLQWHGQYGQNLKKVGKWKASWKGQILKNAGEQFRQEMFFEV >CAK82763 pep:novel supercontig:GCA_000165425.1:CT868441:290389:293988:-1 gene:GSPATT00017135001 transcript:CAK82763 MVRYSQYIDLNVAQKNDVCVVQVASCGLQQILQINYCSSLDYDLLIYQTFELNPHYQVTI SFNFWRIDQWNNYQFYLYADHQIIYNNVFQDTAISTNLCGSSSYQDEIIPISRKFEHSST TIFILMMSQKGVWGISDFILSIENCPTGCNSCDSTGCFDEYLFIQQFVSRTVGPITNNEG WLKNGIIETTPSSCSGIQCLRVFATQLEKTYNLKSHLKISFSIRVFVLNSYQTEIKILID DALVYSTEYSGGWIADNSAYEFCYFLTQKQINIRQYPHNGSTVKITILTTQNKHVYPISP WMCIRDVQLFLGTNSNVSLCNDNNKFAFDGCFSSIYDCVEGCSNCIQGTCLNCLLGWEYQ VFDKTCIPICGDGIITGLEECDDGNQIRFDGCYQCQFSCISECQLCEFGYCLQCNPSFKL SYDKQECLPQCNNNEISKYYGYYHDSNDECSETCQLECQQCIDSKCYLCEEGWQLRDNNC IQLCGDGQIADFSIEQCDDINNDPNDGCFECRLECLPYCLVCVDISTCVICEDNFQIVDQ VCRPICGDGIIISGQEDCDDGNNQPYDGCFECQFQCSKGCLDCEQGNVCKKCNYQYVLDK ETELCQEIQNGNQTDINDSLDSIQMKRCGDGILDNIEQCDDGNFDNLDGCSNQCIIEDKW DCVDINFQSRCFLLTQLSLSYINHTNPYQYVQLSFTHLVRLNEPITDLIPRIKFTIDDLS VDYYNYSIQEVIPVNEFELTQATYLLAIQFYSSMIDPPIVTVSVDASLVDENNFDVDKSP KQLKLQTFISLNSDQIAAAKQLYNFAFWIIVGLAVCSFLLIIFGDLSQFTEILDVLQYQS YLKFINVKYPENLEIYFQSSELVSMQPLLINLKIDSFFENVFDYYYLDGPGKFQEYQINA DLLTNLYGLFVQLACFLLLYIFLKYFKRFSINYWFTSVYVQKIKLSKSILLEQFALKGYN LSKHVLATQFLYSLKGLTQIFYANSWDLSFKVLIFLISMNHYTLRSIISIFVSILYFIVL ILIIDQNLITNYFKISPKVVKAHRLDVIILFKKLLFLTTLTGIQNDPIYQCFLLAQINFT YVIFIIIINFKSLDLDFIMNVWIEAPVMLFTILNIAYVKEFSIYFTPNQFIHYGFCQTGI LLLGLLGPLIKCFIKFYQKVKPLILTKAHKVANKSQHLFTLANQ >CAK82764 pep:novel supercontig:GCA_000165425.1:CT868441:295227:295982:1 gene:GSPATT00017136001 transcript:CAK82764 MSSPKYLYTLDLESENLILHNSEKQIISGAKDIQFWKIKNNSWYCSQIINQLEESEIFGL SIDHEGSTIVACCKNKKILVLKCNSTSNWLVAQIIVVNLPGYRICFINDDAFTFQPIFRG KIILYAKNKQNMFTKRRVFKIEPGGSIFSLMKCCFQFPPIFDKNKELLINKNNQQLQIIS FHKEQTNRVKWELKIEQQLWFNCFYFHGAITHNGDLLITYDRRKNMCQVWQHRDAIRKIG RYQQNKNKQIK >CAK82765 pep:novel supercontig:GCA_000165425.1:CT868441:296952:299807:-1 gene:GSPATT00017137001 transcript:CAK82765 MKLELKKFLEKFSRILILLANLVQGLWWFFVGLSRGESINYTLLILQSASLMMRLVQYFL MEKSYGLNLGLHIVSLLFYVGYFESMFLSEIENSFSILSNSLIITIAYWDYLKIAVQKYS FICKLGLPCYLLIKNIIQIISLPSLQAIESIIIIFVIVIHQIIAYYCQFNFKQNNQKTEQ QLPKKCENPASPNAENLLMHSQDKSQPRNSFIQTPKQCFTTLLKDLPNEEKLKQYSNLTS FSKSQKFYKSLSSSDHSKLQLYQNLINLFPYGILILNQAQQVSYINNKCEKILECQGAQL VLEKVKTCVRNAKIPDDESESTNKQEKNQLHYRTLSQIIKTLTDKEIPIDILDIILSPSK YLALLDQNDMQKSKHPSFHQKIFIYEWLMKSESRFSNNQQKKLKLILIPTSMTNQQQDYF SASSQVKSSSKSHFSFNNDVENTVLLIIIKNITNKFKCQQMKDEQIIHHSLIKSFSHELR TPLNSCSQMLNLMKIEDSNTRFQEYIDIAQCSISLLIHQINDILDYASIQSYQFSYHVSL FAIHEISEEIEHLYKLQMKQKNIEFNVKVSENLNGKVIQNDKQRIVQLLVNILNNAIKFT QEGGSISLKITEGDLFSIIFKVKDNGIGIEEQKLSQIQNSIHDTIEFGAVLKSHQGSRQQ GLGLSIAAKLVQGLVESQDNQLIINSRKNQGTVVQFKAQNLLQNNLLQSHLFTLQSGKFN QSIDQFELKELKLDDSKLIKSNSSRMDIDKEYQDQSSYSYKNTSRLNDFEKQPEILLPIS PEYFSDKCIGFKTSLLKQQDSAFTKRYSSYCQKCHHVLIVDDIPFNQIALKMILNNHQIE ADQAFDGFQAIEKVKQKLQQHCSTYKLILMDIEMPGINGFQTSKQILDLISNKSMIIICS AYDTQENIVQGQKLGITTFLQKPVKNDDLDVILKKLFQNETDCT >CAK82766 pep:novel supercontig:GCA_000165425.1:CT868441:301624:308380:-1 gene:GSPATT00017138001 transcript:CAK82766 MKRTLLIIAMISIATCQVVSKSEACTCAQLLTSGDCARNSNCSWNTTKLACEVPQSTGPV TVTKNYGKSLYCEGLAQTDCLKLNECAWIDNKCTFFTSCTPYEKTIKDDCQAISKRCITD GTICVEIDLCSTYLTSTSCYQNKAGNYCVWDETAKKCSDVTECAQLPTALTKDSECRAYL KFECTAKPAGGCVDSGTNCADQVSVEGCVTNKTRSVNCFWDTTTNKCFDKKCENASTNIK THVDCQAFLPTCTAKDGGGCVDIKTCADGKIKEGCKIDSAKKECYWSDKDLKCKDKICAS APNTLTTNSDCQKQFLASCITNGAGCVDDTSCGSSSVQEQCAVNRYNNRECTWNGSCKDK TCENAGTDIVGHDQCSTYSKACTGKANNAGGCQKRSCDNAPTTIISNAGCEDYLPNGKCI AKKDGGCISNTTCSAILLKDACVKDQNNKDCYWDTVGGNCLDKTCATLPTRLNSHSLCNG EINTCTVSSSGTCVDLLCENVVDKDNCVKDKSGADCVYYGSCYQKQCSAASQDNTTHAQC QEYLPACTLSNTKKGCIDLPLTCSALIVKENCELKANREKCGWTGSTCVDIVCTTAPTKT DDDYTVELCEAYKPSSNCVPNGTKKGCMELAAKCESRTIKEQCDVAGTKTNGGKTCLWDG TKTCYEKTCANATKEGFAGSVVVTGGEVTHNDCIAWLKDANGNPLCVVGTANNVCAPIPA TCSGLGKNSCKTNILKVANTDPAEYLNCFWNDTTGKCVDGNVCANLDKKTHTDCTNASNK KCTVSADETKCVDRLSCSQYTKEPQCKKDKNDAPCTFDAATSKCADTACLKTEEDTKDFI THAQCTAKSNQCTLTNLPCGLKKAACTDYVTELACLGGILGDKAQCQWDGAATPPACKTL TFDCTKVKGAKLTGGYCNSLDATCSANQAGTACLTAQDNCSLYTKSADCKWAKTDGDCYY SGTCKPVSTLKCSELAGTTDAECKTLKSSCIFGINGKCKPNCASLADPQTYDSCQTFDAE CSVQNDGKTCYYFADKNCSQLTSNTCTKGKDGTCQLTGTTCGQKTIAADANCGDVKTGTQ GAAKITAKYCKDVSGGKCTALPDQSACVVVAAKCEDYKTASSNLGSCYWATEGYCYIDTV CKKYDAGTTACTAIVLGGTTNLNFNICQEFSSTCTVDSSATTKCKDYTAPTLTCADFKGT FNFFNCFHFLKTCTVNAAGTACIDKEDVCGDYDASEKCAYAVTDGPCVWDTAASKCLQKS CDAITTTDVASPSIDTCSAKQQNCTARTGGCMKRVACTVYTKKSQCEENLSGGKCIWNTN TEPGKCYDKTCTNADSTYNSHEKCIALGNCTVKLGEDGTTLGGCINLGACSDYKVIDQCK LNSTKKDCEWSLVETPNKCVDKTCTSADPASNTDFEKCQAYIPKGNCTLGAKKNEDGTYT EGGCMALVLCTEYNTQGQCKKSKNKDADGNFLNCYWNDTTKKCGDASCSQADDSFTTHDA CITYGSPMNLKCTVDKDDKGCVPIPETCEEMSKGQCVDTDSKKNKCIWLEGTGTGSCATR TCENAVSPATASDCSNHLSYCTMIDSGSKCKTKTCEDYAFTDDATCAALFKNSKCTTNGK FCVNRGTCASALSQKGCTIDTSLNPCEWIVPTDTTKPAYCVQKTCNTAPKEYNSESQCLQ YFTPKIGSTCTTQQGGGCVQRSSCSAAKVQSACTTDATQNICAWDSDTSTCRNQECKDIS GSSHAACQNPTDKNFKGKCTAGKSGKCAAIQKCSLTTVEAACVVGTDGPCIWLPDYPNTD NTKGACFLYDSCRSLKWSNDPLCKYISDKCTTDGQQCIGITQCGKTNVNGGCVTGTDGEC ITTVATLGSTNKVCTKYVNCNSALFTTHSECKTANPKCTTNGTSSCIELAACSTYVKEAC NYNKDGVQKNSSGQITSTGQCKWDDTTSVCRDQNCSDLVGISHLACSSQLSTCTTDGTIC ITKDSCTKYTTNSACINALGTEGLCQWTEGTAGAAGTCRVKTCDDITGGTNTQTCSAIST CTTDGTKCIPKTTCDKYVTKAGCNTTGTDGICVWTETTTGTTTTGKCSLMTNCTAAAKDQ NACQLASNRCKWTASTATVASSCVDHTCETFNASQGRCTYFPNWDSSKYNICRTVSGKCT AADPKTLAESECYTLSAYMYSWNSKTSACAQCGTTIVTPNNSSNGNETNNNGSTSTDSGY VLGVAVLLGYLMY >CAK82767 pep:novel supercontig:GCA_000165425.1:CT868441:309236:310490:-1 gene:GSPATT00017139001 transcript:CAK82767 MHTCVFKDDARKAYLDAEGKLDLAKVQARWTELGKIKVSLGNKYFTPAVVEKVKGLSDSD QQRFLDVMMGGLSNDDSSVGVYATRPEDYDVFSFYLEPLIREYHKIEGQTKQEHDWNIPV GEYVLTKIDSRLEKVSMRARVARNVKGWNLPPSMDKDERIRFENKMVEVFGSFGIPGKYH SLTPGHKNQITNQQADELRNKHFLFNDMTTDNHLTSSGVASDWPHGRGIWISEDETKMIW IGEEDQLRIISIVQGNDLGKVDQSLHELLSGIEKSGLEFAEHPIYGVITTCPTNMGTGKR QSILGKFPNITKGGTDEKKLKEVARSLGLQARGVGGEHSNMDKEGTADISPSARFGVTEA IVTKRLYEGLIKLYDMEVQAAGGNRQNCCIIY >CAK82768 pep:novel supercontig:GCA_000165425.1:CT868441:311406:311651:1 gene:GSPATT00017140001 transcript:CAK82768 MGICGSSTIRSHPSDKLIEENKGKNGNLGSQSKTVRLFDYNQNKIVQVPVLKPATQNTLY FKRQAQSPNKNQDTVQQLTQP >CAK82769 pep:novel supercontig:GCA_000165425.1:CT868441:311748:314413:-1 gene:GSPATT00017141001 transcript:CAK82769 MSTHTKLGFSRSLKEVSPPQKESLSIQQVLEMFHSPYTEQLTDRKSEAIVRFCRQRSGGF LLEEIQELIEILRLSIAENNALMQPAIQKICETASVPFIKLRTSDQLKFVPKLSEFLDTL KPVLYSPQQESGSQQPELRMPVIKFLKCFAEEGISEIMKEEASFEQQKKQTELSPLQHLL KNGTRNLRALNQSNLIENIIFTMQHYSKQYEYLLPLLELISSCILYRDLAAKFSNFGILK DIVYVIFECEDFRSYIVKSCFEIIWNTIEAVGVASIKLFAVEDIISGLKKLFENIMKQGY KLEDKCLRNEILILLNYLMRDEKALQYFVDKPQAHTIQQQTNFLEVLLFYATIDEVTFYN EPIRTNQLKAFFGTTSEDLEFKKLIWSGILTAVQSGNQAVLEAVKESPFIITLLLYIDPL ANSYAVNRWSPPQKKEYNYIVQEYLGNAIVYLKDDFYEKNGLFCLTKFLTNTQELDHKER CLKAFNNASEFEQNYKIKICDEGVMDNLIEFLQNENDNDNPLKIKELCFSIISNLCKECN KNKKLFRQKGAVELMVNALKNPNLGTSARYALYAVSVLDCLWNTILGNRKSEAIFLDSEG LYVLLEFLETCDDMHKKLTLSCLSYLMENPKAIPYFCDWNSPKTMINSTQLLVKIYVAED QRYGVKYSDGIVTNKLRPLNPQNDPSLRTPNQQGDQKSKHMKSLRTQQEDLESDVGSEAY LVRRITEKSAEYDLRAIVFAILYRTGFDKNELLPNEKQMVEVIQLYPHFKIGEIWREIKY DLEQDKIKPTSDDYHWLMTSIEESEELVMNCMNTQGLIAREFRKVQEEELTKFYDIIRSN KLTK >CAK82770 pep:novel supercontig:GCA_000165425.1:CT868441:314480:314809:1 gene:GSPATT00017142001 transcript:CAK82770 MQYRLKQSIFGEQNNLNTFDHCMMNLEYHNLDRVFIKNRSLNQEIREEAKQEEGDNQSEW KDLSSEFKQYLGSLVEKAYDEKFHSYKSALLKIYTSKKHKDLIGIIQKC >CAK82771 pep:novel supercontig:GCA_000165425.1:CT868441:314995:317222:-1 gene:GSPATT00017143001 transcript:CAK82771 MKKGLIRLSSNYLLRQSKLFSTNNRLVFRFCSAKQEKHEFKAETKKLLDIVAKSIYTDKD VFLRELLSNASDALEKQRFLATQKGEQVPSDLEIKVELDEQKRTITIEDSGIGMTKQEMI DNLGTIARSGSKQFLEQVGSQMNDKIIGQFGVGFYSSFIVGDTVEVVSKSERSDKTYVWV SDGTGTFEISEAKDYFQGRGTKITIHLKPDQAVFSKKTEVLKTIQRYSNFINYPIVVNGE RQNIVSAIWVRNKNEITPEDYNKFYEYISNSKLAYKYKLHYSTDAPLSIKALLYIPQTHM EKYGMQMEEFDISLFCKKILIKKNCRELLPHFLRFVKGVVDCEDLPLNISREGYQDSALI AKLKSVVAKRIIKEIESEALKDPANYLAWYQDFQNFIKEGVAMDDEHRNSMTKLLRFQTN KSEDFISLDDYISKLPQGINNIYYYLAPTRQQALTSPYMEPFSNSEIPVILTMNHMDEVV FKQINEYSSKKFINIESDQAEVDKVAQKSTEENEKKEETNVETTIPNDEITPFCLWLKNE LNPIISQVQISKRLKTSPAIIVSAISSGMRQVMHAMGQSTDDLKNLTLEINVQNPIIVNL NKVRKSNRVIASLASKQILDGCLLSSGLLRDPKEVVERQHKILNVLLTSEINTPIVEEVG AYREEAIKQKESKKDDLDTEIFIDSDGNPQVVKKNKK >CAK82772 pep:novel supercontig:GCA_000165425.1:CT868441:317294:318248:-1 gene:GSPATT00017144001 transcript:CAK82772 MPILWKCVLLATDYQGQIVLVFCNGEIFTFSLVKEKDWQWTSSLKVIPQTSITQQEDYFL QLNGNGRKFFFSQVDQRYEMKIQIWQRGHKCNWTFQQSLKLYSRSKFLSINLSGSMLMVD HIRNILIWDYNHTQRKLARVQCVPLESTIASFHENESVIAAKSKQHVVFIKKINHMWVKY QSIKAEQEFFMQFFKNRLIIMTIYDLFMYKMDDSLHFQLEKQIISGIFTKEPQQCLFMGP IVMIQMPSLEIECYKYENNAWVKDKNYFNSNFQAHRIMYSKDYKKLFIYRKRYGGIVFEK KNEIKEKTKQ >CAK82773 pep:novel supercontig:GCA_000165425.1:CT868441:318319:318941:1 gene:GSPATT00017145001 transcript:CAK82773 MGNIIKNDQFKKGLQELLQNKKFKRTLKIIYKEKKNQILSVQSCQIFDNDFSIKQRLDQR EGMPIIFEHNCKILLDVTERQRYFDSCTLCNKKIKWDRSLSFCPNCKQETTYQLAYCLVV KIIDQISEDNQVTYKATMFGEAAKYLGISAKDFSNMSHDSQNDYLKNINEQKSHIVHSLK LEFKKNLVIQEILTQAIQ >CAK82774 pep:novel supercontig:GCA_000165425.1:CT868441:319004:320337:-1 gene:GSPATT00017146001 transcript:CAK82774 MKRLYSAVMLLYLVSATTVTNNQGVMDSYVNPGLQSSIDELTQSKIGHMVIDLAEVTMRV TGAVDSLVEGVKAFGRQIEERLNLENTQWEVVESEHNAKVISLSSQANQAEFDINREKKV LNSELIPRLHELDDILLGLKAKQTDNTQSFKEAEAARNTQHESFVLTTTEFTDALRNIDE ALDLLTDLFKSGEVRAAFIEVSDEQKHKVNKALRQVKANTENFGHQYSSFIQALTNLTEG FNFKDRQVLKEMCDFLNSLRLNIFDALTKAYKDEDSQKQQDELRRQQLTAEKAVFDQQYA DFYQEREDKTVRISDVETLISTREADLKAYQDRLRTENNNYSANLKIHDDIVSSVQQELA VLAKALQVVQTPPFLDFLNGRIAKA >CAK82775 pep:novel supercontig:GCA_000165425.1:CT868441:321595:322609:1 gene:GSPATT00017147001 transcript:CAK82775 MFINLEKDLKGLQKKSQEYQEITQKYLVADSGVRVMNDAFKQILNKKKSKQFFCKNCPKK FLSFPALKEHAKKNHGFQPLQNGEARKRGRPRRDVIKPSDQFIEWEKNQGQQQPKPKRPS SLITLRLESIKELGFGGFLRAPNLQSYPSIKDSITSLINKLEPQLEENYILIGLEEMNKY YEEDQEFYLEKNYNVSQLLVSFLINLTMQVNDELLRVFITFTKAIYFFIDNFARDILFKQ LSPEEYGLIPPICPNKKFHELMTIQTFPLILNDFLEFLPNFQEAFPLPFASRVLKEICDW STAECNGFKVYFNL >CAK82776 pep:novel supercontig:GCA_000165425.1:CT868441:322638:324087:-1 gene:GSPATT00017148001 transcript:CAK82776 MLSYENALFTVQVTRKHFFKDKNYSLYLFNDELVMTDDITKQPKYNLKMNLTTTIKWILE VKRIVGFEFSYNNGYKIVYGQKLNLLKEMIAGKIFYQPILSFYQFQMEMGSGMTGSVYRC VAADNANLYYAIKKIDKLKIAQHEGGIPQLVHELSLLSSLSHPNIVKLKETYADNQYYYI IMEYINGRTLYSELSSRQYGLSILFEINSQELLEAVSYIHDKGVMHRDINPLNIMKADTV KLIDFGLARKIKNQLNFPTSGTPGYMAPEIINYNKDKQYDEKADIYSLGCLLYKLQQQNI NIRLTGENLFNTKSAKQTIYHANKDGYFELKKQPQHPEVNSVKMEQLFILLPYMLETDPS NRLSAKICLAIIEEIDNNNQNVDRLIKKILIRKSLNTTTIEEQRSCERTSKGKIAKQSID GISKKSLDELSIFTKKVMVQNQSKVILPHKKQA >CAK82777 pep:novel supercontig:GCA_000165425.1:CT868441:325059:326610:1 gene:GSPATT00017149001 transcript:CAK82777 MFNQFLNHIRKVDQFGVVFQPKIKYSTNEYKTAVGGIMSIVLYGLSFSYLIYSFVQFGQG SILPKITSIQAKSDQQSVSFENEIMSFKIRPGQDNQINPFDPTALVLVPFVIPFLNNEIP PSQYFNFEQISFQGNTISIRNLNLQYGDPSQDEMEQIIAFVGCKQADIQPPFACANESVR NSFFAQSSNAMLATTQVNQFNTDKRTLEEVGKEQVLAIVDNSTYFQTTNLNIQESTVDTG LLLESTEKYVYATDYYLTSQQIDQQYFRNTFNYDAYLVFQLRIGKLKYYQSIQYPKISEI LADAGSIASTILLLSYFVILCNQSLLEKQSLNDIIQIYYPSYKDMQIRRTFYGQIKEVTY QGKRQDVDQFKQYQKELQDKAEKKLTILNQVYEISRLQFLVQNILKSKEQLRQSHKIGIK LKQFELPKLINEENLNGNQIVFTSFYQIPHSQLNLNCSTQKLVIIDSNSDSLQNLKKQQQ QNTIEESDENQLNDEDFDILIQPNELEE >CAK82778 pep:novel supercontig:GCA_000165425.1:CT868441:326663:329503:1 gene:GSPATT00017150001 transcript:CAK82778 MSTLQYVREIISRQISTLSNQSGEDKKALESEPYHNLQKSSVLLESRCFNDPQLQDKKCR QILSKLIYLINQGEKFNDQESLSLFFGITKLFSSNNVDLRRMIYLMIKVICMVYILQEFK DENSMYVVISCLAKDITSKNDLFRINALRTLPYVLDQSNLVQLDRYLKNAILEKSQPISS AALIAGLQIFRISPDFIRKWTNEVADRLNSKYPQNSFHALLLLHEIKSNDKVTFTKILTG LTKETLVPIANMQVIRFIREILNTDELDQQYEKLFIEYLQRQIHKSQEIVIFEACKALCD LKTLSNKDLQPMVQIVTVFLQSSNVINKFVALKILNRLISNPIRRSLITPQQIEPLIQDS NKSLSSLAVSILLKVCQETNIERLLNQIYEYLNDMSDEFKIDVLRSVKALAKNSPTKWKP IINFLQLTFKCEASQEFKKYSIEIFEFIIHEIPEARENAIMALADYIEDCQQSALQLSVL SILNREASKKQCPIRVIRIVNNRLHLEDAEIRAAAVGVLGKFLLNYPNEKANLLELLQAA SSDPDEEVRNRSRFYVSESAAPKEENPPLSIEELDAIEAYLQQNIQEIQQSNEEVLQLDK IMAYAEQNKGKIKKTEVLQELVEEELIQTHESESGFETYKKLFKESTIFCDYGVLRKSSR AQNLTDSKCEYLVTVVKHFFDNHIILEYKVKNTLDNVTLSDVSLELTIKNANLQFERIVP AKSIQPQCASNILVGLQFNPNLRLVSSNIQSILTFTVDENGTTYQDEYQTEDFIITYSDF FLPIQWFKKFQTEWESLKSQEMSATYQLDYKNTDIAIKELVKHFGFQVCDNSDQIQPQNK FHTLLLSGKYLDAKNALVICQIGFQQNIGCVLKIKCKSEDDNLSTNIVETLG >CAK82779 pep:novel supercontig:GCA_000165425.1:CT868441:329576:330747:-1 gene:GSPATT00017151001 transcript:CAK82779 MCDDSRYILDELFGKDRNVVASKRKNDYHYWNEDVCKNILVSKCFNDLWKHTKYDHEGEC SKRHDQFFINEFSCDRSIKKQQREKKYIEEAIAYIQDKLKEVDKILTKHEEQVEQSSKLQ VADRPKEIQDRLDNMERQINLLTDQSEKMGELGKIDESERLITEADNLKKAREDVLLAYE GTNNPFKTYKICEVCGARQSLYETENKVKTHLDGRIHQGFSTIRVELQKLQQRRLQLEKI LEEEARKQEFKEDIAKDEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKERSRS RDNSKDKSKKKSSKKKKDKEKEKERSKSRKHNKQEYLLMKFRKHHKH >CAK82780 pep:novel supercontig:GCA_000165425.1:CT868441:330793:332544:-1 gene:GSPATT00017152001 transcript:CAK82780 MPYSLVAVHDKIYLIFGDTLLLIQPEINKKTFLIEQPLTAFCVSDHTNPRIVGVNEGEIT LWRTSNLGKPNLQEPTFNNFAPKSKELIELQKILSDKLDECDDLLMLQNGLVFAKQKKVG EVFLVDFENDVLEELQTTYGCAVTCWTPISYDSIAFASNGQIVLTKFLKNKQAVVQIVLS SSKDDIHHGLCYSNNHLFSINKSAVVTSWNIQTGTKIKTAEVKFCPGVYSLQLMNPEIIV AEHKDKKDFILLNNNLEKQFFYKAQSQIVRMENLLHSSKNVDCFMMKRSYFTLRLKSIPL TTNWNLKEEKKLITWKSRQSCSQTIQPIQYILKISKSVNPILVKWNYLNNEYNEEEMLVE KYKTCQLYFNRSVFSYDFKPAPSQQFNLFGPKRTDDSNLITGISVYDENIKRLLKVQFQQ QFNADVFGMPCQNLLYVLNNKNQIEVWDINTQQQVQKADCRPSVVKQMKFSEQYLFLNAQ SEWIIRDLKLSQICKTKGELINKCLFLNQKCIINQKQNLFEWDLSSNQCKQIPIFKVYDF CLVQNKILVIGESTIKLLTL >CAK82781 pep:novel supercontig:GCA_000165425.1:CT868441:332659:332899:-1 gene:GSPATT00017153001 transcript:CAK82781 MNPPPGLGDDDEQSIGPPPGIDAPKKKKFHINKKLSQQQTSLESLNLELKVTVQDQHFDQ MNIWNPP >CAK82782 pep:novel supercontig:GCA_000165425.1:CT868441:332948:344549:-1 gene:GSPATT00017154001 transcript:CAK82782 MKPFLLVLLLVLSGSLGKEIIVGSDYECECLQLMVQDDCIKQGCQWQDYACKVKLKQGSV HDGESESFCSQFDKTNCSIQSGCAFLVDKCVEFSACSSYLQSTNELCQSISNKCITDGEK CVEISVCSDYRTAISCRKDTEGNYCYWENGSCSKSLDCGQLPKSLTSDAECRSVNSDCTV SSQGGCQLSGLNCYNQEGEQQCVWDRFMQVRCNWNGITCVDRSCQSAPISIKSNEECNSY LKGCILTVGGGCVELRNCVDISISAACVIDKNGKQCYWKNDQCVEKVCSNASELIRTFEE CQQFLNGCVPSLKGGCQTFNKCEDFIDQTGCQSGLLNCSWNTKSCVQYSCINADKNYNTH EKCSEYKSDCTVNASKNGCQDRTCDNAPKELKSNYDCELYKSGCITKINGGCVMNSDCSI ITMKEACLLDQQGRECFWYLNSCRLKTCTNAPNVYNSHDQCQTYSKLCTVNKTLNGCMDM TCDAIFQKDNCVVDKLGNNCHWIGLCFDKTCENAPVNSSFVTDAQCKGYLSKCTVRNTGM GCMDRPYQCGEMKIAEQCITNAYNVQCEWYQNSCKKKECQTAPSSLTTYEGCNSYLIGCT TTGAGCQLLNTCDQYFNSNSCRFDINNDICQWNNGVCANKSCQTADNTHTTNDLCNTYLT DGCIPKPANCSDMTTATQCTATSTNLSGDPCAWIGSCVDRTCSNAPASTDYDTFTECNTF LDTCTVVATGTGGCMTMMTSCSSYTSQRQCEQILNGNKCSWYLTSCLDRQCIYSPDTASY DDKNECHGYDNRCNVVRRIGGTGCTIRKATCNELSQHQCVKDSAGTICTWNTSANPPYCL NRTCSLTIGIASFTPTTCANWLPTCVVNNVSVPTGCLALQSSCTSYQYQNNCTYTSTNVQ CYWNGSSCVNKTCTTTEGITDFDHSSCYAWMTSCTVNKPTTCAAKPTNCSDALNYDQCYK NISNLLCAWVGTSCVDRTCNNHGYAVTEFTDANCSGWMPGCSGNPGQTACEITRTCTNHG SNVTTFTHSDCSGWSPLCTVHSANNACIDRTCKNYGSEVTDFTDSNCSTWLFKCQSNDDH TACVSSRTCTNYGTAITVFNYNNCNAWWDECTVSGSTCTQKTCYNHSITDFSHTNCNNWY YLCTISVDQLSCQPKTCANAKLGTYSSNNCYQWLNTCTVNSDNTACVSKRTCALTDLTTF NYANCVGWFNECTYTTVGQCNDKTCTNHSSNVSVQSHLNCEAWLQRCTYVSGSQCADKTC YNYNDFVYTQDHASCNKWLGICTTNGTTCEAKTCTNHGTNVTVFSNANCKSWLSYCQVNV GGTACENMRGCSPVLPTYTHITCESYNYLCTNNGSSACKLKSCTDTQLITYTFEFCQGYL SDCTVKSQFNGCEQKSCYNHSIQVSQLSHANCQTWLSNCTYTGSSKTCIPKSCTNHGSNV SVFNNANCQAWWSACVANSSGTACVNIRTCTSTSLTTYTHQYCENWLSTCTYSNTTSCQD KTCALAASYLIAFDPITCETWLNTCTSNVAQSACISKTCYNHGDQVTLYSNANCSAWLSY CVANSSNSGCIENKTCSNAVVSSYSNTNCSAWLSTCTVNTTFDGCIEKTCYNYGQSISSF SNTNCNNWLSSCTNLSNTGCQDRSCSNITPATYTSASCNTWLSYCTGNDTQTACVSTKTC TNYGTNIKVLNHTNCNNWLSSCTINSAGTSCEDKSCSNASPTLLSFGPYNDSTCNGWLSI CTVNTNFDGCITRTCSNMPSYITKNTSNCQSWSSLCTYNSSSQLCEDRTCTNHGALTLNA SNCSNWLSTCSFYSTSSDCESIRTCSNFGGSVSHSNCEQWLSTCTRKTDNSGCTYKLCEM YTTVIGTPTSESDCETYLSSCKYDSSNGICVEKTCTNFSGTINQANCEAWSSRCTINSSY NGCTFKTSSNAIQTLIYTESNCKKWSEAWSLKSTNNQCVSSCYNNGQHFSVFNHANCVAW DPNCTVNSTNTNCAPKTCANFTGTINHSNCQTWSSSCTAMYDNSKCIDKTCNNQNQNIGT CFSDSGCQGFRSDCYYQQCTGCKIKTCYDFADTTLATCQTRYSHCLLGQLSCISHRTCSN YGSNVTTFNHLNCENWLSSCTVNDSGNGCTEKTCYNTSITTELKNGYSTPSQITDGQCVS WNYLCTNDSTTGCKNRQCSDSGAWSSCSSYLNSCKQVTTFGYCDYKTCGSSTSFSSVANC QSWLSHCTRSQSQRGCHSQRTCSDYGDNIKIFNHVNCEQWNPLCTVNGSNNGCIYKTCFN HGMTTTTNQKCGQWLTICKANASANGCELKTCTNYGSAFTSSFSSYCVSWIGNQCTYNSS GCLPSRTCLSSYNGYNIKYDQYYCQDWLTTCTSNASSTGCISKTCTNHGSEVTTFNHSNC YNWYDICTNDGATACKNKTCQNHGANVSTPSHANCQTWLPQCTFQASETTCNYKTCTNYQ AMGLSATNCFNWNNQCTYLNYDCEYKTCDNYSGSITESLCRAWLSYCTPNYSATKCVSTR NCSNYGNQITQFNLQTCQNWLPSCTVNISNDGCMLKTCDNYGSQLSTFTKITCSGWLPTC TNNSTTKCEMKHCTNYPSGFTVNSTNCSNWFSYCKLTPTSTNCEYKTCSNHGLSTFNHTN CSNWLYYCTVSDDGASCVTSRTCTNHGSQVTVFNHSNCQFWLSTCTVNTGSNACEPKTCT NHGSFVTTFNHNNCQNWNFDCTVTGSTACTAKTCANATGITFNHANCQTWLSTCTVNSGN TACIDKTCSNTTGISTWTHGNCEKWLPQCQLNKPTTCTTLQSNCSNAGVSYNQCVIDSGS YKCAWYNGTCLRRTCSNYTGTTFTHSDCKTWLETCTVESGASPNNCVNKPTNCTDQGVTQ DQCVTNIGLVNCVWLSGQCQDRTCANYTGTTFTHTDCETWLSTCTVDALNVHAGCVIKPQ KCSMMSNQDQCIKSQDNTVCFWTGTQCKDRVCEDATQNASFDDDTECKTFLSACTVARIG ECITKAAKCSDYIQESHCFKNTAQGVCFWNVDISKCADIKCSNAPTSYTTHTQCYGFLST CTAKQGGGCMTLNGCLNYSAELSCVIGSNGDVCAWSGGRCYVKSCYTAAYDSTRDTHVEC QAYLSDCTVIHSGIGGCVPLQDCATYISERQCVINNMYLPCGWDGTRCMNKSCQTAPKSF TQHDECQSYLNECTTIAIGNGCQFKSTYCEQYQTEKQCVITISNQSCYWNPKTNTCQVRS CQNAPDTAITAQLCEQHYQFCYTDYVYCRLQKCSDLIYKTNDECKKYNSNCTSDGKTCID RKQCIDARVQEACNTDVNGNECQWNFRYSYCSAKSCTTSPEYNITERDCQEYYPKGNCTT RLGGGCTQKSTCENAQLQAACTTSADNKLCIWDSFRCREQICDDIQGTSEKECALYNCAF QNSSIFGVKCTTKKKCSEFITEDICLKGIDGICKWLNSTCYLYTGCNTISSSYDTICKSL SKNCTTDGNKCVGLSKCADYTIQQACVIGLDGDCIWWESLGTCKRFLACYDLPYLTHKQC YSTSQKCTTDAENGCIPLLLCSSYKQKEQCKISSNGKYITQTGICTWDAVQNECKDQSCE QLVGETHEACSAQLYGCTSDSTRCVTIKACEDYTDEQTCLHAQSSNGKCVYQTKQCKTIS CGDIPYGYTHETCLKQLPNCISDGQLCIAIDVCANYDNKFSCNYGGLDGTCAWDGLQCLK VLECSDSNLDKQICQQLSEKCKWIENPQITSDTSCLPLECEDLQDRECHNIYSMDATTIK LCISKDDKCVETNPEAYDQQSCRANTLNTFYWNTTTSKCTQCSNSINIQTDIKTNHGAIL MIVLVIILF >CAK82783 pep:novel supercontig:GCA_000165425.1:CT868441:344916:346243:1 gene:GSPATT00017155001 transcript:CAK82783 MVHHKIVLFALICLVSATTVTNNQGVMDSYVNPGLQSSIDELTQSKIGHMVIDLAEVTMR VTGAVDSLVEGVKAFGRQIEERLNLENTQWEVVESEHNAKVISLSSQANQAEFDINREKK VLNSELIPRLHELDDILLGLKAKQTDNTQSFKEAEAARNTQHESFVLTTTEFTDALRNID EALDLLTDLFKSGEVRAAFIEVSDEQKHKVNKALRQVKANTENFGHQYSSFIQALTNLTE GFNFKDRQVLKEMCDFLNSLRLNIFDALTKAYKDEDSQKQQDELRRQQLTAEKAVFDQQY ADFYQEREDKTVRISDVETLISTREADLKAYQDRLRTENNNYSANLKIHDDIVSSVQQEL AVLAKALQVVQTPPFLDFLNGRIAKA >CAK82784 pep:novel supercontig:GCA_000165425.1:CT868441:346934:347849:-1 gene:GSPATT00017156001 transcript:CAK82784 MRPGLAIIQVGNNPSSLTYVRKKIALCEENEVHHELHHFPESITQQEIVHQIRTLNQQGS IDGILVQLPLPPHLSRLEISNEIKPSKDVDCLHMANFQNLLQNGEDNDIIPCTPAAVLHI LDTQEIDVRNQNVTVIGRSQLVGFPLSVLLLRRNAKVTICHSETTVQEHVQKADIVISCA GHKELVKGEWIKNGAKVIDVGITRIPGTNKIVGDIEFQKALSKVSFITPVPGGVGPLTVS MLFKNLYRVWCRSNGLKLNIDEQDEELDYAQNYQF >CAK82785 pep:novel supercontig:GCA_000165425.1:CT868441:348094:349405:1 gene:GSPATT00017157001 transcript:CAK82785 MNYPYQPYRPYQRVPNTSVHNPNIMSYVDSVLSKSRNNYASVQLDPQPKYQTYQTNTIKS NTDLKITTNSPLILSQKSMVIQPTLKSKQEYQSPKKVESLSFQSEIPLVIPLIPLMANVR EEYSKKQVSTPVSQTSSPIKKLEKPKEKYKNFAIIKKLGDGQYSEVFLAMHIQTGFLVAL KVIQKSQIIKENMQAQLAWEIKIQYLLEHPNITKLYTFFQTPTEIVLVLEYCSHGQLTAL QQIQPVKKFQERIAAQYIQQITLALMYIHNQDVIHRDIKPDNILLSFGQVKLADFSFCVY SPDEERQTQCGTIIYASPQILEGETYDKKSDIWGLGVLTYELCFGKPPWKENQQELMKTA CFMIPYTASRDLKDFIENLMKPSFQRSIYCTTSLESCLASKNCIKCTQLYTKQ >CAK82786 pep:novel supercontig:GCA_000165425.1:CT868441:350507:351904:1 gene:GSPATT00017158001 transcript:CAK82786 MNKSICSLHDQEEEKYICVHPICLKKVDNKLCCIQCYHSNHKNTQLIDHDFKNISELETI ASQNYSKLKEHLDHEIQQNIQLRTKIEERLSNTLLSLTTWQNNQKKMFNDITENSTQILI QNIRKADTLMKSQSIDTYIYFYKLNLLENQNQMQAIRDQSMRQLEYQLNQIEIKRDILIN QINFIQSEHFLSFAQMNYQIELGNLNNYQIEKCKKHQCQKEVLCIHPNCLQKNPIQLQCL QCITQDSKEHQSEDYIKSIVIVMEGQSQKKELNEELQKNFKYDIKKSVDINLLKINEAQQ NYYQNYEKQKENLVLLEQQQLSQFLNPDFPKDFFDHLDQKPFTITKEQINLHYQLLHQDY QNKFQGIFSNQVNDELQFLTELDFNSKKFHQNIINQQKELELCQLKFEELQRTIQSHAFN NKIEQQFSTLNNSISSFHQKLEDNFTNLQSKHNKIQKNNKIQFKI >CAK82787 pep:novel supercontig:GCA_000165425.1:CT868441:354400:354930:-1 gene:GSPATT00017159001 transcript:CAK82787 MINLKFILPDFFVLSKFQHFMGFNEFEYIITKHQFSQQNLNSNFKQNHSVLLITIKYWNC QMSKIKLSFTTLLILVILILVLILVQLYTNRNLLNQHLILCNILDHHQVNSKKDYKIQFQ SCDKAVNRIDECVLQLLQESLNQNYQKYRSEFQGSKKSDLIIIILRKLLLLCIFHN >CAK82788 pep:novel supercontig:GCA_000165425.1:CT868441:356457:356927:1 gene:GSPATT00017160001 transcript:CAK82788 MLRIFFNSKKVQMDEESLTREQVHEAYEAQVSELNEATVAVDDAQVLLQILNNPYCISEE IPNSSSKIELSIKSGSKTALFLKALITFDSSQDFQEKGVLSEIVDYLNEFRYAFLNSIID LSLQEAQDQEEFKARIEKFTAVYLNSKDNLMLTMVI >CAK82789 pep:novel supercontig:GCA_000165425.1:CT868441:357196:358058:-1 gene:GSPATT00017161001 transcript:CAK82789 MTFWLINLESDIMLGFIPQSPKLSIFVGVIFWIINILTIIVEESYIIDNDKQGIFCYETL AAVFVVYGILGLSQYFIVQKRIRDSSVQIAPEEQLTPQKTGQSTMKSPLRTVITQNSHRK QSRLLPLATNFQKRYYKGLFLFITIFVIHSLLFIHQTTALVTFKVALSLHIILLTQLFSS VLINFFTIISIGNLLLNLYSINVFVKYYGNNTFYTAFMNFLTNTTEIYIFSLNLALIMSS IIGLLFVNDLVSQILFYFYLSIFGVAFLIKVYQKVKGE >CAK82790 pep:novel supercontig:GCA_000165425.1:CT868441:358681:359218:-1 gene:GSPATT00017162001 transcript:CAK82790 MPKGQTTTTQKAQKAAKNARVTKKVVRARKHFQNRFHTAKPLALTRKPKFTRLTRQLKPI TKGLDFQNVLKHPLITEKDMKKMEDENTMVFYVNQKSTKPQIKRAFSKIYEVKVRKVNIL NTFGGKKKAYIRLGGENDALNLANKIGII >CAK82791 pep:novel supercontig:GCA_000165425.1:CT868441:359278:360239:-1 gene:GSPATT00017163001 transcript:CAK82791 MKQSFQIKSEIQLCFKIVFLGQSSVGKTSLIKQFLKNEFVMKSMSTVGVTCESKIVIVNN QQVKVQLWDTAGQERFRSITKNYYRGCDAVVIVYDVTNMKSFDQVSSWIADFDDKCERPA IKMLLGNKIDMQTRDVSTELGVAYSKRKKILFQEVSAKENVNVECAMLKLIEILVSHTKI ESGDKNQRRGSMIETKQIVLEDPFINKSARFYKDVSISDHSKFQVIKSAGDSSQEDIQIN NTSNRQLTSPQDNATHTHQQAETPLKLIHPRQSGIIRDEYFRKHSSNQSCMCSC >CAK82792 pep:novel supercontig:GCA_000165425.1:CT868441:360322:363319:1 gene:GSPATT00017164001 transcript:CAK82792 MSEITSNQPQLESQFLYELVNQLPQQIVQNQSSQHVNTDQPTKQIHDQLQQTNNVDHIIQ LLIQEDNQDPQDQNHHSSPQPEPEAIQHQEPQQQEDLPIPPRQDVNSQVILAALESNDED KKSQEADCKLLYKKQRQTSIIIDDDLQDDLITTPKKATKNEKNNVPPPPPQDPLPIIQNE SEKQEVVLPPPSQRSSEVSQPEIQKPFENKNSEDKPSLLEQITKPEPALKKQQKEFFSFT QPIATATSSSKKNKNPIVIDSDDELPQQLTSNISILKQKIEDNTPSSFFRKKTTENLPPI EIGENDNFASHQIDKQQKITQLLQQPIPPPVQNKPLNQQQRKHQALLEEIVQEDDGIVQD IENQDWTLFTQLNNMITPKFSGQFRMLEYKDNTQDMIRLLFGETRFKDLMKTCQTSESEF WLYLGTKLIMGYMRLPDINEYFYGEDWIAGGGIKSIITQQQFDDIDQRVEIIQPKLQTES QLAVKLEFNAQTFFRQEYLPQFTQELNDRFKKLILPGQELFLISNFYTLIYSTKIQWYQL IDKESGIILQQFFWATPLSKALDLNNHRDLQKRLRMMFEPYHLGRHIVYSQGLLNAESIL QLYQQKIFVCTDLVQHSLLPHPPTGDQQVLYSSKSKEPIYLCYKNQQWSTCVSLHSLQQR NQYLQELSQMQFAEEKIAIQPSEPENASINSTSKIIFIFVIESILHNIRILKKQEIKSFR TELALQLINKLIDYKNPKPLLRKDGSLQVDRSCQTDQTVGIVNDQLYHCPIHNGNARCQV CLSKSILSKTTASCLGCNKVLGTNIFLCIYPCFRLFHLNPKLYLKEGMYYQIVCGYDQYD QDQEDEEVDQQFLDANLRFKPDTSELDSIYAKSYQDFKNMIPINYEDNYHKKTTIVVIQR EKGGNRRGGGRPKASRQQQQSIQNQAAQAEQGNQGDTQDAPKKVRKQNKKSVNAPQQQGQ VEKETDQASSAMFDFFSQVRKQAYKKQTQS >CAK82793 pep:novel supercontig:GCA_000165425.1:CT868441:363404:364147:-1 gene:GSPATT00017165001 transcript:CAK82793 MYESRNNSVPRSLQQLQYLKQYHIMDITNDQQQIANYLDILNQETDVYYLFDSFHSLLNS VKQAEEAKITNKPRQNTIDWWKLTNQSYSWAGLKNIKGISNTPSKIRVTNDSSHARQIGQ VELQVFSEKDLEPNKQDRTLLSVYQLQNKYYKCHMGFCQLQKLEGQVVIINKLYIAKWYR KVGNFLELIQEFIKYILSVLSAQRVKIKINSSQGSQIKYLQQLGFKLSQTIPLINDLKIF TLILDKQ >CAK82794 pep:novel supercontig:GCA_000165425.1:CT868441:364151:364573:-1 gene:GSPATT00017166001 transcript:CAK82794 MALKSAALFEKMDPFIKSQGADLVKKINAVYFFEVSKTKGETPQVWTVDLKNGNGSIAKG KVGTADATFTMVDDDMIAMAQGKLNPQQAFMQGKMKIKGNMAAATKFTPDLLPKDAKF >CAK82795 pep:novel supercontig:GCA_000165425.1:CT868441:364595:365578:1 gene:GSPATT00017167001 transcript:CAK82795 MSDSKGFLHFLFFKKWHPVPSVGSTIALFSIIGVIFVALGIVITVINNQIQEVTIYKYDQ KCSPVEYNKECSFTYKLDNMKAPIYFYYELENFYQNHRRYVKSKSSTQLSGEEISLSDAE KYCDPIIYNKDLEEWQQNVYVTEQNTQIIKERNPDDIASPCGLVAKSFFNDTYELSLSGK KIDLNQTGISWPNDKGKKYKRASDSESTQWIDPENEHFIVWMRTAGLPTFRKLWGRIEQD IEEGEYTFRILKQLQSLNVCWSQEYCALYIRTFWREESVSFNCLHCCWGNSVVDSFGILN QKNKSWTFIWLKK >CAK82796 pep:novel supercontig:GCA_000165425.1:CT868441:365588:366872:1 gene:GSPATT00017168001 transcript:CAK82796 MQTCSKHKDQQIDLICLESACKSHVACVQCVIEDHSDHPEQCLFIDDILNNNIKSENCKV IDFVTKLRSEQNLVSVVDREFVSIQNEILLKFDGFSEDLQVYYNQLTPREIQQKEIEFFD LLKNVTSCQDIVKLYQSYQSGNINKANFNQELNAMINKPEIEQKKQQIFTIYKCLLQQSL KLQKKDLIQIQNDILETLQEIPKLLSLEKSIQFYSLQGIKSTIENGNIITITSQDQQVVI VSQNTFSYPNKYQFTIQVEQYDTSQNQQLQVGFAHHSKLMNYNYFYRQNCSAYFGLSNTG RINFSVQKPEGKIIGESVRLALKEKRPAHITISLDIQNKQCLLQYEDIKIEYSKKKCSKL GFGGETGAEQFFQKNKKFVLFFIGNLADMKCKVI >CAK82797 pep:novel supercontig:GCA_000165425.1:CT868441:366890:368628:-1 gene:GSPATT00017169001 transcript:CAK82797 MASAFPKLPGFVPTQEIDVTLTSQYYQKPNFRKVSSTKQEQNREVNHLANKEYPVPRKQP IQIPPQSGMFNPDYMSTTHAMHLPKVKQQNYTNPVGSKWIDMFYEFSGYFKEAVVESALE NYRIRKITLFYYLEDHSLSITEPKQENSGVPQGAFLKRQKVLRADDSKTFILPEDFRINR DIIIFGKTIRLFDCDQYTREFYELQGIPQEPSFVPQSDSFETKTMTKFIPQKDTVMKDYL EHKLGGGKVTSQKQFLENDRKVLKFYVFSDIEYILHYYLADDTIEIKEINSANSGRVPFP MMLRRQKLPRKFSLNQPGQTYAEDFIRPQDIQYGQPLIIYNRKFLINGCDQFTRQYYFDK FNVDFPLGGQEEYVQQERSNIIIPPHNGIGDEQDSLGYIYRLQPIPPKKDFFKWVDNQVN LRFLAMFNTTKPEDKDRVFVITFFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNVNNNN EFFTPGDLIVGNEVQINGWRFQLLDCDEFTKKWYSENFK >CAK82798 pep:novel supercontig:GCA_000165425.1:CT868441:368648:369390:-1 gene:GSPATT00017170001 transcript:CAK82798 MIQFQPNLIDMIKHVCWKNSKISSQIMTLIVTQFLDFLIEWQYLEPLSQTVEALLKMDDN LVELRFQCLLCEPFKILSCVKGSSIMNAIVSNFDKDKNYGFCMIAILANLASQVNYVGEY FKKNKQQFEILLWKAREYKNINYGLYFPVMKIQKSIQQLSAIFEEPEKPIIQFSDEKCNQ MVQEEPEPEDSNLQVIHLIQQNEINYTQTKDDSMDNNNPSDRENPSDRENPTDQLSE >CAK82799 pep:novel supercontig:GCA_000165425.1:CT868441:369449:374832:-1 gene:GSPATT00017171001 transcript:CAK82799 MSVYLQCFRCTALEKRIYGLQQFCDKINTAQSNEFMSQQQYYINDEWYKNDNVLKYIVDN QVFQELFGEKAHFELMKRSFPIIQFLYLHQKLSKDEILSILRLGKGKHETWDNMISKLLT DLAEILSLEDVETLIQNIKQSQIDQNGLNFIKSLGRNKYLRQDLENQNNRMGNNNNNDNF IKQNERSSGKRKYEQFDTDYKEIEQNKNETIEQFDNDRAIQLKSQIVEFLLNIVHEQPST EIGQSAFQIAINLICHQFKSLRQQYLLHGFSNLIAQEQPLPVCNYITVLQKIISSSYPLA EFNNSRDVLKWIQDKYDIKLNFLKVMGREKLKLIKRESKDYLQTIEQFVKFYEFLHQDSK ITRAQLMILWKLLVENARCIEEKDLFFNWVGDVNKKFLDQEAIELLFISTIKSSSLSQQM LQCLTNLILYFNVQYKVMKLQYDQYTIVDADIIGLQVLWKIFKQQVQLGPQLQQFFIKLL RFKQQQTILNQLKQQYLVQLFNQINNPNSLSLIVKLLEEFEGYQLIEQGEKVFVTIDNKC MDAMPPKRQDIQLLSGLPVLQAKQIIGQKLNPSLKPDEFDIFCRGTLFDDNKTLKDYKVN QKLTFVISKRENLADEINAYNNTTNQISNEYVPNDSDERVQEIINIVQIQDRDFIISVLK EKNWQVDNAICDILDRGEQLLQEYQQKNPQVKKQQKAVMKQQTDEISFASLISNNYINQL FILLNEGNHEQSTKVWSILQMIPRNKEVYELIEQSQTDWCNLLMVDNKYKLQYHLQILKE QLQCDYIEDQDEYEKRKALRENFLFQGGLKLLLIQLDNPIEILTIILDIFQIYFLAYSMS RLRMMNQNEFLQLLRLKQAVQQKDEDPKLCSLLSIQLQKQEKPNIQGYDEAQLLQQVFFN SELEVEWNVLLESLTQRIGVEQIYTNILCILYMKPELLKLELPINRLVELLNSANEEQRK LTAYFILTLQDIGKRNGVNLSNDILKALIKGETQYDELYLVIAGLIGQVNDLTFFDTHQL AQQIISLILNRQIMEQRFTENEDKLLQGNLLLLTSLLQVDKNIKVDIQFTKFLYKCLFEM NNDYYIYPVYKRKLTRKRVFQLLLELCKDENHLHEMLPLIQNHHQLKFDVNEVDMDLGVK GSHGFVGLRNLGATCYINSLLQQFYMNIPLRKGILNGQIMITEMKAPLIFDNINAVDSPI LQRKMADHTLHQLQLVFIQLQESVKQYINPHQLIKTLKGYDGEVINVVIQQDCNEFFNLI TDKLEQDQKFTNQSNLIHQILGGTLVNEIKSLEPEYDFRRENEEPFLTVSVDIKHKKCLE EALDLFVKGDVLDRENKYFCEEVQRKIDVQKRQYFKKLPNTFIFHLKRFEFDYNTMLRIK INDYFEFPQKINMFKWTRDHIVENMEVEDQSDSMYILKGVLVHVGSAEGGHYYSYIRDVD KWYEFNDKVICPFQIENLKTECFGGANNNLSEWGMSNSKNAYILFYEKVKHNIPEQFYLK GSNEEILIQHVISENTEYLKSQLFCVQDYLKFIQTFASTMQIKTPYQVTHQLSKESNLSE LDNLPSLRMIKLLTFFTYEVLLRNKDQQMFQYNMQVLSDLYKQEPAANFWFLDLLRNHKL LIIDLLIESSYSDVRNAFAQLIIQSITIIVEYEQHYLFEDSCIGRFLQFYIQSLLKVVKS TLRRGTEYFTVIKNILTNNQLLVKHFYQQEYFKQVYQLLQETVTEAHVYTSFKLQSLQTN NTDQPLMVICDIITKVIISCRTQSMIELNEDAPTYQFKGQKEIDY >CAK82800 pep:novel supercontig:GCA_000165425.1:CT868441:374903:375899:-1 gene:GSPATT00017172001 transcript:CAK82800 MNQQYQSGDVEVHGFQQQQPKKYVQVGSYCDVYYDVQKIRQFEDGIEMIELNDTLHQGKA SQMRSYYTMKWMEYLSELEIELKAILLDRALFVPDLNQYFNKRLQPMIEVALDKSINDTS KLKIQCYINEILLLVQSVSIKIWKEHPQISLSILKRLHSILSNSFYDSKIRDLSAYIDTF NDFYGERLHHGVRSELEGSDNIQFTELYNSKTFYPLKGEILDSYRMNQDVYSFSPFQRVQ IHYFYKNGGFALLDDAIRNFNLNNLDVFSIFQYLNTFLDRQKFLNYFHHFRLSVFDIQID RGRNQEFQSRHNQKVD >CAK82801 pep:novel supercontig:GCA_000165425.1:CT868441:376088:376486:1 gene:GSPATT00017173001 transcript:CAK82801 MNTLNQFFTNPSFLFQHGNVHFKKEKPRITKTPCPLLKLHISYKSSVQQIKSIYFDADQV FIDEISNDANQIAYEKQKRSYVQREVPIQLLSEKKRNAHQMGLEPQFHTHRKVPILSSKE NSIIQKRKSIQK >CAK82802 pep:novel supercontig:GCA_000165425.1:CT868441:376586:377634:1 gene:GSPATT00017174001 transcript:CAK82802 MSEKRDNLCSLTCTCMITVCYLGAIGLCRTVLFSNILKLDDKVINSVSLGIFSLIFLIGP FSLPFFQRMILKFTYKAVFFITSVANIFSMTLYIIVIEQQPKDVYLITTILIFEALSAPF MAIFYCAFNYYVRSMSNKNNVSIYFGVAYSLFCMQNFIGDIYVIFEGKIYDYNEYFYYPM LGVSLLITFLYWFIKEPDSLSKSVTKQSIDLQQKLNEHLYGSLMDDDNQKNQANNNEYIT QFKLIWKIPKQYPQFVYLIPTIISIGMFTAFSVVYSQDMIEPNYTNVSYLKPAIVTNLSI HGVGQFLGGILIGFLSYSYGYLNLLIVLQLFGAATYLLSVN >CAK82803 pep:novel supercontig:GCA_000165425.1:CT868441:377713:378000:1 gene:GSPATT00017175001 transcript:CAK82803 MSGLLISAVQVLTFSYCGSEYVENKNVLQICNWIYCWSFYFFTVVMSIPNIPGTANQYLI ISVNVFGSFILAYCVLNFICLWIHKRKYLQLRENE >CAK82804 pep:novel supercontig:GCA_000165425.1:CT868441:378048:379124:1 gene:GSPATT00017176001 transcript:CAK82804 MYKYNFEQNSQHRIEILELSDEKCKFSFEGDLSIANAIRRVMIAEVPVMAIHFVDIIENT SPLSDEFLAQRLGLIPLVSYAADSKMYDWEADADSIDDQKTKVVFTLRQKNLNDAPLEIT SQHLEPEFGQVGEMAIRPVRMFSPINGKEVGIPITRLGKNQSVHVRCHALKGFGKMHAKW TPINIATFRHEAELNFDHSQTQSLSLFEKQSIRDSCPMNVFAMDANQDLIVNAIEKCVFC EECIRCAESMKKPRLIKMQHKKNKYIFTVESAGQLKAADIVQKALIVLRKKVDEISQDLS FAQQQQQI >CAK82805 pep:novel supercontig:GCA_000165425.1:CT868441:379165:379583:1 gene:GSPATT00017177001 transcript:CAK82805 MLSYVSLNSKDSLEEFLQLYQCKDSQKKNVLKKEREIVQYLKGLGLQMKTDPLLKLQRFA NFAIQSDISPDSNEEITLERKQQVIENKEFNLSNNPFLNRESRQIKRSFSFENNNRFLND QRDNVELHQFD >CAK82806 pep:novel supercontig:GCA_000165425.1:CT868441:380699:382450:-1 gene:GSPATT00017178001 transcript:CAK82806 MNKSYCSSHEQEEQKYICVHPTCLQKADNKLCCSCCFQQNHREKKAEQHKIITLSELEKT AHANYTSLKEHLLNEVKKNSELHAQIEEQLSAILLSLTNWQNNQKKMFNDITENSSQVLA QNIQKAENLIENPSLDTFIYFYKFNLQDKQNEMQDIRDQSTRQLNYQLNQIEMKRDILIN QKNFIEREHFLKFAQMDYQIEVDNLNNFQFEQCELHLSQKELLCTHLKCLEKDPLKLECS LCILQDHKEHQQDNYIKTLAIVMKEQTEKRELIEQLTKKFNKDINKSIKTNLQKIDKNQN NSYESYEQQKESAVFLGQQQLSCILKPEIPNYIFGHLDQNLLTKTKEQINFSYDQFYQNF ESKFSKIFSDQVIDVLNYCTELDFNSQRTNQYIINLEKELEMQKQKVEEQEIIIQSNTLN HSKIKQQLNTQNDTITNFLQKLEKEISNLQSLNLVKSDLENKKVQDLQNSFQASIDNFQS LTFKNSEQQNTQINNLQNSLQSSIDKLQSITQKNSEQQNQNFKDISQQKTSQINSVSKIQ IWFFIIVICFLYYLRNELDSIVKLNQEQLHDLNVLRFECYKRP >CAK82807 pep:novel supercontig:GCA_000165425.1:CT868441:382686:390253:1 gene:GSPATT00017179001 transcript:CAK82807 MGQFLGHLQNAQPPQSNPLLNNSISKLREYHGSFQSVCDTFSIDLTEFEQIFGSNETMFQ IWDTDNNGYYSYEYVQIDQCIGIVFRFDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXFVYITSRIAVVYNPLNNQQKFYEGHRFKITCLAIHPLKCFVATGESAPRPCI HVWNVFNTEPVKIIRTNHKNGIYDLVFSRDSLFIVSIGIDETYSVQVTSWKNETIIAFRN SGTFPICCVMFNPYNRYEFATCGYQNITIWSLQGRNLIRSQVILSDEVKYSNVTSPISQV IKQKVTSLWANNFGDLALVSCGKYIVVKERAHQKMINCLKISQILGDKVVIITCGEDEYI KIWDTKFNLINEFNIRKTGFFQDGTPAIRNLSAQSIDIFSCKVPKRQLNEEEVEEPHNLS VMLVGTRNGDILEAAFQVESQGLKLQQIRKQESHSEDEQDNSNDSSVSEQQQRQHYTTTE TLKFNYSIYMRSHQSQIFDSKQQIDFFNKKMFITLHPTQPIMVSMGEDQKLILWDTENNS LLLVKNMGMTPTAIRLSPDGDLLVIGFQNSMVVIMDSKIQKNTMGKVSERYLLPSLDIIM NIKDKDNKTPVLNIEFSHKGDMLAISYDNARSQKDVFDSKLEKEGSFISVWVNRGSHRTS KYRATDKNLYLKYTDIRCPSLYESYQTDNDTYGVAAYFMTFSQDGNYLLIYYQLINNQQT RINNDPQGVYIIWDLNSNTSVKNWETIKNIQWKKLNFPNSLHSQYQFYDSLIGNPKQKQE QETNLANEILKTPVMSVMVDISPFLICGSTNGDLHLVKSSCLYYDKDFITEISKKQKCLA KSYSAHVSFVNQIETHQNAQYLYTTGILDECIMKWKLTEEQQNWDLDYLIYDKKQADLFQ EVQEKEKFKSLFSELLPLRQGISDKVKNVDDTKQPEVELKLESIIGRRAFTRRNNLFYDY DERLIYVAGCNLVIASLDDEDEQDDKITSQFKKYNGGQLQSESNSVFQQFIKLDQSDTSS SPEISCITLSQDKKLLCAGTIELNAKLLIWDICSRTCVKNIVINNAVMIMNIKFAYDNRH LICNAITQEYRQEILLIDSENHQVLGVVTYTYSIPYKIKDLEFYPNSVFRFVTCGVQHMS CWQFAGGSLTFQAMEIENPKDLVELVENQNDEQEGVQDNEQEQDALRITFLTVIFVQDAI ITAGEDGFIYVWDDKKINKKQKAHPDQPIFCLYTSKDSSMFVSGGMDGRVILWSLSKSEY SYVVEKIYEYSIANEQLQKVGLNPNLHVQSVCIGQNYILAGTRSGDIYELVRPNESDLKS LTKIQKDMVKLRINCTDHDQPKVVAFSGNAQKLYSITQKGLFAVWNLRKLKRTYSFAFEK ATLNLIVCKLSPKIIIAFEQEVIVLNDHDYSVNSNYSLKQKSAISDMKLSVDEKMLALAL SRNQEQNAKIEIYDVENEENNFRLLCSIDNLNTSVEYLDFSTDNFYLFYKDVSDETALID LDQQKRINSMHMEFDLEWCSDGIKLAEKAKGVHSCYTDENRIHKITLIGEKSMAVTDDMG TIRIFNYPCTSGTRIHAHIY >CAK82808 pep:novel supercontig:GCA_000165425.1:CT868441:390258:390380:1 gene:GSPATT00017180001 transcript:CAK82808 MMYINQCVASPDKETLVTTSEQDKCIMVWKIQKVDVNNTQ >CAK82809 pep:novel supercontig:GCA_000165425.1:CT868441:390388:391403:-1 gene:GSPATT00017181001 transcript:CAK82809 MNNNVVVFSRANQSDESEASSALPDQVESQVDYLKTKLKLCTQTLKTQETQIRQLRQENE KLRQLNADYVNQMNQIASDKYQKIEKIVVTQQFFEQKNIDKQLKDFIRKMTSNFGGLEKS HVKLIDHCKVMEHDLNYYKQRVVDAEEIIENLRSVGKSSVAELMDELKGLQNQVDDLTNQ LQKSQFDLAVKQGELERKQQELDDQVAECESLLHELDDIKTKQNRILSDNIEQQNKDAKM IYQLKLKSDFLEHERRLAYQDAQSANQEKNNMLAKQQNIETELEVLRTTTDNFLKKNKHI FNLAGLPKVQFK >CAK82810 pep:novel supercontig:GCA_000165425.1:CT868441:392606:393027:-1 gene:GSPATT00017182001 transcript:CAK82810 MDQNVFDSFGGDGQISDLIDQFYYKVLFDQSLRGKFLKSDMTRVRLQQKTFFAQMFGCPH TKYTGKDLIEVHKNLNITNQQFDRFLHHLKCILTDMNKPTELIDEILKKVDSHRKLIVFG >CAK82811 pep:novel supercontig:GCA_000165425.1:CT868441:393082:394292:-1 gene:GSPATT00017183001 transcript:CAK82811 MDEVLLESLTLKFSELFKNISSLQEKRETVQDENEHLKQLIQEEEDQLEMNSGESSDDEF AFQEIEQKCRQKLEEKKESDMQIKEENNLKSQLYMHLEAIQNEIIQMRSSIEQSKESEAD LQQRIEETTQKIDDYQNKIQRAEQIKLQVEKQLQEQNEKYLQDSEKVKAVQAEVRNQLQA NAMGDKRQNELEKIIQKQWEELQKRAQRIQVLQNNMSIISGKIQKSQKSEHIYQKLKDEN TVLAQENIQIREKLNQLISALPQHMKKGNVKGKQKSKKEFLDLYDESICSNQIKLRHLEQ IREEHQHNINSLHNEILQLEEKYNQMTQNVKNLQEQYLEMESSCREFNEEEYVKKNCPNY YKVKKGK >CAK82812 pep:novel supercontig:GCA_000165425.1:CT868441:394415:395612:-1 gene:GSPATT00017184001 transcript:CAK82812 MNADIEKERLRPIRRHGCSDIQLYQAVSWIYTVFDMIMSFMIAFLMDDGVKVVYDQFLGI HADSADNSYDGHRLHVLKSYTHRPYRQCCLEGAKLQIERVHDYVIFRLEFKTDLKTYCLV CQAHVMEKSKHCFSCNKCVEVFDHHCIWLNNCIGIKNYNYFFILVVLLVIFKCLRIIQDI LLLLNYAFQILALISIILDPPILFVLSYLLGMHLFFKQAINLNQGTKMKKDKEKLKVQQQ QQLPKMNESAGYGQLLSTSKRFDLKSSLSNKTDSKVPQQNFFPKPLPLQALKNPNLSGPQ VTQLQSIFTNKPTTPNNNEQLRKEYEEVDGRQIFNKVIAEDSEPQQNSDSRDEENENDRD HEIDNEIEIEIQNQNQQSEKEQN >CAK82813 pep:novel supercontig:GCA_000165425.1:CT868441:395658:396319:-1 gene:GSPATT00017185001 transcript:CAK82813 MGATQGITQKKISLRYQKSIDVRSTNENLTICRSVSSQDSIKLGSCYKIAVLGMNTAVGK TAFICSLINQTPMVSNLPQTIGLDVKCMLYQQQKIQFWEFDSSEGGLLDLENRMNDTFNC IMIIFEQFDVSLFKRRIAQVQKICNENKMTGKVPIHLIGNDRLDMKISGKEKEIQRMIQT IYDGNRIFVHIVDFTQIKELNNLLQFVFKEK >CAK82814 pep:novel supercontig:GCA_000165425.1:CT868441:396336:397220:1 gene:GSPATT00017186001 transcript:CAK82814 MQQQKNKKKKFQKHQRSGQQQAQSDFLYSGFLITCDKNREREAVKEGYQIIEQYVEQIYP QESQKHEKLLEQNTNTKGVVKMLYNFDTKVKCVIFIRINTQEFPTIDVDELSRIILSDVY DKSQQVARYIYRMIPIQYVFRATLEEFKKHAEFLVNKHFQLDRPHPWFLIFKTRYTDKIN KQQVLSILQGLIEPLHYQDWQEPEFVFFVEVNGAIMYINILPKYHVFREYSIRKGGQPVL DTDIPERKNNFVDKVVKKVRCQNEQEVKNAISIQDPNSDFQKKEFFEEQDVDLL >CAK82815 pep:novel supercontig:GCA_000165425.1:CT868441:397238:397912:-1 gene:GSPATT00017187001 transcript:CAK82815 MELEKIKLEGITNLIRQKLMQLELQGSDQKLPDVEKSRIEQDQRIVKLIEVKHKTIGQGS TYGDRSEWIKYHKTQGKQFDKKQQYEKALNEYYQSVLALNDSKLWRELGVSLIHNIQICL EFLKSPATKELLDFIIYVDKTNIKAYLKYGKFLSSNKKYTLAVQYFQQGEKLSVQMQDKE AQQDFQKQIQECRKQQSQNKN >CAK82816 pep:novel supercontig:GCA_000165425.1:CT868441:398113:399315:1 gene:GSPATT00017188001 transcript:CAK82816 MKSIRQRLTPTKLKVKDETNISRICCVNHSNKSAKYYVAKDNSVYLCSKCAVQMMGKGQK VEEMPGLEEEYRRKQINQFINQVNNNIPHIDKMMNALITKRDDISKYYEQQKEKIEKFHT QIIQYLEEEKMKKLQQLHTNFKNVSKQYDESIQSLQQSKSETMCMKKDIECNLNQIIKQI QNEPFNEIMASYHKNLQIFVGKTDLIAKTPIEVLKVTLNEPINLSHLILIQQLKTCLIKK NVQDQNSKQEVNDSVYQSLEKKEYCTQPKVSRMALSSVKTPKQDYSIKNVASFEITSEDC YEEGHSQMIERDDIENSYQMMLLDTNSDQEDKKPDQDILGSFGPIQITNANAKTNNNNIL QPNNNKVLVSKIIERNNQKNDRNIEKNYMFKATNTSLKYV >CAK82817 pep:novel supercontig:GCA_000165425.1:CT868441:399649:401604:-1 gene:GSPATT00017189001 transcript:CAK82817 MSDDQVANELDDLEKNQVKVKFPQRSWVSMIQNKIVSVNQMSQSEFEDKHETNIGITPGR SERESFCKSKHGSSLFFREDLGRSRMSDFDPTSIKYRTQPHEVHFRIKIFIKYLALRILF SIIGPFVLVYGLCDKEWMNFMRNFRIYGCTKGTAFNYFRWITNYTIVLSCVYYGGNEGQK KTHYEIGFFCILYLTENVTYAIKYAFFHPSKLNLIERFDMSVIGDLCEQSINPYHWAQQI PSMITKEFEQSMKRHQIEPTSFHFYFMVAPNPERKKRIQVGYTNNGGHKLYEDLAAHCIS IAKEIVEEYNKKCHLMFINKFSILIALGRVIAYIFIMNFCSESVKDYVIVVQMCIFQFMY FYLITGLMMITYRDLRRKVFAMTQLSHLISAEKVEVYQESKMFPTLNLLCCVSLYSWVNL RKMILDYGLQYTRRQSFMLSFIMLLNAIMMVIMTFLFYLEFITINSILQQTVDFLVIASI SIALVLQGARINAHWCIHRGLLRKNLFLIQQLSYQIFKSLDYTFKSEDPVFSAFQKVLEN ATTSSVVQDDQFLNYSLFCIHSIQNVYDDLGHQEKSQPYTVMGIAMTYELLLQVCISTLG LIGTSGLNFILQVST >CAK82818 pep:novel supercontig:GCA_000165425.1:CT868441:401674:402572:-1 gene:GSPATT00017190001 transcript:CAK82818 MNPQMYYYSESECEAINQSICQSFRGFLDEDSQIGIAQSIDDQFEQISAPNSVQFSTQCS SVHLKDHKSEIGEDLDDLRCSEIEPSEVMSPKPQIKCQCSKSQCQQSYCECFARGKTCGK HCGCQNCQNMQMNKKLGKIQKRLVKQKRIKQRTPRYLKGCTCGKSKCQNNFCSCHQDGKY CNSECKCVDCKNVYNFCLKIFPEIEHVESNEMESTNANVVLFIIGCENSSNFQNLNKLSN KSDI >CAK82819 pep:novel supercontig:GCA_000165425.1:CT868441:402624:403603:1 gene:GSPATT00017191001 transcript:CAK82819 MFFNETASQQQIALTPDSKFTERMKIPQTQTKQQIIEHHQGINKLLDKMNETIGRIFKTK EDELKGNIKQQIDEAQAQVIQLTNETTEDQVQRKLKEKRQEIEEERQKILQSSMEFSNKC IEYKNSLSKVMTTTKDLISEIQFLDTQLMSAKITNSQLKKQLESIQTNTVNQDYFSHEST FRQPEITGMDIEGVNEQYQKAEQELIKTKKIYENIEQVKQDSAFEENQIEKIFLECVQKV KQHKLNTDIQKVQQQSKNPRQLLKPIQSMKQFHKQTEALNQQDFIFSDIEFNDIHKKMIF DEFLSRNEIKALIYNLL >CAK82820 pep:novel supercontig:GCA_000165425.1:CT868441:403685:404158:-1 gene:GSPATT00017192001 transcript:CAK82820 MLFLKCFGIVKWEDDTPQQQNQLQQQEVQNSFIVSNSNQGKKENDKTKKNKSSKQKKQEK ALKSLQEKKQEEVKKKQDEPKQVVTQQQIEFHRMEMQRLQDLKRIEETLRQEQKKEIERQ VQIKLEELTNKLQNKQPVNKLQNNQPVKKQQQKKSKR >CAK82821 pep:novel supercontig:GCA_000165425.1:CT868441:404587:405971:-1 gene:GSPATT00017193001 transcript:CAK82821 MRSNGLSTINKQIALKYEISKIDTLQYIISAVYQLKLICLRHPMTETKLKIALRRYSSPY KHSQNDISFNCLFQNINVLNRRRVSDINNKTSQKNSFNTRQSSKDTSMEVDNPLNKHHFL LQCIIGVGGFGKVWKVSYKQQVFAMKEISKALVLLKQSVQSIMSELQFLTELRHNFLINA FSAFQDNNNLYLVLDYLGGGDLRFHLGKLRKFTEEQTKFFAACIIVGLEYLHENNIIHRD IKPENLILDSQGYVRITDLGVAIKKTGQNFETSGTPGYMAPEVIFRQDHGAAVDFFALGV ICYEFMTGRRPYYGNRREIREQILAKQVQIQTSTEGWSNESIDFINLLLQRKPQNRLINP RQHKWFKNFPFELLLQKKLKAPFIPKTADNFDQSQIYYEDEENNQIIRLHQHLIRENQHL FQGYSYQENQSQFRIKSKKSSVNNTKIFQFY >CAK82822 pep:novel supercontig:GCA_000165425.1:CT868441:406013:406842:1 gene:GSPATT00017194001 transcript:CAK82822 MQSQTKLKNLQKLFTKTAYSDRFLAEVNIFCFIVDSQINFNSSLNFLALFIAKQVSGSFQ KYTALNYQSQFFEKNLKTHVNVNKNHMIFNKTQKKVFNYLQKSVVCVKEKINQGLYDHSL VKSYLKRKREDVFRRIQLKQKRQQISDYQNQSCLQYNTVLILQLKWKKLSKQLRYNKPKT HKQVSTPDRRETQNKKSQRWLPENRFKNLNTLQSSKRKLKDVKLNQIRSKQDFLVVENFT P >CAK82823 pep:novel supercontig:GCA_000165425.1:CT868441:407000:407626:1 gene:GSPATT00017195001 transcript:CAK82823 MLDCCSLCEKHRGKIKKGLFLFPAEFKQQYQNCYISQIVLKLIALNNNQPIHQDSFVVID NDLVICELCWQLMQYGFTCQKCKTLNFIQNDNQRRCQFCNTNLCLSCGKQLDLFRNNRLN CYSCQRQEIISYKIAYIVMIIVVGLLLPYLALTYLLTKFFDPIHQYEFCRKSAMRTLLLF VILFPIMFPYALIEIVVAGFKAMIRNLR >CAK82824 pep:novel supercontig:GCA_000165425.1:CT868441:407739:408349:1 gene:GSPATT00017196001 transcript:CAK82824 MANVFFDISFGGAAPSRIVFKLYDDVVPKTAKNFRELALAEHGKGYKGSPFHRVITDFMA QGGDFTKQNGTGGKSIYGEKFPDENFKLKHNKPALLSMANAGPNTNGSQFFITFVPCPWL DNKHVVFGEVVDGFPTLELLKKYSSPQGNPKINIQVVNSGTL >CAK82825 pep:novel supercontig:GCA_000165425.1:CT868441:408951:409710:1 gene:GSPATT00017197001 transcript:CAK82825 MYKFSTLRKPFVYFILGGPGSGKGTQCELLSKNLKCVHLSAGQLLRNAISNDSEHKSTIE NCINSGRIVPSHVTINLLDKAIFEEQSSETFLIDGFPRNYENMENWIALMDHKITFKSVI HILCSRATMIQRITERSKTSGRSDDNIQTLEKRFITYQNDTYKIIEHYRNRKQLIEINGD NSIETVQGEILESLKKHHSQ >CAK82826 pep:novel supercontig:GCA_000165425.1:CT868441:410099:412174:1 gene:GSPATT00017198001 transcript:CAK82826 MDQQHSQHFQTLECVVSERLTTRGRSQTKDTIQIVSPKQNIVYVQRQAQQIITTTQAPIR TETFVKPIIQQVQKVQVVDHREEVEFYKSKCLIYEKQISELNIEVTRLRSNPTVQEKITY VEDSHKVAELERQLHIYQTEIHKVTALLKETNIEVDTLKIKNSQITSQLVYYQQQSSDFD KLKKTQIEGDQFYQNEIQRLNVLVQQSQTQLQSLQATLIDSKKYEQLYIQLQSTQATLTN ELERCTQVLKIKQEEFETTKLQLIKDLEIQSIRLKDFDRENKDLKQKEYSLQQQLERLNK EYQDLSDRYNTDIRSKNDEITRLTTVTQTLQLTFQDTSKFQEYEHRSKLQSEEIQLLNQK IRSKQDEVDKCKLQLHQYNLQLQEYSKYADFESKYRSIQQEYERIHNTLRLKIEENDNLR SCISKLQLTISDKYKSNDYENKIALLSQEIERLHQSLKSRQDENDKLRQELYSLQTSFPD QQIEIDRLNAQIKVRNEELEKHRSSQISNNESLRLKDLEQKNLVYSNEIDRLSNLVRVKI NESEQYKQELVKLRDSSTKFTQMYNDNEKLTSLIRTLQDELDQTKRKQKLDESHLYNERM QVLVQELDSWKTQFITQNREFHKNQELLILAQAELDSLKNKRTTTLKSEQVVDSLKENKV EFKKNHTSQSTYILGMLNNKPV >CAK82827 pep:novel supercontig:GCA_000165425.1:CT868441:412499:420597:-1 gene:GSPATT00017199001 transcript:CAK82827 MIKKILILAIMLLLIESQNYSKTICECSQLLSEDDCITAMCTWNVKNTPFCQKSTPSNVP IKYSQYCSSYDEAICPKTFGCAWVELKCTFFTGCTPFTKILDSECQAISNRCITDGTHCV EIDACSTYKKQLPCVKNTAGSLCYWDTKNNTCVDANTCDKLPTTFITDKDCRDVISTCTT KIGGGCVDSQNKCSDQTLEIQCVWDKLKQIACYWDGDKCKDRICDNAPTSMNTDDACKTF RTDGTCTTKANGGCVTRTTCAAAAIEASCIKNSSGGDCYWTGTACVDKTCANAPVTMTTN SACAGFVTGCITKSGGGCVANGSCSVANVQAACVKNSSNFDCIWDTTCKEKTCANAPTTN NTHDLCTSYLSTCTVKSGGGCQNRSCANAPTTLTTNDACEAYLTANNCITKTGGGCVTNT TCAAITIEAACVQNSTGSSCFWDTASSSCKDKTCANAPKTNTTHDLCQAFLNTCTVNSTS AGCIEKTCENSLVLDACDKDINNKACIWKPKCYKKQCVLASSNTTTHADCQMYHSSCTLS NSGSGCVLLPLRCEAITLEAACKMKANKKPCGWNGSQCIKKACSTASKTFTTTVQCQEHL PICVVNNPVNINGTLTIQGCQDLPTTCAARKSTENCEIQRVGFPMCLWVASTSSCIEKSC ATATNDETTGALSAGGLSHFACQAYLYTCILNNTGDGCIAKPSSCSSLVPSNCLIGSRAN GDCYWNGSSCVDRTCENITQTTHSGCQVAFVQCTVNSGRTACQQLASSCASYVIEENCKI TSTFRRCVWTGFSCRNANCADAPDYGFYDTDQECFNYPTPSETCTVIYKTGGQGCVPKSA NCSDYMTMSQCHKTITNLTANDDCKWIVDRCYAISTFATGTCSSFKGTMFMCQQYKEGCT NTFFATSSVACFLDCTLIYGVSLTLQDCQAYDSTCSVRIDGFACINIQNSCSAYVERLHC FKSRTNYCAWTDYSYCSQVNQPSECSFVTGLINLDHTKCQLYHSSMALDVRSIRQLVLVM SATNNCATSGQGKCYFDGTDCMRFSNCASITGTGLTTTICATYDAGCIANVDGTACQEKL ATCDLYLTQKSCSTSAAAATADKCAWSGTACLAIVTANIATHCAYVTGTGLTDTTCAAYN VDCTANRAGTACQEQKATCDVYTTEATCSTSKATATADTCAWSGTDCRAVRTAYIATDCG YVIGTGLTDAICASYNPDCTANRAGTACQEQMSDCELYVTWLSCSTSKAAAIADKCAWSG TACLAIVTANIATHCAYVTGTGLTDTICAAYNADCTANRAGTACQEQKATCDLYFTEATC STSKAAATADKCVWSGTACLRVTVVDIHCAYVTETRLSDAICAQYNVECTVNKARTACQK KISICNEYADYSTCSQSFSSLCVWNGTSCISVTNATTDCEFITEIRLTDQICSMYNSGCI SLKDGTACQEAKYKCQDYTTFNKCTIQTNDSRSCIWIDNSCYPISGVNCGAITGSGLDHA QCQAYSLSCTSIADGTRCQDFKQTCEQYPGTLLECTKSFTQKCYLVGSTCITISDATTHC SKIFGAAGAITYEICQSYNPGCSVNRSRNACVLQQAQCYGYTELNSCYKSAAGLCITKNG GATCVAASSAATCEAIELGSGNYSSANCNEIKAGCTNNGTTGCVAKTCANAVDITFNHAN CNNWINICTVNSGNTGCLQMAFRCADQTQSSCLYSVEGECEIVGSSCVRRTCDTAPADIN YDTDTECSSYLQSCTVARSGGCQVRKACASYKSQIQCKLSSSGAKCFWNPTYKTCVDLTC SNIEATSLFDTHNKCVAVDSSLACTVKQSNGVAVPGCVERSRCSLYIVEEQCKTDANGGA CFWNTDATVFFPVCQDMSCSHAPTDLSTHNECYAYYNTPTVKCTVAIQNINSGDRTLGGC QQTAACQTYMDKEQCQINANGDPCGWNGIDCADKSCKTAPATSDYDDDTKCRGYITNKCT VSDSGQGCVDIPATCETMTQKQCYYNKAGDPCYWTGTACITKQCENAPDATATADDCNTY FTGCTLDSVKCKTKVCEDFAYATDDTCKQAISKCTTNGIICVTRGSCLQALDKAGCVTSS TGDQCEWVFDTEDDQWYCTIKTCSTAPSTLLTEQLCKEYLPICTTKKGGGCVKKSTCQAA NVESACTTSLNGQICAWDGIKHICRVQECQDLEGSLHSSCQARRLDCTAGVHGKCAKIQN CEQTTVRAACINGLNGQCLWIEQYINQDGSQGACFSYTSCQSLKWTSDQQCKQISDKCTT DGSKCVAITSCSETNTNGGCVTGYDGICMQSVRAFQSVDPEICKIFVACVDAFYLTHEEC QRANSGCTTNGLTGCIQLGECSSYNSKAACVKNKNGPQIATDGLTSTGLCTWDSDICRNQ VCSDYYGTTHQECSNFLSACTTNGKACILKNQCSSYTDKETCEEAVGSNGNCIWEIESIN NNYKASCRVFTCQDIQIQQTNECLTSSLSCVLDNNICIPKANCSTYTSKTACNSGGISNQ ICVFEQQSGQDAILGIGTCTLMTECSTANNDQNACQAAKDRCYWKPAEKINGSNTRSSCS TLTCDTNYKQTGYCTRFYNWDKNTKQQCSLTEGKCSLKNPSTLSQSDCFKVSEYTYTWNT ETSRCQVCTEVKVDNNTNQTDSNSDNPDENQETTSSNLILRIIGIIVIDHLIL >CAK82828 pep:novel supercontig:GCA_000165425.1:CT868441:422249:424077:-1 gene:GSPATT00017200001 transcript:CAK82828 MLEQSKNILYRIGNSTIDTFDIFGQLPNFRVLEKNKYTSIVGCCMTFIIGTATLIYLVTE ITTLLQKAEPNVVTSELQIFDSTSFPLYNDNFTLAVTIATKNSEPILGQLKYYNLTMSHC IRERLLDEATGKVQVKLNCSDLPIAPCKTEDFDNELQKDFFKNTRLGVVQCINRTRLRNN PPVLFASQFKVLQGQISGYKYQYLVIELSICKNNTDYQGCAPIEEIKKVLSAGHYSVYAS DYLMQLNHPGKPYSQFINLDINSFSISTSKMLQWTYRISEIHTDDGLLFSTDDVELNLRK QDRREYTELYNDDYLVYHYIQLDYKETVYRRSYIKIQTILSKIGGIWQLFVIIAALILNP LINNLMTISIANELYRFPNSQKQQNMEIINLQQSDQVVDVNESIRRSREANLDENLSNGI YESLLILLGCHKKKRELFGQVKQQILAQMDVVKIIQKLHEIDLLKFILLNDEQYKLFDLL PKPILQDEKLQMEDMSNDLASEAGVQKSFRAINNKKFQSKNLKDYYCSFSSLQQDKGRSS VDDKLLSMIDRNIIQFFEQIQISQQRHGNLSPLDPLFRSRIDESIIEPKIQRPK >CAK82829 pep:novel supercontig:GCA_000165425.1:CT868441:424806:427802:-1 gene:GSPATT00017201001 transcript:CAK82829 MDQEEFGEIDNEELSLEEFNYEEDLMGGDDDQQMPNNQQPKNDQNKNPISNQRTHTPYPP EEEEEGEVYQYEDQSEDLAQHNDNEENVQEEEVYEEVPEDNEQYNEEENEEEQYDEDYEQ NDYEENYDEFEQENPNNNKQNKEVKDNKNAQSDKSAPLLEPPKKKKRPPLPIQPIKQKPT YQEKYAELMAPQDYSNWKPKQLHEENKLLRQKLKEISEEVTKLVELNAQRVSSLPQPQPQ IKRQKTAQSQLSGVSVIDKEIEINQKKLEQQEEEINKLTTRLQQIQQVNYIMKLDEEIKK FEDEMKKLEQRKKQEYLQQKQRGKDLDKYENQDGFDKLNKERNNLNQEIANIRKKIKEQQ EQYNKQKETIKNTQEVELPKINKELRLLEEEAEKYRIDISGHKPFSKQKEQYLMLLAQKD NLLKHNLIMEKKDKQLEMIEKEIKELKKEKEFFNQQINTNEMMLIDQRKIKAELKEKIPT DQLKDMPAIMTQENISDELQYERMQIESQRKLQSAKPPMSKQEQNHNKELKLPVKQDQKS QQLTSKNEVEEEIICEDETEQQQQIKQEEKKQIPISNSTPLKQEQQQQQQQQQQQQQQQQ QQQQQFQSPNQQNKLNSKPFSNLRKSVDQQPSLDLGQSNVSNQQSNTSDVQTEYTGPSRM MRMKKPQQNKSEETTQFIIHASKPVEQPRDHHKEINEQNNKPIEQPIQPEQPKPVEDYQP TFPTRRVSNRPRGLDYNSIEQQQQQQPSQSTQQTQQTVEQPILINQKQEQTQQLEYPTRR RNRGGQEEQLEQNNSQQQSQQQSISSQQQSISSQQTYQPTDDSNKQRRIKLFADEKQPEA PKTEEPAYEFQPRQEPAKLQGRRNRGNNKLNIWEDQPVQKENIEEIQEIKDVKQVPEVQH KEEREMTLDEILGGQKQQEEYRPNQQRRKFEVPKEDNETYEPGFGGGGNRRGVGNQQKID KQKELAQKAEKGDLLDDFDF >CAK82830 pep:novel supercontig:GCA_000165425.1:CT868441:427816:429329:1 gene:GSPATT00017202001 transcript:CAK82830 MNFCYFSQLWPKQSFSAAHERTFGIIERFIHNNIKTFYITPQDKKKAEDIRQYIDLKCQQ ADPSNTSRVNHVLSRIQTPTVSVFDTHVSECLYSHYVHQVFSNCAKVLDLQDLHSLRLKR ETLLTGELMNKEVLKAKPELGDELTDKEMASIIRSDLIIACSDYEMELIKHLNKKVQLVT FFYPTTRRLDEEDKQAIELKQHHYKRRHFVFLGNMSHRPNYDAVKRLKDRIWPIIVKALP QAQLHIYGSNFPAEFKTDEYNGFICKGLMKEIDILGKYRVMLAPLRFGAGVKGKITDAWR EMLPVITTPIGAEGLFLESSQALKYECVNQIQSKFYSDMEADRLNPQVRQQSLEEENFMR EYYSYDNNTEEMAKKLTFGGSFNNWTDEQFAEEAIKMYEDEQLWLNAIENSQKILKRRMD TIVNEKLLMKAISETQTNLASMRRQDILQKLTWSETLRSNYHFAKYLDEKLIELKIAYIY MCIQKIIISLILF >CAK82831 pep:novel supercontig:GCA_000165425.1:CT868441:429333:438794:-1 gene:GSPATT00017203001 transcript:CAK82831 MYNSIVNFLKVIKNANKHLKVGQLILLFNRTTQFSWVVVEIHLQEGAIVFEPSLRGMQDI FVQTMEKAIDIIEQSISSTRKQQELQTYGVGSSSTDLRQDLREYGRINLKSYDYIQELGE ELQFSYKQIEHYMLKIQVLLDEFAKSEDQLLNAEVLRQQFQLISEMQSEIEIIENIVDIG FLRLNIQLFKLQTQTFFKNKIQVINQKVDLELRGKCKIIQEKIQDWLEKLKLKPVTLKQY VIHQENYLLIKSHYEAIDQQIEELHVYNRIYNNEEQTIPIKDIWDKIVSQYQLFQYKFYD LQNQYGLVQDKFEKEFRRLTPFFHQKVLQFCEQFENNKDIDDINSISQLQGQLITLELEY EDLKQYSYSLQIEQYHNEQLHEIKNKYVQFKQLQIEIHKIKNFQQEWMREHFLDLNLSII KTTYQKLLHQIEDCKIFGQGQLVQIKTQLMNELKLYNVIEIILQIKDYDHNIVAQVCKKD KQQDKNLLQLILQICNNPQHPVFTTQYLYQSDINEQQDNLQQLLIIIQYENQTMNSLLKL EEFWKNHKLLIQKIKGTKDQYSIINLSYLNEQLDENILIINNILGQPNIDDLRNRIDMQL KNIVYLQEYINQLTLLQDTQYYLTNVLSTQINQKNQSKEMKMYQHQEKQWKQLIRFIQQQ KSLEVWIKDEYEKKFLKQIQQDNQNCKSIIQQLNEQFDKKKYLFPRFNFLTNSQFNKVVS EVKHPAAMQQYLSLFFQNIHQFDYDQNKEAVTSLYSKENDQIAVKYCPMKGEIEDWFKTV EEGMKQGLRQIIKNALKHADNYQQIVQYPIQIIYICMTITYTMMLDDILQNDEKDWNELA EFKQYEIHEQIKLLHSEKQSVKQQLRQIAIIMIMNNQKNLIQELNQFKINSDKDYFYLKQ MKYYYDDENILVNFLNNKIQYQFEFFGDEFNYHSWKQSEQCILLMNQAFQINRLPHLIGH YSKYYIITQYSQMLGRYYKQVQITSGDYDFTYLLQCIYGSIQSNSLFIITGYQYLLQDDR LILQTIFNQICSALWQQLQIMDQEGRQVKLQNKHFLTLISDVHHPILSFKNIHVYQPDIM ILWQALIQMIYNQEVVEILMKLIQTLNSVLGADLFNLARFNCFNQVVKTLRTIKLNDLLD VLQHHLNNADKQLFNSIVNEYFPNREENRYEMEKLNSLLNENNLILMYGQPNNGKSKLIQ ELFQFKFEQENTNQNDNTLLQSTKQNSILSLELDAYDDEDLFSILQNSQSFRFYHFMINF RQKQIYFNLENFVLFNSLVYSNRNAIFESNDISKQDPASLTNFTLFYYNNNISNQQFLVQ ELKYINNIELQTLLARNLIHQIEQYYQLLLNFPYSLQYVLRCSAKVLSIYYNEQQYSKFT QLDVENISTYATYTLFCLILDQENKSRVVDQILMVIQERKLDQLFNQKSSYNNTTLQYYF NQQELYAIQELQSHILFYGDITCNKSILAKLKSSCCLYFSSRTKSIELQEFIDKKLMTYR KDKQLYLSPPFGQIKHFIVEDINVSSQCRIFIKQLNEYNYMFDKKNNCQLKHISNFYLLG TSQEQLIEKYNQFKHFVFINTSRSPLIQNIYTQIIIEMAVKEKVKQYLELGYINDGILKL SHKFKQHWEKQWCYRYLFDNNAIWYRILNPLIVHNDPQQFVNQFYQNIMRVIGGSMDIID MDNLDKCIKELNFGDYPIEISVQQTKQMYDEIKKENVSNLFLHESHLEKIICIMRGWQYD QHVIIQGRIGSGRNSFIRLAQLIMQYEQKYQDLGLNDLLQNWDQQSHITYVTNINDNIYQ FISYPILYCNNLCLSKIDSISSLHICILIENMNQLDDYKRILYKCQVVRLFGWPKVFQQD VATQILNDRSIDTNLFCKVFEQSQGYIQQFLDQLYLFQKQYQQSKSENQRLIIIYQKVLN KIEEANTEFSTITTQYNKYNQESQEVINQMNIINNEISKDKLELGDISQQINKLNDNINK SEQKINKQQLQLNQQFQQYNKQRLQLFHIIQEFPFLQPLSMELVHLVDLPQLEQYQIDQI TLKYPQSSQQIQTIYQIAINICNYQQTLIQLQNNLHNDKVAVEEMKNKQELRTGMIQQQE QQINIYQSKSRILTDQLEKITKIFEATRKCLQHLNKYQVQWQQNIFNLQQQQIQLVDINF VQSICQSYNQQIKQKLIDNLNLQCKLDYENMQLLLNLKFQNQKNILLIIDPENLSQEYLQ QFYPTAYVSSFNINNINEIKSNYQTQQCLIINDVIIDELMKSQRWRSFILQFQQQQESKI YFISYQIRHESIAQSLLRTIYFKKQDKEIESYALLNILQKENPEIFDKLVQQYDEENLSL INLGSLLDDDHPIMDPLYEQQLSLLISSQFNSIINLDVITQIQELIDQQEQQKQYNTQFN FEIYHPLMVRFLLVYKSLQQSIVFDRRYYITMQQLLDKLIQVMDQIKGDSSERYWIISNH FTLQTMHMIENQFRLEHHLLVKFILFTQIDLRNRTISQDQFQYVIGQQVQKDLSKYPKSL SVSFIKQDQWDEIRYIMSLSPSFDDLHTQISRYPKEWQKWLDDALSIPSEYDEKLQIFEK LILIKAFKPKYLRRFIYEYIKDRSTRHSTQIKTNKIYQTSEKQIIYVQSQLRKFVEEINI PIYKGQQELNQQYLFINLSHYPLELQEQIIQSKYPHCILENFEEDLLLDKTLLNCQKSFL QYDEQIRQNIKKYIPKVDGNTSEMKKFTYSLCYMHFFIIQRNLLLNQTSETYTVSDLNLI LKFNLPYFINTNINSMFKLITDGIYNVPPEDQITIESIIYKHCNQQVNTENYQYLHFQTL PIGSDVWLDEMINRIPDTNDTYSIGYGHYNFAIYNQLNQQIIQQFQLLTNSNNTVVQSQN KAQLKLVEPLNLIKLEQKLTRKYSFQKNDSKPFSQYYRKTALNIINKNKQNISYTDAVDQ YINIQIQKYNVLIEELKEYIRNNPDIYAMPCPHELYQYLWYKPKSNLLTHNLIEMVNSNV YQLKLLRDQQNRKYFDLSYLFIPKALLEYFKLQFSRKNGLNPWNLNIYTDISKCTEINHV QQQQLNDGSYLLGGLECQNCQWSMDKHKLIECGNLPSTLIPYILVSTLEGEQPQELQIQI MDNDITLMTIDLQSDLDQDTINIRKARIVIKGR >CAK82832 pep:novel supercontig:GCA_000165425.1:CT868441:438826:439857:-1 gene:GSPATT00017204001 transcript:CAK82832 MNQQYLRKKLKIRTTTDTPLVPKIMSTKNEQSQFIETNRKRYIKAFSPQRFQLPQIVQPQ LSQLSQITPLRQRGKSESFREYSMGFLGPNDFVRLLQMDQNLGQEFCYLNNYGNPYEWKV VNYDDRNLDYYMTISGRGIIIHHSNFEEFVSIKQFEKDRKLFHRLQKIEFFRNYLQQKTF TRWKKLSIKNKAQSISKELTTCYLLLDPSLKRPIEEIHKYTQRIKGLVFFVPTQMSPVNQ AKFIQKLQRSLNDFQKELAKNINLILKIVVDACQYSFSIFKEDQGYRQNLELIRNSQMEQ KPLIIGVLMPFLQIGQFYTVNAIHNGIKSEVISVEANQIHEDD >CAK82833 pep:novel supercontig:GCA_000165425.1:CT868441:439949:441252:-1 gene:GSPATT00017205001 transcript:CAK82833 MNTQLLIVEAALLFGSVIALFIFKEWEGNIKIIKLLFSLSNLSIFLLEIKQIGESNYVLI AISGILMILFSFKELKKEKTVVPQIQVINTELSERNNKVDQEIELPQQLPVIPSIHESNS SINKSQNPPANDNNRLQSNFSGEKNDQQNAKQEQGLNYLGDNKRPSIQFKNTGPSVLITR QQSVAQIQPNLNVIKFQDDFGEIKFGILKTLKSVAYDQLGREVECIFQSDRPLTKLLSTV EKTNQPRMQILYESQAEYNQYVDDTLRGAIQLDDFGYDEKKKEQKITWQKIIKAVILIII LNLNFIIFDNWNLPQIILIYFILQRQILQYKKLIAHQLELKCEIRDLLIWLALVGLPLMT VLAINLLDKSLGRQVLQVANWVSLVIASKYSKHCQEYNIYLALDISMVANSTFQQ >CAK82834 pep:novel supercontig:GCA_000165425.1:CT868441:441994:443183:1 gene:GSPATT00017206001 transcript:CAK82834 MQGIDYDVIEYFQYENSGQLFWEHNLNQIGTMEYIPNEKWIKYTINLRNCFRLRDLMFWI QKGLVLMSIEQILRLCSHLLTKVAELKQNELNHFYLTSDRIWLILRQKSQLLTITFVDIQ YDIAFTGFQCPIFQSESQKQDASISILTIINQLIQHFQTSLKVSKETQQFYSHIFKSLGQ HKDFVQARSEIDELLKYYSRFPNYDTYCQEFSQQYNQRGIHACDVGNYLFEIVSLSDPYE CFIKEDCIFGMISLIGISIRENHLKALKDQRHQILLQDQKKYWEEFIRISLQNNILNQIR EIFEQLSQYAKLEISKEDEQMMIDQILSEIKNTCILKYFFNSYWVRHAEKYKEQFIKNLF PIQKQITNLRVKLWIQEMSLQFVNQLI >CAK82835 pep:novel supercontig:GCA_000165425.1:CT868441:443539:444785:1 gene:GSPATT00017207001 transcript:CAK82835 MYRIDNLNLENFEKIVIQFPSNQLQEAQTVWRQLSQKYPNKQFIISADPLHSSCCVNVIG ARHIKHDLIIHFGETCFSEAHQENIMYVLPYFEYDSAELIKSIDSIEQSSWVLFDQKYDH ILIGESKHIFLSFQGKLNQQQTQHIACGYHAAELRKQLIFIGSEDSELLQRFILHFEYGK IEKCYIIDPTTCQLQILQINQMPLIIKRTKQVEEASECKCFGILINNSTAKYTKNAYKAC KQILKNNQKKYFTFSMNSLNEAKLGNFPEIEAYIIISCYRNSIIDTKKYYKLIITPFQLL QAFSDVKYIVESDLSLLAQEQQLEGNQEVQNEQINKQEESTALLAQNNENQLIALDKIFQ TLDFYKNRQYQGLQIRENLEPAQLEIGLTGIASCYEKEGNK >CAK82836 pep:novel supercontig:GCA_000165425.1:CT868441:445243:445461:-1 gene:GSPATT00017208001 transcript:CAK82836 MIYDKSNVNFLIGENLDEDEQNHDEIIERYLVQDQTQNLNRFTKYVNYLYKPIKYIVMKN QEKIVVQTQEDR >CAK82837 pep:novel supercontig:GCA_000165425.1:CT868441:446166:446474:-1 gene:GSPATT00017209001 transcript:CAK82837 MFEAKEYLRKMEIIEVLNRKQQVSTKELTVKLTRYAKFGSTCGVNDKGELLLSLINGPVL QQLRYQQQADQPRLQTQGQLEQLLNELVKGDQEYQKDYNCQN >CAK82838 pep:novel supercontig:GCA_000165425.1:CT868441:446554:447074:1 gene:GSPATT00017210001 transcript:CAK82838 MLLMVIVGSSNLVGQKLYLHSKQVYKNRGNAANLTLQVYKNKTKIFQQIIKAEQRSKISS RLSINYPFNNQKSHSNEKKHKNISWCFPKKKWILVFFVTTSNALSNKQRTMQSLKIQRIT QTKLVKMKLPKFHITFDNMFTITKRRPTGNSRAKDTVYPEAPL >CAK82839 pep:novel supercontig:GCA_000165425.1:CT868441:447260:447896:-1 gene:GSPATT00017211001 transcript:CAK82839 MAKQSTQEQEQTNKQLRQRDFGENEQYSDQSIYGDEVVQQFNGNTIKIEGFFFNDIYNEK SIQWTIITQKFLNDHLGYGRVKREQIELPIEVLYVNSTNILGQNSSIMEMHIPYYQIVKN VNHIVQAHNQNKQTESRFRYFLIIGQSNEEFDEIIDALNPTECTSFPNCSRQHGYGVFVN DECLVQIQVVCSKIDHNVLIPKGV >CAK82840 pep:novel supercontig:GCA_000165425.1:CT868441:447956:448241:1 gene:GSPATT00017212001 transcript:CAK82840 MIISFYDQLQNIKFRILKEKRPSMQQNDCPICYQQINESHSVIQLPCHQEHYFHAECCRQ WLGRDPRCPLCRHGLEQPKEMVPLEFI >CAK82841 pep:novel supercontig:GCA_000165425.1:CT868441:448271:448866:1 gene:GSPATT00017213001 transcript:CAK82841 MQDAQDKLELHSKLLKYPPFYTLQDHKETRKKQITQWSEIVHLYFQSHKILESSISEILN FPIFQDSSSGIIKKLDSSEIKEILNQMAQLGSIEWKNDQNFTVNLVSPFELADAIYAWAK EKKLIGYTETLRGITEGSQTDESKKFYNLPQEQILKACLILEETGRCQVYEFDGLYSIKF I >CAK82842 pep:novel supercontig:GCA_000165425.1:CT868441:450982:459147:1 gene:GSPATT00017214001 transcript:CAK82842 MNKFIILSLFLALVASQTYSITSCTCAQLLSEGDCIKNASLGCAWDATKKACAVSTTPVT PTVTYAAYCDTFAETDCPKAKPCTDCGSYAACAWTDSKCTYFTGCTAFAKTLDSECQAIS NRCITDGTHCVEIDACSTYKKQLPCVKNTAGSLCYWDTTNNTCVDANTCDKLPATFATDK ECRDVISTCTTKTGGGCVDSGNNCSDQTLEIQCVWNKLKTTACYWDGAACKDRICDNAPT SLTTDDACKTFRTDGTCTTKANGGCVTRTTCAAAAIQASCIKNSSGGDCYWTGTACVDKT CANAPVTMTTNSACAGFVTGCITKSGGGCVANGACSVANVQAACVKNSSNFDCIWDTTCK EKTCANAPTTNNTHDLCTSYLSTCTVKSGGGCQNRTCANAPTTLTTNDACEAYLTGNNCI TKTGGGCVTNTTCAAITLEAACVKNSTGSTCFWDTASSSCKDKICVNAPATNTTHDLCQA FLNTCTVNSTSAGCVEKTCENSLVLAICDKDTSNRACIWKGKCYKKQCVLASSATTTHAD CQTYHSSCTLSNQGTGCVPLPLKCEAITLEAACNMKANGQPCGWNGSQCIDKACSTASKT FATTTQCQGHISTCVANNPATVNGSLTIQGCQDLPTTCAARKSTENCEISRAQFPTCLWV ASSTSCVEKSCATASTAGTTGALSAGGFTFSACQAYLNTCISNNTADGCMVKPSSCSSLV SSNCQLGSKASGDCYWNGSSCVDRTCANITQTTHNSCQSTFNQCTVNNGGTACQPLATAC TSYSTQENCKFTSTNKNCVWTGLACRNATCADAPDTASYDTDTECLAYPTPSETCTVVYK VGAQGCVPKSANCSDYMTQAQCHKTITNLTANDDCKWVVDRCYAISSFATGACTTFKGTQ TMCQGYRAGCTNTGGATSSTACTLDCTLKTGTGLTFADCQALDSTCSVKKDGTGCIVIQS ACTGYGTTAANCFRSSASGNAGYCALNGSSTCVTVSAAADCAFVTGLTGLDHTKCQLYHT SCTALKDGTGCQEYKTTCSGYAATNNCATSGQGKCYFDGTDCLRFTNCASVTGTGLTTTI CATYDAGCIANVDGTACQEKLATCDLYLTQKSCSTSAAAATADKCAWSGTACLAIVTANI ATHCAYVTGTGLTDAICAAYNVDCTANRAGTACQEQKATCDLYTTEATCSTSAAAATADK CAWSGTACLAVTTVATHCAYVKGTGLTDTTCAAYNADCTANRAGTECQEQKATCDLYTTE ATCSTSKAAATADKCAWSGTACLAIETANIATHCAYVKGTGLTDATCAAYNVDCTANRAG TECQEQKATCDLYTTEATCSTSAAAATADKCAWSGTACLAVTTVATHCAYVKGTGLTDTT CAAYNADCTANRAGTECQEQKATCDLYTTEATCSTSKAAATADKCAWSGTACLAVTTVAT HCAYVTGTGLVAATCAAYNVGCINLKDGTGCQEAKAGCKDYSTQNKCSAQSTGSLSCIWV DSSCYTLGEKNCSSITGTGLDHAQCQSYSAGCTSITDGTKCQDLKSSCEQYAGTTASCTK TATSKCYLQGSTCITISNVATDCAKITGGAGTVTYDICQSYNTGCSANRARSACVQQQAQ CSGYTVLTSCYKSGAGLCIASTNTDTACVAASSATTCDAVYLGTGNYSNANCVEMKAGCT NNGTTACVAKSCANAAGITFNHANCNSYLNTCTVNSGGTACQTMIPKCADQTSTACLYSV EGECVVIGTSCVRKTCDTAAIDATRDDDSECSTYQQSCTVARLGACQARAACGTYKSSLQ CKFNTSGGKCFWNPTNKTCVDLNCGNIETSTSFDTHNECVAVDSTLACTVRATNGAAVQG CMARGACASYTIEEQCKTNASNGICVWNTNANLPAPACQDKSCTSAPTSTTTHNDCYAYY NTATVKCTVVATPSNSGGNPTLGGCQQTAACSSYIDKEQCQINANGDPCGWNGTQCADKA CSTAPATTDYDDDTKCRAYLSNKCTVSDIGLGCVEIPATCETMTQKQCYSNKAGDPCYWT GTTCITKSCDNAPDATATADECNTYLAGCTLDNVKCKTKVCEDFAFATDALCKQAISTCT TNGTNCVTRGTCFQALSQAGCVSSTTGQQCEWIPAVLNASNVVTTPAYCTIKNCSTAPIT LTSEAACAGYFTNCTTKNGGGCVTKSTCAAVTIDVACTTALNGTICAWDSAQNKCRDKDC QDFSGTTHAACQAQRAGCTAGASGKCARVQNCEQTQVRSACIEGTNGPCLWIDKYVNTDG SKGACFRYTSCKSLAWNSDTSCKWISNKCTTNGSNCVGITLCSETNTDGGCVTGYDGACI QSVPALNSSDPKVCKPYISCADAFYTTHSDCQIASSKCTTNGTTGCIALGSCSSYTAQAG CYFNDKGAQYSSGAIVSTGVCTWDTISSSCRDQSCADLTGTTHATCSSQLSTCTSDGTTC LLKGVCTSYTTQIACTTAVGSDGSCYWELASATNNNTAKCRLLTCADIQNGTATNVCSVA LSTCVSNGTACIPKANCSTYTSKTACNSGGLDGICVFTQSTATGAAAGTGTCALMTACTI ANNDQTACQAARDRCAWTAASGTGTTAVASKCATHTCATNQATNGACTRFLNWDKKTQQI CTLVSGACTATDPSTLSTNDCFLVSGYTYTWNASTSKCGVCTAVVVQPNNSNNDTNNTDN NTTTDSGYILGLSVVLGYLMF >CAK82843 pep:novel supercontig:GCA_000165425.1:CT868441:460436:460914:-1 gene:GSPATT00017215001 transcript:CAK82843 MEYQNREKISKISQSQQLYKFNMLFSWCHISIWQQRQFDSFMGCQDRNIKIQINWSLLVK FSVLFSPDGTTSTFGSQDSSISKLDDHMSIQSAFLLMVLHWHLVAMTNLSVYGILKQDNK DKRDGHIEYFRSVWFSLVVMINLTLYRE >CAK61153 pep:novel supercontig:GCA_000165425.1:CT868012:534:1125:1 gene:GSPATT00031384001 transcript:CAK61153 MQKRDSMEKISNNYYLLGVTFLQVAPYGSFTQHQQILTHQHIPKSYKYFGFPYIYFMMVI WSIVKCIKSDPGYVRIFYGVLLDDYKQKKRHYCLIFFLPCFKPERFHNCKICVLNLDYHC PLIGNCVGYKNRKFLFCFFPRQIQQSYQDLELSPSKFIQKLWILSLQIGDFQKFDSV >CAK61154 pep:novel supercontig:GCA_000165425.1:CT868012:3405:6543:-1 gene:GSPATT00031385001 transcript:CAK61154 MQGIFKRIFRKANKLVDNNKYKEALQFYDLAIWSNPKNSLYYFNKASALRRLQQFEQAIK YYELAIEINPEILEYYNEKTQTLLFMDRFRDAIKHCDLCISKDPQNSGHYLTKGILGYSS LLALALVEMRRLEEALQSQDLAIQINSEIPDYYNYKGMAEQSSFLAITLTHMNRLGEALK YCDQAIQKNPKESFYFYIKADVLYKMKRFEEASQYFDQAIEKNPDNPEYYNSKAITLAEM DRLEEALKFFDLAIFKNSEEPLFFNNKAEILKKMGRFEESLKYYDLAIQRNPENSQYIYH KADALQKMNRFEEALEYSDFAIQRNPEMAEYYHIKAIILMQLDRVEEANNFCDLALQKNP EESLYFSNKGLLTIYTSLAVALQKMNRNEEALQYCDLAIQINPQISYNYFQKASTLNQMN RQEEALLYWDMAIQRNPEIFECYNNKGIFFNFIFFVAISLVQMNQFEQALKSLDQAIYYN PQNSLIYSNKCEALNKMNRYEEALQYCDLAIVKDSNNSNNYYIKSETLQKMNRFEEALYY CDLAIQRNPEMAIQYTNKASTLLQMNRLQEALDCCDMAIQKNSELPEVYNFKAVILIQME RFQEAISNIDLAIQIDPEEYRENSSFLAMALQEMNIYKEALIYFDLAIQKNPENPEYHFG KGLTLDKMNRQQEALEYYDSAIQKSPEKSEYHFCKALTLENMNRYEEALEYHDLAIQKNP EKSEHYFGKGRTLEKMDRYEEAIEQFDSAIQKSPEISENFYFKARTLLKLNRYEEALENY DSAILKNPEKSEYFILKALALKKMDRYEEALEYYDQAIQKDPENSEYQVSKAQTLEDMYK QVEALEYYYDLKREIQLLQKQN >CAK61155 pep:novel supercontig:GCA_000165425.1:CT868012:9444:13583:-1 gene:GSPATT00031386001 transcript:CAK61155 MYFKNENAYPICLQPIQYNVQANKQWKIKKNNPNLLALQNDVYFKEEVNEVMNILFTESE EEECYILKNGIGLDTLNSINKNDDSISQANFKLTKETQIFIKFLVQKLILSYFDENTQHQ FDWKAILEVLLFLIQKFPILLPLLLRINCSEYLQQYKHKIGYESQELPTNISFLSLITRF IQPTHNFLFYICLDNIILFKKSNNIIVPFANELRRMIIKQLYSEISKSIKTCDDKLKNLT LLLGILLQIKSVAKICIKNINEPQDTFNFVNLFIEGIKKYDLQQYLIYKDQIYFILTILN LLYNVATSFLLEQNVPAPTNLNQQNMMNNNRQRGNKIIGEMRLQEMQNQLFSFDQRQLID QLNPLSLSKLYILQWPLFTEENFSQQNQRQEELTSNDQLHLIEESSESQEISALELEHEE NQFIDEFGQQANQEADKNLENDKVLDNFVNAFINNEIEINFQFTIIFNQLRLPKQFQHHL IKLREFLIQDSPQIILSQYNQQRVSLEDGIRFRNPMRQRNNTIIQVLGRPLPQNHILQRD QLNLQNNNVRLMNENIERNVDNDYADIFPNDNDLDEDEDDRDYQSLIRPFQQQNQLQQIN QNRTLQNIICILNNRRYTLNNLGKLDYQFIQFLLKLLYRQDVEANLNNVNNFFKSVVTKM NITNQMIDDFIQILKQMTQNLDLVKKRILELMIDLQKLQKCNLSANNFKDVISLIELFQG EQLNLLIQFMCKQEKLPIVELSQQQINTLFNNMLKEKDLEFSNNYINLISKLLGVPQNRN LFFKRMEDVVGNTTEYYQIQFENRNTSDSSFDKINEVEKIFQLLHIAYKQGAEEQNSCEI FLNSIKVENLMKLTENVISILPKEQVQKYYPALAPIFSILVISNQILNPNNSQQLIFLTK LASMEVSNSFSGSLEIRDVDFESLSREICKKGKIFINYIIEQKLNQMNRQMVRRQQPTQN QHDFEFQNLYVDYFLKNHSKIIDFENKKLYFHLKIRKTQFKRISVRRTHVFEDSYNKINS CDPQLLRQVIVQFEGEQGIDMGGLSKEWMQLLTKQIVDPNNKLFVPTSNKLFYQINRESY QDPDHLKKFKFVGMIFAIATANGVKVFGRFPISFFKHIIGQKLSIFDISYHDEGLYQQFY SLYYSKSNADFEQYFLYTIVNNGIPEDLELKQGGGDILVTQQNKKEFIKMYCDQIMAKNV ENQMKAFLEGFYSVIPRQYISIFDSIQLEQLLCGQTYIDVDDLISNLQYVDYAPQDQVII WLQNTLKNFSQDLLAKFLKFATSLPLAPIGGFQNQEKKIQIRRFNCDFNMTDQLLPVGHT CSNQLELPPYSQEQILAAKLEIAITEGSEEFTLA >CAK61156 pep:novel supercontig:GCA_000165425.1:CT868012:13687:17667:-1 gene:GSPATT00031387001 transcript:CAK61156 MMLNIQRGDPQQEETQLDDEEIRNLINSFNIEELRNLLQQQDKKFWFITRHGNYLLEVIE QHLARVVTELQTNPKYDCSQLLEFLQENTKIPFAQELIPSSENLLKIIEYTEDLHIYDLV SSILLSGSNYMIRRKIENLPRILYFWKILYNHINFLGAQKINLLDYYYDDPKYRELCQEP IESLQFDIEYYEPTQLDESYEELQKNFLHQKKLEGQLLKKKISIADDNSTSALALSRNLL NLQNQPLSPLIEIVKYRILIQRGLQTDPKKTRKIIIGLHLKSLKFLGQRILKSIFKGCYN QIIGKLEQTDNDNLFRLETIEPMSLEQVINIPEYHFNISGSERHQELLLKLISDVLSMNH IEENEQIQGYPLKYNSTILSQQLAMDGRLTSCILINFYLISFRNAFFSSDIMKLLIRVLN IQDNKLMLPPEQFGDALSLYNWLCLKNQENLSQNFDDLLSIIGHYVNQGQNLQKPSQKGY VQISDNEADSIMTKCIKILSQNYEFMQFDRDNIFETNQTINIDTLLTNKILNSDILKCFA GKLSQQAQLTNTAQQALYFISCLTQAAPDQTEKIIESKTPAILNDIIQNIKVEELDLKKT IYILKFYRNICLQEKGFDHFSNQAIRFLHNLLHYNLVVQDNNIMQWINRLNQNIRDIIHL NNRMVGLSIEPIQQIYQQLLEQLNNRIKNLKFDQESKTEFKKIVQKKNAILTLNMNIRLS SDGIIDVITGLFRIPSFTNFYSSLIQPKKIIERVLQIIESWEAELGQTLDNAQLQYLDEK KLFDQFSPQNLTIVGRFSEIFHYFSAINIEQIRTSTKDLKCKLLEKLGPMFGMVIVTKDS EQLKNHQSKFSDVGILIGLFQDLIKLEMNQYLEPIGRFFDKLSSYLVSCPNATQQLLLLI STLLQTIPPAFQTKLQILLINSSFKNNLLNALKNYNEIDQQNSIITKELKQYFELVFKYL YQFPRSKEDLAHILPKIIQDVQSLYQISIDSYQENRITIIEFLIKKISQILKPEFLNSNL SQNPELINVVEEMGYSRQLISEAYNLNIVFNSPEDLVHYLEENRNLLEQRIQQGQKQENL NLELIQAQKESLKSYVTTNILRFPISQVFFLNFPGIEFDQMELFKYLLHTAYEQFNLKLY FQGVEFENTSTPPPWSIVVILKLLSQQKHFTEKQDLIAIEMIKILEKILQQPEICKNGIQ AINFTLSIMTKLITNNQEVINLLLNGIKKILDLQVQDGITVQWCIDVLIKVFSKQQIINK SIYFRIWGVRIALQIIRKTIKSYIYIWQIGNRNNY >CAK61157 pep:novel supercontig:GCA_000165425.1:CT868012:18709:18870:-1 gene:GSPATT00031388001 transcript:CAK61157 MADICYMQWFGSYLDCEMYNSNQLFQFRRGMIVLYRNTIYSQQIEQHFGKELR >CAK61158 pep:novel supercontig:GCA_000165425.1:CT868012:19178:19578:-1 gene:GSPATT00031389001 transcript:CAK61158 MQYFKEQNVKREHLIQEYQLNMQVLIINKRLGQQNSFAFFLLNFRVYPERMEQNMVVYLI LSSKFQIIVLYLHHQEYHNYLLFVSTKKKLIKQREQIKIKDYYRLHHIESLMELQQASMQ CLQ >CAK61159 pep:novel supercontig:GCA_000165425.1:CT868012:19642:20472:-1 gene:GSPATT00031390001 transcript:CAK61159 MPIVALQILPLNENISLDLEKDTSINELFEFIKGQFGSRLNSDVQTWTCYSQLKRMNLNR VSCIGDVQNETLTINTLPNAGIQMYTPNSNLVNSSSNIQNYVNVQQFPAPVQQQAQPQQF PPQNSIPQQQQNQSQPQKSNPNQVQNQNSGQSQDQSNQVILHLTITDGIQQRKFQSIFTK NDLLEDVATAVLSYLGVAKEAASCDLIIFGQTYNSPEKRQKSLLQLSIQSNTTIDARLRW IGGSQQ >CAK61160 pep:novel supercontig:GCA_000165425.1:CT868012:21599:23221:-1 gene:GSPATT00031391001 transcript:CAK61160 MKHSISFLKILFLRSILYQNCITDKENDCKSQDFQIAGTIESNTGICGSGSRKTFIGPFG NKTLVTYDLPYLIPNKNVELSFGIWKLDSWDNEGFEIWINNVLMEKLVAGNYDYPDVCRD PQWGDHLYYFSYQFQLTNTHFTLELKDFLDQELIDESWGLRDFVLSLSSLCVNFYSECNY SGELFQICKGDKTTRSTSLPYEIKSIMMDCGIIVKIKDPNQFGGALQEYSSPQPCIGGYK VFIQESTLVPKIYSIMINKDINTYNILISNFFTLWIQSLIHLIYKIKQNQLDQSPAFLVQ GQGLTIFGLLQIIVLKNNGSQKSYPLDQFFLSLKNNLSYSFDKVFQNNKEFKIIQRKVDE RKGSNNLKILQLIEYEVFSVINLPHVQQKKQQILWRQAYNKGKFMAGNTKINSYQQEILK ILKFKTLKNNQKVNINIYFYQIQLVNSNYKFNFFHSFSIIRTINNISPQEIFDKSNLYGW HDQQAALQNHQSSLAVIKFKLCLYQQSLSRWILEKQTFKSCLI >CAK61161 pep:novel supercontig:GCA_000165425.1:CT868012:23544:24413:1 gene:GSPATT00031392001 transcript:CAK61161 MSNMTSNCFFFFFAKKSMFKTKTKYAQKNKLLPHNPHQTFIIIRLLSGQIGQIMLIQSQH FVKTHYVQPNLKLQSNCLIDRCLCIIHSKGYLIVQSLHFLVSMLDYMSISIFCIQKGVIK ISIILSRSMRCQILGSFAASVQVCKDHHSPKLLQLCNQPNLNQRHRQYFKNQTIYILVEY ISQIFSLKNFLIIVYFVTNYSIKFINTPFQNLSPYLIKRATLQYIFVLFSIYKSVLFEPN HYPLVRLFTKQYISLMHFQILDEISEKITAYKFNFPNLKDIKKQIFNLR >CAK61162 pep:novel supercontig:GCA_000165425.1:CT868012:24688:25376:-1 gene:GSPATT00031393001 transcript:CAK61162 MNISNINDLSQLIQGKEEQHFTFRRLEHQDVPQIQSLMSYQFLNYNPAFKIVQITEQDIQ SCFTQELFDSIIQEKLSFGAFKQDVLVSACLTYDLGFNDNQQDTLALKPTERMQEIINMN DLLLGKYADNREIKLNQMAYMSHLATKSDYFHQQLALCCCFLSAQECSKLGFQQMITVAE HVATQKTFEKIFKTIVVIKEITDFKNQPIVIRSFNCSITS >CAK61163 pep:novel supercontig:GCA_000165425.1:CT868012:26371:27384:-1 gene:GSPATT00031394001 transcript:CAK61163 MIEPYNHDSDIFDDEVEHPVSFCSLKAHFKDDIKMRLQDHQLNECDVQDLNQKMNQLTLN KCNFKTDIRRHQKLAKKGQQFLNNEKYTQNDSNQLQATLKQRQQAIQLSCADQMTLMDTV PKINFIREMKDSTKQDATLDLRIDLKTQSHNAFDIRKLRNGLCPSPINRLSAKNHSKPSQ RSQDHDSNLDLSFNYTVQIASSGSIKYQDNKFEQQQCQKSTIKLKHNHQSVKIKTQQQQV HSQRSSQLSLLQIDKEGFRGRSLSSVSTPKSILKNPQYQNSVLDIINQIKIQGRPCFSSL NLIDSTPKKLQQNLYSSAKRVKFCLTKQQARRENIYN >CAK61164 pep:novel supercontig:GCA_000165425.1:CT868012:27611:28828:-1 gene:GSPATT00031395001 transcript:CAK61164 MNSILIGNDGTQYIIKNQLGSGSFGTVYQVTDLAMNKDFACKIISKALLQKYNAEKMVRQ EIHIQSTIAHRNIVKVIDSFEDNHYIYIISELCSRGTLKQPKIPYQEKEIFNITHSLLSA LDVLHSNNIVHRDLKLENIFINEEGTYKLGDFGWATHLDKIQPVICGTTEYMPPEVVLKQ NHDFKVDSWSLGVLIYILVHTHYPFRAQSQSELISQITTNEVNVQKGIDEDLQILILALL TKDPQHRPTIQQLFMSKWVKKQMKLYNIFNKYENQQLKNKTLSKNLAIKIIDVNGSVKKC MADSQKSSLTQSTNTQISSSNNCSPFQSKVNIEHIFTFKDDDNIISVPQPKFG >CAK61165 pep:novel supercontig:GCA_000165425.1:CT868012:28900:29590:-1 gene:GSPATT00031396001 transcript:CAK61165 MINFQKGVQESHLKSTIEYRIYRQFQAVSKSRGIRQTQSQCQNKVAINDNNYIKPKRSIQ YNSIQTDSTAFESLEADYRRQSTEPRNYQKRRSRIISTKIQSQLDLYQSCQMDPLESEQI PIGNFQIQQQQHSEPPKNCFINKENYQGRRTKLPTLKKHQLCNLKLLSQEFEKNEGKISG SHVVKFGDSFVTPFFGTKKPISLDKRPYEFQGVVYKRKR >CAK61166 pep:novel supercontig:GCA_000165425.1:CT868012:29653:30469:-1 gene:GSPATT00031397001 transcript:CAK61166 MLVGKRKYFEDEPNESLNPTFALRRRINQDRNIETLSQARRRLTQQNLAIIQEKYPQIQQ SEITRLLEDFDNNIEMVFEILGQKMATPQKIDIDNADFDNYKICLKEELLKRLQQSQNIQ TAQQNIQLCFEAFEQKLGEKFQQNTQKLLDENKLLKQAFLKQNKRLEKVRVDAENKEKQH QNYIKDLTEECKQQKLINNNLTILLIQAQQNVSINNNNMDHDIY >CAK61167 pep:novel supercontig:GCA_000165425.1:CT868012:30556:32641:1 gene:GSPATT00031398001 transcript:CAK61167 MSFQKQNSNLFISSDYDGTNQLDDYTLFNKVCYKSSQAQIPTEIQIQIINANLRQSQEEC LQSTRDIISQLHHIIKILKTLKWQSEDQLKIFYNSNRQFLILLKGLQIVDELLSNCKESP TKILLELKQSNIPFHLDYVQERSIKAIKADDVNIRMLQMPYHYLQTSFLPNMQQQLKSYE QNMSASQTLTQEIKIVGTHILMINLIMPSAIDTDQNDIMSLNSTHPVWKKLDCITTRKSL GDSEQIKQSFQSFVQSVMVGHALVNDCKNKSSRWSKIFSVSLNSLYYFLSSSAAEKGMKD HMSQLRADTAHQAMNFIELPGVKHLINLGLPKIATNLKFYILPVVLPLTIQEANKQIKLG IYDQISTHSIQYVNQEIHRDDKFLFVKNQSRIRIRLLYNQRVVEQDNIFSFLKSFFVKQK HNIFEDKIIIHIHGGGFISQSSSSHQCYTREWAINLNVPIFSIDYSLAPQYPYPIPLDDC WQAYNWILKYSETIFGIIPNKIIVTGDSAGGNLATALVGLTIKYKLQVPNGLILNYPAVD IRFQYTPSYLNSINDKILSHTILGICIESYSAHPDSQLGLDSFMSPLLLSYDILKHFPPT RLFCGNKDPLYDQVFRLAQRLQVVDRDVKITVYENLSHGYLNFNTIKGMSEIKQCILDSQ DAFSELLN >CAK61168 pep:novel supercontig:GCA_000165425.1:CT868012:32659:33436:-1 gene:GSPATT00031399001 transcript:CAK61168 MQQQFEGYLLLHNIAKPKNIGTIIRNAAAFNLTKVFMIGKQGQTKKKFQIAEGFSFFGNH GTYDQMNFHLFNNLKEAKEYFVLNNIFVCGVEIGEGSQNIITNPFKGNTVFFLGNEGTGL IPEHKALCDHFVYIPQYTQKTASLNVGVASGIIFHHFAQWAGFTEQQVEGEKFLDPEKQK EEKERKKQEMIELGREKKKQKIEQQQQQQQQVEQQNNIPQDKIENESKNIE >CAK61169 pep:novel supercontig:GCA_000165425.1:CT868012:33473:33944:-1 gene:GSPATT00031400001 transcript:CAK61169 MIRKLLSRSLFIRPQFCINHIFDTQDHFKKFNRSSTEGIKSSVNPLPSPSEEAALYMEEN EVDVRFLKVLKSFEKIDVKSVNWEGDLIKDIGLDSLERIALITSIEHEFTAIFEDRVFDN LKSLQDIKKLILKDDSAF >CAK61170 pep:novel supercontig:GCA_000165425.1:CT868012:33944:34561:-1 gene:GSPATT00031401001 transcript:CAK61170 MSLSWLVSPQLHKTTNGYYINHDNIMLAGPQDRRRLIFYFVASQTPEKISQEDHKKFIKE QTIDVDMRSGIFSFGGIFLALATLREQQMITSKITSRPILNGMFILGVGLVSAAFSYNLS KVLFEPLFVQKNQVLLELAEKYNFSVFDFALAKKEARLKQLRAELTSDSSNAAHF >CAK61171 pep:novel supercontig:GCA_000165425.1:CT868012:34603:36228:-1 gene:GSPATT00031402001 transcript:CAK61171 MQKYIINKTILIPYMQLINRGFYQFSQKVNLPKTIGVLGYGAIGKAFTELLLKQHPKANI VILDKHDAFFPNEKRFKTVIYKQTRDNLTQTLDIMGLKEGDTLVDLSTNIGFAEIWALCA SKGVRYLNTALEVWDDSEDANSCPQNAEEAYKLTLGYIKDNAKKSPFWQKGPTSLFETGF NPGVISHCVKRGLEDCAKHYLKNPLREYNKSDLRRHLEQKNHSKLAQVLGVHTIHCSETD NQLMAEIPKDVKTKFYNTWSCRGFLTEGLVPAQVARGSHEDTHIPNCYTIRDGKTIVSKI PSVNIWAKSWVPNEDITGVLIPHGEAYSIQDYLSDPETGYSPSQYYVYDYNPLAKEFIRN LPSSATIENTHPEMEVIHPMNFPSMKGWDKVGALLIMKNNRAWWTGSIMDEIDSSKIFNG KFGPTVLQVVAGVYGGFLWTCKHPNIGGHFSEDVETDDLIRIGEHLMGRFISIPVDLNKT KIKDCYKFQSFLCQQI >CAK61172 pep:novel supercontig:GCA_000165425.1:CT868012:36578:37129:1 gene:GSPATT00031403001 transcript:CAK61172 MSKQFFERIGIVLIVVRNKNNQYLAVLETKNRGWWLPGGRVEPGEQFEKAALRETLEEAG INVTLKGVLRVEQDIDQQNCFMRFKIVYYAEPTDQNQVPKKVADKESELAVWVDYDKLHE LGKTKEGWRGMELIQWANYIEQGGDIMPLSTVSREGANVEMAKPFTIKDLKQN >CAK61173 pep:novel supercontig:GCA_000165425.1:CT868012:37136:38646:-1 gene:GSPATT00031404001 transcript:CAK61173 MRPQTSETFVREFLDVQMRPKTAKLMTSTQLRNLSAKTSKPNFEFTTKPQVEVHYQKFVP RNFGVLCDQYKWHFDIRGYYESNKQIQLQKFGRSQNNGTTQKTKISQSNQQQQQQTKKPQ VYKLIEIDSRQRQDPETITEREKELKYKRQLLQIINDDVQPLGEIHRTFNKGNHVIIAKL TPMQLRKRKVKKEHHPLTLNWNQTKKLLLVKDLTNYLIENMTYQSKKISLNVYAISGSEF IDEIQKPKDIEFVLEQRRFTCVMPKLIVYCDDINKHATIPLTNLKMSQLLQSNFQEIDNY VICFLMQMSEIDELSNFVIPQKKFPVTIQPGKLTKKEKIIKKIVYHEESYYQIAFIPPSI VVSNNVRQIGQFHFSIADLECLASFCFKNPIQSFIKSYVEIITLTPTRASVKVNIPEQHD VVAGQQGLACSENGFLLNQTVDSDFKIVYTPASLEFYNPTTLDKQVRIIEEKELQQIIDL DFNFTL >CAK61174 pep:novel supercontig:GCA_000165425.1:CT868012:39006:41921:-1 gene:GSPATT00031405001 transcript:CAK61174 MKSESQSYASYSRNLNQDPQQQSYHSATFQTLQTSQRNYVLEQTQNIKDEEKRLLQKRIE DLEFQLKNLSQKPIIYEQEIARSTIQREALQTDFGSANQTIRQLQSKISDLEVSSQIRLS QQNEASKRQILDLEDRLQKLQIQCDSQVIQINQLQRDKVSLASQCQALQDQIGSSKLNYK QEISEGELRYSKESQRLSLVVNEKQVNIQQWEQRYQQLSDQFQILQRKYDQDTSSLKVQC NDAEDRYRRLQQQFDQQTILVNTINMEKQNLSVQLDKLQSESNTKIYNYQSTIKTSESQI IRDQQQFQAQLNEKIQQINDLEKKNRQQLDQYFSLQQQYDKDTQALNYRVQDLEDKLTKL SRSFDQQTNELNQIYSDKERAERQYLKLQDEINTQKLRYNQEISKTDELSSKERSWFQVQ LNDRQQTITELELKVKQLSDQLQTIQRRTDNEVLVFQKQITELTDRLRSQQEQLDLRNNQ YNQLNKEKSLVINQFEQLQQEFNDYKRIYENDVSKLNRDNQRITSNVNEKTQSYIQLEGR YQLLNEEFQALQVRFEKETNNYTMRMKELEEDLSIMIENYRREQSINRDWEQQVIRLKQQ IKYSVDETRITTEKTLIEKYENLTSEQKQEFDIIFSKLNQDKNELRIQLNQKADQIRALE NQVTQLSLQSTKNQTLIAELESANQSLKYQVNEQEQKIKTLIMQEFDSLRDKSADQTIRN LMAEIKALQNKLKKYEVYMKNIDDQKLQEQLQNESNLGRSSYVIEQRVTTGSVINQGSTS QLNNGKVQQLESSLMISQQRERELQNQISQLSSQLNQQGTNSQRNSQFNNQNNDNGLNQL NEKIRSQEREIVDLRSKIQSISQTRADTRQQYSQQEDKDRRIIELENKCALLANENSRLN LMRSKAQSGQQNQPYVSQSNNGSQRYY >CAK61175 pep:novel supercontig:GCA_000165425.1:CT868012:42301:42825:1 gene:GSPATT00031406001 transcript:CAK61175 MNMIRGFRLLAMRPIYTFAADKTPATTQGKQQQAKPIQVYKDGQLITKNYLQLKKSQDIE GYVLTLVKNYYRTTNKSALSLDSELEQHGLDSLDSIELSMQIEEDLGYVISAETLPVLNK LRHYVNYIKQVEQYKVENNAAPLA >CAK61176 pep:novel supercontig:GCA_000165425.1:CT868012:42914:43665:-1 gene:GSPATT00031407001 transcript:CAK61176 MKGPKYVENNFQLLLQRRLEYNKHIKQIKNAKCNPMLEKRSLSQQQSFVKQLEQNWKSAN LKREQMKYEEIVKLNTKMLIKVANAKEDQLFSQNSQKGRIDSARSSKSLHSVIKKTKERE LFQENKRLKDKIENVQSSIAYNKIIENFNKHQGERDRLTRNSYQKQKKIKEMLDSLTSQS KTSLHSKNNKINQVPKLRLPKINQQGQSAKSIQQLNKLRVDGFYTAR >CAK61177 pep:novel supercontig:GCA_000165425.1:CT868012:44109:47808:-1 gene:GSPATT00031408001 transcript:CAK61177 MQIQQQDLLYEFSISQVFNYNKEMTHVLYFGKIFANLQTESLRSHQQILTRVCLPENVFS NDQTKQNKGGEQQVAFVKVICKENMVFLFDNKSEMWAFGASNEGLLGLRIAGLVYPVNIS QVMDTKIRYVTAAQNFVSVYTMKNRLYIWGKTDEMNLGDCLKQKRVEFSKTIDRRYEFQC CEISTLIQGNGMQERNNELQQMQSSSNKLYLLTTQSLYLISSQPIQIMQNFVGLACNQNH VLAWDTEGRVWSWGSHSDGKLGYMNFDQQEQVQPKIVENLTNRIIQCACGLNYSIALDVK GDIYGWGKGPFKIELLKATQPTKLIEKNKSFVKVIAGDDHFGALDMIGQLYVWGLNNNNC LGQMEEEVQKPTPFELSGLKVIDASMGPTCTVLIVPSGRLQKLPNLNLDSFTSQQKKCFI EEASLIRDFTERRNRQTLMQMLTPSQNKLYKPDDKEYDKANELIHKMQLKLDSPRIKPTF NSTHISHSLFSNNELSARTMRSPISFDNVQSKLNKLENNNPLYLPILPRFQMTSFMKEDE INLDFLIQTDDDELKYRYIQLVKDNSEDQVLMQLLDEQTTKKTHSTLTARNQVKTYKQQS EPKIIKFNDKYDKLDPNLLENVKKELAEISKERWKQYLKKRKIRENKINMLKTKFQMTKL EQMSKEEQIESNRLQAVEKNIKIQYTILRKEERLKTKLEGLQQQLQENSTEFQIKKKTEM KKEVAKFFAFIQLLQYFNFEACCQMFEEAAFRGLQKKRLLFQANNKAKIIQKAVRRRNII KMINNKLGEKPRKILLSFIFRIRMSIRIKKRRALFRKLNLFYQKNQLFLKIRINLNVLMK SAKNIQVFCKYYNQTIKMQLSYLNFKWDEYMKQEFKGLVIDKDKEEQKLNQLQFFSEKQI QIMKQLSYPYKSNKQLIKDMLLNKKLKLKVDTLRTNILKRIPLLQSQEEETKDKEIRTSF NSILLPYTKYVENIIIPQNFRIRFDYQEIQLLNVLDKVNLEEAKLKMELLQTYQRIQRKD YIQQCRNYFQALCDFKQKNKISIGTERIKIMSKLGLDEVKQLKQKDELEYRILALKREIN TKRIRIFDSALKENIFEKIKAFQQDNYPFQLLTYKLVVAYSKIEPPKLTMELSYKEWVRL FKNYHTDFKIRLQSIMSEARRAAAYKAKQIKRSTTIKSKSTLSKKVIDIV >CAK61178 pep:novel supercontig:GCA_000165425.1:CT868012:48064:48807:-1 gene:GSPATT00031409001 transcript:CAK61178 MLSYLRFFRFTSFRTDPYVLLGISKDASMEQIKKAYIEMCKKYHPDLNSETDAKEKFAEI HQAYNLLKQRKFYEQVEQEFKQNYYTAHSEEECFKQVFGFYFYENPQEYYKPENAQKRAD YQEMLRKYQSQNGKQGQSNQQVVNDVKINRNFNSESIYSNQYVCNLGYSDHSLLKALMMF TFVVSVTSAYIFFYKRSTTYAKNTITDSQILRMRTLSLIRQQKEAEEKQLKL >CAK61179 pep:novel supercontig:GCA_000165425.1:CT868012:48945:50752:-1 gene:GSPATT00031410001 transcript:CAK61179 MSDIKITPRQHGRSKTKTIDNIQVQQKKPGITNDRLVVNKLGLKFDPVCWKKTHEKGRIQ YVCIEKDCKADRRLACAHCIIEEHDQHVSNKITVEQYCEAFEYNYKQYVLQVAKMEENYK KCKMIDVIEQIDRDMNTIFEIIKYQLKTVRDRYVQDMQTHSETTNASLIQSFNDERQILS KMKEYAIKNLYEMTPAEMDHSLLLIGSSHIEQIIYKLTTFEDQSATISSTLKAQWKLIYE ELEEKLGDVISQIGQYNLNVQKPSGKRTPIDQVNMLLQDQKYQDMTAQYTTTTNKSESIH MSTFQRTNYSQQPLLKLQPIQLFQHLQCVDKQLNKPNTSKFADSVSESVKQIDSPKDHTK SIKQVAGSSDVVSPLKSELNSKQPTSKQNSLRNLMLKNQNQPQQLKDVNNQTINNNCNQK QQTQLRQISPNGMHYYYQSDGKKLDGKRGNHLQSQSSSSLNQQSLDKRVSAQKLQSYHIP CNYNNMKCIHNDIIKASPVFTCCNQAYPCYECHDQVANHKAHITIPSQRYCSICKEIFTV NLLSTVDVKCYHKGLVDQEQSQNNPHS >CAK61180 pep:novel supercontig:GCA_000165425.1:CT868012:51635:53150:-1 gene:GSPATT00031411001 transcript:CAK61180 MNKFSLTFIQKCLEQKYQGHRYLSTIPACKVINLMCFTICMIRSIFCVIVQDYINVFIFA PLGLLVFIVHFVVMFYKKQWIDFYLVGINHLLMCYQVYTEADFKPQEAFVFGQNMMVMHT IIILVSDFKFAVIQVINNAIIKLAIAKYFQPDISIQAFIYCFILTLMIVIPLQRTNKQYR ESFLYTTQNNSLDWIIPKIIENPFAIFVYDKENVGFQVKLSNFNSFNQFESTNSNLQNLN FILRNYKLNGKTLETFVLNRRKNSDNITVNQEHEIINSKNYSDRIYIKYSEVQLTEQTFI IILDQKQQSYIKMEHKIQRLETGINLFLNQLKAFLIKQLLMLNKSTAQDLSYIYQNKVNL MYILTKLSVQPNLFVHQCKVVPKIQNFIKLFNKAYNKRVHFQFDKQHLQILTYKNVFEEL LTILMTFIFRTQESSQAKLILRGSYYQNEQFLDLLIKYDQSYQLFQQLQQNIHFRSNLKQ IGPYDRVLMENNGIC >CAK61181 pep:novel supercontig:GCA_000165425.1:CT868012:53371:54708:1 gene:GSPATT00031412001 transcript:CAK61181 MNNCSSKKNVIFKPTLPRLKIIHQQRQILSSPQKTKFNDEKKLEQIVEKRNFQKQLVDLV IPIHDDAQSSIINSELSKNDLLKHYKQLPSKPTLLFEKAMKGLHPLQQQEYRNKELKKLD KKVQQLNERNPLSESWKSPFLSQPRLYKSSEEVDLSASQEIDPNVQNVVVLNENLRGFGS RLRSYLDYDKNTVFERQKGNPKYLYRDNMIRMIKNNSTKELDLVKEWNILINNDKLVSHA QLQKPEIRMQQFGTISSISHYYSIQDFHKKKMGQSQTQQTPNSQISPQFVVKNSRQSNSS EPIDFGKSNQVLLDVSEVEKGQDDVAIHKDTFLTYCQVFWDLIRKEDEIVERVVWAFCKG DLFTFSGFKHFYKLIVFQEGTFEDYIKFTYDFFLAQDRSEIPFTEIQGLLRLLASRIDGK DHVLSDQILMDIVSQIHRQNDREQL >CAK61182 pep:novel supercontig:GCA_000165425.1:CT868012:54852:55336:1 gene:GSPATT00031413001 transcript:CAK61182 MYDQSQRQELIEFRNYVRQVTQAKINNTQEKSPSGKNSTKQLSFILKSTQLRQSVQEPIK SYQNMKTSFDQSYIQRSTSQPYVQFDANCWKENQNSFMKIKNEGYVSVSDLIKISNGLGQ MSKKDIRDLSSNYLHSLHELDQTLSTLLKRID >CAK61183 pep:novel supercontig:GCA_000165425.1:CT868012:55930:56743:-1 gene:GSPATT00031414001 transcript:CAK61183 MVKLLSKSPVALNSPTLQQWDNSFRENYEMYQTEIDKNTYALQRSIRGFWIFGKAFYPAL TQHYSQKLELYQLKQQLGKIEQSEVNLYKTVDPSISTVLNKTFSTQWPLVESPRHIHYSW ATSLRSIVQFWILMAIRILGSPILCQSLL >CAK61184 pep:novel supercontig:GCA_000165425.1:CT868012:56777:57121:1 gene:GSPATT00031415001 transcript:CAK61184 MQQYPIRSYSKYQNQLQEHTTRYTPNKYTDWHKKYEPKHKFDCQPDLQFQAQSIANQRDF QITQRRYSQFTQPQAPLNQYHSERSNKENKPNIQQNFDVETYVRRLQIKLNQRH >CAK61185 pep:novel supercontig:GCA_000165425.1:CT868012:57360:58518:1 gene:GSPATT00031416001 transcript:CAK61185 MNQYAYKFLKSINDFGKEDLEDLREYLLKEKKDIQKNQVTLKESQNKQKLIELKDYLNER IPHLQKEVLNQLDCVNRIFYTQSERIDRLKKVWITEEGLSQPSVFNKNTIRVNQFQIRDK PLYLIGIYQPMLYKGSYNSTNYDSQSKSSFQITYKLHLGHDLTKYILKEKIILEHEKLKI VDGHLYYLEFKRPIKMLPHQTYSFSITTKEQKPFYTYQYSLNHVDHPLIKWQSENLSESD QFIKQKYDHLYSYPDIDQLPALQVKL >CAK61186 pep:novel supercontig:GCA_000165425.1:CT868012:58547:59362:-1 gene:GSPATT00031417001 transcript:CAK61186 MIIYIILVSVGYAQFGYVQEVMNQLVDQECSLKNRIAYGQQKLHQLTGMYFCDSYAKRTC CSQQNLEELKFKWYREQQLAVELSQQCQEIFTKTICSDCDGDIGQQIRVGFCPHYCTQMY QACWRDLFQYDEKTQKLRLCYQNDVLCSELRNIVNNGDQFCTSLGYKVNSYSDREEWMEN KYLNLSTMPLCWDGTPSHRIWSSGTKLPETKVGGRTKKQNNNEEQKSYLRFYVFAGLALV VVGFFIAKKKVLFWK >CAK61187 pep:novel supercontig:GCA_000165425.1:CT868012:59567:60393:1 gene:GSPATT00031418001 transcript:CAK61187 MELLRLSSNHVEFNYNKYDNMQSNITLYNDTLTPIAFKFKASNRNIFLVRPAIGIVQPKQ NQVVHVTLHCKVLENAMTNEFNEKLQLNSIPIFSGIQDPVAIFKDPSRPSDNQKISIAIQ TMDKKITSQGCGGPEQVFQSLHKQNSQLDFHNDTTEPKFDQTRFQASNNPPNFELLQQEL AKNEQQLLNLQKTHSNSILKPKNEPNKNSKDTILMIIVSIMSFYLILRYFDLTNRIIPK >CAK61188 pep:novel supercontig:GCA_000165425.1:CT868012:60927:62506:1 gene:GSPATT00031419001 transcript:CAK61188 MSQKLLFTLFIALLLLQVVDCKKSGGTKSGTKGSSSSSSKPYSSSSTSSGSSKNDAGESQ INPLVEFIAGIILFIMAFPILWLNEYKAAENEVNLERAEKECVSTNCLEVNPNLEKRLIH INGQTRTDNILKDEEFGIEVDNCLVLKRKVETYQWIRKEETDGVGNNKKIKVFFVPEWSA IKQGSYENFENNESDWTVKKKSKYAKDVYLGAYLLTKFQLKQAKNFQEIQPSQEWVTACA KAFPKKTQEHTKIKDEYIYFNKVYGNLTVGDQRIKFQKVKCGDATIVSQQKGNTFEPYSL HVNESKLLNDYDDKGSDHSIYVGQDLSQILEEKDDENALKRQLKHLLEPIKYIDWLLEKM LTIHQVFDHKLNESRKLTMAVRFLGFLLMFFGLMLFFSPVVYVISWFPFLGRFMAELSTL LFALASFLISLPLTLITIALAWLRFHPKRALLIFVIGFLIGFCVWVVISKKGNHQYQEEY DNY >CAK61189 pep:novel supercontig:GCA_000165425.1:CT868012:62822:63148:-1 gene:GSPATT00031420001 transcript:CAK61189 MQEFILQTPGLVQMLYAAYYFVKRIYTLEQVQEVITHVKAIQTKDTKTLVASLKHEFQSE LLRELFYFYYRALSFQKAFLNTEDLQEEGVEQDILYLEGLISVKSKGY >CAK61190 pep:novel supercontig:GCA_000165425.1:CT868012:63892:65058:1 gene:GSPATT00031421001 transcript:CAK61190 MISILLLSTFIVFGRAFGDVRCNHQTQFPLQKEGFSEGVRGQDQTYYLQTTKRILKTNSE LETLAVIEEEDSSNYQCQRVINHPDGQHVFSVCLQYGVYPYISAYRCQNNVCQQIGQTQE IWNCGEQFSKLEVVGNTLVVLNAKRDYPYNSNDMGRVMFMNYVLTDDNFYLKFPSYYLDY YYFGLTYIIDIIDFSIHQYEEDGILKAKMFLADRDNGLFWVDCQLKQNQLIPINKGRIEL RPQVFMKKNQIFMTSRIISKIGNQFDILVASNNNDHYIVTVTFENEQTTRFYIKTSLNQY LDWPANNRLDVYKNYFAITYWSPNNISAINIYQLSGNSETSSFSVGPIHTILLTQQNYSV VFFGEDANKQIVLNYRSQSNEITQCQIN >CAK61191 pep:novel supercontig:GCA_000165425.1:CT868012:65501:69448:1 gene:GSPATT00031422001 transcript:CAK61191 MFLTGTYKEVINVPLSQIFLNSDYRNLTFKESTKDFIIAAPIQLYSELRFGEKANSQTIS SKLFKSTKSRDPIQHMTALVHQGNYFLYQNVGIYQTLPQIQHVSACKEKQASICYDIVEL KTLVIVECQDTLNNSYFSIMQNENVKQLEVEKPNSEFRKLDQVDSYLIRGTVNKIDLYIE EQETLKFLKSLDELQLIDLLQKDNFKLSIRDFQAHTNGQISIMNAFGEIVVVEYKNDNWQ LIKTIDTEMDDIQGYDYDIYTDSYVIMNKSEIRYKQIDEECQKKEYLNFNESNRIYLTNG SVLLHQKQDITLFTLGLAKLQTLNLGNQSYQIITNPYSNGFIAVSDNMTYAYSNNKEYFL QVTFNQILEQKYLKVVLNQEDIPWDCEITIFYKTENINNDQIITQQYSQGLIASGVYQDK AKVKLRPVFQGSNLQYNFQPNSIISITVNQFKYAFLKNVTESRYIIYREILQRRYQPKLY LVEQDSNLQLIGYSCTNFAPIHLNCHILFTKPEFIKLSATQNQLWWYNEDSLFFAVFGDS TIIIYTYIYKDKKLAVLTAIVLESDIKQIATDGYHLFASTAQDVKIYSITVAGKEKLLQT IEVQVDKLYASEAQKNYMFLEVARTLYIYNIAYNKETLIQFIEIENDYEDTNLAIFDDYF VRFGKIKDKEEYDVKMYNYKNQKNIYLQKQIGINGYSKINLNNMKYSFINNLFYLIGWNT KRQKHVVLIYSTKSSSLDSLFSVIDVTFMAQISATGEYIFITDKGNNQQNLQILYIKGQL FVSAKINENYQQVEYSKQINLSLIINNDSSNKLITTIPVNIVNRGVKLFQINDSFNLTYK SSSDEKHCVDLGQSWYSGQALDLELAEPSKNVQFNPVLTKQKETLEFSVYIREFDDDTLV QMMGNKLKFLRKADLSVTEEFQLDQMYTFHKMFLIDGHNIYIQVITDDTIELRIVQCQKY KCALLENRLEFKSMIKNVYLHMNYFFVYIESLVEVYDTKGAAVMLESFEQIQKFQQNPDL IQMEFRHLQKDIYQFISVDYQGNPELQTRQISRTSTISNYNTTNIQIKPIIAEYNINVQQ QQFSDGFVLWKDEIIIIFKNSASYSFKFQNDCQNKELCEISKFQLNGVYQQYGDWYLLGI FQIFFVSQNFLHLIYFQADQSLLLIYDLSTPSSNSKPNNAIVGITSPQKIGAPIYQITSF VYSSDEKLHLLTSIEDKDQLQHYTIQRSPQLCIDEDFFQDNVKFKLSNLDYQMYLDEKVK IQEYMLPIPKEDSFPAWAIVLIVLGTVSVLGFGLFMYRQGKRNRIKDQRVLLNQV >CAK61192 pep:novel supercontig:GCA_000165425.1:CT868012:69489:71693:1 gene:GSPATT00031423001 transcript:CAK61192 MQQLMLQKQKQEEFNNKFFGRAKKGMLKRFFFYDRTLNYVETPIFTEFSGRKQIVPMRNT QCLKPTCIYDLQELYERYEKQNYSSYANFMCHTCRKMIDLQGFYMDEDMRKINEVIWNTY NKFSIQCSKAAIFKDGSWEAVLPEYLKRMYRKNVEDYDAKQNDDDLIDQPDEILKFELPL LHEFDVQEYAELLEQEDEGDERHHDSDILLRRYNLQVKWGEFTQFKNQVAVSINVMGIFM RYLHDYLEDRKNTFKMQAKLKLGVLCIKVVNYDRFSKRAEFFFLSDNLEGPKANYTEYEQ VIMAIYYDERWIALICDIEARRLKMIDFLEETIARTTLDEVYELGQYLISQIFQPYKFAK NPSRELLIGQIQEDMRNEIPRKIQLYCDCGHHIAQYFYYYILEEIELMKIQQKWPQDVGL KQKIHNVKYKLGEQDYFTAKICWVLLKMAQNTGFKILVYDMKPKEKIEKDTLDDFVIEQA RLKAEKLWEDNKQQKQTTFQVVHGFNQVEEVVISKSNDKQNDQKQNKQKSRVEKYWGVES GIQFNNQRNLQPNNAQQQQKDNSLLSNLSFQFGNQDKPIVRHQSQLKDDSKSIYDVLGEM NGNNNNNNNQKKEVQNQKKEKPFLVMPKAQLQQYLSDYKQQIITEYKQQALLKGYKQQMI GEFNVSGKKKQAEYDSKQLTESQYHRLLLNLDKSLNQSEQRELKQLQSELGLNEQDLANL DAYQYDQILDMMNQ >CAK61193 pep:novel supercontig:GCA_000165425.1:CT868012:71721:73718:-1 gene:GSPATT00031424001 transcript:CAK61193 MIKDEDSTFRIFVILLNSLSFILTILILLFICRIKQLHERQGHTIQIVLILECMFSFFLI LSQFYFDAHDTYETTFYVDNQGKGCIAIGILNVFTSFAYFTNINLYSFESLGILSSKFSK NYKLRHLAVVSGSTFFALLAFLFDAIGFNKIGMCSLLPENLFAAFLLILTSITFIILVWT CTQIHGLRKVLGKDLTSRIQDKKYKVNLIYIITFLIIRILPVLILTIISLADSDTETYNV AFYVLAIPMSLGGVCLSSIRSQEPTIQDYLTRKLQKQKSGIVELSLPKMEARALSNSISN LSSDSLIIKTHYLSYLQGTTRDNSVAYRKTCLDNEELVAKLTSQMENNQIKLKFNEFLFI FKIIREMNTSQIIPITITNLSHYHMKHMRQKIIYFDCDSDLNVPEIVCTSYAESVFIQIH SIEQFITSFDEQFNKHFVEQLQNSKLKSQTNTLTTYDGEVLISIINNQAKRYLTRHLLSA IYNLSISAQRSFIPPMIGLYSIVNEDNQFSTLIQRNLLNSIVLEQQGFKIQGFFTYQVGQ VDEEIKGGSDTYAKMKMNPKVKQEFLNTLNQDLMILRDQFLWGYSFSVIYLEAEEGIKMP PNMYKTRNGYVVASLGGILDQFWISNNETTAHPELYASQLAQQIDLLL >CAK61194 pep:novel supercontig:GCA_000165425.1:CT868012:73741:77854:-1 gene:GSPATT00031425001 transcript:CAK61194 MPTFPTLSEANRDDTQKGQKVKIKRIQYYEQNTKLNQLNLTLHLFLHQQDQQIFLYLVLP NSQSTFSLLFDIRKEEELHYNKPICVRLLLRIPLIQAISNIRTKLIMPREVTAPQSEILE GHFRVFIWPKEIRINGYYICCVLYCSNLICDITSYFIFEKDEKKPSENSMGQFVILQLIY MLAITLIKPYQMFEQAKIYAKIQGALQYRVMQKSLSFAMSLSKHYSFGELLTILQVDIVQ ASNYYIYLFSLWTCIPQLIVGGVIFYVTLKENSIVPATGAVVQVLFGLIFGHYYGVIQKR FMEAKDQRIELVDESLLYAKQIKLNCLEDYFENRVQKQRKTELKYLRQQVWMVIAIKLVM LIIMVLSWEIAFVVASKVNFQIISTMMQNYISIVMTLDSLPTLFKNYQMSLNSMNRIETF FKDKDIKIHSQSNEASIEFNNVRTGWKKQQNEDTIYELDQSQTGMLQRQEDKSQFIVELN MKVLPGQFVAIVGSSGCGKSTILRSLLGETYIDNGNISYHGQISVASQEPWIINDSIKNN ITYMDVLNEEKYRKVIECCCLQQDIDGFKYQDNTILIEKGDNLSGGQQKRINLARAVYKD ADIYLFDDPLSALDIKVKYEIQQKCFEGYLKKKTRILFTNSLANLQNCDMIYIFEDGKMI NSGTFAELKNIKQSQIIINTQENKELLDKQFEEKVYKTPQHQVETQATLIQEEDRNQGNV DTKIMKKIFEFQGKSMMVIIIIFYIGIVMACQLYGNQIMADDSMYQLPDEEYKRLAIIYY PIIQIPVVIANIVIILYFLFRGLNTSEQIHVDVIKSLLKASFTKFYNTILIGRLINRLGK DITNIDYMFPNELYNLIFNIISLLLPLVASIIYLNPVALPVLIVFFLIMIALTVIYYKSL REVSRMEAISKSPIVSFYQQILRGILFVRSCLPHQEVIDRHLKNVDLDLGNQINLNGIQQ WYQSIAGTVTNIFQSLLFIVCFYAEGSTPFMTYLILLQMQNVSQLLLLVAISYGNILIYC ISFERCLHLANQIPLEEEEVQDQIQEELHHSNYLIEMQNCNFQYRPRTKQVLKQLSLQVN TAEKIGIVGRTGAGKSSIIMALTQMLECTDGKFFVEGQSVRQLKLQQLRQKFSVIPQEPL IFKGTLQQNLDPLNQYDIKQIESVAQICQLFKIDALKKKELQSEIQVFGQNLSVGEKQLI TLGRCLLNKRSIVLVDEATANIDSKTEEMIKDIFDKHFTQSAMIMIAHKITTIMNCDKII VLSDGCITECDTPRNLLLNPDSEFKKIVDLIKQSEQL >CAK61195 pep:novel supercontig:GCA_000165425.1:CT868012:78394:79945:-1 gene:GSPATT00031426001 transcript:CAK61195 MNQDIYIKKQWFIKSREGKVEDYYILDTKELSSGSFGKVYQAKAKLLKNDPMRAVKVIPK RLIENKDRFLQEVEILRNLDHPNIIKLYETFEDVRNIYLIMELCTGGELFDQIVTHGQLS EKDAQQVFIQIMRAISYCHSKGIAHRDLKPENFLYYDDKPGSLLKVIDFGLSRVFRQSGQ QEKQIMKSRTGSTYYISPEVLDGQYDELCDIWSAGVILFILLTGIPPFNGNSDGEIVKQI RTGKLNLDIKELQVVSESAKDLIQKMICKHSQRLNSSQVLQHEWLQNLSQNDLKLSLNFD SLKQFTQQSKLKKIVLAQIATQVSLNEIAELSKLFQSLDKDGNGQLSFEEFKNGFKEKKN DLLQYFEAIDTDSSGSIDYNEFIAAMMERTFYLKQDKLLQAFQTFDLDNDGKITAQELKK VLGDNDYYNKIDSKFWESLVKDGDFDEDGKIDYLEFVEMMSDL >CAK61196 pep:novel supercontig:GCA_000165425.1:CT868012:79969:80385:-1 gene:GSPATT00031427001 transcript:CAK61196 MDDLNTIVEPISTLQKITFGEKFAEYQVLRCNHPGFLPLIIQIEALITGGCQMWFKMYLH QEILVGHLRDFLRKMLQNRFANIAQYKDNIALVVSDFIIIKCMCYALFKVDVPLNEIYQK KAEKDGWLYITIAYDYQK >CAK61197 pep:novel supercontig:GCA_000165425.1:CT868012:80402:81892:1 gene:GSPATT00031428001 transcript:CAK61197 MEKELKDIRTHHLKVIEDKLIELITHKNRILAVQSEEIGEFKKNFDEFKPLTQIIQKTTR ECLSDFQSKLDEADRQAIQQFHQQQDQLEYHASRLNQQQNQIQSIQELFEQQTRSRLQIA EMQKAKDRMLIELQQTKEELEQKKFLKQQLMNNFKLSQQQQIQLQQELKDTENQKRYWNN LLQEAKGSIRVYCRVRPNSQEDMLLLNGECTLVLRVPERFLKSTNCQKESSFNFEHIFSQ EADQQEIYNELSDLVQNVVDGHNVCIFAYGQTGSGKTYTMQGDDFHKGVIPRAVEQIFKE RQGMLELGWQMNIRVGFQEIYNEQSRDLITNQKCDEVKLLDIKDIVEVADHFNTAKKNRQ VADTLSNEASSRSHFIFQLNLQGHLGDKQINSTLNLIDLAGSERANVAKTEGDRFTETKA INKSLSALGDVFNALYTKQQHVPFRNSKLTFSLYKYMEGSSKTLMMVNISSRSEDFQQTL ASLRFAEKVKSCSIKK >CAK61198 pep:novel supercontig:GCA_000165425.1:CT868012:82075:86001:1 gene:GSPATT00031429001 transcript:CAK61198 MAMRGISNYFKSSSFQKSPRLTQVDKHICLIGDVTLLDIHSMKTVGFDTNQKAILIKNES LYQIRYPSVEIVYYQTDQPCSFVRVIQKDAVLLANGKRFWIAKQQNYYQVDSQILDIDIY EQKILILTKNNVHIYYYDGFVCDFNTVINQTVLTSLLPQTDKSFEIINAKLALKDQVVLL DKQLGVIILESIDLDHFSLVHHHNYTYFSTYYSMSLMFEDLSYQIIVSTIQNSIYVLSLE EIDYYFWLNGALNLSQFNMSGLRVNREQKYICLYNSTFISIYQISNMQRMYSKLFETPIL FDFSYQQSIIYLVTQTTQQVYYASTAMLIMKPFTQPSNDFQRLQVIGTEESQCAITFNYI IYDYLDNNLYQKTNVINQIKPFVNYPTYPQASIFKELGVTGSNINAKIQLNDQSISLKLG YLEDMKITGIIWPENMLFISMFSSWNYAYYVIQVQSFKATLYQCQIFILLYELRCQKVHD FSPNRELDNDSFQWIEKDVVIFGYISKFRHTIEIFQLKQSIVTKLFVISTSLKDENSLIN SFCLTEFYIYVVLEKKGEIQIYSLQNQEFVYSITSQDVQPYELKPKFVRVDKKQNMIIIA NQDSLIAGFMYDIFNMVNYFSFPECRQIEVSLTSYSFFVALHYGHQQELREYSMRRRQVS FLKKIPLFHYILQTPLQMMSSFDQIIVRAYTPVLQQTVLLVYQPDVNLRESLLTHIPLGW YLKDTLRLGIAKMYTYGLSIHASSKFGIKNLLIYRNFQAVISSQWNDEYIHKKEIVIQYD SIDSPEQIEFKQNVTFLNLMTNIVAVVSNESLVVNQATMKIQNGWFKGQIIKYQVECQQC QDQILLTQKIDIIQSLEYYSNLLGECKLDDDYFVFLTPNQLIFLDSMHYVKHPSFYFNLD LQYQIGKIWCKKDTILITGQTNTLQGFVQLIKFQDKQYQMIGEPFQFKLSYQLIQLELLD DGNFLILDGYQIIGGYMYYTSRLCAYHYEFTPPKSVSYTYTPCVDQRKFGEFFASSFIAY KVNNKYRVFLSDLSQGLFIQDFHYIDSSIKCITADEALYRVSLRQPIEQMMGLNIDDEVR YLQVIKNGDPQNNFQIFKIILLTNSIAHLSLVLYFEDNVYQKLYIDEMIQRYSDFDVFYQ ASMEKDYLALAYQANSYTICIYKLGQKQQPILMIGGVETQQSYPNQFFSLHTDENGQTLL HMNKESRKLNLYIVDEFATLSFLGNATEQPITLTAINQLTSASIILNVQMSTVNPTNLQV FNYLHQILGVVDHLVLCSVFVVPPSTHSLLEEQEIEKQTLIINQKEQR >CAK61199 pep:novel supercontig:GCA_000165425.1:CT868012:86069:86734:1 gene:GSPATT00031430001 transcript:CAK61199 MLDQCQYFTFDYSYQFLCHRIEKRIYPAHYKVKNRAINSFLEKIQINPIPFEIVSIANMT NVSKHIAESEIIYENAKTVDDQERTQVPPNELWEKAKQCCTLLESSKVDTQDLIRVSHLY DQILDILQPPKPRHVYFISPHNSDTETKQKKLVYNPEALSNLIKRLRSGRVQSQTRSLFR STQRMQTSSIGKQIQKTELNEQLSTQALNFLKQLKKKQLNK >CAK61200 pep:novel supercontig:GCA_000165425.1:CT868012:86769:88500:-1 gene:GSPATT00031431001 transcript:CAK61200 MSNQKSEADQQKVKSISDNSLVKSHSQSIKDIELSVYRWAILLLYFLVVLVNGVPYEICV PISSFMAEIYNVSLAVVATASTVFMVMHPILSFVAAQTISSYGWASATNLGVILTIVGCA IKLLINQAGFSVLIVGQVLCGIGRPFILNSQASMAMSWFHPKSRIIIITLLNVVNTLSLV VSAQLPGIMFKGYKLDNDPECEDGKDKMQSLCLLEFYISLAIVPSLFMLRSRPSEVPVKI NKIERKSGMLRIMLRLFKNRNFVLLFIPFSLYFGILKAYLVIMELLMAPYKYETDQVAGV VSFPLIGGLIAQGALPYIVNKYKVQKQFVRIFLLCSTLSMASLYFALSSNNVVYIYIVIT LLAMCVMPILPVIMDMGCDLIAPIEPSFAVGAFYMGSMLFFVVFTYILTFITGKDKQDNR VLYTNIFSTVMLIIGFVCSLFLKIDDSYHELDVEQDKASLVYPLEKSQSVVKGKGPSVYR GTQSKMSVQYQKGSMFSCSYNNISIVGDVPIIPGEEAEDPYEQEQQE >CAK61201 pep:novel supercontig:GCA_000165425.1:CT868012:88642:100817:-1 gene:GSPATT00031432001 transcript:CAK61201 MGCQFSKPSVNEPQKIKPVDDIVVIPKKDTEIQNHGTKVQNETPVKQSQTQHQATQVSNK SLSGELILYPQTTDNLEIFIKLGTKKKLIHLQARNKYIDTVEEIIRQIQLKSLTFSNFQS ICQKQDLKVMDAMFLRNDSDETILTLILTYIDNYEIITELLINHKLPEKNYELLDLAVSL LRKFRPQSQIDKIQMTIEFLDEVKSNEQLQKVFNSFEDPKIYRVKNAIMYAQNCISYFNH KKQIHLLLFRKIAKLFKQSLGELFQKQYRSWKQSFAFNHLKIPKAIPKTTSTVTNLTKIE LQILNYSLFHEIANKENWELFVQYSNPYYHQKSLDQRTPFWIFFQKAPISIIVEYIQQYP KQLEQNINYITTQGLTLIHCLCKNKNLKQSDQETIKKLIDILEKNSIVKTLLNVAYQDQI PLVYYLDTQRVISSDMVNFLSSTLVKQFSIDNLEMESYKIADSILNGKTSLRSSLPHFSN LSKVQLIQLITKKKQLLFNKDEKNKQTKEYQNFTTFYQHYIQLAKQIIKYGLFEQFPWIQ NYSIYSSHIPYDIGNISTSLLYTLLVSKRFQQLKIQIESICKSIDSQQYQQKNNLQECQK LVQQISRVLFIYQELFNYPECQELQQLISDTIYNQIQKNPQIIQNKIYGFQKNESMADNL YLQYIMSIKAQKEEYNKFINMLIERLDKNLFKGKEIKIKILFQNYNNFQSYKLNLLEKLL PQQDQLIIPLEIQSQNIIQDSVSDENFQNYYAFKNILQEIIDKDKQFYCFIKGKTVILRS NSICQLLLDKNSKLHELYRGSFFRLDALWRTSKFIKYDKQQIIPYILDQQNLIVQINTLE QNQLEQLFDWQLYGMRVKLNLNIKKTLAKLSVKLSLKEQVIYNQIKSNQQFWINLIIYDE LNSEVTEYFNRDEDKPQLINYDYFPLSEIISNNSYKNLQFLIQLKKISQYELVQMLAQQF FIRQTSVVIYQPLKEKISLFNAQMKLLIEQISTNTFEIQKSDICILTKFINYLEVDTFSR FNKQLLFDLIKHTNLDNLINKCLMYQQVRSKEYYFSPEWQDQMIEIILDIWKENYEELHD RSYYDLGLAQQRSPAVAKWMCERQILVDKSKLAFATNPLYFYFYSIFFPNECFEYLEKIK LNFWMIRFLFGYFINLDQKAYPNKKKLVYQMINLLRRYGSIQDKPFISNIKNYDSFFDDT RIFQYHPNGAQGISKLQENILFQRSQFKYRSEELKYMDVMDFQEYMILSKQEYSQFIDTI NLDENEYLEQISFFIHAGVKDKLQVIFNKLKSSESFQKIYNVQIFTKKKCLEHEFGFKFD FEFEFEMEIQLKFPYYFSFQKLECDFVNFKQSVDYLGTFKYLNEELKKQKLEQSLSVETI QINALLDSLIFPNTDQIKMNQQLINILIQLYQTRKSNSKFQFKQVQNRRFSEFLAERADL YIKLLGTNIQNCEVKFTKIYYFTPFQSAFFEQLLILLSQDKNKVKYNVNEIANLILKNNF KNEQFNKIIQNGAMNITNVNSVCKYYFKKSNLIKSITLNYEHNCYQAKFQFLKSCMTVED FSFDKLQITEIAFILKAHEFFDNKLVFNQKYLVASLLSNNLETIIYAMELHKDPVKLGFE QNVFQILTIYSNEKDIITYYNKFVYKKISDNKRLFQLNNVPLLYYIAYKRYSRVFYEVYL KQLQLNLKENDINQFISEQLQQVCFESDAKIYQIALQQKSYFLLDYMKSFIKAQDIEQYV YIYDFNVVDRIKSYPIQSQIIQEFIKSYQQTEKFHKFFHKQEIKLPEQGNKVLDDQNNAR KYQIELLIQKEQATLMKIFGFNQEILKFVEIHHGFSFLVFELVMSQLSHCKGNPQIIKEY IKDNLSQNKAINKDIINLVIEQVQGQYILDHPVFQEIIQLFPTELQNSLKPQNLILENSL ALALGQNQSNQQSLAEFITKQLKELYECQDDAFEEYMDANILLVMKLINKPQFTLDEQET FRYLIINLPEQILLSTIQFLIQNKSYEKKEITQNTLDFLVCLISKRIIITKRPQLWSIVS NCNNYLPAFSEILYLKKIEKLCEQLKNYLIYLGFIQRDYQPEFIVKFENEQMYQSDDQII LPLEYNKDELYFSQEKYDKLIEQLKKIRLEKNIKENINEILNLSEYQQNDILGLLEGNYS IDDMEAPHLGQQNTFQKQVTQFDKQSTIFEKKQTENPNSKQVKQEDIQFIKQNYDFMLEL VNNNNYKVRDSKVNKSQIIFMEYYHFFNPLESIVTLEQCTEAEFLRKYNGRESISFVISQ FYQYHENLKSAIANEDLKQWQINYPYYVKDEKFYSEKSLFSTYTIQVVFQKLHQFHENVK QYAKNLGDVEKIQWRISAISTLEVLISNMIDFNTYDNKPVDILSLLDSILNWNTLIMILL QVLYCNMLKYQNILSVLRRIYVEFNEIQDLPFENTYQEGGLDQYFRFGQTHYFLQQQTLV VRLNIMNIKKQPQLKQQVSTNRQNQSHNFLKQQEIDYYYCNIINEEDLLFQIFNSKQIIQ YIFAKLDVDRKLQQVSQNLSKMLNKDVIITVNHQSLLDILQSEIQQIRTLKDRKQQLRMQ TQIKELLIKLSEFFLDKSEQLLIEQLQSHNFEYQFQTKLSSLLHSLRQGFNRSQDELPNH RKIVAVQKAKVITKIRYPNRNCDKIISLYFGFQLKPNFYMSKNQIGDLLIVVHKNEYQYL QTTLCQLVDNSRQGKLVFYQFDESSVSTLSQEQLEQYCAMSNKMRKKDSEDDRIEIVDNE DIIAVILFEKGWPDDPDFINNMINLYVTDQNGNLYFIHEQRLAKKLIQIQETDSESIKRQ LLDIAFGKNQVQTYSQFTVAEIIPIFPNQILYEFESGKTKQSKTEVTNCQKMIIKINDID FQIDKLKLDLLNIASYFDSNDIAQYHVKQLTQFKESHNELSKIQMIIKFSDDFNSNPSYY LDNIMQSQIAFVEFQQGYETFFTDKYIPQVENALGFMFDINQQQIKKNLLMSIFKVIEFT FDLTTESNNQLIFFENGVLKLKTFLIQKEEITKKSQVPIKTLSIEVPSGNKIGQFLNQLI INFTKYNFSDLLMEKAKFEIIIDQNYEKQFEQEILILLLMTKYLHKLIAIKNDKKILFLI TQQTQKSIQLYQFSKDRNEVQLFYERFQDFRLEDFLLDYLNNYTNQYQSSYNELDKKILS KNQLMMQSMILSADDFIRKEKQQVQQSYELNIHYGLQKIKKLEGRLDPRDFETAFIIVKG QVYQKVVCIKSCQKYSPLVQYLKQNSLEQKCGFPIYILINGLQEYLSLDEQLSKIKIITK NNVERPLSYFKNYSTTLKAKVKFITSNIIFIEFNCSKPLEFTIQTTQYQVACIKQDYSSE IKLKFLPTQDSQMYKYYHTGVWFENEFKNIVKNEQQNKIKEIVSSTTKFLKLIMKSLSVN EDMKMQQNQQNINLNQILSNNVLNIQSRQLINQSTKVGSERLDVIEIKDNFENMAPNFTL QTIVFVHSIYQKIQIVPNYTKEDFGLKIYWEPQIKGIYYLYINNYKVDSYFVVLANSVDV EKSKLDFPEKLKTIPYYQEITFNVFLKDKLQNIYGSIENALNAVKIEVESSHKKSNIEQN YSKLSLEGKIEVSLRVLPIDEDDITEVDEIELVLKINDIEKMKKKLELQGVSFEKNMQDF QRLILYDNEGKKIYKVEKQLLIVRANFLDSLLKLKDEKLIGPLMIKFINEPGYDQGGPRK EFYSLIGNELKGENLKSEQYGYFSMLAPGYYYIDPKFLNIPKKNDYAYVFGKFVANSIFN NHQIGIQFQPQFWKVIFSEKIQFKDLNGFLDPITFQNYEMMLSYDEKTLESLGINFTYQK KKELIQLIPDGQNVTVNKENCSVYLDKVAEYVIQTQYQDIYTPFISGFQSVLEIKDLKKF FKPNDMGLITLGVQDIKPEQILNILSFKGGQDYHISFFTNYVNTSSSKRLKELLQYITGS PTLPSNEKFKIQVEFKQDLKDTLIPTTRTCFNLIELPLYKSYQEMKQKLDTAISYGLIGF GIF >CAK61202 pep:novel supercontig:GCA_000165425.1:CT868012:101703:102330:1 gene:GSPATT00031433001 transcript:CAK61202 MILIFAIIIVISNAVIDPITVENCKWAPTQYKLAHQNEATTENVEFTYFTICKSLNQKLV IDFFNVDSNIDAPLTNCNDPLFQHDVDEIFIGTKTEYLEIEVSPNGALFYATIGNPNGSC TNLITTYKQCDEISYFAEFVTPTAWNAHIELPISMFTQPLYANFFRVNKMKDRSTHYMSY NPINATPACFHRPDKFVQLIV >CAK61203 pep:novel supercontig:GCA_000165425.1:CT868012:102364:103056:1 gene:GSPATT00031434001 transcript:CAK61203 MQDQFVNPIYLSAHQLADLFNNSQAEIKFGNESKIVSSINLDSPPEQTHEFSFSEFQQIN SNPSIPDIETANCIVTKEQYMQILSQIELNEKLKSQYHQLFKENQEQLQIRKQFENKNAD ILDSNFQIKREIQEIKQKLFITQSAIKLRKDKQIIELKNQLKELQHKQSEKRDTLQSITF TENSTVESEKSNSQYCNTSRLVRVDQSSSHHQSIKHNQCRNNSLIKLFKN >CAK61204 pep:novel supercontig:GCA_000165425.1:CT868012:103601:105251:1 gene:GSPATT00031435001 transcript:CAK61204 MIEFDPPLICAKHGEKIDVYCKNPECKLETRICCNTCAQIYHNHQTTRIWELKNVFNSAI STLKEKEQKTQDILEQIKNKTQELSKQINKQTSFLQELIDAPQCQTFTNLIEGIKISNPQ NLTLISETVQKCGSHPILDFCIKSKTTNYVKLLEQNKEQEAKQVLKDIIDRYQHNEIYKL EMKRLNGEIIQLIPHLIIQYQSFLEHDQNAKEALKILDQLLERDKGNFIYQRERARLVRQ TIEYSVADDNFFNGNYKASVQDCDKLLQIRKDSRICYQKGLSLFYLKQYDNSINSINQAI EIDIENIQYHISKIQLLFAKKDIENLKVEALDLKNYCDSIQQVINGQILFLQEEYEKAQR CFEEAISSNYFSYEAHFLRALCLSKVLNTNEMIITPEQILQNNKNDLPSDMNKFRKYYCM YLEGYNYQNNQFQLSPGRLNQFYQPLMQQQSNGNQTNNITLGKQ >CAK61205 pep:novel supercontig:GCA_000165425.1:CT868012:106104:107576:1 gene:GSPATT00031436001 transcript:CAK61205 MDEIKLVESDDELEYQPQTLKTQKQHQPKSVQEMREQFRLQIRKERIEEQLEKKRMWYIP KQRLQSSQLNFSINNLFNELKNVYAQKDMNEISQKLHLIANIIDDRNRIHSLFLTQRNFL QFKDLLNDESYYIDTFTIYLQLTQDDEYFQLPVEDIQWSIERSLDLLIENKQIDDLANLI LGYLGNVSSKLQQIQHYLLSKNTIEILCQLMKDSLLHNVCYLIQTLCRNIPEQFKPQVVV ILKHLKINKSQEILQTLFIINKQCDQYDKDINYFEIFQLLFNYLNEYLTNENDFESFYSA LLQMKYLSDFQQLYAIFQQFSILEIINKLINYKYQKIRTAVLYILQNLCKQGHHVIQQIF QQQELIKKLINILTTDKPINQSLVIKSVQHILKYGNQQDITVLISLGLAVYLKSTVESAE PYIVLQGLECVTSLMNYGLSLQTQLNVPNPILAILQQNHLIQAIEDLQYHPNKEIFTQSQ KLITEFFRTE >CAK61206 pep:novel supercontig:GCA_000165425.1:CT868012:108093:108529:-1 gene:GSPATT00031437001 transcript:CAK61206 MQDLNRSDGDEIVFHITKNAQQYEPNITLDNSPNDKPVQKPITFEDIKLVSSVQVSGDGH YQSVRIICPGCKMKVNSVISRRIGSQTFLAGVLLIFCSFGFICVTCIPCLVDDCKDVQHS CPNCKQYLGKAKFNILD >CAK61207 pep:novel supercontig:GCA_000165425.1:CT868012:108887:117069:-1 gene:GSPATT00031438001 transcript:CAK61207 MQQLVKKFNTKLHKRVQQFFYQDDTIQIDELQVTQAQIAEMEQIIPPKDIILDFSKSYFH QIDQNLELAPTTSTIFYCFLSLKKIIEIPNNPSYDKKLLDLDETTLKESNDGIGESQIEK DRLQNSQEFVAQNMSFQAKCEYHTQLFEAIHFFVSIIQERLSVDTDFMQNIALAKPLLYD ITQIYLVYYLRLYRINIEANSHKFFLEYYERGQTLFRLACLHFNQYQTQNLLNLLYNFRI VTILAIILSNKLQYLQKVIQDFLNYHYSTLENMESLFKFEQTLKSTIFEYCLSVSNQNMF QQMFQEILTLDQRFINNCYILELKWLLFSRYLSSDILEETKRSLIEDYITNSMQWKDQFL QLIRLGSKRLLFFFINIEKFSNSNAIITKWQEIIIDIIKSCFKIEPNQQFEEEFIEQLFK FILNSLQHILKYNQKKNKRSNKILQLLEDSIHHPLAKEYRFQKKLLDILILSDLACYGDN IENYIFNFVSPSSFTFDPINTAKYILICNQNACRQKIINHIYYSIVKYKKMSTMDPKINL CQVIQQLHQMIAFFEQEKTVNQDVKFLSQQIRFALRSILTIFVISDESIQQDNTNSLLNQ HPLLFLEKSFQEIEVHFDVPSRNTFDLSCALHISQYLKKVLDFANSTQNCELEDLYDILT CQLKIIKAIQKFLLLDNDIIALNQFFDNIDQSLYKFMVKVLIQELSATQMKFIFENIIIL IFEDRVTKNLSSINESIHKYFNYRLMKIFLSIMFECELPAEYKKQIVDRIYELVNYNILQ FSSIVNKIILLLAIEKNQGQDQIRQKMIEIIQLICGTSLSNIQLKSLLRLCFGGSNKLLI FRNQIPDYQQSQQLADSVFENDSDLINIYFEPQLKLVDNYSFKQGLSDFLSIFNSLLEEK DSYILFKDFNSYINLPIQFPITKGFTIYFEIQLNNQGFQEVNGFQESQEIIIPQEIRQQY YEIVTLYSEKIFNKISGVKILISQKCVQIRQFKFVNKQEALVPVFQNYEINVPESLKYNY QINYKNGSFTVIVNGKLCKSEQKKLMEINQIVQIDLGKSMESTCTLSYIFATQQFDYETN IFRGKMFNFILTDQVQNESILESQVKTKQLIKFSKFTKVVNPFVLSDMVVQDLFEVNDTI QYKEIETHNNQINSISCKQISNVRDILKHSNIQSLILHVFQEILNQKQMKEYENQISDLL SILLNKIILRDEEILKVFFKEEFNLFIQILKQLTSKVKIQKLLQILENFNDKLSESYQQY YSKFTNEVIYNFQFFEKFIEDEVILNNYFMKTLQQYQIPFEKIVTTKQFFQFLKSYIIWP FQSNIKIKHENLFYKFVNDMQLFAKLFEYQDLSSDYLEIIELYFKIISSKFQQAMRSNLN KYSQNTLIINSKNDILYLSALLNACKMKTYQNINFENGNFIYLLIHIEDYHKENLQYNPN IVNSLISEIFYFRDSLRRNLNIIQTIIEEIKSVNSLCAIINLLAYVLHDNIQILNNKYKP SIIDQICLKLTQQQQDLLEISNNTMLELLFDSLRYKDLPNTSQEVYVQLLKQKISCQHLN SIDLGRLIKIYSQTPEIFYRLLHFIKNSIQIQKLLNQVVILANLIKMLNIIKKDCFAKDQ TFESKQQCSILLSFYIEYFQQKNFFKFNLKKKQKENQQIENQLSCGGLFGLFAEIFCQAL KNQSQEFVIDNILKLLHVYKEEMNKKTDDSFVQELYGLFNYSSDKNGIKFPSIVKVFKDI NQNASEGQLKKIQEVISQIEQQQETQFKGNHDIVNSMLAEFNNQIKMIEGYHLQLEQKYE EYKRNTLKFIEEDSSKSISTNTTFNMSLNVIKIKDEEDQKESSVQQTSQLNLYIDFNLWN NFMNLTSLRQFIKGLNEEILWNQKSQKKNYKIKWKHSNLVDYENKIIFKKYIFMKNQAND QNKLNSFNPIFESKRISVSEDKQECKVIIGTLKNILDKCEEVRIKIMQSGIKFFDPFRNE EIVITNQKCKVTIMKYQNQEKSFLIKQFEQSYQSFYFLIINEENQFKQLKKHFQNLDLLK TKSISEIKKNLIQKWQQGQLSNYQYIYLVNQHSGRNLMDINNYFIFPWTTVHLNNSFIKV SRQFSQSIFSQKQAKNQGYFYSSGAQLYYFLSQGQERDSVNQTQVTKSIENSIAEMKIDG KTNLIKFMDELQKLGEAMPEFYSNPQFFSDCILPNWVITQTPEEFIYIMRAQLESRIVQE ILPFWLDLVFGIQPTLKGQQFQQQLKPNEFVSQSQISLDRNLNRAIMNAQDNGQSIKQFF TEKHLPRKIFHNYFGDQAQIKMTTRRLLYQGDEKIISSYYYSCHQFVLITEKGTAIILDV NEQLYSKMIVESDCLMIKQKKQLETKSPLTHQLICFVDFDNQNFPDKQESQAHVIETEKL SLFQSCTIFFILGGYRNGEFRSYKGNSLKYKQHSTQNNSNIQCIRICHARCALIIGQEDG GISLWELTKEYEISSTPFLVISTHVYSITNIDCSTSQILSVDLINEVHIHYYNGSLIKKI QLTCYGSITYCQISYTMNLYIFLNSFNKLMAYNRDGKIFIHPIEIPQKINNIIPLTPFSS EFIYMTDSGFYFDDIFIMKMKQDGLVIEKNQDKKIYDQNFALIKAIQGQKLLLSDRSGNY ITSTQIIFQQSEFAQLRFQTVGCDNGNFWCLYNDEEILHYFEKKLQRSGLK >CAK61208 pep:novel supercontig:GCA_000165425.1:CT868012:117188:118009:-1 gene:GSPATT00031439001 transcript:CAK61208 MAQQQQVQVAAEFKLVVVGDGAVGKTTFVKRHLTGEFEKKYLATQGVEVSQVIFYTTHGP IRLVIWDTAGQEKLGGLREGYYIGANCAIIMFDVTSRISYKNVPKWHKDLTRICENIPIV LVGNKVDSKDRKVKARQITFHRKVNIQYYDVSAKSNYQYEKPFLYLLRRLANDTNLALVQ AMALLPPEIPIDPEYAAQIEKERQNAENQPLPDEEDDEFK >CAK61209 pep:novel supercontig:GCA_000165425.1:CT868012:118192:119133:1 gene:GSPATT00031440001 transcript:CAK61209 MQQLGAAHQNVPPETTIPDTAELRYNQVLQPQLKCSNMLKQASSSKSLVSYCEIQQMIIT SESMICVSVESVNPIFQQKMVQHLNLTGRMKIAQDGIIPINHMDDGYKVVMPIILQSTSI HINHMNIVIDPIQTDSLINYDHAIGTTQTTSNTAIFKNLDQQHYYYLIDIKFNCNDLEQK IIPELIQEQGLKCNSAIENSNRNEQCVEEMSKLGCEYYKLIGIQFSQHLELRMNRKRESR QPNKEYRKEGSQKTSGIEWLTNCQMKIFMPFWEE >CAK61210 pep:novel supercontig:GCA_000165425.1:CT868012:119178:120086:1 gene:GSPATT00031441001 transcript:CAK61210 MINNLMFTPEQFAANYQINFSKLLGKGHDSTVFLTMDKQTKQQYALKCLAQTTEQNAINL FNEIQILRHIQHPHVIQMIGYCSDCSCMLLELMPFGSLYKILLQGPLPLAIANGIILQIA EALSYLHSKGITHGDIKLDNLLISQDFKVKLCDLGFSKIHGSTPIPKATISGSEGYTAPE IWHIPQDLKKCDMFSLGVVYFIMVTGHPPFESNNPSTEDAWWKLIKNEDWSKFWKELKLT ILPEYVRHMIEKLLCVNIQLRYSADEILQILIDKSATSEQITDEIKKRLNQPK >CAK61211 pep:novel supercontig:GCA_000165425.1:CT868012:120298:120686:-1 gene:GSPATT00031442001 transcript:CAK61211 MPKEVQDVKVFLSLMKGSEAQGKDASKEPKKNLYIKESKKITKFKLRGKKYLFTFKTADK NKAQKIQQTLPQNVNKIVIGNGGKKQQTKKKQKK >CAK61212 pep:novel supercontig:GCA_000165425.1:CT868012:120690:121538:-1 gene:GSPATT00031443001 transcript:CAK61212 MSRESSISEGGGWVQWFCAMEDHQFLCEVDDEFIRDNFNLYGLKSKFNFYNEALDLILSS ETPDDEDLEDERFLEVYQEATDLYGLIHARFIITAKGLSMMKEKYLGGKFGACPRVLCER QNVLPVGMSEELRTSRVKVFCPRCEEVYIPKKKCPDVDGAYFGCSFPSIFLMNFIQEIQI PANNQTYVPRVFGFRIYKKKGSRYQDTQQKVAEITHYSEEQLKKLRGKNDQQAKEDYVQK >CAK61213 pep:novel supercontig:GCA_000165425.1:CT868012:122745:123886:1 gene:GSPATT00031444001 transcript:CAK61213 MLLKSLFRFCSLKRYNFQDILMLQRFSQEESKNLNLKQEVLFFYFHSKKVFIKAEGTVLE LQQAIRKQIDDVKSVGVYQQTTNDEQVEYASSTPMQLVLAEDMVLRLNQTENYIILNKVD NPPVIDLIDNYPITQHALHEYFQEIDVPQRTKVVLSNFIQKLLSEIEKHKELTYDKDLIM LIIKKVIMKLGIYSQAQKQVIDEQISFLEGKQNRLAHLKDSIIKELEMKEQTTLYQIYFY ILMQIAFTQYGTYVVWGWDVMEPVTFMLGVTDMIIAYQFWMKTTKAYTFGNVLQNAVNKH LSKRLAEQFNYADELNDVNRMIEYLKFKQMVYSSDIYEVISVIEGAIEEKQAKLQAQEEE EEQLRSIFYH >CAK61214 pep:novel supercontig:GCA_000165425.1:CT868012:123919:125110:1 gene:GSPATT00031445001 transcript:CAK61214 MVEPPTLFSNPIKTLYLFTIVLFNYAKDAVKYLSKFWHIIGFLTLVVIAPRFVEGEHQGL VRQADEIAYFMLYWLVLGIMSSVGLGTGLHTFVLYLGPHIAKTTIQAYECNALPLFVPSK YATQYVECPKEATGITMFDIIRQVYFETVLFGIGTAISCCIGLTRNWKIRLSIYLIFRFL YAQNEIAYLQQIIEKCIPYCDVMCINSKSLICLAGITCGHFLISFWTFFGATVLGKAFIK MHIQLPMTVFVFSQEPVKALLNLIQKYIPFIYQFLSDLLDKQRQQLRDPSLFKEGTKPLL AQLWDYFIILMIGFFMISIVNSLVAQYVTEQEQEKKKNKKDPAEDRKNNKKVKSS >CAK61215 pep:novel supercontig:GCA_000165425.1:CT868012:125166:126696:1 gene:GSPATT00031446001 transcript:CAK61215 MNKNSPSPSSPTPVILVLVTNKQGKVLEHSQFFRTFSVFGQVKKILIFERKIIWKVFIEY ETAQQAQQALILDGTLFDYQLKMRVHLSQRDSLVFQNNNNCGVDYTTLQSKQQFFQQLKE DSNSLNQQLQQNLDILNQCITQLNQTTDLKEQISLIQQIQEYRNQTQQLTVLYQQQLRNY ASVLQREGKKQKQIISSTTTPSPSPFQHSTVDSIRQQILEESSEDDKEEFSDGESISSEQ SPKSQKSVHSDDNYNEVFCNESSNPFSCGASPFTPHPQTQSSPFLMTPTPLPTYLVVQHP NTNLRVVYNIFSTVTRVDAIYQSHYGAFLQLASKEEANRVKNLLNKGLLLGMPMTLMISE KLPQDARQVALPPNERKAIITQQLSNAIQITGLTGVTIEQMYQYFGCMTPIQNMKFINQS SCKILYSDVGCSLSVLGHFQDAKINGRSVQLSFTAF >CAK61216 pep:novel supercontig:GCA_000165425.1:CT868012:127821:128706:-1 gene:GSPATT00031447001 transcript:CAK61216 MITSPQEMRILSKRFFGNKIIDSIVLEEMKEQYQNKERIASIIQRCYPESYKLYSDLDPQ LLSIFVQYFLNQLNFVIEKKYIFQRATCLLELSVHLFNISWEKRWNREKSFEEFKQIIVR HSLYRPPHNVYIFSLENLKEISSHFLSTFFRFYRAYFFTFTPWVDIVVTTYQKHQIKKDV KDLELEKLRQEAEQYNDQIDPEMMEQLMSGNSIYQIPEKKKREMLEQQQQKEKQERIDRV LKKRLEELQQVFDQKIKEQDEHFIKLAEDLKNPKKK >CAK61217 pep:novel supercontig:GCA_000165425.1:CT868012:129135:129498:-1 gene:GSPATT00031448001 transcript:CAK61217 MGICCSGGQNRPKQKGEHKAQNIINVYQEQQKQDEFQELSGEIQEILEMYLKHEKNSPQH KSLSESSHLKHSLEMPNTPYFKNNTDSEDQFHFLRQTKQLEEISIEALATQKQ >CAK61218 pep:novel supercontig:GCA_000165425.1:CT868012:129910:132154:-1 gene:GSPATT00031449001 transcript:CAK61218 MGNCKSCSQQPSPEINSGDIEQPGIRSDSIRKISNLLNEFETFQIIKKTSYSYIQDAAFR NGRVIAKKLINTQGTVKPINLQQLHEVNKGLEQLKALANKQDKCKIEQQMKFKCGLELGD KFPSIKFHMLLKGESQTLVLKENTYTLIKSWGQGEQMVNFQHAIELVESFKNVPFQLIGL TRGNEEQQSVYEELVRSNSGWQQHEHIYVLAEEPENHIFDIFYQEQANDIEEGYLASALL FYGQNLIWKRYTLEWDISADSDEVALEVTKLINSGVKQYVGVKEMNLKSTINKQQYLEFK RFILQQQQQQMFTRGLEVAINKKTIYGKDSKQVIYEKPIIQVACSPKSLQVTESFIKPLQ QKPIVWDIQILKKMLSQKIRENNLNIEASLEKQIKISINYENSLIQIPNWEMATLTPYYK STQYISQVKQWIHARDSILSEVQNSHDPVLQRVNQMIYQFFNNIPTVEKVLNQQYGKIPY AEKRKPPYEEMSFSKSLGNEEITRKQDKLLFILLIDYENDDTLDMLKNLIKYKKEYFIQL QIAVLGHIRAESWTKLFQAFMEKHKLHEDALDGTIETWFPVEDKIGSISFSAILSKMYGM CLEKRDCMLVDLDNQVRVIDSSRRIIPKISQILNDLQNKNQIQEQESINYLKSYQQFKKS IRDNPQLQELTQKIKEQCKDDDCLIVYEQRKAKIWDFEGSNLNESRKYQQPTKILRLIPK TSEIDEFTQIISNSDFLKLK >CAK61219 pep:novel supercontig:GCA_000165425.1:CT868012:132165:133645:1 gene:GSPATT00031450001 transcript:CAK61219 MSKKENVWNQLLFEKSRQGGFINKNLVILGRKCSGKRSLLDSLAEITKTHKNAIRQKGIT PIVDYAFLNLIDLRDPDYNTNARLNVYILEEEGQKYLLPQILNHKNIKNTFVVIALDMQE PWTFMEDLDRWISVLQDMMADLRLSLNELEEMKANVMQYMSQMDGGQAHEGLLKTNLGIP LMVMVNKSDILERDDKGKDWDQKAEIIQFCLRQFCVNYGATLLFTSVKQKINIKVMYEYV LHRLYNMPFETSQHKNLSDFESLFIPLGQDDINIIKSTFTKLANGVIKYEESVPVVQLKK NLVKEELTVEDDQEFFTKLKEKSSQAPATRPILPIQRTVDQQPAQQQPPPPTTDQQPVSA RGSQQSKELRDFYDKILTGRNDSQIDQIQQAHQQLQDRMKSRTVTEVQTTTTAARLAPLF NQQDQQKLQRILPKK >CAK61220 pep:novel supercontig:GCA_000165425.1:CT868012:133695:133979:1 gene:GSPATT00031451001 transcript:CAK61220 MSDSEISFNFDDDVIELDRFQQHKPNASFSKSDKKNNKEKLKLMQTSIQSQNNIKQQMTK DLLFLLKFEQHMLSSINSLHQRIMRSLESEIGGT >CAK61221 pep:novel supercontig:GCA_000165425.1:CT868012:134039:136923:1 gene:GSPATT00031452001 transcript:CAK61221 MEDQVKRLQSLVDHFQRRSKINNSKLETECYEVFSECVIIVQKEISIEFGNIMDKLFNLV REMLEAAQSQIKPHPEPKQILLTPQIPEFINALQLGSVNIFDSLGTSKQIKNYQQIPWSD YKSKGQGTKPLRTYQDVGTSTIIDYYQNCQNASNDLLRKEEEMREWLEFTNETISMLSDA LANKKQLDIEFQGKPEQLDLVISDIQFKKLNLEQLLKSVNLENQGVQNYDHAVQLLLQHI HHIQQQTAVAIIHRFKQKHQEKEKQQQMINEMKGKQKKKPNKNKDDCRCSQYVNEIMALK NKLEQIQNEIQKKDNQLLDLQIINNQKEMQCKIMQGQLQQLEGEKSEFKQKLDNMVREYS KLIKKNSDNERGQVSSSSNDEILGNAGQLYPRTTSRELSLQQSQKQQNHLTPKDNFIIQK KTQQMERLVKLVNVLDGNNQTRRLSQMQFILEQRASSQIHELADLIKDIEENDLLQNDNI DNSQISNNIDPTLSMRNLENKSKQNILSNNQLQSSFEIETSNDKPAIKVSMPTEQQSYQQ QIPSSRNMSSASSNQKKKDSLGQLTNQMDFLKTGNSGNVSSLNQLSPHYPLSKAKSSQNI NRQSSIKSEKKQQKVKQSDLNLKDQQVQTDLTMMSILFQTEKHCNSLQENEQIDNQQLQQ QRSNSKVNLQHQLTTSSTFNFNSAGTMGQGNFNSPVQSTTNSNLPSPRNQQSCHQTNVFP SPSSSIHKTKMQSLKLEQEQNSTQIQNSQFKEQRNIQRRKSLKQKIYDLQEEKHLNQQHR RMYTTIATQSQTTSPREYYTPRNKTKDDLVLNKLQQEQEEKEEEEKLFYDQMINETPEQN EQEIIQKAINRTPGYELGQEVDDKIMETMFGNLNKAPDIVEMIIQQSKNGQLNFSEFKRF VNKMQAFHKRCGRDCNHLMRFYLRLGFVSMKYLNKRKMLKLGKPKVLPGFK >CAK61222 pep:novel supercontig:GCA_000165425.1:CT868012:136939:137118:1 gene:GSPATT00031453001 transcript:CAK61222 MDKFPFLKEYTRKNEEMYSQDKKRNKKDKKWEEKFQWNGQSYDHLIKDSFFENPWKKFT >CAK61223 pep:novel supercontig:GCA_000165425.1:CT868012:137146:138725:1 gene:GSPATT00031454001 transcript:CAK61223 MILPQLKVKDLQQIDSARYMRFMQNQIAVMLQLNNPKKLAMAQPSKYIELKDSSKLTQSL VVSKGAKSSDQKKVVLLPTLIQNYYIYYLGTGNNHQVVQRVLSKRNEWMFTTNKNDSSIN FRWSLYSKGYQYDKLVSGNKVKQAVNHFEFHSEISQKSYLFKNLQHYCEENQIDIMTFVP LTFVLDFTNEKADMSLLQFLQYYESNAPSSIKLNDTQNKFYSIRRYLNTYMQITDKFVQI NKSKIPSTFLGNDYLWILKPTQYNCGRGIHVIKDLDQMAHLLNQYITGKQQKKDGKIIRS KQIVIQKYLEKPLLINNRKFDIRVWGLLNSDLEFFFFEQGYIRMASEEYTTKDVQNQYVH LTNNAIQKQSPNYGKLEDGNQLSFDQAAAYFKSKGDFYKLIVENIKQISLRAFQSTRKKI NFFGRRYCFEIFGLDYMIDDDFKVWLIEINSNPCLEESSQLLQGLIPRMLDDAFSLTLDH LFPVTKKQIVFSVPNYSDNHNMWQWLGNLF >CAK61224 pep:novel supercontig:GCA_000165425.1:CT868012:138884:139749:-1 gene:GSPATT00031455001 transcript:CAK61224 MQIPGDFEAQIRIVNEQMSIDQPQMEQETEDKNKEQEEELTEEQKERRRKILEVQLANKR LLYSIHNKDMEIFRVKKEIEDQKQLLADLQQQELEYQQQSNDNCEKYALNKSNSTSNEQL SASNKELQILNDSYLSHRALVSYDTYLRGTLREQDRKIYELELNNADISEISARIEKINK EYERNIQPLVVGDFKTQIDADIKSSKNQIDALRNQFKEIVDDIVKLHEKHLDNIDMYSTL QRQLQEQLLANEQLDYELSQLKKSKEQC >CAK61225 pep:novel supercontig:GCA_000165425.1:CT868012:139793:141845:-1 gene:GSPATT00031456001 transcript:CAK61225 MIEVTFKIDQLKINLSSTVNPQLQIVSYVRRILHPIGMDSINWENISCFVTYNNLVQDRN KTFIEAGVTDRGVLQIRLSLRISIEIVNKQLQFQDINVDAFESTQIFKDLIIRNYLRNTP YEIGIFNVQDGKQLEKDTWIQNGIGNSIPVLLNIQIKQSIKWKGEVIDINFSIFSPIYKV IQSFMYKLNINGIISFQCQNSLLKPELSYFNNNLPIDAVWQASIPNEVVYRVLYQKQSRE RQIIFKKDQQIYEIIKILRKEFNITNPNKITLMYHWPLDRFATVASEAIPNFSLLKLVEE DQKGDLQIILQPIGENSHESSSIHNVRYDTSLEDLSSKIQHTQNDDVHFFLETQDSQLQP KSTLDQMNAYYGCTILYKVVQKPRPRIVTVQTQSTTKKFGVLIVNLNIVINVDIAIEKND LEALAEVLRYKLSIPKNQIIQFSLGTRLFQENLKLEEIMGGNFEYLQALLSTNLQIKFKN NKTEQVTTLAVNLEDTLTKVSSKLKMNALYSFEGKQLGGDETFAQLRIQNNGVILYEETP TPQDQAEIINNPSVQKPLFINDKLHEPSESMSNEFTNEKKIPQQIPNQVQQDALNNSNND QITVKASVGEKLYRCRVKGNLTCEELKKFIYDLAIQGDPLNIKQYNLLQGDQVLRDDQTI SSLNQKEVEIQFRLK >CAK61226 pep:novel supercontig:GCA_000165425.1:CT868012:141937:143712:-1 gene:GSPATT00031457001 transcript:CAK61226 MELTIYSKQLDLKYNVNIRKSNKVKDIGLKLLHQFGYPKTTKFSIYNEDKLLESENLLEV YSLNKSSRLSIIFSNKFEITLIHNFFGNKEITIESWDQFTQIKHQIQREYEFSQSCEVCL RTSNQIYCLEFLIIHDNIHSATNIQWDAYEMLKYVYQNTQYEMKIDISDDWDMIAKQIKE TQNIDKIIKIDFLVAQSNKSIDPTERYYQSKFSPDTIFEVTTPVEVTLQIAYLEAIMIIN IKQTDTVRDLIKVAKDELNLQRYRPFEICYQGNRLQEDQNIQDLNLIWNSMLELRQEKID SIQVQFKNQKYNQLIVKQVNSDQLLISVLKEIANVNCRNCTDFKVTINQNEVDQNQPFSE LQISNNQVIEYECDAIEIKFIIGLKTIEYHAKKSHTIQSLEQEVKNRYQDIIPANFSIYN SNDTICTKTIEEMGLRFLNASFTFLPFGKFECEIQFDNKIEKVECFYYNTVEMLEQIIAN KYQIEGKDIQSFYGYQPLNKDEKLKNIFVNDRFDIVMQKKEQIQLYLQSIDASKQQIVKI NIDDPIGLALEREGLRNIKDIFFDNKIIDLNSNCQQLQIAPNSTLIFELLQ >CAK61227 pep:novel supercontig:GCA_000165425.1:CT868012:143772:144288:1 gene:GSPATT00031458001 transcript:CAK61227 MMNYPNESQVNPQPQKSEILISYSRQQLKNMDFDPTKPENYYLNKKPQNISTNQPAQFSN MQINQVQGFPNPQYQFQGDAMKQDKTSQYNYPPVNKYQIQGEYNQNQSNLQKTNKIVFNK IESYDDFRSKQKETSNYNTYKQYQGEQK >CAK61228 pep:novel supercontig:GCA_000165425.1:CT868012:144541:145784:-1 gene:GSPATT00031459001 transcript:CAK61228 MGQNNCTPNIPGLENCYARYEQTDENKYPYLVANKHRLAESQNSQIFELYQKQQDQLRCY LQTSRQLSQSTRRNYEFEGRFLKLPSHLICHIMYFVIDDYINLLLVNTLWYTRLNEAFSK TVSIVDEKFQQSHSFLKLKQSKNAFQTFQFGRQQGFRLDRFLIAEITPGVENSIVKISYT YNCKGQEKAFCYKFGILRKNTVRTIWIGVDQSTNIYDKQTVPVIQPVLPFCVGDFIKIPF TLLNLQGQVNLQSIRWNKPLIEKLDVGIFGNPKHITMQPPLRFEPKDADWTLFQYFDHPM KKCFDNFKQIKLKECRCCGNGLVINRMNYTCVQEGHLTIPELNMKMVVKQGCITNQVNRA TVSYELDNTLELRIGDLLTLYYLRGGDS >CAK61229 pep:novel supercontig:GCA_000165425.1:CT868012:145815:146741:1 gene:GSPATT00031460001 transcript:CAK61229 MYNFAKTAAKDPYNFDINQKATTGGTKKNDTGDKSKKLSNMEPKRLAIRFDPPMIIVEYL QPSSGKLYHHKMKLLKLKPDTPINHALEYLKKKHAMYFMNNKIPEKQLLGKQMSHQQIEL IGKIQKRLQISKPNVNANATLQRPTSSTRPLSSSTQASMNMSKTQSKLQKDIEDYGMSEE DLYNYAMKNQKGKQPVLEDDDEDEDEECYDQNYEEEDSEDEITDEQYKMLYQKMGYNQLN LNKMTTEELKKHKEIMESMYKKNSVKPGDKNYKYDVQKNFKPSAQNQWDMDDEEDYDIV >CAK61230 pep:novel supercontig:GCA_000165425.1:CT868012:146762:152094:-1 gene:GSPATT00031461001 transcript:CAK61230 MDEDKQEPSSDLQMNRTESQAGLLEIQPPQLGQQQPTLELPEEEQQDDFKTAKSEQVQGD QQVQEGPLKNESQIGTNQQSDIHNSLKINENVKNILEKSVNQDPKQIGNDETKDDPQNVI SNLIDEGYYPPDDWQPEKQEEIQPYIHPDTIQFHDCFGMDSNKKSNLWLIDSQTFVYASG ISYHFYNFVQGTNETFFSRDGGGIGSVAVHPSRKYYSVAEKGTFPNVYIYDISHRLYRIL MKGTERSYSNTCFSKDGDKFATVGSSPDYQICIWDWRQEKILLKAKAFSQEVYKVGFSEY NVNQLGTSGMGHIKFWKVAETFTGLKLKGEIAKFGQVELSDVYAFYPFPDGKVLSGTEYG RLILWEGNVIKVVIGISEEEPCHRGAIESIFLYKDCIVTGGKDGFLRSWKLTELDQAEGD DQLNYFTQPIKSLELIDDEENAQIIQVVIDDHFWLVGDQGGRVWRVDWEAGKTQIIYRNN SGAYLDLTPSPQLNAAITVGDDGAITLWDYVKCEQTYKRKFAKKAMCIQWMPFTMTNKGR VVVVGYSNGIVRFLLLNQNNFALLNVQKVHPNPIQKIIVSSNTNYVAALSTKGEIFILKY SDSNIQDIQAYCLWETKLGINDICFDKTGTKIMMACKDGYLYEAIVPSDVDNSENYLQEF NATQYLVRMMESQKPKKEDLDFQFLMKLKDDKMPDVEWDPASLMNVVYYGDKVFCTAEGK YLGCCYIIDLNKDRPIEMIPIQKMQTYHLDYKDDVLTIGYKNGQWDIRHKTDFNKQINKQ SHDMDYGRVRKISLTFDRTGVLSVSDDGTFYVYKLDFQSFLMQLSGTEVQDFQYPEFTMG INSGTFVEEIAFEEPQDILDDTIYSIQQAKLLAEEDNRRNEANKKKETVKSRIQQLREQF SQVRQKNQTAEEAAKLTEEELCVDPEYKQMLLDRVAEDVEETRLELEWDRTLAKMKADKL KTYMIDELEIDKLMVKGFRNHASVQTFKVKKLSNFLIEQLKETYRLIDEERKNQENQPQI ENKIQEKIQAQSQIKITTVDMKEEQQKATIQQLDPDQKIPQAKLERERLRQEEERIKKEQ ELLEKSKPIELAKPENAPDIQEAIKQYGDYKLKSSPNFEVPDNQRMNVSKKRKHIYMLEE FIYNTKLKFNQQLLNLKKRKVNLIDKIKKYNQSIKKINEQLGKQEQLFQPEIDKELEDPH SFMEISDEQINEFISKLQQQQQKKDEQKRIAPAQISKPTEQNQIKTRKGIRVQQSSMEEE MKTIQDMVLNAEKQRMIDEMQEEIVQFDKDVVKCQNEKNVLESDMLIAQMKLVTYYQELI ILEDMEDFDNKLIKEVLDFKKEKQNLESQVEKIMENLATLQKKEQQNDKDLIEQLKAWKE LVHPDDEQKRNKIHDYYRKKFKREMQKRLKKQQEKEHSDSEENEEDEENEDDNDDDIVSD DEEEKPDISPVEQDPKVRDVIEKICGLEEQQDNFKQEKVQNEQQKSKLANKIAQNDTYLK RANDDLTQYQRKKLQRVNQLDVSFVLKLSQVQNLNDQKQLPTDLNKSILFTEYDFFKLCK RIVELKHEQGKITKQKVQKDKTKKMQEKEIKLKDLKIEDLNKKYEEKHMLKFGDIIDLKI LDALEPTKAVLDMRAQFNQEEKEAQRKVSRAKEELSKMKQQLLEVKRENTKIFTNITKLG KQQMDLSKKLTSGNKQLFKGDNKEKRTDMESDRQSLEDLVKFLGKEIEDLKNEIGLYKKK GGHIYTSITQTNQNLVQR >CAK61231 pep:novel supercontig:GCA_000165425.1:CT868012:152153:153369:1 gene:GSPATT00031462001 transcript:CAK61231 MQQNPEFKQIQGLLQHSQQLSTFNITFAYITKAYVAQRLHALYKKTQQQNYMQMLQQLIS ELESLKTQNPQLQNKEENKKHYTEFVYKFFYDADTRERDGEVSQELMHMFGSVQKAIEVL QYFEPLNQDQLEKQKYAKFKMVDQHKKLKDPTLIQPKPKDSIEEELEQIAQKQLQISQHQ QQNTTQQQLQQQQTEQPAIIQQPIKPGVPQNETPQTSLQQQQSQYQQQLQQQQQQWQSQQ KQQQSQYQQQQQQQQQLQQQQQQQQQQLQQQQQQQLLLQQQQYQQQQQQLQQQQQQLLQQ QQQQQLINQQQMSSQAQPQSQQGKTQATKLSPMEQAQMRNQIKTILQQAISEVDFKKYQN AKDQLQKTLGMLQQLLDSQ >CAK61232 pep:novel supercontig:GCA_000165425.1:CT868012:153391:154447:-1 gene:GSPATT00031463001 transcript:CAK61232 MSSNKYIEQSPEVLSQRSSFRHSSFNQSVQEFSQYKEKMQDLMLPNVEFIDPQDFKKQNE CFVCVIEFTQTNRQHHCRMCGSSCCGQCSQKTINKNRVCDICYMKASQITAEKKRNKFLQ SLKDSAKKLKKHIEQAQKKKQELQNERDAQQDKQQIDLRILEKETEDYRLKYSQKTQDKC QYEQEVQEIKKKMSELNALKEQVQQECQEKEQEIRAYDTNLYLKEQELNDKKTQLQRLKQ QKEELEILSQKIEQNPDIAEEISIPESVQIIQQEKHQQQIYNTNIDIDDIMYGNTDNSKE SLTLIKQEYPQQQQKKQSSTKPNPQKQKDEEEGEEQYKCDIF >CAK61233 pep:novel supercontig:GCA_000165425.1:CT868012:154466:156544:-1 gene:GSPATT00031464001 transcript:CAK61233 MGSGKAFLKEIQAGDNEMNINQLQEELDTNIFTVSFSSLGEKDRQNQVVHQGDPLYCQNC KGILNKFSNLSLFNNSGSCLQLSWNCEFCTHLNEIQIQDGDIPEKEEALYLIQSHFQQQS QKQEKNSITFCIDTSGSMSTTTEIKGKVSIKTGLTNKEQEMLKQFIQPGTEAHYLKKQKQ ISYVSRKQCLLSAIEQQIKKLQQQNPEKVVGLVTFNNEVVVYGDGSQQPIKFQEQELYQE VQIKTVLSRCANQMMQNPISKQADNIINICEQLQDNGQTALGPALISALELAKVGKPGSM IIICTDGLANLGIGALDSESSKRFYQELGKMAAEKGIIISLVTIKGEGCKIDTLGELVER TNGIVTRVNPEKIGEDFANIINDVVVGTQVELKVILHKALKFRREDLIKAENNTLKKYIG NVTKSTLQTFEYELKSEQELKKENIDIKKLKQIPFQIRITYTNLKGDRMMKVVTKLVQTT QNKVEAEKSAQVEVIHKRLAQKTAQIAKKGNYEEAQTYNQNWNQYLQKNPHINNNQINQQ KNLIYQKHNEKLSTAIKNQEVRKQKSMCFSEIEQKGNSLKQNSEPFNSKEINNENSESIL FGNSQSINTPLNKEKNSKRIDQNEYKQQKFDTPGSQSSSKIEFKKISSNTISTIDSNFIN RKTNSSQDSSSSNQSDEDQDQATLYFYDKGQF >CAK61234 pep:novel supercontig:GCA_000165425.1:CT868012:156856:160297:-1 gene:GSPATT00031465001 transcript:CAK61234 MGICGSTTKQPIDQICKLSQPIITSGELQIKVLLKNIKLKMPDIRQCSIEFDLGRCKYTS PVHVNNEGEHYWRASFDGLLLMTEQQMRETNIKISVLTSSVIGQAQINLFETAVGPFHFE LPIRGQNSGNVSFDLKMNQIVQATLQSKYIIWELNQSLNEVRYNYNLRLVTSQLSYISEH SSTFTNPNYRKQNEKKSTQFQTSRKSILDQDSIKDSLTSLSRLPSLKSPPNPSYDSVAAT PTHKANHRIEWDHINDELTMIVELPIQEFQGSALQLCLWSISSNRRSLDNIKSPQKTIIK KTKNQHDSEYMDLEIQDHHLVAETYINLSNMETSVSAEQGAKLLMIKSYQPKSLWYHGVE VGKIDYEISVRIPNHLQQSSFGVQTDRGIVSSISVVGNVENISVTEIKMIQMEFQKLSSS IFKMEHKSLNIDEKLKVRQEMDQNLTRLAQFLGQSHGSAIKTFQYKSEDDLMKAQQLLIQ ISIHLVDYSKTLSTFDSYYECLNQVLSRGELMLQQLGFFKALNKKQSNQKIEVCLNYQTF LINTLRYTLSKLSQKDPSNEARKLYIKILVISYFRIPEFRVKFLELINKPNDPQLNELRG TEFIQEDDPTNIDKSTKNNISIFDWQNYFHTYLNDKTQGIQNQSALNQILDDESWKENIR HRSINFSFFVEEWAIYVRNILQVKILPWQDIPGYRILVKAFMCELKQQDSVPDAMKIGLR SLMQNVNLLGIIVSLQFNKTNLYNTEQVIETFEILDICFSTLTAMPPYFDYPFLLKGIKQ IIIDSEHAICIAKCLWFIYNIYPLLQSKHISYQSVEFKKDLCEFIFEKAVFKLFLHWSKT VRMMFHYFLLYRVSHQHKNPKVGGLDEEQIIQQYTLINRPKKNQSYFENRQPQQQLISDY IYMKYMRFLSKVEQAKINEGEREYQQDYKSYYQRLVTKKLKMEQEQKLRQVAEPKRESLK LERLQSEQEEQTQNQLKPVIVPRTVMHKKLSFKHDIEITDKQQQYVLISIREFEEQLKNY NNWRQSNIKKVMGKYNEEDKHTIHLTFEVPKMDILKRIDEKEGA >CAK61235 pep:novel supercontig:GCA_000165425.1:CT868012:160636:161556:-1 gene:GSPATT00031466001 transcript:CAK61235 MNEEIDHHLEMQETNAMLLQQFEKVTEQQEAETPNKYSDHSDPPSPTKQKSKKKERIVEK LLQNRAKFNDRTALFNLINTLQMVITLYNLSSIINIEGQTNLKFYDTTQIYSYYVWNKQG FSVYYLIIILIMVHILKLLTCLVGYFCVVQKSEKLLTIFMMLTFTCVITRGIITILLLID YSQIELTQSYIYGDSDKVAQSQGVQFIIVLVLFVAVEIIMGLQSLLLAGQAKKKYKSMRI NEKKIAAHYSIAYQIMLRQFVI >CAK61236 pep:novel supercontig:GCA_000165425.1:CT868012:161777:166922:1 gene:GSPATT00031467001 transcript:CAK61236 MQEIMAEVNGRYNLSNILDVLDKLRSNNLLLKQGAKRIDIDMYDKVYKQIITESRNDGDK WYQRYIPLKPKQLKELNSLNFSFDVKTSCDENNPNQTNRIQPSRLDKVQKFTSPTTFASP PTFETRASRLTDFQSDYQKELTNQILQQISDGKRRSAQSDYIKSEKQHRTNRTISSFNIN SLQQLISVVQRKIMQHYRLAFNKIIFLYNEPVKLLQDVEIQAEPSKVAKFSLKDSFQIEK DIQLFQQNQILKILFHHFDNLKQNWLRKKRIKNSRNQVLLMRKHNYLWRAFNKMRKYSIK HKQNRLKKVQSDHFYSLNQLRVYFEKWINFHFAKQNKNSKNKISFGLIQLKNQETLKTCF MKFKLYYQHRRDKHQNNLLAYGLYQQKLQKLYFTLWNNLVMNQQKRETNQFVMKKCFKKW NQYLRLKDFNRKQKQTADNLLIYQNKRKILRILRCFHTVIQNKNNYKKQLNDVYLFQLLR KGFKGFAINIQIKEKKNEQYQQIGRFLQMLYISKPFNILQQYTKEQKMKKELNSKARAIY KVNKLRRLTNFWLNWAKEKRFHRKQDIANIPQIKQFQIYLYFNKYRLAFQRSLWLKNLVR IMRSKHQKEFVKELKLNNIRFKQENKYPLKLKQKVIRGLRINLINHLKKQQFYKQLLSLH QHNIVKHIFDYMKVRAYNFRQKVINKRSVQRSLFGKYVAGPFYFWLNYGQKHSYQRNIRN NFDKLHVIKVYQKVFKTLNDYKWKKKIQKVQDQKNLPIIVYKQKLRFLKFWNAKRLSKGK IESFCVSFTNLQQRIFFKHMQLIKVRDFQKKKYMAQGRIFYLMKFAFNQLNKYKMKKLKQ RNNTEIIKKRQLYHLKSNSFKHMRRLFTFEQNVAYLTAITVLQIKKNLLQKYFKGFKDLI VHKKRPRDQVMKMNKLRKCFAGWKVFTSKQQGAKLMISAIQDIQRDQKLKLFKKLDSNNR IENAKIFYKQNLIKKVLLHWFDSTQSSQKTIYLTKILNKLRLLLNNRSVQVKNNSINLMK RKILKMWASKLQKLKKFQRFLLQMENKGKDNMIFSIQAIIDNNKEIEIQDKQQNQFLFKY FQQWKKQYQKSHSLKTIIFSIENAIKKTKSCVLNRMKKINKDMKVYKLCSKMQKQRIFHK WVKQLNQIGGFNKLQNIFEKKENEQFYKKARKLFVINKQYTLNSNLSKSKLSRLFQRWEY LSKMNLKLKQFQSKLENILTNKIYYQHCQSVLFYHLQSYQIKQLKGEFALKTFNKLVQNK QYAIFGHLQQDSIYYNYLEQKNIRKQIICLTLWAKLTNESVAYKFICKSLGEIYKRNMYY NLKIIKQFGDKNQTIFKQIDDKKKQKILLFWFFQSQKRIAAKSIYNILDQNYDSKQNKMQ YVVKFMEILSQNQMKTEKANKYYDIFNSLIKQKMKQAFKKVLKSSKQQYLSKCKKRKLQK QYLKQWRINKAVITFNKILGISYERNLSNYFNKVKSQIKNKKAELHYQSRLKYQLLLILQ QVKNNTNKKLEYLSSKQNNNLVNVYFQMWIIELLKRTNQRKQFMKIFRGFYLYHYRTIGA EFIKQLKLEKKLQIKSEQETQHSLMYSVFQAWRMEVDQKKMLKKYLQQCSVEEKRGKSIP KYMPY >CAK61237 pep:novel supercontig:GCA_000165425.1:CT868012:167193:167846:1 gene:GSPATT00031468001 transcript:CAK61237 MNKIYKSVIACQKTNNFFDSLQEVLRNNIRAFLYTRKDAESKEKCLVKLMSSFTYNLMIA FLRWKNFNKQQKISEKLGDERKKFVLINLQRFIKNCNLNRLRRILKLFYIGQQVNQLIKK IHLRVLQTQIGQVELSFQKWKSLPGDDALNNQARVSKFAISLGKIAFRFVKLNSWDQIEN EFQDGQVKKNFALTKLLLSPKVTQKKPFYFCIEKLGR >CAK61238 pep:novel supercontig:GCA_000165425.1:CT868012:168069:170655:1 gene:GSPATT00031469001 transcript:CAK61238 MEVYLIKIVLNRQKQQQQLPEDNNDLKVKASVFEKSLNKFIIHIARKYSWNPILEEYDDA LEIKKMAVMLMIKTQESEQQRTLDQWNKNISLIREVERCKCVINLFGFLGLHIKNNNAPL KPDEESQKKEKAQLQLIGNFDSNLRYFFMKQYNDGKLQKLQGAMNEEKKKLLLESINNFL KNNDVAKLRAILAKFKRNSKFFSKLFATKFGGAIVAFQKWKNLPDLKIQNNQKMQGSLKG YQIYILEEDLRQLQNHQNMCIKKVIYLKFYLLHLYYFQLQQKIILPQKNTGSWHGPKQKI ILILERYKQIAQINRNLQIYCKSILNSSSHISRTCLKDIQTQQKIRKCLEQNVNDKMGIS PLEKFSEVIQIYSQLDDEKKKLLVFALHRNLRTNDQGRLRDILRKFYLKRQKQAEQKKYI SNYFIYRQGKQKFPSKNGKLYPKIKNQIHQKQAYSKNHQIYSKFTCLERIPSINYKILIL MDRQDKNLLQIKCCLLHECQKKSFLKWQKIVEFHRVVDAFNISDKKKLAIQLFYQHKMNQ LNVAQVEWKRLQSNLTNKKESAQEGEIRLNREAILLFQNFGKIKLRIYFQKMEYESCQEE YGLKQDRELMKEALDIWKGPKLYNKLFQRVAEMIAKNTNITPQIAFWRMRDISTTSKAAS LNSLQIVKCKKLINNLLKAYDRVRQRAITNIEHYGRGITDTSSFQPSHSSFLQQTPVRDS LGKSQMESILLKNSQYLANQSDHELLKKMNELIEENSRLRDQGTFEESMNSKDQQINEQQ RLIQDLNTRLDRLRGQGIYIQRQYYYRIIKSLEEYEDHLVEDGFITIKVQKRD >CAK61239 pep:novel supercontig:GCA_000165425.1:CT868012:170842:171883:1 gene:GSPATT00031470001 transcript:CAK61239 MGNKTLCCSGSDHFGSSSKMSLQPNIAAHASFAGCQIKLSYSKLLFNEDVDTIVLIVSTR LKMGQNMEDLLKKYGGQTVMNELKQVRLNEGGKLELGQVVHTHAGDMDFDYIIYTVLSSG CETTMMMPKSSYITDGSTDFQPSESLQEGLRQQIDGVHIYTDKMIDSQQTHERQNIYECM INCLQLAANLGVKSIAFPLLEPFNGHISKSKIAAIMLFAIKQFLKDNPKSSLEEIKISSQ DTQVIRLFKYIINHILCDNQDTTIDPKNRSGIKNKDLKVSTIYSSDYDENEQIDQMKVDD LMKFFHAYDGGNETNKRKSSF >CAK61240 pep:novel supercontig:GCA_000165425.1:CT868012:171980:173061:1 gene:GSPATT00031471001 transcript:CAK61240 MNYQAEEIFAQEYPQQPQQPQQPQQPQQQRKIFKAAKKPSAQETSQKNINQTPSPLQLDK VQQSLEEKVKGNQFFSQKNYQKAIECYTKAINLHGTDSIYYSNRAVVYKQINKLQEAKKD AEQAIKIDQTNARAYFIYGTVILLEVQMSPEISEQLIKQAHKGLQYLDQAYENIKDNNDQ QKNKLKVLINQNLGKGRRMVFLIAQEMDRISMKIIQFVDVQSLKSILAEIAERRKVKLDW NYLERQTQKKMLQDIPIPQAFICIISFEIMNEPILFNTGQTYEKDSIYKQFTSNGCADPI TREQINPQTARHNLQLLQGINQLKQKYGWIGIEQEENYKLIKFE >CAK61241 pep:novel supercontig:GCA_000165425.1:CT868012:173083:174566:-1 gene:GSPATT00031472001 transcript:CAK61241 MSRLYPLLITITIVASSTITLNNPIQVDYHKEKLNQYFIDHNSQIWFEFVKSIEILNPKT TICQVMPYQFEKINPISIQFDNITSSLFDNEQKTLFVLEQKQLTVIEITFTNFSLSTYHY RIGETNYINKIEMTSHQISNGIDLAQIEDNFILILDDQQKLILFDKVTKNFEDIQSFQSQ PIWITSANGFLFVGFEDKLIQYKLDQRKLIQIKQLELNLANSSILKMQQSSIFISFPFYK VIKVTYNQNVLQVEDYLTQNMEIVSLEIQGQNISYLTKDYVYLNSGKKLFEQYDKLFQFV DLVILISNKGIIKVISEFIDELSTPLYIKHQNVSNVHIMNNFGINYHLITESQNLIMINE ISLTESFIQCDDRIGDNQEAVSFIALADGCQDRRTISQPSSCKIQGKIQFNYISTIYSKY SDLIYLMIILLISASFLILLAIFYTRKYQKEAEQYNIVNQQDILKVDQSKDQINQEF >CAK61242 pep:novel supercontig:GCA_000165425.1:CT868012:174652:177906:1 gene:GSPATT00031473001 transcript:CAK61242 MHNSFSSGFDIDSNNAELLKRPQHQQTQLPKLLQLPSDIKPPTINSPIINCPSPFTEPLR SFISYRESGFSQFDQCRKAKTLNENSEGNDAKNFDEPGKPKKSQFNQAMRSPHKQPLYSE LNQTESVSRNLFDKKYVKQFAQALLQKAYIYRDNYFNGYQKQLLIENYLENNLHYTIQDE KNNNQIHPIYFLFSDMIVIICIIILGIWLPYKISFNQEVNLGFEVLAILIIVLDLGLNYF KPYISEGNFVKFHIKYQLQFIKRQTFIDILYLVTTILLVFLSANSILIWCILLFEIGCGV QKLNFLIFRVNDFLPFDIGYYKIFMIVLYVIHLCSCFWYFIGLEEQNSWIITMNIEDEDE WTKYCYAIYINTSLLLNIGQGLVHIKTNVELIYSTIAMFISCWLIAFIIKHTSFMLKRQY QNWNENIRQMELINNFLSKRGISLHMSARIRNYIRFLNQQDKNDDQMQVLMQQLSPAIKE ELFLQMRIKALCNCRSLFKFQKNTLENLTQIMEYVKFNPNEFVIQKHKQDDNSLYIIDSG EISILDQNTQLAKLSAGDSFGEYSFFSGELRQASAKAKGFVSLYKIEQSKFVQLIQQNKI DHERYVFIKHSLLNQQFRVINMCCYSCRASDHLISGCPIWRYTPDLEKVFKQENYNQQSR SSYSRSMPKKERKEFNRIFLRLIQNYDALKIFRLKYDIIYDDEDEEEDESQEDELESCSE LSDDYQSDEGYSDNRLVDKQDGTIVSLLKQHDQIKEPSKVLQEIDDENDQMFIIRPNNQK GTLNTAQFQYQADFQQQQQQLYKFSLVPQEPQKIKRTQTPQDQSQPQQYVQTSTSSQLIA SEVPIPSVKRIKNTPHITFKGDTDSQQSQQQSQRLRSNTKTRTHSNIADKIKKNLSRIRE EDSDTLNLSNRQHSEEQASSPYGRQLGRQSQSSTQVRQSMKRFSSKLSTIPIRQGTITQL RSTVSPIIRSNIHIPTGVGMVDLSMYKRADPQSEEFERMYSYNLYYPIDNYQNVINRLNL FLKWRLSKFIPSKYTFTFDVKRIMEKINRTIKVELNQQ >CAK61243 pep:novel supercontig:GCA_000165425.1:CT868012:177934:178527:-1 gene:GSPATT00031474001 transcript:CAK61243 MKCEVKTVKLKILGLTDLSKLIRNSSYEIKVYFGGARQQTKTLNYYVVSEQIEINQNLEL SSIHGKQLQFWLFDKIKNKFIGAGSYELSENQVEGFQLLDLKIDTVITCILMFELVVETK DIYQPVILEDDNIILKKDDSEEKRKEQERLEQEEKLRKQQEEERRLLQKQNKVSIVTLTD RYVEDQSYY >CAK61244 pep:novel supercontig:GCA_000165425.1:CT868012:178556:179520:-1 gene:GSPATT00031475001 transcript:CAK61244 MNFDIVFLLVSILVMGGSYYLLFVKKKGKAQTVVQQGQPQAQQGQVQQQQQQQGQQQQQQ NQQRRQINRVEVESDDDSDRELDVQNAEQQAKREARKQKKQQQQQYVRQQIEMLEKKNEK RSKEYEEKERQREQQEQEEEEWRKKIEAEQKQKEQEEFDKWSSMFQVEQSGTMKLSAEEE EQKTKEFNERLANYIKLRKVVQLEEVSAELGISTTEVVDKIKQLEMLGSLNGLIDERGKY IHIRQNEIESLINYINARGRMTRSELLNESNRIIKLEPSKQDLQKIQEEEQKLLNDLQQE LQQ >CAK61245 pep:novel supercontig:GCA_000165425.1:CT868012:179848:180055:1 gene:GSPATT00031476001 transcript:CAK61245 MFKMKQKNFIYIRAEYLTTEIIEGCQILKYHKVDQINQGYILRNRKELTNLMVELLIAD >CAK61246 pep:novel supercontig:GCA_000165425.1:CT868012:180464:182925:1 gene:GSPATT00031477001 transcript:CAK61246 MDDMHQNIIQPYEDFSANYFQTNSTLIIKAKEFLKQVQTQRESLFNKQQVYLKTAYDLEK KKNSKQLQSKADELFVDYRQELTIVNQLWHIFNEKFKSQFDEYDQNELARTQLTKTTSEN VVSHISKVTNILNSKLQQVLASSNEKFSLIHQKHSNEKQPVLKNIMRNRTFNVFKVTKQD NFITYEDWIANINSHDKFDPINLQKFKLTQQMEQQINILIDLVQQAKTQDYNKQQFQSVL DIDFETLFQCQDTRIKLLDSIIQIIDQAPTDVIVINPSSNDLLILIAKHLILNLQKDQNF NVVEFNQLLNFITQFGMREKNQFIAIGQQASEKTFLFYEKNKWQQLLLYLNEKENMSNTN KCENHNQNNSRHTKPKKTQIINLLYQKKRIINEKMTQEQRLNYLKVLLNLQKICSIMLKL DIKIDYASETIIILSKMCNIRVQDIVLLLEQFESIKIYMEQIQPQSPKTQQLIMEKKRLF YTLKKCLKYLSLSDQLTIVQINKEFSQLSMKIKQRYLCLNNLTQRSSIKRKLLWTSLLQP ESIQLDYYKLKEKYSKQAGVKEATLEHQIAQDVQRSFNSGGQQSKINHLTLHNLLKLYAY YNNTISYTQGMNFVMGFIYTIMIEEELTFRCFAALINQLLKDVLLYDLKYIRVFFYKLDR ILAIFMPKIHQHLKEEKIEAGHYSAPWFITLFTGSFMKNEFSTVLFDIWDVLLSRGWCGF YQIILGIFQTYEDKILSMKFDVLLQFLNNLSKAEFFTQNTDEIASLKTLKYRALKFKVTN KMIEELDKEYYLVRQKINNLMNS >CAK61247 pep:novel supercontig:GCA_000165425.1:CT868012:183125:184697:1 gene:GSPATT00031478001 transcript:CAK61247 MSKKNKTIVQTLKFVKFLNDHFQNNLSNQNTQSSNEIQEMLLNDHISMPFQVQTETNIMI NNIESRIHSKTHTQKNHFSLRFYDNQEQISTQRDLTKYIINLIVFPSLDSESQQLEKKRC SFTQYKDPPIQVIYYQFEVMVLDKQLQLQFNIINQEEFKQSKIQGVTKTICKLKQSTHQN VQISKKIILPILSISRPISTVIKSSVELKLALQCPQERCLGSLCENPCTYNYKVCRVDQK HDLFIFSLQQYDRHQYTKQQICQIIKNMNDQYSQQALECVEQRFKILDNFQQQTLISDNE LIEFEQSLHKYPFQCTLQNVNTNNSTLSFRIINQKFLDLMGITKDMMFDHLNETQALPTI FNHDGSLKNWCELAQYSVEGREFFDYYLNTYEGSQFRSKVQQKQIFKSSPLDPNQIIFIE FRIIHADPKLLSNLCNSTRILKNHADYFNLKNSDIILNQFKQSTKYLDAKNYKITKPCGY KIIDQNIKP >CAK61248 pep:novel supercontig:GCA_000165425.1:CT868012:184809:187207:-1 gene:GSPATT00031479001 transcript:CAK61248 MSQALESCQYHQKAGIAVECQIRDENNYSILCENCLAEKANNKHIFLLKDAKLVFEQFRL EFQNQYEEEQKEKIGFLKNMQMIVQKLQNHFSSTFDQINNKINERIELSTLIFDQGGMQN DQFENFCGTLNIRNFGEQLIEELSKCQRMRKIGEEQSVIEFQNQIQHQILSLQQDDILNE CLELIIQVDVRKKIKLIDNNEMNEENKKLNVQRTPKLDLICKQHDKEIILFDLSEQQERD YRARCVECDPCIFTSLSKCQNMWRNYERQQSEILTQFYQSRTSQIGIIKQLLFQLKSSFI EKINAIIIQLNGIMAQTDLEVFKILSSIGKDWDTMTLNEILDIADILSKPEQMRQTLKDM EIKYKLKNLNIDCIFQDSIEELQEINNQIALLNLCFINEQEYCQSPKNNFRLRQNSGRMK RSTNSTLSDNSQAQQKEQIQDLKIKKPNKFKSLEEWRIQQLKWSDKYIDYQLFFTKKQAE WCNALAFNKQGNIMIAGCIYSIKIFDFLRGRIVQTYQAKQHSGDINSLLFSKKINQFFSG SDDRTIRVWSQIDQKDWKCVQVLQGHLDWVLCLIQTEDEQILLSGSRDRTIKKWFKNEIS QAFECTQTLTIHSDAVLGLSLNKSESFLVSCSYDKSIIIWEIKESFQIEQKQIIQLQTYA NRILFINETQFLFQPNNNQNVHIYQYNSEFQSFQTSNHNIKQVQADDDYQYFPSKFLSTK GCFANIHNKYMYITKQLNDEEYEIQQIIEFDDYRKFGSFTEDGEYLVTWHYTSSEFQIRK NVGTSRIQW >CAK61249 pep:novel supercontig:GCA_000165425.1:CT868012:187969:188354:1 gene:GSPATT00031480001 transcript:CAK61249 MKYTYDQVKGVAQEEQGGDMQQIFSFLLAFAGTWMKQKVFIWMAFFFLMSSCATMKTRSA NYMQIILNFGIIVMGFLSLYVWQPKHEMLLQQQREQAVLQN >CAK61250 pep:novel supercontig:GCA_000165425.1:CT868012:188357:190425:-1 gene:GSPATT00031481001 transcript:CAK61250 MLFFLISNLLAHTFAQIVYEELSEPSLSELFQTNVKQCSLQDKIDFYYIDQQQPNLNFQF KINEPHFAVEIYVDFYLLGEYTEKNVNIYLDTILIDQYQKQYKYPSPFCDDSVDSEIVTY STSYSHFQQDLNLLIQLENTTDFILGVRNIQIVPKLCHESCTQCVGPKENQCRSCAIGTQ LNRKTNQCVCPPDLPYFSIVQNQCLSKCDVSEYYDASSNKCALDKNIEQMQLFFWNTYDY TGWTIIENEIAQELQDKTYSGVIGLFSVDQTISYQFNNTNLFNSIRVRADIYIFQSLISP EIYIQVDKIKTNVKPLSNQTITGQKGYKLFHIDYIIDANANSNIKFSLKGDPNTKWGINQ VVFNEQNCSPQCQECSTKSTCQSCKSGFLLYLGHCVESCPKYSQIYNENTCADVKENYPN ADVIMRAYDDNIIANFLSKNVTTLASVKQSYFGTFYDGVRYFGGLQREPIQLYQKQFYSL PPHYQVIVQFNILTFELGNNVYPNTLELNQDNNTVLIIRSQQNQVQQISQQFAHQSNNLQ LSLLSHQEDRSIFSFGISKVNVMISRCYPLCKSCFGPNESDCKEWIYDQNSNDFKKCKNG FIFDVQQQKCVLCPLGCQKCSDQQTCNECEANFIKQGNSCYCQSGRIDDFTLECLAYS >CAK61251 pep:novel supercontig:GCA_000165425.1:CT868012:190702:194053:1 gene:GSPATT00031482001 transcript:CAK61251 MSYKQQRDVEAQFQSQLTTDDLTDLFKLNQINDGSSMQKMKQFGDDNGIARKLNTDLKKG FSDKSAIEKSKQLYGDNTPVEKEPTTLWELIMECLGDTMLQILLIAALVSTVIGMINEGV KTGWTEGATIFFAIFLIISITAGNNYLKEKQFRQLRRKLDDGKCQVIRDGKVTEIATKDI VVGDLLIFNLGDLFVVDGLMVQGSAVKIDESPMTGESDEIKKLPYFEMAQQQHNQLNVNQ DAARGHVSPFLISGTKCLDGTGQMIVLAVGQNTVSGKLKQLLIQENPPTPLQQKLEGVAS DIGKLGVLVSILTFIALMGHLGYDCQQGKFPFLSIKTLQIIVESFMIAVTIIVVAVPEGL PLAVTIALAYSVGKMKDEQNLVKNLSSCEIMGGANNICSDKTGTLTQNIMQVVALWTENQ TFKDQVHTNKNKIKKETIELMSESICYNSNAFPEKDPQTNKWIQIGNKTECALLECADNF GYNFNQFRPSDKVLRQLPFNSKRKKMSTVIFNQKSQYIRVYTKGASEIVLAQCNKYIGNN GIEQMLDPQLRKNIYDNIIQKFASDSLRTIAIAYRDLDPQSHGSNIRGQITQLTKVAQNI PEDDLDKDLVLIAIAGIKDPIRPDVPHSIKQCHESGVKVRMVTGDNILTATAIAKECGIL PTNREIGEWEVVEGKKFREFVGGLKDEQVDGKTVKVIGNKENFARVSRDMKVMARASPED KYILVTGLIAEGNVIAVTGDGTNDAPALKKADVGFAMGITGSDVAKDAADIILLDDNFSS IITAMKWGRNIYDCIRKFIQFQLTVNLVALFMSFLGAVVLKESPLNTIEMLWVNLIMDTF ASLALATEPPNITVLERQPYKRDDKIVSPTMNRTIVGGSIYQIFVLCFILFMLPQFMDLS MPEELFGQKYHKNVVQMSIFFQTFVVMQVFNSISCRQLDYKTINPFANACNNPLFWGVQT FTLIIQCVLIQYGGKFVKVSHLTLQQHLLCLGFGVGSIIFSILVKIAIPERWCQFVELFR EQEVQSGDMDTSLTSVLRRKSTNRLGNSRRSMDQQGSQVKMSAQRIQ >CAK61252 pep:novel supercontig:GCA_000165425.1:CT868012:194126:195506:1 gene:GSPATT00031483001 transcript:CAK61252 MLIQKQYRLYFRTKIKKIISYFCIHYIYFNLFALLFALFQYNRIPFAIPLGLSITQEILI CYYYSNKEIVKMLDHVLHIMAYNFVIILFYTNLQYYIFGNLFFLLSFVIRTKESCQSQVT HEYSSIIRFLKTFYRFSQLIASVCISLKLSKYVEWTWPQAFWWYWMFLSALVGTSFTLVI LLLSKIINEIFKQYQTVPQEYKSLIWALYISIISSVLSIMWIINTFNILGLDMPIVIGEV LFYSTVSSNLVLFCAITKLFWDSIIDYSVDILQSQITDIESQRELPAIQVIQQKQFEKKK AVHQIFLKKLSSAFFRLTTKEDLFQQSQKTTDLMTERGQTKKILQTTEVESKQLQENQNK LNESNQKCIICCENPPNAVLMICGHGGICYKCGLEMAQKSKECFLCRQKIVFIYEISNFN DDLMKVVTITKFNY >CAK61253 pep:novel supercontig:GCA_000165425.1:CT868012:195532:199641:-1 gene:GSPATT00031484001 transcript:CAK61253 MINQQNVNLQSNVKIQSILGFIPALVFQHILENQIKGIKQHVPEIQSFKSVIMFADICGF TNLTEQLSKIGPEGSEVIAFAINRYMELLIKSISKSGGDIFKFAGDAIIVVWPPPTGQND KGEQLKILLRQGIQSALDIQAKLNDTFILENIKLSVKIGFGVGDINILYVGGVFNRNEFL ATGQALIQAFESEHCATKGGQVIISKDVFDMVGQYYNCIKVEDRQSYFVNFNKGAKVQNI SNALLIKSNISAQSFESIKSQLIRYIPAALQQYIFVNQEKWSSELRILTIMFINLSIDLS AALTSNGLSHIQQVVETVQKSIYAFQGSLNKILMDDKGSTLIVVFGLPPMAKQDDPARAV MTAITMKSELDAINCGCKIGISTGCTFAGVVGTSGSRREYSVIGDAVNLAARLMQIACKD DQHSILLDVETSKEASYKMNISFYKSVMVKGKADQVNIYYPEINLELNKIRIHNPFFPRL LDNYLFDISNLRLIQRRIQQFQLQNESNVLLKVSGNQGSGKSYSVKQSIDLFQENFHFVQ IRLCSFLQKEQQYLYAIKIIFQHFLKQIAIKQNKVYDIQLFNEIFNQQQDQLIQLFDFQP ISQIQLFDNQFKNTNDSQETLDIQLVKEGLDLLFKKFQSITNNHKQIFVLDDGHNIDEES LKIIRHFMKHNQFILLIFIFRHDYFEQFQFQEQHQTQIQMIEEGFESIKSKLNDLSNFEH ISFNNISLNDYQVLLSDLFRINKIRCSKNQEPSNSIDKNILHYEYIKNQLIQSNTQEFFL QTLYRKTQGNPLTFITLIEKFLKCNYLVVDNKQQDVVIVNDSLLNILNLDEFIILEAPLN KFRLNSPYLDKVGCLDLLILKVASVIGDIFDVQTLNKIQPFKEVIQKENLIKILNSLEEL EIIETMELNDLNKYYRFAKPFLREIIYQRLLYSQRRELHKYYAQALQEIPSQFEIDEKLE AQRLEHTWILAEQKWHSQSNLKPRGLELSHKAKRSIIIKSIQTKLIAKTNNIKLGLLKKK SDKNVTWAERYCLMTQKELKYYYSEQDYHRQPQNALATIILSSIYQIVPLNDKEKVNQKY AFEIRTGNWFKRQKLMPRRDFYFSASSEELMEEWTIYIEFMRVKATYDEFVNNFGKIQFP IANFKEFYDMSLGTEMNNYQQKQTTNKNNNNNKSSIIQPQKRNTVLSINSFFKQSVVENK QKQKKEELKILISKFLKQSQLLLFTHMFENSIQPKNRIILGQNTKAMLSFGQFFIKTALE YSQQQQQENETIQTEESPQTRPRASLFISEQLVLEKQAESISGSIRNTKRKQITDQYYNK RSKSSELLVQLNENSNQEKQQQS >CAK61254 pep:novel supercontig:GCA_000165425.1:CT868012:199913:202814:1 gene:GSPATT00031485001 transcript:CAK61254 MNYQILFYTQIIQNIWWIIGSLVFYDPNEYFICGLSIAIIIIRIILIVLEKWVKETLLYQ ILYLLLNCLYTEVSIRMPMNNPFVNHSNSIMIIANYCYANTDQQQNIQIISKLGFPVYSI LRTLSITFIQSNYTILESCLINLIFLCLVTQDLIMSKIDGTQSATKQLNLSDQHNFNSQF MTFVQQDSRRQSFGASFKKSRTHYSQQPQEKQEVFSSIPLNNKPYTTEDNIRNQFPSMQK KKSFNKKISIVSVDKADQPLHTFFQNLINSIFSAGVIILNQNQKVTFMNNKCEKLLGQRG TDKVLDSIKKIILENSLLEEDESPLTSIPPRSQAQMNKATFERILKQHRNNQQELNIFDA FLHPQNYLNSLYQTVSPNYEFDQRSSMSESFNETFVQRKDVVTYEHIMNQEGCPLKKLRI VIIPTYMTSSQQDQLSQPSLQKVLINKATNIDAVQPIIVIKIKNITKKHKIEQMNKEKET HNSLLKSFSHELKTPLNSCQDMLLIIKDRIQDQKLQVCVEIAHVSIIFLIHQINDILDYA AMQSNTFKYRYTLFNMQELIEDIKYIYNGQLESKKIEFNIKIDDRVNNLNIRSDKQRIMQ VLINLLNNSSKFTPQGGKIVLSIKPVENHLIKIQVKDSGIGIGTEQLSTLRKVLTSSMGK SLHRSRIKKSLGLGLNISARIVEGLVQKYDGTLEINSKHKNQGTKIYFKIEYQMSFRDET LRNPMISEYTNKHNTYKQMISQNEPSQLEQGGQSLLRQYSENSKSLEQMSPSKQSKNTKS RFYKLMPASQTESSLEMVDNAVYNIELPISPDYFRYKSQTQSRTCQLCTQCIQVLIVDDV PFNQIALKALLLHYYKIQADQAYDGYQAIEMVKKQTLKHCQYYALIFMDIEMPGINGFQA TREILEITQASTEIVMCSAYDTEENFREGEEVGISEFLPKPVHQKDLERILRQFSLI >CAK61255 pep:novel supercontig:GCA_000165425.1:CT868012:203038:205856:1 gene:GSPATT00031486001 transcript:CAK61255 MNHKYIFLIQVIQNIWWIVEMQFIKKSSNYTYYFLCSLCLTTRLLASIIYLKKHQKYDRF ILLTTLANNVCYTEANINFESQNLYLNHINTLVILLNYIEAQTLIKHQQLDLLSRFAFPT YILIRLISLIFIQFDIQLCEATTLMALFLFVYFSKQDDAMHQTQSHPKLDQSLQNCSVSM HQSQPKQEKRLTQNSNKLILQPDKNSQPRNSIQINIKDSYREESAPIPTFHSKLRPGFKS QYSHLVVDRNDSQLQNFYQNLVNVLPEGVIMLNKFQQIQFISSKCEKLLECDGKERVLEY IKRCLANCDLPEDVQSITKTRCKLTQRVLEEIIRIYKQNSQELDIFDVLLNPNKYIQALF SGQNGSFEQERSSSGSIYFSDPSQLQEHTFCFEGSIDKEKCDQSKKLKFILVQTSMTLSQ QEAISQNGLTNSKKQNTNDMPQPILLILIKNTTHKNRVRELKKERLINNSLLKSFSHELR TPLNSCQYMLNLIKEVSKDERIEQYLNTAQSSIKLLIYQINDILDFAAMQSKTFSYHTTS FCIKDFIQEIHELYDQQVSYKNIKLEIQYDQSLQNQFFINDKQRIMQVLVNLLNNSCKFT KPGGEILILFRQMKGNLIEVSVRDNGIGIQSNKLEQLRKSFCKPSSQICQDSGLGFGLKI AGKIIKGLTYNKTYLDINSNTKSGTQVSFLFQNQEIMNQECLLSPQQSNITGRFEILSPA SSSHLIPKSESLMKGLHQKFESPQNESTILKEQLESPRIKDDQQSSVVIPISSYLFKDNL TQKNKKQSSILSFQINANNNCESCSQILIVDDIPFNQIAFIQTLNYFKINAESAFDGYQA VEMVKQKLTKHCKFYRLIFMDIEMPGQNGFQTSKIVRISQMPGVQSIIVMCSAYDTLENY NCAQQVGITEFLPKPVNKKELDKILKKYLFKI >CAK61256 pep:novel supercontig:GCA_000165425.1:CT868012:206072:208967:1 gene:GSPATT00031487001 transcript:CAK61256 MKLFKVLATHIILIANILQSLWWMIMALYLIQPNDYIVFYLQIVCVTMRVLQQILIARNY GLFLFVHIVSLFYYVTYIESLLLFQNDYSLGIFSNSLLIIVTYNSYLKFAVQKWSQICKL GLPFYIILRLISIIFITFSYYSLESLLIIIIVLVQYLLTTDQQIERIHVNNNQEKVKPKI NARVPELQLKIVEEKESPHYLQQSLLKSLSRASLIQTPLKSQSILMKDQQSDSKMGLLSN LTNLSKSNKNNKGSSSEKSDLQLHIFYQNLINIFPQGILILNQFQQVSYMNNKCEKLLEC QGGDQVLEKIKICVNDAKMSDNESEVSNFLQTPKQNKQINQYTLQRIVKKLQKENRKADV LDIMLYPQKYYGIIDQKAEPCSQEDSKTDQLTFQQQVFIYEWLMETESLNKINQKKLKLV IIPTFMTGQQQEYISLPSQNKCSSKLNIQISNDAEIPVLLIMIKNITSKHKYQQMKDEQI IHHSLIKSFSHELRTPLNSCQHMLSLIKNQVIEKSVLEYIDIAMCSITLLIHQINDILDY AAIQSYSFSYRINKFTINQIIQEIENLYKIQMRHKQIRFSIKVKQSLREAIISNDKQRIL QILVNLLNNAAKYTKEGGKVKLTIKQMKDNGIGIEDDKLTLIQNSLNGNLEFGARLKTQQ VTQKAGLGLNIAARLVEGLVEQNNNQLFISSIKNQGTKVQFQVQNLINASNDQFQSNLVS QLTGRFNQSGIQGTLSERKFDDRLLIKAMNQDSQFQENYVYESESSLNTPQLNDYAKQPQ ISLPISPEYFSHKFISSYKNILRTDTIQKSTSMCDKCVHVLIVDDIPFNQIALKLMLKHY QLEADQAFDGLQAIEKVKAKFSEHCSTYKLIFMDIEMPGINGFQTSKQINELTKQQSMIV ICSAYDTQENFIEGQKVGISTFLPKPVKQDELEVVLKQLFQIKRNFF >CAK61257 pep:novel supercontig:GCA_000165425.1:CT868012:209093:211985:1 gene:GSPATT00031488001 transcript:CAK61257 MNTNIILILEGMQCIWWITLCIIFQQDQYIIIIGLSSASILIKLGLLLQFRKNLNSSKLL AMLLLILVDTVIYTEINLLYYQVFNPFSHYTNTLFIATNTYIIKEIVSKQISYQLLMLFY PCYIVLRLFSIVFINFDYTILESVIIILVFVIANFAGEKSLVSAKIRLTQQLNDDEQTDR HIRDIKFSKQKQNEFSHFNEQLKDKLKLRNLSIHSNKPQSYNDLSSIVKQKESIDLDKSD SQFRFSLAKILQIIPDGIIILNKQQKLHFVNKKCVKLLQCKNEELILPKLKDCLRNQIIN MDNCTLNQQSQNNRKTEMNQQVLEEIIKKAQQNKVQVDIFDVLLNQNKYLYQLHQGTYDG EKSSIDDSRVSQNNQNQYHFNLSLESNKSLKLKIIQTHSILQNDIQIFGDQMNQPVLVLI IQDVTYKQKFQKVELKNQQFCHLLKKQLFQLRTPLNLSQQYLRIVSDVTKEQEQEVTEGL ELLKCSTSFLQYQINNILDFLSYQLNEFAYFFCRFQINDLIDEIEQVFIPYIFQKKIQFQ IRFSSSLSDRYFNSDKLRIVQVLLNILNHQCNNIIKGGEVGLTFLAKDDLTIQVIVENNS VQENRKKQFSFNQIMLKSQELDSCYGLMIHPELGFGLNLAARLASGLIEENNNVEVLSNS VDGNSISFLIQDRINKKEQDSILQKDQIQIFNKEQDYILDKEQDLMFKKQQNQILVRGQD RINEDQYDQIYEKDQDEMFQKEQTRNQSNTGGFMTRNKSQSEQQEDNFIVRKYCYQDNTN QYENSEKLVQKSEGLKSYESPNVIEDHSERIIIPQSNYFQFKSFESPRQIIINKTFSFKI STLQLHECNSTCKKILIVDDQIFNQIALKATLNRFAIQCDQAYDGYQAIQRVKEKQQTSC PFYDIIFMDIELPGLNGFETTKEIMSMGCKKSAIVIWQLNVI >CAK61258 pep:novel supercontig:GCA_000165425.1:CT868012:212070:213752:1 gene:GSPATT00031489001 transcript:CAK61258 MIIVLLCLITFCNSIEIYVNTIRPKDNPSETYTYYELPYCKPSDFEEVIESLGQSLSGDK QMTSVYKFNSKEKIEDKQVCDRNFTKMEMRKWIDAIDQEYMIEFYLADFIMHDVVGRFYD GQYYLKNRITFNLYVSNDSRLMYANITKNETDFISINSQDEKKEIGFYYTVQIKQYIETM VVHDHSVKWNLLIFKSILILVLVIIVSQVLRRSILNDYSNIPDEENEIEPQGWKAIKIES LMPPTNRIVFSALLGTGIHFLITILILLILGSFYLFETHKGSIKSAGIIIYSFGGLINGY YTGKFYKFYGGKSWLLNLFIAAALFPISAMSILFIIDVLSFLFGTTSTFSFTAVFSVGFI LTVVYLPLTLIGGVSGRLRTIDELFEKRLKKKVLISNYQFLSSGCLYGIVPFISIIMELY YILESTWSDQQFEQYTLLIFSYIQLLIIVGCLSIIQTYKQLNQGNYNWQWISFINGGQCI IYIFGFIFFYYYFVNMHGFFQFLFYFAESILACFVLWLMLGFVSYWISLKFVIYIYSQNK IQ >CAK61259 pep:novel supercontig:GCA_000165425.1:CT868012:214001:215079:1 gene:GSPATT00031490001 transcript:CAK61259 MSITVSTCQQICGSPLNQSTAKNLWTFSKSDRFGSLANPVNCGKAFYDLPTQIDKRSAGI GKGTKTDFTKVAFTTPSPQQYNITSDVDVNQKKGKGNKFGMSREKMASTGILGNLNSKTP APGTYDLGSTLSDVRYTMRQRPKTNFMVLTGKEIPGPGTYESLPAVNPVGKYPISKYNNS CATLFNPKNSRRFVKDFSTNLYAPGPGTYPVDKTGIQKDGHYFISKFHSSTMLGVFQRNP EEQDQSAKLEHQPQAVIGCHPNSGITNQETKPIEVQETWEKPNLNNDYHIKYENIRFDLS TQIIFRNDFYYIR >CAK61260 pep:novel supercontig:GCA_000165425.1:CT868012:215318:217436:1 gene:GSPATT00031491001 transcript:CAK61260 MDQQISYYQTQQFQEPNQDPHKSQRAQSNTRDLLTSPNIVYVTRTIPQQQTQPPIRLETQ QLKPSQSQYNIKVQEIVKVVEPSREELEFYKNKCSLYEKQIQELTNEVHRLKSTCQEKVT YIEDTHRVQQLETNLQQYQIELNRVNTLLRETTNESEQLKIKVSQMTQQFMAIQQNQVEY ERIKKSSQDNEQYWQNEIQRLNVLVSQSQSQLQQMQKTLIESKKYEQSYIQQQQVQNQLT TELERITALLKQKSEEYDQSKQTYIKEIEILSKRLRDSESENQDLKNREINYKQSIENQY RENQSLSDKYMNELLNKNEEISKLQSIIQTLQMTLQDTSKYQEYEIRSKLQNEEINNLNQ RIRIKQDELDKCKQQIMIYQNQLQEHQKYTDYEIKYQNLAQEFDRVNNSLMIKLQENDQL RNCIAKLQITLNDHYKVDDYENKIGLQNQEIDRLHQSLQHKTEEIDRLANENSRMSQIMR QRNEELENLKFKLQDITQLKEYQQKFALLSTEIERLTVQLRNKNEEIEKVRQQFSQFQLS EAHKLKELEQKNVVYSTEIERLSNLLKIKLQEIESNKMSIKQLQDECENQKFKLINQQEV TTYNERITQLNQEVDSWKAQFINLNREYHKQQEQLILSNAELDTFKKQKNGSFRVETYEI VKENQNSKISQYQYGTLTTRNI >CAK61261 pep:novel supercontig:GCA_000165425.1:CT868012:218009:218787:1 gene:GSPATT00031492001 transcript:CAK61261 MNRISSSDQTCQLSLKQQSKVQCPFKPKIPYDFQNTFFQAFGQVSYLRKQSQDRLKNNNL KIDNNDKQCCEKFEQISQYYESTLQSQIATMIQLNELIDQHTNLLESYLKKQKAHVDQQK SPFVFSEQKDSTQQWKQKWEQENMLNHQRDQKINELKNKIEGLKEVLVKTDSYTITLELE SWKNKYENLVNIHKETAEKLKGLEDELKQMNQTMPIDESLQSKKTTVTTNRRRLTKKVEN >CAK61262 pep:novel supercontig:GCA_000165425.1:CT868012:218878:220104:-1 gene:GSPATT00031493001 transcript:CAK61262 MRKHTSPYRNSKPGSSLGRVLFKPENQTRYNSKEKKTTNNSPIRLVLNSRHNSFEYATKQ PQMQQTILPSLSKKHFQLQYVIGIGGFGKVWKVTYKSQYYAMKEMSKALVIYKRSVASVI NELRLLQNLNHSFIVNAIAAFQDKQNIYLVLDYLQGGDLRYHLGRNRRFNEEQTKFIVCC VLVGLEYLHSRRIIHRDLKPENLVLDSKGYVRITDLGVARKLDNMQIDTSGTPGYMAPEV MCGLEHGIPADYYSLGVIAYELMLGKRPYYGQNRKEIRDAILAKQAQIKQKLEGWSLDAI DFINKLIQRKPSHRLTNVRNHPWLRDYPWDKLNNKVLQAPYVPLNNDNFDVSQIHYEDQE NQLIISQTGFLISQNLNAFDDYYYEQNLRRRSSLNRTFLIK >CAK61263 pep:novel supercontig:GCA_000165425.1:CT868012:220298:220914:1 gene:GSPATT00031494001 transcript:CAK61263 MNQYGLSEKLSEEQKRKLHELHLIQQRELGELIRRHQQEQLMIFEQWKKEEQDSHNQSKN FSIKDDKNSIFNYKPYTLSQYKLLKLQPILRNPGQGLGPTLSIEKWQQQKEKMDRMLEFA EHVKAEHRHFKQKISKSQPKEVTVRQKGLEFARNILKPIEPSPMRKKSISKNSVENDELL EYELRNQLLKEQIGKMK >CAK61264 pep:novel supercontig:GCA_000165425.1:CT868012:220942:221825:-1 gene:GSPATT00031495001 transcript:CAK61264 MSKYRTRRNQYNTDTLFQNNVGLSTNISSSTLRHTAPLISFPKANRFHTQSQFQLPTKLE LPSQLGKRYTQQGFGNKKVFQYEWQKVNAKDFPSPDRYRVGQEPGMDQLKRSFGLGWEAY SKTYLPYNKHQAPEVAKFLPGPGEYNVRQDLGQHRYQFQLKGKGKMFNDQRENGVPGPET YQPQISLIVPNRFSKISQGIGEKRSPFSSLSFTPGPGRYEQNSAFDRVSKKREFITKPGE KRPFI >CAK61265 pep:novel supercontig:GCA_000165425.1:CT868012:222040:223673:1 gene:GSPATT00031496001 transcript:CAK61265 MSQLKFVRSKSTSRSNPLRRLKQQLKQVQKPGKLYVSVGRSRQSPQPNEELPISTPRNKM DLKLLQLHQINENTVPLQQSVGWQSLLPLSHDVRIINDSYKPPNSTQYNGNWRNIDSNQH YYTQTNYCRSKQSESAKTDKLYTYQVQSTKLNSSDQLSISANDSNTTSKFNFNQIALKQS QKINQQLSSDTKQCRLYNSTHSNTNTKFKNESEISEQSNKQQKNEKFESIILDIYPTSAR MKQIKTKPIIEQNEDEELQGMKCSTQSSQKEIPKFYSEILCDGSLFLNGPKRFKDTEIQT NFTQSNNIETTKTSQLSQTCKSTTNASVQTKSKKKNLGLFQYQLSYLSPKTDKNFLENDL ISLDDFQSQIVYETQQENKNKMKNQHFQEIDINNKSQVSLQKGNIYLSNQLLLDPEDDSQ PFYLQAEPKEINSNLFDKKSNKQKSISKELAHNNSCTNLSKGKIEKSASFQSVNCYKDHL KILINQSSQNNCKIDQNQSYLVQDNKTNKQRYPLRTSQNNQSTMKQQKSKITKKK >CAK61266 pep:novel supercontig:GCA_000165425.1:CT868012:224090:227430:-1 gene:GSPATT00031497001 transcript:CAK61266 MRGNLNIDISRSSSSHFLNDIELKKLFPFTQQLHNRYLQQNRLQNIKDDLTNVQQQTITY QIKDLLIKDKTRSRNNIDDIIYQQTTPRRLRSKERTISVNKKNTQAINYHDAQNVNLHNK SIRRDIVQLAQWLELMIQQVQQTQSNLSFQEYYNKIEVIFSGSMLELQRQLQQKGFEFGQ CLKKIWDSFLINVQYVVEGLNGKANQIEQQSLDKINKLHEWYQEAMQKQLCQIQQQKEEL LQIQQMNLQMNKENQYLRKKDVRREKQIRELIYDKDHYLLEIIELKSSQINYPPDLEQCQ QKIYDFFKKQCENHIKTMEIQYKNLQVQDFYFDHTLDLVIKDKGCQVVDLVRTIDKCIST SDNQLINVATQTHKQKRQIDEEIQVKVNSTDQETQVSVYQIKRDKLIRNPYEQMILKNAY LDLDDIIDKFQQFYFPYQELLSNDPHYLNLINNEMNRQEKQINQIVDTLNIRNEIALNDL SKFKEYLRQINRETLQNYMQIWKIVLNQQSSVIDATIEKQELQVELDDIKSIFTNNMQII KQFQIKYEDDRKISEFYQQSLVRIIRYAPQFIINQIQQQANLHKVLDGVEFKEMRKKNTL VDSRISVSPQREDSSQLYSKQSPIRASQVSFQSQLQINSMKQITNNNKTLKAQKSFEIEV DMPKGLRDIDEESYRSVSEDEDQLEKDENAFSLLRFDFQTQKPQLTLEKKLRINTCPLKS AQHSTAVLKQILRKFNKSNMNGLYTIGTLLQHYTELVQRQLPNNTNTPLHVHLYEHITFR FGQSQLSDCNRYKRMIKSFLYYEHRHSTCKIVVRFLKAELDVQDFNTYLQILEICKEYPS LIFSNFIQALQEWLTQNHYTEEEMEVITNELYQSDLAYLKNNPSPTEFDLIMDQFLTIFS KFKNRTSYKYKFLFESIDTSNKGNINFQQWNFIYETLIQVNYSFSLKLFYSEADYHDNQK LMSKQRFTLVSDELQIFQSDQQERLLDHQSLDDIVVELKEKWANNKIQMKICFIKSNRYD KFIKSLFQYVDRCLLNKQNWESCCYTYKLLQKTYKVYYLDQQVQGMLNSPLQAISLKFRQ IQEKMLSF >CAK61267 pep:novel supercontig:GCA_000165425.1:CT868012:227474:233804:-1 gene:GSPATT00031498001 transcript:CAK61267 MYISLFIIQILNVALASLDCSTIKGTALSSYAYKTDGSVQFEFPISASGNVDITFPTFWS GSPSISPLISGTTTCRETTQSITCTQNNQKFTCVSLAAGSLIIKCSNVKGPHTNKPIGNF NIQFAEQSCDNIQISNFQPYGGSVVFSSNSTTGLFPNTDKLQMIWENIMLPITFSANPLI EVSILSNGEFVTTSTVKGYVSTVSIGLLEALTVSTPSLIKAQMPSAIAVKDVSIKLYMEA LKVMGNTQPIQSQIDFKDSNDVLQYQILFPSISVQNDQLTASLSIDSTEINIYTKYTFGV TIKNALNSAGFVRIALAQTLLSSNLICYCDNSVAIPVVNADYIDIGGCLTTVGTHNIAIQ NVLNPISTISTITIQYIQTMQNGFSVDKMTNFQYKTPSFTPGTLNAQYERQGSSLDIVGD YSYFTLKITPKNSIPASGTLRMEIPQEIKFVNQNTQTCNIDSNGCQITTIQDQVLVFKIQ SSLEASKQFIINSISIQIRNPFDTSQTNYFKFTSYDQFNNIIDKISNVQGYSVNTRSSFD GLVIIESDQPFKNGYSNTFQFTIKTKTPQFYPIQMTVKVGDLMINNNPLCKLQGAVIKTC TKISSNQLDVLIESTDQVLLPTTLKLTVSTIKCKDTMTKSQDFEFSTKSTNGLMSYQQGP YISNSQYGDITQTELIVDKQYYGAQNAVYQFNFALQNGLIEGTYQIHILFPFNLPSSGYS CKDTSNNKLDCSVQSNNILVITGPFDSNQLQYNVIVNGIATPQNEQQPRTFTIKTYRKID NTNYLVDSSVNGAFQFNLFCPQLNNCRTCKIITSDNLQCQTCYPSIISKYIYMKSNACVD SCGDGYYQNEQEYSCQQCPNHCQTCSPINNILICDKCFDTYKYQDSVCVDTCGETYYLPL NSNNKCEKCDSECILCSMNSSYCSKCQPNIPLYDHKCYSKGCEEGYYQTYNSSKVLVCEL CPATCISCITNDQCTECQPGQYSLSGTCRADCPSGYFINEEQMQCKSCISGCSQCPDDKT CVKCSDGFLLKLSQCVLTCGDQYYVDADQTSCLKCNSSCQTCELKDGQQLCSSCIKPYFF SNFTCIQQCQSNYYAVNQECFQCSSNCLTCNGSAQTCTSCQVQGTTPQFLDGTVCVTKCS DTYFGDVTSGKCIQCPSTCAVCTSLNNCTQCNQSTSTHYLIEGACKEECPNSYQPKGLLC ELIPQEVITNMGPNRYVPVPHVILFTFFTISVLVSKQYRNETYMPGSILGLNAPLIWSSW LTVLFLLHDYYEYNDMYYFWILVGSVVLNWLFNVAHLLLVKQKIWSDQDFMRWQASTLKN KITNYILISLSLILAYPLYKLIMSRYFGFTFFKAKMVDIKPFFSFNCLNGLYITLVNIPI IVSCALIAYYENSFNSQTFISAIDSLIVTFSNILLLIWETQKGEQFFDEFVQNYYLNESK QNIERQEISGFFQHPFDLKSQAEQKIDISDLDAQAVNQENDITKQKSHEQSQEQSCNLNG ISVILSDKNSNPVFHQESDRSQQFPQKQVVPSNLKHTVYYKTVQNNQFENPDDEPPYASP GSENFSSVKSQSSQHCQNSFNDQSQSPLKDISQIQDSEEPHENSQLSIFDEEKNKSPSKQ QKTLQLQKIQDYPPDHYNIVPTFENEYDNENDPQQINQQVEKADSFCKKNQSQLSNNQGD NNLPSNRKSSQSRRELAKSAQFANEGNRALQSHQSNSRESLSVQTNLAQQGQYTQQVSNQ DKPLTQSFVYQQKGIDQQQYIQQLQQQQQQQQQQNQQQQKYFIESFQKQSINSKSDDFNQ IHKQNIDDYPSIPSNQFKPKTKLNDLREPSHENNQDDDSDNIQLQLGIPDQQPQFDTEES KDQHRIQILMDSRAIEEEEDQEIFQDQIQIQKIKPKPYQMKVENRQKKAKREQISKEKID KEGQIKNIFDSNDSIHTMSDQEWQENQISPSEFNQLNQRFEEEQASDFQIRLPTQSKTQQ NYRQAKVKPLEFEFGDENNDEQSYSGQAQVDFTKNFQVKKESKQQQLEKVYLQKLEKNEK VGGRSNKLEFKKKQQVNKNHPKDISDAYIDDQIDVEDINF >CAK61268 pep:novel supercontig:GCA_000165425.1:CT868012:234281:234505:-1 gene:GSPATT00031499001 transcript:CAK61268 MPKKPKFNQITSVSGDMHHHSLLSPVNRSCGKRLKKVTFDDYALYLQFRATDCPSEVNIT LQKHNLQRSISIST >CAK61269 pep:novel supercontig:GCA_000165425.1:CT868012:235858:236963:1 gene:GSPATT00031500001 transcript:CAK61269 MNPFQQIPTLAQLQYCPQLQQLQQLQQLQYFHLLCALQSNAANQPNLQLPQLNPLQQISL LSQSSQRTIPIIQQPDIRTKKSQSERVLPKQKKENEILKQFTPDEILQELKQLLEYLCKE EIKVLEEDEKIKYNILTRIDDGLIESLINRYQNQKKTKEEQIKFILRKCFKFLKQKMNLN ELTMSAYEIEKVFYKEYFASSDNIEELIPFRSESSNKTMNTSYLKKIFSSEKFYEGYLSY LENFDEIWDQENNEKIQNMAKQVLKFIASGQIDKISTYKRVPWILSMKQRCYELAASLKA YHEQEEICKKVKQE >CAK61270 pep:novel supercontig:GCA_000165425.1:CT868012:236994:238789:-1 gene:GSPATT00031501001 transcript:CAK61270 MKLNLAILLILLLAVAQARRVQFLGHRQEDETGKENGEENQGNGEEGQGNGEEGQGNGEE GQGNGEEGQGNGEEGQGNGGEDSGQSGNGDEGSDNGGSCSNGGTTGGQSEPVEQQTCKGV IFDAGSSGTRVFVYTWPCRLFHYANPEIEITQQAPSVKVNPGISQFGDNIAGIKDYLQPL LDFANEHVEEEIRHRTPILLGATAGMRILDWGQQYDVMEEVRRILRESGYLFENSDWARI ISGKDEGAYLWLSVNYLKGYFANSNIDEQGKLRESYTTIDIGGASTQLADEITEQDQYYL SYDDEYGTDYHTFDTTVYNISLYSHSWLYFGQDQARIQINKFLHNLHKRSASFENPCYLK GYKDKFNDEVEVVGTGNPNDCKDLIDLYLIGFNSTCDQCNIQEAYIPKISPESKVYAAGS ADFVSKLFNDDNDYTWTQLEYFDEFCTKEFDENNKNKFYATQCFLGLYVEELFAVGYRVP SDTLIETGKINGVEPSWTLAAMFDQIDDNEPCSDSPNCNLRRHMKQWRKDSFHPSMKEHK GRAPLSKLTP >CAK61271 pep:novel supercontig:GCA_000165425.1:CT868012:239399:240304:1 gene:GSPATT00031502001 transcript:CAK61271 MEAKRIVLITGANRGLGLKLAEVLAEKYSLILTARKQDELEKTKQNLEKNFQNLEIKTHL LDVSSKESVRKLKDWLVSQHLKIDVLVNNAGVNQDPRKIIDINLIGTIDVTEQLLSTLKD DGKVILISSILGKLENQPQDLKETLLKKKTKEEIIQLSEEFILKNQEYLTVYSASKALLN AYGRYVLSGIVKPNQSIYCIHPGWIKTEMGGPQAPGTLDEGVVTSQFLIKDLPFKRDEKY HGKYINDKAQVEDY >CAK61272 pep:novel supercontig:GCA_000165425.1:CT868012:240586:240954:1 gene:GSPATT00031503001 transcript:CAK61272 MARGTPAIGKRHQKTHTFCKRCGRQTFHIQKNRCASCGYPAARLRLYSGWGEKVARRRST GTGRMRYLKSIARRAKNGFRAGTQAQPKVKNTQKK >CAK61273 pep:novel supercontig:GCA_000165425.1:CT868012:241030:241701:-1 gene:GSPATT00031504001 transcript:CAK61273 MMLYNDDDFFSNRQKKEFRINATIVISMIQITIQAVIMVIKGVSHFCILYYAGSKHTPSI KYHYHFHRLFNPIFLHGGNGHLLSNLLSQLLLCYSYENRNGTQDFLLLYFIAGIGGNIAS ALYFPTATGVGASGAIFGFLGYQLAYLIKTKQPQEKYYGILIVLCIQILLIFEPHTHIDY SAHFGGFFVGILWYQKQKALIGLLVLLGCACIFMIDVPDQRYC >CAK61274 pep:novel supercontig:GCA_000165425.1:CT868012:242228:243708:1 gene:GSPATT00031505001 transcript:CAK61274 MGGRKQGKWIELEQNSIIFDQQKQIYSFICSEGIYADGVKEGNWESTLYEQYEHQVHTHL VSKGEYKEGRKTGNWTEPIGYSSKAAMLTIIKGNYKNNQKNGHWSLEDGQKDLYPDEDYD HEGNYDEDKKINNWREIRIYQNFHVDSIIRIQDEGQYNNYGQRDEEWTSSSSGHSGKCCY QNGVLNGEAELYDCIGNFKIVLTRSKGEFNNGKKNGRWKTSINIDEKWILYEVGEYDNDI KVGLWRESQYFDQYILEQTEKDQGQILLIKEGHYGEGGKKKDEWTWRFKCLSGDYNENQI FNIIGREVHSENQIKGNMILFEKTFGRYYARFYFCDIFDGQILNGFRNGEWKFSVIQDLI QKEINSKDTDSENEQEQNNDDEEHNSNNEEEQEEHNDNNEEQEENNNDNEEQEENNNDEE NEIEQQNSGEEGDNQEDPGSQVFQIYQNGIVIPP >CAK61275 pep:novel supercontig:GCA_000165425.1:CT868012:243777:245686:-1 gene:GSPATT00031506001 transcript:CAK61275 MQQNENDDPLQNQNNQQTVLQQEQQEQQDENGKKTQAAQEQSNGDENQNEQFNNASENTN NQQQELNKEKTTIDTDQQGETLDALNEKEQQQEKQVQSESQQENKGNQQNSINDQTIENS QDAQLSNNKEVKQEKEIIQSNRANQQEQGQFKEQKQQKEDNIENQGQRNNQKKKTTKRRT TKVEVQENQQSNNPSQSNNDANQENQETDQNNQEKAELEAKQKQIEEQQKQEELLKKQEQ EQQEKLHKQQLRDKFFKSMIFPLRTQNKKGKQFNLTFEIESEKLQVKMRGCKSAQRYQKE KKPRTKSAINKELFDFFNTMNPKKHIEAMTPNTLQKRKKQQELDQMRKDCERLEEQHNQQ QITQPLPQKEQRSSIHYKQRTSSPKKKLEVYVRKRKKVKREDVNRQKQGFLIESLRFHQK NVIEQKLAAELKRQEKLEKLSVKISSQLEHLRLGNQRYKEEQQKQKRKQALEQIAKQEQK RYEMMLEQQKNERFVRELKEKAGVSSYYSAKKLALGSPTSLVKELENGQIKIEQNIDTNR KLNENQFLLKDEQKKGIKDNKSKQQDYNKQVSLLNFPKINDKKHFELVNQYISAENKEAL PEIKPKRKKAWQIEQELKQRRYKNSDQFKE >CAK61276 pep:novel supercontig:GCA_000165425.1:CT868012:245722:246897:-1 gene:GSPATT00031507001 transcript:CAK61276 MNQSNQNIVNRHGFQCKTGINYYQIGVAILYIGHYIIILFQTLDYVKIQIQIYKNQLWIL ITIFSFFNLIFWFITTKIDPTDPEIYTQYQLKEKKIKYESKLNCYCKACHAYVHASSKHC KQCNRCTELFDHHCVWLNNCIGLRNYKYFCILIVLMELYLITVIIITILLNNILSYVYMG VTIILMIPISFLLVMHIYFRCNNITTYDFVLSRRQKEQKLSSKKLQEGTSNQTNLQTNIM SRNYLQQTQLNVPTAIPKPVVTKKEIEWEQEEADVEEGDSFHAREPLPLPSQKSSARQLD SQCNSGHRRTCPNTQRGNTSAIQLNEHKPIRSLFIVKDFQQIIENQLMNSVNEGSIIQFD SKHSQKTDQIEINM >CAK61277 pep:novel supercontig:GCA_000165425.1:CT868012:247157:247318:-1 gene:GSPATT00031508001 transcript:CAK61277 MQFYLLRNVKIDCNQVALRKKLYEGGVDEQRNLFKQSIIRISKV >CAK61278 pep:novel supercontig:GCA_000165425.1:CT868012:247768:250301:1 gene:GSPATT00031509001 transcript:CAK61278 MRLNDLTESRKMLKQFKDKDVRPPRSYSDFSKSPRQLQLDTTQLYSWGLNTCGQQLKNNK NITPHYQGVTLCSAGENHTIIVNNKNEVIGFGDNQFGQLGYESNTQPKLSPIFKDQRITS VVCGAEHTFMLTAKGEVYSWGLNLKGQLGLGCFDNISQPTLVYGLLPFGNSNGKAREQQQ GHKRMKSANEGDQSTSPGLKERSSSIDNIMEQLVMMKEKIKQKNTNENLCQILLQNDEAV QQVACGALHTLILTNKNRIFSCGFGESYALGHQENQTISEFKLIQNLYHFKVEKISCGLA HSGCIIEGGKPYLWGFCKSNKEFYKQPTQIKIVDEFNNQQCVLDMKMGEMYTLFLTSKGE VYSMGDNIQGQLGNDLNSSDVPIKILGLPLISQIAAGRNHSLALSADYKQIYGWGSNIYG QLTGQPSVNGKGIILPKLLFTVPETQRIVCGNFHSILLSPSYLEIILDENDNSNKEQDKL NEEVEKYRNEQEILKQQNIQLQQKYDRMKNELLSKKKVKDQKVQTTESYIQRQIKKQQSK FDISALEISPERPIKHRIFQSSLDIDFNDIMLEKQISEGGYGVIYKAKWRETTVAVKMFK IDGMNENHIRDFLSECHAMEALRHPNIVMFLGACTKPPNLAIVLEYCQRGSLWQVIQNHD IHLTWEDRRKMALDAAKGVLYLHSFNPPILHRDLKSLNLLLDEAFRTKLADFGWTRTLSN YMTSKIGTYQWMAPEVIAGQVYTEKADVFSFGIILWEIAAREPPYRNITGLQVSLDVLNN DFRPTIPKKTPEVFTRLTKRCWDRDPEKRPSFKEIIKELEMMKFPQGV >CAK61279 pep:novel supercontig:GCA_000165425.1:CT868012:250412:250809:1 gene:GSPATT00031510001 transcript:CAK61279 MLSKGGQILRYNGGTCYAMCQDVFSWYNPSIQICWKGCDYATGRVNDPVLRQEAEDMCKR YTAEAMWTKKGELDNIEDLRIHADMFPENPRNIYRACLAGVRRQKY >CAK61280 pep:novel supercontig:GCA_000165425.1:CT868012:251028:252906:1 gene:GSPATT00031511001 transcript:CAK61280 MQMMLLYFEYTKQYQETTIDQIFKEEFRLDSEISEKEHILAKSKKNITKLKLQLSSANKE LKKIKLDASKKETVYACLYCTGKAFISIPLLEAHYQRHHIDKFEKPKQNFQETLLTVQTL LLQQQINSMINNQNQQDFMLQTMQQAQFMQQQAEDSDSSQDEDLEDEKMDDYQMRQLKYE FEQKNLVLQQQMESLQNYIAVHRPQEILDKIEQIEYRIDNLKIPEPVKVEERLPTPPPEN YSYNAGPLEDEISEIADDIYDAKDLQQEIKEDVTNDSKSDDDQKEPSVIIDNQQEAAFLQ EEKEFHHMVKSVRQKKSQITKELTDHFRNIQLDNELERKLKEVLNVNMNEIQFKSEKELE EYLTQLNGTILLSDKKQTETRIADPELEEIKKHDGPNVIQMDSDYDNDGDDTSKVQQQKD FKGRLVKKQGKTVIEQSKNVRFQQIETPPSSQSNNSEEEYDINQKVKENVKDFKQHGKSS KQEPQQLMKIQSENKIRNSQDKEQISQILPLEKKSSTQSQQQKHQQQQQQQQQQQHQQQQ QQQQLSSKRKSSFEDSEEFQTENIQTIFQTKKPAQISQFGKSQESEIPLHQNVIRSQQQK SSIIKKQLYNDSEDEF >CAK61281 pep:novel supercontig:GCA_000165425.1:CT868012:253236:255097:1 gene:GSPATT00031512001 transcript:CAK61281 MNNSTSSQQIEPKNKPLIQDHSNIFQIEKRSTSKMFWNKRVIQLSNGMLSYFKVPKQLNE LNKMQPKISVIIENILYIGPPEKAKKQNSIEITFMAKDAIFPSKVKNRSTNISVNSSYGR DLMAAQQPIVITKKKEIIIWEIAFSKPEILKEFTQIIDKVKHPEKYQQQEQSLPRQFQQS LPQQPSSQQSEIIKQKSSIQQQLDTNEKENQQREVQQIQLQLEKQRSEQQQKQEELQTQL QKEKEIHQTELRSRIEKEQYLEQENQILQKKIEEQTKQEQLLLNKEEQKKNLLRNRIKTA WNYNYLQAIELANMKDPKTIEECITNSFVLHESIGRMRDHAMDVCQTIIDELCLPNQMKT IKPTDCLNEDKYIMYSQQDNTIDFGDDFYSYDGLFIKLSFCQLKSQEIHTQQGKQEIQIY EESQLKALGNEFRYLSLLAEQIELLIQEENNYPLRVPLSCIIDYKGIRAFVLAVPPIDDS TLIHGPTFDGQFVTNNAIQQYMNQISIRLNLKQHKFFEDEMISNVIPMSLFQEVHKINKD YIELRWIENEQNINEFPPQNLSLYYLLKCGDLMPVILLENVQNTSRLRPELVRNWNQAFK F >CAK61282 pep:novel supercontig:GCA_000165425.1:CT868012:255146:256642:1 gene:GSPATT00031513001 transcript:CAK61282 MNQSKHLTVCKIQLLHDLITKFESLEFLPIDSNQLSEQLHQLGINIKYIGKICQMCQLQH IKDLCVCDMISRVCKKVLRNNVADLMKNLKYQLSQKLQSDQSNILEFSMIQELNTKDVQD IKIILELSSKLINESCLDFLNLLLGVGKESDIFWIQIIQKQIWYDYSYNLDVTSRYSIQY KGMLLYCLQQQCDISFTISPALINGMFKESFPLKEKPQFNVQSKAYQLNTSKIARKSKRR NIMNQEQLFQSIKWSLELCLIRKEGDEVFANLLCEESCENANKALCFTKPGHPSQIKPLL QIIQTNMDNLETALQYFEQILQILDSYFGSSHPYYFIIYSIFGHYLKESGYFNDTLNLYE SALQCAIRLFQSHPCIADIYSDIGQLHFYKKDYDNASVNYNKAISIYEKCNQYQSFKYAN ILLLQGQLLYNQQQNEQASGQVETAIDILYQFEYSQTDLLIEAIKLLIQICISQNNQGKT NQLNLQLQLLQMRHSIMQ >CAK61283 pep:novel supercontig:GCA_000165425.1:CT868012:256744:257529:1 gene:GSPATT00031514001 transcript:CAK61283 MRFHFDPNNANRIKQQQQDKQQKQPKIELPDSQNMAQTISVELPNENSNMVISNQIQEVH SGNDQQRLPSELNKNFYEPKETWEKLPDYPLVYQDLKVPECNQNEIYLALYEQCFNEEIA KFKEHYSSYKELIFYCEKMQTMMNQFLTKERLMKEKYCQPTQKDLPYLIKKLEYQQQIKE YEKSIEKFIKAQSKIKLILQNDIQQHVSIQMINHISYDQSSNHEIFHQRINEFLEKNQNL KEQLLERTTQHVQISINLISS >CAK61284 pep:novel supercontig:GCA_000165425.1:CT868012:257647:260143:-1 gene:GSPATT00031515001 transcript:CAK61284 MLQPHPIIFVLLITSALSSDNSDSDILEFTTTRNQLCQDIENFDITNSERQSVFDSIYSE QRQDLSNQVEKWIKNSYLISAGEYFVDLQQYTLPWLIISISTLLLSLVYMLVAIMPSSII KWIKAHTEDEFILNNRRFHKFGIVTIFILNLILIIASIITFISSNKVIDGLNYSNCYLAS SFDNLLERNDDNFTGYGILQNDFTSLTNDFIDFQSGLKKLYQSRVSLSLKSKEQEVIEFN DKLKRNQPKSPLPIKLSSNGTNTISAAESYFSKSKIIDFLLSTKDNENYLTYLAQYDLIK KEESGIYLTSQVDEIQDYFGNILLRFGSLENSSLTLRDSKLTSLFAKAKQQLSSINNQIL NYAQLLIDFHQQVTDDMNYYSKSINGLLIALMLFNFIQIVLWLGFLINKHLKIRKYIDIL WFLCNIILAGISIINFFLYSNSQLSKELCIYFDGITNNEKFFENQNIIEFPQTKQTIHSC LYGDGNIYSQLNLISRLKDIRNYINSESDVLQEIERLNSNQTLYLQKLNNNSQTISSIVD GTFIDLNFTEKEEFQKVIDEFNSFKNTENCAEVQVTRCSDQSTPKRSGDLNNSQMCWHPS YLITASSASCWDQQDLFQKLKMHYQIQAQGWIKMQLLESQFVSQNLDLNQKVYQYIQNHS DFTDVYQKTLSNLSSLLKATNCTFMKEDLNKMLDGMCVISSYQLSKISILLIIIISTLFL SVFFNCLTSLKVSQMETYEEYASTKVINFAGSSMFRASIEINQIMQNESPMNVNSTQLEF K >CAK61285 pep:novel supercontig:GCA_000165425.1:CT868012:260364:262208:1 gene:GSPATT00031516001 transcript:CAK61285 MHSPEISHLSVHNVNTALFFIRENWKSGMTQAMMNMPFILNAGNNTGGNPSAAYTSALIG GLINGFFSGSNHSIYAPTWVAAGFNYYIVQQYGYEAVPWVTIMVGLQIYILSHLKWHHLI DFMPNYVIEGYYLGLLFLIANGYIDYAFGLSDMHSNRGFQVYHDRFEMYQEFFRRGDLYY FGASIFIFFLLYFGWKINKDLPLIVLVCLTGLLVGILFPTERCLKNVYGDVSLKLSFIES GGPHFQPDMWTLLFLFVYAIKMTFYITIQNLLCAKGAEYFTGVKCDHDKEILCVSLTNIC AGIFNSIPCCASIRLIILNIRVRNMNRWSSILNGLSVLLFYGIFSRYFLQIPLYFVSGIL LYSAYMCPTWPYLEMLWRTKRRLILVKQITISIICVYYGAIESTMIGSMYAMLQFAEKMS AAASEIIVSSDEMQKNSLIKRSCIKQQDGNDMELRNDSLGIEDDFPDTQQFKGSFAIYRF NGAINYINVKNHIAQIKKLPESDYIVLSFRYVSVFDDEAIDKLSLMIQALISSQREVLFT GLNQGMIEEMRYNEYMNNFYKKHRKHFKLLGS >CAK61286 pep:novel supercontig:GCA_000165425.1:CT868012:262224:266540:-1 gene:GSPATT00031517001 transcript:CAK61286 MRPPKLSNQKKQFISSYSIKTLLLDLFNCCFDESLLIGGQVLQKLLTKLYKEQGPEDKKN VDLFNQRLQQFVSIFVDDYIESVKQNQHNYNLNYSQQINRIFAEVKIECIQYIIDHIIKH DQNFYQHKHKVWAVASLLKFAKQEQLITVNEQGIVYKNGTILNLDINVVQKWMYNIDRAI QFEAFQIFALNSKKAAKPTQTELDSVTLFVQYVIKTSYPNFKKNYLSKLKFFIERIRTSF QKDLKLLDSGKSKDTTSVQPIIKFTQQLLDILYLQLIPECCYEVSSPCLEILKMIYMYLG TPEMIEPKKGEFYNKTQFLFNYCSEQAYGNTKVEQLIIQCSSNWESSRTLAYEILLLLPS EIQFFSEQKAKELYKIATSQIGNPIIKVYESGSLLLSLLLKKYAKILYPSQNPEIEFLNS LINELQQKFNTFKQVFSNDIVQLQQTLIHGYVSTFWIILQRNNIQKLEDKEQLKLFFIKL LELLKDIIEFATQVSSENVCTWIIDDKSTQQLPYQVDCRGHFYQTEFVQNVQKILQGNTL IESLDDQTSDQGSENILVVSFFLVTRESGVFFQELAKVIDQIEDNIIPNDLLKRITYQFF HALLNIKHLGSIDRIAQGLYELCKTMGTSKNPQLPGLVNELIDKLVEAFDQNQLKNVFRR SAGLPHLVCCLLRSCQSKDKQTEKVVKILLKFAKGGQLEMRIHALNVIRKLFMEAWLRQD LDQFIPEAYMLAIDGFSYDDWSVRNSSLMLFSALASRTLGKNTQNVEQIAQKLTLIEFFN KSPQLVQYFQNKINDYNDQQLYPSLYPIALLLSRLAPMEGKFIRKVYTEAQKQDLFEQKQ LDLLLPALINCLKNKNYLGRVILSKAILPFLSFQQITSYVVQLLNELVDCKTIKKNHNNA HGILILCHQLIKDYYNIKRETLDQYEEDQIEQLKQSEKALILEIHNKRFIISEIKCPPVL SGYYQVLNIIIKNNKYEDCALFSDCYQITKSMVEEQHFNQKTFQIQQDMGHSLLRKKQIS FLVKLDHLNNSHSYLEQLIKNQVQKYISQKELLEIDDLEVLQQLYKQYNNILKKNKVVED SNIEIAKLTYQLLEVIETPYFSQCLRQCLQCLLIISKLTKLNHNEIILDKINKRFINDSG IMKNLIKLYGYVMLQKQEFLDQFLRLCELYSHSNNIDDLRIAVIKSICIAMPNLYNLNTL RLSLIYLRLLQDELPEIRNKMSKQICIHLLNNKNKNSTELYNNEYLLQNFYQFIVQKQIT KDNQEEVINILIAQLMDSEYYMIKRQNHQSKRIFQYEKSNKYISELKGRKLAYDFLVYCV NHKVLDQELVVQQFNQYEIRHQNTALMEYKLNENQIINYINNLTERDDFIYENMCRLTIF EELQAKFNSNHKQYELYHKYNLKRVEFSFQQFN >CAK61287 pep:novel supercontig:GCA_000165425.1:CT868012:266656:267808:-1 gene:GSPATT00031518001 transcript:CAK61287 MKTICVLVLVIALASSLKSTLRQTPQKLQAELQKSHYGKALLHLVELHSMAGGAVSELVD AIEELDNDLIDGLQLLDFNFQQRTNQHNALVVSLNQQINDAQIDISRSEDVIENLLVPRR EQLETRIATLEEYQEINRKNYDEEVLIREQEHEAFEAQVAELTDATVAVDDALALLQTLS NPSLAQVKKFQNSLKKIEQSIKPRSQMAPFLKALITLASNQNFSDQGIISQIVNALNEFR NAIVDSINDQTLAEAVARDQLNQIDEHIEFQRQINAVNVDLTATNNKIDDVTEFLNQRVA DLKQYSAELQLENDNYADETQIYNDTKNEFIREQQITEQALALVQSVDFSNIQV >CAK61288 pep:novel supercontig:GCA_000165425.1:CT868012:267888:269295:-1 gene:GSPATT00031519001 transcript:CAK61288 MFGQVVHKFQRNQNHLLSKYLRAGNRSNPFENTLTILEDPAHHRKLYLIGSTHASTMLAY RTQKLINEVKPDSVYVQTNQEWWNIVKNIEGVTSQYELNAYNDVLRGSYKWELETGVRNF RNTVFWARLYSWLGLMQFLKAFNSDFHPFIPGLEVKFAIEQARAQGANVVFGGLAITNDD LLSLKTEPRFDPLSVSLNFFKLLSQVRWRRERQDLAFQLAVLGGEQFSESVDKYRASWFV KLFEKLSPHQKKILVDRKDIEIFETLYKHTPGKTIVAVVNQWHTMGIEAHWRHTTKTQIN TEPINPIGDLDLEALNEDLVVNDFLRQFTSRITKSEPASTRAYITQYYKDVFEYERFRHV EFDGYSDPHQFHGGSPFEGGYQHHHPQLPADVRKQIEENWKKQYAHHHEHH >CAK61289 pep:novel supercontig:GCA_000165425.1:CT868012:270044:270677:1 gene:GSPATT00031520001 transcript:CAK61289 MGNCETSNTVIGEPQFQQIGTGAEARNFSSTYKSEYVEVHGNLAVVEEQEEGGLVLLDEP AKEQDLIKVKICCDTIQTSIGIGIVDFDIVKETDFSGNCNYGLDHGMWIVYSDKLVAASN DENLDKKSLGIEVKQGEIIECIRNGDAFIIRKAGENNKQITFKLPPNKNYRFAAYLFSGW DSKEAKVSEARIETL >CAK61290 pep:novel supercontig:GCA_000165425.1:CT868012:270714:271272:-1 gene:GSPATT00031521001 transcript:CAK61290 MKSIAILIICFAMTSCIYTPAERQYNQEALEGAYEYNKLPQPQHVSNCGDDAIQIDIFEF VGYMLQKADKASVSQLLALKKEVDDYFNSLPEEYTSCLYAEPEFVEMCTLYGLKETDRME QITDKIYEYAMAHFFVTKKEIHQGNLLWNTQHEYHEAGYLVANFAKKALVTGLEQVE >CAK61291 pep:novel supercontig:GCA_000165425.1:CT868012:271515:271803:-1 gene:GSPATT00031522001 transcript:CAK61291 MGNDIQRVQQLGDSIRQLREDMDALSKIAPQVEKMIALSSTLESTTKNINENMSKVSKTI QDVDEKVKKAKEIIDKIPGGNKLQSFF >CAK79592 pep:novel supercontig:GCA_000165425.1:CT868333:4604:4915:1 gene:GSPATT00039333001 transcript:CAK79592 MFKIDSLMLQLCYNTADLQFTTFFWMKYLLIDVEPLPFSLIQKANDLEIQLLNLIINVML FVSGFKGYEASQTLQQNSGCQFPLPCAESVWNTCNYCSLSHQF >CAK65713 pep:novel supercontig:GCA_000165425.1:CT868041:2200:5366:1 gene:GSPATT00006211001 transcript:CAK65713 MEINVVILNGKQIKIEVQIEKTTVNDIKKKVMEKSGIRFEDLVLIYNNQILKNDQTLKNS GIDKGVTIDAETADTLTKKYKQKIEEEVNKWRQKFSEQQNQKEEFQEQLTKVTNQMDQIK NQYQQQVAKGNEIEITKLKATISGLEMDKTELFQQIQYFKQEKLDLQQKVEDFLKLKEDL IGKTYEIKGLQKELEYAQNRGNQTKDDIIRELDISRQQIRRLEQQIDEVKTKNKELEVEK VQQQMKSFISSNQNEKLSDLQQQNAHLEKKLAECKSQLHGLFEQVRTLQSRRDDELRAST NRLDLASAERIQNFEMQISKLTAEANSSKKSFEESQIQLGNARKQCFENDKRIIELEKSV ESLANQLKENSQGMKEKEKLITELQIQKDELQKDLDLYKKLLQQYKNNAEKGDPKKMSEM DIENKKLVDALNAREEQRNKEYQREEQQRKKELLERQEKLKQEQSKQQDLMQKNKEQRQK TAQFLKRQMFESHGKIEVCFLIDVTGSMDPYKEQAMMCIKESMKNIKIKTNRDALWATVA YQDFEERKQLGGKYKQLNFTPNPKEIEDYLSDIPCAGGGDAAEDIRGGITQMVQNLDWSK NFKIAVLICDAPTHGKRYNGGVADRYPNEDIEDAINLLIEKNILFVAILFNKFTLPMFEE IKKIYQKAEKEELLLFADLENTEQGKIWQELVDLVSQASQRATQTNNKGTRSKQAAANKR SQTGAMEALCKSIKDPSKFDELPGVKTVLVKFGVYRVELKQDAFEGNLENIRRLDINRDY SVYEEGKWDCIRTETFFAVGQMKQVFLMKRISNKDELYVIKMPLGDTTYKSQDEAVVECR SHLISKCLMKKYINELQEARDRTDRTIKIPDVKYSDFLILKDLAQGNSYWIAERFFSGDF VKYNNNYGFISDENIDLNHLAQGYSYYTYFISNFNYMVSDVQGVGNYFTDPALNTKDGNF DLTDMGLDGQSMFMVAYGGKKHMGRKYLDLLGIPQP >CAK65714 pep:novel supercontig:GCA_000165425.1:CT868041:5394:5703:-1 gene:GSPATT00006212001 transcript:CAK65714 MHGPDISDLDLPDLTLYPSTTGYSEQKRCFKQCVKDMSISYLNIDEESCSKNCLSKYLQA QIFLSQKMTNALTQLVTQDPSIKIAFKKPYKLPEQ >CAK65715 pep:novel supercontig:GCA_000165425.1:CT868041:5740:7480:-1 gene:GSPATT00006213001 transcript:CAK65715 MEQESEEIRSAFPEYLTNTQEDGEHLDQCLQQFETLFNNYKDELNILSAIESKITMVVNQ TPMQSIIDCYENIAKDYNLQTKMFRVSKFHDQKCMPQVPILIQYLDSKIKSLPWPIIGDV NPNQLQEQMIKLYNFNYGRIALHELLLLWFPSLIKPYCNVIGNLLNTQTKLSQDEKYYFA IMGAAASGSDQLYDLLIQHFYLNEGEKNWVELGYESIDEKIKSVDTICKILVQKPWEQSL KNTLQQIIKNNKWNKRELIQALMIFIFYNNIGCFSQGNGILKEIDYTLKLQSGNTSISFT FPQEQQQQQQQIDLTQNEYIIQELKNQVLLDAKLENPSYSSDKNLQFKSKQQSVDEPQGI IDLDEEQLILKFKEKFQKYFTQGEIKDSTGYQILRFSVLINDIPQEYNFQMNSYPVLKSI YPEGAQTLHDFLLEVKQMTRNTFGKEQQITTAAFRKAIRVYAQNIYRYQHDDIDYSTQMN KLLPKELKAFIKIVSLTPNLLQKKHLEAIPLKLSPDELCHITLLSIAAKIEVQLIYLSKA LVDCM >CAK65716 pep:novel supercontig:GCA_000165425.1:CT868041:8478:8919:-1 gene:GSPATT00006214001 transcript:CAK65716 MQSANQFVFRVDKQKHLASQKLINSLQIRANTNNQSNISLSQNFGQKKITQSSISIIQKS LPQQSLQCQFHQSKKVTIDFNKGLIFDNNSRNKNAQQCQEFSTLNSKNIGKINNFNHKNS FTENVSMNQTNYKIIW >CAK65717 pep:novel supercontig:GCA_000165425.1:CT868041:9893:10288:-1 gene:GSPATT00006215001 transcript:CAK65717 MRKLEKERLQTPFVCYQFRVKKLRTFVKRTSYNSHSFINNSKVQSKEQTQYDNKKRNNSS YDFVQHINQVELNVQNKEVDSLFRPMQDRRQKTPLKMKLQQLEYGRNYQKLVDKIIELDK NPINFKKNLYI >CAK65718 pep:novel supercontig:GCA_000165425.1:CT868041:10400:11590:-1 gene:GSPATT00006216001 transcript:CAK65718 MSNLQRNQIKKILILLQNYSRELSRFRKFLKLFKLLNLIQIHYINQAQIQNGLFISFNTF IPSGKNWNQNYNIVSTQCQLDDFMVEIQSALTRNFPFFLKNYANNFNQSQQQYNSIMSQV IQPQPNNYVQFQQSQFHLQQQQPSNNPYSDINQKQESLKQSEYKLKYNQTQKKQQEEQGM ANKLIQIISSVFEFGRNYQFHKKQKRRKQKLKQNLKLILDCQFILKYQLKQLNSKNNQLI LQMILIVIIYQQLLCLHQTRVIQEVQLKDQEINASIHQLDQQLMQIEQKIETCVTTISSI GIEQY >CAK65719 pep:novel supercontig:GCA_000165425.1:CT868041:12120:14711:-1 gene:GSPATT00006217001 transcript:CAK65719 MADKEQIAMPYLNFTFQNMQNIKYSEHLTPQKHRSMEQLLKSPGIQELVKDSIKSVLNNP NHITQYRYENHERSESPMPSSQLPKLERYNNTNVLKQIHHLKQKKNANSPKSYKKSYNLH QKFKQGRFLQMFPQVDGQNTLNIQSKPIHVIKRIKLQEAFLNNSMDARAERDIIEKLKQK MESDKQNRLNEIETVKALKLIEPRKNSQMLKTSRNSKFENMSNVSGMTQSQLIPQLTGPV ILQPLQQQQQQQQQQQGTKQKKKKQKKFDGIYFIDPKYPQDVVFQEKYASMRHYFNILNL SNCIFVQPTVNIYKAYVGKGNNGVLVRQILKSRWWWSIQDEMEQCHFVWTQLKVNSIHEN MKSLNRNPNEQNTCQSASSSITTIGSMGLNKQDDQSDNEQQIKNNKIVNSQWSKFLSNNE IRQYSQILNGQGRASKLLTLEQAQNIKIKLSIYNEPIKVHNHLENNFHLGNKKALFYNMK AYYESQNINVFDNLPVTYHIKSLDGPEYKQFLDAYRERQQLINTEQEKRRNIWIIKPGEI TNRGNGIKISEDLNEIQEMHKNGSYKTFIVQLYIDRPLLYNKRKFDIRCYSLYVSVNGNQ KGYWYTEGYVRTSSKEFSMKNLTNKMIHLTNDAVQKKGEDYGKYEKGNKVSFEEFAVYIE NLGGDFTKIYQKMKQMATDQFRAVYGKMDQNKKENTFEIFGLDFMIDDTFKVLMIEANTN PSIEICCPLLSKLIPQMLDNAFKISLDPIFPPPNFYNPKKIVCDNYLENKFELVFDEVTD APLLNQSQQNYDIGLIEEESEEEEEPQ >CAK65720 pep:novel supercontig:GCA_000165425.1:CT868041:14711:16366:-1 gene:GSPATT00006218001 transcript:CAK65720 MNQQLDDSKESVKSQSLERYLQVESTKNSLSPARQVQTTRPAYESSILKLQNGKFSNSQS VFQDALNKKKCELIKKPQKSVIEMPKKPIPQPEKQVKKFDKVQQNIKQSQLKSEEVKKKK EEQKQKEQEKQKNEAVLESDEAFLETVNRLYDFEKRRTKQLQKMVDEEKNKEKQKTIARP FINQKSCILLDRKLKQMIEEDESYKQICQFKDMAVQADLLPNLPKIKDFQIINQKNINLT KSQQQIVIVMDLEFKEEAEVAEDIQYKEDQSHKVVQTKEIKYKVGDTIKKQEQGQQPAET KQFQEKETPKKQKVNNQRQKRQEIMETQEQRIKTECEYYVPIHIRQQQIIQKKQEWVKQQ QEMKRHHEEEQEKQFQEQWEKEKEKFKKNSFAEVNVEEFVNQQISWLEKRNDHILTEQIK KDKEVVQQLTFRPQIKRRGTNNYDKNDKVELRLLDYQQKKQENLMKLENKYLPTFKPNLT QKSLLSMDWASKSFTNASFNNLWS >CAK65721 pep:novel supercontig:GCA_000165425.1:CT868041:16404:19525:1 gene:GSPATT00006219001 transcript:CAK65721 MNENICKDCKMTFESSEQLANHVKRFCVNSDYGNLNKLEQKHEYVTKPAYPELKPSLKQQ DPTSLKNYGGYTLDQIKSNLKTNDDDFKRLENAVLRKREDELIDQIDKLKQDRQQMRVVS DQDEHIYSILLQEVELKKEREFQCRIEKEQIRKLINEIDSWKMSVLEEQKKQMLEKLLVE REDLKNKQLQLIEDIRLFTRGHKTFFSMEQAQRITDNRIIASAQYSEAKQQLVKLHTRLE YMDAERNRILDNVERIKLGDFGEVRRGESSKNSIRNSQQILNDQSQIRTTQNYDEIARMK DRIAQDSQRIDQLKRQQMERVDRLKTSRPQSHLSNQVIDELQQLKTDYQQAGGQDPKFLN NVNNLESFYKGAVQQPQQLNQQQFQQQQSQLQQPVQFQQQQQPVQIFQQQPMFINPQQQD IQSNKPKKKDFNDPFQQFSRKKEFFLQNNVRGVDLTREEQILMNLQAQEVDSLRMISRIP IGTEIYRFKMEQYKELSTMRAEMEKIIQEQRLQRVRRNFEKKRREKDKEFENNKWVDDQR KFIIENRLRNDFGEVRKEGHLYDPAEGLVIHWDYVLGLPRKTKLAQFVFAIFNNMQQLNQ PKLIEPYDCEIEGESSNRVLIGETNQLYEIPAHPEVLLIVEVQLPVSKKLEENIGKTQSY GWTQIDLFDQQRQLKRGKFKCPLYKGPTDATITIENIAKLEPIPNAWFHMRITYPNDEDL GQVRSIYPEQTAMEYNIPYIHLRSLFDRNYEAAIEGKEQNNKDNGEFSLNGQNYKVTEEV AVFGNKRNPTPQTKQYVLRGDTKKGYRIQIHHIQNKIANNFMRVMCAALTDQHLMLDPSG QPLAFNTTIHDPSSPNPGQNVESNPFPLIEADPQMKGISNKAARSILIEFNEEYRFFYNF QEQAMSAGKTIYLGFQVVEKNASQGGANTTMTMGDYEVIGWSYIVFVKPDGSVRTGRNTL NLYNPPLKRPPLDESNLSQSGIKLDYSLYDFEYNDEDMIKWKNQRVF >CAK65722 pep:novel supercontig:GCA_000165425.1:CT868041:20696:21893:1 gene:GSPATT00006221001 transcript:CAK65722 MSQIIQENNSQGSNQAQESDPEMKKQQYSFIKSDTQVERKGKKLTIHSFYKNQTDRYLDG LKSKKSNDTSDIMNNSFQAPNNLEQEYEQNKDQEIFIRKNDRKQSTKQFYQKNVMKMLEK FATKKENGQTVIDNNNSNQTNQNDINYSEKRDEESQIYMNNSLYQESIKLIELKKNLEMM ESKQSHLIQLTNDDILQLQNQSIKQETQQQSISVFFDSNTNSKVSAMKGNRRNQSIQKQQ PKVQFPFIEQNNQNQENTQNLIIGQNDEIKLDLSKLNAINRMEKKRRQNETQSANSKRSI RSNWTSKTFKEKAEEVAVPAVGTAIVGSLGVMLAKNVLKGKQQLLGIIPALACSAYYYYK VKKQNEVEMDFEDEEDVNSEEEQDSTRLEQ >CAK65723 pep:novel supercontig:GCA_000165425.1:CT868041:21917:23227:-1 gene:GSPATT00006222001 transcript:CAK65723 MNKQIQFKYWKENKQKISQAIVKNQRKQGIVLKSQQKRKILEDFKGLNVYDDKGLLRSDC RIKKYVDGSRYEGQLVGEKRIGRGINYYNNGDIYVGDWDGDMFNGYGHYFFVNGERYSGN FKNGCKEGQGKYYYLNGNTYDGSWVNNQKQGIGKYYYYSTEEYYDGQWNKGLKHGQGEAK YAYGEIYKGDFQNDKRNGFGIMRFNDGARVEGQWVDDQLNGIGKIFYSNGDTFDGTWLNN QKSGKGVYTMCEGKQIYKGQFENDMMNGLGYLQYESGDIYQGYFKDGKKDGEGEFYYQAS KDHYKGQFKKDFRTGYGVMHYANGDIYQGEWFEDQKQGKGKYYYSMTNDTFDGDWIRDKK HGKGIYTFGNGDVYEGEWSQDKWHGKGKYTSKDRTYMYGEFRNHKFVTPMN >CAK65724 pep:novel supercontig:GCA_000165425.1:CT868041:24394:34465:1 gene:GSPATT00006223001 transcript:CAK65724 MTNLGCSEYLNSEACLSQLTDGQNQRVQCIFHKRCKEVKKVELIQYGCSAMFNIFACLDV EKQSCSWQNNICQPLNQSKQDNSNFCNIFKMPVNPFTCSQLPYGLCMSSGYEGNFECVEI PLYQLSQLSCYELGLNEEGCLAINKLNQYCIFQDNKCTEIQLSEIKSCEDKFYQSLCLSI KNPDTKCEWVDKKCRQFEYNAEVECTTKNMVNASVCQMLAGSCYYDESKHICMNVTNKIM NSLKCDTPGLSKQACLSITNFNCSFKAGRCQELSKIDLYSYQCHMQLNKQACVNLQTPFQ YCFWTGSYCERRVVNQDYDCPLNFNDQRIRVNGNVCQAISKYKTKCKYSQQTNLCIESST TDTCDTLFINKFGCLSIIKEDVACQWKDQGCKEVKVIQHQTTCDSLRSANPIACSQVLDQ NENLGCYFDEVKQLCATLSVQLDPRATKQEVQQYLKDQELLRTIVCQNASLGLNRISCSS ITTKDVGCRWFRNQCVQVKNQKEIQKVPCLNLQYANQKACSYIIYGGEVCRYNQTIKGCT NSIIPHMQCNELGLNSFGCSKAEGKCQYINDQCQSSNFQTPGDEEQSSIVQDTPIKCGTT SPTRNACLSFMSNSLLCQWKKGSDICADVEIKENQYCLDYAGTDILVNSNVCASIICDFP DYNFYKGVQVDKNRGYCQYNKQTAQCTIKKVFCKTNCCTETENIGINAHSCSKFSTTEPG VYCYFMDLICQELSNDIVDISNSDQVKLYYNENHLPCTSMNINSCHMIEWSVGQRCYHNG IICLNINYIYIKDNRELTKEPSILNKNACFAIDGQITELNTLKYVGYDEENKRCLEILED PQYASCEQTQGNRNVCQRYNGNNYCRWNSNEIKCVTIPLDEYNDIQDCDENLNVKACTDI KNNICIFSYKTDKCIRATEFNVDCNHYEQLGSVSKQVCEQINKIGQSCQFDNYTCVDSTL ISESCDGSSANNRSCFKNTKGQCRWDPNTFQCYVNYTKIEELSCLDLINIELCKLVTKET CFWNDVTNQCEIFNTISAADFEILNNTGNHKFTEKGCLLITGDAYYYDQLSQKCSKLLTK TADCNAYQMNKYACLYYTQSHNCFYDEEEVLPNNKCKPFVQDQSKCSTQWQINIEVCMNI QQTCMFDVSTLQCQPFKYEDSMTCSKLSNYSFNLQHPNRRVCASVAQTLDQTSGGQLCSE DRENIMKCKFEKYCFWMNYSCQIFNIVYDYIESAYGQQQLLKECPDFEKYDNCFDDIMKN TTSYRLTKQSKIESTWSQLNDTCHQKIIKYTREHLYSQKSNNNITVCKIIECSFIEQIFC NINAIFETIFTINENTPDNYDTSKIDTMVSSDPLDTCQNFDCSSQSENTCQTQITQPYNQ IRQNNCIVQDTHIFNNWCSLMENKVNICDKDFDKALCLELSPHCYFDLQQGGCKQLKGNE HNIGDCSQIANNCYVSSSPKAICQNGESSIKPGDKCKTVQKPYLTCVPLTTYNQPFSCAA IKNQDVQPILCAKANDDCRYDGNQCIHEMPTQMENGLYPCDRSFSQSLCEKCGCNYTFQG QCQQFKQVPLVDPDKSNKDFLCYQVNLLETTNKQEICVSVDQACAFSESICEDATHYECN QLFENPVSSKACLKCYEHATKYDASQQKCLNIDDIPNQNSCSYLNQLACLKKTKGIKCKW DNFECKTVSIDPEDKIECSILNYNACYSKQINICWIDQITSLCVYYNENMGKCELLQTES LCLRSMYGSCQWTNEKCIQISKIPANTCDNLNQYSCLNTINIACGWSTKYEKCFKLEFKL NPLLQCNDILQSDIDRFNTYTCTEFKTQSGCLHDQYYNCREIIPTDVLSCEVSSIYNVNE YACTKLTKGQCIFVNRVCQQTTNTKLGCLTNLNEKACLKQEDACKFDNYCQPHVIKSQND INTPFFYTKKSCDEADFSVMSPDGDRYIGVSIIFNEEQQKCIDITNKNIQIKDCTYKGIN KYACLTKTSQYCEFAENQCRPIQFKAIYAQNKCVTTLNQYTCTRLNTQCKFVNYQCLPIS VKDNCITLSSEKSVVQNNICENDREAPCMFNYSTQNCEIITTPQKCEGLNRWGCLFHTQG SYCEIKNQKCIKSFGNRNCLDEINYDKCLSIITKGQMCYFDPKLGCRNIDTTKVDLNICD KNGLSTSPTTCSKSTDVPCAFNKLNKQCAQYPFVSEYQINDSISNISSFNKMTCQIFNKS KPLMWQESCQIVSSSQFLYLKCNAPLNQIACLSIKTPYQFCQYKNNQCINANLDDFKNVK CNTIENINSGAFCAINTLGTACKFDKYLFKCQEIEDKSIVCVEKDPEEKGINKKGCELDT DRCIYDENCYKKNNSGYQFCRDIKKNGQFQCKEVTGEGCMIQSGNCVKIYYNDYSKIKCE QALNRFGCVNIQTEGQYCQFVGDQCKSQDISQFKDNTCLSIININNYKFCEQAQDIACTF DLKNNLCRNVYPQEEFSCERGLNKIACLNQTNKSLMCKFLQYCYGPNNGIMNCAYKDKQR CCKEAGSIDTCLNQKIYECEWTANNCQALQNKVEECDQIVNASFLVCASIKQILCVFVPT EYRCKAQSPTTCGFSQSSQQCKRMKYLSCMWNSEDESCSYSEESTYLGCQQVSERSGNQR ACMNVEVQGQLCIYKDEQCLLFVQDEDVNNCLDTINKNACIQQTVSDCQWVEQVFSVTKS QNQNLEDIIQGECKPITNLDQTSCSANISYTACLKIIKNGEHCIWKDLRCQTISQQELYT PEELIFVNINACGLVNNGDTVGYDQEFKYCRKIINPNELSCKPQVLGINKDACLAIKTQS CIWNSIASRCQYQDIQIDTQQLNIQNLNIISCSKLDINQPYGYSLKGCQDVDINSITCTH DGLNKFACLNIKNHPCIWKQNVQDGNYYCYDYTPYTLCELIPINVNSKVCQLVDLDACYY DINNNKCENVKLQTTNCDTVGLNPLGCIKIDDCVFQEYCQRVTKQFYDCNEYPIANYKVC MNAIDSCKFNELTSGCSQAKEELCETKGLSMQGCQSSQCTWINNQCQCNSIKEYDCNQIK TQKQCDSLSHCKYDKVQNQCRWKQCEDQPASSCDGLEFHQFYCYTDTSQLCKSAEQCEDI KSPNSQNCPQLHDKLCIFNSELNQCQTLNCEILEEQQCLSYPNYCIFSQTCKFLGCNYTT QFNCACRYLPNTPQCNGVQVNDQFCVELTDSTCVSCEEISSPCICHQNHQFCQYSFTEMK CQSKICKNFIEQQNCPEQYCDFLNQNCVQKCQYIQQQSQCESNDCIWSDNQCKLNEKTGN STDIVVSSWGLIELVELSMLILNL >CAK65725 pep:novel supercontig:GCA_000165425.1:CT868041:34555:35867:1 gene:GSPATT00006224001 transcript:CAK65725 MLTQGAIDFIFRDSPVPLYVQVQTSAKYSFLQDEERVINQCYIYDGIQRRQIKIKSQYTF FLQQEQPQIQIRRLGYLNGERCIEEYCVLYQQLIPYNINQYYYLKPVIFFTPNMVNKFGF INNCRINIKGKLNKTFKQYKASNLSSFKIATILDCLSEQRATIMSFQSDWNGGVDLRLDD IGENQECVYLNILTYEYSDPDGKNEIRYRIDKETQIFPLTISWTEYNQINVQNLGRIFNV FGLIFKIRNIQGNGYQEITIVNFQKQKVKVIIQLPFAQEFQLEKNKWAGFRMVKVIQKHN SCFALSFNDESKLCKDTQVEPPIDFQNQSPPLPHVGVIELPITNTAQVLENTKNNKYFRI QTKVQQIKLINLDNEYAIKVLFENDLSAIVKKRKYIIRILGTSIQKIQANIQTVFKVIN >CAK65726 pep:novel supercontig:GCA_000165425.1:CT868041:36430:36920:1 gene:GSPATT00006225001 transcript:CAK65726 MDRKYQELVDKKAFLDNELKNLEKSIFDNETKYLEDTAFTGNVIKGWDGYLSMKNTKANA ALQRKSKSSQNDRIFSLSSKTSPFVQEVTTQNQITNPTKQVNAEVSGEDNKYHFRRTKKK KYAGFQKNDQGAHTPQSSSDEYQAEKKIKISKKI >CAK65727 pep:novel supercontig:GCA_000165425.1:CT868041:37129:39840:-1 gene:GSPATT00006226001 transcript:CAK65727 MNFENIIDLCNNTIFCPDEDCQSSHQRKYVGLCLEYLKKDLEDEESCQANKKCSDDQCTL YHFNLEKVHLLLQISPINGVWGFNLCPHNICQQGLCKFIHRKWAQGICLSNLIKICKNKN CENRHVQWDKLRREVYTQYNVAQINPENICENEQCNCPYHLEGFQNLCLKYLQGNCPNKI CLKQHCDWEHINQISCQKQQLLPCTSKIQGNSFIDFLENAKRFEIQQVCEKEKLINFQRE IHQSNIIDVIFILDLTKSMNRWLEAIKKQIAKLIYQFKEKINGYGVRIGFVGYRDTCDEE EQLVYRCLTENFEEIIKVISKQVAQGGGDQAEDIVTALEQGLNLNISRHPDSILCTFLIT DAPCHGNQYHSDEISDDQSDAVEQGHLENIILKYKEAKNLSFFTCFKIRNSTDIMFNKMQ DVFPDIMITRKSQPDDFPTLVKFAIESSITKSINRSMFPKTTQKFYSGAKFIKPKIINYQ FSEAQIKKSLFWTQFIDIVDQFQRQGVTALEINQEPEITKINVQNYSNYNKNYLDHTNTC VFKIFDALNNRFMAVKLSKEHVKKYLDNQLTEEDIKIAEELAKSRYYVAAHASQLAFLFR ELTKGFDEIPPIFYVSPILYTLDIPFYGLNLLYAETFIDSAKFQWKKYSTNGAFTSPQFY FYSAFSHFTYTETEGTLVVLDLQGSNNILTDASIQSADGMIPLLEKDPTNQKQIGIMTFL QKQHEKCSIVCQKLNLSRKNFSIANPQIDHLFWNIQDHQMISILCETCSQQRLYSLDQYK QMKEIKCDICRDLEKQPIDAICRCCSQMYHHDPNEDLLSLTVYGYCKFCKINCCLRQNRC LYCLSHCWLNLKQIGEFSQYVCKSGYQYLQVLHCQVCKSQYQFKQILSEQEYKEGIFICC NNQ >CAK65728 pep:novel supercontig:GCA_000165425.1:CT868041:40250:41703:1 gene:GSPATT00006227001 transcript:CAK65728 MIYRPLLIETCQYKYRMGSEEKSFSIKKKVDYYPFFRQKVSQSFHIEPLTADKKRSKRQL TLNPKLGNSDINIQQYIEFNIKEQPLNTQTNKRVRKLIIQDNKLLRKQNKINVFAEIATQ KHKSYHQQQIKKQVTTMLDQGQLFRKVESPQMSAKAQQKEQQKQLEFQSKTQQNNAEQQI QDKVQNYLSRKSVHLQRLSQRMDDINVSKGSIIQTSSAERDLKISSTFFKDFIGVSQQLK NKEKQDPELLKESYKYWAQAIRTKKDPLKKRKVGAVFSTSIRVKLEKMRALILDCVKKLR FMKLDPEQLMQSRTILKQPYQREGSYVFFKGVTKNDLDLVKLMLDKCRFYAFDVNENFQT ALHVCARKGYLQIAKLLFQLGTYPDVRDVSNRTPLYYALINNQKELVQLLLSHKCNPWSF PGCTYETDDPVLTKMLKIARRLDMLLLMTPYNTRQSTWINYCRVFYEF >CAK65729 pep:novel supercontig:GCA_000165425.1:CT868041:41718:43359:1 gene:GSPATT00006228001 transcript:CAK65729 MSKFNYVFKPLFIDTFEYSYMDGKTEKSFTIKTKTSGREEKSVIQKSEPIAQFNNETIKK SHKKARTSRQMKQSSKSRNYTINSNTPKNGIRQIESRIEDEFEISGRRNSFDKRCTNKPQ LNYLNFNIAIMNGQTSNLFPRTQELINRDNKIMRRKTVETSKVPTITENEIQQFERLENQ HPQDIKEKVGRMFAISKRCTTTYNPIRKKSKEREAFTRNLQFLEESFQQSVAPEKKLQAV IQQYFDKKKSFLEEKAKEEEIQLRELSEETSRIRSGQSKMRISTTFLKRFIHQVEKDKQK NNEQQIETQKSFIQSIKSSQINKRRQNAIYSGQVQSLFKKMFHLIVDCVKKMKQMKLTIK ELFQHGVIQKKPYEREGSFQFFEAVELNNYGLITFMLQKCRYYAFDINEQGQNPLHVSST LGHYAITQKLLQCGSYPDSQDLNGYSPLYYAVQSQNIQIVQVLLYYNANPWSNSKYKLES QNAEIGRLLKQSRKIHLLLLLTRHPDREQIWIDSRESLLS >CAK65730 pep:novel supercontig:GCA_000165425.1:CT868041:43510:44081:-1 gene:GSPATT00006229001 transcript:CAK65730 MQQSNQLLVTVANILDEIIKETDTLEIEQDSQSYFHANKAPSISIHNYLQRIAKYTHCSE QCFVIALIYLDRLQEKHPYLVLNSKCIHRFLLLAIVMAIKYQDDDYYKNEYYAKVGGVSV KEIFILEQEFLELMDHQLFIDEQYYFLYEKKLLEYGEIEMP >CAK65731 pep:novel supercontig:GCA_000165425.1:CT868041:44389:47728:1 gene:GSPATT00006230001 transcript:CAK65731 MDYQLLIRDYEKVKELIKAEKDSYSQLINFKCEQLSELSDTQPFLPDNTLNNQYFVNQYQ VENLYVMDIESTKYQLLNFMRDDNQITKQVFENELTYPTFQPYLIQLQKLPKQDLQRKVS WLEVIYKLIQSPKQKKSMKFQTYNELIMWQTAFIQVAKEITNNQEYLLILRKPLKELFRL CLIQILSILDRNIYRYFEQLHSLINNDLMQIFQDSQLRITIIYSLAKIVFPKLDSNDKLP DEHIKILNILISHDKINKIPNFETWLQLFEFLLFLMLSEINLKKEHIFQKNLEDKIKKEH PDIPFIDQLWYYVAVNFTQQNIKLNQSLKKLISHNVYSLINIYCVRYNKYQLMNQILEDL LITPFEDDVLRMNMCIILFNTDDYNKLHQMIQSLLASEQIKDHEFRPVIISMCVMFTGIY LGKGEEALCFARMNYNDYDKGIITLDTLLAQIGYCFYNLGKAAKYVFEKEHFYIKALEYY QKSIEQNSDNQLVQYNYGKLLAITGDIKKAFYHVDQACRLCGAEINFKILRALLYQTQHE NHTALQIISSLIIIKQSPLLYFIKASILTEMYLLNLFNEKELQYAQSISEELKQFVIKKF QKSKEVQSILQNLKKFLLGVSKKTYEFDQNDQFIFQLLPLKSMQNFDIDPLTYINYRNCI FNTIDILIKLQIFDLANQVLELVDDGNESNDNEKLFYQGLLEENKDKIDVACHYYEEVLR TDFYHVRAMSRLGLLYLKHYKESKIQRASELLIAASKFEKTYEIWQMERLGILNQLQNNN SEAQKFLNDALRLLKTSPIVSFQMIPDILFGLSIIKEIKNLFIEYKFLAIYFKLKSLIFK MIIKVGHLNGEVKLYDLADSTSAGHLMQLIQSELGCEVSLVNSGEAVAASAFLGTEGIYY VTVDAEGGKKKKKKKKNFAKPKKKKHRHRKVKLATLKLYTIDNKGVVQRSHKQCPQCPQG VYMAKHFDRHYCGTCHQTFRMDEATIKANLEAIKKQQAAKAAAAAAAAPAGGAAAGGAAG GKKGKKK >CAK65732 pep:novel supercontig:GCA_000165425.1:CT868041:47861:49141:-1 gene:GSPATT00006231001 transcript:CAK65732 MCQEAILTWFKKILNVDCGTLYIDLIDGIIVEKLLNQFNQHSFPLKAEQYSDSQFVFNYA RIKSLIENMSKFNSTLQIITPLKIVEGNDENELNKFVFNIIKVIYEWDKAKEALTYLRND QIQYILDFFNKEEFGYQQQILYKQLIEYETINNKQEQQINSLQSQISLLEADIQKLQSQL STMKEENASMKDKIEQLEMKEIGQNSNFTIDFLKQECLNYQLLISNLEKRNELQQQQIQI LIQFEQKSKDLEQELQNQQNKKIIIDELQEKNQILKDQVKVYDTYSKVQKENVNLINYNT PNHTHCEQEILKLKNIIAAQEQQIRNQFQIKQYTQETPKIPKPKVNCLSEQKLKKTCCSD DKLQKQNDMLRQIISKFEIQQQSTLLSVNKSQRGKSLNN >CAK65733 pep:novel supercontig:GCA_000165425.1:CT868041:49219:51174:1 gene:GSPATT00006232001 transcript:CAK65733 MKQILSQSFTPESAPTFFRQQSERPNLVTKQLNQKECDANELYALIVNNHMIKEIRIVKI FKEEDRESIFKNHIVNYVIQIRTDYIDYTIPKRYSEFEKLYESVNKFIQTQLPISQKKMP KFPSKKVIQTNSRKTIAKRQEMLEKFLQYLLQYLDHTLYVFLDFLKIKEQFQKGGFDQNV KMHQFSKDENALCDLLDTSPKKIQPNNQAEQMIFHYLWKLNETINERGKIFQYIIQFIIF RKMEKYYFGKIQQISPLLLKVLLIGDDERELQGIMQMLSIQTNDMLSHISCIHGFQFLRK ILEYDYNPSMIFREYSIDAEQAIKLLSEISIKQFRTMKIQSHICGQQKLCKQDSLMILHK YIQQNQLKNQMISYLLVDDEAIREYDCYVQTYLNKMNEEVKQLKDSNENLQELLPTKSNS IEHAILEQDPTLEQLVEISQMNLNWKYVQECDGHTMYHLQGQFVKTIHPIKCSMDRAIKI FSDLKQQWFHGMIQKDVLEQVNENKSVIVEYYVWEDKSTFKKMAFVSDQEIVKVDDFTYQ ITIVPNSKQLPNHYKLKCDQKGQKMSLILMKSTTLNHTEVVIYQNIMDPTFRTALTPVIL KEIPWFNNTITKLKALL >CAK65734 pep:novel supercontig:GCA_000165425.1:CT868041:51232:51657:1 gene:GSPATT00006233001 transcript:CAK65734 MGTCQSDNQYQNESQPQSEEEHVETEQMQYVVLASWKHDQQNGSNKPNHIVFGKQNADYL QSHNQFQINQGSVQNSRIAASSNQTNVSNIQNIISKPLFQTEQKQRFSAFSGYSQTDRTA GLSKDQDIQRRPINIAKRNIF >CAK65735 pep:novel supercontig:GCA_000165425.1:CT868041:52817:54431:1 gene:GSPATT00006234001 transcript:CAK65735 MKPFLPLNGNQSIPTDKIDSYISYMVYNVKTIQSQVYSVQQFMLIQAQNQIMQAQLLKLQ SGTQNQNVILQQKIRQLLEQILQKGTQISIKDSIPSSKMPILAKYIANIFIYLMKQCSSD QDEITLNYIKDPNSIVVDQKQWDLICEIISKKLVCLGANDICCTNDSQIGIISQSLLFSS IIRKVIFKKLHTQNEGGSAQQISFQTLNDLLGQESRSDEFLYKFLCEEDKNTMTRQSCQI SLEKMFQKSNQYFHQSNLIEQIIENQKQFTVNGNFEHHAEKYLNIIKIYQNCDQKQVTEQ LVNGLFLNSQQIDCKEFIFNSNQLEEKLQKILFMFVELVRIENSIIQIVLENKISEEPQK NPLEYYARLIEKIKNKCRSKEDEFCNCKRCQCIKRNRDSAREAQKRKREALEKIGPLQQE YEKINKKVKLLEFDNSKLKAALFEALRNPVIENIIKTQYQSAFSNITNMMQQESQEVYKE EDQSQS >CAK65736 pep:novel supercontig:GCA_000165425.1:CT868041:54440:65152:-1 gene:GSPATT00006235001 transcript:CAK65736 MQNLSFILLVSLRLYFCQIISTCELFSDNPLACIEKTSGYSCIYNYFESKCIVEKKTSQG CLDTLNQNACINQLTNEYGEEAQCNQEFQVKAPSRSSANQCRSHYFKLKVAQHPIINMRA QMLQMQIASGKIVSVSLWKKQQRPLTHVKNLIKILLHMQHALSYKIYYVQQVRIIKGMSS GFKGEYGCITVQEEQLETLQCTQHGLTKKACVSIQTEGEECIFIDQMCQSMVQSEINSCL MDLNRQACLAIKNPYISCKWIDNSCYAYRQSNQSNIDELREVNISVCQSIKGLYRYDREN ARCIEIDDFKDISCNTLGLSKEGCLKVRNKSCIFYQGTCQELSEQDLKTYSCNMDLNEMA CINLETEFQFCKWNGFQCARLFMNQDFDCPLQFEDQSIKVNGNVCQAISKPNVLCKYDQK TNLCVNSTPDDDCDTPFINQKGCISINNVKNKKTCKWINYQCISVQVIPYFTTCESLQYA NPQACSQVFENNSRGCYYNQIQQKCVTLQIKLQNDSQKEQQKLDLQLLNSISCENTSLGL NRVACGSITTNGVACMWKQDKCVFIDKKAMISAVPCFSLQWANARACNYVEFQKEICRYD VDELSCVTTAKDHMKCEEIGLNRYACMIAEGFCYFNEDLSLCLNDPSSNISEQLSCDKNS PSKPMCLSITKKGEYCIWQTSSGKCAKASIPFNSNCLTLGYQMNANTCTLIEMSFPDYNI DASKDKSLTLNYGYCKYNYNLNTCQIKNDNCKTKCCTDAEDIGINAHSCSRYSFQDPGVF CYFDEGRCKELTSDIANVLDPEAVKSYYNFKQFKCSQMNINSCSMIEWSTTQRCVHLGNS CFNINLKEQSSLKDLIQEPTITNKYTCFAIEAESLVDKYFTFDEVNRRCKILIPESETPY AKCEDALGNRNLCLRFTGNNYCKWNSDQLKCETIMNYSVDKIEACDANLNIKACIQNKVS PCYFSFASDKCQQAPKEVECNYFNDKGKVSEKVCKLISQKGQKCLFKDNLCATFETYENV CHVKDANQIACYNNVNGNCRWDINTLQCYENLTDISTLNCSSNLNKILCLQVVKEPCYWN ADDLQCQRFNAISDVEFKKLNSSNLYTKDACSLISGSGYVYGSDKCEVLPDTSNTKDCSE YWMNEYACLYLTKGHKCYYDVTEEYQRKKCKPFQGEYNQCSDQNSQILINIEVCMNIPMT CIFEQSSLRCVNVEIGPQEKCSKLADSIKEKKYYNKLACSSIDPKSIENFGIQQCYQNSE NAQNCLYEKYCFWDQLYYSCSIFVPVLTFFDKNNWVKKPLKVCLNQNSQPEQFGDGCSYQ IRYAGGFKFVGNQQIKLPSECAKTHNTCRSDDDCIPYQTTNIQLDYINGKMKVTFPTNNT QTCGNRCGFQGQQTNFCNQDSDCGQEYITKDNLKYTDMQYCRVLKRRSHYINVYSYYFTT NPPLYSNYTFYSENKIDDVTTITYYKVTEVTKELEYEPIKEEDCDFDDKKCYCQYTKEDC KYHNDCPKQVKDIYAYKKKCDYQDYYLVEPYCISKIREIEKCENIYSEALCLEYVKQRCY FDINQGGCIKLEDNQDKLPQCNSMSMDSCLGSKTKKVACSKGEKSAKPGDSCYTIIKEFN DCKDNLFYNSYFNCAKVKKEDAQHSLCARATDECRFSGSECVSEPNLDDTLACKCDSSYS QTLCEKCNCDFSSLGICQQTKQPLQQDANNPFSLCYRVNLLDLRDAKSKQQACASVAQAC KYIDSCQDATHITCDDMLGSMVVSLKACQKCKDQPAQYDLKRLQCLALNDDTLINKCEYL NKISCLRNARNVKCKWDQSQCKEIQIASDDIVDCSTLNYDACYQSQQNLCWFDPQLKQCT EFNPFKAQCEFVQDQQNLCNLSMAQNCVWENNKCTKKDKILPNTCKGLNKYACLNQQLVP CGWSVNQECIELINIINYANFLQSAESKSIIQNNAQTCSLISEYKNYLIDQQFKCREVTV IDLIYCNTPGINLKSCVQLSIGRCKYQNNICQTSNEVNLGCQNYLNKEACLSQKAECKFT DKCEQFLNPNLNEILYEIDYSYSDYICLTVDLQTKPTTSLVFLESKGKCIDISDQEPTIS DCQLRGMNINACLQKTKSQCEYLDNQCQSISVASQSTCSQTLNWLACTQSDAQCKFVNAR CQAFQPTDNCEQLEQLKAIVNESICVKTTDIPCKYDINIRGCSKVTTNQNCSISGLNEKS CIFYTSGQDCKYEMNQCTPYYQQAKCTDKINRDKCLNLRDRQQNCKFDQTLGCIQIISES DLKSCIKNFQTNPSTCSQATDFPCYYNFDTKMCQVFTNPSKFETPSRSQDWQSRVSFNIL TCQIYDIDQRKTFWDDGCKETTNTQLTTLECKDYLNYYACVNLKTPLQYCQYTNNICYEK DWFTYKDQQCEQIKNVNHGNFCQATTDVECRYDLDNKNCIKLGNGEIIQCQSSNLVYFGY NEKACAKSNNCIFIDNKCQTFDENNLYYCQQAQQIENCRNVLLEGCYRNSQQRCQLITSE LQKTLNCSDIENQVGCKQLQTKGQYCRYYDNKCQNENIQDYKVKNCKDIKMINNYEFCEQ TQDIGCIYDPLNNSCIESKQEQQDLTCPRGLNKLACIPLNSNTNQCQFFNYCYGFNSDIL NCINDDHQQCCQKALTIQSCLFQTKFKCQWNNNKCNYYDQQINQKLECNTIQNASRNVCY SLLDKFCIFDHFNFKCIQIIPLTCDSVQSAQQCKRIPNIPCYWNQDQCQLKQQDLSDTCQ FISQNSGNHQACIQIKRPGQMCIFNDYQCLLFQEIQNTDNCLDNINQNACLQQTNSQCYW DLDVANDQNSPHKYCKSFEKFNSSKCEQNLSYLSCLNIVTTNTYCQWINEKCEPLKIDIS NKLVLESYSNVNANVCRLITDPQPMMYDSINKMCQVVTDFNQLTCSQGLMSINMYACIKV KNELCVWDNKIKACKAIVNQKKKIANQEEIAESTCQKIGRTPTSCSLLDVQLPCGGAELG CDYVQLKTVQCNHIGLNKYACLNLTSQSCKWVLNKELNIYQCQEHTPFGLCSEQPKLVNA MLCSLVGHKDPCAYDKSSNSCKWSSEQQQGCDTAGLNKFGCAQIKNCVFYEGRCLKYSDD LDLNCQDADKAHYTVCAQITRDQCKYSELKNGCVSTDLFDSCQVMGINQLGCNAKQPICQ WIDKKCECTRLFELKRPCSQIQDFDQCQTRDECYYNDSFPTRISNELIKSQNQGTCKEKS CSDREECEGEIVYGYICYKDKQGICQPARDCQDIKNAVKSCSSYVIKDRPCVEKGYSNDN IQCETLLNCQQLDLIACQKNLEYCIYGNDQCTNKQCNNYMDETSCPKENCYWKNSKKRCL EQVSCELNSSEKECSDNGYANQKCGWFKLDDQPSFCQAGCRYLPQAFVSCRGTQITDYVC VNFKDVCIQCEEITDSCHCLQEEDFCHYDIYRNKCQSKGCQTYNSETCPASRCTFNKEIN ICIPQCQFRFNIKECGYLNDCVWDQQLRQCLVYEEKPINVEICPGCLKAENYLAIILLII SLSAII >CAK65737 pep:novel supercontig:GCA_000165425.1:CT868041:65468:75582:-1 gene:GSPATT00006236001 transcript:CAK65737 MKKLVLASYLINKDNKVNVFETVILGVFDSRCKNVSKNLLSKIGCSEKLSKYACLNINDH KCTWDSKCKEISTEQIEDLSCEEQLKMPVSSLACSTLKNLECIKLLKIKGLNTGLKGDYK CIGVLQVYYSTLRCSQLGLTELACLKIQTIGEKCIFQNQICQPVSIDQIVSCNQKLNRLA CLSIENSSLGCQWSKQICQDFLYTNQPTEIIPDVSQNVCHNLEGAYKYVKEKHQCERIPL ENNYNIPCNTLGLSKEGCLKIKIEDCIFYQGTCQELSEQDLKTYSCNMDLNEMACINLKT EFQFCKWNGFQCARLFMNQDLDCPLKFEDQPIKVNGNVCQAISKLNVLCKYDLNTNLCVN STPDDDCDTPFINQKGCISINNVKNKKTCKWTNYQCISVQVISYFTTCESLQYANPQACS QVFENNSRGCYYNQIQQKCVTLQIDDQMDNNTKLFLETISCNNPLLGLNRVICTSIKTTQ TPCRWYKDQCILVKEDEIRNVPCDSLEYANDQVCAFVEYQKQKCSYNKSQQKCVDYIKDM ETCNQAGLNQYACKFIKNNCYFENNVCQNIQIITNNLKCTSNSPSEQLCKDITTEGQFCK WDTRYGCVNLEVPPNIPCSEFKNVNINVCTQIIMSNPHYDPNNPNETPNWGVCQYNYKNK QCEALGPKTDKTGQNTINDNYCQIENHETTKCCTDVIGINSHACSLYSSKDPGTYCYFKD GKCRELTKNEVDITDPEQVKNYFNELRLPCPSLSKNSCHMIDWSESQRCFYDGKICIHFD QQHYNNLSIVIQEGHITNEFLCLSLQAKSSDKVKYFTYDAEKRRCQILTQTSFKTCEDVN GNRNACLRFTEDHYCKWDTDKLKCITIHEDELYDIKSCDLNTNIKACNNNKYQACYFNYD SDVCMKAPTDIRCGDLEEINEIVCKSSKKEPCEYSQDIANKDKKKCYILTTIVTGCTSDL IVNSKGCYKNFQGDCRWNQEALTCYQNQDEISSLGCQDNLNKVLCLKVTKEACVWNHANI QCERFQQVNHQQFLSYNSENLYNQEACLLINSEGYYHDQNKNLCVWIKTEDHLKCSDYKM NKYACLYLTRGFKCYFKDGKCLEFVDNQNICDAGIDINIEVCMNIPKKCYFDINSLKCVN AIMDELTTCQSLFISQFDKPDDSYPDGISKMNENKKESRNYNKLACSSINQNNLQEFGEE QCHLQSERSQQCNYQNKCFWDINAYNCKVFLSLESVFIKNHVREFQKQIYVLKNTSQLEW VDDQNQNCSQQVINNNGVIRDQIIESRCFYTNEICSKDTDCPQFYNYTENYVNYNSLEAL NNFINNQTIYDDRCIKYCSPINTDCNQGELKFSKRDLTIIKVCKNMTFYEKQPICYLIKK KIPKCENIYSKAICLEDVQEKCYFDLNQGGCIQFKDNEHKLPSCSAIQGECKGSFSQKAI CQIIEVGQPQNCYSLPAIKYCSNLNIYKAQQYCLSISQQDVQPSLCAQALDKCRFDGTKC VSTLQGPCNCDQSYSKELCGLCNCTFQNNKCINRNNCVCNKNSTEDHCKSCNCTFKDNIC VSTFQDPCICDRSYSKELCELCKCNFEYLGYCQKQRQPPQLREDKTNQHYLCQEANLFGD QNIQEICGSVEQACRFKQNTCEDATHLKCEDLINFTVSEFACLRCKDEPMKYITEQKKCE KVKEGEQFDKCQNLNYLACIRNTSIQCYWIDKNCKELIGSQEPECSLRNYYGCIKETTEQ MNLCWFNPESKLCEKYSPLKGKCLLYKNKSTCMLSMVESCKWNGQICQTESNPQKCDGLN KYGCLNFQQLPCVWSDNLLRCELAQFSNNQVNCTQFLENQSHVSHMNAQTCTQIEGDQSC ILGNNHKCRQILEPQFYGCETQGLNQKACLSKTKDACAFINKKCTSFLNTNQGCQTYLNE VACLNYDNMCQYGLKGCENAQLEKLQYSSEQYQREQKLKNSSQYCKLKDSTQNFFQCLIY VKNLGICIDITERDANVPNCGSDGINKYACLSQTLGSCQYLNSKCQEIKNEYITSCKDTF NWVACLSNKNLNCKFMDYKCQPILQGDSCQSLYGARVNPISCAQTSDIPCKYNSNTQGCI EVIINNERCSTLGLNEKACVFNTSKANCKFSSKECKMDFEKAKCTDKLNKNVCLGLKDQY CYFHEIEGCKEIDSNILNTSNCSSETEVTAITCSLATDKPCFYDQTKKICKIFVDSNFEW ENHRSFNKLTCQQIESINTYWQGGCLQATNEILTQLQCDDQLNKGSCLKVKTQSQLCQYR DKKCETYSQGYEAICEMITDINNGIICSLNTSKPCQYDAISFQCKKVLNENSSDLNCSER EKQFYNKEACELNENCIFMDQKCQQKIQNNLYYCQQAQQIENCRNVLLEGCYRNSQQRCQ LITSELQKTLNCSDIENQVGCKQLQTKGQYCRYYDNKCQNENIQEYKVKNCKDIKMINNY VFCEQTQDIGCIYDPLNNSCIESKQEQQDLTCPRGLNKLACIPLNSNTNQCQFFNYCYGF NSDILNCNNDDHQQCCQKALTIQSCLFQTKFKCQWNNNNKCTYYDQQINQKLECNTIQNA SRNVCYSLLDNFCIFDHFNFKCIQIIPLTCDSVQSAQQCKRIPNIPCYWNQDQCQLKQQD LSDTCQFISQNSGNHQACIQIKRPGQMCIFNDYQCLLFQEIQNTDNCLHNINQNACLQQT NSQCYWDLDVSSSEYNYVGICKKFDQQQQSKFDCQSNLSFQSCLAITKVNSYCWWKKGIC QKIQDEDLYFEKLPTLLYVNRQTCHLFADAKFIYDEVMYKCINNQTQSKLCTWNVEKQEC IDPISKYLRKNVYEINQKQQIASASQSSTMAEDGPCGIIDEVCDYIDVKVAKCDHKGLNK YACLNITTQPCIWTKNKNNDIEHCELMIPEGNCEEQNQYLGVNALLCSMVQEFDPCSYDS QNKKCKKPDKNLTNCNVEGINVYGCVQMKYCYFQKGTCKLFDPNLNLSCQDVQYANELVC AQIKNGGCKLNLVDIGCIQSSILDTCSTQGININGCNSIEQCQWKNEQCLHKIYLEKQKV CSENLDEQSCNSSGKCYFEQSIFNEDEYTCKERHCNRMNKCNYELYKGKICFLNLNGKCM EATSCEEIKSSSIDCSQFYFNNLQCVSDGNSGCTQFQNCENLSRIQCAKYSKQCALFSSC VTKLCHHIKDQYECINYDCTWIHKQCINQIRCSEIEQEKDCNKNQYKGQQCSWNKVQGEN SEREFCSSDGCSYLSKNIECHGTQVGSTVCILTKDLFCLSCEQIVEIHDCLEKVGYCSFD TENNKCISQTCENFNQQNCQTNRCFFSEKYQVDYHNKLLDLCSTMLVLILKDLVLIIRFM YLG >CAK65738 pep:novel supercontig:GCA_000165425.1:CT868041:76049:76763:-1 gene:GSPATT00006237001 transcript:CAK65738 MNIQWKIVLINGCEPNYQENICQEQIDCSDNFEEIQCNNWNYTSKPCHWVVHNNKQFCTQ YKCSSVQQSQSCSGTRIGSEFCVEISDSVCLSCEEISDSCDCLQQSKYCSYDIVKDKCIS KSCESYLAQEECPPIYCTFMNEKCQISCEFIYKEELCQQIDKCEWIEAKKKCQIECSNIQ EEQQCSQVQLCFWDQYKQNCQIKQNINIVVEIYIYGEIIAGLIILSLIFV >CAK65739 pep:novel supercontig:GCA_000165425.1:CT868041:76919:86244:-1 gene:GSPATT00006238001 transcript:CAK65739 MSVQKQKCSWNNKCELLKESTIKNTLLCDYHDIAVTPYTCQQLKSGLCKISCNQGMNSGL EGDYRCVEVRIDQLSTITCNQPGLNDQACLSIITEDQYCVFLDDQCKSIEPKQVFSCNQL NKNACISAMKHNQQQLLCEWIDSSCRIYKQNEFKCQTKNEVNCLVCASSQYLCMFDEKQK KCKSIEMHEINGLGCNTPGLSKKACLKITSQNCSFQNGICQQLNQDDLTYYKCNMDLNEK ACVNLKTNSQFCLWNGNNCQELFINQNIDCPLYVKNSSIKMNGNVCQAISKPNVLCKYDH INNVCIKSSINDQCNTPFLNQFGCVSILNSKETCQWTVNGCQFVVIVQQLTTCESLGYAN PNSCSQIYENDNIGCYFDKNKQQCTSILVNSDDKLIKQLLNTIKCDTFSLGLNKVMCGSI TTEQTPCRWFQEQCVYLSKRSDIADVPCLHLSYSNYQACALASFQNEPCRYLENLKGCVN NIQKFTKCYTKGLNKFGCELSVDKCYYDKVQCIKAQEIDIINDNSNNQQANSSIIKIIYN SSQLCNTKFITKIECSSIITKGQLCAWSFTTNSCTQISVDNKYCQEFGYLKTIVNPNVCA SINLETPEYCSFDFIQNSCKLYNEPCTTKCCTDKKLIGINANSCSRFSSKNLGDYCYFYD LKCQELTLQQVDISDSNLVKQFYNDNKLPCTSMNINSCHMIEWSTSQLCYYNGDACLNLK FSKIKNLMILTQYPIILNEYSCLAIEAMLTIYNKQKYFSYDMNIKRCKLLTITSQFPFAE CEDAYGNKNLCTRYTGNNFCKWDLANLKCTTISQDEIGEIQTCNNNLNIKTCMESKKLNC FFSYDEDLCIQAPSDVDCDYFNQKGLVSDSVCKYISGPSQLCEYSEQDKTCIKSETLFDS CDVSARNANNRVCYNNTNGNCRWDTSALKCYENQTEIGELKCSDQLNKILCVQVVKEACM WDDVKYQCQVFIPKTSQEFENSNNNVNHLYNQKACLLISGAGYFYNKETKKCMKMDETIN NLSCDQYQLNQYACLYLTRGTPCFYDQNDQLCKIFEDEQSQCNTSNLINIEVCMKIPVAC VFNESTLQCQPFNVEQTMTCTQLFNYSKNKIHHNKISCASISPNLTEVFEEKECSQEKEN FQKCRFEKYCYWKEYTCQVYKLLVDYFETGRSKAQESSTCPNVEEVNTCPTEVFQANSNL YQRNKPNIIVNSSWAQKNYSCRQQILFTIYEYTFTSIQNPSETCRVQICAYSQQEFCFSE DPFEIVETYIDFSNDQVNTAAQVSLENLRDTSLNFCDTQFEPPYDQILSDNCTQQDNYAF TPWCMLIKNISRVCHQSFSQALCQQLDKVGCFFDINQGGCNQLKNNEHKIPNCASISNNC FISQSSNAICQNGPLIKQPGPSCLSIQEDQQTCESASNQLSSFSCNEISESNAQPILCAL AIDQCRFDGIKCTNILPIPCNCDKSYSKVLCEQCGCDYIFLGYCQKKSSMLYPQLNQDCS NKYYLCYEVNTFTRANKQKACGLVDQACKFTDKCEDATHSTCKELIGLTVSQQACARCTG LAMQYNSIDQKCYPIAQNISQCENLNKEACLSFSTNIYCQWQKSLSLKCNQKKYEFTCKT ISAISTTDQIECSKLNYESCNRDQMNLCWFNPESKLCEKYSPLKGKCLLYKNKSTCMLSM VESCKWNVQICQTESNPQQCNGLNKYGCLNFQLSPCVWSDNLLRCELAQFSNNQMNCTQF LENQSDVSHMNAQTCTQIEGDQSCILGNNHKCRQILEPQFYDCETQGLNKNACLSKTKNS CAFINNKCISFSNTNQGCQTYLNEVACLNQDAICKFNQGFCSDFKFTSVFDILQTRFAPY SIKVCYVFDDQIGLIYSVIQKRCVVFDNLKIKLTDCSQFVEQFCEYKENKCQYINPSDID LCLNTLNSFACTQQNNVLCKFQNNQCSTFNETSDFNCALQSFKYLTNPKICYADKKNPCS FNSKTKQCELLEEKSKQQLTCSQFNRKACIFNSQELVCQFNEIEKMCESSFGYSICTDSI NKNKCLAIITKGQYCQFNEIDGCQQLDKSIDIKICQNPIQTNPYTCSQSSDVPCLQDKRK KLCAEYKPFDKINNIDDQSIFQSYTLSNINSFNKMTCEQFNQEILMKDSQAIIRDVLIFW KESCIQIQSVQLFYLTCDQNLNRNACISIKTQFQYCQYKNKKCINVDLKDFINTPCESIQ NINSGAICAMTTDVACEFDPYQYSCKSIKFDSSSIQQIGCVEKNPEQKGYNKLACILDQS NCVFNGQCYKISKVNIQFCEDAINIIQCQQVQIEGCVFQLNKCMKIQVSDYYLLTCESAI NKIGCINIQKEGQYCQYVDNQCLFRDLMDIRYMECLEIKNINHYSFCEFPRDVGCIYDFK TQSCQIVPFTEDIICQRGINRIACLTQTIQQLNCQFIDYCYDPNYGIQKCTNPNKSLCCR EAGSIENCLNQYLYQCQWINNQCQSYSVSQDSICDDIQNSSLLACISITDKFCIYDSINH KCNSIIPTSCDQLQSPNQCNRMITLPCIWNEQDQYCMYLESRDVKDGLISMRSSASMNCE DITIQNGSQRACMNIVKQGQMCIFQDNQCNAFVQDQTQNNCLNNINVNSCLQQKVSDCYW EVKLFKVKKTLEGTESEQNYGSCVKFDKFDNYDKQVLNDCDSKLSYTSCLKIIREGVFCR WQNNQCQLIDDNEVIIFQPSYLKEVNQNACGLVNNGDIVKYDQNMNFCIQIQDHSSVTCI TEGLNKDACLNIKFQNCYWDITRRKCRLGKPALDNKQNSCQFKNLSSYLCSQLKLDQPCG FIKDGCDFVDLDQIICNHEGLNQYACLHVKNYPCIWTKKNDEKYQCEDYSYYLPCDQIPS NVNPKVCSIIREGACYYNEQNFKCEIPNKNQTSCELNGLNIIGCVQIENCYYDQKCQHLN KHNYLCEEFPIANQLICKNAIDSCKYYEFQYGCQVAQNEGCSDESLSDQGCLNQPNCVLQ ADGCKCRKFIETYDCTQITDIDKCKLQNHCIVQYQQNIINLTGANKKSVRIIFMINVMVN LYQSQFCYWSHSNQCLPAQNCEDILQPFL >CAK65740 pep:novel supercontig:GCA_000165425.1:CT868041:87719:91947:-1 gene:GSPATT00006239001 transcript:CAK65740 MDGEMPCQYDGKNKTCSVDITEQITCKGFNLQACRFYTPNLLCEFDQNEKKCQSSFGYSK CTDYVNKQKCLAIITNGQFCEFDDNKGCNSITNLDLIKKCDPSRQTNPITCSQSTDIPCF YNKASQTCIEYSPYKYIDNLDNIINNSNDRSYLNYVISNIYSLNEKACELFNFILFKQDS GKLSKRIVKLQWFKGACVEIKEIQQINRLSCDNTLNLYGCVLIKTKFQYCHFKDNKCEGV DYRTYSNQKCTSIQLINNGAFCAQTTDVKCQYDSQSFSCIEVPENATIECDDNDPNQKGY NQKACESNPNCIFKESCFKKTEISQNRYCYDAIDLKDCLNVKLEGCKINNGKCVRITNQD YKEIKCDQAQNQIGCTNIQTVGQNCQYLENKCIEQKLIQMRTKKCKEINNVNNLEFCQQP KDTECAYDFQDQSCKEVSDLYNLTCSQGINRKACLKLINKDLKNCSYLDYCFGPNQIDEQ CGSQNEACRRANSIETCLFQSYCKCQWQNNTCQSSSINATSVECDDLEYASVAVCNQIEN CNLSKDPIDAKKFICKTIQPTTCEEMQSRNQCSRMISLPCIWNEMEQYCMYLEIQEAQFG QMSSSSMNCEDITIQNGSQRACMNIVKQGQMCIFQDNQCNAFVQDQTQNNCLNNINVNSC LQQKVSDCYWEVKLFKVKKTLEGTESEQNYGSCVKFDKFDNYDKQVLNDCDSKLSYTSCL KIIREGVFCRWQNNQCQLIDDNEVIIFQPSSLKEVNHNACGLVNNGDIVKYDQNMNFCIQ IQDHSSVTCVTEGLNKDACLNIKFQNCYWDITRRKCRLGKPALDNKQNSCQFKNLSSYLC SQLKLDQPCGFIKDGCDFVDLDQITCNHEGLNQYACLHVKNYPCIWTKQNDEKYQCEDYS YYLPCDQIPSNVNSKVCSIIREGACYYNEQNFKCEIPNKNQTSCELNGLNIIGCVQIENC YYDQKCQHLNKNNYLCEEFPIANQFICKNAIDSCKYYEFQYGCQVAQNEGCSDESLSDQG CLNQPNCVLQADGCKCRKFIETYDCTQITDIDKCKLQNHCIVQYQQISQIQLCTLKKCQD YFSDQCDGKSILKSICYWSHSNQCLPAQNCEDILQPFYECSKYKFNNKPCQENKNFGKCE EFNCQNFGQEQCLEYQQFCTFNKTCQIKQCSDYLMENCIINGCEWNKKLSVCYEQIDCSN FVERVQCNGQYYNSKPCHWVINNSLQICTQYKCSSLQQSQSCSGTRIGSEYCVEISDSLC LSCEEISDSCDCLQQSKYCSYDIVKDKCISKSCESYLTQEECPSNFCTFVKQQCQTSCEF LQMEDQCQQIKQCEWVEKYNQCQKQCSYIQEEQKCLLVYLCSWDQDEQKCQKKKQDDLII REIQSLGEIITSLIILNLIFV >CAK65741 pep:novel supercontig:GCA_000165425.1:CT868041:92060:97411:-1 gene:GSPATT00006240001 transcript:CAK65741 MFDEKQKKCKSIEMHEINGLGCNTPGLSKKACLKITSQNCSFQNGICQQLNQDDLTYYKC NMDLNEKACVNLKTNSQFCLWNGNNCQELFINQNIDCPLYVKNSSIKMNGNVCQAISKPN VLCKYDHINNVCIKSQINDQCNTPFLNQFGCVSILNSKETCQWTVNGCQFVVIVQQLTTC ESLGYANPNSCSQVYENDNIGCYFDKNKKQCKSIELNSNDQLIKDFLKTIKCDNVSLGIN KIICGSIITEQTPCRWFQDQCVYFSKRSDIANIPCLHLQNSNYQACALASFQNEPCRYSQ KINGCTNTMEQNNSSCDLLGLNKAGCELIKPSMCQFDRYKCISRIYEDPEKEDSPESKNN PYNFLKCTTPQLPKSDCALIITNEQLCQWSQMLATCTEISIQYNTRCIQYMGKNVIVNPN VCASILTDQSDYCGYDKDKNNCKIFSEGCTTPCCTEIDLIGINAHSCSKFTSQKGQEEGN QYTYCYFYKSICTEVQSDIVNTSDEKEVALYFNNLELPCTSMNFKGCHMISWSKSQHCYY DGFVCTNLNVSSIKNLLDLTSDKFILNEYACLSIEAKITNTNKEKYFGYDAENKRCKLVP LDTFKECQSAYGNKNVCTGLTQEVYCIWDSDELQCVDIKVEELREFTSCNSDLNGEACIN IDSVGKNSKLPCFFSFDTDFCQEAPEQVECNYFVGRGKISQSVCKVINKQGQICEYSEQD KTCIKSETLFDSCDVSARNANNRVCYNNTNGNCRWDTSALKCYENQTEIGELKCNDQLNK ILCVQVVKEACMWDDVKYQCQVFIPKTSQEFENSNNNVNHLYNQKACLLISGAGYFYNKE TKKCIKMDETINNLSCDQYQLNQYACLYLTRGTPCFYDQNDQLCKIFEDEQSQCNTSNLI NIEVCMKIPVACVFNESTLQCQPFNVEQTMTCTQLFNYSKNKIHHNKISCASISPNLIQT IDEKDCSQEKQHTQKCKFEKYCLWDQWTYSCQVYKLLVDYLETGRSKAQERITCPDTENE EIGCQQDLNKYKSELFVPSRLIQLRSQMNWVNQTCFNHTQLYTRMYIYNQVEDHSQDCKM TICTKSEQIICAENILNFQITDTINKLDEKRDDGTETKEIHVISTFNDDKVTKVCPNQFN YPYDQLYSKTCNRDVYAILSPFCQLIYNKIRTCDSSFSKALCLELNSESCYYDIKQGGCR YLQNNEHKLPHCASISNNCFTSQSSNAICQNGPLIKQPGPSCLSIQEDQQTCESASNQLS SFSCNEISESNAQPILCALAIDQCRFYGIKCTNTLPIPCNCDKSYSKVLCEQCGCDYMFL GYCQKKSSVLYPQLNQDCSNKYYLCYEVNTFTRANKQKACGLVDQACKFTDKCEDATHST CKELIGLTVSQQACVRCTGLAMQYNSIDQKCYPIAQNISQCENLNKEACLSFSTNIYCQW QKSLSLKCNQKKYEFTCKTISAISTTDQIDCSTLNQVACYKEQMNLCWFNPESKLCEKYS PLKGKCLFYKNKSTCMQSMVESCKWNGQICQTESNAQQCDGLNKYGCLNFQQLPCVWSDN LLRCELAQFSNNQMNCTQFLENQSHVSHMNAQTCTQIKSDKSCILGNNHKCREILEPQFY DCGTQGLNKNACISKTKNSCAFINNKCIFFSNINEGCQTYLNELACLNQDAICTFNQGLC FEFKFTSVNEVTQNKFSPFSKNVCRIFDDQIGLIYSEIQKLCLMVSNLKKKLTDCTQFGI NKYACINQVENYCQYQGSLMQIYKSIIYQGLLKIFKLICLQLG >CAK65742 pep:novel supercontig:GCA_000165425.1:CT868041:97476:98076:-1 gene:GSPATT00006241001 transcript:CAK65742 MFIVRKYHSEIGAFFEVCQTIDFFEAQNLGCSDRINKFACMSLQKQKCTWNNKCELLREN TIKNNQQCNYHEIAVTPYTCQQLKSGLCKISFNQGMNSGLEGDYRCVEVRIDQLSTITCN QPGLNDQACLSIITEDQYCVFLDDQCKSIEPKQVFSCNQLNKNACISIMLNSQQLLCEWI DSFMQNIQLK >CAK65743 pep:novel supercontig:GCA_000165425.1:CT868041:99259:101241:1 gene:GSPATT00006242001 transcript:CAK65743 MADNSKQNWGDLSSDEENEKPQADSHNPKTQNQQGGNKQRNNQSQQGSSTRQQKQRQEQT QGEDHQNPENERNDIDTNNPDHSNQQGNYNQRSNQNKKNYGDNKDRRYKGDGNQRGNRDH QKNYKNRDYNYNQEDRQDRGDRQDRGDRQDRGDRQDRGDRQDRGDRQDRGDRQDKRKNYD RDNTGFIEQKKEQLNNYNGDSINILLHYKIDENQLKDVLKDIQMKNFNQGEDQTRFDVDK ENAQKLLEVFKLQIELEGRIHKLYMRIGSDNHEKNNYGNRGGYQKPHYQQRQQNNDDQNQ FGNRNRDRDRDRDAKFQNKRNNFEPPKITRNQSSKVEENLQQTEQQQQPQNDAQQNEQRD INQQEQNQKIERADEKPTNQEENNQQNVQKEQNQPRNYYKGHDNQNRGQKYNYKQHNNHY DREQNNQNQDQTDQGRDEQNQFRNNNNNYNNKYRGNKDRQYDRNQNDNKDQEDQNNTEDR DNQRGGYRNYQNNRGNYRNNNNRNNRNDDKNNEIDNNDKDNFNRNNNDGNNNRNYNRNNN DQDNNNNRNYNKDHYRNNNKNYNNNRDNRGYEPKQQQGDEEGQKKQNFRGNTQNELKEFH FNPHFDKQKKEPQKAAIKSANMFDILK >CAK65744 pep:novel supercontig:GCA_000165425.1:CT868041:101324:102417:-1 gene:GSPATT00006243001 transcript:CAK65744 MSFDKLMLNRPNYTAKKILSPLFASARPLELVLTPTLNKTENKDRPSNFIDTYRKNLHQK HDSNKSQSINIKKQRVQDAYNSLSDFNDKKQIIASLKTLNSADERIQLIDKILERKDEMM VAQLKLKAVKLEPIFNVIRRKLRKQRLGSATPNQSKKGTVIMRQKTSEDLAKTNTDQTRD NKEKQDHSLQQQETMIEDQIIEKLQAKFTAGVAFSWLANRHDTKQGSSTFLQILVQLDPD PTERQYLRRSTALQYGKNTMNRLKQQVSKKVSENSDELIRLGNYKQEPKKEKNDLSKIKA KVDSGLIKPQHLALPNVNIIKRQDTLAYVTSERKEISTLGEIQALRSDSKIRFQKL >CAK65745 pep:novel supercontig:GCA_000165425.1:CT868041:102450:103103:1 gene:GSPATT00006244001 transcript:CAK65745 MSQQSLSQFSQHSQQQQQNQQPAQKVGSSIEDITQDLEELFESLKIEKQGQQVDQIEKST LLALLLYLNIDKSEAQFFNYVVRTLDQMQQPTLTKDQFKTLFLDPQINTENLKSEEFAQI FSVFDSQKKGSFSAEDFLRLYKETSEYLNLNENQKIQVEARIKKDFEIISPEHKEITPIE FFKIINNQG >CAK65746 pep:novel supercontig:GCA_000165425.1:CT868041:103144:106333:1 gene:GSPATT00006245001 transcript:CAK65746 MDQDQEIKMKIRSHSVEREKTESQSQFTDEIEDDKIVIIGVCCMAKKSQSKEMQEILNRI NPEFFKIEIFSEQMILEDPIESWPIVETLISFYSDGFPLNKAIAYVDLRKPFIINDLKKQ QLLWDRERVYTLLKKNKVPVPKHYFVFKNPNKYIIDDYNRKEIEKKCKPRKNDQEIIEEE DNVDSVISFLQNEDSSQHLKSVQTAKQLSELLLPPRQDTMEDKNKNKMKQGMRSYDARSL SAVIDIKKDNKDLQITKIEECDDYLLINGQKLVKPFVEKPFDAEDHNIYIYYNSRDGGGC KKLFRKVGNQSSIFDPAQNSIRNDNENYIYEVFLPTNGFDIKVYTVGEFYAHAEARKSPV LDGKVVRSQNGKEMRYPVCLTMEEKMMAIKIVNIFGQNICGFDLLRSNNKSYVCDVNGWS FVKGNAKYYQDCATILQNMILAKLRPTLLQKQISDINLVKGFYKNSFRPSSKDIDSKEQN SELRSVVAVFRHGDRTPKQKMKMRTSNVLFLSFFDEVVDPSKEIKLKHPKQLLKLLNLTR ECIAKTSCRDNIIKLLQMKSVLELGGHFEGINRKVQIKPLKTQKIDKNGSIVDFPVEVLL ILKWGGELTQLGEEHAARLGKIFRHDMYPTEKDGLLRLHSTYRHDLKTFSSDEGRCQYTA AAFLKGLLGYEGEVTPILATMVQKNEVAQDLLDCNNLEIEEEAEIKNILQKMLTSDEDML TQIYQHFPDCIMTSTQHDLISKFKSPKSMLIQLHTYIKQLTKNIRQIQNKIIRHHIHLDK NYYITPSDMEQGAQTMFESENLTLFFKRWYKLEYDFLQKDKFNISKIPDIYDSVKYDMLH NQDKLQFYENSKEFYCLAELLSHFMVPFEYGITTKQKLSIAKRVVGPLCNKIKQDLLWWN KPESKNQQQEEDYWKFRQTDESDLNSPWRHVRTRLYFTSASHLYSLFNILYYGLGHYLIE DEFKQKQLQQILMLQYLSNIVIKLYEDLSSEKGDPNRFRIELSVSDGIQMSFPIDKNMPS KSDNIHINQSLRLDQLEDFLNQIIDCAFGDDVQSQ >CAK65747 pep:novel supercontig:GCA_000165425.1:CT868041:106393:107689:1 gene:GSPATT00006246001 transcript:CAK65747 MGYFKNRPPFQKRVANSSLLSQSDEILLDDLLFLISCFENNHSEFITKAPSYYIEQYFTL TSEQEFANSYIIRNKVLLTCHLMLHEFQLGIDFADHFLNQKFNALLNKKSPPLVKTNTQK EEWLSSNIQLSYFQYLQKLAVNIQVFHACKVQQYPLFQEGQKFIDIQTKLLWLFKISNLV NSGLGQMEILKQALQMVPDDMLLKEIVLVYWSDIIVFYNFLSKEILQLLDYYRHLATHIC LQFYELYLQLFQIRNSISDLYKYRKHFDRDNVIKQPKWFDVNKPVHKQIEEFMLKQKLNM GNHTDRGALKPQISSRGSKSQIAFDTRIDLSKLPRKDNTIKTHRAGRQGDSEGDDEDFEQ LKQILQNQNIQTKLTMKKQQQQQKQDSQVSFQKQSQEEGTDVPKGD >CAK65748 pep:novel supercontig:GCA_000165425.1:CT868041:107802:109272:-1 gene:GSPATT00006247001 transcript:CAK65748 MGDAFEEIFAFSSVKKNIFLTRLQEIQAQENTNHMDVENDDDFIYLGDGFNKQWPDFTHS QYSYPRKIAIKYEYDINQLKELFCSTFEKKRESITQRIQKLEADYKQWERLNQREPEPNI CFGRILKIEVPTDQTQSDTQSTQKLLKSDKSFFLDFKDDQKPKSLNLQSIENEGAYLYPG KIVGVVISQSTDQELEVRRFLSIYPDDQAIQEEPSNFKNYQAQQRSDSIVMVIAAGPFEK EDKFGYKGLFALVEKIKKKQISCDILMLLGPILDIKNQENLDKYNYEYEEFFEIILQAIM EEIPRVQVIVVNSTKEITSFHPVPQPPINIKVEQQKKKVQVDNLMFVGNPCILQVEDMTI GIINEEVVSEINSASEKIGVQKLNKIEAALKQIIEQRSFVPINPTKFPCDLTKQEFLDFD QCPDVIITPSHFTQSAKLIDQTVFINPQFFQPAQQYAILTFNGNNELEVIPKKLRVDFGK L >CAK65749 pep:novel supercontig:GCA_000165425.1:CT868041:109455:110328:1 gene:GSPATT00006248001 transcript:CAK65749 MQKTSLVNFLHNGSNFHPFGDKPEKLNSTEGRFVPFIFERNQVGKLANAELYHLNSNQKR IKQTTSEQTHSLIADTIIKSKLHTEQDSPKYDPVTKYMTLIPTHHQIDDLQKTIDIKSYT LNHTSVERGQQMRKQPKGLNHKHQLIGRSTKTLTSQIPAIGIYDPLPLNRHLPNIQIKSK SIQKLPEVWERNTQVTTPKINIKNSESEMMFAKQIAQINREIDSQMKRNPNQKFSQLQFD IHENMNLEEAEQMRNRMKQYFQSVKDSLAKIKNLINVKR >CAK65750 pep:novel supercontig:GCA_000165425.1:CT868041:110361:111712:1 gene:GSPATT00006249001 transcript:CAK65750 MSSAKVPNLSRIKSNLEKKFIDVYGNNPQNQEILDYIIRELKGETAQSEKKTKSSQQIYS EDKAVQSIPQTAPQLTEKIIDKTKEPSNKNSKESQKLSSHHGRSVYEIDSLKWGQYAKEQ DFKQKQEDEEKQKKLAQQQEDLRKQLCEIKLRKLKEKEDEKNSDIRFAEQQKQQIKKQEE LSRQNKELKAQQKKEELQQVNTYLDNLKKMERKKQKIRIAEEQQEIAQVLRQMHLEDEIN KKKKLEKQLEMQKVLKQYDEDQEKQKKAIEKEKEEDRKLMKQNSEIIKKRDEQREKEKND RLNKIEGIANRFQQTVDRELQERIKEEERKCQKHMKAQEEKERKEEERKKKSLERKTKEM TDELKRQKQQREDVKKREIEEDKQLAKEIKDKILRDEKLSLIKKEKYNEKILETNQIIKD QMVIEEKRKKKKNI >CAK65751 pep:novel supercontig:GCA_000165425.1:CT868041:112258:114242:-1 gene:GSPATT00006250001 transcript:CAK65751 MLPSGSDSQQRSLENPDKQTMRVDSTKRNDKMIEVPRKKLNMRLYTQSEQKFQDIVNSKL VTISIIALVGAYAILIFIIVALEELLDETQFNNVSSILSWIELGILIVFIIEIFVGLYAW GIKKYYKDKWLILDTLIILLSLFFVIFELADQKTSNVIKVIQAVFRFLRIFLLIRKAQTF RRLATISTISTPAEKIVRFLAELKEVLEDENMKLDIDYCIDKIGNNQLYQMGKLDEDNAE AMAWLNQSQQGRTEVKRILTNDQQQQQQKKVIANFQKLNIPKRLLDILYKQHDDLYIDPF ECDKLSGGEGLVNLMLFFFENYNLFDVFLIKPKVVRGYFEEVMKGYLDNPYHNKVHAQDV AQTCNFLLSKCKFIEIGQLDEQDIACVLIAGAIHDYGHPGLTNAFLINSRNELALTYNDQ SVLEMYHPSQCFKIAWGNQKVNIFENLQFHKYRRIRESIILMVLSTDMAHHASELAITNS RVAAPDFEPNGKDKQRLMDLIVHSSDVSNPTKSFEIYKQWTEKVLSEFWLQGDKERDLGL PISYLCNRYTTNTAEAQVGFIDYVVKPTFACVLGFLPAYEPFFQNLDKNKAKWQELIEFY KQQLASIQPK >CAK65752 pep:novel supercontig:GCA_000165425.1:CT868041:114437:115381:1 gene:GSPATT00006251001 transcript:CAK65752 MKLSPICQTLMHSKSNPLSPVIQNMKKHSIHSIENEKKENHNQLELRINQLVEENKKKMN NQEAKLWNKKFKSINQKEQNMNSFWLKKCLIFDFKITKNPNNRPNNYIKNQQTYKLSSKI PLLNQQIKQKKFRRGRLDTLSQIQQDYNNCKNQNNKFYKKQEDDDQKQSYLKAITDLERT IQSLQNQLQEKEDSLRLANEDINQWKIKFSKVQIQQKQVVNDKEKDQKIQMLIDEIERLN DLLGQRNDEIYTLKRLAEKTAQLISISQRAQRSASGQKYK >CAK65753 pep:novel supercontig:GCA_000165425.1:CT868041:115471:115871:1 gene:GSPATT00006252001 transcript:CAK65753 MKPEQENESTDELSQLREEKARRRQKKEYELQLMGKCIVWLIYAYVAGLIITTINYILHI KLEKEFQYEGIIEDNCQDLKILKNINDQIFPLLDELTVINYSLSLKVQKVFQNLSSKFGE RLSFWNW >CAK65754 pep:novel supercontig:GCA_000165425.1:CT868041:116037:116876:1 gene:GSPATT00006253001 transcript:CAK65754 MQFQNKSFIEPSEWIWHVEDIENDKGVYVDLKLNPEAYTGYQGQHIWDVIYRENCYQGIL LQLNLNNRFFGLNVQREKSIKQVSFQVYMHQLSTQLSEFYVDLKTNRTYPNYSSKNQKSF LFIFCICFVAIILATPGIQQYDINSLSFEDDLRSKQLLNQILSLSNSQCSRPFDEQQFFK QITFEQKKDYQRYIHNISRIMDCVECQKCKVFGKMQTYGLGTALKILFSESPSEFSGRLK RNELVALINTFWKGIKFCEFY >CAK65755 pep:novel supercontig:GCA_000165425.1:CT868041:116881:117072:1 gene:GSPATT00006254001 transcript:CAK65755 MYDRRTKYHFNLILTIGDYWGCDNIVYECDALFVQGNGQENIKNVFGNAYRRQSQGCWFQ EEA >CAK65756 pep:novel supercontig:GCA_000165425.1:CT868041:117073:117596:-1 gene:GSPATT00006255001 transcript:CAK65756 MVQVKRQDRRKVYEYLLLEGVIVIKKDMALPLHAETGVKNLEVWMLLRSLRDKKLVDLVF SWQYYYYYLKAEGVKYVRDKLGIVEDVIPATFKKADKKFEDDVPERRGPRGNKPFGRGGN RGPRRAEEQTETAQQ >CAK65757 pep:novel supercontig:GCA_000165425.1:CT868041:118668:120641:1 gene:GSPATT00006256001 transcript:CAK65757 MDDNNNQDGEQIIIFEEKITKVNGEVAIKRYQRGKFLGKGGFAKCYEATNLENKKVMAAK IIAKSSLTRNRARQKLVSEIKIHKSLQNSNVVQFEHVFEDHENVYILLELCCNQTLNELI KRRKRLTEIEVQCYVAQMINALKYLHNNNVIHRDLKLGNLFLNKNMELKLGDFGLATKLE FEGEKKRTICGTPNYIAPEVLDGKVGHSFEVDVWSLGVIIYAMLIGKPPFETPDVKSTYK KIKMNQYSFPDQVQISDNAKQLIQKILVLEPNKRPSLDEIMAHSFMNSGGTIPKVLPLST LACPPSIQYNKQFQQPSRASETAQSNPKMATVRPNISSERQDQFGATSGLNTGYNGGYNS SQRPSSQKPQDFKSSVSTKSLNQFYTSGTIQNPQSSKQKNEIFVRRWVDYSSKYGLGYLL SNGATGVFFNDSTKIILDHRTQEFEYLERKGTDKQDAIEKFSINSYPKELQKKVTLLQHF KSYLDADSKTITSSDTNDYDPFQSVYVKKWMKTRHAIMFRLSNKIVQVNFTDKTEIILSS ENKLVTYVNKLGERSHYPLATALDSQNQEMAKRLKYTKEILTHMLNGNTNVDIKNPE >CAK65758 pep:novel supercontig:GCA_000165425.1:CT868041:120672:122208:1 gene:GSPATT00006257001 transcript:CAK65758 MESRSSSEDKKEKKRKRSRSEKKDKKKIKKKSKERKKSEKKKSNKKSEKKKKKDKEREKE KEKDKEKEKDKEKEKDKDNNKADAQKQGEEVETKEQRIARLKQERRKRSRSNSAVKHYQK QILANNPVAKSNALGYDKQDCFWDGFTWVPKTNLHDKVREDKEKVMSLTSRMRRIQICNI PTGLTNRDLYAELSRFMNRNYLNDVGNAKPILYCHLNEKDRTCTLELSSKKSSYWMSHCK ILRLGDSLYGQSVNQSQLVQQAHNMAQAQAAAYLALKSLGYARGQREEDEILAQAGIPSR IIKVGNFLNVAMAINLNKNEWNEMREDLIEGFSQCGHIEDDFFVKPYQAGLGAEAGSLFL VYTTIEDAQRTVISMYGRTYNQRALKIIFINEQTYIRSYIPLKLKQQPEIEEPQINQEND NYDNEPFEDQEDYLDQMDNGVLENNNNKDKDNKDNKDN >CAK65759 pep:novel supercontig:GCA_000165425.1:CT868041:122264:125434:-1 gene:GSPATT00006258001 transcript:CAK65759 MQQEADYNQDEKQVYLLRQQMICYKQVQRNNQIENEKQMFPYPKEQWEVEREKIFQNSIR YFHDKIDKNEELKSIFRDRYPKLKLDLNQDDTTIFSDRMQGYVEKRKQDIEQELKKTHQN DPKYLTLKIELLFINSKEFYLKMKEAIINPLLQEENQTVLQSRMLERLLLDRNYFKRDKP SRRPENKLSDKFELSMIKHEQARRKKIKQKEFMQAIFAHQIEFMEFHRKKYKHARKRSVQ FKVVLEQKDQKQQQIDKQMRMKDIKQGDMVTYIQKLEKLDEAKKERVVSILRQTDQFLKD IGAKVKIQKGEEKNEEDEVVDNNNASNNLGYELNQANKVYYNITHRIKEVVTKQPMLLEG GQLKQYQVQGLDWLVSLYNNSLNGILADEMGLGKTIQTISLLCYLIETKKNFGPFFIIVP LSTLSNWANEFEKWAPSIKKVIYKGSPQVRKEISKQMRTTIWNICLTTYEYVLKDRLALA KYEWKYIIVDEGHRMKNSRSKFAMILGQQYQSERRLLLTGTPLQNNIAELWALLNFLLPK VFSSCDDFEKWFQMPLSKMGVNEKDCQLDEEEQLLIINRLHQVLRPFLLRRVKKDVEKEL PRKTEYVIKIKLSAWQKKIYDQINQRGVMTFDQQSGKSGSQALQNLMMQLRKICNHPYLF MLNLDMNRITDEIWRSSGKFELLDRIIPKLLYFKHRLLIFSQMTQLMDIMEAYFEYRGWR YLRLDGSTKSEDRETRIKLFNQENSIYNIFLLSTRAGGLGLNLQSADTVVLFDSDWNPMM DLQAQDRAYRIGQKNEVRVLRLITATQIEGNILSKAEHKMGLDAIIIQAGLYNQRSTDQE RRERLQDFFRQKNKVDLFEAEDIPDDTQINEWIARSEEEFETFNELDRQRYEEEKLIYKN FNQNRDDQYFNYRLIQDDEVPEWITSKQNEVQEVKEYGRGQRERKKNVVYFDSESDFLQD GKELDANDLDLKIDDQIDIEQQQNDVFQEDEEIPREDKKLKKLRFIDIADNQEIVDELAE PIIKSKRKLN >CAK65760 pep:novel supercontig:GCA_000165425.1:CT868041:125848:127516:-1 gene:GSPATT00006259001 transcript:CAK65760 MSTVTNESKKKETIDKALIQQQREQLKQTVLERFIKDFGKNNKNKIQIITQIVNDYFTKT RVTDVTLKSLKQQVQQAIQNAGSTTQSQAEKSIQESQVTQQQQAQGAPQQKPPSSQSRKS NQQQQQLQQYNQDGHSEISSKAPKSVYMMEGDEDDEWATLVKFDTELYKKEKELENVRKQ EFKKKIKNELDRQLNEKQNKKHEEVQDEDAYVKLHHYQLNVYDQREKEKQDNLKGKIFNE KLQRDKQVRDEQQRKKQEQKKEKELDSLLVKKIREELELEQREQLNRRNKERERFLRMMK ENEEYRKKALEDAKLEKEAETQMQQQYINLQNQLEEQRDLERKQREEKMKKVMGMFAEGV VRDQKELIRQEDEKMLRNIIAQNEREKIEEDKKKVKQLDQRQQLRSFLNSQIEEKKRRQE EEEELNKRQAEIWKQDLDNYNDHEKKKFDYIKEVNLRHADILKSQIEEKQGKIKKKTNKM NTAELLQNKDKLKVIAQEVPDLGEKVKKIEI >CAK65761 pep:novel supercontig:GCA_000165425.1:CT868041:127812:129239:1 gene:GSPATT00006260001 transcript:CAK65761 MEQFDSFNPVSIRNILVKIENIGFISPNKQISLYSNVLMMCHDLIVQFIKSKQQSLICFF IEFTFQKYQSKSKTYEIFNSYLNSLEQHNTKLSAIFLYLFQTDQCRNNALLYQKCNQTMR DFTKIKKEQQLDDVTLKFNDIITISQLIFDDITVDQIIHLLTDEVMKNSQTLNPLLEISA SHLIQLLILYKQASANSRPFSTPKRSPKKDQKSQSPKLQGFYRWGSPFFSQNEFYKNPLN QRLLEDLEQQAKKYPKTRLLNSQYNYYSPLTPNKTRSDFESKDSVVSDFIKIKSNDNSKK QSPSVIYHTESSFSEKKQDPIKQSGCLYNYEVPQTQQELLKIIQMYSIQYFDPSIELPQP LDVKISYEHLIDLYTELEQEVTFRKTTYRAKQYFASIDPRYLQINKNEKIEAISQVEGWI LGKNEFGHIGCCADSYIQKL >CAK65762 pep:novel supercontig:GCA_000165425.1:CT868041:129309:130647:-1 gene:GSPATT00006261001 transcript:CAK65762 MHQQPRLSPVSSSPLHQNQIITCNTINQVKNKQTDNNATNRQHPIPNSHKPKIKSVYVSF ISLVWDPQQQLKERDAIIKQQLITKGVKSQLQSDGQSKSSSIQISQTNQNTCSNANLLQC TSYIDEALKSQREEFLKLIEQQNKQIEILHQQQQIIMHQERKKEQDYETQLCQIKNQLKQ QINSKCNEIFNKIQKQQQSDFKHVEAEIAKLTQQINQFSNLTKVQLSSSGKENQQQEHQD KLEYNNNSIINSDLYIKKFSYESINQKTNDLKLPEQISILLNSNNQSNSEIQEIEILQSE LTLLNASNQIRKDLNHENMPSSLKTIITKRKDYQSFEKQDSPFKNLNEQDMLDSLDDIVI QDSGQQQSNKKEFNLLKSQGMSVIQEDEDDDEELIYQIDENGFILTQDGHPLIDEKGKRI QLTKQEMQFYKVQTLN >CAK65763 pep:novel supercontig:GCA_000165425.1:CT868041:130746:131635:1 gene:GSPATT00006262001 transcript:CAK65763 MTLTQDKSSRQYPRLLASEGSENQKVKFQKIEMLDKFLRKVETSFVLKSLHKTNSEIHSF RLIKIQDAPFRQRRKSECDGVVSEKIQNIDMKYPKTTIEQHQFLDRVKDLRRRAIKGRMK TKFQKSFKKIDTTKPSQFNPQHQHTMSTRDSIFGSLQDIKVYRTFAKDRPYYQRPLEKKG SDLMSDSPSTPSFHIRRVTQKLGFASKQQQFVLLQLNKYNPKIFEQLKKDSILKILSETK ETQPQTPAPPQTASTIQHKFQPYFCHHKTMNLKKSCIASSSQRLKTVF >CAK65764 pep:novel supercontig:GCA_000165425.1:CT868041:132243:133377:-1 gene:GSPATT00006263001 transcript:CAK65764 MYFSNKACSFSNAETNTDQNMQFDSKLHVEQMSKMNNTKIRLYCQLAEYEGKLYLSSQQS RPNFNELIFDPSSLGRYKNFVGASSKIANYIKMLDPKIRKEFIVEQKHDDYLKQSSSTKK ECERIKALIRQHNAIYTLNLKGVAGIEYSKQITSKKRSPCKLTSISTSRQSESALQEHPK EVDLNRIHATQRGRKVKVKPLKYKQYSELIFNDSPRSVPLQPVRRSQLHQLIVN >CAK65765 pep:novel supercontig:GCA_000165425.1:CT868041:133456:137144:-1 gene:GSPATT00006264001 transcript:CAK65765 MQNSQSDLGQLDPWSLYLPYDNRYELQGCCFKTRPNWRDMKDRTIITNIQDHELPDNTIR TCKYTLWTFLPLNLMEQFSKMANIYFLIVGYLETIDLVSITDGQPVIWFPLFVVIAISAF KDFLEDHKRQISDQDENNRIALVLTPYGLVEKKWQQILVGDIIRIEQGEYFPADVIVIKT SQKGTCFIETKNLDGETNLKVKKQHKGLQFTRNLNDHLLQKEHILVHYDKPNPYLYKFNG TITMPPDHHSDGDQSRDSIINYDAAHRKVYQLDEVNFILRGCSLRNTHWIYGLVVYTGFD TKIMLNSTKARPKSSTLESQMNFFIILVFFIQLVICLFSAQYSVFWQLDNFMDIPYLELD ENDLQTNIVLRTMERWGTWLLIYTNFVPISLLVTLEMVKYLQGMMIENDKQCKSQNNITE VQTSNLNEELGNVKYMFTDKTGTITKNLMEFKNISIFGKSYGNVCNRSQILNSDDLIHMP QVTNVDFRDKQLFNDLSQNDDHSRRIVEYFMHLTLCHTVLVEYEQGQIKYNASSPDELAL LMGAKFCGFEYIGSDDGMQIVKYKDQSIKYKLLQVLEFSSARKRMSVIVQDQNDQIMLLC KGADSMIIHLLDKSNRQNQELLSITEQHLEQYAEKGLRTLLLAQKNLTQSQYDEWISKYM QAGLQTVNRDELLLHLQDQIENNLVLIGGTGIEDKLQDDVGNTMQKILNAGIKIWVLTGD KLETAINISYACNLLNDSQQKIVIQADDQFEAQFKINQGLELLKSQFQLHPIALIISGDS LINLDEKYLIKLIELAKQCHTVIACRVSPKQKQELVQLVKDNIYNIVTMAVGDGANDVNM ITAANIGIGIKGVEGNQAARAADYSIGEFRILQQLLLYHGRECYRRNQVLVGYNFYKNLL IVLPHFWFSFYNGFSPLNLYDPWLYQFYNMFYTSLPIMAYAILDQQYSSKFLLQNPQLYQ TNNKVTLLTFFFWFCSGGMQSAIVIYSVFPSMEQTSIDKEGRILFLSSVGMAVFCYAIII VNLKVFVFSYMNSIGSVLLIFGSIFVYLLTYMVLSQFTDKLEVSHTFIHLFSNIQFYFIL SGILVLTFVSDLALSRWSIFSEQQLFEIQKVRDIQEPASSQKSRFGSTPPSSLIPPDKFE NLFQDDDVYEDTSALLPIRPIKNRKYTGYAFAQQEKKLDEALRNAEN >CAK65766 pep:novel supercontig:GCA_000165425.1:CT868041:137186:138823:1 gene:GSPATT00006265001 transcript:CAK65766 MMQLLSNKCGVLNQYWIHILTKFIMRVGINLHQKTLQHTKCPFLKTFNINVTSEQALAKY TKFCPYLHFKEMKSEPKKCPIDHSQFYSNQFKGVIQQIKDEGRYREFKSLLRTNGEFPRA INKTPSGDQTITLWCSNDYLGMSQNPMVTQATKNAIDLTGIGAGGTRNIGGTSIYHVELE RELADLHRKDSALVMNSGYVANMATLDTLGKVLKDVTFLSDAKNHASLIEGMRATKRDRV IFKHNDYKDLEEKLKQLDIQQNKVIVFESVYSMNGTVAPISQFINLAKKYNALTLIDEVH AVGMYGDRGAGVTEKLGLQKEIDIVTGTLGKAFGCSGGYVSANAEIVDAVRSTASNFIFS TSMSPIIAAACLESVKYLKTHPEIRDRHQYVASLIKAKLKSKGIPALNSASHIVPVFIGD PVLCKEASEKLLNEYNIYIQPINYPTVPRGQEILRISPTPLHTEEMIDELVDSIECVFKS LNLRMESQYTAEQRAIFQ >CAK65767 pep:novel supercontig:GCA_000165425.1:CT868041:138864:140115:1 gene:GSPATT00006266001 transcript:CAK65767 MWRRAIQNCLKIAFIGSSITFTSSFVFSQEQLYAWGWNNFGQLGIGNSISQYSPQLVPIQ NVLFVACKSSVSAAIDKSGKLYTWGRSKFGLLGNGQVENINIPTLVECLKHLDFKYVACG NYHMAAITRDGQLYTWGNQDHGKLGHSFDNLAKLPSREKYEYQKNLGSAKLPELVKLNFK VHQVSLGDQFTLILSDDGNVYSVGLNKKGILGYETKNAEELSFKQISNLKNIIQIDSGTD FSVALDSDGNMFAWGSNYFGQLGTPSPSVIQTPQQIKGLPKIKQFSCGEQFIGALSADGV LYTWGFGGDGQLGTPSKQDIPTPHKISFDHNIDKVSCGQAHVGIISNNKLYMHGRGKEGQ LGRGSETESPNSSRYSPLLVLENVLKIACGGSHSFAIVNQK >CAK65768 pep:novel supercontig:GCA_000165425.1:CT868041:140227:140933:-1 gene:GSPATT00006267001 transcript:CAK65768 MATGYYDWLVKVIVLGDSGVGKTNILTQYCDQKFSQNYMATIGVDFKIKTITVEDKKIKL QIWDTAGQERFRNITQTYYKGAFGIIFVYSIVDRNSFNNVETWIKSITENTTDEVCSILV GNKMDSQDRRVQSSEGQALATKYKMPFIETSALENKNIQNIFDILGLNLKKRLENETLKP QATGESQQTQFKINQTPQPQPAPSSCNC >CAK65769 pep:novel supercontig:GCA_000165425.1:CT868041:141439:141774:1 gene:GSPATT00006268001 transcript:CAK65769 MNSGLNNQNSLLYQLTPAQLEEYQQQLRQHPTSYSRYYITSYAQIIYLAINYLFQIIAIS HPTFEQQHEIITISEHFSIVIGQSYQNLIIAGSVQFQSWQDIINSISITIS >CAK65770 pep:novel supercontig:GCA_000165425.1:CT868041:141825:142923:1 gene:GSPATT00006269001 transcript:CAK65770 MNQFEYMKLLQKNLQINNNPINAFNQNQLLCQLGMVGQKKNNTLQNPITVEDDDPPQIQK CQNSKCNNKGDRKTKSKKGETLQFCEKCVRLYNRGNFCDFCEQVYSNGSYDQDEQEWIQC DECQKWNHLNCEAKCRNQNIKEETENKVYYCLNCSKIKNQQQQQQQIQQQKKQEKTIEEY QPKQRTVMEFEDARIREKNINFVATKDNKVQFTFRLNLYDDEIKSDLDFLRNSGKKNIKK QNQQDSPIIKQILIPQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQQQQQQQQQAQFIQEE KNSEEQMNGRRRTRNNKNRVNYRNLGGE >CAK65771 pep:novel supercontig:GCA_000165425.1:CT868041:142971:144023:1 gene:GSPATT00006270001 transcript:CAK65771 MSDLRFDNKVVVITGAGRGLGKSYALFYGSVGAKVLVNDNGCDLDGKNTNNKFADEVVST IKSKGGIAVADYNSVLEGDKIIAHAIKEFGRLDVLINNAGILRDKILAKMTDEDWNIVVK THLYGTFSVCKAAWSIMRDQGFGRIVNTSSGSGLYGTLGQTNYAAAKAGIVGLTLTLAKE GERRNILCNVLVPVGASRMTETIMPPDVLTGIDPSNLTPILAVLTHESNKEYNGGIYECS GGFFSKVRWQRSEGVSLDLPVKISEVQKHWAKINDFSGKNEYPTGNADLFNKVQENLERL EQQAAQKPKL >CAK65772 pep:novel supercontig:GCA_000165425.1:CT868041:144023:145509:1 gene:GSPATT00006271001 transcript:CAK65772 MNILLISSFVYLTIQQCQEIEVAQCNGQLRSGLLPTYLFAEVFSPGLPSKFSTPVQIKQN GWILTADKFEGQAIIDDQTYTGQQGIGEAGQITVSNPTILIEVGFLFSNGTIQNGQGVAV LESVSSASYTFAINTKTNPYSYKFAYVSSTINFNNAQFKAVYANFPHEIGQIQEILTALN TNNQIQTALTTYYTSTQLSGLKSTLTRELANFEIISGQTSTKTYKYGSQKFTYQVVVNNV QIQYAPVTYAISAVMNIQQLQVQYICNNPITLTCVAGANTNVEQISMDQIINSFNYAFQQ NYFNTVLNPSNWKVPFFSWSTGGLQNIMNNVYTQYAYASQIGGSCTAVQASYVTSSTQYF TVAMTFTCTLTVNPTPILVFTVDFQGIEVELDFSRVKEAAAFKIKNVNPGPPNIQLQQQG TFNIDNPALVEWYIQEAIDKSFVGQRFFSGFIESQRDLESQDITYSIQEGVITITNIKK >CAK65773 pep:novel supercontig:GCA_000165425.1:CT868041:145685:146489:1 gene:GSPATT00006272001 transcript:CAK65773 MLQKQLNNYIYEERNIGGGQFSEVFLGFEIYTKEKVAIKQIDCSRMRDQVMIQMMKNEIG ILKIIPKQQNILKFYDVFQNNQLVYIITEYCNQGDLQQFILNHQITEDLAKDLITQILDG LQHCKNNYIIHRDIKPANIFMCNGIPKLADFGFALCKNLQNGIDIQNYNVGTPMYMAPET LLNNHYSFKSDIWSAGAVLYEMVFGQQPFRSQTEPELVQKLKAYIKRMDYFIIHSSVLVF WIF >CAK65774 pep:novel supercontig:GCA_000165425.1:CT868041:146616:147682:1 gene:GSPATT00006273001 transcript:CAK65774 MVEVCLQFKQFNNRKKLYCHFNISNNSSNSSSQFKLIQVSSSPNFLCINNQSNNNKNNNI KIIVIIIQQQQQQQQQQYLLFSNPSPHSKREPLQQLNGSQTTYETSFIPMRFPSSKRESF ITSQDESKRSILESNPQNQHCQQFGNLLYKIAKLFINTEYFEQFSLGKMYTRTECMFLQL KIQFCLLQSCIQIFQPSNPCLQECQVRLLNVKQTILQMADQYVQDPRFMSLFTNKWVLEN IFEQISHYNYLAIHLINDYLNRDCNHPHLIILLDYLVILQQLLKRFATSVSWMEFEQRNN IAAIVQAPINPNPNKQQWVEICKIIYRDIVK >CAK65775 pep:novel supercontig:GCA_000165425.1:CT868041:147724:147984:1 gene:GSPATT00006274001 transcript:CAK65775 MCDLFKNFDELFTYDVGKVEETKKIQPLQQLEKSKQNDKEKIKKFIKQKKKKSKKTQMIN KKCKKIMKEEQKQEVLEQKEEIFYED >CAK65776 pep:novel supercontig:GCA_000165425.1:CT868041:148050:149034:1 gene:GSPATT00006275001 transcript:CAK65776 MFIKEAYERRQKQGEGELQILSTEQKIHMIGSIKNNKVNYDKDEQFFSKEDIPQKAMQTK QYIQTFVDPDILGLRKKLWNCSVSVPKNPLSEETHERKLVKIKLGLLDHPIPKEKPNKIY EGTETRDNYQLAKKGQNKWNISTETSSLEFQKYLMNQTKRAKSHCKTKEKEIIKNYLKPI EHQTQLQQNLRSQKVNEKEFRANIRQEYLVKNPAASQQATDAAVFRLAYEAHLSKNQEQI QDKNFTFRPDMSKTLKHELEFKQYHNGVWQKMSDGNEGWSCCMNYTFDSPGCIKVKLDKN RWDYSSFTH >CAK65777 pep:novel supercontig:GCA_000165425.1:CT868041:149357:150453:1 gene:GSPATT00006276001 transcript:CAK65777 MQNHPHQLYQIPVQVVHQQQCSPIISTVNHQPSSYEIKYYNQMEVQYRQQSQIYNNFTTQ LLNQNNPTQPFNPQIIQGQQQQQPSFINQTVPQQQNHIQNIQFPQKHYQPQIEQPYIQNR AQNYCQKYNQRSISSEYDNCCPQSHPYNSRPQFQSSNEQTEEKFQPLSVLWKEDLDQKTK QFEQKQPQQLQQIQQASNPLKNQKFHKTQPQVKENQLQLLTLNYQNDYIYRGQGYEPDIR EGDGTLTDQNENLVYAGQWKDNQQHGKGKLINVEPEEIEGPFDYQDFNEIKNGWSWYEGD FSKGNMHGEGTLQLTNEEFFKGRFVDGKIDGKGEFTTLNGQSFTGFWEKGVLTFVQG >CAK65778 pep:novel supercontig:GCA_000165425.1:CT868041:150597:151928:1 gene:GSPATT00006277001 transcript:CAK65778 MDIVQHQHGEVQSYIEQVNDKTMIAIQMEEQRLNQRYFHLLNQLEKTKLQIIKLEEKLRQ QNEQQLLYIRLFSLENHPQIKQIISELSKIDQYRLSLINKTQQLTQELQLNQFSIKELKE QVINKRLQIRDWVRDCWSIQQQINNTTSNYTQSTSRTQRIHLQSLDITSNRSNVNLFITN QESNTNRLSLSQLPPITLKKHSKSKLLDVLQKASVRGQQQPVNDIIEIYKQIHILRKQII EWKQNIIKKQNQYSSIRNSFVYCANLSLKLLKSKQKVTNRNGYANSIYFDINTSMNQSNT EVSSNHNRGRQIQNILYDTLKQIMVNMIDTQQNDQQNSNEKSIIKNAISLEQFLQFSSEQ ILGILALQPRLMQQLIEKFDMKQRQIGLLSQKMKIQTQHF >CAK65779 pep:novel supercontig:GCA_000165425.1:CT868041:152037:153126:-1 gene:GSPATT00006278001 transcript:CAK65779 MNSTNRSYYQLNASNILSTKRVPSLTPPKNYPMSISKEKITKQVKDKAISGNSQQKSEVF NLPMQNGLFLQQQNAILSARKATINLSDSSDKLRKTSPNDKRSPINPRLSTLQKNKQISI SQSQQAIAQVLAKMQENDNISYMNKQLYHNPEIESTQKSTINSREYTNNSSKHKTEDYQK LQRENLILQRKVVELEQKILALESVNQQLLNLVKSCDCHQTQLRELEITICNLNYLKETV NPIQIQNITSLDTQSNTDLKKKLSYSQDYSEIKPQTLFTNHNSTKVIEQFIEQHQLNQSE LNVFKDLTKKMDDKHMPIPSVLKALTLVINV >CAK65780 pep:novel supercontig:GCA_000165425.1:CT868041:153273:154187:1 gene:GSPATT00006279001 transcript:CAK65780 MNQQLKKLAVLLVSKKKDHTCLPYMQQIRNQFPQHTYLIDDDYKNEPVDLVITIGGDGTI LHASRMFQQIQTPPFVTFGKGTLGFMCMYSLRDQYEVLKSLQSPYNIELKKRIQGSLNGQ YVYTALNDFFITKGNSIHVVCLDIYVNDMFVTQARGDGLIISTPTGSTAYCLSAGGPLIQ NRVPCIALVPICPLSLSFRPLILPLDVKISIKMNANSRGEGVVICDGQVQYDFKRNDCFD ITPSQNDVRFVVSPGHQDLDWVIKLQRMLNWNSRFQQPRD >CAK65781 pep:novel supercontig:GCA_000165425.1:CT868041:154242:155852:1 gene:GSPATT00006280001 transcript:CAK65781 MSDSSSYFDIQGKGIWEQCQNTLNEEDILYKGVLQFHNKSTQFCLTQYELFRFKKNGELK KIELLTTSISLLNDNTILLQKNHKQVFLKSTPQNIKFWFEQIKNKCIQNNFRLDYDIGEL IGQGQFANVYKIKSKKNNQSYALKVFQKVALKNLNVDNVSVAKEIQIMRQLKHPGIIKIY EVYEDKEFICIVMELMQYSMKQQKKRHTEHQCAQIMLQLFMVLQYIHDRKIIHRDIKPDN ILYKNQDTICICDFGLADYYNPMSVYQYQRCGTPGYVAPEVLRDQKYDFKVDVYSAGIIL YTLLVGKQPFAAHSQNKVVQLNYHGKIDYAQVKASDLCLSFLKSVLSINPQARPSAYEVL HHEWFFKTLGESNYFQLLNNNGQQVQPIQPISKEIQNFQAIQSMMDEEQDESSNSKIQIE IPQPTTQHRTNNNASYQSSIPQQQQPQQQLQIAIDNIQEAINKIKINQQVRELNYLTQTL HMQHKEMSGID >CAK65782 pep:novel supercontig:GCA_000165425.1:CT868041:155930:156873:-1 gene:GSPATT00006281001 transcript:CAK65782 MDMLQTLQLWKQIKTTLQSKFSDLKSTFDSIDSNKNGTIELNELSQELNNNHGITQKDQI QSIFSYLNISKSGQITLEEFEKQWTSAEQQIQQEQNRQQFQKQKQQVQSYIQTNQQLKSS VIQKSILQKSGSSQQFSDLFNSYKSNNSPTKYINIQGDFSINQFNTPPLLDRRNSPLKVL SPPKPEQYPKTRQEAKDDKLREMQRQISYLFNCKNEQKNSSPRLKQNLDTFMNTMKLGGG GGRRSSGHTFRYENKTQTISPKLSDQISLQNYAYQFNDMKMQFKQTYTGNKSNNSLKGNT FFRK >CAK65783 pep:novel supercontig:GCA_000165425.1:CT868041:156915:157285:1 gene:GSPATT00006282001 transcript:CAK65783 MLFGLVTSKQTHYFDPKTNDRHDDLAFDLAKYNNAKIIVAYPFLLNQIKQKIGEQLQIQL FNLSDILQARNENYLLRMISDKKKFSQKENQIIKLRRFISKTNEGVEIISNKEFE >CAK65784 pep:novel supercontig:GCA_000165425.1:CT868041:157651:158581:-1 gene:GSPATT00006283001 transcript:CAK65784 MLDLQAKTNKEIWQAKKLLYESDGNTKKSLIVNLVALGLLCILLFYSIVGSDDKWTCEIE SANNRGHYICVEMRRTMNCKTISGPIRSEIFLKVKKDLQASAIIECPWEIAISEIRLCFI LLSISSALIGIYALRKTNKKYAELSFQIGISFAILLLISAYFDYVSIRTSQINNYNLCNL QEEFQIEDKMKGQMECSFSFYNFTVVLEIVCSVAMIVNSIYINQWRYKQITELNDQL >CAK65785 pep:novel supercontig:GCA_000165425.1:CT868041:159075:164290:1 gene:GSPATT00006284001 transcript:CAK65785 MANRLKKLQLFYVNEFYRIYNKIRRNKSNSIMLFIIQHFQLFYLLHQSFTNLFKNNSRPL VLINYICQYITLDFNSENFVHWNEIFLIAIFIGQLLLVLSLLLLLLQQKVEDRSNKQDLQ NQLILLKNLGLEKAKFRKVLIIFIQNLEYYVSFFIKISIHLLNCPIMYLAMKEFKLQLNQ EQNSYAFGINMQLISLLVIIFNQLIISYYEVHNNQFSFKQKDYLTYCSSVYTKLQTYLLQ FLLFLISLINNLNMDVIIANLINISLLIPIFNLSIKHPKYDDQTSNYMHIQTFSILLLVN LIVLIFSQILNLEFSEIILMISPLAFYVTHLKIQQESLIQQADFNQRIRSLYNQCHTAIG KNGMLIDEVQYRLPKDLRLYVFSTNHFINCQNKPQCFCHQYRVESGSFISRLNFKNYLKN LIRLSFEENLKGKNLAIENDFTTNFYYILYISQISKQPAKAFYELTKLKIQSEKSMKLLN KMYLNSIEQYVKDDFNKMIELKNITNQKYICFKVFYYDIAIKLWKQQFIASLKQQKNWYS LIQNRNIIELIKDGKQLQNKNKELEKSLKYLFKQNPISPECSLLISLFYKYIYFNHKKIE IPSLDSQLVYKFQNSNNGILFLKDAALVYLTLVDTKGIIKNYTKTFKQAVYASDSQILNN SINDFIPKIIAKVHDQFLDNFVEQGRIHIMKSEKRFLLVKNKKGFIFPIIAKVRLETNLF NDFGSSALILPANNNYHYILLNYYGLIEEISEKLFTQVFQPILRIDLDQLQNIDCLKLIP KLIKTWKNMNSNKILDPELKDLIQSYLVIPKKLKKQNMLTSTVLQINQTIQEFLKDQDKI NEKYFQNMKEMFMFRINFRIIEFYTFQGTIYCIEIQNIKTVKQSQRLDIYYNIIEKSDYS HISFKKIKTIQEKNEKIKLQQKVLEKSTYKDTFGFIKLQNDSQTLCNLEADKIVEDDYMI KQKEMQIQLVNPLYQYEIENNSHLVSQSNSQGKKQIEQQAQKMQSSITHNLYTFNNFNSN LSNPLSQLFSQDQNKYIVHSSAVLEGLNENRESIKSLNSLDVPLSEENGFFEMEQLNSVS SSQVSHYKLKKNQIKHNLFDQNSKLHRLIRIINVLIFIILIVCNIWYFYHLNQQNQFISQ SLRNYAISNSFNIRINQFILSYELESTQLFNYSQYSKFCSSQFQQDISFLYYYFPNLVQT TVPFISINAAQNITNQSFYQSLGYISQYLLSLSNGLNEQYYLEIIARNFIMFSQQIKFFN STGVQLAVDEFYSSQSDMRSVFYITLLCVFLVTMFYLIILIIILRAKHKIMQLFHTFPKS QMVALIGSIEIILHFLDYIDFINHQTTDQLLEQVKQKIASSPGMMKILQLNAVQKNQQNQ DFSKSSNLSQILASLIYVVYTVSLVFIYFLIASQYIGQFIYQTEFESTIIDLFEQLVLYK SSQIYVLEFQSFQKLLIQYEQLKQNDILFLNKSNKLCYLGDEALIYMENQLSIFQEFIQR MNNQKTYQISGFKGTLTSESCQAFSLLIQSQNNDADYFNEYYCRQLDSLRSGLIVQLVNL SQNFKQLFIISHDNLQQFNEYLDSISKVNLEDQIQNLLYTSQILSLLHDSIQYESEIKLQ NNRLIHLLLFIFGLISYLIFIFISNNKLKVYLYNELVKTKQLFLLIPLDVLCENPYILQL LAERIESSQN >CAK65786 pep:novel supercontig:GCA_000165425.1:CT868041:164470:165486:1 gene:GSPATT00006285001 transcript:CAK65786 MFYKPKLISPNRTQSPVVVHKQSITQVSNPLLPQQQYQAQTYYASSKTTPIKNLEQKFEA IKQNINMTHQSQLSPERNKPAVTNDFEMQIIRNDIVFKDQKIAALQKALDLSNDDRNKLR SALEQKSNLCVNKEREIAKLLATIHQIEFKKSESQIVKDLQLQIETLKTFIQENALDKSN LKNEDANQFKAKVAQLQEQLAQQINQNQSLQQYMKELMNQNKVLSQKYTEKCIEYQKLEM QLDEIKILQNELKGKAEEIEILKGELSNDNTTIQTREQSAFDQQNEIQKFLSQIKQQYLE DNPKMDTDDQDTINVYKHLYSFQSTDDLAKGEPQKINK >CAK65787 pep:novel supercontig:GCA_000165425.1:CT868041:165706:166090:-1 gene:GSPATT00006286001 transcript:CAK65787 MSDDSESLDFPNTPLYTFNITVGLTLSLTKLCANKCRLFKPDQGTKLSENDVQCVKNCAA TINNNYQAFTSSLKEAINFEDSFGVFEPEE >CAK65788 pep:novel supercontig:GCA_000165425.1:CT868041:166745:167827:1 gene:GSPATT00006287001 transcript:CAK65788 MLPIVYATPYINYPQLNLQQVYPIPTQYFNMVPTKVMCDQACQFPEITSNEKASDNTDQN GPTKCKEALVLEELNLLLKFLSKNISLLQEKTFEKFVIENLKNLISLHSDIPYILKRRYI QVNKTKEEMTKFIIRRCFQFIKTQINYQEKVNLGAEERDRLFYHTFFSNDKEFMKTLEND SIDDLIPFRKESKLKTINDAYLKKLFNSKYFTYFYQQFLSEFQTICQQENEEKIEKMTMQ IQKIIFTRDYDKIKTYRRFPWKDHEFNKCEIRAQEIYKKYHFHKFKNEKKLLKKESIKLE HIELSESIQ >CAK65789 pep:novel supercontig:GCA_000165425.1:CT868041:168315:168760:-1 gene:GSPATT00006288001 transcript:CAK65789 MQSYKEALQLFQKNSMNLPMKEHEDKLNIMLEYILHELERDKKELQILRQEIIHESYLQS SINDDTSTGFIEELADFTRSFRKLAEELNNETNEISNQVETLKNEKARIRKSTNLLNHRV FEMEKFLGVGLNQANSQEANN >CAK65790 pep:novel supercontig:GCA_000165425.1:CT868041:168842:169772:-1 gene:GSPATT00006289001 transcript:CAK65790 MKQQSIIFEEELRQVQFSDSKSIKNKFLQKMRKPQNLDGYNQMRKIPFQLSEAFHENRLK CILCKDYYIKFTIAQCGHSFCYYCIFEQLLKSHRCPCCQTVLKGLHFIQCHTIDEFIKNS KVLVKNSTIPSRKKQFKEWKLKKKITNFNIGDTLDVLDTEHIWCVGSIINILNQKEILIH FQGQNKAYNEYIPISSPRLSPLGLFTNRTDILLYYPSQDENSMFNQIRQIGSQNQENELY NYLIIQQQTANSNIILAVEQPNRIGLQSLLQLVVFIREIQDELYNET >CAK65791 pep:novel supercontig:GCA_000165425.1:CT868041:170856:171880:-1 gene:GSPATT00006290001 transcript:CAK65791 MNTTEKEYRTINGFTRPKHPFQIFTIVFFIEIMCCVSIAIVPITNLLVQIILACFFYFFS IMIFYYAFKTSYIDPTDDYIIKSRRGQSFENEIELYDFFCSYCDSYVSSTTKHCRVCERC VSDFDHHCKWLNNCIGKKNYREFFKLLIFVSLFGITFVIFGMFSISFQSPKMFIWILVNV GLVAILFLLNFNLMFFHFWLKYQGVTTYAFIIQKRQKKSQEVPVETPQKFCCSTKKTRVA QVNPKQDFTQGDEQVGKNEVIQNEPPTDVKQQNVEIEGDAESVPDNPQKRKNSSHTINSQ INNQIQE >CAK65792 pep:novel supercontig:GCA_000165425.1:CT868041:171922:173110:-1 gene:GSPATT00006291001 transcript:CAK65792 MKFIIVVLFALAATTYGSKTQDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDTQFAAD QKNETDEWIGAQTIEQFTKIKSLNQKLFNQAIENRANYEEVLKQTKNYLAWNEARRDEIA RKIDALQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIINGDSFEFTQVKAQSVAE KLKQYSNLFQDHQIKSFLALANSQEESASTGGSTLAEKVLAVLEGLEAELSASLENLKQN EINASWELAGWVSLSEAEISSLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQDA LDQAQADLESKRADYAEAKAKRLEENAILDEVIIMFKKQVASWSGR >CAK65793 pep:novel supercontig:GCA_000165425.1:CT868041:173342:173653:-1 gene:GSPATT00006292001 transcript:CAK65793 MKHQWNTKIQELKMQMHNLKQLLNQKKRIENWLSQILNDFIKDKILSNFLQIIDEVKNEL TSKIEVCQKIQKTQSLESNKFDINDFKKRKKRLLRISFSKTNL >CAK65794 pep:novel supercontig:GCA_000165425.1:CT868041:174628:177073:1 gene:GSPATT00006293001 transcript:CAK65794 MNNNSLILEYHLYDTIQLLKNNKYKKFIKQSRKLVCNPYLVNDTFFIQLNIQLIRRLLHC QLKVVQKYFVNFSSKQQTILDVLINCMELCQNYQILINKYETSFDDKEIQEKQISRKLKF LNEPNKLTLKQFANQYDKLEPDNKSAIWIKYQWQQLFCYFQKTLLFNYQYCKMSMLKEAS LFLFKLYKLKPISTYQSQFLYFQALTLKNTAQFELSNTTNNEVLDLGFSMLLNSLREYHK PKSNLLTFQKQLVQITTTLLINLDIQSKSQEINDNHLDCIQSLNLANFLASQILKPLKKK TDLVEYIASEFEFANEKFEQILLEDQDLTKFTQFLYGYNANYKQSSKNSGNVKLQEMIKI KLQQHKSTQFQKGFQTVNSNQCIYASNSQDKLTMQDYLPIKMKSYHHKDSTAFSNPYSQT SINHTIQVNNLIRESPSTQRDRRNQNGHPGSFLSLNNFSSVKLNQEKPKKRQVSVKCKRA NTNSDHKNSYLSQLINLRQKSSLTIRDEISELEKNHQKLVNKLNNQQKSTKVSTIDEFFN KKIEAIAFEECLTLDQIKDTAKKIIQTEWEIHKEMPVTKSLMKSISVSEIQVEQQQAAYN KLQEYREMQNQDYYNTMKDKAQDQMDQTKEVKKLKINQQRFTMKHKKSQQSLFNSQQIKS LVINDTKIQEQFNQQLIQQQNSAEQRIEIKVSPRLARKNNSMLYNSNLDSENQEKGFSLV KGQLIDLMLKNLEYRDELNTQERDLKQFVLPRQFRTKAISPQGGELSLKSQNMSDFKSFA YSTNSTQITSQNMENRLHKTFANQKQ >CAK65795 pep:novel supercontig:GCA_000165425.1:CT868041:177198:178378:1 gene:GSPATT00006294001 transcript:CAK65795 MSQSIMNEIFKLVLNYIDQVCFDGAQQKKWSQCKDLDSQLQFIRDAIPQKINEATKNYDY EALEKQVQKYEHEIRKHIRMEQQIKLYAESLQQRLEEQEGVNECLSNTKQLVNVIIPNFQ SLKKENQQLIEQIKRLQSENYMLKSKLNEVNERINSHQTSPVCDKSKNQDSYHIKNSQRY QTIDFVAPKQKLNSRNIEDHSKQYAEVKTQISNTIDSSILKMLQNRRDSLKLNDAYKSSR DSSTIEKNDSNKIKSCINQQRAASQQQKTQHKQMMDQSSQQVRTTTENVKFDLKNQSDNL RAFLNTQSFLCNKKSKANSRPENRYNKSHSEHQNANSNYADIQKTNKIQKEYLQFSQNYQ KYLDNQL >CAK65796 pep:novel supercontig:GCA_000165425.1:CT868041:178553:183130:1 gene:GSPATT00006295001 transcript:CAK65796 MKDDFQCNIVSDKSDDEIKVKNQQINQLKMEIENQVKLASLDQIQSNAKILYDEFEIDII SQDDKKNMYMSQSKELNNWNVNRKQEIQYLDKVCNLRQINQTNLAGSGSQQFQQAKIQYN IQQNYISDDSNNTDDNTDEVDSQDAQQNKPHYNENQSKVNNKDQKQAEKITDRAQKQAWL MKNRVKRHENQGESFDVLEIVNNGQKTEPNLFEQGLFKRSDYGAQHTSQQQLQFKKELYK SDFGRSQFQSSVIKSNQEQKQIDHLESTLMKKQVKINEIKDTSNQKELQQNFDAKKIFEK RFESKTNGWDVSKHVITSTENNINSVKTPIELQPIDQLQQLIRDPNQRTINSYFMPKGLQ NQPNQLLLKLQGIDNSQIKQQNQNNPKEKQGFLQRCEDGSMKYNKDIGKSLKQSGKDQQN NQKKPNLQEIKQSYPDLQQQKYQKLQEVVKKSLHQQEQKQQQPISNREKERKRLEMQLQI LDNPLIKQQGQKETEELLNEDKHEFRSIKIEKKTVAESQRLSKYKMILDNNAGDSFQIGK PIFEFNRLLNYLFVKNYDIPDIADQEHKVIPNKFESAEEYCKIFEYLFLNEASAQIKQEL IEFLKKTEKTTKYRKIQIMIDENDNESQGTIFVMRGPQTNQQSEPFDNKKQREKEDADQS QSEDQQFCFDTIKDGVCDLTAYKNYIFVISSKIKIKLRQINQTPRDNDMVFFGILIDPQK AQYLQQIRIQTFVKKQSLNANQWYNVFLFPFSKITTSIREYQMITKLNQKTPLAGLIYNP TAQQALIGSDIGGGWTVQFQNQIQNKELLDPFFQIADQKYNETQANSIKEIILKEKGICL LQGPPGTGKTHTLIGLLSGVYEYMKLTNKFPRKKILICAPSNAAIDEIILRILQKGGLFD SQGNSRQANLIRIGLLDEENVHSDIIKKVSLEDLAQHKLFSTQKFNAQQDQKTTADLRIE LCQIQNHIKKLEKKLNQHGIPGEERRIIKEQINQFSDLRKSKQEYLDKTRENKKMYKEYY NQFCEKLLNDAEIICSTLSSSGSDKLSKYLDQIELLIVDEAAQCTEPSNIIPLRLGIQKM ILIGDPKQLPATTFSPVSNQTLYNRSLFERILDNNFQPYFLDIQYRMHSEIRMFPSEYFY QNKLKDHESTNNRDLPTNFFKNRVLFLDILDGQEQKDGTSNINEQEASIIVNSIKCIKEE FPTQTIGVICAYKSQVRYIKTLLKQKYSDESLFDHSTISINTVDSFQGQEKDIILFSCVR SSQTGGIGFLNDGRRMNVALTRAKNALFILGNAITLSKSDLWKSMLKNIQKRGLYRNLES QQFQFQQILKDEWSEQNKTLSPKLIANLNQSSSGNHEKSTYNISDFKVEVAKNRKIDSKQ NYEIKKQHNQKDINEKESQQKYKIIVNNKEKDRSTSEYQISKQIMKNHESCNQQNQIIKH NQDNQKNNYFQIPKDTKGEQNKKEQANAQLQSKEHSSHEDFDLIRCLREEGFL >CAK65797 pep:novel supercontig:GCA_000165425.1:CT868041:183471:184831:1 gene:GSPATT00006296001 transcript:CAK65797 MEQDQQFEIVGTFNNKLQQFTIRIIHNIDMLSIMTFTEQKLFELYFSEEDIKFQWDEQMD RLGHSNFVSLWIKKEEFKIFGKQDSIQWLFNLCKGKFIFLQKNKNTNRTVIEQNETGIVY KLNDKGTNEEEYFDKVLFQNKQQFNQACIIPEELRVLKLLNQNKCPYVLNIEAIQYDGSQ FSYRYKKKRLDSLKKFISQTPSIKLSQVLEIIRQLLQVQVTHYFESIKLIHNRLDLENIQ YSKLENVIQITSFTYSFLENRQTIKLNHHIGHTSPECFSEQQLITTAANIYQVGILFYIM VFGNNPFGKSQEEIQKNNPMGKFMKPKENGLISQKLVTVKEIIFEMISSMMSMNPLQRKS ASHYLRSKIFLPFLKQKISRMEFKLQFNEINEDDTINNENKILNSIYILKTKFK >CAK65798 pep:novel supercontig:GCA_000165425.1:CT868041:184941:186834:1 gene:GSPATT00006297001 transcript:CAK65798 MFSKKEESAPDNQNSKNILNEFEDKFSPFKVGDLVQNRFLISHKIGQGSFGSVFKVNDQN NNNVTFAIKIQSEDDEKNLLEREIKVLIELRKQKGFPQIRFYGQERGQTYCIMQMLGQNL EQIFKKLGSVMKLGSVLRLGIQMIERIAIMHQSRFLHRDIKPDNFVIDGPGNPRLLYLID FGLSKYYINKKGDHIVQAKKGGLIGTARYASVSAHEEIEQSRRDDLESIGYVLIYLAKGA LPWMNLQIEKKDLKYAKIKQIKKEIKINELCQSLPICFEQFMTDVKSLEFKETPNYETLK SYFEKQIELDNKQQSNIQQPFVYDWERLSEFTKQKKHQTIHVMENQTKNQAIQIVVNLNK QNQMAKQDLFLNQQITNSQQNPVQIEQNKNEKKIEQQQDLTTPSNQLTSHPFAINVIENQ KDYLQPIKLDESRITRIQNHSTTLLQNPQFNSSFQFDSFLQPSIATSRMNNYLESESVNS EGNVLPIWDINESQQEVKRTGILEGIRKPSQVILRRERKIHSQIDTLKQMQDLFVHPKQI DGDNETSVEGLE >CAK65799 pep:novel supercontig:GCA_000165425.1:CT868041:186853:189877:-1 gene:GSPATT00006298001 transcript:CAK65799 MYLHDLLVERSSYALKDENPKFPSINEDIKVVCLVRKRRSHEEEKLHLEQKLLSKICIID QDDIFDKFCLQGSLEDIINLLIECSNGLAFSSQPKVTLNSIAKQYIVNDAIWFNPKTYQT CAGWVINEFEKAIALIYYQSQQGNLTDNNSIQNEDLKKYWMQNADFKLKILNQIPQICKE FKNAPKKKNTKQEDILWAQLLMKKPMQTNDGQGGYDINNIIASLEINEKEINQLFENNNF DNIYCQPLERFSLLSSYCEKRILRMIRDQFKEKLVKDLQEIEGKEKKKTQDQKKKSKKQK KQKSKNENDSQKNLISNQDKCNSVEMNDKQDTTQDDVHCTECECNRHSNPCSDHKQIDFI TADLHKKDTNILEPTKITELIQEIDRNENELSGQNDDEDNWVVITAPKRQRKKTQRKFAS SQDLHLKKANPNTKSNQPTQITPTQKPKPQIINQFNYQQKDIEDKDKENFSNRNSLKNSS QETLSQQTLTPEKKEAISPQSENAEITKQNKVSQNPIQITVDENSIIKPLKHVIQIQELS LCQEELLLDNNNLNMNYSQNNLEFYKANNPDEIDTIIMNQARTLMIKKLDMDMREFNDLN LTKNQEILQMRRVIYDRISFVINQLFREFNSNVRLFGSCATGLALPESDIDIGITGFELF PSTQLNGPIQKIIDFLQKMKWVKNIRAITTSNMPLIKLQVDPTISFVDSSHVLVLPYIDL IPNSDEEIPSHLFSVDVSFFQYQGAKQNWHLGQISTELTLQWLSFYNELRPIVLLFKSLL KKRGLNDQYKGGISSFCIIQMVLAFLESCYQQNQASSIGYTTYKFLQFYGMEFDTQKTGI NYKGFNQDPFFDLNEDDNQLQITIVSPITNEVISQASSFVQTILQDIKALYLATENEVTF FYEKLKYNKKKKGKKEERNLFQKELNKLGPLFSNTLYNKT >CAK65800 pep:novel supercontig:GCA_000165425.1:CT868041:190039:190146:-1 gene:GSPATT00006299001 transcript:CAK65800 MLYNIPKYLTKYNNHACETIESFENTYKNDLIQWY >CAK65801 pep:novel supercontig:GCA_000165425.1:CT868041:190583:192811:1 gene:GSPATT00006300001 transcript:CAK65801 MSTHVKKDQKKASNQFLDFDQLAHKKYHFDQKKANEQSIKQEQYILDLKKAQFILIISYD QQKLEIEPLFQKVELLQIERKQLMDEIEQYKISQMEILKKYESEYQEKDKLNKECAKLKQ QIQAQEKMQMPKEFQEKQCNQLKETLFVTIKDIMHDFLDNYNHMMGCVDESGISGNLLDE LLQQIDDSIIMFVNCIATQQTKQFDSEEIQHLKDQIEKTLQTVSNLSAENQDLSTALDIE KQHKEKIINQRNSQQQLINQLQNQIETINNEKKVLEQEMQEVQMKNLEFQHDISRVNEFK DEENEKLKSEFIDSINELQKQISKLQFENTKLTSLIETNTKQQNKDTELLSEKVRELESK LNQETIKFEQKSREMVRIEKEQNQYKVEATKLFEENNYYQSQLELIKDKRKQDKEVLEKQ KEKCKKLELQMQQSEIDLQTKIVQLEEQIKQLTQLQDKDNNYIQQMTLQTDALMEKEIQL TKLDQQVSESTQKIYEQKQQIVEMKIQINSLEQELQQQISTNQQHQERRANAFSEINRLE QQNQIQKQRINDQEQTIKQLQSEVQELLKQVNGNSQQTNTPSHNKSASKTSMFDVNNLDS SRLSDADSSISKTAKAASIILNGLNYFKLRPQGIIQQADDPERKLAEQALQNQAKELKSN LEQLYKSYLSTVFQNQVDDEKFLKFERQINECVKKTQDLNDNIEDFF >CAK65802 pep:novel supercontig:GCA_000165425.1:CT868041:193302:193938:1 gene:GSPATT00006301001 transcript:CAK65802 MSCCSLIGRVLLVIIFIGAGVDKFLQPHGSVGLLNARYPAFYKTLETSAKQFNVPLPVQI SPTQIKQISQEIVYGVGGAEIILALFVILNQRWAGKLLSFLTLSFVAVIHNPFIHGTTQD EKLTESIQGLWTLGIAGALLIIGASSAQTCAATSQTKAEKPKQTAPAAPTKKAKRN >CAK65803 pep:novel supercontig:GCA_000165425.1:CT868041:193948:195467:1 gene:GSPATT00006302001 transcript:CAK65803 MGLDINLLREDKGGNPNLVKEWCQKRFQDPAIVDKVVALDQEWRKARFNLDQLNKEYGIA NKKIAEKKKANKQDPCVEEVEQAKAIDLKIKEAQKVEQEQENILTKTLNKIGNLVHESVP VSKDEAENRIEVTWGQIPNIQVNSTPGRCHHHEILFMIDGVDLKRGSKIAGHRAYFLKGP GLLLNQALVSYGIQFLNQRGYTPVQTPYFMKKTCMAETAQLSDFDDQLYKVTGNQDDEDL YLIATSEQPISAMYRKEWLEAKDLPIKYGGSSTCFRKEAGAHGKDVWGIFRVHQFEKIEQ FVICPPEESWKFHEEMITAGQDFVKSLELPYRVVNIVSGALNDAAAKKYDLEAWFPGYKD YRELQSCSNCLDYQSRALEIRYGAKKADEKEKKYVHMLNATLCATERTLCCILENYQTET GVKVPAVLQPYVGKDFIPYVKPLPKKEDLGA >CAK65804 pep:novel supercontig:GCA_000165425.1:CT868041:196067:196782:-1 gene:GSPATT00006303001 transcript:CAK65804 MLYSIRETDAEANLSLDSQTGRKSLNSDVQKKSYSRSLPKQQHNLDQEIQKLNDIIVQLR KVEQLLLNQVKDLKHQLEEQFKNSNRNQKYIDELNKELQQMSDKLHSEIMHNGQLQKINI ELKKTILKLEQEICLKNCKFQSTIILRKIQIFPRTQIYRISFAYGGQLPSRQFISRTTFT QIDLEVAQVDTC >CAK65805 pep:novel supercontig:GCA_000165425.1:CT868041:196788:199084:-1 gene:GSPATT00006304001 transcript:CAK65805 MILNEISRDDEQQSFISATDKDQTLQTQTNLKQQIKMLPPIYLSMSLIVLSAAILSQFQY ARAQTFPLFLELPFQKNQLFTIGNALISLMGIMIYYLVFQANQSKPIRKLYSAKQIPYKI FSFQIFLSGALSHLAFLAFALLPIEYKNQSNFLYTEDRILLTFSFIMNFLFISYYMCYKQ GSQPSKLKNQLQPFSLSQQIKAALFTVICFLFTAFISVNFISIFMGMPMAQGEPSLNDGL SASEDFIANMVSEIYSTLSYSLYLMNSFFIGMFYSDLQKINLIMSVDQEMMLMIKQQIIV NHNAYKNGYIIKQMKAFITLSLLYLTLANQGILSATGESVHAFQSVIVGLSKVASKDFNF QQLFVALDELAESFKARKNEENAFYEQEYQQYQADVQYYQNQITDFKNKIAQLEVDVKDL TDERGRLQQLLADAKQDLYDATKLFNAKEAQINSDKSVFTRQFNEYADTIAVLDQAIALL NEVKDETSLLQKAENIKDVSQKMHNHLKQLSSKRVFYQPLVKALTQIAQNNYVDQENLNK VINYMNQLRQSLIDGQTSLQNQYDAQSKLQQDILSEIQAKITGIRDVLIPLLQAEIETKD GEIKALNAILNDAKLNLADAEDNLSATQNRWIERTASHNTLIQQYDNELLAIKDAENALK KGGIFRQ >CAK65806 pep:novel supercontig:GCA_000165425.1:CT868041:199132:200230:-1 gene:GSPATT00006305001 transcript:CAK65806 MSNRNQKSKSPQVQQPKIIDKDLMKYLIFQKIVKPQVPAELIMKTQPDEDSEVEDFKLEI EMKFKSCKINHTFKFPKENYESSSDTSLQSLKDVTTYNLNCDNLDRLTDATQPHFMKALN ERLMKLTDLIVDEPQTKTKQFNYAPNLKSSRMQKLRGTNISNTSITKPTELSVNAASQSQ GRESVITKPPSNLGFRATPTLSSEKKSKSKQIQQILKITQQKCMNNQNVGGTFYKKTPQV NNNYININSNINNSIVVNGKKNFQEIRIRTEQDEPEISLQQYQVVPNSRPKMRESTIKSK KLDISIGYKNLTLDSQYLENYSRHAKSQNKNYKV >CAK65807 pep:novel supercontig:GCA_000165425.1:CT868041:200889:202025:1 gene:GSPATT00006306001 transcript:CAK65807 MLSGLLYSPNWTFVDKILRDPQLVDLEYFVGGGPGHDWLAKTVRVDQQKYLGPRAKFERQ FNEEPKTWEVVTDNLYRLTLLAGVERLLTLRQAYGGLKTRFTFGDSNTACLQQIFNQGLF KGYFRGALLNAAQFLTVWAHPLVYSRGNGYASHYLYSSLFELITYPIDTIKTIIYSDVQG RYKGAFDVIEQVYARNGFSHFYRGIVFKLAFNGTLIYHLRNIYEQDYIQQLVSVPLLAIG YGFLTIKTRLQLASTDLSFQETPQKGRIAANLFAQKTPFSIYRGVIPFLLLTDFFHYKLF ALYSSTAQSRTLDEFLVQYKHHIGSPKDENLWQ >CAK65808 pep:novel supercontig:GCA_000165425.1:CT868041:202210:203378:1 gene:GSPATT00006307001 transcript:CAK65808 MEQNYTFTIESKKQNIDALTEEQQQEFKANSEKCEIIVLKGNSYSKQFFHQFSEFITTSK QLQKINANDIFVGKGKDEIPQSLEILGNSLIGLNILSLDLSNNAVNPFGAEALKPFLKQA HQLQRLFLNNCGLGIRGVTQVSEGLQEGEHNLQILAIARNRAECDGAIEISKAFPTCKKL QELHIYQNGIKQKGMMELLSSLNKSCAELTTIDIRDNFVHEATTQVLSDLITNAAHLTAI NISDCNIQGKQNKQILESLSKLVKIERLGYNYAELNDVQGNELYEIIVKQVDNVTKLELK GNEFKKATKQKFKELFANKEKVLGAFDSDDEDEEEADELQKLFSQLVI >CAK65809 pep:novel supercontig:GCA_000165425.1:CT868041:204011:204526:1 gene:GSPATT00006308001 transcript:CAK65809 MDSQSNSTSKICPLSEPEYRRSFCSEDEADITPMIPKIAKPSKFSKGTLFPSKLTILITL QNKYILPHLQKGKTSCPSSNRRIITLRRIPTYNLILRRRKEKKKRIISQPTARRLKIMMG WEYNSNKNIQHQNQSIYIHRFPNPIPQNKLFQAKTLVSKITPSNILYMEDM >CAK65810 pep:novel supercontig:GCA_000165425.1:CT868041:204541:205238:1 gene:GSPATT00006309001 transcript:CAK65810 MRFLQIVQSGLVYACNSLKGPSDRFLKSRFVRLKESNNRKQKILVLDLDETLIHSCTHRD FPHITITIQDNDEPIDIAFNVRPYCKEFIKEMSNYYTIYLFTASSEMYARAIVNHLDPKR QYITDILCRNNCFETKNGFFIKDLRIITNRDLKDIVIIDNLPHSFGLQLENGIPILEWTQ DPKDEELKYLQSYLIELSKKEDVRTCNREKLKLLDLVDFKLII >CAK65811 pep:novel supercontig:GCA_000165425.1:CT868041:205789:206088:1 gene:GSPATT00006310001 transcript:CAK65811 MNIIKVTQQFLSGKPKGFYLQLFVKPKSKSEMLEFSEEFIIVKTKAQPIDNAANEDVIRM LSEKLSIDQSSIKIVKGQQSKYKTVFIENEMQAYNKLRN >CAK65812 pep:novel supercontig:GCA_000165425.1:CT868041:206130:207808:1 gene:GSPATT00006311001 transcript:CAK65812 MLLIFTLLFSLGQSELVYLGYKNYTMAKKEQQPLIVFYYGDDKQSKKFLDDYIAIATGQH SLQKTGTYPTNINFGLVNSVEEKKLMEKTQIQVTPSIFLFYADTQKQKYSGAFNAQAFLN WLKKTYRDSPELNEFYPSSQLQKWDQFVNKINSFQLIAFLATPEYRVSPLKAFVQAAKQI PQGYVFCHIFNPDLYQHLGLKKEESGLVIYSKLEDLEDISEEKTKKYQTYLHRFKGDIKN TDDILQFLKIYAFKKGFVYVDDTKFQKLSRRVDLLPSVILLYNKTNPEHEQYVQQMQDAR YPLLNKAIVGVLDVNGDCEERLERVYEINVNTTQLPQLIAMKPIDDNRFYKYKNGPSDPI QFYEQIQSGQIKAFYRDEGILQNLYDGAGIYKLTRSTYYPFIKEQNEKGIDVVVTYTAKK CQPCKDFRPDYNEVFKKHKQAIEGKVIFARCDIEINEIDDLHIDNYPHVKLYAAGKDHPF TLHLQKTEENLTQWLSEQSNYGSIFKVKTDL >CAK65813 pep:novel supercontig:GCA_000165425.1:CT868041:207954:210336:1 gene:GSPATT00006312001 transcript:CAK65813 MDILTYGQKELKDVINQLEEQLKTVFMPYQQTENNKSAKKMHKSEIKLNDSDVYNNPKKQ RVDKNKCRKCKLSTIPTILHLLQQNDNTDANSNMTMKSIRQQIAQQSHKKEEQSDQKIPY IAFDYSQQIVICKRCRFKFHSECVQIQGALNEWLCDYCLTRIEELKNSNLWDYKNQKQIK QLPNLSSENKKLNLKIIERIQKKKQIYIISEFKPTPNKQIHQISEFLSKYPLYKSTAGNV AYPISEILAVDYPELLSIKPKPKPHMIKSSMVEQILKIQTIYQILVPNSKAPDTFTQEYI EKQFYEILIHLLNQYFDEFILKDDQQFQQYCDQANAITTSYLYLMNYLLHNEEDKNQDLL KKTWKECVIQIDQLDFNDLQFQDKICVLSSLSEGLYDLDIMKDLLKNKEQFLNKGTINQL QLTQADLKVSLQQNYSLFMNGGTYLGQDAHTQQYFYFTFFPSTIFVQTKKGWGQYTISDL TELMNSLNQQGVNELDLLQNLEQIKSIELIDSSTPIKQKNKLTQKQQYQLDTQEVIDKFL MIENSYTQILQKKDLCWIRNNEKNSFFNLIKDQDEIKQVLSALKQFFCGLIQPISINGEF VKPFKIFKNHFILINQLAVYVENADSLQNIYISLQVLERILDDKQQHKKQKEQMKVIVEK VKKNKKVLKAEKKNQQKHSKYNYDEDYQVAAQQIKKVENMSNYQKVTRKSKSLGQIICFS CQKNFANSEQSQKCPKCLQVFHKDCLKQNKSLCQRCYSKNNRSFK >CAK65814 pep:novel supercontig:GCA_000165425.1:CT868041:210463:211876:-1 gene:GSPATT00006313001 transcript:CAK65814 MMSLDIQSDYFDYASVLDDKYYIIDTIGVGRYAKQFYYSDYFRVKLAIDVQDKQKLIQLQ IRINYNNLQMRQLKQAEFEHNNIIKIIQCNINGKYRKVDGRLCQVSYFVMELADQGELFE LLEQTHQFSEKFLRRIFSQLIKGIQYLHEKGIVHRDIKSENILFSNGILKLADFGFSAKS VDETGAKVQFEVQQYLGSPEYNPPELSNAIGKQKYYIPESADIFASGVILFSMAMRSAPF KTSKNTDPYYSLLKQDKQKFWQVFQELNDNISNQFKDLIEKILEENPQKRISLEQIKKHP WMEGSISNLADFKTEIMNRYEIVQNKMKAKITFRRQQKFTNRRYQKRIEMSIPNLIPPKY LQESLVVIDQINEKLQKRLLHQEYQDQINQQAQPTVEINIIEIQQKTKSKRQLEGSKKSN RATPPNDSDN >CAK65815 pep:novel supercontig:GCA_000165425.1:CT868041:213343:214831:1 gene:GSPATT00006314001 transcript:CAK65815 MTQLLKKNIELLYPQFSVIEILRQNVYKKTIILRRGNKNYILRLFNLEGINKERVTSIIK ILNKLSIKNNPHVIKFYEASHDVDNTYLGVITEYIECQYQCPMQEKDILIILIQLCSALS LFHPKRAHGKILLSNLFCIEKNAILGEMNILFYLHQEEYLDIYLLAPEFAKSKIYDCKSD IWMLGFLVYQLMFKEAPFKANNINVLHKQILKGLKFTYNPQYSLNMNNLLRIMLCYDPDL RPTIESIRSFAETALISQEKIDIFKLLPKYKLEQIVLHKKREERKPQQIDNSIYLNEDLQ KYPSFRPSKVLKTFKKILSQSPSPKQAILNFTEKNDSSINNPIQSPQHHFSYLEQIDSKK NLSTGKNSHQSQKQINQSQGNSHSYQQSQQNTLYSVQLPKVLKFQFSKIKFRQEMTPKKE FDESNLEQPIAKYAQLPQKINPIAIKKFPPKMLFHQYQDSVLRSFSQRQ >CAK65816 pep:novel supercontig:GCA_000165425.1:CT868041:214940:216422:-1 gene:GSPATT00006315001 transcript:CAK65816 MDQFYDDYQPTTNNKDIKIYRNGNSNLCCTLTIRDNTKFSEIRKSLQQKWDTQFNRLRLF NQEGVEITEDDLDYIKNGTVLFASKGEEFDESFQLAEYEQLQDIGEGGFGKVVLGRHKQT GEKVAIKHVNIYHNEDVDMIFREARALKSLKHDNIVKIYNAFFLQNLQTVYIMEYLEGGE LLQYLQTKGRFEENEARHYFKQLVSAISYCHQKKIIHRDLKLENLLLTSKDSGIIKCIDF GISGFASNDNPENADAGSLRYMAPELLKGMDKAVSPLVDVWSMGIILYGMLFGTLPFTGN TNKEIIAQISEGRVNIPHEFNNKLSQNCLDCLYRALEPEPKKRITSIELLNHPFVTNENT LSTATNKPKIQTQLQQIDEVDHQVVQQQSQSATNKKQSVQLSKQQFAKQHPQSTRQLTQT QKKPPQQSPLLQKQPQQTGQTLKQTKFSKK >CAK65817 pep:novel supercontig:GCA_000165425.1:CT868041:216584:217319:1 gene:GSPATT00006316001 transcript:CAK65817 MKVDFLTRFKGRTSTMNKREGVIGIIKSNGTPIEKMPASYTFSSYISNQNAYNYSDIEKH SQPSNDVTPTSKDPVGHYQHQNIQQKQKIITCLSIETWNQYVQTMYRSQFVPDKEMYQFP LSKTGSVNLNDYELIAIPKSVLQYGIRVEHPDGDQPKSTVLPNISRQYYSKSQSLDLQQD RPMVKSEILNQGSYAKQKEKRSLLIAGMNSIK >CAK65818 pep:novel supercontig:GCA_000165425.1:CT868041:218421:218880:-1 gene:GSPATT00006317001 transcript:CAK65818 MSTVTQQQSRGQKQKLDPQDNNLHISSKKNAREFIFISKIFLKKFQNVELHALGEATKIS VRVAENLQRQGLVTITKINSFTADIDGRKRVKLVVSLQLTQDGKARIDQELQA >CAK65819 pep:novel supercontig:GCA_000165425.1:CT868041:220231:220704:-1 gene:GSPATT00006318001 transcript:CAK65819 MKNNTIRKCFYFNNDDVRKDIKMYCEDIMFYSVALLEFFYDKKGEFSPEELNENFPDLRN KPLLDSVRELWLALQGRLHTFSVHLRFNDRISRLTQSIVRPIFMEEAELVLQQRQKYGCL NSSLSILENLPLPNLEHSQYTIDNDETRDSENDLSQF >CAK65820 pep:novel supercontig:GCA_000165425.1:CT868041:221968:222249:1 gene:GSPATT00006319001 transcript:CAK65820 MNGQIEIDEKEKRQIQKKNICNIMELNIEKYSALQDKMEEQKKAAERTITRPEVNVYVTR RSWIANLKRETATIQKSQRLFRIIKFQKGCSIE >CAK65821 pep:novel supercontig:GCA_000165425.1:CT868041:222341:222927:-1 gene:GSPATT00006320001 transcript:CAK65821 MLFILDTMVSSDTTSISASSFFGFMGVTMALVLANLGAGYGTFKAGAGIAAIGIWKPEII MKSLIPVVMAGILGIYGMIVAVLLSQKVKNPLEYSYKSGFAHMASGLCCGCSCIAAGFAI GIVGDVGVRGNAQQERLFVGLILILIFAEALALYGLIVSLILSQS >CAK65822 pep:novel supercontig:GCA_000165425.1:CT868041:222982:224551:-1 gene:GSPATT00006321001 transcript:CAK65822 MSEGFLNKIRDQAIQLIAQGKNEYLAGTTLEAKQGGYDKMKLGCQELVKYARQETNQQLC QIASQKLNEFTTEMQNMKAYLENYKASMQGQSQLQPLQQQQQFSQNPQPSQQKPSNGNNG MNKSTNPQDVQDQGKSKLVEGQQALRNNLSTAIVTEKPNVSWDDVAGLEKAKDSLKEAII TPMRFPELFQGARKPWMGILLYGPPGTGKTFLAKACATECEGTFFSVSSADLISKFVGES ERLIKELFNMARESKPTIIFIDEVDSMTGNRESGGGNEASSRVKTQFLVEMQGVGNNNES VLVLGATNLPWSLDPAIRRRFEKRIYIPLPDVQGRLQLLKNKMKSTPNNLTPAEFEDIAK MLEGYSGSDMNTLVRDACFEPLRKTERATHFKQTQTPQGMKYMACSPSDPEGQQMRMYDI KGGQLYLPHIEYDDFLSVLPKCRPSVSQGDLKKYEDWTSEFGQEG >CAK65823 pep:novel supercontig:GCA_000165425.1:CT868041:225103:225702:1 gene:GSPATT00006322001 transcript:CAK65823 MPQIYDCRSTILKLVHKTGLLSTVFQWKGNHPIVNEQEIQKSQLILNELKSLILELEITL KEETQNQVVEFVLPEVNTKFNQLEFEDLRKQINDWCHQRDWEQYHTPKNIVMALIGEVGE LSEIFIDGEFLPGLPELNQQQKHHTGEEIADILHNLIRLCDRCNIDIVTAIQMKIQKNSI KYPINKVKGSNLKYDEYQK >CAK65824 pep:novel supercontig:GCA_000165425.1:CT868041:225765:226732:1 gene:GSPATT00006323001 transcript:CAK65824 MIYSQHPYEQPINYIPQGYPMYYEHYQPYQPPFEHYQILSNVIPITEPIQSTIILPKETV IERHVPVQKPKQAQIENPQKEKRKNFFNLDNNPYLFSSARIKNYQQQQPTQGYQQSNDLH QIQLQTNRLESTQRVQEPQIQSVQQKNLRESQSARIFYPPQPIQQQSIYHQIDQNPQTTQ YYPTSNIQQSKILEQKDYIIQQQKPLINEEVPPIQTQSLHPQYQATAQFQQQLSKSLFDQ PGRQLKESKSHIIQSTIEYNAPKQRPGINVDLNLYKSSSQSLSQSKLRRSKIFD >CAK65825 pep:novel supercontig:GCA_000165425.1:CT868041:227264:227686:-1 gene:GSPATT00006324001 transcript:CAK65825 MNINGSDLQGEDYLNPSVNISKQQEGNLCIKQKNGHWDDNEHSIYLEFLSNARGDSYKKG QPLFKKMSEFIGTRSPSQCRSHHQKFNPQNFETKNSKKKYFKNRIKDSRQPILRSKQIMR KYFALNRETTDEE >CAK65826 pep:novel supercontig:GCA_000165425.1:CT868041:228688:229536:-1 gene:GSPATT00006325001 transcript:CAK65826 MYQQATQYPNQKYKTQLCRHFTSNGVCALGLRCQFAHGPQELRANSLQPGFVEQVIPANN FNKVQGVNPMIVNYKTQLCKHFNPQTGQCKNGPTCTFAHGEGELNTMPYLQSQYQQMQQQ MKQMNQQQLQADLTQQILIMILTNMEHIFPGQQQILYLLKQGQDKAKQGDTQGASDIIKY IIHDQERSKEEKQQYQQIYNNAQRHYDSKLKEIQSQQQQQLY >CAK65827 pep:novel supercontig:GCA_000165425.1:CT868041:229669:230095:-1 gene:GSPATT00006326001 transcript:CAK65827 MNQIKYSFCTVRNLLLQKLTSDFTPQHLSIINESNLHSVPKGSETHFKITIVSNEFENKS HIQRHRSIYQSIDNLKNDYKIHAIQITAKTSNEWQQSTEVNPTPSCRGGSKVKSS >CAK65828 pep:novel supercontig:GCA_000165425.1:CT868041:230210:231150:-1 gene:GSPATT00006327001 transcript:CAK65828 MRFNHVVIPSNTFYKIELDLIIIIEQTLSICSLMILFFCHLDSDKFYVYSINELDYQFIQ YFQMGKFLKPGRLVVLLAGRQAGTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXIKANEESTKDAKFPNGLVVGIQRYPRKVTKRMGQKQIRKRTTLKV FIKQLNLNHIMPTRYRLEESTLKEVRDRIERVKEAELKNVEKRKDLRKNLRKYLAEKYRT LPAGSLADKKAQSRFLFSKLRF >CAK65829 pep:novel supercontig:GCA_000165425.1:CT868041:231192:231885:-1 gene:GSPATT00006328001 transcript:CAK65829 MSISLEREKHQNQLSQERLIPGQVLILLAGRFQGKRVVFLKQLKSGLLLVTGPFKINGVP LKRVNSAYVIPTSTKVDVNGVNAGQVDDLYFKRTQAQRRKNEQGFWAKRGELTAEQQTAE KTRLEGKRKTQKSIDDALIAAVKKTPLLKQYLGARFSIGKTTRPHDLVF >CAK65830 pep:novel supercontig:GCA_000165425.1:CT868041:232958:233758:1 gene:GSPATT00006329001 transcript:CAK65830 MIEFFNQLINDFVDQKQQKLKKGSTNLSINQNDLNTQLVKYQEGDEYGMLTKRWRTQTVF ENFLENLNSQSITCKLQYTKHYSVSDTEQFLDISALTQDELQIYNVVEQIIKQQMIKIIW NQLGAFFESWDEELDSKFNAILKDINNTNKLILKNQLSLLLEEYKNINQINTQIQVNIET NYKFDIQYEEQKAQHIFLQELKVQVSNYSFNDFQTFVNTIKSNVKVRINKLLQSNCNVRD FKINS >CAK65831 pep:novel supercontig:GCA_000165425.1:CT868041:233764:235739:-1 gene:GSPATT00006330001 transcript:CAK65831 MAAQEMNTENQKYLPDQEQYQLNPEKVNDQIFDQDGQQQNQKYCETNPMSSVLNQVYHDE DPKLMKRDLVKKRKQQAKKERLISARRLKRLLRKKPVDKVRLAYQAYFFIVSVAVLTLSI LSYRDQTVYEGDYKQFLDNMGSYVIDDIQFTYTNKNCKSQFGSEYSSLYEYYWSGTMIGC DCSQGFNFSLLQDQNFVNIEEFFKQSFMLGRVCSQEMLAKGCHNVNEQQPKVFNSWNDGS YGRPFVLCARRKTGISLQTNQSYCESENKTVCGTGDNIFCVPPDMSCPISEIGFTTNSTF KNLQKLNDTNKGNIYDLGKGFYFYYLKNTSNLPVIEFRATESDKVCRRNLNQNISPNRID YPLMIDRRKQCENTDPLFKLIHSIDEEQFYLSNNVVYLKEKLPYFNIDTKYNWTIHSKTY IPWAPNCRGELFDDVIHEGSTLFFVYVSLRVQLAVTITYFIVIGLIFNIVGTMTACNFAW SCMTTHPDSQYNYIFIIEIAFKIALQIAEIIVICVSFSIIDGKRLVIKDINENNCVSDPV SDYFFTNLESDLTNFAWSYNLANLVIFSVTIILDLIILKHSFNKGHHHGAKKHHEKKEHQ ELDIGSHGESANLQDVKSDQKLSVKGDVLQTPQ >CAK65832 pep:novel supercontig:GCA_000165425.1:CT868041:236436:237526:-1 gene:GSPATT00006331001 transcript:CAK65832 MKNNESLSSYAVEKRIGEGAFAVVFVATVQESGERVAIKKAPLDKKYKNRELANLKLLGD HPNIIPLKDAFYVVGQNEEVFINFVMEFIPENLSDYIKNLKKQKKQLAQIELQCITYQLL RGLAFIHGKGMAHRDIKPQNILIDRMTVKYCDFGSSKIISGGQINTSYLCSRNYRAPELI FGATDYSTNIDIWSLGCVFAELILLEPLFPGESSVDQLVEIMKVLGTPTAADIAEFNVSN TDFKFPQVKGHPWSKVFLKYKPDPQFVDLIKKMITYQPQQRIKPFMALMHPFFNDLREIK NEEVPNLLWQFTPEEENIFGRQPLKNLMPSWMQGQK >CAK65833 pep:novel supercontig:GCA_000165425.1:CT868041:239082:239351:1 gene:GSPATT00006332001 transcript:CAK65833 MMSLKVGVLQMLNQSLTFKEEFHNVQKTIIIFLVNFKLKCVQPIYNQGNILANLQDVTVV SATIDRLCKERNGKFQVYISPQSQSHLIN >CAK65834 pep:novel supercontig:GCA_000165425.1:CT868041:239679:240483:-1 gene:GSPATT00006333001 transcript:CAK65834 MKKKMIQQKQQNFLINQLMVVLIKNSRNQKKDQLIYQSTQTIQTLNLLNIKKEKNMVCYL KDIECNFQSKTFQKIQNSQSITCKLKYITCIMDDTVSDTEQFLDISTLTQDELQIYNVVE QIIKQQMIKIIWNQLRAFFESWDNEFDSKFNAILKDINNTNKLILKNQLSLLLEEYKNIN QINTQIKTNIETNYKFDIQYEEQKAQHIFIQELKIQASNYSFNNFQTFINTIKSNVKQEL KNYYNQIVMQEIIKLQFDFV >CAK65835 pep:novel supercontig:GCA_000165425.1:CT868041:240624:241049:-1 gene:GSPATT00006334001 transcript:CAK65835 MKGWQNQYEIAQKVNREDDYYERIMQFEKNDYQTTTQSKDLLEYKFYQSECFSLGQLIES LNYNFIKIYKGQLLIIILEILKQLQQLHQCKISHGQLIPQNIVIKLKNDKNNKLTIIQTK FTIQQTY >CAK65836 pep:novel supercontig:GCA_000165425.1:CT868041:241112:242522:1 gene:GSPATT00006335001 transcript:CAK65836 MQGLQTIYKFTYPKKQPLPEVHFICKREDENCFFYQLEASNYISLDVLLKAYLTQIIRLS IGQCLILIKLIYEKVIEVYRKEGKTLPKITLENIWIYTDNGFSGEIRPQKSMKIIFLNYQ DENVSIEENLNQLQFINRKIKTICAKTEFKIRAIDGKNSHLIQQITNVIDDMFYDIEMCL YQSPKEIKDRIKKYVNEDTQETDYEYLQVDEVSYIQKNFENVIKKLNEIFKVDCQNELQL HRKLLTWQQTLFDEFIETTWDRQGYEIIMRILDNFEDKIKNDENMTTEIFHKFFRKLEEQ GFLNFNSKKLLTSSEIKFNRELTLSLQNEKSNREKQEKDKDVLVGGIEQMFAERVLEEKE DANDFAQFKLKKPIRDKNLIILKDFYKQNAQNRYKLFDRFYYTINFEEKWTNYIKVEVLP REKKTIQVDDEQLDKTLTPLFEKLLRENKIGRNNQEEKPKYI >CAK65837 pep:novel supercontig:GCA_000165425.1:CT868041:242654:243213:1 gene:GSPATT00006336001 transcript:CAK65837 MGNGQGMEEQMGTFQPQEIKRLYKRFQFLDKDGSGQLEPSELFDVPELSQNPLVKRVFQI FDKDNDGKISFAEFITGLSSLYGNDEEEKLKFMFKIYDIDQDGFITNGELFKVLQMMVGN NLTDVQLQQLVDRTIIKADEDFDSKISFAEFKKMVKDLDVASKLQMQGV >CAK65838 pep:novel supercontig:GCA_000165425.1:CT868041:243263:243901:1 gene:GSPATT00006337001 transcript:CAK65838 MQIIFKSNKSFCNIVCQSPIIVVKVLSQKSYVNFFISQQGNFQRSKFYKSSVMSNPNHTR KYKILPIENNTQLQFIILKKEKRIVFQEFNLFLNLITIFISQIKFPQNILFIQEMIRIST CKGDQSIDQDNEINWCFEQLQTEVSAKRHETKIRTDKNNNEINGQNKKFSITFRDEIFPK EGLADVQIVENWKIYNVNQERTAANDCSCQIS >CAK65839 pep:novel supercontig:GCA_000165425.1:CT868041:244175:248200:-1 gene:GSPATT00006338001 transcript:CAK65839 MGNSIEINQTLCYSTIQGQEILLPFKGLKGKQNFPKVPPREKDIETMFQKWLSKIVIGRR EKEKLKGIPLKYKFRLCCKHDQIMQEITKGEQYKQKVMQETDKLIQKVLSQQDVISLEAL SRNLTNDELLEHKVTLIAKVDLITKLVVELKTQELLSRNSKNFRDQIVIIKIFEKLLHHE SGVQLLCDTSNFFQIMFSTFHPVEPEITGLMLQVLGGKSGLCWQPECLDNILDAMTEFQN NHRLQTKFDVIIRSIYYTKNLIIIYHLLQFLFNFLFSVEKPESDALNKELLETVINGKRI DEIFQQVKIRIVKDYYQIEECTYQGVRQKLAQFQHPLVEKLNQDNEFFSMSRFKTITEKP PKDSIKPNVEFDLEETGRIKFENCFYFEQHDIKLFSILKVQIFELMDGILNIDSILIQIE NDEMEQTEIISNPNDVFNEMQTLAEVDEEEEEDNDNRLVSFSKIKNNDQFRNQGNMIKYA NANLQQEYNALEEKHQILFSKYEEMEKQCRELQLKLIDEQDKTKKLEEQNEKLIYLSTSQ NQDQINNLVQEQIEFLKQQHSLQINSLTDELTLLKDQVQNSNFVENQLINQIEMERTKVQ TQKQQIDLLQRYIDQYASMPISDKVDEQQTETQMSQTAILNTDKDTQVILPETKSKEDSQ SEKQEKQTDKSEQNQIPQKDILDQDNQNQLNANNNQNKQIEQKNEIIIEKKQMIHSESQT IQKIYVTKEVQTTFEFPPNPSTQVTAQEQKDKQLIPPAEPIKINVASPPPPPPPPPPSLK SGGPPPPPPPPLKGAQQAPKPSDSPFPFNKKELIPSVPTKPLNWILIQPQNMKNTIYEQI YQESYDIDTKYLETYFYKIQSASSSQTQNSENQIVKKVVQTKIQLIATDRSKNIELVLGK IKIPNVLIMKSLLSIDLNILTDSAIDSLDTIIPTDEEIKTISEFQGQRELLGVVETFIDS IRQVNGFQFRIRSLKFKSVYDDYRQDLIDKMTILTSRFQEIRNLQGLQKILLITLNIGNF LNAKTPRGTALGFKIEALDICAEVKTSDNLNNNLLLYIIEKTEQIIGGEIISEEKMKAFE VLQRVPVHQLVVDLGDIKKGCSFIKKAMESQTDDQQDLVQQKFKDGYESITKDIEELDKR INLLEEEYKQCAQFYGENPKDPSDKFGDKILKIFRQILRQKFEKLQREERAKRQEALKKR QMLKSTPLTARRADEGPKPPPKEAQRQSVLKPILPRQSIKDPTKLEVPGNKGPKKSFIAN EISQLRQMKQVRASILTINKK >CAK65840 pep:novel supercontig:GCA_000165425.1:CT868041:248307:255226:-1 gene:GSPATT00006339001 transcript:CAK65840 MLIQQRQPLIQFDDFKSFLDSQWIKINYLSQAQSIQCPSDFVQCLEQNKERILIDQEQLA MFQSSNQKIVIITFFIYGYHITYIEKQQFRYNFFKKFMQSIDKIEIKTLEYLQQIIEPQD PICKSILLECIIMLLHQSSSLLEINAQNQIEEVQDDYNAIPESKLFYKLAYIHLVNQMKD VLLKCYNEGQILLQIFIRQLLQSEELCSKIPKEWAMKIRYHDTKFIIDLMQEYCDCSDKL IHVTRILLKQTFQYNEESQGKTSYQIFSNLQKLNNQTHLSLQALKIWLISKSLTVFQQIR QFLENTFENSNFQFEYDQQCIDLSFEILNNIKSQAFINGTNYNEQLLEEVIYIFDVVWLA LEFQTCNQLNDQKRDFWLSYIHGLDKLLFSLTPVSKQSNDIKSCAGLLVILRYHHNIQKY VSSSSTILLHFIDQLYPLDQSLIKQNLLIQNLIRLSIEFIVDPSLSSNEGIMATIEKVLM QVQFISPNLKQKIFQYIWTKLNPEDRFYLLVFQMVVTLYLENKDHSLGTRNSFFISILEM ESQKKIIHPQKFHALLQICQYLCLENASINVEQIFSQNDPYANCLYFKQFKVNRSFQDFF QVNNYYSLIINLISGINNTTNLVYQLKEFIKLLWLLTEGLKLCQIDFADEFISYLEQNLY LFTDDQIRELIELLFDCSVSMISRFIRLKNATNTAEQYGEIIIQNEFCLKVLVESFLFNT TPSEQLRLKCLHFLGILISMNDYNKMIFREAIRLSNFLVCMRNQKNKNLQKSMEEVLKRS LSFIRNDQIQKLIQNAPKESQKNKVFRQSAFSQYVGATLENLSNSGSETKFQKQFQLLGK DSGIIIKNYKDICVNKSWKYFTILMEFKREGFHFINSNAKSLDEIYKKEQVLFAYTGHIE RQEKGGHQTTNQKQNIVQVDLITVSLINQFLYPEDPLNNLIKVAILNSEQQMQEISISYT QKSKDETILLMFMFDDRHKGKFIINIQNQVEKPFQVKPFLLEYIKKHSEKHPLSVNIGSY YSNQQFQKTFQGVINNFILIENRLLDNQIKSIFSRNQKNLVETVEKEIMNFGVDEIQSRE MQYLDFEKFKSSYQIAEIKDVLKVIRAQNIQDSNFIMNMIKKQIQHKITYELNQEAKVIY QGANIIEDTSLAEVFLSLENIEIILFIISITTQTYFDIEPQERRSCKLRSVKVVLQNGST SSKGVYKRTNYFDSLSRSLFFVKDLRKCRDDYINCQQFQLNLMKQNSSMISQGESPEQQR QNFIQLESEGSNSNTNSIKLAPQNQIATQQNVEYLDQTSLFNIPIMQVQNTFSQELQSPF IMKSNGSEFRRNSPGEAPQIEIQNYVSQNEKLALEQSSIYRKDKSQFIGRRNTRNQTCNI SAKHAIQITKNLQNMAEIQSDKNIEWHQQQSESMLLDSNLYHCEWIRVKMQVYGELKLLE KGKVIQFQSDGQERPDKEFYIYGTIPYNLKKLKKKKIINTSQILEIQTRRYSHKEIAIEI FCKNTKSYFFVLYDQERRTQFLNQIKQNNFFTIVIDKRGEFQAKEYTKKWVKGKLSNFEY LMLINKYSGRSFNDLNQYPIFPWVISDYKSKTIDLTKREIYRDLEKMISSQNEERLKNCK IRSESLKQTQNEFFLFGSHYSVAAQIINTLVRIEPFTTLSCELQDGKLDQPDRIFFSISN IWESCQNDNQDYRELIPEYFYLPEFLKNINKIQFGQRQNQDQVDDVVLPPWASSCEEFIE INRQALESSYVSEKLHNWINLIFGPYAYGEEAKKKDNLYHWLTYDSCITYIEKLPSQERL GYLAQIQQFGQVPFQLFTKPHWPKQKQESFQFTPINLIKLLSERKSLNSKKIMKFDKKVA IKIYKESENLYVLLNDKQVYKLKFNSNQERRESDDKLANASSFSIRGNEKLHSKELQFQF DDHVLFVCGYLSGAVYIYNLIADKSQSAQISHKIKLHKKRVTCLSYSPKLKILCLGAKDN RVTIWKAIHSNDKLISFFSTPSLILYGHDRTIKCVHIDDTLQVVISLDKIGKLQIHSIIS GLFLNDIKLDLMDGEKVQNIVTNGNGLIVLYTSKNQIIATRVNGLNIQRYSYNNMGTITE ISIYQQSHLLVSTLKGEILIIQDIASLPDQPPIFFHLYQNTSNVGIKTFTQYMENESFVL LASLMDGSLYKVVISCETNVEFQHYLNRLGM >CAK65841 pep:novel supercontig:GCA_000165425.1:CT868041:256067:264281:-1 gene:GSPATT00006340001 transcript:CAK65841 MLKSIVLISLICSSACMFCNYYPMDPLSCISKTDGIMCNYLVLAVGQFSVFENACVPTQS TNLGCSPQLNKRACLSQLRNIDGNEAKCYFGTRCIEAKEHYLQNLGCSSLFNRNSCANVK GKTCYWDGVYCQEIKSQFVEAQNCKSAYNVPVTPSACALIVGVKCKSGLFQNDYECEDVS DTEVNTLGCKTEGLNKETCLQIQGQKCIFENNQCNESAKNNGCDDYINKDSCLAIVSSKI TCVWKQNKCQVLILDNLKTCEDYVNVSPSVCASQEGYCQFKEGNCIKPSIHNQKCNDLGL SRLSCLSIKDDNCTFINNRCEQLNEVQLSQISCEELNEDACVNVKTQFQFCKWTGEECVG MFINQDIDCPIQNENSLFKFNGNICQAISKPGVKCKYNPRSHLCEKTIESDTCTTPFLNL NACVSILKNACQWTSSGCKSATIIQEQTSCLDLGFANQIACSQILKSDEDGCYYDINSQQ CRLVNDQLLNEIKCTGMGLNRIGCALVLTTGQLCRWYNNQCQQIKHKNDVQAIYCLQMQY VNPATCALVEAGNEVCRYEKNAKGCVNSLNTETMSCSFPGLNAYACAQIQLKSCYYDKDL WQCKQITVITANTIVKKQTDKLLLNSDCVTSSPTPDVCRSITKASAKCSWLFRENRCSNQ YVTFNESCLDYNSSYTGKSILINANVCASIEMEQPDYDALKGPLIDKMKGYCIYEGGNCT VFKGICGTPCCSEFVGINSHVCGRYSTGQYCYFSNELKCTELTIDMVDITIEKQVKDYYN TLQLKCSYMNKNSCHMIEWSTQQRCYFNGNLCVNINYSLYPNLAIFTDAAAILNKYACLA IEAMKTDVNSALFFGYEGKHCKKDPDIIDVTDCGSTELNSNACQMKYPEIYCRWSKSELK CQSVDSYYVENLSYCDQNLNEFACVNIQKASCFFSYQTHKCTDAPTLVECKYFGSTTGTV SRLACMNIKLDGQICGYDDKKHVCKDVNAESDACDLPDGNSIACYAKTKGDCRWDDKEML CYENDKPLTQLGCEDNVNIAICLKITTQPCEWDILKLKCLRLKSNQSQLIVADNLYNAEA CVQVFGAPYRYDPTTYLCSPITAADIYKEQKKPEDPLEPNILCDGSMLLNVDACLFSTML QKCYFDKAQSADKRCQAFTGIQTSCDSPYQISLQMCAEVPQACYFVKSTYECKYIKVEDS TKCSDLRDQSDEFLFNKIACASINYEFAEYGGTLQCFKSPDPDSQPDQEFLQQCRVEKYC KWDQLTTTCQLMSLKNLAWLEAQVDITDADGNTSKGIKRWCEEEVIDFDDDCSNIYSKGA CLQLSSVCAFDIQMGGCFEIAGNEKQILSCEDVIGDNCLKSRNPNAPCIIDDSTSIIFPK IDLCDGYPNSEEQVCKQTAPSKCKDAEGLGRVSPIVCSKVSDNCYYDGAKCSSDTKKKKC NETFSQLACLSNGCDFTLGYCQQVYTSPSFDQTSPFYLYQCQYISKLSVSSLVKRQACVQ MDFPCAFQNEQCVASAQATCSSLNQLPVTKQTCIYCIGGSYAYDSTTNYCNSLVTQQTGC QDANKDGCLSMTTGKNCTWKNGQCVEQSDSDVSKLIDCSLTNSYGCPKVSNSCWKSPSTK FCSMVDIYSTCKLIKQQNGNKLACIISNLQSCQWLDNACEDYSLDSLDCTLANKFGCLNL TKVSCGWSEEDQKCYSILYKKPVASCTNFFDSTKKMIKFNAKSCSEIKDVACFRDRTQKC NSIGIKDKITCGTTGLSKLGCYLNSTGYCQFVDGQCQAIPDLSKVTCSSPINLVACFSLN LTCKSTNNQCQDYDVSAFTTIAQITKLETFPYSPSVCQYYKELEGKQLILIYDSVKGCCL NGDQPKPFIYACNSPGLNELTCLKQTKPTCQFVNGQCSTLSNKTINESKICDPTFNWNAC VQLKLKCKFYQNKCQPVEDSETCVSLANIQASPLTCSSRLIDQKACIFDSVTYTCIISAS TTLSCTQNGLNKYGCIMKTDLEFCRFNDITSTCISTYENNLTCQHFTNENKCLFVKTAKQ YCKFDNGCTAIDPLQVVSCYNLTPTNPITCTAAESVACKYDRVTQKCVSVLAAMDLNEPQ SNMISFNKLACMKYQTDGKQVIYTTEGCVEVDVEDLANLTCDQPVNQFTCSQITNPTQYC IYKDYKCQFILPKDITVKSCESIGYVNQQEYCEQANDVPCKFNSFTKLCEQIDLDSDPIT SCVRGINKQACESLASCRFDQFCLEAAKSCSGSADCTNVSAQPCKLDNSNNCVLATELAT LNCDKVVNKFGCIQISTPLQYCRFSSSKCQQEDLIKFKNEECENITNINSPYFCEQPTDI ACRYDQFQNRCVESLPTDNLDCIRGLNEYACLKYTKPTLRCRFTDFCYGPTQPMFECDNT LFDCCNKAPDMNTCLLQNLLDCQWDGTKCIKYTNPITTCTLLNTSKLTCIKSTDKNCVFN LSTKSCVQIAEPTSCDQLQSAEQCQMVLSLPCIWINEQCKYHESTVFEQCADITSAFGSM RACLNIVRSGQMCQFKEGQCSSYFHDSPLCLDNINKVACVSQATTKCYWKVETVQIKKLK TSQPIPVQFGTCASFVDDKITLCNQNLSYLSCLSITTLNQNCKWLNGLCISIPESDSIVT PQTHALANVNACALVNTEPVYYDSKQHFCVKVQPTDSIACLPPTPGLNMKACLTITNQRC RWNFEKQQCEFSKSKISRQL >CAK65842 pep:novel supercontig:GCA_000165425.1:CT868041:264353:266563:-1 gene:GSPATT00006341001 transcript:CAK65842 MSKFKDQPTSSQIGCGCFGGSYQKTMTMFSHIEIDDEIVSAQVILEMIYRGQNALYALSR CKLNPNLVLLQFRDDLEYFIPQLINQILYKQLEYVLNFILKAAEVDFFFAHTFFFAWRSI VNDNSPEIENYLRLFLSTLDRVYKKTLLIAAYQENHQFNQGIEQQISKGMPIVQQTNILP DRIQAYGTLFYSTHVFDDTLHLANYESIFREAKSSGFCSNIDFWDDIIYISQNLHLADPK IISLKADIQKINRGLPAAVYVPFQGVRSYVVLNIVVDECKVFATKCRSPFYLCLEIYRPE EEAELIPNPLRNSRMSIPKTVGKMESKNSIENNETQDCTRNRPTYLCKIVEEEEEVEDSQ QDLTQFSNILKDNDVSHSYYEDLNSLVSIQANSSKQLNKMSKKELADSIFGCDNSDRIRS NSPFRNFKSWKLVHLIVKSGDDLRQEQFAMQLISTFDQIFKIEQLKLQLTTYEVISLGPG YGLIEVVKDALSIDSIKKKLNDILQIKCLSSYFNLNSSQAMISNFLNSLVAYSLVCYFLQ IKDRHNGNILLHRDGYIVHVDFGFFLSHAPKGSLEKDVPFKLTEEYMQILGGYNSNLFKR FRKMFFEGFKAIRKHKDKIMLLVKMMENSNLSCFKGNTLIELERRFLSNDLSDSQLYVQC QKLIDLSRGNWRANWYDKYQYYFQGIMY >CAK65843 pep:novel supercontig:GCA_000165425.1:CT868041:266584:267954:1 gene:GSPATT00006342001 transcript:CAK65843 MNNSSTSNSRQSAQNIINKYEQNNNNEDRFTFQVRPNSPRETFGKQAQPRNGQVQILREI DQANLSKMLSDFSIVSEQEESMCQNQFNNPIGIVQPTQVIQQKQQEQAQENQSYRGTFGK NPYFQPKAEVTEIIEKSYLEEELYDDMSVLKDKVMGLLQAYADDLKKSNHDPNIKSKIQV DAKQVLKEYSQIIEKVNQSEISQLNVSQNQVKKESELNLMGNLVAEFLNKFEMQKQPVLN VSQVLEQKLAKSRVQNTNQQLELTVMHQIPCDETFYQHDQYNDDKLEIKIQKLRQMLQDE LDKQQLVYSKKILSDMISNLNQILIANDAQTVKTIIYKIENMIKQLPQEDCGSIQLYDYM AKAMKATKRRIKQMDPQNQQQEKTLKRKFFDLATQLKNKLPQDHPGRNEMISVLYDLYCQ ANKPEQMEEFITNQLNLAK >CAK65844 pep:novel supercontig:GCA_000165425.1:CT868041:267969:268803:1 gene:GSPATT00006343001 transcript:CAK65844 MNNQFQSHQGKQQFKIKSYLQGRVLKAKKLNNSFLFHNQRSIALLKTGMLVYYSKCVKSP QENADLMKSKPKYGVYFNNALYSCVKISNQEVELIVKFKKSQLYKFDDNLINYVEEPRSQ DIVLWIFVIQMDQLLSKNNCDINKGRDSLNSLQNFQGFCFDERTQKSNYYQAKTEKTSIE QRINTNDVKIKKRVQWLDNFTQQQIVEQNSQNFILEQNIQNTQQKQQEDEWEINQENTDL SVKSIQIRMGSFSLNGSSKNNKLE >CAK65845 pep:novel supercontig:GCA_000165425.1:CT868041:269367:270113:1 gene:GSPATT00006344001 transcript:CAK65845 MGQQQSIQKNFFWPSKGEIEVWTVQQLKILSEADSRLSEQQNESQVQHPVQYSLTWITKS NQILFTVTRKSDNGKVKFIVDQGTGKLIKTEYIQLEKDEISIFDELSPQTMWKCWVEIWQ SQPKKNDYILNKLESQENAQSECLKGSVFNPIVKLYRERKEQVQFITRQLFYTNQNDNAK SSKYKINMQFCKSQIWMFNWDLKEKVVPQPCFLELQDKLQSDSLSFYQYTLN >CAK65846 pep:novel supercontig:GCA_000165425.1:CT868041:270152:271247:-1 gene:GSPATT00006345001 transcript:CAK65846 MKRVIILIGFICLFFCNANEDDDLLLGLEIMKEEDAINIKYKPQIFAENKLNTKSQQSSK GHQEQESKSDKGEDQNSNEKSDQKGKSDEEEQQQRDEQKSKSSKSDDGDQNDNSKGTKDE EKSQNENKNKQQNNHMSQDDNETENESKKNKNSNKNNSEDNDDENKGEDSNVVYDNQEGA GGEDEQQFEEVNWDEGGEDGGEGNQNQDEQYQDEQGEKIIENLSDEDLGKVVRELLRGDG YRGEYLFEDDTKSTKPKKANLEQNVDDVCFYAYEECNYQGRAIRLCGRFPTIPPQLSRMT VRSIQIPRHTRIEFYSSPDFKGEMQSFIGSNRCLINPFTINNLSGGIFAY >CAK65847 pep:novel supercontig:GCA_000165425.1:CT868041:271747:272268:1 gene:GSPATT00006346001 transcript:CAK65847 MNSLTQFQLLLTNKTSNTKMFLTRQQIIDKVKKEKELQLIQSNRIQNKKISKPQSVMKVS VTKSSTQKLSQQKKTFSCSKFQINELVPDYELICKNSNKSLQNPQSTLNTDYFNFVNTKA KAVKDLQERRDEFQQIELNSSQILSGLGQYQQMKNELMKSFLDIEQMLLRKTH >CAK65848 pep:novel supercontig:GCA_000165425.1:CT868041:272314:273971:-1 gene:GSPATT00006347001 transcript:CAK65848 MKRPKSAQAQKLSARNKEEILEQKLSPNRPSDQKQSLTKTIKLKVQPNNNDIGDDNQMTR SDLFTRKKSDHFTLFTSFQHKIKLQQNEIDILKQQVQLQQRLAENIPRDFQLQIQQYEEE INRLRSFLEEALPRGSEPKKNQIISYLSKIQEQKGEINKLKLLNDNLQRELEKLQIIGKK QQEQLMKGNQPSSYRKQLNQNENQNDDIKTIQQQFNQQLQEKDKKLLELTKVQQQNQLKL NSYFQNIEQLNSQLQAKQNVIKLIEAENQKLKEESKYIEDQLQEQQENINELIQEKQSLE QNLQQIEQELKTKNEKDKQNIKMLSIIKSVSIFDYHQPPKKVDAVQKIDKPKKELQIFTL KNEQVICKNEIKKHDFGCQCELIIKDEKIETKSKDQLENQDFVVDHKQQIQNSSFQEQNI IIKNDNAHLKQENIQKQSINNKVELEIIEEIVKDYISSFLTNFQSHHQPSQNSQVKFQNH DELAISQNISNQYLDDFVMSFSTEVISIIKPEVDNYLQGFIEKQKL >CAK65849 pep:novel supercontig:GCA_000165425.1:CT868041:274051:275347:-1 gene:GSPATT00006348001 transcript:CAK65849 MKSVNILKMLEANKENRNFQFVQNRQTRVQSTLYIKDELSQQNVKPRVIRVSKWNKPQVA RDNGKREDQRHISYEMPRQKTDQYQHIQRTLKNRSLTNIQISIINQAQNNSNVNASISLP NSNKYLVTKGLDKFTSTENYGNPQLVKVYKQDIFNYLEELNQKQQEQQQNAISYNAFYSQ SQITLKMRNVLINWLFEVHHKLKLQLETLLLTVWLIDKFIETHLVQKNRFQLFGIACLFI ASKYQEVYGVPKSGQLSKLCDEVYSKEDILKAEGQILQNINFNLSFISPLRIFEHIAEVD DQIRLIIQIQLLASFSYGFANGDPFLIVGTILNIVGKISNKKIDSSILKVDSNQISKLTK ELIQIWFLDEVSQPFPSLIKKYQLSHYNHASTINFSQDLFL >CAK65850 pep:novel supercontig:GCA_000165425.1:CT868041:276392:277541:1 gene:GSPATT00006349001 transcript:CAK65850 MKKSPLFIYSTRIGAYYHLTPFNQQTLNLETALISAGDFFHMENTLKKLESQPNMSFRKY INQEKKTLYMSSIDNYKMKCVERSSNYDGVKITQLGGNKFIKNQQYNNLARLINPDILVS LTEQSEIQTGYNSIKRSAQKSAKFLKESLNELKDTQICIFGAIQGGLDIDQKIIAAETQR FAADYQNFKGLTLYGFNQEDSWREKDMAISAIQTVFHEYNLQYVLAGHGEILSILWGISW GIKGFEIEEPFRFAQNHKALLLPKLEAKKTELQCLSGDRINFYELEGKKIRFVNFAVTSD SKENVPIDPECSCVVCRKHTKLYISHLVECKEMNSQVLLTIHNIHQYVQLQQKLEEMHNQ QQLNQWIRWVLQQL >CAK65851 pep:novel supercontig:GCA_000165425.1:CT868041:277581:279172:-1 gene:GSPATT00006350001 transcript:CAK65851 MRQNIFTNNQRPPSTTKDKMQQQALPNYPLLLNKTQSTPTQEIKTYSPFSTYGSSTLNTQ SQQTSAIEYNIQKQYPSQINQQQQLSVMTTSLQTTQKTTSTISSMTQSQPEQYQQKSMIN VSKGICGLRNIGNTCFMNSVLQCLLNVPAFNEHFLNGDYLKDLNSKNSSVPNEYSKLVST IRNTPNFQSIAPYGIKSAVEIVMPCFRGYAQQDAQEFLVGLLDGLSLGLNRVKSKPTYKE MNDNVNGRTLQDLSKEWWDYSKSRENSLVLDYFQGQLLHTIKCSVCSYSSFAFDTFQDTS LAFTRAFKILEDMDLDRLLDKYVIEETIDDYYCSKCKKHQKVKRKFTIWRLPHILMFHIK RFDYRRFSSDKLNHRVKFPLELDMTKFIQDSRKTFLILVDQSTKNCQYSLCGIVNHSGTL YGGHYTADSKNPYNQKWYRYNDSDVREIDIKQQRYDTDGSSSPYILFYARKSLY >CAK65852 pep:novel supercontig:GCA_000165425.1:CT868041:279190:280901:1 gene:GSPATT00006351001 transcript:CAK65852 MDDLDFEQFQRYIQQEHHQSYKHDSKLNDKEKDRGHDKNKDKHNRESHKEREKERERERD KSAEKKKKKKEEKKDKKKRSRSASKSSKKKKSKKDKDREKEKEQHQQAITTQILMTNDIQ KKREIAKQRKIEIMEAEIRELERKIVEVERELEEAHRQDLTVLMYCLPLKAKEKHIYQFF QTFNCGKIRDIRIIRDQKSGRSRGVAYVEFYQEESIPMALALNDRLFIMDGQQVGTIPVK IQLSQAEKNRAARDQKNMQIKQNKLQSIQDLQNMNGPARVQITGMAEQLQKINEEDIREA FVPFGTIDTVEIPKDEQGRMTGVLYVTYEKAESARNMIEVINNQQFLLNGKPIKVQLVSG ANNYMDLQLDDDLVQNPVMRITLMKKLMDDNLIDNINLPPLMSQLGLTVQRILNIIQSPN PSLGCISGWMPLKHNPPACPCITKVIVLTNMWTDLEISNQAAIVELKEEVENECKKYGEI EMVWVDKKNEGNVIVVFKQWEAAKQVNVLMNNRKFGNKVVQSYFITESQFMSIIK >CAK65853 pep:novel supercontig:GCA_000165425.1:CT868041:280941:282170:-1 gene:GSPATT00006352001 transcript:CAK65853 MKDTTRRIFEKENQAEVSNNIDKRANQKSFTQLVSLTLLNRQPKIEFKSIDIKQQPQQQN YLTQRNPNVSSLHYRPEVKQKTTVSTTEQIFSPITVRQTTSISQCNQDNLNKEQKNPQNK LVYLYGDNILINYQAQDSIQKCEFLKKHTISNNLRAKMVDWMVEVLTSYKCKDQTFFMAV RLMDTFLHLTTKQHVPQDLHLVGVTCMFIASKFEEIYPVKLQIVHEKIAHKKLSKDEIKD KECQIAQALDFNFVGTTISEIITMTLQLINQYQKLHQLVIYLAKIVLYDYELISQYNYSS LSAACIIIGCNLLCQESSDEIISQTLQVLNVDKDETVELSNKVLNLAKNFDKLFPNLENL KKFNKNSTLDIIKLQK >CAK65854 pep:novel supercontig:GCA_000165425.1:CT868041:282604:283158:1 gene:GSPATT00006353001 transcript:CAK65854 MAKTSLKENNQVQDSNTNSEPKLDCNIQLYTIHKLQLKDFFQIKIAVIYFTLRLNYFILE TILLAILTNFIYKFQNLQNILLLSILFLVIMEYSLIIQFLIMIQQGEQAIKLSQFQYFQK ISIVQKQQQLFYKTICPLNIGHIINNRYILMPCVHILNVIVKKIQLNNEHRNVIKFPFFY FILI >CAK65855 pep:novel supercontig:GCA_000165425.1:CT868041:283254:284592:1 gene:GSPATT00006354001 transcript:CAK65855 MFSGGFKGFSGFPFGGAEEESSTSQPQNVDNKSLYELLGVQPGATTDEVKKAFRKKAVRE HPDKGGDPEKFKKLTEAYEILSNPEKKDLYDRFGMEGVKNGGGGGDMSDIFSHFFGGGRK ESGPKKMKAKLRELEVTLEDVYEGKIIHLKHQRKRVCEGCDGKGGANSKQCSTCKGKGVV QKLTMLGPGMYSQSSGPCSDCRGEGTIFSEKDRCKKCQGNKVIDVEKVVEIPLEKGVPEE HDYQFYGESDEYPGVMAGDLYVRIRIKKHPMYERRGADLYTTKKITLLEALTGCQFTLKF LDGSYLNVSTKPGEVISPNSFRTIKHKGMPFYKDAMQEGDLHIQFEIEMPTELKQEQINV LKNILPKPIESKVKFDPNKRIFLEEYDVNNLNSNPEGGKREEDEEDSQPRGQRVQCAQQ >CAK65856 pep:novel supercontig:GCA_000165425.1:CT868041:284648:286094:1 gene:GSPATT00006355001 transcript:CAK65856 MKQARITRLHPILSPPKNEEAPFFDPCPKFQTSYHPKCSLDRIISYLFLKQNDINGKTFS QRRICKLDATQTFDGPSKCRVLKIHPPDANKISGWQNVGGEVSYDYDNGWKTRLTDICTD IQEDINIMRKVLMDEGEQKHNYKARIEYLRRYIEEINKMYHIVNLKEDLKKLRGIYRKSR EEIKSYVKFKGTRFFEIVPQLKQTSKTPTVLDEFKVFKPLSDEKLIEKQKYLDDLFKHLN KKNQQKEVKEQLEQLDQLDKMLQQSGVKIDPIQSDPFASKDITVNKEIEKKFVFEEFIEE SKQYEDEQEGEQQDEINEETENTKGNNQRPKRAFSQIISTKQQDKKSAAQEFNSQHYLFE VKDVNSFGQQIRLNRLNKIMNEMFKEQRNFSQDLQQYSYNHIKPQTVSNISCENLQSLKH KLVQSREKELKRMNKSSVQEIGKRSSVHVSAFYSTATSKQQLKFY >CAK65857 pep:novel supercontig:GCA_000165425.1:CT868041:286334:287111:1 gene:GSPATT00006356001 transcript:CAK65857 MLINNTTVNQKFKVSNNENRNTQESYFKQDKENYYNQWELKLKQRETQLEQKYKELKNFS RKLKIKSDELVQQKLELGEKIEKYNRLLEQIIQAQMSERQVSRNASLTPNNNIIKTNKIG INECQQRVANLLQKIRHTSKTNLKTQQYSYLGNNSDYEEILFSKRQNHSTSLTGIMNISA QDQINYQQQQQQQKQNEIQQQEGINQKSCLLCCENELMNHEQQLQQMETSQRQFYSKVYE EMNKLRQQL >CAK65858 pep:novel supercontig:GCA_000165425.1:CT868041:287373:290460:1 gene:GSPATT00006357001 transcript:CAK65858 MLQQYYDKKVLFLTGCTGFVGKVLLEKTLRCLPNIACIYVLIRQKKGSNLMERFKREILD SQCFDRLRKTYGSGFEKFVSEKIYPIEGDMLKDGLGLAQHDRQIIINNVNIIINCAASVD FNARLDDAIQINVRGPQRFIALAQQIKNLENFIHISTAYVNSDKGGYIEEKIYDPGQENL EQLVTQLLKTPVSILEKNVKDIIGDFPNTYTFTKCIAEKLLVQTRSPNFPLTLVRPSIVG ASWKDPVPGWIDSLVASSAIFFFVGLGLIKTLNGDECLIGDQVPVDYVSDFILTAGAYQN GRKEVSVYHCCSSAKNPMTWALAKEVNAQFWTKSPSSQQFSKPNLTFYKNERLYKLMTKI KNTPALMYYQIANRIGNKEMKIQAKRLKKIIERAESINETFKPFVINEWIFESSKSNLLV EFLSESEKQNFNVDIEKLNWRNYLERFNWGIQKYILKDQARELSEQSTDVLSQRNQQSYF SDIEWCLNNGQDFKTKTAKEQISLVMNSQRVQSVIKQLVEEKAKKYQSATLNLEKFHQNI EKQGVDICEVMFANYNMGVIRIFAWFITKVFRQIYEKVQINEQAMLELQNYNQKEGGPLI FMPTHRSYIDFIMCSYVFFSYKIKCPHIAAAEDFLQMSIIPIILRASGAFFLKRKQLEDS ILYKAIFYEYVQRILIEECYLEFFIEGTRSRTGKTLNPKFGLLSIVSDAVFDKKIPNATI LPITINYEKVLEADTYPYELLGEEKVKESLIRVIKALKILSSNFGKINVSFGKMISLKEW SKQQGLDSFENMRDRKKAVETLGYEVAYRLIEELVVMPTGIVSTLLLMNRRGITEDQLIK RFEWVLKQITMRGAKTSITNNGQSDVTVRNSIGFLQDLIYKKKKNVFELTLIPKQEYKSI LLLSYYRNQLAHIFFSEGIVCCALNGFGHLLSHKEGVSIERLWDESDFLLKLLKREYVIR NRITTKDQMIDFIQYMIDKSSQLIIW >CAK65859 pep:novel supercontig:GCA_000165425.1:CT868041:290535:291352:-1 gene:GSPATT00006358001 transcript:CAK65859 MLQKCMQLAKRAQFSQIVKSYTNLAQHKWLDYDETHKNSLQTHKSRAEEFIHKIPVIEVD SDVVRCLGGTHINAGHPQVYIKLDTRTEGTPQTCKYCGLQYVKKGHGSHHH >CAK65860 pep:novel supercontig:GCA_000165425.1:CT868041:291375:292193:1 gene:GSPATT00006359001 transcript:CAK65860 MQLILVSFNLYYQSFIFLQSLDLFMMIYLFLRSSKSACRSITSSFFMELPLIVLNRYLAY FILQTYFSKYIHHQYQIFLFIFYISNRIFQLCIKFGNIMIRIIYFFIFFTQLYLSYPSIL CYFVFIFSYNYYISSYKTFIVLINCQIKLYAKDNSLFSSQPYFFYCYVYKILILFCSSYT FIFNKVDALLVEFKMDFEKLLQLLAMKFIKIMLKILLHTKFKEFIISQYIVFEKIIQIQN VFYKDQIQIAKQLLLTFQNQALLMKLQLIQPY >CAK65861 pep:novel supercontig:GCA_000165425.1:CT868041:292782:293666:1 gene:GSPATT00006360001 transcript:CAK65861 MEESLFHCYHEMIPVASFSESPLLQKEEQAMTDETNIENLVVESQMIKSNRIQRIFDIQH KLNKPKQSYKVELELSIILLREMKINRQLEFVMLISILVDSLIRLNSYIYLFLLLVDKES DGKIMLYYLLLIPRFYFFLSLIRMLFRKLHLYRNQPILMIIVLFRQNFTNLNMIEEASKE WYDWRNESSQFNLKQLLIYLLTPNELSYFLLKSLKNGVKTYIVTNFILKTIEIVMFTPIM LILLNFNEKIISKQFLISNGYEQFTLVLIYIDGIKYIFFSIFYLTKRNYQINKI >CAK65862 pep:novel supercontig:GCA_000165425.1:CT868041:294031:294372:1 gene:GSPATT00006361001 transcript:CAK65862 MNINQTTDSKKEEFRKYLEKAGVIDQLTRVLVGLYEEPEKPNNAIDYVKKYLGSPVDIDV DKLKLEYEKLKDENIRLKREVAELKKELQAAQQEQN >CAK65863 pep:novel supercontig:GCA_000165425.1:CT868041:294678:296781:-1 gene:GSPATT00006362001 transcript:CAK65863 MKQKDKYTTACGGLSSVIMIFLLSFIFINRLISIITKSEYTVKVQTIQSANPNYSAMNLD NFMLAIKLEDPLKEYYKNSSKSFFSISLHQYIQQISADGSKNREQLREFKLEQCTVEHFQ NIDFQNNAYIEKQLQYYLCLPLTYELQLQGGYNTETLLYPKLLIQLCKDDDNCYSQEEIE KAQLDKNTAITLSTLIKSTLFSSNSTDDDLHQYINSDFYLQSNLQQSIYTDLFFQHNKVQ IDDNLLSLMTTTKELDYWSYTLNDNRQFNRQDSNLTNLFEINLRINQQNQITSKTAYRLD QFISYLGGMLKFFTAIFGVFAIKYNLVSMRISLANILYDFNIPYQNQGRLQFSYDRLLNF IQYKINRVDELLVKLKNYTFQVVKLSHITRMWSSVSQSQKLQRQEQSQEQAEVTEQKITQ FDINQFTKKLMEYKESFMDNLVQKILDTRNQLRLDLNFYVYILLGCSCFKKIWMTRQLII QCDQMIKRDLDIITILSKIQQIDKLKKTLLDENQVSVFNYTPRPVIFIDKHYQIITEDQS RPNHLITQTNKQKRARVINKRIRFNTTKKFFKIYNSYLKLKENNNQINYRLTQMLGPTLE LIFQKYHEIQIAAQIKEDLNKTQLDMQSKISEQSVGNNDHNNNNNNNNNHHNNNQRVDSK IQIHQYIKPKMLEDDGEEILYSG >CAK65864 pep:novel supercontig:GCA_000165425.1:CT868041:297183:299452:1 gene:GSPATT00006363001 transcript:CAK65864 MQQIKPSNKCAKFGTNSKKIIASGDDKNCVQIWQIGESKPIATLSSQNNSNAQVEVARIC FSFCEAEIFSGSNRGIINVWDVENKRLLQTLKGHSACVNALCIYPSDENKNLLFSGAYDT SIKLWDLRSKTSVNQFKGHTMQINTLAVSPNSKLLASGSNDGSVKLWDIAQGKLITSFTQ HDSQITCLAFNPLDKLLASGGADRCIRIWNLQDLNQISMTRTDSTPIQSILINDNGKVIY SATHESLKVWDIEHDCQLIDNVESMWKGVQDMIITQDQEQLLGLASNPQSGFSLHGVSLK SIGQDNRLNEQKQTGNANSKQRGRTPDRRQEMVTKAQEPENKQKGKPTQQIQEQNYINQD NQLEKQKSNEVPKDYQSLQPQPMFPPIQPQNYNSPYINNPHNNNNQNGLLQYQLQQQQSQ QQQQQLLYQYQIQQTIQINQQNQQQNLSSQQQKNQIVQPLNNQPQYHSNQQAQLKQQMPQ NNQQEDDEYNDNFEEDPDMTTTSELTLSQFMLGDHNKEKFKQVDLIHEINKDHNRINQIL SQRMKYIKPILSWWINNNIKSAINAINQVIDPSILQDALSLYSQQPKFSSIPIENFPLLL EKARILIESRFAFHIKTGLDFTYKSLCLFRDEILNIKLFNQLSKADLAREERIQKYDKVI EQLKIIAQMPKMQKLIERNKDELTELAKKLQLETAGLLKKINPNNSQN >CAK65865 pep:novel supercontig:GCA_000165425.1:CT868041:299502:301017:1 gene:GSPATT00006364001 transcript:CAK65865 MNNLKSKNSAPLDKPQKYPNSDILTIPMHKMRMDINNNNNNRLNSQQSSKNLEILRQSHQ SSTSNLRLDNSHNKSSENLITKTPTRTKVCTFIDKIPQQLQNNFSLSPHSVLQKPLMKQE DDQQNIISFCHQKSNLVIDHRKEQLILQLQQAQQLLIQKDQENQKLQEINNRLYDENQKL FNQGEDMLKDIETMRQNFDQQNNEYMMLKQQNQQLIEDVRLIQNERNQTQSHSDQILNHK NYEIQQLQRELKEYQQLLQNKDQTISNLKQQLDNLKQQEIARQHVNQYQKNSEKSYLNNI NQQIETAQKFQQQDLNYKGAQMESEIQQYQAQLEFITSEKEICEQKLVDLQTIINQFALN EKQQNMTSNSNGNVIYQKQSNKLKQIVQIQKKQIESQQKTIMNQHYEIQNLQNLLYSIEQ DSQSQKNSNIFYEKISIQQLNISDTGEKETNLREPIVTKGFQGILLKQQQGPNIVMEDTF RNMQKQQDLFNSTNDQ >CAK65866 pep:novel supercontig:GCA_000165425.1:CT868041:301094:303753:-1 gene:GSPATT00006365001 transcript:CAK65866 MTQNQIVGAIKIKDGLFIGDEYASQDREFIMTNKVTHIINCAGTEVQNKWTLMGAKYLTF NWLEQDNEVLFDERNENVNKIFTFIEECFQQGESCLVHSVRGQSRACCVLAAYFMKKYSW TLYKTLEYLNSRRPDLEIRASFFYQLNALENRMTKQGPKRTASWNELTSDEQNPSQVQDE LIIRNTFLNSHNGPVDEIYTNLQAKQGVLGKVTNQKLKWKDQANKENISLATIVYSGSPD FVPVSEINLKADPTTPSILKGAQKSQSLVQPPKQPQIQSNFPKSSQNAPQVRSASSKSQQ QDQSDLQLNSNITSANLTNNPSFQNLLMHCAMKTRTPQQQQQQQEQAVKKNTLINNLIKP QTENQNIQSIPQIATNLLQLQVQANPSSGIRSNSLQQTEDKRNQTEANKNNRPSSVKQKD NQPSILTNFQEFKNQVQQSLNYFNKQQETISNMDKQSLQSQTTQLTTQSNVTQQQQQQQL LIAQNNTAQQKNKLEQLISPTLIQQMNSTKHSEIQKTLTQSISQLQSSYQKFQQLQQKNQ IKQSQSQSCIQKQNAQVNEVSVVANPTGLNLVKVQERSTSLTKKQDNLDFKNRPNSAEVN DGSRGVNQLKKESLSPFQKEAVKKVTQQGPPLPQSSSQIYLRNVQCRRQAASTLRNQQKP NNSFQDKYLNQSANQVQNNSSFNNNSNSAIEADQKQSTKGVVTDLNQFKKLISPQNLTKS QAQFVKNQPIRVLQELTEKTQSQKQVKAKDNVSSTSFTLVQKPSTVNTRTFSPAIKNETK NKPSNPTNTNVRQKFRNSSPGITKDQDSSNSLQNVSTTFQGKNSWKML >CAK65867 pep:novel supercontig:GCA_000165425.1:CT868041:304070:305003:1 gene:GSPATT00006366001 transcript:CAK65867 MLNKTSSFDQLSFNFGVTQENKTYVVGIKMKDNESEWLQGNECIVCDLNFSKSGKPHHCR VCGNSVCKNCSYKMVNDKRSCDLCFKRIQLVDQEKLKKQQIKSKKESIKELKNQIQKQQE KNDQMKNQLIELQKQFERQEREHAQQEKPLEDQFDQKINMIRQQIENNNRLDENIKGERI QLEEIEREIIDLHNNIQKKGFDIKFANERKKEFHENLQKHEKHLKDLQQSLEQKQQEYTE IENRRTAQKQIISEENEKNEKNEKKSSDLNKEQKHKENCIIY >CAK65868 pep:novel supercontig:GCA_000165425.1:CT868041:305291:306478:1 gene:GSPATT00006367001 transcript:CAK65868 MNSNQTEIQEQQVVENTENIDTTNTEQLLDFTNMFKTQKVAKPKLLNRQQSQQQQQQQQQ QQQQQQQQQQSTQTAEEQNNKSIKNTVPRNLTITQEKMSQRRCLDVRRWYSLSRPQYQRS CGISSVVTCWNYLFSTLGVGNLNPLSQEEVIVSLGLTAEVGPHFNDVEFGSFSGNMSLIS WFKNLCRLHKVQGRAYFLWKNEGDFATPGVDRDVALQKLTAGLKTDKISFIYHAYDHYFC PIGFECTPNKQVEAFVDEIDYDDCQYWIIIAEPAKPYPMFTVRKWVDIAQDLELKYPQYM NIRKMDEGIKNYKYDKGVSQHCIMAFERIDPKPKKVMEKKEKPVTNEEVQIDKSNLPDQK VEIPELTHVKAEIPEQPIDDQLKQNDQS >CAK65869 pep:novel supercontig:GCA_000165425.1:CT868041:306705:308182:-1 gene:GSPATT00006368001 transcript:CAK65869 MQQQQTTNNFTPQNKTRESANDKRKTIQEEAAQNYKQEDILKDVTNKLQFKILKKNEQLT QMKEEIIDLQVNWQEDKKEWLNEKSELERKCEFYKENAHVERQNNQKLQIQIDKLTSMLN QQSKSKLQYNECSALEKVSGQLNEEIDYLQKEAATLKTQISLLENKLISKEETISKQQKR IQKLKKKLDQQLQQQLEEKMVDVDKQQKRSADIKERIGELELKLKNLSLESERIKKDNRQ LLQENDSLRILSEKSNRLLPQLEDSNKRLEQEIVDYELQFEKMSKQIRELNSQCVNEQQQ QSSINEELKNKNLQIENLQEELNEQIRLFHAEQRSNTFLKEESEKYKSQLDKLTKQQQQL TDAQHKDMDNLETKIEKISKELIKLRDENTLLKSQLQNHKKEQGQYLDMIDQLQKQIRDL KGKNKILVQQHDDLDARINQLVQMKKQNCLKVRIQDSNQQKKQTRFEESDSSSFSD >CAK65870 pep:novel supercontig:GCA_000165425.1:CT868041:308239:308478:1 gene:GSPATT00006369001 transcript:CAK65870 MQKNVTKIVSLNFKNILMNGFVNQKAQKQKTEELFFSEYDKTFLMSDYIKNCIIQKQLII PVDFSNECRFDDFAEDHFD >CAK65871 pep:novel supercontig:GCA_000165425.1:CT868041:308518:309559:-1 gene:GSPATT00006370001 transcript:CAK65871 MSGGFWMSSKFEQIILVDVQYLFFSFIFKKYIKRFSLTMPKAPKTSKAKKVQKKVADRKK NPLFVRDAKNFRIGNDVQPKRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSKTLD KNQSSKVYALLKKYAPETKTEKKQRLVKAAESKAQNQKTDSKKVTVLKFGLNHVTTLVET KKAKLVLIAYDVDPIELVVWLPQLCRRQEVPFAFVKNKARLGALVHQKTATCVALTDVRK EDQAEFDNLARDLRQHYNENHELLRTIGGGQVGIKSRHQQEAIKKAFEIEELKKTSQ >CAK65872 pep:novel supercontig:GCA_000165425.1:CT868041:310062:311195:-1 gene:GSPATT00006371001 transcript:CAK65872 MRHIAKNNGLISKIKDGLAIAHFNRPEKLNAVHLEMCEDILESTKLWNEHAVVTILQGNG RAFSVGGDLKHVFNISQRKNDEPSTSKVGHLGDEAKKAVYAMSSTKSILVTIMNGFAMGI GAGFGIHSHFRIATENTIFSMPECKVGIVPDGGCGLYFSKMPENFGLYLGMSGEQIDGIK MAHLGIADYLIESSCIPEIEKEFEHAHLLRDKESINEFLLKKYCKKHKTEFNVPKQFGNV LSLSSLPSIMSAMDAQFPQQAALIRKNSAFSVYGFYESFLRNKNLNLSLKDNLKMEQSIL DKVVVRPDLVEGLKSLFVDRSYVPKFNPRTIEEVNVEEVEKCFEPCSRKLFD >CAK65873 pep:novel supercontig:GCA_000165425.1:CT868041:311857:312198:-1 gene:GSPATT00006372001 transcript:CAK65873 MSFTLYFFLIAPLFILIGICKLCFFKKQGNRRDQFGTLQERIKKKIMFGYAELIKTMTHE DLQILQQTYENTIKNAQIIKVSGVKVEKQQKNSKKVIFVDDLDQQSTEASNLI >CAK65874 pep:novel supercontig:GCA_000165425.1:CT868041:312304:314431:-1 gene:GSPATT00006373001 transcript:CAK65874 MLQKINKAIRSYDNFGVPPNLNINKQQEYKSVCGGVLSLMMTVVLIYILISGLIGVFQRD TYTIEVQQLQDMDPDLKEMNNQNFMFAIKVDNPFIDRFPNIQKNPFSISMSQVQIETQKN GTRTKNITKTYTLETCTPQHFPSINFEEFHQIENQLSQYLCLPTDYRLWLQGTYSSYVMQ FPKLSISLCKSEDCYSQSEILELAKNSSIMVSLSTIIQSAIFMANQTDYPLYQYLNSDFF LATNFDAESTADIFFESNKIVNQNSIFSFYSDDEIIDYWRNQSKFILSYPRIFNDIPGSL FSVIFRLSQEFKQTTKKVNTLDQFLSYFGGMLKIISSVFGIIALQYNSMGLKLSLANKLY QFNIPENKNGKVEFSYDKLLNYIQKQINKIDEMVTKLKSHTIHVVQMSKITKAFTIKNQS SQNIQNEKKNTIIQEQSQLNSERLEKQENNLYEIKESFLKDLFNEICNQQHKLKLGVNFI FYQLLCCPCIQKIQMTRKLLQQCETVIQQDLDIVDILQKLQQIEKLKQTLLEKEQIRVFN YTPKPIINIEDNQKAILNTEGEPSQLIQKSNHPKYNRLIRNKNYHQPNKMVKIYNSYVKL KESNFPVDSRIIQLMGPAMVQIFDKYYEIQSLQSHHKYLEAHQQDFGASDKLLSGNVSPH PKIDEKENEKNAKVHLRTKPKTLNDQKINFFDE >CAK65875 pep:novel supercontig:GCA_000165425.1:CT868041:314550:315155:1 gene:GSPATT00006374001 transcript:CAK65875 MAGNFFKGTSTDQDSRFGDKERKLIMNKQWPEVFNRKLNMKNIDLSVIKPWIEKKMIQYI GIEDEVVQRQIINYLEQQSEDIRGPDPKVLSIQIMGYFEKNTLPFMTELWNLLVDAEGQD SGIPNQLLDSKKLEYEEKKKELQRLLDRQKLLYQAIEYSEKTRKKIKSEQQ >CAK65876 pep:novel supercontig:GCA_000165425.1:CT868041:315222:315641:-1 gene:GSPATT00006375001 transcript:CAK65876 MAQQPQAVAYAALLLAGSNTPLTADNVEKLTKKAGVNVPKQLAAAFVKAFEGKDILSLLS VGGGQGSAPAAQTTQAAAKPAEAPKVAEKPKDPEPEEDVDMGGLFD >CAK65877 pep:novel supercontig:GCA_000165425.1:CT868041:315770:316830:1 gene:GSPATT00006376001 transcript:CAK65877 MLSSNRRNGIQIALSFDDVLLVSQNSILKAEIISFMKLTVVKISSSKPNLYVVLWIQLQK LKWQFVWQQMVGQVQSIDLQQKTKKVKDQKLILKQTICCWSKLHPQESLYHSRRMEKYDI FNIEESDENGSPRYKSISLLRIITNRDFYEQPLTQLKKELMTPKQRLVTPHYLDDISQIR QTTMEDNKNNISLKDLIMDSILKVLDQIGRLIIEGAFVSNDDEITKAKKLINFGCDVIVW ILIMDIPDWQHLPIINIESSNSVIIIQKWNLLFYELLWASNIAGLQKNIQFIQMTNEGFV EAVVYMELQKYDLEMCIIYNNIGQFQNFNNLI >CAK65878 pep:novel supercontig:GCA_000165425.1:CT868041:316881:318597:-1 gene:GSPATT00006377001 transcript:CAK65878 MNQDFKRTDINYSPYFEQVSLNKLKRNEYHSLQKIRDNSVRRNKLLLPSIENSTLEDISM HFNKCVTSSKSPEKQSDKLQVNKCQLEFIQQSFLELEQTKMSITMRDMSNMRNKFNCNQR IIANKEQYTVRNLERQMGSNLEDYSNLMYLQQMPTQTKNKPETNIAFFEEALNAYSYAIP SRRESAQIAVIRDKVYVFGGMSGAGLSSDLWCYDIKKQEWQLHQSDISLKVTNHSMIAWK HLLIIFGGSGYYDHKMKIRQVYSTLAYFNTQTNQWSITLESIEPRREHKAALYLGKYMVI TGGLDSGEQLLNDTLMYSLDSRRWLGTKIYFDEGIAQHAICEAFDFKRNVDTIYLFGGKT KSLGSFPLMRLVFSGQAPQSWERVQGTGVAPQGRYNHTMESLNDNLILIGGRSQTIQEYQ SEIVIFNLILNQWIQVKRQGLMTKRWSHCSCVFATNIFCFGGIGEVTYLPPVVFSIETDQ FKIKNKMVIIKRLSTIAEDMKKQNEEYKQIKRTCRLEKFRKAQKLYEKVTTFLPLPKLKT PKMRYDIWMQFIRKILHSLNLIF >CAK65879 pep:novel supercontig:GCA_000165425.1:CT868041:318908:320033:-1 gene:GSPATT00006378001 transcript:CAK65879 MGICCGILDEDNQEEAKKLNPNQIEEQASQKEKEEEISYQLQNFTFKNVLGAGLFGKVLL AEHIKTKQYFAIKIIDKKQLEDQNFVESEHQILQQTQSPFIIKLYFTFENKNKLFLGTEF VNGGDLFVHLKNNVQFSEERTRFYAVELILALKYLHENRIIYRDLKPENILLDQFGHIKL TDFGLSKYKFSQITYTACGTPEYVAPEILLERGHNECVDWYSLGILIYQMLCGSIPFYSK QLDEMIDKRLSQPFEFPKYLNQKAVDLIKGLTENEVSKRLGFKGADEILRHPFFEEIDIE AMSKRQVEPPFRPQLQGKKDLRYLDPDLLQQEIKFEDDLNLS >CAK65880 pep:novel supercontig:GCA_000165425.1:CT868041:320059:321307:1 gene:GSPATT00006379001 transcript:CAK65880 MNPDPKSLNIPKGRHSFQCGGQTFVVDQKYEYIKQIGHGAYGVVCSAQNKRTGQKVAIKK VANAFDDLIDGKRIVREIKLLKFFKHENIISLFDVQKPEAKTGFNDIYIVTEFMETDLHR VIYSRQELTDEHIQYFVYQILRGLLYMHSANVIHRDLKPGNILVNKNCDLKICDLGLARG YENEEDFKTEYVVTRWYRAPEVILNASEYSKAVDIYSVGCILAELLGRTPLFPGENYLDQ VQRIIAVLGTPTADDMKYIGNNNAIKYIKSLPKRSKQKWEALFPKANNKALDLLGKMITF NPEQRYTVEQCLQHPYFDELHNPDEEPLSGKAFDWSWDNFDPTKELLQNMIYQESLSFND EVPERKKKSNQFQLKA >CAK65881 pep:novel supercontig:GCA_000165425.1:CT868041:321468:321846:-1 gene:GSPATT00006380001 transcript:CAK65881 MIKKPQLLNLPFKPTTNEPEDDSSKAQKQTIENSFDISQKLLYAFEKPTINTKNANSCRN STGRLSAFDFLNSSTTKANEKKTFSITLNYFKNDKSNIGTTRKSTNLYGFSKVSSKVR >CAK65882 pep:novel supercontig:GCA_000165425.1:CT868041:321899:322747:-1 gene:GSPATT00006381001 transcript:CAK65882 MKTQVSQQNTPKAASKYSYKESYQQRTPLRQDNFLNLYEKYLNCQGRKRSHQMDEARSPL QQSTSLHYLNKKNEVGVHRFSLDNFNLDKSSKGLKSYLTTINSSNSILNQKANNSYFPNK NDSSQVRSQTADGQSVLTDEIDKKPIKDKFIDVVCKTKRLAEKLQQMNKQNQKMKESQNL AFLLKGHQQKKQSFTIQDLKKVLAKTKIILENYKRENKLLIEHNLLLNDELQESQDQLRQ KNEEIQRLRQMLYQQ >CAK65883 pep:novel supercontig:GCA_000165425.1:CT868041:322898:323605:1 gene:GSPATT00006382001 transcript:CAK65883 MEITTLKDLIIKQMLDLQSTVYSVIPKLPSIKSSNYQEGVLIGRILLQANEQIQIIVQKL IDNQNTMLTRSKLKGSNIHNNLEQNPLKQIQYENPPKQIQYESNQNKEKKLSIKITPEPN IDRPCCLCYEGNENTCGKIIIIPNSLRKLQAHSQCFKNINNPKQIEFDKVYLLYRTQECS ICEKQGASFACEKCNRKYHFPCFVDSNQEGILQCNCQKS >CAK65884 pep:novel supercontig:GCA_000165425.1:CT868041:324353:325225:1 gene:GSPATT00006383001 transcript:CAK65884 MLDFLLSEEGEEQYKSEVVNTISNIIGWTYFVCWSASFYGQLYENFKVKNVKGIAFEFLG LNLTGFLFLSAYSSAGYYKGGDNGWPFSGDITVQDLFFAYHAVAITLLTIIQTGYYYKKG DNKGVSLWCIVVLIVLWSQTIFYVLLTWIFDWQVIFQQEKLNVLYWMGYEKLFISFIKYV PQVFLNYKRKSTVGWSIFNILLDFMGGFLSFLQMLLDSLNGKSANLVDVNIVKFILSWIA MGFDGIFMFQHYILYNPKKRTRDALLDEYSIPKK >CAK65885 pep:novel supercontig:GCA_000165425.1:CT868041:326137:326493:1 gene:GSPATT00006384001 transcript:CAK65885 MKKKSTNKQNKKKELTSSIKRIIKRDDQIGFQFSQKREDPKYQEDLENKEEQQYQYTDNM ISQFKIKDIQQNSGLYLIVQKVHLKPVIFPQVDQMIVVNPLHQKVVTVKTVQFKARVT >CAK65886 pep:novel supercontig:GCA_000165425.1:CT868041:326675:328188:1 gene:GSPATT00006385001 transcript:CAK65886 MNYVTASLKDLLPSNQKAVIKFKITPGKKIDSICQCNQKTCMICSRKRSSSMLSLKSIKN SVAIDENSPGLKRTDNKNNFVIQSSLLSKQLSRQVSNHQIQNQFYNGKINQESKGSTNST TWIMTNSHSRKISVDSPIKEIQQQTLNSMGIGRHSFKFLYVVGKGGFGKVWRVEMKANRQ EFALKEMLKTKIISKRSVNSVMNEKFLLEHLKHPFLVNMHYAFQDRENLYLVLDILRGGD LRYHIGRMKKFSEEQTKFFACCILLSLQYLHQHGIIHRDVKPENLVFDKDGFLRLTDLGV ARLNKDSVANDTSGTPGYMAPEVMCRMEHSFPVDYYAVGVIVYELLIGKRPYNGKNRQEI REQILAKQIQIKENTPGISNKAIDFVNKLLIRKPQQRLGFNGIDEILNHSWLHNFPWGKL LNKEIRSLYVPGSIDGNYDFQSQISADSDPSEDSSMVLRRKSVQGLFEGYKFQ >CAK65887 pep:novel supercontig:GCA_000165425.1:CT868041:328320:328925:1 gene:GSPATT00006386001 transcript:CAK65887 MLQHLSLQQLELLYTKRKRQQKYQSAELELSAKELQDFNSKKPEDTSLQFDNEDEDQLNT HQDSINLLNEEIVDHIYQNPSKKRLMILGMGLIQCVYILITHFMGVFLFDSEIFSFIFCT TLVIYFIKIAISWIQSPDRGKLITKQQVCAFVLGMQSGMITAIILNINQENKLFNILVAF IPCTIMNIMFIYFSQKYQTIL >CAK65888 pep:novel supercontig:GCA_000165425.1:CT868041:329151:330035:-1 gene:GSPATT00006387001 transcript:CAK65888 MQHFSPWSQKIDTQTHRMKNKAQLSLSPYIQPKTGRLNNLSLQQHPRRVNSQFYKQQNSG IQEESKQYNNASSQTDLPSLELEDLIYAQQQQEQSSRRYQNAILQTKNHYHQTTIISLLQ TIHFKPDQLQSLKSKAQQLKRVIVQSKNRTISVVVVNNATQFVSHLFNSNYFEQAPDNFF NTQHHPSFFMTQVTISNSNASNTVHSYQDLLTVTKKNRIYDVSLNRLLYDQSQSFIHYCP DIVIVTIGNLQEELSNRQRDKSFLVRSAEQIQKETNRTIRVNKKRTKLKLKELN >CAK65889 pep:novel supercontig:GCA_000165425.1:CT868041:330399:330989:1 gene:GSPATT00006388001 transcript:CAK65889 MRNKELINQKQQSKSQNLPCSNKIISRDLLSKCEEKIQIIIEKDKLIKQLQEQMKSLQAE NDHVQNTLSEFQDLELTINQLTSSYNSIAPNMVDQQTILEQCLDYLSSLSKLVNPILNGT QPQLQDLFKTKQKFKPRQKFQITELCENNMRHIQDIEKQLVHILELIDQKFINELAQYIK >CAK65890 pep:novel supercontig:GCA_000165425.1:CT868041:331979:332850:1 gene:GSPATT00006389001 transcript:CAK65890 MNIQVYAIRKKQEIDIDSKNVQQGGPILDDNRQCLFINNQGNIYFQYIIAYQFEKAETML FNSEIGAKFQKALKRASKSLTIIRNQKVEPIKFEFIDSQTDLKQISIKMSGIAKSAKGGK GGKGGKGAKFGQGKNKKAPQSRSLKAGLQFPVGRIHRYLKQRVSAKNRVGTTSAVYTSAI LEYLTAEVLELAGNASKDFKVRRITPRHLQLAIRGDEELDILIRATIAGGGVIPHIHKAL LGKQTPEGGIPKE >CAK65891 pep:novel supercontig:GCA_000165425.1:CT868041:332856:333871:-1 gene:GSPATT00006390001 transcript:CAK65891 MQDNKKVQQFILQEKVGYGAFGDVYKAIDENTSEVFAIKRIFSGRSQQIDQSTIREISAL SSLKGQNHIVPLQKVIFEKGCVYIVLPFYPYNLYEHIKKNSEINYKKIFKQLLLGVYNIH KLGFMHRDLKPLNILVDEKQNVYISDFGIARMNFGQCRNFNSNCEKHSYEVITLHFRPPE NLLGCDNYHVSVDVWSLGCVFYQVVMKEILFYGDSQIEMLFKIFSKLGTPSAFIAPSLCG LPLFNAAAFPRFYPTKYLFQQELLSKIGYEGADLIDRMIQLEPSRRITMSEALQHQYFL >CAK65892 pep:novel supercontig:GCA_000165425.1:CT868041:335004:335467:-1 gene:GSPATT00006391001 transcript:CAK65892 MMKQNTKLNRIGMVYNLNSIEERKQIMELKSQGRNSREIAEIMNKKIKSIQSVKSEERKS EYKEFQQRMISLVLDHFKDQIMIDMTDKKRLSKQIRKFINQTLPSKQNSELRQLIPQSRK ASNQRRIKEKIYIDYSFLVIIKIKQS >CAK65893 pep:novel supercontig:GCA_000165425.1:CT868041:335760:337108:-1 gene:GSPATT00006392001 transcript:CAK65893 MQQVERSWNMLKPSARAQVSLNPIRNWVEQVVPEVEKTGKSEGRPEQKIVFLLGDPTAYP KFQTPNEYKEIVANSVGKIDGYTDFFGDFNVRTQLAEVLSSHHRKLEADDIILASGGSGA LFYATLALAKPGDKILMPRPTFPLVKAFADFYGIQVVFYDLNPGTWQVNIVELEYIYEQN PDIKFILVNSPSNPMGSELSPIALTEIVNFCERHNNLPIVSDEIYENMIFEKREFKFISD YSKTVPVLRCSGLTKKCLVPGWRLGWLALYGEGDTFKEVKKALRNISNILLMPNTICQAA LCEVYKKSLDIIPEKMEELHSRYKALHHGLHDAFGISVGETKGAMYSTLIINAEEFSDID TSIDFAKKLQQEQNVLVFPGELFYGEKFVRLVICCDLEIIEESMQNRIVNSLHIDHKESV NISIGNRIKNICQTYFSTLF >CAK65894 pep:novel supercontig:GCA_000165425.1:CT868041:337695:338837:-1 gene:GSPATT00006393001 transcript:CAK65894 MPFPKQEYIVSVSQYLNKQYGHQYYIWNLSEHDYTRELFLNQVSMHSYIGFACPPLYELL LICKSILDWIQHQGNVAIIHCQQNKGRSAILLSIFWSLVFKVSIDESFYIIAKAIGLKSP LKSQLMYIHKYLHHLLTNGQLNTQIIKVKSVILSGIPKQFQNLKPLFQVLDRKGILYEEK NQIIQKNEQCIFILPNLQISNDVVFKCKHITPENDLLPIFRFQIHTGFLFKNIIRFKIND LDSQQHFDNDIYIDLVYLRGNNNLDDSDKLNYDQQSLEGYQMIKQLLNTCQMMSLNIKLD LFQENNIFQEYQQPIQQQQKQEQLEEVTENNQRKKTQQEKENQQIVQNQSKQEDINEKLQ DKVISQNENQNNDDEDEIII >CAK65895 pep:novel supercontig:GCA_000165425.1:CT868041:338890:340192:1 gene:GSPATT00006394001 transcript:CAK65895 MDYQKHLKILKETLEQHKITPENLREKRLSFKEFPKQINNRVVDAFQSFAPFDRAPVWMM RQAGRYLPEYREIKAEMDFFATCQNPFIAAEITLQPTKQFDIDAAIIFSDILVLPKMMGM EITIEEKKGPVIANPLVTPDDIQRLHPPNPEHLEHVYDALFLTRLALQGKCNLIGFCGAP WTVFAYMIEGGSSKLFSKVKKWLYLYTEGSLQVLELLAKESAKYLINQVKQGGAQVVQIF DSWAGQIPAQDYIEFIIPSLRILFEDFKKECPDTPLIMFPKDQNDKKVIVEFLKLIYNDK PLVDGFQLDSNVSDETLVKIIEANRTIQGNLEPGVLYGTPAIIKKRISQMIEKLQTTRRY IVNLAHGLTPDHEVEKVRLFVQESQRQSKLYKAKEILE >CAK65896 pep:novel supercontig:GCA_000165425.1:CT868041:340265:340883:1 gene:GSPATT00006395001 transcript:CAK65896 MYIINQLLHPLAAIVTQFDQKILSLKRQTNSASYILSPQIYNNFQSAMKPSSKRKIKKKI TKHIIHFIIFKEEHLSSPHNTNQYLLTKHINQEICKDVDPKEPGSHNRVIHYFNSKTQKD QLKTALICQTNNPEYTQSLLFNVIIYSLYLVLYNFINDGFSINQTKQIRVFLYRPSLEYN QRPCQQCNKNFLKFQ >CAK65897 pep:novel supercontig:GCA_000165425.1:CT868041:341188:341394:1 gene:GSPATT00006396001 transcript:CAK65897 MGCSSAKGKRKGSLSIPKNGILKRPSNQQQVLSDLVSTKKKTLSFQMLSINSQEANRRSS HTRQIISD >CAK65898 pep:novel supercontig:GCA_000165425.1:CT868041:341854:342921:1 gene:GSPATT00006397001 transcript:CAK65898 MSWGQPEQAPQQEQSWGNTESTWGNNGGDQQQQDNKPAWGGESNDGQENREFRGRGGRGG RGGRGDRGGRGRGGGDRGGRGGRGRGRGNDENKEEGEIKPKTESGGWGQQTSDGWGQTQQ ENKEPAQGQSDGNNWGGGAPTENAGGWGQSNNEAQGWGGNQENNNNQDGQQEQQKGKGKG RKRDSPPPAKRVRPNPIVITVEDEEDSETQHVIINEYPVLQNKQKGLIKSEEQQICFEGN LKIDENNMSAQFVSFDTEIKVKIDQIEDYTIENSKDLLKTIGDSIIVGIVQGVDKDNQKA INKLADNLLDKQQSIHFKDVSLFSIKQLYKGESTSRLAFVKQIQG >CAK65899 pep:novel supercontig:GCA_000165425.1:CT868041:342922:343833:-1 gene:GSPATT00006398001 transcript:CAK65899 MRGSLISAPYLHYLVKNKSRIKIVNVIMTDHHHNQEENNKFTHAHRITLDQSTLNLIDIT ATPVPVPRKLPTKNTFEKYIQDNQYDHLTPIVFFDEHIHFAARAWFTFRYFGFKRVYVLD GGFNKWHHQHDIDKHESKVFEDLNKQDQPKDQVTSLTTNDVSVISYLKQHKDPKGDDWLL LDARDQPRYKKGSIPGSYNLPYSEYLNDDHTMKSTENLQKLYKSHQIDITKKIVNTCQTG KLSCIALLAQEILKKKELLLFDGSYEEWNHEHPHPVKH >CAK65900 pep:novel supercontig:GCA_000165425.1:CT868041:343971:351890:1 gene:GSPATT00006399001 transcript:CAK65900 MNLLLFITLIKSCYNQAVNTYVKIGCVEIVNGNCVKLCKLGLFDCDDYQNLICEYGYFNY ESTCVQCPKQNLNDDSVIYCGDCIDNSKTWQNSRLCTYDFIIEDDDRLNGAYIKRINEYS ELYVIGVATTQLMWNKPIARDTTRQYESQICSGCHTICTSTSDPFCNTISNEVDQLVKGI TCLDGYFFQNGVCVACGINCIECKDRYTCVECYSGYYLNGLVCDKCQSNCLDCLKPITEA TIKCYSCLSPNIISIDRSVCESCGDSCLRCEYVSYDQITKYKFITRDNVDPAIDDINRYV KRCRQCDGNNLVDYRGQDCVQCQIKDCLKCYYTITMGGVSRSTLDLDFQPLKPSEQASQQ LCYLCASGTSLSPDMTQCMPQAPTTPVVDCLYYGDSSNKCQQCQNYQILDFDNNLCKSDF CFTQIKNCQNCYQYRTINIVQQKTFYHCTKCEEYYYPDFFTGTCEHCPNHCSSCWQYSQT YNFTLYNEIPKIIQNRKIYYLDGAINIYCSECESGFQLYNNQCLGCTSNCMIPATGVATL KSSCLYKSKYALCSQCYEPLYQRSLTSDLSECQECPNYCLACHERLKSQFPNKYFNPSSQ ILQKYSRSCYKLQTVSGGSAVIHYNSQLNMPVSCNTDQPCRYKYDIDLILFCSVEDYLSQ LTFSPSNAKFYYNLATSYTTKSEDVATIKFANLETLVFYEYLNTLPLEILNLNLKIKGDS NNNCNFSKSTRILTQFHENVFSLIDFKFTIMSYSSDPLNLYVNGNFTLSNYPNIIIQNIR LYTQESQFIFKLDECSNLFLSSVQFQKVSSTALQILLQNLDKLDMQDVTFDNFTGTNDII SFSPIKQIVMQNVVFNSLKLKSIYLIEMHPQVDIKMNNIKISNSVFVDFHFIGDLEPNDQ LIGKTIIIGLEIINNTFNSSFIFKTSNSEKFFLSQLSFKDNLIYDSELFISNHFIFSNAL IYNNQFGVGASLLGTSDEIFEEQLYTLSESNFTDVQIVNNSCYQSLIQIQSPFNQQYIVM NIKIDSLYVDQIETSKDGTPLIQIKEVGYIQINNLIIKNLLKVTGLEIKQATWVWINQFE CDSANQNTQMPIQESCFEQKEANSYCLHIEEFDRGVYLQNGIIKKQLQMDSSLIYIKSFD YLTKNTESNVELDNSKFFFGYSNELVKLEDIKFYNNTLAILDPQIKISSIVIDSTQRQKV LISDLTFVGNHLHRIPPSVNVDLVTCILIDSPLADLIITNSEFLDNRATKSILGLNKFIV DSVILDSLNMQDTNVIQIYYADILEEHSLFTQDPKVEIQNIQSYFEIQSLGSNIYFDCDN ISISNLTINNSVGLDGAGMFIVGSEKLVVKMSDIVMKNLVCSLEYDTLGCGMYINTQVSS LSLEIQDMTMDKIIAYQGAGIYILSQQFLIQVSIRDSIFHQCQSLDSQVFYFKQQTFTTS IPSILFDNCTVYNNHYDNYLLNIITLRPQIDYDARVEDENQLISIDYATITITNCLFTDI SFTTILKLQNIIQLTILNTNINNVAIGLSKLINLEFYNQVGHISISGLIIQNVYPYPQWD QQQMDYLKSKYSDQLESSTVAEQVDQLQECKLPKTSGFVVNLATISYDIDTSKIPRNPVQ YSKFNTTLYTYYTNYEDTSLITYLYSEYIINNLINSYPALIYLPDVDFISTDASTLSHSL QINKLLLKSITCGYCTYGLFYIQNINTKANKSIVLNEVNCVNNVVDQYGCINLKGRTTSD LKNTKIYTIIVENSNFMNNQAVYGAGLSVQNVSLYLKNTSFLDNTATKTGGAILFSQSNK QIYLEEVTIQGNKADIGGGIYMNGQSLPGQDVNIIGNTGRSLVEEIPQHLSISMIKGAIL PTKVFDTNIDALSLTLNLPSGQSISSYKLYYQPTQEQVPYDWIFRVMNLDRNHNPILTAS LTDTCNITGRMQNSENFDKTLKFLSNYTQPSLIYFSDESFNLDELIITFDPYLPEQYYLQ LQFQCSTIKLPIEPYPYQSYSTNYALYVNLRTLPCQLGEAYTAQKCQVCGKGQYALTINQ VICTNMDVQSMEEVTPVRIKLFSGYWRYDNDRVENCYNLEENCNGGWIPGNPSCYSGHTG ALCESCDIYGIRGEQYSISTKYKCGQCSQTKSNNSLTIAAICIFTLLQMVLSVRGNFQMI ENFIKEQVLQSIGVRMNVTQANLSILIKIIISALGTFRISIPTNLISSVDIVANPTQSMA YSLDCFLIEITITEILYFRMIWALVMPLIYLLIFFMGYFLGVITTFLPARMNIIYTTFIY MFIYLQPTLIGGFIALLSSRTLGGVDFVQSDVQYLYDSSTHYFWMVIFAAPNIFCWGALF PYIFMHLIRRNNQTLTSIQTRRKYGYFYNEYTQHAYLWEFVKIFEKQFVLMALTYYEDTV IIKGLMVFLIVFIYGILSFEFQPYQSKRLNYIDQMSAAVCVFSLCLGVLIKASQSENMEY LQFIMFSIIGVLNLLFLLILLFEVFRGYIDKFEIHIDKIRDKIRQKYPQFQNYKWIRQLL INRGELKKRIKILWSHLRYQTHRGIMRRRQDKNLPLFEVKLILQDSEKDIDHSRAESRVE SKANSRLSVIDINQEELMQLANYSSLQAKTPEIQKIYPLESQKSIAQLTKKRI >CAK65901 pep:novel supercontig:GCA_000165425.1:CT868041:351915:352541:-1 gene:GSPATT00006400001 transcript:CAK65901 MNIWNKNEIITPVSEYQLMKVKLLSINKSYQKHLHQIIKIKATPVQVDQPYMRKKQVCSL KKVREDPTIKKALVSRILEINHCPSYYGKLDTSDIPRPSNLGQKIIDMKRLIEDNESIER RLRQTSSVISFDKIKKEYKQSKKYLKNITQNSRRIYNCFLPQHFRSETPVQSRTVDISIR KHNKTPLPKV >CAK65902 pep:novel supercontig:GCA_000165425.1:CT868041:353001:356292:1 gene:GSPATT00006401001 transcript:CAK65902 MQIHIKIISQPPKRSASDIYQELKNWLKKNEINLNIHCIKLKPEPVEELQNQIKKSQFWN SKILKSISEDSIVQFISKIEPKLYNDSKFVLGKQLDYCEMIEDLLVKPQEDLFGVPNWHP TIRPQNLQQVSQGNTQCYIYFYGQDIQKLRLYEDEKNQKQISLFGKQYLLKGQAQRRELK IIKDNFKSIPGIEFQFGILSKVFYQYWSTAQIQKYYNEDTFQVQDINLELQNTQVRVYFS LILDRTRKINCLIQFQTKKDGNVKEVEYNKTYSNGGIIVDKSKDENQINFYIKVLDTPKC YIQEDYDYDKYKNQKDIKFWRSQNFVQNDIRNIIQAGIIRQSSSLKFTVHHSQTQNENFY NFCQEIENQGYLEKFMGDQHIQMKRISELSKTEDKFNVRIGLNWMLLSAAFGRCKMIQPN IKFQDFIEQVKELPPLAIAYQIKNLKQKKQEKKDKEIFQFDKFLDIVKKNGQKHPEDILE ETLLADLTPTGILPNYSIQECIPFYDLERFWRQQIKGKLQTKSKGLMEEIVKIRIRDDNF EILRQKQFDKHQQKHFLFSGYFRELLKGKLNIVERNYKFLGYDCRGLRKGEFYAINSEYF ENTYQYMFQQLMKSYENNQRIVKCSQIQKIFNEHLNHINTSLSLNEIENKLLDKKFELDI QQDQLQKGPLIQTKVDTKQINRKKTQENNYNYKILMPQGKASNDVIKLMNEIAFEGQNTD YCCFIIRYQNYKGMLTKMANPNKEGVIRLYDNMIDKNSPTNQYMEGLNQKCWVEKKKLDE IQILGVPEFKPCVLRLFQILNIISLEVETNEKKVFKAFRKYFHMLKQTVSKQNDPNQDPF YMRTLKQYERKQEYLLPVKKGAYLMGVYDPLLQPDEVFINVQYEEENQSFVVQDQKIIIF KKNYLMKDAISLFQNTSKANQELLAQRYKNVIVFSVHMLGDKFPQDEFDDKQKFVAIWDQ KLIPDIAITLFDGKNYCKSFKNKIQGQNSQNQASIDQHFDKTEWLSGLQTQAIDFFCVFQ QSCNFNELKTIYTKIMLQNLLYQRDASFQKIFEKITIQLKIINIIGMKYASTQAQKTNVF SLIYRLIVF >CAK65903 pep:novel supercontig:GCA_000165425.1:CT868041:356394:358033:1 gene:GSPATT00006402001 transcript:CAK65903 MSGQKDYSVPSRFPSSNRKFKLKTLSQFEESQINRNQELEHQLKMVSKQNDKLSSLNQYL QSTLNKRNEVIFEERQLYNKTKSIVSPQKSNSTSKRQSQQFHSRKSSMPYLTQTSRLQYV GEEYFRLNQVLEINISDEEAISYFENDPNIKFVRQLDEETFVQIAEEQNIKKLLQIHDHI LKLQNFIKEMFEAILKFKKIIKASYQMNQQGQLNDAISCIVDQTQQLMNCERATCYIVDE TKGEIWSRVAQGINSIIRMPIDQGVAGFVAFRKESVNIPNAYADDRFNRESDLINHFKTQ SILAAPIIGDNNQCLGVLQCLNKNQGVFTNEDSAYLKYICNFSKAVLNHSLNQNQVESTQ NKLRHVIKYFLDIIQIEDPWKIISITEKVLKKIMNCEEARLVLKSNESVYYMKYGIQQET TEFLGIFKKVIDSQCNESTLNNNSNPFFDPTLDIDTQMPAITMPINKNSAFQVLNLKGVN KKQSQQSHSAFVSGLELEMLEYIRSILFIKLK >CAK65904 pep:novel supercontig:GCA_000165425.1:CT868041:358107:359964:-1 gene:GSPATT00006403001 transcript:CAK65904 MRTIIIRQKQLYYSIYKKISQKRELITMHDNGTFISYVKNMQIDLYDQLPISYYECNDPK IMIMITKLDQVQQNRGYWQQFYFRRIKMHTSTQLKKRELVMLKQRCYEAFYSAQIRQIKI NELEANESVKKTTNKSQTKVTHKKSDTNLYIDIKNLFSLNQFLTYMHKNQIQNTNTRKPS NSYLKTEFSCSSQTKDLRFTTEPSPNRGNKENVVKPSYLKATLQNHLIKQDRNITPNVAK SSVISLFLKQKQEEQPTEIKMNSCQQHKKKLKYEINQQSMCSKCAIDYAIQFGVPIRYND FERKMLTFGQDEEHEEFQTFTQNTSKELQYISNIQTQSDGIIKKKELKDFIVKVGDVISQ NMQFLKVIQQQSMTYDNPRQQVNKILDELQIISRTLLNDLFDKQSTKSQGSLSISNDLPQ QINLNSFKSVVNQQINDLQNIKKDIMENVDNIITQMDVAPFKIIINKYSEKLKSFEGTLF EIQEQFKQLPQQCEQSSLTKKMKDLTFKKKLSKLFTDLLESSVQKINQLPDQVNNNTKFI QLLQKVNNNQNTNTIFYSSILKDIHSDEKPKQLKARYKPTE >CAK65905 pep:novel supercontig:GCA_000165425.1:CT868041:360199:361644:-1 gene:GSPATT00006404001 transcript:CAK65905 MKVFAIMALLLIAAYSQSNEIDVVLKMLADLKNGTIKQLQELESDWATTKYQKQDIVNDL QRSASTQRGECNRRDQEWANKERDIRITLSYINWLEKRIKENNERLQRLDVNRCESNANF INDIKNSKKTLNLIAFLRRAVKNAKETDLPTLLQSAQFIQLKSFLADDGEEPELTSEDNE PPHVYDEVAETPEETSVTETEEVEQDVETSAAPEEGVDAAAHEIEEAQQTDEPEKPVPEH PLEAEEKATNEQLAKEAAAFNKIIEKGQKAPAQGGSGKDYSQFTVAQQELLNFLDILEDE VRGSFGKKQDDQVNSAMGYSDFKGLITKENETFRGHLAAEDVNLEKLQNQLITILQATAA CKDRLKKIQNSIDLANEDLASAEAHFKSVSETLQEEQNTFDDVYRIYSSQVGSQSTSYKR DVQSKITN >CAK65906 pep:novel supercontig:GCA_000165425.1:CT868041:363403:363884:-1 gene:GSPATT00006405001 transcript:CAK65906 MYLLIYYQSQQMIHSVTRTFLSIYVIFRFPFLHLEIVYIMLTFDFIIIYLFFQIDKKNKI QCFLAKDYWWRALIIFMIQEMLVNTVYAVYTWFEVIAWFLVYFIILCYVLWECQMIRYRQ HITFHFNAFYLGQAYLDSDILFPCSLLKLFKH >CAK65907 pep:novel supercontig:GCA_000165425.1:CT868041:364020:364283:-1 gene:GSPATT00006406001 transcript:CAK65907 MDRSDEQEESMIEVQPQQPQAIEEIKLDNKEEKTIKLLKQMIEESDMDWERVEIHLQKRS KISKECIQQCLLYRTYSHFGYYYYCTF >CAK65908 pep:novel supercontig:GCA_000165425.1:CT868041:364538:365853:-1 gene:GSPATT00006407001 transcript:CAK65908 MQKRQSHTKIPSEVVPANNYYIMPKSLRSSLTSAENLEVKKVASTKNFDQFEPLYSPEKL LNTHQQNNAYQSNIDLQQALHFSEQNNQYLSRYHQQLQQNNVDLQSLYNKSEMENKKLLS ELKLLQNRISLKDNELKQAQENGVEYLKEIAQLKIQIQKLNSTLTKLIEENEQIKEQLNV TGTQTLQFEKGLSSRRDLNQQNEFNLSGSRQSQNSRQQHSQSVSNLQINAMIAHDNISNY QNQILQYQKELAEKNQLITSLQQQVKNLTEFQRQLMAKSTRKQHNKGTKNQIQSSPQQTP IQRLRINNDSQIMSHKNLLNLIDDQQKPDFQLHRSRQSDEFDVGPSVKSSITNLKNKQEL QQIYKKINQSTIYTSGLYSSLLDYQYLSSNKLLNSSCTKDTLQH >CAK65909 pep:novel supercontig:GCA_000165425.1:CT868041:365906:367532:-1 gene:GSPATT00006408001 transcript:CAK65909 MQQYSSIEQFDELMSSKFYIEEVFDEMQNEKVDIPNTDKNKIMLTLKKEENLKEKNDFIL SDDFNQFQQLLVISLITINFSSDDPFFRHITMVVKAIKKAIKKRLTQETNYFQSVQEFKN QQSSLFKIFYQDINIGFITSTLQIKSTAFIFIKKSLVDSFINKKISIEQKNQRARLTLSF FNINIQKEIIVQQDFKNQFLRNNRFKNDFIVLNEEFDQQKQSTTYHVYKPMDDQIYFVKR IQLQDIPINVTFQDIFNTKSFNQDNLTIQEARILLSISHPNIIRHYDWWLEQKNQQIFLY TQIEYCIYPGYISQTKNLLSFSYFYMNPMPIEQKSKLIIEIICQIISGLEYLQQKQIIHG DLKPESIMVTQSITGDIQVMLADLNHQYLYFEEMQKQFKQDQPQYKQQSLQALGVLLMHL ILTFPGEPTLRNNFVTKFSNFDFDDSLSEFDKWAQKSVKNRHKEFSFFLYKNFMLLAKSL LQKQQFHELHDVRTFILKQQII >CAK65910 pep:novel supercontig:GCA_000165425.1:CT868041:367584:368709:-1 gene:GSPATT00006409001 transcript:CAK65910 MQEEQEVEITNEQLQAMKMCKIFKDHQKDINAIDFSKDGQYLVSCDDQALNVYDVHQGKK MRTLYNKVKEIDLVRFTHHNSCVLCVTKKEPYDIYYWSLHDNQILKKFTGHTNMIHWLDL SPVSDDFLSCSMDGTLRLWNLASEYQTSDGLLDLSAKKTFCVAAFDPSGQVFAVVFLEQY YCISNNWLYLYDFKKYHSGSFQSKKINCSQVKCIKFSNNNKFILCSTGDGAILILDAYTL DTICELSDFSNQGSMIEAGFTPDCNYVISGSETGTIHIWSLPNGNQVTRLEGHQKRCKVV KFCPTHLLMASGCRNLVLWIPDQFSTDNMNNNNSRRSLMSI >CAK65911 pep:novel supercontig:GCA_000165425.1:CT868041:368957:369718:-1 gene:GSPATT00006410001 transcript:CAK65911 MNKNSNTYDTLIKVVIVGNCNVGKSCILMRYSENYFTSQYYNTIGVDFKTRVIKIGNQDV KLQIWDTAGQERFKALTNNYYRDAHGVVIVYDVTERSTFDAVDSWIEDIDKFGRKTVQKL IVGNKADIPNKRKISKQEGQEKAKQFNAQFLETSAKTSENVDRLFISICEQVMNMKQQQP QNQNNNLNQQQQNQTQNRNNGGGCC >CAK65912 pep:novel supercontig:GCA_000165425.1:CT868041:369910:370092:-1 gene:GSPATT00006411001 transcript:CAK65912 MDSRSRIKNYRHFAEPSYEQDSIKGFYQMVSIPDLIRVLPSNSITFLVYELFSKSLVQKF >CAK65913 pep:novel supercontig:GCA_000165425.1:CT868041:370284:370956:-1 gene:GSPATT00006412001 transcript:CAK65913 MNLDKQRNLYWNHFIAGLIGGFISVTVCHPLEVARSRLNLQNATKSVNKYSGFLNTLYVI FKEEGFSGYYKGYRATAIANPLFHSLFFPLYKWNKEILEIKYKIYGFYNHAAATVLTGFL CDLITNPLWVYFQFKCKQLIRTRMQTQYLHDHNNAKYKSVFGGLLTMYKEVYFKLLILGR ILCIIQGVWVLQFQGCLTLLIQFPIL >CAK65914 pep:novel supercontig:GCA_000165425.1:CT868041:370985:371739:1 gene:GSPATT00006413001 transcript:CAK65914 MNMNDSFFDNIEVVYEKLTTYNKPQTICKSLERSFAMCSNPPNKIIQQQTSFQNDNAMSR HQSYKLDYLLKQQTKSSQNNTQSKSYRSIIQQDYNTNNISQNPQSTRMRLKINLENDAYQ SNLRHKTSSSHNTTNPYQASLATLMKQQMQKQQQSFANICKTLNDEPKPRISATHRQSII PQKLTQRQDSVSNRRSSNSVYMQEFRKVFSKSKYSFHNNI >CAK65915 pep:novel supercontig:GCA_000165425.1:CT868041:371847:373186:-1 gene:GSPATT00006414001 transcript:CAK65915 MVFKILTDSPIQLENVPYMKIFYELNEIIDGNILKGKIYDAVYQDLLKVINNEDKQQTIS CIFDKKEYYEQKLRDLFNSNEKIKISLSSAIESAIQIKVNWLSEQLSSYFDQLLIKKQEN KDFEKVKERIKQSLQLMPYFSAKDIFEHFYTQRMTRRLLLELSISQELETEILINLKQQC GDQYVRKAEEVLKEYKKCYQFQHAGVEVKLVVISQNSWTLKQDQIPKFFLKEQQEYFQED KKQDFKQKILFWMLECSNCSVKGFKKYIFIVSVVQAMILDLFNKQKSYKASDFIQLSGLS KDEIIRNLIPLEKEKILLLNQGVYSVNHEFESKKTHIKINQMQKIEKKEEIEENTQKLLH DRKYVIDASIVKIMKRDKQHTLQEIVNLVLKDLGLPLKAVDIKQQIEVLTEKEYLQRDQN DMSLFIYLA >CAK65916 pep:novel supercontig:GCA_000165425.1:CT868041:375245:377525:1 gene:GSPATT00006415001 transcript:CAK65916 MGNSINVDIDLIPKPYDKQLQLYPDLAYMAPELTLNPSLGSYQSDIFSLGLILSGLIRLQ LTGMKDLILFQISHITQYSSSFECLLPFIQKSPFFSQIQDQSLKDLIIKMLSKELSQRPA IIDIYHHEFFLDPLIRLMHSLRQFQQFDAEQQAALLKQISKSIYKFERQVIVKNILPNIM PLITNEKLMQHVIFIAIDVSKMPDQAIQEKYIGLCWNNIKKVSGLPSMPAQALYCLVQNI KQFYEIKLPDSEIQAHLVPLYVKCFESDVAKLQEVALSQTQMIMEKIEYQYTKNKILPRL LQLCLDSSNKEVNLLALQVLSKTQHIFDKVSISDNILPTLEKFRKMTAPTKIQAQLLLEI YKSFLQHLNYEQIVTRLLPQLLPYIIELNIGKSLIQKYSELLQSLIQKIAVERMSCLPED TDEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQSSSSSLFFQRQSSLEKSNHFQSSELNLDQLQPVSQQQNKSNSSLSPIVTGIPQNGINE ISQLNIQLNPIQIGQVQSQISPYPANYPPSSIGIPQFNYQQQPLNFDFQIPFEQSIPKQA SIQSTINLFNQDDIKQKDQLRQDFNNPVQSNNQFINFDNPFQQNQDQQPKGNANKYKEFD NINILDEQAPQIQQQPSLINDMQAQQQFDQQQQQQQQQQQQEQISNVNQEKQMSININFD PFQNWGKF >CAK65917 pep:novel supercontig:GCA_000165425.1:CT868041:377591:378885:-1 gene:GSPATT00006416001 transcript:CAK65917 MQCLKVVRNFSLWSSVPLGQLDPISGIVAQYEADNSPQKVNLGVNTYRDNNGNPVVLESV KQALRIVREKKLDNEYPPIEGLQSFIEAAIKVGYGEAYYTQNSKNIAGCQVLSGTGAVRL GFEFLNKFAPSGTKVYVPNPTKNIHPIIAQMAGLKSQEYRYFDPNTRQVDFQGLSEDLYS APNGSIVLLHACSHNPTGCDLELFQWKQILDLTKKKQILPFFDMTYQGFTSGDLEKDAQA IRLFTEAGVPIILGQSFDKNMGLSGQRTGCLSLVCSNEKEKQIVVSQLNLIARSLWSCPP VHGARIAETILNNPEIYQLWLGEVRIMAQRIKNMRVSFIKALNDLGSPHDWSYISKQFGM YSLTGIGPQQIKELVEKYHIYLLSNGGISIAGLNDSNIKYVAKAFHEVTKHTLI >CAK65918 pep:novel supercontig:GCA_000165425.1:CT868041:379835:380026:1 gene:GSPATT00006417001 transcript:CAK65918 MKQKVNIIEGLKNKIDKEILLSNIDLLGWFPEMKNIKVLEGDDSSSYTEMF >CAK65919 pep:novel supercontig:GCA_000165425.1:CT868041:380221:380788:1 gene:GSPATT00006418001 transcript:CAK65919 MPTYQEALLELLQFEAYFKTVQVIYNSIWNRDLNTAAKIITTTTKYLCLTIGNLSPDCEK LYFYSKSLDALREAVKGSLFFKKRGVQCLNQVLYKYQIRTLDDIMYEDGCRRYALAFDGQ RSYGVFYTYLKLKEQEIRIIIWLAEMISRRLAKNHPGWKTIFIPFSHLGK >CAK65920 pep:novel supercontig:GCA_000165425.1:CT868041:380990:383874:1 gene:GSPATT00006419001 transcript:CAK65920 MIKYLLLCLLAIIANGAVLGIDFGSEFIKAVLISPGKSFTIIENTTSKRKTENAIAFYNK ERLYESDGVSKKSKSPKNTFTFLNKFLGALANDQKLVEISKQSYEDFKIEIEEREGTFAF EVDGVEVEGKDTMIVKVEELAGMVLKFIAKLVDFNHQIQIKDVVLTVPSEWNISQRSALK SAAQLAELEVLGIINENTAAALYYALERQDENKHTALFYNIGSYNIQVSLVEFQAIDAQK KKIETLKVLADYSISNAGGQSLDLLLANHFAREFDNQPSRKGKKSIFTNSKAMNKLLKAS NKYKEILSANKETQVYLEGLIDGEDYTTSIQRSTFESLFEDRLQQLTEPINYVLEKSNKT KEDINIVELIGGGIRVPKIQQVLANYFGSVEVGTHLNGDESMAFGAAFHAANLSHSFKVR PVQLTDGFSFSSSIEIKGVNDDDYHKEFSLFGYKKKYGSTRSLEFTYDKNLVLDIYVEKD GQKSKLMSYHLNNITNATELNFSKPEISLTFKSTSNEFIKLESAEMKVEEIKLIEIKPNI TATNTTSSQENAKSSNKTESKENESSEEGDLSEETEKQIDEPQQTEVPQKTEEPVIEEPK FKKQKIIHTFAINYTTTHHVVLGLNQQEIDDSKKIIKQFETAEENSRKLSEIKNKLESLI YTVREVKDAEYFQKASTETERTEILKTIEEHAEYLDSDEAWTADFEKFNTKYTQLNNLLK PIQVRLDEAKARPQAINETVTKLKDFHKKANALNSTMPWIPEEKKAKFLGHLTNTTDWLR SKVEEQEKTELHQDPVFLIEDLKKKVDKVSEEYTKLKAIPKPKKTQEEKDEEKKKKEEKK QQSKKGSESDSKKEESQEQSNQEDEKEQPQNQQQQEDNFQDQQENQTQGDEDQKIEDL >CAK65921 pep:novel supercontig:GCA_000165425.1:CT868041:384487:385173:-1 gene:GSPATT00006420001 transcript:CAK65921 MNTEFLITSSIYICCQIFIIKTDIDYVICREKSAEQLNEVFINNLSMKVCNNASNSNNFK LRIFLKSQSKGESSSLYSILNSQKFVFGDDEKNHRTPRPLILYEDTSDRYKSTTSSSSSS HLRKLTQRIKQSTDSEINAENPISFYQILDNYNDQYLNLQLNKDRYYSQEKTTKKIKRLY TEQTEIETIKQKPFKQQQIYSQHQKTKKGLSVKQKLINSCKKNNKKLF >CAK65922 pep:novel supercontig:GCA_000165425.1:CT868041:385430:388764:-1 gene:GSPATT00006421001 transcript:CAK65922 MMKNKIRLTALDIMALVTELKQKLIGTRLSNIYNIDAKTYVFKFSLQESKSYLVIENGLR FNLSDTIEKNKVPSGFTMKFRKFLRSRRLESIEQIGVERVVVFTFGREDHTYYLILELYS QGNIILADKDYRIIQLTRQHEFSENAKVAPNEIYPFEYTATNYLEKFDTSMERIQKVVSE KAGQKLKEVVFKLVPCLHQSLTDDIIQQLQMNQNEKIVNQFENVKKVVDYAMEYINKYRA QTQYKGYLCAKEAPKDAEQKPKFFDFAADQPAYYQGKYVIETPTFNEAVHQYFLVVDRQE DNKQSIEDIAWKKFENIKQDQMSRIQKLQSEQDEYIMKAGLIQENINDVQAIIDIIQKMI ENGIPWDKIQRMINDSKKEGNPLSNMIGGMNLKQNKVTILLGNKEDEYSDLIQIEIDITQ SAHQNARKYYESKKKNRDKEIKTKEAVEQALKQAEKTALKEIEREKNKIQKVQNQRKKYW FEKFFWFISSDGYLVISGKDVQQNEMIVKRYMNKDDIYMHADIYGSASTIVKNPNEGPIP EATIMQAATATICRSKSWDAKIVVSAWWVHASQVSKSAPTGMNIPAGSFMIYGKKNFIYP PRLEMGCTILYQLDQDSIKRHEEERKKKLREEQSQVDESEQNESEITEKNILDSDNDEND DNNEDDNKVDLIDLEKTNSVQTIQQGDKEYTIVEVNPNARMIQQRNDKKQKEKEQQQKQQ TQQQQQQQKQQPKQQQQQQQQQQNKQQQAPKQQQQKQNVKDAENDSSDDDNKKNQKNPTT QQVRGKKNKMKKVKEKYADQSDEERELRQKLMGATYMKNEVRPEKKKKDKDKNEFTKQEK EQTQQQEGQYKDKEYYKKQQLKQESITVKLLKQQGIEVTKEESNPDQQTQEKIQQQEEQQ QQQQLQQQQEQQQQQQQQQQEDLQQKEPENADNKQEEIDSDDEKQEKQVEQEDENIEYTE MQKLVSYLYPDDKYLSLIPMVAPYTVIGNYKFKIKIAPGSLKKGKAGKEILNFFQVNKDI SNQERQLLKMITDEEIVQTMLPGVKLTGVGMLQMKQKEKQLKKQQPKKNK >CAK65923 pep:novel supercontig:GCA_000165425.1:CT868041:389275:392543:1 gene:GSPATT00006422001 transcript:CAK65923 MQTQDLGYLINALQLTYGTSQESVNNGEALLKQASLQPLYAISLLRIVDDQTQPELLRQS AVVNLKTFLEKHWADKKEPGHYIISVEEKTMIKATIIDALARCIQIKKLRSQYEDLIYKL VAIDFPNDWPQLVQQLVIKLQNFTSYEDLWSALLTLRRTCEVHQFLLENDRQPLEPIVAS TFPILETLIQKFLEGYNEQSGQLVKVILKIFHHATHLVMPIYMRDYNVVARWMLYFKTII QAPPPPELSTLTQDAEEETRREKTYIWTNKKWASRIILRFIQKFANKKMVESNMAEFAEH IKSTYAIGFMEIFYKILTDNTQFQGPRTCLFALKYLFYSLKLDNTKELLKPHYDKLIYHV AIPKMQLTPRDDQLWKSDPEEYIKRLDDFSLSTYNIKNPANDILQEVCLQKDINGNLMLI SFLNYCQTAFSTNIDPLTNQPLDLLKKEALLWGIESLVHQISKISSIQGGLEQILEKFIL QEFQNPVGFLRARACHVFNEYGSIEFKNKQNIQLAVQGISKCILDKELPVRVAAAIAFSS ILQHKEAQDLIRPQLSQVLEIYIKLMELIDNEKIVRSLEEIVKNFTNEITPYAHQLSAHI ATIFQKYCKKQNQGDGDSDDDGEAELAASGCLEAIKRILNAPLQQESYTQLESVIFPIIN FALTETGCDFINEALEILNIMLYKRQQLTPGLWFYYPVLCYIILGIPQETNVYSIQGLSE DQYVLLEGCKKDWGSEFVSQILGSFRNYIQKGGATFLTQNDYFGNSFISLVFRFIQKIYA VADNGSDETDQNQVTTILIALIENYPGQVDNLIPQIIDFTLLNLQKDKKTNRFKIVNIGV LCMCIWYNPNLAVNYLNSKGLTDQILQTMLSMEKFYKYEQDINRLIFALCQLYSLPQIPN YLLQTSSEIGKLFVRLSTKILELREEEESCDQEDQAEEEEDLKKTIEKIQDLEQDDDEDD EDYDEGDDEYAELYDSPLEDYDAILLMEKLVLTLQQTNQQLYAALFSQLNQQEQEQMTKN IKDAKEQYDEWLKQKQQKNK >CAK65924 pep:novel supercontig:GCA_000165425.1:CT868041:392678:393100:-1 gene:GSPATT00006423001 transcript:CAK65924 MKVIEEIKYQVELEMKKKFGKCIEKRYAKITDDLKEECIQNVIQKGKTIREVSQQLSINY SSAKSILANYRKSQNGIKVKYHLEPRQVKVNDEYDWKKFKIQTYCEDRQVNEYTMDTFAQ IVKQPSINNAIN >CAK65925 pep:novel supercontig:GCA_000165425.1:CT868041:393518:394487:1 gene:GSPATT00006424001 transcript:CAK65925 MDCLLGSSYYVLIDYDKLFRKLKQQQTTKITSPHYQALNTIEQGQDDESQCQFNNFGKVS SDDSQLEIEEQFNAKLIGNKENTNNLVGEKENQTNQLFNNLKELEQNCNLSIQNLVQEQK NIQIQFSDLQTQFEQLKRFFEGKITEQIQIYSQTSSKLEQLDDLSQHFQTQISLVKQEQT KEIINLNEKMTDFTQAIQKNINEHADQIIALQETLSTQHQQLQQQQQQPSNIQENEKQQQ QQQQQQVQQIDVSTPTNSANNGFSKAGLTRQNQEIGQLRKQSTYKKTTKP >CAK65926 pep:novel supercontig:GCA_000165425.1:CT868041:394717:395269:1 gene:GSPATT00006425001 transcript:CAK65926 MQQQQQTNQQELRQIFQLFSQDGGEDINIAQINQLIKDLETINMDSQTINVPFQPGRRLA KKGNSNDNLEDGQKDSSPKSPTYVSVTELKYFPNNKTTMQFSDFSELFNECMSNKDQHDE LLESAFAIFDYDKSGHIDSKKIRKVFQKFKDNTNEEEIQSNNDATFRCFKVLWSAT >CAK65927 pep:novel supercontig:GCA_000165425.1:CT868041:395319:396663:-1 gene:GSPATT00006426001 transcript:CAK65927 MNQQQYNEMFTAFQTNKILVEQFDTYLKQKVLLLIFMKCGHFYDEHLFQQLIEVSLNNGV IDLESFINQYNETVDTLQYRSEILNNKIKELRVLHQQLSDQLNSDALQQNSLTLQIMDCQ NIEIRDPSVYFQICCGAKTHKTRTMKGISPQFQEQFEFPIDSSCQDVIITLFDSMSDNMI GYTQFLISELREQKLKDISVNLQRQDNMKIKTKLHVILKFNHSKESLYKQEQQKLNHEIN QNNMEIKETQQALNCLAKVFSGGCIKIRQLPPILEIKEFELKCLDALNQASQDRIQDWVP LFYKLTGLVVALSVLISLYRCQFMELTLLAFMMQLLILRDINQKWMRVFTYLFAVLFLYD LLWIYICGGSYGITKGEQHINKFVTALSYVELLAKIPFTIVLWKHSVEFEQFLSYFI >CAK65928 pep:novel supercontig:GCA_000165425.1:CT868041:396739:397401:1 gene:GSPATT00006427001 transcript:CAK65928 MKNESESRVSIDNLSINKATAPQVTNYKQLVCCFVKQMLFDVVIPGQKMFTEDKKQNLRN QILFYQQGFARNMNDEQAYSELILLTTSSPQFIEMLKMTCYSLIGQNQEIEAQINKELNR SISSIETFCGNIKMIEKTITINWSTNRQKLYSKTIIDIIMRGLLLSYILEIIIRSSLKQD FTFNFSITIEYNQCCLEKEVQPVFKIRDQTTEYEFKFYKL >CAK65929 pep:novel supercontig:GCA_000165425.1:CT868041:397664:397981:1 gene:GSPATT00006428001 transcript:CAK65929 MKDYSETRPLNKKRVVRSESPPPLRIRYNRPYKTIVLSFFLLSAGILFTEQGIIQYQEKG LGETYPIFILAIMLLIPGVFYSGMFILIVLGIGGFTYDMLPSVNN >CAK65930 pep:novel supercontig:GCA_000165425.1:CT868041:398005:399069:-1 gene:GSPATT00006429001 transcript:CAK65930 MNPSKLSHSELGNFDKYIEDLYNGQLLSENDIKLVCQKAKEILVDEPNIVAVRAPLTICG DIHGQFHDLIELFRIGGRLPETNYLFLGDYVDRGSQSVETFSLILCLKIRYKDRLTVLRG NHENREINKIYGFYDECQRKYGNEIVWKHFTDVFGYLPLVAIVENSIFCTHGGLSPAIET VDQIKQLNRIQDIPHDGAICDLLWSDPEETKAGWGVSPRGAGWTWGQDITDKFLHQNKLK LIARAHQLVMEGFQHVHQRKTVTIFSAPNYCYRCGNQACIVEVDDQLKMSFSQYEPAPRD NEPQTTRRVPEYFL >CAK65931 pep:novel supercontig:GCA_000165425.1:CT868041:399428:399821:-1 gene:GSPATT00006430001 transcript:CAK65931 MSQKFGPLQLKKKIQKSNPTEKKPQIQQEVSDSKQLITYSKEQEQENDKFKKQQFNPVEM AQQGGTGKTQAEIQYDLVRLKRLGDKIEKKMELTYRQKIETFNKKIQKMPEHYDIPKVGP G >CAK65932 pep:novel supercontig:GCA_000165425.1:CT868041:399837:400762:-1 gene:GSPATT00006431001 transcript:CAK65932 MKPQQDTSTDPFAFAFNPQNIENTVNKAKNTLDNPFIGMAYAGLGKKFSGIGDKYDSFLN SVFSSQYRFYFDVDNMYVVKKSIMTLAPYLYRGNWTLNSEFQAISPTENVHAPDLYLPLM SLVTFVLLRCLSLGINDKTQFSPGYIVDSFWKCFVISLLEVIIIKIVFCFLDGIRVNTVD LVSHLNYRYCSLCALMVFNILTNGIFSFVGTIYVLICQAIFIYKTLQRYSPSHNQSALEL SSFGSNITLVLAFLQPACSWVILSFGQ >CAK65933 pep:novel supercontig:GCA_000165425.1:CT868041:400905:401771:1 gene:GSPATT00006432001 transcript:CAK65933 MFQDFDSMSNRMMQQMDNQLASLGFGSIFRGFGDLENEMMEFSNLHKHMKNLNQMDVNNH AKDGVFQVYSSSYVQSSKLGPDGKIIQEKYFDNNAVARGANGHTISERQQGYKNSDGIDR FAHERMMNDKGKKHIRERDRTGQITTTNHYMNVEEDQVDQFENQWMGVGKNMGINQLSGG LKALQEQQKHTDFNNRPFQKHEITSNMLGKNSTSYNNNPTRQALQQSNQQPGIKSKVPQS HQPMKALPPGKQEFTGVYQKKGVKNQYPQAG >CAK65934 pep:novel supercontig:GCA_000165425.1:CT868041:401918:402321:-1 gene:GSPATT00006433001 transcript:CAK65934 MRILILLLLVLVNSEHSLSISLEQNDLDETVLLQKEETLTLLDIANELEQYHLFQVLGIE AQDRQMKRLAVASIISILTIAACCGCSQIIQAYSKSCKQKLPPPKNQYRRLEQNADSL >CAK65935 pep:novel supercontig:GCA_000165425.1:CT868041:402362:403266:-1 gene:GSPATT00006434001 transcript:CAK65935 MHKIHYSHQQSPSNTPGQSSSPSQKTQLVLKQTNRTITSQAENTVCYKKKSKTTMNAEIE LKKQLQVQSQQYKELALKYELSIQEIQKYQMMIERNNQKRNHEKQQLLSEISMLKKQLEQ RSKSQIDLHENGILGHLVNEVNQKAILMELSEYKDKCKQLLNELDTKNLQLDYVTKKLIN TPSCQELINQVQELTKKLNEQESKLLQYEMQLEKQQANNNKSKFLFRGAEYFNKKILDTD IFSSSSNIQSTMSNQNENSSQGLSSYIKL >CAK65936 pep:novel supercontig:GCA_000165425.1:CT868041:403297:407109:-1 gene:GSPATT00006435001 transcript:CAK65936 MIGRPPRTRNDRQQNPQISEDQLKMELQMQAENKKRELRLQQLQEKEENLKQLEYAGQDV ESFRNKVYAEYQDVVPSQSKAEQERAARIKLREEERLRRKNGLGSAYEQEKERLPVQLEQ KLLQNLNQMNNNQNGDWLDKQQLVSNQNQRNVNHHHQQQPVVNNYPDQVYGVRQIQQSQL NNQMKNESQKQPDKQNYEQRYQPPQMNNNSNYQKNKMNDAAEQEREKKRKYKEQLDIQIS QKGQQNQQQIQNQQGQQQYYQQQNNQYQQQNQQQQQQYKPNSQQSQRQPQVEVDFFSKFG QPQSQQGWRQQQKEPQNQDNVAAQRMKYREELDRQIQEKKNREMEQQQQRNQQEFAKRPA SNPTNVFDFPQEYDYKNNPPPQSPNYYQPPQSAPTDDQTKGRFLQRRFTSGQPEDPQKQV QKEQYAEELKRQMEEKKRKKKQEEEENRRRDELEQQQFQQQQQLEKKRQEELVKQKQAEQ FELQAQNMQQKEVGRRGKQNIQNEQIHQDVIKKSALQILSPESDIQRDSISQVQDQKHQQ QQQYLPQQQPQYQQYLQPHQPVQQTPYQPNPYHPPMSPLQNVYNQFHLQDNLQQYQQVLF NDQNTHNPQAIMVHQQYLMQLQQNRQEIDLVRQILEEKLRLQQIEMQNQLLIDQYQRKVM DIQREQKLFEQEIVKLQDFIQGKQKRPLDPTVFASSVFFNALGKKDNLNQSPHQQQLANI QPLKISPFQSPTANSQAHQFYKQIKQSDISSQYSHQYEEVNQLHSDKHQQPYSQQQQPFS QYQQQQQIYSQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYQLQIHKPKGIT ESMIDNDVELPGESEYVNYTEEQLGKSFMPEYRELKLKTQQSQNFKQTENAKTLQSQQFK TLQSQNFSNNDFQLNQQQKSSITDESCELPNHVVQKSIPPQLSRVQVSDNKSGNFQDEEI EESNESVDEEEYEQKDFEPEEEVVNEPNDDNPFDQQDEQDDENEDSDKEQYDIEIPNPQN YDLNMLKTQEYYAMFVENIKPQSRQQELKFPTKLLQQNTLKLNNDTIQQSSMCDQSEIVE SQVKFENEKQGDVEEVQMSQAQFSVYQQSMAIPEDEEPSQPTASQKALKNSSRKYQKPKT VDIFKNEQPRNSNPQSQNQIPQFQEQSRFTNQQIPDQSRCTNLQMPDQSRCTNQNPIASQ TFLYQPRVELVKSRLENLFQHTNEIMGDLPKVKVEKIVAVDDDEIKSYKIEENSQWQQQL DLFAYEQSHS >CAK65937 pep:novel supercontig:GCA_000165425.1:CT868041:407124:417475:-1 gene:GSPATT00006436001 transcript:CAK65937 MKIPLNTLEQPKEIDRRILASILASPNFLEELLQLVNDKSNVIHKLSLGSLTLLMDKLDD YIENMAQQVQQNSRHDCSQLLNLINSLMEYECYRKLFNSLEHLLSIVEHTDNLQNYETIM NILIKICDQAINLNNPQQKETCNQQLRDNIPRLIYLNRFLFDHNNTLSSTKLELIDYYHE DPNYLSITDKPIQFPQLHFEYIEPTILDEPYADLCKNGVHKKELQHQTSIKNFSIYDDNS TSALLLAKKTLGQQDMPLNPLVEAVKYRILIYRGLAQNKIDTNTLVLGMHILSLEMNMLL DAFCSTHDCAFLLDQVFVEKIEYEQYFSIYTKLFQLKTVQPRLLVTILSTLDEILITEKQ QDNEIALNTAKNYDEIFLQLIYDVLSMQPHQIEIESSYPLKYNGQLLNEQCARDEEVACG IFKLFSSESGVLLLSRGNIALGSSQALVKTLLIQDNKLILPPEILKAAIGMLGRLSRKED LQRDLKIFNDLIKVVDNLITIGPNLIKPTDQGYQQVTFDETDSIISFAIQSINEHFKDDL GRRYNRANAPTIARKVSETQIIKKLYNFLQDNKYTLIIQQTLILISHLANEVPTLIGRLI DSQLPQQLNSIIQGLNLTQINSKMVNAVFQFYFNVTLKEEGYDQFNNCGLQFMQQILESS LIQTNAPKEILTSVGQNIAKYLQKIDKVGPQVINILNFVLERLHKELINKKNNLKEDTYQ QYYEIITKVSNLSILILRMIHNLHPSQQAEMNTKGFFEDLLLFFEFPTFDFKIDLTKVFR LFAQLDEFDNPFKALSKVLVSIQKLENKLGCTLENSNIVIDESFYSSEKFFLNCPLDQLQ QKLEFVSYYSQIESLMEVLKHYLMNGLIRSEQQEISKLLSKSCSLLLILVRSIKHGQDQK IDQTFKKSVFYPYIQLLKVIIINSYRVIRRINNELQPLSNLFCQLSENLRNENIQVIQLH TYLINDLLNNLVEGRKYADFQNLHPDFLSLLINSDFLENLKSGISSFIQLVGQKSNVESI DLLGWQYANIIKLIYLNKIDQSKKLQGYLLIPKQIEEIQNLLTITQCLSDDPIYAIQEQL LNKAVFIFRPFFLQEILQKDKDNLFQKAYQVFREDNGGEIDILQQDPNEVRVKLRDMGFQ DDVITIALQNNDIYDVTRLTDWIYENFDMIEEQRVKMVEIPKNEPSLEVVTQQIQNKQKN LKQYIQTNMLYFSKFEESIFQLLEGQIMPSLLKCLKQVVYEQFKLQLKFKDCEPEIKPPS TDNMKVIITILHYIFKQKNLVEEYESIVTELMKLAQEIMKSQDDLSLKAINHILAILTLF ITDDQKKKKNHHDTVNDILLGVINILKGKVQNHITSKICIEILVRAFEVNKENVSKFVSQ MRGLEHLLRIKGDSQNHMFPLTKLVLSIVHDKSLVIAQVEAKIKKLLYNQEYNKEIENIN KQQQQPQSQVQQQHQPHYPICFQPIYYNIPITNEIKIASNHPSLLTIQNQAFYTDEVKEV MSHLFEDGETIDGVKYLNLRKGCSLHTFDCVQPTSFQKHKEPETAKKSKQIQKKKKSEDK LQLQSNFQHTQDAQILIKLLIQQMISSFFENEQYQYHWYTITQVLQILIRRYPVLIPKIV RVNCSKLLKPYQKQLSTDYQDLELPRKISFLTLITRIMTPAKNLLFELCLDNVLLYQMAN NNIIPFSNETRRKIVSELYDGIEKRIKTFDSIVCQYSYTLIRLLQIKSVAKICYRNINDT TNSFNFIKLYIDGMKNFELKSYYRYEKELQFISETLAVLFNMAIYLLFYKPTSVIVSKLQ QEQYGDHYQLQGMIGQLLPEEINSQLVPNKSILYQDSIKYFQKWPLLPISFLEEINQNQQ HEELEFQQFLPPFRRRNRLVNVELIANIEEDDGMLELEYDHNDMQSEQSGDSHNSHESAP EDLEEEENSWASSQSRHNQMEAEEAQNSSSSWTDEEDDDEDNDQQEEGSEQDEQEEQEEN ASNSVNDEDIDQEDEEEHEEDQEEGAIDEENEVFQQEGENNEISEENIEVTKQFHHLTSR EDQAFAKMTKSIIQLIGIQFPQQFGKVLDKLVHSLPKMNSPDDSQPINWWTELTQYIQNP FQIDERSYGQELRMQPFFRDNRLNTEIFRIDQNDRVFNRNQIARYDQIDRQERIEQLRQE RIEQLRQDRNRSIIFRNRREEQQLGLQLQYQNQPQDNLISQFQQHLSHYTREQQFIRLFN WNQIPSSQQQPQQQQGNMASLQEASHNLQTIQDQLQQSFLNLLNTNQNLQQQQQLLQQQH QQQEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQLLQQQQFQQQLDQQLFQQQLQV EEAQVFVPQQNSEVMQLEPQVSENEQQEKRQQQQQQQQQQQQMQTEEQPQKIEQTNLNNQ QQQQQNFEQLYPNTFNFLLRVGRTFDDLISNSIDPSVFEDLTEEMMVDIISTIPENREIN PIEGIDQQFLQSLPPEIRREIYQQYVQPIQPQPQLQSDLDQLIALINSTPYDDREEMYLQ ISAEQIRNLPQDLRQEATQIRDRADQRAIEQTERQLYYQVDPQQQHQRIPNIQPQQQRKE NQKSLNLKNLLQTQRHLVQKLGNVDDEFAESLLRLLYVESHSFVNFPINLFIALTNNPNV EYKLIDALFFILKNHSSRVIQSEFPPQILIRRNGLIRDQSKIYEIVSLKILYLISKLQGP SIKYFFETKKQVQLQSIAKLSEGTQELPLLQLIQLLPLFKGDHQELLIQAISNITTKQRD FKQEQLNLDSKSVECVCTILLQNMNRSVKNFSHIILNLSNNKENQQLIIKYIKEFIQRIT REINNNFAKQCQYDEIFTADKALINVFQFVREMNDKIGENNDQQDTRSNFKELLEDQGLV QLWKNLIKLLQDIPQQQLAKLSTKISPYLECFFIIYQLVNPIRKNKNIQREPTKIASMEG QQQEVQEQQLHDELFQQICESGKVLLNIMMRERLQELREKGKLVNDSLGVIIFKNPRIVD FDNKQKYFKMELKQLKMQNNRHHYGNVNIRCRRKDIFMDSYHRISKLKPEELKGKLHVEF DGEEGIDAGGVTREWFLMLSKEIFNPNYALFTPSLNGQMFQPSNKSHVNPDHVKYFKFIG RIVGKAIYDGQLLDTYFTRSFYKHILGQKLTIHDMEDIDLNEYKSMKKILEENVTDWGIY WTYNVDHFGKLEERELIEGGKTKLVTEDNKLEYVQTYCYQKMAKEIKDQIEAFLNGFHEL IPQSLVSIFEWKEMELMLCGLPDIDLEDMKENIEYHGYDKGDKVIQWLWELLESFDKSKR AAFLQFVTGTSKVPLGGFKELKGMHGPQKIQIHKKPYVNFELPTSHTCFNQLDLPDYPAR QILKEKLELAIMEGKEGFGFA >CAK65938 pep:novel supercontig:GCA_000165425.1:CT868041:417494:419658:-1 gene:GSPATT00006437001 transcript:CAK65938 MLQDEIMKPSFRLSDLLKFEDQTLFLDSPTKRKSLAPPKPLQKHIFDITNGAFQFTITEF TQSIKKERVPIQKNLIKRISVYVENECQQLQVQQQLEKRIQQKILEDLNLLVFALCHISK PIVDFLENHFIDYAEELQITIWEINLQLFQPKIVELIKKYIATSMNILPGSLYSQLLEQS HQLLCSLSFEVSDQICLILEECRGYIEPFISKFRERNIPQILLNKYTILALFQELSQNCY QTIQDLLEFTIFHYAEPDVVEKFMKYAIENYDTLILKNKMLSNSAQQNEIISRQTVDQVL DCLQQCITNKTKSIAVYKIQCRQKLQKKSSEDDEDQCTQSTDIKSFKMQKDARYYLNGLY LESEDPQSKFIIYVMNEEFKVIYAPLISRRWAFQKTFVIDQQDKDGFFKKDSNRKSGWGV YPVKYKSMKELVHSNWVHEQLALMILNQNQEDVYQQRRKVEGEMDTMFGSFLANVSRNKE FLKNFGENAVNNGCMQQLVVYMPLLRDFLNLGGFGAQALLTSQEIYTPQRTFTSTLAIST SLVSGAIIGQALIPIPLVGGIVGGIIGGYLGNKGMTNYTKSRNEKKAQEMVQKLLQTQLQ DGHWECNKINLDIMVINFKILNDHMPKVLSEDSDRQTKWMNLVIFAVLSLFFNSNEMPGV WQECLDCLLKYIQLEKISMADTLDNLKQIVEVIVKNL >CAK65939 pep:novel supercontig:GCA_000165425.1:CT868041:419665:420536:-1 gene:GSPATT00006438001 transcript:CAK65939 MKVFNNPHFHSKGSSSKPSSLQSSRVGGSKILGSPQGQEQDLNEMLQSLNPTQIIAQEEE SKFDLIQEMQQLKTKVLGLLKKYQVMLQNENAKQVKIKIKSDVQEIQLEYDDIIAQYQID QSQLEIENNIPTLQKEKELNTYFNLVEEFLERFSHHKATLNYFSLLQQEKMNRNGLGVFS VDQDGRQSMYDFQESLPDLTDSNNSENTHEAQQAKIALSNSQKLRSQIRRRFFDLALKVK EGLPLYHPGRQILVSILFEDAEEEGIDENEWEAWILKQLNK >CAK65940 pep:novel supercontig:GCA_000165425.1:CT868041:420613:422088:1 gene:GSPATT00006439001 transcript:CAK65940 MGECTSKVQTENIANELDFNRYSTYQKLETLANDFAQNEKIDTTYNSLIQRIIAAKQEHI LKLLSVMNTQEKKEFMAKIEWMDFETVDSLFYHCCKQNHMDIFKGSVKFCNNLLEKDLNL IKQRKVGLVLLCGGNSSRLPNKILNDIGFPSKKCIFQIMMERLKKIIIMAQEAADFSGFP IGILVSDQNATAFQQYIKSKKEFGFPQIHIMQQKSLPVINKHGQVMFESNLPVQAPNGAG SIFLQLSTFQKKFPSMQYIHLLGFDNLAGLPLDPIVLNLMNQTQTDVICKVIETNSTQDD RLFYQNGYFKTMETQDSSMTENPENLAKMCLNDMYVSVAFLNNLKSNHEKSMKFSQRYHV IRRGPTIQFEKHIQDIIEIANSTILYQTEDYALLVDDPKKAVIQLSNVHKRYLQLEGTQD NELVEITPYMSYSGEDLRKQENIIFPLII >CAK65941 pep:novel supercontig:GCA_000165425.1:CT868041:422095:423092:-1 gene:GSPATT00006440001 transcript:CAK65941 MFRKIYTNISITLIKQLREASGSPINDCKKALESTDGNFEKAIQYLKERGLAQAEKKMGN QTKQGVIVAYTNNKVAALAEINCETDFVARTSEFLEFSTNFIKTIVNQEQDFSSSNIDSV LNDKRKQLVGKLQENIVIGNLNAFVATKNSVFGVYQHNCLKNTICGLGGSVVELITESEL TDVKTQILREGANNLAVTYLGLKPRFLYQHEVSSDVVDQIRKEVEKEFGSKTAQQQNFIV KGKLQNYYSDNVFEHQEYFLNEDEPKTIKQYMAKELEEVIKDKVKIGRCLYLTI >CAK65942 pep:novel supercontig:GCA_000165425.1:CT868041:423104:424587:1 gene:GSPATT00006441001 transcript:CAK65942 MNYQLTQSQYAVQQYSTEIRNQDRESRINSLRDRQRQRNQQTQEAVTYDFRQFDNLIKQF QDITLADELRFELLKPAIHFTDDDDNSHYAIKKVVEADDVVYLLKETVYNENISLEYRSD TLLLLAQMMYSNYELCSELLKQGILQLLIDLVKISFYHGNLIFLSHSLLGLANSITHESK IRTIYIENQLDQLILQILQKYDDANIKKSVARIMNDWFRSKLESIDIDLFSQIMNYVLII PSQDNSEYKENLIWFVYGFISQVLDQVKDMSDQFIQSLMSLPNLTSFLRQKLCDKEQLGI VAGIRTITKIFRKVTDQSVLYDIFYDDLRILFRNGQLYEVNLAKIEILKLMQVMHQHPKC SIFAQEFNSTQHYYFYNLKCQEFTLLGLEVCNIENFDPQQLSILLNLCKNILMTDMIDST KLSAALTIIQKILDFDNSYYLKIQDCIIIIEKLQDNKNTQIMTSASNLIENYKMLFKQ >CAK65943 pep:novel supercontig:GCA_000165425.1:CT868041:424619:428508:1 gene:GSPATT00006442001 transcript:CAK65943 MKINKFACFHCHQSLNQNHIDRRFKKSNHEEAKPQIIIDYQIENQINSHNQNSEAIYTNN NTHSTNYQYSSSQLAIFNDQLLVAQKDSILFYDCIFDQFSPENLSHYFPYQQLTLHHGNI VSLHNIRNQLIVRTEKTILIFQTNQQNFILQKAFAYANILSLNFEINKLFLCLEDQLVLI DLISYQNSVILRDTQIQAGFYADNHYYYITKNSKTLKSSLKEFNLNVNINLFINSIYIIN NLLCICGYQSKCQDKISYCAEIIWYNLASKDFNQQIDNLKIDLINDTIINGDFGMQLMGD LCILYGTNLSTLTFWNLEYLNYDNNKENKVEMLNTQGIRGLALVNKDQYHTIKKSQESFS STDEYPIIIIIDDQGFLNAYEFTNQQEFSDGLSRQSETQWGRPLYTDYSNQYGDIQYTII QNDPREVMNLANPPFNFQLVTKVDDSQIVFGSGKIDHIFHLHQNWISSANLAINYKHFQV IGHEREILILNPLVIEQILLDLPHNCQTNQITSHPCELIYGLYSFQEQILIQTNSSLYLC NYHNDQWKTKKILNQNSIKRVSIVDDYILLKIKTYTDQFVLYEYKNDVLQQLTTHSNDAS CIFKLNNQIALLQILDNQLYILQDLENKEQITIDIDIVYSKEYYIKQIHENHLYLSFATL DQNQFEHYILYYDPQRKSAKQEKHLEDLLQNVRYNHLLTKITESDWNITTILTPDAKFIV IIPNGIQDGFVLQVCGQDLRTIDDQEGYPIHLPSFSHIVKGVSVLNYVLPLEENLSSTPG LYKVRKDNKEFHYQCQPSILIYEFDEKGSLTIHRFLIIKLKFQGGQLPILNVQFDSRQSD QNQNTLKISRTESLVSLQPPPSPSVKCQDIPSQAALITQQLLDLCQQSILQFHQTYDYLK FNPYLPIFTSQYIFDDLYSKYYQISNDKWIHLTKLKDKSVIHQYLTLKNSVHIPKVELEH SIIEDKLKQLKLLQFHFSKICTFVRMNLHQGRIENFEFINEEQKQIAKKARSTRKITFNV DVQNIGFQSTQDQFIRTLCSQPKSKVKYLVLAQSIQPQTVHLGQPQYNIQISKDSQDFKL QRILQAQSFDQEISIEEQSQTESMILGQAAQSQISSDSFHNDNFIFQEQQQVQVFQSPSK NPFDSETLSNLNNNQLVESIIFDSKDIRSQQELSNYKDSEVKKPKSSCQSKQMIEQVQRS KFKMSEVYEGNNSLIFSNIQNSNYGDIKRQSIKTPNFNVNNLNQHNFQQWQIKSCNRIQT EQFLKTSMDILDFDLKKDQN >CAK65944 pep:novel supercontig:GCA_000165425.1:CT868041:429076:430279:1 gene:GSPATT00006443001 transcript:CAK65944 MVQKRVIHAFESRDSISSMGCEFYSTCNCEIYYYFCNHKYSKDFFIIIVHYDFINLIIYY MVMNMNIPYSQAFFMKNQIVQIIYQNTLIWISQGNSDWTRTRWQQIFSRHLLKAQCKVCL NFSSTFLILYYMLWRNIFALIQQFYSEANCNSQANTNKKLFVQIEPFEKPKIQSDSIKSQ KKWSLKYISSRVMMELSNEAMAYSDICQKLTEEMIQEFNGQQNDRQKEIKNLRRRVYDAL NVMISIGIVVKEKKLIRKNAESQVNLTKQNLIIRKQKQKEQLQIKKASATNHIKQQESLT KLVELNKMRDVDESEKIRFPFILVKTQLKNSDEEELVLEQNKSMDYLKVFSKNLLDLQLD LSVVQKLFQSEHMVL >CAK65945 pep:novel supercontig:GCA_000165425.1:CT868041:430942:431829:1 gene:GSPATT00006444001 transcript:CAK65945 MTSIQQHSQAERPKEQQKQQKSTSSRDSESSDPSYDFVKDLNQKSSLKRTPEEEQDLLYR YKGKHGLKYQKISNELRQKLIKQVTTTGCTIKSAARDLNINFSTAKAIMQIYRKEGRTSK KIKRTKTLEELKNPEQLIQAGLQKEDQCQPRQNTVQDSIETIHYQQVEENNRNQAIIIQQ INAQNQYLQGRVNQLQQEKSQLCQNYSYLNFQYNQLQQMVRQTMPPYSKPYQF >CAK65946 pep:novel supercontig:GCA_000165425.1:CT868041:431952:433832:1 gene:GSPATT00006445001 transcript:CAK65946 MDFEEEHAGGDDVEEIQDGEDIFGEDADISVHDSGSKKDAVIFLVDCKKALFDMDQDGQG TVFSKILSAFSSFMKAKIISSPDDRIGMIFYNTKSTNNQLKFNNITEIYKLDGPSADIIK NCLKIEQNFEKDYQLGNNAHFHECLWLCNHEFKELDKNKFNMRIFLFTPDDLPYFKDLNA RSSALKYAKQLKDADVQIELFPLPSQNEFKIARFYGEIITVDLDEVNNAVLDTSTKIMDL HQRIKQKEFKKRALNRLIMDIDDIKIGLKIYCLVNKAKKPYGKPLDRRYNQQLKKKAQFI DEETGQALFPQQISTHLILGNEKIAIPKEYMAKIKGFEKPGMTLIGFKSSSALKDYHNYR ASYFLYPDDEHVNGSSQFFDALIQQMILKEKIGIVRLVPKQGSQVRFCALLPQAEQYDEN HFQTPPGLHLIFLPYADDIRGLSTVKQEGAEITRQTLNAAKILVNALTIQDFDCSNFEDP SIQKFYTYLQGLALQEQNIEEPEDLLQPDFKGMEKYRDIVNLFMSNVSLECSNMPSRSKG QGGGRGRGRGRGRGKQEESESDDCSKVKGRGRGSTQKQKIEEDDSLEGEEIYQPVKKRGR GR >CAK65947 pep:novel supercontig:GCA_000165425.1:CT868041:434087:435234:1 gene:GSPATT00006446001 transcript:CAK65947 MQQIKEKNFKKQVSFLSYVVQSIRNYEVLDILGRGGYGKVFKVRRKKNNQLFAMKIMSKA KIIEKKSITSVLNEKNLLKQLHHPFIVNMHSAFQDRENLYLVLDLLSGGDLRYHLCRNKR FSEEESQFFAACIIVSLEYLHQHGIIHRDLKPENLVFDESGYLRLTDMGIARVWRPENAS DTSGTPGYMAPEVMCKQNHGIAVDFFALGIIIYECMLGKRPYVGKSRQEIRDQVLSKQIQ IKRSQLPVAWSIEAGDFINQLIQRKPENRLGSNNPSDVKNHPWFSAFDWDALIKKKYDPP YYPKKFKGYEGQTDDTMEDQNEKNFVLLRNKTLCEQFNEYCYNPEVCFEK >CAK65948 pep:novel supercontig:GCA_000165425.1:CT868041:435287:435577:1 gene:GSPATT00006447001 transcript:CAK65948 MSSAYITILSSNGIEFIVAKEIAGLSEIFKKQIANADMRGETQILSDFTADILEIVLQYL HYKNRWQLESPVNLPKFQIAKEKALSVLQAAIALQI >CAK65949 pep:novel supercontig:GCA_000165425.1:CT868041:435577:436740:-1 gene:GSPATT00006448001 transcript:CAK65949 MNIIAKYKEFEKTLENVVTYKDLRKQIRQSFKFILKNNFTIYYLDEDNDKITISNQEDLD VVQNTENFEIMVEEIDDASELTDDSFQKLDKSVKETVNYFRKLSNGHGNNDSNSPKIIQK QEMEQAKEIVEKPNNPQEILDQKRQKAIKEITATIEHLRAEILKVENDDTEKRLNEKIEK LQKPQVNPSSLGKELIELPIIQQIEQAENNIINLNKVEREELKRNYQNLLKQIQLMIQNR QKNQVNQIAQTKDVIKTEQKLKQLIVKTNQKNQKLLKQYKGLLSQYQKKLKEVENKKANF EKVEYMTKDQLEDFLDKQMKEELKAKKEKQEALKQKEQIINIDNPKKEQENQNLSDNIQD DLE >CAK65950 pep:novel supercontig:GCA_000165425.1:CT868041:437749:438421:1 gene:GSPATT00006449001 transcript:CAK65950 MLLNLKLGTQILKIVKQQYLRNPLSTKIKFVVFNLIALGDINVPLQLNDIKSLNLQSYPN VIPHNFIEYFMNQSEILDVIKQMEEDCKFISDITEVSLTSKVVEYQMMPNHKHLLTEHLN TRSMQQIPLKIQPKDPPDSPKEIKYFKSEFCIRSTNPQMDSRRKQLFQPHRGISQRYYSE SQRRSNLKSALKNKRNHSLFRAQKSVRFRIDNHQKF >CAK65951 pep:novel supercontig:GCA_000165425.1:CT868041:439152:442081:-1 gene:GSPATT00006450001 transcript:CAK65951 MKQILLISFYVFNVLCNLRFKDKEQLERHHSHPEVVDEFLTVHVIPHSHDDVGWLKTVEQ YFYGLRNDYQWANVEYVIDSYIEELQRDQSRKFIQVETKFFKMWWDQQNETTRGITRELV QNRQLQFISGGWCMNDEATTYYEDIIDQMTLGHKFLKDTFNYVPNIGWQIDPFGHQNSQA ALSYQMGFNAWFFARIDYEDKEARLDKKNMEMIMLPPAEGVQYPIFTHIQYYHYEAPPTF NFDILRRSDAIVDNMKSESYNIKERSEQLVSYFKSQSLHYKSNHLIHTFGSDFCWSNSKV FYQNLDKLIKYINVTPEYNMKLQYSTPEEYIAEINKQNIKFETKQDDFFPYSDEPHAFWT GYFTSRVAIKGYVKRLGRQAQTYKKLIALLYQQEFVQEDYQNITNAIYELDNALAICQHH DAVTGTEKQHVNDDYIKILYKGEQHIQKLTRKILSKFLYNTTTIDYEQCEYNITSQQCTK TYSALKANKTVILTIVDGKVKQENNTDIIRIKVPNLKLLIKDQNNQVIYGDIDCTNGFQD CDLYFKYQIDKNNVIKYFTIQPVLYNESASEILLSVNPFPYTEDTLITLNSTKSFKLKYA YYQSYQQRDQADGAYIFRPSNNSKIMYGNISYTAIGYGRIMSLFRIVRTYTKSVVKNFYH QKETFDIQTYVDQIPVSDSIGKNIIMVIEVPNFNSNKTFYTDSNGLQFQKRIVDYRPQYN YSMHENVSGNYYPVTSAIYIQDGQECVGLLNDRSQGGSSLENGQIEIMIQRRLLQDDSRG VGEALKETDEKGNGLVQNIQHKLTFFNIQNNPNQIRKLQYYLDLQPLVFFNFDSKLPLIP EGLNWFLPFDGFNIEPLLIYGDNLLKFNYLLWNEDEILLRIQNMQEKFSMKIDKLEFVGQ AVRTTLTGNQKWNDWKNNSLKWNYDKQVNSLDEDIDDVLYPLQIQTYLIRNSEKSYQQ >CAK65952 pep:novel supercontig:GCA_000165425.1:CT868041:442125:444327:-1 gene:GSPATT00006451001 transcript:CAK65952 MLQIQHQTPPEINRIRQLICKAGDDGADTFKKDLQKIVDVLGEYYQQNKQDVKDAMIQSF IQCAKLLPLKSGIYATILALLSTKQTTQSLTDQIILGLLEHLPQIAQEETPILGQTILKF LSELMNVGLLNTVSFVECLYDLEGGAENEQSPFYLQILLTTIPHAMIKAMEKNQIEFKNI IQNVEVLMTKRKTEDRLFHLWQSIRNFLNNQEKDAHTQIFQQFLKTSYPRPYLLFPDEMT QVRQLRRGFKIPIVKRTQIWRPQQILVLNDEIRSQSNNKTDIQIEITRQWIYETIDLFKT NRQQIVFQFQLYQNCIKMTPSQEAQFRQTLIFTLLNMVIEVVPRKDQLSTAFYSGLLTHL SQRNSDSIKEWNETIEQFIDLIPQNNILIVEQLSDCLSHYFCNSNFKLNWDKYFGKYNPD ETDSFNNYLVVMIFRKLFLLVSVEKITDLVPKNIIDWYNSLQDITKPLDIEQLSVAEKIN SYLQQKLNGIEMLEQLKLITNPGPEVVIQTFLESLLQSISKSITHLNVLSKRYLSFLLQP NIIKPEKLGEILLQTIFRMWNHSIFHLKVYLKEFLNLEVISNLQIINWVGEIIKQKSEAF KIYNVLIAINDVFRKQTKLDQVQDCTYENVKEINTILTKMIEQEQDIIIQSSLETIQLQI LIAFKSTNGAQSEKLLKEVKSTYIKQIIMSF >CAK65953 pep:novel supercontig:GCA_000165425.1:CT868041:444372:445334:-1 gene:GSPATT00006452001 transcript:CAK65953 MKSPTYILKNAYQEHLVEQQYIKHVSKIQNITANLQVSQSEQDQLNKIKYYRQQKERTRK FMEHQTQLFRQQSDNLIRKVEKSMNRRKQQSYEIGLENIRLGKRILHLPSVIKKREFDKS YAKHEQNMMYASRMRNQNKSKTPSNKLIKDCGPIFTEVPIAFKLVVFNKELQNKDQKTEC LYCVEAHLKDQLLHRTDSVAFDQFQQLSFKVPMNEQQYEGYQNEPLILKIWKIKNNSELY MGQIFVGFNDQLSEKDLMKRVNDREIWNGFIQL >CAK65954 pep:novel supercontig:GCA_000165425.1:CT868041:445491:448398:1 gene:GSPATT00006453001 transcript:CAK65954 MDISTKILLDSTIESNRDSKNIIFSRIKEITLEAFSKQFTDEIHHLQAELRTEQALNDQI RREFLEREQRILQEFDSKQREFQLEQIREIKNLQDLLEGQESQFQKYQLLNEKLKNQIKD MQNKEVSLQNENIRVKENLQLSDQRNKSLSSDLCEQRQRNEALNSLNQQLDRQNRDFKIE FEKVLKELSDLKKKSQQQIDLNVQLDQELEILKNENERLKTKKLQDQQKQKELLDQLKEK SNAKINDLKNKLTQAQNTQLHQQQQLEEFQELIKESENQLNQLQQNHRQSTKQLEQQYKN QFQEIEIQYMNEKQSIEDILKVQYEQILVSKEKMIQDQIKEIKLIKDKIYQQNLEFDNLQ RQNQHSQQQVKQLQGEIKQLNQHQEELEKINRERELELSKKDLKLKNLLLELDDVKNFSE QTLNTMRSNQNQFNEIEKERQYYLKLFQQISDDLEIEIQGSFSYQRIGQKVDLKLKQFRD DFKKHQKESKKLIEQHAQEIQEKEQKLMLLQDNFNDENNKIQKILSEQQIENRKQKNEFK QKLDEQNRIIIELQKDQIQNNNQLEFLQIQNQQLAQDLEKKNESVIKAQKEIHHLLNRDQ MNYEDSKQVINQIVNEKNQIQLQLEESLFKQKTCKQRIYQILNRQRELMNIQLFEVKNTF INKLRQLESECKIILQNLYKQQLIKTENKILMIEAEKQYEIEQMNCEIEQKIENMKYQFK QSELLIQEEGQFKLKQKQQQIEQLIMSKNNSVELQNQIHSLHKENEELKKQLLIQEQLKV EQRQNHELELLSLNKLIKEQQEELSSQQQFSEYTMNRERQYFEQRYQDLKQRQDKKMEQV QSDYQLQINQLENIIQLPSKQVSPYKVNQKSPYQKQSILTTNKQQNFSTPIISTAMKTPN KKISQIDNADKTIEDLRQEIEQQKEKLSKMKLSFTESQKKPYRRV >CAK65955 pep:novel supercontig:GCA_000165425.1:CT868041:448971:449591:1 gene:GSPATT00006454001 transcript:CAK65955 MSLGIDFERYQFDRRLKDLQDKILSLNYRAPQEQVTQETPVSEPQYLNNQYYPKPIYYSP QLQYQYSSNQNAYMPYFQYQIDNKSLKKEKEEKRRVKELERKYEMQKVEEKLAKQQELMM ASLKKDILDYIDPYVYGNYRSYQNYVPQYLPPTPKAINQIPLYLQAPCPSQVFPDDNLRN SQSNRTSFQQAGQNSLNQFDYLSARI >CAK65956 pep:novel supercontig:GCA_000165425.1:CT868041:449831:451536:-1 gene:GSPATT00006455001 transcript:CAK65956 MEKKVDSQFTSTFWRQIHQEYFMIETPITLDNMIKQHKINGNNFNEQAYELIQQVLKLKR KNKSKVQKLNDANLMLRLNLILMQREKSSEPIQQLIEEMVDCVCEDKLITELEKCSTLIT NIHLLSEDKQIDIYYEEEQQIKSLHSQIDWPDQINQVIMELESDLISIQQKNTQSIQKYS SFYDFSLQTHVLEINNTLKFQYSIQQLGEFKLKVCRNNVQHHQKELDNLYDLIKMYEDYQ VAESIVRAIKHQNEQKITVILDKYLSVHPLELTLQEFESYQRLREIHISISEQDYEYDLS CQNQDPQMCHYCRQIIEKSNQKQCTYNHLTMNLHQYNDELLTQQRYCISNQKMQRFYQDL YSANYIIEDDQIQCQKYFCYKCLKYEFDDYDISEIDWICPMCKGLCQCIRCQRNEVIYKL KRNLLEINGDLDLLYDQSLFEILVSNKRKSIQNIPLDFINNLKVYSETEEIFSSKNSKTQ KIHLTKQIKKKKNSDTILVLPKYIQNVDSSSQSTKIKKQTKFRRLIPNDRITEDII >CAK65957 pep:novel supercontig:GCA_000165425.1:CT868041:451606:452661:1 gene:GSPATT00006456001 transcript:CAK65957 MDDNYIKQVISKTLDSQLLNFENCQNASYQNKLVETLRNSNQVEIMNIKTKYIIQDNLSR YKQIFNTVVQTFINLIKSETEQQQSKILLKNMEQIQLIESQKKKLNEYSILVQQTNDENI QLRTQLQLMNQDSVNLNLILHYQKVLSDLQLELDQQNTELLQKDKQIYQQELLIQSLKLE IQKLKQQIIQFNTKFTEKNIEIQKKQQSKILKDQSTQIEMMEEINLNQYEKLVSIQKKQN QNTFVNQSQRQPQSVSLPITRIRQSNIQDCDIQSTQIIENDFRKCQTQNQMNNSTNQKNL IQLSKIKIRKLKNLNDLYLYSKSQRNSRVDVDQYYEPSLLYRYNVLEGIKK >CAK65958 pep:novel supercontig:GCA_000165425.1:CT868041:452879:454177:-1 gene:GSPATT00006457001 transcript:CAK65958 MKSYTIVNFDFTGNDGLVTVRVRPRVQSQEKKYIATEFQRPSKATDPIFSHQDQSLLKQK DTLIKVKYRCSKTEIASLKRLLKRSFNTKEFQEFYKIYGPKLIEIDFGNIELFNVKGQNQ YNIKELQQYDREKQFIRWGIIFSIAQLSKFIETQSIQDQIIALQFYNLLVRERLFTLRIL RYYKDDKKRKQFPYTYLYIYDFEDCVEFNVQSFQESQSGQIKAQNIFLPLLKYKFFENQY DNFQFENIIVPFFQNPPDDSQQIQLFFVHFNKSGYKFRGERVVQSENIDKEKKYQCYIFV DFDTTYYNQQRLVNGVQDFANIHNFELTFNDIQFVNLKNQGKCFLEEYVDYIETEFFQQQ KGSTEDKMNYIFKQLPKEISKKSFNDILDPSFIIPQNVESIMAQFFGN >CAK65959 pep:novel supercontig:GCA_000165425.1:CT868041:454552:455500:1 gene:GSPATT00006458001 transcript:CAK65959 MGDIDIKDDDKALSLQNQNLTQISVNKQSCSRSLQVTNFNASKNSIKQMIGISIFQNLRV LNLSHNQIQKIEGLIILKQLCALILNNNQIKLISGLEKCLELNTLVLSNNQLINVQGITH LTKLEKLQLSHNQIEDLENCKCMNIEQLSVNNNKIQEIPKFFSQMTKLKRLDIGKNDIKD PQQMIVLRDLKLIHLNVAGNPCSDKAIQIAIKFPRIRFINNLAAEKVLEQHQSKVPQKIK EKTEKVQKKITQPVVPYDLEQEQEAVIIKKPKRSAIVKVEKNKQFDKKQKQRVKNINLDA EPKIEKW >CAK65960 pep:novel supercontig:GCA_000165425.1:CT868041:455541:456604:-1 gene:GSPATT00006459001 transcript:CAK65960 MKFSYSFLAVILFDFSILNLLIDQGNLLFIVMSLNKENIEKYQTIKNHPAFEKLNYEIVK SISESLFVIDYRKYGIVLRENMDKQSFMYLVRSGEFKMTKKKQYEQKQIRKLNIEICILI KGDSFGIEHFKDDVQSHYSYTVSCCSIQGSLFALDLSQLRFILFHHNYFCSLSQLFKYPI QIMKNQIYLLREQQTLQQEKKETIRDESQILPRKNSTRLRSISSQLTYADKVGFIIQGQN SYLNNKFIINQTIDEDKYMHESIMQNIRAMKSNLQESNKNISKSINAVSTAEERLQFIKN DDYRSKMKIMNVLGYKSKSKKLTNYSRFLHFKSLPSNG >CAK65961 pep:novel supercontig:GCA_000165425.1:CT868041:456638:457709:-1 gene:GSPATT00006460001 transcript:CAK65961 MQQQNFTLLDLVFQEQQIQNQVSNKCSIKETAKFIPYNPLGLSLYLEANCNTETISKSIF SQILKMIEDKLLELEERLDNRDQIKNINQQLNFLKPPDPYFKSKPIDDTQSEYVKQRKYS QQSDMEITSPQANQHQDQKSIKSQKSQRSRSQLGRAHTEITQIDPKLKSNEEGLISKIEL FDKKLKQVVEQVNKLSQKDDQFQRRIEQQVQSQLKDSQDRLIQNDKFFKQVQQTNQEITE NQRGFLSRSAQLRQEMDYLSQELTKIQEDNKLPLLQLQESVQELQKQVGLHQNELIVFKT ILESIDNDFLILLKKFKDIQNDLAKKKLNSSMSSHHQYLK >CAK65962 pep:novel supercontig:GCA_000165425.1:CT868041:457802:459922:1 gene:GSPATT00006461001 transcript:CAK65962 MQSQVMDTPNILIKQSKSGNVDIIKLNLQIINAEIVYDSVDKIQLDLTFSSHVNFQIIVY TFVTETKGAKQQRYEKSMPESTTQSFKCPSGLNYQFPSKYIEFMIIDLLRFKKMRVNPDA QYHTLIIEMKGLNSKGFQIIYFYRIDCNEQSYQCELINTKQIVIHKSRFFEIHELYGVQN TPFNPEWNPNTIEDKECVICFCNMINTVLLPCKHMCTCSTCADHILMSQKVKQCPLCRID IDNYLTLEIKDKQKQDMQLRKFQEEQQKYLDSIKEKKEQQAIKHSSIMEQIKSKVQEEQL KQQQQKIKNMKYFDDLDEFNKDNQQNEDIYGNQSFCSYDENHSDPDGNQFNNSVKNKVQH QLEDENVFKSSHNYDEDKQKSTSHQRSPYDRGFLSSFKQQQEIKESNHNPKQESISVEDE MSQDQIHHKQQQQVSSQFLSKDDQSNLNSQQNSENQNSSSKQMLVQDLIKDDIQIDIIQD QRKESEELIIEFKQNEIQPDQKQQNSNAQLICFQDESQEMNQEEQESNIQFHPQQILQQQ PQQFQPYKQQQQQQQQQKNSKQSYQYNYEFVEQEFQDDFNQFQQEPRNFPQQNDLFKTNQ SPISNQSQYKQDIFYYKSARQTNDLHNDDQIFGLQDVQSITQRPDHGNNDIKQSESLIDL QDHEEEEKKDPSHSKELKSDQISLIAFD >CAK65963 pep:novel supercontig:GCA_000165425.1:CT868041:459954:463615:-1 gene:GSPATT00006462001 transcript:CAK65963 MRIYSPTLQRNNQCAFYNYLLFFFQNGQNENETSSFVRCYSNARQDFFENYLVCFVQALH YDLTTLLDQQNELDCENTDFVQMLQDFDSWGEIIELLQDGQLDSEMKQLQELSDFTNEMI SANPNDLMEDASYDEQLETLDEQLQTIQNQYNGPQRKFLFDQSRQISDQIRRVIESRSFE QKRSQLAMLYGLIEYLLKQISRVQNQYQQTTQPQPRQQQQPQPQPQQRIKEQKERVIVIK DKCGDRYQQRKVSKQRVVPGNYRQNDHPVPEEVGCDIPYPQQRQPKCVVGSDIILTSSIS ADQPTVETEVKKLKDVNEYGFGFWMRFLTLYPEQLQTGLTDQSYFVAKLTKNQQHGDDKI GDRLLTIFQTQEQYIFSAQHDKPERKEATAAIVFGDIEAVWTYVYYSYSAFSQQAIGFYK QSNSQLVKQVTLPAAQGTPQYLRFVLGGQYFDFPGFNGQVSRPVLAIGLGTYLINEQEFL QYAISCNPQPYVAPQKLIPYQFVRDSKYVDIEDNNAPPTQEFIDLLLPDEYAVQGWFKWE ETDLQENWHTMFRLSNTPIRKQQILLGERVLSAWLGKPKGGQIHFSTYSYANMKGSGNPN AHQYVQHQDQHLHWHFVYFGYSRDQRKAYAQVLFKHVHAKSLSFVNVNHFVSPKHYFYFG RERQFPVYSGFMAYLEVFFCKGSYLTNVKPALRPVPTPPPPKKRCVEGPNRIINAKYDKG PVVHVELHKDDLKDTTQYGYGFWFRYTGLAGGQYEGGRPDWSLIARLTNKKETPKDIRDG LLTIFQEKVGFFYITANNKAKKLVELAQPFGDIEGVWIYTYFSYTRYKAIAFYQIENQAP ITLEAKVTHPRMEQLLFQVGGKDPQNRYYSFNGQFHRPVLRLGSGSFFDTLEEYNPFCLS CNPQPQKDCSSKGLIRAVSAKASQFNGNPVNSGDRFGTTEQYSVQGWFKWNGKTSGKDQL LFRLTSTLAGEDALNFDTLSCYFDTRDQTLNFYTYTYTDQLGSGNPEVRQIVEGKTFVKD WFHIYFAYSRKSRQADVIVEHSQGKGALSFKNVNHYVAPSLILYYGKDQLTDAFQGFIQG LNLFACDITYQPTPKPVEDCTPMKDPICLAGDQYENVSLTNIDNVVEELEKRKQLMQDKQ FVLPTTQCFCFPQQGKKVIPQRQEGFLEIYDDDEEPQQQDPVEI >CAK65964 pep:novel supercontig:GCA_000165425.1:CT868041:465865:468025:1 gene:GSPATT00006463001 transcript:CAK65964 MFSLMKNIKRMIRSYDNFGVSLNFNIRNRKQYQSVCGGLMSIAMTIVFLYIFISGLASLL LRNKFQVSKLLIQIDVQQLQNMNPDYQEMNITNFMFAIKLDNPFSIYYPNDNKTAYDIAM IQTQISKLDNGTRIKSVLNEIFFEQCRDEHFQYVNYEGFQDIKDNLGSYLCLPQNFSFKL QGTFNSDFFQYPSLKVSICYREDCYSKEQVDYLAQNKSIIVSLSTLIQSSIYMRDSADNY LLRYLNSDFYLQTTLKEESKADIFFKNNKIIADNSILSLYKDEERKDYWSFSLYNYREFR GYHEYPNTIFSLNFRISQDYEQTKKAADTIDSFLSYFGGMLKIISTIFGLIALQYNEMGL KISLANHLYQFNIPKRRNGQFEFSYDKLLNVIQASINRVTDLQQKLKHHAKTIIKTSMVM RAWNSQKIQNPTLSNLQTQQREQVETPQIDHLQYKKYVEQLQDYKGNFLQRLITAFNSTR HDLRLGINFIFYEFLWCTCCEQIQVTKKMLEQCKVVIDKDLDIVHLLQKIQEIDKLKSII LEKDQIKVFSYTPKPVINVDPSHQHQPIEEANGIDLFKQLNSQKPKQRMRRTANSYHKPK KLIKIYEAYSRLKQHHNNKKNQRIIQLLGPTIEMIFQKYYEIQNMAKAMNFDANEKFENA ILQTEVQIAASLPENLYHNTERVNTSNQNQHKMKFQQITAKPLTDEDLLQSD >CAK65965 pep:novel supercontig:GCA_000165425.1:CT868041:468171:470018:1 gene:GSPATT00006464001 transcript:CAK65965 MEQGGGKSKLELKAQLLTKNMESDLSVSQIEDNGTDYVLRCIKGMYRGMFIYLNLVESGE TIGSDDSCTLQMEDCGLERTHVKIKYKYNEEQKKNGYHLTCFGPTLIKIRYDCPALIKNN MEITIGKQIYIIQTVQEGFNEILEWLSMYDLQGLKHFFFGNNINNLKQLKQSKIDQLISS VQSYSATQDQLVKLREAYNQIDDIILQNYDAFKINLLDKQKNTVVLQFGWTGAKISSKTQ RYQKPPDILLKKQEIDDLEENPDFELLIKFIQGKYWIWGSKTDTYNTFYKQNKEETRLIQ PDDVIKLNKIELLVQRFNYGYFQDLGTKRTQEDQCTIIQDLQVSSRVPVSCYAIFDGHNG NSCVNYMSQNLMDNIREYFNQEQKEFDHQNKFLAFLFENIRAAFKETDSDFLTDVVQANA QNDSGCVAVVVMIIGDYIVSINCGDSRAILSRQGEAINLTEDHKPNIPAEQARIKRKGGG EIIGGRLGNLAVSRAFGDFSEKKKFKKNIITPKPDVRVTKIDYRTDEFILLCSDGIIDGF GNAEQAEQNFQQISPSQRVIEFVRNMYKEQQIGFQDPQDAAQQVVLSAYQYNVTYRRQSD NISCIIVNLTRGIVF >CAK65966 pep:novel supercontig:GCA_000165425.1:CT868041:470132:470594:1 gene:GSPATT00006465001 transcript:CAK65966 MGQSISNSMKENQKEMQKEMQKKQLELILKQRQTQLAIQFASGKEFFHWYASFYCLIFPF CVIGAIKKKVHFDIIQNPLPVVVLVPLGFVCAYQYDMFYGDKMKRIKLEAERLIEQESQL FYFPKNAKIVSQEEYESILEIKKIGN >CAK65967 pep:novel supercontig:GCA_000165425.1:CT868041:471152:476608:1 gene:GSPATT00006466001 transcript:CAK65967 MKVSLLQLERSYLEALSEFGEHSSKTQETRVRLIEMLNQTGKYLISQKLPGEKYLQRAVE LANLLNMEEQLIIKYQTFMLYAEYFEFTKNYNQAYNLLIKLIPLAKVNQRNLIMIQIQIL NHVIENGRLCKRNDIIPLNEQLLNLMEEIGLSFYLYKEFPNKFQQILLQALSFQAKTFLR QNKDKEATQLFFQSYHLCEELLGFEDKRTQEYKKQYEQLSDKISVNLEIQSQDEEEQDNA QPIQMTEREEKKILSFRSKNTYINNYIINVDSARAPKLAKKIDKTKIEKPIMSQRAPPKF NEQQFQQLFIIKNAMKRPLSSQGTTKMTSPTRCQSIVSKKNTSKAQNRVPSLEQSLIKQL ETAQPHDRINDLIINRPHYEEVKKYDIVNAIKQRNTKQFSSNYLIQLIPPFHSQRPQIRE PSKNNIAYKVDSKKFPSNPSNQKIERSSQKKITTNEIQPTLSNIRLVGSGINLTSENDDD IIKQQEDNKNYSFEEEPLKVIKHFDPIIDKFLENHSMQVLLAAADRIKAKMKHHVYYSRK KRNEVESKQSATSPGRLHPHNKNMTRSSTYGFEILEIVEKKILENEASKIIHKLISPENQ LESYSIHFYNKLFTDHETSKWILQNPKFRGQIFQKKQDKNEYQQLSVELIQAMLSNQKKL ATLQVIASIELSNNIRKFQVRFIIDSLAQHYKEISNYDDMLELFNQLTQIYFIQENLLNE WKEQHRQYTLYVVSSNQENEDLKLKNQIENVRGHETYKIDYYQDLLSKILFLIRRMSYVK TINGFKFKSETQDLQNSIQKYNNEIYQKKIHRMKSYFQYTDMSMQLKDQKNTKPLKKELT KRQRRKMKDKHLFIADDSQQSFMNDSNTPQFEENRSASPVKSIKNKDSVKDNDKMSRQNS NDSPHSKYKQQQSLKANARISLQQPDTQAELSEIFSLETQDYLKRYFPEFKLNVPPLNYI PTSIQIVQKYQVESHYYFKKELPIVNSNKLQYKPIHSDNYLIQTQIIKIDKQFYFLTLTN KLKLEQIFCKDKWEDELDIQLKDLINYSVGRTGYIIDIIKLQEVLKSKLEIVNCKIQLKK DEADDSSTKKDLKLFRQNKKLYFAKESIQFIEEELNEMSQDSQSQDSESLDLIEEHKRDP LIDIIFLLGVINTNSFVYNDVHLRSCKLLRLIDGKLMLAEPDELRKPKFPIRLILSHEDM NKHIARTNENTIAGKDITLFPHFNSLFLVKQTQYNYKFIKVKLQLQSDINRLHQDIRNNA ARAQLFMYQNNHRKQIICLNSQQTENWFHISQIDGRMRDFLFSYKTMMKKTLTGWKLLQN NRRQSISKDVRFLLIQDKLVNTKQYFIQSKIQDIGYVFVTGTVFQEMLLIKVMPVGNKSK VHIFLYKVFDEDIIKTMNQLCKYFILKTTLTYSRLELVPITQNQIRKQYLLGNQFNDNNI LIGQKLYSRVIYKQIKKIDKNYFIITVTLIKNYFQVYFYNQSNCRRFYYTIHRSDFVIMN KYFLDSIFPEQPKEVMEQFFRNWKFNEISKIHSLIIKAPETFRNRTQMYIQQIKDTKKSY KRSATSSLSSINNVLRQSTLQFNNVQENSFEEILNRECWLFDTLLLRNQKSIFEKKIWLE ILKQMNINKNQISLDTFRATLNELVYSNDRTCNFLCYIPCQEIQQSFRWQPVRLRIYEYD TCKSVDIPLNIRGKQVQIYKQCNQIMEDYLKFKILPSNQEIMKLNKNNDSQFIKYQLLYK GAFMKHKMLFMAIYFNNDVFHICIYSSSNQLIRKLDIIQVELKIPYIRQLLTLNPYEAGR RISLIYRNNFIHASFLNL >CAK65968 pep:novel supercontig:GCA_000165425.1:CT868041:477026:477375:1 gene:GSPATT00006467001 transcript:CAK65968 MEVKYRPPLNDVNECNWLEKNFVSCLKEKSVKDDLPKRVCKVENILWFFLECPERSSPYE NAESLRKIYIKQKLADLNPTVPEPRKRK >CAK65969 pep:novel supercontig:GCA_000165425.1:CT868041:477400:479239:-1 gene:GSPATT00006468001 transcript:CAK65969 MGATCCKSNLQTQDELSVQSAAFGENEQGSQKRVVKQSTANKRQAYTPAQTFGLGLKQNL SEEGKEVYEEPKKVSMVVSKELEQLLERQNSDTDRKKILEQQSAQSMMLVMTLEKEHKLN VNIDVFVQLKKGQISDHYVTGQVLGEGAFGKVWKVTHKKTNLDRAMKQLKKSSILKEDKE KLFSEMNILKNLDHPNIVKLYELFEDDKNYYLVTEYCSGGELFDRIKKMNFFSEKKAAEL MRQILSAVWYCHNQKIVHRDLKPENLLFVSDSQDADLKVIDFGTSRKFETGKRMTKRLGT PYYIAPEVLLENYNEKCDVWSCGIILYILLCGYPPFSGRSESDILKRVKAAQLKFDPEDW AHISEDAQNLIKNMLNPNPAKRLSAEEAYNDKWIQNNAPSNQVNQKALQNLQQFHAKSKF KQAVLTFMATQIITQQEQDELNKTFQAIDKNGDGKLSRQELIDGYTLVTNNQELATQQVD RIMELVDINRSGEVDFTEFLIAAMNQEKFLSVQKMEQAFKVIDLDGDNYISKAELQNVMG DVDDEIWIQILKECDSDNDGKISLEEFSTLLQSKVL >CAK65970 pep:novel supercontig:GCA_000165425.1:CT868041:480148:480801:1 gene:GSPATT00006469001 transcript:CAK65970 MINQINQYSSEQYKNELTSMKRNPYQIKNIQDASVQVEFSNTQLMKIVDEIDKIHQQEKN ALLEQINYLEKKLQQTKAKQLIQSINEVKNIYLNQIEINKPTRMALDSLEQLINEKQHYE VSDLKYRLHQAEKVLKLPMTEFKTYPVEKLANSIKKCQQFESENTNLANILEELNQADDQ WNQISKDLYDESSTLINSIQSTTQNIIYELSLLDCNK >CAK65971 pep:novel supercontig:GCA_000165425.1:CT868041:481318:482834:-1 gene:GSPATT00006470001 transcript:CAK65971 MGNCLVSRKDPVPLAFDQAEYQALNNQFHYYCELSSQTRDAKGLNQKSFEDIFCENPSFG IKLFKFLESYSGSQGLIKKEPLFEFLELLVKDVQTNIPTFKNLERFELMSLISLQDSKFL ATKEELAQLQLTYLDTIGVIKDLIKMHQIGKKVVATNERYIKTLVDMLYKTEAGSLSWVN LVEFVTKQMPGTKQTIKLYFQAKFMGKQINNFIPVINTPSYFLTDELYFQLLLSTNSILK NCSQLTLLYSNIAHQGGFNQMIQAMKDSKLPTLILVQHEEIYDQKVKLQNFGAITNLKWY DTQQYFGTKDDCIFSLYPYYKIFKSKKGEENYCYLDSQKGFGFGGKNAEGCRIWIDKNIE NSYCNQFDDTYENGPIVLPYVKKLKIKIIEIWAIQHPAEDLDDNTEVIVDLKDPLLQQPD EVQFPDSNADYYWVNQDQKIEEKNSGYYWEVQDEAK >CAK65972 pep:novel supercontig:GCA_000165425.1:CT868041:483190:484559:1 gene:GSPATT00006471001 transcript:CAK65972 MCLTATMDVLCCTGMACCKSLCCCCKICCGSTFKEQIKLAYILLNYTTIFVTMIFCFFLQ GLFDNYLSFISCPSDDSSSCLGVSSIYRICFVLMWMYIFLMILMLIRGEIAKVANEGLWP LKFLYIAVFFFGTLFIKNSFFKGYVYFAIVISGFFMIFQIIMLIDVFYLWGQSWIRIYDN GGEYMKYILIITTAILYGGAFTLNIFNFIWFSGCGTNIFMNVFTILLIVGATGVQLLGWN PQGSLLTSGAMAIYIVFQAYQAQSSWPDTECNSLSKSEGTRIVEIVVGILLTVVSLLYLT FGTSNSSATNIVQLESKDEKLENQQREANQAEGNQDEEQQLLQQQQKLEEAKALVKQAEM LPYTTNQYLIFHTIMFITIIYMMILLTNWTYQPNKLAYWVKMITSWLAALLYIWTLIAPH IFPDRDFS >CAK65973 pep:novel supercontig:GCA_000165425.1:CT868041:484688:486779:-1 gene:GSPATT00006472001 transcript:CAK65973 MIEDKSKIQKRVQKYSYFLNDILGEGYSSSVYKGINYITNQVVAIKVINFSTLTTPISQT LLKNEIAILKQLDHENLMKVYEIFETKNNTYIICEYCNDGDLANILEKSNFTQADIMNIF LQIAKGVKALHDQKIIHRDIKPANILRSNGIYKLSDFGFAIVENDFESIIKRFSVGTPVY MAPETVQSNSYSEKSDIWSLGVVLYLMVYKEIPYNLKKDGDLYGKQQQIHTKIMNDKSLT KKIQKVLVGMLELDQQKRMNIDGILSILNEQKKLKCYNSHQNIPFKVLRRASGYDSILHD GSNNDNYGNSQKVLKTQPDELENIDEKTELISFCNHQKQHTFEANNLQQIKLSDEEGQET KFDSSPIITKEKQKKQIRINIPTIQSTYIMKLNSNQNQLPSEHSDTHQHSNPNSTNDTIK NNQFSNCQSQNQINSNSPLLDPKITETLTSRNKGVSPININQSLRNLIVSPLRQRKGPTT TCSLSDFKNIALKANQIQPKRSDFFKQRAKTDLSVNNLSDIDNKKQEKEKEVPVIESLSA TIRPTYKFLLFLNSVLKKFDQINTEDKQKCYFLLRKLLAVKACAIKNYCPAQIQLELQRQ IDSFEQYFEKVRPVFYNNHDRKFSQYFNNNLEQFTKGFSQQLYNYIQIVNQQLLSKELLI IQEVINENLKQFNDPILFARRWENYQQ >CAK65974 pep:novel supercontig:GCA_000165425.1:CT868041:487557:488759:1 gene:GSPATT00006473001 transcript:CAK65974 MIIIILLVIISEFQLCQSKIFEIKEQNGKLKIGTINGFEFELFLSLESQISKLNSIQISK CTQNCNDCAKRQCYLGNKELDHSEIKLYDLDTQQMVFQPLTYFNDQNQSLSISTVSLSNP GQYINSFVDEGFQLCQSNTDKTLETSFQMKLKDIPKQKVIVSPILQLNSYNYVQINYLKF GDTQIDISSCRVLFKFGPEQDMNTNTSDHYNLSKAQQKLTNIFENSDINHFPLWLFNIIY EQFQEAGFSYIDENNRIKLVKRQLNESTNVKLDLKYDFKFFNKENEEYPITYSSNQYLEE ISEGEQWVKIAISNKSNSIILGSSFFQNKKMSFNFKKNYITIQNQFDEKCFQYEKSTLYQ QEILVIWISIPLIILLTLFYFKYQINEEISQFKVEDNKEI >CAK65975 pep:novel supercontig:GCA_000165425.1:CT868041:489787:491127:1 gene:GSPATT00006474001 transcript:CAK65975 MGSCNQCVQRQEPLQQVTIQNNTVNLLQHNHQMVIKIQTANLSKSIRMRTRQELAKRRSM NQKRSNSTDDCLIEYRTISYSPRVDPQETRNVLPMIDTHIKRKSLSHFGREYSAKLQPKE SDSLDDGQSEQVEQTPLQQSASPLVKSLRSSMNQSKKMQSFRFRCVLTHDILEPRDKIKI QTKNTRVKLDAIKLVGGNIYIGEWLDQMPDGKGKYTFSDQSFYQGEFSKGCLHGRGEFKS KEGNTYRGQWHNNRMQGQGSYIYNNGCKYEGNWERDVPNGEGMEWYVNGSVYVGNFLNGE KHGFGKITFITGEIYEGEFEFDDFNGRGIYRWQDGRVYDGNWVDGKMNGKGKLTWPDGRY YEGEYINDQKNGYGIFQFADGRKYVGLWKQGLQHGQGEFHKGHGQDPTRGIWQQGKLVKL L >CAK65976 pep:novel supercontig:GCA_000165425.1:CT868041:491540:492201:1 gene:GSPATT00006475001 transcript:CAK65976 MQLQDETSNIIIQIQEECKIVIDLTQLRREHYNVLSKQKKDQAVQMLGPNPQFDDFKRVS LEMGTTIKNLKRWLQSGTERKKGCGRKKLNPYAEKQLIEWVIERVQQTGKKISRQELRGK ALELFKNQHFCASKGFLDKFVKSYQLKHRMKEILQSIGKLNNKNSSSKKQKQISTKIEEE LQAETVKKEEIDIKIENLTIEPNKSCSAFQIQ >CAK65977 pep:novel supercontig:GCA_000165425.1:CT868041:492227:493766:-1 gene:GSPATT00006476001 transcript:CAK65977 MQQIDNLEYVDQVNSWLDLSNLLIKLAPNQQKQFTKELRDKLKSGNYLKPAPIQKMVLPF LLQEKTEERFVYIKSPTGTGKTLAFILPIIFFFDQDYIQQYYQAQQDGPVQYYPYAIILV ATHALLAQIQKDFIGATPDILLKQGFKVQHFDKGQDESIIMGHVICGVPQTILKLIQKKT INLKNVRFIVVDEADNTILNDKSQKVIQIISKFLESNNLRWKIISCGATMEVNELKSLFI KSLGEKKQGDEEFFKFKYFDFQITLDNIYHYYDGSTDREEDMLKKMVSVIKDTFTTFAEA QIMIFFNAKSKCHETKQLLMNDPQLKFLVEGNCLCEIIQDNIQDYGGAEEMRKAQQKIVD DFKNGVYKIMFCSDLMGRGMNFRKVRLVINYGAPRVKPDGEFDLRRYNQRVGRTGRMEDL GVALTLLKLSSKSLNSDIPEVKFTDFLQSEIPGLILKKYETTDFFKQLKEVYTKTQQKQK >CAK65978 pep:novel supercontig:GCA_000165425.1:CT868041:493794:495689:-1 gene:GSPATT00006477001 transcript:CAK65978 MSSLESSPKNGLNIVDILEKYKQKKAIMSPLPLEQFQQIVQDAGQELLQQHPECENTHFI PFKQLNQDAIDELERSLHQSKIMWNNFSRALFAASPIQKKNNSKKMELQHNRDAYYRIRK QNEIQLKLQLDGINKEYQKIHLETQGVKSYPSISTKDQFRQQQIKRQLQMGCCQELVRQE TEFIQQQIELTKSRLFNEVKKIVVYIYNNQNNGWNPSSRESGAMVNYNEKLYLFGGSGAG HMSDLCQASLDKCIIIVILELYKWMMIKLKQQIQCRSYHTANVYKSQMIIFGGVLFPHKN EDRIHCEVTNEVIHINLVNFEMKVVQHAGIASPRKAHIAESIGRYLVVQGGIDSKGHYLN DFLAYDILTQRWQNVEINIPVFQDGVAFHKSCCALEHKSIDLYKHDPDITFENQGIYIFG GLDSNGYYLDKLIRIDVRRRPIFIEEVQPKGRGPISRCQHSMTYVELSQQIVIYGGKNDD INTQGFLNDLHVLEIRNLSWSNVDIRGHPSPGRCSHSASVIEEKLFIFGGVNQTGFVKCD LLIIELNQSKALEMSQYESQTERLPQQQVQIQLKPATPPKRILNLKQQIEQIKNDSEKVK LADKFKRQSMARHSRNLTDVHRYRTFATVTD >CAK65979 pep:novel supercontig:GCA_000165425.1:CT868041:495706:497058:-1 gene:GSPATT00006478001 transcript:CAK65979 MYQVLGGDSEYSENNIEQYDEDQDQGDGQDDMGGQQYYDDEDQDMQPQEEYQEYQPEEQQ DEQQSNILQQGGFKYQPFDQRKWQWAEPLIEGVPPCARGGHSATLSGASIILFGGHYYAN KDEGYKYLNDTYQMDVNANRWFKAKVQGTPPAPRYAHSAVLAGQRIIIFGGKGEKCVFRD LHALDPLTLTWYQGPEGSGSPSARFAHSATLYASTKMIIFGGWNGIDYFNDLYVLDLEVM AWSQPPCTGPSPTPRQGHTAIQVGANLIIQGGFYYQEDKTLKTLHKTANPRHGSHLRGCY LNDIRILDTEHFAWSRLRVSGTPPAPRYGHSANVSGADIVVFGGWSLNSGARSENNFATP PDIDYLIVLNTEKMCWEKAKYEGNAPRNRYGHTATSIGPHILIFGGWEYNRATNQVVVLR DLNVGQQQQEKKK >CAK65980 pep:novel supercontig:GCA_000165425.1:CT868041:497092:498437:-1 gene:GSPATT00006479001 transcript:CAK65980 MIIEENEFEKQIEDLLNKEKTARLANNLVETLKVTKQIAKLCFDTKQYSKFNELIVSLSK KRGQPKKAQIELVQMAMIELKTLPLNQKLEMIDAIMKVCEKKIYLEVEYARCVLMLTQYK EDDNQIADAAKILQEVQVETYGSMDKREKLEFILYQMKIMIKKLDYVRLFIISKKIEPKN IEDDNIADLKIIYYSFLVIYYRHENNYKETAHAYSKILESLHKNRQLEATKVDFNFRIDY NTILENYAMYSILSQYSEEKQKQLQSIVSTYKYGLEALPNLQQLIQAFLGTELISTSPQS HNIQAAEIFDENIENNQQRYVDFRRQLIHHNLRIFQIYYDSVYLNRITELIGISTQELEE EICLMMDQKVIKIILGIVEYQLKKNENDVLQEWGDNVNKVLNLIDLTSNLIKREEELFL >CAK65981 pep:novel supercontig:GCA_000165425.1:CT868041:499144:500145:-1 gene:GSPATT00006480001 transcript:CAK65981 MSKINQRANSIDEFRMINRRTQKENQNQNNKTLDEKLLLIEKQLAQNESYNDQYLLTNSI EANAVPMKTQNQSISFNLQSIENDRFNSKKTSIMDECQKKSYDSVRDNASKYQSNNNDSA LVMLLNEFERRFEQIEERLQLSEKTNRQYQQNNKSSADYFNGIQEKLTLLLQRIGKFELK LKQLDEAFQSHKNQTDKRIIEYNQHVDQSLKSFLDVSIQIQKKIERITSKDNLTEVEVLK QKTDNLTYNLQRTHELLNQQMNDFSQLQQSVNQVIREDYFNNVFEEIETIKDQYQQTMQY LKELQEFLIK >CAK65982 pep:novel supercontig:GCA_000165425.1:CT868041:500575:501471:1 gene:GSPATT00006481001 transcript:CAK65982 MSLKSQRSKSKQTQNQTLDTSYQNKSHSDKRTSRFLASLELNNENSNSNSKQDNPEKAFF ILLDACSELVQLHNDSHKQEIDQAIKQNQTFEQVAILVHSKLQLLKRDYVTSEYQLIQLK ESIISKQQQQTQQNSFDLYQKLHDQNQRWKQLYFQEARQLIEVTKQQKQFTIELQQQLEY INILELKQETLEQKYLELFELIHNSNQNQNIDIKKYCNALQQVLNDKTELLSVQLQNSRK QCIDLSKRLQDEIMKVQAAQELRIVDMQYIMSLENSIDQNSQ >CAK65983 pep:novel supercontig:GCA_000165425.1:CT868041:502370:503602:1 gene:GSPATT00006482001 transcript:CAK65983 MQQISPEVEQYLSELLKPVLERVDELKIRDQQNEDQINSLVQELTQLKQLVGTLKPLKQD EEKKQIKQEQKKDNNGNHENKKQDRPTTAAVQKIEQNKVKEPRPSTGQKQLSQSVIVDKT TTNEVDKVPLKSPRDSTQKQPKPQGQQQQQPPQPKPKPQKQPEQKDTKDQKDSKPQGQEQ PQKQAQPQKDEKKGEKPKQTKQNAAVQPEKTEKPKEAPKKTDKPQDQKPNGTAKNQGKPN DKKAEPKQDDKKIIKKTKQPTGQPGGQQQTDEQFQQQLQASQVNQEQQSAEKSSKENHSE QNEQHSDNHQEITNSEQVVEQPPQEQHQEEHQEEQNQFQHQEQKEEEHQQQQEDQNLVNN QPQEESQGQQQEVI >CAK65984 pep:novel supercontig:GCA_000165425.1:CT868041:503677:504259:1 gene:GSPATT00006483001 transcript:CAK65984 MSSDNQIMRVTEQEALMDLRQDFMRRINKNHMKMELSERKHNDGSLEKSVKLTPMTTGDY IGIWAIAILKSFFLICFLLMVYEIYKDIQAHIDISKTQVLIEMKNCGAEYEQNLCSENYL IESMAQKCKELEICINQNIEMKVRTQQLWLQVLGNSFENMFKTLSLKTCVMISFISITTS IVFIKN >CAK65985 pep:novel supercontig:GCA_000165425.1:CT868041:504614:505928:-1 gene:GSPATT00006484001 transcript:CAK65985 MLLSRIRTFKNLTTTLKPLQNNRYYKFSNAEASKDAKFDRNAHFEDPVNEWVNPSKRWLI GGWLLLCAGGVYFMVLLGGYTRLTHSGLSMTKWKPIEYVYPRNQADWEKEFDYYKQFPEY QHSPIDLEKFKKIFIVEYLHRVSGSTLGALYVLPLAAFTAMKWVKPQYAKRLGAIGGLGL LQGLIGWWMVKSGLDRPKPEYQQKPRVSTYRLTVHLSMALSLYSLLIWNAATLFRKPQHL SITPENYKTHLKFRGLGIGLIHLVAINLLTGAAMAGIDAGKVFNTWPTMNGQIVPANLFK ASPFYKNFFENVVMVQFNHRNAAYLTYAFGSYITYLAYKSNLPKQARYICYALYGVLNYQ LLTGIVALLNQVQVHSGSIHQFNALNVLTASLLLCHSVRKPSVPYRNYIKQFV >CAK65986 pep:novel supercontig:GCA_000165425.1:CT868041:505988:506296:1 gene:GSPATT00006485001 transcript:CAK65986 MDEPNEQEIEELQNQYFQDKMFRVCAKAVINDDAYFNRLYEPEYLQGLADRAKDQKEKND ILNQKKKVEYNQNNVKEMFYQCFNRYFYVYGVLQQVAHADDD >CAK65987 pep:novel supercontig:GCA_000165425.1:CT868041:506489:508028:-1 gene:GSPATT00006486001 transcript:CAK65987 MQFLLPYKQISKRIGYAYFEKGQSVQKNNNMAYYVIKGMLRTHENLQIQPDMWYEGEFAF EAQTETHCFMLPLSIYKKFTACHTQMFQQKKKTLISNLPILEKVNYRIQDQLIKGFQEVK FVNKDFVLKMDDPGSSLFILQHGILIVSKTYQKSLSQYEKLVLPKKFWFDDIVLCEFIDK GFIGEEFLSCDKALYNVQVASKTATLLMISIQQLKQISQQVFQAIFKISTDNQFIREEIY KEKCQELEKYWQNTNKSQILSQDIKKTISFKVIQKQSSSQDQQCQTIDDQMQFIIGNLSY KKMPTFIQQYFRQKNIKIKKKQDPLQQLQRSQNSISFHQVDPTIFDSQILIQKVQQKISA QQNQQQSFCLRKSQSRQFNRNKSSSKSEHIKPNASQIVFQFNIPQQQENNKLQNEQKKDQ MMNKTIFSNFQTPKPEAKLQIKMKRSTSVIKLNENLSSITPGTQSKTPRENLYINFSSNQ IVKKIKVNQLLLNQQF >CAK65988 pep:novel supercontig:GCA_000165425.1:CT868041:508727:509537:-1 gene:GSPATT00006487001 transcript:CAK65988 MKQYNSIPKIESKLIGDTLRFLKTPAQRNSYLKTLVKQKEKQKIIDEKQLIQQKQQVLQH SKKKFLSLLKSRNTELSFMKFRPIQKPLNLLRMCAELKISDRISKSVEIDEVIESKPSSK SNRTKHSSSQIFDQSVHVQQFKDESTPNKRGTLQFLSTQQLIKTTVPPQIKAEKKSNPSS AAQSPRQKIRVRKLPLNELETKLEHLKSNSQDPNVQTGILCRVPSQMQKHILLIFQTNSK WNTLANVETLIEDTVTERMFGR >CAK65989 pep:novel supercontig:GCA_000165425.1:CT868041:509547:510926:1 gene:GSPATT00006488001 transcript:CAK65989 MDNELIDIPIDYDEPKLESQESQQGSQETVSQIISLTPTRVQSEINQKSNINIIKFHLTE QVSQSYTQYYENISYVLCSQINDEQSNTVHRKYTHFEWLNGALKREFPGLLIPAIPPKTV LAKINLTEQTSIIREKRYKQLEEFLNKIKDHPQLQVSSKFLIFLTGSSEVMQQELLELSQ YQNYAYQLGSAIANAGSKAYDIAKGSFNYLSSFIYTGQQNSLKYQQYPEQEIQQDVKECF ESWEDLLIEEQQKVKRIFEQYQNIVNIKQEQNEDTRKVISSLKELEHPYLEKDISKTEAS CSINEAILNEIKVSVIFKLELCILDIDEAMGTIKRRSELIYQIQQQIKIHNQIESVNEKI QYKEQIRENQKLLKTIETNFQNDIKIFANWLNKYLDQLIRFYNEQFQKLYREQNKNWNE >CAK65990 pep:novel supercontig:GCA_000165425.1:CT868041:510941:513685:1 gene:GSPATT00006489001 transcript:CAK65990 MNIRQLDNIISWLLSKFKSSPYRWNEQQVHSFCESVGLQWAISIITVTYFINVQIQTAPK QRSQNNQEAKPQTKQLQIPLLDACTRQYEEILELCNAQGIYKLISHSMDINLYYQIQKLK IWSLIILKYQHYREIFKKHYGSKLFSQSKCKSCTAEISCACQILNSLLQEIEIYIKSIYE LPSTQNQNRRTSMYISSDIINLLLKSISHLPSYDQQPLFWDMDDIRVLLHVVKFQDYYQL LFQNQIDGFILLILVNPPFKGDNLFLKCLLMSQQNFSQKPQNLLYQNRQSMVRNTMQTAS KYHQINQFLHLLHYLLQILSLAAYKSQESYTEYKHLGQRKVSQIRWISLYYFTNDTQVGQ GHRKSTLSEQFRRSAKSYGNVEQQIKNRNKTENLDQFEVKIMVTDQSLEQIKKQFSHPNV THSAATSPYVQKDSNWIKSKTQAVNQFNAEDNIDRSSSFLIPDNDSVMNEQERDRQNTET HVQFEEDILSGGNVNQSQEEQSQQLSNQEEELQETNDQMQESVAFMAQSVLIQQQQQQQQ QQQSQQQSQQQQQQQQQQAMCESVILGQFSGMQQSTIMQQSTIMQQSVMMQQSTIMQQST IMQQSTVLDKSIQIQQIIEQQDNQRIQFQRSMKDQILKRIEKLTLQPSFDLFQLQQQTHL KLKCDEQQKLKVVNIQGASFGRNEDCTFAYTDHSKISSKHSQIQYKDKAFYLIDVGSKGG TFVKINHNFVIEKDMSVYIGNRFAFKIIDINTETGFLEVRYEHEGTAKHKQIQLKRGDKF LIGREKSKNNFTFMHSQCNRLMSAKHMEIYYDYNFKAGSVKLMINDLESKNGTWLRLSEK QVVSAPFHLQKGIKFNLSFELMFDVFEMVCDV >CAK65991 pep:novel supercontig:GCA_000165425.1:CT868041:513722:514703:1 gene:GSPATT00006490001 transcript:CAK65991 MSQKLQIIGRKLVVVIAASVYSLHYWINFNLWITEELNILLYIHMITLNLVFIMLFWCFF VIQKIDPGRPKKADEYNQSPFSKKGFCQQCKCPKPERCHHCSICDRCVLQMDHHCPWINT CVGYQNRKQFILLLFYALLFNSLTIVSTTKSYLLSFQFSYFNIIYGLICLSNYVLVFLLF GFLKYHLELLQKNQTTLEDLISKNNQIIFNLYDIDPHTNICQIFGENKLLWLFPIYTGQG CDDGNSFPKNEYKVMQTPSPQVISVLYEQQQISSDKNKSEHPIIQSLFRREMEKQKINEI MKN >CAK65992 pep:novel supercontig:GCA_000165425.1:CT868041:515090:516413:1 gene:GSPATT00006491001 transcript:CAK65992 MGQILSSQCCENKVEPPKSLLQEGQLSNLNQDYQYFFSQDWFGVEKPQFEPQKFIFIYPG YKEDQQQMEKVWSKADTINLRHGELVRQKGKVLNKKWQYLFRKGVPCSLSKKLILDTFRL TYVDCEAEYLSALKVVFKNKQIPKTFKNVPLFGCNSYEDDDEEFNYLNESEKKIQLLLKV QILNQQGMEALIRLLWIVNNLNHFLEYNPMLVHIIVLLLIFLSEAQTYSVLQFMIKDSQQ SLNDTQTKQELRWHLIMNEEHFKETATTFYESVSRRSKTFSNIYTQMQNIQFDSFELFQE MSISLMLTYLPFSSVLKIFIIYLNEGIKIYFRIFYSVLIYVSDDIKSCKSCSNFKAILRK KLMNLNIEEQNNIIKQAFKLNLSESEQVQSFMKTTVQQTKNQPCYLPIPSQASDLITNEQ AFIDI >CAK65993 pep:novel supercontig:GCA_000165425.1:CT868041:516525:516952:1 gene:GSPATT00006492001 transcript:CAK65993 MANVKNLLTILFSWQSKQIKTLYAFVLLQQIFGAFCDKMLEIKNKYVGSIETFLFTLEPD KRKYNPTSGNRDFMMCAPDYLAFGSGKNGPAFQIDSELNKGFTYQSDTYDNPLFTDQKTQ NKFKCLCIEVYYLK >CAK65994 pep:novel supercontig:GCA_000165425.1:CT868041:516970:517852:1 gene:GSPATT00006493001 transcript:CAK65994 MKYTVLVLLLFFLESQTQVLVTPRVQCRTKKCEGDNFCFQGYCTPCEGPRSLWMKRKPQW GTKVGEALNVPAYSNFGDLDVLDPNKDEYLLDSQINFGEEIYIGQKYQCVHYARRFWITN YNTTFGSVERAEQIFDLQEAYNFDIKQNISLKKFQNGGVEPPKVGDLLIWQKDEGEFPYG HVAVVISIEAESAYPHILIAEQNYDQAWDTRNFARALKITKGENREIIISNNRQTFPSKD DLKCRDDEIASHGVILGWVRLELQ >CAK65995 pep:novel supercontig:GCA_000165425.1:CT868041:517929:518667:1 gene:GSPATT00006494001 transcript:CAK65995 MVIIFVILVNASLVVNTEEIVRKQNIPIGAEQVPDFGVVIGQGNKIPAYSCQNDTQHIAD LPHYLQPQESGLNQTVYVGMRYQCVHYARYYWILKYASAFPDIDTADQIFDLDFAYDYLN GGKRQILQFKNGGKEKPLPGDLVIWNKSEPYYPFGHVAVVLDVQLSEDHPFVTIGEQNYD DIWDSQYYARKLQVTKNDLGNYYIVNKREITNLHPSEKCRDYSGSANDAIIGWVRLI >CAK65996 pep:novel supercontig:GCA_000165425.1:CT868041:518974:520583:-1 gene:GSPATT00006495001 transcript:CAK65996 MFKPKSQKDQQQQPFYLSWFANQGENPKRGGTAPNQSRKDKLSLKSPYQQPLITVSHQAP NPYTKAIQPPKSYQSNIQQISKIYAGSFQGMMKRRSVEKRYDDAPKSGRASFKPSRTFTQ QNSRAFHSHQQLNTFEPKFQCDNVIAYNYEDPQLTPRDNDDVEIMEVDNVDIDSNESNEA DSEGDHNLQLPTQQQEEPQIQKNKSFVQKQLEEPIISMRKATATLNRPQTSEGARRKRFA NSTNEVQQNTTEKKDSEFQIFDCHANIIQEDDSENQEMHSYYNQLFITFIIQDLLSIRSG QRRKPTDNFIDNLDNNDRPPSRHKTPPKATGLDLPLEAVDSLANHDIPIKNMYAQIDDKV NNNFNDDLDEFDLGFFKMNNKFQSKDGYHTDEGKKNNINYKHPQSANLKFNNIGLSNLSY SPLSNQITSAHGFRANEPQIVIKYNNSSSINKNQAKLPVKVPFQTSLGQDFLCLFANDGP D >CAK65997 pep:novel supercontig:GCA_000165425.1:CT868041:520682:521125:1 gene:GSPATT00006496001 transcript:CAK65997 MLDNQQQKVPIHVTQMMVSRQAETIPDTTTIHQLVPGFKINNQSTYKLNGSSGRKCRDKS RSITKFKRQPSFSLNSTISKINLSPRPTKPFKQKKYAETSHTFRRNTPRRTFVLQSINQD YSHKPTYWNIDRWDTYDDRNDSKSFIF >CAK65998 pep:novel supercontig:GCA_000165425.1:CT868041:521220:522739:1 gene:GSPATT00006497001 transcript:CAK65998 MTEKCNPNATGFFHTCGQFSQCQPITNTCVHDPPYTPKAGIIISYIITPILIGIGILGGL GGGVLKGPLLEMILNYTQSEATHIAYCLMFGGTLLNTILLMFEKNPEDQRRPIINYRISI IFNLAVPFATNLGSSLASFLPQLYTLILQELFLFAVAPILWKKAQKAKSEELSTPDKQKN ESQALNLDGSNTQQKIELQKIEEQQYSVSLTSFSVENSKQNSNTLYYQFKQETENILPFM PALFILGSFGLNQIFIQMRSTNPSKPSYVGIYDCTWQNDFMILILIIANVLFDYVAWNFG SRQEKYFDQLNYLPNERYFTPISRFFKIYAGGFGAGFVSGFLGMGAGFVMVPTLLYSGLI PRCASATSAFIYFMISLNNLITLLTNHYLDQQMILLFTGLAVIGGSVITKIGYILLSKYK IGYTVILIVFALDIANILSQIYYGVVFGIRYGLDYLTKANIICKQQ >CAK65999 pep:novel supercontig:GCA_000165425.1:CT868041:522767:524667:-1 gene:GSPATT00006498001 transcript:CAK65999 MEQVIQNENLVMLQQKIVSYPKTYEDLKIIASIIRGTQIFNKLNELYHQQLSSDGQIKLC NNVEIRSYSQSELISVNPNLGVQLLLKGQVDVFQQEDGYIYKTAKLIASLFPLSYIEDEF HFGKQIYSSSQLKYKISVDDSLVLSINKQQCDDIYQFFGDLFLFKHKILCKIIPGLGELN SKRILAALATQFESLTFPHLTPITEENVIGDYLYFLAQGDISMSKNEEHIFNMEDNGIIG DELLIDPDSDQNQQLSYEFTSRNQSEDIHSSFPQLHYQINYITSQVYLYCKQTVEKQLMR LLMGSKPFDQSLLCNSPNNEFKKSQSTLILAKDSIKSYDKVDIQFQQYQVPKHSRVLKYN KNVLDQFGEEEKKSKKITMNEFVSLTKSSKEPQIKILEKVENPYSFASNVNSEGFLKQYY SNLIRVGLVKPPLRIAPQNHEAIQRSRVNSAVKLIEKTHKKIKTRKSTSLIYGQNDPILQ INSLNPNKEDKGNTNSQKKLDKTRTITSFFATPRTAQQLHQFHNPSSGYFQIIETPKCET PESRSTMAKSSHVFRPYSGFMDHTSRLSQQSEMQKRLRKPNQHFF >CAK66000 pep:novel supercontig:GCA_000165425.1:CT868041:524789:527055:-1 gene:GSPATT00006499001 transcript:CAK66000 MYQTQTSSQKQIEEMIAYSQSNLSIMLNMEQFMKYFRASDPFAIDFLSRNVKQMFDILFV DYDKVQTDQLVIYSDLISKIFEIIDLNLEPIMKQIEIYWEVIFDLRWRAVTPDTQWGVAH KLFQLIKDRDKRFVLDQFQRPNFLVSFLPLIHINSVAQILIIFYEFGFHQQQLDFLRVGF DRFQDFDPCSAINFTFIVHEIMIRILNEAQIEYVIKGEIQRKMFSIIVREDINAITLKNA AHVLSLVSNYYSMDMQNIQLDEPETQEITQNFRRTEFFECFDVKIIAIILQQSLLNKTKV GLLIIKLIEVIDNLVRITDIQLWEKVDKANIMELIFNLVHKFNKQDIFISYVGNMISFVF ERALNDFHPYWASKLILKNKIHDKHHRLIDKQIYEFESKLSLKLIKDGEFLPYYDYLKQI EEELMISHNWRTLKLHQMKQEEKHRYKLGEDPSSPQFEEKIIVSALDVEDSKYLEQDGVD ESANNIFINCQSKSTPKQHYQEITQNIFETTPIISADAESESNSESSNNESEQPIERKFV QETGKPIDPLDQLKEVLQGLGLKSNQQNKLSHSDQLDREKVIKNRNLSNSLNHEEQKNKL MTASLRDDYEAPSLRIERRSSLQLVSNVKKLNKNFEEFHIDFFNNRKILKKDDNSILKVD EIDFEKLPQKQIIQVVFQKQHEEVVLKTKLVEEPKEENNLFPKFEKVEDIKFRQLQQKDL HFLEFLKNQE >CAK66001 pep:novel supercontig:GCA_000165425.1:CT868041:527891:529161:1 gene:GSPATT00006500001 transcript:CAK66001 MIAPEILSDKPEDQYQRLEKAMIELFKYDNLLNNEYLVRKFETDILKPDWYLLQYVVVQR ENSIKSQYVQNELNTRKKYHLILTDILNKYNKQLWNFDHQMLIIRPYFPTERKRIQIKIP VLNVALIKETLQKSGFTKEELIYEEDIYQADKTYVKLIVTSQTENYAIDIFDFLMQKKAE LQIDSVIMQNVDYLDEFHKQINAKKCSMMTKKIEQQDNDQQGYKRNDQYDEQDKEFDRSY QKSRARGNRQRGGGNYGYYRNDKDDDRHEEKYHNREKGDRNERGNDRNDRGGKDKDRRGG QRDRGNNQYVQKNDVHHQQQQQQPLQQYQLIPKAVLQKTPKQNIKPNALNANDFPALDFE QKS >CAK66002 pep:novel supercontig:GCA_000165425.1:CT868041:529209:530237:1 gene:GSPATT00006501001 transcript:CAK66002 MHHRKSLSPFSEKVRVIQFTCLRLEFLYPLVCEPLKVIVCEKHTFIAKPQDQRCAMVNQT QAIEVFNHKIKIEIQNLGISHLDLNTYQAFSLEKVPIYDKKNQKQLIGFLCFKIDETSKK STPNTSIQNSREQSLNFGSNLFTIAFNDQSQQQNQKQQNSNQKYSNEEIKRNIDQIAQIY EEMSREQNQLLQQDLKLKKLDTEIKDLNLQLKSFHDQEHQIDLQFKKLQTQSPQLQMLIN QKIQQQQSILTFKRKQENPIQKQSPSQIIRTEQTSSRLKKSNVLPQQITISLIEQEKFMK KQNKEPEIPVNMSIPFSFNEYFSLFKN >CAK66003 pep:novel supercontig:GCA_000165425.1:CT868041:530302:530968:-1 gene:GSPATT00006502001 transcript:CAK66003 MGKQYAREPAVAKNSAKARASDLRTHFKNTYEVARALKGQTLAQALKYLQDVLQHNRCVP FTRFNGGVGRTGQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLNNDKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHVEIWAAQKDENVKKEANNKVVARQSRKQAARSKL AIGA >CAK66004 pep:novel supercontig:GCA_000165425.1:CT868041:531203:532225:-1 gene:GSPATT00006503001 transcript:CAK66004 MNQQSFVQVTQEYDQVKKRVNSLKFQLRDIDQKNYLLSTKIKDLRQISPKIQKSPISQLQ EQLRLDRKQIIEQFKPIVEKEGIYLRNERLKTETQLQQSKIWEIQQKKMKVKMIDEFDSE VTKRRAAYQEKKIKEVRQQQLQEMLMNRVIVNKKLLEINKLKKQEKDLIEEIQSAKTKEQ QLCNKFCNALVSNDNTVILPSIQKSNSRTSQNSNQKKPNKGCSPFRMSGKVFDRLQRSET RNDNSIIEYEENRKFQLDSRKKSQSIDQLNIACQTTFLIKDQNLESAQYSNNQDSYLGSQ KDKTIEKSQENQTSEERKEEKEKNKLKSNKKQ >CAK66005 pep:novel supercontig:GCA_000165425.1:CT868041:532258:532839:-1 gene:GSPATT00006504001 transcript:CAK66005 MQLLYIKKTGKFKDLIQQQKAQIQDKQKSLQQRLDSIGQLKTTKIQDSKQINQSYTIFRQ GSIKQLSLPKLMKRIYSDKRLKDNCQQQQKSEDIIQQSISKDIKSPIKFININNTYDQKS RLAVIASTDRFQTESNERKEFMNYTFGAQFRIRGTNTSNINNNKSSISQKRSASQTSRIM TTTQLRVVNKIFS >CAK66006 pep:novel supercontig:GCA_000165425.1:CT868041:533019:534026:-1 gene:GSPATT00006505001 transcript:CAK66006 MQYQDSRRVINPIYQDQPKQVRPRSVSNTTKLLAWDPQQIISKTDISTNLQPTSTDARQT RHNQRNISSILGGKNFIKLINQQPYNDLNDIIKHQHVVQSTKEKLINPNKLSNPDEYQIQ IQQGSKSQERQSVKQANSSKGEKENQFKIQQELIRLNKTEHVQNRVQGQKEENKQVVTEL KRRMMNQTQKSQINNNNKPALSMQDNNIAIITMQLKDIKDDIQSREMKQLCQNMGYHMIK FDKEYDKINHRQNGQGSIQIRGINTDQKLNTLQRSLSRKGINIGEQNLKLKDFVSNKYLL KSQEHSQPDNQHSQNQEDKLLSNFKKFQGRQKGQF >CAK66007 pep:novel supercontig:GCA_000165425.1:CT868041:534074:535136:-1 gene:GSPATT00006506001 transcript:CAK66007 MFRKVPVYCFGKYKFNANIINKVSTLLKIKPSLANEDINFFGDVRLLDSQNKLIGVFACE EARKKADKLGQDLIMINQEIKPALCKVCDYSDELASKFMNDIVKIKEQQKLNDQHFKLSH TITMQDLKLKVNQAKDLIKKQNTLRVTIICPIETAIQAKSILYTLKDLSQSFMIPLGDIK TKDYKEKEQFDKKKEEQVQVQLDIEFESIERNELQSDLAQIKIERLINQYYSRSLQEKTE DEQDLADLFKVQQTEKDKKVDTQENKKSKLEEMMDDDGFDFQLQDVSTNTQSCYLRDGNL SKYVKLFKMIEWSQGRK >CAK66008 pep:novel supercontig:GCA_000165425.1:CT868041:535526:537401:1 gene:GSPATT00006507001 transcript:CAK66008 MQPTEVYLFLLSQETQLMSSSSRMKTDKFISTQAVAMRSIQKAIKKQDLSFILGSFNNYQ GASQQQNESLQLFQMEEKEVHLKLGELRKEFDTYHTSSLYKEKEISQFKDQLLKLNLEES ELINNTTELTKELECSKSEYVQAKQRFEESQMSEKSYVHVVNRLKHDVLCFRKKLNEMGD VFEKKQAEAYQADAKQWESIQLNQMTRKLCDEMMNNIEIEKNNRQRQIAQYNKQIKAQLI AKEKRDERMIKQREIAEHASNDKDASEKKWRKLLLVHKVVHSLLKNKMEKEMEKFTVVET AFQEIKTATGISEAQEIVQKFLTKEATYGQLLGTIAENERKIEHLKRMKDNLRKRLQELK DDIQIMEMNAKPSKKGIDSEIYKQFYIIDDRAKKAEIMKQKLYTWSIKMLGKIEKASMQF LDERTNYYNVYPRGKDVELFEQLQKLIFEDIEQARPEEILQIIGEVNRSNIRNDTLNEEY LKKNVRIKYKKLTQREAIKRTKSMDSKADLSNRSLSQFGQSSDSSAEPSFYESESEGNTV DENSEKNHFNYLRDEAKQVKKQKKKDDGSEGKK >CAK66009 pep:novel supercontig:GCA_000165425.1:CT868041:537449:538849:1 gene:GSPATT00006508001 transcript:CAK66009 MNNQKETENDDSDKSQQSKSVQQKNKERQLRKFQKLQSLINKPFQQLTEEELNKRRILSK VSDLIGKTNNPHQSLQPLNHKFVLNIGHLQNASIPKITSNQTDPEKDVILNLSIIEKQHE QMRRQALKKQNLKENYDQLWQQEEEVSDKEIKDTYNVNKALTIVHRNQVQKEKQLKSDER LYFGKNSQSIQGNSNIQSLTNSRLILMQDQDINETECREQQARRTLKKMKTKQVINIVQQ ATKKIFNKKDEQIQKELIMHQVYKQMNKEKKKESIKSIDSIFRNSQNEEAFQQSQNQQKM ITNKMLSENKFFQPKKLGEIKPIQSRVQLSHIIQKQETRTDDSPPRFYSRKISLINDKIS NLVSQIDEIQQKETDQLTFIKQLENEQDLYKTYRESLQPDQIQDTLKSLLGPSNNNYRKP FRFNNKKFFNQIL >CAK66010 pep:novel supercontig:GCA_000165425.1:CT868041:539189:539728:-1 gene:GSPATT00006509001 transcript:CAK66010 MKTQNNFAHFSRGGKLSNPYLKFTKVDAETAKKAFTPQELKQIMDMFVYFDKSGNSTIKR DDLGFALRAIGYLVTTIEIRELGIYLDPKKTQILNFEQFITACYNVRNRKPNKQEIINAL KIFEKNKSGYIDVKEIKSIITKIGDVLNLTEFDIVISEITQAQNGFIKTEDLVNLLIMQ >CAK66011 pep:novel supercontig:GCA_000165425.1:CT868041:539762:540488:1 gene:GSPATT00006510001 transcript:CAK66011 MQYQQDEANHYQSIIELYYKEAELSNENRTKENQAATKISQWQRMHVKRIKYLKIRYNTI IIQKFARGYLAKMLMKRNSDNRYNDRNLKYFSYQATQIQRYFRGFHYRKYYLNWATRKEY LAFLKRKNETFLEELRRVEQEEAQQLKIRQEQLARTEFESLARNLHHLSSTQSISGIYNR PFGNRDIVFDMDVESHLKIVFHSNYEWEKSKQMSRYTRTKKLSMTTKLKPLKQ >CAK66012 pep:novel supercontig:GCA_000165425.1:CT868041:540590:541582:1 gene:GSPATT00006511001 transcript:CAK66012 MIILFFISLITFVNSLSLKQVLMAIDCGGQESFNSKEGFLYQDDQYFSGDSRVSDYTYND VVYAGIAYTFTPRVYFTERHGNSFDYNLPINKNGQYTIILQFVELYFENEGERQFDIYIG TKRVIQNLSIIDNKHQKGSAKEVFIYIDIKEDKVFHQGQECNKGFINGKLKIGFRKGAAD LPKVDGIVIVKGHNAGEEERNHLIDNWEQLLEENKKKEAELERAELEAQNMIVPDSVEPE EGEGLISFLLSPIGFGIIGFGMAVFGILMFAGENQDQKNKKIKKSK >CAK66013 pep:novel supercontig:GCA_000165425.1:CT868041:541654:542984:-1 gene:GSPATT00006512001 transcript:CAK66013 MSEEEADDTPILDIKPALTKEENYPAWMRELITKEKKFNEMLECVKTEIQQVDKGLRELD EKKKTFRQVQRDYRPQKIQKQPEIQGDYHQYYSQLKYERNNKLSFSLINSPVASQIILFL QDPIKTCLVLQRVCQKWNYVFNSNLVWANLINHSFGENILKQISLENYKTFGVSIYKLLI TSIKFIKQNSQTFNLTKDQVKLCQNGLQLIQRLLCIPENCYIKTKLLAELQSLDLKSSLD SILETHNHIVKQEAINLKITLQGISNYAYKTLVGISDLMKLKYRLNDQENNVILPSKYFY ELTPQDAKILVNLSNPEDDYRKLKNLKEFNKFVVAKMNGQPLYMNLQYKKNEIIGNGEDE QRNLIVFQAQIRFDVQNINKQLTNGVEYYGEGELVIESRKERNHYDIYIIQDLKQKFYII GSSGSDTILFEFL >CAK66014 pep:novel supercontig:GCA_000165425.1:CT868041:543316:544430:1 gene:GSPATT00006513001 transcript:CAK66014 MLLKKLNQNNFFFRLKYGYQSRQKNPKYFHNPLVEGQYAVTPARKVPSNITRPSYMTDKK PIYGIYEGPAVTHDKHMIEKLRKAASIASKTAQVAQRSVKKGMTTDDLDKIVHDYIISQN AYPSPIGFMGFPKSVCTSVNEVCCHGIPNLRPLEEGDSLNIDVTIFYDGVHGDTSVMASV PKMNQEISKLIETTQKSLYEAIKICRPGQKFSQVGKVIQEIANKEGYYVSEVFTGHGIGD LMHMPPTIFHTQNHYPGVMVPGNVFTIEPILLIKEVQDYQIWNDNFTIISNDNPKQLAQW EHMILITENGYEVLTKRDDETEL >CAK66015 pep:novel supercontig:GCA_000165425.1:CT868041:544454:546697:1 gene:GSPATT00006514001 transcript:CAK66015 MKISLQDFGFHQPNAPEVNTPRSVEACRREGILPRELVKTSFEDFQKKYKLSNLDAKGIE TYYKHFEDKREQKFQDVIKQRHIVIEDEKQGLWNPDGLAKSKMVSKYSQQDVSSLIEKEK QQIEKIKKKQQKEIESMLEYEVKMQEIREQNEKKLEEEKIKQKQRELELERKKAQQEEIK KQKEIQKQQKRELEEQALKERMKLLEQKEQERLKQEELKQRIREEENQKKEEEKKAQQEK LRMLNEENIRLQMEALEKRKKDMNEKAEQRKKMMEDQKEKKKQEAEQARIDNENRIKQAK ERNEVEILRVKQDVEKKLQISEQKRIQFEEEKKKKLEQQKQEAEQHAEMIKKVIEQNEQL ELERKKQYLKKIDEAEERRKQLDKELEKEKEKQRQEDHEREQQRHQVLKQNEQRQKEKIE EFLKKFQEKDENLSKIQLERSISLTDKRNYESLKRIDKKDNVERIMKKQEYDRMKLQEKL NEKMERADKIQDDLNQLLQQRQNMRKEIDKQKRDLLLKLEKIKEGKIPANEIQKQFGMQS TNLNVSQEKQPLNKRRTQSQSIGQHNLLQANTEKPNKTIRISRLSDKLPDINQSSQQNLE QSQHSNTQKKNEQNQSSPTKSQNEKKLNKKTKKIDLRKSQSLQPPNPEVLFELNQIIQRQ NQEIMRVFMEEQANENKRDQMLKVCKHENKAKMEKIYSMDRQKAQQRIQKLQEKHFKEQQ EFKIAHFLID >CAK66016 pep:novel supercontig:GCA_000165425.1:CT868041:546835:547317:1 gene:GSPATT00006515001 transcript:CAK66016 MGSSCKNMKNTQDLKQIQMNSKNNKTFKKLNRADFQHPKQILDFDSSYSICSERNSSQFS KTIGHINTSSCIYMKKRDNKFFTRRVNSSLNHNSLNLLKKDDNNSQSDFSLELIENTQVK LVIPYQVRRSWSKKRVTTKVDLLKKVKNQKYFDRYKRRRT >CAK66017 pep:novel supercontig:GCA_000165425.1:CT868041:547718:549649:1 gene:GSPATT00006516001 transcript:CAK66017 MRKILSSALAVSLRRTPIMTPRLTQRLAITLVSLPLIRYSFQTLNLNDAYEISIQDDLNE GEMREVQVGPKKEDAVLVCKVDGQIYCVSNSCPHVGAPLSAGFLVGDKVKCPFHNASFSV KDGAHEEGPMFRGLQTFPVRQENGQLIIRVEKELLNAPRTLNMATKGDDPTHIVIVGGGV SGQSAAETLRQAGFRGRVTIITAEDALPYDRTPMSKVSFLVKLQGLQIRQQSFYEQYGID VVTNKSVDSIDINNQEVVIGKEKIHYDKLLLATGGQARKPQLDGVNLKNVHTFRQINDLL QIREKAKTAKNIVIVGASFIGMETASAIKKELKDQVNITVVDNSSVPFERVLGTEVGASL QKLHQANGIEFELSAGVKRIAGEDSVSRVDLLNGKSLLADLVILGTGIQPNNKLAKDQLK VSPNGGIETDVFLKAAKNVYASGDIASYPYWVTGEYVRIEHQNEAIRQGFVAALNILGRP TPLTDVPFFWTRQWDRTLAYSGVGQGFDEVIIDGDLNQQKFIAYYAKKGRIVASASMNTP NAQMIISEALRLNVMPSAQELKDNKTTLDEIKKVVLSKGTSCHCKRSGQCQAQL >CAK66018 pep:novel supercontig:GCA_000165425.1:CT868041:549651:552133:1 gene:GSPATT00006517001 transcript:CAK66018 MMLVILLMIMQIFASNIDVQISYIDASLINIHWCSDTVLALTSKGSVYRSDDRGRQWTKM SEIFHRKALIQLEDAGDRVGIVNNLVASPVDKQLILFTGTDQIAWVSLDCGKTITAVNAG KQLREYQFHPIEKDWILASAWKQCGSDELLAGKPCVSYKELLLSQDTGITWLSIATYVNQ FSWGVKNKDMAKYTPKERILASFDPQGRGHQSISSWNMDANLYYSDDFFQTRTLSVPAGS RFLLTESFLFVAKVTSQYTQEVSLYVSGTELGQYKYAIIDADSKLLEHSYSILDTSENQV FMVVNHLKPSSPLGVIYISDSTGTRNVARLENSAEFYRVQGLEGIYLANVYAEDQAKIYS HQVLEAMEEGFYAQQNGFKDEDLKKYKQTRITFDKGGQWVPLKPPTVDADGKPINCNKCQ LHIHLSQAFYQFAPIYTQTNSIGVIVATGSIGKYLSYRQDQVNTYLSRDGGLTWIEIKKG SYIYEMSNHGGLIVMSKDQETTNQIVYSWNGGIEWAPFNFLDQKAEIQNILTEPQNKGTR FIAYGSIQLESNGIKTEQGLLATIDLDELHQRNCIGQDKPGEVGSDYELWTPSGLVNPEC LFGKKVTYMRKRREAACYNPDTLEKIISVIPCQCSQEDYECDLGFVMSNQQCVPIDGTIN IDPPAECNGYYTVSSGYRKIAGDICEGGLEHPSMRFGCPNNKGWIFDWLVIGGILFGLYW VYNNQDKVKEYFTKSEMTQLPQSKSDKLIKQLQQKQSKQQQQQQQQYQPINLEQNDSQDQ KFEEELIEKEENVLIEDDGSHELI >CAK66019 pep:novel supercontig:GCA_000165425.1:CT868041:552238:553085:-1 gene:GSPATT00006518001 transcript:CAK66019 MKGSSQKNKILEQIQKQRRSQSRQNDSKINSLHFQGIQIQEFNKEIDEYLKTFPNIQQLG FQRCKIQSLNNLSEYKNINSLNLEENALEDGCVKLIVQKFPSLTFLNLKKNQIKEFNEIE QLKQLDQLTHLFLDKNPVCDVENFTSKVWDLLPKLKALDGKDKKGKVVKEKTEIYEDDEE EEDEEEEEVDDEDDDSFSISDDEEDEEYSEEEESESESNKKKKKVKK >CAK66020 pep:novel supercontig:GCA_000165425.1:CT868041:554439:557050:1 gene:GSPATT00006519001 transcript:CAK66020 MSDTVYQNQSLKSQTNVQSNNQIKKDKPVITQIIRQPSIPQIRISKNNRSSNYQPTPTKL NSEKQITLQQLSRDYTSIESTMLFNSQICLNQFAVQNQVTKPTITISGSIAPQENLSKKQ QLKKVNETITQPREIKLKVQTKEDLQISTKSILKDDENDNVNSLNFRTKSLMFGDLAVLN FYRKKVDCNRINHTMQIIQKLNKFKKDDQSQTPSNFPILEINPIKAQLQQQFNPAVPSNQ VKLIQIKQDDSDEQNVNKLNFSMNQLTLQNEIQNKKDKAISSKETHFRAPLKQESKRHIQ QSLSFNTNIIFDVKESFIQSFPCRSISFSQIQVDTSSKESNSIKMNQKTIEDNQALVIQS VNLNDKFQFAFLVRDKIEINNNQIWLSQTLIKDHNIPIQASEQKDNPIQKLHKFILIRDY DRNVSSSKLPQISQTQLIVNTEQDSFAQQSPLVTKNQFTFEHLRKDQSNESSKIVQEMMP YNFDNEVEITFNDQIQTKDKPQYPTLLEMNRMKIEKIKQIIIGTKSPKDLLKPNLEVKEE IGQKFSSSKLNTVSDASPKFKNLSVHGSKVFDDAIKTVLQKEKLRSSSIDKATMHMQMSK NQSSVLNRFKKIKYLGRGKMSDVYSVIDKKTGMALALKIIQKSLIKSKGLANLVSNEIKI QMYLVHSNILKCFGVIDDIKQIALILELSDQTLHSLIRNQKLSRRQMINILFQILSAINH LHNLGIIHRDIKPENILLCQDVIKLADLGISIRANNSSQYCGTIGYMAPEIKEYQNYTAK VDCYSVGVLIFEMMYQKLPSQTLSQIKNPEKDPLIDLMNNLIEMDQYIRFSCQQALNHEV FKDFKQNQFGKPQLQKEMVRLL >CAK66021 pep:novel supercontig:GCA_000165425.1:CT868041:557096:558631:-1 gene:GSPATT00006520001 transcript:CAK66021 MLAQSQQLQQSDQKPKLSSSIGITTFPHKFTILSILLIVIAFKFQSTVPQNLRDILNLIK QIKVEYKNNWAKKDTRMKNKRQSKMERCLCLPCQEQRNKKSLIMSLKLVNIANYGNGLRI LNRLPKLDAKSEVPKQKHSSNGPQARSPKQLDEETPKPHYSSVTPERRQQFSPERQVLNK RRLMAKTWQGGLFASKTKAGCLPNKTLKTNQDAAILFPNNLEHYNCSLIAVCDGHGTNGH LVSNLIKQILPKYIEQQFQQLGRDIERCLTVAFEKTNKEINESEFDTTLSGSTAVSVLIR KEQLWTANVGDSRAILCRNQDGWKAIQLTRDHKPSDEQEKQRIIEAGGRIDSQRDFYGNQ LGPERVWLQYIDAPGLAMTRSMGDKLGAQAGVISVPEILEYTITPQDQFIIVASDGVWEY LTNEEVMNVVVPYIEKDNIDLAADKLMAEAINSWKKHSLARDDITCIVVQLKNQA >CAK66022 pep:novel supercontig:GCA_000165425.1:CT868041:558678:562096:-1 gene:GSPATT00006521001 transcript:CAK66022 MQVETFKDQLYAKLRQKSSPQNDKGLILLQSIENNLNLEQRTNPTAYIAQLINLLKSTKD GQEAIILLIYKILPSVPIVVVRKLIPALLEEIGKVQLDNLSLGVFGQILILSSKDDLNSP KIHQLFNLLLQQTISDKKKLRKQAIKTLQVFYQQKALDIQQVPEINERLRTHFKSNLQEN NECAQHSLTLLASLNNLIPYSILVDALYIIIEEIQNMDSKYYPFIFLSLEQVLQQNVGAD QAEKLLQQLNQIQPEEVNAQHAYIQCIRQCLLTLSQSSLSLAASYLPSTLSNMAELLLQN NQKLMQHCRLEMMTLIEKIGKATLSIKQDLAEDIMNLELESAGTLQQKYIATLTYLFSNR FDHANILDVFAKFFATIDDKTFEHCKEIVKEFVHNKSRWPHVYFEKTFGQLCVGVSMSNL LRTFPLQTDGVNPLDKDFDEKSNCWILYILSKYANPKLEEFLDFLFPLTNLLSLASNANP SHEQKIIQNILFHVLEIASNSKATNESQHHQKLGQFIELTLNYLKKEHVFFEKLLLMIAK LFSQLVTFPSLQNFAKQYISTLAKLVEQNNCTHTLNGIKVMSFAAPKSYIQEAFKKNIEK LLSSKDKLDDRALNNMDIVLQVVSAQQMTSDLWDYCINFAKEMINIPIIQKKIYKFLGAI MPKVHHTFLSEVYNLVLEAECEPSSRQKRFQVILLLEQFVTPKLDSYQTFIQQFLPEMVV AIRDNNIKTRILAEKLIENIGQRLLGLDLLDQFISMVFAGLAAQSNVMKADAVATISLLV DKFYSNLKGEFIDEMSKLILLLLKEQNNEIFKSIILYVKLFTQLLNVDEVSRDKNIIILK RLLMKLSKAYPSSESLIPQEHIKLYKNAIKIERQQKKKKNKRDQERELNKQKWQEKKQKK QKDSDLESDEESEQELDAKPKQQQGGMETEAEVENNLLLKYDFKKEQFHFEDAIKQKKKV QEKEQQKQSTVQPLVEYKDGKVIVNEQKEVLGQKRRREAVNSDDEEHAQKKITRNDRKTK DVVHVVKESGSTFKSKQKAGGDVTVKGRPEPFAFIQLNPKALNKRFKNQASKAFEEVFSK KSGALKGVKAHK >CAK66023 pep:novel supercontig:GCA_000165425.1:CT868041:562139:564109:-1 gene:GSPATT00006522001 transcript:CAK66023 MKQNLDDDGDDIDPCQFIKNLDTGDTYSVNNFGELDIEYSQYTTVNVKKNRWQNFWNKIE EINEKLYDACEKGEEDVVNMLLKDQKDLKQQVQLQLKSTTNSMIGDDYQEFIGLINIDCK GLDDWTALHHAINNRRTNIVQLLLEAKANPNLCTQMKRAPLHLACLRGSLEIVELLIQYK VNVNSQDSDNNTPLHISAELNQIKIVEFLVANGADALLKNSHLKTPFDVTMSNEVRAILQ RFQPEIGGYSQRSQFGGFVVKNSRSDHIERLLGKVNDLQKIEILKQNQQQQQQTNNLAIQ IEPIASAPFQEISVNVCSPEGYIFHKLLGKGSFGEVYYATRKSDGEGFAIKTLCKERVFS KNLTRYAQTEKNVLSVMRHPFIVRLHAAFQNSQKLFMVLDFCPGGDLGQLLTKQVKLSEE IARFYICEIILALESLHKNCIIFRDLKPDNVVLDSKGHARLTDFGLSKEGIYDNITKSFC GSIAYLSPEVLMKKGHSRTVDWYLVGVLLYEMIVGLPPYYHQNREQLFENIKKGVLKIPK HMSQDARELIKGLLIRDPAQRLGSKNDAEDLKKHAFFKDVNWDNIMNKVEDGPFIEKNRS KQWEKEEIDMKFGDQPQSNPRLDDWSFIQ >CAK66024 pep:novel supercontig:GCA_000165425.1:CT868041:564135:565201:-1 gene:GSPATT00006523001 transcript:CAK66024 MSILLRKTNKQTSSLKSLRSTQQKQKLPQVSKYRYTSKKFHPLKTEPDYINDCVKFFTIR TLQGIDNNIDNNQDCIFYSTNYNDNEDQYLFGICDGHGPDGHLVSNYVAHQYKRKLRISL FFGDHQSQNIKLMNQCTLDIEKEMQFNDEFNLMLSGTTLIAIFINGDKIICQNIGNSKAV FFSKSLQQWQHKELSQDHKLSHNQECFRILKSGGQIRQLRNEFTNKKQGPYYVFLQDQNI PQLYTTRSLGDSIGKKIGITAESGFQTFQIKQEGFLIIGTCGLWDVMSYQDIMAQLDQVR LITQQKQIEELANSILNYCKRKWETYYNNNEVVKIEDISFILIYLS >CAK66025 pep:novel supercontig:GCA_000165425.1:CT868041:565295:566595:1 gene:GSPATT00006524001 transcript:CAK66025 MSEDIKTRALNYLNCPLGDMHEGEQLNFVCIDSTCKEMGLICPVCRSQKHAKHKVIPLKI FLADISVNVNGKQNQNSIDSLLTQLDQTRARLLSTLKETVQKMVLQIKILEDQINLSHKN TRQRLLEQNQTQMNFPQIFQQILNSQYKNVDQLKQDVRKIIDNVSQLQAGKIEIDFKPQK LYDQYQKASQESISQFNQLLTQFKQSLYKIFKPIEKYTVPLQASNSTNFTFSTVLKSPTI NITEQKIAHQTANQNSENRFILIEPQIVESCKIAIKISNLSNFIGIGIAYKNVLLGKNMK FDHQNLGHGSYMISSNAHTWSHSKKEENMVQKAFNFTTGDIIIVEIMLENKTLKFTCKNK PNETQAINLTFDNPDNDDIHFCVNMCYSGEKVEILDDLE >CAK66026 pep:novel supercontig:GCA_000165425.1:CT868041:566641:568837:1 gene:GSPATT00006525001 transcript:CAK66026 MQQQKEYIPIVLIEDKIKQQKFETEFTEEKLPLIESVIQTNKISTQIINSRTSPIKNIIP NGLKPIVFPNILQKTKDDMIKLRKHQLAQLNFENDQPPITMNIKTTRPRKTERDKSTQKM YTQASKNSCNKPIITELTTLARDNYSASPRSDSFKFRSLSPDIESQLWQVNNKINRINKA YEIKKEIHHLERFKTQWKIDVVQQTLQQKIVQVGLNTKKGLRSIFILIYLPHSNIHLRSS QTEAKQITNEVELSVFPYFTPVYEKIINEKEISENYKEWFSYFLDILHYKSYIPKARTFT LDGIEISALNQIPTYEKFLYIQLQGQFDFWSIMKQQLSLSGEFQVDKYPKLAIKLLDLRN KEEVRRFFSKRENLLPFYFRQLQVGSQTSIPSQYYIEIENNIREPDEEMFEQSIIQMNEK EQDTYLKVLQQYIHSNKSKYSNKLKNLEENMRQRLKKIKAMRRQSIHIKLKTKKFIRPEE EEHFERKLLYEDEKSIEEIKEDAYDHIFPQNTHIFKKKSKQITSVDDPFESLRAKDNQKF REILQLINVEKIVLEKNLSRQDVYHYLSLFKALMDSDAPQKIKDVNYPTLFISLDQLRRG VPFIALYKNKVNTKKLAEVYSRKYNYCEFMEFLDIFTTDYKVTEEELQEVEQEIIYSQSK SQTASFVQQNKQL >CAK66027 pep:novel supercontig:GCA_000165425.1:CT868041:568859:570521:-1 gene:GSPATT00006526001 transcript:CAK66027 MNRESNNNFISLFDEPNKPLWQKSKPDFKIYQISSVLTMKKTNSQSIKKGKFFISNEYLV EFDNTYKRHIDLNNIILEQTNQPFTFRLSRNKKQIEFTTNEQEYQIWFQLIKKYAIQRSF NEDYKLLKVQGQGNFAKVYKAIGRDSFAYAVKSFEKKSFKNLTLERDALKLEISIMRKIQ FPGIIRLYEVYETEHHIWLVTDYLEGGELFQYLRNQPQGFNEIQVALLMHNLLNSLDYLH SQGIIHRDIKPENLILRSPLNASDVNIADFGLADYYNPEGKYLFKRCGTPGYVAPEILQE KNYDYKVDVFSAGILMFIMLSGQSPFQTKSKNELVIKNYNCEIDYTINNLQQKISPEALQ LLMIMLSPNPQSRYTAKQALCHPWFMKCFTPVYQMSPPQLYMPTSMIPQFQHYPDIFARS PIMNQLLNQSISNLSLSGSSNVTPQRTQSNSLQVQNPCKIAIEEDHQDFEVIQEEICQIH EVNKYSINNQRKQTPAQQNKFTQ >CAK66028 pep:novel supercontig:GCA_000165425.1:CT868041:572148:573770:1 gene:GSPATT00006527001 transcript:CAK66028 MINQKSLGSLQLIPENFQRSSKSLTTIIRKHIKEEEKRVRPIKFLFVNQEKKNKLNLGEV EKYKSLLRTGASSFIFYIYKNKECQDNFFDPLLRTEIKVPLIDTTLSIGIHVWDHIHYID HKSINVYQFQCILGRQEDENNALLFVVSQLASKIVVIIENWNQEEVEIIKQLKNHGFGDS IDVFNLLFTITSPIYFDFEEVRYVNLYENYLQEKVYSNERSSKDTIRLWQEETLMSLNKK TYRDIEVDILTVLSVVNYLKQSNFQEENYDLIFMNAFKKQIEYSKKDIQEQYILEIQKLC SSSNHFQQNSLLSTLNQIRNNAQSQFYQQLSQFYNLEEYKRQQIELFQLINNIEEDCLNL FYDVAHYNLLQRMKHNIKSSQNNNDTFLNHYQHFLSILSSFDDVMGVKYKYLKKHFKKQY NKLIQFYTLSTYEREQREYIQQVELDLSLLQSSVDQIQNELEDFEDKIKISQSKHHTRQS SLQTTALCQSQHYESLIKLNQKVETLQSQPHVRVKQ >CAK66029 pep:novel supercontig:GCA_000165425.1:CT868041:573843:574491:-1 gene:GSPATT00006528001 transcript:CAK66029 MKNQLQTTPEINERLEELIRSTHPPKIQNVVSTVDLGTELNLVVISNGARMAEYNPKRFS AVIMRLNNPSTTALIFQSGKMVCTGAKSEDQSLVAARKYAKIIQKLGFPAVFKNFTIQNI VASFDFRKPIKLDEFTKDQQYRPVVQYEPEIFPGLIFRKITDQKKITLLIFVSGRCVITG GKKTEDLNNVFKFILPILDQFKKRDLEY >CAK66030 pep:novel supercontig:GCA_000165425.1:CT868041:574532:575920:-1 gene:GSPATT00006529001 transcript:CAK66030 MQLPSQQVGVYTYYSDQFEPQCPLCQSGEHSSQTESNFVQQTHAMAGQQVRGRVVYTTPE RIVSQKVIEGVALSPMQLRQQQAITPPRVMPIAPQVINTPPIIQQKSPILVQQSPVIFQQ APVQQQQSPIAINSQSNVIQQAIYQQQIPPIQQQYQFQPQIQQAQINQTNISAQLNQQLQ QSQLVQQELLLKQKEWAEKYYKQTEEMQQHNLAYSQLQAQYQEQMEQYEALKQAYSLQTQ YSAQNFIPSFLQTVQYKVVNKDGKSQYSQEEIELYWRHQVFQLEQKMYQLWEKINEKKQR KDLPTKSEDTQKIEQLQLEVGHLEHIQRMKMNSVVILRNKLNDLMYQHDPATEATREYDL QLQQLRQKVMQLNSRITDIQDETQMAEAQNEAIRSGKGFKFVSVKQTTSQVRQVTNSVRS NQVQDYQVS >CAK66031 pep:novel supercontig:GCA_000165425.1:CT868041:576104:577119:1 gene:GSPATT00006530001 transcript:CAK66031 MLGQLHSKYEIDDLFRKIIEDKYELMQRTKADNPNLLLPKDFSKFITERLFMDFLLTIYD YCVELHKLEKKQSILDQQSKERTGIAPKLLSSETDKINQKLKEISEIYSRILLKKGSYNI IIKDQKFFETLIYFITQVLKEVFDKSEYKYIEEEINRIFRTNPFNLLKRKHINEKIEQRH YGARDYKGVKHDFNPDDDLSKNELIQRILTRKGMPKSIDREMMVEKSNLKPFFIKSTRCA AIKARSPLIAIMFPSAKERLFQMENERKQLATKYTQKQRLVKDQVEMWIQDFENRQARVK SEHEHTLDKSKINKKGSQSFYQ >CAK66032 pep:novel supercontig:GCA_000165425.1:CT868041:577758:578064:-1 gene:GSPATT00006531001 transcript:CAK66032 MGCSISVEVKEQENALDTLSHERACESIQIQKYKLKKKYGRTREVSIILQEEKCKNLSNE ITHLVPQLEIQANSILRSRKQPHEREIN >CAK66033 pep:novel supercontig:GCA_000165425.1:CT868041:578570:581139:-1 gene:GSPATT00006532001 transcript:CAK66033 MGKGKKQSRSRTPKKKNRSKSKEKQRSRSKEKKQSKSKERDRKKVETGLGRSTGWGVASQ PKTGNTDDFLRDMYNNPKTSATPQTAQALIVPQSHTMQTQMQYTFVPGMNPTILKMLNDP TKVRRKIKIPPDLSFNYIGLIIGPKGVSQKKLEEETGAKILVRGRGSQKPEQPPQPDDDE DLHVLVVAETPQQAANACDRIERILLADADELQRYRQEQMKLIAQSQQTPTTAQPIAQTG GGDPSDLSMTTPYGPPSKDAYVYPVPNEFVGLVIGVKGETIQQLKEKSGCKNVQVAADSA PGSQTRNVFIVGDPDCVKKCQGLLQEIIDTQRKVRTAPGAKKIEFQVHDQFVALIIGKKG VTIKAISERSGAFVAITQSPDYQVRPDHKAFVLSGTEEQLNIAIREIETLLEGAKKAYFA KTCVDPSTINIPTPLLINPPNALANYQITNNEMAPQQTMPEFRTPDEQGKFNSYTNYLFL IYSGLLKITVNANYANAILHDDTSITGSCRRKNDYEWTQKYYHLKYMYVYLFILLTIISP QDYYKLLELSPEASDNDIKKAFRKLSVTYNPDKNPGDKQATKRFQDINKAYEILTDPEKK MIYDFYGEEGLTNPQYQQTQGSQWLSRKSSHPRRIRIYFTKENLCKQCKETGSKDGTLKI CKHCNGRGQRMQNVNMGIGFAVQMQTTCDKCGGRCKITNCRGNRVQQTSKTLQIEVEKDM TDGQTIVFKEKANKVLIISQEIQMKHPLFERKGIDLYMDMEIFLKELSIWTIIMLKLKKA ENHPTI >CAK66034 pep:novel supercontig:GCA_000165425.1:CT868041:581697:585677:1 gene:GSPATT00006533001 transcript:CAK66034 MNTQKLPYLQSPKRPETKGKQKSLTLNLNIKDSPQDISTFRTTTQRKSHLQLPQSDRVKT YSDVSYLNFRNIPSHKHSSSTTTVPLSNIARDPQLYEFVKLSVLLQHNQASKTNIYASII KENVKGTFIENPTELKMNDKTIDFSPLLQNIKIHQFKYYLQSILQQECKTIFDSKCPPNR QQAIDLLMWFDKMIQTLNTENLLLITDLTNQLQLVYQTCIHELARQVSFECKERGTLLLI IWNSFVSFIEMLINNVAVNFEEMETSQINTVQRIQRTHQTLVQQLQQEINQLKQQIEQLE VEKSDLSNKNIYLAKKNLQLTNEIKILSTELDEMTYVHNKVSNELLQTKLQIETNSSLQT QATTKIKIGIQEGQSVVAHLLSMKNTMYSKLQKKTSIQFEKVEQLREDEEYFKSGLSKTD IDQLNSTFQYQNMLKQQSKAIIPIITEDKDVQTDQSFSFLKDQIEDLFNQTQTDNQSDNQ NSKNQIMQNYKEKLIQREQEVELKNKFIEQMLELQEHKVNAKYYEQTLQEATQEFIELLK KKKQKIKKLKKSQTQLQNRLNSSKIKQYFFQVQSESGSPKQDMPQTLITQSEMKAPSFRN SIIINQQAALQEDIIESDEQNEEDSIIHNQQQQENYKESNFDQDQFNNQQQNAIKEELSL IKNNIQEEDYPSNPDIQDNQIQESGNLKDQVDNNEINQLQEPNTQHSQNKKNINSSIRRK FSKRSQSKQNIEEVESQNQVQKTISPIKNSQNKKSIQIRSLSVIKDLDDEPKQQYLKRKH SKVIKQSQQQSNSTLNALDQSSDVNDSENLSLISSDDLSEKTKDDEIKKIRSLVSQYGGV NIQKRRFTKPMAFKLSLLSDQKKERRVKRVYSQNTDAANQLLHEVMNVKLKKHKDSIIPI SSIIKIFNTIISDTAKNQEGYKIPLHVSIYDFFLKKYGFKNVAEKKIKQLLQFIFHKKSQ YPKLCLISRLCYMDDEMDEAVYKLIVESIKYFHTKEIQLNKPEINLTHEQLIEYCNEFLQ QLLGSQYITQLLQQLKQNTNKYIIFENIMIQVMNLYFQKKRQCQDILKLIFQAADLDGNN LIEFSEFKNLYKAIHPNIYDKNVALEQFISYADYIEELSKNKMITLPRFAEMAIELNLFS KEQINQYSKGFESLLQEWEDQKNCIKFRYLKAEKFPKVKFTFNLLTDQINLLKNKKQTTH DPQTLWVSYKLLQEKSQRVVLNHEIRECFFDLVPHELWIIQQGYRAIEELCI >CAK66035 pep:novel supercontig:GCA_000165425.1:CT868041:586224:591549:1 gene:GSPATT00006534001 transcript:CAK66035 MLQGFCFVSMYQPSFTLNIKIQRLVLLIYYCQTLTLAFPLDGWNLWTYKDGAFRMTKNLL NMFLIFPYVNEVKSSTLIYVLTLLFSLFNIFMLLTIFLIAKFKNKLSFMLTFTYWYLVIV PKLFFMPQLFIFIGSLSFSQRALLYSEFQFHAFLPISGVGLLIVSFFCFFSSYFIRSTKL QQDNGLIQKFSMLLFIEQCLNVIITCLYFQNRSAFNNVVQLLMMHLYYLSQFINAFYVGT FPPHLSKFCLILISSCQSLLIVITINLASSNNLISEEQILIMWLIFMTFSVSISTILNDR KQIKLLFKQTNVFELQEFVQYVYYMMPELTNKDFKIQNRFIYCIFIQNHEKQCLNCQKIR QSKNKVASKFVFTNVLYCIIKEHLGVLKMVSRSEYEQFEIYYADFLNKIKKQPLLSFVEL KVYQLQNSKPSFYFKNTISYFFEELKKIILDTRVQFHSNHHNFEENSLEIKDIIQAYSIE EYMLPKIIELIDQKIRIWNEQINGLDSIYDLEQLIVNYSSKILSCQDLLEQRLQLDLLNF NQIQKARNVIELRVASIFHLIILNDFYSSLQCEQQISEVLAMENSLPNDVISNIDILQDN LCLIMVSMVKNRGYIKNTKKNYIANYFGLDVKEVESINHINLFIPQFIADIHEQFLQSYL ETAQSPLFNQYQIVFSKFKNEFVQPQQLKLENNFDFYDDYVVTGCLSKVKETSEFVLFDE NGKVLSVTQGFYTDIIQPAFQEELTAQTINQAYIFLFFINIFSILDQQKDNINLSEFLQV ELMTTITIFEDLSKIIQFFCENKLSNTTSLAYSLRKSMQKTALMSQTKTYTTKKQRENIQ TGRYYVNNSVKISDDIQLFCSQFRTQVSELLQQFNKFSSVQYHSKISLQYRTIGKKSAQR SYFIIEILDYRKKVANATSSVISKQFDSIYNNKNFSSVLTDITTSERIVQKDEYRPNEII GNSFNHLLSDREQLFGVLNQSFQESQSFGPLSQRANFISPRQHNLDDELRKLELEFQDIR VSFNGGAMVQQYAGEEKEEEDYNNESKSNKPQKSSSEKRQDKSYKMLKLLTTYKDKGIIK ERDDHQSYSSKTSGTSKTQYISIIKNFQHSKIMITSLKIILTLTLILFLCALSTIVINTQ VIKQQISEIVDSSYSLNAPLFFNRYFFQSYALSWTLLMNGLKIVKHSDFLINQTFSTLKH QGNETFENLGVMYPKFIEIENMGLLNNISIQLLGFPRKTVSYTEFINSIQNTLQYFFQIK YFSDEATDQVLDIDYINSVITLRYNLKYVFDMNKELIQSLDLMSQNIIKDGGKELQSLTI AEICFIFFIHFVSLFFWNKTESQKQQILLINGRLQENSVNDLIYRHLNIKSILQSTDLKK NNWKKQQVCLIFQQTMDNQEAKQQYLDQRYNQLNKTKNDQNKKKGQVGMLNSRLRDTSYS IKKYICYNLVVFLIIASFLLGGYFYQSSLMNNLIPQQKLTLNFVRFSSYLDTLITTGLVL KTQPQVYPGLIAHKFYTQAQFNRYRDPLKQLFHMFLDVYETYDQNLTEIYEGILFSKDID DTKKELLLGLYESDICQMITEKIPFCQYEQLGELNFIQKYSQYSYQDNNRDYLENGLVGI TSSISYFMKTNYDYEIATIEYATDMNELSDLYKTKEFTNIILEHYSSTKETTQQMLEIIL SSNEIILDEYLKLITIYYGLIGSALLVLFAFFYYRLLDLTDDNFIHLRLGLTLVPIEVIT DQYNIQLIKQLN >CAK66036 pep:novel supercontig:GCA_000165425.1:CT868041:591627:599900:-1 gene:GSPATT00006535001 transcript:CAK66036 MDCFEDPYGWEFSRKCTYDYEVGNGKIGQGTYQKIERDYPELFFVGNSIQLGTWTGTITR SRQLKYAVYICTGCKDFCTTPDETTCYTFDHDYKKVDIDKVAVECIEGYYLKMNTCESCS IKYCSKCGTLVSGSGGTDLCEQCQDGYRWSAQTKSCVLCTNNCSKCVTVTVASTEIQQCK ACNSGYIPSLDLRTCEGCDSNCLRCEYIAIQTMEIMYPSRSFLSIGEDIKDWYVKICRQC QQSNDILNYDGQSCVPTQVSNCDVGYYQISLLSDTSSTRSSLDLDFIPYRRDYDSDPVSM CLYCKTDFVLSADGTSCVAVDNAKKLANCISHQISGANYICIKCSSGYSLDTKQGSCFVG CSDQIDNCLYCYSYDSPSDDIVSYYDSSGILLKGDTQKVYSCLICDDGYYADFFSNSCLP CPAYCSSCSQYNQDYNFTSYAQQRVILDTFKKSKYLTTSLEEPYCSKCTTGFTKYGNSCQ GCTKNCVISNPSQVPTNPLSFLLDACEYQSNGAFCGQCFNYYRDRSINSEESECQTCPYR CMACRDRTQEELNRVNYYFSPSNSILEKYSRLCYAFDENISSSQKVTYDTYLNMPLECDQ AAQQTGKCVEQAQIDIKLFCKSSEYTTEYNAAPIFDRGYLFSLNYFYSTSSSSTYATNIT LDGIETLTFYKQLNEKVISSLILNIYLKSGEKQCDFTQSTNFLFNFQKNVFSIRYFYVNI IGEPDSTQDPLVIKLDGLLNIPWSDYLSIKGIEIKALKSNSTHDYTGLNISQNVKTKLTL ENFKITKEYDGTKMVFLAENVDILNWKKVVFSGFKSDDVFSLPYPLENIDTVSYMFDDVT FYQCIFKDAVLFSPTINTNVKSIIQGIGSQGMTFHKCKLTNVVIFGEPEGSAINSGLINF GKVVFKFTVFTNSWFINLKSQNKTMLNNVDIIRCNFTEGSKLVQSNSFFLKNVYSLNSTF YTEGRLFQNSYKVIDDLQASNALHFYSFSNVKIVQSLCLTPICFIKISTPINNYQYNTNV SLVDFYIEQTNSMKLTDKESNSVFSALIFFTSVQKIVISNFQSLNNLGITQLAANNIQSF QLVDGLYDWTQSQYIDSQLNPSNNKIPTSKDCADRKTEFKSYNEHFLYISDFEIGVKIEN VVIRRLLSIDTNFIQLNSWDVEQYNTTSDTVIQDYGQFYDNTTRELIILKNIKVIGNSLV ITESGTAIGSIYIQSNQDQDILIQDCEFSQNHLHSLVSDQLNPSSPVFIIQAPLSYLVLK NTIFDNNRATRVHNSVIYVVTYSLEILNCQFTNTNIRQKDWIYRLEEQQIVNSTSGQKDE KLIIITLEQFFPIYSQGGNIFIKSAFTNIENSIFDKGQAIRGSGLVVETIDSGFINIINT QITRQYNSLLNQYSSNGGCLYVDSSNSKLQLNIERVIMSECVTRLDGGCIYVSPSTQQSS VAISDSKFTNCMGLKTSAIYIPFSTSSPMLPSLSITQSSFSQNNMVNFLRNIPDISTIDT EYMFTRSSVFYQSYGRFTLTSTTFKEFQFVSVMILEEMVEVEINDVTVSNNTIFINSILA ITLYEISGVITLTSTKIIDHYQYDPPSSTSCGNLDSYYVSYVSKNVLSEQTCLAVEDLDS NFKSDGLAIIINQVGDDGNYPIYFQSLDDLYTSLTDLMKYQVIKANYNQEVIHKILDNIE LNLIFPIFTTFSIDENSVFIGCYLSTILQGYVEQFQKIPTGLFKLSSVTSKQYLTIEQIL IQNNKCLRCVNGLVQILQVHQETYPVIELKDFFCLSNIAGYYGCLVLTYDDVLNDAEQSI PLTDYELSNSRRMLGVVEDLAQQKNVVIISKSKFIGNSAKVGGGIAIIGLDSLIIRSEFT DNYASLMGGALYYKSVVYKTDSTLLNIADNTVEGNVAQLAGGMFISGGSIGNVSSIEVFF QNNQGQLRGDNLEEYPQSLTLSMKNNELMKTITFEDSKSTIIDIISLDPYELYNYKNTTT YLLLPSGQAIDSYKYYYSDTDEQVPYDWKFRIINLDRFKQPMSNINSTESCTLTGRIMDT NNYNTSKEFLSNFTNTQVFYYDQTAGAFDLDALVVTFDPYLEDNLYLQLRFVCTPIKIPV YQTVSPFKIQSYNQNYALYVNVKTLPCQLGEAYSLQQCKACTKADKTYSVSEKQTQCQAM DSSTMDDVSPVGIKLKEGYWRPEFDSEIITYCLNLPLNCNGGWTPGSPSCYTGHMGALCE SCDIYGVRDESYSTSAKYKCGPCANTYAVNAVVITALSFVTLFSMILSVKGNYQMLEDFV KTQNRIAMGILVSPSQSNLAILIKLFTNYFQILSAISTFQINLSEGITNATQVMGNPTQS MAYSLDCFLVDMTSIDILYFRLIWALLMPIIYLAVFLFGYFLAVLLKQVDFKEGIIYTAF IYMFLYLQPTLVGGFIALASSREIGGIGWVQADVLYQYDTDTHYIWLAGFVAPMLICWAF LFPCIFMYLVYKLRNKLDDFESRKKLGYFYNEYTKEGYLWEFVKIFEKELIIIFLTFYED RVVVKGLIIFLIVFFYGGFTIRFQPYSSKRLNFIDRLSTAICAASLCLGVLIYSAIKEDL VYLEIIMIVIVGAINFAFILLMVYYLFEGYLIKFQPQLDKIRDELRIHHPEIQEKYPWSR KMLYNQAKMNEKVKKLWATLRYQTRRGIQRKRKDPQAPLFVNENSEQPVLMPFFVPEEIK QCINLQYSIRKNNQLTRFTKLSKNIS >CAK66037 pep:novel supercontig:GCA_000165425.1:CT868041:600336:603003:1 gene:GSPATT00006536001 transcript:CAK66037 MDQPQSPSNTMFMKRLSTIKCTAPILIALSIVSAIFAFLGYFKNNNFEFLILAIFDIILI PILILTLYFVFTLQLDEFGNPQENNTSYIIQFGLQSITAIILGIIITIIQYKNHLANIWF VGLQIFKLVFLVYLINKTKQFSKKQNGIHRFTLAFSVLLNILFLLFAATNFKFLVYLINN QVFTLDHNNTIVLFMQYGLFALLMATILISVILIFRVQNYFLIVAFLIVAALFIAATANG MMIRQYSILQNDLSTQKGCRYALQSLGVQTVKQFLTCSEKYLNERQSPYLPCPQENQSYL WESNMDQIACINLDCCDSLKDFLSKPLYHLTVWVNIIVAIGIIQAFNTAMLSKFDVGNKE QNVLTDLLMLLLFFGLFFGIIAIYNSISPQQLQLQDQVVSQPLLLNQLTVLDAPTYKYFK PQKTFGSQMSSTDICELATNLMLTKVNLQPKDDFKKPGILLGIQGMGGSFLINNEINLND FKILTTDELITKAFPKANKPGQDVIIVEGSLASVSHFIGSCLQFCSDEPETADFTILQEF YEMENDKKNRVLSIDPQLQQQFSKIVPYLQITANVQNSEDFTSIADADGKLFYGKFVYTT CQVMDQVESPFLSAISDLNGQLNYFRVATKQVYTLLVKKAGYKNACALVDLTEQSPKTNI YVRMVKEVKKHSMKITLEWTSKSLNLDLYGQFKGENFICLTGSISKSCGGMSLQTKNERD QHIEILTIDQIQPFTYLIFVKRFMERTQALDEKVVNVQDWIESNPVVTIYVYELDYPLVQ YRLPSIPNSFRDKIDFTWLAFQFDGQVGDGPIELKTYWSEISNESIKNGKSYKNKFWPSP VTIENK >CAK66038 pep:novel supercontig:GCA_000165425.1:CT868041:603012:603897:-1 gene:GSPATT00006537001 transcript:CAK66038 MQRIALLHTNFCSYEKVIVERLEQEQIGLIYLNSPNDLNSLSEPMKRDLALAIQELDSDS NIKVLILLSKLEKLFCAGANIKDISKISLESQLKGDIFQNIFQVLESIRKPLIVGINGVA LGGGLELALNGDILVATEECKLGLPELKLGFIPGLGGTQRLAKLIGKTNAMKYILTSDSI SAQEAYQRGLVNSVVKKEQLREECINIARKISEKSLYTLIAAKAAIKNAEEMPISQANKV ERQIFNSLLNTKAAKEGVTAFVEKRKPNFRNI >CAK66039 pep:novel supercontig:GCA_000165425.1:CT868041:604006:604907:1 gene:GSPATT00006538001 transcript:CAK66039 MQTPPPPPYGGYPQGQYPPPPPPAYGQTPYPQQPGYQQPPPPPAGYPYPPTPGYPPPVGG YPQQGYPPTPGYPPTPGYPPTPGYPPAGYPPAGYPPPQPGYVPPPNYPQQYPPQQYPGQY PATAIVIPQGQYGYAGGNVQIKIRPNCYLCGGTGFYMKKCRRKQCKDCLWAMGVCLKCNG TGWNKKGKVCKCKTYKIY >CAK66040 pep:novel supercontig:GCA_000165425.1:CT868041:604919:606173:1 gene:GSPATT00006539001 transcript:CAK66040 MADVHSQRKSVQKQAYIDDLFTNDNELDDDKDLIKQYNTAKRERKTIEAHKQLLDNRVAL LKQEEIRTLKKIEETRKKALEIYYLKKKNEEKLKKREEEKEQLQKKQEQQQQIRKQQERE HKLLIEKHRNDKVQKAFIIKQQTRHNEVRKMSSQKQSLTTLKQKNQIIRESRDLNRVKER IVIEKREAIREQLGKKLEFEQKLKDGKQKEIEQIETYEMDLLQKLQNTQQMQKTAFEELE SALTMTAKEFAEKYLQPKQKEDQNKDLSNFNTDEDGIQKHQDRPNMDESDKDQSSKISIE QINEIHEPNIQNDSNKDDNGDQTDNQNQGIKEPENKQDDQNDQKSVHEEEGNQNQQNNQN EIPNILDSPKSQSKEDKEQQEEEQ >CAK66041 pep:novel supercontig:GCA_000165425.1:CT868041:606968:607522:1 gene:GSPATT00006540001 transcript:CAK66041 MKLIYLFLCLVIHNVETMNSFAKYTLTQLDEIKQKSVIRGEYKDVAQESAGAIVFNSRTV GYVGGQKNSSPLRDAIYYIISGGYCYAGMSQFIIIDLLQTYQLNTIKVWLYDRDPTFIRT YDMQLFISSSDTNDQLIYESNISKSLTTIKFPDTQIKQIKIKNRGGSTIDQWLILLKIQA FYAF >CAK66042 pep:novel supercontig:GCA_000165425.1:CT868041:607886:608370:-1 gene:GSPATT00006541001 transcript:CAK66042 MVVKSLAHKRIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKAGY RGDKKTRYLDQTGFRKLLITNEKDLELLLTNNRSFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKIRAEEKKE >CAK66043 pep:novel supercontig:GCA_000165425.1:CT868041:608742:609563:-1 gene:GSPATT00006542001 transcript:CAK66043 MDLDRYNYLQRRDIKLAEIIPDQMLELMEMLIHWIFQVGEQNNLEIKTIELAAILSKLYL SKQLIDSDRVYLIGIVSLMIAVKFNECQNKIQMNIQDCVNQCQSKYSSKEITDMEMSLLS LIEYDANITTITDYYDGEAVQIDLVLFVTLDSEFLYFQKYELYEAIRNFYSKDTSNLSEN TKNIIKRISAKINQLTANDENNTPKIKRKTIKKKKFRRSRFYSQQSITL >CAK66044 pep:novel supercontig:GCA_000165425.1:CT868041:609944:610539:-1 gene:GSPATT00006543001 transcript:CAK66044 MQKTILIIYLLFVIANSATTYSDQDLMILIERSQIRGDYQDVVNERSGALISNARQLTFY GDQFNNSPLRDAIYDIAAGSKGYVLAPVNGKIQLDLLQEYEINTIVIWFYDLTVITYKFK VTLIYPDDSQAIIYTNSSAVGGIYRIPFDDSLVKSFLITDISGTQPLQVIKIQAFYAF >CAK66045 pep:novel supercontig:GCA_000165425.1:CT868041:610591:612371:-1 gene:GSPATT00006544001 transcript:CAK66045 MEDDSRIINDDESCITNDCQVNETQIKQYKKVKLLGVGAYGKAYLVSSDDQDEIKYVMKV VPQSVSANTEASILQNLRHDNIIQYVETFIDNKDRLCLIMEYANNGTLGQYLKSRTQPLP EAQIVDWFTQLCLALQCVHSQKIIHRDIKSENVFLHEDKIKLGDFGIARSVEQDLATTFI GTPYYISPEIIQNQPYSYKSDIWSLGVLLYEMCTFKYPFTADSLPALANKIMKAKIQPIS AQQYSQNMKNLIQQLLQLDANKRPTIEQILCISFNHNLENALIQNRIKQLNIKQQQIVKI PVQPMQKKQQHQVVIKNDEYTQNKKLSREEQQKFMREDIQKKKCQQKPQEVVIELFGQPK VKQDQPQQQINQIDKKLPKRRDIPVEIYLPFISRSEFLDQQQQQQQQQQQQQQQQQQQQQ QQQQQLTQQSQSLDVVTNNNNNELQLERQTSENSLNQDLPNQKLEKQFSKKQENLKCVPQ DTQVAQKPIIKLSFERAEKIRMNLEQAFGTDSFLKVYQIFRGLREQLSVDEIYQQYGPTY ESLIPDINQQCMQEQLSNLFILLEFDLEQ >CAK66046 pep:novel supercontig:GCA_000165425.1:CT868041:612445:612939:-1 gene:GSPATT00006545001 transcript:CAK66046 MKEATNISDEKRPLASIPLQTFLYFDYIFTVYKSYSYLVHLFHNRNNCLYLQRLWFILSS KQNRNRNIFAVSISILPISSIDIRINRQQNRISILNFMVLGYFYFRFVLLIFPCIFFYIF FIVLQTYVVILEIIINVIGLIFIIFELLFSLSAFLTFKNYEKQQ >CAK66047 pep:novel supercontig:GCA_000165425.1:CT868041:613357:614048:-1 gene:GSPATT00006546001 transcript:CAK66047 MSQLSESSELFVNCDEEVTVICKKLQQILTTIDIQEDKNFALKEAQSCIDSANENLKQME VELQGYAKNIKDNLKQQFILQKRKLDEMNKVYTQMKSKYETQTSKELLFGKDYQRQKLLK EQEQLYDQNMKLQDAKMVIYGVEKEANDIQLNLRRQTDKLSNNIEKQQPIRDALSNSYAL IKTMQNRIRNNKLVLFVVCGIILLAILIILFMHM >CAK66048 pep:novel supercontig:GCA_000165425.1:CT868041:614656:615360:-1 gene:GSPATT00006547001 transcript:CAK66048 MIVQLNIKLIDMNQKIKKHHEVQSSAANQHNQYLDADEVAELIRNVNPSNLILPFSILTS TLEWYVRTISDEIYKLSFLNQKKFNRAKIFWPSQYENQNDLILQYLNQFDGHDLEDYFDV IIKIQMTNEKALILNCFLNSFTFQVEEKERNNFSNQKGLELFYERKEVIMIGCENDLSAL VDLTNLLCEKYIYQSHYQEQREIIKFSQQELYKRLIPILQEETLVVLYELYKDL >CAK66049 pep:novel supercontig:GCA_000165425.1:CT868041:615682:616221:-1 gene:GSPATT00006548001 transcript:CAK66049 MYKFYLSLLLITFLISQSYSQVYGCQRVKQLKSILRGNNKNVISYENGSLLASRKQNLFN GSGKSTGILFRNEIYENSLDLKGTYCSISYSLIINLRQNYELNNLILWLWDGETRYYNLI VYAIYSEKETIIFDSVSASAIVHIKFPVQIVQLFRIYNRNGNTSSQSTNIVKVEAFYRV >CAK66050 pep:novel supercontig:GCA_000165425.1:CT868041:616959:619842:1 gene:GSPATT00006549001 transcript:CAK66050 MSNSEGVSLLENLRKVITLVDQLRDIGLNDYIKLPRIVVLGIQSAGKSSLLEHIVGIDFL PRGSGVVTRRPLELRLSNAPASVCPTPTAEFVEEIKGKKFTNFEEVRKQINELTDKVCGQ AKNIIDKPIILAVQGPNCPDLTLVDLPGITRIPIAGQPTNIEEITTNMAKRYCEDKSAII LCVVAANADMTTSDALLLAKKLDPDGVRTIGVLTKIDIMDQGTNAFKMLKGEEVPLKYGY VGVKLRSQQEINDNIPIIQAVLREKNFFANHPVYSTIPGEIFGTQVLTRKLTTILYRRIR SFLPELMKEINNRVGKIQVRLDVLGPGLPIEDSDKMHYIWKLIHEFSVRFRNSITGSYQK VKSARKNDFFQVPAGAKIKLLFQELYDEFNDLDYLALKKYSEDDITQVIQKYSALTIPGF LPVDAFYALLNPELKRLQQPAFNIIDEAYSILEEYAIAILDDQLQTIPSVLKMLEEQVLE IIQECKQNAQNSVQDILDAEMNYVFTNDFNYLAGKQYIRFGKPAKEAQPVKGQYMVAELK NKIEHYFKLVVRSTRDNIPKLVGYFLVKGCQTKMLMQLQQNLMQNQYLLQAISEDQNIVE ERKKLNKEVETFRNAQKIIKRDPDLSEYILSAQEDLAAEQQQQQQQQQLQQNKPQQQQQQ QQKQDPKTQANTQKQEPNKAPIVQTSNDTSKNSMSDAQQQKQQQQQSQQQQQQQQQQQNQ QQTQQQQSKQQTNLFGGASKTPQPQVPQQNANPLQANKPSSSQTLTQQYNQQVDKNVAAF YEDERVKEQMKNAGGQAAKAAVKSQIPGAPDWALGAAEKVGQQVATSDAAKQAAINATSN QLKVDDQTQQQNQQQGAQPKKGGLFGFINKS >CAK66051 pep:novel supercontig:GCA_000165425.1:CT868041:620022:621333:-1 gene:GSPATT00006550001 transcript:CAK66051 MICPYKCDEDCIETLLTDGLLLSIGCVVISLMISLYLIIRHLMNFNMPFFQSKIISTKII FILLVILMMAPFYGLISIMSMEFHGLASYFELVRDIYLAFLLFTFFYLMFSYMAYNPEDK QLYDDRVYQTMIEHEKEIHHLWPVNYCTERYLLTTKAKAKYFTYRCKKFVLQYCVVKPVL TILIIVLHPFHHKLYAQRLMSSFEFIIITSETFSLYYLILFYYALKHPLQPYKPLLKFLI IKVTLFFTFWQSLTLSIFEEEISSCFEPDEAKYSSATIISAIENTLVCVEMLCMTLASIF AFAYRDFISEDENKVGTLGQVLTDNWKAFQHDFRLIKPKKFGFISKVHEIEMYNKRTSEN QEFEVTFTGDYQRLSKAIDVQYI >CAK66052 pep:novel supercontig:GCA_000165425.1:CT868041:621593:623071:-1 gene:GSPATT00006551001 transcript:CAK66052 MRKQPLSSRSSFSCNPKGRDKPLNESQVSNLVTENQKLLQQNDKLIQQLEHLRQEYESLA TSGIQSIKSQRSKKIEFEQSSEEMSKLKIQKEKLIVDNECLRRTITKLQYQLDEQNKYIE NLQRSQNDYEQLKQRLEETVQVVNDLEIQLQEQYQQQKQFEYNQKVITLSNQDTQDHLSS HQKMNEIQISQLKEQNNKLNKLLEERLVQLENLTKLLNQRNEAYNKLQESYDQDITDMRQ HNSKQKSHSSDFERKALQIQVHQLKQQVEKCQQNNCQHKSKVQQKNDEEQQKIIQDLQSM LKQKEHQIKVLEQQQEELSQNAKQIQTAIASNNFANNQNQQILQQQVQTLIKELEGQKQQ NHKIIYDYNQLRNLNQQLNEKLNFYSQSQQKMIHNYSHSFVSSPGRLDTYPIKTTSALTN RTNFMQNAPDSPFRKQKLHLLFTDDQQKLAPNLSTKKIVQVASKLFEGDQNQQPNKDRFI LHD >CAK66053 pep:novel supercontig:GCA_000165425.1:CT868041:623901:624436:1 gene:GSPATT00006552001 transcript:CAK66053 MSLNSCEQQLDLDDSCESPFSQSPKSKRIKKFELISPKKNVGHWTKEEHEKYLYFLEDHS DIKKNNKIFKSMSEVIGTRSPSQCRSHHQKFNPQSPLVQRKTQKVQKQTQSTLQDDLNLQ MDEESLNRNMVQLMIYDEDDQVYQTPQFNLDDFF >CAK66054 pep:novel supercontig:GCA_000165425.1:CT868041:624935:626305:1 gene:GSPATT00006553001 transcript:CAK66054 MVLTELGQSIKGVLNKLNQPQQINEVVVDQILNEISIALQKTDVNESLIQELRENIRLEF KLHLLEFINLQRLLQKSIIEELTKLLQNDIMSFQPKKGQPNVMMLIGLKGSGKTSTCFKY AYYFQKRGWKVGIICAGSSQLQEIDWVKQQAKKIKVLFYGSYFESDPVNATQDGVQIFKK EGIDIILIDTVGKYEKETDLCKEMKQMEYMIQPDNIIFVMDSSIGQICQDQVFVFKSAFN QGSIIITKVDRHSKGGGAISAVVATNTPIDFICNGEGHEDLLEFQSSQFISKLLGFEDLK GLLNKLNSFVSIGPKEKQFTLRDLESEVKKLLKFGSINYFFSKCLMGIPSINVNEKEQTQ QMKRYLYILNSMTSKELDGQVSVVVTLSNSRIIRIAKGSGTTIEQVNNLLKIYKSFIKTS HTPPKHNFKELSIIEQ >CAK66055 pep:novel supercontig:GCA_000165425.1:CT868041:626492:627087:-1 gene:GSPATT00006554001 transcript:CAK66055 MIFFYLIGIVQGLIPDNCKWTDPDGFHFDINHLKKKDNYKVTSFSGAMSFEFNFCTYGVQ CNHREVKNVEYQGLLRFNYFIINATQQAQDLNQIFGMIVLVQIQNIKMVWKTLQYSVQFQ IQCGDETPFKQIMTDSPCNIMLQTTHPMACRKKESYFNYYVFLIVLIVAGLFLMKRKKKQ EQGYVLV >CAK66056 pep:novel supercontig:GCA_000165425.1:CT868041:627529:628540:-1 gene:GSPATT00006555001 transcript:CAK66056 MIEKAIAQIISQIVFGFKYLHKVKQKELKSKLINNNNMQQKQFCHAFFLQRDDEIQTSNQ IFDFTEQLNHSLFQIKIKYLNSLNSRENGMSLLANQLNLKSRNLSMITIKFQKSNIFSLI LSYSSYDRYFLISLKASKHQQNIYMEVEQQQQPVSIRGLNLSRISQSSIQMNDEEDFYGG HSGRSIELSIRECQEVNEFKKKSLQIELSIVDYLSSMNIKMKTDPKAKQDRYYSNLRNNN KRRAYTTLEIERDFSDNTPDSTIKSSQLSLKVNSLLERRKTQVSFASTNQSISSLQAISY TKLERKVFKKSKQYECEEIDSIISNQSPY >CAK66057 pep:novel supercontig:GCA_000165425.1:CT868041:629297:630482:1 gene:GSPATT00006556001 transcript:CAK66057 MKKIIKYFKDFQSQTEFEIVLRRILESSKVQLVNELKYQVLQEGFNGQIEELHVQQSFKI LYKHFKSCRNDVASLKILIIIHRLLLSCRDFADHIDLDQVLSYYRQPIQQLPILQQLYQN LIFQYRDYLKGIAERNQYFLLCSGKINDFYQMDFKNQLASQIVIVCLLKKLKLFIPYIEN ILVKKSNSLIQNLIMLILNDAKNLYEFIAQFCFIKSMWLVKFLLIQIVSWNRLQITPQIC QCINLNYWIIKLFQLANLAEIKDKLEFPQIFEINSEQNKEYELYIQLIRNKYEMRSPDSS PKFQDLTQLKKQEEFQIILLKPQQLNTVLGQSERPLEYIESNM >CAK66058 pep:novel supercontig:GCA_000165425.1:CT868041:630770:631558:-1 gene:GSPATT00006557001 transcript:CAK66058 MTDRSRTISLRKQQILEKIQYNFQKIDPAFQVKYFIGENSNLVEYQMNKWNRQSRQKTVY EQQIEKAIKTLRHPFRLESYVDKPQFITPSSDKKHKEIKQNEEKQQITRSPIKEETLTRN CVATPSSPLKKLFTQYQDLVKVQYISSSEQMKKLIQQYSDSEKPMSSYRNPEFTPSRQLN SKRKKKGINQFSLIPPSPIPRNREYLLQKNTNNLILLDELQDDLESFRKSLGEMQKFKNL FPKRQQKKSKQDLLF >CAK66059 pep:novel supercontig:GCA_000165425.1:CT868041:632011:633619:1 gene:GSPATT00006558001 transcript:CAK66059 MPISESQKSSSLCQNSLKKLTSKLRMSTILLIYTFIILGISLAILIICQNIQLSMATDQV QLISQQILSLQNKKALQIQSNDAMYVIKFAFYMITTKMSKLVFLNYWMNTVDFRIVQELQ HCNIMQQLNQQIQISSNCFTTIGNFSLQGADMKPFEKLVNLMHIHQFTFDFYIVSQQIYL ISIVDNLFTVYYPTKPLNETVEAYQQQWFTNYTQELKSTKTFIPYKISKLFQMSNYNYLL AAYSNILFNPKLQVTGIASLLINFPKLQNFLYMDQLSLMVLDEKGTLVYSKSYIDHQMEN KTDFIYNETLTGFNITDWEEIKKSINQTTYPIYKYNSLLKQNVYIKASQLPQTELIALTL QNNTYEQEIASVLDGQIQKIVSWYANMSLYAILVDIIIILLTILPLRFLFRSTNLVLDMM IKYLNGKFDYKMKDEVFSHQFLQSNDNSLSKLYETYQKIDKTLNNSQFEKSEQCKIIESF QCLKNEKIKLIFSKDALKDKEDFIPLSLFYSLIKVHQEDI >CAK66060 pep:novel supercontig:GCA_000165425.1:CT868041:633976:635334:-1 gene:GSPATT00006559001 transcript:CAK66060 MGNSVCYSQDDIRKKESMPLRTKRAMEIKKKLKNIVLKGAKELENGSEKTEMLDYFLDFF QNKLLKVIQKYEFPKPGKDFLQSGYVLSFANITDITQQIHKEDGDVDSQLLKSLMDDIDE LKEKSLFYLILKEFDRFYKQLVLSKKDKLDDITISPNLHHMSDTKSFIKTQLPLEIQEKQ QTTEFEDCFFSKRKLSALMKEDKCLIDYLIISIINLFEFLKQIICLTYQDIIKEFISLND IQKYLQNSLFFQKLIQHYIYDKELCIGQLIEKMIPLKYQNQQIQYEGQILERQQTLDISL YPEPGQQTMIKEFEEDMFAHDFGRSRQEQYFRNSSRMSIIEMQTKIMNAIEQIEKENLPY KEMFIILKQMLEEVKPYRIFLLIQKLSDDIVDIYLQSRPQDQQNKYRELILAEDNKLSII LFLLHKFQESSQYL >CAK66061 pep:novel supercontig:GCA_000165425.1:CT868041:635801:637744:1 gene:GSPATT00006560001 transcript:CAK66061 MNPQDQLVKITLIKVPDGYQVEEKIYTKIKIPKTFLDQQKITQPKPTQKQVVSKPPSQIK QAMPPQIQPVNLPPPMPPQNNLVQQQLENIPSKLIWNPSPAPQNPHQIKQMPPQNQWFAQ PQLPQIHQPPPQIQQPPQPQITLPIQQPQESQKQEIRRQLSKHSLIIPSCANWFQMDSIN EIEKDHFSEFFTNQHVSKTPELYKKYRNFIVNLYRNQPSTYLTTIACRKVLAGDACTISR IHGFLQYWGLINYSVDPDTCPNKVLPQQALTKSLYRTLQLNAKDELDEKSDLNQYEITLI NAIKIFSKRYRPGCSFCGILCGLQWYAEKEQTQKEKVDQDKVENVKEVENKQKNSIKLDL CMKCFSNNNFPNSLTSEDFQLTNLEQKFSQLHILSDQPRSILNDQEVSYLISIIQETSDS NWEKLAQQLNQTFSTSHTEEELILHFLQYPIDHLIEIDKVIDSKECLEKLSINDVSSRIA FEEPNVFSDQKNLVSFHLSIFKKLLNNLNKRDSEQFLKLDDVKSNSNNNEDVIEEEKRVI QMSQETLERAKSLQKREEEKLNNHINLLISLQMEKLEHKLTFLEEYEKLILYEKQQLEIC QKQTLAERLVIVQQKLQYYSDNNQ >CAK66062 pep:novel supercontig:GCA_000165425.1:CT868041:637831:639020:1 gene:GSPATT00006561001 transcript:CAK66062 MENYQDEQKEVGSIQQLQFNYEILLKDGISNSNSSIDEHQKKISVEKSTRNSIVTTDYQN HVNQLVKQCEILNQFQLNNKVDGKNVNVNFQNFWVIEQNIVDHQIAEYSLKIGLANLLLL KSNLKGSLYCLSKIISFRNTNITQQLIDLDWSLLYLDELTEENLEFLIQNANLKCLQQLL FSTNKIDMILNIKSDYSNKILKKIFTCLDSYCISYNYAEILYQKKVIDRILQYLTVANQE CFQLIGSLCLSQNAQNEILETDIQKKLFLNLKSKNPQIVANSYYALSGICFNQSYLQLKF VDSELPKFMKSHLSQSSEICIIDALSQLISNLTYNNDQVKQVLGDFIPNLIDIVLKITKR LICQRGQISLKGNLQSYFYKIQCNICL >CAK66063 pep:novel supercontig:GCA_000165425.1:CT868041:639948:649001:-1 gene:GSPATT00006562001 transcript:CAK66063 MNFRSSHSNQYQRFITKLPTKFFPNLIPEIINKTGKQSKAEKIEDFVEIFQDYFKYLLGI EKNEEELLHSENNIASFDDCLSQFDEPEVVVSLPNPDYISEEPQLQQKQYEIVDQIVQAL VLEQKSIQDKLFLKAIEIAFIQLSDRKTYSLFEQRTRGLETIPNDQRNAMNLLGTNQQIF DQSNQFCGFIENNNNNRNSQDFGLCQREIQIKKAVNQFRQDLLELASQNKWNIQMTAQQI QIDFQKIQQQQQKAYSQGEYLRLIQSQWNKTMNETSDLLTLVRNILIVQFSRKGFMVRSI ISEDGSKIYLLLYMPEQMLEIAAENCQLSKKLCFCFSDLFSLEPVDKQLRPLRLNGRLWK PDEYSISSYLQYLRPLIIDQIMQINFKRLARDVGQSNINNELFEYGKINFYGDQEGPTDD EWTAYYIYLVHLNKQIEIQRKKYFIESDIALILDKQKSAEELFALRTGQKPKGYFEFTEE EQEQIQILFEKIRELQGQQNKIQLSQKLPILKKIKLFKQQQLASNYYLIFQEALKVANST GQTLKTIWDRYYQQPFELYIPFTLRQHSQSIKNIAKSQLKWSRYLSKENHQITLFPTNER LKLAYFVLSTTIRLDIFINMKLINSVFSLHNNYELFGISNNHQQEINSEFYMYEQQPFDL SGKWNLNYLYPWSMPISKICIYFGEKIGLYFKFSSYYIQFSTIMAALGVIFNMIMYSTNI QHNDISLVAQSIFSILIINLNCFLTDYWNQTQFAFNIQNGQNNNYKYNLIRSSFKGEQIR SISTDQLNFTGIIHFQFFWRISISIFVLILIFGSDVCITIGLYFFNLYLESIFASTSIQF RNFEIIVTAILNYVIQIIVEYYYESIAIVLTDFENFQTVEHYENSYCLKKYALICFSQLF PLLILCFLNGELNLKCSQNNCIGQAQYFFGTTLLLTIINVKRRIQQIKEKSYSSKNLLTF IENQESKTPFQQTQEKYGIIDEYMKFFLLSTLINMFGGLFPLSFTLFWIWMILQFQIVKF KLLYQIQRPWPKGDSSLGIWFEIHQFINFISLLSNCSLICVYYYKYLQDDVIQLFVTLLF YNFFIKYITNTTFLSPPLILEYIVKRGQYIYQNNIKILTNRSSRREQENKVLLQRCPLYK VFGSNGMQRADYFETISSDDEILDHQRKKIQLISQRLVEQETNYLISKAEIIQNEDHVKQ TQSPINSNSSKISNSSPLNSVSSPIFTVSSSRQNNRMKSIIDPFESTTQIQNSAKEIQKL GKSKFQLMITEIIKKLQQVDKLDYQFFYNYYKKRSINWAFQIQSCQSGPKQLIRDRTIIW RFFFRLQLLSSYNMLWNDYRLVQSQSYFRRKQKALHNLDYKRFQILKQSFENQNKYYKAQ AALKFSKQFRQFGNQLTLEERKEYNELVLKYNKFIEKKSWLNCRKVTIFRYKGLFFRGFR KQSIRKQAIQFVLEYYEATKKLEEAQNGSDIHKKFNNLVQYTQLNQYTLELFIELFNKLE YEQKTSYIFPSVNGRIQQKNYYLNSLKSEVFKNIIDKSKDTYIFEQYSTKLEEFVLQYCL DEMNQIPNITMKKHLHDLLWIVEVEDQEYLMQFFQIKHGQQLQFLKHYNNGLGVFVSESK NYIKLLNIIDQIDDFLIKAYCVSLYPCLDCKTLYQVIKFRKKHSLHYTIDQLMQFLYANL IILSKGIVNSLSIYTYALSNNEYMILNSILQEDNPVFQLVQVILEMILLDPIEDLGEVLK NIEHPLLSFLMDILYNDLTASQALENMQKQDPFSDINFQFNLNLKDQSYQFYIENMKHQI NFHLRMKQFKKSIQLIQEAEDYLAAQHNVQTINFMEKFLDYISREIQTYILKPHDIKKIL DTLLIFYFKISALFGLKQQIEPENSQIIAAIKKCCSQLKIILKQLSFNIKLENLSEVEHR TILKQKFKQTQDNQSSVSSFERLNLISTLRKNSKYILILIQFHTQIQRYKNQFTLIKAIY NYFNKNFILADLQYIQIIQREEELAFREPIPTFLNVPLDHTPGLINLKQSSPLDEDNDDI GNEEYQTKSKGIIDRNTLYCTQLTYFKYLHLINLYDNRNEEFSKYYQQFKQIEGAYIPVY NFYLNQLKLLNKEEIQQQDQEYEEQCDVGKYLIIKFKKWKEITSHQQVDLLESDDLELLK FQIMIFSNLQTTFKNRKILQHSMQLFTFSKICCYNLLFRIKLIQFILHLDNVQPVNHNLS TQIQRTTFCHQNQQSFIQLLKQIHQQIQLQQDSWFFHSSLEELQVFYYLSICFAYSPKHL ENLVLEENEISKHATNQIKKFQFKSKFYCQTLKCTQINNRKGYQLQFDSNLGKQQQQQLF CQFLNCSLKQYHNLRGSYQQISECLNDDIESSIFVLALIHNYLQLQQFDLVDLMTQFSLR LIQCEAPIFDKKHQGFEQDLYVVESLYKSNHNCIPYPQKYLFEYTDTFYNFEDIKFYAQY ILFNIMMNQNYFLIQEIVTKLINTLKGNAIYCRFIHIFEYQLDAIQAMIGKIDPKKQRNY QDQEKIESDLNLNNYLERTLIYAILALLQCKYHLNLFDYENALKYSEKVLKYVVTFLKQE QTILSIINRRLIQEYPVHTFFKDLKIMEYEFLIDEIVDSDYIHIFNKDFINEAILNHIRI LINLGKNVPKVNILFSLQLSQIHKTHQPYLQMIYAMYFNFLLKEKDNKLIEHLLVDEQNQ IGQIRKKIKDEEMKYNAYSSLKLYTESDAGFIHQNLIFLDFQLNVLSNSKNKVNKKLINN WILSSTQKAINGYQNIQQTFSYLVHPHISIIYLIQYESYTFLNQMQKAQDMLDLTNESLS GWYEDNKHPIKGIFLYHLGIHDRWLYSQYIRCLKIIISLNRFDIDEITRIAQGLIYQEKQ LIAILDSNTQNRTFQIGDLINEYLTQRTGKKQLQISEISKNNFDSQSILDDVLEKSSLKT LNGIQKFFEALAIFYQIGTEHNCKDLIKRLIVESN >CAK72865 pep:novel supercontig:GCA_000165425.1:CT868136:684:1778:-1 gene:GSPATT00038822001 transcript:CAK72865 MNSEIEQKNESINQFKQIFKRYQAQISLLFIIVDQERNDIVKQNFMDIYSQFKKFRKQII VLLHNRFDEQFEQNSTLKNYFMNIAKIRKFYSLSNAELQDQNSIDEYFKKILEEQQGDLE IGIDMKDTIFEVRDEKKSEIENHAFELAFFKSKLEQLQDSVHQDDQQLLLYQQKIAELER SKIRNLNQIREIEKEISQKQRVIEKIQKEAQLKSQTSSQVKISQQQGLQITNQNYGIVNK KDEQFLKSEIQTLEIQRKTLSDRLDQCLKNQDMIDQQKQTNKQGKKSQGYEKQLQFQIED LWKQIEETSTKIRESNHQLEKLKSDFNQNQQNFQQTTSVSNYRNSVNINQISSQYYDYQG NRWP >CAK72866 pep:novel supercontig:GCA_000165425.1:CT868136:2392:3825:-1 gene:GSPATT00038823001 transcript:CAK72866 MSIVLLGQIGSGKTTLYNKITLSQEKIKAGGNSVTMSVFMKKSCYGQGFSILDTPGFGSE SKKLDHIAGVLSALSEGPINRIVFIVRFTRTNVIMEDVKKILPAFIKYRHMITVIVTCWD FCEKSEEEKNKNDIQSRLASFKINSAMFVGLNDTPDSICEQIDQIIATSKAENINLTEND IFSNFDMTDYDEGEIFEIELLKGESTSYYHIFFEQAMFKIEVNNIPNGLQADYFYAITQF AKKTVDDTMKKFETQSIDIIDKLKQKDQLKAFQIHFQLKSILFKEYEYIVEAAHKKMNAG NHFSKYIRKCYYCGEIWFKAAGCEGNTVCGRLNENHLDDFIKQEQAPQKFIVTYDREKLE IKVDESVKPKVVVLNEKNKQSLMTDVTKIKIEEMKLDNETFRVGGAGCKKVIDWSKMSPL TEYEMNQILGILDIKMCEKMVEFEKLTLEKTKKQQSQYKNELDQKIKLQKLNMQKAA >CAK72867 pep:novel supercontig:GCA_000165425.1:CT868136:4649:11134:1 gene:GSPATT00038824001 transcript:CAK72867 MKYLFQNICYLILQTVLTQTLIDTFNQVDIKGIEFDWSARTLPIGDQQLLVAQNIRGYDQ LFQVQLMIIDIGKNEIVRQITIGGVEESKYCPDILIMNNQIFIAFVSEKGSALNVVMKKF SLSLEETNVELNLGATIQEVKIIQKIQFIQINQLNKNLLTVLWYSQGKEYPSKQWNMILY NPTTGVKGEILILPDSEFVSVAQNKLGIIAIAQSNNNYIILSKLFEGKLTTRSIPTSMYG SYQQKIKIQSLSSNEFIVLTWKNSYDFTIRRFDKDFEANQSPQRIQTKEFCTTDRIQQID VSFTDYQLILVSKCSEEIKIQQVDLLNVQNNKYITKQYRQIDVDDTKQNIQSIYIDQINH NKLLLRWFTPQFYYYALSVQLIDMGLKVNECIQNCNKCDNLIHCQECKQNYLLDTIKNRC NPICPDNCLYCSDASYCDRCKLGYQYTKENLCVSPTNDFMELKVSRETESKGKGKIINKD FFIIVIYSVYQSDKQQYLVIRKLDNQGQLLKKVELQQDQQTLLDYDIADSFDDDVFFILI KWATVDGTTKTINYYYYLEDLTIKGQSLITEGQPIIQGDQIKIINYKNQNILFFYFVKDK DYYQLLYRKMDQNEVYSNYSSSIFYYQGNFEMTSYKNSINYHFITIRNLDYYFSCNILDN QLQCNSETNKDTSEFFSQKIIQIDQISLYLEHDFYLNRQLYINNTDNYKVQLTQSSRYPQ NHTFIQIGQNDIIVLWSGIINIQQSNPIYHIYIQGFSKKSNTITNLKSFTCSPACFKCSE TGVCLQCKNSNYKLQNGKCKLLCINNCEQCSIEDQCLKCKLNYFLDSKSQCVQTEKNYII QKAGYLYPTISQNQDGTVSIASFKNNGTKFDVVYEEFNRQGQSISGEIRISNDSNLTIIL QQPQLIKQDQLILIQYLVRDEINSQSIKAIFVTLDQQYHIIQNNISILLNQDILIDNLLI DKNLYVVFSDSTTSTTYLYVNFYEIKQGCMTSQTQHYYGNVFTFTKRYQNFRLENLGNQN KFTIQFTELDAADQIVYLYDYVELKLTKSIITSYFVNYFTYLQLFSVQYKAISNKKLNLQ FLNQRGKLLNNVILESINDLSKIQVVTSLSYIYLLYAESSQQSIININVKQYDLNGTQIA ETQISSNEQSIDFLSGNNQQNEFLYITWSTQKYSKSYKLRLNQKLQAIPFDEEICVSHCG DCSNNNNEIMCTQCLDGYLYNEQKLKCSPICLDNCQKCTQPYTCDYCNNDFKFVDNKCLN VTQYQLEIPFCQQTCNGIGSILSFSDNSMITTFYNYKNSQHSLDSNIYQQESSVITTKQL ISSETPIAYHRIYEYESKKFALIWLSGNCKVSCRMMLQLFQSSFVVITNPIEMRLIKVLN IDEFEIQIKIFNTNFVIVWTEIDENSLSQTYVGIYNTGSGFQIKQNINENQDDISINPQL LIQTSSYQIIYIKNNNIINSLTIDSTTTKYKQLYQSTNQIESISSDNIDSSGLAIGWIES QINLLGIRSYYAYIQYFDRQLNQQATKRIAINSYITIKSLYLNYIPTQYIQLTYIQIEKG EQQRIRVSFASLSFLKYGSSQEMLTSPLNILSQYQSNTKLLTYVDPRNYQSYVVFQGVMN NVNYIFFIQSKGTYLYQDNCETNCFYCNNKNLCVICKQGFTLQNNKCALKLPDYCTNGNY GRCSQCAVGYSVTSDYKCQISDSKYKEIKMSVYPAQSRQRISTLSNGDYVIVWSTQFYQN EGTGIYMSLYNSQGVLLKEQMRISESSAGIQQYPDVQVMSNDQIVVVWIDGNILVNANIK MLRFDKDFLRIGSETIVQTDIHLYYAQSYDTPVIIQSIINGFVIVWAEQGNQKQISLIAK FFDNDNNVLNTQIVTENEQIINEPVVASTQSIIVISWQTNKGVFASSYSVNQVLIDKVQL STTGESPAIVSIDNSIIIAWKEYVIDENDFMSQKIRFRQVSADLNQYQVQSHFGVSQENL DNPDVISIENGFAIIAESVSVLDDKVRTIQMQLFNLDGSTKSQPVIIYSVSNLYPHHPQL ASQPQGNFIASWTVSSLISQILSDDVYMKRYKNDGTQLALNTIICQNNCQTCSSSNICQS CKQNYILLSNSCYLKINNCEAHSIENLTITCSQCSNGFELIKNNCYQINTVSNEQTNRIY K >CAK72868 pep:novel supercontig:GCA_000165425.1:CT868136:11220:13710:1 gene:GSPATT00038825001 transcript:CAK72868 MTQRFDKFGKRYSTPKILQNLTYCSTEGKHSLDALSLKMNEDLFVVSVKCNLQTTLLTIY DFNENSISSVSVYTYGGTNIFLINQKYQNNLVKLVQLEKMKLGVISIVQQGDVPILQLVI VPLYVDGSFKLNFQREQNVQLLTYSQFKAAQYIDIQLIDNEIKAINKNDPINSYLLSSFD IFGVMLNQNIIRKSKNQIEFKIISLNSNSYLTVWTTISSFNYEVFCQISQKDGITLTSPQ KLSTGGEIYKLDLQINILANTILITWREGSQFSDISLNSVYKGALISKQSYQLIGEIFQI NSANSQLKSLGRLEVIELQNQDMIISIMFYNILVDTQIIISYKFNEMGERLEFSQMRCGY ACQECNQQGLCFKCTNSDLYLLQMDGSCVKKPEYCVNFKTYCQDCLDGYYKTQYLTCEKI NQQVEKTILFDYEKYYYFYQIASYSNGNFVVVGSKSYTIIELNYYLSDGTQKVQNLQIIT ISNSNRMINDISLTIDDNNLLTIFYSVFSYTYSDKNMYYYQQFDQTFNKLAEAKLFYLGL QNQETTSIKAKILNSGQYAIIIKSRFDIYLQIMKLNFEFVADIKLPFSDNFDFTSNGELI AFAYIKDTICILNIFDLQLKLIQHQIINDGGLVKLASNELKQFIIVTNNQINIFAQGLIK QSYNITAISDINEIVIHSYQKNSKSYHLYYFSMQGLLKKSVQVNMNYTDLPTQNSWLTKL QNNNFIVFFHAGIINYNWRINFARFDQQGVSRPVVYPAKHLLYVIFVVLASKRILRLYFA KKYVSKLIVTDANLVIATNARVDFNLMNWDNV >CAK70475 pep:novel supercontig:GCA_000165425.1:CT868084:5974:8959:1 gene:GSPATT00038579001 transcript:CAK70475 MIQSSFAIILYLNCLIKVFGIQETISTSFKDSTFSDADNWVVVGAQPQITQCSTKTLFGG YNIFGAKTSITKTIALPPHYQLNMAFLFCNIDISNVYMLIVYFDQNIGYSVQVSPTQANS ICGNGAVGDSCSNFRATFSHSSPTAVILVTTTMTQPADQQFWGIRDIIIYVDKCPDGCLL CKATDLTVQCLGWQVFHTSWAQLNINEISSDGWNVNFGIAEATQCGSTALFGGSNKFGVD TDLSQSFFNIPKHDKLRIQFLWTKIESWNQEAASMLVNGAKVWETKFLSSDGYNWQICGE QPDKNLKTCFYRVDVELNQTSQLDITFTTNIYSTTQQQSFGIRDFVIYTRYCPQGTYWTG VSDFICQYCYKSCYKCDGPNQEDCTDCGDPTIYKKQLVAGQCKCISRTIEQDNIDGTTSC QTCNPKCERCYKPFDNTVNQYCTMCLEGLNRVVSDQFMCVCRTGYGEDGISEACFKCHYT CEHCNGFLANNCTTCSSQSNRILTSDNQCICNIGYYDTGINDIICKKICHNTCSSCTLAG VDQCTGCPITRKPDRTGTTFQCLCKNSHQYSDETKLECQECHLTCLTCNGGQDSNCLTCD IAYRKLSMQKCICPNGYYDKGQLICSPCHKKCMTCFGPAENNCLTCSNSNNRVFKTNLCI CPDTYMEKQVGDVMCYKCSYRCSSCSVKIENCTACPLQSYRDLGTDNSCSCQANMYDQLN NPICIPCHYTCLTCKGPESNQCSSCYTQIMRQLDPSGSCLCMNSYYDPGKAGCLGINQTI LACNPRCLNCAISADNCISCKSDRYLQGNTCICQNKINGALISKYEKSGKVDCLSCHYSC LDCYGSEFNQCTKCLDSEGRILSNSTCLCASPNIDIGKPQCQCLILEINTRMPIQL >CAK70476 pep:novel supercontig:GCA_000165425.1:CT868084:9077:13388:1 gene:GSPATT00038580001 transcript:CAK70476 MLKYFRIKQLIECHYSCQYCSSFSTKCDACSSTLNRELNPLMFTCNCRESYYDTGYEACQ KCHYSCLACNSFGNEFCESCKDKSISFRVFNKGVCYCLPGYFDDGASPNCQKCKISCLTC QNTATYCTSCESTRHSEGNSCLCNTGYFEIDQVLCDKCDQNCLNCSINPKMCTECDSMRI LNKITKTCICNPGTIEIDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLMQRN VNVKNLKNIISMRLQCKTCQTSPTYCLSCESLYYRLLKSNQCICQDGYYDIGIEMCQLCD PICLTCWKSSTQCTSCNQIQNFRLLNLNQCICQSGYYDIGQLVCQKCSNQCLTCQGKKDF CTSCDIYQYRIDQSVINKCPCQLGFYQDMNEICQRCHYKCQTCVQQKDNCLSCVQSKTSN RLSISKSCICKDGYFDNDIQSDCLKCSPQCKLCLNSSNNCLTCYGDLREEPPVCNCKLGY FETFSGICERNQLSLQYSLVHLIVYHAKKGELLQLCVCQEGYYESGQPHCDQCSFQCKTC KDSSINCLSCKGDRINIPICSCPDGYYDDYLNDLCQVCNWLCQTCNLDGCLTCKANRILS PEMSCDQPPGSVSYTDTPWCSTCQVAVLKIRFSDDMLSIFVKFDFSLNPHFFTTQYQENI CQKILEDQTFQLLGRDPNCYIDPNDDTIIIIGVGQQPRILPGDLILIQEKHLGHQGCDEP LVIFIFNQIKSPINPVSPILLYDEPTQLINPCDDNIIPLKSQLNDGLRSLVAIKWTYFVI GPNGNGDIDNFIASQTKYQILELVIPFETLPKQSNITFQVEFQNFVSKLGVQQIKLQTNS GRFPTILWVSKPTYYTFEPIVLEFNIKKKACSDMNATQVDNSQFSLSLVEIYKNDSNSRS SRVNYSEITSQNNFIVTIERYTLTPMVAYTFEQTTSDVIVNISTKRNITIEISSGGIMCQ FNGTKKIQNHRRDTQIFISCKDLDTQYNWNQDPNIEIDVQCFDFNMNSLCVDIQNKIIQI NKTDTFQTIPKYTIYPYTIQSWTVVATKQSRQYKFEQKLVYIDENFELLNVTYNQGYLMR PVNNYENLEFIINIPFQDRQYLLEYQILQSEYFQFQFNIYDYYQKFSGVKTINLKFLAQF TNEIIPCQEDLQIMINLPPSCFVSLSTYKLPFFQYRSIYLPIEILSSQLRFN >CAK70477 pep:novel supercontig:GCA_000165425.1:CT868084:13872:15533:1 gene:GSPATT00038581001 transcript:CAK70477 MNTTVKKMITKLTDIKDQINLNDVFLNEKLYQSKVIVLDSLLAAQLLIEDVFLKIPMATI NSNIDKEEIINVAEGLISLIDEITKHVNVQAKVNGSPLINDGQIIKWQLSKITKGKFNTQ FNIERDQLDGLIDYVQKEQIELKYNYLNLSQQLQTQLQTFFNLTTLQINENSYKKIYLQN HLYNNHSQYYQDPLTTYIIDMIQIPYCLEQAPLEKPYSYDCVNINMKGQLFKCDLITEEI DNTTVQVSCRCQKLGSIFLIQYPNNSAIQQNGTYNSQENRINSNIKLDEQPILLFHGIFI VFSFLIYYELLQIEMRSKQQQVESRLETENSVDEALKQGKIQQIIFYPGNFVLFKKYFKF IHEVLSCFYKGDPILPKSYRFLQLSIKISIFILFTFLQINLIGVYQLFTILFANCGIYLL IRMILKIFQSVYRFGGKCSNSIVIFYLLIHLLCYLGLVLQLKQWYICIYLFSQIDIQIIN IEVSLIIIGSLFQFYVIMEPIMIFSRIFLFRHIAIQSRHQTITPLNQLFYFFVQHNTLDE HLNNY >CAK70478 pep:novel supercontig:GCA_000165425.1:CT868084:17352:25117:1 gene:GSPATT00038582001 transcript:CAK70478 MVILLLLPFQSQAQRLKLQGTKPIYMNCIQIDERENGFVGSQYFYESLSQSGNLLNLDKI TFTLWINIYQQSKLPGKQILFAFVDGNTNNPYLNLMLYYQASAGNYNMTLLNQRQTQEIV NLTRQNDLSIGSWCHIVLSIDQSTNNTFINLKFFSTINQKMNSIQETLVNQKLKYNFGVH SRITNEQLFNTSTDYKACVNIANFYYINGWTTMDSEIYLDYDLELKYFLKPYQLKGLNVT NQFTNVALRQQSNQIFYSDSVGLLLFKNTRIVYNFMEDLGSLTIMFWIKPQNIISLFQFI SLTDDALQQISLGFGINFDYQLQFHQNYGNSALGQLSNSTWSHVTAGVLELSYNADFIPT NQKKLLRVYIDSIQVSQKIIQNVIAYKRLIFGPIFTDELGTEMIDIQDIRIFKGYGIKQG QGDCLLFVGAYCAFCSPNTHYCKEQDPNDDINIYNCTAGFKETQNGCSPITIQNCLRQRG SACINCADNYNLQNGQCQQINSLVSPYACSDPNAIFCKRNISSSINEWSKLCQPNFSIQN ATAYCQQNSLNTCQQAQFFRACYICKDGQYLTELNTCQQTCTPNYRFQSNRVCLKKCPGK YFYKYNCWNTEQPPTYQCRSTNNCSFEEMNIGYYCLSVVEQSFKYQKCERFDQRPNNWNL EGCNFPCKYCFGGKENQCLGCDKPYFFSPYDTTCVIDCNNLSLFKYNNRDTMVCEIECPP SYLTQELECVKSCKDGYAIYNNTVCLPQSQITDNFLVTNYDSTQPKTIFVDCPQVCQTCT SKTHCTRCLNHYILNQNKCLITCYPLYLYIDEDDVNHCLTSCDPNDFVYDNPNIDGYSIR QCFKQKCGSIQINKQQQTYLHQTKPQTCVYPCDDQYYFQQNTDQCLKCNSICQNCQNSAN FCTKCWPGTFLQDSSCFTSCESKFKNYINNQCEGSCSSGFTINDIKIQACVSFCGEIYSI FTYVLNGQCYQNPPTTGAYCIGQQCYNCFYKCKTCSGPQSNQCLSCYDKTFLLNNECVLD CQDKFYDLLNWKCVNVCPNNVYATSGYEFINAVFKLVKFCSSTCLYNQFQYNGQCTDIQP ENTFYIQKTNYRLCGICTDACKTCFDSYSTTCSECNPGSYLYNTTCSTECPDDMPYKDTL NNLCVVTCTSYQENGYCVAGCSDNYYRYDAQKQCHEFGCPKGTYNQASTFNCYNCALGCA TCTNGSSNSCITCIEGYFLQGRSTCTNVCNMDPDIYQDWINGKCAKQCPTETYLQTLPSG SLACKVTCPVYQYSNICVATCPDETFSDGNICTSCAGPCSVCYGKQVSQCTKCDSGYYLA DTTCVEVCPNTKPYANLSNQTCVSTCPGYLYLAKKMCFSSCPGFLAIYQLNGKKECVDQC YYSKSYLSSGICLPCNSICKECYGPLNGNCLECESSYYLYQSKCQSSCPSLLYTDLTDRT CKESCPSTTVRQGWYCKTNCDINYSQYGQVCVTLCPTFTYKLNSKCLLCNSLCRTCTGPL VSQCSSCLENYLLNNTTCTQTCPNLYDFETQKCVSTCGTKYELTDFKSCVTTCPKGYLKC SKNCLKTPPDGYYSDGVNCIQCNSRCAKCTSLNVCQACSKNNFLTFDTCANFCTNKYLYM DPTTQICVTKCPPQLYHQESYDKRSCVEDCLFGFKFNHQCVDSCPKGMYVKNNFCMSCPR LVKNVLSTTNCTLCGKDYFLENGLCQLSCLVGKTDYKNHACVSQCDPSLFEYQNQCLESC PTNPVVYYHSNICMDACPNNTFQNNQECLDCDVSCSSCIGPSNNDCLVCKETYYLLDQQC ILTCPFLYNEVDRSCVISCPPNFFQNGSRCLQICSQYMYFDTCMSSCPPATYHSNFICYD CSQDCLECDSFGCLKCGNGSFLNDGICSNFCPYFYNIISNQCEEQCPKGTFLYIDQCYAS CPANTYTYLQTCLLDCPWKTIAIDSICYQCPERCSVCKNQYECLNCDPPYYQYKGECVVA CPKVLPYQNKVYHVCQSECSPNTYKKDMTNKCLKXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIQKI VYFGYLISVNLINPFQPSSCYFWFENAQIFGQNAQCYLSWDRYVVHILLEPYASVNIGDK LSFQQSFYRDVNEGLCDGQYIETFIDSTVKGPSAQTKPYVLFDVPSVVSTCKTIEVKQIL LDGTAKKIQKVLFWTLHEMDNDNHYLQIDAFLAEQKDEFIIPIGTLASNVTYTITAKYMN FIKRVNFTTFTFTTLPDLVPYVFLQYNPLIAKVYVFDCKSTYSDMKNEFNLAIQISDSEN KTYISIQQSINPIYEVILNESLLPKEIPLFFVASTTSSVIHEKFYLKSKKIDIQFLQKDR FIGLDNQINARAFDRNIQDEVLSTLKY >CAK70479 pep:novel supercontig:GCA_000165425.1:CT868084:25182:27857:1 gene:GSPATT00038583001 transcript:CAK70479 MEFPSRRITDILADSQNTTFVFFVKASKDNRWTVKEQLIVVTDFEIEEQFVLNKEIPQNA VNLNDEITILIRNNQKYAFIMQEFKILASIKTTGQTLKFRLTGLTTNYNSPVYIYLVPGN ESIAFQLNIPPSDVQFHIDPLVGESLDYFNYSIHNLQPDNTFSIYYYFDKLTLQNDVNLQ SVNKGIPLVINSQVLTGSFQLPNGIIDDAISILCQIESAKGSKSYLVQDIQVNRKNYQTN KLFQSFNNQTNFSNLQSIHTMIKLMEIEQQQVCLKQCSGVGTCIDKKCKCPPEYYFDDCS GTIEEYNNFNSLILNALQQLIKIPIKYDDEFRLFSQSLLHLSTLKDLNNTITNSNCQKIL EQYIQNLNSRLEKINQHSINLQYQSTAYLNYSQIDIRSLENQNDLHTALKSTVFMWAKTL FTEDSAVYELQSRLKSFLTAIIELSLFGIEPNGSIDYSFDTAFLKMQKINNISNITKGRL LVESTEDHSKDLEYYDVVQAIYIRNYFAFDGYYPYPLQLYPLYDYQIRQQNRKQNIQLQT YISYKFKVLNDTANLVCLMRNSQTYEWSNENCTLHESNTSYFCNCTTLAPTTICNDYGYL YSNSPQFQLNIPNLLFIVYFLQLIILGIFFIKARKPLVKFQNWQKVIKQGFYEKKIVPND DEKLVFQIESKEPQNAQAQADKNKFSFNNFWKYHFLTSMIYKKICYFSSFHRSVLILLRW NQAIIIGEVLSINGFNYDISMWIVLSSIIFSRIFEYIFKTQVKYFFYMKQVIILFIIKLF LFFIMLGTQLFGIYVYLMIWNQTNLIISYTFAILIDFLFLDIIQFSSNKFFGQEKKKLIR KKLREFQFIAKAQSIN >CAK79298 pep:novel supercontig:GCA_000165425.1:CT868323:2737:4872:1 gene:GSPATT00039316001 transcript:CAK79298 MYSVNFDNNIIIQSDNQYFYVTFSNYTVYIYNPSLPQHMSLYYILELTQPIICTSAIFLM QDNFNSAVLLYNNSFFKLNNFQTFNSSFDGGNPDAYYTKTYPQLIYNYSIVSGINPNSLY QTPNESVSYLSNFTTFQNQKQQQIQLTQDNLILRPINQSSEINSIAFQYPINLIIDRQAS DCQCISPQYCYIDQPSRLHFSNNTDNFTLITSINNQFLALQNNETIQILNGELTILSNFS YSYLNFIECLISTSNKNNLYSICYNDTSQYWLTFTLNTSGIVSKFDLIQFNQTFSKIQKI GCLLDQIFILAMFNNKGQELYLFNSFNSSLQQLSVYKNNKSMCQDFDIGILQSNSLDFQS NTIILFMTNGFQLYYQMMFVSANAIELSSIVSYQLQICNQQFGCFLATQIIFNLLILQTE GHNVILIASNSNISFIIKIRVLIEQILGSPALASVIQTIPNYNLNNDGYIIYSDGILMQQ FQYFFNEYFVGIYYLDNLAQLQDPFEPILMLGQLNTTSVQKAMIVNKTNPQNIGIILSFF NNSIYSQGLSTWNLTKIFLQVKTKLMFLSIVKIFIHLVLIIQHFICLNSLILTLEDGFIF CFQQQLYFYQYFAQEQGRIQRIQNIYQKLNYDVNMLQKYQLYYVSNQYYEYDWGGDILVF FFFWTTIYQLSHLYHQFDLKLKISFLEYPQKYNHNENVIQKQYQLNLHNNQ >CAK83658 pep:novel supercontig:GCA_000165425.1:CT868478:2:661:-1 gene:GSPATT00039557001 transcript:CAK83658 MNSSIKNGSQTNSRFDNKNNENLQQQTFKDSQIQAQAQAEIQYYIYQDLQNIINLIQSRK AVLEHFKNDPNHGMITQSNNKLILQLNLSNAIHSEQQQIYKEQPQLIEFLQKERNKAYEI LEKIIDNNDQLLNSNQNNDYFIPYYLQKYKLRYAKQEFKLEDQFPLQDTNQVAKFDEGVL QNMISSISNVDNQIQQIRMNKSYRVPDPNEIQLKAKYIII >CAK83659 pep:novel supercontig:GCA_000165425.1:CT868478:794:1745:1 gene:GSPATT00039558001 transcript:CAK83659 MGDSIESPSQRHIKQGKGPKNSVHFQDISQIHKKDRSKILQNDTDKFKYLFQIPNIISDP KLVQRRKTFETFTKNANLDLYYMHLKKASGIARSISQKADYKEVIAKIHNNKMQDQFVHK LHKNKGFILTNELWSNKLSCHKCCNLQKIKLNSEEQLQNYEQYFKQFVKSKCQNEMEVRR GEVSKAFNDLDDQATLDQTYKDSRVLSRNQSVPMKQTDQSFFSREIMTAQVSKKRGVNSQ HSSIKLAELLKQSNDEIHSTQEVVIKLRRFTREEADLQDKPKKIRIRRLFAEQLNNVIKN SKKIN >CAK83660 pep:novel supercontig:GCA_000165425.1:CT868478:1832:2229:-1 gene:GSPATT00039559001 transcript:CAK83660 MSTETTEFIPKRLAVAVAILILFVLHYVTLLINAFLKGPDQKEYINRRVFLFGIAGLLSM STIQSSVFSAILAWLTFVPLAVLHLSIYEKFQNLNNHKDTLWLAYYGLQFVWFLGIIIDF YS >CAK77378 pep:novel supercontig:GCA_000165425.1:CT868268:115:559:-1 gene:GSPATT00039205001 transcript:CAK77378 MNLLRFEEANQTYDLAIQNNPEDSDLLNDKANVLVRFGKYDEALKFLDYAISNNPNNALY FFNKGNKIQRIMKEMYQKIWEDLMKRYNTMTMQFSKSLMIQLFIVLKVEVIIFVSKYFNQ AEQIQGSIINLQLCDLDKS >CAK70337 pep:novel supercontig:GCA_000165425.1:CT868079:1324:1787:1 gene:GSPATT00038439001 transcript:CAK70337 MELGEQDLYTYLEQQQQNLTIESKIKIMIQITQFISYLHSKNLIHRDIKPENFIKVSDQF KHIDFGLTEQNSNIFKTAKVGTLLFQAPELLENKTDYDISIDIWSLACVFYEILFGQALF NGINQIYIQGQFKFLKLKIKHRIVR >CAK70338 pep:novel supercontig:GCA_000165425.1:CT868079:1813:3012:1 gene:GSPATT00038440001 transcript:CAK70338 MLKYNPSERITLDKVMSILIQFSSPKKKNQQQQQFPKFPIQPETKLFTPQNFPNTGNTIS LQISQLREQFKDFNNQQAEKSKTIENLLQQLVNQNDQLQFRIQNLEQKLDDCTSQIRKKE NGDQDQYNSLQIQIQKQDNQLSIMTKQEQENSIVIQDYQTKIQNLEQILCEVERKHDSTI NYYQDKVNQLEIKLKEHNIQDTYQQLIMDQKIEKQEIQQNQEEQKIDSKNENHIQQTVNL EEKNQKREARLILEGETNEYQQQNNQDISKKSFEKKKSQIEELLSLLQSLNPATTKQKYQ NISKSQSNQKITEVREKLLKILEQIVSTQSKGQTQLKRLRELCFDEKKLDIAKINNIITQ LYSEIQPQSLINQEKQKIQPIEKKVEEKPHKKNIKTSKK >CAK70339 pep:novel supercontig:GCA_000165425.1:CT868079:3835:4364:-1 gene:GSPATT00038441001 transcript:CAK70339 MIRSCPRKISDLSQRIKELQQESLNIQKNISSLILNNQIKEESKKNKKSQCQNNEQQQFT TENLDQNQQMESQIQIENKQNLISKNSQENKQYKRENQYLNDLNEKLQRYELEKQQQVQH QMNEQFSDLNKNWNTSEKSQEGIYQKAQKTKSKKRFHINNFNVCQ >CAK70340 pep:novel supercontig:GCA_000165425.1:CT868079:5084:5567:-1 gene:GSPATT00038442001 transcript:CAK70340 MELGEQDLYTYLEQQQQNLTIESKIKIMIQITQFISYLHSKNLIHRDIKPENFIKVSDQF KLIDFGLTRKNQGRNTFVLIPEIVETSQNYTQAVDIWSLGCVYYEILTKSPLIEGKTESQ VKNIIKNLKTDNRYLKQIRIIARIR >CAK70341 pep:novel supercontig:GCA_000165425.1:CT868079:5961:6156:-1 gene:GSPATT00038443001 transcript:CAK70341 MKPRKNSCLLKLNQNQLRREKVKQIVVERKDNIGKTVDYKYSQQVQVRKSGFLQTLQ >CAK70342 pep:novel supercontig:GCA_000165425.1:CT868079:6249:6930:-1 gene:GSPATT00038444001 transcript:CAK70342 MNPGTEQLNYEVGTPQMPYNYDLDGQFYLPISNQIYQKVYSQYTYHLPTFLLMPQQPIYQ PCPQVKSKRRRKTGIIELEMRQPEVKIVKEIATDTEQVQISLGLSRQPNQKKNYIWSKQL EHEHENNAQKDRTISELRTQIQKNDLSRSSSLVSLQTTLVERERQITKQDGIISQLQADI NLLRGELDDAHHHIDELQTTHEEVTVEN >CAK70343 pep:novel supercontig:GCA_000165425.1:CT868079:6960:9596:1 gene:GSPATT00038445001 transcript:CAK70343 MKLNDQNSNSQLTIFPSAYNTNMTAAYKYLSRESSVMKNDKSILKSPSSNQLYCASNRGS DKKVRIQESASKGSIQFKNSAQFGRTSTDLIQVPPMRSLNREDREKLIATLIQQVKQQNQ LIIQLEDKASQQAEQLDELNLYNEKYEQKEKCYGEIIQNQNKINQRIHQIAELRELEFRQ TNDHLDILNQIYEDEVDMDMAIKWRNARLKFNFLDALSKAAKYSKKLNKIVLRKQNQKLK ECFKGWKYYIQNKSLLTQFNEVRNLRIVINFWMKWKQQIQDKKEYCKNLKTAIQFNNQNL KTKCFGKLKQNYLKYNFSLKDFQQITQSAIDEFKRIKLFKVFSQWILWIKKENQKQQIIY QHINNGRTKMMKGVIRFLKQNCDFHKERANKIVKQRVQTKVQKLFNQLKQNWKQNKYREN QIKLNRNFFIKFRQVKKWIREYQKLSRLNQISIKVVKKREIQFLQGLFDALKNNAYYRKM KRQNEILLNKKYDNRVLNWAFMHWLSNLLKKKNLLVKEQNVVILKQKDIDYQQQNDVQNL KQMYNSVQQELGSQEEQINNYKILISNQKEVEHQLQMQYDYLKQELVQTKDQLVHYQIKG DEVRILKEQYTLAQQQLKELRMQKRLGSPIRNLQSSGINGEQDQLRKQVEISSTLLNDQK QEYNSLQMEYSKVVGDYEKKIKDIEKYFVDLCDKQKLQIERLLKENQSIKIENQQNVVSR NKLMDELNMIHESFREEIPQQHRTNFQTQDSYEQQQYGQNQRLKSNLYSQTSPISVNQYS QNSPQKQVQIEDRIKEEAYNLREDIKRRLASLKTQMDCQL >CAK70344 pep:novel supercontig:GCA_000165425.1:CT868079:10315:10990:1 gene:GSPATT00038446001 transcript:CAK70344 MDKFESLKVDIEKILDSDKTVLIFIRHGETEANFTKQLSGWHDVKLTELGLNEGKQLSKA FQPLRDRFAGIYCSDLSRARVTAELALGSGEKIQQSMELRELNFGDHQNKVYSEDNKCIY EILFTQKYQAPNGENWNDVRNRIMKYLRSQIHSKGVYLIFTHGGAIFSMTHQFGYTNTIK NCSCIGLELDINTLEIMNQLFYWEFPNQ >CAK70345 pep:novel supercontig:GCA_000165425.1:CT868079:11034:12129:-1 gene:GSPATT00038447001 transcript:CAK70345 MGCVCNSNTSKTSIQSTQLSINIAEQYKNNKNIKTQGSLEDFSQSLCNIGQEITSLGQLR PEVQEQINELGTFKAIEQMDTNTSNYSYLLCSDGSIFYGSVINGIRNGVGKQHWLTEGNY LESFWQNDKANGPARMIYSNGDVFEGHLIDNKANGFGVFKNKKKEVRGYWSDNRLSGNGI ETRKNGIKYEGQFKAGIIDGRGQFTFPDGRRYCGQVQQGLMHGQGLMTWPDFSYFKGEFR NNHFKGYGCYTDIDSQTYFGYFFSVYHQDIKQMEIFYSKDHNIQECNRLKKFKKLYGGDH Q >CAK70346 pep:novel supercontig:GCA_000165425.1:CT868079:12704:13206:1 gene:GSPATT00038448001 transcript:CAK70346 MIINNYLLINHDLDVITSQRPPTVVIEDQFKCLNNTNFSRTFRKTQLLRKLDTKQNNTNM MSSTKLKPITTSQSLICLSLKTPIYEAKEKKLELANLKMGKRMKRQTACQTDCFDNNIYF RKTLSSNFQQPGKAFFYATLQKSKIDFYKVDGQTKQK >CAK70347 pep:novel supercontig:GCA_000165425.1:CT868079:13232:15003:-1 gene:GSPATT00038449001 transcript:CAK70347 MFRTIRLIPKLLKFTQLATCLALVYNQQQKFRCSQITMQNMKIINEKDVNAVLANPQYFT NTIIILNRDIIEDLPKHLSVKFCIYDGAFNDYDLIAVNQHRCLLLKIRGEEDYLKLLNFL TPLQTLSSKQEAINLIDQMDAKVVLSYIPQQAIVKSEEQINKFDTFKQRNYKDPQQLEEQ FRELRYLDYNREAQYYVIKDKTVADEFNLSANDIGEVYVLKQTSIFNSKESSFFHNAKEY CMNKIEPDPNIETADTFRIKKKYDSISGYILGSQEQAGQQLNLQKLVQRLTLTSNNYVNY VFNKQQMDSQLLIYKQMGVQYIMIYHTQKEVKEKIIRKLVNVKRSMNAEYQQKFGIIYSD NIDLLQSYFGIVNNGITDDVRLFDLNSHQTYTNSFNIVHLGQQESNYDQYKQCKRYSFED KLTVNRLKQFIVQTTKLQIQNLEPQDNYREEYYEKTFVKIPLEKLQTITANNLELSGFDF LYFYKPGCAACNTVAETLDKIAQNILNPNPYRVKNVQNFKNITLRKYNILNESQVLPSPI QAPQIYILYDGKIKQADLVQNKINPGDESKMLHFLTKLIDNL >CAK70348 pep:novel supercontig:GCA_000165425.1:CT868079:15473:16155:-1 gene:GSPATT00038450001 transcript:CAK70348 MANQRQQVDQLKYALWLAIMQSIFQISILDKNEQIVQFFNSPKIEINVNIALTNQGITAL HQAASNGNLNLVQFLVAIQKADIDQQDLLGRTPLHFACAIGNLAIVDYLIQSKASPNIQT IGGESPIMKAAQFHQSQLLSQLIQTHSDKINWNLVNKFGHNVLHIFRISCVNSLEKQNQI YAPINDVMVELMALISAEGQQTNQ >CAK70349 pep:novel supercontig:GCA_000165425.1:CT868079:16307:17387:1 gene:GSPATT00038451001 transcript:CAK70349 MENKELEQEIKKKITNIPLKDRAKVTALRYYLNKCKQADTDMETTCDNIQSKYDKLSMPI YQRADEIILGTAQLNEQNLGLAAKLLSEEDLQQFNQAPKESKAIPDYWLKVFKNADLQEL PLSERDAEAFKFLQKIEFTLAENDSDFFLKFHFAENPFFKQTVLEKKFYYEDDELTKVEA TKVEWHDGKNLTKKLIKKKQRNKKTGQFRVISKEVDDESFFLFFRSIDISNKEKYDKLPE DEQISLQGQLDVDQDVGREIVDELLPYSLEYFLGIKEFKTEDDGGAEGEEDDEEDDEEVE VPAKGQNKGKDKKKK >CAK70350 pep:novel supercontig:GCA_000165425.1:CT868079:17438:18351:1 gene:GSPATT00038452001 transcript:CAK70350 MSRSGDFQNKVETIIENYLQKHKIINIKNSNNHADVPISEFDLLEKQMTRSSIIDDLIQK IQVLVEASNYNAKIEMDQKFIRFEQEITNLRSIIMMQQDYNSPPQLLMTRSENNSFIEMS DSFLNDENASNFKKKVKEIQEQFSKKTQQLDNMLALVIDQQLQLKQQITQNPSTNQSNDQ QVDLHLQTDKILDLIQPKIQFLNKEHFEEKYQQFKKAMEEMKQGAKEKQSLLMTIVDECK RDCEKIEKKLNEHITQVNADKKKSWIPFK >CAK70351 pep:novel supercontig:GCA_000165425.1:CT868079:18623:20144:1 gene:GSPATT00038453001 transcript:CAK70351 MDNQEEVILKCYKDSEERTFKLIKYIGAGSEGFVSLYKPINWCFEVDEVAIKSQSTNIKS SAIDFYKKLMEQQLKIEKSSDEKSHIIKIYELCQNTNEKGELSEFLVIMEKGGDDLYKYQ KHNKQLTFQQKVQICLQLAQGLKQLHQLDYIHRDIKPENFVLAKDGFKLIDFGLTKSINQ EYMSMNVGSRLFQAPEVLVGDGNYTSSIDIWSLGCTFYEVFSDDILIKAQNYMDAFLIIR NHTENQKYLYGKIEALQIQQEWKDLIKDMLHPEPNKRITSENLVLKIQSLLNKQNNQFQP TVQNMDRFCTPQNGMVNPQTNNCIPQKKVFQTINAQTTTQNEIPQFNRIQTPNQNIINNL NGNQFHIQPNFISPSGSNVPKVLQTCNPQITTPTNNNNYIQKFDQNAFANNKQNEMYEIL NQRIQKLESELQNVKQEMVDLKKEVTVLKDEKGQLLDQNTKMKAQLNKYVKQQQTSLIEF QPFLIQTQQP >CAK70352 pep:novel supercontig:GCA_000165425.1:CT868079:20451:21331:1 gene:GSPATT00038454001 transcript:CAK70352 MIWNLFDRLRIFNIVYKELTQKPLSGLKAVKIGLTIHLVQYEFQIGKIVAEQMISSDNKL QVIQTQSYGQFVQNYLMQLFKLAQNVKLFYSCKIGQYPTFDQQFIYTYETESTRENQINQ FRIFKKYKSTISRISIVEAGKQFIQQDWLHNNRLENSLFIQITKFIESMHPGFEFVYRCM LNRKIRHQSVQNCLIHFNSCHFKNNNHYNRYIGLTTNSTKNNKWIQNNKKCFDSQNIVKQ PLWFEENIQINREQDYYYCKFNIFILEES >CAK70353 pep:novel supercontig:GCA_000165425.1:CT868079:21504:24090:-1 gene:GSPATT00038455001 transcript:CAK70353 MGANQSQQQAQQEKKKLRKRDYLMGLCMSQLASKESKESSKLGKSLSESMKLQSQDILTK MSEEEMPNYPIKILQYFLNFLTIRECLQLKLVNKKLKFMVEMSSSIYSNYLTQFYLRKLQ LRCFVEYGLHQRFLSVYHSQLLCIETNQDESWIRIYLSFQRSIQQMRITENEIQKTFKVN YPLVDRILEICHNPVMPIPLLTDDILKQCTTSWFQLELAQRTNDYTIVEKVPSLDELTEK IYQDCLHKFDVTNMKHTQLLFELRWVVIDNFLKDPTILDSENIPLLLRFLLQIFNFIYQR CLFSRNVLMITKMEKNPAMFLNMYTILWESYIGMMWALNRALKKIFNKIDLLFEVYFTTS FPKVTFTSALARLWSQIVIKGTRSSQVDPIENELFLSYETLLKQKRMILLGFFMKDHFTN EQQVIGQKFNDDDYFKYCSSAVNYLLNKFTSNILDLSLHEQSINWIGHSNVKVGSLYGNI LNIAISQANEFYQKASEQLSNDYQVFKDYIIADSKYMQEILNQWTVQVCLLPKGIEYLYD KVKINLRQHVLSCQDIADQTNHNFEDNILESKIIGRNVQSLQLNEPDENAFKMERDDQFL ENHICEILREQNAQNQQTVSQNIQTQNLSHPHQSVIKQVSYYQYQSAKQQSILEVDYNKM RMLSTYTSSTRVSQITQLTSVYSQQVMSSYKSNSNFNQIKEIKSKLENNQWAMYLKEIYN IEVDNMIKIEKRNNQIQMRNAVRNIPQELEEQFNNNVEFIKVWSLEDTMSLFEPKNTMEQ LQDTNEERNFSEMKLGQGLLASYFIQHQI >CAK70354 pep:novel supercontig:GCA_000165425.1:CT868079:24248:26158:1 gene:GSPATT00038456001 transcript:CAK70354 MDQADMINDEEQSPLHIKRELDIDIPYRVAFPICYNQQQKDTPKTAMRTIEHLEIDEVQI QTETTPKTQIDISSPRVLFLTHSQIMGLNQEEDEVPFNRSALNNPLSEIPEELTPSNLPN VFDLSLFKQSKVSNQDVIIDNMSIVNSQQLKFSKKSSETQVISNINMEGSKLKEELKEQI SILECKIQELEKENFILKKRSNQEKVRYIELQMETEYRIASLNEQFQDQEINYKKQIAIL KNDLNTAKLQINIIKQQIPHHDTQGLQLSQSQIQFPYHPSQQQPYQYYNKQSTLSQSFTE QLSKHSKDQCLTQIQYDVVNSKLIKIIKKIDSQIEISKMDLFDLLQILEEKVKQMMNIEE EEKMDEHRTLLSTLKTELGQIKQIRGQLSRIFYDHNQFIHKKNSDNQNDQRINDLNLQIL GFKQEKLELIEMTQKQQIEINQQNQLIVELQQKLNNSSQTDTQRIQSQFIRSLQTNSVAD IKNIKEQVKQRQESIKKNFKSQNSPQSRSENASPQLKFLNSPKNSKHSSTYQKQQQNDKK YQFYNRTSDFSVDNNNSTHQATTQNINNSMHSSGEIIQKLVDQFRGNSILAQKISGYSKK N >CAK70355 pep:novel supercontig:GCA_000165425.1:CT868079:26258:28703:1 gene:GSPATT00038457001 transcript:CAK70355 MKRPIQILPINIPGRDAPIVLEIGETDLFHFQAKKIVAGQLKNYSQDEFVFYSRSKNLVV HEDDRVSKLFLSKEQNEIELYLTSNLIKKAVLGEQGNQQIGGQFQNNYESEIQELKKEIK NLQAKLQEYKSANKMSIYNNEEKELRDQLGQMKKEFDDRLKVEEEYRANIIKKYNESQNA QTEQKKELLQKEKHIEELKYQQRLMEAEKKFLEEQNKKEILLSIQSQGGNNLNNQLAEIR FEFEKVKALYQEEQFERTKDKEIISQQQKKLQKLETKLQKEIEELQQGRFLSQSEISKLK QELTSVNEKCYNYKCEIQKQKVEIDRFVQTLELGKQKIGQLEKELNISNAEINNLKRSEE EKINEIRRKEVLVNEIKNSKDVQQTIRVNLEQEKSDLQKQLQTKTDRCRQLEQENALKSA DIKHLESRLQEEINAKNDNIQKAKIQKEDLVNRLQKEQDNLKAEKEAKNKLVERIKENAL LKAKDEKIISPTEALLRNIPNPLQFLKIENIMTKDLVAVVEIIKVDKKKAIESLTKQNPK ISQFITRERVGNWEFKQSIAINGDGTKRKGFLMQITGSEENRYNDKVFLIKELIGFEKIV SSDKALYVAQNTLIAKVLAEHFQDRIHGVSKIFPQRFSYNELFLLNIEDKYYIAEQVQEG RFIKFNGKGESHLSDKEQYLKSYFYAFSIFTYFVTQKMLMVTNIQGFQIGSEYILCDPIV SSPEGLMGDEDLAEQHILEFEQNYQSNPKKYGKEFLQILGY >CAK70356 pep:novel supercontig:GCA_000165425.1:CT868079:29594:30820:1 gene:GSPATT00038458001 transcript:CAK70356 MISQGNNQVVEPQVDLKHHNKKYWSSEEDTKLYSAVILHGSNWKVIAEYLSGRNASQCAQ RWKRIKPKENERNQKWSKEEDEEVLRLTKNYQYNWRAIAHHIPNRTGRQIRERFVNHLDP SIIKSPWTEEEDKWIWNMYQNIGTKWSDMSKKLPGRPENMIKNRFYSYIRKQYGKIQNPY YVVPNNVRVLEKDSMRKSKIIRKMKMFRRFCQQKNIKEINKTKDQYKIQSELKVEQDQYP IRKDLLRYGYQQQQLQSYYPLMPTEFQQLQFPQIIYPYQFVSTSNIGSEFYGIINQQDML NCQKEQINQSTFSEMTKSQNNEMTALPTLNLSLIGFQQIQKNQIVTKEEEQDNENPQTQK RLLDTSYPLQIRPDILEELHKKNLEAQIKQ >CAK70357 pep:novel supercontig:GCA_000165425.1:CT868079:30820:31998:-1 gene:GSPATT00038459001 transcript:CAK70357 MRTGILVCLVLSVLSVELNMERHQEEVMNKLRESKWASFILEFAEVELGSGGALKELVEA INQLIDQLEEELDDINHSYSRRTDEHNRDVTRYEQEIQDADRDIFNGEDFIDNVLIPQKQ RFQDALAQLKTNIEENRRILDQETVNRKKQHEQFLSNIAEINEAIGAVDESLGLLSQITN PSLVQFKRVQSNLGRIQTSFQNHSSFAPIIKALLELATEQSFADQGSVQQLVKIFNELRV QFVDTLNQETADESAAESKFTERVAQLEKEFAEFQRAVLIKNSELAANEQKLGETIVYVG QRKDDRATLQAQLQAENDNYAAETDLYNRTVAEYNKEIEISKQALGLLTQPSFEQYVKSK VGI >CAK70358 pep:novel supercontig:GCA_000165425.1:CT868079:33110:33632:1 gene:GSPATT00038460001 transcript:CAK70358 MSNAFKQAGNTLSKGNDLGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEK TALQQQLLGLQRRIAELELQVGQEQA >CAK70359 pep:novel supercontig:GCA_000165425.1:CT868079:33665:34595:-1 gene:GSPATT00038461001 transcript:CAK70359 MLLSTSKLSTSKLQRSQLSSLQRSKVNYLSQDLDSPSRQRIKLVSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLSSEKPKDEQKFKVLKDQVLKLQDYAHNQKAEGDAFDDKKERDF RTLSDNLALSFDQERNIRGQGETKLQKQIVERFTQITLTITRNNHQYEDRSQAKIAEVLQ QIQLVKNELDQERRSREESSESLSVQIDSDINKFSDQLLIEKKVREETQGKIFRMIEDVH GKLQQDINFERRERESINEALLKLLEDACIQIDKNFRY >CAK70360 pep:novel supercontig:GCA_000165425.1:CT868079:34784:37200:1 gene:GSPATT00038462001 transcript:CAK70360 MQQTQQNWQGKQINAATTDNPNRNYKIESVLGSGSQGSVYLGKHIMNSFQQNNVAIKIQQ FMSEQEIQFLKSLIQYQKQYENNKQNAQSYNPSQIVKIFDFFQYNEFWIIVMELGTQDLY KFISQKQQLPIQQLGQVLKQITKSIAFLHENQLLHRDIKPENYILIGDEYKLIDFGLVTT QFRSKTTNVGTVLYQAPELITNENNYTQTIDIWSLGCLFYEILSGQTLIYGVNQDQVQKM ILAHRQDCNAINLRINSLSCNKEIKDMIIRMLDPNPPNRLQCQQVMEILDSKFCLQKFPI INNFQPQVNIMKAQYNQFPIVQYQQTQQQQQGIPMQFNQQNQQLSSHSQSLVEIQKQLAL QQTLLESITTNFTLLGAIVQDSKQIMNEIQKGQEQQKFEINQIKNDLKAEIQNIFVDKLE SYQNNIISKLDKFQEEQQVTMIDQQQELLFQNKDFIIQIQQSITNSLQVQEEFQKLIKES LNNQEQLKILSKESLDNQEQLKIISKESLDNQEQLKILSKESLDKQEQLKILSKESLDNQ EQLKILSKESLDNQEQLKILSKESLDNQEQLKILSKESLDKQEQLKIISKESLDNQEQLK IISKESLDNQEQLKILSKESLDKQEQLKIISKESLDNQEQLKIISKESLDNQEQLKILSK ESLDKQEQQIIISKLSLEDNSKELKEYNEKLNQNYDQIQKEIQQITKQLQLSQHLDNFNP KSEENIKQEKLNQQQNQNNHPPIYNQDRELTYIPSLSQQKLLLGTKTFQQINNNKKKKNK YQVENNNNQDDETQKN >CAK70361 pep:novel supercontig:GCA_000165425.1:CT868079:37299:37797:-1 gene:GSPATT00038463001 transcript:CAK70361 MKPKNENESLFPSLSYKERLMGFAFCSILGYFIQILSFGSFIGILGGSPNKFALTYSLGN ILALFGTAFLIGFKKQFENMIDKERQMTSIIFASSLVMIFLSVYLFKSKLLVLIFLLTEF CSYTWYVASYIPFARDCIKGCLKNIIKS >CAK70362 pep:novel supercontig:GCA_000165425.1:CT868079:38356:40483:-1 gene:GSPATT00038464001 transcript:CAK70362 MDHFNILAYGYIYLDNHLTIMINCNDEIKDNQIYISQYLYLQLKDPLNAHFAKAQHAPYQ YDDKDFRYNPFPNIPICKEVTLSIVRLFNEVPRQTMRIQDQLPPSFQRLCFMFQLWSKKN KQQRAHYRMVNCFKDYSIALSQYRLQNCDISSKCRSKITNCCQLNNLLRDQYVYIHIEKV QADKQTDWYILDFKVANIKFQEEYSYSHITKSTLDLKFQDIIYQLGEYEHFRKQINNIIR LQKSISIGVESRKNLGKRLLLKQIANQNGILYFEKDFGMISSLRFTEKLVLKIQDSPCIL LLRNFSKIEQVLLLNKQHVSKDDISNEIIKIIKKIKKLKNVILILCNEDFSNYPIVKSFV DFYIRFYPQTNVSQQLIEFYQLEQSEDEISQLLRETTWRVLEKEIIQSKQNFVKELESKL KTQTISSKTKMDDVGGMEGAIKEVAKTIILPQMYPELFDELVKPRRGILFFGPPGTGKTL LAKCIACEMKMNFISVKGPEMLNQYIGQSESNIRDLFKRAKDNAPSLVFFDELDALAPAR GNQSDSNQVMDRIVAQLLTEIDNLLDGIFIIGATNRPDLLDPALLRPGRFDKLMYLGIKT DKESRVKILRALTKSDKFDEIIDEIPNNMTGADFYGLVSQATIYATKRTIQSGLNEMELA VEDLREALKSIRPSVSEQDLQKYEELKKKYQ >CAK70363 pep:novel supercontig:GCA_000165425.1:CT868079:40572:42394:-1 gene:GSPATT00038465001 transcript:CAK70363 MEDKCKLHQKDFTYIDSCIHPDCFKYKRLCNDCKVNHETRHKKNQIYQNKQFTITIQECK QQVEKNLNTVKEELELAENRAANALITSVNSNIDEIILEIESIRQQIHKLFDKYIQGLRD KFEYKVKQSIHTLYDQIQGQLTQVRQFQNDINSIDTQKKVMCTDLDYQVQYQKNRLESLI YQRANQKFYGRIDDDVALHFIGVIQKELEQLVIYEKNKLSSQIESLNQMTLQAQQTKQKS IKNFQKFLSYAPEKLDEMRNTMQGTQEYSFYRSWLHYFEPGTKFLYYLDLKQQNPQITKI ELEINFLITQGSRSILASDGQIYYFSGYDNGLNDDDNKTIYQYDHPQLTFIKRTRMYTLR KNFSLSCTVDKDIFIVGGQNYKEGCLAKCEKYNINTNQITLINHLTELSTLHSSCTYNNK LIVKFGGLIANTEGEDLRKQCSGLLELYFIEQDCWERVENTTNHTQYPLHTILSTSIQIL NSNQIYVFGGQILQKQTFQTVNQGFMIQIEEEEEFDQDQIKFQPKLYYQLQHPIPQQAHF LTQPIYYSNKIMCLQKDDQMMRTCYEKNKWKLF >CAK70364 pep:novel supercontig:GCA_000165425.1:CT868079:42453:43291:-1 gene:GSPATT00038466001 transcript:CAK70364 MYYQNQRIPVPLQRIEFKHILSGLQKPLQKQDEINEKPLETRKNRKKPEVKFLQDLQRNE KCEQQALQLSDTMWDDRILQKLEKLKKQPKEFFKKVPKDPITLMNSSKINKFIEIKILAQ SKEDVQKVSRESLRQMNQIQEVDVKELLNQYPHQFNFTTSADARKKKEVLDTKDKYMKIF FVRNCSTSMSKSKVNNKQLHSSGDSQKYMNLEQIKERKNNKNNLSFCTWSKLSELECPGY CTFIKQLAVKNKLQQSCCQQKNNSQNLVND >CAK70365 pep:novel supercontig:GCA_000165425.1:CT868079:44286:45737:1 gene:GSPATT00038467001 transcript:CAK70365 MFNIVLLVVLVLVAQADKLVQIQALWRHGARTPIFCNWNCEYFRNHTMLEGYLTPTGMRQ HYVLGQWMRERYIVKNKLLSDIFNAQEITIYATDVNRTIMSAMSNFQGMYSNNGPNVPNV EESFLKPPNPDAKPDEDIGKSALKYNIQVLPIHMRAAMTDIQLRAYGAPQCAQAAKFQIE NQHTQLVKNVSQKAHDTIIQFCNEMNIDPNNFTIFNLSEYMDTFYSSIYNDYPMPEKLQK ETYEKADAAYSMTIALKLYQTWKQITTQSAPFFDQLFNYTEQALSKDENVKSSKYVVYSA HDVTVQLVASALNITSAECMAQVYLGQEVQNKNCIYTYPGFASNIIWELWQEETTNEPYF KILYNGTEMNLCNKNSTKCMYKEFKELILAQKGDFEKDCDIEIEPIIEYRVPVWMTTLTI IFIVIIVGFCFYGVYLYKQIRQDKTLLSQNL >CAK70366 pep:novel supercontig:GCA_000165425.1:CT868079:45788:46475:1 gene:GSPATT00038468001 transcript:CAK70366 MIKTTQTPLNKEEQRRLNQIVQQLLDSNDSVEFRQPVDYKALNLHDYITIVKKPMDLGTV QRKLNSNTYKTVEECLDDIQLIWDNCKLYNGSQSWITKIAEKLERLFKKNVRNYLPLVNL PQFVPKQKDAGADVFQEEPQDQVSYNDKVEFSNNLKQLAPEQIGLIVHMIQNTSPNAFVE IEGEKYQIIVDYIEYEAFLKCQKQIQTWIAGDTINKKVKI >CAK70367 pep:novel supercontig:GCA_000165425.1:CT868079:47396:49653:-1 gene:GSPATT00038469001 transcript:CAK70367 MIQQNQYPQLKEGTIIDVSSPNQPIKQFKILELLGMGQEGAVYRAKSFNQGQNQNEVAIK FSTYIKENVMWFYQKIIEYQNKYELPNSSNYAPSNIIRIYDAFQWNNFYVLVMELGQIDL YKYIEQNKNKLTIQQKSSICLQILTSIVFIHSQNLFHRDIKPENYMMVDQQIKLIDFGLI KFFNVDQNRMTLAVGTKLFQAPEIIQGKPDYTMAVDVWAMAFVFYEIIKGTQLLDVKTNQ ELEEIVKRHISDQNQIYTKIDELQISEEWKHTIKRMLHPDPNQRITAREAQEKLSKNFIM KMAILNQTPVTTNFVKQQLNANNGQSQYQSLQQLITQQINALVMPLQGPSFNNNQQQQKE QSIKLLCNLKEQIIKALNQLENNPIQVLQTNGSDPSQENQKQNQAVFRKDLPPIIASKLN EIKKTMQEIEEKSISSQQEADLDDNFQKIKAEIEILNKITLNFENKQLEYKQVQQTVEIL KQKQKMLIQKEILEETQKELQTQIKSINDGQLKRETDNFQLQINKLEQQMITLNYYQDQK AKQQQIINDLEQKLTQLSDLDLEVRKQKDEILDLRIKLQFLDSIKSEKLKNEQYITQLKE DIARLNSYKAQFEQLKLEIQELQQSLQQLSTIEADVKTVKEQLIATKAKLRELPIEKQKL ENYNKEIDKLKEETKILDQIQQRNEILNQQIKGFIEQRNLKLEKEEEEKKLQLEKEQLEK DLQKQIIPRATTLRK >CAK70368 pep:novel supercontig:GCA_000165425.1:CT868079:49741:50712:1 gene:GSPATT00038470001 transcript:CAK70368 MKYLIGSILISLLLFANNFNNNQFQSSDFQNWQKQYGYYNTEFERQYREMIYNQNKKLIQ EHNSKQNITYRMQENQFMTLTHEEFVGIYLQETEVSIPEITILNQDFYQPLQAVDWRNYT TIYNQGSCAAGWAFSVGSSIEAWFYIRGGGQNISVSVQQLIDCEKNSSGCSGGINTQAML YALQDGLYNSQNYPYVGTQLACKATKSGTYFINNYTFVGGSTQSLQSSLQNYPASVGLDA TYWQFYSSGLFSNCSENVVNHYALAIGFDSNNNWVVQNSFGSAWGENGIIKLPPNNTCGI LNQAYQIY >CAK70369 pep:novel supercontig:GCA_000165425.1:CT868079:51392:57135:1 gene:GSPATT00038471001 transcript:CAK70369 MLNSQTQSQSAMSILGYVPALIVQHLLNLKMNKLPRSLPEKQNIKSVVMFADISGFTKLT EKLSQLGTEGAERIAFAINRYMELLVQGIGRSGGDIFKFAGDAMIVIWPPPPEGNNFVQQ IETLLKQAIQSALLIQEKLTKTTIEQGIQLSVKIGFGVGEMSIIHVGGVFNRIEYLATGD PLLQAFASEHCLTEGGKIIISQQVYNLVNNFFECKEVEHHENHFEVIQIKSTQAKVKMKA DALLIKNNITIAKFQAIRNEIQSYIPAALLPYIEINEEPWSAELRRLSVMFVNLGIDLND AKSDKGLQQIQRVIMTVQKCIYMHEGSLNKLLMDDKGSTLIIVFGLPPLSHQNDAVRAIL TAQLMRVELPKINCGCAIGIVTGTVFAGVVGTSGSRREYSVLGDSVNLAARLMQAACEEK ENKILVCSETAKSAEQNLSFQFLRSQIVKGKNQPVEIYVPLINSQPSSTGNFFPTLRTNN YAFGFKRKTEFANQQIFGREEPYKKILNQVDKIMRGSDKKGLFIIKGSFGVGKSMLVKKV LHRVQEKLNSNQYNPWKYGEMPQILTSQLNPVTRAYKLNGLRQILKQIFILYSKRLEREP DLSLFQLMVDEQLYSQNTINLMKEILDLKCISRVENFPPKGNDDENQQELKKITLQFLSN FFEQVPEKYQNLYVGRSPEELGWDIKQSNIRQVIKYSIKYSNIICPVILCLDDMQNYDNL TFKIISLMIKAYDRIQVLGLYRDNFHEMTLQVKTAEKKKSQEEIAMDGISRLEDAIEQNF YSIIQLKGIERKGKDDEFAKMIRYSFNISKLDIENLKPTQEEIRKREPSVTNDVCVQETL NKEMNHQFLFFQNKQQLIGQDIELLLLSYIYLKTSGVPLMVLNFVQNLIDQGYIKMGNKS ATITKELINLINYEESIIIDAPCDRIAVNGPIIDKLPCLEQLILKVASIIGDIFDIQMLS RINPFKAAVNNRLQKMMDELEQKDFIETMEVQEQNIYYRFTCPFMRDCLYQRITFKQRRQ LHKAAAEAIQLLPLAFEIDERIESKKLQFHWVMAEQNNQVVQQSTQNFKARQSSGSVRNL VLRATFGIGMQKQKQEDNSNNQVAQVNQYKFENLSSKAMRSIILKQISNKLTKNNNNINT ILKEGILEKKSKQNVSWAPRYWVLDGKEMRCYYTKQDMLKKELPLCTIPLKGIYSVIPLD VREQGDNNFPLSISSTLWYKKNKEMGERRFLLNSKNVEDLEMWIIYLEFAKAKAIYDDFT NNYGKISFPLGNNNDYYDPEFKYDVNIEKQKLSLGLQYDKSQQAKMSKNSVLSRNSRASR MTIATKQFKFMEKASQEDNILQTSQIIDSQLLKDRINCFLQKSMLLLFSHLFDMSLQKQD DYNLLGQPNMVMKKMTNIFKIDKQLTTENKKQSNAFINNQSQSQSISITNSNDNNPNVIQ QSQQLQQRKAITLDGNPLVAKKIDTSIDEDSVCSSNEGGEGLLQTKTLQQIMEEEYDNEM SESTNQQQQQLKQQRNQKYFEEMRMKQSSKFQQEDRKRSDSQESFEQNIAISRRHTERQD QLAKQMNYFKLPNQQQEVRNITEFKLDMAVQVDLQKVNNSISKSISKSSIDPKSELSYQQ NDNQEFLKLFENSTNQGQSQQNNQFSNKILDDNKRDTIQTHISSSNFLSTQYPFLHHQST LLSSNTNQKQRQPQQYASRQSQISQKTIANSISSQQHQYGTALNTCKSLSGDIFTLRPGY RVVVTRIDQQKKLIYCNYQNMPGLFYLRDIAVIEDNQEESQIKMKSRTPTMFEVKYKELL NDRFNTRSKSPNYRSAQCKTPPKKPFMRF >CAK70370 pep:novel supercontig:GCA_000165425.1:CT868079:57200:60334:1 gene:GSPATT00038472001 transcript:CAK70370 MIPYPPIIQPTLRSANQSSGFKDSEDKFQHSTPKYVLKVPIPSLATLQQAPQSDNKSKSG HKENNFSAMTFDQKKWNPDSQGSDNKCVLSQSAKKVNTENDDGSSIVEKSFTEAAQKILK IKNLELENKVSLLVIENERLTTFLNDQFQENEKLTNDLQNNQASLQDLSKHYEDKLNIVL LENEKIVAINQTLTMKNEQMQNQIKRLQSERDEEINKTRKEWAGKYQQQINQIDEMRKSE IHQVDNRIDKLQFEKSELQALIQEQQQKLMEFQIELKVRDQTLIDYENVKADLASKIHRI EMMSQTILAQEKRIYDLEARLDIMVADNKQLHDVNEQLNQTQRILQGTMEDRQIEFDREQ HKLKDFYELELRNLSNKNKQQTEDIKLKHQEQLQAQRVQIENELIKELEQYKMELETKQN ELNNNLLIWQEKEKNITQENRKELEIKDQMIQTLKFSNQSLQDQMNQLQALISKMQEDFD SQYSQKETECVKMAKNIHLKEQALKQMSLRLDEICKQLKILEEQRDELSQEMKDKNNKVK DLEMKLDIVVKDNDTMENQLKNNQTQLQLMEQRYANLEQTSEQKIEDMKIQYSLEVQAQI EQCITQYQQTIKYEQDHSEQLMKNLKKQQEKAKHFELLSEQQLNDMEKLNKRIEELIDVC EQNGSKMMTLENLLKERDNDYDVLNQKFQSVADNYELQIDVLNRQMYDMGIQNEDLASNL NQTQETFISMIDYQLLEQEEQRKKIMNDLAEVTKQKIDQDQLIKKMRIQVQALDEENRKN SEDSIKFKNQVYQLQQEIEEITRSMQLNFAEKEQELQNKYENTIMDLNQQLNTLTMRFND LVRKEKDNRFRLKLLKSNPQMKLPSELEDENCYETMKRKMEDQSQYLQRLILENDELKQQ QYSHISKGSLNDQQKQKSQKQSMNIIKDYTTQDSDRTIRQNEYQKTMNPFKQQPVKVVPA MNNSQNILDQRYGSNRPIEREVYLGQTQNTRTEPHLYRKQSLQRF >CAK70371 pep:novel supercontig:GCA_000165425.1:CT868079:60419:60835:-1 gene:GSPATT00038473001 transcript:CAK70371 MDSNLNVLQDEEDGPTLNHKYLGLATFSPKTKTEINQRKNLKTLKLIPFQLIQESNASKD DNKQIKSNSSQQPIQVVQSSNILQNEQNSNKRTVFDLIEQSTKSLSPTKSYYEIASDYTF KLYEGHKKVRFQLNERVE >CAK70372 pep:novel supercontig:GCA_000165425.1:CT868079:61021:62998:1 gene:GSPATT00038474001 transcript:CAK70372 MVDAKQLIPMKRFLETQQDKIKLFSLDQPEQIHIYHNIGRVTKIETKSIQEQKSNTSIGF FAVYGIMQLKNWSYLLLVTEANMVGQIVQRTILQVEQISFLPLVSNGRLNDIHADDQAYC KMLKEVFSTRTLYFSYEYDLSNPFDKVMGNNSQFGTQQKTSEGLHYYKIPNHRFVYNWEH IKFLNQFQSTNLPALQYWQTIFISGCVMIRYCKLNQQSDCFLILVSRRETLRSGRRFVQR GCDQDGNCTNFAETEQILILNRQESRDIYSFVQTRGSMPFNWQQQPTLKWAPKASIIGDR SYNSELCKKHFEKCAESYQQLQIILNLIDKKGTQKMLGEYFTSMISSVKTVKTKYVWFDF HHECRNMKYENLSKLLNEIKEDLKKSGYFHAEIDKNNYNHLSNIHQQQSGVVRTNCMDCL DRTNVVQSVVSRNFLLQVLNQSNIIHTLTGEALQVLPNDLEQIFRDQWTKNADIMSVLYS GTGALKTDFTRTGKRTFWGSLQDGKNSLHRYYINNFVDAHNQNCIDLALGKLEADKVNYK KPKFNGVLQLFIFIIILMYIMTSFLPGLVLNEQSAYGNSCLMQLFIFGISLFITSKILLK THYLFISKPIRDQN >CAK70373 pep:novel supercontig:GCA_000165425.1:CT868079:63000:63585:-1 gene:GSPATT00038475001 transcript:CAK70373 MALIAFLLSAIIFLGCSTTYHHFYCLSENVNKVLIRLDYAGICFLVSGSTFAPLFMDSNA IQHMQSYMPVYKAFLASFSLAFVCLISSILQNGDPQKTNYLQDLDLRVPFHSFILQSLLI IIALLCCYGHLIFVLKRFPEKKNPGKFDNCGQSHQIWHISVVIAILFTYVGSLNAYYQRL DMPCKA >CAK70374 pep:novel supercontig:GCA_000165425.1:CT868079:63850:64351:-1 gene:GSPATT00038476001 transcript:CAK70374 MSQSNITQRIPTEKKQETKEIKENIIHDRIIGRIFKAPGYLQDQSIKHGYRINFKNKKDV IKSKFMWHNELVNIWTYLIGAIIEIVYFFFVSSLSRQYSIYGQIMRNSFVIEPIRLSMVH ETTIYVELLHNIYEHAFTLEQKIQQQLGRCLIDVATTA >CAK70375 pep:novel supercontig:GCA_000165425.1:CT868079:64617:65682:1 gene:GSPATT00038477001 transcript:CAK70375 MEKQLLALLTVTLLTSAAYFMNGKDESLTLEGQFSSWKQLHGKRYSDFEEVHRFSVFAQN LAVVMEHNSKFELGQETFTLGMNQYADLTPEEFQASFLTLKTKVQDRKNVKSYSGLSFPD TVDWKDGLTVKNQGSCGSCWAFAAAAAIEAGFQHHKKNKVNISEQEFVDCTTEKLGYESQ GCNGGWMDDAFDYTVNYGVTTEEEYPYKGVDQPCPSGFKKKHFISSFVDVEPLSSDALHE AIAKTPVAVAIKADGILFQLYSGGVYSRSCTAKTIDDLNHGVLAVGYAKDSYTIKNSWGA SWGEKGYMRLGLVAAKEGQCGIHWVPSYPVL >CAK70376 pep:novel supercontig:GCA_000165425.1:CT868079:65694:66335:1 gene:GSPATT00038478001 transcript:CAK70376 MPPKNKGLSANQKRDRIMKIFTERKEVFSYPQLEKEADKVGIRRDNLKEILESLLSDNLV ETENLGTSKCYWSLPSQALIRLQQKCAEYTEKIDQERQKEIEIEAQFESMKEGRENCQQR TDLENEINQYRQQYQVLLKNFELKQKNDPERLQKLKKDTVNLRYDANSWTDDIIQLSFYL KSQAGMSSEQLDQLGIPADIDNI >CAK70377 pep:novel supercontig:GCA_000165425.1:CT868079:66451:66987:1 gene:GSPATT00038479001 transcript:CAK70377 MYKFCSSSDNKSSESKNVNQVLATQKENLDTNLDNLFTLLDIIDDQLDANFIQKQQQNQE SAQSQANQNELQYQQELEGINTKLQRIILDLKAAEQNQIFEKQEEMVKKFEELKKFAKEQ YLKILLKTPKILKDNNFVLTREILEKVPTDTLIDLQEQLIQLEKWQEIVNFYQSNQIM >CAK70378 pep:novel supercontig:GCA_000165425.1:CT868079:67188:67469:-1 gene:GSPATT00038480001 transcript:CAK70378 MNSTIIKIALAQCFKIDYKNKVSDPDQYDNFIESKGPQYTIVPRRPISKSFQGLILIQLV LELMRKKISGYYSLKLAQSRFYLRREKQTIQEY >CAK70379 pep:novel supercontig:GCA_000165425.1:CT868079:68091:69041:-1 gene:GSPATT00038481001 transcript:CAK70379 MSQTSKLKEIQSQIESLNQNIICIIQQQQVEKDKQIQEQQKNQDESKKFNLNLNTFQQQI TKNNSDQKNIFDEKLKNIDTRLSQYEKKVSDLEERIYKCLKQQNQKISKLEETNEVLKKE ISVQNSLSVKTFQTQLGEVKQNLQDQINTLRQNQLQEQEYKNMSIDFTTIIDQWKEYKNK INTDQEKIQVQQQSQDQKLEKIAEMLNIQIIENKNQITELNKDNADLKSQIDILKQNYSL KTELEDLQKKSQDDKVKLLESQVQILLLQNQLAQAIMPVNQVKALIDYFRDKKNLNEFLR KIRKNLQD >CAK70380 pep:novel supercontig:GCA_000165425.1:CT868079:69487:70233:-1 gene:GSPATT00038482001 transcript:CAK70380 MIYKQFVNSLIENATKEYPKRSFHLTELLAFGSEGGAFLAIAKNWGKNPQNVVIKIQKNM KANEKDFLNKLIIYQDQYESGNNKQYLPSNLIRVFECFEWKENNCVLMEVGGQSLFDYIT MKTDLQMEERVKICFEICYPLYFLHQQKLIHRDIKPENFITVGEIFKLIDFGLIRSSFSQ KKTQQVGNVISQAPEILENSSSYTEKVDIWSLGCVFYEVLSGQALLDAPKHNYNFRLNL >CAK70381 pep:novel supercontig:GCA_000165425.1:CT868079:71302:72166:1 gene:GSPATT00038483001 transcript:CAK70381 MLKVAVCTLFVLSITAIDIYTSVHNQKMFAQIKQSRWGSFILNFGKFHLQIGGILNTKIA KFIDELDEELAEVHHQYARRTDVHNREVGRLQQEIQDKERVQRSQFLQQYRIAAQLEQLQ ENIDQNRRTLNEVTVQRANDHTDFEAKVLNKMKPSVLLMNHLVYSINYHHLHQLNLKVQT NLSKSNKPSIDPALSKNSSRFLLKSLQKLTLTINELLKKSSLHSTT >CAK83398 pep:novel supercontig:GCA_000165425.1:CT868469:2084:2218:1 gene:GSPATT00039548001 transcript:CAK83398 MAKFSLRNFRVVENINLIEMIFVASFQTQQVKWQSIFFKNVHHD >CAK85778 pep:novel supercontig:GCA_000165425.1:CT868552:2:1333:1 gene:GSPATT00019482001 transcript:CAK85778 LVEQISLSVYGMLRQDNKKPNQMVIQIRQGQSISLLMVLHQHLVVMISLSVYGMLGQDNQ KPNNMVIQIRQGQSISLLMVLHQHLVVMISLSVYGMLRQDNKKPNQMVIIIVLIQSISLL MVLHQHLVVKITLSVYGMLRQDNKKPNQMVIIIVLIQSISLLTVLHQHLVVMITLSVYGM LRQDNKKPNQMVIQIRQGQSISLLMVLHQHLVVQISLSVYGMLRQDNKKPNQMVIHIMQC QSISLLMVLHQHLVVQISLSVYGMLRQDNKKSNQMVIRIMQFQSISLLMVLHQHLVFQIT LSVYGMQKHQRKYSNQIKATKIYLPSLKYHFRIVPYCLMIIHIARYLEYVRILCLKHQEH LSYKDNLLIIKEKIQNPCLNPKGVAFQKTQSKNEYEIFIMNITIKFQSISFTYLNHMVFV QFLDLHCLIYFYWKV >CAK85779 pep:novel supercontig:GCA_000165425.1:CT868552:2446:3126:1 gene:GSPATT00019483001 transcript:CAK85779 MKESLQQTSQIYLDQYQHVTRRTENLKFSLSSTKMSRLRIFMQRKQRIDKQYCQTLEHYQ QAEQFKLTPLLVELLDMLDIMSKHCKRVTRNQQIKSKFSTQKDGQELLQLNIKQTDELHV DDQCATAEQPAHILQLKAFLIYSNKKNSTSQGSMGADCIKSPVVLQWCSLNLYYIDPQIL RMHIIQLLLFLVCISLLVLFQFLRLKYLLQRDTRMNKQRQLNQWLQ >CAK85780 pep:novel supercontig:GCA_000165425.1:CT868552:4387:4873:-1 gene:GSPATT00019484001 transcript:CAK85780 MGPSLRIVKDAHQQEWIVVQLAHIFNIYHKNIKHFSNKSSYQCLITHLLHYRVMLACGLL RDNTFSKFMIKFVAPKDIYLNISLFKYFQSLLFNILKMLKSQLKSSVTSPSVLLYFLQLI LSCFFACAIAVDTFSGCYLNSILTNQNFDNAI >CAK85781 pep:novel supercontig:GCA_000165425.1:CT868552:4971:5141:1 gene:GSPATT00019485001 transcript:CAK85781 MNLNLPDRQICALYQVDTVLFLRQKLQNLFQQHTSVDVVNLRQIYNMNYEEKLNSK >CAK85782 pep:novel supercontig:GCA_000165425.1:CT868552:6135:6627:1 gene:GSPATT00019486001 transcript:CAK85782 MYAAMQSAQVSKFLQNEVSSKLFLRFRLGYYNHLFYYMIGIPSRASPHNCSSFQRFSSLN AQEEWQRYLKHFNILTLWQNIHTASQNQKLYDFWKQGYDGNALGTLIATLIRISITCESR TCYRHFLTSLPKQVYEPLGASPFKALTVKANQIQGDG >CAK85783 pep:novel supercontig:GCA_000165425.1:CT868552:9184:10409:-1 gene:GSPATT00019487001 transcript:CAK85783 MFMQNCQLTFASDGSGLTLIQHSRIQVFSIGKKQKSFEEECMIKQQQKTKVIQIIIGVDQ CNYFTNMVVLIDNNNNNSLFWIMKEIHSELSISLAQITLYRLVLQDTNVKWTSNQLQMKT KYTFFLNFQSIYTLDLNSFGTQILFKLNNIKTYSLKIIEKDFFVDKILLFQVSTKASTEI ITIQDITYLITYRNNSGQPQAKKNVGTLKGHQDTITYLTASPSEGVLAFGGKDRLIKLWA IKQNESFEIQQAHSNPITSIAYSQDGQLLASASDAPIFLWDVIDKKLIIQLKKHETQVKC LEFSHCSKYLVSGDNDGIKILWNIEIPQVAKFVYIREELYCPIHSISISKQEQYLFVISN QDIIIKWEFEQIEKQQKEKILCNQYKFKPIQFYWK >CAK85784 pep:novel supercontig:GCA_000165425.1:CT868552:10749:11832:-1 gene:GSPATT00019488001 transcript:CAK85784 MNQTPSTIDKSEILQNEEQQYQSYFRQERALEKKLQYSISANNQIKGVNQLDINNKEGWL KKKSPKLLVRWQKRYVKIQDGKMLYFKGSDKFKGCIDFHLISVSITPIMKNNLIYKIRME LRRYFILSVDHKDLQDWYRCIKQHILIKQSYPKMTPLNHESMWKFERVNETYFRKKADTG DILLFRDQSPLSKIQRAFTGDNFDHVALLLRDNNGELFLFESMRQTSVNHLSWDAFMRNN WRTLYSQSNQLFEKLEQFVKVHNRFIAQGSIGKRYQMSPSKLIKRLTKSNESIHDLEKDV KSFFCSELIAAAYSVK >CAK85785 pep:novel supercontig:GCA_000165425.1:CT868552:11954:15066:1 gene:GSPATT00019489001 transcript:CAK85785 MLQINIEVQTVYFYIDSDNPHCNIQLNDCNNIELKLAITTVVLNNQLRCKCYEQQDIYEK VEILIPYNQRNKNKLKLIFYDNLIKFGEAIFNLDFYIEHNLSQISDRLSIQCDQNEEAQL TFIFEWQLIENQPEQNIQILDNCQSTSSPQSKEISQRNACYSPKRQEKSKKSSDDNRITH QSYIQWKDHKEQLKVQQEKKLKEPKPQSKVVITDDYVWSNQLRKPDLNVRVGSPPKRFAT PTKQFKKIYCSINEQPKQTDLDLKDINDKMIVVKKQIQSMNQQNKKSDDEKRKYKTKLGS KQKYFQQEEIKTQSDDIVKSFSDRGWKQKIMSQNNENYDQFQLNTFNQVTEQQYPEHNTD PYQEIQILKQGLHQQDHKSRSPPKTKNQNQQVNHSPKSKTQHQDDNFKGTKQVDNGNFGG EKYEQFLRDYLDLQDKLQGSQIEFQLLSQTYQQLKEEYTQVVYQNQKYYQQIQSLQQQFQ QKINSIDQSSQQVQYIQAQPNQKLQQQQQGQQQQQQLLLQQQQQQYQQSQQQQQQQQQQL LQQQQQQYQQQQLQQQQQQQQQQQYQQQTQQNQQYQNQQSQNQQYLQQLSQQQQNIDFLK KENELIQRENTMLKQNYELLQQNLQVLQHEKEKNEFEIQNHKNSLKILNQELENLQKKLD LVNNQQQSHVTPIQANTAKFDLRTDIQQINQLKTKLENSELISQGLREELNSLKQSYVQQ EKESQNLKKQVDNQSQMIESLEEEIQRSKEQLEQKKQEIIRLEAFSNKENTNDVIDGYKQ VVRNKQQELNNKDEEIKQLKQRNKQLESEYKEEQENNQIMVQQLNLQLKQIITRQSKQLI TVVKRTQRFRITILVNNSLIIRQDQEQKNFVQYCQEFSFKPSMIKGEDFENQNDILQQEV NQLRQEINQFILQKQKDDIKIKGLSEELEIQKNITSYQENEQLKLKNELTATEAQLLTQK QKIADILNSIMIKGDAKLMDGLEKLIQNKGLVSLK >CAK85786 pep:novel supercontig:GCA_000165425.1:CT868552:15431:16933:-1 gene:GSPATT00019490001 transcript:CAK85786 MENKKDDGKDKTYHFLNKGHFKFRWILLGFCIWIVAGAFFCFDVPAALHNTLKQHFSDIL TDGEFEIYFSGLYSIYSFANIFLPFITGRLRDAMGDRMILLQTVSFVFIGQGLFAYGVMI KSFIVMYLARIILGWGIESVQPIQTSFVSPYFKDDYLGLTIGMNTLFAGFGSVATMYFCP LLALQYGLLVATSIGCLFNLFCIVCAIVSTSIDKCAETQMLKNIDYEKVKYMQLAQEEEQ PQINEQNIQQSEVSTYEQMKTYPKLFWLVAIYFGLNYSSVLGFINISVGFLTERWLGEDE ESELKAGEMVAIMWLITGFFTPIFGFIADKYGGRASHCIIAGILCFVSHITLWYIYPFLS LIALGTANAIAYASPWTGVVYLVRPDYLGKAYAVVVGIYNGLFSIFPIVVGVLRAYYGSY YYSQLFLSFLGFCSLIAAILIKIEDVKQENLIDGNKIISATSIEDNCKQNADGDQI >CAK85787 pep:novel supercontig:GCA_000165425.1:CT868552:17297:18700:1 gene:GSPATT00019491001 transcript:CAK85787 MSDLLKQTLKGQQKQKPPVPKKQMQNEEENEDDGDDKEEEQDQFDVDFNKNSIPVKLQGS KPQPIKADHNITHSHHQNPQQAKITKKIHDYTPQKWNEFYDEMIFHPNGTPIYIAGKNKA PIFFCLHGAGHSAMSFANLANEVKQYATLISFDFRGHGQSKIELENPNLSVQQLLDDVVE IFDYVTTQWPKQTVIIVGHSMGGAIAAKSANLLITSQKADKVQGLIVIDVVEGSAIEALP FMEQIVNNRPKHFKSYEQAIQWSLNTSTLMTLSSARVSIPAQLKEVKDQNGNLISLDWQV DLLKTAPYWMGWFEGLTNSFLQIRIPKILMLAEKERLDKDLTVAQMQGKFRLIVLQNTGH SIQEDDPKSTAYNFHDFILKFRIPTTVEEVEKLKQVGIGKFHPTIGNYEYQQKYY >CAK85788 pep:novel supercontig:GCA_000165425.1:CT868552:18732:21815:-1 gene:GSPATT00019492001 transcript:CAK85788 MAEFSIEKVCQAIQVFNSGQVEQADSFLRSFSQSNEAWGICIQILQQNPDPSMVFQLLRI LQSKILYDFSTLIQSFISFTHPLRSATHISKLHSNHTSLQRIKLKINQNIMCTYVHLFIL VHLLIANQINTRVIILLILRVIAQILDYSQNNSPHQKFLFDVLETLPEELTENKKIIIED EKRKLIAQDIKNKQMLDILTFLQTQWNAVPDDSLKYHILRSYKKWLEFMKSNITEEEAIQ FMQLSSQTTLFKGTLESISNEELQSKAVEAICTFVGIIPKTICEQPQLEPQVLQLLFDEV YKTFPACKKALDEETCDEIHNLIKLYSKVGKKCMHKIILNSQLEPFLQTLLWIFCHENSF TESDILMDFWIKMIKTIRIMNDLQLQNKFSLTFEQLINGCVQKSKVNKILLAEYGISPKI KDEFEQQLETRSQMKEIMEELVTIIQPNLIIQHLGGILKKEFISQMNENGWITFEACMNL ISGIIKQIILKNDQIGVQYLMEIIKLYLDVYQQQPQASNNFIMKTVFKTISQGCAQLISS NELLPSLFNFITIGIHHKVSSVQKKATKAFQLICQQNQNFVLLHLNQFLDLIFKLQQVSN YDNLIKGVANAICSSQETMQNYYLKLCSIFAQNLVQLQKQIEELLVTSVGSDTLEEKIKQ FSKNISSLAYINSQIPANESNEYLAVRVLVVNVYQELWPMLKFGMERIAVFEHGVAERIV RYTKHTFRKAFNQFSVDLLTQVFQSFLNVYRQVPITACIYVAEVSATVFYKYPEYRNLLS EAFENLCNITFQHLPQLSSFEENPDLTEDLFGMLVRYGRYTPVLLLQSSALQTILQLTLM AIGLEHVGAAKVFYSWLEVTFLMLKPQDEAFKVQIPQEYKDKFQQIITPFIPQYTSKLFE ALRKGPSDEEVEDYIDDCIIALSQISFIDYHQLLSQVLEEGPQNILTQSEKKTWIENNQD SEKQQSFLKLYTRRCIQNALRG >CAK85789 pep:novel supercontig:GCA_000165425.1:CT868552:21837:23041:1 gene:GSPATT00019493001 transcript:CAK85789 MEYTIKDMSGFLLNKQYKLLKKLGAGAFGEIYSAIANGLDYAIKIERSDTKHPQLLFESK LYQYLNNSPIIGIPKYYGFYQQDGYNFLVMEQLGKSLEDIFTDNNRIFSLQSVTVLALQM LECIEFLHGKQFLHRDIKPDNFLLGKTHKDRVYMVDYGLAKKYINKDAHIQYKDNKALTG TARYASINTHLGIEQSRRDDLESLGYVIMYFLRGALPWQNLRANTQKDKYDRIMEKKLAT SSETLCKNHPKQLFHYIEYTKNLKFDEKPDYSYLKNLFMSIMKENELRIEYIYDWDDEDT HRDKILLKNQSSQQQDQQQSNNLIKNTNYSQYNNNRQSVMKTKTSSIHSINKVSVLKIQS NINTQNSQNNTKKNPINNKVKSIIKKHSSLHYN >CAK85790 pep:novel supercontig:GCA_000165425.1:CT868552:23532:25415:-1 gene:GSPATT00019494001 transcript:CAK85790 MIPNIDFDQNKSVICQVEIWTPKNCVKASEKLMHGVISTLQNQQKVLYIISINNRLEHAN QKERVLSLLCSSLAWLGSLKQNSSNSEQFKTLKIVYASPEENQLKQAEGYLQKTIYRPKF NYFKNESGIAEIQDCNLIFVTYENLLSCRQGGFNNSILIFDNYPCFQIQKRLTKISTKTI QNSLVELNQFNNFKSKDTSILDTQWVELSRNVMESFSDYLKEKTSQEILQRLVECYKFKQ YKKSFNEYANSCQEQLLRISSYLKESNTLKLTSLIDWLKFIQIVQEWDKNKQGYDGNYQL QMNTSKKQTTLYLYLNYYSQYVLDHLEKLKFQSIIISSQSQFSPQDNFLNNIQIKHKIDQ SEYFQTFYIQSNDKWVSLYNTLIKVYKVIPNSIVVIFKKLSDVKIFHEYCETQKPNILKE IDKHKILFWGNSKYDQKIFISLQREGAIWFDTYQGISKKKLFKSTNSFQFCNGLILVDMN QPTSNITSTMNLQYFKNRFFNNLIEKVLLHDNKNRVLIILDMKEELQKWMKTCNLYQVNF FEASSPFLFEMIEKNFKDPRFNQIQIENDVNFNNVQQTLNQLENLQELKMEEESEDSSFS QSSHNSIDKSDW >CAK85791 pep:novel supercontig:GCA_000165425.1:CT868552:25899:26802:1 gene:GSPATT00019495001 transcript:CAK85791 MSDNNSEENSTSSREIDIEYITAKLYVELVDVRDPNQNYIEYFPEFDLSKVQQASGINIF KLTSKLKEQGIQLEGRTISYYSFDCEMYINCGLDPVHHSYVMPLYEIKQTNQLRIKCIQT GISLIHLVMSEEMNEKVNKMKEQEGNEQSQQQQQQGQENQKQCRRTKERRIGYIIEKVSR WREYYNGITIDGESKRFTLEEAAQKVNISKKSLDDYLLQIRYGRKFGFNFNEHKNEKVGV LRAFVKKNNSSKKKKVKQE >CAK85792 pep:novel supercontig:GCA_000165425.1:CT868552:27248:28463:1 gene:GSPATT00019496001 transcript:CAK85792 MYKVILLCSLVIFASSMSESETLLQTLQTSEFGKTIIQTIQVQLEGNSSVDKIIELLTQM KERINGEQDTERQKSRDHTQFCDDKYDEILFVIDSSEYQLAKDQQTLPLFIQEQKNKQRQ LLDKQEIEDRNNLRITELTEQRDLTRSQYEARRDELTTMIGALQEGKRIISKLSTKKWDP LAGTYSFLEFSQFMFNELEAHQKSLKKQSNGIGLLYDLLLETSQDPGIQANQQGVAKIQE IIDELIESIFDLLKKELLEDNAREQDYQNQKERIVIQNRRLQATIATFKARVLIINQTIL ELNNDIRFNTDKSTLLRKQKDDWERTCVDYHNGYVEATKIRTQQSDILTEVIQVFNRNYN DFPSLIQNITV >CAK85793 pep:novel supercontig:GCA_000165425.1:CT868552:28476:30109:1 gene:GSPATT00019497001 transcript:CAK85793 MKNDKEFSIKETVNKNIERVQFPAFNQHSSLLGWCSMNYPRVLQNPQFMPKPKPKEYDDN LDFLYQKRKKEQQNNQLIKPFTNYQLTKEAVILEDIGAPPPGFKKTLKQLTTANPESKAL IYNQTYKDYDPYLNIKPINSQFKQEQNENFTRTIRQVGDVVYNQTYENEDIRHLKKLPNS ILSEQNLQEALNPKLKFLNLHNHTWLKMEQISKIGYFAINLEELVLSNTELEDDILMELA KSCRNLKVIDVSSCPKLTETGIRNFLDYTSKYLQGFKCASNLQSITDYSLEPLQNAPFLS IVNISFCNNLTSNFTKYLLQSGCRLKELQIATVENLQADLLSDLISRSKVDMEYLDLSFI PTKDISDSVISATSLCTNIHTLILSGSTNISDSSVGRLSSLHKLKQLKLGGIQYLADNTL VYIAQSCNKLEMLELNNCSKLGEQGLEGILKALPHLQVISINFTPEIQDPFLQEKRNEYP KVNIIRTINKMTDPKDDGLRMPLPLESVVMQRPKKKKKK >CAK85794 pep:novel supercontig:GCA_000165425.1:CT868552:30155:34873:-1 gene:GSPATT00019498001 transcript:CAK85794 MNQNQDSHVIYQELLTSSLNKLLKLLPKQMVSLKTLIEKSLEQIEQTKNDVNRFATNANK YFIIYKYCIDIKHNKITECCLYDLEKLISQNFIDGYSYDYLEFEKGKQQDRMLIDSIVES IISCTKLQDENLHYLIVKCIDALFKQQRLLISGETLSSTLKTYLHLYKLGMGSVKNSIKL AIKSVYDNSQVKVDMENMLNKGLSWNSFYDEPKEIEEVAISDGDIVEYVSITLRHMVDDV ILYNERIKTGQANIPIASVPQAWEAEDIKYKNYIEVKVVDNGITSGKFGWCILCRQPAPY FCKDTRVPVCSVPCKKKHFEMIENIKIMQSGQQSKNDDCQIIFKYLCSKANKEKNIKKEI CLDFILFIVESYPLHIQSLNFDENCINMICLNLKNKRTSSTTFKILSLLILHTRDLLQVQ LEIIFDFVINKLPCDQTSAFLDFVLQLIEYPKLILELFTNHDCVIERKNLVQTLFEKVAQ IAQGEQTNQDSCLIANSIIQKHLQQFIKLVQEEQNNSIAGYNSEQIEEQNHDQLKKMIKN IEHFDQQFNYIYKGMKNRLQRFSLNWKEGLEYLINQGILEENNHAQIAQFLQDNPFNKEQ LGQFFGSSKENHQLIFQIYSQSIDFKGYHIVDALRKYLNYFTLPGEAQQVDRCMLVFSQK FFQDNPVDVFKTSDETYVFSYLLIILQTDIYNKSVKTKMTFQQFCKSSKLSMERDLGEEY LRYCYDQILQEPLAIHSSIEKQQNSQINWMNLERKSLQQKIYIFMPRIDYIKLFMEVFWP ALFVNLNVTIERTENVQIISIAMQNATFTLQLMSMVGICDLCQQFIQWLCQLASLENKQL KQKNYKALQCIIDLAIKNGNALKNNWRPVLEIISSINYLLNEKQKGKILQEPLESISKNI QNIIEISSIDKVMQNTSNMDSRTILDFLQSLIDVSLNEITLPEPRIFSLQRLVEVTSFNM DRIRLIWMQIWNLLKAHFVTAGIHSNSDISLYACDQLKQMSVKFIQQYEHNNFKFQMEFL QPFELIYAQTSFSEVKEFILSCMRMLAHMCYYKLKSGWRVVFKIINQSLQESIVLVNISI DVLNKVFSEDLINLKDIFDEIDQTFQFLFNREEQHVLLKGIEFVQNAVESLMKHDNNLYY AFWMQSLAHICNLFQNNQQQIQEQAIQSFFKILKSNTQNIKGHQYVQIMKGMMKELFVSL SKAKKEICQMAHKSLLELVFDHDIKEAYNEISTILLNSTFQTNEYLAKTSVMTFKSIIIK EGQKLDWNQTIQILDLMVQNTTPNVLFEAAQLDQSIIDDLLSLNLPKNQIKLNSDQLTLK CILQLMLIDIIQDIVDHHIKLLNEKTYQYLLNIAQNLFKMSFRFNRQIKLRYFLQQQGFM PNLQQLPGLIKQEKSSAIGILNLLHKQSQVNEELLKDLIQFIIEILEDTKVLGQIQVNNQ FKVELEKIIINNLSIIQVSVIPILNVLDLKKIQDKIKSLLTYFVQLPSCYSLLVSQCPHC QTCPYKCMQKQEWKKLFEQIQQLQLKLISQI >CAK85795 pep:novel supercontig:GCA_000165425.1:CT868552:35153:47740:1 gene:GSPATT00019499001 transcript:CAK85795 MTIHCLKKNQNILINKSLEKSFLLNGFTSLMIQYKILTYSKTLEICNPSECPKKIFVMLR GGGCGQSKAKKDFDSQLRQDQNQMSASQLPENYLANLNDYLKLIVDEAQLICDTTKRNEL MKRIQWFIHNREYLNYFCNDEKEGSKIYELVKANFESLLTVLITYLRNSGFICYQVLQIC NELLRIMYIFQFRESKRLLKNEDQQDYIQKLSEFETQLEIEQANVWKTGFEFEIKIMKIM IMNSKTDSTEGKDALINFFKEAGKSIIALSPSEDLLQTIIDGGKYLLKKGIEKKLYPIET YQIYYLFQLIKWSIIRQLKSKLSIYKQIQQLKDVFQQYILVSDNWILHFSWIQMIMDVIA YRPIINKSNISINQLDQQLIKWNLLIENNLIHCVSYNKNEAIMSLFQDQEKPIYDNELIS QLDVYCKKKFVLFSQFLLKGDLTQNLNLWDHYKEFTFTIQKDKKQQDYETILASYELEIL QKLINNIKSLKDELLSIHSGIIQSFQTYFKDPTQISIQIIQDDQQASQKQYLQIYKQLIV LTNYFIQLTKFEVSKLHLLSPYLNQFKSQPNKKILEDLKEKIFNFQSKELNEFQNQLLNY FLVAIEFSSLANEMNLFLDFKNQENLKIINDKVEIDKFQNIFTTFENHFNQLCLNLTSYK EKFIKFIQQEEQIKSMTIQVEDQNILSIIQHNQNEEQSINKKLQEIFINKYKFFDSELVT LENVQSNLIQCKLIILTLQYLKQFTNIQSQYLMIVKQSLENYNNNNNNPELSTQKVNLDN KQTDFDILTLQQQKIKALINENAQQSDQQSIEVNLKSLLAQIESDFICIKSQSQKVQNQG ILKLFTNAQFILLQVLNQNENLSTLQDLLKDYESNINDINQSFKQSIQDVNINEDENTNK KPFNLFDQCLSENEQLIQNIKEIKKYYKYYEKLLIFQLELLPKSIIQDQAISAQDQQRQG QDKQPVQLVQEEQLKLNQMREKYFSKKVKDSIQRLISSFNPQKEEQDSSNSMVNLKFTQF LQFFYSLSNAEYNKGLKPDIINDSIWQDIKNAYKDTKEKLLDLVDLKPEYKVREGFVYNL IRLQYSIQEQKVKAFCCKHLQYIWVFEKDQRVRNLLKNKELVEIQKQLFAQDLDNLSVSI KDELKQRMLKLENLQQEIKLEGNLQKREELQIYLKKTYDELDESLDNISEMSEAMDISLI FLKDISKDVKSIKASIDNLQESINQVGDDIRKLRGKRYDELLEIRKQKILFQSKLTEVDS VYVQLTTIEYDPVSGEIVKYENNAKLTKLMCAQWNAFEGEVNEFIWGDKQKDVMLLSGNA GSGKSKAARKIEEFIWKQKGVQSKWIPIYVSLPTLKNPKFNLFEQALETENYQFDKYQVK EFKEAIQAKKEFIILILDSYDEMKQDCIQSNLILTNKLIQEFNSQDRQMKVIITTRKEIL NTVGYQTWFYGESLQSLKEVQLQNFNEEQQNEYLSQYVELSIKRKIKEIYEFVKQIAGQG FHLDEFLTIWGLISSQVKSCIEKSEMKGMDGIFYNNAEEQIITKIKTHKTLEILKEEQTT ALRKDLLALWSANKFKSSIKSVNIENLLTTPFMLEIVVQVLPNMAKKHSGSQQMKEVFMQ NYLKLKRQVRLSKIEREQYQNENSKSKNKEQQSMTNFEEDYQPAQEKEEEYQLKIEKAKI IQIVDMLDNENFFSKYSIVSQLKQDGNAIVVDNTAIRFGSTNDINFVIMALQMKRFTVFE FYESFINFYHDQQTQKQRELGKVYNQDSFAFDIYQFSYSLAIDMTVRELSQVDYKPLGKL DLKSNYKIEQVTDDWLKQYFDVEDEYKKLIRSCILLNAKGSTFSFTHKSIQEFFVAKYIY DFLLSFKNFNKENLEKNKEILSKSVFNNNDFNISTDNLRGAIYFIRDQLINVENSNQKLI DIVKLSKDEDYCRAASNSIYLLCSMNVYLGSQDFNGIRLANTDISGLSLFDCDLSNSKFE NVEINSCNMNLADLSNVKWTNIICKEKPNLEGHKNEILEVQFSPDGKFIVSIEWREKTIK LWDAEKYSFMKDLEGHTDYVNSLSFSSDSSILYSGSDDGTILRWDLSNAQQIKSEVVQIM DNKVRKIKVSQDSKKLYIQDDEQCFQILDLSKNGQIQECVFEIIQDSLISFALHPKEPKV ALLYKNDYIELREYEKNQLVKLEYSHTYRWGEQSLIFSDDGEYLGMLSQNEVIVWNLKQN IEQPEKYLTFQGDIYLKSLQFSYDNELIICGNNFMFKSVNDQFIGKQKCTEAQISPKGDK AAIAYENTLSLINISNQELLCQRNFNDLQPCNMLFSKDGSKLSLFLNAESVTKQFMILDV YNILTNICFLPFWDTFWISYILSNDFESLYVSYSKPSQFNQYWQVENIIKIDTKKIHKET ENKKYSISSQKFCTQTQRGVIAYTTQDNNMIQIHDLDKNLELQKITINSEKIIKDFLFSP TQNELAIMFEDELQFWNLDTKSFKVKNNLNLLDQDIKSINYSPDGSLIVLILENQFQIYK VESDESPEIFKYEGNRIVHLSFDNDIIGYYQNDYDSKKVILMNTKGKSEQKILEGHKENI IKILFTHDKKSLITACEKELILWDLSTFKIKDQKHIFIKGNILQLSYHNEQIALYSDHVV ELWKYSQDKLIFIASQFFDFSIDSLSFLYDDQHILIQIQNQEFLLYSLDNFQFQQIYPNS FNIGAISSNNLIALIKESYHCLSIYQSSLEKPEFEIINLRYYIQYLKFLENKQNLLLYQV AKDIYIYDYKKQEEIYQFNLFICNQAPQLQLQDDLLISTNDKQITFVSLSDLSKIEICGF HHDISCFSIQENQEFGLGLKNEQLIIIKDLFNVQFALPINSKVDIIQGFASNQNKIYIVQ EKQLQIKWMNTKQVHEFKEDVRAVAYCKESDQIAICTKSQLILLSFQKNSINIVSKFDLQ MEIYNCKLTFTSDGSGLTLNQNLMIQLFSITKNQKFICRGMYDKVFFIKGRIDYSSHLRL AQIFNKHGTTNSQQQLAILDQELSLFRIINLKSLKQIVQINFRVQNRQLDFQICPDEDKI FFVLDHRLIYSLDLNSFGTQMVLQTSYYHYDLRLRITEKDFFVYVENDKILQYQVSTKCS TEITTIQDISYLNYLPQQQLLAVSTKQNNIIFWDIKAKKNVGTLKGHQDTITYLTASPSE GVLASGGKDRLIKLWAIKQNESFEIQQAHSNPITSIAYSQDGQLLASVSDAPIFLWDVID KKLIIQLKKHETQVKCLEFSHCSKYLVSGDNNGVIIFWNIEIPQVAKFVYIIDEFNCPIH SISISKQEQNLLVIYDQNIIIKWNFEQIEKQQKEQILCINTNANQFSFIGSDQIIYYEEG ILKILNFETQELISQNLESDIMQIQSSTDNNLILCLEQTSDSLICFQKNNENLWSKKSIS IERTDYISLSPDNKFLYSTNTIIDLRSRLEGCDKWIIKNKIYYFQKLFKQEKKILCKLQT IFNNISSCRMYMSYDLTIIAVVINDRDIKLFDINNQQNIKEFNSINPGYIQISRDLKYLS CLELDKEDKIGNFILVWDINGPQKRRQLLLNGGLIKNYIFSSKDSNKLYALYEDRTVREW DITAEQSSIIVTLSEILDIQRRFVFSQNLKFLVCCNQKSLFIWDFQKEEQSNYDLENNID NIYYSQNEDIFAVVMEVQEIHRLAISYIQVVLLKGENKSIIQLDLERYVSTVELQYIWFS HDDQHLVICLNNDIYLYQIKENLEYKYLGVWTIKEAIGRMFFNPKNMNLVIQLFHQNEIV LINLDPTIIREDIKEQYSEVENNKICCYSPDSRYLANLSPCLKVYDLCNLQNQVMNDTQN QYRGEWISFQSKDLLSLSYRETFQVLRINRKDKEISIEIIKEYNFQYPISSFTYSQNHIL IQFNLERNSKRVCLYEIDNFQESLIKAIYNSRAQPILSQNSYYFALSNYDKIQILNIQHL QQDMCYEINKNSRVYQIFQLSDENLIILCDRNQIKILNSVSLKMIRSIEIIDYPDEIEFS KISKYWVQKHYTSFNVFTFDYNEFNLLPFWTEELKDASLICFTLSPKGDLLLTGHNNLEE ITNSITLWKIEQRQKLCTSNKINDRIEILKFCPNGVSFAAGLFDGSINLYSIDISKTNYF KKQQLKSNEKCQIVCVKSFSKQSFLTAEFSILKQSLINSENKSIVELFCQKGGKK >CAK85796 pep:novel supercontig:GCA_000165425.1:CT868552:48058:50885:-1 gene:GSPATT00019500001 transcript:CAK85796 MDNVEVEVKKQLNYLRSIQSYLSESSANPKDFAKIIDIYKNPLHRILKINCYPLLKTFPS SELVCLELEKDFSNKDYEIQLLSLKLAYSLPISNLMQIVKSYDTAFYQIIEQSPDFHYER LCAVNSILQLLLTKETEVDSIDMPKQTITNIYLRIAENCFSNNRDISANCIICLSEFLQS KLQNPNNLTQVNYDSQQIKQYLQLRLQLLYEKICSYEVRIRSKMLFLISLVLIDSPKQLQ QQNTVQQNQNTLVYKLNVIDFNNKIIKAGLYDVLQYNFEIDIIVQISNFLINVISQCKSY EFFNTSQLLWQLFDCIDKYIKKVNYKKELNQILLQILQLDMDLNTNIAISIRILEISFLI TNQLTRLLFMLYCFNNLIQKSIELLYQKKTSAILGLYNQTWFLTKIKDEPEELICCLIIA AIHQETNKSILLEIMEICNAFIWDGCNIEAIIMYLLLIEECINVIPSDEIRLDDLINRMN TNQISFNQKVQTLLIITRKFNESSLNCKLDYINILAEFRTFMIQPVQTQICQLNLLQNVY KILYYLSINFHTPENHIKQQILELLSDYNDNLQQRKNDHIAEQLIEYNRNFVKSLQYKES LQQDQLQLKINNQIDSINQNLQNLTTYQIAYNIFQQKQFSSEQNTLIMNLRSLQLPQKNI FTELQLNSKLITGVSDFVQIYCSHTLDLQKSCICLGIRVINNCPFKLDNLGIKILLDRNS YIQNNYKLIEELPSYQEKQLFYVVKVNPKLSMHFAFDIILQDIKNESSQSFAIRTQNYRI SMLEFLIPNTFLYLSQNCFQYITYDTSLIIKCYLEGNYLQLYKKLLSQPFAAVILDNTNQ VFQYSIKQNLNLDLISQNVSKTINIGLLSYLINGQNLAIIISNISTQSNSTEVLLEIKSD KSCIESFSTEIDKFLFELSDGLLSVVL >CAK85797 pep:novel supercontig:GCA_000165425.1:CT868552:50918:51798:-1 gene:GSPATT00019501001 transcript:CAK85797 MQQTTDYDEMQHYLKLAQDGDTDAQFRVGLMFEDGKGAQQNIQECIQWYQLAATKHAQAA YNLASIYYLGRVVAPDYKIAHKYFSKAAELQNEQGMFQLGLMHLFGQGVEQDFEKSRAWF ERAAKLGSVSAMNNLGNIYRSGIGTHIQIEEAKKYYRMAADKGDLCAMTNLATVLLQTNQ TEAFDWYLQAAKGGFENAQYNLAVLYEEGTGTKLNLQQALYWYKQAAQAGNIEAKEAVSR LSPIVQNQQQNDKQQQGQNTQQSSAKKGGCGCIVL >CAK85798 pep:novel supercontig:GCA_000165425.1:CT868552:51835:53317:-1 gene:GSPATT00019502001 transcript:CAK85798 MKPRPQIETNNSIDLFQRTSQNLKTQKLDIINSVRTTNSKSNSSANIFGREFLKKKFSQE SLQKKVQLKNPDLATAMQQQNQVLLSKYDMPIRNYSNSQQSVLLNELVTKVTPSVELNMF KPSKQSSIDFRPLTSKVNSTTSLQHSRQQSNGSKDHNYKKMYNGMLQKPQKAHSQQITPV HSRQLSLLQQSQSISNRELKYFESIKFNKENAQFQYYLGKIKQVFTRPLSDDYFSTLYRD HFFQTYQGIYVASHLRPTDPNDFKKKAVKMKQKDKYKDKITVIFDLDETLVHCNEQMQQK SDIVLNIKVNPHEIVKAGVNIRPGAIELLQSLVDDFEIVVFTASHQCYAKQVLEHLDPEN KLISHRFFRDNCILTTGGMYTKDLRIFDRPLSQVVLVDNASYSYAWQLDNGIPIVPFYDN KDDRELWGLQSYLMGMRGALDVREYNRNNLRLNQFVDTQGPSSVFEKLFQQKIEI >CAK85799 pep:novel supercontig:GCA_000165425.1:CT868552:53331:54125:1 gene:GSPATT00019503001 transcript:CAK85799 MSYSYLFKFILIGDTGVGKSCLLLQFIDKRFRQKHEVTIGVEFGARMIEIDGQNIKLQIW DTAGQESFRSITRSYYRSAAGAIIVYDITKRETFENISRWLEEAKQNGNPKLTFTLVGNK SDLEADRQVSFEEGQEFARNHGVDFVEVSAKTANNVEEVFLKTAQQILEKINSNQIDPKN ESHGIKVGTEQGIKKSFINSPQQLQPQTEAEDKQGTCC >CAK85800 pep:novel supercontig:GCA_000165425.1:CT868552:54149:55626:-1 gene:GSPATT00019504001 transcript:CAK85800 MSKHPIQNYQILKVIGKGAFAIVYQAQHIKTKEIVAIKQINIDMEEGLHKQKMLELFHSE IQIMKSIKHKNIVELKEVQQSPDSINMILEYCAQGDLEKYIKKNSLKNRLPESEAKPIIL QLIDAMKMLRLKNVVHRDLKLANILINEQMQIKLGDFGFAKSLINTELLESYCGTPITMA PEILKKSNSYDHKCDIWSLGVMIFQILFGQPPFVPQKGTVQDLLIAIQTSKLQFPQHISV SAECKDILRKMLVEDPKLRISFEDLFRHPWCYLEKIDLEQSFLKVNIPQHQEFIGNLHFS MNQYKQQLNQIVQIISSIENKYKDLTQFCIRFKNVLNGAFTSFYLKIYYSNQNVIIKLPE NYKYFNYRQELLLFLINIYEVALQNDINISEFLNLNIFEFQISNQLEIRYEDYSVSLLDE LKNFKQGNEKNCQMLQFQDENENKNKVLNSDLWILKEYINERYKN >CAK85801 pep:novel supercontig:GCA_000165425.1:CT868552:55653:58606:1 gene:GSPATT00019505001 transcript:CAK85801 MSKSTMQDTKISYDAPPLDFSNLNIKSLADLKHAVPKQGKRKQAPIDEQKEDKKKEKQQQ QQQENENIQVQQNQQQEQEDEDKLEGDEKEREKRELQRQKDEKLTPVIVRTIGQPVQQST NVYKPTIDEKKQTKKQFQVQLIQCSLILSYNKLTSLHNFYNIIDQIMINCKQLQWIDLSH NMIESLDYNFAELPNVKALYLHANKLKDIMEFDKLQCLTELRTLTVHGNPFDAIPNFRLY VIGLLPTLKKLDSVLISKKELDNANNDQTTYNQNMKLNLEILNYDNPLSNFLVKIKYGTQ VHQTAVGNNWNQKFTLEVKKTVDLKVEVWQKDEEFHLIGESIVPKLVQIHHLDIVGQGKK EGVLVVEYKEVELQNPKVNQKLEKPQFLIKRLNESLNTEVQQPYGNIQEYFAQQFENHEL SHQSKSTASNLIMESLERTMKGLQINGNQYHFDDYDQKNVIEQTKIKALQKELIKKDLQK YEKSRREVNLQILDLDDMDLRFEQQEQKQQILALRKELVQKLLYIEEKIEHLKRHLKLDQ LNKHASQPIMNSMAELSQISMYREPIVKSEVDPLKEQQRQEFIKKQMKEWNELQEQRLKQ IEIQKEKIQKTEDKIRKQQVLIQEAKTKEKREEIEQRLNKLKEKQKQREQDLQEQNKRYL EKKKQHHLAEKYAQQDAAYMMSLQDERKNKLKEMRNPEFNIQEIMQFDKKIKELRKEKAA VRQKEWDEREKQWNKFQAQYYSESYKRARQYYKEQDLKVDESKIKAQERLNLLRSYDNEV KQRYQPHVSQEKRSEIEENIRKLKYEPDFKKYRELSEKVKEKHIYFGVEQKDQSGNKKVK LKPLENIPTPISPKRIGDFYLREHATYNKKHIPPIPRPPEPPKSSQSEQKLVIKDYLKEQ RIKKQLIEQQSVKKMNQTSGLENDDQKMIMQIKKQLEKLDHI >CAK85802 pep:novel supercontig:GCA_000165425.1:CT868552:58761:59252:1 gene:GSPATT00019506001 transcript:CAK85802 MDEEKKQYSKINKEIRQEIIDKICIQKKPLLEVFPQFQIFKKVAQEYSLLASTCKSIVNT YMKEGRVGKKESRIRKLKKVVTTYHIILNPLQPLLSQVQIQKNVENCVEQSQKSRVETDE AQEENKQELQSLDLSIQQWTQSLLSQIQSLNQQYKDPQFIRQN >CAK85803 pep:novel supercontig:GCA_000165425.1:CT868552:59309:61504:-1 gene:GSPATT00019507001 transcript:CAK85803 MKLAASEAFKKLKLKHYQQAKVTTTKFYQTKPYFSMPEQVEKESGVLAPKRVNQVDLFKR YTYEVLPALEQSVELDLLDKVFQKVDPVVRESITQAYIRKQVEQLAQQPDPLSIKDLDDN TKSNMPREKAKLFLKDWLDLNPIQIGKWIPLNYELFKKTFKFLSPGDFQKNLIELSKNFS LMMTDVGFKTMDYVDSSIRIPQIFQYKKLSKDNFHKEGYFIIMFNVLKGDFNDELKKHRN NEIFQRIFATSVNFDALLTVILNHWELIQQLRTPEQRKEFFKSLVDQLLEKIDQQQANAS MPELLFSTVKTLQFQDFTLDLTKYVNNPFPVPKSLIENRFGEQYYGYSSNLLFHGDHGAG KSGVLMQAIMFAQQTGWIVAVVPSGYNWTSLKYETKRHFKTGLYMQPKAAQEWLEQFKEA NQVHLETFQVDLSLYGKFNLSGVHDDDPDPCPNLYDERRGYHFKDFEKFTTQEERDFEEA QDQIMSARITLKIPKPQYLHEIIDYGISNAHYATNAVYEVMEQLYNTDKYKVLVAVDGIN WFYRPSQIPSFRYESDKDLRGHVPPYHMSLPRLFMHFDGHKIKNGTKITASSIFKLFQHD FQPKHVLLPQKYGIKLNGAPLDMFRSFCEYGIQTGMWKCDEFSQNTLEQFWMETQGNYFE TIKCMKVHWRDI >CAK85804 pep:novel supercontig:GCA_000165425.1:CT868552:61534:63766:1 gene:GSPATT00019508001 transcript:CAK85804 MNSTHISHQLCSLIFKNTASKTTIQDLYLNQILVNNVSIWFYLIGCSISLILDTFLFVVF LYKNQLRQSPGDIFLGSFVFEIIMAIQWYIIAVSSYEDKPLANNSLECQFTAALTLISHL GQYFYILAFFYFLTRQVKTSFKAKNNIQWLIHLGVIILTLITCVIVFSLNLTGKNVDGKC SIVTSLLADYVITLGYTLTILIYISIGGYSIYYIKKNIPNQYKERAQKFLKFSIIYFLTY LILIFAAQLVELLASLSCTYQWNMNLNAMYDTAAFFDIMILILLPIIRVNDPYTKRILLK MFGYGQKQKQIIEENELELSKHNSSVDQDLSILTSGISQIRQSELIINREKSQTDDNPFV SVLQNKKRGQIMCKMIAGLQIDQYSKYNSLEVEIINQKSDNIYEERKIYKFNNDQIITTM PYNLCQDFVSLVEDFPQIKVVSYTPIIFHAIMNREKEKLNIYESLDINNNFEKITNASKN EGGKSGQFFFYSQDNQLIIKTVTQQELKIILTMLKNYFQYILSNPNTLIAKIYGVYTFEQ ENQKNINIIVMRNIAQTSNTFRIYDLKGSSYDREVAKKDTNLQKVVLKDLDFLNIEKYLY ISQEDASLIAENAIKDSNFFKSQGLIDYSLIVFKILNEQNPDLIPKKKANIFMCDDVRYR YHIGIIDYLQEYNVQKQFEKYTKKIIKLNQNLDTSSQDPKIYANRFQQFIRRITKIE >CAK85805 pep:novel supercontig:GCA_000165425.1:CT868552:63807:65375:1 gene:GSPATT00019509001 transcript:CAK85805 MNTNCLDLMDLLDLNQTESNTILLENYSQHQKIPSRNTSMAPTNKTISTRLYSQVSSRKQ TQETGYRSIAYSFQISRTEQTKQKQRSISFTPNLDQMQQSFEKMELKMNKFQLSQPDRID QKYMITGFLSMFQDYQNIIKVIKMQAQDLNASLQSYNNNYYEQAYRVDSYFQKNSQLLTT NVFKYYKIKESLYRFLRMGIQEPYNQRVQKKIDLLEKQNHLFEYFKIYLELFKFINQKAF DCFKKDLIFIHSLQQSTSQLNFGDSFFKQIQEFKHKILIISSTQEASILNRIFLNKIDEI QQWIQLILKMANFKYVYYIKYLLVSKSQLKKIIKSKKLFDQITPLLEEAEQYQANTSTLN ILYKDEIHKFVSEFNQIKTQIIDWNVRLKEDPLCQLVLVLIKMNLKLERVNKNLQILNAL RESDDFDSEHLSQEVDMVQKLNDQLLINSHIINQIKQHPDIKYDEYIQYQLFLEFII >CAK85806 pep:novel supercontig:GCA_000165425.1:CT868552:65927:66832:1 gene:GSPATT00019510001 transcript:CAK85806 MFRMFDPMYQSMNQILEENGNILWLGDCTAAYDRSLLDARGIKTVLTVAAGLNVSYPEGG IVHKVYHILDIESANIARLFGDTCNQIAEGLKRGGVLVHCAAGVSRSASAVIAYIMKTRG LSFQETFNYVRKRRSVVFPNYGFQRQLRNYEKDLKTIKVKEPQGVEMSIKQTQKKPQETA MEKHEQFSIQYLEQKAKLLLKPASGNPAFNTGYSTPQKQLQKQRMLYQSAKVGSQEPKQS SITQSSTRANSSMKNNIFEPSFNQTYQGPQIVTYQRNKLVEKMPDIKKKTFYK >CAK85807 pep:novel supercontig:GCA_000165425.1:CT868552:66935:67411:-1 gene:GSPATT00019511001 transcript:CAK85807 MLNRQIKSKKVKNLIKKFDAEDEDEHQEEHESQQEEVQQQTKQSLKQLLSGVIKRDVKSE KAIMADKQKILNELTGEKQKQKEIGIKKSIKKAVVEKGHVDPRVSTNRDQERELKVIAAK GLVKVFNVLADMRQKQNE >CAK85808 pep:novel supercontig:GCA_000165425.1:CT868552:67436:67816:-1 gene:GSPATT00019512001 transcript:CAK85808 MANQHQIIRIYQLHKQQILFKFRSQQSTSLKPRKQFLGKQGQEISRKDNTILNNKSLKNK KILNLLERIDESINILCQFQGLKHSQEIKARQNHTSVIQGKMKYFDPADLQDAYYDKDDL >CAK85809 pep:novel supercontig:GCA_000165425.1:CT868552:67978:68508:1 gene:GSPATT00019513001 transcript:CAK85809 MASYLQHQPQIILDSLILYFIKSCIRSRYSFLPICFIKYQIFLSCDINLQISHIQQLSQL AKRSYEYITSILIQIFDIVFIRSQIQSCLNSQLKTSLQHQKFNVPILLYVTISNQIVDNL SISILRIDQTMKPKVLKFILILFRLQQQHHNFYDMWNRGFQTGIKYQNLNSSAITF >CAK85810 pep:novel supercontig:GCA_000165425.1:CT868552:68545:69074:-1 gene:GSPATT00019514001 transcript:CAK85810 MKNLKLTNFEEFKKNYLDENDKIKVFKYFYQYNNCFGLISQEDVQDCQQAEFLEKARTYS AFLSMSCLMLTLDRTLFRRSSFKPTKFLFQYGVLPMMSFQITKNYFCRDVEQTFHDMTEK YQFGVEQYHQGMELMTRAHKANRLGEFLEKGVDFDWSTVENE >CAK85811 pep:novel supercontig:GCA_000165425.1:CT868552:70058:72286:1 gene:GSPATT00019515001 transcript:CAK85811 MQKLIENEFDVDCAYQHRLPICAILQDPNLNIKDRLLCQQCILDIDKEKTILGWRKVQDN VQSHIMEKQRINSQLISPLLPLVKQVKSNIEFLKDSIMKEFRMIEETLEEWQLELSKEIK LLDGKQFISELEYIFGNQLTKISLDEILKRDLNQLNSITISKVQSKLNFFKEFEQYKICS NILNSIEEENFSLQINQSIDEKSNELDNQKNILSDSTQISHINSYTTQQSQIFQQEQSPQ SKQINQDNMCNVQQLNQQQNVEQIQLKEGLSLPLIKLVTTKDGDPQYQYYGQQLNNSIQY RNILFIGQRDEGKTTLLNAFVNYYFGIAWDDTFRLIVADQEQTTEISHYYIEPFNQRNYG VHLIDTPGNYGQDDNYTINKVSNFLYDNQNTIDIIIVCIKAYNVRLTVEAQQILQSLVQI IGEQLANKIIVARTFYSGEDVDQSILTSEQSPFYNLQTSLCSDYNLEFNSMSIIKITKNK SAKVYYNISIENLKKIENKLSIDFSSFNNQKNQNPTFFPLNNQVSLFDCQSETLFQDLQI QKGDCDRQYQQVYQDSYRQLRTLFMQCLRAGAYKQSSYKLSRVDKKSQYYQLNCNQCNIT CFSGFKDEKAAEDFFSKELNGKSYCEHSKPTITIENKQITYLEDRSQQMHPNTYRNSALL VFRLLIKLFQLKENNNEQMNEIQFIEILKNEEQQDYRILEKFSAEQFSPPNNNKFLNPQQ QKSALPTQFNNQPQNQKKTTRT >CAK85812 pep:novel supercontig:GCA_000165425.1:CT868552:73257:76706:-1 gene:GSPATT00019516001 transcript:CAK85812 MTTNSYQIDAPYLGLQNIGATCYMNSVLQTLFMTPEFRRGLYLWKYEHSIHGEEIDSIPF QLQKLYGRLQTKIFEYIDTRDLTKSFQWDIHQAFQQHDIQEFIRILFDAIEQSDQNCNFV KELYEGAYLHYTKCLKCNYESQRQEIFMDYTVILKDKWSKVYNNSLELAIQRSLKPEKLE GNNQYFCESCQAKQDAHRGQLIYKLPSILNVHINRFAFDYVMMRREKLDDKMAFPFVLNM NNYSRTYDEIPNKLSEEADPSYFEELVQPKKQQFKTTATSKVQPSAQTTKATKVVRPNQG LKDFIKQQKKVAKQQGNVDIIDSFGNMQEDEQIQQIIHQQIQEDIKQIQQQTKPAETSIP PPPPLPVFDHDLEYQDAPKVQSNNQKQEGQIQEELQQKSKEEEKDKQQQQPILDTTEERK KYAELVKKKCQESILEQDNQIRQYLKDGPHVYQLYSILNHSGGAMGGHYFAYIRSHEDGQ WYCFNDSSVTYINPDDIPVKTFGGHSGGSAYMLFYRKVEPNQESWGTWGGQFQENELPEY VQLKLQEERIKLEEFRQQGGQAEDLLALQKPITVKAHYKLEVKTFTLENSTSFLNFRQLV LDHFQIKDRDNCRIRIYNPYTDQFQDTFEGKEMRSLAALKLQNKCISIEFKQPGEEWKPY NEQAVTFRVALFRENLEALDEKTLQPKKVTVNKNDFVHELVEAAKKAYNLEGEFQLLKRN GEFGEILSSDKPIDGYYDNSFFYLEPKTENSNWLKEFEIDEHRITIKFNDPTKTVQASIQ EGQPEDLYPLVCTIDNRATMMEMKEKICQQLGISPKNLILRRGGRAGLELKDLTIEVGLN HFVKGSSIHLDLGTPLNLGEYRCIISIASAPNLYEDRNFYQFQELGEYSIPGDKPISQFI NDLAIWASEKSGIPLDPKHIRLRERIADRLNKVYRDKPLKEQGIVEMRMIAIEQLQDEWQ HLTSKDVFIHARFWDQEKWDLSERYEFVVSRNQSGADLAEQFSQVFGITAENIEVCRIIA VHRFNRYELLNEEWIPLKNDDYLLGSQPLFITNDGQMIILRDSTQQPRELTNEEIKQFGI IQSNYTKSIKTGVSRGPVKTRFFNEEKALVITVKKKAEDIKPEA >CAK85813 pep:novel supercontig:GCA_000165425.1:CT868552:76780:78635:1 gene:GSPATT00019517001 transcript:CAK85813 MKIIYKFTQQVLYQNKQYSILKPSQLSVPKDAFIAKVTNKDGSTSLHDLNRELKQDCTIE FLDFSNQDARRVFWHSSAHVLGAILSKFGAELEFGPSTENGFYYQCKLDKEIELDQLNQE IEQYLKQKKHLFEIQSISQDEALQLFNKNAYKLSKIKQTAFQNYQIYKLDDFVDLCKGPH IDHTNRIYKLIINHSQPFQNSYRYQGIAFPNSKLYQDYQKQMECDHRHIGKQNHLFVFNK LAPGSAFFLPHGTKIYNKLVEMIRKEYRERGYQEVITPQLFHKDLWKQSGHLDKYAENIY MTHDHQMGLKPMNCPGHCLLYKTLQHSYKELPIRFADFGVLHRNEVHGTLTGLTRVRKFT QDDAHIFCTIEQIQQEIKNTLDFLSKVYGRFGFTWELTLSTRPDKYIGDLQIWDEAERQL RIALSDTPYTENPGDGAFYGPKIDVLIRDHNQKQHQCGTIQLDFNLPERFDLTYHHENDK FSRPVMIHRAILGSIERMMAILTEQYNGRWPFWLSPRQVLIIPVSEVSKQYAKEIYDQLY KLGFEVELEDSEQTLGKRIRKGEMLHFNYILTLGAKEQESNTVDVRERGDNHKHQSMKLD EFITKIIQL >CAK85814 pep:novel supercontig:GCA_000165425.1:CT868552:78670:79675:1 gene:GSPATT00019518001 transcript:CAK85814 MELERAQTIQEFVKSIEKRNFEFEFQYLRQSTETKEHDKQLIKTVQVQRLNRYSNILPFS HSIVKPEAQSTNKFSTPRDKFYINANYIKGINNVEKQYIATQGPIPESIDDFWHMVWTNN VGVVIMLCALFDRGRIQCEKYWPSQGQKAQFGPYEVNTISQEEAPKTFFKNKIILRCQDE QREILHFQWAGWTDFGVVDNSQFKILDMLAEVSNTAGMQNKRPVVHCSAGVGRTGTFLAI CHIKQLLINNQAKISVFSIVRRLREQRALMIQTPEQYQMVYRYTIWLIENLKYI >CAK85815 pep:novel supercontig:GCA_000165425.1:CT868552:80182:81758:1 gene:GSPATT00019519001 transcript:CAK85815 MTTVSVDFLQQITLLDPMNRKQTITELKKVLTKPLLISDFKKIWKALYYAMWSSDKYQNQ QILATEISQLTRMFYSNFTAFIQFSRAMFHVFMLEWEKIDYWRINKFMLLFREIIEEQIK ICKHFNWKTVPQLIALYNETVLNLTEFQTVQGAALHFIQIFVQCMAKHMSDSTVSYVQVK ELLEGFLDILKHSPNKTLRDKTIQYVYEYIEERQAQESYLPAFDSKKYGNYVFKLASSEN VNPQNRKALYRVAEIFNFTEAEEESPKIEVEEVPKKKKQKKIQENQQKQKPQEKKQFEEN HVQQQQNEEQQFNQQEEQEEQEVEQVIQVPQKVQKKNGKEKVAKEKESQQQQNTKAKQKE EKAILKLHKKQEEQINSHYMQDSPIQDEDETIEGDDYSEVRKNPSKLKTLKDIMNSVPVY LFMNPAEKRKYFKSINSRFQEALQKEKGTHCTHNKSVHFDLARNKVKTFKQTDNVLRISK S >CAK85816 pep:novel supercontig:GCA_000165425.1:CT868552:81822:83477:-1 gene:GSPATT00019520001 transcript:CAK85816 MNNQKIINNVYITKKRISAGSFGVVYQGQDINTRNLVAIKMDKENKEDSSLLREAEILKR LQHLQHIPKLYWAGKEQDSHVLVIQHLGRDLTHYMKTFRKFSLKCVLNVAEQMINILESL HKNKVLHRDIKPENVLVGKDDDENSLYIVDFGISKFFKDENESHISFREKQPFIGTTRYA SINAHKGYSLSRRDDMESLGYMLIFLLKGQLPWQNIQFTSEENKIKQVGQMKMKMEVSEL CKGLPIEFGRYLDYARGLPFKSEPNYKYCQSLFRKIQSEHNYVPKELVFDWNMSQKGEKE GQSTSSNIFNSKPSIFRKTKEDLSSNNILGSQLNQSIEQEMNSLLRTPEQKRSTLTPEIH RKKGRIQSISSYNDTISDIDFNNSVLLGIQPSILSRLSKISFNSISRVRNSKSNICLTPE IKAHEKVQQIQHDPYLDQLTGVKKRKSQISYSSPHCKRDDETILESAKMNEVEEGIERKY IELKLRFVNARFKFQPNKSLK >CAK85817 pep:novel supercontig:GCA_000165425.1:CT868552:84079:84657:-1 gene:GSPATT00019521001 transcript:CAK85817 MMFHFEDSSSESEEKEYQFEKQPIINKEGVQNIKVVDINQYYELFDATKKSTNEAKLLQK LKGIQSNKEVNWYTETDPIQQQSSSSEEGELTYRKHQKVKRYFDYKYYQFCWNCRQHGHQ TNMCEVGQQPYCLICLSLNHSFYDCELKLEKGNLNFDVQTPEAKCLNCLDLGHTNCRFNN IKQQS >CAK85818 pep:novel supercontig:GCA_000165425.1:CT868552:85447:88139:-1 gene:GSPATT00019522001 transcript:CAK85818 MQISPKENPPVGIYDDLIINLDTGSIVQEIYREEYIPVQQIQRREEDAVFIDENPQIVLE KLMKLKDCHYKERLAFTKRLGELISWIGDSAIDNLNTIIQQILEDADEIKKNFIAQLEQI CVLLKTKQNSQELIKQHVVKALQELLKSNNFDIKEQAGNSISIVAKELNERDRGNLILTQ VIQMAHDDNNDENVIIAVKLFGQLAADFGPELSESFIALEILSKGDDAKQPIRKEAVLQL ANIAKVLSKDFIKHRLIPFFSKKTEERTWQTRKACLDIIVRLAEQTDTENFDLITGRLLA LLDDQNKWVKQHAYKQLGKYISIITKSENINDKLLEFYLKMNDSDIRELGIDNEIVIECA LYFPAVLQVYTEKKWPQLSKLFMKLINHKDKSQKTFGLQYTCLAYSELLKVLEQLLQDPN DEIKHGAVENLSLFFDAIEESKRASLIDILLIIQKDQKKWRIRELIAKQISHLAQVFDVE TVFSIILPVSTKLCNDNVYAVRKAAAKQIFELYDKFQKSQNELYFSVLKQNILGFANSNM FNQRQSFVIMCEKLMKYEQFQVDFLEAFINLGQDPIKNVRISVAKVLQKHLFKPKTSEKD GLFRDQTSIQTLWNLLTKDNVVLNSLNNKKYPITNQLKSQITQLTQSQVKLQQPEQPQIQ QQQQQQQQQQSQTLADEEQQQESGSVPEQNQVAQNQEIMILEEQFEKSNHIEQNTTNIEQ VAQQEEEEIKQVIDNTENVVEENNFEENQQNQIQLESELNEEKQEIENQQEQEQQQIEQK EEEDNSQNVKENEEKEIEQE >CAK85819 pep:novel supercontig:GCA_000165425.1:CT868552:88278:89198:-1 gene:GSPATT00019523001 transcript:CAK85819 MIIDQGVIEAVKQKFKPEDLSKALALIHYVKKWGNLEKQYAENVNKATVDHIKNCIPELS EVNALANGTRQPTEEEMKDSTIKEAEPITDYWSKVLQHAGITGSRITQKDADVLAYLKSI TSSFGDNDRDFKLRFEFNPNQYFDNKVLEKEFIYNNEESELPYKCNGTSINWKEGKNVTK TIKKKKQRNKKTNQTRIIETEVKLKSFFKFFDDIAPAQTDEEELQKEEKITADLEIGEAI IEEIIPQSLAYYLDLKDEEEDDDFDEEDEEDDDDDDDDEDD >CAK85820 pep:novel supercontig:GCA_000165425.1:CT868552:89507:89807:1 gene:GSPATT00019524001 transcript:CAK85820 MNNNSEDQIDISNENLKACPDKKLHHFDQEWANYANNPSPHFTPSQFFNAPHTNLPPLNL EKSSQFPYIKVMMMTKTNKVRKSLSKIFCS >CAK85821 pep:novel supercontig:GCA_000165425.1:CT868552:89917:90735:1 gene:GSPATT00019525001 transcript:CAK85821 MPHYPHPIPYWYRPPVYFPQYDGQQYQNTLSKELQSKVSQLVQYQKVSHFACNCKKSKCL KLYCECFANNWVCSQNCNCCECKNRIDNPNERSKATEEALLRNPDAFAAILTNNGQQPQI IQDKTLILPLEEKSQKESQIDITTRKGCNCKKSECKKKYCECYSINQKCTDLCKCENCLN KAESQEVSVDQLQKQQNPQKQIIQQIQQVQQPKDSQQNSINKKSKTIQKKTNKVGDPKQE HFSESEQHIVKVKLTITDKQKRRKQ >CAK85822 pep:novel supercontig:GCA_000165425.1:CT868552:90805:92597:-1 gene:GSPATT00019526001 transcript:CAK85822 MSDSFKVVNYERESKQSFQDFLQMHLNETPDPHLTSPTQENNYNSTKQVGARKTIKREES EPSFRLMDQPIDNNEKNLTSMQSKIQLLITENSKLIEINSGLMREIEIMKQNNYSNRTLL VQESQQKLMNYQQKVVQLTQANEQLSSRLIDEKQNSDSNRFYLENKNLNEMLQTRLNDLD ILNNQLLEKNDQIALRNEEIKAVNEKCMVLEQQKQVLIAQQQQYIDSIKEYQLKEQQFMQ QLYQEQASYQSCIANLEQNYNLQISELRNSFLKEIESQQETIAQQYQHYFNQQIIAFQQQ VKVIQQENTQMKDELTERSKIWSQEKERLENFIKNLQQQKQSSQEPIIQQQVNLNQVQQN QKASQVLSQQPQIQNQQQLSQIQNQQQLTQEQIIQQQQELQKQQYYQEILQIQQQQSQFQ QQQQQQKALQQQTQQQCNQTHHQSSNYTFRQNTQEESREVSPDKDDVFLNFDQKVRVHTE SYGDRYEKTIPIRTPLHAKNYRVPQLHTLTRYDQKQYNVAVNQPYYYQNSQSKFMINRDP QYQRSHSQYQEREDKPKNQQQYQNQNESYLIPKRY >CAK85823 pep:novel supercontig:GCA_000165425.1:CT868552:92793:93291:-1 gene:GSPATT00019527001 transcript:CAK85823 MPIYNEVWEEEDFMFRNMINLQTLTKNHVKLLDNLKFEFVEYKANQLLACHLYDRMASHC KNQFGLFEDSFVPECLDARNYFQLCVRMNASYGLAKKYFPEYFLTNEYSRPNPNFKELGL >CAK85824 pep:novel supercontig:GCA_000165425.1:CT868552:93345:94192:-1 gene:GSPATT00019528001 transcript:CAK85824 MSNVNEVTQKQISIPSPYVPLFFNNQPSNPQTQKSQQPQVFRFKEQNSLSEQIQKHKKSK SFDSNLNKEIQQKQLFCNVSTNNGQTYYNSKDSQCLSSKSNYLVPIEQEILRITKPLQKG VLIFKRFNSTKPNMTKMEFDPFTCQNPNVCGYCPRMMTLSQNLDKIEFKNQMRVNQVDSL LQLDQIMRVIIPKTIQQSIQIKKQISNRYILSQEERIVCGIVYWPMSIITQNDGRIELLF DSEEVLEQWQTGLIFLKDNIKTLQIINKKLKKQM >CAK85825 pep:novel supercontig:GCA_000165425.1:CT868552:94275:95993:-1 gene:GSPATT00019529001 transcript:CAK85825 MFNNKSKKPSLLYVECKSRDISRRNSRISDLLNDTMNKENSNYQSTYIPNTAKKIDRTVG SLNKMYKQAIQDQQILQEQSSMLMEDLNGKLLQRAELIGQVEELEELIEFHKIQSNFEFT FATKIEQLDLQIQQLKKSSSFKLSGNTADLIRDREQQHKILKEKINQETMLAMTTYMQQW GHQFETIKNQYGNEVYQQVLKEQDQQINQLISHQYNKKIQGIIQILRNHERLEKAIQLNI DYVDNSLNEDIYAMKQTMNQKKLQKKINPNFNYQKEWKFQNKRTNNQNLYQQEILNKQKT EEIYNEILTGFDCLSQIDNDDQKSDNSNSQIKSCANILSNINKQTKRVLSNHLNKDDSLH FSQLQQYLQINGIDGETNSKCSSACQLSADDSLLQHFNELNLTLLQSEKSKNNLCNLPLQ QQQYYQQQQQYYQQQYQQQQQQQYQYSQQQQYSQYSQYSQQQQQQQQQQQQQQQQQQQQY NYFNQKNLPTDNQTQQIDFIRLQTITEEQLSSKRDKSKMEQSSKTQHNSYSLKLLKPEQS QNY >CAK85826 pep:novel supercontig:GCA_000165425.1:CT868552:96017:97018:-1 gene:GSPATT00019530001 transcript:CAK85826 MTKLALFSTASFIYWGSRKNQSEEIFQMLNKQTQNSIVKFFLNGRIIGQGAVLKNGFVVT SSEVFENQQPQISAQINGQLYNYEVLKVEEGIAILKIQQQKSQFNLSKYEIGQNAYVLGT DEQGLKEFHQCPITDSNFTLDIKLVGEESLNQTFPFILMNGCNSSPGSPVLNKNGELLGI ISGKFRNKTQVVPSSFFQGLENSKSVNKPYLGLTLKTSDQGGAFIIKINSDSPAEKAGLK LGEIIRSIDGVTIQHGKDVTKLLGVTENADSHVMVVLRNGKERTVYVNLK >CAK85827 pep:novel supercontig:GCA_000165425.1:CT868552:97442:98549:1 gene:GSPATT00019531001 transcript:CAK85827 MKALFCLGVGMLMTQKDNTVHAWLYRDDIGAFWGIKGYEEQVTEVGTHRGHMSWPQYRFL GTFDSASVRRGFLVFSRNCANCHGIVYKKYDVLLDKVYKQLELAALVSNFTIHPAHHHFK QFYYQEWDERDRYIHDRIYPPYFSQDQAKNANGGVWPTDFSKIRLRPGGVNYIYNILTGY HYKPYQGLDVPKGKAYNPYFDHMIIGMVRQLHDGLVDYEDGTPASTPQMAFDVTNFIQFV QRRSGFQRPDKTVRYYMFLTGIALIYPFAYLKTRGFYRNNLSLRWEMYAVRDGVYYNHFK KGWKNSRAIQFRGQVWA >CAK85828 pep:novel supercontig:GCA_000165425.1:CT868552:98549:98836:1 gene:GSPATT00019532001 transcript:CAK85828 MYSIIDHFMSLYQIQIYILHNYILSKMQSLFVNCQKCQQRPATIKCTQCRYGQTYRLCYS CDSQVHNRTGLIDQQHKTEIIPYQGIFSILSQKCT >CAK85829 pep:novel supercontig:GCA_000165425.1:CT868552:98938:99565:1 gene:GSPATT00019533001 transcript:CAK85829 MILENRIILRQQKLLENLSMLKKNMIIWRRNLIAMIKDMINFSKDLIKTIFFELKTLINQ LKEEQQQTDRLKAEMIQLKERQKEFQKRLSKLEQDYEQKHRDDRQKIQQVQDENKNLLQK LNSVNKHLNEETSKVREYEQYIRDLEQALNEKVQEQNIEEIQQIYEESNMKDQIIEQLQQ KY >CAK85830 pep:novel supercontig:GCA_000165425.1:CT868552:99595:100043:1 gene:GSPATT00019534001 transcript:CAK85830 MQAQFQQFAQEQQNNSRNTRIQLSSNKKPSKSQDSEKDQVIQELNQQLEEKNEENHKLED LDLIENFKQLYQHMNEEKSQLQEELEKLANEKNQFREKFSQNLPFFGVYPEQLNEEGEGE YPEEIAEENDDQND >CAK85831 pep:novel supercontig:GCA_000165425.1:CT868552:100163:101883:1 gene:GSPATT00019535001 transcript:CAK85831 MNKYSLRFDNPKMEELYFQDQYEELFNFYTWACFLGTISAICVFTMEFIVPFGFGFWKYT LIIIPLGFGFSHRLIKLFPQYFNYVLALMNISLGGLIFILLYFFSNSNVLFLAGQTVAMI QYSLLLGSNIIINILVLLINQIGLMVLTSIVQNYFNSLQLMFILALVLVLKSIWSSEKMK RSFFLLKYQNIQLHKQLDNVFQLKILRCKFDKKLNQIRLINLNNQAEKIIQDQKQFIQFI RQYTIAQIRSLTQPMQMLTSQRHISRAQTLEQVLYNMLVGDDKEKSSEIYCEATKIAYRI CIYKIIEKNQVHLIVLLQEDSEYQKILKLNKEVRKKNRNQRLLLICLENARKSLQILKYL TTEVIDNKQKTHQINMKVIKILSVTQSILFKSVHGYYNVLILNKMYQYLSETIQFDIERL LIDVRKLRNIFCNEKSLPINYEGNNIQCESNYVLTLQLVLNLLQDLFSERTYEKIIIKAQ VQQLEKTKVVDYKIFLMINEKNEKVCIPLNRIMINSFSQLKKVNKKILEIIGWNNQITIT QKSNFDLIEYSLIHSLKEFKETMKI >CAK85832 pep:novel supercontig:GCA_000165425.1:CT868552:102065:102554:1 gene:GSPATT00019536001 transcript:CAK85832 MSRAIRRYVNSKEEMEYNRGYSAEEMQAAKLRKAFVQKYIADFDTNFYKTQEERDWGYVV RREYRYDVTYTSLVDGWACAAVVSMARMFQTKRFSWAPYFVVWPIAYLYFQPIQFLKHNK KYFDMCNLGETFYLGRERNKVLAECNKILDREDF >CAK85833 pep:novel supercontig:GCA_000165425.1:CT868552:102616:103364:-1 gene:GSPATT00019537001 transcript:CAK85833 MYQTCLIQQEIKPILSQHKEWLSNFQKHVKEKKTALHCSQVQSRLHPERYRSNSDKQNQQ TEQQTQQASQLQNKSTKVEELQQEKQNLQATNTELEDEIIDDQELNELLDFTKNLDFESY INDLEVKTVVEALKKRIEEIRIENPQLSQKEAAKKALKQNNNAAQKPNKKHVTIQEEEQL QKNTEKKEVNLESIAKKIAEEILQKNKYLRNIHSNISMQKLVETEARRFLQKE >CAK85834 pep:novel supercontig:GCA_000165425.1:CT868552:104169:104736:-1 gene:GSPATT00019538001 transcript:CAK85834 MYQIYLTFLRDIDVELKKTLESSTQVVNDHILQRTCKQQDLEFVTKKLEDFKFQVDKYGI QAIEKFRENLKANIEGPIQAQTHFIAMKNQRQKSKSYKMDDLERVIDNRIQEASEKQKQQ LIHYLDTFEEEFRFQNQEMANEYQQIEKELQDLQLKD >CAK85835 pep:novel supercontig:GCA_000165425.1:CT868552:104765:105337:-1 gene:GSPATT00019539001 transcript:CAK85835 MIYLLRFNCPIIQIMEMKAMFLVKKSSYNNTLTDRWCQAEADTDPNILGKKCPSIKKKKQ GRYKNVPYTFGRHFRNWIETEVGPIQCPVVYKFISKRKQNPRYHDSFKDFNDLFQHSGIG RQLGQLFFGQKKWVQYLLSNERVDGLQIYFEVERSYYDAATKGTKITEQKVRNI >CAK85836 pep:novel supercontig:GCA_000165425.1:CT868552:106408:107805:-1 gene:GSPATT00019540001 transcript:CAK85836 MLFQSNPQYAKNMQALFIQIRLGNRQKRFEHTMKSMQKIKTFVDLTKRLQLLNPLQFKQV QIIISHLKQQAKLRQFFKKEDDEKNHIIAISKQLELEIDMLNNIENDEEKQHLILDILQL DFSPNEIATMVQESSMTLETHHNLHRILETINKRNLRQQSKNQVLDQINNLNFLQEVNND SSDFYKETIKSFNQKRHQQQNNELSVNEKFELLKKYLLQKGIQIEKKEKVPHQEYSKFYA QQANKLIKSTKLKQLKQIHVPSPKSASISPELLHPQLYHTNQHLRKIEKLDSNYQTSNNV SSTTLNTPHLTPSKLLKQKSEDFLSFQQQSYTERMIAPKRKSDHQVISTSRNILVSPNEN EKEDQPIKLIQAQPQVSYFHKSIDQLREFQANTKAVQTFFRMENKDSLHTMREMEKILKK PLKQHFNEFMSVHQEENDGTDCLGKRKNNMKRNIRLNKIFKSELE >CAK85837 pep:novel supercontig:GCA_000165425.1:CT868552:107893:109781:-1 gene:GSPATT00019541001 transcript:CAK85837 MPRHSSSSSRSHRKKHSKKYRRHSSSSSSSSDSSSERHHSRRRRGHHSHRSRSPRPRSSS PVKKVFMNVQIQILKAKPIQSTSSAETIKEYRAQHNIFIRSQHVNVPDPIMRFEDVQCFP QILMDLLLKAGFKGPTAIQAQGWSIALTGHDLIGIAQTGSGKTLAFLLPAIVHILAQARS HDAKCLILAPTRELTLQIYEQFQKFSVGSQLYAACLYGGQDRYIQKSQLRKGPQVLIACP GLYHPQIADRMLDMGFEPQIRKVVDQIRPQRQTMLFSATWPKEVQKLALDFCKQEPVHIQ IGNVELTSNKMIKQIVYVMKAIEKNQRYNQTIDDHQYLCYLNILRLLYLLKDIAHKKILI FCSTKKGCDQLQKTLDREGIRCLALHGDKKQSERDYVMSHFRNGRSTALIATDVASRGLD IKDIEIVVNYDMPKVIEDYVHRIGRTGRAGANGQSISFFASDEDARMAKDLVEILRESQN DIPYELRSLVDQNNKGNNYNPYRRWNSSGSRHIQQPFMQHQHQNQNQNQHPHPHQHQLQH QPSHYPSSWSFQTFNPQFLQQINPYQI >CAK85838 pep:novel supercontig:GCA_000165425.1:CT868552:109900:112185:-1 gene:GSPATT00019542001 transcript:CAK85838 MEQPPESIFASVDNCFDHSIVYYFRILKWNFFLMLIFAVLHLPQLIFCITGNSIFNQFQL QLETFTVANLDISNETAFLIGVLGDAIGTLLYFLSLLYLRYNAINKDAQFKVSSISPWSV EVNGFPSATIDPEDLRSILQSNTHEIYLVRNFQGTLSIMKNKAFKERQIRLEKKKLEVFS KRLSQADMYVRQDFIRVLTDELKDITKELADKFNIFLAPDDLESIKAFVVFKSKVDRDLF YEKYNQDCLVRNGIYLFLNQPQELKLRGLYTIKVTEAPDPNEIKWENMDYQERNNIQIIL MHIVVAIILLAPLVVFENMALKNARNNTVKCTEYCLDSDFTRTAYYIITGFWIFVADILG WIFLELIINKEKQIYLVQEQKVILIRITVYLTCYTLLVPILISWETIGNIVLVIQSYTST EKSLIFSDDLDRKWIINHGLIFLWVSIFYTLKLIILSIVSGCRCITGGIRDQEELQLVGS LLTDETDEERQRLTGQQKTTGNNTNNNNQSHQTLFLLNLDESVNYNVQSRQNLDIKTGKT FRRDSPLINSNPTFRTGLRYAKLLFSIFLSTIVSAGLPLLPLLGAIQIGLQYIYDKNMLL RYHSYNEKQQVKLQEQLKSVGIITLKFTHFFLVLHLIFSVLIYGYPYIYTQNGMGISQNW NDSSNKLLYKLSTVIPLTLLFVILVVALIIDLVFFGINNNWKIQSDQIGQDVSQNLEDHV SMLKEQGSAVSYNLKHHDEFRDILLSQKQESIRATLNQFKH >CAK85839 pep:novel supercontig:GCA_000165425.1:CT868552:112225:113017:1 gene:GSPATT00019543001 transcript:CAK85839 MKKRLQLQQLDLAAPKKQTGPYKQFLCEQLAIQHLKYPQRTIEELTKLINLMWKVREKKN NQLYFQHNGYVNISETERVPVPQPSPPVLIIFQKNMRQLLEFTHPEYNAEQVDHTIKFEW TLNSTQRQKAAEEFRQLRQEFEKEKKEFINRYGFWPQHKKSSGEYFDKTKQSSPKLDPLA LNLMKKVKLE >CAK85840 pep:novel supercontig:GCA_000165425.1:CT868552:114047:114733:1 gene:GSPATT00019544001 transcript:CAK85840 MFVRAHVPKSRTSLTPQNFKPICIEQRGDCTPTRSNNISYYEHSILIQEPSQQSLQNYTG RVEEKLQRRVINSSASNLRCRYISDKENLVRVPGQSFGGNQPSSKDLMQVMNNLKKQKED INYTLSETLQKNNLIQEQMDIVNKEMEQQSLNYQQSLDEFMNEFNELTYKISQLEEQNNK LFEQNEHQKWYLQQIQCQSSRFHQTHNFGAG >CAK85841 pep:novel supercontig:GCA_000165425.1:CT868552:114792:116472:1 gene:GSPATT00019545001 transcript:CAK85841 MASFASRTGQKIKEWWGAKVTVDPQLETKKQRINEIDQYIKGLSQSFSNISALFQQMHGH MGRISSITQKLFEKETDTNKRIGIEVTSMFGNLSELFRSKTEPASELNKNYGEWFQTIET LKIQLTNFSEVRLVYDHYKLKVDELEKDRSNAISKGLPEDFKLSDKIRRNQSKLSSSETN YKQKLSEILNNMNKLLGNYYRMINQSLDSFITINFDLYMNAKSIVKKNQKAFNKFKYPEL EPIIDLLREQKEMSSLLEREQIKEKELKELKEKEKEKEKEKEKEKNINEKDEKQQQQPPP QQTPQWEVNNKFNPFGQTQQMNSQTHSMINNPQMMNPMMSSQTDFGSGFGVNQMAKQQQF MNPMMSQRQSVQPQSMNNNFAMNFPPNQNRPDVFDDVGLQMMSDIRASQMNAWQQQQQQP YAQSQILNQNNFVNNNPFMSSQQMSQRASMQQNQGFQQFDSQINRQQQPNPFAELDNETQ RRAMYDNPYTYNQQFQDNQKTNPFA >CAK85842 pep:novel supercontig:GCA_000165425.1:CT868552:116479:118242:1 gene:GSPATT00019546001 transcript:CAK85842 MKQKQLTTKKSDIDLGNKDQLNFIIEILQKDQSLKTNQEVDIVRKAFISFKFFQDLEQQM GQEMVSNLYRQLSYETIKARQVVFNLGDIGKKFYIILSGSVWVLIQKKGLQDDNQIGEEE KKQEEELRKQNTRKATLKHQQSMLKSKRFKKQETFVTETNQVHLDEVYAKMTDAEYLDCQ FPTLQKVSQMKSGDNFGEIALTKQVPRTATIVAAEDTNFAIVSREQFNILLSSYYEHLQQ QNVMFLQRVPAFTEWNEQMLNQIYYHFTFEDYKMFDVIYKENQPSNKIYIVQNGEIEISQ SIEVGTLVTENNLTIQKFFKKNDKKYERVRTGIITSGLIFGHEEVIRDVVREHKAVCLSQ KAQVFSLDKDRFLQFFKKGGAIQKLIKLDQTNYSRKSKSVSVLKEIKQFSPAITFREIPF FEEDDKISDFVIKKAKNAVDRVGNEPKRNGYEFLQGKGHINKAHYNFQKNLDKLKRNLKH SVPLNINTCLFELDSNKGTAISIMNKVFPSSSRPKYEIPKFSMTSRIVIKSLKLPKLLNE VEVLLFSNKESMISNSTTMYKQQAAVKDDE >CAK85843 pep:novel supercontig:GCA_000165425.1:CT868552:118268:118658:-1 gene:GSPATT00019547001 transcript:CAK85843 MGEHIEDEVAVSFEQKRGINYQHEYMRLYIANVVLTQQLKELLQEKGDLISKINRLEETE ICKTNSRKQDYEDSKKIEQPSKKQIGIPSIIISSAEGSTKYNDENVVHNHQYQTRKLIKK N >CAK85844 pep:novel supercontig:GCA_000165425.1:CT868552:118818:119819:1 gene:GSPATT00019548001 transcript:CAK85844 MIKFRQIFLIILAIVIPVVRGQMEDISFGEKHIYVNTFQQYIEKIIFGSIGGIMQYLICC SIVEYYNPMPKNKLRLQNIFREIRYGIVQLIFGCFVSMSFYYYLYPYTPYYKYFETHDYT LFHFLYGITFHWLWTTCVGYWTHRMLHLKYFYRYIHSVHHSFKEPSAFCYCAAHPLEGFI EGNLLLHTAELILPIHPMQTMIYGGMMAFNDLFAHDGGKYDHSDHYRHHLYYVVNYGDAK IDRFFGTAYDPKNYPVKEKCTYLPDFKDKEHPLFQRYK >CAK85845 pep:novel supercontig:GCA_000165425.1:CT868552:119819:120133:1 gene:GSPATT00019549001 transcript:CAK85845 MCIEFAFKRGGITLIRNFIHSAEGVKNGLPTAVQNRLSINYKLRTYTQGKVTDVRFITDP VAGYQAKGDKK >CAK85846 pep:novel supercontig:GCA_000165425.1:CT868552:120991:122556:-1 gene:GSPATT00019550001 transcript:CAK85846 MNACTATPAYVNTQKAQQSGENFFIQQFQVSCLGQFSYYIESNNEAAIIDPMRDYEDYIK FSESRNSVIKYVILTHLHADFVAGHLDLAKITGAQIVLGPQAVTQYQAKIAQDEELLPLG RAYLRVVHTPGHTLESSCFVLVVDDKDHVVFSGDSLFLEEVGRPDLASKSSGLTTHQLAS LLYHSLRNKIMKLEDDVILYPGHGAGSSCGKAIGAGTVSTIGEQKLKNWALQNITEEEFV KISTDIPAPPQYFFHDVQLNRQGANDLLNIKSKVTKPLSYQEFAQVAADGALILDSRDVV NKGIIKGSINITQVATLASFVGILFKPDQRIVIVADEGKEEITIIRLLRIGYENILGYLE GGFDNYVRNGGEVQQLNIVDLKDFLDTKDQPQNHVFIDCRNPPEFKTTGIVPGSLMIPLF QIENQLDLIPKDKTLHIYCRSGARAKTAATILLRHGYSDFVLIQNAGLEHIVKEHQIQVQ KVE >CAK85847 pep:novel supercontig:GCA_000165425.1:CT868552:122649:124040:-1 gene:GSPATT00019551001 transcript:CAK85847 MRQFAVSLFKVSQTQFAQIPKFYFSKKVVNVPTMGDSITEGDVKELQKKVGDYVNQDDVI ALIETDKVTIDIRCADSGLITQMFAADGAKVEVGKPFYEIDTTAAKPAGAAATPETKKEE KKEQKQEVKQEQKQEAPAAQKSTPPPAAKPAEKKPVAPSVTTPTQRTEKREPMSRMRQRI AQRLKDAQNTYALLTTFQECDMSAVMEAREAMQKDFQKKHNVKLGFSSFFIKAAVKQLQE QPIVNAVIDGTDIVYRNYIDISMAVATPTGLMVPVLRNCERLSFADIEKTLIDLAEKGRQ GKISADDMVGGTFTISNGGVFGSLMGTPIINAPQSAILGMHAIVNRPVVRNDQIVARPMM YLALTYDHRILDGKDAATFLKKLATSIEDPRRILLDV >CAK85848 pep:novel supercontig:GCA_000165425.1:CT868552:124234:125662:-1 gene:GSPATT00019552001 transcript:CAK85848 MNIFLYSLLIVLVFCGQEQGTFLQDQSTTSQENKLYTIKLKETHTIVSAKEMYDFLTTKQ TYFRQQTPIDIQEIEFGGYVPKPQQTTEKRQADLKLHNFKNTQFTGPITVGDQEFQVIFD TGSANFWIDSVKCKNEGCKQHTQYKPSFRSKHLGYALNVQFGTGDLNGEVNSDVVKLGEI EVEDQNIAEIVEENGAVFQNSGFDGIVGLAYPSMAAYDLNPLFDNIMKQKKLQSNQFSFY MSNKVNSYESQLTFGGYDVTKLDGPVHYHPVIDKYYWMIKAENILVNGQDQGFCPKGCKV VADTGTSLITGPYDDLMKLLDLTNIDDNCSNLKELPTLTFRIDGVNYDLEAKDYIMDNEV SASAFIEGSAKQCIGAFMPLDIPDPQGPAWILGDIFLTKYLSIYDRDVNMVGFGKAKH >CAK85849 pep:novel supercontig:GCA_000165425.1:CT868552:125690:127278:1 gene:GSPATT00019553001 transcript:CAK85849 MQPLCDPAQDRVVKQVPLPPHRPLDNDLMFPAKNQGKPDWKLIKEHLEREGRIAKKEVIK LVSMANKIFKNEGGLIHLSDPLTVVGDIHGQFYDFLKILDVGGNPESQKYVFLGDYVDRG PFSIEVLILLYSLKINYPRTIYMLRGNHECRQLTSFFNFMDECKYKYDQELYDVFMDSFD NLPLACIINNQFIALHGGISPELRNVTDVNLVERFREPPKNGLFCDILWADPVDNDDGIC EGQFRINEVRGCSYFYGMEAVSRFLERNKLISVIRAHEAQLEGYKMHRWDGGQDFPMVIT IFSAPNYCDVYNNKGAVIKFKNNDLNIQQYNYSQHPYLLPNFMDIFTWSIPFVAEKVTEV LFHIIQPRDGEAMDEIVDEDDIAKFKELVGDQQHKNKDVFLKSKIKFVFRMMQIQKGLRQ QSESLVQTKGACPDNRIPKTLLDSIKDSNGAFQTAKMADSINEKRPGLSQ >CAK85850 pep:novel supercontig:GCA_000165425.1:CT868552:127585:127752:-1 gene:GSPATT00019554001 transcript:CAK85850 MFEYYKSNMEQKTIQDISIPYNFDVKEIQQKFGIEDALIITVQNG >CAK85851 pep:novel supercontig:GCA_000165425.1:CT868552:128637:129314:-1 gene:GSPATT00019555001 transcript:CAK85851 MKQAILVLAIVCLSFAGHNIRHHKQNTANSQKSLVQTQEIDYIDDDKSFSEPAETVQKEA ELVQETQIQSEQTEQPQQQEQQESEISLTSQEQDQLDLEEQKRYEEEKQQQLSSTKGSDD DQYMNALNVEIQTQDPTDQMPVDVVENQLNPVFEKDSIPDQKGVDSPFQPADGSLMMNPE EPEYEIKQTQLMPDEVPAEQLQEYMEKIEAQEAQEMAILAAQGPK >CAK85852 pep:novel supercontig:GCA_000165425.1:CT868552:130650:131895:1 gene:GSPATT00019556001 transcript:CAK85852 MNKSVFQNNQRVQQALMHSQINRNIMKNNLSADPNIKPEEIVVESDNMQQTIHINPNQDY QRLVPQVIMPSVYKGNRQQLKHLTGFMQKKSPHFIQGFQKKYCILENRKLSYYNPEKKTL LEGSLNFDLQDYQYSEQRNSQNKIIEFKLIPKGCEKEFIFRNENLQEIQIWAQTVQEHLN LSDGKTKQMTFLSKTPKFWKHYQFSHLQVQEECDNGDIVLFKSKDRFAATTRVLLGSEFD HVGILYKENSQLYVYEAVQTGVGTFRWDYLKQQEWYKFYEKVCIRKLNYAQKNEYGVQTR FLEFINDNLGNDYSLNIGKFFRFTSTIKKPSDNQQGEKKRSYFCSELVAKAYKEMGLLDQ VKSSTRYYPNDFTQEKQLQLLQGATLSPEFLVIFEG >CAK85853 pep:novel supercontig:GCA_000165425.1:CT868552:131918:133242:1 gene:GSPATT00019557001 transcript:CAK85853 MQTSIDLPSPLGIVQTSSITSTGKILGPDYENNLKRAAKRSEEDKLFRKQNQMNDADEFE AMEVQNGDQTSLIYYYKALKLDKEIQQNENEIINIVQNRPKLGMIEGSLMKKSPHWFQGY SQRNCILRNRVFRYYNVENKKLQGVLNFDVQSFQLQEIQDKNGNTLEFILKPVGQTQKVF QFKGGTIEQTKTWVQLIKQHLQDSIGALKVLMSLSQYERFWRFERISSLQIMEDADDGDI LLFQGKDINCHIQRSLTQSNFDHIGILIRLSENQLYLFEALPLHGVGLCRWSKFVMCKWN YLYHKIIYRKLQINRDENFRSRIHEFVTENIGKQYSFNPTKLFKFKSTMLQDPQQQQTRT FFSSELVAACYKFVNLLSKEVSSTQYWPGSFSQENQKLSLQYGSLSEEYIIDFEGE >CAK85854 pep:novel supercontig:GCA_000165425.1:CT868552:133267:134307:-1 gene:GSPATT00019558001 transcript:CAK85854 MYFSGIQPTGKMHIGNYLGAVRNWVKLQQPGNQNIYCIVDLHALTDKLTIDHEIKFDQNT KSDTLSLAATLIASGINPKQSVFFIQSHVPEHAELQWILSCIAPKNWLNKMTQYKDKKKE YSTLGLYTYPLLMAADIILYQAQYVPVGLDQKQHIELTRDYVQRLNSLFKLNIVEPQYLE STCPKIMSLRDGNKKMSKSDAIDGNRINIDDSKEEIFEKIKRSKTDSIPQIYFDQDKRPE ISNLLRIYSAFSNLSMEQVIEKYLTKTTVDFKRDLSELIANELNTIAQKKKQILNNQSEL EQTLKEGSMKAREIASKNLKLIKENIGLLV >CAK85855 pep:novel supercontig:GCA_000165425.1:CT868552:134351:135055:-1 gene:GSPATT00019559001 transcript:CAK85855 MQTSLFQEIEEFTQCSNWIEKQDSAGSSNNDLFLFGYKSKLLFSTETDSSSTEQFEAFSA IFNQAPEKCSNENENTQNQFNISLQTIVNDKRTTLMIRNIPSNYTVKRLQNEIDFKFSSK YDYLNIPCHLEGGFAFINLKNKKFLHEFFIAFNNRPWNFNKNQCCVLKYAKVQYNENQMK YQKKIGPDIYSNQKKVIDLIQNQKNELKL >CAK85856 pep:novel supercontig:GCA_000165425.1:CT868552:135057:136419:-1 gene:GSPATT00019560001 transcript:CAK85856 MTEQNQRNALETKYIKLLKEVEEKERHVRELRTQTKQKKIEFEKFEDNIKSLNIRGQAVG EILMKINDEKYISKLNSGPRYVVGAKPKLDREKLVVGTRIALDQETYTIVRILPREVDPQ VFHMAHEDPGKVKFDEIGGLNDQLRVLRETIELPITNPELFKRVGVKPPKGCLMYGPPGT GKTLIARALACNVQAKFLKIVASKCIREMFTYAKENQPCIIFMDEIDAIGGRRFSDGTSA DREIQRTLMELLNQLDGFDDLGKVKVVMATNRPDILDPALLRPGRLDRKVEIPLPNEQAR YDILKIHSRTITTKGEVDFEQLAKLCEEFNGADLRNVCTEAGMFAIRADRDYVIEEDYFK AGRKIKEAKKLESKLEYQKV >CAK85857 pep:novel supercontig:GCA_000165425.1:CT868552:136524:138134:-1 gene:GSPATT00019561001 transcript:CAK85857 MQQKSKTLLHLLQQQQHYHDDINTSLESNFKQSSEHTEKKFDLKLQKSVVELYQQIKSSI QKTEDQVQIINYQQLLLCQIKKVVPLDKQEDLYTDPISLICYIGCIFSIVLQNKFNLEQQ IEDHNSRNQNDYEEQLIKLEAEIRQHIRIEQQLKLFAENTQSKLEDVLKIKDDLEEELQT LKNEFQILNEKNNTLNQKLKIQEKDIQNIKLNSKVDLNSQNIKFGQQSKKTQLEKDNIEN EFMKQQTISLNGQKDKFKCLGQEYDNRLRTEPQDDYIKFRNNSQKRIFSTHISNNNNNNN NTNNKSNNQASRVQTETSEYPDKNRKSNLSNYETSQVTINHQRNQTTQNVLNLINQEFKR QQSIKGCSEKSTINSTKPQKKASSSQHTEANRSNNSVHSFRKQPQEVIQIPRPFSCAEQI EEAQHSLRKDISVDLNGQQKLNADYLENQLQIKKLLQQYQQKHSLNDNLTKKLLQEYKKR SGYNCKTIY >CAK85858 pep:novel supercontig:GCA_000165425.1:CT868552:138552:138770:-1 gene:GSPATT00019562001 transcript:CAK85858 MNYQQILQNVLLIRKLREVFPRKSVLLKTYMLGCFYLFYQTIKTDNKKKRIYEFQNQDQL FQETNVNETYFK >CAK85859 pep:novel supercontig:GCA_000165425.1:CT868552:139330:140190:1 gene:GSPATT00019563001 transcript:CAK85859 MIKYLSAHLRLARHDKPIGALLLHIPCVWGTILGQPTFNFQEIIWYSSVFGVGSFTMRAA GCVVNDMWDRNIDNKVERTRQRPLASGELQMKDAWISLFAHCSVGLLVLTQLSWSTIAAS FGIVPIAFLYPLAKRYFSYPQLVLGIAFNWGVVVGGLQLAGMLNPTIMLGYAAGIVNTLI YDTVYGHQDKEYDKSLGLYSTAYTLPKNTPLYLTWVFSGLIGLTCYAASYHPGVYPLIVL NQLDMYLRLKATDFDNPESCGKFFRDFKWFQITIALIFGAGSYMKQ >CAK85860 pep:novel supercontig:GCA_000165425.1:CT868552:140240:141236:1 gene:GSPATT00019564001 transcript:CAK85860 MFERKELKARVKKKFEQISEDQEIIFSIKRKLGDQSYDNIYLLNDEEMLRFINSRKQQKL NFDDVINQTKNLSLDDNTQKIVVLEKLYSDDTPQYNEETQQQSILKDRREQFVDKFRRDI RDKMLQEKRNKFIIELEQDKGNLRCCDQQQNEQLEKDYYVMKQVNKNEMNQEKKKSALMN IDAKQIEKYFDNYYVDSEHNSSIDSEDSQRTDQDAYEYPENESSDDEDVEVDDDYYDNNS DDSQKYKKYRRKDIQEKDDQIEIDEQQQAQGIEINQVQAFMSFIKQHEQLKQEKQCWKTF DYENDF >CAK85861 pep:novel supercontig:GCA_000165425.1:CT868552:141281:141697:-1 gene:GSPATT00019565001 transcript:CAK85861 MGNGQCCKRVERVETFQYEDETSHPEASKLGSLKQGDQQPQMLSQSDSDEEYPKRKQQQL SVLKEPQKTSQLSTIQQYSFQSLSSEQQFQNFVTFQALPSQHGMQGNVFSKFQAEMNQIA QQQQPQVSKNSKKVQFAN >CAK85862 pep:novel supercontig:GCA_000165425.1:CT868552:141887:143183:-1 gene:GSPATT00019566001 transcript:CAK85862 MYLEFDEDFYQIQNYVKQVNSNLVVLPQQNVESEIELFEKMILQIKIYGSKIIELYQSLD EICPTDRQIEAFIPQAKLEQIDYDQVWLALNKGFVNDTYKAMDLMQQLDYSIKMNQSQQP KFIEFLQITCRCKDRELEKLSQLFPNQYPESYFKQIEHLTEMLHQYSQMVPLLVSENEHL RQEIEITKSNENDGQSNEISQLRQHLQNIEKQLTETQSQNEYYQQMIKAEEQVRQNKTQL QMLQIDNQDLMFKNNTLHEEIKNYQEQIKTQNESFDRMQTEYKKIIQKKNLQIQELEEKN NLDLTIKNNNQVDIDLIQQKIQQFKQLYEQKIKHLNGTITHLEQENFKLKDLVRSSALEV DSLRLQIEKIIQSNNKKTLKLPKKAQQDYVQLYQKYQEVVEKNEQLSQQLYSQILDGSVL SHI >CAK85863 pep:novel supercontig:GCA_000165425.1:CT868552:143233:144588:-1 gene:GSPATT00019567001 transcript:CAK85863 MFQNCKFCRKQIEDYKLTLHQMYCEKNCIRCDRCGQFYDKNEQELHDIEFHQLQWCQVCK TKVQDPRKHICSNRQTKCDYCSLQLPHIDYQDHEKTCGSRTLRCNHCSQYVMMRDIQYHL QICHAIRPKQEDQRQKNITIQEMNNPQFNQSSNYSQGQALPYQDPQQMYQQSIGLKPSLH YSEIQDDQYQAYKLSMEYMEMQRLLRDSKNNSVLPQGTNTSQTQSQEYSQYEMEQKLNAL QNQQLQQQLQQNQNNQNKNLNRMDNQGRTFQQVQNSSGKDFQSYFTKDQYINDNTLMGNP KMDQGLKNQMQVNQNKQTQPQQMKQENEKKKIFEDRNSQSKDAKNSINDIDNGESIIGRI LLESIQADQLQMSYAQYKQHQKLQKEIESRRQEQPKPNIPNQNQASHNDEEFYYLSDQER IQQRLLMEQIQNKRY >CAK85864 pep:novel supercontig:GCA_000165425.1:CT868552:144871:145783:1 gene:GSPATT00019568001 transcript:CAK85864 MLNLDNIDLVNNTSNQMKVFCYSFFIRTSIFAIINQKVHLKKHPKKVQDDIKNRIVSIIH GSLTFWAAAYIMLVEQPGFGAINSQAMQFTMIISASYFVYDFLACLYYDLADMSLVSHHS LAICGYAVATFSKFGAPSSIYFLWGLMSAEVSNFPMHMRVIFRQVGLRHTKLYEACEWAY FALYIIFRGSLVPYMVWNTWPESEVPLLVKITATGLFLQSVYFIFEMKKILISQYFQYQE RKKKNIHHFWFSVNQRVYELSYINNQKKDKVF >CAK85865 pep:novel supercontig:GCA_000165425.1:CT868552:146028:147324:1 gene:GSPATT00019569001 transcript:CAK85865 MNFTLEGKLKIDQFLQLLKIFSNPTIICDDEKIQFSEIPENQKFNELNKSDKPASYAQIN NDFFNEYQTQIRENHLKFAETSCLNIKMQQDVCLLNSQLNYVCCQVPIDMIYKALKPLAK SHIKILKLSFSYLLIEQNYDNKQIQLNFIVGAYSERGFFTIVKPVNMLQQKEIELPNLKN KVITINQENCKTIIQQMKTYPISLICNVDEISFSPLSVDLEQVDIWSGALTYYNSSLVEE VYGSLSIQSIYTKTYNPILSYCAKVKQDCTIYITPSENDSKAAILYFVSSQSNIIICNRQ QVITSDESKEQGKLFQNISDELLDEIEQISSQFEKKFSQIQITTNVKDNYIGSYDNQPLK MAYSAIEDNNSKMYSTINQKEPLLFVPPKVTGSINDAILDYMTRNSCKQTSTTLSRYKSN FQN >CAK85866 pep:novel supercontig:GCA_000165425.1:CT868552:147596:147902:-1 gene:GSPATT00019570001 transcript:CAK85866 MNSQTLDEESCQIKNDKNHGFGPCLNNIHMEAKNLDDEVNMNLNVFFKQKNDVFIVENWK RYNTDVSKRKLQNQQNKKPKNQCCTIF >CAK85867 pep:novel supercontig:GCA_000165425.1:CT868552:148460:149217:-1 gene:GSPATT00019571001 transcript:CAK85867 MSMPYQQTGNQIDYQEIKQTKADLKAEVHFIGQIVGGLDFQTDDGLFCELAIDCGDGWDL LQPGNNKGIQTQTSYANPGQLFSWGHPFDLHFSVSNLIGWPKALLKVWRLDSSNKIDACS YGTAIFPRSAGYHQVTCETWTPTGENLDLEIRKPNEDLATNTDQFSKFDRSLDWRFQALS FYMENPPRLTTLAPLTTDKGDFARRKILNSISNGKVIMEVEVVMKNFKRLSFSGQ >CAK85868 pep:novel supercontig:GCA_000165425.1:CT868552:149405:149894:1 gene:GSPATT00019572001 transcript:CAK85868 MCDHRKRQLTQDDLDNSNIMPRAPLVKPLKASSILIPELSLTYEGPNYFKKISLAIPSED EKLEGFQLAVVGKHIKKRQRFQTEAASHTVINMMERQLLRRNSSKMQIENDLF >CAK85869 pep:novel supercontig:GCA_000165425.1:CT868552:150040:152546:-1 gene:GSPATT00019573001 transcript:CAK85869 MDSVYQQYTQPIWVLMNEAFYKAVQQKSVQNLNGFKYFESIKEYQDLIFPLLQNEAYHSL RREQLRFLNNLKDRSQYPLVFEVILNQDAYRSKGDGVRFDVLREYKIMKDESPSKNEFWK YNRFAQYLIFNQSQQYFGAVYSRNPNKPNEYFTSLAIYSNKQGEQQLILPYTKYSMDMFK FKNIITADENLNFYKSFLNDASSNIWDQKLLVYFSPISPLSAYINETETIFNLQRCPFYK LLLDPCQELNQVQISDFSEKVRVQQELYFNNQIYTVKFNAIQLQAIRHALDFTKRITIIK GPPGTGKTQTTIGIISIMADLLIKAKDNDNPQGCILVLAKSNSVVNDLVRKITDSIEKPN SIIYCFNRKPDYLKILRFGRPEKCDKDIQRLSLEIRSQNHFFQYKVRDNVRESYNRCITP QIISELEHHQLQEFKNYLIEKDEQFTLISLLSYIEELNFRTRKQKILEAYGKLYNELSQL LKQKRKVYEEIEQQYLDQCHIIVSTLNSCSKLCLEQYFDKVKLRMCIIDEAPTALEPSLL IPFVKYRIIEKVVLLGDIKQLNPIVIANESINYGYNRSLFQRLAIGLKNDSLKLIHQYRQ IPNLAEITSELFYKNQLKNGIQDMQFPEWIKMKVSQTRNRLFFNAPAFTESNEETSKKNE LECQAIILLTRYLLQGQNFPNNKKPITIISAYRAQTDNIFKKLQQEKLTQENNQIHLIDQ VELDTVDSFQGKENDIIILSLVRSNDKQGFLKDKKRANVALSRAKYCQYIFGTKYTMKLD LRNWNRIIKKLEDKNPLFFENVLMQQ >CAK85870 pep:novel supercontig:GCA_000165425.1:CT868552:152892:153089:-1 gene:GSPATT00019574001 transcript:CAK85870 MDSSEHTVRIEPLSRKKEKDPQGNTIIQSSKINFEDPTALLQTRTLLANFIRGNLNSKSN SCPYQ >CAK85871 pep:novel supercontig:GCA_000165425.1:CT868552:153536:154553:1 gene:GSPATT00019575001 transcript:CAK85871 MGNECKCLQAYEEPTQTLITKGERSSEIQKQLISERKLLKQRRHNTETIYQSNNNNNQKS SKQSQRKDVQGQNYYFQSINSYKEFHSLQNPFELSQSLSHQKTEQKKPFINLLYDGDWLG EVRDGFGEQIWKDGARYIGEWRNNQANGYGIFYHVDGDIYEGFWKDDKASGYGVYMHKDG SRYEGDWDQDLYHGTGCEVWVDGSKYEGQYSKGMKNGKGIYRWADGSVYDGQWQDNKMNG FGKYTWADGRYYEGQWKNDMMHGTGIQIWPDGRKYEGNYEFDKRNGFGIMEWGNGKQYEG YWLNGKQHGEGKIISANKEIQVCQWRDGQRL >CAK85872 pep:novel supercontig:GCA_000165425.1:CT868552:155403:156467:1 gene:GSPATT00019576001 transcript:CAK85872 MKPLNKNVQVIHNWHDAPVRVNLKKYWKKNPLDYVQLPKPYSQKNKITYSKRIINDFVEV VNNRQEMVNGIVETANHFQMASSKIQDCFAEFYGPDTHYQQELDRVLDNVKPEFRYIKAI AHQNLKKIHSLLRKQKQETQQDLHPLKILTKKDKLFLLSDPKNADILKSDSRQSRTETIP ITPGEDKFTSQYKMMIQKEHQREQQKQNMIQIRLFDSQLKYQGDDFVKQNIFKSNMKHTY TPKNIDSNYYVNNLRHSTQESVEFKTPSHFKTAIHSRQISKYTSPNGSQDLVIGYDTIKE DKLGLQQHLGEGMKKRSYRSFKDLINQPKEFEIRNVTEMKKFTRTTSQPILSQI >CAK85873 pep:novel supercontig:GCA_000165425.1:CT868552:157122:164118:-1 gene:GSPATT00019577001 transcript:CAK85873 MLNLKFKVSYCSQFVEDECILNKKCAFHLGKCQEFTKCEDLLKDKCLSSSYWCISNGQIC IPKNECQEYINKVSCQNKNIHGKYCIWKITIDGPKCLNVENCEELPQILNTDNACREQIN NCTTSNQGGCMRSKDYCNMYTLEEQCFQTYQNVKCFWEQKLKKCFEKNCSNKYLQIHHEC QNFLSNCTTDGTRCIDKSSCQQYQQQQGCVIDNLGNPCIFYQGQCYYKNCDQAPITINSM LQCQSFDNGNQVCVSKKNGGCKNKPNQCDQLETQDSCLITNQVAGKYCIWDERIQQCKVK ECKDAPLNFDHNQCIQWLDDYQCISGQGNGCIDNFQNCNDIKNLKSCVKNKNRKQCIIEN DECFEEECQSFKYPKQDTDKKCSDRLSICTYNYLTKSCINKVCENLSDKECNYDFEMNKC TIPSGCSHKRCESASFYLSSYEDCQNWDIRCTINIIVTTSMIYMNGCITKYMDCHQFKYQ QQCTSTLQGIPCFWNNEIKLCEFQTCNNAPVSLDTISQCQDWVKYNTQKCVNKQNGGCIE QFPQCLQLTEELQCTLGSLNNLCFWNTQTNKCEDRTCMNASTNLKSNLQCRQWLSNCKLS KSGLGCEIDSGIYDLCTDAPEIQQFSTHEECQAWNPKCTLKLGSSCATKLNCESYQTNTE CLTDINNQPCQWNGTNCYTKKCSDISSIPTTADDCSNFSPLCTISTEIVTCQDKKPNCSD YNNNMSDCKVNSAGEKCSVDTLTSSSGSGSGSGSDSISDSGSGSGSDSISDSGSGSGSGS GSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSTSSSGSDS TSDSGSGSGSTSDSGSTSTSTSGSTSGSKSHTGSPTRLASRSTSSQTQTLTCVVAKYLTQ VPNYIYSCIYENKDKGFLYQYFDPLKTCIETPQTCDQVPKDQCDIIATINNEICSYNDTQ CAVLSQISNPLEDCRIKYSQNSQRQCKLYSKTCELDFIQNNRCTFTSCLNVLRSQCDLIT LNNNIQCVWNNSTCQVRTCNYINEEPQSVEQCILWLDSCSYDQTKKSCTDRTSCSQETSE LICNKVSYINPQGQKIYCFWNSNGCSDITLCSQIYNPTSHQMCQEKLPTCTSQTSISNTT TCIEIPSFCNQIQNQNQCIQNSKFERCIWSNEKCFQLVCHFRILFSNRNLFQICKSRQLF CVYNPLKSQCEEISQCQLIPNQTIDAQNQINEQYNGCVRNTKCSQATTYFQYKCDSFLLY KKCREKEFIYSCQPYAQFCQDYKKQENCKFDYRQNKCYWKAKLNQCINFLCNEIEQLVQT HQDCQQISSDCTINIQNSQYSCQNLLQCNEYQDEYQCVINLNHKICSWIDNKCIFDNCRN ATKNMIYDIGSCENNYGSNCTINEDRSGCIIKYKICKNYNQFQCLTPDQRNLSGLLCFWD QIHQICSELICDQAPQNYNQLFECQSFHYNCQPSQCRQSKCEDFKYNTDTKCQSVLSNSQ CTTNGFQCIQRKQCENAIYSSGCTYSIDFLDCQWLEEENQCVLKSCDSAPNSIQTHQQCQ QYFQGCTAKLNGGCSQLKTCNSISTKEGCLTDQFNMNCLWDEDKKQCIYLQCDTICGDGI AGLNEECDDGNLLPYDGCYKCKVQCQYGCNVCESKMCLECSIGYELSSDAQCYEICGDGL INGQEECDDMNEIKEDGCFGCRFQCHKQCLICSQGQCQLCQKGWEQYNNQCKSVCGNGQL VGEYEQCDDGNNDDFDGCDANCKVEENWICNQSQITGLSECINQVAPKVLFENQSQKRDS EQIIMMQFNQQIKLKMLCNLEDYIKINVTKNVNFDYTIVPIKQATPTLTNVQYKIQISLL ESVDEPFATVSFIESILINNLNQELIVLSKTISLGNPLILSLDAKNRLDSAIQFNEIMIY VFIASSTLSVLTGNFDLFFNMLALLQQLSYVRYLAVPYPSHLEQYLKVFKIISFQPLYDK LQIDYYFSKLNFGKTPFIQSNNLKQDDPELNNAFFLVNAKSFYLTMFTTFTLFLISKIIK RRSHYVYTKFSSFKHFKRLKMLYIIFRMIKQFSEKTSNYFFYSGMIKVFISTQYQLMYSA YIQCPEYQFNFQLQDIFITFNSFNALIAIIIPHLFLFKSIVVLQKQYKIATKNRFSIFYE NVKQTYWARYFIPFSMVKVAVYMGIVCFLSNSPVIQVISLTILSNFYLYYLLVAQPCTQK LEKIKIIVREMTFLIIVSSLFPYILVVDDNIISLFGWIHIGLFSLIIASNILIDFINQLT QLLNKYQQNKLKKKKYQETFSNCKSFIVISDVKLQKNRKASKK >CAK85874 pep:novel supercontig:GCA_000165425.1:CT868552:165246:168012:1 gene:GSPATT00019578001 transcript:CAK85874 MNKFKTAIKFGKIRVLNVAEKPSVARSIATVLARDHTIEETKSPYNKLFKFNFKLGEQDA EMWMTSVTGHLKNLKYPKQYQKWDKWDPLIILKDAEIENVISYEKRFLEENLQRFAKQCS QLILWLDCDREGENIAFEVLEVCKATNPQIKVHRAHFSAVTYVDIKKALENLQQPDENLS NSVLARQEIDLRIGASFTRFQTLLLQQQFNLSSIVSYGPCQIPTLGFVVQRQKEIDSFVK EKFWFIQCVDQTGCEYNWSRGNIFDEMIVLLLFERCFTEQAQVTDVQQKEVQKWKPYPLS TIEFEKLASRKLKVSAHKAMDLAEKLYNRGYISYPRTETNKFPPTINLQNIIRDQINHPV WGQYAQNLIHSNFDQPRAGNKDDKAHPPIHPVKMMSESDAQTTQEWDIYQLITRHFLACC SKNAKGSETTINLQVKEESFYKQGLIITEKNFLEIYPYDEWSQSSVPKYNIGDIIQINLK VQNGKTSPPKPLTEAELIGMMDKNGIGTDATIHEHINTIQERDYAIKKGQIIKPTKLGLA LVESYEVLGFTLHKPHLRALMEQRMNEVAQGVKQKNEIVEATIKEMSGILQELQQKKNLI IQTFGQYLEALKDYDENNDDENPQNREPNQQLQQQQQRNKPTKKEQQQQQQQQQQNFQQK CNTCGTQLVEIKQTNGSILEVCLQCRQAQEKSQPTNTSNFQTKTFKTQTNHNNEETFKCN QCHKQMKIRQSKIKNSYFFGCLGYPQCNQAIFLPDSIKSIQRTEKKCKKCNSILFQTEYS ENSTLQQKSQLLCLFPGCSEGLKTEWRNQSKESQQTNLNYSKTKQGYIKQQVQCKKCNGF GHLEDVCNNNTKTMISLIETNQEDKKDKLCPSCGVTGRHPKGSTCPMKRVKKKNQ >CAK85875 pep:novel supercontig:GCA_000165425.1:CT868552:168555:168953:-1 gene:GSPATT00019579001 transcript:CAK85875 MQQTPLRGRKNLFDCLLQKRSFSSMSKSKRPSGIYIEGIRAPLDSRIQKARQHKLQIKTE YTDYFVFETNEKTHIPQTKINSQRQPAIYNLQFTINKRQNKLQMIDRKPCKSFYTLQIYS KSQDKSEVRQFK >CAK85876 pep:novel supercontig:GCA_000165425.1:CT868552:169138:170360:1 gene:GSPATT00019580001 transcript:CAK85876 MIKIILGLVILVSVVAQDAIICSGFIRILKADRQDINVNQIEMKLLGSNNQFIDYIEISE NGFYSIEIEDDGTPLIDYVVFIQPKVKGFVASPSQINLKFQSKTFDEASSFCNKQLNFSI KRAPVEEPKQQQQQQQQQEQQQHREQQQQKEQQQQQQQQQEITQTQEEKIEQQQQQVEID QNIEYIVSKTNDQDYNQNYDEKQDNEQGKEQIQPDEIQEELLNEDIDEKSFDHFRLIGQI VFEGDFTLTEKNLYFIKSFKVFDNLDEQVQGRTLSFQNYFEVETNEAKTLKLQLVVEKTK EKGESVNKQINFEQKISKISLLNRQITAQPVVFAIKKETPQKEQNKIGQVFAPLLIFGLV LAMFNYDKILKKK >CAK85877 pep:novel supercontig:GCA_000165425.1:CT868552:170443:171914:-1 gene:GSPATT00019581001 transcript:CAK85877 MHSATQEELKSRAKQNEISSGEEEMFSDSGDECDPENYNFYMSQVDFAALANGISQDDQK GQEINLRERVSTQQLRQEVNQVNQHISGNHQNITSVPNPIKLLVSKQKRRYNYNGFNLDL TYITEKIIAMGFPAENIESIYRNSMQDVRRFFDSVHPGHYKVYNLCEERKYDHSNFNQVA EFPFQDHQAPTFSLIYEFCLDLDNWLKTHEKNVAGIHCKAGKGRTGVMICCYMLYAKQFT NAYDSMRYYGMIRTKNKKGVTIPSQIRYIFYFEKALNNKWVPDNMPNKQVELVKIRLIPV PNVKFLGGCAPWFRIQNRDKEYNSKNQFPVKEYKLEPYIEFKLRDIVLQGDVLLQFLNQG FFSSSEKLFQAWFNCDFFDYTGILMIDKFMLDKACKDKSGKTFQKDFRIELHVVEINQDN KSLQSVQNNTNNQITHKNFGF >CAK85878 pep:novel supercontig:GCA_000165425.1:CT868552:171927:172955:-1 gene:GSPATT00019582001 transcript:CAK85878 MDQIDLQDMRKKLHGYKKFGKKQVISILNQLEDPKIDQKALADSKILKTVRTLTQIEVVG DASLSEQDLKQIKEKATETLQKLKKNEKPVQQDKVQPISVTPDRRQSVDIPNTIPINQND FINQLELPQNFDPTRLKVLQTLIQKFTNETTPHQESIKFCKKLEKEINQKFLNKERQYQT AIREILKYLQNDRDGSVRNRMLSGLVDIPTAAQLRSEDWTSATRLAIAGGVGREILSAND MNHNKYIAQAINKDVALGLCNNCGQKQMKLVNEIQTRASDEPSTKFYECLNCGIGETTNG >CAK85879 pep:novel supercontig:GCA_000165425.1:CT868552:173632:174072:-1 gene:GSPATT00019583001 transcript:CAK85879 MTLFEQLGGEAAVTAVTTQFYANIQADATVANFFNGINMADQTNKTASFLCAALGGPKAW GGRNLKEVHANMGVTNAQFTTVIGHLRSALTSAGVAAALVEQTVAVAETVRGDVVTA >CAK85880 pep:novel supercontig:GCA_000165425.1:CT868552:174226:175938:-1 gene:GSPATT00019584001 transcript:CAK85880 MHLSPSTQKRDSPNEILRARMEKKPSGRFTFLKTQQEDPQQQQVQNNRDRIKNNLRGIAF KQHVGQILHILNKPLPITKDQIEELQDLGKKLDIEINSIDSEFICPNTKEFHQNYDMLEV LGEGCLGLVKKIIHKTTQNLYAVKIVQTQDDEIIRNFQRLIKLSHENIVKVHKLYVDFDN SFQSESKAYVVMELIEGQEMFEVLNSLGHYCEEDAKEIFKQLLSAIEYMHRNGICHRDLK PNNILCVNSTNHFLIKVTDFNVSKFNREKIEMWTYTGTVAFSAPEIFTGEGYNQMVDMWS AGCILYSMLSGQLPFNADYLNDLIDNIKEAKISFPVELFEGVSDEARDLITQLLQKDWAL RPHPDTALKHGWFSEDQKLLESQRSLLKRLNHRKNDPRLILKQRHNKRQQSILLGSQQLI INLHSMELQPEARLQETQQQISNMCSMRLESCPKKSQFSFTTYKVPEDELSIYQYLPPPS PDKENEIEKVGFFESEMMDPLMQSSGRLFGDEKMKNLKDNSDQAS >CAK85881 pep:novel supercontig:GCA_000165425.1:CT868552:176037:177783:1 gene:GSPATT00019585001 transcript:CAK85881 MNLQIIKNLIYEQNQQLKCAMKVDDINKTMIKDSEMSDEEEKEVEPKQSKLKYVIMILGC LLMFGNNYSFDNPQALQKQLTQDLGISISNYNLLYSAFSFPNIFLTLIGGFIIDFLGVRF GIVLFSAIVAVAQMIVALGGAFKIFWIMLVGRIIFGCASENLVIAQAAIICKWFRGKELS TAIGYIMTVPELASAANSLLTPILYEQYEGLAYPLFFSVILCVFSFICAVVLCILDKTNE MNKLSIYLQQEEGQFIIEEQEEEAGEGEEQKDDIERVSFKDIKNLNGTFWILVLICTLTL GSYTPFLDDANDFLQEKFEFTNVQAGKVLTIPYLMAAITSPFFGPYIDKVGKRRKFILIT CVLFTLTHFAFGIMPNGQHGQPNWFSVIPLMFLGTSYALYSCVLIPSIQYIVAEKVVGTA FGLLGMFESVALAFFPILAGFIVEKSDDPQQGYSNVGFFFSGISIFGIIFTLSLYIFDKK SSMVLDFVNPEDPSDLEQKMLRTTKSDSSSDEEDDESSDEDSEDDQFKKKKVCKSYSSLK SKKLLTSPQLRTRSLYN >CAK85882 pep:novel supercontig:GCA_000165425.1:CT868552:177957:180775:1 gene:GSPATT00019586001 transcript:CAK85882 MIYVHLIPHSHDDVGWLKTYEEYYYGLNNKVQWAGIQYTIDSVVRSLFFDQTKKFIQVEI AFFKLWWDEQNDDARNKTKYLIKNGQLEFINGGWCMNDEATAYYEDIVDQMTLGHQWILD RFQIKPTIGWQLDPFGHTSAQAELFALMGFDAWFFSRIDYQDMNLRKQQQKMELISTVDQ YSIFTHINYNHYEAPPQFSFDSLHSQDPIVDNQNSIHYNVDKRAEVLVDYFKSQNQSYLG NVLMHTLGTDFGWSNAPMYYTNIDRLIKYINANKQKYNMQIIYSTPSQYLQAINQLNLQY PTKEDDFMPYADRPNAYWTGYFTSRVSLKLLIKQLGRFAQIQRRFISTLLLNGKSQYIQV NRQQILDAQNILDQALATNQHHDAVTGTAKQHVTNDYIEMLCNGHHKISQQLYQIMKELI EFEIQDETSQIVFEECNFNQTASSCKLVYEQLKNGNAVQMNMIENKNLPLQDYVRIKVPK LNLTIYDQTNKIVIGDIICINNDDDCDLYFIYNRNPKQIIEYFRILPNQENPSAIIVPPQ TESLTYNSFSDYISLNNSKQFKLTYKYYISSEDKQYSGAYIFRTNDEALEFGEIKTHRLY LGRLIQIFYIERDQVQTKIKKFEHIEDTYEIESYITDIPIQKENGKEVVMIISTDIQNDD TFYTDSSGMRLQQRKLNYRPTWDLEVHQPVAGNYYPVNGILQIQNNQTGEVAALLNDRSQ GGTSLHSGELELMIHRRLLKDDARGVGEPLNEKQSNGRGLNQNFQHTLTFFNKNNQPNQA RYFQYLQDLKPIIIFSNCKNELFPQPVKSFKITYPRDLERMFTPNSQAITKFYLQTIGVD QYIYRIHNLGEEGTFQVPELDQFQIVETTLTANQLWTEWLEKKLNWKVQEGLQQPKDQPV HNETVLPQQLRTFRLTKKSNSNQ >CAK85883 pep:novel supercontig:GCA_000165425.1:CT868552:180795:182638:1 gene:GSPATT00019587001 transcript:CAK85883 MAFVFRSEVKPAYIPKTENVGPGQYEHNQESESKQNLYPFNSTVQKSTNHNQITKGPGPG TYNLQGSFETQKVIFSSDEQEIKILEVPKPISVFRSSTLRFKEERQNGPGPNQYFIEERK KFYQANPKPKINVMEQLVKENKYISIPSIPSNYHLGYQENSESILEQNNPLNLSQEVGPG SYDVKSSFSNQKPRGVSWHKSAQKQKYIDTENKVGPGYYDIITNSQPMYQMKPTTSFSSK LSRQSELKFLNPKNNQGYIKKGLDNKSYSFHLSTNSGTTARDSDLESEYSYIEDATPGPG YYENASTQQTISQNLKQSQIKGSIRSRAKRFLAKSNQIPGPGSYKVDVVQHKHQVTQPPF MIGRTRFDDKISETPPPGNYKVINTMEERLISRLVKAPLGQFGANDNRFKDSKMEVPGPG TYEIMDEERRNKYKNGLKGTASFLSHIPKIQELIIADRNLSPVSYQLDQHTIEKRIVKTE EDNPKLAVVKPPFGVGEERWKIKEESEEDDDDEPIYMNKSQINSINLFKKRKKDQPPFLT KEERFAFSVPKDFQPGPSDYADGTFPHWNKRTFNLLFAEI >CAK85884 pep:novel supercontig:GCA_000165425.1:CT868552:182655:183729:1 gene:GSPATT00019588001 transcript:CAK85884 MLNFFQSICCFSNREKPDKANRPIQKPAQTMSIQPVITENTQSQVLENDIIETDQYLQLD NQYYIEQLDFQSSSDIPSTQQPSDFMLQEEGINYQPMQEEVEQSAKKEQTATRKKRVLKL WDATEDAQLRVQYEKHNGKWNEIAKHMPGRNVSQCCQRWRRLQPVKIIKRKQWTQVEDEK ILELVQQHGKNWKLIALHFPGILSKQIRERYINKIDPEINTGPWTEAEDATIIKLYQEYG GKWSLISSHLKGRPENMVKNRFYCYIRRVHLGVQNPYQIVYHENSDSEQENSNDEMEFE >CAK85885 pep:novel supercontig:GCA_000165425.1:CT868552:183956:185670:1 gene:GSPATT00019589001 transcript:CAK85885 MDYQSQKLDREKCGKDSRILSILESGEQILLSTCLWKFSHINKQQERILLITNKNIYNIT PQSTLVNFFSKVVSSVRVKRKIAIQYVAGVTISKCGFEFVLHVPDEYDYRYSSLDFREKI LSTLSDVYQKILKKSLAIYLTDDLTLIPYTTTKVDAKKGICRMHGHPINIDPQTLSNFDF STLKAPEDNQKQQVIRKNYIYSQHYNLLKYLYQGMLGQVILVQHIKSEKYYVFKLMQKQD VITTDHLNHAQIERKLLETFDHPFIIKLVQAFETDDQLVFVLPFYQGGDLYTHLKKETRL KEERVKFIVAQLLLALGYVHDRDYLYRDLKPENILMDSNGYVVLTDLGLCKQLPNNHLSY SFTGSAEYIAPEMISATGYNRMIDWWMLGILAYELIFGITPFYCDNQSQLFESIQEREVR FSNNISISIECKDFITNLLKKDPKERLGYKRDFLDLQLHQWFKDCDFRELIRKTNQTWKL NLNDPLDLRFFETEDITYESLNAQQQDKALIQKFNQEFQNIEFNL >CAK85886 pep:novel supercontig:GCA_000165425.1:CT868552:185822:186516:-1 gene:GSPATT00019590001 transcript:CAK85886 MQKSLTPTSETKYNCSQKIHLLKQKRNKDMLNQAIQELKNPFDPLQVSKALKFLENQMQR KALLDQIIYIREQKRKKEEFDKMSSMRGILNKVSKRLSIVKNQEVKYARDHNDFKIMQEE RNKFDKLQYENLNIQVNEKRPQLRRLSSLSFLTKETDQIKFQAELNNDQKSQFVRRQTQR NATLMVNAILKKEIPFSPRTSEKQIGNKTFKKLLSQDQVYE >CAK85887 pep:novel supercontig:GCA_000165425.1:CT868552:186529:187781:1 gene:GSPATT00019591001 transcript:CAK85887 MNQQKPPSNSTKKIDQSVSTITRKSQSASRKDSSFQQAAVRQVLVGGQPGDGLWCYSQDQ PQTNLASIKDIDTQMNQKFKQIESNIESEIVETLRQQCHQLGLDLENVNVQNQNLKIDIA QQNKYIDQQTKKLETAMKEIENLRNVKASLQSQCDTIKDLYNKTKRDLAGVTQELEQERK ENEEMTQQMEKLQGLYQEMQKANKAEVDNLRERVEELEEENEEFKRKLQIKVEIDKRLDQ ERTIQQNQQKLNLVSENERKLERMGKLLEENATLSEKLGESETLRRRFMEKNNQYEKEIK IVNHQIYIVQLVESKLEVEKQMVALKKRVNDLQVMKSTNQKILEDKINKLQEQCTQQQKQ IEKMNQKSKRNQTQQIHHQQNQEEETSDYEDVESKPQLFGA >CAK85888 pep:novel supercontig:GCA_000165425.1:CT868552:187813:189039:1 gene:GSPATT00019592001 transcript:CAK85888 MLSQKEYQRFTQTQLSEDQQNLDTSAYFESPLCSNNRQKRFTFTIENSVLKSQIDNQPKS QSQNKYSLPTHGNIQLPLKKSYILQGALSNRILRMKQKNKLQKSQSQIQAMQFPKFNNKI RRRSGCELLSDKQVRLATLYPITYIAFHQQQKETKRNEMRKKTIFKRMSSILIKHSNNLK SQSNLGISQQKIMDEIHTKLDEKSSHIILNQFQKQIGCLKESQDLLENMENSEKLLLLQE ELKRYSQSLNNSPIIKRNSKKQSNERFFDSQKVVLDISKKQRKTFTSVQNYISERSQSNQ TKSVGVIQKQIHFKYQNLQSLTETDTSSPVKIKTTQTARHFTHIKSLSNLPDIKEVQTQR INSNRIQKIAEKVKNVYIFSPSKNKQFLDKIKM >CAK85889 pep:novel supercontig:GCA_000165425.1:CT868552:189528:190044:1 gene:GSPATT00019593001 transcript:CAK85889 MGLDLKMRLLLSNTFMSLVVVVNLALVSYLKENDGGDYTQFSYITNISTSDHDKYRNYLN LAIAAFVLMLVGFLVGMVQPFLKQAALAYVMALLILTGYVCLFAGVFINDTFQYNFSDGK SYNYSLASFFGMGGVFIQGMVNSWRQRSVE >CAK85890 pep:novel supercontig:GCA_000165425.1:CT868552:190079:191524:-1 gene:GSPATT00019594001 transcript:CAK85890 MSDQCTKGINWGSVSVDDKNLSLRYNSSNIIKLPLKKVVNSNTQKNDIVLQLTTEECGEN DDMLCEVRFFIPPQEQKVKQEKKKQDADSDQEKVDEEEDEEPTFQQQLQNEILVKAKIGQ SSADSILTINDVPLIVPRGRYTMDFFKKDIRFHGNTYQFTTDYKGISRFFLLPMPDEINL SLVIGLEHPFKQGQTAYNYLVMQFKKDYENEIKLKYQRQQLDEIGWKEIKEEYSGPLYDT VCELLSEITGIKVVTPKNFKTKNGLCCLRCSVGPHSGFLFPLEKSLIYLQKPVLHIKHEE IKEVIFQRIGQTNLNKFFDVKVIYKNSNQLFSSIEKDELDNLTQYLSTKKIAVRKLQEEL PRVQLSESDQDDDDDSRSKKNKANADLNNLDSDEDDDDFQEGDVEEQSDGSDESIDSESN SRKKK >CAK85891 pep:novel supercontig:GCA_000165425.1:CT868552:192951:199922:1 gene:GSPATT00019595001 transcript:CAK85891 MDLIVFVFFLLQSHLDKTINISCECAHIIEEHKCNNSQKCLWNNMSEKCQINLNAETQIQ SLSYCSQFVEDECILNKKCAFHLGKCQEFTKCEDLLKDKCLSSSYWCISNGQICIPKNEC QEYINKVSCQNKNIHGKYCIWKITIDGPKCLNVESCEELPQILNTDNACREQINNCTTSN QGGCMRSKDYCNMYTLEEQCFQTYQNVKCFWEQKLKKCFEKNCSNKYLQIHHECQNFLSN CTTDGTRCIDKSSCQQYQQQQGCVIDNLGNPCIFYQGQCYYKNCDQAPITINSMLQCQSF DNGNQVCVSKKNGGCKNKPNQCDQLETQDSCLITNQVAGKYCIWDERIQQCKVKECKDAP LNFDHNQCIQWLDDYQCISGQGNGCIDNFQNCNDIKNLKSCVKNKNRKQCIIENDECFEE ECQSFKYPKYDTDKKCSDKLSICTYNYLTKSCINKVCENLSDKECNYDFEMNKCTIPSGC SHKRCESASFYLSSYEDCQNWDIRCTINIIVTTSMLYMNGCITKYMDCHQFKYQQQCTST LQGIPCFWNNEIKLCEFQTCNNAPVSLNTISQCQDWVKYHTQKCVNKQNGGCIEQFPQCL QLTEELQCTIGSLNNLCFWNTQTNKCEDRTCMNASTNLKSNLQCRQWLSNCKLSKSGLGC EIDSGIYDLCTDAPEIQQFSTHEECQAWNPKCTLKLGSSCATKLNCESYQTNTECLTDIN NQPCQWNGTNCYTKKCSDISSIPTTADDCSNFSPLCTISTEIVTCQDKKPNCSDYNNNMS DCKVNSAGQKCSVDTLTSSSGSDSTSSSGSGSDSTSDSGSGSTSTSTSTSTSTSTSTSTS GSTSTSGSTSTSTSGSKSHTGSPTRLASRSTSSQTQTLTCVVAKYLTQVPYYIYSCSYEN KDKGFLYQYFDPLKTCIETPQTCDQVPKDQCDIIATINNEICSYNGTQCAVLSQISNPLE DCRIEYSQNSQRQCKLYSKTCELDFIQYNRCTFTSCLNVLQSQCDLITLNNNIQCVWNNS TCQVRTCNYINEEPQSVEQCIQWLDSCSYDQTKKSCTDRTSCSQETSELICNKVSYINPQ GQKTYCFWNSNGCSDITLCSQIYDPTSHQMCQQKLPTCTSQTSPSNTATCIEIPSFCNQI QNQNQYVFGVMKNAFDYFVILEFQIQTVIYFKFAKTDNYIEISQCQLIPNQTIDAQNCVN FSLLCRINEQYDGSTTYFQYKCDSFLLYKRCREKEFIYSCQPFAQFCQDYKKQENCKFDY RQSECYWEAKLNQCINFLCNEIDQLVQTHQDCQQISSDCTINIQNSQYSCQNLLQCNEYQ DEYQCVINLNHKICSWIDNKCIFDNCRNSAKNMIYDIGSCENNYGSNCTINEDRSGCIIK YKICKNYNQFQCLTPDQRNLSGLLCFWDQINQICSELICDQAPQNYNQLFECQSFNQNCQ PSQCRQSKCEDFKYNTDTKCQSVLSNSQCTTNGFQCIQRKQCENAIYSSGCTYSIDFQDC QWLEEENQCVLKSCDSAPNSIQTHQQCQQYFQGCTAKLNGGCSQLTTCNSISTKEGCLTD QFNMNCLWDEDKKQCIYLQCDTICGDGIAGLNEECDDGNLLPYDGCYKCKVQCQYGCNVC ESKICLDCSIGYELSSDAQCYEICGDGLINGQEECDDMNEIKEDGCFGCRFQCHKQCLIC SQGLCQLCQKGWEQYNNQCKSVCGNGQLVGEYEQCDDGNNDDFDGCDANCKVEENWICNQ SQITGLSECINQVAPKVLFENQSQKRDSEQIIMMQFNQQIKLKMLCNLEDYIKINVTKNV NFDYTIVPIKQATPTLTNVQYKIQISLLESVDEPFATVSFIESILINNLNQELIVLSKTI SLGNPLILSLDAKNRLDSAIQFNEIMIYVFIASSTLSVLTGNFDLFFNMLALLQQLSYVR YLAVPYPSHLEQYLKVFKIISFQPLYDKLQIDYYFSKLNFGKTPFIQSNNPKQDDPELNN AFFLVNAKSFYLTMFTTFTLFIISKIIKKISHYVYTQFSSFKHFKRLKMLYIIFRMIKQF SEKTSNYFFYSGMIKVFISTQYQLMYSAYIQCPEYQFNFQLQDIFITFNSFNALIAIIIP HLFLFKSIVVLQKQYKIATKNRFSIFYENVKQTYWARYFIPFSMVKVAIYMGIVCFLSNS PVIQIISLTILSNVYLYYLLVAQPCTQKLEKIKIIVREMTFLIIVSSLFPYTSAVDDKII NLLGWIHIGLFSLIIASNILIDFINQLTQLLNKYQQNKLKKKKQKETSSNYNSFIIISDA NIQNKRLKSQQLITI >CAK85892 pep:novel supercontig:GCA_000165425.1:CT868552:200398:201663:1 gene:GSPATT00019596001 transcript:CAK85892 MLQQHPYLNQPENVANKYNKPVNLSQQLSFSKSLPGQGLQPEQNYATPQWQKQMNPQFSN QQPQIQTIQSKYIPQQQTIYQPQTNYVSQTQQQFVPIAYPQQQQFRQSSPPRIFKMNQQP QQQQAIYRQTEVRIPEYYSPPPIQYDEPVFYEQPRYYPPQQQQIKLPPPQIENYFLPNQV SFIRNLDDGLKYPEYPFKALDIRDYFNKALIDMATQEEKIERAKIQVCSQLDFRINGLFN QFDQNQTGQLSKEEFAVGLKAFSLQAEQCDITLLFEEFGYQGMMDIQQFGNMIKPQTDLK NTKAFQDDDVKVLPETKLLIRNLFVTLLNAESVLEGLRQYLISKEEELRQDIEYEQIFED IFSNDHPNNLRFYLQNQGQYFDMAVINSLFNRFDRECTKRITQDQFTYTFQPKLKKDDNC L >CAK85893 pep:novel supercontig:GCA_000165425.1:CT868552:201983:203522:1 gene:GSPATT00019597001 transcript:CAK85893 MRQKSQTVHEGGERKLQAVDYDKCIYNCLLTKKKFIGRGLRYLYLFKNQICIGKSPYVQI PERQLRISSELRIQWTYTKNKLKSVIFQVSGQQYEYHGTNDQLRELKQKCALYVFQIKIQ DEYQAESVLGKGSYATVLELTNLYTNKQYAAKCIDQQRINEKKNGYKQLQQEIETMRILS ERKHDNILQLHELYIGNQNFYLVMEMAKGGSLLTLMKKRQTLFSRSDIKLIMKQLLEGLE FIHNHNIMHRDLKPENILFMNKDLESLVIADFGLAQSVDSHPYTYPKCGTPGFVAPEILE QDSELARYTVSCDIFSAGVILYVLLIGEPLFEKKDRKEQLELNRKCEINLSKFPSDQLDD LEKDLLMKMLSKNPEFRWSANNLLKHKFFVSEDSIESEVDFYKLNNIAILKKHAMPTFSK NPFIQFGKNNSLVRDNTQTISLRQRNCTLVMQTNLRFDKSSQEQLNTEQVNGMGSFNLYK DEINQTNP >CAK85894 pep:novel supercontig:GCA_000165425.1:CT868552:204351:205115:1 gene:GSPATT00019598001 transcript:CAK85894 MKSNNLNSSIQAGDSQFLYQQQQKQFGIDQQNRQYCQQLEQEILQFVQILNSQESKWRKK IQISEKEYREKIANFQSKVKKSESTIIEIKQQSKQEDQISEMIKQLKEQLEGQKNQLIQK VEQQKEQLNYKEQLLQNLINQEKDLSAEINKKEIFIGKFKELIQQQQKEKELILHENDKI KKQLTEIKQLFKEKLPQLGLDEIFSILMRMNKKEKMRNQSFKYNKMWMTLQKKTKDQL >CAK85895 pep:novel supercontig:GCA_000165425.1:CT868552:205121:206250:-1 gene:GSPATT00019599001 transcript:CAK85895 MGSCQVNCCANKNGEIVSKDSKKNEVDLEDPDQNDYDDKENSVSSLQQQQQQVSETPRQN NKEREKFQVDNESMSMKKNLEPQSVKEVSITYLNCTERQKFGPIQLDKGAIYEGEWLLGK RDGVGKQQWPDGSCYEGQWKDDKSCGWGKLIHADGDVYEGEWQNDKANGKGVYVHINGAR YEGDWVDDRQDGIGIEVWPDGAKYEGEYKTGKKNGKGILLFADGSRYEGTFVDNEIDGYG TYQWPDQRIYTGQWKRNKMHGHGQVTWPDGRKYIGEYFEDKKHGRGSFEWGDGRKYEGIW VNGKQQGIGVYYMSNGEKKYGEWKDGIKKRWLEAEEIEQYLQQNAQNQKQQ >CAK85896 pep:novel supercontig:GCA_000165425.1:CT868552:206285:206574:-1 gene:GSPATT00019600001 transcript:CAK85896 MQDRFNFQTQTEHLHARYVGTGNPDTPKYVWNNHIHRDTLASHIGHHSRMVYFCTAENEP MCRLRYKFLTKMIQPCGPPPPDIEKAEF >CAK85897 pep:novel supercontig:GCA_000165425.1:CT868552:207091:207381:-1 gene:GSPATT00019601001 transcript:CAK85897 MGNQIIQICINQTEYDNLFRNTEQKNCNEDVFLNIIKSKTNSLTQNRLPNGESKLRSQQI EIYLLNCSRILQCVKESQSKFQQQTVADANTDLHDV >CAK85898 pep:novel supercontig:GCA_000165425.1:CT868552:207481:208359:1 gene:GSPATT00019602001 transcript:CAK85898 MLKQKHIYIENILYLLFRFDQHIYFIVTQFSTSIGVIFIQYCLNNVFFYEYATILFQKLY KGLYQDFHFFQIKIHKVLLTIFSVSSLATLLSNFFKQHNFQLIQDASVKQYSSFLLGSVA LQSASQFKNSFSFIIWLVTFGYFIHKSLNDLLIRFLFCSCSGAQQSLKRFSNFAISFGLI NSKRKMCFLLYLVSFQSYFLQSNSQTLLKHIFHLYFRYIYHMLLSLLKKLEIHQIQTGTF RIRPYPNILFFRQNSSNHAINQYTPSMSSILRTCNQPLILFQTKSNFSNPSV >CAK85899 pep:novel supercontig:GCA_000165425.1:CT868552:208986:211509:1 gene:GSPATT00019603001 transcript:CAK85899 MKFFKQCYNEFFLQVQIQELYKYEESLNSFTLQFQSEELEQSYQNRQMAKVIVQFRISTL LQILINIGFMINSAVVYNSPDIVKFRSIFIGWMLICLFLQKISKKYWNVTTNILVFINGV ISVLLFAFFTKFVNTAQTNINDVMSLSLISGLQQGLFAMSFFLIQSNYIMQSLSMLSFFL ILMGIFTQFQNYRLWTQYLLLIFTCYLLRQNEKTNRLNYLLIHKSHQNLEACKKLYDETV PTSIIILEENIQQEGANKNELNSVVTQKEKLYTLDNRLMNVAYFNKSASIHFETVEEDIL TGRLAEIEILNSENSVLNSENNKLLDKIQGLHSTLQTEMRFNTINSFDYQIMSINRKMQC QRVVKQSQVQYYDAQAQGCLWDGKQCIMLILNDTTDRVLRIKHLQDLDNYKDKLLAAVSH DLKTPLNGQSILANLIKKKLENKQSMARIEIQDIVVHIDDMISNQQILLVMINDLIDYSQ LKKQGLRLNYTQFDLSACVQQIKNMFKMQMEFKKLQFIILGLNEQIIMYSDQIRLQQILF NLISNAIKFTYQGQITLAINKVSSGNQQLIHFSVQDTGIGIPSQIQSKLFKAYSTFNLGN QNSQGVGLGLVISRNLVGLLGPDEFIEMSSVENKGSNFSFSIYLNAQHKECDIINSLQAE NGTPDNDPSPIHRMPNPVIRRQDRKYQTVAEMSTSLYQKLKILIVDDTSFNIYVLKQLLT QIIIRCEIYEAHNGKEALEKVKSVRFDIIFMDINMPILDGIQATKQIRLFERKHDFQKSI ICMLSAFQGEDDLQESLAIGADLFLSKPLEVPALKTILKSLKYI >CAK85900 pep:novel supercontig:GCA_000165425.1:CT868552:211604:212843:1 gene:GSPATT00019604001 transcript:CAK85900 MLKKLKPILLSVTTIGVGYFCVQRELNINLGIFDAFYYQLYKYSPHYAVQFIKLMSYQNL LWKQDLYTQEQNLNDQIKLKNQLGLASGFDNDGSFVETLQNLGFGFIEIGSITAQRNIRF SEDLNTYSVKNDSIEYLSEIPQMGILQSKAILTSLRRNYAIKIPVGVSIMPSEEIYKYTP YLIENDISNVTRELCTVADFLVLNLVSSQRTSKLYNDIVTNNTDVIKKMIQSIQITQIEE IGLQAALEHETKNELKGDNQVREYLSTTQLGLKQQYLFNIIRNLVAPLYIKVSSNIQKTI LDFLLEEAKGNKIQGIILQKDINQNDLEYNKTLKQVRQQLPKSCTLISVGGIKTKVQFQE RLNNGADACQIFSSFVAKGPHVIKDILL >CAK85901 pep:novel supercontig:GCA_000165425.1:CT868552:212881:215674:-1 gene:GSPATT00019605001 transcript:CAK85901 MIQIQQQLIELKSSQNEKDIVIDSLKGLNENLGKQYSIEKQTLSNDKRILQEQNSEFVQQ IQQQQDRLNTYNEIILIKDQEINEFKQKLTNYELEISQKTVEYEDQITQLSKIKDYALSK LNSKEDEFEQLQNKLEQLLLNKEKEYQNHLISIQNKEEELKLIQSTNEELGIVVLKLEDE IKKQEERLNLKISNLEASIEEKDNKIQCKENKLIDQGQSINQYEDRFNSDQQLISKQKLE IENLQKQIEDINKEEEFTQQEFQQLIKISKLEQLPQSNDIQGEEIAQLKQVIEEKDKHIE QLKQNINELRGFKLNKSFISNRSKRSMNAIQLDQFNDIEETSKNSEDEEKQILELTQQLK KQNNETDQEEQNQDKTIVSKLREENDIMRRKLADQEQEIINNQRNGDYEQTISNLQMEIK GLKDKLDNYENILEKQMNKSILSNRSKRSQNCLQLDNFKDIDLISQHSEDEELELQQIIE QNQRQQKEVNPELHEVQKLKEENEILKKNVTDLQEELKINYLRLKDHEQTIQILQAELHE HKEKIENYENQYKEIQMNRSILSNRSRRSQNQCQFDQFSDLGEDEQNLSDNDSLLQQKIL LQQNVFHLKEQELNTKLGQLNEEIVQLKEQNKLLQDFINRGGTPSPIQNIESDPNKFSKI LLEGEKHIQELQNQLYERDQIFPQNKQKKQFTFDDNQDEENQEGDDLAEEIKLGQKAKND YEKDQQDYIKYLDNENSDLLKKYSNLEQKNKAYEEEKQKLEVLIFQLQSTIKELESQKKE LQIQLQNQIMVINAQEKQQSDKMEQLVEHPQVLQQNSDSSQDLITLKNQYEQLKGLCQQF FTAILNKNPEIAESILGVILSMIEMADKQNICQELFFKSGEKKDKKNKSKGIKGWF >CAK85902 pep:novel supercontig:GCA_000165425.1:CT868552:215967:218575:-1 gene:GSPATT00019606001 transcript:CAK85902 MKFYKNKQMINNNKAKRYKILQIIYKEKKMSYNGDIRIQLKIIKIQRRNLQNMNKFCRKQ NMINKIIKQSRIKLINQLISNQQIKKKKSNDEQLDKNDDEYLILTQTDVNQEIGLLKIEI QKLNGIIKEQQSEIIALKHYQNFNNNQIIIGQSKQVEQICDKNNLEQSNENLLDLNDLLD QQVIEIKGESIIDRALELQNGNFTANAEYVNQNEELLKTIDNLRQKVKHQEQEINQLILE NQEQYQKIEDLEVMQESQKKIELDQDNCITLQKEKIEKEQQRVIELENELIQLNQQIQDN TQDFQVQSQQIDGLKMERENLQFKLRDSISQNSIYENEISKLKELNQESLEQRITIQQQY ENRXXXXXXXXXXXXXXXXKKYTQNEKIKQEKEQRNLQNKEKKIRKLQKIIKKAKQIQKN NFYYYYIKFNQLNQDIIKYELINIKAKMIMSKKYLIYQFVKMSQNNNIKIYSNCQNEISL NTLQNQNSQLLTQKENQERSIQSQSVENSDKQSQLDQLHKYYNELNDQFLQQQQQLRQLE QTINDQSQQLKNKQNQFLDMERNYKQEINTFVIQLEEKEYQLEQLNNQFKQEIDQLQNNH IIKSTELIEQLKQENEELQSRLNNTSSQFGVLEQQNIDNNQVDKELEYQNIIQEQSKVLL KTIYNNYSQQIQEIANTLQLDQLSMQFQQLEIQLLIQVIKIEKLIKSLESENIQSRNTNI DLNEKLLKAQGQEYEINTKCLQQQEEISALKSLNIELDRDKINNAASFDTQVSVNQQIQL EISALQLENQEYKRQIKQLEEKNQDYLLKWNSDQQKQLQDNEIIKNLNETLNSELMKKDE YIVKLRQRDS >CAK85903 pep:novel supercontig:GCA_000165425.1:CT868552:218859:221424:-1 gene:GSPATT00019607001 transcript:CAK85903 MKLLSFRKDSRSHAETFENLQARIRDFSYNRLYNAVSNQPIRSDSTNSQLQRQDLQQIIK QILDNGTKSFSSGYKLKEYPYSPSIQSPNQLVQSPKQFEYSNEKQSNQKSVDPFKFETFG LHSDQNKKKEDCLQSDDSYSNEIAKLKKQLEPISNVSRNQLTELVRIAQATINDKKRMQQ NNQRYQWQVESEDSYQEFDSKILDLQQKLKKSNDQCALLKIENKNLNNSLKDAELQLINF QEKQKQQDKEFSYLQNQIQEYNDNNSKLRKQNDELEYEIKVQEQYINTQKQNNQLSDLNF QKKLKILKLINKIQRMTFRNIKSNLPKKEKNHHQKTKKYLIQKKQQNLQKNRFKTILPNQ TNINQINYISQEKQDQFDNFLEIKQTLLNQINQQEQDYQSQLAQLDVLKQQEQFKQENQS LKDQIKIIHEDLIKLKEDKEQLQIQNANLTSQVGYLLQQSEENQILIKELESEIKKRQLQ SQEQEMQIQYYQDQIEKQHQQITNLQASLNQQKNTIDQFNNQRIIYQLEIEEATNFNKQL QNHLKQSEDQNLILNKEKQGLISNIDELNKANDYLEKQIISYYSEINELKNQLNQQEQEF KQIQEQQQQQAQNEKEFLNDLSCQFEREIENLKQELNKYKILIDNLNIYIGQQKNDIQQL NENLENNYDKINELNNQLNYQKNQNQQFTQKIETLNKEIQNQQQLLTKLKEKEDTFNQIN HEFNLLQEQYQKINDLFLEQQNSLSQNEYSLTEQKKQTQQLQDVIKELKQLLIVSNEQIN QLQTQIENKEEMLTQKNELVDSTKGKKFYNQSVNQREQMIKYDDA >CAK85904 pep:novel supercontig:GCA_000165425.1:CT868552:222057:222521:-1 gene:GSPATT00019608001 transcript:CAK85904 MIEKQKFAALAQLLKRMFRQDLQSPILDIDQLIQEVSKIKQLLGNQPPMQIHDKPFKQTQ FQVDLGKNFRCQDYGLQVISQLKKLLQDAAVEYKVSLVSDHLFFLIYLGKFEEAFETMLE FQELLGQCSEPEIPNIKEIIIDTNVLFLRLFQEV >CAK85905 pep:novel supercontig:GCA_000165425.1:CT868552:222668:222925:-1 gene:GSPATT00019609001 transcript:CAK85905 MAQQIKLYQKQGLYYIGITGKSVWFHYINQNQYQNTFYIATYIPTPFNQYPTIIKPDYMD PLIIQNIDQQEQDQKNRRKLKIQMI >CAK85906 pep:novel supercontig:GCA_000165425.1:CT868552:223172:224126:-1 gene:GSPATT00019610001 transcript:CAK85906 MVDRCTHHQLHPLYKDFFRIHSTKFIALDNIAAYVTEIQDATSILKNLFSKGFYFPYEKC DDIDREEYMWNRGLCKQIYTQQIKNQDVLIIQGFMDSYSVYLEGKRVQVALITKRSLKAP GTRLAQTGVQKDGNVANFVETTQIVVVANLKSQFKQIRGSVPVFWRESGNLLMKKLELYG TEQENHIAFTNHFNNLIFEKKRESVSSEHDLIQAYELGVHQYQPDKLRYIYYNFDEITQD VDFHRINQVIMKISNFIQNIQFNAYDLLTNQRKLKQRGIVRTNCLNCLDRTNVYQQRVGL LMLEYQLK >CAK85907 pep:novel supercontig:GCA_000165425.1:CT868552:224495:229833:-1 gene:GSPATT00019611001 transcript:CAK85907 MMIGICVIFLCAMVAQAKKQKDFLFDVKQGKSIQVELPEKWDKDFLIMYVRPLQDEKKSD LGEIQLKSKEEDFKLMEFYETALFTIKSEDINLKCISAPCVGRITVISNDAIELTSKSTE LILNQKQPVQVISMQLPSKYSRMVGEFKLEDDESDKIKIDVFEEKARLYGDEVQLTVAVN RKDCEKCKLLAVLSGDLNLKEEATINGQLYLYKDEEEIEINQEVFDYLFERDENTYQLKL PKQFKILQIEIFGDMLPLLKVHKKDNEYFSSREPVQFQQRGQAFHIILRREDIEGDEVTI NLSQGGSLKYLMVVKLIDEPVLYNNQLVFSKIQDKGKHNYQFKTIESEQEVGIKLVYFEK GSNSIRIAECQDGCQFSDEDNHNLRFDKHELKPAVFVSPHCQNKDQEGFCRFQLEVTSDN KNIYMLTTQNDNNQRILKSDVAYTNYLEQSQEEHLILKYQKSDDDEELVFTVNTHNIVYM ISRDSSCYPVRIECAKHFGGVDHLVVLRGDKLKHTQYYITLTARETTMFQFMAKVTKQAE INIKVLKEDETYRGVLQLTNGESKIHYFRVMINFNQVDSNVEVIDEEQPTIEFAIHSSRM QVALTLKKGNQLPSLQLFDLITTNNYLSIQPQDQYYQNSGNYTIGIQNMFETYLDKEIMY TFTYSTSRTVKTLHAGQQFVDRVKGLKSKYFSFYYSKNTTIFYISLQSKSNNKLTLTVQN DVKPDNYSQFAKSEDSSTLKLTESALNSLCLDGLSQDDKDDNSNVIAICQAYLIIENHGN EDILFNLNVWNPQTALELKDGQEYTFNLEYLFQETFLYYKVVSPQLDVQLHINSHYGYTR YQIYILDSQDQESNSLYAKEEYKTQHSKSIHSNAFKFCEPDCVLKILLEGQDVQYQNDRR INFDDTVFVTVTQQYMDLKSGQAIQISVDKFKPRKFIFSEINDISPQSRFKLILHEIYGK GSICLNLNDEEHIDSDKCEYEVQGNVLELTQAQLQEKLNALNLTSNPYITIQIYSIVDYS KFQLSLEISNDKNNHKLMMGVPTRIKLDIQEEVQYQYFNIQHNDDLYFKFIKVQGTSMIQ ISRCLDNLNKECEEETIIQEQFLAGQFYNQHIIYKNDNKKYCELCTYIIKIKTVGINVDL LIVVTSQLNFVQLPQNIAFTDFLENQTDYNIYHFSYNTDHQIEVQINQFAGDTQMWIGYN AVLDSSLYQYGPYNLIKQQKLMNTTSSSISYYQAIIPPREHLEETNYTPYANGSHTLAGH YNDDDLYIIVKNNQQSASNYSVLVTQSTTGNGQLLQDGIITFAYLSRQTPVITLYHQNSY RKQPQLVIKMVPYGVRLQGSEYFKIEVSNETDPVNFTLLTSISSRYNQQTFLLPMLEGLL TIKIHSLLEIKGNENINVEYAFNREGDIVPIRRQPFKELYYFGNNYLNRIDLQISIVSKD VLMIDEKSSQNDQIMDSLEKFYESYIPYEGELKVSITQNYDEFINRTYTASKTTIEGQLT DIILPVKQGPVFFEFSSNESVYKFTTQVYKTQDFAPYGQLVIGGDGQINYSFETYDTDFI TVKFKPLKCIGCDLSQEMNSLIKYSISWGSNIQYAHVIGLCQYNWYANYHQNHSDNYEQA DIGLYALNHSDQIVTNIVVSKQSRHPQLFIAIRAQVLVFNNLTINDYELYYHVAEIGMPN VSLYWYKHRFNELIIGAAIFLIVVITLSCVLCKIYRRIRKLKKENLNLQLEKKMEEAKEK VQIQTKYETLEDENNDQSNV >CAK85908 pep:novel supercontig:GCA_000165425.1:CT868552:230269:231789:1 gene:GSPATT00019612001 transcript:CAK85908 MFLFIILYISAGKDSHYLGSIKHTLQPNQTFEHQFFDVNQIRSLDLYFPDPPYRMINDED MNCYWSNQAIGLKPVQTIQMNISNSLDSDIVCFDECFIRQGQIIFTTKNQTLDLQYQNLK SLVLSSEGQIIHYNEKVISIFDTVNMKIINDNIPVDFTQKLRQSIISQQHMPTKIVYLCL ENGVFAYNDEYFIINQYGTRCKSMMLQDDNLFIVADGISIYDINDPFEPLHIYSFNISGH FFDIYQDYLAVLELLSEQRGKLSLFKFNFQFGLNQVVNITQESEGTIQLDSQDIKFGLLN KDIAIIINKEQVNLITLQTNFHQKYRHNNGLGQAQHFLDPENKIFIRDSQIIQVSNNSLN FYNTTSQSYNLVCNAPVENKLRKHLFFGIIRSEEKCRSSKNVDERFKELLSREKADPKIQ CYYTFQLSLNIIPLQTHWSLQSKILLCIFLFLLILLILQQFKNQQEKQTLNSLQKFEQLN RINLQKQKIRITHEDEEQNNNKIDFY >CAK85909 pep:novel supercontig:GCA_000165425.1:CT868552:232063:233800:-1 gene:GSPATT00019613001 transcript:CAK85909 MFKIPIYCLKCLSTSRQRQAEGLCQSLKEDLIVQLKQLIQQQNTSSKKLIEEAKKIEKEH LFLNSEHKRYFSDYKQRKREYEQNATVMVVYNLLSEYPDKKRLSQNQKVSQVTKESQEME QRYKQSVADYNTNCDTAKTRIQTILTQMQEQEEKRITVFKDTLIRQIIFEVSHSKNVQYD LDKINEKTDEIHPEEEVQKFAQTQKLENPPLFEKTELIQLNSFISNSLQKFFSKEFDEIL QLNNDPKVKEVISLVEQGGTFQSEDQKLENAYYASKIVSDCWKEELVDTEIFNQFKKKIQ EDYQLRKLVIIALQYKRSTSKFRLGPNGFQNAVNLFNSVLEICLDTFDAGTSRQLMNLSF TFHKENIENGKLQSYFIQNDFSKLQVWENRDLWETSIIQQIYEQIKEQQQRQKSLTLFDQ IQTEKNMILTILTQMAQNMLLFNFKFGAIKDIMYKFMAFFELNEEISSDLFNAIEGFEKQ KQLKDQQEKQIQLQQQQQEQNQPADQEQQQQPPQQPQQQQNMMGKISSFFSQLNKD >CAK85910 pep:novel supercontig:GCA_000165425.1:CT868552:234039:235658:-1 gene:GSPATT00019614001 transcript:CAK85910 MRSTQKHATTHSDNPFKLSVGQIIAQKFKLIDKVGQGSFGMIYKTENLETGDIFATKFEK RDENSNGVSLLVKEIKVLIEVQEFEGNILIDKGFPQIVFYGRDEHYNYFMQTYLGQNLEH LFRKSNYKFSMTTVCRIGMSLIDRLKLLHSKNLIHRDLKPDNVCIGYEDVDKIYLIDFGL AKYYREQNGNHIPQVDKKGIIGTARYASLTAHLSKEQSRKDDIESLGYVLVYLAKGKLPW MNLNTTTKSEKYQKIKEFKQQLTLEKLCEGLPKCFLNLFIYARGLDFQGEPDYEFLKELF QKQLQQETYSQQGQTTLEYEWERFPEIKKRKSRLWTNQQKQKIEKPVQLGVDSDPRKSSE DKGQEHKGSSFLNLPLKDQPLDSLSPDIKNKQSRNISQNISSFGTSQINNYQISQIDKFK RFPTERKDQRNNTVDPQKKERETSPNQKIFIKQATTKTERKMAFFEIDNDYRDFDHIDQI ACEEVKIVFSNFIPNLGRRKSALDL >CAK85911 pep:novel supercontig:GCA_000165425.1:CT868552:236452:238492:1 gene:GSPATT00019615001 transcript:CAK85911 MKKWDDDFRMKSYVTKIQNAKSTVPSNQKKAMKKQINRDTEFSSHASYRSDLTDLKESLL FKQLVEYNLQQYTNKLFLRGYIGGLQTLAQQPIESQNLVLQEIKLLPGHKQKFLDLFKYL NDHYDPHNYNGQSSLTPINNKQNRNTMPGQQNSHGKFEQTRDTYVEILKPVNRRSSLKHF SPLQDIGVIKPRVLPKLEKRSNNGNNDKSPQFNDTLSQQLILNSETIHGSSLSITKEIQK PLSKTINPAVVKIKQPKKKKSVIKQSTEKDNKLLKKLQQINSKNSIGTEIHLQQDQIQLM YQSFDNGKLASTLINIDIEEISYCVGITLQKMILIVDLEQQKYLENEIQEIQQAIFKETD QYQEIESRDRGRYSEDCRDEENNDQTVNMSKVDDTICQDYLKEAIIEPESTDQYYGNEDV ATEQFQKLDQSEQSKLQTEQEYIQDQDQDNNDQISKSYFSVDTTYNLEDYLLFNKVFVDK TMSNYIPNVDIIQNYCKNIMTTTKMEREVAIISMIYINRLLTYNQGLEINCLNWQKILFT ALVMASKIWDDESFENNNFAKVLPQFSTVQINEMERVFLKFIEYHLYVNSGEYAKQYFIL RAYADKKQRSYALKQLDISTVIRLQRGGQQQISKQQYLNTQNKSF >CAK85912 pep:novel supercontig:GCA_000165425.1:CT868552:238869:239683:1 gene:GSPATT00019616001 transcript:CAK85912 MDNRDQSILVDEEFCDKNLFSHYQKRRTENAKQNPKIHLQDYQLNTINRLHIGYIDHPHI KSTQNISINKHQLKKCNLQKPVCQELEKTKEPLNRNSTVLDLKSKNMFFQRHSGLGKLKP IVQKQLLVNPISQTSRLTIKKNTETPAIEVSNSNYIEQKPASFRYNDLQLSQYLEEFKLL KQETLTNVILPHLSYKSNRTQNNHTQTTNRQQTNNQYYNSILQQNKVKQRQTHIESQKQN LTLSGWSDYSNK >CAK85913 pep:novel supercontig:GCA_000165425.1:CT868552:239806:240204:1 gene:GSPATT00019617001 transcript:CAK85913 MNPAQNIAQQFLQQYYQTLMTNKMALIQFYTDASIMTYGGEQYNGLKAINEKLESLAFQK IVYKVDDMDVQPGAVQNSLFLFVTGTLQMDDSDTFKFSQSFQILPNGQGGLYVHNDIFRL VY >CAK85914 pep:novel supercontig:GCA_000165425.1:CT868552:240230:240742:1 gene:GSPATT00019618001 transcript:CAK85914 MGFEDQIPPIPNRDQEKRYIATVEKSFYDQPLYKFYMNEALRERKMDYADKLNTFKYEWI LNFAASGMVFSLLYFIPVSYFYRQTSTGVPTYYQPKNKAVFKQGYLQNQNWRRFKLYSFL VFGSAFIFAHTYTDRSQIHDEYYNNVGVIKPKFE >CAK85915 pep:novel supercontig:GCA_000165425.1:CT868552:241159:241741:1 gene:GSPATT00019619001 transcript:CAK85915 MDQTEKISQIIKNQSEFIACIVIKEKHIKQKKQIMLKLNKNSKRIDEQKRIREIVTYSTI FHRNIPGFIIQGGDPTVFYEQVKGGECIYGKYFEDEIVPEIIHDRREIVSMANAGKDSNQ SKFYITYSKQNHLNGLYTAFYQVISGWEALDLMEKETGDNNYKPLNEIKTFKKAIHTNPI AEIEQF >CAK85916 pep:novel supercontig:GCA_000165425.1:CT868552:241758:244723:-1 gene:GSPATT00019620001 transcript:CAK85916 MLRSIRLIKRVANFSRLANPHEVNPKIPDWFKSSDYIESRFIGSEPQQVKEMLKTVEANT LDELVDKIIPKEIRSEAAFQNPDNFPDAIPESSMVQHLQSLANKNKLFKNYIGQGYYGTH TPYVILRNVLEDPGWYTSYTPYQAEISQGRLEALLNYQTVITELTGMDVSNASLLDEATA AGEAMFLANSWFEKKKFFVDNHVFPQSIDYIKTKAYYLGIDIVVGDAKTYDFKDADQYCG VLVQSPDNLGDVHDWSDLFKHTLKDAKLLKVIGTDLLSLTINKTPKDQGANVTYGNSQRF GVPMGFGGPHAAFFAVEDEFKRKMPGRIIGISKDTNGKSAYRMSLQTREQHIRREKATSN ICTAQALLANMAGFYATYHGPQGLQKIANRVNCLARSFAKLAKSLGIVVKEGRIFDTVVL HNTETLQEYLHYNAQVNVRKVGQDTIFSFDETHTIQDIEDLFNHLQHYTKKKADFMSVIQ KVIPYKSERASFMQQKVFNSLHSETEMLRYINYLRQKDVSLTKSMISLGSCTMKLNPTSF MLPVSFQGFSQLHPFSPLSCTQGYQELTENVEKWLCDITQMEAVSLMPNSGAQGEYSGLL CIRKYHIMNGQKDRNICLIPISAHGTNPASAVLAGLTVVPVNVVDGYVDLNDLNKKIKEN EKSLACIMITYPSTYGVYEDQTKKIIQLIHEHGGLVYMDGANMNAQVGYTSPGYLGADVC HLNLHKTFSIPHGGGGPGLGPIAVNKKLAPYLPGREHSLGSVASSLFSSASILPIPYSYF GQLGRQGAKKCTAMAMLNANYLMKSLKNDYKVLFTGQNGMCAHEFIIDIRPIKQESGITE EDIAKRLMDYGFHAPTMSFPVPGTLMIEPTESESKSELDRFIEAMKNIKLEVEKVKNGQY DKNDNPLKNAPHTQDQVINSGWNHKYTREEAAFPLPYVLQRGKVWPTVSRINNAFGDRNL ICQCPSVSDYQQ >CAK85917 pep:novel supercontig:GCA_000165425.1:CT868552:244774:246412:1 gene:GSPATT00019621001 transcript:CAK85917 MHQRVLTNESPYHRQIYRRPRLQSQDPGRRVLTETDTKTNISRKFTKFDFDSVLTIRTSK GSKKFKPQRFEAKPIKTEVDSDLETYQRMVLAKMKEKNILPKQRSLSNATPQQKSQDRYA SLDSQNRINNKQTQNVHPKILWDMSEEEWQQFGDRFPQHFEKKKLLGRGGFSLVWLGEHK RTKEKFAIKQILSTNTHQSHMKEIWFGIHFFQDGQPKQQFTSFPGSKNLVRFLTYDIKPQ DTWIFQEICGESLGNQLYDLKGKNINNERMYKLTHKPLYNTFRKDLTELKKLIRELAQAL DLLQDQRIVHSDLKTENILVKKIKNENDLHELTQVKLIDYGSSFPFDDLKQFSMATPEYM CPEILNYILYENQMNYRPCLMKYLRNYKKPWVIDVWSLGCVVLEIISGIPLWMSLKTIVT KNGKEVIDYGLFAVKGRVFDKIIDRQMEVIQNLDSYIDKNYSGLKIDNQTRFILKEMLNL DPEKRLSPKQIVEYLSTKKEHLITQ >CAK85918 pep:novel supercontig:GCA_000165425.1:CT868552:246505:247999:1 gene:GSPATT00019622001 transcript:CAK85918 MNSVSTNISFSDIRDVKIYLKIHYNTSYGQAIYLCGDDERLGIWDSTKAIRLQWNENNEW TACLKLPRICKKFEYKFLLNDYDNPSREKEFWEPGENRIITKHLLLNGKKGEYFNQEYWG YRTIKLKLNHNLQFGERMMIIGSIPEIGSWKTPVLMKQQQKIDILTQEPIQQWSISFIVN PLNFYFRYYYVIRNDESGNMIWERGNGRYLKTADLSSLRQVLDQYALHPIKVKTQIYTAF QTKPQYKNGSFSTTKIPKQKIKPNNQGYQFADKEPSFFYYEEFGRLNKLDWNFVVQFQTY EINENILIGPYPQNEQDILLLKQKQVKAVLNLQTRLDMFHRGVNWEQIVDAYKRQNIVMK NYQIFDMDAEDFEKKSNKAVQILKKLINEHEYVYVHCTAGIGRAPSIIVLYLSSILQYDL KDAIEFVKQKRQQFYVNYSMLKKSLQKTLVFNHGLGYQNLAQTL >CAK85919 pep:novel supercontig:GCA_000165425.1:CT868552:248147:249279:1 gene:GSPATT00019623001 transcript:CAK85919 MIDMEIIHAFQCLISFQQQDHHQHKAHLQYTTNKINLILNTQCFEIEISYKLKLKWKCQE SKLTSIHFQQFTIQAENEDLLKLKKHLDCSTTYIGIREIYDNVILIQQADFAKICSLKNK LDGKKYFCKCYKKAEVEKYKIYEQLVIQRKLGDFKNVAQIIDFYESSNSYYFIYEQMKRI HYHSLSHEDIQSMMFDILCCVKMLIVNHVFHAQINLTNIVMDKDMNCKLVGFEHAELLNE ESALQNSLMLTMVGHLMVKLYQYNSINKEQISIPDYGNSLVQGLLQTDLDQQINIEQALS HEYFEYFDYNSSPMMQKQIVPKLKSFITQQSLSSPIETNI >CAK85920 pep:novel supercontig:GCA_000165425.1:CT868552:250370:251166:-1 gene:GSPATT00019624001 transcript:CAK85920 MNRHEKQEVEQGLRNLLEEVQELNILNQMMIFCNEKINGIENWNCLDLIQRITKLSRQLR NSNLEYKKLESVLQQQEADIRNHISVWRHFQFQLEQQMKLYQDGLQSKLEEANQEIKRLS EGLYKLKKSNCQSESMKKDQSNDGSTSQKQGSPKKLSSFSQHGGYTSHRSSCDELFKRYN KLLQQQQACINQRSNNIQISQYMMKGRQTLAEICNIQQNRKWIKQFNQFRNNKKFIENEM NYKMLKL >CAK85921 pep:novel supercontig:GCA_000165425.1:CT868552:251206:253013:1 gene:GSPATT00019625001 transcript:CAK85921 MEEEDCTIFIEELKSDDPTLKLNAVDKIVTIAQILGPARTCQELIPYLIDIIEEQDNEDE FLIKLAKELVNLKPYTGANIHLLNAPLEILSSMEEPLVRDKAVESLILLAEGMPNSFFES HFFQIVQQLGQWDNFPSRISAASLLPLTYKHVSLEKQTTLWDLFKQLCGDDTPMVRRVCA GVLPDLAKMKCQPQQLLSLWEALLKDPIDSVKIKAIEGSQYMLKLIDEEHELETQIQGYF ALADPKEKSWRVRYTVPECFESIIDIIVKLNKNKSILKNQAVPVFQQLLKDTEPEVRSMA LIAIYHLLKELPASNKDSFLPLFLALSTDTSQHVRMSLAEQICKISKQYQVQIVLQNFIP LITTLIKDDVVEIKIMLAHNLDQLSQAIGQENSKKHLVPLIQTFASEKQWRYRLEMMSII PKLLKVAGYDSFLELQEVYLEKGVLNHYQAIRDQAIENLVQLSEVFGYDKIRDFILKCIN IQFEHQNYIYRVSAMHSIAKLKNTLSKDDLVNQFKEVTQKSLNDKVPNVRLNIFKLFTAI QNKLDNKTQNEFKNKARTLQQDQDIDVKYFAQKI >CAK85922 pep:novel supercontig:GCA_000165425.1:CT868552:253063:254253:-1 gene:GSPATT00019626001 transcript:CAK85922 MFIKNFFKKQCRFERKLFESSIFDNQETVDLEDVKQMLQGFPCLSEIDQLVQSMTIVQVC REFLRLIPQTLDNHKKLKVLLTMHVLMGDVKHGRLFVQQLNYFNGWASTNKEDILNKFIG IQTMIIHKLSSIQEISNRSKLKVSIEAFFKDIDTSVIQFYKAINCLNFILAQYDLFLSIS KLHNRTVVMEIYLLIWNDLIAMYLMLERFIRQFMECYTQLDQKQALQVYELFNEYNKLTA SVRQFGSISNNFKNCNISQPKWYVPTKKEQDELQLYFQNVKIYLTSRTKRQKIEKSASQV LRVCQSTDRNYRKSTSFVGQKGDNFLQNTTLGYMKKISTATNPIAFQYTFESESKTEIQQ K >CAK85923 pep:novel supercontig:GCA_000165425.1:CT868552:254287:255730:1 gene:GSPATT00019627001 transcript:CAK85923 MSEQRTVTETSQDEEEQNKKKFIYQTEKLKLLLQVPIEQRTQPICKDIAEIAQQIKFLSQ YKKKPDFLELCKNLYLKTYEKRQYIFKQGDQGDAFYVILNGSVKVYIDEPTEFKNFMQLV RIDYFIQKEIAQLGKGDAFGEISLLYNSKRTATVIANERSDLIVLEKDAFSEYMKTIDNT NEMKTVALNRLLQFLESLPVFQMFNKDLLVQLCTKCQIQMYPSQQILLKQGVEPTHMYII KSGRVKAIKRIKWSLDDYPSSLIRGQTTSSTDLFNQDVYFEIDELGDGEIFGDFALLNEE ESQCSYITSIPSEIVSISSFNLKMIVPSDRLEAYQKQIKQYPEDDDLKLLYEEKRNWNQY KRKLIKNIYVDKQNKKGFDNRLRLPELKGKSALPPINIIDIKTSDNRTYFKYLESKISPM AECKKKVQSLTQVGLSMQGLVRQLEQSTSQLSIANSFQRKLKKLNINRSQ >CAK85924 pep:novel supercontig:GCA_000165425.1:CT868552:255737:258455:-1 gene:GSPATT00019628001 transcript:CAK85924 MRHNTQLPPKHNFNSSFNYDWDLMNKKREIEMLRPREICPKRNHSFHTSSNDCDKSIHNR SFEKSMQETEKVSSRMQNEFGQLKTLIQKKRDEIEKQQRQQDLKLSISIPQQKVKEDVRK QKEETPQFNYYQTFKPIFAQLNSLIENSNTKEQEKQRLVTLFDSIDQESIKQSNEPEQMY QLVEQLVKEFVIPTLEQQTPTQQNSKRSYLQTQSSNFIKQLPYHQQIPKSIEQSINYKNV FELQYQNPLQFYSQIKNNESGYYEYCMNDQIVTGESINSFNISKQQSCALKNQQSNVKVD YRQIKQSLIQQQIYSLDDQYTLVPVTHFNRKVIYALNYQSSYCRQIQQYIGLSVSNQQCK LELGMNKLNKIVLLQQRMKRSMVLQRMKQFMNQLRNLKRLIQQVGFRRLKQYYNSTKKSQ SVNNNLCKLILQAARQVKKNQRNHAIQLFMVLQKYQNRVKCNAIRQLIGNDDLIIMLQAL QSENSIEMYLQQVTQLMGEKLAVSILNIEILSLTSYLPEYGLIQEYFEDIDDISQFNTLY QLTLNLNTELTNNLNIEFENRHIWKVNDEIFLITSSNMQTQYLSKIALILTNGINSLRQK YLEFFKFIRMRAEQHQQKMKSKFFNRWRINQSVFVDQLRNELACSQQEQDQQQRLIYQYE LELQNMQIQNALKSKCLSSAMLENTLSKRENQLSLKETFFKLWRSRNTNGRHLEQRVQTG LYLLEQAICKIERSQLDKAFREIAFSYTQPAQTIPTSPVLKNTKRSFHTREQTRRSQEEL EQSVLWDVHQMKIHSPNGHKGQSLSPITAHTANQSFLIENESLYQYHSQRCTQQPKKKAQ QQQSSHLDQENVQSQNKIYSQRTKDFVRKIAQPKEQNHRRINSTQPGSSFSFYDLQH >CAK85925 pep:novel supercontig:GCA_000165425.1:CT868552:258845:260358:-1 gene:GSPATT00019629001 transcript:CAK85925 MDYETLFTILPKEPKKWSLEDVSSWLNFVGLQQLQTTFTKNSIDGSCLELIEENDLIEDL GITNKIVRKKLMHWLKTGLKEYASHIKSTSFEDRRYEKMEQENATLENTESAQPQYGQIN ISHDMMTNYLKNNEMFSQQFQQPLCEIENKAQFQKKQQTGIVIEQDLEKLVSKVQNELII QPTEGPQTNFYCIKESGGKIGRHSSNQILILEESISRFHAEVIFQNEEFYIKDIGSTTGT FIKVETKQLLQIGMVVELGSNQFEIQQVNVNGANIDVVMTIIEGPNTPEKHVINLTPQKS VTTVGRKQTADLTFSEDHHLSNIHAKICLIDGRVYLEDMGSTNGSWLRLSREGQPSQLYP LQNQTVFKIGTTSTYLCKRTTQLVADRSHENSCIICIENDRDALYMPCKHNTACLKCSKN LKDCPICRTKIQDIIRIYKN >CAK85926 pep:novel supercontig:GCA_000165425.1:CT868552:260395:261835:-1 gene:GSPATT00019630001 transcript:CAK85926 MSYRFVVVGAGISGLSNAFFIKQLFPKAKITLIESSNRVGGMITTTKENQFICEEGPRSI KRGKYDRPLFYMIDKIGLYPELVTSVQQPYSYIYWEGGLKSIPQQKNLQTISRFIKENRK DELLNLMKAVPKILFPNQKSDNLGEYFDEIVGKELTQKYAETAFYGLYGESVYKLSKNMC LSKIYMSSYDEDILAMDLIYDDEFEQIRMKKLMKANSYRFAYGLDSLPKRILRYLKDNSK EQNLEIQLNTKGKEIDVEQRQLVTENENQEIKRIEYDYLFLNIPSSEIVSMLENSTLNGI KEDLKKIKNNSIITRNICWDQKILPPDFRGLGYLVNPNQNQNILGMVADSLQFPKQYPKK STSLTVMTIKDVKDDEVLAELSSHLGVKVQDPKMIMKKHWMKNFIQFQPGYYENLQRLEK ELENMKIIIGGNHYTMVIPELVYLAYSKMKQLYV >CAK85927 pep:novel supercontig:GCA_000165425.1:CT868552:262440:263126:-1 gene:GSPATT00019631001 transcript:CAK85927 MRCVEKQQQQNYILIICVRQMFKHKKSPSLLDTTRQSSEKYSLLTSDVSTIKKPQISPIN FKKIRTPSTLVEPIQKTSRQLSQQISSDTLNEILSINQKIAALQQRKSALLQQFQRIEYS DTRKAIRYNKDFNNKYFDKQNITQMEKNWTHYQGQMDQQYKFNGKGVLHYKNAKVFGVFK DGNLEGRATIFDQECKIRIGQYQQGILQKIEYV >CAK85928 pep:novel supercontig:GCA_000165425.1:CT868552:264342:264675:1 gene:GSPATT00019632001 transcript:CAK85928 MAKMSASKRQYVKISKIQKQSLLQLVFDYGMKIREASQKLNLKYAAAKTFVLQFRKKLLR KEFNYASDKPCQTCPKRDVYTPFKIVSQIGGKEISSKTYIYN >CAK85929 pep:novel supercontig:GCA_000165425.1:CT868552:265264:265815:1 gene:GSPATT00019633001 transcript:CAK85929 MIKLFKGNNTLLAQNGTITFDVKRLDYNCQMYKNMQFSQYWKYSNLSFLFCYWVRNNDLI KDFSFSQYLQKSGIDDFYRRQLQWMYILSLKIKREQLLPLENNFNQCAIEFLSLLYSGYN YKNHPIKRDLTIEKRQETNLDHIYRRRIVLIFQSKFLLKLLKQYCSNSIDQVSGIRFGYF SFI >CAK85930 pep:novel supercontig:GCA_000165425.1:CT868552:265925:267021:1 gene:GSPATT00019634001 transcript:CAK85930 MGPYLSQPKTEKTSVTGQNQVLQYAATHMQGWRNTMEDAHISDLNIEPDVHLFAVFDGHG GSEVAIFAERHFREELMKNKNYQQKNYEKALTETFFKIDKMLQEPSGLDELNKIRGVTDE ASLAGCTANVALIVGKTLYVANAGDSRSFLNRDGKPFDMSKDHKPDDEQEKKRIERAGGF VSDGRVNGNLSLSRALGDLEYKKDNRFKPEEQIITALPDVKVTQLSAADKFLLMGCDGVF ETWDHQQILNFINSELKNTQNLQKAAEKLLDQLLAKDTSLGTGCDNMTCILIQFK >CAK85931 pep:novel supercontig:GCA_000165425.1:CT868552:267489:268610:1 gene:GSPATT00019635001 transcript:CAK85931 MVSYLNEFSTYCRSVGLLALQESFKEQKSTLEETLLMHLITLKREQLIELSTRIVRIWSI NNRDQKQGSSQESQQRKMSVSEMHTKSSSQLNHLYDQHIFNEENQIVKRDQQINLLMKEC TFKPQITKKSQKLELNSPAHIRLNNYAMDSKIKLQIVQELNQKQELKDCTFKPSINFKSI GNNQSVENPFDRLYQNALTQRNKTPRNNEDSQFTYRPQLISSPIKLQQQEGISVEERLYN HHFEKMNNMALKQEELQQNELDQCTFTPAINQQGNCRQNEKVFERLYNHSSVKSVSEVKD HSISHINKKSESLIKKPQSENNSYVTQFQVESNPFDRLYLEHQRQEKKKKSNQIQYYQSI PFKPILNKKQSLQ >CAK85932 pep:novel supercontig:GCA_000165425.1:CT868552:269280:271260:1 gene:GSPATT00019636001 transcript:CAK85932 MSSLFKEVPLQKVIANKAQKIAILVMMLKDIQKEEEEILKQFNKMTKDARTINELYEIME MINYEMSVRNDDKMMILDEITHQEELLLTESASLQKHINSISKDLETSEKFQQTRSLRQT GRFLQFNHNNHNLNVETKILQSNIITSYDFEEQSKLNDKIQDNIFNDPIKYNTQEGIDET QVYDWILDIDLINNVQQGWTVYISKQFQANKELVGLSNSDNKAQIKWEGATVAVVGLYDK GKTFVLNNLTQSNLPSGKKVTTKGISFKHVDVDSGTQLILVDTAGSYSPVKIQSAMSIVE KEATEHFIIDLVFELSDYFICVVNDFTSLDQRYLDRLSRYIQNSSKTFREIIVVHNLKEV ETAEILQHVWTTQVTQIYSTGGSIQRTKVAATNPRINELQEKHVLWFKTQYTRHVCLVSD DSQLGLDVNPWVFSLLKYWLKAVFVPVNRQFSVCENILQYATSKLTQYFKREVNVKLIDT DNQFVKKIVQMEADLQDGELKIPQTNIDQSGLILARPDSFAPATDIIANDKYIIYMDVPG INEEDIEMYRQNVVTIVKGNRKKPYQEEQSDHIKKQERKYGEFTLSFRIPENFERKWKHF GIENGVLKIVYEKDKDDIIPSKFVNQAE >CAK85933 pep:novel supercontig:GCA_000165425.1:CT868552:271290:273088:1 gene:GSPATT00019637001 transcript:CAK85933 MQQFQQIQEPDCFICACGFSCQYIKEKEMEMHIDSCPVYSAYSEFMKYIERKDIQNANED QLRIMKAEAKVYVSRLEMMLMIYSQQQQPMLQKAPSQTVQCEKCKKQFEANSDFDKVWYL ENCSHIICKDCMLNICKDDFLPKKSNVTCVCGERFKDEEIKQILGRDLYEQLTEKLNLSL QNIIECCHCKERFCFQKGNIEEKIQDQNGKLVQGQQLKHYIENRFKCSKCHTEQCKNCMS IPYHTNMTCEEYKINKAAVKCRLCEQPTEIQKNQPEALQTICSQQECQNRAKNLCTLKLA CGHFCQGIKNTPCLPCLNEKCAKDQNEEDYCNICFTEGLKSQPCVQTTCGHIFHEDCLRQ KLEAKWNGPRIVFNFMKCPLCNKFLDIQVPHFKKSIEQGQILLKEVQELCLQRLKLEEKE KDKELLDPTHQFFQKPLDYAMHIYCYYLCFKCKKPYFGGLKNCQQAADQDPKVEFKQEDL VCTKCCPLLTLEDKCNKHGVDYIDFKCRHCCSIALWWCHGTTHYCDPCHRNIKTNMTKPC PGVGKCPLGIPHKPNGEEMSLGCSLCRAERLKAK >CAK85934 pep:novel supercontig:GCA_000165425.1:CT868552:273120:274701:-1 gene:GSPATT00019638001 transcript:CAK85934 MTEEIYEQVQLEKLRMSESKKVDDQQSIQMRKLVSNTENNSNHNQEPLFSFDQLLSKVGF GSYQLWIYSIMGLLGVAEGAQITLFTLMIPILKNQWNVPDSLNSLQASFVFVGFLTGSMM SGQFSDRFGRRGPFLYSSFLTCLVTLGTILCQDIYQLLFVRGIMGVLIGFFSPCGVTMLS EITQIELRGRYMSLITLTFSLGQLFGLWVASFFLINFDDGNWRAMTFYCAIPGMLAWLLC VLKLQESARFALLTGRKEEAFEIIQQMTTLNNSQFVLTDDVKSQLINWANMMNKIAKQQE NASISSLFDKEKWFVTCLVWFNWFTLSFIYYGIVMMLPTFLQGLNLGDSYLAVHKLLQLV ISSISDIFGAAAASVIIDIKYLGRKNSLILFYFIQALGCVAAYFDDPSRFMLWTNFCKFF LSMTFIFSFQYTAEVYSTKIRTTGVGMANGVGRLGGVIMPWICLYLSSMDLLSPFLLFTV ISFMTSLTNCFLPYDTLGKEIE >CAK85935 pep:novel supercontig:GCA_000165425.1:CT868552:274711:276281:-1 gene:GSPATT00019639001 transcript:CAK85935 MNPKDFFEITHYSLQEIQQIPPFKNNLSTFNKQTYTVSSAQTGKSHSLSKLINEPIYFIN DGHLYLVGRKEIQQGKTYVGYSVQYLTLIKYKGLYEMDSITIETNLESLKKNKTYRQLSS SYFERVKDGQELLIFNESKLEEQDEYSLSYLLSYKIQNSAQLVQEQVRLEKKQQHTFKAF QPFESHYSMVSENFPEVFLEYQCLNVSSQPLEMKNIEFKVENIMSIQKLKDYQLPIVLAQ DECVKIIYRIIIETSDLKAIYTVLTQKKDLTEYDLRLGQIHLEWGTCGNYYGTQKTCLVR IYPINLRPPKSIQIEKEVITTIEIDIELGILFDVPQIDLAIKLEELEMKAIKIVGMSQMK LNYPAGLRTRRIKLDVVAYNCGVHQIKGIKLYDPKTMKNIAYKNFLSAFVVNKQQNQRVQ QQINAQDVNLLDLEMNQPIKVENQNLLDLM >CAK85936 pep:novel supercontig:GCA_000165425.1:CT868552:276377:278280:-1 gene:GSPATT00019640001 transcript:CAK85936 MQAKEYPIFKVKDLAEDLQEVRQVLQQYNDLVQKQPDNSLYIQQLQKIRTYYCCCFLKVK NFCVQLMYNMIKQQSLKCLKQLNPTEQILQRLEEINLLVALGGEEWFQKLSLTYKGKQEN ANNTDFKFIDCSLQDDFEWIRWMEESSQNIGETFGIETKKQQKEQKIKKKKSQSQQVLEG ITEDMRQTQRLDLQICFKKCSNWKQVNEQQIRNLEAQVFMEQSFDKDKYLKEIEKIKAVI KQNKQIELNYEEMKKAYKQYLHQKKSNSQHTNSKQTLQLESIKVIKKQQQPQVPQIASAQ KLISQHSIKSEPELEKKVKPETPKQPTIIAQPIQIANDVLLYNPDGQVDTPCKKQKNLLM NLGEINLQIKKKVNDSRESFLIKPQLLTYEHHMAQHFPKTENITVTCESFSPVKEVMEYF TQRKPGQFRMMIGWLYSKDINVAGDLFKLADKLKHSRQCVGTKLGDVGLTLIYYDYLNKL KPTTKWIIMKEELENQLVMDNLTKYHNLEKFKPHLCFVYYVKDLKECTNTILPQPVQYIN VLKHIQFKRVIENHKVQQKKQQGQLIPSKKKELEPISDEENNNGFQQKQDVHSMLMEIPG ILSLLNQ >CAK85937 pep:novel supercontig:GCA_000165425.1:CT868552:278427:281704:-1 gene:GSPATT00019641001 transcript:CAK85937 MIDEFHAYKQGLIDGTNIYIQRLSVVSKGIMFLGNLIASINRTIKGCLRQLPVCLSVCDC LDPDFEFLLLSWFWFASTNAHIFNRQQYQQQMNCSVCGLNFERKDKGCQQMECQQCKLKY HRFCYGYNNLDGVCDPCQDIAKKPLCCICGQKGLLKRLSDQSGVYVHVSCAIFAPHIQVI NYHTMTFATKSQIDKKTKEKCSNCGKSGANIQCLDCQAYAHPHCIFAEQVEKAQEDIETE QWIFHLKFQANNQDPNQLDVESGEIKCELKEIQDAFCNALDKFQDKSKKRGNQTQQYKEH VKNTLESIFDNYTLQQCSQQWSSQNKIESYCQVHMESHRLFCICRKSLNNKQMVQCDHCY EWYHFGYDSYICEACKGWSAKRTKIDLEDPKLLNFEDLVVPKSVYILHLIDLLPLLLYIE AIMKRLPSIPLDENDYRNIKFYKLFLASLPIKPSAVIQLDKILLKEKLQEELKQKMHSII PTQLEQSEQFAEELVTTLNWVRTNSLNHLCCQEKISKHRYRKEISEGYLMEDTFKILNEN VTDNQKIFKSPNDNLGQLINRYKVAQQIKTTLRSLFEAERMQIEYPVIDNQLDLTVYKQM ILMKNQKNKPNKLKLIDLKRIANHNNVTLGCLKIIDKLLEELNSLELENVLNSYKKIIEF PVNSDTLANQLQSYFEQEFIDEFKVELELKIESFCRLTEKEYTGVYTYDNIQKAAKDSIV IRSVYNQLQEIHQKCQTQKKVTIQFCHEILDLMSKCLLTSTYMETQKAKFLKFRELYKRL NESITLEQLEEIEHECFQLGFDMDVEQRRQQLVQAQEIIQNIQPSLGDSLDEFKKLKSLE LDTYIRQTELQIDFVKQLYYLVYNSYDTLPKMIQKVKDISDLDFNNELLYKVTIPEIVYD ELKSVVLNFRQMKWRCECQQILDQSNQLIIQGGNKKYNISQIIHLLNADPSIQDPFYTQQ LQKIKKEYESWIINLQEFENQLAGQVAPHFNQLIKLINKNQYQDNNLQTKLWQYYIQMLW MQKAEEVHLKPKANPQAYKTLISCATQANIDSNNVLLLKLKDPYLHHG >CAK85938 pep:novel supercontig:GCA_000165425.1:CT868552:281899:283560:-1 gene:GSPATT00019642001 transcript:CAK85938 MGKKRIVEENQNEEPEELQEVKAKADNKKQKKIAKNQNKISKDVDFISFLKEDIRFLIQH RNQENEQKQQKAEEVFTKIEGILYQIAQTKIGGRTIQLVIKCADQNVRQQIFSKLLNDKQ FGELLQSKYGHYIGITMVRNMVPEFRNQFFEILFKNANHYVAQGDASIVLDRFLTREATT QQVNKVKALFQNHSEKIDNLAMKIIEKGIHNHLLSLQILKVALPNLVPEERQKVIEYFQQ LENLDYLRHKDGISIFCAILNVTDKKERKNFLKNIQTYMQQSQEHLHRNSQFYLALQKVI FTYDDTKQVNKSILQDILPEWLNSIHVFKIIQSIYQPKLRDDIKFDTIGLQNTVSLKDDE IRIKELQDYCFESILNSLLSSETSILLESNINQFICYFISYIIKYTQVQAVDFIKAQIKQ LLYFKGDTIFSRDVEQNGNYWILTQPEAQRIAKCLIQQQSIAQGEFSDIINNYCQKIQSV LISNLKVTLISKAIYLLLALIENTNLKEQVLVEIKKNKKWIDKLEKTQSLQILYKYI >CAK85939 pep:novel supercontig:GCA_000165425.1:CT868552:283795:284161:1 gene:GSPATT00019643001 transcript:CAK85939 MAKLWLLDSPYAKAFHLIQEYQKYQYISPSEKSLIKDLIIRKDASVSNLLCSRSDLEMRE NLQKLIKTIRLQIELQQNEDDDVSTSTSLKFFLRQKLKLKITNSNFDLAINKR >CAK85940 pep:novel supercontig:GCA_000165425.1:CT868552:284180:285593:-1 gene:GSPATT00019644001 transcript:CAK85940 MSVASNSRDENEFKENPIETKFTWTTKCVEKLKELHVLQQGNWKSISSLLNGPTPLECMF KWQQLHPDSAPSRQLWSPEEDQQLKELVQKFGKKWSKICTVMNWRTGKQVRERYLNQLQG TINQDKWTEEEDKLILKLYKKFGTKWSYISSFLDGRPENMVKNRFYANLKRRFQCDLGDS DDEDFEEESQNSSDEEKSNLQRRRRLQKGKKEPPKIKKVVIQDEIVENFQRMTRSKNHKS NDESLKQDSPNEDQNNENNSGLQHVQSSPSYNTHLGNQINIKEENQLKCDHFNNNPSIIQ QAVPQVAMIPSTVSPLIFNSQFVPSQQNPLIYPQHNLPIINTQQFKQTQIDRMQMSYSLN QMTRLNFVNPFFEMMQQQQLLNYQIPIQSQYSGQIDQITQWAEFYKIYNNQMLQKHLQP >CAK85941 pep:novel supercontig:GCA_000165425.1:CT868552:286151:288538:1 gene:GSPATT00019645001 transcript:CAK85941 MWDSRQKNNQDLLVVNELSEYSSVSLKKTLLSGSQNQIEMMPLKPKPVQIVPLSEEYAYT MTQHIQLIGDLAATDKTAVCTQNWKDTVRKQFNTQTITQFKTSYQINREIQLLTQIKRTY VNLMPIIDPQSSFLSYWKIPVLIITFVVFIEVPLIIFFGDDFYYTFHSPTYIFFRSVVIL IFMMDIFIEFHVAFYKYGALVKNRRRVALHYLMGSFTFDFVPLIEILITTFSYTMANVYT FHLLFLLKMYPVYEIDQRYQDKLQVYPKWKSIYMIVRMIIEIVYLTHFFGCIFFGTGMYM LNHYDNPDEGKYTNSWLTFSSGNFGTILYYDWTKRYILSTYWAFATLTTVAYGDITPMNE FEIIVAEVAMIAAVFLVAFNVNNIQQTFVDYFDEKRKYNKEIVAITKFMRSKNVSEKTEK EIRNFLEHYFKEKRNRDQYLEDQVFQKLAPQLKNRLMYEAYQPYLYQVPWITNNFSDDLM RELSQVMEEIDYSKKENIFLDGDANRDDNALYFVQIGHIEIFVNVPETEKQEVIMAHLKS GARFGDYAFVTGLQRKASARSKKYSQVVRLSRTDFMDKLKLFPLDHQRYCFLRDEIIFNN AYYELGIECFTCGSTDHMSIDCSLTHLSIGKEIIKRVRKSIVEKKLRKLSLNIQQNRSYQ FQRSKKQKVRIIKTKQPEQAELDEYSSIINKKIQFEAFYSENQIIIESFTIDKIDNFVNY YPNFNIDFLISKNRKKEKLVFAREVRQRSVSQIIRKSEAII >CAK85942 pep:novel supercontig:GCA_000165425.1:CT868552:288702:289222:1 gene:GSPATT00019646001 transcript:CAK85942 MGCGAQKNSQGLNDKLRAKALEIFRRIDINNSGSIDKDETQKFWKTNFAKVNTQALFNAV DFDKSGQISEDEWMAFWEIVKKSGYTDKEIFEELDNLMEGKAWVQFRKVDEFVKRDLQRK NSQVQEIVKQNSKRKSLVQQQQSFHQQ >CAK85943 pep:novel supercontig:GCA_000165425.1:CT868552:289343:290695:-1 gene:GSPATT00019647001 transcript:CAK85943 MEDQLKEIDILIKKQNFQKGIEKLTQLQTQLNDKNINPEEILEDDLVKHNQDQILQSKIF ERFATIFIDINQVYQAMQYLIKMIKIDKDICKASNNKNHILRLCNSYAKMGKCCFYCCYY EQTFKFLDYARQLLISHNLTNTEVYALTLTQLGNYYRFMFQDDLAEQMLLESIKIREDLY SSNSIEVADSLHLLAQLFSDEGQIENAMTFIDQAITIWINELGYENIKTAKSIYLKGNLY LRMKSTPENLEKAEKLIMQSLEIDIKIMGNSSQDIADCYHSLGKIKAINKSSNEFEGYFI KSQQILQDLYGQSHASIAIILNNLGSSYYERKQYEEAVNCFQESIKIYTQICGEMHGNLA ITLRNCADCHKELGRFKQAYNDYSKSLDIYKVYCNNLIQKMEINQQQVTSIQNQMIQIQE KFLED >CAK85944 pep:novel supercontig:GCA_000165425.1:CT868552:290775:291908:-1 gene:GSPATT00019648001 transcript:CAK85944 MLKDEVHLQNENEIEIIKLNSFQPRFKWSKCDISDIGQFELFDNRKSRIYKIDPSQGMML KEFLSGKVCFQGINNHFKIAKIISNGNFGSIVRMRNLQNEELVTCKIFKQGRPELEQEFT NEVLALQFLKHKNLPKIREYYIEANHNYIIYDLFEGHPLDICIKNNVLDNQQMYNIMKEL LRVVKFIKMEGYSHQNIKLENIYYYSLMNQITLVDFGKSQLKNQSVIAGDSYFSKTTKDY SELNTQTYRNANQDKDYFDCGLVFLQLITQKVLSHKDIHYSDNNHILMSLITEIHNQKIS TFLMKLLSQNKVQDKSSFSENLLTEIDDLNFELSNYKNY >CAK85945 pep:novel supercontig:GCA_000165425.1:CT868552:292355:294871:1 gene:GSPATT00019649001 transcript:CAK85945 MCCSRKQKTNNQREEINKLGKLTRLTEIELIELKTRFARMSQGSNFVTKFQFRDNLGLLG MGTMLHLSDRIFHIMDDDKDGKIRFEDFALYFDKVSHGDAREKAEISFKLIDKNKIGSFT STEFNDTMQGVINSWIAMTGQNLTSETKKQIDQRIKLIFSTMDVDKADRVTLQQYQDSVV ADPALLEIFDFARRGVTLESIDYSIYQQQKYVQNIEKKLDQLLEFMKQDNKKQEKELPHF VQGTVEQEQKLRNSQVDNLHSPIISLFKYDSEENNHNNNNDHQGINKFFASDQIPSVNYN QFNELSIEHLKNDQNQDFSFYAQSKPKRKFKALFQQIPQKQIEGDNQDPLEEDQFYNTEK SFESDHDQDESNQFVEQMTTDQLKEKYKSMITYVTDISKEVQRLRELIDQNQIKQQKMDI IDQINEQRLHTIINVPRKTQSIKNQKQTKKPKISVSFGHENFNLVLNMMIGIQMAVSSIN VADDYEVGPKDFKLKYYFELLPRRAQGDKSSFKVCQFFDYAPRVFNSIRTIYGIDNHQYL KSIGPESILQSLIKGDLSCLQELTSTGKSGSFFYYTADGQFTLKTIHHQEFRFLKQIMRN YYYHLKNYPETLIIKLFGMHKIGIKYQTMLREKVIYFVIMSNVFSTNQEINVRYDLKGST YGRYTIDNDPTVARKDLNFLEDKEKNMRLNINKNKSMLFFNQLEKDCKFFEDNDIIDYSL LLGLHAKGNKQLDNESSVYSENPQLDNFSKMVTVDNQYTLHIGIIDILTNFSTKKKLEFL SKRVIYGPTISAIPPRDYAERFLKFLKDHLFAN >CAK85946 pep:novel supercontig:GCA_000165425.1:CT868552:294884:295972:-1 gene:GSPATT00019650001 transcript:CAK85946 MSLILKHHLILPNFKLNETIPFKNQKSNSITTLRTQQKTQKDQKLSVDKHQPTVEMQANK TDITFPVINKTSPKETTYKQNLTIRTVYSDEKTIKDYLDHLNTLRSQKNTQKQNKYKKVR TETEIVQYGDVKFKKVKEGSSKKSLALVSSIRNPQSQSYIKFSQQIFALVYSLDNLKKYI NNERKLFQVIKQLIGLKKSLRKIILKQNGEELVEFSEISKLCCVREIQYINGEQKIVDKF DLTLYDDLVYLTDTIENLLLSNEIHSINRISDNIQKETEQILKIQHSMNMPNEEQKLSVQ TMSMQRFKIHDEIDTIEQKLGPLSLISRQVRQTSMVLGRVISSLNE >CAK85947 pep:novel supercontig:GCA_000165425.1:CT868552:295986:296523:1 gene:GSPATT00019651001 transcript:CAK85947 MILDFPDNLNSSQSIMPEKQTKDWHSELKFLHYFPKNKCFGKEEQEITRNIKTIETHYKQ KVQEMVSQLLTDEQGTLNIIPKKANIDLKRAIQPKLDKLKKKTERAIVDVLSIYLIQNKD ERFLKKEVEKKEEIIEPQTTTIAQPIKEIINVYDKEIEFDDEDYDDDYETL >CAK85948 pep:novel supercontig:GCA_000165425.1:CT868552:296752:297649:1 gene:GSPATT00019652001 transcript:CAK85948 MSSQLEKKLYYKIAYEQNNVVELQRQIRPQIPQIVNHEEDFSGFDENNIHKKLWDEQKFE QIHIPEDDKVTNADICELMYQLLPKTLGVLEFFKQREEDIINTKFWNLVSNFESILNESQ EQLQLDVANLQQGTIIQVQPQQKELTLQTPQENTQKQQQQQQENKQSEQDKQKQLEQIYY EQLQQNKQKQGKLTSAEQQQKDELTSGQQQQQELTSGQQQQQQQQQQQQQQQQQQQQQQE LTSGVESLNKNEKNQNEEIYFYKQGTQQNNNCQMSSKNVPTQDEFGNPSTQ >CAK85949 pep:novel supercontig:GCA_000165425.1:CT868552:298662:301971:1 gene:GSPATT00019653001 transcript:CAK85949 MNLVHFDSLCNDCCFSTTLESKQLAEQSIYQFIEDIRNIDIICQIIQQTQSGSTLFVISE YIAKIVVSERSFKGFSSSDRSLSEGQLIVGQFQYREENDVLSKKCRVYETLVQLFCASLQ KQQENHVQNSICNLVGLLIQQIMMFSSNQFSKFKLVFNSFFQGNQPYLLSIGLKLVQHVL QNLQLYSSYDSYVSYRKIMFQFQNSDILDFMSTVCTVLKQCTQNLYKPALASLKDILMFN FNVSYFELESDFDPNDQNNVSFPEKFAEFFNDRQLVELLFKIVALYSQSDSSLALLALKS LKRMASSKKRIFTEKIKKRLFAKEMYQGCIFLFEKVQQANEEIISDILELNTKLNNCFGL RQIRFDFTFSQQWLYSLQTFCVQILQKQMKIKDPHMYQMIELMKKLVKFITDFKLDITFK QSISKAISEISKSIIHLLLNSQNSFFQGYTPQNHKKLKHTLKEFFENLFPILSIDLTSHI KMIYHSFKNAAQDQEKFIIELSLINYIVINPQILEKNSEEIVQMIQTVIKDSLTFLQVST HNLPPLVIMSAMSLADNLFQFALSESDESIGRQRSNKAFFDNFIKPIQIQPQQATNQLLQ FIILQLQIENKEIIEYALVIMKETIVRLKHHLYNEAFQSSNVVTQIKGVLLNLKNTALQK DTFLSCRTLASEILSILLFDTAYENYIESIIQLNQFLTIQPTQQSILIYLYEMLGYFRHV DTSKIFRLLIKQHLLKIAELTRFILIDNPQQFQMCKLCLKLMVAITENKSLRYQYHSSSV VQIELVRTFQGILTSYLQHLINAIQDEKVKQEFSAEICRLVGLIFKIMNNILKGKYISQT CQLLFADRKYLDLLITSLEITSKISNYIIMYNKTCLQVVQVLQVVSSSQLQLFELNPQSL STLMVIIENLQKHLLRQLSQEYKTSTISTYHQPLDKVTLDHTTDIVLSILEFVSEEQQLT QMGILQSFVQPLDPIIELILQELLLCLIKGQCSQQTNLKITRQVFAIMCTFQQVFVAILS KVLLRSDQQLDASQLQILTQDLDLRIKQQNEEQFKKNFNLFMKQFGI >CAK85950 pep:novel supercontig:GCA_000165425.1:CT868552:301982:302326:1 gene:GSPATT00019654001 transcript:CAK85950 MSRKFITQYPLAPPMNDISNLYKKIRIQEQLQFFDEIIKKGKFKRPVQKPKNIKLPITIS SKTIQLQSERSLSIRSPRIIEQPKKQILPPISKQKASISGWEVNTSYDSYLYFQ >CAK85951 pep:novel supercontig:GCA_000165425.1:CT868552:303584:304599:-1 gene:GSPATT00019655001 transcript:CAK85951 MSQRKKKREPTPTRVALVCLKTTQNNIQINNQSLLKVFSKHGSISKILIFERGQLQTKVF IEYDEVDSAIDAIKSLNNTKILNQISCNVYHSRLKQLKLDTVPYTKGLDFTNPPSNTTDQ IEQNEEQQEIARINEWEDFQFGSNSTDEVSDEEEPQFSEEKMVDILSRLNQIDDEINKTI ETKIVTALDKLQQNTMCIQVLITYDILNDLNFVIKIFCLFGEIKYLKQSQIYIYIKYGSN MECQKAYQLLKDYLEIKLQYNFENVNGKLIQPNYINNSKSQEAINDQVAIQELFQSYKII KQQNNSLIKINQ >CAK85952 pep:novel supercontig:GCA_000165425.1:CT868552:305734:308198:1 gene:GSPATT00019656001 transcript:CAK85952 MIIILGLLILCVSGQKEVKVSFNELESPVSNIYWCGSSVVFTKDDETIEQTHQESRKVLF ILTDKGRIWRSADYGTTWLDETKSWEKMEPENKQLQFESIHISPADSRVIFFFGSNGISY KSKNCGRTYTRFTHSEDLYDFKLNKMDAQWIMAFKDKPCGKNDVNCKDFYKKSIYVTEDG GETWKSALNYVRDAAWDKLLQYQLIPDQRIIVCHMKEGKSVISYSDDYFATVQTMQENAL GFFQTSHYIFVLITGEDGETGYELLIAPAYLDKFSPQPVQLPIPLNQHTFTILDTSEGQI FLSVSHKEENQRLTNVYVSDFRGFKFTLSLLHNVRSLDTGNCDFERMLGMEGVYVSNVFD HQEVEKSKSRSSITPATLELYKKTFISYDRGGQWHPLKAPEVDSKGDEIQCSGDCSLHLK GRTEANQNPLYSSQNAPGLSIGVGNTGLYLTQKDHEVNTYLTRDGGHEWFEIRKGSHMYE IGDRGGLIVMGQDDKPINQIIYSWDQGFSWEEVKIGQKEFEIQNIVTEPSNMEQKFIVYG QSRSQENQLKGYVVALNFQTLHQRVCSGAWDPTMPESDYEFWIPKNFESGKCLFGRKIKY IRRKREAKCFNQEEIDKKFFIETCPCIEDDWECDFGFYRKIEGGPCVPIADKFEEDDTPD LLKPPANCKQTYMKTQGYRKVSGDYCQGGIDLSPVETPCPNIQANSTSLNNTEIPINDIK QPTISKPQIHNSSTQLNSSKQNSYLWYVVAFLGAVILILFFKDKVINLFSPKAPKKPQSY MGKGNYEQLKLFSGNDDDDEAGL >CAK85953 pep:novel supercontig:GCA_000165425.1:CT868552:308245:309780:1 gene:GSPATT00019657001 transcript:CAK85953 MMLSFVYKVEDLNQCQLKQVETLIENLETIKSENYIFFPQSLIIQKQQNQYEFTFTEENL SALTQVGKDTLQIQDQPIYLSKHLLKLFNRLSQLKIYIPTLSQESFMPLYEALETSLKAN QNRYIYIQRCLLYNFGLDKLDQNSSGIANNHCLPPELIYQIKKESKTIKFDEKMAIFNLG CILFEMFTKQKMYERTNSFEEQGKYNLTKYIFKPGYKYLNFLFGQLVQKCTLEEETESNK RFTIKEALSQILYIQQCEQYIKSAEKEQQIIKIDDFFSQTSSLHNRLVEGLQIKGNHQLT GRIYILQNLMRMKFVFLLFQKEELECFRDFLIMKLIVQELQGIKELEKHSENQSHILNFK DSVDEITEFYTLYSSKYEKLLFQLILGIEKKVYLEKQRKHFKQQFRSSKVQDFQKNFHAD LFQEKTPSQQLLKLCLQNLNTIFLKDQLLLNFRPISKQLMDGNPYEIYDTLLKILFGNEI QQNKIQELIQTINF >CAK85954 pep:novel supercontig:GCA_000165425.1:CT868552:310784:311229:1 gene:GSPATT00019658001 transcript:CAK85954 MKILDEIKKQVEMEMQKIKKVQPTRIHQPLNSQQKKDLLILINKGVQLKDAAREMKVTYH EAKIAYNEYRRKALSSQSETESAIPDIRTAGVSLLRVPPHHFIVQSVVENTITSVRKLYN VIVQNPILNSNI >CAK85955 pep:novel supercontig:GCA_000165425.1:CT868552:311228:312788:-1 gene:GSPATT00019659001 transcript:CAK85955 MPNKTKILNYMRPAMAIIPDVAEPERRILFKYRALWTAIATLLYLICSQIPLYGIYKSSA GDPFYWMRVILASNRGTLMELGISPMVTASMIMQLLAGAKLIDVDQNVKEDKQLYSGAQK LLGILIAFGEAFAYVWSGMYGDLDKLGAGNAILIIIQLVFSAIVMIMIDELLSKGYGIGN SGTSLFIAINICENIMWKAFSPITHKTELGLEYEGAIIALLHGLFVQSDKISALQSAILR DSLPNLTNLLATVLVFLIVIYFQGFKVDIPIKNNKVRGGLTSYPIKLFYTSNIPIILQTA LVSNLYFLSQILYRNFKGNFLIRLLGYYQELENGQTVPIGGLVYYVSPPRSISEAIFDPI HTILYTAFILGTCAVFSKTWIDVSGSSPKDVAKQLKEQDMQIVGYRDSSMKDVLKRYIPI AASFGGMCIGALTILADFLGAIGSGTGILLSVTIIYGYFETLKKEKEQGTLELF >CAK85956 pep:novel supercontig:GCA_000165425.1:CT868552:313108:313849:-1 gene:GSPATT00019660001 transcript:CAK85956 MYRFIAKFHFCDIRNKLFNLSLIHAINHGFNNKALQQACLDLNISSAASTVIQPIDLIHY SMRKWNREIIDTMTFDENFKQFTISNKIKNLIKQRLQLQGPYMGRWNEAMALGAQNANQT SAILWQFADDCWYLAGDKSQDYNHYTKRMMFLYIYISTELFMLTDKSPNFFMTWDFLERR MLEIKDFGSQIELISTTMKTLWNSGYYMTTMFYNFPKGTLK >CAK85957 pep:novel supercontig:GCA_000165425.1:CT868552:314016:314540:-1 gene:GSPATT00019661001 transcript:CAK85957 MNNSTLICNPRHKQIYQIYTSRNHTQTKYTPKMHSKLPNCSPQPKINDFKVKKQKCFFSR KKQLSHHQSPVINHNHAIRDSSESFMKDLDLSGNEDLKEINKNLLLTSQEINKKMQFNIE SYLNSTFKQKQLQQKVNNFIDLNFNHATSVFHYFDSSKSRRSTPLQPLRLYQKK >CAK85958 pep:novel supercontig:GCA_000165425.1:CT868552:314552:314863:-1 gene:GSPATT00019662001 transcript:CAK85958 MKSKQLTPQRVVSTIKLRKALYFYKKDKEVLDLKIKLNEMCESTFLTNAELHHIVRSVSR GNSPQSQRFRIRTETATISTGPKTPQHRFKKFDFTTTAHLYFA >CAK85959 pep:novel supercontig:GCA_000165425.1:CT868552:315502:317384:-1 gene:GSPATT00019663001 transcript:CAK85959 MAFNHLKYSKTHSLVDFSIKTYIKYDTLNLQILLSPLVHKQKLYQILFVSDVTNELEISQ ILDNNKNSDDYLISDISQRIRQPLNSTISMLEIIMNTIPEDTREKYINPALAGCKLLINT ANDILDYVQLLKRTKLELTLIDIQMHTFISDILNVVKSQATFRGLKLIVTIEQKVPQFIR TDPNRLRQVLIDLLVTVIQATIKGKIILLVRKCSLNSDHVDIIIKVNALETNFQILKMIN KTVRFFKSQSLQSKVIELSKLKQYSQSMLIAFYLTKYLSSIPFEYDYERVENLESLQFII KVQNLNTQFNELLSQKRLSVIQNQKTFHQLFVNKEGLQRGQSDLPVSNDLLECKQIKKNV YIGIKEKLIESDQNESDVQEYDAISIESRIGLSIKVDQMEQAEPYFFDYINEDKKRQELF ISQPSQQLTFGGFAQPRSSIYSSLGYSSGSMQPNDLLDCFEKMEKLKQQKFQFNCQCPKI LIYESIDLDLYAMSHQLDNLGILYEYITQRQMIVSALNKNVSRVQHCCKGLELLFIGVEQ NEEDLASIFAEIKEIYVKFRVEPKIIALMGDMHQENRKEIMKLPFHDFLSKPIMIDALLF ILTKWIKIQ >CAK85960 pep:novel supercontig:GCA_000165425.1:CT868552:317461:318420:-1 gene:GSPATT00019664001 transcript:CAK85960 MVVAGEAATENIQGEKFILIYRILAQIFLIAFFHVLMIKLLKIKNRLINLITLLKCICVI ICWEELDINIQNTLHQSLLEQFVIVLCFVFAIESQICQTLALLFNLIYSLTRHFKFDSKS NISFAVRLTIMHVLLQIYVIISSQKNNKSYSNAQLIAMSANNNINYSQLQSNRVLSPKQA HTQFCIEISEKKNQSAIPMDQKIDDNLTESIQQDHLYEVLLTSFDQGIYIIDNSFQQAQI INPILSNLILKNEKLNLKLFEAKIVDSGISADEIYMIIPKLHQSNDIESFLKFSQTLDSI LSI >CAK85961 pep:novel supercontig:GCA_000165425.1:CT868552:318555:319597:-1 gene:GSPATT00019665001 transcript:CAK85961 MRRTNASEQNFEQYFLNKLKDSKISLYIGKCTTRILSIGEQIIKEQLYHYQVMERHGPSL KLVYNYLSKNIPITILCVMAIQTLTCLEQIHKQLIIHRNIRPKKLLISQSGQEILLTDFK YACRYRKQQNNIIVNDTLRNSQNKRFLNKFSSLNQHLEQVSSPKDDLESLALIILYYGGF AQLLDIKEENRGLKIKKLEQVKLTLLPELSFKGAPLEFIQFYNGVKQSSFSDYPQEYEKY KQLFRKLLNGCGYSEKDVVYPHLQMMKQQQYNVNVIIEEQETQAQMDSIDEDQSVFRKMK ELDGKRFHRLISLENQTQSSQKE >CAK85962 pep:novel supercontig:GCA_000165425.1:CT868552:319660:319788:-1 gene:GSPATT00019666001 transcript:CAK85962 MLNTSQTRSTNEIPNYVVIDNEYIIVKNIYKGNTYNLYIGRD >CAK85963 pep:novel supercontig:GCA_000165425.1:CT868552:320043:322055:1 gene:GSPATT00019667001 transcript:CAK85963 MLDFSDDSYSFQIQPVNQRKKNIKLLVKLMKHHKIAKLNQSITLDRNLDQLYENYKNINT QAEEIVEVQQILSAVYNKGHISRQIPRERKFKFSQKLNPERLRQDESVEESEDDEVEVNK KIKNEIKQKLLYLIINKVPLPFILNFIEFQKKREQLVKLKIRCEDSLLDSLNFTLIKDHR NEEELNQNLKCIEIIKMKELLMMSLEQLEKSKESILTELNEMFLDLKSCKTQLMKKLEFF QQYDDSLDPQINKLLGIIRNLINFCKSKSSLNGQFDKSVLMPRKNGLRWLQKIIMLNCWV SQISFPEILIYIQMKGDLDKNKSNPKRQCVIQLSKKLDFLRKIYNIPEVRKKCWDQKVVL MDQSKRTPSYILQEYNLHLHQLHKNLFYDKLYLDNMQDYVLESQLRMLVQRFQQQKYQIF EAYQDLRCQINMIPINILYKDYLEWYKYDIEQLSRLDYIKHAKDVIVVCLIKHLGMLLRK ENIFKSESEIIRFNFDYRFSYSIYYKEKDKGDMYQCNKYFYIKILLCDFIAMDQPFPYLF RYLTLRLEKLESNNSEEILAQLLSEKNVRNYLMTKYNLKIGLEQTGNRIIITTIPLSFDD ITRELYYIPWEYVVTSSLSIIYRYRNYLRNEIFQMISSREYQDYKSNLKADSRLYLQQSE IITKLENIQK >CAK85964 pep:novel supercontig:GCA_000165425.1:CT868552:322368:322550:-1 gene:GSPATT00019668001 transcript:CAK85964 MQSSMLQINLNTLQNYSIMILTGISKQKNNQQFNPILLIQTLKTFQLEFNLRILSQLYIE >CAK85965 pep:novel supercontig:GCA_000165425.1:CT868552:322761:323452:1 gene:GSPATT00019669001 transcript:CAK85965 MDYNMKFVELLKKHENHQMILKVTLDVMLQEKNYKSQLVKYRDELRREDKILVTKCTKAL KELAMRSQQDQVKALEKISKIDQQIMLYLKDQVLPIIHFDRKLNNYTFIKYYTDPENSIN PQETIIETQYKENTNLESFEADFSKLEWKLEITLSSIKMRKVIRPLILLIFHTKKGVKKT MYLDYNQFQEFRKNVALCLRQIHIIESIQSNF >CAK85966 pep:novel supercontig:GCA_000165425.1:CT868552:323476:324390:1 gene:GSPATT00019670001 transcript:CAK85966 MFLEVKFPCGRYDFNQDTKKVVIVKAKGLLNLYLNEENELWLKWYNVDLDNKLEIERVLI KGCTFFEKVKGQNRVYLLRFTDDDYKYFFWMQSDDPSLDENYCKQFNNVINAQVLDDPME IEQQPPVQTITQQQSHPQPPQQMDLQQQQLLQLLQQQLTSRIGPGLSLTDLLTTEFLTQI AKDQEYFEALKEHLPPDQQNLEQFRENLLSPQFKQALDQLTHALKGRERSSVIQQLDLDY RILEQEFDGVIAFVKAIIRKAQNKN >CAK85967 pep:novel supercontig:GCA_000165425.1:CT868552:324535:324966:-1 gene:GSPATT00019671001 transcript:CAK85967 MIPFKKLASLFIRTFSKPVANFIKRYALNNSNNRSFGRRIVRNGFIFLGNRYNALDVYLE RVSIGQSNQQFFIKPLTDETAFMKGTDLFSDVFIYICVLGLPLYEIIRQSNESALKEAIY DEKLHKLSKVRPQF >CAK85968 pep:novel supercontig:GCA_000165425.1:CT868552:324975:325914:1 gene:GSPATT00019672001 transcript:CAK85968 MSDLYKINKKISQGAFGTVYEGINVKTGEIVAIKQLFCNKKVLREVHLHEKMNHKNVIKV YQYQVLDQQCSIYMEYCQYDLHQFIQSTLYPLDAEVIRNIAYQILCGIEHIHSRQVVHRD LKPSNIMLHEGIIKIGDFGSAEHLSKLDQNGQYSTEGFSKWYMAPEMLFGKRDYGTEVDI WSFGCIYAELLTGLPLFTGKGEIDQIIHIGNVLGSPTEDNWPKVKELPDQGKINFQHVRP KSFFEYFDLADDDQINFLQATLKYQDRPTASELLKHKYFNKINENFKINAECLPKEQYNP YYKYI >CAK85969 pep:novel supercontig:GCA_000165425.1:CT868552:325928:326038:-1 gene:GSPATT00019673001 transcript:CAK85969 MKYINVNYRNLQQRFYESYQLQCIYFHRISEIQLHY >CAK85970 pep:novel supercontig:GCA_000165425.1:CT868552:326106:329584:-1 gene:GSPATT00019674001 transcript:CAK85970 MNNRWSISNQAPLQQSRYKQEIDNSNVPNNQPAHHIKNDEQARQLCPKDSPIPSENRSRI KSSYDVAKSGISQKYERAETSYSPIIKSTYQRDISPQFQKSENHKLIDSYEVRLMKLSQE NGSLQSQLFSDQQKYNQIIIDFELQTKCLEQKLNTEIMNIQQHKLLIQNKNEEINAQTQE LLRLEQKYFELESKMFQQQKDMGMLKQSNDSKSIEIDNLRQYIKELQAKNARDQEELKLA TDSSIRINYEIQIKEIQVQHGNNIARLNDLLQQEQQKYNRLLSQFEQQMNELNYQNITFN DFKIEKDKLSEENARLQRLLEEIKAQQQELLRESDSQRDRLMLQDKNHQTTLNELKSHYE YMRKSQVEREVKEVTMKFQAERLNYESQIRTLTQRLIDFEGKINQKHEENRQLQQKQQAI ESELRIFVQDHEHYKRIKDSELQEYQNEFSKLRQLQLDTQQKSQNQQNEIQRLNQIINDF KTEIELVKSQSQEIQKQVKLEYENDLKNSKAKQQYEIRQLQDQINDLKNDLQQQEQTIKQ KDEIIHQQKLNLSQKQGEIDGLTLKLDNVEKLKDQEIQQYQSEIDNLQREQNLSQIKLGN EKSLLEQQIKQLKQKLNDLETQQIQQEFKNEQGRQELEQKLQQKEFQLQQLQNERNNINS QLTVQKQKFEQFDSIIQELREQNQQLSQEIEDQKQQNESDRAQFVKKELGLENKIQQLKQ QMNQREYELQQYQSELDTTNNRVRQQELITQQSQDEFRRREHQYVQEITNLKQLMDTTQQ RQSEYQSIRETLQEQVQSLQEKGQNKEKEVEEQAQKCEDLREQFNQYIEETNLKLEYYQR TEYERKESDLKYQAELNAAQDKIRVLEIELEALQLRQNQLLKERRELEDLLDEKSKEIEQ LKNQLNQDYISNEEYTSLQRKYNEQTEELHLLEQKQIKFGTEKKQLERQIDKLNQDIELK DQELQQTDNLMKKRRSEQDELNRKIDSLTRDNAKVSHDLQEVQASLSSKIDYINALKREN EDLQIQLASAKKQYEKTQEQLNKKNQELLEKFNDAEIKKSYSSGENTATKFLTATTIIKT SQVQLNTPLIPEEESQKGTERSDQQFQTSQRSEEV >CAK85971 pep:novel supercontig:GCA_000165425.1:CT868552:329899:331440:-1 gene:GSPATT00019675001 transcript:CAK85971 MSNMFIHNQSIVYQQLNNEQALYTKYSSKKSKNFEDFFAKTPAKWVIDFKDHLHLQDDDE YLKRFYPRGQQPQKLQSLLDYYRYHYNLPRIFFGVLAEIAISFFEKKKRFEYRRIKKMLG IPYEESSQKYEKLNQDIQVLNSITKFTEQSTKSILNEFFKIQNNDVQINIDETWLTMQQY ELRVPQINKNYAPQNLKLLKKLVQNHSREKIKLNLPFHLLKGKTVSNIRNSQNQSPERIN PTQKSSSQQHINNSQEECEFKSIMKQKILTLSQQNKVKIQNIFKNKNEIDQNYYINAQGK RSNTHFITSTRSISSEQLNTEQIRLGQINLNGQDRQSQDKLINYVKHIPIHPSSQFTFQN EGSPSKKNQQLIQVYSNNISNKVKTQPKKSPQKQRVFHHTNSQSTKSDITKVLLQNFEKI YPCQQQKIKLDDHYNNNKRKQYQNNSELYRLQTENKQLIATVKNRVKSIIKKK >CAK85972 pep:novel supercontig:GCA_000165425.1:CT868552:331528:335834:-1 gene:GSPATT00019676001 transcript:CAK85972 MKPQQKKQQQQLREKGFDTFISGANKDRVNQKNKNQLKLKTRGVKKWNDEHSQSQKIGTL DHDDLEVLRKSLVQGNVLSKSQQDLLEKLQKDTPIDHRYDPHFSQMLDSDNDELDEQQIQ EEEDLCQSEGEDHDEEAYRDDFENTKPQIQPVVQQSQPQKVIQVVNLPATKPRPISAISE HGQSQQQLPQQVRQPSSEIKPPPLTKPETKQYASKPQLRANSVTKQEDIKNDNNSFKAPQ TSQSQKPQEKPKDQLEELFEKIEKLSSNEKQKLIFFLNKNTINLEEKTDRSEMQNEQSQQ KLSHEMPIKQQKQQKELQIIENKPKEQVQLTIPQQISNNNQPALQMPQIETKKRNKSINN IIQMQQEFVKEEKAQIIIEKNTDLLIDKNSQLKMRILSTWGNPSLVGLTEIELYATDGNK VQLKPYNIKMSNAQSLKLPEILINGKFLTKDAVNMWLGSMPDPPKTIDIEISYDDDVMLG GIKVYNYNKSLIDSVKGVRDMEILCRQNGKVISKIVEIKKGTGFEIDDYGTEIQIIDNFK FSNLQNTQSKFAQKLRGQIDQSDVIEKKQEKLEDSKPPPSRKGKGPIVVDVFKGERLDTG KTDKKVEQTQQPNNNQTNQQQNIEPRRRRDNPQPTQDYLEETLQYFNITQQGRLKPVQRQ DIIEDPAPIPKYEEVDALDFFFKGQQRPNNIQQKPQLSKWETPSQQQIPSQIIQQPNKNN YRQQTQENAQLIKELLNQPLINQAPVKKAKKQVSLPTLPQVRVITIHIHSTWGDKYYVGL NGIEIFNEAGKQIEISDPYSQVKADPSDINVLPEYFNDPRTPDKLVDGVYYTQSDMHVWL SPFQRGKINKITIDLNDKKKISMIRIWNYNKSRIHSFRGAKDISLFFDNQIVFRGDIKKG FGNMNLQRVINQNEQPFELFLFTSDENVISQIAKNDWINSQEFQTIQHDYQNERPNTGNA DSEVRPTTSAKVTNLQNEIKQARQQEQTQQRQEKQQIQRALVNQNSNGIICRYLQIKLLR PWADQPYIGLTGVEIYGKEGKIQVKNIKSDYQSDGDKGNIVSLINGINVTTNDMNMYILP FQPGRCITLTFTFDIPQLITSIRIWNYNKSYDDTFRGAKFISLQNDQGIISNCIALKRAP GCDTYDYAQTITIPSKEYVFEETTQVQKQLRCEYEINNLMVGHELKIQLITTFGDIHYIG LNGLEILDYKGRQVQGNIGAEPSSVRILQSMRGDKRVVENLLDGINETQNDTHMWLAPFT NRCFDHSQDPQINSLYFGSEQPFALGCIVFWNYTKTPARGVHEIAISLDDYIIYRGYLRQ AGNDGNQTVVLFYRDMQLMERFSGKYYTEFGQKQSAGYKNEEKGTRQVQTYALLERPITR VKGSN >CAK85973 pep:novel supercontig:GCA_000165425.1:CT868552:335993:336342:-1 gene:GSPATT00019677001 transcript:CAK85973 MLSVGKQQIQGLSLLLLTIQKNLKFLHPEQDQYLAEEERTSKASGLSDIQSYPLIIMGKC KFDQFMVQLDLKLVQLIECKDIEFAKYLWFYENVMVEVDSITEVEID >CAK85974 pep:novel supercontig:GCA_000165425.1:CT868552:336890:338386:1 gene:GSPATT00019678001 transcript:CAK85974 MSQEPRAQTVGAFENKVSSIVPLSQLRRIQQNCFGTQENFGLIQKMELHAKSQERVKRWP NTINALRKKKDQTRFERFKADEEERRRQEEEEALYQAQVKQEILEKANRQIYEANPRVRQ FQSKLLITDVIQERDAQLELNKYKKTILEMKEEAHHEEVLDNVARLQQIEEIKLEEMKKK KMEQRKILKQQHDEMVNNHIKQIQNDRIEGQLNKAKAEQLIKDEEEAENERKRKRLANIE EVKRGNEDIKEQKQLQRLKELEDDERIRAYAEKKEQIMEMRKKREELKFKEKQEQRQKLI DAQISKLESIENEHQRILSKQIQEAEIKAEEVERIKREKQIQLKKNIDESRKITSEFRTK DQEQRVKNDKEFQEYWKQRAEELKKMEDDENEQIRQRRVQLKNFQLTQIEEKQKLREQQI LQELDEAEEILRKKECCSRTFTSWAQRAVEEWQSNGKNVYPMLKELTTKQN >CAK85975 pep:novel supercontig:GCA_000165425.1:CT868552:338432:338954:1 gene:GSPATT00019679001 transcript:CAK85975 MRSTVLLFLLFIANAQDQLQKEEAIQIVKDLKDATTKSWSSQKNRGEICAFTEMVAEQDA QCQTRNGQNKFIYVSSNQSTQIIETNKQKINKNVQRRMNLQELSIFHVHDRCKSNVKNIK LKKDQKEAKTLFIQLQKSFSVFETFQIVKQWWQSTRNALQCKLV >CAK85976 pep:novel supercontig:GCA_000165425.1:CT868552:339006:339350:1 gene:GSPATT00019680001 transcript:CAK85976 MQFLFTTLLEQAYSDQSEATDIQSQEFSSQLQIQQNSLSYSSQKSMEKNRYLIITTSQEI AEKIDKDQQSITLNSINSINSVNKQIYYVLNQQRRMKYQRSLLISKQKGRQIEL >CAK85977 pep:novel supercontig:GCA_000165425.1:CT868552:339683:342257:-1 gene:GSPATT00019681001 transcript:CAK85977 MIEPPQGPIPREVAKWLDSLDLAYQVRNFRRDLANGFIVAEILSRYYPKEVNIYTVYNEQ NLDKKRDNWEQISKLLKKKELQVPQEEYEPIFHQAPDAAQAFLFKLYEFLTKKKIGTIYP DKDNRDMKKQVKQQATLQRPKPLTVQPTYARPTANTIIKDREITRVVDENERKVLTKQAI QEHVEKVRNDKKDDNIIEYLILKRKKQIEEEIKKQEEELLKKQMKFNKKLVNLDQSISQT GQDVKEIQINCYHSSTSKKAKEQQGQLLETKGVIDFLHEACASLFKKDPIKKDIQDLKLE PKESQQNNGFQVSNQNVQYTAVIQQLFLRCDEVQKKSLKLLLTQFIDVHSQLILEQVQSN FIDFKRFFQIFLRPIQVLKPTSKTYQYILELIRFIGSKLVEIDPNDTQMMFESILLDQLL QIALRNPKKREDIVQLFFVFTPINCVNRTQLLKSIKSKLSTDLNAFTLILSILIQSDYPS QDEELYRFFLNYAFNSLDSPSPLTRANGLRIINQISSWDYFPVLQKISRIQRLMNDSWWE VRAQGMCICADLLLQIAQNSNRSMDEQEITIDFEQSKQLLLDLIYQVFVVSTTPNVIRIG LIYLAPVLQMYSELCPRYLEILLSVDSEIRISVLNTQETLIQQVVNGCNSFRYKITGAPI DWNSVGIAQAMDVYVKEKELQFFKHEHLEIIYGCLHKQLLESDGEIWLKIFENTKRLLFL ALSNEDLCSLAQVILQKFFMFQTIQQQVLDNSNEIFQKMLQLIYHPDVHLKCKENLLEFF TFLQQQGFQNYCYNIIKQFSEKHKNMFLNSNLVEFMNGLAKEKRREIMGDENY >CAK85978 pep:novel supercontig:GCA_000165425.1:CT868552:342372:343528:-1 gene:GSPATT00019682001 transcript:CAK85978 MLQQFRHLDQEILFEIKKVVFELQLSKRPFLPKNLMYQIYKFLQLRECATCSQVCKFWMI CYNDIYFSYSFFREFETSRFNEKELATVLKRSSKQLKHIKKVRDIIKGQKISSFLEKTEL RTMLSDNSQQQGSLLELFKLEPKKQYTSVFLTDKDLNSICKESYKSLQYIQLISCQFMTG KGFEVIGKCSQLSLINIQSNCNLKDQNVQVILEQVQELKTLMLLKCDGLTNQIFEIIGNY CKRLERLDIGCNPQLDLIKCSPLKRIESLQSLSIKENVVTNEALEIITTNLYKLHSLNLE GCQEMNGYSLLQIQKLNLQRINLKKIQFKEEGVILLIIQQRSTISFFLQRALSCCL >CAK85979 pep:novel supercontig:GCA_000165425.1:CT868552:344125:346084:1 gene:GSPATT00019683001 transcript:CAK85979 MDQERKRRWIQTQQQKLEDLKSRKLIGTHHMRTDNIRMSRTEKLIQMKSPTILKHQQTLA IDEYISKGDCKLIFLQQQSEDVGFKTFTEMMKTNSSWINKADQLNPNESTLKNRLQFNYV QANTFKSSLNKKWNSTVNEVTELFQKQEELVQLQKVKKVKLKVKTIKQEEEEEEQVDNNE RPFESSNIIKVFWFNIQISEWKPPIREGCTVTYLPSLNKAYMYGGIGNDLFKNMIQLNTL TWTWKDIGVGLGEAPLEGRFGHTATFYKQQIIIYGGERKYNNAMKMRECYGDVRMFNPLD KMWTLVKTSGDMIEGRRNHIAQGIGKYFVIYGGINSYGKILNDVCALNMETNKWAVLQVE NQFQDGVSDAAATALFSGEVKMENPYFSYECNKKKGRYPQVNCEGIYIYGGRVQNGEASN DLRVIQFGFKPIRIIKLKTKGQAPIARYSHSMNYYHQFNSLVVYGGRNDSKEGNILNDLF VLQLQQLNWIMVQQIGSLKHGKCSHCSISIDSKILIFGGYTQNVYANADIQLIELDQNRV TKMAKENKINNQLTITSTELLTNANLRLFKTEYFHETQERDPSDTKRTFDNTARLSTLHL KTYMPLPIGNNSQLVQDYKLLKEKTRNSKNNNLFLSQ >CAK85980 pep:novel supercontig:GCA_000165425.1:CT868552:346270:348545:1 gene:GSPATT00019684001 transcript:CAK85980 MSLKYSDLYEELETIGSGSYGSAYLVKNKKTGQLSVAKKVHLGKLSEKEKISALREAELL KSLDHPNIVQYMGSFADSSQLIILMEYCEEGDLQYHIKKRKQGKQIQYFPEKMILNWFIQ QLFALQFIHSKKILHRDIKTSNIFLTSNGTVKLGDFGVSKVLESTFDQASTVAGTPYYMS PEVCENKPYTFKSDVWALGCVLHELCTFKHAFDAKNILSLVTKILNGQTETLPSHYSKDL QQLIHRLLTKQVQSRPLVAEIINMPFIQSVMQDFIRSGGKQNFCSVVGVKKIKQHEIQAH NQHQIQQNQINQSKQDQESYEHSIKDEINKDESINQTVLSQSLNKKQIDNASRYTIGDTI MSQASQFLEPEPKQISPKSILKLKKEQETQQKIEMLSKAAQNISQQNQKQAELRENLKSL WNSIIVLSIETVRNIANNNSIIILLKAVTNRNTFIEKLSLIIITIDFQTISLQSSSKFKP IDEEVMKKSLLNLKQKQAQKKEQSSKNQTMDYQYDEYPEDFEYYDDFEDYDSDNEFQNET VINEEILGDEMDGTRLTQRQSDQDLQNVVEVYKNELAKAKSGNDTLAEIQEEPESSYSSS FYKTDEKFKPKISMIENLKKSILQQIPQDLFNFAYNRILKAIENKQSSQEVLLIKIIRQM YKELKQILGKKYSGAGFQIEQLIYQEQLYKHFQN >CAK85981 pep:novel supercontig:GCA_000165425.1:CT868552:348764:349390:1 gene:GSPATT00019685001 transcript:CAK85981 MKKKSGRHQSKKQSYQNKGQEVEEILSQEEDTQDFSELEENQQYVSIVEWKKLQEDSSKK MTGNNLIVSQRSQNSKDFLNLDKVPLKSCLKKTKKDIYVQYEELFGKYSEDQLQTEISSE KLQLNQQQIKQCIIVTEFNNIMNDDDDEISITLDELDDLIYEEKGQKKNEKFDISQFDNI KKRIELNQNIQFNHCEIHNN >CAK85982 pep:novel supercontig:GCA_000165425.1:CT868552:349447:350096:1 gene:GSPATT00019686001 transcript:CAK85982 MNQVHQVLQKPQIDKKIFDTSSYALPKSDSIMTRLQENFSKFGATYSQFTIAIVVLGGLT NISFLISVGLSIALYGFYIILSWYAALKNDDLLDYIPNFGFLSTDKKKVLLFLLNVLVLY IFCGDSIFTYIGVGFLISFLHSFLFVSPSTGALIDGQTQQQTGQDLSQYVNFSNNNNIEL QCK >CAK85983 pep:novel supercontig:GCA_000165425.1:CT868552:350414:351298:1 gene:GSPATT00019687001 transcript:CAK85983 MGKGSSGIKKQKKSKMGGEDQNYGKGRPKKKKENNEATQEVDKRQWFNVYRALQMLTLIC EKGSLALRYKTGQKSNTMEESTKTKKSEETRKLKQKLKDLKKHCNYEERKLYLLIDNNTE NVQIELPNADNDGIIEDMDKIYKYLDKEFQVSFLLILQSYLEQHEQDSLVLAREIVQKMM EQKEQHKMLLNLALIKTNIEEDLDYVLQLANTTSGLQLQQHEVNEKWVSEENKIVEWLVA FVEKIIAIFKTDRQ >CAK85984 pep:novel supercontig:GCA_000165425.1:CT868552:351298:353532:1 gene:GSPATT00019688001 transcript:CAK85984 MTTNIQVICRFRPSIPGQLESQIYFADDKSVLIENQQFNFDHIFHPGKQLEVFKVAAEPV IKGVLEGFNGTVVAYGQTGSGKTHTMEGSIGEEQGIIKRMVNTVFDFIEASPDYIEYRIK ISVAELYMEKVRDLQNIKKSDLKIREDKNHSTYIDGVTETSIADQSEIYEILKMCNSNRM IASTNMNEQSSRSHMIFLMSVQSIDQRDQSAKVGKLFLVDLAGSEKVSKTGAEGKILDEA KGINKSLSALGQVINALTDGSQHVPYRDSKLTRILQCSFGGNSRTTLIITCSPAQFNLQE TLSTLRFGVRAKAIKNKPKINKEHTIEELKIIVQEKEKEILLLQEQLSQYKKGMFISDED KEMIKEIQEDILLEQPNNSEQLFNMQQIITKYEQEIEIYKQQEFTFEQRELEFLNKIRLK EDEMQEKMQDFETQFAKQESEFKIKQTHLYRLLSQKLGWMGDDSYIDDEQILVLIENYAK EKVTSKLKQITYLYKKHHKDILNEQIMTYLTEDKKEFNIHNDQLAELHRQVAQLKKQNLE LQTDSSTQSQLLETLESTKQLLDAEKARCQKLEYTLNKNNKIYNEKCKELNNNIEKMLSG VHQVINEKQKYYRECQKLKKICDEKNLKMQKLLEEIHRLSVENQKLFVKYDQLKELQKLE KSAYLDTSEVNSSFRQNKKIHKCLKGGTKNQITEQVINKENISDEES >CAK85985 pep:novel supercontig:GCA_000165425.1:CT868552:353669:354627:-1 gene:GSPATT00019689001 transcript:CAK85985 MGNQQKQKSILELWNEHCLNKSKQLKNQSLKEYKPEIIRKNAIEVLDQAVHQDHLQSYKE DLKKFLLNMDPQKYAQQLAISVYLNHTQTAQFLIPQVNNLKEELIYKQIADHHLIKDLMN IWSEIFSNKYSIFQNQEDKEFLIKLIHSKDFVYSSLCNDIKYFIEYLEQMLRLIYEVQNK EKFKQLLIKMIFQNQNIKKIIVDTFEIYSLDKTQIFKRKLFNYKHISLAELRVPEQYYSD YPNTINQLQEILIIENPCDKFDLFSKLEQSMIKDIKKEGIQNILLELDKYYYGLKQLNRN IDFLHFQDLI >CAK85986 pep:novel supercontig:GCA_000165425.1:CT868552:354647:354990:-1 gene:GSPATT00019690001 transcript:CAK85986 MQSPYQQLFLLQNRVPVDSQPQQAIQKIKKQLSIPKPPTQVKNKIPQKLDQPGSQKAVVN TQTPIQTYHNMIDLIRQNQDLKNELIEIEKDIQNYKSLIDIRSKKK >CAK85987 pep:novel supercontig:GCA_000165425.1:CT868552:355093:355668:-1 gene:GSPATT00019691001 transcript:CAK85987 MNLIKKKLQTLPKDYRAKIMLRLYAGVAKPSPKMGQSLGPLGINMMQFCKEFNAASQAFR PDVPLRVQVIAFPDRTFKFLIKPPETTWFLRKATGTDKFTNFPGYIWYDTISLQQVYEIA KVKQEMDPHLKHVSLPAICRMIIGQLASLGCNLSTQYFKPETVVRPEIKS >CAK85988 pep:novel supercontig:GCA_000165425.1:CT868552:355682:357173:1 gene:GSPATT00019692001 transcript:CAK85988 MFGSQFDYLKLKPRTVEEIHHEDGYMKEGIERTATRRIFQSVEYDHFEKKQIQQFKDIIR GANISVSSDDVLLRFLYAGYFNMTNCLELYKRHIHWLNTSRLSNIPEKVLLCLREGLVYI GGKDYQYRPIIVINLHMMDLYLFDSDAFIQALSILFVICEDYMFYPGKVENIVVIVETDQ MSLYNFPQKTFQVIIWMMSQNFPQILDRLYLFNPSKELLMNWDNYHQMLDSRTFKKIEIW ESKSFAPLAHGFHPDMLERRFGGGMPNIQQYWLPTQIIQQPSSISFKVQKPMYNQGTIQY SLYNDPKELLERLQQRDAEDRSQEATLKSEPKSSVASGKLQVIDFHQTMGNAFKMQNYGQ EQQDLSQTNFSGEFYQQTNQIQQSPQQQFMNPGFQGSALPEQQFKQHSKANSVASSQRIK DQYVAQQLMGSSQKPMSGIQQQTIRPNTMPVPQLGAPAQSERLYESMDEKLNDSQRPSSN NVQACLIM >CAK85989 pep:novel supercontig:GCA_000165425.1:CT868552:357298:357571:-1 gene:GSPATT00019693001 transcript:CAK85989 MKFVNIIRNLHYNAAWRCTFLRIHPLSQIPGMQGLQEFSDSEDWSEQENPSDLENVEEFD SSGDEKDQNVGDRK >CAK85990 pep:novel supercontig:GCA_000165425.1:CT868552:358923:359312:-1 gene:GSPATT00019694001 transcript:CAK85990 MGNGQCCKRVERIETLEVDTTPPQQSKLGSLFDAPQQMISLSDSDEDYQPQKQPQFGVFK ENQKSSQLSTGQQFSFQTLPSEQQFSNFVTFQALPSQQGIKNNVFSKFQKEMNDIAKNVP PHQKNYKQK >CAK85991 pep:novel supercontig:GCA_000165425.1:CT868552:359663:360734:-1 gene:GSPATT00019695001 transcript:CAK85991 MSFINDYCKQIIRPPRRTYSTQLLGPKMRFIKNVPIIREDFEFTSRQLKLQASYFVQESV HHRCLIYLHCNASCRLEGLQYVDRLLAAGVNLCIFDFAGCGLSDGKYITMGTYESVDVKE LMNYIECRFGKVDEFILWGRSMGAVTALMLSQDPRITTYIADSAFTQLRTVIEELGQQKF GCFSFMINGFMPFLRSKIINEAQFDIDQVSPLNCIGIQSNKKRFYFLAGKTDQLVHPRHS QILYENCKSYKRIELCDGNHNTTRQIETLDKISNFINLLQLDSPLNVDYAKKCSKYFQEA QQSLNSYRMQQQEQEDNLKEYVKQLERKSVLKSYQQQSRDLSDLEKYLE >CAK85992 pep:novel supercontig:GCA_000165425.1:CT868552:360743:362628:1 gene:GSPATT00019696001 transcript:CAK85992 MHQIVVKALIREFLIKSGLTETLNTFDQEYKDKTTITRMDVILQLGLSIIVKRNNESLKP LKSLIEILVSHLLTKKAYLEAQTIASKAERIEDIPQDVPYDPSVGASRMNKMKKRPQSEY KPKNLDLSKEEEVVIEQKEDKKDETFSSNKGAFEAPRKPSTKQVRLFDGQDDKVDDQSKQ ENKEIPSLLISQPPRLINMKKQSEKKEADQVMLRDLQDNNQEDPSLNIKVEYQKCNIKQH NVQLPFSNLNYEKTIGFNQGKRKPQQKSITQPIKKLLFQGTMTGLPKSWSQPFIFKDEPT FFGLHQLEGGPCGVLASVQAYYLKHFLFSQSSYSKSSIKQNCLLAALSDIFYKANKERLI IAIPARDSSMSQAIGTESCDQLEYQIKSLSYLYEVLLEHASLFFGQNGVTLFFYSLILTK GVEQIMQEMDSAVNPLIGNHGHCTQEAVNLMLTGQAISNCFDGCKQIDDMKIKGIEERSE IGFLTIFEHFQYLEVGKNLKEPLLPIWVICKEYHYSVIFGCNNDVIQDKPYLKNNLKEFD LVFYDGLNNPDDLIIITIRRLGAQLGKQKKKIQIEGVQFDSSDKVTPLIECLLKTKYGEL ELDWNDSMPIL >CAK85993 pep:novel supercontig:GCA_000165425.1:CT868552:362986:364195:-1 gene:GSPATT00019697001 transcript:CAK85993 MQTLQPEYNLVEQQRIGLQLLQRSQTILQQAVANFFDELKHTFISLTSNHSNLTQKKVLQ EELEKIMFELNEYISTNDFQISEFFERDFRASIKKMRENVTQFIEKTKIEYKKPNLKIND KFTQDIPQLLSNYISITFEKNHMDKTEIRAPKPRQTLHFTMERNNSQRLERKMTLINPQN LAQSQILNHTYLQAITEQNLEDQLIQQFDQNEEIQKIVILEQSRVRYLHSLIDAQTLFIH DIQLKENQLISLPESAKIPENAQTLITSDLILYICGGIHNSVLSQKFYQFDNVHGLLQLP NLLEPVNHHSLIYVKGFIYVIGGKTLDGVTAKCWRFIVNTQLWEEFAALNEPRSHHSSCV FENEDQDKEEFCWIQQKSIVIWKGDGKAFQLKI >CAK85994 pep:novel supercontig:GCA_000165425.1:CT868552:364474:365780:-1 gene:GSPATT00019698001 transcript:CAK85994 MNANCSPCSNCNQLIEETKLVLHETYCIRFNIKCDRCGQYYDKNDPESHEEDYHKKEKCQ YCYVDFDDLSKHKCQKTPQLCLYCELSYPLDQIYQHENQCGSRTEKCQMCQNYVMKRDLN AHNQKCSQETQLREKQIQRPSSIQEKRQIPNEEINKVYQQQKSQQKQSNIDALSQQVKTK KQVPQQQQQQQVGKIQSKEISFGSIQKQTSLQHQVSQDNKQKEQIEFDKYFKYQPIRPPS SNSLLKQRPSLNNNKPSISQNRIRQQSNQKQVNPKGEVNNLLASKSKNSNLPPIGRQIQN PKRNPEAKNSNQDVRTKSLQSRQQVKRSVQNCAEKKEQIEIEGLRFSEEELMQQKMILDQ LKQQKRDSNFKEQPLVNRQNQNSKAKASIDHSEFGDQGDFDQFMSPEERAMQQFILENYQ LNSKKQ >CAK85995 pep:novel supercontig:GCA_000165425.1:CT868552:366341:366836:1 gene:GSPATT00019699001 transcript:CAK85995 MFINKFIYSYFIAVSIIYGLTAFGFLFKVFNPAQKALSKVLRQQPFYGYLKFTYGIISFG ICLLWVYNFDQVKKQLEHIDSHLDKTTLEYIEDKEDLYRDERNLYLYAGAFFVTLANLRI FSLIHDYYESFDRTEIAQKKIKEQ >CAK85996 pep:novel supercontig:GCA_000165425.1:CT868552:367606:368694:-1 gene:GSPATT00019700001 transcript:CAK85996 MLRQVCGSAITVDPIKKSKSSCSTPNKEFQIDQIIHKYIGMDRTADTFTSSITTMFHNTL PEEKQLLVSPYKKLTEKDCEKYFTTFQRLSDRKSDNLESPHQDEEFHHLKTSSEYGSSHN SLHELAQNLEQVFDSPEGTNNAHTDKSPEFYNPETKLKSLPKELPIIEENEEILNTHQRL NGLITQIDQKIGLHQVNQQVIDKIKEKYLPRKEELLEFQDFPEFNPSDWPKDEDLDNLKN EQPKQQSPKQIVVKEFRVQAVRNITFNSNEFNDFIQEQFQTEEQEKKDYSTEQQIKNDSH ADQQITKDNQNVFQQRKSQILISKNSKFKLPELNDHQIRLASKVIIIVLILILLSQLFTL IL >CAK85997 pep:novel supercontig:GCA_000165425.1:CT868552:368711:370279:1 gene:GSPATT00019701001 transcript:CAK85997 MANRLKTQIQFSDDQSNSNTFDTIETKRFEDHYECLQTIGQGAHAVVKTARKKGTDEIYA VKIVRSGDQEIQNNVRRTFNNTRCLKHSNIAQDIELYINEKSETSFLVMEYCNFPSLETV IKKRVLTSEELKVIIKQLLLAVQHSHSKGICHRDLKPDNILVNLEENSNPPHVKLVDFGV SRRFVSKGQEIDMLTKTGNIFYCAPEIFHSSSYSKEVDIWAIGVIIYQCTFQKLPLHSNE LSDFINLLGSPDKWTFKESLTQLELPLSNLIMSMLNPKSEGRITAEEAIRHPFFEIGTIR DVMALLSKDNIERDNCERCKSLQNSLKMNEQWGNVIKKLQNSVNENENININDLVQDFGN IHIIQRHTVKCGQIQLMNSVGSSNAFMSKLGSRQEILDKSCGLQFCGSSNKTIHQDPFGD ICNIQSSLEMKEELYNSNNKLIFQLGNILDQNQEITPSEWQLQTQKQNIQQEQQGSKKRG SQVNRMLNELGIKEVDEITEDQS >CAK85998 pep:novel supercontig:GCA_000165425.1:CT868552:370616:371929:1 gene:GSPATT00019702001 transcript:CAK85998 MADQSLKGTNWGRVNIDDKNLSLSHLTQNVIKFPLKRITNSNIQKNDIVLQLSTDECAEN EDMLCEVRFYIPPKEQKAEKKKQESNEEEQDEISYLQQVQNHIVKKAKIGGSSDSILTIH EVPLIVPRGKYTMDFFKKDIRFHGNTYQFTTDYKSITRFFLLPMPDEVNLSLVVGLENPI KQGQTAYNYIVMQFRKDLEAQIEMKYTREQLDNIGWKGIRLEYSGSMFDIVCDILSEITG IKVVSPKNFKCKNGLFCLRCSVVPHSGFLFPLEKSLLYIQKPVIYIKHDDIKEIIFQRIT QTTQNKFFDIKIVTKNASHLFSTVDREELDNLSQYFNSKKLQVKKIQEENEGIKNGKDDS EDGSQNGNDHKLTLSQMDSDEDDEDFQAQEDSYNSVQFQQKVQKTRAVKK >CAK85999 pep:novel supercontig:GCA_000165425.1:CT868552:372091:373776:-1 gene:GSPATT00019703001 transcript:CAK85999 MFTSESVQEHILYDKIYQYLWVKSETSSPPSVLIPDTVILIRSMPIYWYFTDKETGEVKK KMRKNVTKENIKETWLNQVGRSGVVGYLLHFIENLDLNYPPEKLKISGQIQIVYFDEKGF ENFMNSNLELPFGILQRYVEAADDKNSQIQAFWSKSVTLFTKRVTKKSYLNKSMNIYERL CTFEGPEYLSEATQVKDFQSQRISEQIEKMINHLDAISFGKLNISQGTFYFKVDKQAKCW FLFCGSLKFDDDKHFKNYPKDLYTQSQIQIPKSVDGIMSVYSQRPLQLNKESKCIKCGNI DKENNFIDIPYHYILDQNEESLPADQWPNEIKKEAKTVKVAGANAGTQALLNTITQVPLV FQKIHQKLNYTNFEYFKNSDGFLHKKLQVCLDCYIALVAHQEKVQKNCVINKISQNIRIR KSTGGFTNAKQLKAYLRQQQKEQQKKISQVKTTESNTSNGIQKIRPIQQYINMRLQSLSP QQKYSIEVPSTADYLSFKTKSSNYESNLKIRNFYNQSLQTKLNS >CAK86000 pep:novel supercontig:GCA_000165425.1:CT868552:373809:374023:1 gene:GSPATT00019704001 transcript:CAK86000 MDSYNETKYKELKKKYAILMKEQQYQMIQQEYEKVNSDLAESIQEKRILQNRINEILGKQ SEDN >CAK86001 pep:novel supercontig:GCA_000165425.1:CT868552:374109:374411:1 gene:GSPATT00019705001 transcript:CAK86001 MFLQYHRKKFQPSLVAFYEFKIQEVQSYPSQRVVITEQNIKNYADLRIGTYSPIVSKSRI KTVPLVKKEKVIPFEHDYNTVYRSVSCQRNLFNIVSGKHK >CAK86002 pep:novel supercontig:GCA_000165425.1:CT868552:374934:375624:1 gene:GSPATT00019706001 transcript:CAK86002 MEPQQSIVQEFPSDDKQQFLDIQLILAFQLVHYCYFGFRTYKKESSFFNQIGPTMILKTC LILSFYIVFKKIKYSSNEFILGHLIIGIIFQIAALFYEKQFAQVVYQFTILVQYSYFVQQ MTEESIGFFCQATQYAQSLAFIYHLFQMFKNKNGTTLSPFQVKIHILESMLQITLSLTSK HNILSYGPGVVYLLSSLFCLRVQKELLQIQDKKTVGKQKSQ >CAK86003 pep:novel supercontig:GCA_000165425.1:CT868552:375635:377309:-1 gene:GSPATT00019707001 transcript:CAK86003 MSEEQAQEQNQTIQEVQQEQSQQPQIEVHNQQVKEEVQQKEEIQEKQEKQEQVVQQIKQE IEVKDDNQQQQEAIQQAETITQKEPEQEIAQDEKKVEGDQAKEQEDRQQLAEKNPETMSS NLENLFLKADYSFPIEIKVSDPVQKSGGISNYVVYTIKGKDCFGEFEAQRRFNEFYTIRE LLLAKWPGQYIPPIPEKSVSTGSDIIIERTRLLNIFCMKMMQIKHLYYSEEFYDIFLRST NPDINKQISQIPKQNVFAYTERYKQAFQITDIKEISPEMIKKMSGVQIFLKQSSTSLAKL LEQAKNLSSSRKQLKETFFTIFGLNFSEYEKQILQEWVPQNKMVFSNPANADILELAKKI RDPNNNSLDKIQDLISTEQRDIDAFIQAFQTRDSLMQSKQKAEQKLSEEQSDLYKIMKGN STMKGSFSKISLEEQKQKLELQLNETQKEVDQYKLLFQLVTDVLTSYTIDKFKKDKHQTY KSILADLASCEQQQLEIQQEFWSKVQQVIAQSQEP >CAK86004 pep:novel supercontig:GCA_000165425.1:CT868552:377992:378656:-1 gene:GSPATT00019708001 transcript:CAK86004 MAFVSITNIVVDDKPQPFTSPITMDIYFDVIADIEDEIEWTLLYIGSPKDEAHDQILDQF SMGPLTKGTKQFTLESNPPDWKKIPQDELLGITAFILTCSYRQREFFRVGYYVYNTYTSP ENIENDPQEVIIEDIARQIFNNKPRITRFEIDWNNPANSEVQQQPIQTKAYMFQEQQEKQ SADATEVYDAPHQIQNVFDS >CAK86005 pep:novel supercontig:GCA_000165425.1:CT868552:378918:379454:-1 gene:GSPATT00019709001 transcript:CAK86005 MQISEEQLIQEALKAKERAYCPYSNFRVGCSLLTKTNKIYTGCNVENASYGLCVCAERVA ICKAVSEGDRQISTIVVSCDTDEPTFPCGMCRQTIIEFCYAGNDIKIIAIGKDQTKPKYS KGSEVIPFAFVPKDLNVDPQLENK >CAK86006 pep:novel supercontig:GCA_000165425.1:CT868552:379482:381091:-1 gene:GSPATT00019710001 transcript:CAK86006 MDNGPWYSKQEGSPLKLSRNASITSPRRMDNSELKLVREYNSQVSIPEHQILSFDHLLDE INFGNFQYWIYGIMALMSISEGAQITIFTLMVPILKNEWHISDSLNSLQASFVFVGYLVG SMLSGQLADRVGRKKPFLISSFFTCLLSLGTIACQEIYSLLIVRGLLGILVGLFAPCGVT MISEITPGHLRGRYMGLITLTFAIGQLFGLFVAEFTLISLDEGNWRLLTFWCCLPGFLAW FISLFRLRESPRFALLSGQKDLAYNIIEEMIQSNKSSIQFNDDLKIKFQKLKKLTSLFEN NRFFLTILIWFNWFILSFVYYGIVLLLPDILSHIEQTHTGRDKIIQLVVSCISDILGAVA AAFFIELKGFGRKNSLIIFYTIQALTALMGFYDIEHRFIYWATASKFFLSMTFIFSFQYT AEVYPTKIRTTGIGMANGIGRLGGVIMPWICMYMNSKQLRSPFVLFSVLSVITSFSNCFL PFETLGKELE >CAK86007 pep:novel supercontig:GCA_000165425.1:CT868552:381124:381641:-1 gene:GSPATT00019711001 transcript:CAK86007 MQQYFIDKDKSIWILLAQHVKDQQAFNKEIGLNENCIYALVNPEYLVSLQACLIAIYRML KKKEYNVSSQFQRDLHYLLTPECQRNDIRFGITNILTQKMLCIFLTPVSEEQLNSFELDE LTNLYQYCNIPQMIKYYKISDEELKVDKDVRGAVYNSIALKKFK >CAK86008 pep:novel supercontig:GCA_000165425.1:CT868552:381825:384418:-1 gene:GSPATT00019712001 transcript:CAK86008 MKAACVFYNKKKYLGPSLFLVLQVGLHVWAYNDFLMNCHIFGPLMFVTSGLYFIVRFKDP GTIPIVKVELPLENNQIEVKIENNEKREVLNAQANDESNGQISLDQFKDGPDNDNTIQKY YPEQQQQQSTNNQHIISGRGMLSSPSSEQEKINIPNKQTCISTSNAAISPILTTERRFCM QCLNEQPMRAKHCQYCKKCVPLFDHHCPWIGICIGEKNKLLFLIYLLVQIAQLIVGIRIS VQNIGLLVVMGVIVLLLISLLGFHAYYVAKNITTWEYLSWKRISYINQNSRYPFDKGYFS KSHAKKRFTIGKYNLNEYFYLKQIQIYLYQDFYHIFSNHLLKMQSLFVKCQKCQQRPATI KCNQCRYGQTYRLCYSCDSQIHNRTGPVDQQHKTEIIPYQEMYQKNQSNVPVPQKNDQRN SFKKNDYKPQPQVPTKDFLNNEYKKPDYSKTIDINRVSNKHDYLDKKIDSHEKRADQQYL SNDKRPYSSNQKITSDNDRNSQQLINQLKEEQQQTEKLKAELSQANQREREAQRRLQKLE QEFEQKIREDKQKVQQLTEENRNLNNKLNQTNKHIQEEVNKVRNQYEEQINELEQILNEK NQQLESIAQEYNLEELQQTLNELQQESQMKDQIIEQLQQNLHDNQEEIHQMREEFMNNSK KNLQSSNKKSVKSNDNQKDEYIQELAQQLEAKDEEIHKLEDLIENFKQLYQHMSDEKQQL QEEVEKLANENNQFRDIFSQNLHLFGIDPEQLNEEGEEGDNDYPEEIAEENDDQND >CAK86009 pep:novel supercontig:GCA_000165425.1:CT868552:384534:385561:1 gene:GSPATT00019713001 transcript:CAK86009 MEFKLKISNIMITNFQEFVNNSQPILDALRDLNIFDKNNEFQSQQSISIRLKSFFENAQT KKQFLKSLFKIFERESQKEGNFQIKLKLLLLLHLILSSQVARAELSKILISKQINIQINN TTLGKVCQQYYFYLYKLASQTTFINEDVINGELLIYFTLSNQCILGIGIQSQIEDVDSFQ TNHLLANTVKFIYYDLQDIAIFIMKDVKSLIENQYDSKQKKLQILELYKECQVLQQKMLS FYRFNRNFSHFSQIMPPFSLVVEQRYLKDLQNNTTKMHSQTNMNKLNQERVKYKPQTTKQ RQMIKFEFAEQKQQPESPHFSFSN >CAK86010 pep:novel supercontig:GCA_000165425.1:CT868552:385562:386621:-1 gene:GSPATT00019714001 transcript:CAK86010 MKFNISYPLTGAQKTVEIDDDKKCSIFFDKRMGQVVEADNLGEEYKGYVLKITGGNDKQG FPMRQGVLFKGRVRILMRKGHKGYRPRKDGEMKRKSIRGCIVGQDIRSQRRVPMKLLDSQ IKNVPRRLGPKRLTKLRRLFGFKKADGVAIVQKNLIRRTWTTKDGKKRQKAPKIQRLVTE SRLRRKTIQKKTEQARRTKAKQALEAYKKLAHDVHEAHKKHRKASSEIKEKVKEQPKAKD TKQVKPTQATKATTQAKGAAPAKVAAPVKATAPVKTTAPAKTVPQPTQKAPTKAKK >CAK86011 pep:novel supercontig:GCA_000165425.1:CT868552:387549:387847:1 gene:GSPATT00019715001 transcript:CAK86011 MGCLHSRNQQLIEEQKEQAAMNEQDKNNNLDLVSCPCSESENSQNKSTNRVSIEECRNSF NRSIDLMQDINKKLEAFSGNDSNLSDSELQ >CAK86012 pep:novel supercontig:GCA_000165425.1:CT868552:387882:390716:-1 gene:GSPATT00019716001 transcript:CAK86012 MSYFSLSSRLTFLLIQLKSQALITSDQYSQLCDHAAKDDPQLKQLIVSYENGLPQSEFIA QMSKMLDTQNGNDNIIKSYFNSIVNIGKSLKSKIKQFYGDIKQDINEIYQECFIAQNHQQ QIKSLIRLILKHFNINEFTLLQFMQNEQCQILTNNFESITIKEVQNIQQFIQAQLHNQIL ETSKELVTLLKTDQLPQFYIIANNGIIFFTFNENINSTFKSYFMIASLYNQIISIIKQGS QITALKKLQTIRMEILQFVSRTIQILNYKLLFSILIQIMKQFSLQSLVSQSDLQELLQQN VKNYLMLNFQSEWDVAYIDLEGNLSTQLKEKVQSTFRKSIKRYSKKLKQKKYLLESFQQV TQHNEMIMCLFFNSYLFVYYMTVQWNHDQFVSRFKLPKEINFGDPLYKIFEKNPIVIAKV KELIESKNDHLEFNDGYYKFSLKVERGTKQDVKQISVYLFNMQLKRPLYELLQIFRNKVK ILLTLKRATLAFKSKQLVMENQFFRESALVMYLPDQDMRRINAIYNQSHEQALEKYRAYT MKKKSKKLEQFLSKYEEEDKNTPDDRKIAIDPNLQERLLDYEFNLLNKKLYKNKFMIVYN IFDMLEYTKQYTLKNKELVNFLTALKYKYNKSANPFHNFTHGVNVMHGCFLFAHHSKFGS CFNDQQRFAMTLAGLCHDVGHPGTNNLFQVNAQTKLALLYNDKSVLENHHIAVTYKLLAL KQCDFLGSIPRADKIMIRKYIVNNVLATDNQFHFKLLNDIEIKFVSLEVIQQLESDDNKL LLSGFLTHAADFFGAAKSYQIGREWSERLRKEFQAQSQLEDIVGIAQTPYLRNLDDEVQY AKNEIGFLKVIVKPIYESLNQFSDGAMSLQLANINLSIKKYSEIVDSHQQQ >CAK86013 pep:novel supercontig:GCA_000165425.1:CT868552:390800:391752:-1 gene:GSPATT00019717001 transcript:CAK86013 MNKEKDNQELLIGKDWEGNQIHIHNEDLHKAEYVDVQAMLNDTLDKLDKIEKIEIFMTFL NFTTKALSLYLVLIYFDTVFKYDSLLLFSYLLYALLELVAFGAAFAVVLSARIISALLGV NVFYLDSFIYLPILYSLFKFCFVVTFLVQSLTVVIFSKFSHWIFPLVLIASLFVTLILQV SRLILMVGGKVSSVSKCFDTNWIFKILAPENWQKSYQFTRNMLYQFLNIFEELNPEYKEF IQKGYKYQKQVIELKEDIEWAKDFIKRSIIENNDVPGNPSSNKQSLVIQS >CAK86014 pep:novel supercontig:GCA_000165425.1:CT868552:392818:393142:-1 gene:GSPATT00019718001 transcript:CAK86014 MKLRSQNYQGNIHKQGKVPKSLIKKEENLPVGPILLGVFLFVVVGSALFQILNVASSGQD V >CAK86015 pep:novel supercontig:GCA_000165425.1:CT868552:393451:393835:-1 gene:GSPATT00019719001 transcript:CAK86015 MRLLLVLLIVTIVSASMAPPITSNDKKWVKSSIENNDCHKDCKRADGHVCAGLHKSQCCQ RHWCLQDEKLHDVWSCRTGFEIHVDSCNSFPKRDAGFLQETD >CAK86016 pep:novel supercontig:GCA_000165425.1:CT868552:395923:396960:1 gene:GSPATT00019720001 transcript:CAK86016 MGACSGICGQSNTDHTIVNGNHVNQSDFEQQIDQGLKGSGHSVRQSQQSSDVNVPQVHYD NDHYEQLKRSNQRFEEIKTQLQHDSFLEKCEPVTLETGAIYTGQWKDEQRHGWGRQVWPD QSVYEGEWVNDKACGRGKLIHADGDIYDGEWANDKANGFGRYYHSNGATYQGQWKDDKQE GFGEETWPDGSKYKGQYIDGKKHGKGKLEFIDGSFYDGEFLANDIHGKGLYVWADKRQYN GDWQRNKMHGYGLTKWPDGRSYDGEYKEDKKHGHGTFIWSDGRKYIGQWVDGKQQGQGVF IKSDGTSKRGEWADGKRIRWTDVHKESY >CAK86017 pep:novel supercontig:GCA_000165425.1:CT868552:397589:399121:1 gene:GSPATT00019721001 transcript:CAK86017 MLSNTSRGQQQNQFSKTQTQTLIPLSELNHIRGKLKGGNLAQEERQLDKMRMKANSEERM KRWPTSIAAYKQKRDQHRFEKFTAAEEERRKIDIEEEIFQKGEKKITLEKANKQIYEEND RVKAFHGKMLFSDVLLERDEQIVMEKYKKELLNQQEEIYKQIIEEQLEEYDQKETLKQKL QQQRKKEQKDMLHKQHEEMKEKYLTRLKEERIEGELIKQKVKDALEDEQKIQRLKQEKIL ENQRLVQQANDQLKEFKVQQKIKEKEEDEKIRQHADKKQRIAEMRKVREDLKFHEKQEQR QKMIDRQIQQLEIVKKAQEEHLNKQIIEAQVKAEEVEKIKKQKKEQMCRAIDYSRKIKDE VKDREAKSVDYHKKEFQTYWEKRGRELEEIERAEITAQRERRVQNSRFQQDQINEKIGLR EKEYLEQLDQQEEKQRKIEKEDEIFMMWASQKIQEQSREGKNILPLIKELKNLSKVQ >CAK86018 pep:novel supercontig:GCA_000165425.1:CT868552:399196:400291:-1 gene:GSPATT00019722001 transcript:CAK86018 MNLDDHNSNEQKPDKKLHYYDQDWPQQQQTISPRFTPQQFYLQPPQNISAFNLLPEQEIQ DKNKPKYPFRKLSAGDGLDQQEEDHNRHFYNYPPPYYQQMPQQMKYPQNNQYMQKYPQPY PPPWYRPPYPPFYYPPYDMSQYQNTLSKELQSKVSQLVQYQKVSPFQCNCKKSKCLKLYC ECFTNNWVCSQSCNCTECKNRIDNPNERSKAIEEALLRNPEAFAPILTNNGQQPQVIQEQ KSQKDIQKETKKGCNCKKSECKKKYCECYSINQKCTELCKCENCLNKEEQQQQIQPEKVE KHVQIEIIQQQQQEEEEEIQKKPDSKKSKKIKKETIQNNKNNKKKKKN >CAK86019 pep:novel supercontig:GCA_000165425.1:CT868552:400315:401526:1 gene:GSPATT00019723001 transcript:CAK86019 MAAINKEQVDMDNPAFAQQDPHLKINDEEEMSLPPQFRNRYPRRPPLFDGLLNNVRAVTK KVEHIKGFKFEVAGGLSNNFHLAHSWMIPPSSKGKAPNPNPMKQPPVPSYTLAAQYLGGE LRTPFDQPSYIMTGRWDSTGKLEAAIIKKLNETFNFRFSAFYLDSSPNNAQVHLDCDISG EDYVHSIKLGTGLYSFNMMQTIGKRLVLGYEMMTLTERNMSLMSYAFKFGINPKQNLYAQ YVGAADQLILAYNHRILDKAYFMSELEYSNQTGESRTILGYRQKFATSEVIITINSKMKF SSNLTLQGFAYQLKLCAIADYNKDSYKFGYGIAMGQV >CAK86020 pep:novel supercontig:GCA_000165425.1:CT868552:401745:403225:1 gene:GSPATT00019724001 transcript:CAK86020 MIRLISSNHNFVVLVLLSLLISSCNTSNHLRGHIEQHQNKHLSSRRASQNPYVDFIVGFF LIFGAIALLWYNERRLLLYNLTNLDRQSQNIDQMKQRNNALHESQYKQLINSHQWQRFIY IILIGETTTNDLVVDAAFGLSLKDCVKLDRVVEMYQWVRKTKEENNTTVYYYVQEWNTTF HSDCGEGHFNNKNYWIVEQETQINMNVRLGAYLMSKSLAEQTNAKESIPMNLHNAQVVAN FYGYEKGFTNYEANEQYIYFQQNKGAITMNDLRVSFNASKTGPTTVITYQQNDTFTPFVF QDKFNQTLARDQNFENLDNVEFNCANCCCFICKYFRSIEKPITEINWIYESILTLDQVFQ KKADENQCTTTLQRLGGYTIMGVGFWFIFSPITFIVSVLPFIGNFLAQITVSFTISIPFS ILIIAFAWLFYHPKYGFALMGLSALIGAGIYFYIKYQS >CAK86021 pep:novel supercontig:GCA_000165425.1:CT868552:403855:405904:-1 gene:GSPATT00019725001 transcript:CAK86021 MQNQSTSFQTNECKNNETIKGTKQFRSKNNQIDNQKEKSQLESRKDSEGTNQGNSKAVLK KKNEEFDANTCIKNLMCLSLSVINEELQFNCQQQNQKEQISEQYKVLQNCDQNDIDSFQL PPTAQPIPAKKQKSHKKAKNRKNKTDSQLKIPKNQTLTQKQYPQQPQTQQQSKEKQHNQL TPQGSHKIKQQLNQQRQKKQLSNTQIENCEQENKKDSADFDAQFSHIGARSTTAGNSQSE SDDCLQQSYDNKQIELSGQKLSSREKTKKKNYNNNGEKVGDKQYPHSTQTTPIKRKQLNL VKSKTYDIDELSNYIQQKSYQNRIRKQICLNRLNYLIYTNYHLNMYPYGSFETGLDLEIS DVDVGIWGSQNLSYSQIVSFLQLLNNTLKQTPFLIKSKLIQSQMPILKLELNPKSSFYDE DQHIQQNWSYFHLDQNDQGIIQSSQFLGKIIQVDITWIYQWSNVYNNPHLGFASTTIVKD WVSRFVWYRDIMLILKHLVKSKNLNDAHTGGISSFCLSIMLAAIYMCKHYTQNDKKQILL DFLKKYGTQFDPLKEGIYIDSYGQQHPFVALEECPPYNPLTIYSPINYQIISQKAHRFLD IQEEFKQLYEHLTKSNKIQDYFDLPSQVNQPLFI >CAK86022 pep:novel supercontig:GCA_000165425.1:CT868552:406900:407720:1 gene:GSPATT00019726001 transcript:CAK86022 MFRLFDSNYQQMNQIFEDSGNVLWLGDFTAALDRTLLDSKGIKTVLTVATGLDVSYREAG ITHKVYHILDSETANIGRLFSDTNTQITEGLKRGSVLVHCAAGVSRSASVVIAYLMKTKG LGFSEAFNFVKKRRSVIQPNYGFIKQLRNYEKEVKIVKKEPLQLKVSENPSDGKGWQMMK NQEKKTADLNIVGQQAVQQRQPSVLVQPKITTSNSTRANSTVNSKKLFEPQKTYQGPQIV TYAKNNKLVLKNQFAMPEIKQKNYK >CAK86023 pep:novel supercontig:GCA_000165425.1:CT868552:408312:412226:1 gene:GSPATT00019727001 transcript:CAK86023 MDRKKLLFENNLKSNCQDLMAGIRETKAKVNEIRSRCDSIKRGSSKQPFEVNNKSYEIST KTSGSFINLKDNDVSYLKKKIEDLQFRIDSQDSKLKAREKEIVYQQSQIKQFVLEINEIQ IIEDNYKEEISVLNKEISQWKEKYFANLKEYQFRQAQQSRQSQQEQGLMRKKYEEIIEQQ QREMESIEIRFENERENLYRALELQNKTQSKNNELNELIIQLEDKESETHKLKEKIQVLE TNKEELKLHLQQQTSKLNEQINKNNYIEQQRNQEIQNLQFQVQVKDKQIQNLLSQIGEQR NKTNLQDQERLQQLENLNNQLVQEISVLEGELAKLKQQAKDFQKVTQLEKENENLQMIIQ DQKVQNHDTKQQLKLLQEEINQLKGQNQNKSNTIQLEQEIKYYARLLSELQEENGKLQNQ LLDFEEINEELLLLRRNNEELQQQQTEDSKLVEDQSLKIIQLEQELNHLRQQNQQESIIK ELNKQIEQLNQINKEREAEILYQQDLLLKQNVNSKEFDKLITEQKAENKEYQIQNNQLTN QELKKSQLEIESYNKKHEENLQKMQTLEKDKQQIQNNFDHHIKEIQKKDAEKLSNFTQLE NENAKLYQQRNKLQERIGELEEAANQQQIELSNLKKDNEELLNQLETQNSHLIDNNEQVQ KVNFLNQEQQNIINEKELFIKQLESQNQELELKVEKLSIEIQEQQEQQQNFENQQEGNDQ ELLLQEIDLLKQKNQELENQLNQQIDDDAEEIDKLEKELYHQKQNYNELKINLEELQTGK EDSQKRAEEKDEEIERLKQIVFEYQEQQDESKKQIEDLYNNQKELAIELSENQKIIQDLE STIVEKEEQIKNLQQQTPQNDFINQETEKLMFQVKQMQEQIEDQNQTILQLENELNNKDS QLKQKLYEIRQMSLQTQLIEIKLTREIEDLKNKAFEAIQQRVKYENELLKFQQESEKQIE LSRIEPNNQQCTYENEDLSHIALGKAPEAEDNQEEYSQSFEEYQEEIEVKDQEKQEELQE PQINIIQIEEAPQIELDKEENEEVFELCNAQKQEENSDQELKEPIAEIIQDDEYQQIELD EKPKDQFGINQKYETQNLLELEEPEIKIVQMIQQPQIELDSQKKNQEEVFELGNAADEED DEEELKQVVCEIVQNPPNIQIELDQGDNNIPHQQNQTNNLGVENPKEKPKVEKDLLNLLD LQEPVTEIVQVEQYVQLELDQENFELKEPDQQLEEEVEIQLDKKPQEFPGQYLEEEEELR NDSQLE >CAK86024 pep:novel supercontig:GCA_000165425.1:CT868552:412282:414700:-1 gene:GSPATT00019728001 transcript:CAK86024 MQKHFGSPIDKKAMMQRNNTSLRNLAEKSKFAKQQLSKKRSQSINLQEQDEPSVHNSQPL ETMRVSQPSFPLVQQSPNECFQKVLGSKEGSRDGSGEEDDRNVANVIKPSKIKRLSRIKD TEPSQSLKFSLIITQQSRLKQTWDAIIFIVLIYVCVFTPYKMTFIDDGEFPFWDDFDNSI DFLFMVDIIFTFLCSYYDEENNLVKDHKLIAINYLKGWFAVDLISCFPFQFIFQDYDKSR NITRLSKLPKIYRVIKMIKIFRMIQLKDLEYIKVININIGSERFLYAFFLLIFSCHITGC IWFFIASLSEDPDWEYNFISGYDQYIVSLYWAVQTILTVGYGDVKVYKWPSYLFAIFWML VSVYIFSFAVGSLASFLDRMDHANSIYVHRVSTLKNIKKEFHISNAIFQKVKNELKSGKK NLLLNYNTLLEDLPPQLRVELSFIMNKQLQEEIKYFQDKAPTFIAAIGPVLTPLKVGAHE YLFMTGDNAEEVYFVKSGKLALVIPDNENFKFLMIKPGSYFGEIDILFYGEKRKYTIMTT KKCEFYVLSKKHFKLIYLNQFRDEGAKMIKEAQKRKQMIKSAYEEAIQFIEVHGEKQFKR NQQRSCSIQLKHMNSVSSSKSQQEMSVSNQKFQLEKIIQEENEDDDQERETEAFMSLMDR QQFKKKMTQLEKQIQQKDHTIQAIQQELDELMTEIQLKNLDALQEKIKVPKTFEEHLQQR RFLKANQKLKEELNKRKSRKVSLRFSFKQTTSLSESENNRNSLSPLILDDEQD >CAK86025 pep:novel supercontig:GCA_000165425.1:CT868552:414734:416154:1 gene:GSPATT00019729001 transcript:CAK86025 MGNQQEKPTQPIVKQQQQQYQQQQQQQSKKSNEVQNQYQIAYTKTFNTYQQENKINVSHI PIENQQLVNQFNQFVQSIFKTDTLSLQQYLDLCDILTKAPDYNVKYLNKMRPVELMFKFM GYSENDSIANEVCLSVLNILFTMIKSGEAAKFLMNRIYDDSLDSNQRVGYVCNKVNSIMP LVGDYIEAFWVKIFSSNQIQSKQPKPIIKQSSKIIDDEIFTVLSTQTHFCTEMTQLYNNQ SSGTSFNRLAWNILGYGGPTLILIYLDKKLNNHPIIFGAYNPNPWSDGLKFQGDSGCYLF SISPSFRTYSTTGNGQNYAYLNTKNIDRSKYKVGLGFGGNSEHTSFRLWIDDEVENRSKV ASEDDTYQPGYIAGEIEGSIGIVFIEVWGLGGKQALIQQDKYRQERMEELERMRKVDKKQ FFSGFDQAMFFEKTFAHRDQVREEIEKD >CAK86026 pep:novel supercontig:GCA_000165425.1:CT868552:416187:416425:-1 gene:GSPATT00019730001 transcript:CAK86026 MKNPINSGRTKNVGNLTVRGDEDKLTNLHQELEKVGLKTCDKPNSYYKQQANQNWTLQKQ KYDKIEYFKNR >CAK86027 pep:novel supercontig:GCA_000165425.1:CT868552:416511:416927:-1 gene:GSPATT00019731001 transcript:CAK86027 MNKGQSPRRDHSPNFFYQVTNDNYMYKRSADTQPTDPNCMTKQARNYVNNQNITNSPRPA GSPSRMNFTMQNKYFHQSNIFDGVQQNKDYKPPEQPLKEKQRDNKSPSILNGAQINKNLQ LYPRQIITNNQQIKINYY >CAK86028 pep:novel supercontig:GCA_000165425.1:CT868552:416964:418068:-1 gene:GSPATT00019732001 transcript:CAK86028 MQQQQQLAPAYEKCPKHGINDIVLFCLHDQCREPLCKECCKLHIQWHNQLGTPPYIDTID SVREMLFNDVHNLKVKFEEEREILHHFSDGEHSQLIKTIHGKIEQVKKNLHQLVNDYCND LEKEVQKRIHQHKAAHPGEKKELHHKLNTVINQLDLQEKGLQSQKYIKACLVVISEEKDH DLEGLSLDIDNALKHYLENIFDICIHNDKFQKINDALNEYVEIHQVNLGEELEYYTQQIR ENKKATGIQQLQQQQQYQSKQITKKQDINPPITQTLPQSKLIYQSIYDTPHNQLNIHSSL QQSRAGASSKSYETRLQQNNEKLKQFYG >CAK86029 pep:novel supercontig:GCA_000165425.1:CT868552:418240:418958:-1 gene:GSPATT00019733001 transcript:CAK86029 MKKLVLIRHGESILNKTNSFGGWLDVDLSTKGVQEAQHAALLLQQNHHNFDVVHTSILKR SIKSANVMLETMNSLWVTQQSSWRLNERHYGILQGMNKKEASIKYGEEQIKQWRRSFSQK PPQSLDGNSESLEDVTIRVRPYWEDSIAKDINQNKQVLVVGHSNSLRALLCIIKKLSEQQ LLELNIPTATPLVIQFNDRLQYQDEFYLGNQEQIKQKIKQVANQGSLKSNK >CAK86030 pep:novel supercontig:GCA_000165425.1:CT868552:419102:420862:1 gene:GSPATT00019734001 transcript:CAK86030 MINQQLQKLINNTFITKKRVSAGSFGVVYCGQDINTRALVAIKIDKCNKEGSSLEREAEI LRRLQKVPQIPKLYWAGKEGDSNVLVIQYLGRDLTHYLKTFRKFSLRCVLNIAEQMINIL ENIHKNKVLHRDIKPENVLVGKEEEENLLYIVDFGISKFYKDENDSHISYRENQPFIGTT RYASINAHKGTSLSRKDDLESLGYMLIFLLKGQLPWQNLQFIDEEDKMRQVGLMKMKMEM TELCKGIPLEFGRFLEYIRGLPFKAEPNYKYCQSLFNKVCQEHNFQQKDLIFDWETGPKS DKNDDKRKQTIEVHLPSSQNSNLVNDKPSLFKKSKEDVSSNNIGGSLLNYSLEQLEMNSL LKTPEQRKSNLAPDINRRKNRMQSVSSYNDSHSELENNGSIMLGIQPSMISRLSKLSFNS FSRVRDSKLNLTLTPEYRKPPKQEKKLTITPNSYIEQISGQKKKSLIKNSNSQQQLSLKK EEVEETIRDFSKMNETDEGIECKYMLLKQASVNARFKKSINGQAKILQKQF >CAK86031 pep:novel supercontig:GCA_000165425.1:CT868552:421415:428716:-1 gene:GSPATT00019735001 transcript:CAK86031 MNQISQNLQIALLYSIKTDNSQDSQLDKIDFFNKTTKLFLDSLEVDERLQIKGLFGTHKE VEREIKLQINQEVTLQSYLNNSERGNLIALKFQNVMIFIFILSETFFVTKPINEKAVEIV LFRIIQDLTDNIKFCLNDDIFKNHWYQGDIIPFNQIKQRTEYHFAESENKEIQIYDCKWV LSQKNITNYQIINSQTLSPTLIRIRKLHFREEETNNFKKTIYYFIGRLLIQILNKKDKQT NQIMSIINIEHQSKSLKNMLDKISDELEYEVNDQINNLINIFSDSWRFILVRNKEKEIQK KYFEQQVNNFLQTVDLDQKWNFIYNHELVDKEHFNLFKEQMDVFFDKLKEKVFKILDKYK YQKNEIIEELKKQKYYEVERINLKLSRADENETGRVTSIIQIIENQQQPILSGNLLQLGS KFYVNDIFETKEKDLIIIITSYTNYNQILKTLIYFYKCNKPQAQLIKQFDFFNTEKAVYF YDYNRGHLFIFNFKSQQVLQLILTARGSIQNEQQVYYQQERGCSFLVSHVAYLKMVNKFI VLSKDKWVYKQQDQGQDFEKVKCRIQRENGNIELHDFAPSSQPSQKYIQIIACPSGKYFY LANLYCCDRYDVNLLKIDSISIDGPIKIFADFSDVIILGQLNQKTMNKKAKILCNLVSQK RFNKKEDNQKNVIGNPAFDVAKGSCIKFGPNSQFLLKEKNSAITLNVNNDYYNIVKSYLN IMKVNEIILSSTQLQSAEFKSEQIKNIIFSRVPLQLCTIENSNLIPLNDGFRQETQTETL TSVEQKVKQLHLGFLEEHLSNYNNKIFVVGIIGKQSSGKSYLLNRVFGTRFAVSSARCTE GVWGSIAYVEDQTFLVLDCEGLFNGARSDKEEIKMLAFLTAICDITILNSDLAFNRHFND LFNHLVEASKQLNDEKLFKGILYFVLRDVSSQDNAGAEQELLKNLERLKEGGSEDIIFLK RLFNNKIAVESLVNYELKLFDDQIISVRKYILEKSAISSHWNCGRELIQIMKILLCQLEL SDNTNVSLIDLQILIEKIFEECQQLWYDFSLEQVQDINLKLVQSDYKFPKFEAQKLIFFN RDLVQQLYENLITEDTISIHNKNMFYVKTQFNQMMEQRKEQIIQRAKELTNHINNDEVKE IIEKNMSMLKIFLKDQITYYQFCEDKCDECYLQCKHFKNHIEISQTLMSKLVEDINHLEL QQKSSKIKNKSQEQEWRGMIDKIKEEIQNAEYSLEGLKIQNQIIEIKEKICKEKEAMQNN DFYDLDSNSINTSVFNIPELKNQKSLVATLEDIQNIQNLFENEIISLQNKKEQNIETTKQ SKAQLEQLNQNLTQYLQDITQIEICQEELESCKKEISKQKLITEKELQNVQSEFQKIQIV GDEMNIQNLQVNVEDGNKILIEIQDEMQKQQLIYQQNAQELEVLLKKDDNEQIQYLEQLE QDINEFIQKQSYLEEEIEVLMKSKRDLEDIRQRLGKEQSKKFGKNKNIIEELGQQLKGFD ELLINEKLSQCEIKQKELEINFINFENSQLFDKCRQEIDLEKENQIQNEQIEQQDTQIQT SQLSEQYSQTLNQQNKTQSELLEIFEKERRLRLINLFLQKKEEKLTQSQANLSSLNEEIQ QAKNEIDNINEMIIQIKERNKLLDISQSHKSKICEFEIRLKDFDQRLQNEKENMININLN QESTNNKLDQIQKYIIDLEHQNDQIVQQIIRVNSRLNEIKQFQENLNEYSILNVTLREEE IKLKKRAEFESIQNLQQMDVESKIENLNNQISQLKQEKESLNNQLSTFNKILLLEQNLRE LYDLKKQLVESKSDVHLCQRESHKCDQNCRICSDQKCDHKAGHYEKEEHLCNKQDHRCYD ICQIKNCKRKCMKSFNHDEQHKCENDHPCMEKCQYCDKKCKKDLSDPHDRTNHDCLDNYC IHNCQLCQRRCCQPHKHSQQKDKHFCENVHYCQQQCQEDGICKIDYEVVQAKWKTKSSEF QYTKYIQKDIGKQICQKQIPAGCDKHDGKHLCKEKTEKQFHQCNQQCPECNTYCDLQYGH LGSHQSDRHRNKEDQQFTTQEGNQIHIQIQDPKDSSIRKYEIGENSAPETCDQSCKRKGR AHFHLVKCEGKKKCMINKTKDKARHSKNKYIGFEEISFDEVLCEEFWKSINWIHPILFEL ENINKCNYYCPLCIQQNGTHQFCDNNAWHTKQCKIGDHSFSCVETHFQNQIQGIDIAFVI DSTLSMEQYIKSCKSIIKDIIEKSKTKYNLNGQKLEINFAAVSYKDHQFPYKASQKIIDV QNFSSGTDIISFLNKITLENGFDYPEAVLDGLDATLKLNWHPKFEKLLYLIADSPPHGKQ YHNYGDHFPEGCPCGLKQEKIFRILQNIKVKFKILKLNQNIEMMIGEFKKDFVNLQVLTP QDENLNNFQDVIVCDVCQFLEHNEITYQMKKQK >CAK57240 pep:novel supercontig:GCA_000165425.1:CT867991:44:1339:1 gene:GSPATT00028275001 transcript:CAK57240 MLRQDNQKAELYGHSRYVMSVNFSPDGTTLASGSWDNSIRLWDVKTGQQKAKLDGHEDLV FSVNFSPDGTTLASGSRDISIRLWDVKTGQQKAKLDGHSSTVLSVNFSPDGTTLASGSGD NSIRLWDVKTGQQKAKLDGHSHYVRSVNFSPDGTTLASGSWDKSIRLWDVKTGQQKAELY GHSRYVMSVNFSPDGTTLASGIADNSIRLWDVKTGQQKAKLEGHSDSVCSVNFSPDSTTL ASGSNDNSICLWDVKTSKEMLQSDEGYQDLLAKFRIPLQNSSLLPNANPYCTILRICQNP LFQASGTQILQGQFISHQGIDLKSLYKSKGSCFLEDLMQK >CAK57241 pep:novel supercontig:GCA_000165425.1:CT867991:1536:1877:1 gene:GSPATT00028276001 transcript:CAK57241 MKLIIQKFQQQLNDNLVNLNFFHTLLTEPHPQQYVPGYSSSGPTILLVLVRMAVYEGTVK TKPILITSVLHKPMADFNYHKYSSQSQKLLKLAFISVCQQGCHSVNETSAKQD >CAK57242 pep:novel supercontig:GCA_000165425.1:CT867991:2708:3970:1 gene:GSPATT00028277001 transcript:CAK57242 MQCNWNEFKIAFVILAIYLRHFYEYQGCVSIILNPYIRTNITMVGENSGWLAAKQKVYIE SKSWDMYIDLNKIVYNKQSNYIAQGFEQMIKMNTISEQPTQSSSNSLLDYICLLQEIQCR IQQNKSKQRKIVYKFQLILQSEKSLKRWVHLKVFQTMQLELESMNVMQQLVNSTPNINIR NVRALLLFSQQQAAFETHNLINYIQKACKIVQEKGLQDNYGSILIQISIITFQQLFKHIA CLFVNRQMYSLILHAEHLVIRKFCFTFETSDNICFIFTIRIFVCVHRMGV >CAK57243 pep:novel supercontig:GCA_000165425.1:CT867991:6011:6500:-1 gene:GSPATT00028278001 transcript:CAK57243 MYLKSVMNNTKLSNYLVDGTGRDTYVSNFNGGFWKSNQMQAVRPESGSYPNRRYQASPAP CIDSKINRYRNNGSGRDGYISYDEGGFSVSIGMKKNFISNLRGYDTKIRCNTRDSSTMLQ SFSKTQSITKRLYYSKQVEDPYLQIRKLTSLHYKK >CAK57244 pep:novel supercontig:GCA_000165425.1:CT867991:7230:16360:-1 gene:GSPATT00028279001 transcript:CAK57244 MKSDIIVIFAWMILPQFAYCECNYLISAQNTNSLVESMQDIEIELDEQIVQGNQNIGYGM WMKYQPFRAALATDYSSQKNDKQKYLFIYSLQDSESKSSILIFYIEISTSTQTIKHEIDY SFQTLKSKISVNFNFVNYEGKWILFYFYFNYQSKSTTITFLLLEQNIDPKVLIVNDVPTL PNTVKHTIGGNLILDQDQGSLVLSQFQGKLSQLFSERELNVFEDRSSLNRFLQHCKFETQ CKEYSYQLTQYDQEYRGFSFTTEITNILEFPIYTIQGWVKIKQLQMEHREMVIFRITINK EYNDDFIIGDKELYLKYQQDQQPILNGFEITTYSYAFPTKSVYQSSPKDIKKVLGVEYQQ LLINWHYIQYEIGTINNERSAQFQIYFPSSQQQVRKFVWEQPIIHFSGITLRCYLGGDKY TQDYMNGYFSDLILKTYCTPPVINITPKCHYSCQTCNGPNFNNCLTCPPQSNRILLSEKN TCPCNIHFVDTKDQSICKQVVSIFPTLKLLEKKRYCHQNGYLFCDLNSKVCAQGYFLYNN QTCLECPGYSMVSSRNYIKCSNCISNPTYFSSSLQCTEDRIQQEWTCSTKQRLFNEIENY IVIINSQNKMELKLNANLEDCQYGYFKNIENQCLPCQVGCFYCKNYEYCIDCKIGYFLNQ EFQCTVCQGCNECQLQQNSVICHSCHIGAYLNSNGICSPCGEHCGNCDNNRKCYYCDNPE VYFLAIDGQNCLHCQITNCIYCYHYYIKENLIYSTLDVNFEYDQKYYSTLKIGCALCKRN YYFNQITSTCEIINFTNEEFNENELCLFGLITDNQQTNYCLISSNNLRSIQNKDCSNLYN CVECIQNYSQETSFCIICEDGYYSSILRGECEMCDYSCKTCIQRNKLFKDDWKWNIKAYY KYVFNSDNQHPFEEYAILQSEEDFELICTSCPYDYILYEHQCIYDCDYDCTDCQIIDGVA TCMQCNNNQEEIKISKYLYECKEYQNCYYQAVLAQNIYCSKQDFETQIRNKNQTEQVEFK KSNIYIEDLFSLQYLELFSIDIIRYLDDSQIREVEFEFTLIQGSEPKCLIKDYQTLQSRI FDVYKQIVAISLKIIGSTNQTTLQMTSLNIIGFTSITFEIIQFDTSIMFDFINANQVVLR NCVINSIKQFATNPYMQNLNLTNLEIRNLNIINSTIFDQVTKNCQIYLNRILITYVNFQN SSLFYLYPKLNNTNSSLIINEVSVYKSQFYNSFLFKTIGESNEECGSIFVDNIYLNFVSV ANQSSIFQIIGATIFQTQNINIIDSQFIQQSYFYQSNIIQIQNIKILDLQLIDSTLFSNN IQNQISDLSKSEQENVFVIQCKRENVQYNRAQSFFQIIRLREKFMLNAKIEFFYLKNCTY TNDIMPIQLFYNQSSIYIECQYCTFNDFEILRGYGLPEMSVMNSKVLQINTFYLIQMDQY YFKTLHPSLDCANNYAKYQLSYYLYFGFFENITIHNLHLNSSITYDYPFIIFRGFDLMEK TQNQYVIIKDSIFDSNMLIIKRANGATSLISIKSEQNSSLLFYNVSFQKNHLNSYFQDTS KLSASTLFIYLQQGEIQIANSVFIYNLVTNSTDSIMQIKAVSIMITNSSFYNSNIITFSQ LSKNLLIFNQVKEQAIKVAFPINSKSGNGILIASNININKVIVQNSKSYQGGGFSFITYG TSTINIEDSQFSNSQASLSSSSYSVGGCIYIDAYLATLRLIVANTIFEKCYSRREGGGLY IIPSSQYNFISMKSLQVSNCFSIQNTFLSYTILNLENFKLDINLTEIYFTSTQIGFIEYL KQLEFPTELEIDSLRSNNPIIMIKYGNINIVDCNFYSIHIQSLIQIEDANNAVLENIRVI NSSFFDASMIKISLKNSLSGEISLRNLTLLNISEFENYQNYSENSCSTQNYDFPESLICK PKEKLTFSINLNESDTSQHKLQYLCNLKKIYDRENNNFSLIQIEDINENHKIKAQFFDFH SINCTRCLNGLISILNINQIKQHNILFQNIKIINSFCGYTGCLSIMSYWNESILKPEVLP INSNGRQLQQHDYTKLALQQNHQVKIIDSLFLNNSAIFGGSLFVIDTQVMIQNCRFQNNS AIVGGAIYYYSKSATLCIFDSFIIENKAQIVGGLFLNQQSIQQTKQLDVIIFNNTSTKFG EDVFESPRSLTISTDAGKTLLKKKQILANSTKVIEKIEIQPYKILGYAQKANFLTYPSGI SVSSYQYFDLENSVFIPYNLTFRIIPLNIYQQQMKKLTDSFCTISHDVLDLTNNTIITTF PATLSQQKVEFNQTSQDFNLDNLIINFHPLINENVVLRLKINCNMIKIPQFDAKPPYQIN SFITDYDLVVDIKTFKCQLGEYLNTTSGSCSYCDPQLSQYSVQINAQKCNYIDDQKMESI KSSMIELKQEYWRAYYYSDQIEQCYHEGKNCRGGWQSGDQSCSQGHIGALCEQCDLYNIR GDGSYSVSSAYQCGNCDQIVDNVLTISLISIWTLISILISVLGNIRSIEELILGIRLKSF KNNYLNTKVSSAIQIKVFTNYFQIISTIATFQLQVPTALTSVVQNIGNPVDSMAYSFDCF LINLSDILIIYFRIIWSLVTAASFLGVFFFFGCLAILIKIVRYRFSFISTPLLYIFLYMQ PNLVGGLISLLSYRRICNDLWIQGNVAYRYDTKNHLFWVLIFCLPLIIIFALFFPVYFFY KLKINKTCLDKSHIRQIWGYLYNEYKQKAYFWEIVKILQKELIIVVLAYYEDHIPIKASL VFLSLSGYIFLAHSLQPYYTGKMNQLDREAIIICAVSIILASQIFLAQESNLVEIIWPCY FIIGMINTFFIFKIFVQILFAYFNRLNDQIDKLIELIIKKFPNLVQSNFNQNLFKSKKQQ KARIKERFAKIKDYLIPQARLIIQCRRTNRFELPIRTRIDSSHIQNLYSTTQKLSPAKNT QNQQSYLLDQLDDQKNSFFQLISQIQGSPDIFRSTPKESSKIITLSKN >CAK57245 pep:novel supercontig:GCA_000165425.1:CT867991:17753:18127:1 gene:GSPATT00028280001 transcript:CAK57245 MIDTDQQNQPTRSDHSQGAYQIIKSNQVELSIQNKVIELDVDYPKVPQSRNKEKLLCTKC NEVVETYIQFEMGRCSYLMMLILIVGIITAVLAFLPCVLDGCKDAKHRCPKCTKLIGTKQ FMCG >CAK57246 pep:novel supercontig:GCA_000165425.1:CT867991:18451:19696:-1 gene:GSPATT00028281001 transcript:CAK57246 MYQDASYYSQSQQKQSVKNNSMKVARQWQINDQKAEKNGLHKAIYWVKSQTKNEDGTQKQ ERWVADQKYVGDWNQNKKCGFGVQYYGNGDKYEGGWYDNQRNGQGTYWVSEGKNKEYTGD WVNDKKTGKGTMFYQNGNRYDGVWQDDKSHGEGRMIYANGDVYEGSWFKGLRSGYGVLTK RNGDHFEGYWVNDKREGQGSYFFATKNQVFVGEWVDDMPKTGVYSEVEDPYTVKEEREKH FMDPYVLPDIPKVELQDPTNVLKESMELARKERIIYRAIHIPLDEMYSKQEMEDLALQFE SASNQEHKITLINSKAIFQSMGFDIEEKILQSYLKHLQEDKYNEFEIELETFMRLVAIIL ESQGHEQSDINI >CAK57247 pep:novel supercontig:GCA_000165425.1:CT867991:20052:21045:1 gene:GSPATT00028282001 transcript:CAK57247 MSTLKVLSDAVFDKNEKLEIEKENSFTQCEPHYMAENEQEEELQEGIQLPVYSPQQFSHF KRPKISFDEFNLDEDPKKKLSKQTYNQWEIWKDWLTCSNNLPAPSNVDNHFGELALRFAP SQRQDEFVSNYVKGDDLLRIKVGLCDDILNDEETLKFEDWVEQLCTSTNHESLKEMARKQ KVKRYLDKKHNRTYEKKVHYHIRQKVAEERLRVKGRFVTWGQALKMLDEKDTKKSWSYND YTKIKGLLNEKFGAVKSEKSLRF >CAK57248 pep:novel supercontig:GCA_000165425.1:CT867991:21581:22370:1 gene:GSPATT00028283001 transcript:CAK57248 MKDSSVLNLPSYSSHPQENQNGQQNEISETNSSQRTNNRSEMGFSQQEGSKNTEGTKKKI NKEQGQNGIKNQGHWTNQEHQIYVEFLEQHHCTSMQSQQNRKNNKIFKLMSQTIGTRSPS QCRSHHQKFNPYTAAGQKRNKRNRRRLSNQNVEGENFHNMTTKPMIQFNTPTVKPILDQC YDECDGYISNYEDSRPQLQNYCGNSNFDYKYGNRWDCDSDLY >CAK57249 pep:novel supercontig:GCA_000165425.1:CT867991:22379:23605:-1 gene:GSPATT00028284001 transcript:CAK57249 MKQIITIQVGQSGNQIGNAIWNQMIDDCGENLDQKFFRKGIPRAILVDSEENTLDKIRGD KNFSYYDPNNFVSGKSSKCLTFASGYYGSNDLFDEIVEKVRKEQEQCDGIQAVQLVHSIT GGTGSGIGAKLVYYTSDNFFDCSQINISIYPSKYENSVIYPYNCLLGLMHLNYNYNMGFY FDNDALQQMTENMFIYDQNYETYNQLLAFAMDGIYKSFRHNCYSNTNFYKIQTNITPFPK LHCYTVSLAPLFSYINSPTLLQMSKEIYSKRNQTFSMSMSQGLFLAQQIMIYGDTDIFSV QQEFKQTLSSDEIQFVKWIADPITYSICPAKQKQCNPMAFSINHHTAMGNKLNLLQQQYR TLFQKRAFLHLFLQEGLDEMELQEAESACGDVISEYCCCNDQTDTTDE >CAK57250 pep:novel supercontig:GCA_000165425.1:CT867991:23819:24197:1 gene:GSPATT00028285001 transcript:CAK57250 MNRTIYDSNHQKEMPFIFTLGTQLFQELSPKIELEKDAYDEWVKTQRKMQSQEESQKLDK FQAKISKANEIKLMQEEELSSKQKLRIQTQLIKESIHNEQQLKLQKIQKQEYAKLQHL >CAK57251 pep:novel supercontig:GCA_000165425.1:CT867991:24239:25095:1 gene:GSPATT00028286001 transcript:CAK57251 MSKIDPLLKLRQKQQREMQHLIEYEKQLRDKEIRNSQLLLKKKEKFQDISMKQLKFKEDL KQKAEQKRIEFERNLSQARLIEQEQLKQSQFHVNKTIEMVTKFENEYQKEQLDFLNQKKS EHNHQIEYVLENKKKIENRKLKELSQSLDAKFKSSQELQDNLNKQLSDRQKQEQQKLQTY FKQVEEQQQNLQKERMKKGEMFEEKLDKVEKLKQEKEKELKERQRLRLEAEKQKEMLSKE FQEEIVKMQKSNFRKQLLS >CAK57252 pep:novel supercontig:GCA_000165425.1:CT867991:25148:26630:1 gene:GSPATT00028287001 transcript:CAK57252 MSIRRSIIQKKDLRNDFKKQEQNLPDLSDKFRKVFEICGDEIISCLTKKEQIQLRSVCRI SFYMVQSYQVWNMMIFDSDQISQATTNDSNMKESFLKNSFYSKVGKSRPSTQETVQKEQW LIKPANYNPPQKKLIFLKNKNKIEDNILSDEDLKQTITVWTLNLNSLEKQINLAKNQTDS FIQEITLWTDHINLLQEFQKEYQLEHVGKILQILKQKSILDVGLERKRRILQDILNSLLN DFALKKQYSIDIQSLKPVCQSLLQVKLADFYQEIPNQLWSKIDQIQNRFDKIFNIRKEFF FMKLFQITQKIFSINLSWIVGFSNYELTLNLLDLIGNCFVFYHKIQRHSFGEKKFNQNQP QHSQNELYFQNYKKSIDDIMKMLKLNKKLYDNQKENLQVQALLQGYKQQTFDQFQEKQQW AQIYKTWKEKYQNIKKQPQGEPESQEGISFGFFQTKMSWSIQ >CAK57253 pep:novel supercontig:GCA_000165425.1:CT867991:26660:29695:-1 gene:GSPATT00028288001 transcript:CAK57253 MLNSKTDENFKQVKMNPLNNSTSKQLYSFSKSPRFNYKVKHEQNSTSFYEIKGTIGSPNK GVSFGLGTKVDFSKLSDKTPGPGSYKLEKEKTSSNLQKHTMGIGRTYFKQKNDMPSVGKY NIASSIIKKERIPHFGQKIAMKDETCSPGPGKYDIQYKEHSQSVIYHPVSGRTSRTPDCI PGPQQYRPKTELSASYVNSNWSNCYVPKFSKEERFSSKQSSTPGPGKYQIPGEFVYLRVR PIEYDQSMLSIDQNVISIKDPTYKQAEWQQYRYDKIFPSSSTQQELFESVFNFDYENKNG CIISYGQSGGGKSYSLFGNVQHPGIVPLLIQQILQKGLNVQVSFQEIYVNQIRDLNTNLI TEEFTKRQILLINDFWDMIKILRSTDIKRQMRTHIILTLEINSNTKLQFVDLAGSERVAK NITEGEKFQEAILITASHQVLNRCLNSFNQNPNKILPKKESKLTSALIIENNTQVVLIGT INPSQSNYEECLLTLQYLDRTKNIQATIKKQQSMLGFDSQVNIQQEKEIKKLKDEIEEYK IKVEQLNADRKKRFLELQRLLGLDIDLERLSAKNAKDVTIFKNQQEALLKNVSLSQQIEE YYYENAQLKKDIEDLKKDTHTKLERYQQQVLEQKEINKKLKDQLQLSKMSGDDAIRLLSQ DRDQFIKKLQDESKNLLEDKVASILNLPQTAQTKNVENQKLQELKKQIKTEIEKEFNKGL EIIKAEYYKSLDHYKFQYEQKLSAKVQEIENFLIQFKKYREKKKSQINEIVEELLDLYDI ITKQGKVIDKIETGGYSGGLKSFSIPKQDKPNLPNKVKHKNLFHFLETNSVTATLTKKAS TIEKTIKTATKQLRQSQSQIILEIDYNQLDSINFMSMDFSTVRAYANKLREMIKELQDQI TVNSDKFKQQIAQVQRERDDAQQKYNMESRKYNQTRVVIESQNRILSKVRPLSSVQRKQ >CAK57254 pep:novel supercontig:GCA_000165425.1:CT867991:30319:32431:1 gene:GSPATT00028289001 transcript:CAK57254 MKTILLILLSVQILLAQDPWVTHYTAFTSAEINDLDGWVVKKAFKGNTFSKCDKVSLVGG YGAFGKGATALKQLNLPPHYKLKINVQLWKIDSWDNEIMFVLVDGFIWQAKWHYSEGANL CGAANDWKEAFYNIEFEQVQFLTSNLDEDALNESWAFRDFKLSFQRCHSECAVCGDNKPD NCFFWTNVATNWNKQISLEGWTLDGEGKAESNECAGVQLFGGFGKLGRKANLWKRFTNLP PHYQVKVKVQMWKIDSWDNELFLMEIDDQEKFRQAFAYNEGVDLCGVDTGAKQGEGWAEK IVNIEINVPHKFPEVKVLMKSTLDEPPENESWGVRDFQLFAAQCFKGCTGCTGPAKSDCT SCGQGFDLVNGECKEGIKWMTLNRFFFNDEQDFQGLYDWVPSNVFQNQNPFSTCGQKKLF GGYQRFGAKGKAERNFNLPKHSRLRIQFQFWKIDSWDDEKFQLFVDGKVVFERSFGFSTP GQAKICGAPQSTWMTYFFNVDVILEHTNPTANVVLSSTLDQAADDESWGFREFQILYELK EDCVELYTECGFKGTKFDVCRDTPSLAREKISQVKSIKIPPGVVVQGFDEEVYKGKTVKF SQSQDCLEEIQFSFIQKKFEIIQADDSVLAANLRRIRFD >CAK57255 pep:novel supercontig:GCA_000165425.1:CT867991:33091:34240:1 gene:GSPATT00028290001 transcript:CAK57255 MNDQSDQYDKYRWIHQVPQNIINKNYKTPSTQKGQKQRYFVQDYSQLHPNPYYDPIYIQN QFQTNSQINTDIKYQKNKSRNLNQNVYQSQNANQNSGFKLLTKQQKASTISNKPKDGHVI ITLPQTKKKFDSVSQKQRQQTKSLDQIKINDHFKRLLQTQESRELYSKPFFYSQYSKILK IPAQSSSEKYIQTLYKPVTKQRIKQRGDLSLEQNQNYSYDHPQQQYYSDDSFEGKAQNVK KVDLYELAQERQSNRDQSQNNSNLNSQENCKNQYQESPPQNSQYYQMALQSSQYYQNSHD IEEQNRDSNIQEYSNFHYFQDNNQFSQNPFAQTSKKPIQIKLNIKEFIASEQQQKSSPMS SNLTQQKINNQKTHR >CAK57256 pep:novel supercontig:GCA_000165425.1:CT867991:34677:35404:-1 gene:GSPATT00028291001 transcript:CAK57256 MKSSMEHFDARDEMADFPEELNVKAILEKVKVFLVVKQLKEDSSPNLVIPNLYIGCLGTV LNKNKLYETQITHILSVCEMPIFPYQAEDFKSLLININDSVDQEIKSKFEMANEFIHSAI KNKQNILIHCFAGKSRSASFVIAYLIKYLQMTPLQALKLLQSKRRIAQPNMGFMKQLDAY HKELYRQPQIQKEQKQEDSSSVMKKVKLNQQDEHSGQFNVQQILNS >CAK57257 pep:novel supercontig:GCA_000165425.1:CT867991:36161:36925:-1 gene:GSPATT00028292001 transcript:CAK57257 MDFKSRFKGKMTVMNKDGVIAMVNKPSLPIVKSNQYYQENEDSSPTKKQTSLYTEGIRES KSHVFTNIQVSPKQKNSTKYSQKFSNAGNQVIKSTQIASKQQPQFDDPNNSLKQLQLRIQ PDFNQIDMDEYEIVAIPKTMLGQLRQQMSVDRYALTHSFVPDKKQLFEFPQFSPEVTTQY TQHHQTPHLKKQYTIDSATMQQKPLFNKQKSEKLDRTNKVIQQAGLGTLRHNTYTKR >CAK57258 pep:novel supercontig:GCA_000165425.1:CT867991:36965:38249:1 gene:GSPATT00028293001 transcript:CAK57258 MNQFYGNSFNLDDPSTGVNHSLHFNPKATKHFSNTITVKQEVNEQNLQCNIYLFLSIVHG IKRLNKLFVQTCFNRDQFLHLFDTQQYSLLLYKVLQIVNQYFNDDNTKIQYEKHAINKSW HSSDQKPYQPQPQPLQTQNNSDKFQQMIKKIISTDLDPLKSLIHKVQDQNRTYQYSQTKV NNTPQVSFHQNEKIMKSSSLKDQLKDKIAKLTMQNTYLCKEKVIKTNSDSIQYTQNNIAI NESMNEKQFEREIVQKQNKTNFGQQILFNNLVQQEDQLMSFQNNGKQQKTIQESMPIFVQ NQKTDFAVQTSDQQIDGQQQIQPRGEELKYYQNQEDNTQNNLHTSCNDQSVKRQELTVNR VRYCQECKNKNKYSNVASSASPQKSDRREIYSIDYVQHKRKIGSTCTNRNFNILTNAQLG >CAK57259 pep:novel supercontig:GCA_000165425.1:CT867991:38286:39242:1 gene:GSPATT00028294001 transcript:CAK57259 MSILTLYKSNSRSALSRDKNEGQSKNTPMKLIKSQQQDREKLYNSQIMQNALIESCKKSK ENRMNSPTFKVTDTIIQANQDAMAQKSGKKKGIFSGIDSQIDPFRNVHTSTNKTNVKLMM FNDGHYMKKYQQKQTDMQQEKNYRYSIKVFQQEQKQDVAQKKVRKSVPGQPVAKDPILSP DSKVDKKLRISCSHQIEHKEQIESIKTYKHANSSSGFRGSVQEGQKNDVSPIKMRQSNTY KSEMKNILTQDENFLKLFSRTDYKGSDNIKKSKKPCLKMDVPRPISAFAFYEK >CAK57260 pep:novel supercontig:GCA_000165425.1:CT867991:39308:39700:1 gene:GSPATT00028295001 transcript:CAK57260 MTTVQIRCLRVFSQSQKEFSHFHIIIRSIILCCFELFLPRISYNNPVNEGPLLLEIIKSS GRVERHSHLEVTNINGFGTQQLIARGLKARNTMNLAQLHQCYLVLEVKRQGNEMSHNDGL ENQKDKQMHI >CAK57261 pep:novel supercontig:GCA_000165425.1:CT867991:39803:41053:1 gene:GSPATT00028296001 transcript:CAK57261 MKQINYFQQAFSSSNRQVLDLIISSQQLAMKNQSDEQQENQNNSTQFEDNNGIDLGIRKV IKLGENGDKTSTNLQYKSLRDTEQQKQVIQQIKHLARQGSHMYVTIFLYYFDHCKYSFPY SLYYIIAYLLLFQHRIFRAPHRDALIDEVLLEQVPFHRSPKLYFLIFIIYFFIIFLHSKF LPPNSITPLIFTKKLPQGGQYRQKGTQPLWVNAFESLLSVVVQLYYKSDQKLAEFMKEKV LIDSKKDIKQEKKPGRKKKILDNDSPNQEQIEQQQPNSQNVYSLLQQDVLDILISPLRND FSFETWTTKEIAIFECGLCRYGKQYEFLSHLRQIKTKNAQDIIQFYYNWKFTSHYKLWKI NKAYYHRSNLNNYV >CAK57262 pep:novel supercontig:GCA_000165425.1:CT867991:41242:43812:-1 gene:GSPATT00028297001 transcript:CAK57262 MKQFVNPVFQPVDHSMSMSYRRSEYVPKIPQPTIGSDLPCEFDKIFSSETDISSRLNDSM IQPFDNRNFYIDQSPAYRGTTESQFHNNTLSITQVVDQKKYNQEIIKYSEEDSLINSSFF GVLNQENFSRPLQQMDSIYRKFEEPSQFNQNTKNAQTLCDEELRAELQDQVQDLHTNNKF ITPFQFQQFNKPIQDGSNMLANPNNNYPAYENRSTTTNLYQPLDQNYKSQSIMSQQPNMQ SLQNNKDPIKYTPTTYNNTYSKPIETNPVIQNTPPKSRFISPTMQKQIEQQQYSENYQSN YQSSHNKPEKFRVNSPDQFETIKITEIVNVDNSQNYSLTSPSPSAPILPLRHSSFINNKP EAQINKKNTEVYFSQMVQPMANQKVLQRTIVNRVQDNDYQLDAQLQQYSRKIQSPNKTNP ILDQQSRLQSNRTSQQQIVIPQPQKQSQAIQSPIKYPQSPTLLTMQQERLDQKELFLQAE KAIKFQRTQPKAILPEWQNYSNKKEPKQLQKNNQAFSKNNGNKQDDLQVLCVICEDLIPF EDVDSHSISCLAKSKQQAKTQNAHTLILNLNQKLEQLKQNIIIQSKQLEDELMNNEEIIN CITKIIQCSQNYKKLKQYNELLAQQYQKYQSNFDQKRFIMILTLQRVLAVSQEKQLKLQF VEESQNNQLEDESFLQNELSRLNKQVEIEKANLEQAKFEQELFKKIQKEDLENMKYLRAQ YLEQNVDNEVLSQINSEWDVRNPETKTNRVTNSIVSDIQYQRDFDGLAIRKEGSSPTIIL NEDQKKAFYQLAVQIKLNLPHNHPGKNLLLQDAYEKAVSLKVSRNGWEKFIIEEIINIQQ >CAK57263 pep:novel supercontig:GCA_000165425.1:CT867991:44095:45252:1 gene:GSPATT00028298001 transcript:CAK57263 MIQNQSASVPSEESSIVHEPFEGGDPSPLTNQSINMGNPPITVVPTQVSELTQMNQENVE GDSNAPGDQDQKIIIHNLEQKLAPAQESSLIETKCKKKQNFPALKLRQELIKYLLNFWQR FSRIFQVFLSQKQQRREPKPEVKTTDADQMDSLNQSGIQKIEKLFNNVIEVIFQINTGTK QTETIKINIRFLIYWEIWVKKSKSQLENEKKQGEVSKTQNNQDKEMAENQKRDTPKVQCL NRYIPEIENQLLNLDDYSSSQQETCEPRVDCYEDPPRQLMQERKNSSEFENNDQNQAGQE QYFLFNCFNCQIFDLGKQ >CAK57264 pep:novel supercontig:GCA_000165425.1:CT867991:45348:60377:-1 gene:GSPATT00028299001 transcript:CAK57264 MIFTLLTTLLFYALGADTNEVELYQIKIQDVSLDWSTKTISLDEQTLIFTKHFRTYESKY QIELGLLDIYKNELIKKVQVGNSNLENYQPQIILINNFIYVVYVSQNLSIVKLGLKKYDL NLVEQQVEYSFGDSLEAAKFDTKKKYIDLTPLNNTAFSIIWKSIGQTVNQYQWNYIKYDA KSDKPSQALTFPDSYDIAIAQNLLGTIGIVQLFKQVISITKIEDDQISNVISLPTNYYFN EDYGTINIVALPNGEFIICQYDMDFAFTLFDRDFNWKLNKITNSGPNSCENYQLRKSLFQ QEYDLFLFSQGYDSLCYTQYDLSNNSFNIKQNRKIIKPYFWTQTYHQRIDQLGFNRFSIK WLSYNNYIYELSTIKIDMNVKQNECKPNCKLCDPQFKCLTCQDGYTFVSVKNECVPKCPD NCDTCSEPSYCIKCKTGFQYTPENLCVTPQNIINELRISDEQQQKGQIKVSVKDNEILIL YSLIENNKQTKLVLKRLNSQGALIKQIQIEQQPNEIIDFDIFSVDDMQQFRVIINWLTVD SIVQIIDYQYDFETMQVLQQKTIDIQQQKLISIRIAQLKNSDVYYFYVVQKVNDNNQQYY SLSFLKIDDQGVYSSQTVYQDIYIIRSFDIQVSQNSLYFNFTNQNYLYQYKIEQVQLTFI TTTGLTFDNKLLNSYDSAIIKFDYDEYLNKQIYINFTSTNPLQVLQATQSSRNIKKVSSS RFGQSYAVLAWIGFSNVELQNPVSQVFTQIVNLQTAQLTNFKELVCTQSCNSCTDQGICL ECKNTKYQLQNGKCVLTCENNCELCETENQCLQCSNGFYLNENLLCDQTQEQDIYYQDNL ILTYIASYDDQILQVSLKKNSSRYDIVFEAQNRQGQSKSGEIRISSDQDIVLFNRPKVLK VNDQIVIQYIMRNQNQQNVGQFVILNNEYISINSFSTQGELYGNEDILDFISTDDNKIHI LYSYYHYSYWEGEYIVLKYDQYSMSQSGNPQLSKTQGRYLTRIDKQQKVRNIQIMKNLEL QKLTASYLYTEDNIETFKVMYVNEVLDYFWLDTQEKFEYYFNDFFIWIVSYEKVNSNLVK VKFYNQNQELQQEHTIESQKQLNNFQIVLQPKFIYFIYTEQSTTTSNMNIQVIKYSLNGE QLTSRSLYHNELIIQGLSTSSYDDLLYLTWSSFTNNRIFKVRLNSELNTIPFVEQTCNTN CEICDSNLICTQCASDYQQNEQTKKCEPICAENCFSCKQPYTCDYCNGNSKFIDQKCRSL SDLQLETPICKDSCYGLPSIITFSDETTIYSYQKYENSQYKININIKYQTQTSLLQDQNL LSQDQEIAYHYLYLYEENQIALIWLSGNCQIQCRLMLQLFQKDLQVLSNPYELRIMKVKV SKFNLQIQKYNNNMLLLWTELDLNDMSQTMVGEYSMTNGFSLKSNINQNENDASSFATLI IQSGYYQIIYVKNNLIINSLTVDGSTNTYKVLYTSKRPITSLAVANSYYYGSVINWVEQE EISLYISYNYLYYQWFDQNLNARGSYTNLLKSVQQITQLAASNSQNYYGYFLSFVYQEFS GQYRIRYKFLNIDYSYQNLQLEDFAIGNALSQYTFDYGYRIYKQPNSLIVIFSGFVGSSQ QLFYLEAQQSSSPVSNCFQRNIKQECVLCRQGYYLFENECELDLPQHCIDGTDGICEVCD LGYKLTSDHVCQLDDQRYKEMKMNAYVLQSKQRITTFKNGDYVTVWYRQYYENQGTGVFM SMFNAHGKKILEEKRISLSFAGIQIYPDVQALDNDQFCAVWIEGDLQVQAKIKLQRFTKD FVRVGDEIIVKENVQLKHAQRFDTPIMIQSIVNGYVIVWTQNYVESNRIVEKLHVVFYDL QDKQQGFFVLNKQESIINSEPVVASNDQIIVIVWQSDFGIIASKFSLNYVYISQQILSEN GQAPTIGVLSDNKFIIAWRETFINSNDFMSQSIMYRKYTNDLNTWEFSNQIIIPDHNLNN PDVLATLKGFAIIFENRHAMMSDLRNLKMQLFNLDGFQITQIIDVYNEWNLYPHHPQLTQ LPQGEFLVSWTLSLLQEASFQDDLYMKRYNSEGLQLPMDTVVCPQNCLQCNESDVCQVCQ KDYVLNNKACVSSIPHCTAYLANSVELVCQTCEAEYQLTQNICFQVSKLKQLNVEFVKDI TSKFAIVKFTNSDMLFIWQEQDKLMFQKFDQYGKQYYVAKQNQDVGRLQMVVFDACEIEN NYFIIVYQNFREQEIQLKVYDEKETLLIQRMQQINQLSTSTVQFLILKHLGNNKIAMIYQ VQQDQKTSTQLYMKFIKITGQNGGIDISFQQQSILVLQMEQQSSSQSIDVQIVGNYIKIC IENVQKSEIRYIYKIYDFFGNLVKTITKKNDNTQVEFKLMELEDGSFLEVWTEISYSKYE VFYVVKANNNNLFEPKKLSTSSEFYKLDLKTHMLSDSIAVIWREGSYKGDMTANTIYKTV LISKEDYKKITNPQQLNSDSFVKQGVDNYLQIIQLSNQDIVIGWISYNFQAKSNAISAIK LNQIGEILDFSQYRCSNGCQECTNLGKCIKCYDEDKYILNQLGNCIIKQAQCKNYKTDCQ NCLDGFYRNRYNQCNEIKQNVEIDFLLINLYSYDSYKVASYKNGTVIVTQKNTDKSIGIR VVSSDGTLLKDNQMITEITQDPQVPFDQTILHFQVMIDDKDVLTYAYIVGSQYQNYYLYY VVEQFDDQFNRIGDRRITYLNGNSYSSSTFILKNLQNTLIGVAVKVERTVLFSVYTSDLS QLIGNVAIPTNDQFEFISNGEYILYGYVEWQCNVNYQSCTVIKVYDKQLRFIKDIQINDA QYIKMTINDQKQFIVLTKSQINIIDKAQLIKSSPIIQQMSLPLQIFLNQNSELVIFSYDE QNIRSLQLTTFSIDGQVKREIQVNIKALEYGIYGLFQLQNTDIIILFSGQQFEPTTYTNK PYIRLARFDQFGESQSAIQIVCPQNCELCYQESTCNICKPGYNRNEQTLLCQKICTIEGC QSCENSNCDVCKDGMFFSFDGRCVALVQDPTIDEKVRYTIDKQFYQEIRKKVLKFSNNEF ALIYQAYDFVRFQRFNAQGKELLVSDFNVNAQILSLDAAIVKDQIYIIIVTVLEPQSYQV YYYFETLKSFKLLFTNQLPYQITSATIKAFSSSYVILVTSYDNYYFDFAYMQCLTQSLYF YDQSNLLIDSKVNFNNPTPLTYGDCNINKINNLYIFGDILYTIIISQTQLRMIALNYLGQ NYGEVPLDIPNIEQIEFTLDSEIVILFKQIGTQAWNLRIMNTQFQTLFNLDNYLRGDLSV SMVTTNSNIVIAWSQKNKQSIVEFVVLDRQGTKIKSNYINGQYSSLPLLTELDNQIVCLV WSVWSNQQQWTLSYSLLDKTGEFTPFKHVVCPQNCLQCNDSSDCSQCQDGYNLMTYYKDE TSFYSICQKKCDPFCEICDFGRCMRCKSQYYVGIDGVCIWNEFENKAIQINEFTQFSQVT PSAAKFKDGSILMSWSSNNEDEDSWGVFAQLLNNQGQKVGNNFRVSQSVFGAQHSPQVAV LEDDTAIITFIEGNPEKEAMIKGIRFNNHLDVIGNEQLFTIFHLENFQLNSYFINKIVSL KNGGFVIIWLSINQINLQFYDSASNLIIQTQILNQKQYQSLEVAVTTTELAILYQNTGFR YSVCIYSQEGVLLSDQELQGWDNDQINQFKLISISHYFALASIIQVSESQKVKVQFYNNQ FSPVGNPIFVAYNSFNQYQKYAYFQMIDFFSLNDGALLILSESMDLALYRQFYIDINWQV TELLPFGFNFQQQFVAYQTKLYVLSNINADQYFIYCNAPSQNDNQYTINSVNQNIYIQRL SKEPKIVPIDQIVCSRDCRSCATQNTCSQCLNQYLLQDQQCMPQCESNCLVCLIPKICEI CYDGYYQRVQGKCSPIPVNYQEPQVLLSYPFLNNLIDLNVLPNGQTILYTQQTLFDQQKE IFTLYIYQNNDLIKQISVQTNTLTTNYYSIYPFYIEENYYLLFHMNDGIKIIKYNAQQEE VLNTLLRIETIDTNINIWNIILNPIGINQYSLIYYKIFFGVFRVLYDESFNVIQKEQIFD CSQGWYDFNRIKDSIMLSKKDERYTFSNSLTIQQTKQVCLYSEIFHYNSCDIYQLSNGNK IQIISGTQNLKYYSSNEIESNYQVYYRILDSQDIPLTTEALVLENKFSNQQFAQLFAFQD SFTIIIEKPNYHNYENIEIYSQRFDNAGMKIGEPQFVLFNKGWQKRFYKQTSNGYIIYQE QRIYQQSLPPESQKVTIYYKQYDQFGNIPFWSTNKNCIANCDKCINSYYCEQCSQNYQLD TNSKCKLTCQDNCNQCTSFAVCDACNIGYKLVNGQCVKIICVSNCAQCLEDTTTTTTTYC KVCDDNYYLSTDNQKCLPHCPANCSQCSVPLECQTCAFGYVVTSDKKCQQENSSILDQIK NTTVGTPLMATFPDGSYILMWYQNGDNAGVYFQLYSVDNVKVGDPMKVTGNARRLLSQQS IIQNFYADIISIDYEFFVTWMDASSASTDVKLKKFSSDGTAQSQDILLGTHQKTDLQDIK IPCQIKKTPNNSLLIAYMAKSDKVSNDFSMFISSYDSLMNSKTSVQEIKKVNQLAAPSIV ADENGIISLTYQSDGYVFVQQITEDGDLIDSPKAIADSNSINLRVTNLANGIMVFLWENK NLQLSQPQFILNYQLISKDLKTRSEVKSVGDIQIASLTPDIQSFNDGFIVAWKITDSNYK SIGIEFQIFDSMGVSSSQIIEVQIEGIYPQTPRIQIINENQFAVTWTAKNIDSDGTILGD GIFMQKYNKYGTLITDSSSSSDLCSYQCQSCQSPLVCLKCQYGFYLNKNNQCIMDCGAFC DSCEIPWTCQICKNGYQLNNNEACIETECSEGYFRNISTKLCEPKCPDDCEDCTAPDICT TCKIGYSLSDSSCISDTLTTEQFTIMTIVIIILAAAFISVSACSINLYCKYKKLLKEPSY CQVHPVQDINKSVSNVSCNVSVIRLRQNE >CAK57265 pep:novel supercontig:GCA_000165425.1:CT867991:61088:61525:-1 gene:GSPATT00028300001 transcript:CAK57265 MGLACGALCSRERDKTTKSEADLAEFAFYQHLEETRSQGSYRRTACMMPISEFGGLMNSA SNYWINKQQYQVCGNNRPMFTYNLQNRNLLLKIDNSVFTLQERQCAIRIQRWWRKKQEKA RKTKKHSKSYVNLFYR >CAK57266 pep:novel supercontig:GCA_000165425.1:CT867991:61745:64511:1 gene:GSPATT00028301001 transcript:CAK57266 MSENDSNSVHEVSAPIRGRLQRAKSSEEEIEEQQKDVFQAEQPDSIEISDQSDIQEVANR KKQKKQNQNSQKPRKPRQKKQNENAANQNEENQEKQIKEKPQKQVKTKKKGVENNEKTIE NLLSKKFIQAEEEDEPPRREMEIEYESNRNERDTFGVDNKQNKRKAQNAKNINQLIKNRH KFFTEFEDAPIEFQNENPNYQEIDTDQFELKFEKQQVEESAIKQKAKRDMLNKVQQYKQN VLVFGDQQKSQKSLISSTILPSQDLESNGNNGTTQNNLPKFPQIIKAASFIQEEQNQKLD GESRNSLLRKTYSMGLKKGLSQEDIEDPELQLFIPKINGAKDKIFENTLFLNKIKQKGFD RVVQTSSSLNNSNKAYSRSEFQQLLQQKQVEHKANKINLSLNELMNRFQSTTFEQIEQKR QQNQKANNGQDDDDSSPDEDFVPQQNELNQESEDIDDGSSQSCDDNNKLIDDKVKECKLT EKEIEKQEKNEAEKEKNYGTIIEEKSDAMSQIDDEERQQLQQIKSGKFNQEKDYNLSDAD EEDEDQNEDDQEEQEQEENKQDDDLKKEDHKVQENNKTNKLALIDSSDDDQQAEDINEED LNMFRRLKKVSTMFKDRKRKEKELEEKKKLRLERQKEREKMKKFIESNLVEDQAEQGSDN ESHDDVVKEIKDDDEEEDNEVIEKELLEMIDDKIEDLDEENEIRAFQIFMDRIHKQEKDD IKKILKGEFKRRDGKVSNIDLLNMEQTEEEKQARLMHKFEESEEENYDSDFENYLKMNKD QKNEFLKEKRLQKILQAQKEMNQQTKKNRLQEQQLFQPDLLKIVEQARKKQQEVLQKKIN QKPPSFGQSLQQQSKINENSNAQNSNSVSLLNVQKKDNKQQQNKTSFAINRLFSANLNSK GQQLQKSPNKAILLMKKK >CAK57267 pep:novel supercontig:GCA_000165425.1:CT867991:64537:66115:-1 gene:GSPATT00028302001 transcript:CAK57267 MFQQEDNRVKAKRENYAVEIRNQSRLAIFNQKRNQFASQATLYKYVPENFLESIPEAEIQ VFVTEKLLNPNNQLPYILEGLKILNEKRKQLKIYKLLQSKLLELITPFVGVLSTKEEYLS YLVYYHLLNIIYYLDQQQLILFYNMTGFKELYYYIKDSTFDFVRAEKLEVAAFGLFTIAN ILSEKALVLKHPFITQQYQQFLIDLTILQQNIKINPTVNFIHGLMWVLRNLSQLSNNIYF QFFDILQMNISFVEKFQTNNNDISFQICQDFLQGLSTITRHMTEDEISELFATHIQLLIF LISNPWDPKVHLEQAEVLRNLLYGQDDVIEQLLSLGLSEVIMKLMSSNNQEVIEETIGCI RNIFGAEDEELITQFLNQQQLPLIKDLLYRCTGANKLEVRNEAFGAIQVLLTNFQESAEV VIKFGGIEILVNSLTDTVGQTEIINNILSSLYQLLSFDSSINLDQVKQLIQNCSGEQIIS QYLDSQDELTRTLAFKLIGFINLE >CAK57268 pep:novel supercontig:GCA_000165425.1:CT867991:66344:66760:-1 gene:GSPATT00028303001 transcript:CAK57268 MQLLKLGQINWETRCFSKAFLRGQRMVEDSLDGLTDLIMMETCQLSSLMAMESIIGQTAK YKMVNGLKEIQMKGYYCITKIEENIKECNNKFDRQFHQRQKNGEVEITCPSAVDIYHNIV LIDTDERKHKVSGQGQTQ >CAK57269 pep:novel supercontig:GCA_000165425.1:CT867991:66854:67664:-1 gene:GSPATT00028304001 transcript:CAK57269 MKFYILFAILYCINAAINYPTACDCNEHDTQESCEAHKCFWNQNKCRISECNERSLDNCI KASQFLSSNPKYCYVKNGNCVELNNCEQLQIVDSPQIAREKCQLFQCAYDLVSGYCFNAE KCDQIYDKETCNRYVIGQSHPLSLESFCYWDGTCKERKSLIQNCEQINEQEVCEQAGCRY HNKACSQINCEDLSNKECDGEYVNNQGNTFICQTIDQKCQKIETQTLNKSVCNKLIGHTF VNNKCSKCSSYAQHSKQ >CAK57270 pep:novel supercontig:GCA_000165425.1:CT867991:68230:69467:1 gene:GSPATT00028305001 transcript:CAK57270 MGCCMKRKAHVAASSLNPSLRQTKKFDTQRSRVDEMKSEVYEKTMYGNEDNYYDSDELLC IDRNQLLSLIKSEPKKIRWTPGQVIGQGSFGRVIEAMNLDTGQLMAVKQVMVDVRNEDRI IALEIEIDLLSLINHKNIVSYYGMERTEKTFKYLPGESCRRILEFNASEIRPFQGTSDQK YLHQNGIMHRDIKGANVLVDNQGVCKLADFGSSKKIALNSNSTIFGTPNFMAPEVVKQQK SGRKADIWSLGCTMIELATGKPPWYQLNNQFAVMIRIGKGEIPEVPEGFSEEAKNFVSHC LEVDEHKRWNATKLLKHPFLIQQNKLEIPQGRTPLRNTPGSKSKQQQRSFKYPEQETSHS PGQLKEFDQQQSDNPQPMIDSSFVLKQRRQQE >CAK57271 pep:novel supercontig:GCA_000165425.1:CT867991:69560:70061:1 gene:GSPATT00028306001 transcript:CAK57271 MHQELQKLEQLNSQELELVKQVYEQKVVYLNTKIYMLSKELEQKTSEVSKIKRSQIVVQE DPIQEDNLIEIERAKTQDLVKETKEQMEQELLEIRSQYSKEILELQKQLRKRDLMIEQLM IEIQMYKNCQNQNLDKMKVEYLSQLIEIVQDIQIQIQQ >CAK57272 pep:novel supercontig:GCA_000165425.1:CT867991:70450:72277:1 gene:GSPATT00028307001 transcript:CAK57272 MQPLAKQPQKEDEHCQVEDHILRKFELLEFKGKGAYGVVWKAVDRKTKQIVALKKIFDAF HNATDSQRTFREVIFLEQLVNHENIIKLTSVIKAENNKDLYMVFDFMETDLHKVIRANIL EPIHKKYIIYQILKGLKYLHTGELIHRDLKPSNLLINSECKVKVADFGLARSVAKPDDNS KCNPILTEYVATRWYRAPEILLGSQHYSKAVDMWSLGCILGEMIIGKAIFPGTSTLNQIE RIIELIGRPRQDELDAIQAPLAEQVISSISTQKRKSITQLFASGQEEAIDFIRQTLIYNP YKRMTVEQALNHPYVKEFKGTEDEISRESPIETYMDDNHKFQVNQYRDALYSHIMQKRKL EHKILIRDLKNVPINVISDSSKSPSKKDPFPKRSKDSEPSNADISDNKLYESQHGNRTIH KGPSYTQQRKNTTSQEHNYNNNNNNNNNNNNNNNNEHTNQFIYQSPSMIMQKKQSQSIFP NVDSGSPIKIIPRKQSMQNIQNSVSTVLQNTLSQNLQSQNALQVSQHQLPRKGSQGSIQK SSSYKMSATNYNSTNYSSAFQQLSKIRK >CAK57273 pep:novel supercontig:GCA_000165425.1:CT867991:72405:73962:-1 gene:GSPATT00028308001 transcript:CAK57273 MKNRKSIKNQFRLIHLFELVYKQFQWSIEAEYQHIQQINNCLMYIDPADTKAWAEELKEY QGNRKQKVDFQKPKIFTQKEMKLKQTEFNPVLQTYQNQERDVKEKEKDFQKTSTLAQKKM EMVKKYLHEYDLVNLEQVQGIERPDEREKTKQCLLPPNFNDYNIITNEKKSEEYYKNMKV VVQKRVHEKPVINRNKRDFNIITNKFLDNHEIKALEQEAAVMSDINDKCKRVRNYDIVAG SFYNDDQEWEYQQKLKQDQLNHGKHFNDRFPPSWKFRESVYLDQTKEIPEEIKMIDEINR NHKKRYEVRHVLENEYRERDLQDQLRTQDRLIKRHKYDDLIKKYDKEFDIITLEKPEIDH IVKALPPKPPLNSWDKVQMTKSVSDAPIHEVSIPEGIDFKDNGQNQNESQGRVRFPQVHR QGSEKSQKSISKSNKSGPKSIAQSLKSNIQGTEIKSGGFF >CAK57274 pep:novel supercontig:GCA_000165425.1:CT867991:74157:74285:-1 gene:GSPATT00028309001 transcript:CAK57274 MLQMPYLDPNFSDSTFFYNPPKSHSFTQNQVKYEITKQRYSD >CAK57275 pep:novel supercontig:GCA_000165425.1:CT867991:74735:75700:-1 gene:GSPATT00028310001 transcript:CAK57275 MNSDYREVNQNEQEEQQMYLETPIYKEAVSHFRTTQYYFISFNSLKIGCGFALLYMNHEQ TDLNYWMLAIMFHAFIMIIAHKNHLKYLTMNKRILAYKLDQIVAGPIVDQVIESRRLNIL SRQIKLEIAKQTIFGKWCCRIITIIYQLIFGYGVYLFCIYYSVRSAELMNQYYFLCAILI ISLYQYIDLYLILVFAILGSPFLLIFGCYYCANLFGKEQQRNEIRTRLQAIKYKPNLIEG EQECCICRCPYELNEEVVVLKCSKLHHYHETCIMSWININNTCPFCRKSI >CAK57276 pep:novel supercontig:GCA_000165425.1:CT867991:76055:76303:1 gene:GSPATT00028311001 transcript:CAK57276 MSARLLTAAFRGINIQTYPASIYTLSTQNEQQQLVKVIVPKNLFEMPVVHYAEQSIAILD KKPTPK >CAK57277 pep:novel supercontig:GCA_000165425.1:CT867991:76878:80878:1 gene:GSPATT00028312001 transcript:CAK57277 MNQQKKTIFTQKKAVYVLEEQLGQGTGGYVYRAYKVYNNQKQYVALKVQSNLNYTEQQTL EILTRQNLNHVVNILDLDSYNNEIIITMDLADGSFQKFWSLSKINNAEEILRYFIQIAKG TLELHQLYLIHRDLKLENVVYQEINNVKHLKLCDTGLIRQQNGMKTMMVGTPYYMPPEQI NKQIYDEKTDIWALGMILYEMLSGRTMVRGNSVQEILNNILNLSQASINFQIDQLKINKQ QGVNDIKGLLRQMIVKDSKQRVDSQFVVTELERILNIQSLQVQNGQNIMQIVRPEIREQI RLEIQKEFEIKFQQEQDKLAEQQKLDMEQFKNEIMEYYQKQIIEQTIRVQKETEENLKAQ QQKELQILQEEYDKKIANCNQQQIEELKYQYQEEKQNIITQMEKNYQIRFQQEAKNKEIE LQKEMEIQIQTQLQNRQQISKLLLQEQLKQHYQEEFEQKSRNLAQYQQQLTSIQQNLNRN KINIEMQQKDLIKHSTPNQSQKFLKSISEYKQQLQQMLNQLESINKQLSELEITSDVLSQ NQYCNLIEENLQKLEQIKNLYQDLIVPNLVESFIQNWNQLFDDIRREQKQQKIKNEESLL KLQQEDSEKLTKKLQDLFLELKEKLKNVNEMIGALNQKNSEFLQQYETLNQQHSSLYIEF QILQNSIKLAKEDQKLDIIEKQNKQMVHLLDKLKKIQFDLESFISIVQQYKAKEQQMIQD QLQNFIVIIDDWEYKRDQINYQQQSSNLLIELKTQLEERNKELEILKEIKNRIIQLINEL KQNKNQNFYKQFSEIEKEFQISTMKLNLIENYYKKEQRRSYLKPKEQKNINSNLRQLFDS VSKRRNDLQNQLQKLQNRCNDNIQQQHIFKQLELIRYICENQKQIIQEIEMCINKFQISN FQSIDEINLENSKITYQIKMFSQNLDPLFESLNEIKKQMTQPEDKYWDNDFQTLKNSFQE IRNQLEDKKKFTTNQMNLSSKSSRIQKNVQKHIRMLFEQNEIQSQLFSQVLKDNKQNEKC LKEKFLKKSQTYIAWAKEQQSRIQEIENQIKQQEQQNTDEFVGKFIKDYKEIASLLEKLN IKISELELQKNRDQFNKYNELAQQYQQKINDLKFEKQQNQSSFQALYFYIQQNQIINCAD ALFELYCLNRRFQNHLQDQKNYIQKKIENKEQQVEKNVLELSNTQNNLEKIQVCFNILKM KENEFSKVDIFIKSIKNDLLSLKEQFDELDFEQQLKKLHEKIRDLIQDQQKNIQIKNSVK LKSKLRNKNSVKEIQQSILDYIPNSKEMTNSLSKMMQEQRKY >CAK57278 pep:novel supercontig:GCA_000165425.1:CT867991:81304:82885:-1 gene:GSPATT00028313001 transcript:CAK57278 MKCDFCEETTPIIDIMRHSLFLKYSQSQNYHYTKGISEILHNFRTSNNILYKDVLLYDTP EELLCKVFHISILYEKLQMLGEYYKFHNDIPRLFMMPAIIPLNYYHDKKRRLEYYRIAKL ISNENKNNPDKPPKGIVGDSPLPYSSQQLTAQEASSSDEPISKCDKILEGISFIETKPQF VNFKQQLQQYQILQQTIPNFKYENYKPQIKQQAIQFQKPNNPDKQQRKSSITNILNMLKK KVPQTAVKKNNPSIKSDQLHITPRNIDIKSPTNSTLLPNQQRIATLLKSPKCVTPRAPQQ SPYLPKKVQQLEIKVMSDLKTLLAKKHSRNSKQYSTVHFDSLSLAQDPRSLTQRFESANN TNGQFTKKKLNIKELNQFRRVKIQEPLSNRDPPRKLNFKSNSNDKPLSINITSEHKINLY QPAQSARQDMNSQQATISQKLLNGQSISQQIHHQKSATAKSLGKSMKLTNTLDSQICKVA LNLAVKNIRTRKP >CAK57279 pep:novel supercontig:GCA_000165425.1:CT867991:82920:83528:-1 gene:GSPATT00028314001 transcript:CAK57279 MSKWAESKYEGEQLEGWFHGKGKYYFSNGVIYEGDFFKGEFHGDGTLIFPNGGQYKAKWE RGKMLDGTYFFEDHLKYEPMDWKYCIGDDRRFHQEIKQSIKPAGITQMTKDDILIEIPEG TYDVGEGYYEPVKSIIYRYDGSILRTPSEPEVENILKKCRYNPKKDFIIDGKDDKVIQKM TQKSQ >CAK57280 pep:novel supercontig:GCA_000165425.1:CT867991:83744:84781:1 gene:GSPATT00028315001 transcript:CAK57280 MFPSKLYDLQLTPSLNKLNCDLESAKLMESFRKLLLEDDKEILTPALDLMKAISHALYGT IVYWKSLSDKCKDDDLNTLSQQFQRKIVIYDMCEDKDLRCQIINFGYKKRIYLARYLNYF YVVGKKGSEEKHKLIKDILLDVINDIIGLPIRRHKRSYSDPFKYLSDQKDNRINKLNVKN VPLSPSNISRTSLQMQLLDEINQDGDLLMQECNSVINSSTTQNDLLQSLNLNLQEGTNIL SNIRYFGTLKFYDEARSYGFIIMDQDGSDLFVHCDDLTKAGMTKDFLRTAKQGNIIRFSF LILEYFGKYNKSRKAVDLQFIQGQPYFM >CAK57281 pep:novel supercontig:GCA_000165425.1:CT867991:85039:85455:1 gene:GSPATT00028316001 transcript:CAK57281 MISEYKKGYPQNPNSINDMINNDVLDSSLDNLKMDPYCCVDDNFDEIGEQEIGIIIDSNL QVENPLNFAKLKYDNPNKISNMKSIRQRLKPLNRSSKKNSKICEMKIIGKSKTPSRVRIE YFKRNRKRIEKTLIECKI >CAK57282 pep:novel supercontig:GCA_000165425.1:CT867991:85697:87296:1 gene:GSPATT00028317001 transcript:CAK57282 MFQIENYQKRIPYFIRYDHGILQNQQSVLENEEFLLKYQKNVDQLQTVIEEPELKEVIQS RNEKFFQVLEQIIKVESSIKDFAKGYQKFGFVVSDNGITYREWAPNAKELKLNEYSCTTD NGGNWEVFIPKDDDDNHQIVHGSPLITYCNDLQRVSVWSQVKKGEQAIFWNPENKYEFQQ QQLQQKQQSKGLKINKQRINQLENIPGYNAILITEQVLMALDINQTNPDDLKKTIDNLHQ QGLSVLMEIDHQLIGQLLKNWDGGEFQYVREGTDQLDYSKWEVLRLLLSNISFWITEYQI DGFKFSNIDLTDDIDIAVYLMLANDLIHDILPNGISVINSFEYPALCRTIKEGGLGFDFK VSQSQTKQYLPKTLYEIPLDASHLQQLNGLVLGQGVITNGKDNNLLLLESQLGWLETEFA EVNVIDFVIEVKRSKYIFLFNPTDQNRTIKLSYTINKVINHVGYKWTQIDDNNTEIQIQS EQGLIIE >CAK57283 pep:novel supercontig:GCA_000165425.1:CT867991:87386:89331:-1 gene:GSPATT00028318001 transcript:CAK57283 MSENPIEVFKEDMENEEVYLRVNAMHRVRIICTLIGVDQIKSQLLPYLDSLLKKDDDEVL FAMAEELGNIAEIIPNQSSCLLNLLEKLASFDETVVREQAVKSISFVCQFLSDLEIVNVI VPMWLRLAQNDTIFTCRISAINIMSPIYARAGTQQENMRLQFAELCKEDAIMVRRAVASK IGEIAQSMEKSHVVGDLLPAVKDLCYDEQDSVRLLCAQSLMSISQMLNFNEIKAYILPLI ILQAEDKSWKVRMALAQIFTDLAIAVGKDITDFQLIPIFSNLLKDTQCDVRLVAVKSLLR FIKFISPEKLNLIVPNLQILSEDSFCQVKQNVCEVIGQIANLLPKEYCQNKLQKCLFELM SDENTEVRRNAIKSAGIFVIAIGFEELNQFIPHLKKSMIDPKWRVRKETIKTIIQLALSV KQLDTFTQQLEQAFLLFLRDRAAEVRSIGLSYLSELIAVYKQEWALGSFLQKCVEILEKD NGCFFRINALYAIQQISFAVESHQVEQRLWPIVQKYLKDPIPNIRFVCLKVAKSLMKKIE NQDVLIQIKQSLNEMLDDPDRDVKFYVQEALQY >CAK57284 pep:novel supercontig:GCA_000165425.1:CT867991:89582:90953:1 gene:GSPATT00028319001 transcript:CAK57284 MYCDSISDGLVALGVFDGSLGIVSLVLSIMLEGTQEKIYTLMCTITEFWWIWLIVSIIYK KLSVGVVCSVKEVLMLPDYQFSRYVAAILSLLQFSMTICLINSDDVKKFTGEMLALAMLV PVFCFFKGIALIFVLLQQIFFIFTGREKNQYFTLIQEQQSKKQVIFLGIVFLIMTSLYYF LKSLFVFTIYNNFDDLSTKIFTLTIITQSLFLIIFSSFMTYQLITILKQIPVHEDPHLMN PNQKCYRNWFILIKSIYFSDKMRWLRRITQCFWCIQCVYGLIQFPFFKLMQITQQQLYTQ TSFMYFDTFLLQGLIITQIILNMAKKYHQIQDDEDPFLFNIKFANEDSSRAEKKQKTLDN SQIQCGICLEDLKAGQIRQKLTCHETHIFHLLCIQKWTQTQNVCPICRTPCD >CAK57285 pep:novel supercontig:GCA_000165425.1:CT867991:91347:92685:1 gene:GSPATT00028320001 transcript:CAK57285 MQFKPAPSNLNQIRAQKVKFDDKELTYLKKEKKLEQRITELMQELQQIPKLQEQIKALNE QISKQDNTIQRFRLVQSNERTLNERIQNKEITIQNQEHEIEQLNKKIDQLQKDVCYEKQN KQITFQIKQPVKSLTVQQEQEKPIRVQELEKQLLYKTTAVLKVQEKKRQMKKQFKNKIEQ LEQELKDYKYGYESYYEQNEQLTEQLRETSALCGKLTSENQSLKDQLEIFNCVPSEQRDK NQDTKYIIEIQNLRNRNLQQQQQISQLQYDISELKTQNGILIEKLKTIDSVKQKNDKLQG ELMLLETENERLKRKNLSDLDHIEQQIVQINLKEQQIKDKNYELELKQINLHQMIKYTNG MKEENEQLNAEIVILKSQLLDLRRQFEFVEEKHEEEMRILEEKLKLLQTQTNFRIINQIP VQINSPYQIPQIERHYL >CAK57286 pep:novel supercontig:GCA_000165425.1:CT867991:92707:94500:1 gene:GSPATT00028321001 transcript:CAK57286 MLQKLIRYYQSYYHGVSSVPLTYKTIGQQLQDISEKYPDNKAIISQLQGIDMKYSELYTR SKELAAAFIALGLEKGDRIGIWSPNNVEWALTQFAAAMADLILVNINPAYQTSELEYTLN KVGCKALIMKSSFKHSNYVAMLKELAPELDIPGHLSSKRLPYLKSAIIIDNIHKHGFYNF KDIFSLSNADHLHQVDIRMEKQDPDDITNIQFTSGTTGLPKGASLSHINILNNGKYVGGR INYTEKDVVAIAVPLYHCFGMVLGNLACINYGSTMVYPSDGFSAAATLEAVTNYKCTSIY GVPTMFIEYINEYEKHNHKYDVSTLRTGLIAGSLASEALMKQIINVLGVKDISNCYGQTE CSPITCQNKPTDSFEIKTTKVGCPLNAEVKIVDSNGNVVPYDTPGEYCARGYSVMKKYWE DEKATRETIDKNGFLHSGDIATMDKDGYIAIVGRNKDMIIRGGENIYPKEIEEYLSHMNG VEQVQVIGCNDEKYGEEVVALIKMKKDAEEISGLDVYQYCHKKIAYYKIPKFVKFVNEFP QTITGKPQKFKMRQEINKELEDPKYVELYQIR >CAK57287 pep:novel supercontig:GCA_000165425.1:CT867991:94553:95293:-1 gene:GSPATT00028322001 transcript:CAK57287 MQVFNTKDYMPQRVGLQFNPPTIVIEYWIPSQRKTYRHKIKLMRLTSKSNTADFVEYIKK RHNLYVSNSKLLDEQLESKIYLNNLALITRLKDKLSDQSQKKTTDSKQQSEIGRKYASSK TPNINPFTKTQQVQPNQSSSTSKLRENQFESIKAHVFEEININSDEDDKNQQDDDSGNYQ DDFESQPESDIENLNLNKLNVEEVQKVKEKMDVKYVKNLLKPGDPGFVYDKQIEYKPKKN SDWDDD >CAK57288 pep:novel supercontig:GCA_000165425.1:CT867991:96383:99174:1 gene:GSPATT00028323001 transcript:CAK57288 MAKNTVTMFLCLLILTSHCSLKDLVDNPQQHRDRRKNNLRSNTYKENLLQFDQYQRSFLI SENVLSANQQEVQVTKEKLFANQEAIKNLEDKRENNVNREVDNEFKNNADQAQSQNNNSK FDQSKQQQQYYQQENQAQDLDNIEEQTLHIEQQDSDHNIKRINKNYAMQDQQDGEQDNNE DGQGQDGDTQDEPPADENKEDPPAEEPKEDPPAEEPKEDPPAEEPKEDPPAEEPKEDPPA EEPKEDPPAEEPKEDPPAEEPKEDPPAEEPKEDPPAEEPKEDPPAEEPKEEPPAEEPKEE PPAEEPKEEPPAEEPKEEPPAEEPKEEPPAEEPKEEPPAEETKEEPPAEEPKEEPPAEEP KEEPPAEEPKEDPPAEEPKEDPPAEEPKEDPPAEEPKEEPPAEEPKEDPPAEEPKEDPPA EEPKEEPPAEETKEEPPAEETKEEPSLLKKLKKNLPAEETKEEPPAEETKEEPPAEETKE EPPAEETKEEPPAEETKEEPPAEETKEEPPAEETKEEPPAEETKEEPPAEETKEEPPAEE TKEEPPAEETKEEPPAEETKEEPPAEETKEEPPAEEEKKSEEETKPEEEAKPEEEARPEE ETKAEEETKPEEESKPEEETKPEEEAKPEEEAKAEEETKPEEETKAEEETKAEEEAKPEE ETKPEEEAKPEEETKPEEEAKPEEETKPEEEAKPEEETKPEEEAKPEEETKPEEEAKPEE ETKAEEEVKPEEETKAEEEAKPEEETKAEEEAKAEEETKAEEEAKPEEEAKPEEETKAEE EVKPEEEAKPEEEAKPEEETKAEEEAKPEEEAKPEEETKPEEETKAEEETKAEEEAKPEE ETKAEEETKAEEEAKPEEETKAEEETKAEEETKPEEETKAEEEAKPEEETKAEEETKPEE EDQTRRRSKS >CAK57289 pep:novel supercontig:GCA_000165425.1:CT867991:99906:100214:1 gene:GSPATT00028324001 transcript:CAK57289 MKQRYIITQKEGRYEDREKFKIHNRIISQNTTKNKSELIYNSLGLIIYYNEQESDCKRID VQFKEFNSIKKTAAIDDGLKIKEIQINKSQGGNIDQNTISKY >CAK57290 pep:novel supercontig:GCA_000165425.1:CT867991:100560:101341:1 gene:GSPATT00028325001 transcript:CAK57290 MIPVQVLKTIQNQNIQLHQTNPAFLKSRKHSPINHLIVTRHPRVSHQIKEQSNSLQKHLK TSSQYNKCIQSVEQFQRLPTEANGETKNRPAIQISSALKMSSSKHPSEQYYDTLKFSSFV NEKVNDQFVYTNIGEAKENEKEFSQAKIVNGSVDTFIVHKTVLNWKNLEIQKLEKELEQL KQENRKYQNLEIDYQTLLQENSKLKLVVQTQQIEINCLNHQQTFTQLGKSSDYVSALSDS DKLKLQSLIECD >CAK57291 pep:novel supercontig:GCA_000165425.1:CT867991:101342:102173:-1 gene:GSPATT00028326001 transcript:CAK57291 MSKTTYHPQFQCSSPVNDEELLSVKKSFDSEISIYNPENELQDMNQAQKGFSQLFDDYGY IVFRNGDLEKQKSIQIIDNESFAFIEEEDNSLNLFHDQYSESKQKYDPIKIKEEPPQRPK KFLDKNFCRYILLYAFRTIENNQFAETISSICSQFQFNYNDFIQYYTKQRVLIMGYQALK KELIYDGDSIQNQNRKKAFKEVLVWYLNTLATKQILSSKKQNIKDYLKFKNYVMLYNIHN PKAWTGNKIQWN >CAK57292 pep:novel supercontig:GCA_000165425.1:CT867991:102265:103060:-1 gene:GSPATT00028327001 transcript:CAK57292 MQFQTIKKESQAGAKPSPKHESYIAKIFNYNTSQKSGILKKELPLQDHQLFSYFMITKSL QSVKLQESPIQKCKADQTKKKKVNRVVFKTEIDENKNLQLGFQTQRKSYDCVAVDNKINS FNLISSMFELESKNMDLYMDLQDKTTQIQQMQTQIEQQQIKHQQQIRQYSQEKKELLLKQ TLLQFNRIQNLEKQSELQRKYIEQLPQFEVEAQEWKIRFLKLNKQYHLQQETLVRLEAEQ QSSQRRRTDGFNCFKQQL >CAK57293 pep:novel supercontig:GCA_000165425.1:CT867991:103154:107868:-1 gene:GSPATT00028328001 transcript:CAK57293 MNLPAPGTKMSQPNQETLFETLKYSNTDYLDRPIQFAPVIRLFGYEPKTKERMCVHVHGY FPYFYIKVDELRHLFTDLDFVKDFINKVHQVYASTFLAHYPKKSQEKFKIIYQYELCQKL DFYGFHMQKQLFLKLFMYDKQYMSQLVMLLASGAIMNYKFQSYESHLTYFMHFYSDANLF GMSQIKILKLKYRKRFDKDLESLLTKPILFRDLHASQYSHLYRVTTCPLEIDCHYTCILN ERYKDEKDRLEIARAFTHNKEFEVELTPSLNKLWSDEEINRARFKFNQPVSFIVDKSKNK EGQLWEHYPSVNVLNKQFMKQYFQLYEDAKKNHIKFDINSCIINQNIAQMFFNGQQDEME LCKKSIIQRFQEYKTRKKDLFELIKEEGNIDYEYDFDDKFIDQQDLQQDNEDEDLEDAES IIKSQEEKNVFDIMKENQKLQDKNRFRKKYHANKNNIKLGQMFQICEFCNSNFLMCNCIE KKQKKYSLLIPKSHKSQIYQYKVKPPSPNEVYLQLHKQMKLSEHQYAYFGDIKDLYKHYN IKHKQLPQNHMYALLLDQQCIKIPENRFYAISIQNSTKLPQSYKLDVFKEQQYNCNLRQY QYQHEPPAVQEVVLDIKRRSAMRNDPLDSIIETSQYFYPTPLSILSEDDITFQEDLVLMI FEIFSYKDSKLLPDPEKDEISFIILHSYNVKSSKIMNKMLIIQNEENYLKAVNGYFERMN APVEYTIVLTQNEINLMKAFTLIVHLIDPDFISGYDLETRSLYYVANRAEFIGYDFLTEI SRGQPTYDKLIEIFDIDEILFNFGQPQPINEKLQKYMDYRMQRPTASKKQSFNQDAKTKS YNSKGKLNQINSRRKKLRFITFVVGRVLINVWRNVATDIKIIDYSIENVYFQMFKQKNAL IHNQTLSQWFKSETFLVFQYYAKRINMTFDILEMIGLIPRTIEIAKLFGCEYESVGFRGS QFKIESILNRVTKLNDYLLLSATRVQVSTQKILECMPLVVEPPKIFTVDPLIVLDFQSLY PSIMIAYNLCFSTCLGSIKEEFQEGGKKRFGVVATDIDFESLLQKYQNQEELMKHILITP NNVAFLTKDVRVGIIPQILNEFLMTRIMIKKSTSLYDKHPKIQKQHGFRQLAIKLFMNVM YGYCGASFSGRMPSGDIADSVVELGRTILNQCIECINTNPAWQAKVLYGDTDSLFVMLPG RTVNEALLIGNQIAQHCTSLYPHPIELKFEKVYSEALLVAKKRYVGNKFEKGEFQLDGKG LEIIRRDGFPALQKIQKKAINILFKTKDLSQLKLYMQKQWAKILQDHVDIQDYIMAKEVK LGSYKEGRLPAHAVVATKIMNSDPMRRPKGGERVPYVVIQAQGTSKLADLVVNIYDFQST MKLNPHYYIKQINAAVGRLFACFDIDIADWYSNLPKMLAKRDQFKQHLTYSNAHQTKATQ YTLVKYLNIQQCLLCGEESKYELCKSCSQDGRTALFLLQYKQNLLEIDKANITSSCKSCS IGYQNCKLWSCSLLFKREKLNNYLNTVAQMNQKYQQLIEFMSDY >CAK57294 pep:novel supercontig:GCA_000165425.1:CT867991:107921:108755:-1 gene:GSPATT00028329001 transcript:CAK57294 MDRSFVNSSPLNTSKSKQMYTFPKAKRWVDLKDNLCPPIYQLPTTLSKRAAGIGYGKKVN LTHETITPAPNFYQVHQNQEHGWTMGLGRDHANKYESIFLGLVQNTPGPGTYKFKDSLSP VRYSIRQRLSSRKEKERKPGPGHYNLPSSINSRGVYTLSQYRNSGAIVLAPPKTHSERKM KDTTPGPGSYKQIGNMDPLGTYFCSKYSASKCNKFPRAQRSMSDNHNRSPGPGTYKLPSD FGF >CAK57295 pep:novel supercontig:GCA_000165425.1:CT867991:108779:109380:-1 gene:GSPATT00028330001 transcript:CAK57295 MIDASQCSRNDIQVNDYDGQELNRIQNPNDSQKTNISLSEKNQERIETPSFFYRNKQVEQ EKQSIKFQIDQMINHLNQMKTMCERKIEKSFQLSKSLQRNMVYLTKFTFMRIQELITQLN SFKTQSFPNNLLELYDLFELKMGQFYQQYSNLYFDIKMFIERSKFYLNEDNERDIKLISQ IINN >CAK57296 pep:novel supercontig:GCA_000165425.1:CT867991:109473:116156:1 gene:GSPATT00028331001 transcript:CAK57296 MFTSLDFKYEFLIEALTSLIKMLTNQLLSIFIIKEESVITFLRNHPATNLPLLIELLTEY IRNHYSNQNIYIKKTIQQAIDMTISQLRCQQQSYDNKKYIIFSDFTNFSYGGFLAFDLWD TQGYTFSFNAVLIGSQKLNGYESFKLVIDPQVISDYMENLNGELYDINLRNKNGVLMDID QIIVPISSNKQVYNTRQQVGEYINKYPKEMLEMRKKEGFKEENSQTLSIRVNDQMRLLYQ IGEDNVAIYLERNQLMDRPQSPQKKQTEQDNEINTNCKMLFEMYKERDQLQEIVLDMQLQ KISIEEGMGLLVKRKAFESQKFINRNFKQISLVYLIRPIDFRQFQEEGEEFNKNQFYHYI RQKLQEVSTKQIQNCLLYQQDQDFVMIRILWHSHTIIRLICIYNDSKMLPILSNRIKEVF RSNQILRNSLIEFDHSLKNLLIKSETHVLKKNPELYVLERCWEWKKELIWDLQQMYKILT SVRIKSNYWRVPSLNDRTNKFFVAQLELGQKIVIVQNLILQEDRIICNIFTENIISEERN VLIEYFDKIKEFEKQIVITLHTTHSMYRQSLIKKQNSSQVDFFTLQFITRQGKLFQTKEQ VSKQFLEYAQSQATYYPTPTNQLDYNSLFQKGYLYYEIKQKQLDEDSRNRLNTGYLQVLQ QIANHIVLRQQSQLDQDLLFIVVGPQRLLMIAFTLENTAFYLISLEQIERNHTSHPFLNA NQEKKVDALINFVQQEYNSVYAKEVHQQFMSSSFTCRLQEAIEGCQIEILDVDLNQAQQD LRLLFDLQARKIQKKSQIGEETQSPNKQFRRQNYQQRLSDIKQQFVQKQCQKWDERLLKL MNDHKISMKQIEGSQQWYYYDNKQEKKENILFLSVKFKDGESEYSSIQQLIENFRQKQKR LKLTISYLIYYYDKRSLIKILNEGLDFLQASQTDELTSIKIKIQRWSDPFRKYNNEFMKK FQMEIQRLLPINEDNIKLIYTMIEGMDNKSVEYKQSDRKYDKHFASQFSDEIRNTSEQNQ LFKYINLSDEYYVFILTTENCNMPQFEEFELEKEFLADEPQVIPFWFIVRVQEQNFSIVW YSEYQFESLKQTAEELKELILETIDRTLLFLIIRKATESTNRVKLILSPYFEIDEETPAL EQFKQNQNPAPAPSMQKNVSMRQMWKTKTVVETKVQEQKEYKIPFVGWKVFHPYQPKKPF IQYLINNTILMNHQIDSNQYYGQLDKQYYLFSFQNVKNISNYAEKYPELCSDIIPGQLSI IMNVYSTNKNQKKIKQDIDYYYEHIKRNMDEDTINALSQGVIRNPRLKEQEFYLIQKGEH NYIEILLNNNIKDMLAFSTFMRHNLEKTFCKYEVQQQEKGKFDVQRDDLRYIVDQHENSF IFSKYKVVYSPNVNMSNFFGQGLCLLNFLYQVDGEIITSYQFPKQPKCVQQEDLLVIPAS LPPLQFYQGSRTHRSEVCYDISKNYNEKFLIIDVISRGQLEWNAFKEYFSALVRQVELEY QIEVIQLKGKNRDNLQEIQQTFKQLKHYSFKMHHFVYPLHYDEILWSSVMGQLKNKIIAE FDKKGISTQLSQQFEQQFSISPSAQLDHGSMVYIINDGQDYEQATLLDGVKHFASQKQKK NDPIDLYHRSLLVYVHLSNQTLEVFLYNLNCDVAANLNHQFGILSRWSIIRNLVAQKMKN DLCGCYNVRLSPLYEEIFMTKHNIYDHSIVNDLLRLRSQDQANKKVKKEDQPDQFPTVSK AIDTIVTQEFPPQDIQLFEFVKHLEKFFKLNFSVVKPKKLNIDQNQQGDFLQREGKAFLE LIKDFYKNYIQTQLIKYVKKMKVSGDTQIAQSKPILEKILNQSKSRVQSFPLYIFRMKEK SELKGSLDLEKEFQSLINQGYRKVLKQSNYEIFLGDDIKMDDIDDQIMDDELKKNVQLLS EEDAKSLMQQVDLRLYYNENMLNHMDHHAQSSDLIRRVNYLRRKDNPSIIKMVALLSFKC PFIFEKMYCLPGEELPQEEKGTENFINQKLEQFHSKLIEQQWKKNEMQIDLYMLINGYTK IYQRFNHQYPKIDRILYRISVGFVLDWIKIDGFIKFILANSKIYYLNKLHGFYVYDNQHV LQKSEQLQLSLYINLLSQNDLVDFKNIIELELDEQQQGSKQLSLVANLLINSPNIDQFLQ IFQLSLLETLKRAHKNFMAYEWWNKIKINNQLGAQDLAFLIPYCQQIQTKVFYAFQMKIE IGQGNKI >CAK57297 pep:novel supercontig:GCA_000165425.1:CT867991:116458:117165:1 gene:GSPATT00028332001 transcript:CAK57297 MFEKEIVIDGKGHLLGRLASYIAKELQRGQRIVVVRTELIQQSGSLFRNRVIFEEYLNKR MAFNPRRGYKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKIFEGVPPPYDTRKRQVIP DAIKLIRLKNHRPFCTLGDLCASVGWNSQSVVNRLEEKRKQRGAAYYKRKVARENLRRKA IGAKELTSINQELEKLGY >CAK57298 pep:novel supercontig:GCA_000165425.1:CT867991:117620:118208:1 gene:GSPATT00028333001 transcript:CAK57298 MKIEESNSNENQIEVKDSIDKQNKITQKQNDIILIDQITDWELAKKAFVNQLQDIYDYCQ VILLNQELVGFILVLIISYSFYLMIKQEDIKLKQKSKNSQQQQQRKLNSNPQTIQILSDS LEQKNQALPQMSTIHGSICYAERVNKTIDDLIVNEKGILQRSSSHPLLNKQDQSVEELNC LLRDIN >CAK57299 pep:novel supercontig:GCA_000165425.1:CT867991:118211:118817:-1 gene:GSPATT00028334001 transcript:CAK57299 MGKHKSRSRSRSHEKKKHKSDKQKPKDGENESKDWRKDKVDIGQKSVQAGLDVLEIIKKE RQEDEEKLEQWKKKVGYTGLKVKTPLNIYEEIMKKNIVAPVKAVNPSLLNNPQAPRMIEI LVNDRLGKKERIKCCPQDKIGDLKKLIAAKIGVRPEKIRLQKQHMVFKDHITIEDYEIKH EMCLEMYYN >CAK57300 pep:novel supercontig:GCA_000165425.1:CT867991:118851:119627:-1 gene:GSPATT00028335001 transcript:CAK57300 MLYDSNLRMSLINKVIDQLHNAQMEAEKVCVMSRTIQLADLKVDFNQLNRRLIEFSQEVV ELWRKGYSQRDYALYKERLQQLTGMHKNSLSKISDQSRSKNTIRKLSKQSSENECSIQTK AKFQPDQNPQQIYLLKEDDFSDISFASLTSSNSETIHEIIEHSDKNTQGFCQVHNCRELK IIREIFRQKIDINQLQLALEEIISLKSQIRMKLQKENQKIQAMHERLIEGQNKLKRMEEF EKEQSELIIQKRIALNLE >CAK57301 pep:novel supercontig:GCA_000165425.1:CT867991:119869:120134:1 gene:GSPATT00028336001 transcript:CAK57301 MDQQNKNNQSKNDKNEQQPKQKDEPIKPQKRERMVMKMQTGIDGMNFEGMAEESELRDKV SKAVQQVLQSS >CAK57302 pep:novel supercontig:GCA_000165425.1:CT867991:120422:121234:-1 gene:GSPATT00028337001 transcript:CAK57302 MFRKLRQQYQKDLDYQFLKQFNKSSINLRCNNQKSRFVIKKQLSKVNIPLQSQLNKLFEC NDKISKFSKIKYYVRSRSSQNSQEGHLNQRISHILLSLPYHSIKMHSRAGIRKLWRRYII LVIFLMRYQKHRQEQLKLNLQTRLLYLGTPKIHLDTTKRRRRNGENQFKLSTFAEYIDAQ DKQESKTKRSEDDKFKKSPVYQSFKYNDVRICRLLKIRNNSVPTSIAESPLKVNQQAAKI NRLPPLLQVDRRMQRVYSNFCK >CAK57303 pep:novel supercontig:GCA_000165425.1:CT867991:121997:122478:-1 gene:GSPATT00028338001 transcript:CAK57303 MGKKEKGKQNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIIRFAQKNMLTEDVRVDP QLNEEIWKTGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQHVPVDSFANLKTEITKVQ >CAK57304 pep:novel supercontig:GCA_000165425.1:CT867991:122570:124888:-1 gene:GSPATT00028339001 transcript:CAK57304 MQPEQIVEQEISHRVFENSSQYLFQEKTVIQQTPSGNNLFIEISDNKQTKIDLIKVIETD KKHEDVQRQANQNLNRSTPPSIHVFEPQLTLQNHSAHHLIDSTGKTYLQQRLYQQAVYNG DKLYQDYRNNLLNQYKSNQFYKRDIKWVYFLILISVFQTVLYCTYILNNQGYQYLGRWNK GIDLDGTLCGYGKAESYPFIYLNNPMKEYLYQRVCVSQCPDDEQKQVDCLSNHLIKTCNS QINISNPNKDFIIYSTFRYQYSVCIPKTMNYFYQTSEIYNFELIQSCISDLWVMRYPVFA TYLVTIFLKVILDKLIKVRMQKIYVSCVLLILLIGIAGIYFFHKFIKLINIGSFDTQIAT MTVDYAFVLEHTSKPNPTLALLMSIILVMVFYIMAYLFYKLNNEIKLLFIGLKLMRKFQK SQRMVSVLIFTNFLKFLIFISYFYTFMATLSPPDFGNHKQIFTNALSFTQYCLGIYLIVS LKYCYFLIDNLLSFFTSSLFLEWYGLALIQQNELDQTQNFKIPQKKTLIYNLGSVVAQSS IIFFTDYFVNVWNLFSMINKKLKLKFYLQDYNHYSSLNQFSTILSLKNCTFKHAIEIVEQ LNGMILPVHQKRMIQMSQVYGQLNQYFISLLATMIIYVIQRLLYSDQLFSIYPGLAMGFA IGLFVSQTHYVEMQSGIQSLFHIYFLDQEQSLLLGILTFFRRKDKKKMISHIEQQIKDFK QQIEK >CAK57305 pep:novel supercontig:GCA_000165425.1:CT867991:125168:126797:1 gene:GSPATT00028340001 transcript:CAK57305 MLPTNKIDQGEIMLQISQREQELQNQQSSALIQFSSNPICNDNATIQISRLDQEGETIQQ HSHQVETQHKEGLNDNSLENQNIDFDSASYSHLQVADEQNQYNTKRQELQQAVKKEDVQI QFNIATNNLQQSNSQKSISIIKFDDNSEVRNNGQTESKPIAHYILIPVYQRKQNFQDNNP QEESDFQQLDQNPNCPICSSNFERIVRLLECEHMFCESCYKEYLEDRIKIAKIHNIPCLQ EGCTILFSEDVIKSIVSEQKFQLYLVFKRKYEIENDPNKKWCPAKGCDRYIEKDPKTNLI QCECGQLVCFNCGQVAHQGMLCEDAIQGDFKQALAKYCIKYCPKCKSHIQKNAGCNHMTC ANCSFQFCWVCLQPYHEYHYRYWSIRGCAIWTNGRFNTTKEVSNPDKMRRIYFLPRVFLY TFRCPVLIVKLTVKSACKSVVKPFVFLNKQLCRSSKPKFCLLACFYFLFAELLILLIVIL VSPFFLFYQCAKEIKWLSIKGCAY >CAK57306 pep:novel supercontig:GCA_000165425.1:CT867991:126850:128151:1 gene:GSPATT00028341001 transcript:CAK57306 MGNCCGGHNLANANFQIASYDLQFSDPSKFDLVLLNKKALQIQKLFRGYVVRKKMKKQQT KALAQTSDESFIYQYRANQKEDVKNNQNVLKFNIDGQQHIPVFNVKEVNISSKYSDKIKS VLDKLDPFNFNQDAQFLEYPSSKPLLIGDVIYEGQWNNCQKHGRGRQFWIDGSYYEGYWF NNCQHGKGRLLLAQGDIYEGDWKNDKVHGFGTYIHQNGAKYQGYWENDKQHGKGKEFWPD GATFEGDYKNGQKNGEGILREADGSVYEGEFQENRFEGQGDYKIVGGKRYVGQYKNNKMH GKGTFYWKDGKKYEGDYVNGVKQGYGVLYYPDGRIFKGYWQNGKQHGIGIYIGKSKVEKE GEWIDGKRVRWIRKGGEFAINES >CAK57307 pep:novel supercontig:GCA_000165425.1:CT867991:128329:129703:1 gene:GSPATT00028342001 transcript:CAK57307 MGSCCTGQQAWCGDQKTQVNLGAGQSQDPDKKVDEQLDENLVKEKATKIQAHIRGHKARE EVKKIKETQKSSEQVSDKCIKQSLEPKRMENHQQQEEKASVTAKEGAYTKGPEQGAGANQ HAPNDRVRKIERVPDFLTDRTRQVLEKLDEFVYDQDQEEYKDLPQLGPYEFENGSVYIGQ WKNGQRHGRGKQIWQDGSLYEGYWYQNVACGKGRLIHSDGDIYEGEWRNDKAHGQGKYVH MDGAQYIGQWEDDRQNGEGQEIWPDGASYQGQYKNGKKDGRGTFKWADGSIYVGDFYQNN IQGQGEYSWEDGRKYVGEWKNNKMDGKGVFTWLDGRRYEGQYKDDKKHGYGEFKWPDGRV YKGDWSNGKQHGRGIYIGSSKVEKEGEWQDGKRVRWIRRGGQPIEEGN >CAK57308 pep:novel supercontig:GCA_000165425.1:CT867991:129776:130729:-1 gene:GSPATT00028343001 transcript:CAK57308 MYSTNPILQQQFGQQTNQFQVSPRQNQFVQSPRQFQQSPNYNNAQMLSPQQRQLMVIIML NDLGISTITTNETATITIKSDYTNEIDLIKPHKYIKSQNHQTNQLILKGWSKLTNIVTLR IGLGIQTFRETITSSKSRWQKFQSILKDIEAPWKQKCTALERQILELQIQIKKNNGTIVE ENFQEVQDDTKVKNLLNQKQELEKKMSDDEEFMKELRLKLQELQEEYQVIITEKVTYASS EVETWMKKYTNLEKNYKESQQKISDLKRQLAQVEAADKAAQDQKKMEAKSDVRKSSKIF >CAK57309 pep:novel supercontig:GCA_000165425.1:CT867991:130939:133242:1 gene:GSPATT00028344001 transcript:CAK57309 MLKIIFLAVLCSFSAALFENSYLIKQANKLEDFRGILESEFVSLVYFYSDQCENCQRAAS LIEKVAEDQEGIINAYGANCDEINKDPKSNDQLPYCSQDVIQHLPQITFFEPPTQPINPY TKEKMIATEHRFQGEATPQSLGQFGYKFIPNHVIRINTLEELKKFETSDPKLNKVILFTK RKETSPLLKAMNLHYLERVKFGEVVATPETQSLLDEFDIKELPQIVGLENNGDNTYNRDL YDGDLLFKPIKKFVRGLAAKDRIPYEQINEQSKKQEERKNRRQKQDDQEKSNEKQNVITP TKLDQNILNKQLLRNDKPAFVHVYKETPHKAWEETIKKYKSLFDYYEFQVNTQEDEELVK ELQIKSYPSIRFYQVGNTAKKRASKISFTKEYSLDEINKDIQELVDDKTININEQTLQMQ LSQYIADNNIVVLYFYQTPQVGLTYRVLSQLQEYHGKYKFLSFKNASQKVIEQFQIPNQP ALTIIFRDVKDKKELEEELKPDQVRQALFTGKHGYDEIKSFLDSFDESKKTSSKKVQEIH NQEQLEEYCEKKQTLCYIALLNGEHKQVKHDDILTKWEHQLEVLDKIKNTHGAKQASFVF IDASCHDELLLKFDISDDTLPNFVAYSSSKKIYSKLIGRFDYDALSKFIDKQYKGQSNNI NINSIQIIEKNCEEIFQKRKEASQQSGLSDFDEEILKEILEEERLKKKELEKELKKGKKK SKKSKDDL >CAK57310 pep:novel supercontig:GCA_000165425.1:CT867991:133433:136617:-1 gene:GSPATT00028345001 transcript:CAK57310 MDKAKINYLLEGEILVKGKTFGLWQPKKYIIDQELRIFSIKSSKQNKNYHLANYQIANVE RKNNRFQFELVSTIGEKNILMGSDNEKFANELMLFLKKMCGQQLRTQSLFEPSLSSKPNS SIRDYDYDIPHFFEMPEIRDAIQNIRSKSFQLEQYELTQNQIVKIYRNKNNQLNFKVFIT LPGNCLAKCQTQLFESQYDWNAHNIKEYTQIKDFKSDKSQMITEIRLIKNWFQFKREFTY LRHVVEFKKKENTKIIIEKKADHKIHQGTVSGLLKFAVWGLQFENNQTNIVLFTEQSYNG LAFIEEDSILSQQYFLQFENIIKTSKTDPDKQLMQDKGQGINPNNSPQNEFLTSKSKVKV IKDAQQADIPKQTIQNPNTQQPIIVSSSLQGPSQQSQVQSPNQMLLPSKDKHIPLIGNLK EDDQQIQQQVNMKKSAKSFDINQGKNDQNNLSQGTLEQLKLKKTSSSQESSQVVGNNISQ NILFQQPKIEDDCENDEIEPENEGSDGNLTQYYDCQDDIDAMNDKLFIGQQDQQANSSDQ SVIEVRNSITQRQPTNRNHQVQSQSDTIENIEDWVEKKMQAYFSGKFCQIAIDIEHILNP LESDIKNRKYMKEQEGGHYIFRKDFIRDEKNGGLKCINEVKVNAQKSVVKFLLARIGTSL LMGRSLTSISMPVTIFESRSNTERACSSLAFAPVFLDDAAISKDKFYRIKQCAAFSFGFI FSYLSMEKPFNPILGETFQGYFDNCPIYCEQISHHPPICIIQYYGRKYKIDARLELVANF HSNSVVGRNVGEVKVIFENPPQEVIILLAPGCIYGTTFGDKSMDFLEKQFLFDLNNKWVM ECAFKPDKKYCQYFNIEHLPYSDFVAGGVCEVTDAAIGRYLREGYRKYKGLDLKSEVKSV KSIIKGVWNQELKFDNQRLISIMTDFPIKLELAQYPLPSDANFRMDVLMWKLRDFDQAQQ WKENLEIFQRQDRKLREAMGTKKKKK >CAK57311 pep:novel supercontig:GCA_000165425.1:CT867991:136779:139528:1 gene:GSPATT00028346001 transcript:CAK57311 MADTFSNSKDFYSFQQKQLTVQKPQALRLEHWSISQRTKFQSIKYRTNSEILTAFINKGL LQTQQKESSQKHYENSSSISIKQSLDERVTREHLLSYQALQTIEDTEKSKKILPPLSLYA DKLILKERQNQSKEKLETCKNSINETQELKTITSPKLQKTVARKSRGFIQGEHKSIYESE LNAHKMGRTSSTPLMQKSKEDKEEQATSRSGTPQKETPKISPIKHPQKNLTKQLSIQTPQ YKVMKEKKFHSGLHLSQQQQQQQQQQQQLSQQQQQQQQSIQIDKKFIPRKKKMSISNNLI QQQNLQKEKLTLRHTSYRCFLNKINFSNNQCISSPSKMEVPTYYYYVGLGNNGTLVKNIF RQRWWWTEAETLDTTKVNMSWTQLKQNICIEQLHPYCMDQGAQSSESFILSHEETIGDTS DSDIDVKSKQVCQSGGVQKQQSSSQHQQKKPPNYFSIKRILNAQDLQKLLNYMEDTNCYD SSIIFSDCSEKLLKDIKQYHTVQKLDGQSQRMHNHMEDNWHLGNKKALFYNMKHYFQIVK EDYNKLLPVTFHVQKGLSDIEYSKFLDYYNKRTEELRELEKNRDKKDKKKPLLNLWIIKP GELTNRGYGITVCNDLNEINKIISEEIQEGRQRTYIVQQYIDNPFLYNKRKFDIRCYMLL TSQNGIFKGYWYQEGYIRTSSKEFTTKCLDRFVHLTNDAVQSKDQDYGKHEPGNKISYLE FQRYVECNYPNSKFNFFVDIYPKMRSAALDMMKATYGKIDPHRRINSFELYGLDFMIDEN FKLWLIEANTNPCLEQSCPLLSRIIPTMVENLFRIAVDPIFPPPFFEEWPLNKKLFIPDN VIENNKFELIFDELIEKKNMLSLFRDNKIEQECFDIEEEEEEEEEID >CAK57312 pep:novel supercontig:GCA_000165425.1:CT867991:139795:140881:1 gene:GSPATT00028347001 transcript:CAK57312 MASGTASASIGETQWNKQIILQIQIIVTIENIEKIYEFIEAAINNGEGVLFFSLRGQSRA LTALTAYLMYKYSWNLNKTVQFLSTRKKDFEITQAFLNQLKSFEKDYLKGRKLSSEWDNN AENQEIQIIQNTYLNSLRQPPMIQKTVARFSSPNETKQNVTWSINLIQQIQTIEPNEDKQ TPKELKSILKGSSRLLKKDKQLRSISVNDNSKPSLEDFILQNMLITKKLQTPKINSFSLE NKGKKKDLTEGIISQHRARPKRPQHFTPERQRIMQNTKQIMDTYLNSQINNIQLMRGRSI YTPQKERIRAVSQFSQSPNKTPSLTKQQFFIENQIGNFMKWSLAKKQPQFKIR >CAK57313 pep:novel supercontig:GCA_000165425.1:CT867991:140947:142971:-1 gene:GSPATT00028348001 transcript:CAK57313 MQPLFKQRKPANLIIQSSEEDKIVQQQIQELDTFEEFCSNIPDVENQELNFTKESKSFSS QPINLQESQEFQYNPKFSDQKQDEIQESHPIQYIEFVEFHPQSINSGQSESNYQRIDSQP SPKDIMQEPPAPQNKNLTKFSFPQKGGCFSSLKKQLCVEQPIIINHGNQSEFEETLVKQH NNQTIPKSVQDDLIKQIKELNDQKNAIETTLQTQIQSLQNQNQKLEQELVQQKQKNCSLV QENMLLQSKIQILEQKKSTDLNNITDFSNDLLPHKLSMNDKLVYLLQKLNAKTSENAKLQ YEILKLQNNIEILETQKYLQINPNLLLETHKLQQSHSPLIQPQSQNRGQSLSKDSRTSLL NSQKYPLNLSLNYVYQGERRQEVFINKKNRIISPLAHYSSVGEQQQLQLTNLQKNKVYKE DVNYNNKMHTKNSTSVGELISFKQDLTNCSNMINKALRKESYSTQSPDGKVTQKFKQTLN AKIGAQQENSKSYASLLFSLGEKQKDVRQMSPKGGNKKSKQLFGFIMQPHPNSRKQSEIL KSEESHKMSHEQLKMSDKKNTLQTSIDIFQIMRDMRVKKSGISTKQSSKKGQAATSRQLI TEIKSSRTNKPSFD >CAK57314 pep:novel supercontig:GCA_000165425.1:CT867991:143031:143800:-1 gene:GSPATT00028349001 transcript:CAK57314 MNYSHWIKKAIYNKNKFQKESRESSTITKKQISKHSSSSIRDLYKSQKWEHLIKMAKQTK GLFEEYDCIKVQKKVIKLGETVLINSGDAHDEDYVGTIKQIISIKEPTTLKLICLCRVQW YMRKSEVIKSHPKSNEWVSEQELFETKHEDYILAQTVIHSCQIFTCKEYVDLDEIESTLY FNRLSWDMEKKQFQGLEKLQKFCSCQQPVNPDRKYIQCDSCHQWYHLECVGLKEGELDDS EFFCKLCL >CAK57315 pep:novel supercontig:GCA_000165425.1:CT867991:143851:146747:-1 gene:GSPATT00028350001 transcript:CAK57315 MSIQMQQLFKQHQTQQFLAQQFSTKQEKMKPSNLMAEQQNEKSSNKQNNERHKEESPSQR FNNYILKPSQKPSQQNHTQKCNIKQLQNKSNNITEQIQDKSHNFNKQRSQSPNSFNNQSQ LNDEQQQRRPASFANDASHKQRLIPKSPNPHDLQESIVTTAFDKQAIPQTKNSTESKLKV SQQVGSYSSAQQFQQRCPLKLQSHSSTNSLSSNSKSNFVRKLDQNTNHTPTKLTTTTLNT EYSKCKSINKEQSIKAIIYDQDRENDMKILNIGTPSTYLHSKISIEQQSVDESLGNKFQQ GKLLSQYSPLIGSNDNLENVLPTKTCANHRNKRAKYKVEECSKLTYYCSQCAIEVASQGK VVQEINQLKIKSSETQIPTFQSKLIELNGNANQTEMQFKEKQLSGFLKKLDASQTLRQDI LGQMQLQIEQITQWYENQIRKCEESRLELQNLLNEASNYCISNLQMQQQESLKQISILLF VLQQHHQETNNIRQDIENNWNAVLKTIKMEPFQKIMDNHQIELVKMNEFTQSMLSITIGL KQQINPDIKPIIALITQNFQLQDEKRLLIQNSSNQNVNQPKFTQMTSAYKKTEDNEMPFQ QSMTQQRIRTNFSSGLETQSQQQDRQFNSNQKLIHDNIIQVNHSKLQQPKNNNLYVSFEN KDLFMNIVEQEYKAKKSFHEEKQQLQMIESQHYQENQMSEQNSPKSTPHSPASGQMKQSI QRTVTKTEDTQQISSDVIQKQKSTFRKLFENIDDPQSIEKMNEEVLQCKEVSDKNLPKLN KISNNSSKTQQFFQQSIESNQTMVLETPDLKKNELENLQYLQYLQDTETCKKALDKRSQL MESVKKVNLWDDQQNVGSYGKSDLKETGQILNFRELMHFSDLKARNHPLELSQNNKNLEI VQEEQKSLFCSPQFKDQDDINISESSCISN >CAK57316 pep:novel supercontig:GCA_000165425.1:CT867991:147003:147503:1 gene:GSPATT00028351001 transcript:CAK57316 MEPPISFLEILNQPLIDSSSKLSYFKMKYAHQSNKFNQLRICTEQNLISDSLMINLNIQS PNFKVHQKFDYNQHELTIHSQIITQQATPKSSRSASPLRRVYLSSSLVARRQFNDYVKCN RSPDPYDINHYTQDIHQQRTKKVKKKETDTSALISKTTYHLSKYGI >CAK57317 pep:novel supercontig:GCA_000165425.1:CT867991:148072:151072:1 gene:GSPATT00028352001 transcript:CAK57317 MQVITNYDSEPFQLINTKRQIFDGESDAKMRQFYERSPSLEEIDDMIDDQDKSANYCSHN SNRPSSYNLSKANSNYKPPTINIKNIIADKRETYIFDNLNFDQKEPGFSPVWKHGSLKII RLVARLLYQLKTKAETLKLKLMNHHIFKYIDDKASDFNEFKSGKTIDDHTFNNRICRNIK RTHAIFSTIKTILEQLFSRIGVIYPESTFKIIWDSIVVCFIVINIFFIPMSLSFELDKSS TLVWLFFETIPSYIFIVEILLNFNTAYYNHGVIHTTRKEIFHHYVSENFWWDLMISIPYI LSQLDIPYIQFVLLLRITRVKSMVQNVEDMLNAKESVQAAVELSKLIYFIIFVAHMCSCA WHLLGKIEVDVYGDTNSWLIHYGYYDEPWHTRYIVSIYWSVITTLTVGYGDIVPQTSIEQ LFVVIIAMIICGVFGYSISTIGEILKNLEEKKGQFKQLMKEVNSYIKEKELNLQLSLKVR KYFEFYYQTQQSQKRSYQTLLNNLNEQLKQEIMIDLYKKILIQSKFINDTFNDSLINQLC QKVQQENFGPGDEIIDLKNKSEKKLIFVLNGQVDSYFHIQRTQKEQSHSINQGKLSRTYK KGDIIGEFEFITNNDYSVQYKAIKFTQVAIIHRQDLLEIINQDKEHLYKFQTLIDSLTYS QKLGRTCEICKWTHLYQNCPFTFYQPNIWKIVRQTSASVNIKRISFERKSRQRYKTITNS QVAIATILDFMIVHNFIQEDLLCSKTLKRLGFNSNLRISQREIQEVSSESSQSSSSRESQ VLRHDNNKSGQIKNKRTSLQSQKDKNDKFERLRTNLNMKESVQNNLLMPILQKMNSIGQL PLDDPNNQNSTPNVKVLPQFYKKKPSISTIKPMIKIDQQANEADNIEDTLKIFSFKKGPQ NFDDMDLMMQEDNDFYPEFNVFKVIDTYNKCRIRNLSFRKKRQFHEKLKSIRLQKQFNLK ILTPRSSQQII >CAK57318 pep:novel supercontig:GCA_000165425.1:CT867991:151306:151902:1 gene:GSPATT00028353001 transcript:CAK57318 MSKTSLIENNFSLKCLNHQKAQAQYFCQLPQCQQHRIFCEKCLNDFHSQHSDSICHLSKL FLLLDEKSLKAEQILQSYYNQFKSLQEHFSVTIRGLRYMFGGLSNDLLELDQNQIIQFLD QLIHFDEINQLYRDQVESKLHKILEILNQIFSKLPLQDIAYRIPQDLAQKLQKYQNFGQI IKIYQACNQKNKVFIVRL >CAK57319 pep:novel supercontig:GCA_000165425.1:CT867991:152002:153755:1 gene:GSPATT00028354001 transcript:CAK57319 MKQNQEYEAYLKYKEIIFLDSKHQQALCRIGSYLFKCNKYNEAQEYFSKCLCINQNNYEA LLGYGKVDLYLKLTLQDYRINQYWQIIIMKERLILIHWIIKCFMEEVYKRISCQKGVCLK SLNDFENSQKMFKKALMLNQSHFESLFSLADYLRQVDKYIEAIAYFDQALQIKPQHPELW EGKGYQIIIKLAFCLRELNDNEEAVNCYEKALKYDPTSYEALKGMGLSLRKLYKCDESIV FFEKALQIQPNNVFSLKGKGLKLQYQQLNAQDCRENGKRPQSIIHGFCIFILVNTGLCFS NVQLNLKVISLAQMESIVTTLRQHENDIILNPQNTNPIFGKAECLRMLNDFDGALQFYMK TLQIDPNHINSLIGYGFCLGYQNKFQLALEQYDKALTLNKYSTDALWGKGECLRMLMDFN NALVYYEKVLILYPCHYISLSGKGKKCVKLGDCLRMLGLYQQALDVYKQALFIQSNQPSL LYGKAVCLIAQNNFETAPDLLRQAKKVAPPNFFVDKAICIINFTSFRIL >CAK57320 pep:novel supercontig:GCA_000165425.1:CT867991:154508:155547:-1 gene:GSPATT00028355001 transcript:CAK57320 MIYQKKNVQLIIIIIFSINSQEEQVWYDKGSALYNSNQYQEAILFYNQAIFINPKYDSAY IGKGDALTKLKQYQEAINCYNETISINHQSDTALNGKGFALGNLYQYHQAIQCFNEFLQI LKMIIHGF >CAK57321 pep:novel supercontig:GCA_000165425.1:CT867991:156071:157695:-1 gene:GSPATT00028356001 transcript:CAK57321 MQNNTVSNSLYYTKHTHFKQNIYRGYFVFKNANFSILETRNKLRYDSKQSEPEESVFVSS SVQQMPSQRRITYDNTNIEDSQIQQVDKKEINKKYMTNLRLMQKEQQILPQLSSTQQVVR MKMQSRQLKAKPVIKKQKSLEHVIQLRYLNKQYEELQKGIMIKCLFLNINNREEYLDKVI VLNFENLLLQSKRNFWDHKTDLKICHSFSIGRVIEQCDSPYCPCTLRSDLKNTLRILSKA YLIVLLFTSQTFALMWQKYLSDNGYNFDAIYLSKSQSQQIECGGIKLNRFLRDFSKFKIA KILMFDSIEISNTFPKIPADQFQYRLPIHGTDVETILFIFQQIQNPKQFDSKVIFDICTS FYNDQNVIISRKPITILSIDLTYAHNYFMQKEESYQDKLQILTKLSTVQEEFNKNIIQFD SSLLQEQEDQVYKWVDTTRNRVLDQINSKFQEKIPLLHFTVGDFIVRNHKFKKLYFNYPQ SQLIQKEFQLNKKIIKIDTETAGYQNKQKNQQSINCFLCIE >CAK57322 pep:novel supercontig:GCA_000165425.1:CT867991:157790:159698:-1 gene:GSPATT00028357001 transcript:CAK57322 MKYKKKLKMEVEFEELKRIRQSHIVANPLVWIIKTLFKKYCQSQNYYYTRDINDILRDVS SKAVVRYKDLIGYDDYVSVQGSTVTRMNTHRNSSCCPNIIKQIEPFNRVSYRNTAILHGT NNIIMRRQEYYRIALLVEEEDKKNPDGPSKHIIGDKPSPANSQQSQKDQESQTNTKRIKN TLILKDLSWLNRSSIQIQKKQMEISYTLQEICKQLGKETVEQSPLLIFAGKGDEIELNRF QVYLNQQTQKSQVDKVSQIQTKKLSQPNIVFSLLQKQCQDENKLRLGSQYSKQNAELLQQ KNKISSDINQRNHVDSPQKKQQSNHARMDQNFEIKQQISPKYITNVQPKITTQQYFQNFR LNVQSNPQLLKLQNQNPISSPATNQTYINKLNLKQISKIIIDDNLFQQELKWKNGAQTQR PNSDTKNFFFNRNSPILQSGAQNELQINMLKQNSGQISAPKKYRFFTQQEIDTTKYSPPI QVKLRQDHQYKRKPTELAMQYTLSIFKQYKQCTKRINLKENNQIHLKRILINNQCVQQTG EVKVNINQRKAQQSHVKIHQKKQVYQKELGVGQMLQNIQQAQTILSLNKWF >CAK57323 pep:novel supercontig:GCA_000165425.1:CT867991:160218:160724:1 gene:GSPATT00028358001 transcript:CAK57323 MYQNTGLTYHNVDSYIETEGFFCFEQFSRLDLKKKNWEDSLNSLTDDLIALKSEYVDLEN LEIFNALLEFLEQNIQQSEIGSTYYQLRQGMSQTSINNYINDMLDNIENSLTCDSNDYKA IDFAGLLKLEDILSFLKESKFIKSYSKKVDQLLKFQKEKKQQQIKRKK >CAK57324 pep:novel supercontig:GCA_000165425.1:CT867991:160761:164269:-1 gene:GSPATT00028359001 transcript:CAK57324 MGNNIPKDASFEYQIINKLGTISITYEDLKGNFTFPKMPNKKTLVLEEFRKFLDEIILLP SDKHIIEQLPLKIKWRLIHRHNIEKEKLSDIIPHLEESEKSEIQHLVDECVSKPSTIALQ NLQRKLENPEEDEWDQFLIYRGLFKLLEVLEQCELNARSTKNYKLCVAFLRFIYFINQSE LASDDLSSIPGAFRIIFANFHPVHIEMSCLVLEIFAGENGLIYKDNVEDIVESFASYRKD HSLKYRLEIFVRTVYQSDNIMMVVNILGFLRNIQPHIRNELESSVISPYNFAAILKLIEQ RINEYNIDACTYESIQNKLIKYQNVDHFFKQKSPFIKNPILFNESREDQSKTETIQFTQL PQEGEAQFYLEKHDEASFQLQQQNLLQIIKEIRNFEFDSERKPTKIIEFSDQKLFDIVKQ QSLQLDSYGKFLTILNFMSNLKVRDMWELSEQALQRLLIDEEDDEIIEIPKHDQIYEDYE KAQQSIRDLEKQIKSIIQGQKQAQMQLTSKEQEVIKLNEKITQTNSLQQEYLSQIDKLTK ELETIKAQTILKEAQNIEQSKEIEKLQNQIKNLEKLNQTIQAAGPSNTSQSVPQQPPNAP SLPPPPPPPPPPVKSAPLPPPPPPPKIAAPPPPPPPPMKAGPPPPPPPPGVPRPPGGPPP PPPPPGSKAGGPPPPPPPGAPQPPGGSAPPPPFGAPPQPQNQGLKQKQNPSVPMKPVQWT IITQKAQIKDTIFENIKDLDVKLDIEFLEKEFSKKQQAQQADSNPKSQSAQPQKISLLQP ERQKNMELVLMKLKISPTLLYEALIKCDEKILTLPTLESLDVITPTEDEIGTVQGYDGDK ELLGNPEKYILKISQLKGFSIRIKALKFSCQYVEYVTDLDAQITSLDVFNDLLAMGWVTQ LIEYSLAVGNYLNGQSAKGGAWGFKLEQIEKLTDVKGLDNKSNVLQYIIKQIKFNEEIDL DRFDIITKLPITQLNTNLNEIKKGLNTVSKAIECKSNDENDKIVDTLKDVQSKLQENTKD LELKIQKLDTDYKKTAKFLCENPADASDKFGEKLMKFLRCIKQQRDKIREEELKQQKQQQ QQQQNTKKQQEQQQQQQQQQQKADVIPSSMKSSQTKTQTNKMVIRSSILQAQRPSMMVNS LRKKSQFLKLIG >CAK57325 pep:novel supercontig:GCA_000165425.1:CT867991:164292:166085:-1 gene:GSPATT00028360001 transcript:CAK57325 MLFKGIRYAKSYFHGASNIPLTYKTVGQQLHDITEKYPDNLAVASQLQDVQMTYKEFYTR SKELAAAFVALGLEKGDRIGIFSPNNVEWALTQFAAAMADLILVNINPAYQTNELEYTLN KVGCKALVLRSTFKHSNYVNMIKELAPELDQPGHLNSKRIPSLKSTILIDDIHKKGFFNF KELFSLYGSSHLNEVEQRMSKQDPDDITNIQFTSGTTGAPKGACLSHLNILNNGKYVGER VHYTEKDRVAIAVPLYHCFGMVMGNLACINYGSTMVYPSDGFSAGATLEAVTNYKCTSIY GVPTMFIEYLNEYERHPSKYDVSSLRTGLIAGSLASEALMKQIINVLGVKDISNCYGQTE TSPVTSQTKTSDSFEIKTSKVGLPMNMEVKIVDSNGKIVPCDTTGEYCSRGYAVMKGYWG DEKATKNAIDENGFLHSGDLATMDKNGYVAIVGRIKDMIIRGGENIYPKEIEDYLSHMTG VEQVQVVGCFDEKYGEEVVALIKMKKGAEELSGLDVYQFCHKRIAHYKIPKYVKFVNDFP YTVTGKPQKFKMRDEINKELQDPKLRELYQIK >CAK57326 pep:novel supercontig:GCA_000165425.1:CT867991:166317:167053:-1 gene:GSPATT00028361001 transcript:CAK57326 MLFSELSPFASSFSSIIVSEIGDKTFFITAILGMTYSMSLVFLGSYTAMVLMTLLSCFFG YLLPQILNPTYTHAIACAMFFYFGQKLLREFWSSEENENDDEEQEAVLEVNKVKSKLSKQ SDAKNVSNVEVLRAAIALTFLAEWGDRSQITTIALATEETFVVLVGALLGHFICTSTAVL GGKMISSKISEKYIHLCGGILFVLFGLHNIKMLF >CAK57327 pep:novel supercontig:GCA_000165425.1:CT867991:167300:168053:-1 gene:GSPATT00028362001 transcript:CAK57327 MSNQEINELNALQVLDYDIFGKCNDLMSFIKTTQPILSNDPQIKVKIGAVAKLINMLLKL LKDSDSSLLSNQPEYHDIIYIINNNLQWKIKQYEEQIKKMSTIEFHFIQVIQHNYIQSYL SLVEQFQTLIKVGNIIQVVNHHQVFKLNLQFLINRQFRRQHSGLFILSFLIFKIIVPLFE FIHPKLRNCHPYILQFEPHDPHYQYQYHPIINCPIRKYNSKYQFNFVGWAVNQSYFLISS MI >CAK57328 pep:novel supercontig:GCA_000165425.1:CT867991:168169:168553:1 gene:GSPATT00028363001 transcript:CAK57328 MSLLLQIAFPYPFPFRIAIYFHNLLQCRNIFKQFQGYQQTNYFANCDECIITVKEEQTQY FIQTKSDQILTSFTELSKALNKTKRQKYSQFWKKQSGKITFFIDDQNRSTNMDNLEIIQF K >CAK57329 pep:novel supercontig:GCA_000165425.1:CT867991:169288:169628:-1 gene:GSPATT00028364001 transcript:CAK57329 MQSPQFQKSNEGSTKGEQFTQGWLPITNDVEQSIVSYLDQLGINQLIQVEKHSNQRNSLY SLDSAITPPKSQRRISLTMDSELFSRRSYRMCSDQI >CAK57330 pep:novel supercontig:GCA_000165425.1:CT867991:170316:172408:1 gene:GSPATT00028365001 transcript:CAK57330 MPKREKINRLNALGFYIELLPSIEPLFFVEKQGFSIKEALLLTRGRQQSRPNNEEIQSLQ IDKESNILKLEYENVAFQENQSYTYIIILGPPNRGKTTFIFNIINKIFNVQYYSLYRVRK KQDETRRYYDEYFIQIQNKNYVFVDFRGIGDSKLDFLGLDYSGNSNIYIKILEYIQNKAL AAIFYVNSSSINRLSEDESYCLQRFFELIPKSIINQNKFFLVLTYCTDNQPKMTIYEQSN STPEDLKDLLRDSNLADQKKFWYGINNKPLYEPVIYFNGSVEVQNDKLDILIKNIGEDAF LTPEQNLNDGNQLGSDERNEDEEQREDQPEIQEAQLGKFQFNQMSKIIEEIIQKLQLLNN RQDNDAIDRKYFKNKLNFEKNIIELLIIYRLSTEGLELKDLENEQFQTTFLVYELRDSNE RETFKIKQGSRLLLCETCKIKCHVNCRFNNTGEMVDDNNMCRMFVKRNGIFECKKCLKHG HNKGCQPKNHILVDRAGEINKKIQVSMIISNNYDQFKTKMSLNRKSKAIYRLQQTAAMKA KLNYQTKIDEIRDYMANNTKDNDCIAVDLHQRAKYLNAMMTQLKENNQNGDDFYKKLEEV NQIYQNFFLIDNTAQVPQQANIIPELDMDDQNVPQMDNNISFRQQFPDQNIINHENQFSQ QMINGSPLKNPLFNSAYFNNANEQQPLISNQ >CAK57331 pep:novel supercontig:GCA_000165425.1:CT867991:172703:174221:1 gene:GSPATT00028366001 transcript:CAK57331 MDSIKNFANSFVNRDQKNNTEGKVVPLEDKDKEPLSIKRPLVSMADDLQMVASKGLQKII QQVDNAKSDVKQGFSQLDDKLKMMLKQYTQQINVWARSKVISSVIIVIEKFRPTVKEGLK DPDMCQCVKNLVDDTVDATWPEIVDEVKYQLMLQMADPYIEIEEKKQSIICLYPFVWIRN WYLYANYPFDRSSWKQYKTISYWFWYLVSAIPFYGVSEYFYVFDFLMIDKGDEYQLASFI LSFKTMFFITQGVMKALIGYFMLYACTTMNYIGSTQQEDCTRKGPGASIEVFSEVVGQIA QVVLIWVAFFLLGCSKQKGKPIFQYDDTPPVKEGCFERGARLRYFMFWDLFALGGSIGLF WLVYMTQDTRPNFDNSGDFIYFTEICYGMLSFPFLIFNVPFINGRLTRAKETAYDRKGNC VPAIDGLRKTVKPKQEEDVNLLLNTLTIDVGEVFDG >CAK57332 pep:novel supercontig:GCA_000165425.1:CT867991:175280:175528:-1 gene:GSPATT00028367001 transcript:CAK57332 MSYIYKEEESQNAMCQNLNNPQDTDLEDRIHFLPENEFCSRKIANHNFVIGISKPGEQRI GLQTVQKNKITVKGILGINGKQ >CAK57333 pep:novel supercontig:GCA_000165425.1:CT867991:176548:177486:1 gene:GSPATT00028368001 transcript:CAK57333 MLNKSRSRLPPQLLITPKYDENLAICKTQEDITKEHRRNTSYQQRPKQQKYNFQLCHQIG KGQFSKVMLVKNAGVLYALKMIDKKLIQKCNIAQQVQREIDIQSSIQHQNIVKMFGNFQD NEYVYLITEYCEKGNLYQKQFSKEEIKKIIKQVLLGIQYLHSMGIMHRDVKPENIYLTSN DTIKIGDFGFSNYRGRRKTFCGTPEYMPPEIVLSQTNKNYYYGYDERVDIWAIGILLFEL CNDTVPFRDADRNRQQDRICRENIQFKEGEDKDLIDFIQKCLKKDPNQRAVIQELLQHPY LQI >CAK57334 pep:novel supercontig:GCA_000165425.1:CT867991:177534:178853:1 gene:GSPATT00028369001 transcript:CAK57334 MKTSSYRSRVDASKSDDLDNKNTQNLIQLTSQVERLTQVLYMKNNEVEMLRQQLDLQKSS ANPKEIENLITTVEEQTKEIERWAEKYKKLQLQTQQLELKNTDLEKVVSLLQNSTSSSQY IKSNDIQQSSQRDTQSKRIYQSNIRKVDDLSLNEDLNYINTQNPQKKQDEINQLKLQLSQ LQQELLNEKQLHRELISAEESRIREQERRALNQYKQDTQRQLLLYQNQINALQNMLENSQ KKDVNRSQIKEINQQIPERSKRVVMYDEEVQNVQPVTRKSSSSKPQKEQVSQVKQQKVNL LPIYPSQTFRVAPLENNSFSLEAAPEESQLNSSKINQIQEQINQTMAQIEKSIIQVDMMK QTNQVHQKIFQEQIYSSTSNHQTNSQPPQHQPSPKYSDNLKSISYLGQQSNNFNQFNQRQ YF >CAK57335 pep:novel supercontig:GCA_000165425.1:CT867991:179379:179747:1 gene:GSPATT00028370001 transcript:CAK57335 MDNLNTCLMMLNVQSTQESECPKDELNDSIDLYMDSKISAIEIRIVKYLHGMGIQTKTDP GQKEQQYWNSKRLESNKKLEQFWSGTNLPMNSSLTRLLKGRQSQTFQHFGQSGKSVQDFG TY >CAK57336 pep:novel supercontig:GCA_000165425.1:CT867991:180052:180880:-1 gene:GSPATT00028371001 transcript:CAK57336 MDKMGSSDVNRGIPATSRDGAPIELTALLKVCLDFVSHAQNHYPYDGVICPNGKKLLFKE WSHFLLVNFEKYYYIPKQNDPNYQEYHIVEKHVRHRQIYKDLVKSSKPRNEYQLRCNASI AIGLAPELFHKEKAMFHLATVEACLLREGSIGVKTLDPAASEYVHFYDNNDQSHIFNVSH GFSYHNGPEWVWVYGYFIKALIAIHGKEHINRQLFYSYLSNHKITLHQNEWYSLPEMTNG NGEYNIFSCRAQAWSIACILEAISEYE >CAK57337 pep:novel supercontig:GCA_000165425.1:CT867991:180930:181421:-1 gene:GSPATT00028372001 transcript:CAK57337 MSAGLPHFTTGWARSWGRDTFISFKGIYLENGLLAEAREALLTFGSCLRHGLIPNLLDSG HNPRYNCRDACWWYIKAVKDYVQFAGKTDILNEEVQMIFLDDDMDKHYQLKSQGVIIKKT IAEIIQKIFQSHASGIKYREWRAGNQIDNCMQSEGFNIFIVFG >CAK57338 pep:novel supercontig:GCA_000165425.1:CT867991:181470:184731:-1 gene:GSPATT00028373001 transcript:CAK57338 MQQDRFFLELNQKGEIEGSHDKAIYVRQHQIVRIFVRNRKQGYHPHVIMQKGYKHVYEMD NLTLKQIQQHHEVKGFTLDSSGDWKVDVEFALTGAAYLRLCWFVAQDKPVVLGVENHIIV QPNHVDKLQEITIQTLLPYCLGKFEDYEKQIRSQVDLGYEAFHFPPIQQLGQSPSLYAIA DQQKLNTDIFGNHTYDDLKQLFAKFPNTYFFIDILLNHTAFDSSWLVQPEFENATYNVKN SPHLEAAILMDCAIAEFSNKLGSGVLTQYPRNTIYNENDLNQVMDILEHEYIRKLNIHGY FQFNINAVVNEIQTRCNKIVKNKSEQLEENQNIFIKSASEVMLQLIGKETILKGYKEYGV SLNYDAIIEFLNKDENYKKVSLEYIQELLQYYNHQFWNEAESYIREAMNSTRGQVTWWKL TLKNPFVEVNEKGDSLVPRYFTKLSNGKYVANNGWIQGFDPLNNFAENQEHHYLRRTIVI WGDLVKLRYGHKKQDSPALWKYMKKYVQQMAKIFAGLRLDNAHSTPMIVGEYMMRKARKA NPNILVFAELFTGSPEKDSIFTKTMGINCLVRETNRCHDAGHLNRELHYYSGDGRMSIGS LPKLQEQFESINETFTILQPQYSPALIYEQSHDNESLISTHGYRHQLPIAALITFSSCMG GTVRGYDCFLPNRLSVVDERRIYNIVPPTENVVVERQSIIAFRFDGSPNQFVEVFGSWDN WQRGLVLNAHHNIYTSTLKLNEGFYEYKYKVNSNWVDQINRKLIVEPNPTKLLTTMELVR FKLHQIKEELNQSFPFIFCQNQSDTIQIITRETQDKCRSKVLITVPSFGIPNSFSSIKLP GQIVKVDAIFYHEDFIQFPEQNNQIIEASQVRIIQHQNIREFAEIQDNELRFINLPSSFT AVIECHIDPEQQKNLQSLETILNDASQLQHFKALSSSQLNYLLFMSEPEERDKNNTGLYF IPNYRQLIYAGFAGPRSATREAQTVNNLAHPICENLRNGDWLLDYLNDRVQRQLGQLEIS KLINSITQQIKNIPRFHIPSYFCKFVDCIYNNFLKES >CAK57339 pep:novel supercontig:GCA_000165425.1:CT867991:184742:185988:-1 gene:GSPATT00028374001 transcript:CAK57339 MFLEQDQYEYAWIKQQSIFKQNSKENPQVLKTFEGSDFQSMQQTLGQGSFGWVTLVKEIK TGNLFAMKAMRKEELFKYCTVDNLKREIKIQRKLHHPNIIKLDSYFEDKTNVYLILEYAE GGSLFKKIKKERRLSEEEAFHYLYQTCLGIEYLHKMKIIHRDIKPENLLLDAKGNIKICD FGWSTEMDNLKKAFCGTIEYMAPEMIKSQSTNFKLDIWCLGVLLYEMVQGKPPFTGRNDQ EKCVAILSGQQLKYEEFVSEDCKALIAMILQANPFNRPSIQGILNHKWMSSKSKYQSRND QLNSKSISVLMDEFSHSPIKSCPTFVSERKTNSKEWEEMKNRSQHFVFHQTQPLNEQPEQ TFFRRILISLGCINR >CAK57340 pep:novel supercontig:GCA_000165425.1:CT867991:186880:188002:-1 gene:GSPATT00028375001 transcript:CAK57340 MINHQDQASFDDSRLQQEMKQTAQFFNPQLSSLGQSIKFQQKQIQRVPFKSPKEQAFKIS SEGKKNLQPQINIEKRPSQTLKKVTLAKSTKQFAQNNHITPVMHKFGSPPKLNKVPSVKP FSPPQPKTNNEQINILQNNSVAPGFQRNANIKFGQPLKISDNEFNISMADLQPVRKLIPT KQNEDFIQPEYPSYQKSLLDSRQSQYNSEQMQKSNSTAFLPRINQTKKIYNNQLIGYGSI GQQSQQETALRTNSSKQTITPVNEFISFNLPNDDVARKSRTAQEHLRDSLESQNQMKQAY KIIGMDKPDQFNQLPQIQSPQASTKQQFAFQSTGGSQKPKNFLQRTPQTKIISRLFSSKS DNNQII >CAK57341 pep:novel supercontig:GCA_000165425.1:CT867991:188128:188601:1 gene:GSPATT00028376001 transcript:CAK57341 MDDNPKFKHNLLRISKEKNAFIDEILKLFHSRLVTIKDIDVPSLKSSGNSTTQYDLLKAF RVQPEQFLLIKISLTQIDNESNRNNIFLIRRFLFVIHSNYCWLSNPITRNKSHHPLCLKL ELLGVPHILVTYDLLKVYTLFVCSIPNQTQKDSICPG >CAK57342 pep:novel supercontig:GCA_000165425.1:CT867991:188617:189109:-1 gene:GSPATT00028377001 transcript:CAK57342 MVWYLRIINEPIQGSIYHSIREFLFPNMNSSQTNQKVDDQKIIFFEPGATDLISAGFKDT PGGQEFRDREAYIYLFDVDDYSLPQNEQVCNYMDSFYFATKDGVANILGVGKFMTELGAI LDTPEEKRNQTTYQTQWNSDSLSLGLLGIQVLC >CAK57343 pep:novel supercontig:GCA_000165425.1:CT867991:189176:190979:-1 gene:GSPATT00028378001 transcript:CAK57343 MNSASSNQLLQKLQNLYSTQKKGSQHSHSPIKPIASKEINQLLQKSSPVPYLQIKQYDVE QWTDRIVRQMDKHGSFTQNEIPLENQPQKCSLVPSTIQQSLIKSLTQIEKQLKQLRYAKD QDQNTFATILTKIKLFCLDNSTTCFDFYRCTDLILLKYMIYLLNPGTKPTIQSEQKRINT RSDPDIVTIVYEIIEEIKSKQHITSQIDNLNNQLTKIQNSFKPQPSSSVKTISKSSHHQR FNSCNLEKNNNSSACQNKLATEINLSANQFKSNPQLNHGSTKIIKYQIDELEQKSKLITS LNDQILKLQNNNKKQILSISELNAQNESFKSQLQSKIDELEQLKRSYDLLKSSNQLCLEE KEQYLNLYHDFELESIQLKQNLEKYKTAHDQVKTQFDKYQNETYTYYQEQCNQLQQTKDR EIKQLKSELNEKSSIIAQLLGDTMFFGKQYKNIVERIVNLSQENIGNEIAELQKELFVSQ NTLNAKLNAISSYSDNILQDSGQDQLSQSQLSNMMANRTVSSKSGYQQSLKQSRPYDLLN GQKNQFELMHMLLIQSQVLDEFLS >CAK57344 pep:novel supercontig:GCA_000165425.1:CT867991:191044:193169:1 gene:GSPATT00028379001 transcript:CAK57344 MRVLFCIALLLVVSGAVVVTCPSNGYGCVTYGANIAKQTTRTNSLCRNGYYWNNQACMPC KNADAVLGGYYTCSAYYEATSLTCTSGYTLINGVCIPIPQRLYYLWFKLKLRCLCVHSLQ LRLHTQRRYCSSWDENAVCTTCQTGYFLNWDLKFTLTTSGSNNQTDWITTWQTYYRFPGE SFCSVCSQTMANCKSCNSAYTCSQCNDGYYWQQSTSSTQLTSSNAQFAGACVSCLTSGYC ATQLVISQLKIQPTLSFYLVMHAQLDVHHVLHQHNALIALPHTTNITDNLCYPLNKCASI NTQLTTSGANPKCSSCIAGYALDPSGSGICYQCGNCDSCTVPSGQQTAGLQTSCTTCWDT YYGVKDSNGIVTCKPCTQASDSFLRCQGPVENSSLTSVVPTQCQDGYYLYTLPAVGTTAA QTICVPSTQNLQCVTIVATSATQYTCATCTSQSKLYNNANCLVCNPTLTSSLPQGCTACS GSPSNSITCSACAVNYFYSTGTTTTCTACDQNCIQCGSGPTCTKCAITYYVSGAGCTQCG VANCATCTAQSPNTCQVCLDGYFLSSINTGTGSTSYCLKCPAECATCSAPGQVCNSCISG YVLSNGGCISLSQANCAEGYITSTLNNEQYPSTQKRMCYMQIWILQL >CAK57345 pep:novel supercontig:GCA_000165425.1:CT867991:193366:198002:1 gene:GSPATT00028380001 transcript:CAK57345 MCTKKNNKLPCLIPQTIIFDKLMPKVWLFNPKNTQVVMKKNSDKLSNLEIAKALLGIKFP KDIKIDTLTQLVEQARQSPQFREETPIAFLQMKRNEGIPMNRQELLQFFVEGKDLSSLAY MQQYIENQNEVYYVEYHYDVDFIPITFKFFKKTLTIKRANKSTTAKSTNPFQTLLMPVQS SGQIPVRQQEVQKVQTMFKYCNSTLNQRFQEVPISFTNSQRRRKRQHSTSKRSSKSKYAN SLPNSLLIKENRSILLVSNKSQSKFKKEVLFSSYAAPYQRGLRDEIASNGQFDNFMMACK QFRLDKNANNEFKKYPKQIVEEDKKLSKTLYKHLILERCQGDFCNYKLIEKNKGPLQLRK GLLETYKNVFKESKQTVSNMSTNLPYEISLQLIVRTRDDHKEVIELFKKFKIHLENYNPE EHPEIKDEQQHPFSKENSDVQALINLYKSVKICKNCFIIYSMIQRHFESQLKKDIKNGVQ FINEKKTPSLQIDEEAERRRKREENIHKRQEKLLMKPTTSTKSFRIFRAPTQKRNFLITS IPESRVSKTASPEKFRDICKQIEINRLTLGYLNYQKLEQFQKPSQMEQSQEFRNESYNLI NLLSEQKAPNVRRIDTNQQENKELQGRAEEIKKMLVKTSKKEQECRAIQKEYYIEKTQEG AQQILLSTSSHYYYHVNFDIVRKLYQFQLSTPMQALSYDLKYDQLRPLQIEEVCEMMNYP SPCFDITQLEYLVVDSQTAVPYALFESNITKKKEKSSQSGIDLIIILHDMFESFFEYYPI IVDLINDAQNKKVLLLNTPGQAYTLFNKKQAYTNIYIAGILDQILYELSIKGKIDLQTDT LKILGVGSGGFHAQAFIVQCQNTIQFSQIAFINSYTSIYPQLRSFFTQSIKIFESQPYDM PELAFEYTNTIMNTQPISEDLLSCVLQQNPINQLGRQIILEGLLQSPSFMERFQKLILSV QVYHSLKNCLVNISEADLLQTFLQDETLDVNNVALSKKIQMNKRTVQYIEGGHSVLYENK DQLRDLYKQFIQMYKFLILLQTLLWISETKKIQKSFRIRQLSDWEYLTKFGAEVGDVTYH IKFKLVGLESEEMRERKFPIQFELYLDEEWPEALEKQECERASSARRKETIQVPGNGEFS QVYTGNIKAKVRAHMWYYAISDCHRRLRDEFKEDQYKRLKLEVDIHIKNAGKTEFSVEQF GIQYFMIVVVLVDIVMLVYNGYYIVQRHEKYEEFSLALFLLVVTLFLETISYGVNLLHLW FYSNDGQGVFFLHVASVIVQVASQFSLTMILVMLSWGWQISFTKFENFEIFLPLSLLIAF FQLTIVGVGFIDYDAYYKDHSYEGWVGWLASFIFIGEFIYFMNGLSNTYKKSNGAVQQFI LMLGVYGGIYFISFPVLQTVNLVVARYLRHKVMEIGTISLRTAAILLLTHLFTSKKSLFA KISYDSKSFLDRNKDE >CAK57346 pep:novel supercontig:GCA_000165425.1:CT867991:198008:198790:-1 gene:GSPATT00028381001 transcript:CAK57346 MQEQNIDQQVAESIQQIITIRQELAQINMIDPSLNSPRQQEVEKVDPVLTSGGFRFKTHQ NLGEKQIKRRQLSSQEDDLRAFSEFNEEDEVKHSSSDEDDKRPEQRSHKQPWYYKSAVPI ISSKNSEKNWENYSGRKKHQKNKYSFASESSDQSFNRMGVMTLKEEFQSIYEQQQVDEDI KEKDTELNDSDEIPSLVQQQSIIQDSTIIINRKSQQLSKSKVQFETTEESVKADPYKNLK IAGVLLATCGIAGWLYKKYF >CAK57347 pep:novel supercontig:GCA_000165425.1:CT867991:199030:199578:-1 gene:GSPATT00028382001 transcript:CAK57347 MNHLSLSQQHELVQLLTIYNNKHGQIHNSNQSFDEMLKQFIKIYSSRFQQNENQKTLISS QVLISSQEIMQKESQQQSKIANLDRLKEQREQLLLKLDQREQELSKIEKLIESLNKKESI QHQFEDLNDGIQMCKEKCALLQEENREFTKIAESLRQQNDQLKCRYSKVKWMNIRFLGSF YL >CAK57348 pep:novel supercontig:GCA_000165425.1:CT867991:200088:201572:-1 gene:GSPATT00028383001 transcript:CAK57348 MINRFSRLYFCSLIKIEPVNIHYQDGLFDTNYGLLKMTRNPYLKQKQLEIIANAYFLEDG VGSNFIKSLFKKDMNSLIIFDCDKMHLRNAIEIYQATKKQIDLQFFGTPKRPRNPFSKCK GPKDIFNLYRHIQNQQKLMLSRMCLIVSYNGEVRIQGTLPECGDLKYHLEEQPNNISQLG YRKYNYLLPFSAYQGIVTAYNYEKEGVAIEFLNYKKIYALYGVFPPTQRQYQVLFHSYMQ KLVKIHDVTHSSLYNVIDLGCGTGVLGFIANDILLRSFKDKEINIYSLDNVENAVKSAKI NAQCLEYKNYTAEQGDITDIETLQYQLTVFKYPAKFNLIIANPPWIQASKLRVDDLIENT VTDPEGIMLKSIFEFANRYLQIESLDSTKGRLILIYSDLSQLLELQEKEKVQDLCREYKM AITYYSELPFHIKDPQNVDPLLQYKQNSKVQLFEIRKI >CAK57349 pep:novel supercontig:GCA_000165425.1:CT867991:201954:202265:1 gene:GSPATT00028384001 transcript:CAK57349 MNIQAYPIAITSQKVLKTKGDSSENVIPDSDQEDNLQSPFLKETPTSSSKPLKHIRFSGT NQLCYFPKNGKKDLSPSPTRKSHQSIQSILKNKEKVFFFTQEK >CAK57350 pep:novel supercontig:GCA_000165425.1:CT867991:202451:204231:-1 gene:GSPATT00028385001 transcript:CAK57350 MSDFFVQNRSEISQQNQSGFGSFNDNLGASFGKLHQDNQSFGGNGGGSFAQNSGFIVQNE QYRSSVGLEDVAAPIQIYEYQEPQIQKGVFFRSSGDFEMQFEEIGIDRLNIQPKIQEDSK EHKAPSLDSGMKSSQQSSHEFDLKIGRLQIQICLESGEQISSKFKPEVKLLLNSNIIQEN KNLFNVNQAKSIYTNKITQLVEQTQKKVDFATKSSPRLSKSQQKLQRKSPIISRDHFDVS SNELQSSQLSPDGNMDPVQQKLAKNRESAKNSRARKKIYYELLETKVKELQDELDKVKES NRNQTKYTEICNKFQEKFQTFLDQQQQLFDKLETCLLKNKDNFEIAMVLDALRYRTNSNS QERNDAARQYFDSMVEVCLPIQTKYLIYALEQDKDFFAQQPEYQRSYISDYTDWMKDVFK KTEIKPEQIVKVKRMKSKLQSVRNTISDHSSSIQNIKVQLKIIQGEANKVDQMWEQLKEC LTPVQLGTCLLVMKQVIIVLNYQNAFRQELQTSSIFLQLKNSQMSEEDDNLIRSDQSSIP NNRKLVKKSMQG >CAK57351 pep:novel supercontig:GCA_000165425.1:CT867991:204486:204903:-1 gene:GSPATT00028386001 transcript:CAK57351 MGKKNNKRNFSTKKNQFKQISRTKMAAVFDEKERKEYLTGMFGAKQKRKEEYIKLRNEKH KKFNQEQKAQIREEKKKQIERLQNLIDFNNSLSKTQKTESIKTSNNKEVEVTTTFFS >CAK57352 pep:novel supercontig:GCA_000165425.1:CT867991:204932:206999:-1 gene:GSPATT00028387001 transcript:CAK57352 MKQQLSLLNLTCNQSKTKASIIHKGQDLKKKTSSFDVLGKSPYKSNFNRQNSRHLLKEYQ PITSRVNQRQNIKSPPESTKASKPISLSNNSTNLSSSIDELKQMDSQKRAIQKTYQYQLK ALLGSEQEKPALLDQTKRINTQINSNTLPQSPKQNIKTSPDVKYSKIENSKSKASFQNTN LSVSDKILQLEKNKQKIISLQLKLAKNVKPRPQKSSFPVISFVEKSESHNFQQNSKPQLP QSALVVLQSYSDHLNDFEKKEIIDYETIYYLAPKQMSQSQKDTLNTQYNNGFDTQDGDYI FMKQDQIAYRYEMLEKLGHGSFGYVFKVMDHKHNQQVALKIIKNKEKFYKQALIEIEILR IVNKADVSCCLIKMLNYFEFRGHICMVFELLSCNLYEFIAINDFIGFDLDLIRRFAIQIL QGLLYLKECNIIHCDLKPENILLKDINRSGIRIIDFGSSCFTNQKIYSYIQSRFYRAPEI VLGLEYSTQIDMWSFGCIIAELFTGESLFQSKSEKELLFLQIKVIGMPPKDLIEQGSRKS KFFDEKCQLNYKIKDGDLLQQIKTLNQHLQKADPQYQDFVTKCLRWNPNQRMTPEEALIH PWIINGLPGTVRKQHIQQMKNFMVIPEDLDFKQGQGEQQNEFQ >CAK57353 pep:novel supercontig:GCA_000165425.1:CT867991:207863:209061:1 gene:GSPATT00028388001 transcript:CAK57353 MFPFVSNIFRSDLPLFIFDDIFVPGPGIRVGVPRPPYTNQQFRDQVPKPPNLQKMQSVKK SLADYSETQGQYWCILGDMSFEKGDYTKAIQYYDRAIELTDGTESSFFRSRGLAYKKLGN LEQAYKDAIMAIELDDKNIKAHLLCGQVLAERGKSQDNTHDIETAINRLTKARTLCAGQK KQYYEDELSKYIYRAKKLLWYKNKELENQKKRQAIDNYTKYLKQREDMNEEERQKEIHAF INSIGNPDQKQNYDIPSYLICKITFEIMENPVVTDAGQTYERDMLIEAIQKNGPVDPCTR QPISGEFYPNHNIKQATQDFLLNNPWAFEFQSGENYADIEF >CAK57354 pep:novel supercontig:GCA_000165425.1:CT867991:210125:211383:1 gene:GSPATT00028389001 transcript:CAK57354 MNQSWRQKFEKDKQYPRHPMAHKNGGVHMNQEDDTKLFQIAGAMLKQIGTKIAKGDFNLA TIPKPICLTAPKTANECLTFDHDYANIYLTEAAKCKDPLQRMQLVVANEIAYLHGTHTYL RSLAPIDPMVGETCQKVKEDGTAFYCEFIKADPPTTLYQIYGDGWQIYGSEEVLAEIHPT ITQIIGKNLKPKYIKFADGKVYEIKVPVMIINGLMKGDRILNKLDSFTIKCVQDKLVAQI NFSYVYEGSAQKIKNKLMFWSQQQKPLSDLVDVKINKLINTDEDDEDQVFTLSKGSGSWL SHFEMDGEVVWRIDDPIQPWKEPAYILPSDSIFREDKALMLKGDDDAAQITRNKIEELGL KDQNLRKKRK >CAK57355 pep:novel supercontig:GCA_000165425.1:CT867991:212620:213959:-1 gene:GSPATT00028390001 transcript:CAK57355 MLILHLALQIFSVNAGHQFESGFFTQFNYAYNLSLILFTSSMKFRSEEFFVPFAQEFSSI PDVYLNIALMDMALQFPQGYSLDITSITTLGNMKNLDLGFTMKTVCESPWLYFGINLNWF AFNDDSVQVINHLNITNPLWQYTHSYIKNLKINVAIANFVSYYAEGPQFNNLTGLSLTDD TVTISLYTNLKQFGYQILLASSDLILVGPTITSTLPYGSSQTVNFPSGWETTMCHPNLLG FYHDGTDFNIRLSGRVSYTSQIDLGFYPWHATIILSIQYNYFCINYAYFDLAIFQGMTQT NYFDTKNEIENHVEIQEMNYNQSQDVEEEIKIPEGIQSIKINYYWKCQENQQLKMQIFCI RDIQQQYTSSNIQCKVGKTNTVRLSAKYLLTTNSQQYLKITKTSASFTATQIIKSSGLFQ KLLYKVELIQ >CAK57356 pep:novel supercontig:GCA_000165425.1:CT867991:215510:217425:1 gene:GSPATT00028391001 transcript:CAK57356 MGNCVTNRTKIQTNLEKPQKLYIHQAFMRHTLTNPESRKQLLLFEDNFIDMFDESPILGQ SMYWFLLGLQNNEQKGIEYETMLHISEVFVLGKSENEILRLPNRVSLIVLIVSLFGNLNV KDAIKQFASMEITYLQTTKCMQVFINMMLRKQNVNEQPIKSFVDSIFQKVNGNVPILQLI KFFDNYMAQLEEIVEDYYYNKFLGEQKLFRNPQLQHPSHILNQEWIAFMYLSFMHKDTNK LELLYSTNVHERSFELLSHLLTECQTSVLFLIQCEEVNRKYIFGAYTNFEWKDDAQPNGS KEDCIFQMFPQYKVYKTKNDKFTRSQCTYLNSKHSELKQGIGFGGELAKEFRVFLNQDLL NVQCKNHDKTYEPGELMPLKQAKVSILEVWTIQQIQGTTQFKDLIYQKIDEQIELEEFEN PLVHLDEDISSYRSGDHPSLNQSIHQLQERDSCDWEGESQNGFEEEIIIRGDGFEEVKKN EQGFEVIPLNEASINKKSTKVQNQEKAPQPPQQEDNQFEEVLIKTSQCMDSSALQMVYNV VSGAFGQAETDDNWNGDNSDWKGDGSTTAKQSRVQLSKDLATITEELKESTNTQY >CAK57357 pep:novel supercontig:GCA_000165425.1:CT867991:217427:220073:-1 gene:GSPATT00028392001 transcript:CAK57357 MQRFESDVNVEGESQAAYTRFDSSPSVGQLSGTHLEKQTIIKSTLIATIGSFIFTYGILA SAGNNMAILVIFFLSVLMTDRLTVFNPAVSFIDLLMARIGFQEFIFNVIGQVGGSLLGAM VCFFVLQDFTNAPYLDAKIGDNLIGSVEGELFGSMLFMIVLVLQEDDYLKFSDDKLEHAL VVTLGFGAARVLTSQGQSLFNPAFAFSLELFECIQDGTWGRFGFLWVFTCTPFMASVVAI IDSTIRKFNTDFDLLIMSQTFTKEQQLLVDEIISKGYNQDDFEDFVLHKEPNKGQDLTQW TYQELLKIIQQYQQESIKSNYYAEKEQQSDSYQIVELDSPDISDQIQIIDRDTFVQKQTL ESIKIVHHFTTYHQTDKISLIPFEKTITCKQMYFFIDENIDLQFEISPPIQEGGGILDFF SKTIVFPIQILPMQWKVKRTLQDFIQFRNILSAAFPEYIIPSFPYNDINDYDIIMKEQQN IIKVLNVFLQIYNKKPIIRTVFSSLLFLNEENSKQFQQKFYKEQTLQKQYNLGQKQSKTG TLTFSFNQTQYQKYKDYQMYLNGEQGNYEIIQKYLEQFAEFTQKGQQSLGYSIEMIQSLC NSLPQYMEAQEFGKSLCMIKSYFQTQKDFVLRSTKQIMDVLLITLVKFKNSRQQLTELKS NLFETFQIFSNEFNLLEKRKEELFVIKDLQKWGLNQEQQLKVDINKCFTDLKYAKEIMLP KDTFYVDEKRDQYVYMLNKFNEQYEQQFIIETDKLLNEIQYYLENMRCYTNNQVSNLLNL QLQNWDFLIQEYEEYKISYQKQICVK >CAK57358 pep:novel supercontig:GCA_000165425.1:CT867991:220941:222142:1 gene:GSPATT00028393001 transcript:CAK57358 MLSYHNLAQSDYQKEKDIPVTNPLHKPKQNSLNILTSPVPHPFNTLLPSNLLQHQPLDND RQSQRSNLSQKDQSYSYIPILTNPHEQMLLEQQQHLMDQGEDLKSQNKKLVFENNSLKKL VEQLSLELQSLRIQKSQELEMKAKIDYQEEMIVKLKSEVRRKADLIQENQFKVNELERLQ NKLEEYSGLTKKYQEQVLQMQQLKEQAQDLNRQLIQYQSTENENHNLKEYIEAYKQQIRD KDVKIQQQREQQEQLFSDNKKMKSTLDVLNFEFKKAQDGYNESLHRATIQNTELQGQLSL LQKNLTNQTHQIELQKQQVKQLELKEKELYTTKNQYLKLDEKFQAQTQQTIKCNTYILIF RNQRN >CAK57359 pep:novel supercontig:GCA_000165425.1:CT867991:222204:222918:1 gene:GSPATT00028394001 transcript:CAK57359 MHLEQENKRLSEQSLQISKSKNQLELQCQQLDQELIKKQGSLDTLQLKQMELKSNFEKCQ GQVQGLENELKKKETIILNLEQQLQTQKGQLEQITHIDTQNKSEINHNFAKMKEMHNDLI LYEKQVKQINSENYQLKQQNQIIIERNAQQENEMKKMREQIMHQDNRIRYLENEIHNQSF QQKLTTARPIQSQEKGFPNQYPSSQQNTLQGSFNNQFNQYK >CAK57360 pep:novel supercontig:GCA_000165425.1:CT867991:223345:223981:1 gene:GSPATT00028395001 transcript:CAK57360 MADFWKPTADLYSALFEKPKMSQKLLERPPFKYIFDIIMETTKQTGYAKGLYTTDELDGN SYDNKDKKLMFLQKIIDLTSMMLKEEIAAKPGKIAAGLEPENTNLLLQAIYRAAVSGKNS DSYVEDVLAIIGANPKDQQFQAQGIYDKKPQQTQSQQIQEFQNELNFKKGDDF >CAK57361 pep:novel supercontig:GCA_000165425.1:CT867991:224158:224911:1 gene:GSPATT00028396001 transcript:CAK57361 MYKQLNQQVEIKIRFDSLPSIQAISRQSLNRIKKIFNHSELPQIPFRSIFESTGQQKKLT IDNFGQVAEQKQIISTTRKSLKLSKYQSPSYFSRKMQFRKQFLNQFKFGSNTTLFQIINK ISQKMNQLHEYRSEKAILSAADKLIKSHIRIQEIELIFKNCKLKVWQSVDTIFVRIYCPK MQKKQKNYEYFLQDCINRELPFHKFLILLQNNQINLKKQKQTRLILLTSSL >CAK57362 pep:novel supercontig:GCA_000165425.1:CT867991:225573:227064:1 gene:GSPATT00028397001 transcript:CAK57362 MADFWKPTADLYSALFEKPKMSQKLLEKPPFKYIFDIIMETTKQTGFKFKFPLGYAKGLY TTDELDGNSYDNKDKKLMFLQKIIDLTSMMLKEEIAAKPGKIAAGLEPENTNLLLQAIYR AAVSGKNSDPFVKKILGGAGAKEPEPQKKEQPKPEPKAQQPPPKEQSAPQVKDEKRPDEK KPAQPKEDPKPKQQAQQPPPQQPKENQKQQQVPPPQQQQQQTQEGNVRPSTAKKRPPQLP SNQVQVEQNQKKGGTANVIIEGKGNNDEDDGIVVQSNVRPTSDINAQEYGKFVRDNMKNQ EKAKEEEKEKDQPQEGIKMKRIGNVNQRKDKIKEEIAQNLGTSQVSDTIVMQKLIQSMSQ NVNPLAKQIEFIQDDIENMNRELQQWRKIYNVSKQKMVDMNRATEEAQQPLYDKIAEVEE VIKEKKSKIQNIKAQIIKNKLQIDQLLKAVLVQK >CAK57363 pep:novel supercontig:GCA_000165425.1:CT867991:227257:227716:-1 gene:GSPATT00028398001 transcript:CAK57363 MLQIREGFKKVEINSQVKTQMQRKFSLAQRNINHLNRNYIYVCLQVTDIIGEMLEEDLHN QLMRYETFLAQKAKSKRKLQLLQDQNREEQMSRNTGLNKEVEENLKLLYLFTKETFHIVQ MKVLTSSKKIQMLKNLQRVQEN >CAK57364 pep:novel supercontig:GCA_000165425.1:CT867991:228232:229269:-1 gene:GSPATT00028399001 transcript:CAK57364 MQANTKVPVDIHKTLEDNFKVIKEQGVNEICNFMLSSLRDQDLPQHIRLQYLYNVHQINQ KMSLTELCNNQLELNIVNNIKYYGQDLDQLLNQMDTSLTAQCEYLRPIPQILTPQWEDIS PQFIHQPIWDYNINPFLFRFYLAQAQSTKLSPQDHQMILTLFKPEYKNDITQFNNIVEHN PQLVSDIITKLHQSGVNVHEYLDFLIQIKVTIQTLELVNQLTKNITLPDQFLISFITRCI ATCEEVKQNQQQLARQVRLVSVFIKTLIKQKTFNPKKIYVQLQGFCLEFSSIQEATQLFK AIKNAVQEQ >CAK57365 pep:novel supercontig:GCA_000165425.1:CT867991:229333:230690:1 gene:GSPATT00028400001 transcript:CAK57365 MIKNKGYWVYGGALALALLGTFKYTMKSKQQKPTGQVEFSLLQSSITLDYNKKPAGIINN GNTCFLNSVLQWPQVHNPISLNTYLNQSQQICLELAKIMKYLNSDLEVINASDLIDLLSE DADYSFFYEQQDSHELFNMLMKHIEEANNQVNSFDLTMLRSKQMKSKNPFLHHTKVQIKC NTCNHSFNSLKVESNYAFHFNLNHARTVPEAIDYIQKPETITEYICLYCSLQFLRKKYTL TEQQNIIDSYFSANNYDEDSFTILKKIIEQLNTVQGNQVELDPQKACLKRTVTRQTYIAK YPKTFCFFVNRLIVHPYHGLIKLDDPVYLDSNFPIADKEFTLSALVCHLGDSKSGHYVAF KRTFAQDLESSMSLNKTYKKCEWYAISDVKVAVTKQPQQGEAYLIFYDTY >CAK57366 pep:novel supercontig:GCA_000165425.1:CT867991:230829:235389:-1 gene:GSPATT00028401001 transcript:CAK57366 MEKMEMVSSRLPLVKDRGEWNQQTFIIRPKFEKKQRQSIDNQLEIQFPLHRDFDFVANHA MGFKGKSNVDLFKFYYRLYLKNKFAIQRIRKEKKVKDGLKKNENAKKQKLNQTEEPNTEE IRNAYKTIEIKPPSQQKQLKFVRECIQNLQQQASIVNKHLELPKLVSSQTQVKIVNINIS KIPQARTRDSQLELEELMLSRVVQAIQESKMVSHEDVRKVKEIILQRHSQLSEMERELQL NEILGIKKQIYEREVAMKNEFNRPPGIDNPVNFNELSSIQEVSIMRSMYVKSLPNVSVTE YKFNNYEDEPELEDVTNKTKMDLKQKQIEETLIQEQSTEMQSILQQMRSSSLATKGNETE HSKEKTQDKNKLLPTLKFLEQAKQQIAQRSDNDTQQLQQPYFQPDIEDTSKSKVQQSLSM VSQLQNKTSKTMKSSVNRSNQLASASSNHHSNQLSLEKKQTETMRKSAYKLNEEQKARSS AQELDVSDDMKFERQNYYEMKFSQILQRVMDQKKIQGGEESQHSQTLSQVESETVQKIAQ NLVSNIINIHKNPTPIMDQPSTFNYLDVDSDDQEKATQSIPVIKSEDIPEDARRHLSYNR DISHLDHTFKIESQVSLNDKRLNEEQIANIIQQISQQLLQAQIPPDQIEKQLDEVTNILL KHPINKLSSKLLKQSTTIMQNEPNKKIYLEETRYPIKPPKITHNKDQIDYLKFYEENAPS KQEKSRKSYKIQDSSKRSKPSTMIKNMSEDERKQFLASHKMNLQERANSQELDEELQFLQ TVIDDQKKQREQIFTKKQSQPLQSGKNTSRDLIDFDESSEKQQNTFRSHNPPTNINYESD QIKQGQTEINFNIRRPQVKQKTDQTQNQSEKGQQMQISSQQGTQRSDAQRSRTKRSNQDE QQANQPEGSSQSYGTYKSLKYGNRESSDRGNENKEVYSPNISVEEVPHQDEYDEQTDKED EQVVEEIQEIIDENGNVVNVVTKRTIKQPTENSKKSDPKQTERSKKSKQSGSQQLQSQIN KQNPREKTQQSQYKEQEQHQEQQQQQNSSQNIVSQPMQKQISNQQQQYQQEQPSINNNED KQIKLNLQRQDSNQNYAENSSTQQIYQQQLRNRTNLQDIQLRSYQPSQNQSMVNSSGTPQ QNNTPLQPPRKQGGKKTKFEDQSSNNNNSNTPQAKLQRVKTKLSRFQEAANNNDDDDYDY DWDDEDPSNKKKKNTSKSSKSTLRNDIQSPSISPMTKQQNQATIAKKKVYDLKQEYELIA KQNLSLADLKKDIEKKISQLQSPDDEAKYNIYLYEQRQKQLKHIPQTIEGLINDKRENED ACGDTIRKFHENRMRYKDVIEKDQALKFTNQIQLDDEQQTQINTQSHLDEYMREVQMNIQ RMIQQVQLEQMRDHPEVRKECFEYDSSIIQQRKMESLASEIVQTQAQMRIYEEPTQETQR KTEQLEQDCVMSNQNDKKFELLAQKLRALKFKNPDKFNQIVSTEIVKTDLFLSTTKHKYI LE >CAK57367 pep:novel supercontig:GCA_000165425.1:CT867991:235459:236672:1 gene:GSPATT00028402001 transcript:CAK57367 MALIHNQNDLQNMFSKAGKITSEKYIVFQHRDDQPVKKFKTPQSTKSPESIKKKAHSQQE SLSEADQTHLKHLLKLSQPFSKQISRQIGHYTQVGNNNKASCGQYHIKYSELDKAVHSVP NYDKTLKHTHPIEVPHRYQQDCPIVQVPYCYNSTPIIEKPKIKNESFVDLQRQIPRADIF SGTPNPHPDRFQFLNVTNQWSKIPRQPQIKLEKQLSRDQMLIYKKKEFAPDYKPNFEFGK KKLGSCGAPFYKLEQRKDILTKIPPYTFESYFEYDEYAKKSNSQLFSSPTAPNFNTMLER ECDQRSLLPSFMQKYTNTRLGITHLNQKMLEVNNFRDGRFLTVTSSFMPTKQQTSKKNLN KSSQNQSIDDI >CAK57368 pep:novel supercontig:GCA_000165425.1:CT867991:236869:238239:-1 gene:GSPATT00028403001 transcript:CAK57368 MITNHPSYPIKEIDKYYLHTKLPEYQTIPINTHQKKNHDYYNDNTHIWNNPHQAKSNAKI FTQLKEQQKRGEKRIKKMLELQQQDKMELQKNKIIYYNLARDEDLNRLQQRKMERGSLQN LSQQQNDVQVENIVLKPIFSRTALLGRPDHLWNKLNQQSQKYINILINPRILKMEEQGVK SISDKISKDFQVEQIKEDLRLKNMDQIKQQSTRRRILNLELEQKSGISPSRQSLQRVTTA LTRQSKDVIESTLQQLEKQQQSQTLQQFQNQILTSSQQNNHTSRPYTVGSVLNQEFNSVS FNNGLTIKNEQTQIQSTNQPQTTPMLMLSSQQSKSTYALSHKHPLDRTEYKGLLLAQAVE QAESTFSKTLQANSSLLKETSIYLNKSRQQMNPKKRRQIKCSNLINSQKQNDSNDIKNVN NMLDSFERKLYRSRNFDEDGI >CAK57369 pep:novel supercontig:GCA_000165425.1:CT867991:238262:239413:-1 gene:GSPATT00028404001 transcript:CAK57369 MQNRTLNQFNKNTSAMQVEEPVVKPMLPWVEKYRPNKIEDLAYQEEVVQSLQGVLKTGNL PHLLLHGPPGTGKTSTIIALAKQLFGPDFWRQRVLELNASDDRGINVVRNKVKKFAEQIV AKNPNPGFLCPSYKIIILDEADSMTNDAQSALRRIIEDYATTTRFCIICNYITKIIEPLV SRCVKYRFKSIPENEQIERLKFVADSESVTYNLDALKQLVVVSGGDLRKSVNMLQSSSTL YEKSINKKAINEISGFIPDEQIEDLVSVIQTKSIRSVQEECTRVIQQGYNIEQLILQFLD VVLATDTIKEKQKAKMMEIAAYTEKCLIEGSAEDLQIFNLMAQCQRIINEN >CAK57370 pep:novel supercontig:GCA_000165425.1:CT867991:239487:240832:-1 gene:GSPATT00028405001 transcript:CAK57370 MDTPIGKDLTNTVIAKVYKLTKRIGSGAFGEIYQVTKGKEEYAMKLERSDTKHPQLFFEA KLYTYLQGSDQRIPRIFAQGTDGDYNYIVIDLLGQSLEDLFNKHNKRLSLKTVLMLADQM IQRIEFIHLNKFLHRDIKPDNFLIGLGQKAVRIYLLDFGLAKRYSTKDGHIPYREGKSLT GTARYASINTHLGIEQSRRDDLESLGYVLMYLLRGQLPWQNMKGINQKEKYQRIMEKKVE TSSDVLCKGFPVELSQYLNYCKQLKFEEKPDYHYLRGLFKDAFKKIGFELDQRYDWIKDD NAIKTQQDIMSAEKKQLHQQLIMTQPLHQQPPILPKAVLNGLDSEKKMSNLNIQQFNSQQ LIGQRKTIQQQQLTKITSVDKRRTSFQNKQHIPSLDIVKPGTQVLAPKIVTSKEPHRKY >CAK57371 pep:novel supercontig:GCA_000165425.1:CT867991:240868:242680:-1 gene:GSPATT00028406001 transcript:CAK57371 MQFNQDSKKFLTPEMMKQTSQMCANMSDDQLRQYAQMAGMGNIDPSFLRQTMGQMRIYKD KSIKLVQKTQREQNREFRVSKHNNNNSNSKNHRVSNFRSLTKLKNQGNDAFKQQDYEKAA SKYYEAISEIEELWENNAYLKNDANKKIELKQLEHSCRLNYCNVKAKQSQFDVVLRQAKK VLEDDDQNGKANFRMGQALFETKRYTEALGYLEIASQKLPADETVQVMYKQTKELQNSNI DQTQKQNSDDPQEKQEQKVEQTKIVQEDEPIQNQQQQQPQQIYKDKKENKDLEEEAQKKP KIKLSSVDTNSEEFQNLKQKVQSTKEDGFIVEEEIPTSKSQPTKPATKQNETQNVNKIPE NPQFQQQFDQFKNMSPEQLNYMTNTLKSMDKGFLKQMMKQQSGVEMSDQQIEMMQTMMTP EMLAQMKNVDPAVLQQQKLSQQSQQFAQQQPIQSTSQSNNQNAPQAPQAPTASQQMPQNL QGLAQNPQMLEMVIDQLKSNPQMLKMMAPAFGGNNAITSYIESASPEQLSKVVGRLAIFL KFLLMLYRGWLMAKNQWKFILGFLLAYLYFKLF >CAK57372 pep:novel supercontig:GCA_000165425.1:CT867991:242861:244593:1 gene:GSPATT00028407001 transcript:CAK57372 MNKSVLLEMQLKEALDREINLKMLNESLMQAMSGMANQDRGKEIHLLNQLHQQELSKLKT TLQEKITILEYEVRSEYYFKHRKKCLEFVQLQKDYSQLKLDYEEKEEQKCLRCVELQYQL SLIVKQNEVEKEGLAHEFADALSNQKSIIEYDLNCLKSNLKDLTYQLDCECKEKDKLQNA IQELNKTHDQAVNSTYRLVKAAQCNKFADLNRSHHETILQNNQYQMNNQQREQMQTVKLS QNEQEKLNLEKSLLEYKEINYKLDSQLKILNQQLLDKETKIIEQKTELTKNNVKLQLSNQ KMIFKENSLSQSKILLKKNNNQKPFKLNLQGRRARINFMKNALNQVNLQEIKNATPKQIK HKKNNLSNDYKSSHQPGHQKNTLSQGNIGKIKLQPSTILRSEADSIHFTLTDMLNSTRNI DQQTLSCRQSNDDITYHIDQFNCVAKIIQTNEDSVTPTQILTDRQLSPRIRIQTVNPKGP TSKVRQIQADLSKENAIVRLKS >CAK57373 pep:novel supercontig:GCA_000165425.1:CT867991:244914:245358:-1 gene:GSPATT00028408001 transcript:CAK57373 MDCCIILCPWCQVNVGFLNCCTCRKQFEMLECNGLPVTCPRCVNIRLDTYYQSDEDVQLH QNCYRNSKRMFYHCQCCNGYFCRCVQDISLKLQVKTN >CAK57374 pep:novel supercontig:GCA_000165425.1:CT867991:246773:247152:-1 gene:GSPATT00028409001 transcript:CAK57374 MCDNLKISDNFIEKYPDRVPILLQISVRSRLQFQDGTKIKKYLMSKSDHFYHFLQIIRET LHIQQQESLYLFINNSGLVKAESQVDEVYNKFKSPDGFLRIQLTEYPSFGQ >CAK57375 pep:novel supercontig:GCA_000165425.1:CT867991:247178:250226:-1 gene:GSPATT00028410001 transcript:CAK57375 MNQQLGSYVKFFHIIRELEDSFQIDSRQKQILKVNFTIKDKKLMNILSDLNDEDEEEIKF SLLNYLNELPKEKQGVSRQMKRTRSSITDVDLIKGVKLDDSQFHDSVADMEKAFKLINHF YNKKKEGQTINPKINQIFENIRNLFTQNESQSNPSSALLTKCSSSPTMDMNQLIESDLLD SYKSIKFQFANYMKSHLLLMDENVSYTTIFNLLRELTKNLLDCDHFSFFKLNSNSELELY QTKTDIFDQIPLDQFLTDEIASLPQNKVFRFPQASHLCSKLDSMNYLSSYGLQTNNVIFI YHSKQNKQLDSESILKIANDYQLIDELRNMSMFLIHTIQNAKVQFFSPLSIADMILDLGI SFLRASKFILIEQMYNILSQMFKMDKQSQFNDRLQTDQQDIQVWDSESVYIIFKDSQVTV CFRIYSMNLQKQTDQRIYSEVKHYYEKYLRFIRECFDKSAFYKFFLRSNNSLVFEFDKSG HLLFLSRPIPKQIKSNFNIQFNSHMIHYNQLSYNKIFDQRVVSNIENYLQDHNWKTMKDN EKQYEIFLKIEEKTYKGFALIFTENTKGWVKKQFKQLESGNKLDSKIKAKIRKQLIQHET INFVNKLEETNPDVKYSVASLYMPLQQLILKRTKSNQGNQQDQPKIQKSEVELKRSKFAT MEELDLNEFCLTSQDDLIDTFDFNIMELTSQKDKHRVVWAILKKNRIFDDFGLPQENLQN FIKEMEYHYNVNNNPYHNYDHGVTVMQTAHYFCKELDQTSKNTIIDNFNRFILMISSFGH DVGHTGRTNVFEINSLSDLAIRYHDKSVLEQHHAALTIQILKYPQCNILTNLNQTKGLIS NILSTDMSEHFTLLKDFENRPKNFNDPKILSGYIMHVSDFGGAGKRSNISVKWSSRVNQE FSFQYKLEGELGYPQQPYMKDLHIVIFQSEVGFLKVIVRPCYVLLSEFLEGRLKHCIGYI DDTIQYWEKIGKKNEEQQQQQ >CAK57376 pep:novel supercontig:GCA_000165425.1:CT867991:250264:251247:-1 gene:GSPATT00028411001 transcript:CAK57376 MSKSRSQSSIPAFLQKTYDILENPQLQDIVGWNEDGSGFLVKNVIAFQDQVLPMYFKHRN FASFVRQMNMYGFHKSRSDLKENEFIHPHFRKDQRNLLKKIKRKSGEHIDEQFAIMELKP HRNTNLQDKQIQEILTKQQELEKVCKILIEQNNKILQCNQQLRNQLVQERFNGNKKIQKL KDYFLGQQQMQTLEDDPLQKRSSGALYQTLESDNEDLIVVNKKKVKEDDSDSTIERMGHL YPPLMLTNAENQDAQELNEDGIIQLLGDQQLDDLYFD >CAK57377 pep:novel supercontig:GCA_000165425.1:CT867991:251647:252597:-1 gene:GSPATT00028412001 transcript:CAK57377 MQDSQNLKDLQVQLNRFISKLEELERENKYLKEQVLNQQCQLMQNVKIIDAKYQQIHRKQ IKQIKQRYLKCLYKYGTFQSCLNSMIIQYNKLIDKFGIDDTIKMDLKSCIEKYGVIYEKN KIIDEDEMKIIINERIQKESEEIHTLFFPTKVYQYLTDNDSLQNTCTFQSLQSQRVRMQN SMQNTQEMITDNSDDTIINNLTTQRYLEKLQFDYQNDQTLHYPKTFKVEKLLQQTNRDLS LQNVTDRSQKSKFDRKGDSKQEQFRISPHKSQNMSGLEDRLKRFDNQENEGGHPRRRSRA DRIKQQQ >CAK57378 pep:novel supercontig:GCA_000165425.1:CT867991:252636:254106:-1 gene:GSPATT00028413001 transcript:CAK57378 MFETDIPNEENLKSPISSIKPKFRSIHKTQDDNDEVNENIQNAQHQLELSRSLNVKDVIG VIRVQTKINRWVGIQIRHPYITCGWLLSEVIRKFSQLELNYDPFDIVGFTTHNIHLDYQL SCLHLILPNLNGTLLVPLIRKGLKEPINIEWFEIIKKIGAGSFSVVYLVRNKENGQFYAM KVTDKQLMMENKKEELIINEKEILIQLNHRRIINLHASFQSKTKLYFVFDYCPGGELFYH LRNQKRFNEEQAKWLFLQIVDGIQYLHSKNIIYRDLKPENILIDLDGYPKLVDFGLSKIV EDQEDLNFSFCGSLEYMAPEMLELKGHNYSLDYYQLGILLYEMVAGIPPFFAKTRQEMVK NILTKKINFPSFFSKNLVDLISNLCNKNVEERLCGKKILQHPWVSGFIKKMPIKYKLDCF HIDKLFITQQSLNIESGPRCIVEEYNLQTMSQSVKDEEWHSFEKFQTFYYKKQ >CAK57379 pep:novel supercontig:GCA_000165425.1:CT867991:254319:255005:1 gene:GSPATT00028414001 transcript:CAK57379 MSINNRGLSKEFQERIINDLGIVECSDFHDGTCLYASFIRLLQLIPKSYKYYIPIHLIPF LIFKRKRVMQRPLKTISVAFYNYAKSVLFVSLYVAICKYALCKLKNIRHKVDGWNPALAA SAACSALFLESEGRRQEIALFIFPKSLETAWRLLKKRGYVTNIKGWELFLFGLAMGIINY FYHYDEAAIKSTYLTIFKNFWGKD >CAK57380 pep:novel supercontig:GCA_000165425.1:CT867991:255808:256544:-1 gene:GSPATT00028415001 transcript:CAK57380 MTNKFVSHSILTSKDGLEFQEVKRDQPIQVQEKKLSFMTLTEQMVEQEKLDQLLRPEVTK MQRKKQTKPNIYEKTKPLTDEDNLEYVEESGFKQEIFNEEDFDFFQYYGQLREIDNRKIK IEREHIKQFKQRRSELTIDSTPQIVVQKESQASDKIYSVGSIKIVKLKIKPPRFLEDLTN QNNKEEIKNVDKDENSLLIKNPNLKNFKPLVNDDDDDITPEPAVQKKVKIVEYDSE >CAK57381 pep:novel supercontig:GCA_000165425.1:CT867991:256840:258509:1 gene:GSPATT00028416001 transcript:CAK57381 MSIDYSYLAPPLGAHSVQHTDYYIKHHEREKGARKIYDCVSYDTFEQEKIRELKQEIQKQ NIQLPSDWKESDYLKIGYSGRFKMKEVIKKLQLHLSWRANPIYHQINPATEKFLKEGICY IFGRDKQYRPIVILNAHMIDLKKYDKETIIQALSFQMGIIKKHMFIPGKVENWIFLLESN GLGVFGLPTKALQVVIDTMSTNFGGCLEKMFILNPSSGLNFLWKTISGFLDPETAEKINF LQKKDFMKLQQIIDPNQLEQKYGGTQPNQNTFWPPYNLDLTDGRTVPKQKQQIDQFIPLK NEEEKQVLKNADPYDNVENNVNDFFETQKQLYNFEQQKKSAEFGQGPQIEQKDQEQPQKQ ELNDQDQQQVNDQIHQQSPAKENQQQQEIDQNNNEQNVQQTEHEKKREGDNEEQHHQQQE QEGNISKQEPEQEVQKSAELHQQQQQNHQEQTQKVEVDVNNKNQQEEENQVVSNASATKI IQPEEVQAQNVEMTQIDPVANQACCKGCEIF >CAK57382 pep:novel supercontig:GCA_000165425.1:CT867991:258550:262094:1 gene:GSPATT00028417001 transcript:CAK57382 MKQHFSYIEELFDAQQYVLMTKNIQDFHKVTSYFKKYSSFKSLRFFDDILNKQLDLCGTN IKNAQKITKYMQQPQLPLSKSLLKLSQIVQDLFTFVKQGNLEQQDGLNQYESLVREQIDK IIEHHNGYVQTAIKYYKNDEQQYKKSLDGLKNRKQQYTEQLELYKQTVNDPSMLYNQNLK QQRYNELQQKIRKIKENENQILTSLEVLNYRRKCLCIELQQLQKIIEEAYSTIIALTHKL CYSNIISFKKKLESFYQMSKKHQKQIKYQFTILPDQSKFNFDKIDYVSQQDFQQLYYVQK NFNFNQDESFETLTQNNEDVSFRSIKNIPSVNQSYQTINDQNDQWIPNFLKQDIQLVPTS QLIQYYEWILTYTKVVKENCSINNNLIFKLLQFIDELMIQFRKQGKACIKQPDFKQNYFS QLKWFEQFNQVFGEQGYNDLYAYTSINDLFNLFTNKFSNLLQEEQQSILQVQEKITNEQN IVFSSIDKQMFDLQIVHQNYLRQQGYNRTITLSQMDSNKKDFYNQPKNEQDFRQMIKQSN DAIKHLVLELDNKLSKRYKTISEITEQINLAFNINLIDLLKFTYQQEDALELKKKSLEQV VQYLKTQNSFSIFYKQIFVKNKAKTLIEDLQTETYDYKYQSDQDFYQLSTLPKPNIILDQ SQNDQEDDQQSISEQSTKEQDKDKIEQLQFIKSKLKIEINEILIASFACALSEKIQLQGR LYITNKRLFFHSSFNSNNLFFGDTILNIPKQDIMCIQKRANAYIFDNSISIFTPKGQLFF ATFFQRDIAYDLIIKTFSPKEQPELMASLTNQAPINSIKIINVPYTQDQLKLLEERQQRI LNLMGPDPEIFIAESIFEFPEINVKLFYRLIFGDKMLGQNMSFYEKMKKGPLGCDGLNLT QWIPAPPMDFDSDYGTQNLLDGPDFLEREFSCIQPLPKSSIPFMPTKCDCKEQQKIYFIN QDFFILDLIVKTYKVPYAESFQVLVRYKCTQTEKGVKLDCRVRNEFLKAILVKKVIEKAS QDEISEKTNQKIFPAIRQELSNYLKGSNSNDNGEDKSDTNEQKVEQQKSKIKQKLKSMIP FVNGTIITCLILIVIYFLYVRVLSKLTIKIEFDK >CAK57383 pep:novel supercontig:GCA_000165425.1:CT867991:262149:264477:1 gene:GSPATT00028418001 transcript:CAK57383 MNSKQQHMTVCPALLKGDDQPDDFPLRTQNANVPKMPIQKKIMLQPNNEILYERLNQEAQ IFKQNNQMLKDYYDQEELRPCSFTPQTLNDGQEKRSLYQFLFDQQNHVLKIEQKLEQIKI NEMERDLQHPYHPKTNEFQFQSRDESIPTYERLYNLNQKKQNEPKMQQSESTVDFKPVIQ QKSSNIVRTQKVEDILYQDAQRRQQKQQEVQDKKNAPKVVTVNVKYTSNNSEKIVAQKFI REFETVIDWIFDQTGQERPSNVSFSIDYLKLGEILQRLDFLNLLQAKDSNERAVEYENLR LSEERALLCEIWTVLRGDELGGISKRNLCLFLLTLIGITDFKIKELPSAQNEELPNYQKS IQPQQHKIVTAHQPNDKPKLGTIDKDGNIIFTIEETKKIQRQFDILYRNRLGCEELKKTN WKDENPYKPQILPHSKQLACQYREKLLEETATLIDNQLIKVNIPENGQITHADLLVLQKK AVEYHKEQKKQEILQQQLDKCPFKPQLLNNNEERKSYSKKQDKHLQLYSLAKPANQKRDR TTEEIEYERQLEECTFQPGLQNKASQQQKQDNHYVNKDVDKTVQRMKQARQRREEVQGML ERGYKSNKSTQNQQQQVQANSQKKDSRSESQNQIKQASQLSRQKSMQSEDSQQTNTFQSQ NFHMDESQPKEEQSIVRAGSQQDERIPLLFVDVNLGPSKTERIVVYDGDQSCDLAARFAQ EHNLDEFMQEKLKELLDYQISGLLTKIDEEEGALSENDQ >CAK57384 pep:novel supercontig:GCA_000165425.1:CT867991:264499:265372:-1 gene:GSPATT00028419001 transcript:CAK57384 MDFNDLIFPSPKPSYDYTLSGLYYIEEELQYHRSRSLIQQNELKTYEGTIKVTLNQSEST RQLSQNRQTKRIVTLLQLEENLRNGIIVYFHANAEDIGMCKSLAFLLAAELEMASICMEY PGYGIYHGQSSSDTIIKDAYLLIDHLINNLKVHESKIIIMGRSIGTSIAVEMSIRYKRIK ALVLLSPFTSLCDVIKDNSFNWVSKLVKERFRNLEKMHKVYSPTLFIHGINDKFISYQHS IQLMSKCSGFVHLQLFEGMTHNSFLIDLHIISPIRQFLMKIQS >CAK57385 pep:novel supercontig:GCA_000165425.1:CT867991:265387:267742:1 gene:GSPATT00028420001 transcript:CAK57385 MNQRPIRPSSAQQNVSHMSDKNASFLKKQESQIMSSPYKQGNQLNVSQYRVKESEKLLED NQQLKVQLNQFKDENIKLRTRNTNLEKDLQKCQKIIEEVEATGNMKRFYAKPSTDNQMIL SLKSQVKELRQMLDQREQEMVQLKKSVKFTRLTEMEIERKHFQDETLRMKQIIEELIQQN LYAQQKEKDQQKLQDMVQQRDNLIQQMQQDIEQLEIENKNLQSQQQQLIIGYQDLDQEYV KLDQSIQAKLKSKDKQINELKNNLKRMQDQVDREKKIIQPTTTTTTKANHPALQTHNKQQ KRIQSAKPSLQVTKPIQQEEIQDLIQEIKYKLIALQKNSHQIDNLLFSESSQQTTISQLT DKLINDPFYLERMDAQNLARFLVESPQQKYPYTMNMQISQDNGLIRGLFFKTIGYWACYD QVETKVIEASLAKVFFGTYSQFEKQMSKKTFTKTEFINQISKILQGKQIRELELTYLLSK IIIKSKHLNTLKGDYFIEYLKQLQQVRAEDDITVEDLQQYYDNKEQHIQVILSAEKGFFY FKQQRSKQEQMIALLETKEEKLTDQEGLNDGTPQKSVQQYLIKGGLVRSHSDPDPLQMKL IEQEEEEGEEEEQYNEQKQNEGQYEQYDQYDQYNETEYENDFQPDLNDAQIEDEYGIQEE EDDEYNINVDGVFEEDNDDENDQWEKVENHKGNFPVRQDRQIPNSRPTSSYINKANRSNS DIKASLQSELHPTKEVNEEEYQEDQFEDEN >CAK57386 pep:novel supercontig:GCA_000165425.1:CT867991:267769:268250:1 gene:GSPATT00028421001 transcript:CAK57386 MKRTKETFFREHPDWQYRQGVSGLKEFDGEDLQYDSRNQHNKEQQKQWIFDQIEEKKRRQ DQEKAEEQAYAQQTLEINRFRGMLQDNFASRKTDMGVATKQTNLQLAKEKKDKEEREKQE KLAAERAERDLLLQRGRKQPYNG >CAK57387 pep:novel supercontig:GCA_000165425.1:CT867991:268265:269316:-1 gene:GSPATT00028422001 transcript:CAK57387 MQLQVKCNDQSWTFRRPLAKLTMKKILKRLPYRITNLPNLFTFQYEDFDGDMIDVTCDAD LQTIRECQFNNQVATIYVQQAKQGGFKKEQVGHRRENRKDHIKEIVNQRVMELIPEITKQ VKLSIATDDVQKQIETLSINNTTKAEVTDAKKIVHQRVACDGCEMFPIVGIRYKCAVCQD FDLCEKCEDLGTHEHAMLKIRNPGQAPSIIITAIGDKPDLQQENIIRKYVDQSLGYQSVQ SLSESTPVPFPLDISQILQEQQQIPDSHIYRSTNPIVVELCELLRVRPEVAATLLELFPN QSAQEIMEIIGDDVEYLNSLQRSTI >CAK57388 pep:novel supercontig:GCA_000165425.1:CT867991:269346:272871:-1 gene:GSPATT00028423001 transcript:CAK57388 MNWEGGFYKQQVQEIKEEPNKRDNVRRDYNQQSQNLRDQQANQGYTNYNTDKYEDSEQKQ TEYYRQQNYDQQQNYNQKQRQYKQQYQNSREQGQLQQQSHQQTNDFQERKSNRKYSQNNQ GYSNWQQGPNQGFQNPQRKNSNDNDQPFCYPYIEGKEKNTNQEANVDNNNNHEERRNNYS QQEKRSQNYYQYREPPHHSQVRKDRRGENYSSTLNQSEQNQKYNKRKFNLQRNNNNDQNR SDNNNSFEDHYYKKDQGNQRQKYRRRSSDDYGLNEYGIYDDDNYDDRRFKNKGAKGNNNK TKSKYQNFEEYWTEQQIEKGKAENEIFEGIFMASEFTREKSAIKCPIFKKKVHINSFVDT NRAFHGSLVAFTITKISKQQEESENDLEEDVISEQIPIHNNISVEQQKEVKQVNDEKEEQ NDDIDNQEDNIDDNVSEVSDIYVVKKKVSITSIQKLQKASLTGKIVGIRKNPFEQRHLVG RICFDEKHEQQDKQVTNKEELINYMQQNYHVSFKCVNKRIPFFNVKELLTFNYELDNEEP VQKFMDYVSQRYFSAKYLQWPRNKKYPTVELIKEVGVQGNIDVECEVILTANSVYENDFS EMCKEELKAFTHDSIAKERVNRMDLTKEYICSIDPVTARDLDDALSINNLGNGIYEIGVH IADVSHFVIPNSEVDKEAILRTTSVYLVHKVIPMLPRILCEELCSLNRDVERLAFSVFFK ITSEGEVLWDSFRAAKSVIRSCAQLSYDIVNQIIDGEIEQFSQGEERYNVAEGFDEKILK DKVLLLNNIAQKRREKRLEGSITFEKSKLRFILNADLFPTGYTEEKRGLAQFMVEEWMLL ANQFVGKKLIEYDTKTAVLRQHISPRAEKLEYYQNLLEACGLEEMAKNLDVSTSKNLKLT MAKVSEIESEEIKLILGFRLLKLMEAAQYFVVDNTPEIEWRHYALDFDVYTHFTSPIRRY PDVLVHRRLQIALEQQEVDQTGETKDKLKAIMQHCNDCKLNSRRVSDQCDQLFLALLLKA NPVEVDGYILSVTKQHIEIIIPKFNLERRVELKNLKNTYKFTVQDVGAKKQELIVFYNDP EDEKGVRLYFVKQFQLVNIKLSGTDTFPIDYQLQIIINKKVLI >CAK57389 pep:novel supercontig:GCA_000165425.1:CT867991:273176:278039:1 gene:GSPATT00028424001 transcript:CAK57389 MKKNPPKTGLIDLENRGQQQIIKISIGNHHQNIEGVGLRKTQKNNQETWSMALYNRLQTL FMMLEQFIEDLLQQPEISFEIIVYMMLISNGYFCPLSPLTTSNQITAFQCGLLQTYFCCV TPESYHIYFEIGLEKKPIIQKNEFLGFRTIHRIHFIALRFDRRIENVLTRLSNYHSNQKF NPYRQNFGQLNFDSTTSIQGKQKYFCLEKSLFYWNEVEEWGECQFKIGLKDQQCMRWIPT GVQTKQLDEQQLKQYYYDQNLDQWVRKEDIEFFQKFKQNRDLSKQNKHILHQYADFESGA LIIDIMQKLPDFKILITQQERKVIASKQNSLIIGRSGTGKTTCTVLRLFAVQTLFKIRQK LFNQENEKLLFNYQDVPNKVGLHCVFTTQNHVLIGEVRKYYRKLLQHIQDSIRRNQEKQQ NQKQNIPLSLDQSFISQSFQICEEINESSISMILQEETFLCDENDIEDGDMDEDDQLEDV DQLGSLQQMSDDKFPAFLSLKKLILLIDSSLNKPFFELDRFKKNGVKLQKAGWNTGKTIK ITQKLKTNKNINVIEIDENAKFEEQEFTMLNQQEVKEEIDETKLLLKKQEEKAQQSQKQH QNQQESNIQVTEVDYEYFLKRFWPTIKKPNQKYGCDERSFPTLIWTQVYSYIKGSQFSYS YPMKYLPKAIYAEFNPSNLSQDIINLIYDYFLEYEKWKLSCGVFDLMDLVNYTICELDKG EFKTVPIHYLFVDEVQDLPHAVITLFTRLIEQGYYFCGDTAQNIVKGVGFRFQDLKNMFK HIDNPLLTDLEQFQLTINFRSHNSILQLANSIVNLIELFFPKAIDRLNKEISDLKGPMPM IIQSNNIDDLFNFLTGDVNPNLEKQAVPIEFGCNQVVLVKDSDARDNIPSVLAHALILTI YESKGLEFEDVILYNFFTDNTILQSQWDLFNQLFIDEVEVDRDEYNYKLTRHDQQNTSSE ELQDNNASFSTQNEQGNILVKKLRLKPSIKSLDLNNYNALCNELKYLYVAATRAKNRLII FDDQPEKRNQIQNLWQSLNLIQILDESYFQNAKEMNKIVTQNTKEEWYATGMKMFANKYY DQAIKCFEMSGHQQLFTKSQAYAFAFRAEKQLLQSENFFELSEDTHLPQNLRRKYKEKYE KSKHEMFNNFNKAGEKFIECKNKKNAAACFFSGQQYQLSLIYYLQTKCWEEAAEVALKLK LYPVAGLLWLRAYKIDQSIEAFNQFKNNLITLHLLSEFKYELGDDLKNLWEILLPQVLQE CWLQYSKTKDAIYIERNNYAGKKLDFSILTEHTDFQTDFASELLIIEASAQNVYTQEEMY KTLYNYIYNFLDEILVVLSKVFINYPLQVMKVCMLSKSDEFLQNQTAFIQNVLEYFDIQD LQLFMLERTNCKDRDNYFISCLYYISPLYQPCFSLNFIFQNYGRFGKADLKKIENTYNQT LQKSEQKLITFKFSTSSKLLMSYSLCQLGLYEVVIHLFQKHIISLQQGEQKQMTQTKIKV YTSNLRSTSQLFQQHKSLLLTYTNDDRLQSHETFIKGRQFIQGYAWDFIILTIRILRSLE SCKMTTWFNILINQNKLKA >CAK57390 pep:novel supercontig:GCA_000165425.1:CT867991:278516:280289:1 gene:GSPATT00028425001 transcript:CAK57390 MKKTVSNNNVPFLIQIYSFCAIKNYNAEHKISNKYLEQTQPDQNLLNCLEYQLSDQIFNI WYQIPKIENPLNRKMYERLTNKSFAKVLTDQTKEELHIHILTGIHALNIAYYYDISLEFI QNLIYVRRQLEQREKIKNNVIVNLNPFLTQFQLKGYQSYIYYLLHSQICMSMKNIALYIE YHTLLSSISFSEQIYRDLTFCTLIYIICKRSHQEFIRKLQELENDANQAKEFEGSLQMEQ QIIDEIIELRTFRDFKQQTMKLILPKKSITFLQTLKFQKVQIDEEFDDSPVQKFLQILIE LSKSGDEQPLFLLAAILINVEKVNIEVQMALEAELIEQIPQKRRRIIEDLLAVANEEFEN RQDLMLKLIKKGQSVFSKLTEIVLPYNNISMKTFKNFQDDALKDKSSTEQINKNINFQLE QQEKKKFKSLYVGMRFTTYQPLLNEKIGFNDSLLKIGGSSEIYDQVNILRSKLIEILYTK QLQKPVHFNSIREELKRLAQLERELDDEFVENSQSRYGRIQKRQFQEELEEFDEQIEKWI QENSQLSNKFQNIETQINKNVQKQTIAMCLIQKKTNQINNKRW >CAK57391 pep:novel supercontig:GCA_000165425.1:CT867991:280371:286302:-1 gene:GSPATT00028426001 transcript:CAK57391 MLKSLLLVYIFTLVTFSKHIENQIIELEEVNYQVIIKVNDFSGYALSGVIVNVKNSNMIL GDKDQMTDGIGMVAFTGKIQKQQSYQITAIATTTFNDISTSSKIDGVGDSQGTIVFNGKL QFTQSDVQVQDVFQRGLIILKTQSNSKPLPGVTVIFTAIYSEDKKAKELIFKATTDDKGQ QNVRFLLPSQKPAFVILYTASKNEYWNAQQLNRNAQEYSLWPEKKYELQITINLDPGQFK FVLTALVQDQASKPLSGAKLQIGGINLGSNQELESLNAVSGTDGKINLILPLKATAKIHV KEQITKDEYIGQSQEFDEEFDLNVRKESFTHDLGLIKLQEASGDLQISGIITDSSKLVQF VQGATIVFTILDSIQPVTAITDAYGKFNAEIYVPANKDLQLKATVTAKGFMNKLAAPRSI NVSSKQPLQITFDVVLDRTIKNIKIKDKLVDPSGKPIQNAIVKIVESNPTMPDKTIYNKE ITDNADGSFEYPFSCYEDIKFQATFQFQVPQFVPVTYKTGDLECKDQDLVKYSMTLSKQT ATLLIKGQVIDVDQKPLKGVTLQFKSDPILDVPNSNLVTDANGNWQVEKLTVIPTTQYKF TIEYTNDVKQSVEVVQTFTPTMDKEQTFNFPVIGFQRYVKAKIAGKIAPVDGKATIPLPL VITCDGKGKDGKPIIIETTTKEDGSYSVDVEVLVGADKPLSCVVTNGRTGSEGSSNSSGS STGTGSGNTGTGGSSTGTGNTNTASPMQPIKVDVKVSAPTWSSNTNIPVTYNTVDIVVKG VVSDKTKTITTVEGVDISLIISPQDVYIQSPGKHLLESYVLQKRHFQSSSQSILQTKSGK DGSYEFKFKAIQAYPLKFEIQAKRDPLFAPYEKKGIEEKCQKSETLTENIEIDRIKMLTK LHSTLSGSDKKPIINASVKMTSSDPIMKDSKYYNLPFKDDDKGSFFLDFECYKDFDYVVD LQIDVPQYVQQNIKSSKFKCSQALTELSPISLILEKIQIKAILTGKVIDPIGNGVPNLAL SITTDPESTILETKTLTDGTWTVTDPKIYPNTDYKAIIAYIDINKQQQKVEQKFTIQSDN QKVSISDINYQAIVNAKIQGKIDLEKGTLSSPVKINLTCPKFKDAKGVDINQDFPTDNNG NFDIPLQILAKHDDKIECILKTKDSLQETTQKVELVAPKFQVQGVSIKAKFITTTFTVSG KLVDNSKVEDKLPGLKITLTLKPSDPISYQPIISKSINDGTYSIIFEVVRNVNYEATINI DAQPAFNVVNEKLQLLGKEDKQSISKDITLIRTVVDITISGQLVDMNQKPIPNSKIEILS SDPQMSNAQLYNKQAAAPKGDFQLPFQCYNSVSTTLKMDMESDVYPKTALSPQVFTCGDK ELKLKPIVVSTKSVQITVSGVLTDSSGVTKNVQNAQIEIVLNPADPLASNLQTKSDLNGK YSLSFGAVQDQIYTAVIQITNSDFNPYKSSNIQIKADKVYTISHDATMDRIILQATLTST ITDPSGKTPPASTLTVESLQPTVKGNEKTQITGKTDSAGKFSLQIPCYKNVDESFVLDVK ADKYKDVKSDKIQFQCSGPAISLPPVKIKYELAPTNTKLTVGGEIIGPKGNGQSGVNVKL TSDPASKDFEVKTGADGKWSVLDDQLQFGTKYQLTESYNDAIGQNHKVTQAFQTNDEKSE MTFPKQYYDDFVPFEINGRITTEGGIFPSNVPISLQCDAFGENKVPIDLKTFTDSTGSYQ FKWKMLMNANSNIDCLIISHETVKFQLTNNRFKINPNNDVIKITNQAQVTEKFKEQLTKY YQLSAIYGAVFAEFDCSQKLEWLGLEGVNIKLKQWNGLSYVDVQIETKSDKQGLFVIKHQ VLKSQIQNEMRLEFTKQHYIPTTAEFNLYSFDPQLDGSYLIQLSNIRMVKIGQPEKCPTV KKNKHHQ >CAK57392 pep:novel supercontig:GCA_000165425.1:CT867991:286335:287074:1 gene:GSPATT00028427001 transcript:CAK57392 MSTSANKSKAFAKFKIVFIGNQAVGKTSIIARFVYEQIPQSHQPTIGIDFLSKCIQVENK TVRLQLWDTAGQERFRSLIPSYIRDSHAAVLCYDVSNAQSFADIKSWLEYVREERGSDVV GVLIANKIDIEERVVTTQEGEKLAKEQELLYYEVSAKEGTNVQQTFKNLALKLLGPIQDV DQPTTLGQLDSNQVSQSTNSYNISLQPQNQQNNQTDQQKCQC >CAK57393 pep:novel supercontig:GCA_000165425.1:CT867991:288029:288418:-1 gene:GSPATT00028428001 transcript:CAK57393 MNILNNVLVQYQQYQRMQLKQMQTQIKNQVQTQFYVDENQQNFINLLYSLRIVNEHFKGQ KKPPMVIICRNKVILQINLSNSIHYDLGSQKDTGEMLAKEKNNAMNILNQLEPDLNEFKD YINGIKKIA >CAK57394 pep:novel supercontig:GCA_000165425.1:CT867991:289710:293459:1 gene:GSPATT00028429001 transcript:CAK57394 MIGWNISQGINSISTHNYISYFGGQDHFNGTTILQNLYNLQHPHYSVQVDIEMIINEKIK YVIVQLDDKNNTYTSNQSAIELINFQFTFEHHSQMACIRISTQSSEVQSWWGISQFTIST FNCSQNVQYCQTNQNQNMRWKLLYDEFKVELSEIDHRYYKIFTLGCQCTYQNIYFLDLKF FSSEQDSKMLFDYQLQVKSSILVKIRFKLMTLTTASFKVLVDGNIKLEFNYYQVNSYFLY YCYGDYFIYQIEIDTNIYNQDQLQLQINFDFNRWVNIIDFRVYHIQAETWQQPKAIFGCL HALYDQCLMCQEGWIYYPIIKSCQMNLGDENRDLVVFQDDHQSEYSGNITQIQSIPILDQ QTSLYLISELIGYKEGGNIINILSICGDGVVGMTEECDDSNQFQFDGCFNCQFSCPLNCQ QCNYGKCVTCDLGYELNKYQCTPICGDQLIQQYEICDDGNQIKFDGCHKCQNNCQLECYN CISTYCVECYEGWNLIDGKCEQMCGDEQVAFMSYEQCDNHNDNNCVNCSLKCEYNCQVCL SSQQCVICSYSYQLIDGTCQSVCGDGIVNKEFEDCDDENNIMYDGCFECSLQCSLGCILC EEDNYCKKCDSQFYKLDLTTHLCEIVLKQDAVDNNQNNDNQDQENQNNNLHCNYNYIYVD GQCINQCGNARFQNQYEQCDDGNTIGGDGCSQSCTIENQFVCETIEYQQSECLFLKPPDF YLNMISDRKNQTQTVELTFTQQVRLKIEMDFEEWAQIAILPETDFLVNVIPITNLTSLLG FPQYQINIIFKKPVNDPIFQITIYKSSISSEDNQEPQNSVKMINLGQPFVLPESIKQQVM QVSQMNDAMMYSMMSISTLALATGNAILFFNMLDLLQSLSYIRFMQYQFPPHLQQFLDTY TKVSLKPILDYFQVDSLIAKLNGGSLPYQSSRSSTSTPSINTLNCYYIMNAKSCFFSLAA SLMTYFLSILVSNAQVNNCVQKYFNKYFYNFKFLKLLTKFQRDVQLKCLKLKNQYFSTGI YQVYFAALHQLCFSTFLQFPEYSANSIFEIFNSTSAIISCIVIIFISFKSFAITSAIIKD TQKWKYFYVESKDSFWAINIKSFQIYRIQFYIFVIVFLINYPEAQSVSLSTFSLFYLFFL LKFRPLKSQYDLTKLIIKEILFSLIIGSFLVYSYNLDNNLNLLFGWIHIGMFSLMLASNL VIDIVESVQKVYKNYLKKKIRKQQQEIHLFTNNPLQKFIYLEYNKKFQK >CAK57395 pep:novel supercontig:GCA_000165425.1:CT867991:293820:295595:1 gene:GSPATT00028430001 transcript:CAK57395 MEVSFKNLCPIHKREIVLFNSKENDHTKRYQCLQCFSEINKQFQLIPEITGISENSQMIQ RDQGEYCLNTAQNDQKWLFLASSQNHKCMVHSQNSIIVSKNNSNIKKELCLNCIVEQEEG YCQIQDLINYLNNKKRIILDNALEIQEYNINILKELKDLLLNYKIQIQQNINKFFENLEQ HVTLIGNSYIYQSEFIKGISCDNIDLMIKQLSKTQEIQTNFIDIKENITSSIQKLQAMKE IQDLYECLNKLCLQNRGNIFEFLNQFKNDGKVQLKIPQINCKNVNSYFQKDWCDTIEFDQ SSTRVIHGSYTHIKYCQFKKGEIKFIKSIHGHEWMVTCLKFMQKSDTFFSGGRDSKIKLW SNIAMNNKYLGVLAGHSQMINCIITNQDESQLISSSDDSQIKVWSKLHNWKCIQTISNHK SSTYGLSLSESGRIMISCGKDGVILVLHQEDQGQIWKVVQTIKEEWGRRISFIGDNRFTF QSYCKDSMCIFDLNQGTGQFIKIKEINVAGGKQCSQFFNQQYVKSKSLLLNKNCMQLNIM IIKEQGDCHKVQALSFITHFVYGIISNDGEYLITWNQGLCEITIWKLDYLD >CAK57396 pep:novel supercontig:GCA_000165425.1:CT867991:296025:299604:1 gene:GSPATT00028431001 transcript:CAK57396 MGNNKSKPIQQQDAYQAHWSKNQAQELRNLQKQEESIQNAKKQQLDKMKVETDQKLIQIK QQEQQIQKFEKGQQTTQQLSFSPQQINLKIQVSFKKPQLLEESSQVDLSQKEEKNKESKA VLTSNVSSNNNKCIIQNNQQEIQLQQVQKDSQQQDEYIGLKQGQNIIQFDIDQQVEQNTK LSDEQQIQQEVQQQSSQKMQQETQQQITQHNNSQGHVDTNANEEAILKRCFMINMKELKI SNLYAKFLEKFSTITSDIILCDIINCVLSSEQYIQTQKVTWLVFVIINLSECKVFDDNVI FNEGSISKQVWTKLINAVLDKLVSAVAKPEKLEWLGSREDVNSYTNQENTNASQVYDMLF GQQKSKNFEFSFENLDHPAIYQLLTYLDTKSQQKDTQRFLELITKRELSKCQQVNLSDCV NQQRALKLLDKLSFYPRITELLFEDSWAYGKFQQYKNGRDLQKYSIFGSILCLSTFPRDF PEVNKIFCSDQGLPILIECYRRPIYEIINQMADIFLRIIRRGKKSQLELFTYLVKLIEIN LDIEKVTEKEKFDKCCYQGMMFNLQQVLLEIFNPFIYNTNQANAKLSKINKDLLAQIKNQ PLLAKIYSNVKQMAPLKTELIQLDKTPEIDPMTFLYLLIQKINSLQQHMIIDYVISYVIL QYDKRHFGSSSKLTQQSEIDKAKYDVLLLNPRSVQNTIQFLSFQSKVAQSLLDENYKPKY PYGLLSNQFMNDIFHYCFIYNSNNLALDYLDEVISICEFTIITMKYQELIEDTHLRVLGM HLFYIFNDYVIQQQHGKASDKAFKIFSENKVIKEFLIEGLIKAYVDQDKVKVTNIIPTFR FKQAVSQLFSYILTTHSNIYNKKFVDYVQSNTDTYSNFALAYINDIKELLDQCLSTTQKL KQEEDSAQTVQVRNPTLQERKEKILKQLFLEIAEKKCLGDWKGFEELFKNIVLFTKIEPK AFLIEESRQTFTENLNYVVVKLNGPENNNCLTSKFFTKYDVKIEPRHLSNYIVDIFINIK NQQEFWDELAMNNSTLQLEILHQLVNQMGYLKLKTQYQLQEFLQIINSLQQLKIDYDQFF RELQQDPNRQRRFIDSLTQNLMTDPVMLPNSKQIVDRVTIKRLLLQKKQDPFDRSYLSVE MLIEQKELKQEIKSFINVKIQELRSIRHGSKQHLK >CAK92592 pep:novel supercontig:GCA_000165425.1:CT868669:9157:9375:1 gene:GSPATT00025326001 transcript:CAK92592 MISQDILFFLLILQLADGFRNIADYNDRIGYQFQCNGGHRHAPGMHPHPCVCNLKIWIQT ILMFVKPLQKCK >CAK92593 pep:novel supercontig:GCA_000165425.1:CT868669:9434:15230:1 gene:GSPATT00025327001 transcript:CAK92593 MIFCTDKTLIYEYEENTDLVLSCQFVSNECLIAQKSGDIIKCQYCEGEECSQSFSTLNHY LGCGKNCASCGSSFCATCKEGFSSSNSTDLSCSLACQATHLSCSNDNGVYSFQGCRKGYE LVDNQCVACSFKCAQCVTGVCTACQFQYFLKDGQCFGDINCTRFDYNYDPNTGLAVGITC QVCDFGYFYNPSQQKCTLCKLQPGLERCLICFNATECKICVGISVLTADKKCTTSLLSSC SSNCQTCLYSDPSYCTTCYLQEKFQTSKVTPGKCVCDQQRGYFDKDGECAFCKSGQCDTC GKDYYECLSCKTITNRILLNAQCICKQGYYETGLGNYICQKCYANCYNCKGPYNNDCTEC GDPSIYFKFFDNGSCFCEEGKQLKILSDGNSICICNDINIKSACHPRCQKCSQPYDASTN QYCTMCIPSQNRVLSIDYKCVCSDGYGSDGIQDICIKCHYTCKSCKGPLEIDCLNCSSVA HRYLTNDNKCACTQAYYDPGFQDPFCYLSCHHSCSNCNVQGQDKCTSCPPTRQADQVGTT FQCLCKDPHYYSDQTLLECQPCHLTCKTCNGSYETNCLSCDTTTNRELVISKCDCQPGFY STGSLQCSQCHYTCLTCFSLDEDSCITCSSEKNRVMKANKCICMNNTMQQQNADSMCQNC SYRCSSCSVKPENCTTCPDLSERTLGTDNSCQCPAYYYDQPGNPICIKCHSTCQTCQGSQ SNQCTSCASSKQNEIKLQWITRMLNLQQQLLRMHYYFYKLYILQFRQILTSETVVYAKQN FKATTQLHIQSQQQNRCQSCHYSCLSCSGPLVNQCSSCLNSESRILVATSCVCAENTFDI SVPNCKKCDYRCQGCTILPSLCKSCPSSSLRIFNPLSSSCDCPSQYYDDGVNIVCQKCHY SCLTCIITSTRCDSCQVNSYRTYNPLLQSCLCNDHYYDSGIPICQQCHYSCLLCNAFGAD QCLSCQPQTTSFRIFNGKACECLFGYYEDGFSSICQKCFYKCLSCITSQTQCTSCVQTRQ LYQNQCLCDPGYYDSGLSNCSKCDSNCYNCNYNSKLCTECDSNSLRILNTNNNTCYCQPG TTEIDGLCQYCNINCQTCSNSITNCTSCVLSKMLINSKCVCIDGTYLSNVDNKCYNCNST CETCGGQDSFCLSCSLDKNRILNNKNHTCICMDGYYEDLANNSCLQCDKTCLACFGNSSN CLQCDSNLNLELNQQNLCVCKSGYFFNLIAEQCQVCHFSCTECQTQTQCLACELITRYFD SDTSQCVCKDGFYEVNQISCLKCQSSCKTCQIQSNKCLTCDTSNFRYFQMNSCPCLDGYY DVGIEMCQKCSEFCKTCQISSTKCQSCFPNHLRSANQNVCTCIPGYFDNGSLICEKCSNS CLTCKNQKDYCTSCDINQNRLDQSIIHKCPCLSDFYQDSDEICQKCHIKCSGCVNERNNC QSCKYIQGSNRLTISNQCNCKDGYYDDDVQIICKKCEYLCKTCEKDAKNCLKCFSNLRIN PPDCSCVDGYFETSQLVCEACEFQCDTCQTVPSNCLTCKEGRINKSCDCEEGYFEGGQVL CIQCDFQCKKCLKYSANCLACKGDRFETPFCRCQDGFYDDFQSVNCLKCDYTCKTCSLYA CLTCNGNRILSNQMTCDPPPDSVSSLLTPWCSNCEVAVMKIKLSDDLTAIIVLFDFPLNP NFFSIQLDINACFNILTQTTLSKLGINPTCKLDPDNQQQLILNLGRNPTIIPGDNIDFLP GSFGHKNCNNKLLYFFHKQT >CAK92594 pep:novel supercontig:GCA_000165425.1:CT868669:15389:18888:1 gene:GSPATT00025328001 transcript:CAK92594 MGMVNLKISLLNQQIYNNWIQQSQKKHYQSNLMQLYFWKFKTLFQRKQFIKYQFKHMQDN FLVFYLILNSNIIHLNLQIQLSLQRRKVAQKIQQYQMIFLNIKLNFMKSGEITLNLGLQI SIILNQLILTYWNLIFKATLQVLGQLIHFNQLFQILQFNIIPKKSGIVCQFNGTKKLQKY FDVTYIYVQCKDLDVEQNWNEDPNLSIAISCLDLNSQGECKDSKQQKISINSTQPSQVFP EATFQPYTIQAWIVIATKNSLSYTYKITIVYLEVDFKTLDIDYNNGYLVRPVNNYEDLKF TFNIPLQNRQYLLDYQVAIIYDFQLVTILRPQYYKYSFQLYDHYQQFNKGDKFNLKFLAQ YTNDNIPVQADLGLILNQPPICRLRMLEWNIKALENHKMAINCEQSEDKPYLYQMKVFLF KDDFEEFQNKSSDNSLLFYGFQKSNNLVGYFPNAEINVILQILDQRGSITNIHQYQNISQ NQIDCTNQTIEQLILREKIAWIFEIMINRQDELNCIKLKDELLKYIELGINSKDIYEKLL AHQTINLYKKLILKSQASKTSKRYLEQNQQNECYNNKSSLFINTNQEHFQKNSTNISSLA ISSQKIEKQITNLIKLKVNMEKQNAQNNIIVNTESIMQIKNIVQMLSISVHLIDNQLLII SLNETSAENQEQVAIISEKLIILIDNITIHISDSVQVNGQVLLIQGQILKFQLQKLTKSK HNLNFQIHDDYLDNLITFIQKQQLIVYYNYYNLSQTYRTMLQIYLNRSDFEIDQNHYVKT ILTNFLYTNPQMNQQELSGYYGIDLTELQYCDPLNQFSTVVEYNYQCINYIMENKFENCH LEMQEVNNQRAQLVCICKSIGNLFLIKSAHKTVTQNSTTVANQLQFDFSSIKLIDQAFLF VQSGVILSSFFVYFFLLYKDYNNQKDIDLEQSQSERQDTLERGQKVFGRKFYPGHIFMFK AAFKVNYLIIKKHIHSILQFFQDEESNVKKSFRFLLFSNQLSILILISIWEVLASNLLII NAQINLLILLGVRAISKIIQAIYQLGGKIAIAMVLLYFCLPVLYLFLTIIVLNQIELNKT DMDVQITLNLLSTLFLVFFIVDPISIYIRIVLYKTYFNSVKNNEYIPINHFIYFFLHHSR INKIYEQLQIR >CAK92595 pep:novel supercontig:GCA_000165425.1:CT868669:19597:22754:-1 gene:GSPATT00025329001 transcript:CAK92595 MELSNISIFAIIPYKTECIIQSVNQIPYQSFSCLGESFSRVSPKILPLFYDEALDTTAIC VLNKMKMQKSDENVSVVEISPFKSNMTISQLLFKDINCETCDNGLLYIQLKEKHSYLDIK SLNVERNQCGKSSCINIINNMQSRRRLQKLIPFVNSKNYQVNLLNYICQKNQGYEGTCLR IQNITTLIISSILQKNIAANKGGAILVIGIEDFYIEQSILQDNRANIGGGIFMTDQMNQN MTLLGSLVQDNIAKLYGNNEAQIPSQLAISVDAVNYLPKIKILQTENLVIEQIHIKKYEV FRNVFSDTLYFPNGQTVSDYKYFDWQKEQYYPYNLHLRIVALDQYDSIINNLQKTSCSIK GRLLDDELERLFTNNFTNQENIEFGYKDYNLDQLIIYLDDELNMTLQLQFNCSSIFIPIR GDNKQIESYHQNYYLRMNVKTLPCQLGEIKKTSNKICVPCDPELGQYSLVINSQRCQVKD DVSTKEIKSAQLNLREGFWRPYFNSDSIDQCINLPRNCNGGWSQGDNSCSNGHIGALCEE CDIYDIRGSGHFSKSVQYSCSSCLNNSKNIVIIILVSIWTLISIFISVKSTVALLNQIAI QIQMTKLRFIKNSIQSQSAILIKMLTNHLQILTAITTFKINLSTGLTNALNAIGNPIQTM AYSLDCFLIYMFSMEIHYARIIWQLIMPFIYIFLFLGIYYITVKCGAIKYSLSVITTTFI YIYIYIQPNLVGGLISLLSFRQISGYQWIQANVAYRYDTSQHIIWLLAFCLPGLFLFALL IPSLFFIALYIKRDVLNEKKIRQQFGYLYNEYKTGAFFWEIVKIVEKELLIIFLSYYDDN IIQKGTLVLLVVYLYSELNHRFKPYNMSTLNNLDALSAKVCQISIILGFGIYIDELYGNF EIQIPYFIILAMLNLFYFMLLFNEILKSYYLELEGKFDKVRDKLSVIAPWAVKHPYFRKY LENSTQRRKRIQNQYQKIKKYLFSYAKPIKELKENLNSLSTQIRPTNSNPQILLNQEQVK KLPSKFEFLNDNYQSRASIVISSF >CAK92596 pep:novel supercontig:GCA_000165425.1:CT868669:22885:29267:-1 gene:GSPATT00025330001 transcript:CAK92596 MNFRIVAQLMISIIMYIVQGCPFTDYEQSLLSPKHQIEIGTVEFLGSSQSFAFWSFCIPS WEVDGYPSVEDVLFQDNRYQQLLFLMRSDAVDSSLIMFMYVDFIVGENQNQVVHSLHINN EDSENIYEYRFDAKSYEGTWILSMITIQYQNILFQTSVSTDYNDIQVEIPQYNKVLFIIG GTGIVRQQYQLGIFRGRLSTLITYNDQSILDFDWVKANCLIPQIINGGQTIYLIEDVLLF EENTQIIRQIDQVGKSFQFYGWVKYDFSQASFTTTYLLLRLTIFKNYGDELRLGDELAKI TVDLDLSQPQKCGYDVISHMYSTPMFGSINQKFQEKLTFRDDESFYYQQLQQWHMVSFLY QQRNGPTVSFNFVSSNLIIEEKFPDQNAQGLFINTKYYAIIGSDRTILSKLRGQLANVRF EYNFEINTELNTGCHYTCNKCDGPLSTNCLQCYGLQNRIYSADLKTCQCQLGYIEQLGEC KSYSEIFPLIFFLDLPIFESGFNCGVGYFYLSTIQQCIKCPQENQVGILCADCLINPSTW HYKPVCKMDFVVDNDSGKTGYRLVQRNSLNYDVYLIDDISNLVLIEGAKDYCNTQNDFPN CFKIEKETHLFQSFYIMCKEDHYFQNNKCLKSISNCIKSNYESSDCLQCAVGYYLSENTC IVCANFCIRCQIKNGIYQCLQCPDGYEVQEGDCVKCGKNCQICKFQQIKDSNYQILRCLK CVHDQKYYISLDGENCFENSIKNCLYAFEVSKYDYKINSLDYELNLEPIAKDIVCGQCQS NLTYNQDINECVPQQSTECFYSYVSMISLSQEVCLFGPKVTSYREPMSYLTESPCLNYNC HICLISQIFIKVSYICLECNNGYYAEKLTGFCLPCPQELKCQICYQQNKITKDNWKNQIR AYYRLIIDDDLQQHSFIDHGLSQNADDYEVVCQFCLQGYEIFNGKCIEVCPNSCLQCKII NNQNICVQCPQIRGKRSLSLYNNQCIQCPGHCVFCRERDQDEIKLINPVFDNLEFHYYSN QCLQNQKAYYDTDLKMYVDCDLGPCMKEMQINLFLHCSQEQYYQQLQSLWREEDVKTFKQ SNILIDDLFSTSSFQQFEQREFYQMANEQAIKSILIRIFSFEPQTCVIKNNKSIKQQFSQ NIFSAINVKLELYGYGNTIFQYDKIISIVNFKKVYIQGIILEPLPVHNLKQLFFNSVFEQ TIQLTDITYKSNLIQDKSQILIWNSTFVIIDKFSTQDLNLTDILAFILVEEVEKAQTIHI INFNMINCEFQTVNLFKCNTKSSDSTYFLLSNFTSISFQQSQLLNSSSGFLLIQEIIIKE SLITNIENLMGGNELFTIQIYSFKMYKNTQVNSTIFGVKTTFSINSFKFIENTILNASSA FNNSDAQARNLYFIELEFSLNILRSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXRDRQEGFCLKQKERKDIRWPRQNKGVRKFGKWIHKIRSMQYGT KVEELEFSLNIYDKESRFIRIKQNQIPNQYLYFNSLILNLNSLQVNSKQPLIDKQDESLI FLEAEYIDINGLFITRSFGIKDIVIQKGSTFKLNEGRIIQDESYQIKGLHQYFDCVQKEI SGKYYFTSLYLYDIQNITLYSLLIESVSIINYPIINILSSIEMITKSEEISVSKLYFKSN LILITDSLKQASIFQITSQSEYKISISDSSMDKNLMHYYQQNDLISSALLFNFDCSYCTL NFQNLTIVSNLVTNSTQSIIYIKSNTIIMTNIVFKYNCISNYSILQPHILWGFKSDQEIF LENIIQIFPVKVQTGNAKLAGQNIELTNISISNSVGSGLYISLENIAKCLMTNIVFSSIK SLFLEKNENGGAIVFDTTRIVEAIIELQNIRLNNILCRQKGGFVYLINGQGKSQILMSNL KIEDVYALQGSIIFTEFSSQSTTSKIISLENVQIKNSQLGQMNFLSHFSDLEDTEELQQL IYQRYMFFIYQCFHHHILEYFNYRYLSMNQFLLGFXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXEFFYLRIQL >CAK92597 pep:novel supercontig:GCA_000165425.1:CT868669:30799:34748:-1 gene:GSPATT00025331001 transcript:CAK92597 MLCLSQFTYYAKNVPQSILSLIIFINLFLQNQICPHTLAQTEISRSFFTPFNSDDNWKSY QTMSSNHITDCGTSLIFGGPSVFNHQTAITKTFILPPHYKLQFDFKFWRLDPWSGSFYIF FIDGYQAYKDGPNTSTGTQICGSGTVGQVYSISDTISHNGNSAIITIISLQVTASWGISD FVLFVYKCPKGCDYCDISGNCQNWNRMLIFFNKIVLTDGEGWKSDYALFNGIQECGSFHY YGKFQMSKILQIVLYLSDPHTKVRMQFKFLCAFVTGSIVMRSEANGVEIYNAILSVPKIT NNDIICGPQFRLDKIFLGEIVNSDQTLTLTIQIVESPDPADSTHFFGIRDFEVFTDAEKQ VFNEEIICNDDNIYAFDGCFSQIYDCNEGCNQCIKGLCTQCLSHWTLNTISGQCKAKCGD QIVVSGEQCDDGNQQPYDGCYECQFSCPLNCNICQFGNCLNCNPSYQLIGNQCKFICFQN ESENLSYYSTQKEEGYYCQISNFISNIYMQHVLIQTELQPLYDINQCSIINYGIFAYQYQ LCPFEQPQNCKISFQNQCQICDDLFELSQNLLCIPICGNGVTQEYESCDDANLQMFDGCY QCQSSCQLECLECNSSQCFKCMEGWNLVNFKCFSECGDGIIALLQYEQCDDQNNEKNDGC FECKFECSQNCQFCNQNLGCFQCQKYFELQNKVCTPLCGDGIVIEGFEQCDDGNDIEYDG CHQCQFSCKENCQICDQQNCMDIQIQQCEEDGYYLIDSQCLTICGDLIIASNEQCDDDNE IPFDGCYQCQFSCPLNCYDCDNGQCLQCDDGYQIKNNLCIGICGDGSKQEIEECDDFNQI SHDGCSDNCEIEINWACTQINFQTSQCVETKPPHFNLLFINQTYDSQFIQLQITSKVKLQ NSYQNLTKNLKTFLVDVNPLYYMIYSQVVVEPNATFLQDINYLFQIKLLKQVTTEIYFQV QLDTLLIDDFGFQVENQFCKLRLKNPIVLSEQQRIVSHKMSQLNMYILIGLGVASFFILL SGNPVECFEVLDTMQYQANLKYINSNFPENVMIYFESSEVVTIRPILEKLKLLNFFDGVI GQDYMPAFGKFLFYDVNSDLITNISGLIVQVFMALLFFVISKIYLKILFNNFYNQFRAFI YNNQKSFLPQKLAFLIHKLNQLSFGIYQMISIKGIIYLIKANSWDLLFKTLLYLFSEKES NLRNRLSNMLAYSFLISILILISSIFSIKDSQMELKKRKHYSHEGLIVAKKFLFVLVLIE AQKSQLTQSILLSLINSSYITIIVLGKMVNEKI >CAK92598 pep:novel supercontig:GCA_000165425.1:CT868669:36237:36630:1 gene:GSPATT00025332001 transcript:CAK92598 MILKLSGGGNYENDGVKNWILGHKPAVLMCQILMLQFTNQQYSEKILYFKFEFQLVAYLQ SSLGNIQMGPKLEKWIIEYTDEIIGGGYYDEQGQNIGNWVEVHENFNWYIFNQFLIHFEI TFH >CAK92599 pep:novel supercontig:GCA_000165425.1:CT868669:38966:40146:1 gene:GSPATT00025333001 transcript:CAK92599 MLLILILILNTYCNYLGQVSQIRSDLLRVYLFPHSHDDVGWIKTMNEYYYDYHGVKQIIN SYMEELIKDKSKYFSQVEVAFFKMWWNEQNDTMKDNVKQLILNEQLEFLSGGWCMNDEAT TYYEDIIDQMTLGHKFLLENFNYIPTIGWQIDTFGHSNTQALFSNMMGFNAWFFGRIDHE DRIKREKNKELEFVIHPDYAHSIFTHVNYYGYYSSPKGFDFDISNPNRNYVSIQNVEQKS EGLDQYFKQQYKSYRGKILAHTLGMDFEWSNASSYFQQMDLVINWINNNTEKYNMIIEYG TPKQYIQALNQQNISYPFQEEDFLPYSDHPFEYWSGFFSSRPAFKGYVKRMGRYFQQVKR FYSLVKMNNISQSIFDETKLFKDYI >CAK92600 pep:novel supercontig:GCA_000165425.1:CT868669:40265:41771:1 gene:GSPATT00025334001 transcript:CAK92600 MGKSKQVHFLESFYQNTSGITHVQCYFNESNSCDSLFDPLSQNETIIVTIIDIKINNDGQ NDYLKMLIPTNLNIKIVDEYENSIYGEIICINKRCTLYLPRKVNNEKLLHYIRIMVDFDN DTKIMKLEPKVIEIQKNTKIFDKFHLYYKVYLSKQSSLSSGAYIFRPNLNASDYGDFLQA IEFSGQIIQQIYLEKTTLKVWITRFENQDLFSIDTFLDSIDISDQFGKEIILQIQTDISN DGIFYTDSNGFKFQKRRINHRDSWNIKMKEQIAGNYFPVNGAIMIMNSDGNSACAVLNDR AQGGSSLDQGVIELMLQRRLVRDDNKGLFEVLDEQEIDKGQKVGIRQMISHTIIFYNHQQ QPNLLREHQYKQDLQPLLYFSTQPFIQYSSLLDLFQGFLIKQSDKNLSKLYIEPWLQNNQ YLVRVHNMKEEGIQKLNFLKGLSFLETTLTGNQDLKMWELNRLKWSDSYPKKQNYQYKDD EVGPMKIRTWIVTI >CAK92601 pep:novel supercontig:GCA_000165425.1:CT868669:43100:46220:1 gene:GSPATT00025335001 transcript:CAK92601 MDQDYFYLYQSIYTSKFQNCNQFLFAGWFKIKEIIQSDQEMTFQFIKLTSNMQNNQLQNQ NLSPLQLFYKLQQNLNKIIITTYSYTIPSVSLDFTNDPFLIITEFQISNNIQLWHLLYVK MIEDTLDISINFFENRQAYEYKTQIIVKQFHQIYFKLFLGNLQESTTNYLNIMGRNIYFF NCNQNFQIQNCHLSCGECDGPTNQDCLSCSLESKRVYFPQFKQCLCPYNTIDYNDECLSY SELGFQVISNEEQNEGCLYGQFELNGSCYQCPGQINSQAITCLECVLNPKDWFSDPQCAT YIYLNQDGSTSEIKESFLQIEPKYFFTFNGEDLELCLECEQSSLTNLENIDQNMAAKQQS FKSLCTSERSQQQCYKCSFSNCKKCGQLITEQVCFICEEYYELVDGHCNIWTIGVLKENN NCLSPYYISSTKECKKCSIDHCIYCFEYVLDNLELTTLYRKFKLFNGDDNIQVGCAMCQD GYIFDFRVGLCLKQTPNIEFCLRSYISEEGTEKCTLSSKQDFSVAREIVNCEKFISNCIQ CFLTMQSILRCIICKEGYTSSTSEVNGQCTLSKLPYQVISIEGNSVDKDVWVERIQSFMG SYLPNSYFYPFPVSTYLNTEIPIQCKEGYSIIKFSFCSQYCDSNCQECQLPKYWSKFSCN KCPLNYFKQNQRVQINGICQTCSLLCSFCQSRNITEITQASPYFISTQDNEVFTKKCYLP AQDPNIKIQPKQLSPQYCLDKSCKNNFLYQYYAISCVQRWFLTENVLNQANIKYLNQVGV QKFIIQLDLPNTKFDCNIGFDIDAQFLRQQLFSLQETKLIINNNNGYFNLGFGNLYYFLN FDMFEIFNSTMDFTDFSLNFGDTKLKLKMKDILFIGSNIDQSSLFLNSNQFSEVELVNIT IRNVVFSSYSFIHLDSLQFSSQLRIQRLLIQNSTFVNSNLFLMINTQLSIQIQEPQIENC TLINSSIINLQSNLFFIKYQQQLSRIVYQNTNPTYYIFLGQPSWKLQTSLFMGILYKILL FL >CAK92602 pep:novel supercontig:GCA_000165425.1:CT868669:46331:50927:1 gene:GSPATT00025336001 transcript:CAK92602 MDQLKIENLISENSNLFQISTNALFFNLIIEMTNLAISNLQRQDNLNYQNFIFYIRHCFH CHVNQIEIKNINNITTFFFLESNIILIENFKFYQDVKKNQVHYSQLCRQYQLLQKQLIYI QGFSQFKLQNGEIIDSQLIDSKVIEITSTLQDQINSKETIEIKDIFFKRNIIQQNQQSML SLLGIYSENKQLIIIQNLSFVNNFFHQYEDDPNENSAGLINIHSTQGELQLSNIFCQENA ITNSSTSFIYIISKSISLKNYIINNHNIINQQLWSEFYKLELDQQYNQDQINQIISQIYP INVKGGAAKIITEQLVCTNSTFQNIMAQTSSIFEIRTLGLGVVKFNNISSNLIFNIKRDS NDNSGCININSQNSNLDLELINSSFRNVQNGMSTVILTVKPSQRKTIIQLRQIQIINCFS FLNQIFQIEFSTYNNQKLNQLSIINMTVIFDEEEWIIYLSKFQELSQTDIAQITDDNSIF NIVGGQIQIDHFFIQGIIISPIFKILNALKLKIRYCYINDLQSFYPQQLIIINQQSNSTV LMEKIEIQRYSIYQLNMEQYQIQKSPLFRVVGCQLQQLSQLTYLNSKVIINENIKQLTKS TQKMSYSLIKVVSSNSQSKLIFNQVKVHQNNCLNCTQGVFYFSLSDFKLVRIDEFQCYQN EVQEYGCLYLKADKQNSSQLAVIQNSVFIRNNGSQGSGIQVENIKLVIRYCKILENYALK TGGGMQLDINDNEFHIQQSIFMLNKAKAGGGNFLQQQNNLNAENFLNSFLLFNYAEVYAN NLVEAPTHLSIQINQMEIPSVQQYLGDKPISASVIKPYNIVEQGSVLRAKQLMIPSDQQI KNYKIYIPKFSIFRSYIQIISIHFNNRFNEKMENLYNSSCLIEATITFENKSVASNERTV QSLFYDNDVKVIDLSSLSFKFDPYFQDNKILSIQLNCKADNDEQPLQYIIYAKTLKCQQG EFYVDKGCQVCQSSQGFYSVTYNATKCSIFDKEKFENITSNKINLLEGFWRPNYLSDQAE KCIKTISFVLVVGMLEIIYVLKVIQEAYVKNVIILMLEEMGCFIETYRMLNVLDVKECKT VLYHFYQLPYGLQSLFQQLQEVLKNQIGYSHPQKLDKDLVKLFLNHESILIKMLLNYLWI FSVIFTFNTNFSFSLNFIELTSNSSYFMANNLDCYLSSMQNVEIIYIRIITMLILIAIQL LIIWIGFSCYSLCKNWIFNKSIISNTVLYLYVSNYAALVKQFCSIISKRDISNISYVQGD VSLIYGTETHIQWMMMFAIPGLGVIGILIPFSLFFLMYVNRDQLDQIKLRRHICYLFNEY NSESYYWEQIKLSKKIIIIIILTYFENNVLLTASLLGLCLLFYQLLAVKQKPYIIQSLNF LDIQTGQICSISIFISAAKYVSEKENVTPLSVLLQIFIIILCIRLCYPFIINIFRVYFKK YKLASIDLLHSILRSIKSDFFLTRYLNNQLRKLNYQEQRRRANFSKLRCHLIQISKLQIG HQKY >CAK92603 pep:novel supercontig:GCA_000165425.1:CT868669:52252:52735:-1 gene:GSPATT00025337001 transcript:CAK92603 MNTEENKKMQQSDGDEIFIHIQSHADQYKIQQTDDRSQNQIMIPNQAIEPQKNKLTPFTL EQIKLVSSTQVSGDGHVKSVKVQCPQCKKKVDTVVVRKPGSQTYVASCLLLLCSFGLVCV SCLPCIIDDCKDALHSCPQCKISLGKTEFKILD >CAK92604 pep:novel supercontig:GCA_000165425.1:CT868669:52950:55098:1 gene:GSPATT00025338001 transcript:CAK92604 MQSELEIQAVIGFTGKIIQGLVLHPDNEHVIYPLGSTIVVRHIITRQQTFLRGHDNQISV ITVSRTGDYVASGQKTYMGFQADIIIWDFKDRSMIHRLKLHKVLIQSLSFSYNELYLASL GGIDDKNMLIVWDIKAGKALYGTPNRDPVNQVQFYNQSDEKMIAVLNTGVQILTIDRQNK KIQSVDVSFGNVKRTFTCVAIDKNDKFCYCGTKTGDVFEIQMDMAIYKRLAPVKKLFSQG VNCLGLLQNGDIIVGAGDGMIAKVSFQTMQIVASSELLGGVTSISFTNDQTHFFAGTLQS NIYWLDTEKLIPELRNTCHYERINDVAFPHNYSDVFATSSLNDIRVWNAKNRQELLRIQV PNLECWAVAFMNDGKSIVSGWSDGKIRAFLPQSGRLLYVINDAHIHGCTSLTCTSDCQRI ISGGSEGEVRVWEIGKQTQVMKSSMKEHRGRVWSIQVRRNNEQAVSASADGSCIIWDLKS FTRVMCLFESTLFKMVLYHPEESQLLTTGSDRKITYWETFDGQAIRMLDGSEEGEVNALA ITKEGEHFVSGGEDKEVKLWGYDEGICYFKGQGHSGTITRITISPDQKTIISVGAEGAIF IWKMPESVINSKAQQELPTVQSVKKQNDIQQQSQQQQLQQSVAKDAQSQKSNQNAKSVKS GTKSSKK >CAK92605 pep:novel supercontig:GCA_000165425.1:CT868669:55675:58060:-1 gene:GSPATT00025339001 transcript:CAK92605 MFIEIDCTIIFDEKDDIPFQIELEDQKSKQYHAFPLSLDKFGILQFISQTQQLQLQKKIE YMKKYIDFVQQPLENTQRITQKIQKNIEDVLPTDIFGPKSLQPNAGQYGFQKEFCTKIIQ PKQEQSSLLPGSNVAILIYQNEDFESLNHQMEVYNNQYKVKPIVLINGKSAFKKLMRSKE DFFLNFYQTKYLPFHQKFMTSTHHCIYFQIKGQIGYYFVFARELNKLDLIKWVYQGILKL IQPKFVAIASSNEIFSQEINIIQLFEILAKEPKYFGIQCFKKLSYKGRFWNTSQGNFADI AFKIDSMFKIKQFHNPLSCIYQWERIEPTVDDYIRMLDNEQIDNSWALGYNSILPRLMNE HSGKELKFITQCIVEQKFNENQFENVFNQFCQYKRNINDQLRKCRFHLFRNVFQWFIAKI QVFHNYFAISICLFFSFQCPYQLIYNLLDESVGYTALAVALPILYSINILLFLLLTQLYH FNDKIIEKGYQQEVALMGQKNEKQEFSFKTVNSSNIDIMLMQEQNEYNIINFEIQEDEYI EKGTKEIKKEQMKENELFYLYKFPQLKYISLYMGYIIETQNYLDFGVVLFIYANLILVHG RKIINEQSEFEIALWIMAFITFLYQLITKRLGIVWLFVRFSMISYFWQFFQLPRQITSAR QRSNEKRKLGSQLLLNFILFYTFIAIESYYNYCGYILIGLFGYLAIVDIIIGFFQFTQYL CYSSSIPKSENQIWEGKLLDGIPQILDNKIHQQANTQLFEMTRRLQKIAVIDDVNSTYE >CAK92606 pep:novel supercontig:GCA_000165425.1:CT868669:58343:59497:1 gene:GSPATT00025340001 transcript:CAK92606 MAEQSKFTHPFPKLLFDDSHIQFKKYSKYIIDTDAGSDDAHAILIASYILKYIRTDAELI GITAVAGNAALENVIKNVYITTRIGHFGDNPPKIYKGCRTDTLRRFYRDNYFLEDGLGGQ QQRLLTELGLQDKPLEYFHEKQHACDFIKDSVYKYGEDLCIICIGPMTNIYLTLQMYPDI VDKLGCLFAMGGTYMGVGNAANSVAEFNVQTDVEATAAVAMAKFKQKILLPFDVVLAYTL DKQNGKVIFENGANTKKSQFVESTFKAVSHDGNYILCDELAVMVALVPSLIIYSLQKNIS IVSDGQARGQVIIDWLTLMRGNQKSIVSILTAFEWDSVIEIATKANLDQQ >CAK92607 pep:novel supercontig:GCA_000165425.1:CT868669:59916:60652:-1 gene:GSPATT00025341001 transcript:CAK92607 MQIKELTFYVFSTLGDRSIMYYTLIFFWLKQANKLQTLQLIIMYSISSFFGDFVKMILQQ PRPFYIDNTIQLDFCQYGFGGPSGHACRALVFYVILFNMLQTQDSQQSENSHQVQSEELY LMIQPEQSQSNEISWKNRIIKYSMNSQSLFWGSFFELSYAWMDYGIILVIYFLQLWNAKQ NNRAVDLSNIERAFQEAINSSDNLFDFDYHSIIYDILRLHQFVDSCSTQVELEGSG >CAK92608 pep:novel supercontig:GCA_000165425.1:CT868669:60802:62207:-1 gene:GSPATT00025342001 transcript:CAK92608 MEQENDFWKSSFQANFDFKKFQSYRIDVCLDKKASIGSIYFSLGELLQKETQVKENSYYS IQLQSQLLQQGNLVYQHWAGVKLLNTDGLFGRSDPFLQFHQWDGEQWNQIHQTEYIESNL NPTWMPFQLEMGLMNFQDESKNFKIECWDHSKKNPPKHKFIGSLEISISDIMASQSKTFE LYNHNHASCGSLKLLSFKINQNRNFLSQIAKTQIQTNIFFEFTQNSIKLHHMSHQQLNIF QQVLTLIGSQLFQYNQTNSGKLFGFGGTYQQTLKDYFEISKDSNGIQEICADYTKTKKEI EFGTKVQLTPALFEIVKQSELFKPNFTVGLLLILEEIADAEKLKLFLSHQLILPTIMIII TLKENAYQLSSIIKNQNNMNKVRLCSMKEDDKNSIQILREEIFTIIEQEIREFYNVNI >CAK92609 pep:novel supercontig:GCA_000165425.1:CT868669:62935:63985:-1 gene:GSPATT00025343001 transcript:CAK92609 MSKIVRQRVSMHDESTSISLQSKSVNKKKNAYHKRQPIKQLICIVSVPKSVGEYVFVKAD VELKLALSYIPDHCLGSLKETPCTYNHRVTRTDQLGDVYFYTLELLDQDNYSIEQIINIL SHKDDPCAQLAIQLVNQRFNILNEYTQHYYISNEELLEVEQIAKDYPYQCIIQKVNKDNS SLSQRIVNDQFYTLMGVTSDMMFNHLHETKTLPSIFDIGASLKMWCDLTIGSISSVQYFD QYINTYEGAQYKCRIEQRQMFKRTQVNPNQIVFIEFWIFKLDEPLKSHLLNPQRLYLNQI DYFNKKNTEEEYQLFQKSLKYIETPHYKNSQPCGYKLLPWI >CAK92610 pep:novel supercontig:GCA_000165425.1:CT868669:64469:64840:1 gene:GSPATT00025344001 transcript:CAK92610 MTILMCLLQMIVFTVSASKKSKQKQYEYLNEKVLFIVLTVFVSLISFCILIFIILACLHQ GGFRLIRQEKLKQKEKQQEQQMIVIIPQNEKQHYNQFLQDVNQSLDVSRLSDNQC >CAK92611 pep:novel supercontig:GCA_000165425.1:CT868669:65193:66602:-1 gene:GSPATT00025345001 transcript:CAK92611 MFNRIARLAALQHKVVKCFDLHEYQSKDLMRRFNVQVQKGEIALNAEEAAKVAKKLDPSG GLILKSQVHAGGRGKGTLSSGLKGGVKICKTPEEVANYTKQMIGYKLVTHQTPKEGLQVN AVLVHEGVDIVRQLYIAFILDRNSQKPAIVASINGGMEIEEVAKTDPNSIIVLPIDVNTG LTDQIANKVIDTLQLQAVRQQAIEQLKNLYKMFITLDATQVEINPWATDPKNKLFCIDAK INVDDNAKFRQKELIELRKTSVASEQVDPHEELALAAGLNYVALDGNIGCMVNGAGLAMA TMDIIKLYGGDPANFLDVGGGANVEQVKTAFEILNSHPKVETILINIFGGIMKCNIIAEG IIKAAQLVDLKTPLVVRLTGTNSQQGAKMLDEFAKSQTKVSITTATDLDDAAQKSVKIAK VSKKK >CAK92612 pep:novel supercontig:GCA_000165425.1:CT868669:66650:67631:-1 gene:GSPATT00025346001 transcript:CAK92612 MNKNAKFIWYVYNGNQRSKNKHQVFFKEQSNLKQAAVAIIFWTPNTISSKLPTLGQAHMK SICSQSHLLELLRQESFECKLMVCQRQFNLRDIHSNEICFPGGKLDNEESDFEAVIREVM EEVDVDLRKHQTFYLGRLPNNIEIKDAPKGLKVCCHIFMVSGEQPQVTLNQQELQDCKWI PISYFYDLSDKIRLGKCTQSFTFFMVKSFFQKFPKMIDEIVRNFDHGLFGQVDLGMKNHL FGFSLMIFTQMCNLVLSEQKEGREYFGDIRLMNSIVHLMDLNFAENTFIGKLCDWYFSKM YKTYRIENRVEVRRHLGKL >CAK92613 pep:novel supercontig:GCA_000165425.1:CT868669:67853:68083:-1 gene:GSPATT00025347001 transcript:CAK92613 MGCINASGHQKRKKDLDINNVPILLSDIKSSNIEKIQDNWLEMKNNSILVRRVQQSKLSL RVATNSKSPLSCQSRN >CAK92614 pep:novel supercontig:GCA_000165425.1:CT868669:68200:69663:-1 gene:GSPATT00025348001 transcript:CAK92614 MLQIQIGDIIDNQYEIIKKLSQGSFGIVFYGKSIKTNKGVAIKVERKEMASFGTLVREIE MLGKLKGVPSIPELLWHGEYKKCNIMVMKMLGHDLIYYQKQSGQLSSQCINSIAYQLLWI LEQMHKKYNKIIYVRNIIHRDIKPENILSKNGSDKIYLIDFGISKDTDSNFTHKKKQFSF IGTSRYASLAAHQGIEQTLKDDLESLGYVLIYLTTQHLPWMKIEKADDQRLDKIGRLKEN VLLEDLCFGCPNSMLKYMKYVKELKPSAKPKYAMLRGLFLSKLQNTVELGLDWTNQAIKQ EKKKHHKKQRNSCELNFQSCVTIRKPFMGETQINLNVKTIAAQEEQVISSDNLTSQVQFS IVETQGSKNSVKVGFSSSDIMECLAVQQNNNMARISTFEGIAEANPLLPQNFEKQLMEKE SQDLEIKYNLLHFTSVYFNFKNPIQQNTIKQNYQVNVIKSIN >CAK92615 pep:novel supercontig:GCA_000165425.1:CT868669:69990:72538:1 gene:GSPATT00025349001 transcript:CAK92615 MGSCQSSNTVDVSCNHNRPHIAICLGKACTRDEGDRRLCEECLVDHMCNDRITIDEAIKH DSYGIQEIVEKFDRDQNSPNATGGLTQSLANFKNNFFESFKSYQGYYDIYLNQYLLQGMP DAELFGEAASQIVEAFEKNDLGYIQKQGCQILLNTYNNQSLIKQIVGNLDQASKKISIYQ SQVEQKMRRLQDSFFKELLSLPYNTAKSFNGSILSIDPKTYSYEFSAYLTTVDTNRMSGS LISLNCSDHNQKIQVICCDRCPNKDIRLCGQCLITHKCNAGQRIKLKSFGQDQAAIIEAA MKYQKKKQEIQDAKSKVKAIFEDFMRQTIKEIEMLGQNSKRMMLESQLDGIDSQIKQELP AYVNKLKLVHIDILLVVFANRSMVSLDQRGVAIIAYLIQHPEIKNQLVAFDASSNAVQFL NKLVVQLEDVSKRFLQNVSQVEDIATFEKKPSQNLESSQIYTTNIVANYGATISPLGMNQ SLQRQPSANLNFKTDQSFENKLDSCPVIKVLQDFGEDQDNYVSDIIFYQNYLIVSTVDGL IVIYSGIGENKIGEYQFEGKCTSICGFVYQGKLAVAACIGNFEEQIIGIITFEKTVQFQG QLQGHSDPILMIKQLIKPEYLVSCSGDHSVKIWDTSSSGLLESIKAKTINNHKMAVRDVI LINSNQLVSGSFDETIQIYDLKSDRINYAIQCEDWIYCVQSCYQLQLQNITEKAFAAGTG SGDVRIISTSNYSEMQRVRVAPNYIKSIMVFSNPNYLFLSCKNRDNYIIRIQEYRQIDYI TKTDEVDFVPEGICIIDNYLLYGEANSVKIKYAS >CAK92616 pep:novel supercontig:GCA_000165425.1:CT868669:72580:73253:1 gene:GSPATT00025350001 transcript:CAK92616 MFEDTYQNLFASSNQIAALSIDCNYYIVISKPIYIKSGSLRRIFKNVQYDTYENQQLQDF KIYLDSIPVEYLKEVQNDSVLLRFLYTHQFVKADTVNYFVDHLNWLNNPETMNLEDIPQY SKLVQVIGRDEMLRPVLHICLNLPLDDLFVKAISNKLIIMEDYMFVPGKVESWIIIVHLS QTSQNIKPEQIDKPSSTLSLIFLCLQSKSIFQKQI >CAK92617 pep:novel supercontig:GCA_000165425.1:CT868669:73331:74837:1 gene:GSPATT00025351001 transcript:CAK92617 MDKAKFEKEKIQFLSPEQIHLFESDHLPNQSIQQNQQNQFSIFQQQPSQPSFLLPSSPNQ VIHNQPHQIAQQPVRLILNQPEPTFYGHPQKLSNETELVGPLYPMIVYASALPQPYVPEY HKKEISIQPATQVDPHHHLINSNQNNYSHHFPNNLNTQNNCQQYQSGYSNPDHLFRTKYD DIIDQYNWNKYGVTQQLPSVEPDKIIHDVTMHISSSLQQHTSLIPTIQGTQQTIQKNDFN FNPDIYSTYNNNNHFQDPILNNKDHFPLISEHPSFTHYDHLLEPPSKYTKIDPPIDPVTP FNQYNQGIGIDQQPYQPYQKINSYPPIHHLIEKKYDFGPIPNRPSEHSFTNSQITYDKPF IPDRSSIDTPITTINYIQPKYQSQTPQMVNNLQLNYQPSHPQIIPLSTIPQHFDYSPYNP KQHVSPTPSSNNLYTAPNYPIPTIKQIEQPKIVEQNGIKFQDETMVIDDNDHRQTKSSNR DPALQQQQCAIY >CAK92618 pep:novel supercontig:GCA_000165425.1:CT868669:75041:75250:1 gene:GSPATT00025352001 transcript:CAK92618 MGCSQTKTKQSILANQNYLQSIQNEAKTQQNFEKDNVKYNILKNPIIRRRTERKNKLPNT TACTPTSIQ >CAK92619 pep:novel supercontig:GCA_000165425.1:CT868669:76127:77202:1 gene:GSPATT00025353001 transcript:CAK92619 MFHPEKPKEIERCLELIYQNSLGDQAQEDLINDTTQAFKKFLHQNELAQRKTIILMFGSL YNGFKTKKSDVDISITTNSYIPEVTALSYWIAQLGYQTRFKLDQSFLKSRVPVIKILDQQ NLVHIDLCYNNLLGAINTRLLKAYSLLNLKVKQGGVLLKVWAKGAKIVTNVLFSSYSIII LWLHFLQANYGLPNLQNQKYNFKNIDSDLTIKRTLYDKENVTKIKTFFVYEGETYEKLKL EFQAKISQVSLQTLLQEFFCYYSQNGQGFNQPYKISINLKELKDQGLLYSMSDPFDPLHD PLKKINKAFKNNRVFDNATQFMKTQQETQFLFQDLNQQLHY >CAK92620 pep:novel supercontig:GCA_000165425.1:CT868669:77248:77856:-1 gene:GSPATT00025354001 transcript:CAK92620 MIAIKIKQQQQTQQNAQNNQDITQTGAWKRALSDQQEFNDAFPPDLKNLKITFPDNKNIL YFEVEVRPDHSFWAGGVIVFTVSISLEYPMVPPKVLCKKKIFHPNIDLEGKVCLNILRED WRPVSSLKDVIFGLQMLFTQLTNPTDPLNKEAAELMLKDQQQFAQVVKSTMKGGSYNSIL YEKIA >CAK92621 pep:novel supercontig:GCA_000165425.1:CT868669:77962:79812:1 gene:GSPATT00025355001 transcript:CAK92621 MLRPGSSMSKAKQTKAAIPASGGMAARVVQEKMPTLEEYVKNRDWVGAISLLENEQNFND SRAEPKLWLAYACFHNGEYKRAIQVYDQMMTKSDYNKEIHIYKACCFYALCQYEDAKREC SKGPETPLSVRLQFHIAHKKNDEKNLMTYHHKIQETVHDQLCLAAIHYLRGHYEEATDAY KKLLLENREYSAINVYIALCYYKLEYFDVSIEILSSYLNQFPQSVTAINLKACNQFQLYS GKLAEEVFKPLQQQYEGINVCADNDLLKHNLVVFRQGENALKVLPPLVEIFPEAKLNLIV YYLKNEDIGEAFNLVQDLQPTNPKEYILKAVVYAMKGQSSPDQKEALKTAQQLFQLVGSS ASECDTIPGRQCMSSCFFILKQYEDVLVYLKSIKQFFQNDDDFNWNYGIACAGTGDYKEA EEALAQIQSEKYRSDDIYIKWMTRTYIMNGKAKEAWELYINMETSSESFQILVLIANDCY KMGHFYYAAKAFDILQRLDSDNGEHEYEDALRGSVVGVFQMVITSKETLDHLVEVINILT NTGDNPQVEYILKIIKKWGKENNWKI >CAK92622 pep:novel supercontig:GCA_000165425.1:CT868669:79889:84277:-1 gene:GSPATT00025356001 transcript:CAK92622 MPSQSFIYVNSKSQDASQFIVEVVVQEISKLSIITKQKQLDLDTQEELHVQAYDDKGNTF TTLEGLKFEWRVGALEMVKFSESGLKVSEKRARLEFNSDIIVVKGKKEGKETVFTRVVEK KYYETKIETNVDLVVIQKFQFSPDYPVYYLPTHSVIQFHLLRADGKTRIKLPSQTHLWST TSKVSTIDQNGVLTTQNIERNLNLKVINSEYNQIQAIYHVVNPKYIDIDIWEDGKEKREG KVTHLIVGKLYRFQAYLKDESHQRIYSTDVFSYDSKDITLNEQTVISDRERQNVKLTFQR GTLESSVTIHFVKPIQISTIFKTYIHLPIYEQYNLVVTGGSGSYKYQQRYQSPTIFEIKS SHILAAIELGENTLTIYDEFNVYNSLEVTVYVTDVSQILPFERRKELIVNESDDTFYQAI GDPKVGNYTQCRAVKFTIDNFDIFTTSQIAGDLSNYLVCNGLKMQSATPGQYNLQINTQK ISVTQQVRVYDYLHFDKPFYYVTPHSTITTKVLGGPTTWDQTPYNEKLSEGIIKIDMEKY HFNCFQSKAEFRITRINKQSDLLPNPKLVSNSLPVKCLLPEAFKIYNEKNQEVNWLFKEE TVQISVVALYQNFLFYNSSSLHLDHSTRGLKYQNRYLSYDIQSGISDCKFIVASNSYNNH KDTFLTIRSELDLIIHHTLKLIPSGEQYVLIDQSVLFRIESSTNNIQCQYDSNIIGCEKD QVTITPKKLGQFQLVVYDLSLNYSVSATLNVIDPSQLNLELSQQITVVGNSINATSAFNY YKRQVHVTTWSSLRLIDTYGFNNINQVNNQFLITPNREGLFKLQTQYDSINSNQVDLKVI PKLQADTVYMPTECETHVLFPSYSQFTYSAISNENIETSVSQNIVTIKSKKINGNYIVTV FLRQSNILIDSVDIPVVVNKPNRAILYYGRKIELGSSVRIVADFLIQDHQMNLCLCPTHK IHWYQDEKLVQTAPNSLGFSVSTVVVGKINIKIKAYDLEAQTLLEVERLNSYTRNLFINT KALYHIPLLVPTNSRLALGQEIKSVEQLNMNLPNIIESDSITLQPQLLLATTPMLIQVEE IYQMYPMSEFVNLKVDEKIEIPIAYLNQEGYQFEDIEDNKLEVLGYTRHIVQIKVNNNGI EIQGLDQGFALIKLSCGNNKIDYILVKVGATLLQMKAYLGSTITYQMDNSEKPIWNSNCG NFKGSQLTIEKELNECYVEVNDQGNKFKAGLEVIRPSHIIIDSNKVDNYLNIKLNVNPKI PQSNKVNPNFDIKIEVDQPQWFELVKTDNLFEYNIKPIIAQDNTPMPKKVKVNAFISNQF IQLDGSKEIVYEREFYLPNNEVIIQNSQPIQTLRIPYYRNIEKTHQDNHLLGLITTSFSQ GRVEIQFDFSQCHEPTEGDAYFENGQKIRVKFVQESSYEFIIFLAALVFFILVLMNYFR >CAK92623 pep:novel supercontig:GCA_000165425.1:CT868669:84521:85251:-1 gene:GSPATT00025357001 transcript:CAK92623 MQQREEQFTLYKLLNVEPKATQSEIKKSYRQLALQLHPDKNQEDANAKEKFQKISEAYQI LSNEEKRKMYDETGMIEGMDEFKNAYEFYRNLYPKISREDIDKYELKYRFSKEEEKDLIE FYNKNSGNVKCILENIILSKNEDIPRFLEFYDRMIKQKKITDYKIYQTSRNKIKTLREDP EAQQIDMDQLTKQIRQRPKNTFDQFIQQMEQKYQKPKKVKTQQK >CAK92624 pep:novel supercontig:GCA_000165425.1:CT868669:85321:90429:-1 gene:GSPATT00025358001 transcript:CAK92624 MADYNESQQLQLEDDYCKIFNVVGKQQPNNINVNELETLNMRNVKYLQISLKSLNLNSKQ FQDKSIKLNVKLPMIRNNIIEYQIHQTYDIGKNGKSLMFNAQFRSNFQLTENSLGQINQA VIYFQVFSLDVEIGKAEFVLSTMIIDRLRCFTYQVPIQQLGQVQTKNNKKGKVDKLIETG ILEFEVQLQDIDARSQQVEQSPKKEIDIEEQVQKIYEKVNEQNQKEKEKKRLLKQQQEEE MNQQLIQQLQSFQLYLNIGKLIHLNQVLCQEKIEDEQINLYLKFKSYGTQEINQTPTNWN TSKWFINYTARCQITVTSLSKMENIPWILELWAKHTQTNQDVLVGIARLSLVSISALILN QNPLVVIQTLRSNLSPQILIDEDIPFEDLRTKQIMAIIKLKLAFGTNPQINKLVNSEITY VDESIDEEPEEAPIDNVNEPEKQPEPPQIDQPVVYEKIPLQECLITTLNKITQTIRILPK EQFILIDEFVDIVLQLCGLNFNKRIITSLSQYLQFDQSTLDWHIFLQSWQAFTNYKKQLK LNYTKIYANFYQQTLKIFPSINALERDMPHGAFGLVHRQQLKEYLLSCKGGNIPSGPSFS AITINSVFETLEVNSDDQIQISDLVDYLRQFEVQGEISKIYAPILQLAQIIEKNMQIWIR NNKQQMDDYINNREFQQGLMASNIMEIFQDLGLNCTLLDAVAIMNQIGDYNNVENQDGQK VCTEIAFWKYIDWLYQNEIEVKEPSSPIAVPQPKVNGIKKPQPIDFQFLETQLIIDFIQL TYIDGEKYQLQIQLENTGLSVTTPKTSILKANFYENGQIPLNMRAICTLSDKFSHDFRKT LHDRMEFSGLSIVLFKDNHVFRGSLASEEILTIENEKETFFVQLFDEVKGTDATLIEFKM SIKQQVKDEKPKEGFQLFNPEGQIQQEAQISQEEILNILKPEIVHTFRINIKQINWYKNL KFTSLKVKLSLATDKKILKEAIPCFTSDDFKDDFDIVVEYQVKCDQVLATQLSKCHLQIQ LLLNCNSQTKSLIGYLPIKPLFEESKVTGEIPLDDSVTGAFICTLNLDTVYTTDQIVEQV VQVEEKLKFQKKVIIRVMELILLDYKNELGEIYFMLVDPLNMSESYEVRPNTPSILNTQD CIFILSETELQSDVVELQVRSVMLGEDKIIGNVSIQLKQLNNEGIFGESNYLRVDTKASS LEIAARVGVKVIYVCAKETSNDNYLNAFRYPAIKELENQQNVEQYLRSKLQFSFSEINFL MSLIKNDVFSTTILKPLELQAEKSIIVDLLKEFTFYDSDQLRYVNPNILATIFGYFNLPD HRDQFIQQIHKRMPNDFQCPSNQFDYLTFLAHLQKCMPNATPLFKLDMQGPIQHEVLQVI KKSPVKQHEETLPKLNYKQMHFHFECGRNLNALTKRIPNSILKFPQLDQESKVFHCQANP QYDALVSVDVLKFKNLQVQIFDKKYSVDEKEFYKYNLIGQATIHFDQINQQFPQVIQLQL ENQEHSFNQTNTIPYLNIKIWTDNEEIMPQIVKKSPVKPVVQTSKETVRRLVFDLENNEN DSGKDNATIKNLEKELEEQLRSLKKKRQEQPDTTIQKKEDEKPKEQPKEQPKQPQDNDGT SNQGKKQQPKKIEKMKPQAYSSSGSSSHQNPAKSSSSGNRLEKIDEKLPINGAELKRIEK ILRLNNLPVDKYDSLQDDSDYE >CAK92625 pep:novel supercontig:GCA_000165425.1:CT868669:90519:92006:-1 gene:GSPATT00025359001 transcript:CAK92625 MLNKYTLTFKDKILEQKYQDFQTQQKRKPLLQKIIFTTFIVIITKLISSIINFSYKEIYF TIGYLAGNIGLIVIFKFQPQWIRWVLMMINYILLFVYSEPDDGKAQFQYQLASALIISFQ FIVMRTGEFIDTLIQVVSFYSFYFIYLLLYQPNFSISVALATFLVVFLLIITFYENVSAE RSKFQLTIVDDKWEEVLQNILVEPCVMFSFNYLNSSFIFKRSIEFMFTIETTDQLKQFLR KSKVNQDKKINLEDFIYKKVKELESDHMQLWNQKVTIYYEKKVQDVYFSILQGTSPIILI KVKQFKFQSNEKVSDIENQYQYKYKMLIKSILLQLRLVGQFQFPALTAIFKIIMYHYLLE KLEYKQITKIKIDKIINQLRLVYPQKQISVEILQLGSPIFGQRDSLCLILMEVFETILSN QIHLKVINQHGSTQLQIYGVLNERAKEKLKSRLLNYQSILENVDITDLCVNLGITNEMQS LDWVIKQ >CAK92626 pep:novel supercontig:GCA_000165425.1:CT868669:92529:94994:1 gene:GSPATT00025360001 transcript:CAK92626 MDLTKAIIFDKLFYIYLQIIQISKRCSSQNNNSYQYRRKRKEVGLQYILDRLKAQREGQL NKKEQALNKLSDEEVVVQLKAKGLGVYGTKQEKLDRLKKANGIEVSKCEQAPQQQQAPIP QPPQPPKGSVVDNIKKMEQQREERRKNMQEMKREKAEREEYNQILGKNVDVEFELMIDKS RLKGGLIQEHQTTQNIKLCVCVRKRPIFKKEEVAGEIDAISCANPMIRVHESKLKVDGIT KYVENHDFQFDNTFSEVEQGKDIYDVSLAPLMEMLVNQGVVTCFAYGQTGSGKTYTMKSI QELLAIDLYKLINASPSFKIVVSFFEIYGGKCYDLLNNKAPLQILEDKNNNIQVQGLIER PCESENELFQLMELANSVRTTHATVANDTSSRSHSICQIMIRQGYTDMGKLILVDLAGSE RAQDTQSNNRQRRLEGAEINKSLLALKECIRAMDSGQGHVPFRASKLTLVLRDSFTAKSN KSRIIMIACISPGSSSADHSLNTLRYADRLKDKSNQAKVQLEEREVSNEELLYRQQQQNA YDNKSQENNNNNYNNNNNNNNNNNNNNNNNNNNNNNNNKLQQLDKQNTPLQLPKINDARN QNNQNAVNLKKNQSQGPDKEKPKSQPVPPKQSKRINSVQEDSDDEVAAEELVNKKNGQVK EDVRCMKETMMKNEQNNANGNGNEFFDFHEKVNTILEEQDEILNIHMAAIKEDAKLLQQE SELIQSIQGVGIVDYDVDTYVGNLESFIRKKLKIYNLLNKKLQVFKTHLKEEEEISSKMK NTFYY >CAK92627 pep:novel supercontig:GCA_000165425.1:CT868669:95078:97912:1 gene:GSPATT00025361001 transcript:CAK92627 MEEKKVPVEKQAQKKPGKQVVPVGNHENKASFQSEQDLELKNRLEQYAQEIIQNNTESLE KLKTEVRSATTSMTSVPKPFKFLKESYGKLVEFYNELEASRFKKQLADFLSVLAMTYGGD RDSLLYLQEGTLEEFKFWGHEYLSHLAANIGSEFQIRLQKVDGADDLLFLVDEIVPYFMD HNSEHDAIDLLSEVDQLQKIEQYVNQANIQRILVYLLSLVPFCSDQDELDVLLATAFNIC LKVNEFTNALKIALRIDKPGKVEQVFQACQDETIKKQLAFQLARHRYQVGEEIINDETLK KIAANQYLSQFYINLAKDLEVLEPKTPDQVYKAHLEEKNKEANFEQIQKNLAATYCNGFV NAGFKKDALMSNKENPWLNSVKNDHTAIAAVASIGLVNLWNIDNGTEQISEYFELSDIFT KAGACIGLGLFCSGIVDENQPAQAILLAQLESPEVQVKIGAVMGLGLAYAGSARVELQDQ LISLIVEADSVELASMAALSLGLIFVGKCNDEVANAIVQTMGERQESQLDISISRYFAVG LGLLYLGQAEAADIVIDTLAVIPHKINKYAKVTLTSLAYASTGNVLKVQELLGYCSEVTS DDKGTKIEKNYELQQVAILGIALIASSEDIGSEMVYRIFQHILQFSDVEVKRAIPLAVAL INISNPKIQPMDLLLKLAHDRDQELSYRATLSMGLLGAGTNNSRIADKLRGLAVYFMNDS NGLFLVRIAQGLLHMGKGLLTLQPYYSDKFLMNKVAIAGIISFLHGCLDIKNLILEKHHT LLYYLGIAMYPRMFFTLNENLENLPVQVRVGQAVDAVGQAGKPKRITGFQTHTSPVIVSA GERAELANDEYMPVQDMILENFVILKKNPDYKPEIEQPQRKKTSFSM >CAK92628 pep:novel supercontig:GCA_000165425.1:CT868669:97947:98979:-1 gene:GSPATT00025362001 transcript:CAK92628 MSKIPVQEMKQYLDQRIDYNSHITQVAMKKLGLTQRALQRMNYLEYMTSNTSQQDYFLYL HAVAMNIKKLQQEIVKMSLKDKSIDCTDTGNVEEIIALLDKRMKHKNHTSSQPCVTSNRD RTCERDDSIGCEIKAKQKIIRLTNQGIKSITERDTLKHNSTASQNSICDSSSPEKFILKK LVISNNQSIADLFQKQQASIDVSTMEKLNESMRKLLPDKNLVIMTGIKHRIKDSQNHKKS MDEFMQKITKLRSLSQQSIDSERKLPSLNIKQTQQKQPNLISTKLEMMLRKEKMIREEPL KIHQVKLGNIMGVISKIRNQQTKWRMFHQLNNDQS >CAK92629 pep:novel supercontig:GCA_000165425.1:CT868669:99034:100188:-1 gene:GSPATT00025363001 transcript:CAK92629 MSNNSKPKSWELRKKDASKYRFYDKQDEILIKKPGEVDGESFVLRNCVRCKIFIADFSAQ ILIDDCFDCQMFFAAVESSMFIRGCKRCKVITACGQFRSKKCEDIQTLLFTQSQPIIELS VRMGFGCFRGYYPQLRQHIQKAKLNIWNNTWSDLHDFDPEKQAEITHFYMVLEDTRYEDM LKPLNEVLSIPNFTWAEECKTENQVIPFTSEQRKKPFHEEVLLIFYPEESSRLNVDVLSS SFQPLLKEVPQMIFAEEDNQVNDQVEIEAPEQLYCYLVKSKFQPLFADNWPLFKTAVETH LSADKAAKLLDQVVVNSRLQPIFSLHIRTDNPDIKLLSYLYSLLDMKLKCDINKACVVVQ NSKAIEELTVLLFANQKDERAGQQ >CAK92630 pep:novel supercontig:GCA_000165425.1:CT868669:100698:102685:-1 gene:GSPATT00025364001 transcript:CAK92630 MNKQNCYTTSLGGVSSIMIIIFLGIFFYSNIVGFVTKQKANAKLQTLFNIDPDMVILNNT NFMTAFSIDQVNFTSQPLFNITIEQKYYHRQANGTMSKTSSFLELQPCTMDQFTTKVQNG NSKAIEQQFQSLGMNNWLCPKDDFKFKLQGSYGSEDFYFVKITVKDCVNTTNPSIDQWNP ICASQEQFENALSRDGFFKLQVYQINTVVNPASPSNYLEIYLDSDLYFNFVPKSFSNMAN IYYRQYEINNDNSIVPFQEVEKQKIILRTSEDFRDLSVTSTLPNQNYAEIFLRRSQMNAQ IDRSYQQIGELLSYLGGFMQITKLIFGLFIAFYNRTSCTKYFLTLVLIELSNKLYDFKEG QDRLQSNPSFSSRTPRFIQDAFKLKGLLDTKNSEGDVKKRSNTVIRQNVLRKLIKKSEPI RFSVKLFLNQITCGICFNERNSKFLKKAIDKMNEDLDLHSILFKINEINKLKEVLFNQSQ IILFNFTPKPIIALNDDQAIPTRMNFEDDEQPIQPFKQQLSYYDKNLHNIVYQAYTDMLE EIEDEYVPKCQLEVDLKLIHLLGHDITKIFSEQLQLDQPQNYMDFKVDSGKNSLRTLHQI VTKKEIDKVVETERNDGKLIQ >CAK92631 pep:novel supercontig:GCA_000165425.1:CT868669:103211:104395:-1 gene:GSPATT00025365001 transcript:CAK92631 MNNNKTMKIKKSTEKGFDLESCFLKGESDHQDGYIKDADYYFDSYSHFSIHEEMLKDRIR TKAYQNAILKNKQLFQNKIVLDVGAGTGILSIFAAQAGAKHVYAVENANIAIHAKKIISD NGLSEQITVVKGKIEEIELPVEKVDIIISEWMGYFLLYESMLDCVLYARDKYLAPDGHMF PDKAIMYLATIEDDEYRKSKIDFWDNVYGVNMSCIKQWALREPLVDCCNPEQINSNSCPI FEINIKTVKVDELDFSHQYLLKVQKDDYVHALVGWFDVSFSSCHVPVRLTTSPYAESTHW KQTVFYIEEPMAVKNNDIISGSIAVKKNAQNPRHLDIKISYNLENVYGKNEQVVLYRLS >CAK92632 pep:novel supercontig:GCA_000165425.1:CT868669:105158:105817:-1 gene:GSPATT00025366001 transcript:CAK92632 MFCPLMLNKEQQMFNVQQYKQLMSKRPPVPKFEERSQTPPKRNSLFDEITHLIIKFNLNA LIEKQCVQIMTIIQLPNTNFHAQAIVCCAMLQLNLEQSMFPQKIQQFAQHINYRLNNMLT QLCEKLQMDKKQKMLCQLMHKIIHKYVNKLSKPIQHALAVKIACDIIYYHKGGISLSLLA QSSQVKIDQLQSCLNRIKPFAHTIIQNYINYCKQHKESQ >CAK92633 pep:novel supercontig:GCA_000165425.1:CT868669:106477:107055:1 gene:GSPATT00025367001 transcript:CAK92633 MLYFNYTFINFEMIYSQQTLQENKEMDLQGIDTSEHPLAQLQSLKTRYNQERQEFVLFMQ EYIVDCLTYENQEIGDDVVQTIKNICQKMVLKPLWNYEDLIVELEQQLETQTRMQTLSIF NIFRRLYRIELSQFNHFQFRCIILKQVQLNKYPLSVDTKKPMFYREKVIKEDEPLY >CAK92634 pep:novel supercontig:GCA_000165425.1:CT868669:107126:109224:1 gene:GSPATT00025368001 transcript:CAK92634 MSFLHHQSSTIQLYQTRIQDNVLSMDFWNYPPYQFLSTTQQDLSYQVKKQNVDVILIDTY GINQEVARALFQLLPDYELILPQLLSTINITKGNLQHSLSNLYDLQLKMTDQLNPFTLKG KGMVCCQGEGFATNEFICFYFGEVYSPQRWFEKQTIFNKRMQDGNRKTCSQSPYVEFFIN DDLLVMFKKYFQFIDPTRYGNMAQHISYSCDPNCRLVTVIVNQQNLLAVMTAKKINYLEE LTLPFPLTCMDQCLCGSLHCKRVQHLEMENAHQSSIYPNYIQRNVILLQSTLMSCQNTQS DIPEWLANWQKLNHQQNYINIFSCVDKVKFALQHLKTVQPPIFLVTNIFDQFWKNYGSNT QKIQLESSIINEIVIFLKRHSQQHQCSIGLEIIKQMKQIIDQNSIYALELTRMLFLLLSE IILNIESCSFNNKAFATILYFMSFTHTYFSSTQYQGFDSKPFEENEFEYIPQPKNKSKLA LSKQYTPQFIWGQLINWNKQTLQNPQSSMAQERRGVLCYPSLLLSFDNKHKTFPYQCKTR EIYLEYFQSKKEIQPDLSTWSYKNQHNIYGTIFFEQYFSQQIVGEDFVSQICKLGMQSFE TKFQYWLHIENCFNMKQEMIDELQTIFQEKFQTFFDQTPKSNSCTEYVNIIKKKVKFNQD TIIAEETQFSAY >CAK92635 pep:novel supercontig:GCA_000165425.1:CT868669:109325:110567:-1 gene:GSPATT00025369001 transcript:CAK92635 MNPILKSNSKSFFKKANFYYFRISHLSYEETFRLLFILLASILSFFVFDALRFRQYLIMT DIPVISYSSFSIMLLGLIIVHYSKWTVNFLFHDFVYRTISAQYSGEFRDIRVKKILKWLF DGVYYSTTSILCWYFFREEPWYPNGLGGTNYTGIWQDFPNMINNKWVIPFYMIQTANHLY ALIHLAIKRKEVETKYWEYMLHHTLATCLLIFSSLYNQFRFGIVVLGIHDIADIILSLSR AQHDLKSIKSLMYIQYVLLLFTWIYTRVIIFPQIILEAILNYSDYPEAAWGNKYLIVQMC ILFGMHIYWTYFMMQIGINVFKKGKKYEEINTYDNKEILKQERN >CAK92636 pep:novel supercontig:GCA_000165425.1:CT868669:111356:113240:1 gene:GSPATT00025370001 transcript:CAK92636 MEGYLKKWTNIVTRWQDRYFILNDHILHYCEHQGGQSKGQVHLKVAAIILVPEDPLRIIL NTGTNEIQLRASSVPEKIEWVNALKRAQESCQETISRDHIKEVNDILTDIWVTQAAFDET LNILVPKLERQPKYVELAEKLSELGQKIKMNVTICTQLLEVEKEKLETQQSGDNQTLFQS FRNISSRIDDFNRQSSAISSSSSLSIQDILEPLRYDQPIKYKNLINNSVFQKIQFTSQQF RTALPRTQDPNEKLKVWSLIKDLIGKDLSKFAVPVYFNEPLSMLQRLAENLEYYQTLIEA NQEQDQWLRMCYVMGFGVSAYSSNIDRHKKPFNPILGETFEIQNKQFRFVSEQVSHHPPI SAGFAESNDFEMQMQTDLQTKMSPRSMEVQPIGNVYIKLKQCNDQISYNKCTTKVHNIIF GNMYIEHIGEMVFHNHTTNDKGVLTLSENFKMVGTVCDSHGKEKYKLKGQWNDQLIALNV QTGQETVVWKRYELPQDYKNYFYFTKFAMNLNLLNIDLIKSLPCTDCRLRPDQLALEYGF IDLAADEKNRLEQKQRQRRKEMQIAGQKHMPQFFELIKEPCTNEMVYKYRGNYWRKKGEG MDLF >CAK92637 pep:novel supercontig:GCA_000165425.1:CT868669:113268:113990:-1 gene:GSPATT00025371001 transcript:CAK92637 MNNNIEQKSQIQILQFFEYQNSVQSEIEKSKKILQTLLDQKKKLYNDKILQHKEVQMQQQ QQIDQYKKLIEEQQFKLKSKGNKENIQIQLGQLISFQQEQNQKIESLIEQSCNENSNYIK LQEKLIKCQNDINQLKEDREMKEKLIIQLQQITGVYLEYDYHQKILDIQLEYNQNIHIQI KFEDKLRIHTINPKNEHFYQLIKEFNQDNQLDKLIQRIQSFN >CAK92638 pep:novel supercontig:GCA_000165425.1:CT868669:114006:114877:-1 gene:GSPATT00025372001 transcript:CAK92638 MSSHLKSETQIKILSPQNNFISSSQNFKQDSQRNTEFDNVQIAHSMSNSVNNLTPMKLQQ QPRKISGNQLSVSRTENMIIQCQICENLDDMNLIQPCQCENFYHSSCFKKKLLATTGPFT NDVTTCSNCGIAYKIRKIEAYQQKNFSKITELVSFLIRLIITLGGLSGLSYWFYKEVQHP EEMSSLVAIIVLLILLLVLYLVYMILELVRGNVGVDWEILDQRQDIDHIINPDELNLIEN RGRDLSNSQRPQVIQHIANVQYPQISTSEIIQ >CAK92639 pep:novel supercontig:GCA_000165425.1:CT868669:114966:116382:-1 gene:GSPATT00025373001 transcript:CAK92639 MLFLLILISQAFADQLVLSQILWRHGARTPLHCNWKCEEFKQQGMLNGYLTATGMRQHFV LGQWLRKRYIEDQKFLSQNYNEAEIYIESTDVNRTIMSALSNLQGMYPLGTGPKVNPNLD HSYLLPPNEITFEDLGNEAIPGLLQVVPVHVREKKADIYLRGYDPVACPRNEEIINSNVN SKLYHEINLKSQSLILDLAEQLGIDASLLNITDLYEYQDTFDSCEFNGYSLPKLKESTKA QMKLLQYLYFSLEHNIDFDQARLLATPFFTKVLDNMENVLNKLTKHKFRIFSAHDTTVEL ILNALNLTTVECLKQVYFKQEVSNKNCIYTFPGYASNIIFELYKKQGLEDQYYVQVLYNG TVMPICNNKYKCDYEEFTSIIQLQNVKNYEEECWLTPKIQKEIATWLVVVISVALTLLFV FSICIIYLSVRQKRLDQYVKGVQQFEA >CAK92640 pep:novel supercontig:GCA_000165425.1:CT868669:116833:118856:1 gene:GSPATT00025374001 transcript:CAK92640 MKTIFILVLVLGIWASQYANEIVCPVIGIDLGTTNSCVGIFLQGTVEIIPNERGNRITPS VVAFTDEERLIGEAAKNQATFNPLRTLYDVKRLIGRKYTDPTVQQDKKLMFYQIVQKDNK AQIMVRNFMGLPSKIFAPEEISAIVLKKMKEISETFIGKKVENAVITVPAYFNDAQRQAT KVAGIIADLNVLRILNEPTAAAIAYNLDRLAGEKNVLVFHLGGATFDVSVLQIEYGVFEV IATFGDTQLGGEDFNYRVIDHFLKIIKKKLKIDISGNQRIIQKLKREVEKAKIALSYTYE TTLEIEDLVDGLSFQETLTRSQFEELNKDLFQKIIQPIKLALEDSNLNKEDIHEIVLTGG STKIPKIREIVKDFFNGKEVKTGLNPEEAVCYGAAIQGGILCEEFNKPRGCFQLDVTQLS LGVETSEGVFTKVIPRGSIIPNRKSLTFTTNQQEQETVTINVYLGERPFVRDNHKLGTFE LTDIPQSPRGTHQIEVTFDIDIDGILKVSAVEQSSGIANTLIIQNYSDRFSQEEIDKMIE EAEILVLYDKKVKEMVEARNSLENYIFMIKNQIEDPEWLANLLSDDEKETIQQVLQETFD WLQKSQNSYKEIYDQKLEEVQNICNPIVIKLYQYLNNDQIYFDGYANSDL >CAK92641 pep:novel supercontig:GCA_000165425.1:CT868669:118919:119767:-1 gene:GSPATT00025375001 transcript:CAK92641 MNNDNQNAQVILKANLAKTRITKILQKKPHFQLKLKLNALESILSNFPTQDESPPQKSLQ PLQSSSILNKAKEALTSRRQRINFDSVYLDLKKETFEDDSYNQLIFDNLDKYISHDEAVQ QKAPAINKHKSEQQIIHGYQMLPKIAVSNQIKRKKETMKLLNQQLKMDQQLVKQQTLREL QQMKLPDIFKGVTINDLKYQENIQKFMLQGEFYQQWKKSFKLNKPPSLVQSFMSMNQYLL DSADYLDKVNKIEKKQLTDQMESLNEFLGNQYQKLKIKTDPY >CAK92642 pep:novel supercontig:GCA_000165425.1:CT868669:119779:120690:1 gene:GSPATT00025376001 transcript:CAK92642 MLRKNVRLRKEYLMRIEDEKRAKQKYDNKMRLLNAEIERKNVPTDLYREEENLRKEINAQ DDNTIVPRTHLDDEYAMSFYREPQIVLTTSRSPSQRLITLMKEMGLIFPNCTRVNRGALV VKDLVIHCQQKNFSDLIIVHEHRGEPDGLIISHMPLGPTIYFGVKNAVLRHDLDVRADPL SEQYPHLIFDNFSTKLGERVTTILKHLFPVPKIDSKRVLTFHNENGVISFRHHTYQKSFN QVDLNEQGPRFELKPYKITLGTIGQKEAIVEWQLRTFINTAGKKSVL >CAK92643 pep:novel supercontig:GCA_000165425.1:CT868669:120719:121174:1 gene:GSPATT00025377001 transcript:CAK92643 MDSKIIPLQNIDEFKTSILIEERVAILIFTAEWCQPSLNLVTQMKKELDQQRKRLSQQTG AINIFVVDHEKEGCYQIVKQFAPTTLPYVYLFEKGKYIAQFGGLDVFAMNKLIKKALESS RAL >CAK92644 pep:novel supercontig:GCA_000165425.1:CT868669:121728:122157:1 gene:GSPATT00025378001 transcript:CAK92644 MAPSKSPKADAAKKTVKTVKTKKGSDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAM NIMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVT KYTSG >CAK92645 pep:novel supercontig:GCA_000165425.1:CT868669:122348:123723:1 gene:GSPATT00025379001 transcript:CAK92645 MKQVPIVYFFQNEPKAQFMFFFQGEELIVKNILQQFPFKITTHHIRFKIFVQDIEPKTQI DQFYQRYAWFDISNPNAKVPVVNDTVFAKILILSDLSYSRIAKKMVESVSQDYKVGDLRV QQNPIQQLQSTQNKGQQSQSNSSPKLQQQQNQKQTLQQGSERLSNRHLSNQSNGTSQSGD LLEAFEKLDFKPNHHTQSQPISQADLDINNQNFPNFTQNQTKRLKNDKDSSQFKGLNAQS DSNDDQFTQFQQKPQQQQAKKGQDLFEFDTLTNKQTTVLNEQFQGKDGDLFQFDAPSQSQ QQSNDQYEGLTDKQIVDLRVEQAAEGLQKIWQEEQDYNVLRQQAKDEVEPKILKWAQKNN VRNNLRLLLTTLTDVLWEGTNWQCSIGDLMTEGKVKLKYRQALLIVHPDKHNSTPPVQRY IAERVFYELNQAWNDEKNRS >CAK92646 pep:novel supercontig:GCA_000165425.1:CT868669:124221:125273:-1 gene:GSPATT00025380001 transcript:CAK92646 MSTEEPEAQGCELLGGFGIMVQSILGFLCFLVLVFKRHIEKPKRVWKIFLMDTAKQFVSA VVQHFLNIGLALLLSSADSGDQCQWYFITYMLDCTLGMLINCLLITLFEALFQKLGQTQF QTGNYYTISYPHITTYSISSGDLTETKKAKPKVEVAYGVYMFQLTLWILIVVVSKIILYF FQLLLGEYLLTAVSWMFQPINQYPELELIIVLVIIPLIFNALCFWVQDSFLKKSKFKKKE KTAVLDALYEKTDEPVEVEIVDGDESCRPSITGIELQPQQIKNELVSSVQVNEQQTNSSI EV >CAK92647 pep:novel supercontig:GCA_000165425.1:CT868669:125648:126775:-1 gene:GSPATT00025381001 transcript:CAK92647 MPITNDIYQELLDFEERYHKGSEKYDVIQKLIDLYALLIEHYDSIQDPVGYYFNEKLQSL FACQRALKSIRKTNQDLPPSMPSHTQSLIIQETSNIQNSQESAKAKQTIGVEHKKRERQT KAKIAMEIQDKIECSQNDLQHLISGYQKASDQTQKVIEEDLKRQDESFLQRRLKREKTNL MRKSSRMCSTKCSTERLDLFESCIEFSSGGVDQIQEINNARLMLLLKEQSGKISSEKTNP IAPEQVEEVQPFEEIQIEEMDETENIIIPPRRQIRESSSEDITDSPPQPRRKIQQFGMID NNGDMLIEDEQNLISDLNIELN >CAK92648 pep:novel supercontig:GCA_000165425.1:CT868669:127995:129436:1 gene:GSPATT00025382001 transcript:CAK92648 MDTSTNYDQYAQKAYSANVFKVDESEFIIEKRYKPTQQLGSGAYGVVIGCEDTKAASSEQ KMVAIKKIERTFEHRFYAKRTLRELKILRNLRHENIVNLITLQLPKSRKHFYDIYCVTEL LDTDLKRVIDKEHAKLNQDHFKLFLYQILRALKYMHSANILHRDLKPTNLLLNKQDCMLK VCDFGLSRALLQTTKTQQQNPNIMTDYVETRYYRAPELLLGLKTYTQAVDIWSVGCIFAE IVRGKTLWRGQNSKQQIKMIFETVGTPSKTKIMQVQDTFVSSKLIELVSELGTLEKVPWD KVVKGLPPEGYDLLEKLLEIDYKKRITAAEALKHPYLKELHNPNDEPTRSPVSNMEFEFE MYEFTNEQLKDMIYEEILLYHFPDFKKQYEDKIVNNQSVISHIMKGESAKIIDPEADDDY PI >CAK92649 pep:novel supercontig:GCA_000165425.1:CT868669:129495:129966:-1 gene:GSPATT00025383001 transcript:CAK92649 MKEPQERQTRFQSKAEECGICYNTIDQQGQLDSCNHSFCLACIQQWSNIENTCPLCKQKF KQIEQKWKRVHYKSHKISKQNCKQNKQNINIITRIFVKDKSQSQKEEYLNVLIEILNEFI LADSIYKFPTLVNA >CAK92650 pep:novel supercontig:GCA_000165425.1:CT868669:130308:130670:-1 gene:GSPATT00025384001 transcript:CAK92650 MFVPHKPWYFEKYTDFFDQDGTLLGFEIDDQVYILDEYGGWVDQQGCYYNSNCEPDGWLL PDGKEEILHYDKNKKLIRSYRLQDLDWLNQQDHYRKRRQSAVKQIQILEKLGWDLTKITI >CAK92651 pep:novel supercontig:GCA_000165425.1:CT868669:130674:132027:-1 gene:GSPATT00025385001 transcript:CAK92651 MKIAVLIAILSLAFCYRTPQFEAAQQVIVQYAAGHILEAQAGVPRQVVVDVKFKKAFEIP PQVFISAQLLDWSVGLPHGFMERVSDITTTGFKLSGVAVGPSPLYSLFVDWYAIYDPRIQ VVTFESTDVKELKTGQGERKVSYTIEHNLKDATNAIVGLIGCKHLLTNPIVEVKVEQVTP KSVTASARTYWQAQLEFVRFNVLLGTDQSLWVSPAYVFYNDPASSHPFVKRAPSAAEVQQ TIELPKVWQSGPRVPIVTLRGYDVERARNMRLQYNKVVLESKLQYNLVTWWDTQMYGVFH QAAIFVADTTYKIFDPDCAELFSECNFKGDSITVCDRIPDLPGYGWSKPIRSLTVPVSRR LYLFNKEKYEGQRTSFIANQQCMESITFSFAQLQSFEEEEHPVLASQ >CAK92652 pep:novel supercontig:GCA_000165425.1:CT868669:132240:133417:1 gene:GSPATT00025386001 transcript:CAK92652 MNVCSNFTLSLTGGFQECRNCGKEKKFHRLDFESNNIEYFQKASNNNAAKFQQDKLQQIA SQKQLEEDEFRAKACSNFTLALVGQFQQCGNCKIEKKYHKLDTAGQIAYQPKSQQTKPVQ QTQQQTKLTAVAEVVQETMMVQSVKDRINQMNKKNQGTNEPQFRPSIKKEEVKEKVLGQA EIVTEQVFTTVSDKKSVFDKQRVRKTIEQSTQQIREQQNSEGIRKAESQYITSQDNAQEQ QEQLIQNQNQFQENNQEGSQENQQQEQQFENNQDQQYQHQVEEQQQEEQQVEQQQEQQVE QQEQQQEQQQEQQEESQEQQQEVILQQQEQEESNNQNELVEQSEQQNDQQNEGQQDNQQQ EEANNQEEAADIY >CAK92653 pep:novel supercontig:GCA_000165425.1:CT868669:133475:134591:1 gene:GSPATT00025387001 transcript:CAK92653 MLFRQNEKVPKKGKDSFREFMKREKDSCQQTQMKLSTFIHKTVPNDQVKSQLSSAPYALD GQLIRLGNTWDAKHLGIFLQKIQYASTSESSLLITEPVVVQNTTKNQSFFFRNQKPRNSE DYVDYLHQHTINHLISDHPTLKNGTQFLQKKLLSVPDKTFDESKLNYETESKLKKPKEQF QDMLVAKENQRKFHQWRSEFQDVNRAFKKAKQCFKSGLYALDNPLNDNTELYKEENAKLR GQEKQSLRKQLERYKSLEKFNSSNPSIEFQNIAHKPLSSKQSDPLFTKINFPSQNFELHD KWKMKKMIEQNIDTKSRIFGENSKNKANPHRTVFSREQDIRDKYQNPVHLTQSLIG >CAK92654 pep:novel supercontig:GCA_000165425.1:CT868669:135133:137185:1 gene:GSPATT00025388001 transcript:CAK92654 MQSHAKQVVALINQLPKKDELRFLEKQPGNKKFISETNKVFISVINGLRSLVGDVQANST TIPFKQIEETLDQFLENAAIQYDRMNKLSLAPKQPQIQKTNQLKPKDIYGFADAIQLNKL PFIPVLKYKQYAQTELDQKIVEAQNNPYQFFEKYEIDEFAHPYYEEILRLTPQDFVLDVP SKINRYRDLEPPMMITNADHLGELVLKIQQEVDQNGFSEIAVDLEHNHQISYLGITCLIQ LSTRSQDYIIDPFPLWKQLGDMLSVIFANPKIVKVFHGAENDVQWLQRDFGLYIVNLFDT FHASKELQLMQNSFQFLLSEYCKKSTDKTYQTADWTQRPLPDEMIKYAQIDTHYLLYIYD RMRQDLKKLNKPNDNISNIPNYYLEAVLKRSKETALKIYKKPLQDQDQSLQTILNKQDRR MEAKSFELMVRLLELREELGIKHDQNPRYFLPNPFLFKIVESKPTTIQELKSQLGGDKNI HEVVKDNLWQFLKVLLEFDEKIPTVNIIQEEQQNTTQIQNDEVQPNFQVEPLKIVQFQVN IKKLKNQNKCIVENNLKNENVELIKSQFYCESPFKLLNVFYEGLGSQIFQSMKEQSQQQK QLIEETQQQKIEKINTSDFIKLPKLDEPNHDQKNDLDVRLNNTLQNQHGTKILKRHQKIE QQRTQKSNISNRFELS >CAK92655 pep:novel supercontig:GCA_000165425.1:CT868669:138103:139483:1 gene:GSPATT00025389001 transcript:CAK92655 MAFGAICFETFFAYLYEYNQRLNFSQGIIIQQQNNFMYKFTTQKILILSFNENKSFFKLD FVNKKFESIYNIENNLDNLKEFLRNHFIINLPTHQMSGSLKVQKFANKQITLEDLLFEKY KAQTDLVEEFSEFQMIDQNKSQSEIQIQLINGLKTQFVIIIQENKNEIQIENYEKKISIM NNIAINFSILVGQQLQSIYRQISDLEIYEYELQNLNKIQGTMQYLLNETKNQFMFYSYKK LHQLRQEINHCQLSAVIIALKPYFLYQSRKSKREFQLLFQLEKDNVQMKINTKLLTQILI NIFNRILIYSKSNSRILLKISKEYDLNPQSIYQSHKCLQNSSSSKYDYLCSSSENIQLLV NLNFIFTRDDEIKDFERCFVNQNNEYEQSDDLISMVNSYLLKQISPYNKVFIIEEQTSES LNTTLKLYIYSDQTQIDPSYSAALKQQNIID >CAK92656 pep:novel supercontig:GCA_000165425.1:CT868669:139676:141961:-1 gene:GSPATT00025390001 transcript:CAK92656 MTTFDGEKIYYTNQKFTTDEEFNHESTITDAAKRILKFLKEFHFQDKFVYRDQLKENVAN NEFILRVEINDIENSDKELYSFILDRPQDIQETFEDKIKELYCQEKMINKSDCPDFQLQL ISQQNPDLLRNLTAQQIGKLVTIKCIISASKSIKVKAKKLLIRCRECQDEQNINLGYGPK PVNLPRICLGKAQQKGAQTDAQCPTDPYVIIPEECQFIDQQTLRIQELSEAIPTGEVPRN FMVYCDRYLVNKLIPGQRVIITGVYQVPPKGSASIKSNAIDAELLLPYIHVFGVQTNKVN IKQGLSEAQRQEFKSLSRNRDVYKIITNSIAPAIYGHEDIKLAIACLLFGGTSKNLPDSM KLRGDINVLLIGDPSTAKSQLLKFVERAADISVYTSGKGSSAAGLTATITYQHNTSQFTL EAGALVLASGGVCCIDEFDKMRSEDRVAMHEAMEQQTISIAKAGITTRLNAKCSILAAAN PIFGRYQENKSIQEQIELQTTILSRFDNIFIIRDVRSIENDQRLANHIISLHTGQFADQE GMQIEQDSSNTLDLMKLIQYVKYAKSTVKPLLTEQAAQMIQNLYVDDRQKSQQPHHSKSG GKSHIPITVRQLEAIIRISESLAKMQLLEHVKEEHVKEAHRLFQTSTMTAVSLGTKEFGL DLSNDLKQLVAKIEESILRRNKHRIQNSSNQIDLRII >CAK92657 pep:novel supercontig:GCA_000165425.1:CT868669:142184:142582:1 gene:GSPATT00025391001 transcript:CAK92657 MDEFKSNSERPQTSRILNQLKLMRRNLVKSPQKQHKYTKSHFVPADINNMKYVLSQRQNF GNFSSSVTSFKGKAISQSQIQYDESFLNRLLPKETIRNLNNQSRKNNTLFSLFQQPQGRM KTDWINSKYRLF >CAK92658 pep:novel supercontig:GCA_000165425.1:CT868669:142912:144652:1 gene:GSPATT00025392001 transcript:CAK92658 MSHQGVLSTKQQEAAFLKILKKQCNFTCADCSSKSPTWASLDFGVFVCYNCSGQHRALGQ NITRVRSTKLDCWTQENVDLMDALGNDQNEYWENRLQKNNKIQPSASPEEIKLFVQEKYV KRTWVKQGQADPKTRYTQCLMSGVPFRVVKTNTFFSENGTQGQQVKDEMKQFHSTQQQGI QRNNRQFSIESKQTQKEANLISPDKGGQFDWNSFNHFSTFSGVPSHHTYQTNQLEIQPKK LQQNEDQSPSFKPKNNNLNDFDLLTYSSMADNQQFMSYNPIQQQSLQQQTHQQFNTHNPQ YNTVNQQSWSQAQKQAQIQSQQQQQQANQQVNQQQQNNQLLNLGNNAFGSNNQQQIWGQQ PQPQPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYNQASSKPPLPGRQNGQFNQS NMNFSQQQPQIQQQLANQVNYQPNFSQQQQANQQQQPKQQQQQQQYQFLNQNQQNNTQNF GFDNNVNNNNQQMYQGFNNIQFPANQGNINNNQQYHQFNTTNQPSNSKYQNNPFANDAQD IRNLYNTQQPSKYDQLRNNPFV >CAK92659 pep:novel supercontig:GCA_000165425.1:CT868669:144664:145880:1 gene:GSPATT00025393001 transcript:CAK92659 MFCLILIVSCYARLILQDLESMKIQPEESTLPRQHCTNHDAQTKYGYLHVPNGAIKVKIT YQVSNYLEIGPHSGQWNKFSNLLFLDLPAGTGYGRTNTTRNLTYEDVAIDYEIAMKAFNK LCGLTYNNVILFSTDFGARFALAIANRSSTIKCVGLLDPFLDTISIVTEIPNFAFHMGVI DYQELIYFEKAIIRLSDDIYNGNYQHENAKFLKQLYYSTGNVSLYNVLEQKQYSEDEDKL EQILNDPDSLYYIPFETEFLARSQNVFDQFKYNFFEPFDNEIIQHLLSYKNVLVYQSQYD MLIPPSGTMKWLISIEYELNDFFYQNQLIKLMKDDLLIGLQKRGGYLEYLFVLNTGQVMH RDNCNNSMNLIQQYLESII >CAK92660 pep:novel supercontig:GCA_000165425.1:CT868669:145900:146244:1 gene:GSPATT00025394001 transcript:CAK92660 MGSSCCAQQVGEEQDHELENKYKYDPNKQPAKGAFKKQNEDKKMVKFPTRVRQDDDEKHK GEDESPVGNPLIEESVVAPDAKSHKSQHTQKSHQTNKRGLFSKQVSQFVKCEDE >CAK92661 pep:novel supercontig:GCA_000165425.1:CT868669:147207:147960:-1 gene:GSPATT00025395001 transcript:CAK92661 MNNNQYLPVNINAQPFGFPLCMPQFMNSQQIFFPFMYYQIPYFQPFQAQQTTPQPPQPNE KEQTWACSQFVSVSGTRIRLNLKLLKELPQTTPSTILDENESELNSKQIDKHFKIIKQSK HIKRHISTRNVQVTTDQNNQPFKLFFRKNDGFIHIGKGHQTEMVQRKFNFNMMRMKLQQF QVWNQENMPLYDEIKQLLNLTDDKTLQLLQSYKNIKLLHKKLMSSDPITYEEVQNLLITK YKQ >CAK92662 pep:novel supercontig:GCA_000165425.1:CT868669:148369:149518:-1 gene:GSPATT00025396001 transcript:CAK92662 MKQTHFKNLSLLVLVLYGLNYAVDLLTTQFQNIYYLKLLVLLIIVKKLLELPISRYVSQI TGYVMNLYIPKIMRMPIYTIFSEIYKVRRQDMIHPLNYYETFNKFFTRQIKPRKIEYGMV SPADSKILSISKVTKNECLLVKRVTYQIGQFLTGIKGYEMEFKKKQESSNLWSCIFYLAP GDYHRYHCPVDFIARSRLHIPGKLAPVKESSLRQGLYEGNERVVLEGEWEQGLMYIIFIG ATNVGSMKVNFDSDLITNTNTQHKSGYRNYSNLPVNAPYQSCGKGVHIKKGQEIGRFEMG STVVIIFESTSINWNAKAQQKVYFGQSVATY >CAK92663 pep:novel supercontig:GCA_000165425.1:CT868669:149533:153810:-1 gene:GSPATT00025397001 transcript:CAK92663 MHIYLLMLTMALVNGTVKQIGLNEVVDDAIEAYDFKVYRLQLHNPEKLQNRTLIVQLLPQ IGNPKVAVDCDTEPKLLDYYEWQTGSWFLQQLSISYQERQAVNCTDNQFYIGVSSEVVSG YSLVTYVLDGIQQLEYNIPLSGELVEKEILQFKLKPNQSTEQITLQITANQAEKFLKQCS KEDCQITLSDYEANNTDTAMHLTQSSLTFVHNDCQDCYYLIGLKSDSKTQFRILAKHQEQ HVVLREGQQEQFHVEEGFYIYYLYNIPNDTNIKSVKFQITQYNGTCTLYGSSSEQYPNFD KFEMKSLSQLKASNAKTFYLSVYGNTQCHYGIYTQVERYQTINQTYFIQLQSGVPQKYLS GDQYSFFYIQLTQKQNFTISLQNIEGNFIMYVKSNLKNKEIPDSNSYQWKDQKQIEINID DPNYATQYYIGVQNLNDHGEFIIQYTLHGDIEFYQVGQQIIGTVAEKKFRYYKLPMQSRN LIITKDIYSGNDVFDLDMFISLDQKNTHPSLKHYNIYLIGKNLTIPDTDLQCPQNTTKIN EKNLCYIYIAVTSSSGTIFYTISTKYNNSLIQLHEGYPQTVTFDQETLFYYILKNKELSL QWYSYGGSQANIEPYFGNKDNSDLRYETFKAHSYFTQFQSFTIPETEKYQVLYIRVQPIE PHYQNDTYTIGVYEEVKLLTLSDPIIDVIQKGQTKYYKLSLTQDVKSIQVNIHVKGGGEF VNARISKGKDQRPSLSEFNQQWSHIFRTTYILQEVNNRYLAKDDYIIGVFGEEECEFQIN YNTDDAKFVNAFLGYPFDVLFHEDIPHYYIFRDDPNDKEYKIIVFAFQGKLQVRAKALES IDDETIISINDHLFIDEIVDKQKSFKVKTCEKVGCVYSIEITAVQGNSKGLIEFSGQKYQ TTLYDNLQWREVLSESESSQYRFYSNVDVQISVSLISGDIRLYLKEGQELLHNDEADLTS RIQQDTLILFEKKKTLESLITIKVESLVPVSVFMISAVRIEKQTYTIHLGQMMTYQIKAQ ETIKLQYQSVTQTSNNQQKFVTLQIQNIHQSIENLYFDITHTSIKPINYFNKYPKAISYH LYDQFGLYEISIKALHNQTFIGVIITNGELNALIDSISQYQLTKMGQPNYYEVFLQQKSK LFLEVFTCSGSILVQGTKNETNLAKQIFEIQVMNSSKDHIQSILQLDEVKLISSHTQDDN KNKISSYFIKQQTFDQGEVIPQTSFEISDKSVDWYKVDDNLIIHIPNLIQVKQDIQAIHI YFIVRMQQAIGEKSLACMFESNYVNNKTNVYGKYTQVVEQSNTSNFTTVTFTTKDQQAKY LSVVGKVQLQIEYQTEELTYPLPIIEMNYTNNLQESTQQWILLGIFLTILFVTGASLEPQ HTQY >CAK92664 pep:novel supercontig:GCA_000165425.1:CT868669:153960:155213:1 gene:GSPATT00025398001 transcript:CAK92664 MGQAVSSNNPLDYKFDIPRPQFNRGELDALKSNELESLKNLRIYTDLDIDDQGFNFENID CFDFEENAQGAGAYYYGKLVGGRRKGLGIQVFEEAKSGIRMAFGNFEDNLLEGYGLAIYH DNTYYHGQWKKGKCEGFGYYTSSFGDFYLGEWKGGEFITGIYRTSDFEYVGQLSQGNFHG IGRCYYFDGAIVQGNWSYDQLEGFANHQFPNKDNYVGYYKKSLKHGIGILYENSEQKIYE GEFFFDHFHGLGKEIDQDKKQFISAVFRQGKPYLLYSKQIYQGENQGDQEWILTDYDQNQ QEVDRSLCVISDYNFEQNLSQGGDKYEQFNKDNQNLINEMNVILKKSEGYKKEHQILEKR LKQLTILHQLQKWHYEQNQDRFPHHFRSQYQKFLQQQQQQQ >CAK92665 pep:novel supercontig:GCA_000165425.1:CT868669:155291:157146:-1 gene:GSPATT00025399001 transcript:CAK92665 MYWHLAEAPYEPKNLSCQKSQFMQTTEHSQQCFIAQQYCQHDFLYFNYSILSYCWLNGSI MVNQYMKQTTLIVSGFVGYLVYNGISEVIKEYLIPSLEAVKVRFEISEIMAGVTLLAFGN GAGDVLTALVASSYPGGIDYNIGATMGAGFFLCSIGVYLITKTSKSQIKMEPVHFWRNVG FQIISIFVIMVFGVIGQISYFSSISLTVLYLILVSLVYYQERDKILKSRKDSLGERVQTV NEAKYDLEIAQKFDDMKLIYLWDKKSEMEQAAKPVKWATPLIRLNYVSGDARVKMLAKKF RSAVKLTMANLETQKQKWERLSMHEKVRAVIIYPLQMILKFTLPKPQEDQFDKNQAINLL ILVFPIPGSVFLVSVVFGFPPWWVYFLALMFGFGMSIFINTTTPSQRTPPNYFFYIQLYC ILGSLVWIFFLSGLLIDFLQFWAIITELNKTFLGFSLIAMGNVLPDCITLVSLAEEGYGN KQPYQQQSWHQMEFIVIDLANTIVGQMFTNLIGFSVAFLKQNFVNSGPIKFNLFSIIDIE QNAFKLMVILAAFLNLLFTLIMVVRNHYIITKSIAKLLTIFYSIFFILSSSSAFYHAWVK K >CAK92666 pep:novel supercontig:GCA_000165425.1:CT868669:157165:158266:1 gene:GSPATT00025400001 transcript:CAK92666 MGNNCTCWKHDLINQIEVRGNQKPRWQSLEPLKSQEQKIIKMQSWIRGWQARQRVWKMQL QKYNDSVNEQLRTQPLFFRQLHPFEFGIDDINDQLAHQKVLKAPSKLENGSMYFGEWIND LRHGKGILICDDGSKYEGYFNQGNAHGRGRLIHSNGEVYEGQWENDEAHGLGTYIHEDGA TYTGQWEHDLQHGKGSEKWPDGSYFEGFYKNGKKDGLGKFVWIDGAIYEGEFRSNNIDGY GKYNWPDSKYYQGYWKNNKKNGKGKYVWPDGKIYEGDFVNDQKHGQGILKFPDGRIYEGE WQNDKQHGKALLRLPNGKTSYGEWKNGIRIN >CAK92667 pep:novel supercontig:GCA_000165425.1:CT868669:158844:159257:-1 gene:GSPATT00025401001 transcript:CAK92667 MVESKESQSIDNFAFNIQFEDLILLEQPYITYILLLLKSHFNTVDKIKWGIDEKEKEEER KSKQGNVFEHLSAIGKEASGIKKKLQCYRNILRIHFYSKDFAKYNCQILIQNQKKKRNQE NKKALKYEEWFNQYYYQ >CAK92668 pep:novel supercontig:GCA_000165425.1:CT868669:159542:161818:-1 gene:GSPATT00025402001 transcript:CAK92668 MDNSFLISIALLISGILILIQIIVFNSDMNRLQLTITISQIIASSVFLIKPYLRSKMVFL ISFINAQQILQQTNFAFLFIVLTQILSCELEIEKELNIAFRVLLIISQILRVILFWENDI FKGAQLIFSMLFSCILWLKSYLQQRKKKKSIIQTSRDHNNNVYQNSQTNLIVSLKQLDSE LGILDNLPIGIMILDLQQSIKYLNTFAKQYFKNFDCEKHDEISLQLKHQLIKMLLDHIGG PEDLNNVNDNQKSNRPFLSSIKIDDPVQIILDQKEEQFNLVYKYKEVNKNGSIKFKVVKI ILIQQFFKSEEVTIVLIQNVSSKEKKKELTQFIKFQNSILNSFSHELRTPLNSSLQLLEA LSTKLSDQMNQEYVQPILNSNRLLLFQINDILDYAAMQSNQFIHHYSLFNIQEVADYIKL LYSSACSLKGIILTCKIKLENYFVNNDKQRIIQVLINLLNNSLKFSPSNTHIRVQFKKKN KSSQKYIKVKVKDQGFGISEQKLSFIFRELHSVSSEESGYWMTQNQLSSGIGLKMSNRLI QGLAYSEPRKNTFSIKSALQQYTCISFYISDMIIIKEENESFSNAQSDDALFISVHKSLD YKLIQNINRKSCNCSEILLVDDVPFNVQALKTILLQKKIKSDSAYNGIEAMDLVIKKYQQ NICHPTYQLIVMDIEMPLLNGLQATQKIISFFNSLNIQPPAIVACTAYDSNKEEFSIFSD TLPKPIDQQKLRTILKKYLNNFF >CAK92669 pep:novel supercontig:GCA_000165425.1:CT868669:161903:163982:-1 gene:GSPATT00025403001 transcript:CAK92669 MEFQHFQYQLSWIYLAYQLISDNPNFELPILLELLFSSIIKIIISFETYNSTFYYNSTTA FIYALGLIIYFYQKSKESFIKSLDLELVTPKINEFQRIFTNKTQTPRNHEIQIFDHLPIG LVVLTQDHDFQYMNKRAKTLLERASNTQITEDNVVIIIKELLKRCINEKITSVGNLSRPS QTRIHQLLSKFNNKQRVSLPHLDDHFIKQIDPINSILHQYNDFVPYSQQFMDNKDRIQFN YKGKKRCFRFHFMKHHYQIVINLLDETSKIGKLDQKSKHIFQNQLLNSFSHELKTPLNCI QQLLEVIMLKVNTELQENILKPIKWQTDLLLCQINDILDYASLEINDFNWDYSLFYLEDL FQECISIYFQACKQKNIELKIESSCQNKHMINNDYKRLKQVIVNLLNNSIKFTQQSGEIV LKVQELKHNMYLIQVIDSGLGLSQEQLCQLQINIEQDNLENEYNQFHVGLGLKVANRLIT GLSSLKKGLKINSTLNKGTIISFTIEDFLEDNKSQASETQLKDFQLSRQLSQLTTREFKF IKQIICKCNKILIVDDIPFNHHALKMILNELNCQADSVYDGQQAIDLVQQRMKNNKCHPF YSLILMDIEMPKLNGFEASLKIRQILGDSTDQTTIVMCSAYDNQECVKMSQRCQMSDVLP KPITKGSLQYILEKYLR >CAK92670 pep:novel supercontig:GCA_000165425.1:CT868669:164278:166543:-1 gene:GSPATT00025404001 transcript:CAK92670 MNTEQIVISFFLIHTLLSVVVYCLELDIFKLIIEGLLMALILLFFIFRTEKKLVFIIQTL LSFNIFIIGLVQINSSYIFIHFVGWFIVTRKFLKIHKAVRFLYSIIIVIGSAYQIWRLWN SNTYQAIIHMQGVLSFSACYCYYEYQIHIKQNNTETFQSSQKVISEDFHRFLTTRRSMNT LCSDFVVLDIIPHGVVLLTCKYEFQHINEKAIDQIYGLTGIKPNEDNVVDLINSVLKGLL SKKNSPKNKSENQVSKLISPQNIINIDSSEDVKPTPLEHIISNQKGSSIMTVTFANYYQS KLITTYQSQGKMRSVKFQIQKQELPNIVIILILDVTSKIERQNQEKKYKFQHQLLNSFSH ELRTPLNCSQQLLESLIVKQQDEEQLKSLYQIFHQNDLLLCQINDILDYASMETDNFHSF YSVFTLDQLFNQCHEMYEQGCKMKNINLNIKNTFYPPIRNDCMRIKQVLVNLINNSIKFC SLNGIITIQAKEKIKNDKRMAKLKVKDNGVGFSSSQLALINHVLEQNNDYFDATTSMCIG LGLKVANKQVKSFIKGYNRLKISSIQNTKTSVSFYIEDQIDEQDLDCQSRASRTLYGNLS TMTNSEYKFISALKCKCPKILVVDDIPFNHQALQIMLSAFNQTCDHAFDGLEAFQCVHKR LQTNKCHPYYQLILMDIEMPKMNGFDSAIQITELLEKNKYQTKIVMCTAYDNLESRQIAK QCMIDDYLPKPIQRSNLLNILNIFIK >CAK92671 pep:novel supercontig:GCA_000165425.1:CT868669:167026:168016:1 gene:GSPATT00025405001 transcript:CAK92671 MEYQGYLIYQPFTFYNQFKYFQISIFIINKSDIKINDIRSKYNLNSLRRRFNGMIGYNLS LFINRISNCLCRFFLSQSTLISQCCLIIQSFQLKKMLLNLIKILKEFIYLKNSARYFVKT KGTLVFDFNENFGILDGAFIVSIYQMLK >CAK92672 pep:novel supercontig:GCA_000165425.1:CT868669:168070:171164:-1 gene:GSPATT00025406001 transcript:CAK92672 MYQGRMDDNQWINDDHMDQPEDIGFDKEEIERVDLFVNKPFDIPNFPKNEQIKQAGISSH MFVIITRQNNIYRWRPEKDDYCVQMELPEAQQSGLTGKLLGGKKNERKDIILEKLFLFGP HALIVSDNGESFYINFKSDKIRSMEQLRGKQIKCIGWDDQCDETDTHEMLLATKDSKIYI YRIDCRQAEVREEEAKLMVTIPNERQINQIEQFTVMYDNNKYACVVVSTNFSLFFFYGLN SLSILFTKYKDPQSVARAESQPSRYHTSLLAVSQRKNSFLFTNGKSLNLFTLPEKDLNES ILQQAKQLKSVNNYSEMPVQIGLTDFHYFILSADALTIFSKITQQEVQKYELRGMGRIMG MQYERDGKVFWIYSERTFCKIETEDEDKEAWKLLMDQKMYIEAYEISNKYNSEYTKYIAG LCGDQLFTQKRYNEAASYYQKSSKNFEEIFLKFLNCDDMKARIGLEQYLKHLINNLKGEI ERTLVLGWLAELLIYRLNEQEKLIHETRNYENDAQRDKDIKEKKQQLNQLNEDLDHFLKT YKLELDQNIVYQIMVSHGRLQNCVEYAKLNNNYEMIIQHYINEENYKEAIKNLNNVKEKS SMEIIQKYSFILMKHEPEQTLDILQKNIKKFDQTKIIGGLMNIPVEKREFGIRFLEHLVN KLDCADKSIHNILIFFLTQPLQKDKLNYYLQEQEALLKKTEKVNFDLDFALRLFKQASCI DAQITIYGMMSLYTESVTLALDYGMIEKAKEYAQKPEDDDEKKKKLWMMIAERLLSQNQD IDKVIELTKNSQQIKIEDLLPHFNENIKIEQFKDEICNSLKKYNEEIEKLKDEMKKLSAN SDQLKNELKMTKNKFLIIDTQQKCDHCAKQLFNDTFYIFPCNHGFHKDCIVTKIKSLPQH QTNIPSIETYDMTMQSILVKSNPTQNANKKQQDGQSFFQIMNIFGGAKQEQRPQSTLTPD EEKLLRETKEKFDKIVASECIFCGPKVVDSIQFGFELDAREKDTWSI >CAK92673 pep:novel supercontig:GCA_000165425.1:CT868669:171225:174284:-1 gene:GSPATT00025407001 transcript:CAK92673 MNGTNIIFSALNSQPENLQIELQAEHHNEYEKEYLEADLVTRIKTRNPSTNNFTIKSTTN IDTRRNCEPLINQDEDKKTNTSKKTGTNFMKYFYLKRFIQRIRNSRTKLSIVNENHIKLI NDNSSDSQVMMQILKLNNHPHFQIRNVTKLFRDNTLSFYEHPFILWIREKYQLSRKTIYE ILSKMPQIHPESLKKIVWDYWTILFRLLLLILIPLEIAYNPQILFDKLMGLTLTIIIILI IDNVLRMNTICYSSGQAVYDRWQIIQNNLNLQTMSDFALIFLLIYFINNQGNQFQYLMLL ISLTQHFQINQTLQKSEESQYFTKKQKAFINLFKLLIYLIYVLHLFSCIWFFNSSLNYGN SWITLKELDHQQWQLQYLEAFYFAIVTMLTIGYGDNVPKTWNEKIVAIFFILSACLWFSY SINAIGTIIKEINQHFMERSRKIRVINRYMHQRNIPFSLQYRIREYLTFRWKEEAEIDLQ QEETLLNELSEELKQDLKKQANNVFFKHCDFLFKNFSLELQNSLSPFIKRKIIQPQNTFS IYTLSDVYQPHLCFVEQGQLQYQNVLNVSLKSVQNQGQFLEVSEFIEENDNAQTFKAIGY VSLLVLSKSDFTTVIRQYPKDYQKYCNLKDQFILQVNSKNLIFEQYCAACNINTHGLKEC PNLFISIDRELIIKRHQYSQDQQRRFNKRSKKRGKTSFSTRCDREIIEQFAIYFQNEQPK LVQNQLSKQLIQEQEFDEAKSDINDQSPINKTFHFKRQESIQLPRCSLQSGEAISIQRQI NINDSIASSDNYSIQNLKLSTRQQCMLTANKFMSAKLSKYKKMCNQQNPKIALIDTDESL KIDIQDCIYQNIELLYHKIFNEGASIPLDRSVQDLQILYFSLKAQQGVEQFEVVKNFSFY MVHHNIENIILQTEQKFHALLLKPINQLIRFMYYPYEFIVKFQKIKTQRLKLLNIYKKRS IKTLKQKQSKINDLVRKWETPRKSYRLSVIIPNNEQ >CAK92674 pep:novel supercontig:GCA_000165425.1:CT868669:174301:174807:-1 gene:GSPATT00025408001 transcript:CAK92674 MNRLNKFAFSKKLNKIIQTEADRERIRKNVGESGTYEENGKAYYYRDGKINKLDYVKNEE LKLQAKLDPYIQDKETKQQLPYFVISGLLAVFLGSVFLRSLKKPKKQTEEVDNSNYEANY QQAVKEQEEYDEMIKQEKLKKFREQENNDMNKDMKKRDFK >CAK92675 pep:novel supercontig:GCA_000165425.1:CT868669:174912:175759:1 gene:GSPATT00025409001 transcript:CAK92675 MLTQIKEKPLSQLIVELQQKYQEENDQSNIFQKLYQQQVDLESSTESSNIVPALFSGSTG SQSSHSQEGVEKKIKKARKGQIPNKTNQDDKKLSNETTDKKTLQMIRNRISAQNSRDRKK AYLQKLEEDFNKQSNCLQELTEQVSYLQQQLEEVQKLNSHLQSQQSSLICINCGCKQFVL EEEAPISISKNRGLGKLGFSFVVILTIFACFTINFDTSAQNLQSIPQMSNEKPLIRHLNN TDQQYGILEQVQNGRIKGITDLMEYIIRIQLQIL >CAK92676 pep:novel supercontig:GCA_000165425.1:CT868669:175809:176157:1 gene:GSPATT00025410001 transcript:CAK92676 MINYNKEKAKQTNVQALAPLNYHKPQVDSFYCPTVYRYDNQTKDKISVKYQDDQWIHLVI PKNNVNIFYQNHDNSIILKENFKNSDTDIKEKYQEIWCQVKSINDFYV >CAK92677 pep:novel supercontig:GCA_000165425.1:CT868669:176255:178879:-1 gene:GSPATT00025411001 transcript:CAK92677 MQVYPLRIKEEQFQQQNWSSQRQLTDMGSQIGILQSNSVLLKQIEPSKRIIRAKTVKNLQ DKSVTFSIQMTSLTMSQLQESRVTKNQELRKIIKKVGMAQKFINQLRNYAYQLKNQRSKY QYRKRGFLPFFPDDIVYLVWGLGINLCTDVAAILYPIQVAFEYEGFGHQLTFILQMIFWV DLLMNFIICHINKQLDLLHNLKDIANYYITTWFVIDLLSILPDLDLDSLKPIKLLRLLRF FFYERRVEYNQSIELLKKQQSLRDELIVRDEYNLDLRIKKLMKIFLEMIILNHLFACLWI WICKFNVNQNWMERQDIKNANDYTKLIDAFFWAYQTITVIGYGDLEAHNFDEYLLSVIWM LIGVGYYSFTIGNITFILIQSNPNQEFDDQLLNLEDITINMSERIQNDWIRFTKFNIQRN PFWAEESKRIISELPHQLALYTVAAVHKDILRTIPFMSNDINFSGSILPFSTLVCYQKYE TVYHIGQSANDFFFLIKGDIRLCDNNGETLVRVMEGTCFGEIECIESSLRRWSAHAIQES VVLMCSSDNFSQFLEKESPQFFELQQMYKRRKILIIEQARIKRQKQQKLKRGSAINDGHQ SNMKRESTQHLEELRFKIDLREYPSVQQDLMLQIVGQKQARNKIIREKLINAINKIRYYV QRSSKIRRISLHDPDYKIIRNLINNRIKDCTWEKVIQKKVGQKLLKNFEKVREDENLIKA FLLKSKQESTERLSRKKLIHIIRNILKFQKKEFKNNKIDIFYNKFHQIIYENAKNEKQEE RRKQKQKLESIKKCGAMGDQIQNLSQQLKKLVNLQTSLSMAKFEINQQEYEIDCLIADIK NELLQVKIGD >CAK92678 pep:novel supercontig:GCA_000165425.1:CT868669:179033:181975:-1 gene:GSPATT00025412001 transcript:CAK92678 MSQLLDSVLRQQRQRNQTVKGILKFRQDYGIPQSDNNSEDASFEPNFTSNRKIELQQPEK QSFKGDCLSPDPDDDDDSLAILQIETKQKVNLWVHGGLRIMFYITKFSKQMKTYSTEVKF KSLTNNILNLISDSASDADILLHKLNKVEQVMQSFKWFINQVPVLDPDSVIKVLWDIFVL TQIIINIFYIPMKLGFDFEREDLLTSIFLETLPSLTFVLDIVLTFFTAYYSQGQIHRDKQ QILKHYVNTNFAWDLMIVIPFILSSYSVPYTEYILLLRVTKVKSMIESIEEVTNPSNNIQ TLLELFKSIFLVSFVSHFCACLWNLIGENELESGLNSWLVAKDITEANWSTKYIHAFYFS TITTLTIGYGDIVPQTDLERIYVIIMAMVICGLFGYTISSIGNILKQFTEKEQQFKQQMM HINSFLKKKKINKQLMLQVRKYFEYYLKMEQQYNEFGEKMMSNLDKKLKEQVAIDMYCEM LKKSRLIRQTLSLKSVHKLCSYVHEIKVPPEEIVANQNEQANKLIFLQRGELSDQKLRET TLTKIDKGKFVGEKEFITQARYEYTIRSVKFCQIAFVNYDDFLRIIREDSLEEENYCMLR DQMLFTEEKQNYGEVCYICKWTHQFKKCPLVFVHFNQDRIRKKFMITEDMDRLYHKRRSD KKNHERNIIREHALALIVNENMVAIDDLTDQYLNMLGFNMDDDEGERILKSIKTQKSSKS LIRGLTGLEQMDGESSENGEDPDGVLSQSKIQKSSRYIQLQSIEQKKVKFSDVSEPEPKN LRAQNSFKRKADNRQRTFKRLTQLSNNRFTNISIISSEQHYQNQSGSHQNSIVQRLRKNN NNSNSFTNFDQEMQYKTQSKLEIVASNVVNQLDEVLSHKQGENKQQRVDFELDRYFITTY YFTNSNLDKVLQKLKKPKKVSRSDHLQSIISKSKIKPSLYSPRSN >CAK92679 pep:novel supercontig:GCA_000165425.1:CT868669:182391:185615:1 gene:GSPATT00025413001 transcript:CAK92679 MQKIEQNHKFNIDLDLELRAVQNYDFEQEYLDHCLRDTHRQLSINNNHHIHSQTKSEKSN DGYDFRTPKVTSQTNLNNIITSEVQQNHAIAPKYVKQEKPISTGSSIMQFFFIRRFLEKL TFQRKRLQNLNITHLNLIDDKASDKASLLAYKQTKVQKGLTINQLNRLVQTKIEIKERKW ESVKKNLEESKQKFIRQITNIANKIPLVQPENDFKLYWDIFASLFRVILVVLVPLEISFH TQILFQNYVGLTLTILFILQLDLFIRINTLCYENGKAITDRWGIIIRQLTKSWFTDCSLI IIIVVFIFNSNAQDGYDLFLLILLTQYKHIYGALSKTDQISYFTRPQRGIIGLIKFITSL FYIMHIFSCIWFWFSSIDKSNSWIVTKDLDGQTWQLQYLESIYFAIVTMLTIGYGDNVPK NSIEKIVTIIFILGACLWFSYSVNFIGAIMNDITQNQVERSQKMRVINKYMTQRQIPYSL QHQVKEYLTYRWKEDDEVDLQVEQILLEQLSDELKEELEKQAYKVFIQKSELLQKQFSLE FRNALFKSIKRKIIEPQHTFQSEINGQQHLCFVEQGVLLYQHKDRKQRSKMNASVHQGQF FCVKEFLMQTPEYEIFKAQSYVSLLVLSKQDFLETLQDFPEDFQKYCQLKDSYSSENEGC QIQFGSFCPACNNFDHSLKSCCQIQLVLNKEVIVKKYQMNQEQQRVSYLRQTLDKPIQTR AEMQYVQECAIYFQAENQNLINEQLKLQIVYDQETESQQGNVETQNALDPINSVNIFQIN EMYQSTHKPILNKAIQKVEFEEEKHKEKIENHKPNSLLTQSQRINRKGTLFSKQLQRKNT KSPSILFSMNEITEEIIETTLIEQESDHIKNINNFNFYMKENIKSLYTKIQRFSEDKSAS INQGLNSLQYIYWKYNAITLEGFESLFNYDYYFPQSNSITTIEMANKNQHAWQKEILSKF SKYLYYPYQFINKFLKLKKVQYQSAAINAATKFKNAQKKIWLIQLRNNLSSKKLIPSFKN IKLTKSKLNSIVPYPGDSMQSIQISQG >CAK92680 pep:novel supercontig:GCA_000165425.1:CT868669:185672:186746:-1 gene:GSPATT00025414001 transcript:CAK92680 MIQKISEIFKFDSEFLRFLKKSSLSKDNQVFDQEEIEFLLQFMTKDKNLREFFEFYSNSD MQTYNIKMKMLIITHQFIHSNEEFSKLFTSLRLTNFSLLKHSHRNYEAWLLTNIQIPFLS YLQKLAISFKEIKSYKTSLFRKSNYSNLMIDSFKMVNLVNMALSLVPNLKIALKNYPQDR LLQKLTFNIYQEIQGYQKNLINAIIILLNENSKNQSVDLYEFLRELKVIEQKMISYHQFR SQYDPKAVLKSPSQIQIFFENQTKCSTQQNACKAKTKREFHHTTSRSRQSTGEEATTPKS QRLLYYCNHKYSSCAQLLLKDQEMVIQEESEKQIDQTLQQQ >CAK92681 pep:novel supercontig:GCA_000165425.1:CT868669:187672:188496:1 gene:GSPATT00025415001 transcript:CAK92681 MVELIETAGWTQPKVPFNAFCLSSQDPEWEDDMTYPVIEYNKFGYQAMAFGMNLFLYAYN YNVITQNIRFRTFRYLFPVVQCFIFGRIYFEYKSELTKVNLFDEYVQLRAQELVKENEFL LEHEDIKKFVWWYEDYKETLCRVHRQANDHAATDFKDSELILQDFIRRYTNPNSARPLNI QEKGVLF >CAK92682 pep:novel supercontig:GCA_000165425.1:CT868669:188564:189066:-1 gene:GSPATT00025416001 transcript:CAK92682 MAEVEQLSEELTTLKRVVQTSLHRGQLSKGVHEVCKAIESKQAKFVVLADDCSEESYKKL VVALAKQFQIPVWKVEKGALLGEWIGISKFLTKTKKIKSRKCSSVAVKDFAIEVSEGEKQ FVEDKIKGL >CAK92683 pep:novel supercontig:GCA_000165425.1:CT868669:189182:190132:-1 gene:GSPATT00025417001 transcript:CAK92683 MEIASSQYRDITQQFLSKVHIKLVALKREQPNQFLLTAKLAKEKVDAANERLQEFHTTSQ STGLFNDQDYKLNSILSQVKDDISQIHIHLNQLKTQLNNDLNQSIFDFVQQKAMKTSDSF KKLVQSHTQRIKQQEEKRNRLNGERDRVIKRVGFNQKYQKLNETEEEANHQSIQMFDQKQ NEEKLVSMQKIESMLNDIAGVFQRVGTMVRLQETMIERIDKYTDEAQVNVSKGRKELQES HKRISSNRGLILKVFLILFIFAFIYIVFIL >CAK92684 pep:novel supercontig:GCA_000165425.1:CT868669:190459:192492:-1 gene:GSPATT00025418001 transcript:CAK92684 MILGTEVNEFVTNRQQSKIHYLSQENKLLQEYIADLENALKLNKQAMSLALDSKRSQQTK DGSSTSSSEQFQNSELVKHLNEENKKLMEALTKQQKVSSQLQTKILLSEQIAEEQSNYYK DLISDLEYKLIELKRNIHDKEYAIQELERMKPIQEKEGQLVKLVEVVTPSEQNLKLHEEL ESVRGVLQKITAEAQSVSESNNALREVNYHLKREIFKMRIVLRSQVNYQNMKDFVYNEYL EKTDNNLELNRELQNHRGRLEQMHQDLYGTTSLGYGFSPEPQQLKYLSKNERMIYQEKLH KMEMMVKGFKELFEKEKNSNLNVSKLYNELIKRYEQIQDTNELLIRSNQLKDQRNDQLMA ELQFYKKQNQNFMEQLKTRKQFNSVQLNQEQDIAVGIFSPRFNQNNERVNTQPSYFVRQS QQVSNADVKFDDTEPKENISVQLSNSNQKTQNQKQNQQVVPQMKMKKLQLKELEINTDEN FEQAPYCQTARADSKPITRNQMQSQKAQYYDMTREECVNFIMSMSSEFFQHFDISNIKKK QLIKQMEDPLSQNKRVKRSYSNPFSYFSNETQVAFSKPPQQSVVAITKNEIQQLLVELTS KKKQQSEQFDLFSVDVSQIDGNKNKKQILDQDISFISNIDQQD >CAK92685 pep:novel supercontig:GCA_000165425.1:CT868669:192688:192999:1 gene:GSPATT00025419001 transcript:CAK92685 MPQESIEIQMLKQQVQLLQQELQQIHQYGNIRILWNNIFSKLETITFTSQQPLKIYQQLM FRQSLSQDQIKLENLTQDAECQTEDDQIKFSRHNQILHFINQN >CAK92686 pep:novel supercontig:GCA_000165425.1:CT868669:193410:194169:-1 gene:GSPATT00025420001 transcript:CAK92686 MIYVKRLVEKAILPKKGSLKAAGYDLFSAEDSVVPAKGKQVIKTGISMALLEGTYGRIAP RSGLAAKNFIDVGAGVIDEDYRGEICVLLFNFGDNDFKVNYGDRIAQMVIEYVVQTDIQE TDNLTETQRGEGGFGSTGVKLIQNTNNQSQQLKQKLQNIQQLNHDQPEPWQELTILDLYS LFSNGHINSKQKQQLGEITMKGDKKVYELFKKNEGKNEQIIIQQLHNLIEE >CAK92687 pep:novel supercontig:GCA_000165425.1:CT868669:194436:195686:-1 gene:GSPATT00025421001 transcript:CAK92687 MCAFYLMVVCRTALITIRSCITQQTVQTIKQIRSIKSQYFISTYTIVYIMSQHDGLSQLI RFRKLMRQLPSKYYIQLKCFYIYQPSFKIKANLLIQRQRSRREKILYKKTKYIYEVKELL QIPNFQGSWMNTFPKQIQSFEQIEPVQLIKQSSSSFGSSLNSQKLNQFQIPVVIDHLLSY FVVNQDRFLIPDIFRKQGLITEEDQLQQQLINENYECLKIVEDVRIICSLIKRFFLELPD PLIPEHIYKWICEHIEQTTPQTEIDLLKEVFDQLPNLNKQLLIVMVNFLICVGNYSEFNR MNMNNLAILFAPCFMRVQVNQTQSIEQIKLQLKFLKILFDNYDKIFPNVSFKLYLQYCQT QIFSSISSNGSIGGETSGNKNQRLSSSEEDILPEFQQKQK >CAK92688 pep:novel supercontig:GCA_000165425.1:CT868669:196356:199438:-1 gene:GSPATT00025422001 transcript:CAK92688 MKNLKPEDNYSNSLDRELRAEQNEDFEQQYLEYCLKESRPSSVFQNGMFSMSRTDKTPDI TYTPKPEQDFLQNDVISLSELPTQINRSSKDTGSSLMTFFFIRRFLEKVGQKRRQLETLN EMIKPQKSKVQNLHSSNVIAYAKQFKRKELSFISVQRILTKQIIKKRAFEELKETLQQIQ TSLFKQLLLLLSCIPLIQPESKFKMYWDFFVTFMRGILVFLVPLEISFHSKILVSEDRIF STLLFIILQLDYIVNINTITYKTGKAIQDRWEIIKLQTNRSTLIDQGITIILLVNTYMPS GNYDLILILLLSQLKYVYESFLKVDQISYLTRPQRGRIGLLKFLLSLIYIAHLFSCIWFW FSSIDDEDSWIISKRLGNLRWSSQYLEAFYFAIVTMLTIGYGDNVPQNQIEKIVTIIFIL CACLWFSYSVNFIGGIIDDITQNQVERNKKMRVINKYMREREIPYALQYQIKEYLTYRWK EDDEIDLEMEQALLGQLSDELKEELDKQAYKIFNLKCEFLQKYFSAEFRNALFKSIKRKI IQPQNTFSIEFDGEYHLCYIEQGILLYQHSDGKQRSKINSHISHGRFICVKEFLLHSQEK ELFKAAGYVSLLMLSKSDFLETLRDFPEDFQKYCQLRDQLILNLDQQLIKEGQFCPVCNK IDHQLNQCPQVQLIPNKELVLKRHAYNQYQERKYHKRRTIEKPIMTRAEMLFVQECARIF ALDNPQLIQNQQKCQNIVDQDLEPNIVFLGNEDQLPSVKVFPVTEEFLVTSGHQRAVKCI DLEIQSDVRIEKQSKFTQKYNSKRIYKSGSIYDHKFLRQNTKSPSLLSNLNEPSIESYPG ETIISNEVEEYRNQIKEFEQVHAENIKIIYNKMQKYIDDPQLVKQIKEITYLYIQLYYES EPNLDSIYHYDYYFPSHNITSIIEQINKNKQNWQQLILNRLQMFMFYPFQFILKFLKHKR TKAYVSIINKFESIQRVRHKMMLLRVTKSQLKRNKVLPEVQIKNCKLQKKQ >CAK92689 pep:novel supercontig:GCA_000165425.1:CT868669:199601:202745:-1 gene:GSPATT00025423001 transcript:CAK92689 MFNIEFDQDIELRAVQNEDFEQQYLDHCLRESRNQPNTTYPRISRSISSKSIEAECLHKI PETPFDEIITSAQSSELLSRRFCQHNERRGSVPTGTSLMCSFYIRRFIEKISQSRKRILS MNEIHFNLIEDRAADMQNLFNYQHQCKKQGSSLGRMKAFLRKDTGIRNKSILEQMKSRVK IIKKNCFDLITTVVNKIPIIQPESRFKMIWDFFASFFRIILVLLIPLEIAFEPGILFLHY IYLTALIIVILQIDFLIRINTLSYRNGAAIQNRWDLLIYQLKQEFLTDFSTSFVLIIFMI VPEINNKINLFLLVVLAQYKYIYETFAKSDQISYLTRPLRGVIGLIKFILTLLFILHLFS CIWFWFSQISMEDSWIRFNGLDLKSWELQYLEALYFAVVTMLTIGYGDNVPKNSIEKIVT IIFILGACLWFSYSVNFIGGIINDITQNQVERNRKMRVINKYMDQRRIPFNMKHKIKEYL TFRWKEDDEVDLEIEQTLLEQLSDELKEELDKEVHKIFIKKSAFLSNFGEDFKDALSKSI KRKIIPPQNTFSIDFDGQQHLCFVEQGILLYQHPDRKQRSKMNSQIQQEQFFCVREFIIQ SPNIEFFKSNGYVSLLILSKKDFMDTIKNYPEDFQKFCELKEQMNLNLYPPQIENGVFCP ACLLFNHNLKSCPQIQYIPDREVILKKYLLPGEQKRKYQSRENSKRFQSDQTIRRARFEK DLIQQFAQVFQTENINLIQEQQKIQLVYEQESMSSSAENSACFKVQNDGRFQAAVSKSKR IISEPQSQGSSTPKINGVRSNLHQALQNRKQSIFNLNISSGLGRSPKGMLLCQPDYIKEG KESEESSNSSDDSENKEEKQEKNGLTVSDFNDNMIENITNLYHQLQRELELNENDQTIQK AYFQIEPLYWQFNQQRLYDFEVMTSYDCFFKNDNFNEIIKHSHNNNSNWQLLFLEKLQKY MLYPFVFIQKYLNKKRNVINPQKIKHDSSKRLNNRLKTLKNSLKLKKKSVIVKPIQKLGQ IVPEI >CAK92690 pep:novel supercontig:GCA_000165425.1:CT868669:203214:204416:1 gene:GSPATT00025424001 transcript:CAK92690 MSINFDNGFDRRRIINYNTDLFDCAFCSCVPRVPRQCANCDSIYCRLCIEKWFKQSNGQS RDNCCQQQTITNLSQILQSNYNDLLLRCIKCQKAICINQIDQHEFECGLIKQCVNYQCCG NIIPQENINNNSNQCSDQCCQFLSKVKEINNKQEIYKRAREFLISNPFSNREQLLSDEDR QNIMNPYNQITIGNAPIILRWDKARCGSNIILTDGDQKVFLQEQGYIFKSVVANYGFESG IVYWEIEADDRTENYLKIGVTTQRDFNFNSSFCDYEFGWAFYGSAQIRHNQKQNGTAFGK PFKDKGTLGICLNMDSGTLMFSLNGENMGCAFKDEKLKRGPIYPAVSLLHKAGCKIISEK PVPQIFQQF >CAK92691 pep:novel supercontig:GCA_000165425.1:CT868669:204438:206726:-1 gene:GSPATT00025425001 transcript:CAK92691 MGYYYLQQQGLERVDVFGQIVFLRMNKQAYFRTKIGGTISLAVLFLMIYFYSQSLRSFFL KEQLRVISANQYEDEVQSIQLDQSDFMFAFKIEQNNFTTSPFFNLTLEQKQIDENDIQEN IKDYQMANKTKYQPSYLWCLVQVRDFNPLSLDMGLILQRISIVLELVIFYVPSKMYNKYT RYNNTIFVGGTYTSSDFQFLTLTISHCESNEQISCASKETVDQYFSSTGSFKLQIYTINQ IINPYKQRYGSAFLDDQIYFTFVPNQLNRKANIYFRKYEFINDESLLPFRYQSQLSSDIQ EDTVFLIDQSDIKEMSDIGTTLDASYATFNFRLNPFKTKFTRSFQKIDELLSNLGGIQQI FFFFIGLLVGIYNRIQFLVELANKLFEFQLDSSIQNRQYQENLELIDEFIQHRENHLHVN SNAEIDEIDNQQVEDKLSPDISQRYNLLNLFLNTGGMVQKPTNQTKSSKETSKQHYILAE KLKFISGLDYFQKQIIKIIERQKPIFLDFQILCNYISCGKLFKNQPKVILMNKAFDNIID QLDVHHILLKLNELEKLKETILNYKQLMMFNFTPKPLINLDSITKEPSRQLIEQIVKSPE AMDKTDSDTIEMINYKKMSRNQPNLFGDYLIYSKIFNAYDDILQSSDTVYSNKALIQKLG PELQIVFKLSKLIDIQHKIYHKQKHPTRRGAVQQEEEDLCVKMFDQQSQQN >CAK92692 pep:novel supercontig:GCA_000165425.1:CT868669:206764:208530:-1 gene:GSPATT00025426001 transcript:CAK92692 MYIRNFIKANNFNFLKIEVTPCNQSSSTDRTCASDDEIKQQLEEAGNFKVQVYSVNKVVY VILKQVINPNKIGSDYVSIYLDDQSYLSFVPKKISKYANIYFRQYQFQNSLDLYPFNEDQ NLNFVSIDNTETKEITDLGRDSDTIYAAFYFRKSPITILVERKNQNVTDLLSKLGGLLQI SLIVMGFIISAYNKITMFVELSNKIYEFSPDVEEQTKQHQQNLKLIDNAYEEKQFKQEYY VNREGQQQKIPNSEIKHKSKILRLFGKSQPDKHIMLIDRPTTENNECVNQGLNDNEDKSN QNTQLAIKDHNILAQRLNCVSGLDYFKKQINLILNRSQPLRFNMKIFVNQLCLRKAFSNS LSVLFYNQAVDKINEQLDVFNILTKLNEIDKLKEILLTNSQQLLFDFASKPIISMIEEKE MPISRTFLENRARHTNVPYQGKRSRKSQVIQTGNKSFFRTQKFIGYLNKWRQAYDLILQQ SADQHPQHEVNRKLIKKLGDEMQTIFKLSKLLDFDGIRRLRANSNIDLICRNTQNVPEEM MNK >CAK92693 pep:novel supercontig:GCA_000165425.1:CT868669:208579:209034:-1 gene:GSPATT00025427001 transcript:CAK92693 MNHKSTYKSSFGGFTSITTIILVFLFFSTNFVDYLAGKNIITKQTQIYDDDLTNLQLNDQ DFIMALGIDQTNFLDQPYFTINLQQREYERLPNGTLIKNITQLPLVPCTLERFQQIFDRY GKNFSDDFERLQLKNLLCPQQCI >CAK92694 pep:novel supercontig:GCA_000165425.1:CT868669:210009:210323:1 gene:GSPATT00025428001 transcript:CAK92694 MNYRPPKVNQLQRGQQVLPDQQQPEENSFFNDLDYQQDQRLFGSGYPSMITALKCPQLQE NKGPTKYQQPEPEENSFFNDLDYYSQRVLESDDTHFDIMYTLSN >CAK92695 pep:novel supercontig:GCA_000165425.1:CT868669:210590:211969:1 gene:GSPATT00025429001 transcript:CAK92695 MKKQDWKFMQVFGDKASSDNVSDEDIISAVQFERTGRLLGLGDRAGRLIIFEVPQNKKRE KAEYQYLTELQSHTREFDFLKSTDIEEKINQIQWLRAQGKNMYVLSTNDKTVKLWKVSEK NVTKVIKPSGKDLAMPKLQVVESGLIPSVRKVFPNLHNYHINSLTASNNEEFVLTSDDLK VYLWSIEQPSKAFVAVDLKPENLDELSEVITSSAFHPTLDNQFLYTTSKGIIKLCDMRKS GICDNTAISMAEPEDPAKKNFFTEIVTSISDACFSRNGKYIFSRDFLTVKVWDIAMTNKP VATVQVFEPLKSKLCDLYENECIFDKFSIQSTLDSNSFVTGNFNSTFHIVDRLGECNSQY ELNFNKKTVVRQIPPKYFENFGSSYDFNRKVQKISMCQTQNLVAFACLNCLYFYTA >CAK92696 pep:novel supercontig:GCA_000165425.1:CT868669:212202:212544:-1 gene:GSPATT00025430001 transcript:CAK92696 MDQDLLRPQYESEKRKHKLKRLIQAPNSYFMDVKCPQCSTNNTVFSHARGIVTCIKCSSQ LGRSTGGKLQLVLGAKYKTKK >CAK92697 pep:novel supercontig:GCA_000165425.1:CT868669:212573:213729:1 gene:GSPATT00025431001 transcript:CAK92697 MKKKTFQKKNLCLDTDEDSVQAGSVYSEIGPDGLRFLKENIKVSKDGLQVQGQSQLQPIN ADYIQIVNKHLGRGAAGTVSEAIYKPLGIRVAIKSINAYDREKRYQLMNDIKILLHNKMN AENNSGYYCQFLVNLYGAYFDEGSVKVVLELMDAGSLGDILRIYRAAQINGPIISEPILA KISQQILNGLSYLHLISNQIHRDIKPDNILLNSQGYVKLTDFGISRDLEQSAFCTTNCGT QAYMSPERIGAKKYNHLSDIWSFGIVLYELAMGKYPFSSAKTYFEMLDAVVNEAQPELSG NQFSPELKDFLTRCLQKKISMRASAVELLSHPWILQNFNKGESISDWLQHVKHNILPIAQ KNRENQDQKLRQQLEKD >CAK92698 pep:novel supercontig:GCA_000165425.1:CT868669:213835:214791:-1 gene:GSPATT00025432001 transcript:CAK92698 MNKPIYREYQNHRGDNEDPNTTKMVQITDDNSLNRINDTLKDSINENNKYGVQNIFSPSD SIKDKKELKDESSSDPKNTQFAIQNITESDKLIEINTTDHGDKEEFSRFQKGMLSLQTIK YQKKRIQSRNPKYVQKEKKKKEINWDSDAMRRWKVSVNIVLFVLSLLRGIKHRRQEGNKI TQSQDVERNQNIKSNFRLKKFGLTILKLFVGLSLALLISVLIFPFILISDLFLRIYTYIN LYVRYALSHEYLFYKFILLIQLYMYVIAIAIAIITNLYQSIITSVELIYNIVGTVQNNIS DLSSLLFQTIQNPFSKQK >CAK92699 pep:novel supercontig:GCA_000165425.1:CT868669:215149:215567:1 gene:GSPATT00025433001 transcript:CAK92699 MGCVAQKKKVEKQIYRMETQIKKLVQQNEEMTNQINTMREQEQLLSQLCEQRSERQKIDL TALTDELNNRFEKMSNDLKQIDIICEEQKQAKLKEMLDWKNLFDKLDNVSKNNLSQISEE DEY >CAK92700 pep:novel supercontig:GCA_000165425.1:CT868669:215616:218247:-1 gene:GSPATT00025434001 transcript:CAK92700 MNRFKRVFKDNLPTIIMTLLVMFQNIFGNGTILIAILIVLVQGLRIILCFITQIKEYIQY FDLIQQWSLLLAIYFGSNQSSMSVLALCNVKQFFNTKINLQTIQLKKLLIIHHIVSDLLL FILCSINSMTEAHLSIVAISIHWLILISNSKPKKIKKITDSYTQGEIPDKIVIDSAGHTN NHSRIKFQDKPSHNDSPRDNKLEILSIFPQGIGLFKVCNKQLELEYHNENMLKLSAAQSS DEILQKLFSLEQQQITDMQQKQQQEQKNSMSSFSTFQQTPIPCNFIHNAFRNQRRSQTNQ LQSSQRIEILRQNSVLNSLEHKTVLLKKCDTLHEESILESEIRVLAHQMSKSEFQSQMKC QNEFIQEHIVVYGYQKAQDGNKKRTIEVKLYNALISETPYILILTRDITHRDYIQALQDY SKQKSTTLSFVSHEYRTPLNCIIEMLELGIDEEQKHQSQTVIMEKECRRVMKVNYPIKIT KQIKIALDNAKYLLNLSDDLLDLAQIKVGKFKINKAKFNFNLLLESCVDLFQVTAEKKQI KLFINYESKAPTYIYSDSSRLKQIMINLLGNAFKFTDDGSVTIKVSLVNQKLDVSVIDTG IGMTEEDQAKIFQAFGKGNSEEHKKMNKSGVGLGLLISNQILQNLNQDLQSGLKFKSQYK KGSIFYFQIGFQDLNEIQSLNSLEERNQESEESIIMQQQQEESIHKVYVHNHISIFKRST KAIMTLQILIVDDTCMNIEMMRLKLDRLQNNNNIIRGFDSVDSAYNGYSAIEKCEKKWQI NHDFYKLIFMDLEMPSINGIQTTKKLLELSQKFGTNVTIIGCSAYESNEQKNECLQAGMK DYLTKPIQLNDLQRVLQQYL >CAK92701 pep:novel supercontig:GCA_000165425.1:CT868669:218839:219721:1 gene:GSPATT00025435001 transcript:CAK92701 MDKRFKHTLVAHKICPYCMKVLTVMRHKNVKFEIKFIETHNKPEWFLRISPLGSVPILII GEEIVLSESAVIMEYIDEITPPKLMPDDPLEKAIDRAKFEFSNEIIRNLYSFIFSTEQEK FVRQKEWLMKHFKWIEEWLKDKKYINGNELSLVDLSFVPLFVALNMLKSTLPCDMLKDFK RLKMYGEMITSLPCVKTGRVPDYEFLMIDGIKSQNTVLYRSNPCYFNNGVKPQGCCLFRN >CAK92702 pep:novel supercontig:GCA_000165425.1:CT868669:220519:222497:-1 gene:GSPATT00025436001 transcript:CAK92702 MINSLQKGLEKIDIFGQTITLNMNKNANYTTSFGGCSSILIICLLSIIFFSNISDFFSRA NVFYNSQTTFSNDPDFMEMNDQNAMFALSIDQSNFTSNPFFNISVEQRSLDGSLEKQTIQ IPLQPCTLDRFNNVGQEQGLDVDFNDEFNYLSMNKWLCPAPNYTLQLEGTYSSEVFKFIK IVVTGCKNNTNSSFWNPQCANDEQKNNYLKSEGQFKLQVFQINSMVNPQQAKNYKTMYLD SDMYFSFVPYKLARLANVYFRQYVVNNDQSLLPYEDIETENLIIRKAEDFRDLTELGRDT DTNFATLYLRRSPFTEIINRNYQKVGDLLSYLGGFMQIFKVVFGFFIAFYNRTSMLIELS NKLYDFKEVTNRSFLRKVALTQKTEQRGSIPEELTNKLQNLQNSELNKGDWKQFINKLME RTSPIRLNVKILIHQMSCGYFLNNNNSEFFTKAMLKINSELDLHNILHQLQEITKLKNVL LQKPQIILFNFTPKPIIALGQDHNVPTRLEVAEDMNKQSEIKTNNLDQLNEELFTSLQEA YKTVSEEMEDSPYVNPCQKNINFKLTKQIDSELGKLLKNSKGSFYKVHETNNINENQEED S >CAK92703 pep:novel supercontig:GCA_000165425.1:CT868669:222684:224669:-1 gene:GSPATT00025437001 transcript:CAK92703 MNFNVLRNSLAKIDIFGQNITLNMNKNANYTTAFGGIASILIIILLSLIFFSNIVEFFGK DNVFYDSEIQFSNDPGLMEMMEDNSMFALSIDQVNFTTNPYFNITVEQKYLSSFVIFRIY LRSINGTLTKLTVEVAMQPCTLERFNNIFLQQGLDFTEQFNFLGMSKMLCPKSNFTFQMQ GTYSSETFKFIKITVKDCKAQNQTSDSLWNPTCSSSAEKAKYLASTGQFKLQIFQINTVV NPLKPNQYKSIYIDSDMYFSFVPYKLARLANVYYRQFVVNKDQSIMPYEDIEQEKIIVRK AEDFRDLTELGRDTDDNYAIVYLRRSPFTETINRKYQKLGELLSYLGGFMQIMKVIFGFV IAFYNRTSMLIELANKLYDFQDGNQLKQYRTIKTLKYTEQIGSPVEKQESNPINQINSSQ ELYKVEWKHYIKQLVKKSKPITFNLRIFINQLTFGYLLKNKNSDFLEKAMNKINSELDLH SILYQLQEINKLKSVLLRKSQIILFNFSPKPIVTLGKEQVVPSRYSVEDLETGQSYIKAK EDTLNEDLFLRIEEAYKEIAEEVERSNANICQMNINLKLTQLIGSDIKSILKPIQNQRRN GIKSTEIQNNNNNQEDFHQSD >CAK92704 pep:novel supercontig:GCA_000165425.1:CT868669:225021:225637:1 gene:GSPATT00025438001 transcript:CAK92704 MAMQEAFDNEYNPFHHIMNENQDSNPQYEQMSFQPLAIEIKDFDQLINQNQMPHTQQLTN NQENDQSRVDYKNLPKLIGNHFYKYIEQNNITKTKGVQNFCNQRQKKEKGTKTEKQLSTK ISDLREVCKADPQSKTNFKRFIKKQLFIDLIHSSKIEDPLKYIDGISTYYATADEPDKMI SSHIISSKKTKKS >CAK92705 pep:novel supercontig:GCA_000165425.1:CT868669:226032:226675:-1 gene:GSPATT00025439001 transcript:CAK92705 MARRGQQPPPQQAPPAQKNQPGKFNPAEFVKPGLTEEEVLEIKEAFDLFDTDGTQSIDPK ELKAAMTSLGFEAKNQTIYQMISDLDTDGSGQIDFAEFLKLMTARISERDSKADIQKVFN LFDSERAGVVTLKDLRKVAKELGETMDDSELQEMIDRADSDGDAQVTFEDFYNIMTKKTF A >CAK92706 pep:novel supercontig:GCA_000165425.1:CT868669:226912:228220:1 gene:GSPATT00025440001 transcript:CAK92706 MWILSLWIKNQKPILFKMKDKHFSILLNQQLGLVQLPFLLQLTKQDGQVQFQQQYQYSFA LQSIFIYIYDLIHSQSKKLLFGDIVELTLKWVWPQITNIMIILSQIGVCCAYLIFLYQST DVVLNQINIPLSKFTIFSLTLILIIPLSLIRKMKYFHQVSKVGFYVNLLTFIIIFIDCLT IVYHEGISYGPNTFSFIGIACLTLQCNLTILPIRNDMINKQPFQRYQELSLYICFLIAIL ITTFAIWGYKDNLNQIIIFNIQNLYLRSITMIAYGICILMTYALQLFPAVQIIEQHISQL AYQKFDQAEDENESVFVLDKSSLIIRGLLMLTIYIISYKIPDLSQFINLIGSFFGSFCQF LIPLLAHWICFKNQEASLRLKLEYFVMSIFTVLAIVFGSYESIKGLI >CAK92707 pep:novel supercontig:GCA_000165425.1:CT868669:228275:229925:-1 gene:GSPATT00025441001 transcript:CAK92707 MRTQILIINGIILAIIIPSIILTQTINLYYVQQILSNSEKDIMLKEMFNHLSNSADSINR QLNSLFTRTQITLTNLNQLYWLQLRNNIWIKKPISRPCDPESAHLPPEHSYSLPCYQYLA LNQNDFEPLEDLTEQTLRNHTNFFGSNAFGIMGLDDPYIPNQFYFVSSIDLYEFSYIYPQ DFRLENFHPKERLWYQQHFENLKKDKRNNTQLSDVYKYFGSEPKYSMTMTQSMLNSNWDV QGLFCSDIIFQNSMIRIETINIMIADQKGQLLLTNYKNKEISNSSELKNFADSEITGFNS EDWNCLINYYNKHTIQSTCHLSTQDILCRYNTVYEKDVVITIQKLRNINYYLILFYDLQI ENDIAEQMRDLNLIFNRESQQITMITLLISLLLILVSVVLIYLIFQPIYQVIDLSSLFLK KREQCSNTRSFQFKNQIQDRILKRKVESQNCSNVLIQFKIQFDSLFDRVLTQTMTINPQC KILQQFKYPRNNTLYVLKMDKLLNEGLLKDDYYGYNKQNVNVKSFLKKSFNETN >CAK92708 pep:novel supercontig:GCA_000165425.1:CT868669:230585:231453:1 gene:GSPATT00025442001 transcript:CAK92708 MNQQFFGNSRLAIQRAQQLHQFFTESVIYDQFKYTAKMPQYPKIVFLQSNPFVRNLVEKQ FIQYSIIDAQKKTGEDSVARLAEQVQLQSTQTNGALLFGYPNNANEAEKLDRLLDGVNLA IRFKLSESLAQKIAGSYLSCQSCGKVFNTSLPFVTPTHPGYQNNCQTPSKCALEQSSAPA DQINSEVANYYQQKGAYLEYEINEEHLSYDSQEFFEKLDNAVATHIKV >CAK92709 pep:novel supercontig:GCA_000165425.1:CT868669:231528:233648:-1 gene:GSPATT00025443001 transcript:CAK92709 MPKLYTFKDPNFNPLQNYVVDKTLGQGTFGKVKMGIHKCTNEKVAIKILEKEKIENEADY VRIQREIHILRKIRHPNIIQLYEIIESEIKLYLITEYAPGGELFEHIVSKSRLEEREAGR IFFQLLNAIEYIHQLGIVHRDLKPENILLDSNKQVKVVDFGLSNLYQPNQKLHTPCGSPC YAAPEMVSGLPYEGLKTDIWSCGIILYAMICGCVPFEDQNTKQLYEKIKHSDYKLPKSVS PQAADLLRKILQKDPSKRITIPEIRQHDFILFAGKMTIPEGVNTKLDNFKIDVDYTILQQ LLQYNISEEEAVQMIKNNKHNCITTCYYLLKLKQQREKQIKPEIKPEVPSKPISIEKQNI FNKTPIIEQQKSSPLVKQKLYSQQQPIQPYNQDVQQQILLHLLQNQQQQHQLLLQQQAQP KEVKQPQVSKVAETGGSSSMEMFLNKAFSDRNQNKSQTKGKTKSQDPVPHRDTSAPKKPS LPSKNQKSISQKPSSQSKGQLAQLLAVKQSKYLGNTDSTTYVRHKSNLKFKQGSTERSDR HSSNSQRSEITYLKRSVENRSSQHIKNDRKTRMISTYAYNDTQKPIQTQPNERIYTDLSK EQSNVLQSQQQRLTHMDNLALGIQIYKHNVQNRFLIKQQQKRKSKQQQ >CAK92710 pep:novel supercontig:GCA_000165425.1:CT868669:233648:234553:-1 gene:GSPATT00025444001 transcript:CAK92710 MNIGWIGTGVMGVSMCKHILKAGHKLRIYNRTVAKAQPVIEAGAEFVQPRELAENSDIVF LMLGYPKDVEEVVLGEHGILNHMKKGAVLVDHTTSSPELAERIFKAANEKGIESIDAPVS GGDIGAREGRLVVMCGGEQKALDRINDVLKVYSANVQLMGGPGLGQHTKMVNQIVLAGNM IGTVEGLLYGHKCGLSLEQLINTIKSGAANSTAWSVLGLRMVKGDFEPGFYVEHYIKDLS IAINEANRMNLSLPGLALVKQLYHALVAQGGARNGTQALLLALETLNNHKIR >CAK92711 pep:novel supercontig:GCA_000165425.1:CT868669:235085:237625:1 gene:GSPATT00025445001 transcript:CAK92711 MLIYKSYLYVQFSIKNTFFLVDLIINEESQVVYTIINSISLTISVLLFGMEAFKKQNIYA NNIGAVLLCVLNMESIYKDMPQINTLQLWTFILILATQFDDVLKWHKFMKHSILLYFLIR TFLQTKDSLCITELLTCFFWQPLNHLMLYQKKEDGLIPIQKQSLDITKSNLDQNPQIIKE SSDLDLEYSSVLGSLRLQHNLKELKQMELKNPFMQHKENVRNTCTLKELEPSPSKLEIPQ IWDLLPFGIGLMNNLFEMVINNQKFLQFLKVSDNDGKNIIFNLDSLLDCSESWESKSIKQ VGSNYSNRQGRRFPKAPQVSIISKGLNDQNSMIPDGGTNPNLNNNYGCSSNTNNHIRDEV KTTKTRFKNLNMLFSKFNSKSPSLANNVDNSVQSIGQNTQIIKIVQEVGALKCYLRIKVY EVEINNKINYLFLIENVSNKEELRQLNIRYKYQQALLNSLCHELRTPMNSTLSQLNVLST LIAPEIRVKNLQPAIISAKKLMFQLNDILDYAQIDCKNFNLSITQFDTNEIFEILKELFD QECQEKQLAFKLITNANYTVCSDKERILRILINLIDNSIKFTNQHGSISVAVLQQQSQII FSVEDNGVGMGEKTLSQIRRNCDLKQYDSFLVQQTKLGLGLKISQQIAKYLCVDNELVIN STENVHTKISFKVENHQKQVSQQMFPSFQGFNFTISCNCIQILIVDDVRFNHNAIEALLS QHKMKMDSAYNGQQAIEIVKQKLISPCCKTYKLIFMDIEMPVKNGFQASKDINEILKQEN LNDQCAIVMCSAYNGNENNDIMRNCGIKEVLPKPIEQKQFKQLLDKYLL >CAK92712 pep:novel supercontig:GCA_000165425.1:CT868669:237642:240207:1 gene:GSPATT00025446001 transcript:CAK92712 MEILLKLLILQLIIKDFIDIAFIWQDSNLITICLNVISLSIGMILFILNYWKISMIYVKV VLLGLCLINSELPFQQNINLANASYFAYTTLILINIYSHNLSTRLIVHFQLLYITIRATF TQQNYDLHSLLIIIFLQPINHYMINQIAQEKCSQFTQTSVQQESKFKQTQFEQDQLLSSE SRRDYLKCDLNESIILKALDVGIESPQQEHKNIGVNKNFMDQRIIVKKQPNNLSTPVLSD SNCNLEPLKLEKNPSTKYSEIVSIMNNLPFGILFVDSSLKVLDSNQRVTQLLGLSNSDDI IQFLDQAIQSGDFCEFRSSKKVRKPQKSPSKLTFLKQPSISPQKKYIDEHIPDVFSQYNN NASQFKGDSDGNIGKNLKSIFQNFKKIHQSQLTSMSRDNFQYIIRMDNMNTSSAKAKYKS LKLKIFQLEGFVQWESVVYLFILENITKREEYKLLNHKYKFQQALLNSLCHELRTPINGT VSQLYALKDELSQSLIESHLDPAIVSTKRLQFQLNDILDYAQIQCSSLILNKSCFKLQEV YQQLFELFNFECVQKNINLIIENVNQISIYTDKERLIRIFINLLDNSVKFTNRGGTIKMI TQTNPLYYKLSIEDDGQGISEEIIQRIEEQAELLFQDSLQYNSNKLGLGLRISQQLAKYL YKDQIFEIDSVYEKYTKVSFRLSNQIQNYSNEFEIDKRQFAQLSQCDCSKILIVDDIGCN HFALQVLLKKFKLKTDSAYNGNSAIDLVKERLSQKCCKTNAREKSGFQKLLKRQEVFNIQ ITQLLKAQNLNEICIITMYSAYSGDEDVLIASQCGMKERISKPTDIQKLEYLVNKYLL >CAK92713 pep:novel supercontig:GCA_000165425.1:CT868669:240260:241755:1 gene:GSPATT00025447001 transcript:CAK92713 MQNETNKRVAYFYHPQIGRYHYGKEHPMKPKRIAMAHNLIVNYGLYRNLDVYMNRQASLK EMEKFHEPEYLQYLSQFTSVRSQIVNEYQHYNQQPNITHFTSHIYNEFDLLDYNTPHTHE PIETNNNYPQPNSFRVGDSTDNPSFPGLYDFCQLSAGGSIDAAHVLISQDAEIAINYSGG LHHAKKREASGFCYVNDIVIAILELLRVHQRVLYVDIDVHHGDGVEEAFLLTNRVMTCSF HQYGDDFFPGSGDIDSYGEGLGRYHALNIPLKIGMNDETFTEIFQKIITQVMDIYRPEAV VLQCGADSLCHDRLGGYNLSTKGHGACVEFMIKYNVPILVLGGGGYTIQNVARCWAYETG LCLNKKIDAPIPTSEIYYEYYAPDYKLHFPIKQNVENKNKKEELQRIVEKIYGYLKSIEP APGICFHDLPQSFYPELNVEEEINPDQRYEQTLNQFEGSHYEEGNEILVDPSNGFSIHRR E >CAK92714 pep:novel supercontig:GCA_000165425.1:CT868669:241823:242255:-1 gene:GSPATT00025448001 transcript:CAK92714 MNTQLKQIYSAEKVQRFELLFLYHDKEMKGFISSKDLPFILRAAGLLISDKEIKDLQVKE KISKDDLFKLLIQLKDKRPVKQEIEASVKVFDENNTGFIDTKELMGIVQQIGDEQVEKDF QLILNSVKGEGELGCD >CAK92715 pep:novel supercontig:GCA_000165425.1:CT868669:242291:244546:-1 gene:GSPATT00025449001 transcript:CAK92715 MDSVSAGYTFIAILGIAKLDIFGQLVFLRINRQANYKTIFGGCASIAVMSVMILIFITNF ISFIQKEQLKVVSISEYEDVIDTISFQDSQFLFAIKIEQLNFIQRPYFNITMKQKNTEGD VKKETIDIQLVPCTLDRYTQIFSEYNINFTQQFQQLHLSDFLCPSINTNILIGGTYSSNY FDFIELSIIQCQNNSQNLWNPICDNPIDTSYKVRLYTVNQNINPYKPKDSYIQPFLDDSL SFTINLNSTKFANIYFTKYEFQNDESLLPISMIEERTFFVLDSSDIQQDTTEIDENSLAK LQLRKKPFKTRFLRQYQKLDELLSNIGGILQIMTFFIGLLVTIYNRVNYMVELSNRIYDF SIDDSYTKKIYSDNLQILTEQQKNERLGGLDSKIQTSNQRIMTENDQCDNCIESYKEEQK NFQKESCSIRLNFTTGLDYFSNQLQKMFEKKKPIALDVQIFLNYITCNFLFKEVPKVRLM NKAQQDIYLQISEQIISQSDIYSILSRLNEIDKLKEVMLTPKQLVMFNFTPKKLITLEDE DLKIDRNMVESQHKSSKDKQIEFLIYAKMMLKLKRQNKNEKNTRSIRSNKRASFLPQPLD NYVYQQIYSAYDEIFLNKNHQETLNSQLISMLGAEMEMIYKVGKIIDQNVRPITKSHKKL ISSDNQQKGLIREEDDFKEE >CAK92716 pep:novel supercontig:GCA_000165425.1:CT868669:244807:246226:1 gene:GSPATT00025450001 transcript:CAK92716 MINKTTQFKSLFHSSSTSFWDKCKVEHFSNVSLEGMFQAKLGEQLSKVIVKVKLSYLIII QGDQQHIANIENAIIYLIRDGCNDVGVRILKGLAQLELYGDVIGLFKVLKKQCIQIDFVL KYKVRKLMANGTFANVFLSENAKTNELFAIKCFDKNQIFKSQKHLQSLEKELKILRLMKH KQVMTLIETFETVSYIFVVQEYLRGGDLHEYITKVGHLSERKVHSVISQLVSGLGFIHSQ GVIHRDIKPENIILRNQDNVEDLVISDFGLADFYSFDGNYLYKQCGTPGYAAPEILVGQP YDYKVDVFSLGVLFYTLITGRRPFQGKNTDQIFQMNEKGEINFQNIRIKAEGLHLLKKML EFRPEHRYSLGMIQSHVWLSRINFQSHLSLQQINVPETTKAGGSTTPRTLMTKTCIGKQV LMTEQNQITERKHQRQHGGRYNYSIGNFTNLQGIIS >CAK92717 pep:novel supercontig:GCA_000165425.1:CT868669:246312:247736:1 gene:GSPATT00025451001 transcript:CAK92717 MSTGYERIDQETLRRDDQLNGLLQRIREDVMKGYIVEVKTRANTQNQLDNNSKQSSRLSS MSNSIVKQPMIQQNDQVKDLNEIVLASQRDQQQISKISKTFSASPPKVRSEIVKPIVMNF ELSPDQTYNTIPIKEELQTPKTISQQLDEIENQANSGDLQTYIKSLKSINSQLQKEIIEN DHDCNYMLTQAVQTVRTMCLLTKFYKQLGDFKNAIKQLKSIQKQFKILEPNLVGKILIEL GKLHFLNQTYQTAQSTFYEALKHYEKLEWKSDIAHILLWMAKLHAWTRNFDLSKKLIYGA IAMLKEFLPEDHESIAEAYVALGECSYIQKQTDEAIEFLMKAVNIKHKIYKDYKNLKFVE VFNLLGLTYGLVPDVQQSLNYFIQALQCFQYNCVQRAQILNNIAVVYQAQGDADKASKCH FRAKEIYSTFLPNQHNQMQRLILNQTCLSPPM >CAK92718 pep:novel supercontig:GCA_000165425.1:CT868669:248204:248842:-1 gene:GSPATT00025452001 transcript:CAK92718 MSCIDQSFAQFQQTAQFFNPDIGNNGTLRMPQKIKKREISMPSYYFDDFKGFLKQPIKIT SNITQRRNTHTTTTTQDSSQMSQSIKQPNKSLKQLTQANNQDYSKIINIISQFNNSNKLK HAQSANSMKYKLIIPLKEKSMNVNMTRDSRTNSRCSVVSAQGERKRSIKVNDPYGLINNK IMSKTNSKQFLKQKAQDKLVDKLFSQRSDSVQ >CAK92719 pep:novel supercontig:GCA_000165425.1:CT868669:248852:251239:-1 gene:GSPATT00025453001 transcript:CAK92719 MLSEIINQKQQYIKSDTITLSDFGIQTIGYSNTFKNITKLHLTGNKIVKLDGLEQFTNLQ YLNLSNNQIESIKEFQHVPQSIQCLFVAGNPFTANLNYGYSLMCILMNLQQLDNDKINRQ LTQEYQIKMQTYGFPVLAYFVHFNNKQLTPIFNNRSITYIQKLLMIIDLLVNENKEEIQG LSQQLQNPQFQKSIADTILKHICKFERDQFKNNNINAFFANQAMQSQNTDLQKFADDEGY YQQEILQAFISYEDVQQFPTFSLNQAYNLGILDFIYQSTLTQNTTFRPQEDRVKQYIEPP QSNFSLINKSNGSIQKSILKPTSYSVSTQSKFDECTQEYLNNYKKQYPFLKGLEQLKKSL QKHYFQEFKQKLKRKINRIHQQCIAEIILKILNQDSFVIDKEKIENIQYGKHSAIVEKPL VIVIYQAHQECCVCIKEYGKIVKIDIFKTSRIQTSESKGKVWKDGQKAVIKVANLKSKWC FERSFEINEDHSFSIQSRDKEGFGIQSNIKEGKEIGIACFREFQKTKRVGQYLILINNQI MYSITARFNQIVFFTSWTLVVLSLLNQGTAYFFKTGKPTVDLKILSADKFHHYKATYYNG GATDWDQVTFKFSLEADFEPVYNWNLKQLFLYVNVHHEHQVKGYESDCVIYDKIISRPDD PSSWSTSSKLLLKNQRAEYPLKDIHKQLRNATVNFEVWIEVMPYVGYIRREKLGDFEYKM PQQYN >CAK92720 pep:novel supercontig:GCA_000165425.1:CT868669:251327:252806:-1 gene:GSPATT00025454001 transcript:CAK92720 MNKYTCFFINQNIEDIYQKNHQMSQRISQFKLFSYTVTIGLVIKISMMINQGIIDKIYPH IAMLITLTIYNLIKFESSQKIRMAQISINTLFTLYVLQYEVFTDIIAQNYNGGNQMAANI LNSIMGEFPEAAFGTLVALAMRLYYFVSYGELKNINVMAINASIHFVWIYYLYNFNKAKR SQFILTLVDNKWEKIFQQIFHNNKKFVLLHYDEEEFKIKKVLQTILPQSTSLQAFLEYIR EVKCNNQPIQNFFFQQINKHKKNQQDVINQQILLKYERKLIQFHFSLFFGDKPIILLVNE DQTKSSIDVISQSTVILKILKNLVNIIDSNKQYNKRKFFQLSQFIKIKYLIGKINSRKKS IQKINLNNFVNKIANRYKRYIIVQTYGMQCEVMILEDVFHLFLLVVFGNTSKKNIQAQIF RDFDCKVHLVFEGYFRQDIINIHYQKFQFYFALIISNCSIQENCIKIELNEEVLYPFTNN QHKIS >CAK92721 pep:novel supercontig:GCA_000165425.1:CT868669:253068:256983:1 gene:GSPATT00025455001 transcript:CAK92721 MNNELKIYKTEQQNLIITTDREDQESWFGKLFYLNIYSLMKNAQSHQLNEEELEEYFTQQ SSESLHEQFSELQKQNLHSSLTIQLLKFFVKRFFKIYCIQLIQLGSQLLIPLIIQYVLTY VSKTDKKTSDGLILICIIFAVRIIGILSQTHSKLMLILAGQDAMAIVSMQVMNKCLKLST LSNTQRTVGEITNLIQVDAQKMVYASNNLLNVSIIPIQALITLIYIYREIGNTVFVGIII IILTFIANNFLGKQLLLSQKAVLKSKDDRIKQTNEVFQQIKFIKINAYESIFQTKIEQLR EAERICIKKRLDHFSFNVFFGWLTPQLILSLSFGVYVYLGNELTPSKVFPIISLLLMLAS NLQILPISYNSLQEALLSLKRVSAFLQTDEIMNKCIEQLDYRDPNIAIKIEQGNFHWNRS QIQKESFPVLKNISLIIQPGQFVSLIGDIGSGKSSLIQALIGEMVYKEKDQQPYIQTYGN IAYVGQKAWIQNGSVKENILFGKEFHQASYDNAIYYSCLNQDLEILIDGDATTIGEKGIN LSGGQKARISLARAIYCDASIYLLDDPLSAVDIHVGNFIMKECFLNYLKEKTRVLSTHAL SFCQYTDMIYLLQNGEIIDSGDYQYMKYNQKFLEIEQKFKDKAEEHIENDNQKDQTKNDV SNMNTSSATKKQKEFKDPEDLILKEDRQSGDINISVYQKYFLYNGGYKNYLLLFIVMLMW IIAQLFSTLWIAHWSDDLYNQDYSPKTYMIVYFCVGVFQAVLAYGRAASIANSSVKSTTI IHNQIIKSLLMAPQCEFFERVPTGRIMNRLTKDINSLDIEINMNLSFASTKISQIVSSSI IGLIATTRLIIIPLLLFFYIGIKIQRIYMKASREMQRLELISKSPILSYFVESLQGLSTI RAFQKSFLFLNNFCQKLDRNRQIVFVSTHASCWFNQILGFLSLTVNMFAIIYCILYSNNA SFAGLILTYVSNLDINTQQMIDSLGLVENNMISFERCLDFTKIPQEKQNQNEPPRNWPNT GKIEFKELSVRYRPNLPFALKEFSYTINQNEKIGIVGRTGAGKSTITLSLIRMLEAYEGQ VIIDGIDISQISLEKLRTSITSIQQDAVIFHGTIRQNLDPAGQCHDDEIKTVLNDCCLEK LFNERNGLDTMINESGDNLSAGEKQLICIARAILKKAKIILIDEATANIDMETEEKIQKA IAIAFRDCTVIAIAHRINTILKCDKILVIDNGQIIESGVTKDLLNNKSSIFYNMYQEVMN GQQLIKQF >CAK92722 pep:novel supercontig:GCA_000165425.1:CT868669:257367:257878:1 gene:GSPATT00025456001 transcript:CAK92722 MYNTEFWVKYVFRVLHIGSVTALGGRIIYDYLWPDQGEITKSQALFAGISGFLMILAGIV NIFLLKGKEKLKSKNKFWAGTLHLKAITTIIILTPLAKFISRDQQLVKAIQFYYVVAMLL LSPFLRFYREWWTELNRQDKLS >CAK92723 pep:novel supercontig:GCA_000165425.1:CT868669:258138:258659:1 gene:GSPATT00025457001 transcript:CAK92723 MLQKSTKLTRCQRIVQGIKDDMQSKVQQKPLTSRSTRLKNLTTESSPMILDYIPLPENPK LDAMMDNIKRILDKHKKQPSAQQELKSMLSSNQVKQIYGSRRHMIEVRFKEFYNSRLASP QIHSDVVVDVSPQQVDLKSSRLIQYQRRIGLVKKRDLLEERIQEIGQRYRTKQ >CAK92724 pep:novel supercontig:GCA_000165425.1:CT868669:258670:261026:1 gene:GSPATT00025458001 transcript:CAK92724 MPYNIYDQQLRQQDRLLAKFQELFSKPSHQTHFNKSEALLIATLFLFEGLAQTTLMLHTT LVLIYLLNIIYSSNPQQLQIFTLKWFLLSKILTSRLIWALVSEIAIEQLRLDFVLLSFQM IIIVIKFRLSNNKIAILAVTLTLYIGLQLILSQKFDDIGILSWIGGLTTMWAIFNNQLQI QEEYDRKIKEFDGEATKLKRETEMSYKTIIIEDQQQSRQEELLKKLRLLKYQKLLDKTEH ICLEEKLLQKQLSNPSRVLTVRNLPDDDDDKSSIQEIEETPSKKSPSRRPLRLNSINESL SFARTVGHSMNRDEIKEDDDNFLTLDDLNDLLKIVSGRKEHLWLPSYLRSTKNIDSRGSE SFSPEAKNFILNHFTEHEFNFQLLQGETNIQEHQYFIDSNHNQMKECLNNFNFDFFEVND QNKFISFSSFIFQKYNINQVLKIKEKSVQIEFCHRIESFYMQNPYHNSIHAMDVANSASF FLENGLEVTPFEQCCLIISALSHDVGHPGLNNGFLVASQSKQALIYNDQSVLESYHASLM FHVLKDEKANILKNINDVDYKGFRKYCLNLILDTDLQKHFPLLNKFKNFLALGSDSQSDE QTKLLILSIAIKCADVGHGAKQLNLHKIWSRRIIEEFFLQGDLEAQVGIQVTPMCDRSQS VTKSQEGFLKAIVWPLFDAFGEFLKNENFRQTCLDQISVNIQYWQQQQQEEENKGKGYKC SFFQDTNIISIPVQNKNMMFNLNW >CAK92725 pep:novel supercontig:GCA_000165425.1:CT868669:261056:262356:-1 gene:GSPATT00025459001 transcript:CAK92725 MKSFYLQKPTQVRGNSEPKKQEKMQSHLKMSVSQKMHETQTKWNGLIARTVTLEQNLLRL RDSVNQTLRGGSKESTSSKSTAEQLHKPPTLVNMTSRIASPMLKKVSPQDCMTMSRIQTY KTVQSWFPKSRFQTFEGIPQFRIGKLLGRGQFSDVHLCIDKLSSTVYALKVIKKEKIQDE QLQKQMIQEIKVQMKLNHPNIVKLYNCYSDEYNLYLLMEYCNEGELFKVQRKQPGYKFPE NKASYYINQILQCIQYMHVNKVMHRDLKTENIMLSFNQIKIGDFGCVCSNQDRRQTFCGT IEFMAPEVIQMKGYDSRVDAWQIAVLAYELVYGQTPFVLLGNKDQKGIMDNILKHRLTIP HTFSAELTFFVKGGLQSDPNKRLTIEQMLKHPWITKHKLPQTKCEYNI >CAK92726 pep:novel supercontig:GCA_000165425.1:CT868669:262824:263702:-1 gene:GSPATT00025460001 transcript:CAK92726 MKRYFKDTQKTTAESNPPMSQRLKRVNSLGILESPFRTNNQKIMLTQSSINKLKNDKFQK FTSQLISIRSQLQKNNLENLDQQSYLQSCYMSNLSSQQSIKSCLNSINNNNPTNMDTNRR SSISINRNRIHNSTHSVNKFKKSNFRFIEHIQNGRGRKMYENGFTYEGEWEDGLRSGNGT LKDTNQKIIYQGEWLQDHFEGKGRFINQDFQEDQFNLNNFKSFQLVQHQFTIYEGEFRNG QFFGKGVMQFNCGGELYKFVGRFSQDTFHGVGTLLLDDEIILQGKWIYGCIV >CAK92727 pep:novel supercontig:GCA_000165425.1:CT868669:263707:265808:-1 gene:GSPATT00025461001 transcript:CAK92727 MQNLYEIAVSRASDADERINYWREQSEFVDWIEKPKEILTKINDYFYQWFRDGKLNFCYN ALDKHLVQRGNQVALYWESNMVHNSLQYTFNELHDHVSRLSGVYRQFGVSKGDRVIIYMP MIPEAVFAMLACSRIGAIHSVVFGGFSALELSGRIKDCKPTLIITASCGLEVNKIVDYKV MLDEAIQLANHTPICLIVQRPTKQCSMVIGRDFDYYTAMKFANPVECVEVESTHPLYILY TSGTTGQPKGIQRDTGGTVVALLWTMRHILGLKAGDVYFSMADIGWVTGHSFTVYGPLLQ GCSIVLYEGKPVQTPDPGAIWRIIEKHKVVGFYTAPTALRAMRKEDPNGDWIRKSNISSL KSISMAGERCDIPTYNWIQSNTGVLINDHYWQTETGWIISCNFMDLHTFPSKPGSATKPS PGFVIKIMDNENKELETGQMGRICVRLPMPPSFMQTLYNNDEAFIQKYLADTPGYYTAGD AGYFDQDGYLHIMTRIDDIINTAGHRLSTAAMEEVLLKHKDIVEAAVVAKLDDLRGEIPV GLIVIKQGHDVQASILEKELVTMIRHDIGPLACFQSAIIVEKLPKTRSGKVLRGTLKAIV NGLQYKMPATIEDDSVLDKIKLNCLNYGKGMGQYCNLDFGNKDIYVEE >CAK92728 pep:novel supercontig:GCA_000165425.1:CT868669:266281:268829:-1 gene:GSPATT00025462001 transcript:CAK92728 MLNQDADLNMQPFHNQIPKPIALTDETISLENDMLLGWAPKLDYSKLLTLNFNNQLNDQI ISEALHNNQLIYVGFRPQDSGQMHKQYSTTSSYPCKRSKAIQNKPQFPFLGMNPTCNCRI QFNSIFESGNLDVVKQVSEFEYDLYMRVDGNTQGHTSWYNFELSGMKKGEKIQLNICNFT KSHSLYERGMKPYIWRSTTQEWLQGGENVCYRTSQNNNNCLSFGIVCNQENELLRIAYCV PYTYSQLLEYCDELEKRCNHVKRSILCESLGGVQLPMFTFSKVKSKNKKCIIIQARIHPG ESNGSWVMQGVLDYLSSQQAIKIFEKCVIKVVPMMNPDGVILGNYRTGLAGKDLNRKFRQ SDERILFPTVQAMKKLVKDQYKKFGNNLIAFIDLHGHSIKKNVFLYGPEYALWNYNYYEC RILPKLLSQKTEMFRFYSSIFRISQSKKSTARGVFAELYDIVNCFTIETSNGNYYTQNQT YEFNTKHWLKMGWIIGETLIEMIDTQSEMDQIFNLKNEDLRRSNRFTKNSSTKKSISDSC QISFQNTKFQKLIEELKSDADKMNQSCSEGNSDSLDDDEIEEESIENKSEEVSKSKSIQS KPLLSILYQTQKSKLESKYSPGHQKPLLQQTIMLSIEHCQNKVYQPYQSAAQRMILKKIA SRSQPKRSTQVNNYINNCANQQQFATIEFLDSSQNINQNMQQNPHSLFPRPSTTQLEVMD DEKFTPILGFNQSIYSGFKRHTRLRSNLTAQKQKQLRDIKRFQTKSPPQITTNVRNTIMN NTQTNFEAMKLKLKMRLQQEPTKLSDSYHTTRPSIPPSFYSVRRNLIKRQKN >CAK92729 pep:novel supercontig:GCA_000165425.1:CT868669:270744:271275:-1 gene:GSPATT00025463001 transcript:CAK92729 MPELENFNLDKGIKKKTLHDKFRRKIQFLQLVLCQNQTIKNAAAQCQIKFATAKVVLKKF RNLGFIKNSDKDYEKQIDMLRQIAFIKSEIKQDQMQKREREFQALSQRIKKIQPLQENEA TEIQIDINFQIKIFQEELRNQETIQLHLVKSVLLEQIKLMKNNSISVS >CAK92730 pep:novel supercontig:GCA_000165425.1:CT868669:272083:274243:1 gene:GSPATT00025464001 transcript:CAK92730 MKEQKHDPKVAIKVLNFFLKGRAQGTIFPEHQAMTPEEIKSIFHRAFIRLRAIYLLNKLS QEIIMYGTSSNLFDISTRDRPALQKYLFPLNKSTEHKDQSPQFPIIHPDSYVKFVWNLIF TAIILYTSIILPFRISFYVNKEESYWQQIDIVTDVLFWIDLWINMFSGYYDEEGKLVVQK RTVILKYLKGWFLLDFISCLPLTYMIDSSNSNDGQEIKLVKLAKLPRLYKLTGLVKLSKQ FRITSNDFFQFNYGMTRLTSLFLSVILVIHLSSCVWHYVAAFNNYEVNSWVYQNDLSNSS IQTKYIAGMYYAFTTLTTVGYGDIHAYSPQEKIVTIILMILGVLFYSSIIGLLSSVLSQI DYKAHILNQKKAIMSEFCLEKKISRQLRDCLKETLEYNFSKNGFVWASDTKIFQDIPMNL RYEIMMSMHGGVFGHQALFQLVEDKAFVVNIVPLLKPLFMLESEVIWEEKSNPDAIYLID IGRVNFKTNFIVQATTSQLKLFSFKSMISGSYFGEIEIFFHTTREYVVQCESNCEFYYLT LQAFENEIYDDFPHMMDKMRKIAEDRRKKNLETIEQLQKFILDETAKPEARISKKKKTIL LKEYQSDSQSNINDITQRQNASKQSRSKHEAALLELRKRDLKQNNDHSKTVFQQRNPFSQ KQIQINQNKQKLQELVLIAEEISDLLEE >CAK92731 pep:novel supercontig:GCA_000165425.1:CT868669:274275:276354:-1 gene:GSPATT00025465001 transcript:CAK92731 MMDNSYDYWFSKYDSESFEKDFVKVPYNEFLNQLIVNPDFSLLPNEPQFSLDNGHKTLQQ TLQTNHQIISTFFLPLLDNSRESFLRWVRSVIAFIDCEKMYSIMFWNLSFIPNFLEYRLY ASFVRLYKFLISLNQNVIQTKSLTTEQIRLSNKITIKTLAEKYQDALYVSFEELVKLQRQ MLKVLKPLVSQNPTLLGKIYYFIYHMYDKPTTQLRKDLDLQFGQLEYLWVKKILAENELA IKYIDAYLNEDKQPLSNKDQFLFEDSEILKQLVSSNKSENLYKVDAQDKSLLEKSKKIQY LNQMDSKCKRRNHDAKSSFLVQNYSVVSESMKSSTENRSKTYADEPPKFKLNSIQTNSIA DKSTFIQFSTNNPTNVQNSVLGFLASDLIHLEKEHQQEFKSNTLPKRIQMDESIKLNDDL VEFISKADSQIVAIRDEDITLEDNYKRKRYRIKINKKFEENESPDINRKQTFASLNQQEN NEEELRKVRARRLLEEQNQFFYQKLRYASNQDNELPTVKDKDLFRYSFEDSMSEDEESEE EIKLKQQSLKQAKVVVPKNYSQNTQGIIFEKFKQSSGDCIQGGSEKRKCFLNRLFHMNEN SLRISGRSLVLDFEERKWELLKQAHLSKTLMKEENYTLNFDEPIANIIHRDIHFRQNLKN NYEKYYNF >CAK92732 pep:novel supercontig:GCA_000165425.1:CT868669:276506:278381:1 gene:GSPATT00025466001 transcript:CAK92732 MVLNVFSESISIVENEYLAFQEDKLLAKMRKKQERELEQLKQYEQQKEEQEKRKYSILEQ TKSKQQQVEQALKEKKLLIELRKKEKDKEKLLQIQKIEQGKLKELAQNDVSKDKVMEQLD ANKSNLETIQTDRNKKSTVKKIAEGIVKTQQEEILIKKIQLDKYQKEQEEQWKDLNEKKE ILLNELKMAKKKQIKKIKQRNEDEKNAYYQEKLQKIEQYQKKLDEIGVNQQVKQQMLEQK KKEKLEKLKKVMQNYKKIMSEKSEKAQLKVQNVEEKINSIQERMKQAEDKIEQIEKEKNN HRKNIKSRNGNILNNKNETFQDKIRQKDEEHLKSITETQSLSKLKQEQEKQKKEEQIKEH KKKAAIMLKEIVETKNKKLQEKLDKAQQFKDDKEKLFQQQQKLAKDIERQKKQLLLKSPK NNALYIKFVQIQKLMQQQQQQQQPRARTKERYVCEAMNLVKLWRFKIQLTLIRQVYQTET RVVDGRTVRITLDQAAELVGCPRKTLEDYYYLLKKAQNLVNLEEKKNEKMGFIRKICREN KKQQQLLKQEEEFYQINQFQLDEIHDD >CAK92733 pep:novel supercontig:GCA_000165425.1:CT868669:278718:280955:1 gene:GSPATT00025467001 transcript:CAK92733 MNLISSFIFVALLTTPCFQKCSQKIDALTIYATKDERLNWNLVDEFFSGAGLTFSLSDTQ QNQFKIIDPVENTGGSAPYIELLAAQKIVSSRALKSNKYWVNSFVFLNKGTTSITLGIAE GEAGQNVEAPKFDEVITLNQITDGLDCYDLEYIAEKTFIVDCSKVVKNEVKNVLYYVNNG DSFEYLVEDALIEAHHGRLLGQYKVKGQGGKEFRYLVRATPSYSISQDGTLSGDSLLEVY LLNDMGQPSYTGYVLDGVTMGFLLDKFYDFEFNIVDMKVLENGDIYLLDAQYGVLIVNFV PNGTFSLKKQIDIGMGFAYAFDRNIFLRRDGTYTENIAVLGYGFVELIVNGVLTSEYKLP EGIHYTFPANVDLSQEFVIVRQDDATYLFDIDAKSNDLLQLQVIQQTNYVMINPFLPDMI VLTLDHAYRYTLSQGYLQYKGSDAVQEKKMIEIIATSADQTCKVQLTYQIIESDDKQLYK YTDSLTPFPTQITEDTEPFQLNVLTSGPNEQYKQVVELKDDTTAVTVTLRTYWELKFPME LPKDLQFHDVLVGTQSSYFFLAQQNKDGKLTILKATHDSIFNGDATYEKVFDSVTVGTKL TKSTFQMWECECPKFHFAYVENNNVYMKVYTNNQVKDIKTIPFENGVSILFLKNYLFLLQ HGTVSAYTEHGDFVKSVDLDLLVEQGYKGTWAPKKIFGNRKLRENLIFVIHQDHITLIGF PHIIHLHKTN >CAK92734 pep:novel supercontig:GCA_000165425.1:CT868669:280961:283124:1 gene:GSPATT00025468001 transcript:CAK92734 MNLITLNWPSVPKHSLSLLIKLLNNIYQTKVVQLYNFELVWPLLGDFCSDTGYLFVVAKT KTQQLNEDTAKNHILVIQPNTLQHEAVFQALPVEDAQLISTQGTSTQMYVYLQTKTQAQV YSMLTNPVLIVEPSINDPQFAASIDVSLEIFNFEDSPSITIKQPIKVINTQTKVYVDKTK FKANSIEIDSKDYAKKEKKLGTDWFSGQISGFQITCDQCNKATNGVQLTQTVEKANVQFY KPYKIKSINHNIAQANDALIFLNDDDTQKDIIPLDLPSEDYQCFASTYSENYILSLCHDG TQYTVYATSGISLTGWFPVGEVLKLGKLTKKIQILQNDFLIVLDNQSFAISKINMENDNW ELDEEYVINLSQIQTQYEDLLTFTPNDFLVTAVNKVDDIQHYKVLITSGEGVFFQDFYFQ DQTLVTVNLEYVNLSAELLKIKQYAISSTQYLLVKELAAFEANTLNVFIQTSNVVQYGLK IKFATKEGQTYLTYSGLETQFLLNRYGNWNIVRNVAVSKSYAAIPYSSFDKYIVAIYPIG ESTKPTTAIGSFSFTADALTFNPLNFGLFFRNEKLYANSPTSDTEQYSINAEPELTFIQT AEFPLKSEKIVIKVGNDFSTSQVDFDLKITVKPDPPGPGPDPDPKPEPEESSKLWWIILS IVGGVLLIGAIGFVIYRMKKKRDGDDYDSMN >CAK92735 pep:novel supercontig:GCA_000165425.1:CT868669:283265:284003:-1 gene:GSPATT00025469001 transcript:CAK92735 MQSQNNQYLQFVGKPHITSVIAGGRRRVNYTFEDKSELVEEYDINNHELITRKWKKVSNI KESQWVYEVGEAPIDQQSELKLSNTNPIFLRKDTPQHFQFRVRNLSYPEDVYQMEIDEKT QEIVIKTTNKKYYKRFAIPDMRRANLKLEQGKVTHVYKNNTLIVSYPKPDQILEREYQIR QEFDKLNKKKPKEGDLECAQQ >CAK92736 pep:novel supercontig:GCA_000165425.1:CT868669:284114:284671:-1 gene:GSPATT00025470001 transcript:CAK92736 MIKTNNLQEFIEGHNTLNLDKFQEYIQNPQFGKLSGAINQYYQKYTLQFNTVWLCLQNPE QKQLLVVDKKFDAVFDDNFTEYESFYIILNSILRSAIKEIQYQLEQKINFQDTQQLLPLD IEGGVILLDYLITYFQLASLIQECFEDHYGFAVDSKIEQIADGKPVRLTFGHYIEIRNKI KQLDI >CAK92737 pep:novel supercontig:GCA_000165425.1:CT868669:284870:286031:-1 gene:GSPATT00025471001 transcript:CAK92737 MTPIPHNARKVIENFSYALTDAIGKGFSSVVYKGRNDETNEIVAIKVIDKKGLKTPLHHQ LLKSEVEALSQLNSNNIMRLYKVYQTENNTYLITEYCDSGDLGSLITKQGTQKEPQLQRL FYGIIQGYKQMKQKGIVHRDLKPANILLKGQVPKIADFGFATTPQTVTTMPNVNVGSPLY MSPQAFKNRYSEKSDIWALGVSLFELLFGQVPWQAGTERELAQRMATVPVNFPGQISDEC RDFIQRCLVVDENRRATIEELERHVWLQRQELQVIKGNGFQGIFRGKSWRLVKSHSISQY LKQWLCHYYFSSRGCSQQIVDLNVTKGEQLWKRKYIFNTQIIARLYLVTATQIDQKSPRI KEGVPR >CAK92738 pep:novel supercontig:GCA_000165425.1:CT868669:286145:287987:-1 gene:GSPATT00025472001 transcript:CAK92738 MIVNIITLLVSSLSLTFIGLLYTEIVSYKQQKDVEIFIGFLNKFIAQNYYNSQSFDRQFN SLLVQPQHDYCIQSDSYKYYHPDAIMKDTYTFRESSGLIKQALQQHYFDILPNVTLYKRN KEIPHKYKFPLQLTLPQTYYDQLLFSFKVGQSSLCLFQNYNHLPQRSSLSHKNQLMTNQL NYLNDIKNKGLDEDCLNNATFLPKTYRLFEESECKQFFNYLNSTEYQDKVKIEGPQFIVK MGLEVHRGRGITMMFPQETEELKDKFQNGQVCGQIKTYRVAQQYIGNPQLFKGHKIEFRV YWIIASTNPLIAYAYDKTLIRRCIYPYDKFSTLKGAHVCNTAIVKKTLQSMINESQEQDE NDDDDNDEATTMNNNKSENINANGTVNNSNSEDLYIDWKLDYLQELLLNQSKIKNRKWLK KELLPQIDRMIIHAIRSTQQTFAKDSKLGEFFAADFILTDDLKLYIMEINYNPQTLKTTE ARLKQHTKMVQDMVEISNAYLRSRYIRFRKIIDKVVEKLSKGKSRLQDLVNPKMGQEIHQ AYYSRLEPNIKISSTNLFRLILDDGLEGTSKYKDLLQEKCLK >CAK92739 pep:novel supercontig:GCA_000165425.1:CT868669:288910:290087:1 gene:GSPATT00025473001 transcript:CAK92739 MLIIGPCQSYQSIKLLGSGSFGHVFLVKELNTKQEYACKIISKVHIEKYDASSMIYNEIK IHTSCNHKNIVRLYSYWEDNKNIYILLEYLSKGHLIDPKTPFNDDDVFQVFHQILEGVNY LHQNNIIHRDLKFENILIHEDGTLKLCDFGWAIKVDQLPVPNVMCGTTEYMPPEVVSKSC LDFKLDSWSLGVILFVLLYGKFPFLGENQDQLIRNILFDQLIIPRRNDINEDLTNLIQAL LIKNSQLRPSIEQVYLCKWMKFNMKLHNIFNHYENEQLKQKVKQKNISLKVVNNSLFSTP NKKMVSSHYVESKNDEDNNPTAFGKTHNSCRTIKSVSTNPSPQIPQMEKFFNFIENMKKQ W >CAK92740 pep:novel supercontig:GCA_000165425.1:CT868669:290145:290432:-1 gene:GSPATT00025474001 transcript:CAK92740 MPLRIKIIPELQQIKLEVKENGSVHLMDIQMKLDQQNEDADLQSVSLLLKQSKQINHPPV QVQKHPACSFKKIDTQPKCDEIDWEDDQQFQKRVK >CAK92741 pep:novel supercontig:GCA_000165425.1:CT868669:290572:291871:-1 gene:GSPATT00025475001 transcript:CAK92741 MLQKLGKLRASFSLLNFNFIANLPPEVEELRSHVAKFANEEVAPLADKADEEGKFPPHLW RKMGDLGLLGATVNPAYGGSGLSYSAHCMILEEISRASGGIGLSYSAHSALIVAQLERHG NEFQKKKYLPKLCSGEWVGSLAMSEPNAGSDVVSMKSTAKKVGDKYILNGSKMWITNGPI SDILIVYAKTEPEKKQHGITAFIVEANMKGFSKGKKLDKIGMKCSDTGPIYFDNVEIPAE NVLGEVNQGVYVLMSGLDYERLVLAAGPVGLMQAAFDISRDYCNTREQFGKKIGQFQMMQ QKLAEMYTTLQASRAMLYSVARAVDQGNITNTDCAALIYYTSANATQVGLEAIQCLGGNG YTKEYPVGRIMLDSKLYEIAAGTNEIRKWLIGRQLTQ >CAK92742 pep:novel supercontig:GCA_000165425.1:CT868669:292019:292819:-1 gene:GSPATT00025476001 transcript:CAK92742 MQKSKVDMSFSTNSHNYGQLQEIDRPFSEDVLLETVDSTQTYARREYKRQYYNQITFIRA LHQTEGRGQFDRKWECQSKKNILTTIIFPFFTRIEQLKNVTSVVGYTIVKLYKELYNLNA QLKWMNDILINCQKSVGILVESEQIDNKYVLFVGIGINVNWCTQGATSLKENLGKEVDQN QLYLLLKERVIKALYDLNDFGFEYLRVRMNKILYKRGQLCEFVDSKTLQKVYSGILEGLN EQGDLVIRDKVNYQRAIVGLNVRLKV >CAK92743 pep:novel supercontig:GCA_000165425.1:CT868669:293503:295392:-1 gene:GSPATT00025478001 transcript:CAK92743 MQQSKLNKSSLQQQSIKVYVRVRPLLKKELGQECIIKTDQQKYVVIEQSTHILKQEYDQV FDERVSQADIFKFISPTIHKALQGYNTTIMAYGQTGTGKTHTMFGSDWDLGHQSTFIDDL QTDQNHAGMIPRTIYTLFNNLQYGYYVYCSFLQIYNEKIYDLLQDHKVPQALQIHESKTE GIYVEQLTEYAVNNLYDCLALMKRGEKNRMIRQTTMNLKSSRSHTIFQLLIETSKADSGG QLLRSKINLCDLAGSEKINKQDSMTSAHLNELKSINQSLTTLGKVIQNLSQNNKNINKLP IPYRESKITRLLQDSLGGNTLTNIFVNVAPNIYNIDETANSLKFAQRARNITQIVQANSI NATDQELVNKLLKEIDYLKQLLNMKRKGLNSNDMHFKLMKIQEENERLRQANLSVNDVEK LMQENRKMREELQKLQSQSHENSESSHMMKYGSEEGFSGSENDKQNNTYQQTKLSNNGLL IVSNPSMQKTEVTVRYKDRGNKMQTKLGYVEASDQMLSREKRLMSERKRVIERLELLEQL QFRNSLNSSLPIDSKIPKPSKKNSINLLNRTVEEERTSLPKLKIAERNLKQ >CAK92744 pep:novel supercontig:GCA_000165425.1:CT868669:295823:296296:1 gene:GSPATT00025479001 transcript:CAK92744 MTIIILTLKELIFQQAIINISSLKYDFSSRIRGSFLRPSHFYSAIFIIIHSKTSQRSIYK PQTILSLYLCQLSFQSDLNIFYQLRFFIQTHSYPILILSTILIFFSINFIFYVNNQGQNQ SHQVKLDHLFSSSTNLYTNPILFTFFKMALIELPVLI >CAK92745 pep:novel supercontig:GCA_000165425.1:CT868669:298950:299918:1 gene:GSPATT00025480001 transcript:CAK92745 MKKFKQQKSNQDKQQDKFCINAAALQDHLSRQLFKLPKINSKQLSLAQKLGLVPSPPKPL NQEEWAQVEEQSLKREDIQNCVCPICLEKFRMQQHVILSCSHIYHKTCLESFERVSQTKQ CPICRRQDYEKKQFMVANKQYKIKSIIKIQALFRQFRCRKQFYERMIRMQYRPENNLLRR KLLFYKLSRISSRMSKTIQQQGNQAQQAINQLGENIQIKQEQMENNLNQIQQMKHNNEQM AKLQPIIKVDWISIKKVALERKEVDCTICLQSMVNTKVFLLSCSHMFHANCLNSFERYSM AQINNCPICRQTYQKSHFQLQQ >CAK92746 pep:novel supercontig:GCA_000165425.1:CT868669:300027:301403:1 gene:GSPATT00025481001 transcript:CAK92746 MDIKEQYAQYTQKNYTANVFKVGDHNFILDKRYNPTNQLGSGAYGVVLQADDLKAPPEGP KKVAIKKIEKTFQHRFYAKRTLRELKILRNLKHENIVNLITIALPKSRVSMNDIYLVTEL LDTDLRKVLEREHAKLTEDHYKLFLYQILRGIKYMHSANILHRDLKPRNILLNKEDCMLK VCDFGLSRALLSQGLNGQNPNVMTDYVETRYYRAPELLLGLKQYTKAVDMWSVGCIFAEI VRGKALWRGASAESQLKLIFETMGTPNKQDIKNYKDPFFQQKMLEAVVHLGQFQKVPLDK IIKGISPQGYDLLERLLDIDFTKRITADEALAHPYFEDLHSPEDEPYRQPVSDKEFEFEL YELTTEQLKDMVYEEILLYHFPDFRKEYERKIAENESVIKHILTGQSARLIDPLADDDFP DFQ >CAK92747 pep:novel supercontig:GCA_000165425.1:CT868669:302168:302460:-1 gene:GSPATT00025482001 transcript:CAK92747 MNTHTITYPKPLVRILQHSRADTSSVSSNQSYESHFTDIERPQNPMIKNFIDNQEDDLES FFDQLE >CAK92748 pep:novel supercontig:GCA_000165425.1:CT868669:303301:304826:1 gene:GSPATT00025483001 transcript:CAK92748 MSSKIKNLYLTLRLRLEELRVVTIKTCQIGINILLFASLRGDQKAKNQNSLQQYGLTSQK QLQQAQQISSSLYNHNFGSFYPEFIRASITLYLVGLVINLLHTEILNVINKKFVLQKCVG TIVIVFLHILDLMIINDYLILNSEYSNLTQAVSDIYVDSVRKQKDMIQLFEAVIRQLVNI NRFLSVFFQASALWGEGIRKLIKNKKKTWGTTDSQLSSPQPQEKTQLNQVPPVNGMNNKM KGQKKEVVQHSGKKKKSQPQQQIEKSTEERGQSIEKKKKKLLDKKPQKMSPKEYEQQIET KIDESQQLILSKQVSLMQKDEQNTSTQAGSNGTKSLFDHKIKSNRSESFPTKLNQYIEIP QKYQRYSSQSQKKQIDQKKQIRPLYDKLYKFNLQTNVDKYEIKVHSIIEMNNSINRIAQE NSWNSFLTINVKLNYWKEAYQQMQTQEIKEELQKVIAQYALYLMNQHAQNQEIVE >CAK92749 pep:novel supercontig:GCA_000165425.1:CT868669:305062:306529:1 gene:GSPATT00025484001 transcript:CAK92749 MTSLFDEKECENCKKIESDYNIVRIDHIKLQAEYEKLQKDIEIMKRDTSLNDGELKKNRS KFDQLQNELNKMNEKYQKLQGEKERSDGMIKQLQAINQKIQQEKEEMLFAKPAENPEQNQ ALQQISLLHEQLRKAQNQKEQALSINTQLTLELQQLKSQQKPQQNIVQTVQFQRDSTSNE KVFQMEIQNLRQRINEMNVALQKQQQEYQNLQQESKQQIENLQQQLEQQDKNIDQQQSST IQLKQLQAQLKKMEAQNKVLQKQLDYKTQRYNEQLDDINKLQEELNACKTNQIVKVLGDE EKDYKEKYKQVLHQKKELEQSFKEKESYLMQKVQQYEQHIKQKQGNAGLENVKLKEKLDE LKELQGKLNQIQEEELTQKMINSNQKKQLDDLINSQYQYKQKVKQLESQLQQCEDKLIKS KYQIADMMNLAMELGLTQLLDKFASLQQ >CAK92750 pep:novel supercontig:GCA_000165425.1:CT868669:306650:307107:1 gene:GSPATT00025485001 transcript:CAK92750 MGKQKLNEKQSSSQPKLKIEKSISKEQVQEQDGAINISEVTEITCSICYSSIADQGIIKN CKHTYCFQCIQKWSEQNLTCPQCRADFTKVIRIRKVGQKAKKKTYKFKQPKQSNDTSLNI NLIQYYTQLLFLMFEIYQDSDRE >CAK92751 pep:novel supercontig:GCA_000165425.1:CT868669:307280:308761:-1 gene:GSPATT00025486001 transcript:CAK92751 MLKEKLQEIKDSNTNLYQHLNNVLTKILLDNPKNAFELFEDYSFQVKSSGFSFDKYNEFD NAERTKISMDQAIQQKTLKLVNKINLGTDEEPQEATQTGYLPNLTEEAYLYEWAGIGIDE NYKIFKALSLLSVTKQASKLRFWGKIMAREKDYYIAEGVANSQVEDGELPPEVEPRGSGV NTKSYWACTDILNDKDWVELPLITPQQINVSRQIKYMFTGNLEADVITNPYFFGKEKHLL KAQIVRITQSTVIIPKGQYILREGEPREIDQTPEYKLPGFHELKTLNDWMHFNTNILNCG RLVHLIPSELPDGVEPDIYQKQIEAADPFENRLKQLSNDKLPNNKPAWKIRIVGDTTDYN PLAKDTNNKVNNGVIIIKSLVWPGLVTVYSNKVLSQLYYGYGFKATTNHFYPKQPQQVQT EQPDLPEQPEPNFPAEVPQQQQD >CAK92752 pep:novel supercontig:GCA_000165425.1:CT868669:310065:311951:-1 gene:GSPATT00025487001 transcript:CAK92752 MQVKLSFFDDAKFSNSFWEKTNIQIEEQKIDFQSVLFEKSNKTGFWTSKNYIIQDGKLVK LRPNKKNIHHVDLGVSRIERIKYKNEQESDACNKKKYGFRIIRNQKFKELYSRSKELNQQ IWDFLKKQCLQLTFKEEYVIERMIGKGNFAKVYLCTKKSDKLQYAVKAFEKSKMLNSETD KQALIKEMSIMRKLNYKGLIKMHEVFEDDTHIFLVQDYLQGGELYQQIKKNQKQSEPTVA TVLATLLDSLDYLHKKNILHRDLKPENMILRKKGVLEDVVIADFGLADFYDPLGNYMFQR CGTPGFVAPEVLQDKIYDSKVDIFSVGCLMFLLIAGKSPFKGSTYDEVVMRNYHCKIDYP SIDTIISSAGLSLLKLLLHPRPSQRPQPRDALRHEWFMINLDKTRYSELNKSSEEYIQDT KDTIKSSNTDAGSCGFMKNFIGPSNFDKPEFMTPQQNCIGNKKKENLLFTPQISVMQQIQ EQFNDSEEQMSIKNLDDDFTDMIFDDESPQSHRLPQYQLVGKLKQAVDSNNSSYYASPII KKTPENLSKDINPRVMTIKEKIYEQQTQPKIASKIKNNLKNLEQL >CAK92753 pep:novel supercontig:GCA_000165425.1:CT868669:312887:313883:1 gene:GSPATT00025488001 transcript:CAK92753 MQINQSKSKKRRVTPSDKQQLSTSQERCLIPKYMTLNNQNINKSNKYQILEQIGQGSYST IFIVEDKLHGGYYAMKKVLQDPKQINRELEIHTNMRHPHIAPLRDHYHTYENKTKYLHLV MDLYQGNLKNAIRVPIKRVAKQLFQALEYLESQNIMHRDLKPANILYQSGNIYLADFGSA KKMDGSKSLSYVCSRAYRAPELLFGQIFYNTKIDIWAAGCILAELELGKQLFFGQNTVDQ IVEICKFLGTPNELEKAELGCQFYIPNLQAKKLSMIFIYIVLNQKTDPLLIDLLSKIFVF SPSKRINCSEALRHPYFLS >CAK92754 pep:novel supercontig:GCA_000165425.1:CT868669:314209:314847:-1 gene:GSPATT00025489001 transcript:CAK92754 MSYKFILSNKSQFSKDRNVNIDYTPVFNIQLQQKLNIFPIFNDDHQATTPGFIIEYEKPA YESNLNYHQQLFNQKIEQIKIKNKQLLIPKPKHRVQICSVCKTKYTDYLTHTQSNSHKKL FLASPYIKKIEKIQTDLSQQLSNYNSQSTDTEEASLLDQGNRKKIKLEMSQMISITE >CAK92755 pep:novel supercontig:GCA_000165425.1:CT868669:315018:315600:1 gene:GSPATT00025490001 transcript:CAK92755 MQDKPYQDNKQIRNLSQQSNYLQNEHPMSISAYQSKLVVKQCQLLQFIQRQEHLKCQLNQ LRKTRQFSQQQERKTFATKPRAFSIHHKDQLCNNSQPNLINDDSNNETDHCQFQKREFQS NNFSTFCDNSLKKQKFLPPMNPPNQDPFLQTFGKQMDLVSKNRFPKEVFLGNVSKMKKQF QQNFYL >CAK92756 pep:novel supercontig:GCA_000165425.1:CT868669:315901:317709:-1 gene:GSPATT00025491001 transcript:CAK92756 MNHSLAYKCKNFFQAIDLYGAYPSLLIFGYQKYSSILGVLFTILASSLTFAYLVQEVQEL VLKQLPQTILSEHLVLETSPFPLSSKNFTLAVSVGDMDSNPLTTIDKYFTISIENCKRIR QLNTTTNKIDVINTCINYPTEACTVENFVTDMQKEYFNKIRLGAVQCIKKEVLESNPPIL QGIISGNTYQYIAIKFSACKNSTEKQDCATQEQINEELINGFYVVHISDSLVQMSNPQDI QQNFIKLQFTQFSISTSKTIYSGFRIIESITDNGVLTNNIVENQFLVQQYLQESTSSYNS DYLVNHFIILDNKYTSYQRSYIKLPTILSKIGGLWQLIFIIFGFITKPFIQTEMKINLAN KLFRFQEEVNDLTQSVKKDTSTKQNLEKYVQKPGQKLPDSLINILQFCFGCNKKRLNSLN QANLRIQENLDIVEIMRKFQQLDNLKQVLLTANQKILFDLIPTPMINGQQQNKLNQEQHP DQKIKNLGRFIEAYKSFFQIQEMPEMNENIGQKIVKCLDQDMIKLFYEHQSDSEQRQREN LKQLLMETTKILNQK >CAK92757 pep:novel supercontig:GCA_000165425.1:CT868669:318036:318421:-1 gene:GSPATT00025492001 transcript:CAK92757 MQQSQISNNEEQQKLEQQTEKDECEICLQEIQNKGIFKKCNHYFCINCVLNWTLHKKSCP KCRCKVSTIIKLSIRKYPRSKKQSISKQLIYLSNSESESSDSDYIPIDTLAGRYGPKDFL >CAK92758 pep:novel supercontig:GCA_000165425.1:CT868669:318541:319898:-1 gene:GSPATT00025493001 transcript:CAK92758 MEQEDTTPININITESIASKHVSYNIKGEDKIGQFEALRRYNDFHVLRLTLLQRWPGCYV PPIPAKQALGNNEQKVVEDRKRFLQYFLEQVAKLRHIYFSDEFQTFLRTKNTDMEKALQG LPKQSIQEVYEKFKKNFPHLNGREVNSDLILKVSSFSTYLNKTTKQLESLKEQVKLLAAA KKQYTDQFNIMTQTIGLEYEKNILIEYVNHKTENLIFTNHNDVNVTAREIKDQNAQTYNY DYLVDLLRIECREVEAFQETLKQKEFVDNLKASAQQKLRDQNSELVKLQAGKTTLKSMFS KGSNTDQAQTLEKKIQETQNEIKLLEDLCIMITSILCFDEIDRFKKNKQNKYYEILIKVS QLEQQLNQLYIKFWSEVSKGTEAEVVV >CAK92759 pep:novel supercontig:GCA_000165425.1:CT868669:319918:321358:-1 gene:GSPATT00025494001 transcript:CAK92759 MLKEKLQEIKDSNTNLYQHLNNVLTKILLDNPKNAFELFEDYSFQVKSSGFSFDKYNEFD NAERTKISMDQAIQQKTLKLVNKINLGTDEEPQEATQTGYLPNLTEEAYLYEWAGIGIDE NYKIFKALSLLSVTKQASKLRFWGKIMAREKDYYIAEGVANSQVEDGELPPEVEPRGSGV NTKSYWACTDILNDKDWVELPLITPQQINVSRQIKYMFTGNLEADVITNPYFFGKEKHLL KAQIVRITQSTVRIPKGQYILREGDPREIDQTPEYKLPGFHELKTLNDWMHFNTNILNCG RLVHLIPSELPDGVEPDIYQKQIEAADPFENRLKQLSNDKLPNNKPAWKIRIVGDTTDYN PLAKDTNNKVNNGVIIIKSLVWPGLVTVYSNKVLSQLYYGYGFKATTNHFYPKQPQQVQT EQPDLPEQPEPNFPAEVPQQQQD >CAK92760 pep:novel supercontig:GCA_000165425.1:CT868669:322452:322930:1 gene:GSPATT00025495001 transcript:CAK92760 MAIKTFRKFETILIMFQLAGNKHLLNQQMNYYNILGVSNNSTHEQIKKAYRVLAKQHHPD KNAESAQFRIISEAYRILSNSELRARYDIFQAQVDRQISLFNMIRNFINGNQERTEVNSD NNTKDTEIIDNDSKNKDITQIQKKKIRKNPH >CAK92761 pep:novel supercontig:GCA_000165425.1:CT868669:323036:324976:1 gene:GSPATT00025496001 transcript:CAK92761 MSKQNKTLIFDQAARHARIKKENEEQLSQALRLYQEYGKIENNELIATVIDSLSTIEFFK QNCPEGMKLSEFVANAIKYFQFEQYDYGQPIFHYGEYGDKMYIILKGEVGVFGPRPLDDI NRDMEQLKKSEEVELVKVQKKQLAVNFDNLLKMQGEKYFKDGVLLYQKIFQYYSGQSFGD VALTSDKPRSASIIVYSERAFCITMRRNDFKQIFQKSIQQARNTIDYFMKIFPGTLKFNI SKFIQYLRPIVFHSKTILWQIGDEPQFFFIIVTGRVQLYKHFDQDTLMGKKKNSNLSHDK LYLAKQSHKSKIILSQLSDGSIIGQEELIEDLPYRKYCCEVVDNTSAYYMEQSDFRAIQG NHPDIMECLKERVQINRDYIDKRQRLILENFKNYDDYLSSLNNNLSSQSQLKDLFLNINN KQICIRSQKNLKVSQNEILLQHIDYDQKRKSLEPEEESELRFIINNNNLQQIRDRFVRQQ QNERDCLSQQKQAHNRKLITQILKKYQYKKIQHEKKERKRNSIFITSSQTSIGQTIETEN SIDILRQQQLQRSSVIIPTHVKLRARKQSSQKSYNNNQTLNHLTEIESRTHQQTNITHIT PSTCKFFKTIRSISGKC >CAK92762 pep:novel supercontig:GCA_000165425.1:CT868669:324997:326669:-1 gene:GSPATT00025497001 transcript:CAK92762 MNNEEELLNFYKDELVCLKDSIMSLQEQKQKLTEKVDQLEKQNNILKENNDNLSEMFTQY KEYTSTQIRDLELQLVNLSVERADRELLLDTLHQEIRQLKKANFEKEQIIKEQESTKHVD IVSSFQDSPDNLSQISQDEQNQRKSVEVKQEQVIYLKKQFHTPQKNNKPEIQSIIDSIPK SQYTILYEEEMKKIKDISNKQFEMKIWQDQVMVLFKEKYKNEKAINGKLRDLLRNSYPEQ HRGKIWSFLIGNQLQINKQLYEKLLLSIPNHPLITNELKNLINNDLQRTFTIINDFENSD KLKDDLREILTIFHIYRPDMGYIQGMTYLAFMFLIRMPKQKALKCLANILFKSQLLRTFY QFKSHYLEAYFLLFDSFFQEKLPVLANKFNQINLPKQSFLVEWFYTVFSRAFNLITSSKV WDYFLCEGDLFLIRLTLAVLSNLEPELLKCEYEDILMLIRQKTYQIKIDELFKHLNKFKI EDKTFQIRLQKQLDDL >CAK92763 pep:novel supercontig:GCA_000165425.1:CT868669:326674:327822:1 gene:GSPATT00025498001 transcript:CAK92763 MNCNQIFIDQLRCPDLYTKNQHASLNCPPKYSQSFIMNQIIHKPRILRNQYSVQKYSQST EDLCQSFEQPQVLNLTISQLRDLKSINKTKKTSLCSKSKSKEKNLQVSDQNHERKRSNTY HVNSLAKTALLFVNRQDKRMKIIENADNHFDIKNLELLQSNQQSVKNTHFNTTIMIPNYN FESTLHSCVSQTNISLANQINMVKEYVSEINQYNIKWTESEKITKNIPKKLLEKYATSDD TSLYLESSRFKIERNFNQIYKAGKFRWLYPGEEAAIIESIESFLNDCQKKISLLMMSIQK ILKTIIIQKRLEYLFNYPICMKQIEAHWKIMATIFKLKQQHRHYKNQVTNKLWKDIQASK TLFLDLNLDNNKLTHFVKQLQL >CAK92764 pep:novel supercontig:GCA_000165425.1:CT868669:327878:329777:-1 gene:GSPATT00025499001 transcript:CAK92764 MFNEIKSKKFWLGNQISRITINKDDYSVNLNKSPSLNRQTSPISESNHNTLTIIKKTKEV TDHSIDQSFKQVNSPLMFYLTNINQTSINNNNNSSNIINNRSCQQSQNNSQYTIDVPGNE SFAQQSSIKLNEKDLLNQIVEAVFSKNIQLLTKIVLLLQKEYRTDILNLKDIHGNTPLLL AIKLQQQQNQFSTIRLLLSNGSDPTIKDTDGWSPIEETVAQKDLLTTSLLFDYLVSKKLF DMQQERNQIDQELLKINDFYLEMKWEFKSSLIPFISKFTPNDTFKIYKRGSSLRLDSTFA GKKNYKNKRRDLTVLYNPLMGSVQRKENSSNCKFVTILNRSKKIYYYPIQEIDPEEKNQI LMDLLNCESVSGDMKIIQCELIKSKNFFGNYVNQKINNWICQKYEFRILTSQHFNKKHQS NYFMSQDQYFNQSLDQNPTSARFNLEQITSQIVGDQLLVNSPSFKQDNQNQQVKQNMQNS IKNEEDKKQQESCLLYINQEFPINFQQFLPIIKMLANGNEFISSLQTVLQNESVKQLLND KGFPVRIEIPINFTIDAVVTFQAYKQIDIEDPEIKKLFQIPEDYLLVSRRDATKVMKRGK KRLFLANLFL >CAK92765 pep:novel supercontig:GCA_000165425.1:CT868669:330177:331175:1 gene:GSPATT00025500001 transcript:CAK92765 MKEAPKGLALNATSLSRYVSQFLSTSKGRDKFMALLQYSFDFYHSCTKHSNLLYVQQLVS QNDLKCYVVAEKFKDVISITLFQSISQSRKIFRLLFFLDEIKGIQRLIKQNKPLLFKILA ISGHYSSFMYYVSDNFLWIIAILAQSKVLQKEIEARWKQRKNSFSQMRIMINLLRLTILI IFRENKENRILLEMTKIMTQDTSKKLQNSLIKLRRKRRFEILELILSILRFFMLTKSLRM TGYQYLDPVFVACIIISNIKIACGLVSSGLALFKAIYEKRIFIQLDNSSKIESQINSKLT KGFASSGKMDDSIM >CAK92766 pep:novel supercontig:GCA_000165425.1:CT868669:331187:332021:-1 gene:GSPATT00025501001 transcript:CAK92766 MHEETNSFTSSVLSDNPMMSEQDAQLIVQSYNSTIQSLVKENDVLQKKLEEYVQNEKEEE QKLQQTKHQYETQITRMEQLKQQQLQIDQEREQIFTNSIFQQQKQLVPNAVNYLYEFLHQ DQKQPSHTNIIHNQGMDTQNSLMQFDEDVSPRIEQNDPSLLKDLHKQKEELGNQKNQLIS QILESLAKYAELQLQKCSKKECQQCHLLYNPRTNYIDSCLFHSGKLKFYSCKKCGADDYY TCCNKCIRCSEGCKTNFHQPKP >CAK92767 pep:novel supercontig:GCA_000165425.1:CT868669:332064:332941:1 gene:GSPATT00025502001 transcript:CAK92767 MFKTLALLLIVKLYASDLNTQNAEIDAQQQQQQQAEIETEGQKVIAKLTACWVLSVQELS EEQKQVNEIIKSQSNQNRESIFYKIQTTMLEECYSRAPDEELTNVMRSIQDDNKNYKSFK QQIPDFSFEQFTNASFDWTFTKNDETLMKYIRRFEEWVTTKNTVSSQVQQPNQKQSVFAE LKKKISEMSKKSQSKDSESNNKEQLDKYQFYLSKQQGMKDPINYLFAGVAIFSFCFVFYL VYSKVKQANSDPRLDKKNKKQKSKKE >CAK92768 pep:novel supercontig:GCA_000165425.1:CT868669:332965:333941:1 gene:GSPATT00025503001 transcript:CAK92768 MQQYSPRAIIWMTYLNYLPQDQTQQLSFLKKQQQLYYSYLDEFIQNELLEIFNILNNIDS DQNSLCFSSFQTIKAQVVNSCMDYNEYIQNEQLFEIIEKDVPRTSSKQSIMKEQTNTRFQ QYFFTDSYKRRKQQNDDVATHQDILIRILYIYGKLNPAIKYMQGMSDLLAPLYLIIKNEA DTFFCFTKIMAQLKDAYISTLDFTSTGIRGLLLKFETQFKQREPTLYKYLQNLGIHPYMY GYRWIITCMSREFSLDQIYQIWDLMLHNRNMHDFIIKFAIAILKYLKPQLIEADFKLAFD ILTYSEKDVNQILNFM >CAK92769 pep:novel supercontig:GCA_000165425.1:CT868669:334128:336045:1 gene:GSPATT00025504001 transcript:CAK92769 MDQQAKDLILQNLQLIQDSNLFSIFRSQIEVSATLQQQFKETKRIIEQSDILQIIHQIDQ IQNSIATNIEIINKDENIVQYIAQNKKIIIQMITNKYEQTIINTLNSLGFPDPSNEPEII QFKQLQELTIYSKLIKHVSQFEPRFLSSIFVKLNQNFDYHFIDSIQATSNINNSSWFFNY LYENFEKSIKQLFKLDPNYEEQIQNYQNFELEEIIQTFASLMIQHYIIYNITKRVKRDKD EFIFQPNLALLFFEELDNFCQSIEQFWYICFDQKCNQIFEVILLILNESNIFGKILEWEI QLFNQSIFNEYENKIQQKNIHLFLNFVEEFQIVWNSQYQKYSLIVNQNLIDQVQQIYSLI FKQFLELCEQYYLIIKQIESKDYVQFLQTYYLGLKALKQQFKKYKTSIKQLKQNTLIKFL EPISNQCSEEIYQKLFKEYLYDDKLTAEFFKSISKVNFYQNHQIIFLQSQHEFAKKINNF YYKANSIINQIINTNLIERQYIADLASKVISIIISQLTTKTHKFIVKQEYSQDGILIMFL VILRTTFLVLYSSINHQKNTKAQKKINEKFEQIQTIINNRYILNEQSWQKVIKSYPLLTQ KDVEILKNLKINQTT >CAK92770 pep:novel supercontig:GCA_000165425.1:CT868669:337126:337479:-1 gene:GSPATT00025505001 transcript:CAK92770 MILGSNLYLIYFKNTQKKENLITILQIVANRLILSPIIGLTTVLCLDQFGIITDLCELFI LFITFCTPSAITILVMAKQYQQQLEDVVSLILFYQYILCIITLPVCMTIYLAIFQPK >CAK92771 pep:novel supercontig:GCA_000165425.1:CT868669:337723:338094:-1 gene:GSPATT00025506001 transcript:CAK92771 MFLPAMIFTNFLKSVTFDSLLGLIPTILTTFFCIFCGYLIGVISNKYWIKKNNLNSIIVL SSANPHTINIQLQIAYGLTMYFSKITGQSEKETEAKLVTIIIIQTVIVNAFRWSIGKRII QQN >CAK92772 pep:novel supercontig:GCA_000165425.1:CT868669:340019:342040:1 gene:GSPATT00025507001 transcript:CAK92772 MLQSMQNSNWSANDNKIIQMLTTQLGLTQIQKSIIVTQLQKIKSAEKVIDCFMKQNKDFQ ELQEIIQQEITNQMKVQNQNNRQKGQWNQYELIKLKNKLSKSMKSIEESDCLFQYIQQNY DQEILNKILYYEFKTVKEFLQQIQRVGEISRPNSQIQFNENQQIKKKQGYSICQENKQIQ DLFLEFYSLNNRNNKQIEKQLIQLYNSLENQQQNSQIYDFKICPQLEYEELLNLCNLVKQ HFSYYPRPVQLLSVIELYNHDDKQGRLSEIYTGEGKTLIVAMLAILLCKKRKLNVDIVTS SPVLAIRDAKELASFYESFSISVAHNISEPNTEQNEGMLPCYKSQVIYGDPHSFQADILR HQYQELGTMGNRKQGYIIVDEVDSMLIDGNRNKTLLSSPIPGMLDLTKVLRLIWDEICKV EPNLSTDNKVMIVDGDNNYYSMDLVEYVEQTLEKQIKDALENQIPNFRLDYIKFMKKRWI ESAIQAKFLFHEKQHYLIDNNKVRIIDYLNTGVVQKENTQWQNGLHQFIQFKHNLSISSL RISTNFMSNISFFKSYKNQLLGLTGTLGSSVTQNLLAKKYNIDFVFIPPYKKRILREEPG IAVFGENEWFEEIYKAVSYQIEKKRAVLIINKTINDVEKIQAYLTNFNFKSTTYVDNSQE IQKEIGPNTIIIAT >CAK80509 pep:novel supercontig:GCA_000165425.1:CT868374:2:2029:-1 gene:GSPATT00015386001 transcript:CAK80509 MTHYKKGDLFEELQPLEDIDELIFNLIIEMLLKEKVSDSLKFLSKCLFKLSYDEYIFLCD NLQLFDKYWKLDAVKSSFKKITYVLQKLVQHEFNKINYSEQAYKEKKYILINKISNQENI INFFRFLVNLTSIDHKFIQCGSNSLHILVTMQVDLKQQSFENIIIRNTSLFGANFFRCDF SGSKFDNVIISGMNLNQAKLYNCNWKNLIINEGIKLKGHSRYVNSVCFSPDGKSLASCSD DQSIILWDVKTGKIRSLFLGDRIVKSICFSPNSTLLTSSSGQFVYVWNINRGKQMYKLNG HTKNVNSVNFSPDGTTLASGDGDRFDNRGACFIYLWDIRTGQQKAQLYGHSNSVQSVCFS PNGNTLASGSSDKSIRFWDVKTGQQKAKLDGHSDFVTSVCFSPDGTKLASGSYDRSILLW DVGTGQQQVKFNGFIDKVMSICFSPDGYTLASGSVDSLICLWYVRTGNQKAQIVGHNYDV MSICFSPDGNTLASGSADKFIGLWDVKTGKDKAKLDGHSSGVCSVCFSHDGTTLASGSGD SSIRLWDVKSGQQKAKLIDHSRGVQSVCFSPDGKTLASSGDNSISLWDVKTGKVKAKLNG HTYDVHSICFSPDGINLASGSGDSSIRLWDVKTGKELANLQNSSKGIQQVCFSTDGITLA SCSSYYSITLQAKPWR >CAK80510 pep:novel supercontig:GCA_000165425.1:CT868374:6523:8255:-1 gene:GSPATT00015387001 transcript:CAK80510 MQVHQESAITDQKQLTYDEALTQVGGEGFYQKRAFLIFGLQWLITSWILFSPVFFFIKPT VDCQSNAECLNSCTSESLDEKCLSYICDDSFRQEFVVGESLNTAFDALLPCHKTLQSIIQ SIVYLGSVSGLIIFSFIADNYGRKMSMSISWSMTSLGALIVTFAMNYQMIAIGLFFLGFG GNPTITVHYSFINEHSQGHFREIQNVGVQVFFALGEFGIIALAYFITNWRLLAISVAIPS ILLNIGSLLIFESPQFLYTKNKKKCVKVLNQIAKLNGTQPINIDDLQSNPQTKENNSRVY SILDLLKYKSLRYVFFAGVMMFFAIKVTYYGISFVSDQLGLNFFTTNFIIAFFELLAFAT LDLFITKMKRKTNIIIGFFIVGLMSLYFLLQSDHIVFRIFEGIFAGLMRFLICAVWALGY IYVSELFPSVVRSLALCLISAGGSFGSIAQAFLSNLCSSLNVHPMIGFGVICILCSLLLF PLRETLHQPLREKIEEDQTRIRKSIIQINDSEKNTSAYPVLLASDA >CAK80511 pep:novel supercontig:GCA_000165425.1:CT868374:9397:9792:1 gene:GSPATT00015388001 transcript:CAK80511 MIYIKANMNKYCFRIIFGTDISKLNPTYSKKKVNQILNSCYILFQETHQKNFLIH >CAK80512 pep:novel supercontig:GCA_000165425.1:CT868374:11947:12363:-1 gene:GSPATT00015389001 transcript:CAK80512 MFENENGDLTQMLYCKELNMIDKGFLFFIKFIKIINKLVVLDQCSRFSTSNTPKKKIQKW TLKNGNLLKKLVSDEGKKWKKKENYQQLHGKILRNQKQQQYYIYEYLYLFEHFEQPLFKY LYLFNGSEIQGTNIIQLN >CAK80513 pep:novel supercontig:GCA_000165425.1:CT868374:13400:15623:1 gene:GSPATT00015390001 transcript:CAK80513 MKRHSIGKKFTKIKGLNKTDPNAIVPETPKLIEDFIQSLNSQGAVINKVKYAIFLTKNGL KYPGLIATEKIVPNDNLVILPRETLLTTRQAFESPLKPMFLEFPQFFSPKFMPSWQYHII LSFLLYEYQKGAESKWHLLINNFPKDIDYAVFWKSEDLELLQDKKMAKHAIQKNRYLITT FQTLQYITSKFPDLFKPEVVTLENIIWIYTSIVTRCFGGQGLKYVTMVPFCELFNHESAD VCYDLQQNDGRTKYNYEFMTQKLVKDQKDDDELSIQSFDNSQGSEDEISDSEFTTGEYND YQEFDFDEFHEMAIKNFTNNLNQMLEILTLQNQVQENMSQKMKVIQEFSIRMRKELNIKL NIQRDIFQLAIDCKSMLFQHLDFGDNFSIFFIGQIFNILDNSLKEYFEEQISSFKAREIF ARMEQICSSYLDNWYAFNNVVKKSPIQQKVNTFSQKLGQRPIKVLPTVESILKQPVDRSA NYYQNVWENENFKNLLMRTRDYFEKGSQVYFCYSQLSNRMMILKYGMALEYNKFNSSFLR VEYLKYLQTKEAQWIVHRFKLDKFKRFKLKFIKPPYELIIFCKLVYWDINIHSVDTIFKI QNLKLEIKALNLALEILVEENSKFTDKIEVLEKQLYDKSLGYHEYFALIYRLERLRIFSY NINLINIMIIVIDKIINRVPFEQAIEKTQFDFDYYLTNRYTLKRYFDELKCSLYESS >CAK80514 pep:novel supercontig:GCA_000165425.1:CT868374:17140:18303:-1 gene:GSPATT00015391001 transcript:CAK80514 MKQVIFLVMLITLSLQTSLQSKVDVVMAQMDKMALKNEFSKQLAGLIELKMLQSSYVEEV LKEIKGIRDQLISDQTIEDQEFAKKIGQLNVEIEILEIQTEKLAKELQRLNQQIADLNED ISKLIGTQQSQEKQLSTLNSKEEDIRNQYKLEIENISQRTTNNIKSIDGLNEMIAKLQQA VFAEQSKTTVLSMLINLLTLWDQIILLQHLFLQLPSLMFPLLLELFNCLKIFEIQEERIN AGANEYVAKVTQSYEVTLKEVTEVRERLSADYSRTIVTLKRRNEENALSTKSRNQIQKDL PIALDLLQQYRNEREIVQSNYNLRSAKRENEVKIITQAYTIVAQQVKV >CAK80515 pep:novel supercontig:GCA_000165425.1:CT868374:18436:20192:-1 gene:GSPATT00015392001 transcript:CAK80515 MSQEQVSISTLIQKNAQQNTQYNWVGVLEFLHEQHKQVQYKDCEWALEKSQMQQKINELE GVVKGQERVITDLGKRCKMLEVALRQERLKYQQNGQVPDYLNQLLKENIENQSQIQNYQT IPKRKAKPYRPLLQKIIQEVGLQSIFSPPSSPKMEHSNRPSVMLTPNQNVTSIVQNSGRQ SPTNMNSTSGFPTHSRSKSQNINEEQPQTVSKDPVQLQNTLRHHLDGVRDAYFFNNMTIL ATVSEDCQLKLWDYQNYQQQQNQIEPYLTLRDHTGPLFAIAGIEQRLKGIQNAIFSAGAE GAIKMWYFPLPDECDQLGQTEEFQQCKMTWQAHQDAIWQLKVNSQQNLLLSSAADSLIKL WPVQDSQTEPKQLYQFGQKNQYGHFVDSPTAIAWLQHNPNLFASGFMNSSLLSIFDTENG RNVQNIKYLQENNSQAQINQLTQFQQSCLISSHDDGKLRIFDLQQSKLISQIICGNEPIT SAITNLNNTIIYIATGNVIKVWDTRRQQFIQELQGHQSKYDENIHNLVHHGSYNLFASLG ADGQIKLFSSK >CAK80516 pep:novel supercontig:GCA_000165425.1:CT868374:20535:20786:1 gene:GSPATT00015393001 transcript:CAK80516 MNKLEILNSPRLTTQTEHVKKSFYSRDIKSDKNFESSSYHQRTYKNEGRRKQRDYHTQTN IQYKQIKQNQIFYNQKDSFILKK >CAK80517 pep:novel supercontig:GCA_000165425.1:CT868374:20813:21094:1 gene:GSPATT00015394001 transcript:CAK80517 MSEKKNLSKQVLRRNISIKSQWETKNLQKSNISSITFRIRSEQQKNETQTQKASPFYDTL QQEDCFRTNAILNVQLSVEDALKQYKQDGIIGE >CAK80518 pep:novel supercontig:GCA_000165425.1:CT868374:21171:21832:1 gene:GSPATT00015395001 transcript:CAK80518 MSDHNQLFILVLRLKKIVMGTLQMNSSILLDDTLQTIIDKCVDCQECDRASCFIVDQSKK ELWTSVANGTSATIRLQVGQEQLEDAYRDQRFNSQQDIKNNYKTKTLLVCPIMENEKCVG VLQCVNKQSGYFTKDDEALLQIMREFSRSVQKNAMNHDAQMLIQNKLRHLINTGVLLQSK QNEIHQFIQQAEEIKITNECRFCKNSLQ >CAK80519 pep:novel supercontig:GCA_000165425.1:CT868374:22330:25700:-1 gene:GSPATT00015396001 transcript:CAK80519 MNQDFQYNQALVQDEAQFVMQTQGSCNQNDRSNSLREIKKILDEYPHTNVARIISFSLNA NNMITSQNETSDYTLEQYFKVRQSYWFSKEEIYNMIGQIVQGYMHLKNLQIVHRDLNPRT ILVKRVLETNNVIIKISDFEVASYKQPRMNEPFGTPFYKAPEITQITQNADYDDQCDVYS LGLILYQMCFKNQYHINPITQGELDKFNYQLKFNKFRVPDQSIDSAFKQLLEKMIVYDPK DRIRWFDLASMQVLQPNFLAFQNKNNTRQYYIDFQKSLGRGNQGCTYLTYDLLNKNTEYC TKQIESNNIEGQRELEAYKIIKDNPYCENIIKIEDIKEDQPFTYLIMEKCDKNIEQYFRE KSFDLSQQDVFDILQQIVTGYLHILKFNIIHRDLKPQNILLKYNTQTNKPILKIIDFGVG KVLQQPKEMTTTFAGTPIYTAPEIIFAQTYNNKCDIFSLGVMLHQLVYEGANYFQSQPNS IEDLQRILMNMKITPVSCRKPYKNFSNNFLQLIDKMLKFDQDERIDWVTLNQSLKDIMQP RIKIVSIINSNQDQESQNLQQQQSYQQQQQQQIQYQQQQFQQQQQQQQQQQQQQQQQLQQ QQQQQQQQQQQFQQQQSQQLQFQQQQQQIQQLPPYQASQQNQYQQPQQQQKFQPQQQKQE QLSQQIQQNQKPSQQQQNLQQQQSFQQQQQQQFQSQQIGQPIQNFLLTNNNSQQFQQSTQ KINSVMQNQQQLPNQPPTFNKFPTDNNTVSKSALRTTTIPTSSLPFQQNGFIQAQQPNFQ KSPQTNFNPIIQQEKIKSLPDTTNTSNKPISFDLKSQNSTVNQRLNTQSCQPPIPRQTLN EFQKIQTQPFQQQLNSNPIITNQKVQPIPAPQLPLNQPSFSSARATISYTPQNYTQDINK FNPQTPTTNVFQKQPQPKEMQQFCDFFRKAASCFSSKISLLSLQPAYLGFFLCISYYKKE IKKYSKTDKFNEDREENQINQEIDDVKQMINKVDKKNARLEDLKRLVQKLNKSQSLIEIQ TAFFDFYQKNIDILSDEDNRNKETQELNKIIQEMQLQSKELCSNKYLMENQNQKLQFNIP LMINI >CAK80520 pep:novel supercontig:GCA_000165425.1:CT868374:25867:28364:-1 gene:GSPATT00015397001 transcript:CAK80520 MHISKEKRVRITLALLSIIDFAYTFQNFSQIKVILSSLLVLSNIVSMLLLKKKQLSSIMI TLYYGKEIILFGPTSTWPVLYLFKKIGSQKLIFLSLFSIQIAFNYVDSLWKLTLKAKEHQ CIELIVIFIYEGYSFYVEQKPFNQCIRLLIKHFKQDCILQLFDRNYNNIAFNQKNSLIVN RQAPSKNVLNSPKSDQIRLCTEEQELFYKLYLNNDDFQPLESNQQNSQNKMIFKSSKELL LFLKNNRQYYALAVLNEPYNNQKVLYQVKYLQKDTFYLLYYIKIEDGYTNWQNHKISKYK QNLINIFNHKLKTPLNGAIGHLITANEDENIMEKVKQSYLQPALLNCRLQLYLVQDILDY LSCEVEQLTIMSNKTNLQSLLSELYDLIENQCKIKKIDIFDKLDSKYWFIYTDSNKLIRV LLNILNTSYRYTDEGGCISLEIKLDQLNKITYFTIIENGSGINEEQIQQLTEQLKQLDQC ATTNKSNKLLCADNRINSGINLYLVNKLIKLLSGDNSRLQLRIQQNNQLLFTFSISDTLD LQSESSLGRSKNNSLNKQKSLRSLNKISFQQSPRISKFKQLSQRQFNFKSYNSFASLQQT ETIDVEPIAQIPSILQIKDNKHKYCHQRQKLKSLKKPIDYQQCQKTSSKIHSADSAIQQL QKTEKYVLVVDDEPFNHETLCLMLKNIGFNKFLKAFNGQQCIDLVLQYHEQIYMIMMDID MPVMNGIETTTQLEELIVTNSVTYIPIIGCTAHEDYESHLKCFEAGMIHVVIKPVFIKSL QEALYKISELNSNETIKENNSPYIMQRVKSQTSDFQ >CAK80521 pep:novel supercontig:GCA_000165425.1:CT868374:28385:30176:1 gene:GSPATT00015398001 transcript:CAK80521 MSTFTLYEDYTPPSWSMRPKLPFYLEVLKNGVLIQQKKIDNKAMYLIGKNEKICDIVLDN PTISRKHAVLQSKNTNEFYLYDLGSTHGTFVNNVRIPTKLFHKLKPYDQLKFGQSLRMYI LRCEDLEKEDANVQEQELQKKLDKRNAKREFKTVKEHYLNLLYQNPLYRHMKPRKKEESE IEGIDWGIDDEQEVYRYHQEQEYPLEPELLLQLPGLSEQLIDKINKYSEKLSTYRQQQEE LDNLLVKERKNFGLDEQSGRNKCDLETKVQELGSELESLEVGLKFVLFGDRVQKINKFEQ QAEVSSEEEDEFYDNTLKKKVNTQEVQQVNNEIKVEKTTESYQQLKKRLEQLIEERDLLN NELLNIQRNEEKEIDEEDELDNYMKQTAISIAQQERPKLLDKLKSVIDNITKVSEQLKFV KPDIKLSFFNDEEEENPAEAENNTQVKPNQNLQSNDQVKSSNTTTTFEQLKKLKEQAEKE QDEEEDEEMILEKARRFFEKEAEKESQRDKQKMKQLKEQQKVQEILQQYDKEQQELIQQQ QKQAPKPKPHSRNYRDTEVEKDDYIDITKFQ >CAK80522 pep:novel supercontig:GCA_000165425.1:CT868374:30179:30795:-1 gene:GSPATT00015399001 transcript:CAK80522 MNLPQVFSVFTDNNQFEKFIEDLVADCVHGIKLPYLSQFPEINHYNLIVEAQQFMQQFSE YLLEMNNQNREEVQRLLDKLKTQIDAEKHQIFTRIITENFKGMCKQALYTHIALSKYVLI DYNWNLNLTISSNKIAKSQIPTIIVELHLQTLDNIANKKKIRFEMTKEELEQFVGKLQKV MNQLQLQYK >CAK80523 pep:novel supercontig:GCA_000165425.1:CT868374:30843:31949:1 gene:GSPATT00015400001 transcript:CAK80523 MSQEQYKWNELLWKPIVRPPRHRYNLKDLGNETFKVQDTITRRTDFEIHNNRNQKLQCSL FEPLRMQEKPHPCMIYLHGNSSSRIESFTIIEYLIPANISVCGIDLSGSGLSEGEYISLG FHESKDVICLYDYLRENKGYLTSIGLWGRSMGSVTAILAAHNNYEFKVLVCDSPFSNLTL LCKELAKANYKIPNCCFNCFWCCVKSKIHQEVKFNIDELNIVQIIQVLPQDVQILFLSAQ QDDLIRESHPKLLMKLFPGENKELFSFEGTHNSRRPAKILQESVRFVCKAFGQKAWTEVQ ITNTTKYSQTQLSKQIPLLKGDRKSVQPYIEKSEY >CAK80524 pep:novel supercontig:GCA_000165425.1:CT868374:32260:33372:1 gene:GSPATT00015401001 transcript:CAK80524 MDSLYSKYIVPMQPEKNQSQSPNSQVAFNNIQQLLEDYSPSNRKLSFSEYRGQELKDSQQ LSSQNKLSQDFPSEFVNSQVRNYDLSQYQIKKEQISIDMNKKEQQDFQFLKDDQLMQTNT IIESPTKINGDDSPKKNKFKAFGNLLLSIRRMQQNIVEHISNLDQLKETMIQPSTNMNVS KIHPEPSSRDCENINEILSNLKLVDRFIYYCEQGSQSDIQNMIKLLKVYPKKHLYCPTDP KHILNSFNKFGQNSLYISCKNGNIEVIKFLLDQQANPFIKSKVYENFYESPLEVSIRWNH YNCVQLLLDKSNYSNKELKAAIRQTSNPQIKQLIKSNLKADTLCCF >CAK80525 pep:novel supercontig:GCA_000165425.1:CT868374:33418:36119:-1 gene:GSPATT00015402001 transcript:CAK80525 MMKQLKQPSQIIKIISDKNSKNISEIIVVQEYSLVQLKDKDLNLIYQAKEKIVDAVPIKY PLNQFQIAILYETGQVELYQPKIHQVTQRMSLKGQMKMPYKLFYAKNFLFVSDYIQGMII INLSIQEEKNFKRKDAKVISVEEIEGHTLIFYYTTKKLIQHDFESEQEICLLENKQIQRF SNISKLFISNNIMVLYSYSTLLFYQLDPFEELNNYSKLINNKIIDVLEYGTSKNQYLLVQ ENGQIDLITQKIEQKVGNHFNVIKLNVENIQLSYLINNEQLVVVSQDGISVYDSNFVLNF QIQLCIGMTNLFEFQNNLYAIKKYNDFSKPFVLKNIKNIESRQIITNFTQKLKIKQIFEV NQYLLIVTTNQIQLYQNTTPLQVLDYESQINHCTLLENQYIILSNDQVLQLYQISNEQLQ LRDQINQQAIQIKSHYQQNQFSLFNGSGYIQIYKVDQNKLVFRAQSKQLLDLSSYHIDQE YLTICRFDCSLTQSKFEFQEEGTEFPLQQYSLNSIAVIINYDNIRKEYQVICQDGQFYIF DNELKVKIKNNPIGINNIVQEIQIFNNLYLVTILQQGTNKYQVMEGINDYYISVKENDSL FLSNTNELYLLNDQTLAKVTLREQEIGYLEINLFPKKEQILLLKDNILITQYSVYRYFED SFERIQDFGQLQKWVLVADVQKENVVLLLNDSSVWIIKAHQNGQIDYKIHYSKEEYKFQQ VRLSNDIIILTYQNLVAIYSLNKFELLLQFQAIYPDKNICKEEEITQIVIEQHSESLLVL NLLIQYAGIAIIQLKLFKVNGATQLKLNKKIYSPIDVSEHLRMQMLQTTWQLFNTKNQCQ VFKHVHNFFDSCLQPIQEKPQIMNDYVVDSIQFNGFIYYLGICGALWSINLD >CAK80526 pep:novel supercontig:GCA_000165425.1:CT868374:36708:38183:1 gene:GSPATT00015403001 transcript:CAK80526 MVKKAAPKKQETKKAPIRRTRTIQALIKDNENIVHEKLGQTRSKSNGVQQKKITKQTQEV KQKKVVNEKERSAPKKNSNQKEEKQPIKDDTKQKKQPEKQEDKKKTETKQNVKPVQKKVI SKKKSTPKKSPVKKSTTKKTPVKANNAKEDTKPKAAEKKNEKEVTMSEKKVEEKSEKKNN EKSEKKVIDKSEKKVVDKSDKKANDKSEKKAVEKSDKKSGKKEQQKEQQEQKQTESAKLP RRTESKAAAGIVDVVFCVDTTSSMSQYINQTKNTVKEIIKNIKNKASNEDISVKFGFVCY RDHPPQDQTYVTKIQDLCGEDEIIKFIDQQNADGGGDTPEAVLDGLYDAAKKIEWRDATR TPSLRYIFHVADAPPHGKEFTDQTSQWPNGVPSGVNLDKVSHVINIREIHYRLINVNQTD ILDKMKKLFKEKFTNYEETDLTNAKEMDFKVSDMIIRELLPDVDYND >CAK80527 pep:novel supercontig:GCA_000165425.1:CT868374:38218:38479:-1 gene:GSPATT00015404001 transcript:CAK80527 MNSKQLKIQIEVDNQNKENKVYQEQQGFHKQRMNRIPLIDITDALYPQKRQGNSQLLVWN QLKISPTIQLR >CAK80528 pep:novel supercontig:GCA_000165425.1:CT868374:39236:40810:1 gene:GSPATT00015405001 transcript:CAK80528 MSFIGPRMNCQLHQKYPISLLNLTKGLSKEKRLLCTRCNLQLQQNLYSIEEIFEFAVSIS KSEKEQMEILKKDKDQLAQIKNLLGYIQAYYNKLEFEHQQLFDQVLKNLFIKQNDSIDTC IQKKQQSDRNELIGLAEQASLIIKQNSDKIELQENNSIQQLAKLDELRGRIKLLQDQLKM VNNDQSQSIILNQKALYPKLDPVQVIQQKKIVEGSEFIRIAEIQLEQQKIYDIKFNRDNN LFIIGGSKLKNSNCYVQIWKYENDQVSKMQDLENCHSGDVKSICFSSQEDSFFTGSQDTT IIYWKMEPQGWNKSQVLQDQSSGIIGLQLSNKGNVLAAVSNSIYLWKRELKQWEKVQVLN HSKKQYLCVCFNNDDSYLAAGSSDCQIYVYQYQNGQWILKTTIQDQSQEINFITFLQDLN LVAVLKDNFINYYEYSPNNWYDSKKLSTLSSFQVSYSKQSNFLTVASGKQKETLIYQVDQ RDGLKKVQQIQAKSDQVYSSNDGKLIALFANQKLEFYSDQNMLY >CAK80529 pep:novel supercontig:GCA_000165425.1:CT868374:40886:44759:-1 gene:GSPATT00015406001 transcript:CAK80529 MSIKKPEKQYELSPFPKDIIGLIAASCVQKLQGAYTLELQQIQNRSLQEELIYRYFKGRS PPYELSEADRDELEKFDGNEYHLCEYRGEQFLKKYFHHEVLKFNECIEICDIGTAQNYKG VKILKTSICRRKCEDKMDITLKEYMRDGKFPKMEEIMKIEIKINIFQFVSNEVDKFSWMA SLIYEQLVAAYTNKDNQVRNQAEKSLVNSLQENYQNFQFIAQLAEKQDKMQEQAANMLNS VVMKMLNTNPNQLTIQHAESLISVLTSQNTPLKYKQSLIQSLSQISRRNKNVKTEIERKM KEFLQGEQQWQKQSGILFFKILTDSVELQNFSNYANAITTNYDWITEYFNQCVQIITVLQ EQPKELSDDFINTMKYFAQSIQDLCDKIQQQSNISREQILPILNILFSLNSFSGSLILLL QYSPSIGKQMQNSIIINTGIQIFDDKMNEIKSYILKSYMIIFQILLNTRNKSEVKKGPFG PYINPITQLLLYSILTYTNSESTNAILNKPFLRNIITYMLKLLANLGAQTEQYQIFSDSK VPLITDVIYPYLITSQQEYLKMKEEPEEFVNLALDTVDKQESDIPKTAAAQLLETLCDHI DGSTTFLANLAVIISQNAINSLSAKPVPLNEQQQTFIQAISEKKLFTEYNAVDRIESSLI VLTIMSYLIQKRQDIVGMMEQLLTDNLAFFQNIQHQIIKVRFSLFFGYYCDNLFKKDIQS QSMLMYLSILINFVQPQEPVVLYQSIDALKDVFEDDELKGKTKGLVAVVFPSLCNGLTFS IYERHFEMIINLLKKYSQVFQQNENLLIGLMQILVQRIINEQDLINKGDSQRHIYLNRCW NIIRSLADQNEYTKLLITLEQSITQLYQMLATCQKIDFDEDLILFISLCIQKLSSVTPLQ MQVLPLFMNVIQKQNCRLGNLYETLNYYIHFGRNHFVDEQYQQIFFNLAFQHLQSDQSFE DIEQAEGALLIQLGIQELNTDLKKPILESILKQTLTIISKKELTGLLRSRITGIILSALY AVPELTCELLSGMFSAVYNQVLDTQYSPGYDIKLFVITMSTLINKQPNLLTSKLITTIVN NLFQQDKFEKENELKKLNNSFDGEDFDEEDDDEDDFNDQDDISEAQQQIEQFLSSIVKED EYSIFKNTLFTIKQHYAMGIEQLKKELDNQIVVKLNDLMSFMRINTNDGIQPTRKLIKAQ RRKKNN >CAK80530 pep:novel supercontig:GCA_000165425.1:CT868374:44908:45842:1 gene:GSPATT00015407001 transcript:CAK80530 MKSLSVPKHTNYKPLNNSFSTPDKKPNKSRLNTQSTLKLSGEMMSVQSYLYEQAHLKEEA SKKEFIRQIKSVIDNLEKSKSFKQLNQQLSNLIVGLRTCINQQEVSNQLIQLIQMIRDVV NNHQNNKLIHHNKDKAILLQKIHELELQAKENQFQQELQMQNMKAQERIRNYEIRLEQIK QVKEQHHQIQPQKQQVNSHEKMQLKNQIKLMQQKIETLSDKEKKLIQLVKAVKQRGIDIE HIYRNVNQVSSRNSLILNQENEEQFEDSSYSDFADISQFDIGQSSIKRHQIFLLD >CAK80531 pep:novel supercontig:GCA_000165425.1:CT868374:45899:48361:1 gene:GSPATT00015408001 transcript:CAK80531 MYNSKSLYQQVSPSSGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDEFNEFQEQ IFTKLNGLRDQLGKLQKQVEEDKLAKEQANETKNREVSALEKKFQNSIETEAQSRKEGES KVLQLKFQKETASRVDAIEGIHQGLQNDLPKIQEAIRNEATERDESDQNVMKSITDELVK LSNLINVEKRNRDESEQSIFEIESGIGSRKEDEGVIGRASFKSIRRYLQQIKYCSKSMID YINILQLNYSSMSKEVRSISAHDPMIKNAKFQTQRNYLNVSKFWPENDYHDKETLYFQLK TLKEEINIMRTENIKLKTRILQQDKELQNFNKYFEDQQFKQNPLSKSHEYIIQQIKKQNK DLQQLLQEKLYIIEQMKKNIKVTKVQEISIQNQLYKEEINKLKKMLLDQENNNKEFLNQQ TMIKENFLKTQQGFIKQQNEINDLKQQQQNDQQKINQLQNEVTKLNQIKLNLEDKMKRMT TEQNKKSSTNSFRSNKLQATTEISCTQLKSKTQDELQYKLIIRGITHEQFTQMIQELKQQ AFDLKKQIEKEDIEYILSQEPFSLGQDRIQEVIKSLSQSGNRLADTLLNQIGKYKTFVDY PDFKIQEAEKIIKQTLKQPEITQYLQTKQIQLWNKEDVIQMIKCLKINWSEPVLLFYILN IFEKSGQVLEFKNETIIDPFPQLIQKESSRIIEESEEDLFEQLSDKSETVIIQDTDQYIT >CAK80532 pep:novel supercontig:GCA_000165425.1:CT868374:48823:50502:1 gene:GSPATT00015409001 transcript:CAK80532 MSSQINTQALVPQILCQKESCKDKRENIKTIFSCNLQKNLNNDSITNCKGCIGKKCDPSV NPCQINLDDLCLQLRKQKIHEKEILQIFEVLKKKLEQTIQNAHNEIEKLKSKILEIDQAI VEMCLFKNNSKLEEFINRGKQAPLDLLIDMVKELREKIFIVEKVDQNIQQQIQYNQDKLN VIYEDGTIRINDVLKNKLQQMSQMISCFKKQVSQINNNNYNFSLEKNQNITSNYQKIEGP DNLSVKTIYNLRFNRSNNLFAIGTSNLNSEKVSCVEIWKIDNNKIELVQSLQQYPDVTAL CFGKKDDSLITGSLDSKIILWSPQPKQKDPYQESNSQPKITPKGYINCIEINQNSRILAI GSEELIIFEQNNSNWVKIAQLEEQVKNIAFSNCNKIIVVQCIKNREERIELYYIQESQNQ TIQIIAFRDLNQAQQNQTISSTRFMFLNILNKVKIYKLNNNTAQREDIDSIEKLNFTQKS GIGFCSNSSSTSLLAFSQSVNDNGVTQILKINEKNEVTFLQSIQQKGQKLFFSNGGQILV IWDGNNLVVFKDKSNPRSQ >CAK80533 pep:novel supercontig:GCA_000165425.1:CT868374:50744:50896:1 gene:GSPATT00015410001 transcript:CAK80533 MGQQRKTKKLYHIKYIITTENYPQNQLVLQEIILRLKYHLEEKKNQRINN >CAK80534 pep:novel supercontig:GCA_000165425.1:CT868374:50953:51210:-1 gene:GSPATT00015411001 transcript:CAK80534 MNSFFRTLLKRRLLGNILREFVFLQQKYIEKWEKTESYLNVLKSYLLVIKSVGPYMNGQG EFESSKKKLWDLPMRKQLFEIIKIM >CAK80535 pep:novel supercontig:GCA_000165425.1:CT868374:51351:52696:1 gene:GSPATT00015412001 transcript:CAK80535 MIRLYQQIHFYKEQFLVISSQIMIFEQRHLLYKQMRFCLLKLQIIMFFSLIQIFIINQKM NDKNPYNQNSYFKDRKITANDLFNQIETDLKLIKGKCKQQIQKTNLQHEKEMYNEVLDHM NQMIQHNNEKQIIFKSNHSYNNENQIQQSTNIKLDSQIRYCVTFEFENETKEEEFSHQLS FKELYLFLLKESSFIIKQMFVILDQQANIINPNDWEQPFICGDKADSRFIIQSLSQYLMT QQSVIVQRASQIMQIESQIVPRESQIVPVESQILPRDSQKSTQSQKRSEVQQLFKSQNHY VKDVQKESKLYQYRSTIQKQFYSKMSTIVYQCKNCNQEIQNQQIMLKCYHNYHEDCLTSM LKSQIQSGQSILNCICNQKIHINELDLLTYGLKQNLYKNQIDTIYQKYQGQFMKCKNCYF FYMKTQNKSQIRSACIMCSN >CAK80536 pep:novel supercontig:GCA_000165425.1:CT868374:53092:54906:-1 gene:GSPATT00015413001 transcript:CAK80536 MLKSIFDFGQTTSTFWRSCDKGLLDSQNELLEHEFFVGSKDRLIKKKFLVMSQDSIFQVT LANLKRAPLLTMHVQFIEASLDNFVQLNSDEQLLGFHLSYQGKSLEIFTSDKENYEIWKS HFKRFAILTNFHEAYSVYKIIGKGNFAKVYQATKKENNTQYAVKAFSKEYMLQQSKGVES LLNEIKLMRKLNHPSIVKLYEVHETTNSVYFILDMIQGGELLTKVREIGFLPAETMQKLA FNLISALNHMHENRIIHRDLKPENLLLKSTENNHEIILADFGLATCLDDKPLFKRCGTPG FVAPEILDYNDGQEFYTDKCDVFSVGIILYFLITGNTPFFGNDQKSILKNNRLCEINFKE HHFKLAPIQMQDLIQSMLLKRVSYRLSSQECMRHPYFKQLIKEHQVQNDKNLNQFLGYQD FKNAIKVGTQELLEQRSPVNFNSSDSISSNISQNKQEQRKSSLVGASKFSQYTAKLSKQN SGEINDIPPKQEQKKPQDLHRLALTNSQLKQIAHNQFEYVEDPTAEESNVGLMVRHYNST RQIRVPDYTLKIKGCTTPTVLKLF >CAK80537 pep:novel supercontig:GCA_000165425.1:CT868374:54953:55183:-1 gene:GSPATT00015414001 transcript:CAK80537 MEYNQLQKEANQCMENILFLAIDKKQQPNVQSEEYQRCQKLVTEFRKQFIDHMAKTLNLD EKSVQHINSQMNSKQN >CAK80538 pep:novel supercontig:GCA_000165425.1:CT868374:55808:56200:1 gene:GSPATT00015415001 transcript:CAK80538 MSNSFSQEIQTSYQKTITKSQNVQRTTDVDFQDAVQRYELRRSSKPKKQMINQKNGVVEN QYMQIATDDNENNYNFHSSSHSQRIVQKYTNISSAKEVNKYNVQEVKDDYERGKCMFQTM FNSLRLIIQI >CAK80539 pep:novel supercontig:GCA_000165425.1:CT868374:56807:57270:-1 gene:GSPATT00015416001 transcript:CAK80539 MVHECLSSLFTNSEASTIVTIIAHKSWKDNNKGGGGLRVREFIFCSEQRIESKSTIQSVE QNHNQKTQIKKTRRKNSKKIYNKGHWTQKEHRLYLQFIETNKEIMMKSDMKKQEKIFKQM SIVIKSRSPSQCRSHHQKFNPFEDHD >CAK80540 pep:novel supercontig:GCA_000165425.1:CT868374:58301:59077:1 gene:GSPATT00015417001 transcript:CAK80540 MEYDSVQKIQEELGYYRKYCQQLENQNAALNQQLFNNISGNVLIVQDGNSNNIKQQENNP NNHKECEQRLKLMERQLKRKLMEEQLWLKRFEAQLNEIIELKAIHAQYIQESELENVKKS KEMEILNEEIEQYKKQIEIYKQEANSEIYLDEIKEMRKQLEASEIKLESLTKELQIKDQQ LYQKQGNVKQSLLSFKQKFDIELKNDNLSTEPDISSKSFSNIMLRDI >CAK80541 pep:novel supercontig:GCA_000165425.1:CT868374:59667:59834:-1 gene:GSPATT00015418001 transcript:CAK80541 MNNCLLTPKSKQPKCPNAPKKQYYATRIDDQSIRSVCRVLFTDEPKPSITSTETA >CAK80542 pep:novel supercontig:GCA_000165425.1:CT868374:60647:61626:1 gene:GSPATT00015419001 transcript:CAK80542 MNPYHHYILTGIIEDQDDLPSVKQEECSQFQYYEEQPQFITYENSNLCQIVASILNLNEE YVDWFARNKPIEFESMMLKAKRKFYRDKAKFRMQILQPHLYSAQQPQEPKKAKRGRKPIK CAEKQFVEDNSDHDKKTIQMIRNRISAQNSRDRKKAYLKELEQSSALTSRENDLIRKQIE ELFAANEEIEKQNNNILKCIKVFKQQCVESDEQFAQEILKQLEQFQSDTESTASKKVKFN >CAK80543 pep:novel supercontig:GCA_000165425.1:CT868374:62534:63371:1 gene:GSPATT00015420001 transcript:CAK80543 MDQVVKKKQEQLLQCLKKISSKPQTDDEDQKQQLRKFQQEVEQKYKMARHNNEYRFPYEY IDQSSDSSLEELQKVGTYDLRQKQQKKQTNSKHLQSSKESIKAKSLIKIMSDKSMKANRQ STRYKTEQYDENQVSYIDALKKNKSIEKQTNEQIISFIRKSVAKRSQYSQHNNNTSRQYM NLSSNNHSHIMSQSFNGQKSRTQNYYLNKFTKMKQDMFKQQNQTSTSQMNQDSKISLKHF FCSKSPEQSSTINLKNKLAEMCKRQPLKVIK >CAK80544 pep:novel supercontig:GCA_000165425.1:CT868374:63418:64491:-1 gene:GSPATT00015421001 transcript:CAK80544 MDQVNAMQQYITKLKVALTDLQQKNKDLETKLEQEHKIAQTLEEKLEYTEKKLSNEKEQV EILGIKVDEYEKKLKSISDTKKPSFLGKVFNNSQQDQWTIRINQAEQSLDIQVQENEKLH AQVFTLKTQLELLENKLDEEQKSASQKFQRIKSYYQSEKTKREDLEKQIQQLNLAQTGLK YEISQLNIQIDSLKKEIDNKQQEISTTQQLLDKNQEDMKKLTQDLINQQQDIRQLEQEKV EFQGFVQEIQNYVTGLSIDQQYQELVNSLNEIIEKMHKQTYVKELFLQAKPGNYEIFKLA QEKILKLGKKNEQLIKQNKQLQDKLQVQKSKQE >CAK80545 pep:novel supercontig:GCA_000165425.1:CT868374:64540:64934:1 gene:GSPATT00015422001 transcript:CAK80545 MQQTHLKCTCQQLSQVNTIREDPGLVEKLKERQMELEEKQLEFEHKKGNLERENVMLEEN IKIELQGLKENQLIQQQLIEELRNNKISAYKRQNELHLLIQNVHENEAEKKVFNMAMLAI IE >CAK80546 pep:novel supercontig:GCA_000165425.1:CT868374:65095:65955:1 gene:GSPATT00015423001 transcript:CAK80546 MQFYQNLYDENPYFNRFFQEHFLYQGFNIQLNGLPHFKSQFNLINKDHLNLTWKCKQDNQ KFIFIANNQSTNTIIKSQSQDQEVINNFILQQIQYQFHLINRSNRYSVLLALKYNYEKDI LIKHTFTPQIQISQNSGNNSNLDVGFKYSYLSKLDNVRVRFNIFSSLLNRQNTEYQLIGN FTKPYKLQIGLQNSRIWVGFIYKAKILNTYSVAHYNIPNRKYDANAYFQIKPIENRLDNK LKFLFGVSTEKEILGKIDFLTKVGTLGYCLKWQHVKGMSMGYNIEI >CAK80547 pep:novel supercontig:GCA_000165425.1:CT868374:65992:66210:1 gene:GSPATT00015424001 transcript:CAK80547 MDQLLGKIFQLIAIAAFLYGTLTTFGQPLLGEQYSMFFFPRWFFNWAPGLVIGSVLGLIL MYLNKQSNMKKK >CAK80548 pep:novel supercontig:GCA_000165425.1:CT868374:66706:66822:-1 gene:GSPATT00015425001 transcript:CAK80548 MALIIVQQKNQKNILQSWIKKVKWNGNEMEEKRNIDEG >CAK80549 pep:novel supercontig:GCA_000165425.1:CT868374:67111:68329:-1 gene:GSPATT00015426001 transcript:CAK80549 MKSALLVVVLIACLQATTVSELKERLSGYGDHPFGSSMINLVSVNMKTGGSLNELKQLLQ QIKDELIALTQLQDQESATFTRRSQVDLAKLQATLEQAQQDLDNQRQEQSSLTNELSTLQ TRVKEDQAALDRNSRGSGDAQGRLDAENTDFAAKFQDYSDAILACKEAQRLLLNLRGEGA SLIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNN VLSLVARLITALQEGQDQLEANHKTQVENLTRLGDDLRNEKQTLQVSLATANNRLKEIQS RLNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQ KLSE >CAK80550 pep:novel supercontig:GCA_000165425.1:CT868374:68362:69315:-1 gene:GSPATT00015427001 transcript:CAK80550 MEFNYDQIKEKLKGYDLQTKIQLIALSQCLYDRKNLDHQQDQELDQMEADYKRKVEPLIE ASNQIISGQRLVKEEEVEDLKEYLNAQEQPILDNQEPIENYWSKVLLNSKTLKTEVIGDK DEPLLKAITNIKGFENKEQHKLGLVFQFKANDYFPEEELKVEFQLADKVGEPIKVESTKI NWKEGKNISVKIVKKKNKQKKKVKEVEQKTLFQLFKNLDVKDWEKLEEDKKEEQQQKMDM CYDICRLIYDEILPYSLEYYLDVHVEDEDYEGDDVDDDESDDDEELTKEEAIKRMKERRG FKK >CAK80551 pep:novel supercontig:GCA_000165425.1:CT868374:69344:71697:-1 gene:GSPATT00015428001 transcript:CAK80551 MYTNQLIFGDYCVNLTDAIGSGAFGKVHGAKQISTDHPICVKIIKLTQENEKLLGRETQI LSKLKEFDNPHLIKIYHIVKQNNKVYIFMERCKQTLSDYILNKKREKQLLSLLEITDIAK QIINGYRDLYSHNIIHRDLKPENVLIGNDNKIKICDFGFGKILNFDSQFIVQTIIGTPLY VSPQALMTGKYTSKTDVFSFGLLMYFLIFQDNYFDVHTYEQLYQKMQVLDKEYSIKQFKN QGCEQDIALLQYILSGCIKYHEKDRFHWDQLFEIFDQITICKPSSQTHKQQHLNSHSLER HLQSQDQQTTTNQKINQKPPLKQKSENGTIIRRFHHIQTVQKGIGRQNKSQENSDNQTKT CDNSPENLDLRCTQTPERTHIPSQNNYTTSDTKKYSNQKDKRTQINKFKISLQQNKYIPK SCKSESDSQLRQNFSQVDKKEEVNKKQQVDKIEQITKNNQQYKTLPDLNYDKQQLQNNYF KQQQSCQNNECKIIKKKDVISYKDYESNVNMLKIILKGYLAKAQLAENLSQCLGKLIQVL DHKVDLFRFLIINYQQSVLQNIQSFNDADKITQQYPLQNQLIQYIDPINYILNDSFKEMM VNVKTVLQKLTLKSQLASNQINEILMEKEKYDVEDVVQLIQTNAIKYNYESIVESYRNHY TKFLEYYQYDLRQFKSEDLLKFLGFSTKFILSESLYSIEKLKTINHQEIQSLSSNSQILE KFIKENCNKNLKL >CAK80552 pep:novel supercontig:GCA_000165425.1:CT868374:71754:72729:-1 gene:GSPATT00015429001 transcript:CAK80552 MDQWNDILEDTFAGSIGGITFVLSAHPFDTIKVRMQMFSENTSILKTAYKIFNQEGPFAF YKGVISPLFFSFPVSVTLFACYEQYMRYFQVDRYSYQLMHWGVGGAYAGFIQSFATSPSE LFKIVFQMQISERTHKSVLRCIFEFVNKEGIASVFKGVYSTIFRDIPQYTTFFIAFESTK QYLQKEKGYLTIFDQFLAGITAGIVCICFSYPQDMVKTKIQYEILESKQNRKYQGMDGGI SKCVKEIYKAGGFKGFWQGFNSCAIYYMVASSAQLVGYEQSRIFWDTYIKH >CAK80553 pep:novel supercontig:GCA_000165425.1:CT868374:72847:76002:-1 gene:GSPATT00015430001 transcript:CAK80553 MGDEEEDPILKAIYDAMDAKDFKKAIELATEEAKKADGDEFLFRKLIGKSLLDDYFIKLE TQKDSQLSNQARDELLKSWTLQQEENEETLLFLADSELVLGMYEESKSHYLQLSTLLQGG SKEQATLSACYAGLAECEMKMNKFEDAIKQYQNVIDRKDKNENQDFGQIYKNRAICFYNL GDYKGAETDIKKALVINPKQARLYALQVSIFESQNKYKEAETFLNSGIHKDCKDDPIILE AKGKIFLHQQKYAQAEEIFKQMKGVEAQLGLAQSCLKQKKYPQAIELYEAILKDHPKNLS ALNNLGICYLENQKLDQAKDMFQKVIEQNHDDMIAMSNLSDIEFKLAQQNKDGKQEAHIQ ETIRLSEIVTKSPDPFERAVAFNRLGACYQMQKKYKEAEDAFIKSIAAEPKFVLAWANKA QVELMLDKPGESLASLKKSEELLKDDFSKKNLSDANINFIQAGLKKIVSLQENFGKAADE IKAKMDAMLKRPNVLAGHKNITKEYEELEKQRIEMLKKQYEKIQTNQGKSEGEDKDYELL QQQIEQLKKKIENQSKEIDEIEKKQKEQAKRTAALEQRVDKMRDTLRASGVYEQGKIKAW MNENRHKEEGKYAKAFYWTLLNYIDSYRAASTGILQTNVEHAEIDSKVSTGVTLAKKALE VGSSLCESLPLVGSIFKVIDGAIDYCYSEYKQKKFEDKVNSINKIIMENHTANTQEDLSQ VITKAAIEIAKKKSTAKVFIQIQFELDNPKPPGTIDKITGFFTDKINRIREYALGKQIEL YDSVGGGEALKDVILCLAHLQKNFADVIKKSETILLDVQIEQIVTEKIQALLKDMKEVPQ KDLKTELEEMEADWEVPHQTAEQIKFQQEEQARKDQLKKEAELKKQQEIEEQQKKLQQQQ AAEQQASQQPAPQQPPPQQPAPQQPPPQQPPTQQPPPQQPPPQQPPTQQPPTQQPPPQQP PQQHQTQQPPQQNNHVHEEEENVQVQKQNRPIEVGNQDKQEKKQPEQSRESSCCIIY >CAK80554 pep:novel supercontig:GCA_000165425.1:CT868374:77698:81440:1 gene:GSPATT00015431001 transcript:CAK80554 MNKEANMFYKFSNDFQELSSDKWNLTFVDFISSTIVAPDHFTILQSQQLLLPGGMSLCSL LQNKLTLDYSIYCKDVMPQKYRENNFSKLKEFTFLIAYQEGLKCNDYVFLNHLLYILCQI EDQIQLISFDLEGNRYQNSYNISQVQQQCKINFKLFQNQYLLVYFCQCLNWELIIFDQQL QFIRRFTDQEKYLEVQFNSNKELQDLFVCQQRLYLIFDREYISLLVLSVMASDFQIESKA YNLKIQRLQVLQLQSCRLENQGINLSDASTIFKDKQLENLKIIQKLGLYITCLVFNDQLI LMLTNEITQTIRFEIKFLSYIPTITQYFWVVDNQNKVNIFEINLFQNYFTYQSKANYVGF YTIKQMKIELIRCFAISQQIDKQDYFKNQIDKLKYQNYLVQIDDNFDGIQIEKQSFFLNR NLHFHFTFPSLFQQKIELKKTNRLCCINRQQLNLVSKFFAFKIKSQNYFMFNKNGHLVIY HCNSQRFMHFNIQIDLNSIRTYYLIQELQFILVQENEGRIITFIYQGKAQNSIQYQMYLF KEQIRTSFTYKNQLIVQDQNSLFYFNTQLNIFQKQSPFNHNYFINSEIFRDAIQIFNFFD IFILQYGSYFIVQVELLVTLKIYLNIVILGGYSRRSQPPQVILIGMDQNKSRIDKYLITN RNYYLLQTYYFEDYFPIQPLNYQINEQNFIIATRQQSNNQFHLMLFIINQINSFECHEII STPYQYFYAISNILYYYDVNQELIEYDIKYLSFDYDVQPLKEMFYNLQFQIDITDVQSPR DGNIYSEKFNLLALNLQEELSLVNQSDATITLNNNQAILYPNQFLQGEFMSFDLSNTSSF TLQSPFKLVQTKTCISLDNNFCVLELLPFIKVLDLNTNTENQYLIPYRVTENIKFHGWQD MVVIVEFSDLKITIQYYKKDTLKVLLINESYQGSNLVQQILIIQTSTFTFFYFLDEEIRL LETTTGIQNLSINSIFYQIDSDKICKILFESDKIHISCFQIKQGVTSSVSNYTIPTQDIY NAISLSDKLIEFSYECKIQVLNLTSIGQNELDLKITVNYRKLFFIYQQILQFYVQITLKD QEYQIKLNNLIKLSKHNANCKSLLLQNNLLYVCSNLQIFLYKLESGFQLLDSYAIQNLER QTISILNNTHIAEFNQINTEVSIYEIDYWRLIKNENINVEEEQTVTFIASNLVTQLEFKV NVVKQSNHENIKINISFVIIVCNVVFIQMFILIKKQKRV >CAK80555 pep:novel supercontig:GCA_000165425.1:CT868374:81856:82582:1 gene:GSPATT00015432001 transcript:CAK80555 MNSQIDPHLVQNTVMQLNKENNELKQQLANLQKELEKQVQLSKEALEWKEKYDRLHKQNH TLDHEKKQLLDEKKKLIEEIKNLENSVQQLQEKTKDLKDYEQIKANSINVQQDNQELTRE LETFKQKALFVIKPKTVIENMKQYYSLTLQWERNIQNLQLTYKLENDGLVLEGYGETGDK KIIYQQIYDLGKKILKQKDTPLISLKQSQMILTFEV >CAK80556 pep:novel supercontig:GCA_000165425.1:CT868374:82618:86048:1 gene:GSPATT00015433001 transcript:CAK80556 MNRVIAFGFTTYESLVQKLSSAENATSLFKVYQENKEQFKHEHVVQSLRVLGRFSRQLNS DNNVAEITSKLNEIVDQLTEYDVVDVLFWLRKFKLNRIQTHITNQTQNKIYQRVQQMSDN QMFSFKSMCNIYYDLSVLNQFNDGLSKTISEQMLTSKHLSPFLLMQLLNTVIVKINHCSL TKYDQTILTNCIKVLDSQLDTLDIEQKAQALKVCAEVQFQNLPPKFQLPIQVKKIKDSLL EKTEQLQEDAVINIIKAYEYLPKQFELDLLKEIKDMIMNTLDQNPSNLSDKFLIQLTERM MKMPHSRVSFENIKKVLIELCNRISNNTIDAPQLNYLMPALIKFKRVEEVIQALQKQEEK SVKTLSYLFIQGINLKDSVNKFMQNRDKKKIPFNQAIYYVVFANRDAKEHFDTLQKVCKE QIENSPLQSLKAINEVELNYQVKFQLQELAYFKLIELVKQQKYEFIKVFKDLVNCCCNNK SRNAFLQLYDQVSAKQNPKLMMQKLVQDPDSFNSESFSTLLQVFQRDPKNLPILKFIDYI TLNQNRLLEIIKSDQVVWAIKILANAYQAQPDVKLFPIVNFAFRFENVGYVSRHVGVTLK KIADQFRRKNLNTPYPDPIFVNLLITHNILSPEDALIQLNYEKVHQYTKVQLCGIALQAE SVPQHIIQLRDKIKEESFKALEQEVKYQTILDLVTLGDFTSDEFTNVRTKIQIILPQLHA KQYFDLIMYAKDYTILKELASSFNEFSQKLGISRVIRAAEKFAKYQVQNQLVYNTLLEAY GYQFFGVFNEQRIQILDIFSQAKIKSPDLFKRTLEKIKQQPQPYKSFIFEIIEFCTDLGL VEQEIVDLINQIADKSQISGSTALKLLQYQVLADQPIQVIEKTSELIKDVKYKDNHKTAM TYELLLRKYPGSKAIKAHGILLNEEKLSKARYQIANPSSKSNFSFEFCTQYLHLLGVELK ENKCINGINVDFYLPQIEASLFIVHHHLLNFDQTTMNGKGILQKKLLETITKQVIVVNFK QLAQLQTHEDRATYLMNLGIPIKVDITKVDYSSIKPYEKTDKDKPTMQQSKNNFRKQFGK QDYQQDHLQVDRD >CAK80557 pep:novel supercontig:GCA_000165425.1:CT868374:86195:86623:-1 gene:GSPATT00015434001 transcript:CAK80557 MKQNDVFTKRETLRPINTLTCFDSAHTNSLYILKMKELTGIYKQESELLQEDLTHMNKLI NSQSEEIQNEIEPQILQLKQLLQKQVVEYNLEMQDEIVQLENIRNDNLDLEKEIYKLQQS LTQLDDHIGQQVLQRKYDIKFS >CAK80558 pep:novel supercontig:GCA_000165425.1:CT868374:86740:88491:-1 gene:GSPATT00015435001 transcript:CAK80558 MNSLQQLYFQAIIKQQELQKIKQEEQVLRQNKEIIKQQQLLTLLQQPDLKTDYNQTWXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXKKKKIEILLLTLWILEMTIKDQIENHLPQFLNVLGNLILHGLDQI KGEGSYIGILKSQILNYPMNITMSNSLFKTLALLLRSSLVEFDKDQTKENKCINMKYFSQ FLLFRDDFSDIFFNTLYQYQISANKQVSQQISFYSLYQLITKNTEDVWSTFIFKFLSQQQ KELSKEQFLVQFNKYIVLMNNFFMKSNLIFDIVENIVKQTAQIHNDAIFQEYLLIMGDFK AVTQDFLKKAIALYFDDTNNFIDLSEFTLRTKKIHNQMNSSILYILPTLFQLESHMIDVT QKNAKQEVAPVKNSSNYYLKMIEKLKNKCVYNGEEICNCKKCQCIRRNRNSANESQRKKR EALEKIGPLQDSFEELKKKVRRVENENENLISKLASTFIEPLTKIISDA >CAK80559 pep:novel supercontig:GCA_000165425.1:CT868374:89456:90559:1 gene:GSPATT00015436001 transcript:CAK80559 MLDDAHKQKLSKSVIGREEGLISKRIRSKEYERKTFNDIYFYDCNLNQQLFNRNQFKCVS MEIVDFCKNLTNLHCSNIQIYSHYIVINKNFLILLMFSLNYEPQIRKPSDATAIFQCYPI DQEFEQCSEDFPPPALLKYYNISEQQLFYNENMQDAIVQEQEFGISINSNQGIEKLQTTN FQQLEQSNTNIQSNNLKEKRNRRTTHYREFFDKDLDEHNLNNSPCKCSKSHCLKLYCACF HRNIECSELCQCHDCHNKSDYSQIRTQALEKVKVKQQRRKHDDDLFDKDTVWGCQCRKSQ CKKNYCECFIRNKKCSSLCKCNNCENKRRVPNIKKIIKCEN >CAK80560 pep:novel supercontig:GCA_000165425.1:CT868374:90620:91350:-1 gene:GSPATT00015437001 transcript:CAK80560 MFILNMFKSLLGSLGLYKKNAKILFLGLDNAGKTTLLRRLKDDRMVQHDPTLHPHAEELV LGNVRFKAFDLGGHKAVRKTWKNYFPTVDGIIYLVDSADSQRLKESRDELEQILNTAELA KVPIVILGNKIDKPGAVPEEELRQALGINVKQQINNKNIKEIDGRPVDVFMCSVANRVGY AEGFRWLSQFLN >CAK80561 pep:novel supercontig:GCA_000165425.1:CT868374:91663:91926:-1 gene:GSPATT00015438001 transcript:CAK80561 MQTYYKLAFQTLKNFYDLKLISEVEKTQMKELILNQSVHSDVEMNLDQISKFILQKIKKL RQSNKKLDKKQDSLISIEEETDEDDFR >CAK80562 pep:novel supercontig:GCA_000165425.1:CT868374:91982:93733:-1 gene:GSPATT00015439001 transcript:CAK80562 MFSQIKYKQLETELTEHEVQRLPSTNNDEAHSNQIPVRPENKYKTLLMLIFYIGSFFKFN DETLIFIGIYLLMLLNNRQNISDLVFAVVALILGFGHLFFGYNLLGMTQIIMSIDILTFP FSQRMIREIKLVWSEIMNLAITMFFILCICAYSTTAYYYDNKKVEIFYGTFSRSVLTFVQ TLSLDDWAQIGRESDDLVGYFILCIYILLMTYFYLNIMMGILIETLQFEKKGNHLDDPFN YEINQQQILSELVKEQNLFDKCIIGNYYQYIVFIISFGGIFISILENFDHGDSTSELVIE LIDDGLYTIHFIILILKKGPIYDLERNNKIMMLLHFIAGPLSLIYSLIFLEIGCLLNLLK IATTPSVKGIFVGTIDMLPFLMPQFTQLFGIIMFIASILTSNYSAYTGLKQQKYFSSFWG SFYTLVQIMTLDDWGNIVEPMYSKHGYILPYIIIPIYIFLSNFIILNTLIALSCEYFVEV KYYSIEKEQNYVRCSQFVGIDELMNYCKQNQMHFNIPTVIVGHHDQLSAKIKPDTIIQIE YGNLQFTAKVILQSEAL >CAK80563 pep:novel supercontig:GCA_000165425.1:CT868374:94196:95799:-1 gene:GSPATT00015440001 transcript:CAK80563 MNKYTLTFRSEKLEQQYQDSRYRYTLPICKSLNLVSFLLCLFRSITFIFQDQMVGFFVFL SLTIVALLAQFFIFNNNRRGVDYYLLIINHFLMLQQQYVEDGFEPQESFVFGQNQLLLNI MIILISDFKFSSIHILGNIIIKIVISKYYQPSLSYQTILYSVVIGLMFLYCIFKINQQYR LSFLFTTQDNQQGILEYDNFLEQLIPLLTDSPFALCTYDKDNVQFQQKFSNLQHHQEFKQ FSNNHDALNFILRNYSIYGQTLETFLLARQKKQDDLIVNKILELKSNNNNHIQKLFIRYS ECFVTELIYILIIDKKRQEIQHLQQQLVNYKQGLNKFQINFRNFLKKQILILDKSLNHDQ NSIYQAITKLMYIFSKFKYTKSSRITNQSFLLMFKKYAKLYQQAYEQKQMRIEFCSEIKE ISTIENSLDEFLINFFTHLFKTKAEIIQIHLCNSFFEQNEFIDIIIKVDVMSELYMLLQK STHFRKILKLISPHDYVIMSDDCLIVRLYKNMNEINQMSSFLIKSD >CAK80564 pep:novel supercontig:GCA_000165425.1:CT868374:96229:96676:-1 gene:GSPATT00015441001 transcript:CAK80564 MICLSERQLQKITIHQHRPFIQLPLISKSNETIKLRNKVSLSQRDKNQTTNQSLEKDAYF LKLPKINQKSPEKPENNTKFMQSQVSTQKQIFYLFLRLQHDQSFDNLKKYNKQIKDIQQR HNRFLNKIEELYMITKQQQ >CAK80565 pep:novel supercontig:GCA_000165425.1:CT868374:96928:98072:-1 gene:GSPATT00015442001 transcript:CAK80565 MYKYYFLTFLLFWLGSTAPPGQTACSAANFPTEALCKAAGYCKWTTQCDPYTVAADCYRI NEIGACRPSGVYSGTCAPIASLNVQYENVCTTPTSNNKVDYNYVRFPISTTGLATHSLTG ITVADLQTKTPKSDYLYQVFTVNLQLATNSQLNSILDLYVAYQPELIKTTTHPYYIEKAL FQTIQNIRDDTTMTPALKGATLTKFWQLADIFLQRVRTFSKHYQTNYYILNFAQTTFSRL YLTVNGQEHTTTLSWLSYTKNGYVQVISYPAVQFGIAAATAFSDVYYVKIIDDAATPAAF TGLSLTVTYIYSGTTFSITTNNKLVKITDKAAGTYVEDATMIAYDSTKSDTGSKKLAYAL SGGSEYIFYIKGI >CAK80566 pep:novel supercontig:GCA_000165425.1:CT868374:98259:99886:-1 gene:GSPATT00015443001 transcript:CAK80566 MHFKVIQQYLIQIFYSRFLFELLYCLTKVGKINLDLLDIAARKNQKNNFTKRNFSRNSKI KNFISCNPYYLFTKQIWDKKQLHLSEIEVEKHNNFIKIVQVSFLQMNCEINQQILQSMEI VSILFEYINSRFQLALSLIQNYIRMLKKLLILIASLNSVFSQTIDCAGMIQTTCTAAGYC FWTGTACRLAECHKVSDIRACRSSLNGFLVLNNKCAEIPSINSNFLNQCMEFDQTTMMYS YVKFPSDITQLSSSQTTGGYLVTTIMTARYTSKYKYEIVTVNILTASTSELMNIMNAYIQ MQYDLADTQIHPMYLEKAIYESMQAIRDDVALTLTGTTNKRQDYYTLLWRMTDAYFLKLR AYQPFYISNKYLINSGFSHFNRFSLTLGSQYQTTQISWTSYNDNGYLELLVIPAEQFGIL SSLSDIFIIRPSNIDGSDATLTYSLQWTWSYTGTQPTSSANLYTFDKIEMNGFTSQGSAG CNTSTKTCTINISSQSTTKSYLIAETAITSQVATGRYYTRCRLGRFTWSGTSCA >CAK80567 pep:novel supercontig:GCA_000165425.1:CT868374:100194:100492:-1 gene:GSPATT00015444001 transcript:CAK80567 MIIPIRCFTCGKVVGHLWSDYVQLLQDGVTTGESLDRLGLERYCCRRMILTHVDLIDKLL NYNIYKTL >CAK80568 pep:novel supercontig:GCA_000165425.1:CT868374:100551:101633:-1 gene:GSPATT00015445001 transcript:CAK80568 MLFIILFVNQVLNLQIGKVTFDVLFPHDKRLAFVQFDQKFESIPQVGISLIALHVNNEVP RTIGIEPKLLQITQQGFQFQITNHKSKIDHLEYQWFAVIDDRFKVNCETIQKSTTINFGR EFWVTPTVQIFLAGFQKESQKGDLKFQLSITRQQASSFDVTLNNQSEHFLKDLLICYIAG PQNVVKSKSLININQKTDEFVDLEGTPENLIYGVSKISNFYGALMIQLGKFEYEQEKPGV GISQIDMTLGLIQNDGMLCPLGYYECNFNGVSIDLCESTSGSQSWKRIKSIKSRKGWNGK FKIDGKTLKTQDANCIQDIQLYLEE >CAK80569 pep:novel supercontig:GCA_000165425.1:CT868374:101889:103454:-1 gene:GSPATT00015446001 transcript:CAK80569 MKDQFIEKNQAGTNQKVFDISTEYQELFQNFKLKILDLFKIMENCLSKLIELEESIINQN RSIEINSLSKIFKDCQPYIEMKLLDCVNRLNMYINVIEFWTSEQQQVENEKNQAKTTYQQ NSNQIKRQDLINMNENINAENKKQNENKTIVPENQKTIQIEKYNENEYGTEKQNINENEI SLSYNQNGSQFICDLSTSTSTNDQGKLEIKQSIEQNETCLAIAFNKNNTLMISGSGEIIK LWKLIDGTIQDNSLLLYGHTKLVTSLVFTNEDRYFISGGEDGEIRFWKKIQPNVWQSTKL AVFSRGVTGLLFNHSNQQIISIGKDPLIKIIELNQQKMMKISQTLDQHKSSLFCICMDES ESIMASSDADKFLLIWIKDKNGGWQYKQTVNQFMNDYFGRMAFINKQTIICQQWSKPISQ VFYEENEQFIQQPKLQIKLSENSSEDEDLFPTVYNQKQGILIQKHGQYVYILKKQMDTQF VQICQPVDCQDQYNYGSLTNDGNCLVIWSFQTKKFQRYNLI >CAK80570 pep:novel supercontig:GCA_000165425.1:CT868374:103819:104333:1 gene:GSPATT00015447001 transcript:CAK80570 MSINVILECPSKSKRYNIEITNDVTPFQLEQEFKEQFFNKEENLRFYFQNQLLIHNKPLV EQKVVKGSLIIIGVSYKISLNVPILNKLYQVDIDEFWTTADLTKKLLELLQFRSEEVSYQ LGLQVLKPQIWLSKQDVISQCTVTVNIQQRGGRQA >CAK80571 pep:novel supercontig:GCA_000165425.1:CT868374:104616:105516:1 gene:GSPATT00015448001 transcript:CAK80571 MLKCLTRKSDPVCKSQSYKLKNRKYREEQIIAEGGYAYIWKCENFAIKRIFIQSQEVYKM AMQEISIMTRLPEHPNIVKLVDYGEVKIQNKMFVCLVMEFCQSNLFSVLQQEVLSERRII EIFKQILDGLEVLHAEQISHRDLKLENILLQGESFKLCDFGSASNEIIDLSQLNKAQLLQ QEEVFSQTTTITYRPPEMIDVLTRQVIDTKVDIWQLGCILYSMCFRKSAFSEQNKIGIAQ ALFEIPQSQISISTVTLIQKMLQQDPKKRPTIKEIKISLYGGTEEDEWGEFQ >CAK80572 pep:novel supercontig:GCA_000165425.1:CT868374:105589:107480:-1 gene:GSPATT00015449001 transcript:CAK80572 MQKLFGSTYDYLTISPNQARAVTHPANYVKEGTGKQAIRKIYGNGGGGVPFDQYEQQMLG QLKTKLNGMASHYPDILLYRFLYSFRFGLDETYNGIKNHEEWLNNPSTFQLNSGSQAILD KGIIYMGGRDKKFRPSVIFQVGLIDQKNIKGEEFLAALNTVFMITQQYCFYEGFVENWII LIDTAELGLLSLPIEILKKIISTAASHYVGNLEKLYLLNPSMGLNMSWSLVSKFIDERSN KKIQFLQKKDFSKLQEYFDPSQLEVQFGGTMPKIKQYWPPQPTIQIEKPQEQQQQNYPTQ NYEPSVKSQRSKRSEPYNQQKYQAYQAPFKKPQQESQTLNLQESLKQEYFDSKMNLPAVQ PTQQASNKLIQSRQTDERPSQLTQNFGYESSIQKPQRLEFQNVNSESSREIQHISFQDFH FASQPQSNQQSNQGKQSYRQNQNVEERQLSKFPVIEEEPYERKSQFLQQSNGYPSESKNF VEEPLDSTRFRQSQSLRKSYKQYHFSKSEFHPPYPNALPAGPVVLGATENQEEEFQKKEN KQIPPPTYQQPKPQEIKPYQTAAQQQELIPPPQPGILKRTACFQARDGKYTACDIF >CAK80573 pep:novel supercontig:GCA_000165425.1:CT868374:107662:108914:1 gene:GSPATT00015450001 transcript:CAK80573 MKTESDNEIETLQTMWKNIKQRMESKHDDSDILQQPPQQITINSPYAAKNYQVQIQALQD ELFQKNTKLITAQQQLNQISDENSQLQDELEQVKVENSLMKQKLELIESQIDSIQADKDS LKLIRLENEQLRMDVKKLLELLRNTKEYNNIGFDESQSYVKGSVKFDTRKSKLNETNWVP TKCIELMQDYDNKEEVLLQLSSQFQNCYQDKINKLKQSSQQEIEQLKRQLDSRTTVDGVL QQKQIERLKKQKNASGKDKVDISQLQDCFNLIKQKEQQILELQQKIQEMSQQMNADENYS SMKKQVFIEGAQWILAKILDEVVAYEISLNELNYDVAIKIESLMSSEDIVQQQIINKVYY WITDTSRELIDTFKDKLQSIMNTTNSRIQQL >CAK80574 pep:novel supercontig:GCA_000165425.1:CT868374:108942:109963:-1 gene:GSPATT00015451001 transcript:CAK80574 MQVQNTCQICYEHAEIALLECYCKVCLKCIKHYTKIKIEEFWTSDGTHLNIDCPGGCQKT LYFDSIQQLAIQNSFIQDVHDQLFKSYCRKSIDIQQCPNNNCEFYYLKPCKAKCVDICEI CQTRLENYEQISYKTLLNEITEFITTYQCPRCEVKITKNGGCSHMTCQVCKFEFCWDCKQ NCKSHDWSICIYNNIFTLIIKYQLLYTLLISIGLDIYLLLIFGYVFSITYLFILNNSILL LFALMLVQLKKLNEKYEQITYHRVIVGSLTLAILIFVSYFILEQSLIDYITYIFKETLYV ILIIIVTTILNQFYKQKFKFN >CAK80575 pep:novel supercontig:GCA_000165425.1:CT868374:110168:110683:1 gene:GSPATT00015452001 transcript:CAK80575 MDQEKTKKTQKDYDIEAAEELKQLKLPGDLVGNVAATLLKSVQKVQNEDIKLLALSKFHQ TLCKFTVQNVSGELLQKLPNDKLLVFFDYVNYSFECLSKGLKIPETSNSYNATFLLNVYN KLVELRGLPIIIESISQKKTLPTQIITIK >CAK80576 pep:novel supercontig:GCA_000165425.1:CT868374:110688:114265:1 gene:GSPATT00015453001 transcript:CAK80576 MWIKEIIIEGFKSYAQRTVITSLDPEFNAITGLNGSGKSNILDAILFCLGLSKEYDTLRI KKLQELIYKNGAAGITKAEVTIVFDNRNKEQSPLGYQDCDKITVTRQITADKSKYFINGK SETQKNFKNMFRSVQLNIDNPHFLVAQGRITKIINLKPQELISMLEETAGTSLYNEKKRE AQKLIQKKEEKLKQVNEIIETEIQPQMQKLTDEKNIFQLWRAQEAQILVLKKDLFSYDYY QKAKTLRMKKNDLQIVNEQISNQEEKMRYENAEISTIQEKIQSLQEQNRNNKYDQITEKY KEKQKLRNQVQNTRRQKETIESEKIKLEHALRTYQTDKQRTDQKVEIADRQLKQVSDELK EKKELLDEQMGQQNSSEDGNIAQNGKQMIQRQINDTISHIDSNRKDLEQVTERLQKPIYE IDVERKPLDLRNQKLSYQKKKNRVKRIGDPKLLDLKSNRGDLDQQLLEIKKQISQSQPFI FQLNLSRMKDWDQNRVYGKLFSLFEHWNFGAGAKLQNIVVDDSTTSTYLLKNNVLQTHSY IIPNKEIQSSEAKREFVQAAAQIAKENDGFAKPAIDLISFSDKVINSMKFVFGNFIIASS MDIARKIAYHPSNVQKCKVVTRDGDIVDPSGTLTGGYTNEKAQLLPKFKQFNKWNLEYKE IQGQIDKIESQIEKIKQDIEFKEQLNREITQDKYQLEQLMIKQRKSNQFNFQTEQNKYLN EIQDLQVEQDRLKKQIKEGEDKLVELKKELQLIQQGKNTKELIQAQIDRTKKEINKLKQQ IDQQKKELIENQVESQNYEQEITKCIKKIKEETNNLDKTSVALEQLTHELNGNKDQYLKI TEEKNLYESKNAIHNNQMTRLLEQLQERQKYLNSTVEQLNGHQNELKKLEREQHDLKQQL KSLEDQYDFIRQDKNELSQDRLSEKFRVLETMEYEKTKQQFQRLEHDQGKLGKQVNFKVE AMTEQVEKEFQSLKDKKLILENDKCMLIQNMGELDDKKIKTIEKCFLEVNKDFSSIFSSL LHNAQAKLGRLDGLSIEDGIEMNVSFSHQQKNLSELSGGQRSLLALSFILALLKYKPAPF YILDEVDSALDLSHTENIGQMLSQNFKQSQFLLISLKEGMYQNANVLYKVQFVDGVSKID RHELKKKKQQQLK >CAK80577 pep:novel supercontig:GCA_000165425.1:CT868374:114429:114860:1 gene:GSPATT00015454001 transcript:CAK80577 MKNKGSFIINKKSSIKSSKLFLFENLLFEDVPLAAKQAYLECLEKQINDLDVMRKQLNEN IQKQEMIKKQQLQFRKEIENIQNDQKLDHNIINQSMLLSQFISEQQKLDTSVIEQSYLKD LEYLQNQQLQTSNQFVPFENEFL >CAK80578 pep:novel supercontig:GCA_000165425.1:CT868374:114882:118565:1 gene:GSPATT00015455001 transcript:CAK80578 MFWQSRPVTYNNQRTFESNFYLVQQDVPQFPSNFIKTSRYNLVTFLPFSLLLQFTRYANI YFLCIAIIQCIPILSTLNPFSAIAPLVFVLGLSMCREGWEDYGRHVSDNEVNSTDCFVIK DRRVTKTTWADIQVGDYVYVRQDESFPADLIVLGSEKESGACYIETSSLDGEKNLKPKSA ILDSQQMYQSLDTFNDQIVKVVAETPSQSLYEFDAQLHLPINSEFKKFQLTAKQLLLRGA QLRNTSWIIGIVVYTGQDSKIMRNADASRVKSSEIERTMNILILGILCVQITLSIISCSF SSVWLTKFGVDSFYLDYTNDSLNPSLFSFYVFFSYILLYNTMIPISLIVSLEFVKVFQSY FMEMDVEMYVQQRNKFCKVQTTTINEELGQVEYIFSDKTGTLTCNQMEFKYSVIGNSLYG KEPPNQSAKTEIMLQNILPLQNKLQIHPMEGIQGHSMTNFNFYDEELINIIDGGNSKTTA SVNLTIKSKDNKSQITINNQKELVDYYFFLLSSAHECIIQYDQDKNTSYQGPSPDEITLV DAAARMGYKFTGASASEQNFMILNQPRKVKLLKSFEFDSIRKRMSVIIKDEKGIIKLLIK GADSIIKSRLSNEQKFLDKTIEWLEEFSRIGLRCLLMATRVLSEDEYKKFDNEYNNLPEG EQRQIELNRITDELERDLTLIGATAVEDKLQSQVPETIADLLKANIKVWMLTGDKLETAE NIAKSCKLIQGDFTVMRLSEFTEPDCLDKLKDIQDTYDLCIKENRKKSIVIEGQSLDFIL NSNDMASSFVQMAKDCESIVCCRVTPKQKADVVRLIKDRLNKITLAIGDGANDVNMIQAA HIGVGLYGNEGMRAVQSADFALGEFQCLWRLLLVHGHWNYIRISEMILYFFYKNMLFTIP QFFFSFFCAFSAQSFYDDWYITLYNLIFTALPLIIRATFDQDINYKQYLNYDANQRIRNF PYLYYVGQKKTIFTIPSYMMWAFTGVIHGMIIFFFLYWIMDYEALNINGITGGLAPYSLT VYTAIILVADFQIIIQTKYWTLFNVVAVSFLSLFLYGGYVIISHYWPGELMMYTPLTILK MPSFWLCQFLILVIVGAMEAFRSEFNRQYFLETADEILVKTKQFTFTLNEWLSKQKKVAR QDSFWAEIYKEDEQEQVIQFEETIKDANQIPNQ >CAK80579 pep:novel supercontig:GCA_000165425.1:CT868374:118599:119559:1 gene:GSPATT00015456001 transcript:CAK80579 MESKSTGTKRLISVGSLSNYERAEFISSKTDTKQRLGELFIYEPTKLEMFGLRQQIVSTR IPRFEHPKPMFDLDSQPDKTLTHVASQTECQKCNHSMGKIVQPKLNMESLHLSAPSLQPY EKQEPKVIVPKQLSSHVVRQKKKDLPPILQYSFIQPPPVFTQSAKAVREQKSFGKLSQGR ISKKYDNEMVKVIQQELSQQLHKIEDEISEKGYSVPIKYSNLKRTKQMTLSKKIKQEKQS QILNEKKTESQFFMQKEKEKEIRTIVFLKQPEKSQSQIRLQKEENSNIIFGYSNRKEEQT >CAK80580 pep:novel supercontig:GCA_000165425.1:CT868374:119624:119992:1 gene:GSPATT00015457001 transcript:CAK80580 MSAQFYNQNKNSYPELQDFDHAMRHLYYTQNPITDQLINKFHSKYAVREYMIRKHNTITN NRPQMNRLAESNLFLEYELKKIKPPSENLYAESNKRQQVYPMTEAVQKILNDEYIYYNNK YI >CAK80581 pep:novel supercontig:GCA_000165425.1:CT868374:120753:121698:-1 gene:GSPATT00015458001 transcript:CAK80581 MGDYVKALIETQVIGAFRVALTMPLEHVLDRIKTYKQSKQGITYVQSYMDIKGARGMIGL YDGFSPSFLRNMVKQYYRWPMMIFIPQILNEHIHNQSINKIITGASIGLFESCIITPFER LKTLKMTSMSTGFGYFKYITFESIYVGFRIQTTRQVVSWTNYLYWDHKVRYALKADPGQP LSLVNSLIASSLSSILNILAVHPFDTIKTLVQMEGNQNFKNLSLFEGFKIVYKNYGISGL YAGWQARIIAYFCQALLTTPTIDYLERNYGISKSKKQQ >CAK80582 pep:novel supercontig:GCA_000165425.1:CT868374:121946:124110:-1 gene:GSPATT00015459001 transcript:CAK80582 MDSINERCTNSIKSNEEIEKLCTPEYNNFIDKAIYLLNNGQAFQKLFVCQNINKATEFDF YVPELVAFIMVILCSHHSQNKVKSEESQVVKELIKALSNLIISLNENVLNKIKEQQLKQL QEFCSIYFNSPFCDQICELMATLSKFMEFDFEPYLLILNEDSVRYEGLKMIEMRYSLMNN NQQALSLKHVKQCIGRKNERMCRIIDQVILKHDKLLMILIRDQDWLKSTISLITDFDKQV RLNGCKLFLNSWLKMEEQGYETHLKFQDTLQDIIQFIEDDPKIIVLIAQCLATIKTEFVQ QTFMDYIRPQPIQIELVKEYYQYVFLFDSKIRQQYDDFLVNQVSNLIDTSKEFSFLKHLS ELYTQLNEQTHQQLLGLIFGNLELNQIFFIPYLLDIINKGIRIKNRQFTVQLQKTFDFLK KSILHYEQKGLWFEVEETLNILECLASHLNIKTEFNSLCFSYLSMGSQHIRKKVSVYLID SIAENYEKVIPHYLPYLTHTNYAYRILLIDFCIQICRKRSRNFFKQCNLIQVLQLQNDPV YIVRMKFVQLIYEMRLLFWNEEKELVIKLQQIFQPFLSDKKAIVQQTAKEINQKLLQIHF HHPETIIKQEHANNLKESMETFHPKHQIRLKTPTKNNTTARLQTPTSKVSSQTPTLKKQQ ILFPKPQTKSTCVQLPKVPPKSSISKNQQFQKHSKDY >CAK80583 pep:novel supercontig:GCA_000165425.1:CT868374:124146:126182:1 gene:GSPATT00015460001 transcript:CAK80583 MTLFSEFLIIGYQPEEYKETEIIEYFHINGSSLPDYLRNVGIMCFTSGFDVKQEQEQQKK SDDINSKNYLHQFVITDQSGQLRYCTSLVVFESFKNDFYTPFAYVIVSEQSNLVRQKCYM RALYNGIFDRVTRYRDRGWQMGSQKLSNQQLFEFYLSVGITNLDGLYFVPKQIMKTRQEV ITDLIINNPNHKNNLYNKDISFNVLFSKLSIKSIIKVVQSIILEKQIILFTTQVWDLATI TEAFLQFIYPLQWRCIYIPYLPAEILDTLHVSVPYIIGVHCNLKERVLTQYDCLDKILVD LDQDRVIGCNLILPFPEQLVETLVNQSSKFSYQDDSELLQVQCCFLKFQLQLINNIVPYF IYSDILQIKPKIEDIFDKDLYIEQFTPLDQEFYREFVNKTMMFQRFIEESYQYLHQKQFL KQNIKANIFIDLLLLINTSGYFNIYKLQNKDFEAKLFSLMNESKSLIPESEKSIHSLFTQ YQKQISDYLIPQKKFPVLQIDKSKIIQIDAIQNSIHQSSLMQRSTQSQKTDISNENKQVI NILTIKVHKINPITKSLIQNDVTSQIILKYPKKSLSKLKKTLADQLLKLAPEVNVEYKPI QTSQMLSVRQSKRIFNTEEMGYVSMYRTKTYNMY >CAK80584 pep:novel supercontig:GCA_000165425.1:CT868374:126981:128656:-1 gene:GSPATT00015461001 transcript:CAK80584 MLNKIKIDSESRLSEEQKIKDRRRNVIILIQRYLVNAGYIDSATKLGTESNLSLNQYDAA DNIDLYMILCEFEQFYEMKFMKPPKLVKKVDGQNTPGLPRIPSSGKSSSTNTSNSNNQNS TGSNKKSTQQSKEKDNNNKDAKNEPDSLEIQGTGVQQKQSNNEESNQKDWFDPRVLKGLP DYSDVPEFQQLAAYLQRDICSENPNVKFSDIAGLDQAKKLLKEAVLVPLKYPHFFQGILE PWKGVLLFGPPGTGKTMLAKAVATECRTTFFNVQASSVVSKWRGESEKLIRVLFDLARHY EPSTIFIDEMDSIMGQRGSAGNEHEGGRRMKTELLIQLDGLLKSKKRVFLLAASNLPWDL DIAMLRRLEKRIYIPLPDQESRESMIRRYIPQEMSENLNYPQFAEALKNYSGSDIKLVCK EAAMKPLRRLLSQIEDIQVDVRTAKQKKQQNLTSYDDVRPGPVTETDFAEAMNQVKPSPS VFENQYLKWEKESGSHDFRLYTMAQNSVGRQYFLQENCPKNGFQKVISNSDGNIGGDLEC I >CAK80585 pep:novel supercontig:GCA_000165425.1:CT868374:128665:130644:1 gene:GSPATT00015462001 transcript:CAK80585 MRQVQGLNRVPSVENYDLFNKIYQKSKLARTQQSSQDLLCEGDQYRIQQSQQMLVEYLKD LNEMIEDVVRLVDQLHSLNELEVNNLFQHLKKQLRNLKQVIQAIMDLMLGKGKSPFQAQK PSHVNIVMVNTIRTYLVDVYIPNLLETAKYALDNFEGYKETFLKDIKIASTQLIMINLIF GASVDIDKNSLFSLDKNHKDWKELYLICERKSLGDIERINQSFDNFANTILIGHAIMGKS SKFKNEILKKLQLAINSVYYQIFDAKKEAFTNMSQMKAQNAIEVMNMIETPAIKKIMAMA YPKIKVNCKIYIDPVVTPISIQWVNQQLKIGQLNRITTQQMKLINEELHLDPNAKSILHK FDPQKLRIRILCNSSIDDTSSSWFSNSQQHVLHDTILIHIHGGGFIAHSSSSHQSYTREW AIRLGIPIFSIDYSLAPKYPYPQGLDDVWQAYNWILIYCYKHFDIQPKRIILAGDSAGGN LACALTGLAIKMGQKVPDGLFMAYPALDLRFNFTPSYLHALTDKIISHTILGICVESYAS NPLTQLSSDPFINPMMLSDEILAKFPPTRLMCGTKDPLHDNTWAFASRLLKVKKNVQFTI YEQICHGFLCYKTIKGMQEIQMCIDDAVSYIQELINLSKS >CAK80586 pep:novel supercontig:GCA_000165425.1:CT868374:130680:133193:-1 gene:GSPATT00015463001 transcript:CAK80586 MVKKNENLQQDDHHERFQQIKPEFNVFNRKKQRKEKKMIKKQKHMQINQQRNWKNQGVQI TSQNQPKEIEQKQTQEIKNQQAPNQQKQQQQQKLVKQKPNSNNKELDEKRKQQLQREIEQ EEKEIERLTKKLKLKQNRLPKAQIMEDGLEEDLFDFLDHIDQSIKQKDLPKTYSAFQKNN ESEENEENEDQSAEQNSEEQSDDQDQDESQEDEMEEEIEEEMDEEVDQEDEQNREDDENE EQNEDDDEDDMIEDQENLDDDDDDDDIDDDEIQPDEEEIENEEDQQSDESEPEQQEKNQT LQKKVQQQKEQPVHEQKTNKVQKEQLEKEIQQRQEVQYDETQLMEVRKLLNRNLNKISEA NINNIVDEIDKIFNQHPKQVVGMVFGQQIVQSTIKPIEILPYLLSCIIASSSYFHQIYDN LFFGELIKQLILKLRSNPASHEVKNIALILCHWYLFESISLQFIKEFIKYLLKSQTADNV ENLLIIIQYCGKKIRQDNPAILKLIIEDIKQAFSTASESRTKFLLMTLDDLRLNKKIASQ SERLEFILNWHKQRTQKLIHRIFCQGFDFSYESQFLSNNWWKPIVTNEEQSQLFEEFKQQ KRNVKLEKLEQLAIDQKMVTETRKTAFVVIMGADDLFEAAQNLDKLGYLKKKKQDVCLVI VEMCGNEKTYNPYYQELAHYLINLERGMKQAFQYMLWDKFKLLESYSIRKLINIAKFCSN LLKKESLNLLLLKWFNYDELLEIQAQFLCIVIQNFLQNISIDIMAKQSKNLFENADYLPF RNGLQMFLKNRFKSYIDSQDDQLKFSQLKKDLRIMIKLLDEDVINNDA >CAK80587 pep:novel supercontig:GCA_000165425.1:CT868374:133215:133924:1 gene:GSPATT00015464001 transcript:CAK80587 MPITKRKRTQVLTKTKKKTPEKKELLVKKLKQSLKKYQRAIIFQYKNLSTNPLKEIQQAW KSDSKLFIGKNKVMQVGLGKGEEQSATKNSYLLSPFLKGETGLLLTNKTLQEIQEYCDTY KIPEFARAGHISDQTIVLKEGIDTLKGFAHSIEPYLRKLGLNTQLINQQIVLNEKFILAQ EGKPLTVEQTKILRLMNQKLAYLEIAPLCVLEKNGTFKKL >CAK80588 pep:novel supercontig:GCA_000165425.1:CT868374:133932:134574:-1 gene:GSPATT00015465001 transcript:CAK80588 MNISDIHALAQLIQPEEEEGSFSNINNNPNKGSIFAPSDIGSNGQKKEVAKPYAQIQVKL GQKDQDQQVVQQQEKQKNKVADKNDIWDIDEVSVQNRPRLDNRQRPDVEVLYKQIVGTED VFGGWSGIDPSSTKCQGLLVKIKLLDTKLSEINLEVMKQQIIMSSTQYYLDFILPYPVNE KMGKAKFISDKSILEIELPIIREELY >CAK80589 pep:novel supercontig:GCA_000165425.1:CT868374:135686:136817:-1 gene:GSPATT00015466001 transcript:CAK80589 MAQVRQLHRRVAIFEAEGGSDKTWNGHRKDTIPIVEAFKELGWTAEVIFFRDEWKEAITK YVIENCDAYIPRINTGNLPNGEAVFNQALREMCAAGVVGTPHPDTLMKYDSKLSLVDLNK TPLSPADTVAYFKWDDLVKNFPTSLTNGERVLKQNRGSTGEGIWRVQVGEGVQVVKGQAL PLDTKIKCTEAVDNHVEHHTLESFFKLCERYYKVEENFIIDMRFLPRIKEGEVRIFLMGT KPLFVIHKKPADKQDAFSATLFSGATYKYESPEAWPELIKFFTSCLQHLTDNLGDVETIL DWTCDFILDTDENGKDKYWISEVNVSCIGFTNQLDIGIQQEMARELVRKVLKKRFGINAI RD >CAK80590 pep:novel supercontig:GCA_000165425.1:CT868374:137054:138169:-1 gene:GSPATT00015467001 transcript:CAK80590 MAQNKIHKRVAIFEAEGGSDKTWNGHRKDTIPIVEAFKEVGWTAEVIFFRDEWKEAITKY VIENCDAYIPRINTGNLPNGEAVFNQALREMCAAGVVGTPHPDTLMKYDSKLSLVDLNKT PLSPADTVAYFKWDDLVKNFPTSLTNGERVLKQNRGSTGEGIWRVQVGEGVQVVKGQALP LDTKIKCTEAVDNHVEHHTLESFFKLCERYYKVEENFIIDMRFLPRIKEGEVRIFLMGTK PLFVIHKKPADKQDAFSATLFSGATYKYESPEAWPELIKFFTSCLQHLTDNLGDVETILD WTCDFILDTDENGKDKYWISEVNVSCIGFTNQLDIGIQQEMAQELIRKVYKKKGTQ >CAK80591 pep:novel supercontig:GCA_000165425.1:CT868374:138678:138950:-1 gene:GSPATT00015468001 transcript:CAK80591 MQSTPLKKKKSIIQQIKTFFNDIPDQQIAVINEASYKRPPQIKKSQSMVEEGSKSKKQDP KHKYSEELFEEIHEVNHVELGE >CAK80592 pep:novel supercontig:GCA_000165425.1:CT868374:140515:141789:-1 gene:GSPATT00015469001 transcript:CAK80592 MKANKKKQVQFVQGTFKAIDNTSSIKSSYIEQNLINEKEFERNSNFSDSEFISTCRQLLV CQNCANDLISQYKELYQNFLSRNEKFLFLQSDLKQYRIQCEIHTSNQILQKGNDLFSNTK QKQSHNLLEQNRLSIMDFPKFLYKGEFEIIIRADVFKAQVNYFLKQMQVKEKIDNEKDII MHINDIVDPEDNIKFNEFIRQRDNQIIINYDYEQYILFDKILKFGTTVLDVQIQDNFNLK ILRAISSLILEQILNGRDQFIQKYHLKINFIRILKYLIIQKIESRIQQIEFEKQQKMLIA YIEQEEKQIEAKKEKQRKKRQQRKLKKKVNQQLEQLEEDEEDDEETKNFCCEVNVKTQNG ESQHTDKEILTELLILKRNINEINQKRKQLRETIRKEWDNYQKQFKALKQ >CAK80593 pep:novel supercontig:GCA_000165425.1:CT868374:141917:143196:-1 gene:GSPATT00015470001 transcript:CAK80593 MGAANCQSCCAKQDNETTEIKVGRDKVKPKHDNSENDITQQEQKVQAKDHERFVVDQEQQ KQKKETKKEPKKEAVKETPAKNVDENSKKSQEQDKQLNISANHSVSMNVANNQEGNDLIK STMNCNERKKLPPIQLESGAVYEGEWKNGMRDGFGKQKWPDGSIYEGEWVEDKSSGRGKL THADGDVYDGEWKNDKANGKGTYIHVNGAKYEGEWENDKQHGRGVENWPDGAKYEGQYFE GKKHGKGILNFADGSRYDGEFLQNDIHGEGTYIWPDKRVYKGSWKKNKMHGKGQIIWQDG RKYTGEYEEDKKHGKGVFEWADGRKYIGTWIQGRQHGIGIYYLQNKEVKVGEWNEGKRIK WFEKTEIDQLIEEQKIKREDLQQSD >CAK80594 pep:novel supercontig:GCA_000165425.1:CT868374:143326:143769:1 gene:GSPATT00015471001 transcript:CAK80594 MFKFQQLLITKFCSYRSVIIPKEKLECRFSKSSGPGGQHVNKTNSKAEIRFNLKTADWLN DDQKKKFIRLYPNYVNKEGEIILTSQFTREQSKNLEDAIDKLKEMIFECSKPDKTQFTIP PPSYYKIQQRVQCKRQRSDVKKTRNIK >CAK80595 pep:novel supercontig:GCA_000165425.1:CT868374:143831:145514:-1 gene:GSPATT00015472001 transcript:CAK80595 MNIIKCYRKSLYRPAFNFFWKKEQKQQQTGKNDEKNQNQTGDWKGKEEYLADLRKKREEY EKMKIKNEKEVHTQTIRQAREHEVNYGRVDFAKVFIDNINIQYSSEFKHPEEMFNFLGTL KVQLTEKNLMSCVNAFIQFADRITEDDLIRYEFQEFQAILTKQIRIISDVENLIKITKLL DILCLPSEHEIWGQLEISILNRMSKLKLEQLLEILSHLSNQKEGSDQFWDQCENQIQDSI NKLQDINQQYQSVITTLMCYWRVQRGTKQFVRGLVGIMLENSQDQQIYQQLPINTIIQGV LVMGQLCEKQDTADIVKSQHFKDYFNTVEKHLLQQFDTLNFEQICLISQGFGFEQGSEAL MQKLESKILQDFDKYELSELKLIIKSFLLSYRGSKKLFKIMINKISSLKHEFTSIELAEI VKSYYITENDNQEFYADIERKILQRLKDVREITPQEIYEIAYSYLITRIGSREFYKLLEI VITFRFDDLRQNAILMGKLHDLYLKSALCDTKLIERMASVL >CAK80596 pep:novel supercontig:GCA_000165425.1:CT868374:146612:146959:-1 gene:GSPATT00015473001 transcript:CAK80596 MLQFNQNQLIGPKPIFMQPLESYRNLDVDDTQCTDDFSNKILSQTNNSLIETAQIKSEGI RKKKNSNKNVKFNLNIVRCQFNQKEPAMAIGKLVQKLINQKPHLYWVNPQILKNQ >CAK80597 pep:novel supercontig:GCA_000165425.1:CT868374:147276:149117:-1 gene:GSPATT00015474001 transcript:CAK80597 MQESTLGNYAIGNTLGEGTFGKVKMGTHLQTGEKVAIKILEKAKFEDESDVYRIAKEIEI LKKLRHPHIIQIYEIIDTDKEIYLIMEYASGGELFEYIVKNHKVSEKVACRFLLQILSGV EYMHKIGIVHRDLKPENLLFDHNQNIKIVDFGLSNTYKPNELLKTACGSPCYAAPEMIQG LKYSGYLIDIWSCGIVLYAMLCGYLPFEDQNTNQLYKKIIAGELVFPKWLSAEAKDLLKN ILNTDPKKRFTIPQIKGHKWAKIIKLEENFGNIGSDNIQVDEIIVEQLKNLYSIDPQLCR KLVKKNRHNNITTLYYLQIQKNKKNRTFNYFKKDFDDSITQSYILNSSNLDSLNTSNNNT SRQKQNTSNGHSPQHRIMTQTNNRQQSPSPQTFKQITKPDSPKTFTIQLKREIVKRAQIR PIIPPMLKPIYQVVNNSISSVGTNGNTPLQTNRKTEDSFSLDKAKPKFINRERAISAISE YTQPNPVKTPQPKIHKGAFNLQCTTNRDPAIFAAELLKVIMQMQIKILQQNDQYDYVCSA NLSLKFEISIRQIHNCDGLYLLKSHYISGDWEEYQKTLNRLIQLLNF >CAK80598 pep:novel supercontig:GCA_000165425.1:CT868374:149144:151373:1 gene:GSPATT00015475001 transcript:CAK80598 MGVQQSDNNEAPPFLSHMKMIYDKGNYKVYENDKNQKFDYQEFKSSQNSFKNELEVAQEI QNQNFQGIAKIEQISLQQSEKWLIKYTTLCILTEHPIYSLREYLQKKDKSLSNQQITELL VSITGAQNQLGMKKQYLGFDNIYTSDGNVWKLKPFFESESPYQRLMKYKAEKTPNFELDS FPAPEEFEGKVCDTDRVQIFGLGMIMLELITKQKSKDIYLEYKLNDSLLLQRVQQVQTLK QQFSGNLIDIIIEMLDTDLVRRPNFQQLIKTLKSPSSKIVFIQTKLDALREIPKLNILDS VRYFGQNDFNENEEQQISQAIEETQTQISNSIQKMKQELQNIQNPQTIDEQNGYKYRGQI VNNLYEGKGRLYSKSGVLIYEGEFVQGYFHNLGIQYYQNAVSLKDSYDIQNCKNIMKYAK QYEGSFRMGYKHGKGKLILTNGEYFCGEFKNDEIDGGGQFVKKLKEKIIGVWNHGILKST PGFQKELISFRSPEFVNQSQISEDNSKQQLGLDNPDLMTNHQENLKVKISSIDSVMSKLQ TCKNQLEGQIKAYSQYFSHQQQQNRKDHKIYYDEAKTKLKYEGQLFTGQMHGRGTLYFED EQIKYQGDFVNGKFEGFGFLMNENQDKQMHINYQDLRDFDQKQYWIKYQGTFLKGELQGQ GCLYFIDKSELVGFFEKNQVHGEGNLKRPSQEDVYAVWQNGILQKELKGKL >CAK80599 pep:novel supercontig:GCA_000165425.1:CT868374:151379:151795:-1 gene:GSPATT00015476001 transcript:CAK80599 MGNATTKLNRKFDGWLKKAYYYIFKEEELSEISDEELSQDEENGILEELQQVQEPLNKEK EELNHEPEEGLNTPSQELESIICTSITKEELLQDKRKASTLLLTEFEPKRICLNKEA >CAK80600 pep:novel supercontig:GCA_000165425.1:CT868374:151894:152588:-1 gene:GSPATT00015477001 transcript:CAK80600 MKRKPTLNAEYQDLVQQAKSMFNLSQEEMNEIIIEVKKFDPKGTGYVGKHEVDDVLRGNR LNFYQDLKLLNNDKLINKFNEELKIMNAKFLDLKQICDLYCKLKQYQQQLNEEETITQEY IDAFCALGGQLDKSGYVLKSTIIDTIRKEFELNFDLDLILGEHGTQLDFDEFCQLFENAG DDAKSLLTSISLSKRNQNDFVVRYKDFEKWEKQVI >CAK80601 pep:novel supercontig:GCA_000165425.1:CT868374:152872:153117:-1 gene:GSPATT00015478001 transcript:CAK80601 MFIQGAHKSLRMKKRLIKANKQNRPLPNWFRYRTDNTIRYNSKRRHWRRTKLNIN >CAK80602 pep:novel supercontig:GCA_000165425.1:CT868374:153298:154590:-1 gene:GSPATT00015479001 transcript:CAK80602 MQMSESEFFADLKYRLDYLKKQELMGVDIHDRNLESSYCESEIDPELDSILTKQGLVKKK QQIQNQNQLSMKLKMLKNVKINFKELRIQDFEILSTLGTGTFGRVRQAKIKGDPENKVYA LKILKKTEVVRLNQVEHIKSEKDILTFIEHPFIVKMKTSFQDQFYIYMLFEYIQGGELFS RLRKEGRFANDVCLFYATEILTAIIYMHKMQIKTCQQPRMAMLKLLILDLLKRQEMGRHS LYVALLSIWLLKSSKAPRLAMESQWISGLTVYYYLKCYQVILHFMMNEPIGIYKKILSGL IEFPKFFDNKVKDLIRKLLNPEIQSRLGFNDNQNGESIKKHKWYRGVDWTRVENRQIPPP WVPYLRSEDDVFWFEKYPDSTDAPKQLPRELQHMFDDF >CAK80603 pep:novel supercontig:GCA_000165425.1:CT868374:154708:155464:-1 gene:GSPATT00015480001 transcript:CAK80603 MGAYKYLQELWKKKQSDVLSFIMRIRTWEYRQLPVIHRATRPSRPDKARRLGYKAKQGYV IYRVRVRRGGRKLLIRKGLVKGKPKSQGVNQLKPTRNLRSVAEERVGRKIGALRVLNSYW VAQDGTYKYYEIITVDPFHPAIRGDSRINWITKPVHKHRELRGLTSAGRKSRGLRVKGHR NNQTRPSRRANYARRNRISLRRFR >CAK80604 pep:novel supercontig:GCA_000165425.1:CT868374:155502:157203:-1 gene:GSPATT00015481001 transcript:CAK80604 MFEFIKEFIQFDDSIHAVFKDQSALIYSNAGTLSYFNSKGERSRIKVENCRKYDNIQEKV KEVLQVASKYTYLNSDQIIYEEAVKMKKIQTIIWPLEYQIENDYAILKSIDGYALMKLNL QTFQISLQYYSCNQTVAVVKEIENETNFVNNFIKKYNLGGTKSTAASIDCGIGYTYRILN KEYSLINFPIQWIAPFFVLVKDFLNNEKLQYLDIQFPTELIEYILNEQEEMQDYFYETYN CYKIKDQLVTKILIDQPIKTIQVNDNVSPIMNKISQINLGRPIFMFTNQAQFWFQVDGGI GLINEQLLLRDGIFWEYGQQKWEQSIYNDKYNLSAFDVYCKLINTKYKSNMNLIITQLQE KQKPVEYYRVEQGNFEELRKVDLREVGEFLLLKNGTVKAKFVDRTVITFKYPPPCTIKII SQFGLINNVEFCPVQDDRLCITYNHYLEYFQPELLVYMQYCLKLAEESYIIPEIFQQRES HSTQLSSWIEQEMEKNQRFLVYSGKYSCQEVNEPQEEQSLDERIQLLLTANQEMLQKLKK >CAK80605 pep:novel supercontig:GCA_000165425.1:CT868374:157440:158327:-1 gene:GSPATT00015482001 transcript:CAK80605 MFSQRFPALDQSTPKILSKTTFKFDIRSYKTSEDVGVKQQGLSVQTKRQKTQPSFKQMPV FKKLVQKKLFNSLVNPIQQFEIPCNWEQKYHEKERECQELQEKNQEQQKMMEQLERKFLS LKLAYESLEEDKQENDVLTIKKQMNSLETELKEQLHRAEHQLWRTQEQNSSIQEKFKQFE QKAKLLQQQTVRERFNIQIHLHQALLCKYCGKFVNDAVTIIPCAHTYCGTCNKGYQKRCF LCGDEGKIEATYYNQFMSEIVKMYDTFQAIVQIFLG >CAK80606 pep:novel supercontig:GCA_000165425.1:CT868374:158396:159245:-1 gene:GSPATT00015483001 transcript:CAK80606 MSKTIDLYGADDLAQIKRPFTISLTEESSPAKKFNLSYLLAKQCVKENRKKSQFSLYHIT QRDYYHPSYLPTRYPANLQMQNLNNTTKSIQSRQTQFSKLFNQKYKALPLSEQNQQGIYK NIDFKNKILERMLQIRNRSLTCKIPESSQFRTIQTDNCTQSPIFQLKNQEIYTNRPPQSR VNSSFQKCKKICLVKPTAYVLGLNVEKCKILDRLKTISSSPQGRPVYVRKQKANYIQDIP QRGMVNKPAKQQLNFKKKSSVQLAKWEYSDLEND >CAK80607 pep:novel supercontig:GCA_000165425.1:CT868374:159590:159992:-1 gene:GSPATT00015484001 transcript:CAK80607 MSGAGAVHQQLQKTLDVVQRGFEEIVQNIPKQYHEQCMSQNGKNIEKYAQCMYQRSKNVD KQMKAFDFKMLFMGIQFEQCIKTSSQDQCIQNAKSTVEGFINDFQKNVK >CAK80608 pep:novel supercontig:GCA_000165425.1:CT868374:160027:160413:-1 gene:GSPATT00015485001 transcript:CAK80608 MNRNEDETNKLFQEIRNEVIDFTTSNFLTQIVEKYQNQENLCFQENKGNSFEFVKCKLLI NMQGMMNFQKRQSKEEKKMEFKINYLKNEIAECLNINERSQCQQSAINNILQIQQDFLKT LELTSKNQ >CAK80609 pep:novel supercontig:GCA_000165425.1:CT868374:160526:161035:1 gene:GSPATT00015486001 transcript:CAK80609 MIKLIDLEGWSDYSISPYINQLKQLSESPEITPTKREKLHRLPSIQQKKLECYEIQNYKS GYFANRKQIGYQVVSEERKSQKLTKQYTRVQQQQYQFQELSSFVESPKKPKKMKELQDCL FQRRSYRLKIKNKMLNLTQKSPLKNISQLLRKLNSEVINKNDLVQILDK >CAK80610 pep:novel supercontig:GCA_000165425.1:CT868374:161043:161504:-1 gene:GSPATT00015487001 transcript:CAK80610 MKFKDSQYKLQECSNCKDQYPKCGLVKHMETCGIDNQIIICRYCGEKQLKKLILNHLNVC QAFALVDQEDSQCEFCKDKIFKKFQQEHFRECVVKKMIDKQQAYKPQECSICLMDIQLND QKGLLQCCHVFHKNCLQQWQKRSKVCPVCRYHQ >CAK80611 pep:novel supercontig:GCA_000165425.1:CT868374:161626:162228:-1 gene:GSPATT00015488001 transcript:CAK80611 MADLQTQRAFQKQEGIFQNSKKLLAKKTSKGVRYWKEVGLGFKVPKEAIEGHYIDKKSNL KRYSHLNQNDQNNYYQKRLFTSMLPNIIDMRRDIEMFQCTFHRAFGPVKEGDIVVCGQCR PLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK80612 pep:novel supercontig:GCA_000165425.1:CT868374:162832:163464:-1 gene:GSPATT00015489001 transcript:CAK80612 MLRISESAHLISLIDMTQDKYVEKDMVTLWQNSLSEINTSKLKPINQEQKSTSQITQNPS IMHSQLSKSINYNLASCSFQLLVKKQNEKQKKQLQNQNQTSKNNFPKQHRCQFDDTKSVK YTPPKRYQSISSQYSPARLQHYLNQNDSNLNVNKKWLQTSSHRQSHSTQIRVSAQLDPEE IQKNFIDRNRTSQQSVANKHLLLSTKYQNI >CAK80613 pep:novel supercontig:GCA_000165425.1:CT868374:163594:164645:1 gene:GSPATT00015490001 transcript:CAK80613 MFILQFILGIRDSLLFSSSIQRYIYPKQTLGLVELLKKLFKQEICKESPESEVIAEKTFE SMKLALQFIGWMILYYFVQWCLSFVIGDIASSFLYWICVIATYSKYAAGMWIRTQEVTQE AIKMETNFYLNRSFVSYQIDESEVLLMLDYSMTRIIFSVLFQLQSNILCFVIGNYLPFPI NLLKIPIYSLFISIQNLSAKIPYKKHLAYFYSQNVGYLIGFNFLFSCYLHISSFPFFTGI LWFIMPLYLLNAIPIEPPRFESNLQNLKIQDYLNICSAQSDLQLKRDSYVTHLNKYFNDQ NYASICENQTSYISMPTLLVPWVLQILQKIISKLQGHQHED >CAK80614 pep:novel supercontig:GCA_000165425.1:CT868374:164689:165234:-1 gene:GSPATT00015491001 transcript:CAK80614 MNSTTFYPEITSKRVKERSIGDAYYRVQQWRYLFRYGIEENGILKKVNLKQAADLVRVPK KTLEDYIQIFNKAQLIINIEEISEKKMGYLRSYMKKNKSKIRKAMNLDKQKQREEKLHQQ QTQEVSENTQQNKSEDEYQKFTYSIYPTEQCEFGKDDFEQDADWEYSILFLNNPQNNPHF D >CAK80615 pep:novel supercontig:GCA_000165425.1:CT868374:165815:165991:-1 gene:GSPATT00015492001 transcript:CAK80615 MQDELQLWQDQQTQLIKEKGLNCPSLSLKIIHLRLLACNSQLKYSIQILMKKMSFERI >CAK80616 pep:novel supercontig:GCA_000165425.1:CT868374:166369:171354:-1 gene:GSPATT00015493001 transcript:CAK80616 MKKSFREEAMPLIFYQQETQTYQVNPEAIEIIKNIPAPIGIVGVAGMYRTGKSYLLNRML LNRSDGFGVGPTVLPCTKGLWMWGKPLLGQTSDGESCSILVVDSEGLGAPDEDSTHDIRI FSLTILLTSCFIYNSVGSIDENALQNLSLVVNLTKNIQLKSGQSTTDLEDLSQYFPQFYW VVRDFTLQLVDRNNEQITSKDYLDNALTLQKGSSDGIDQKNKIRKLLSTFFKDRDCITLV RPLTKESSLQNLENLEFDKLRPEFFEQVINLRKKILNRIRPKTMNGKTLSGQMYCDLVKS YVTAINNGAVPAIESAWTYICKNECQKAVAEAFETYEQILKENLHNRFPISNEDLKTFNR SLKEQAFALFKKKCVGEYEEFKNELSKRIKNRFAAVKQENDREGSRMCSQFIQQEFQPID RKLKLGEYRSFGEYEKDIKMFYNFFIENGPRVGTRNQIILEFLQRALIEGSNLFIRQYSQ ETEMMKNVAQETQKKLEQELKEARQDGLKDKNNLLMKLAQIESEKTDIELREQVARDNLE ELKIQKDQVERDLKLESENEKNDLMRQIQELKGQVLKAEEFNKDLERTTLFGNSEFEKER ALLEQKITFFEKLVNEMNAKEIDYQNEIKNLRKEYSLQSKDQQSKADQSVRQLQQKLNDM QEKLNEMENELIEKETNFENDFKKFEHKERTLTKQNLELNEQIQALTRELREYRRNEEQV QLMLKNDANNQVNEMIEKVQNLEDLIKSKDDQLKQTRSQSEKDKALMQQKMEFMEVQLDE YKKQIEENKKSHEAIMKAFENSSNESTYKIDAAKMNELREQHKRELKNIDNEYENTKKRL QQQIDQLNEKNNELELKVKFETGDLIKELENLKEQLQTTEEQRNKLLEQNKMLDGQKLQI LKEQELRYQKKIKQLEQAIDEADAKIAKEVNQAQAKAEESLTQLKNFYEIERERLERRIC EEKEKSEKKFQAAQEEFYHKLRETEQNYEEEIENLKDDLRDQVQQYTNTIQQYDHEIALK QQTIEIFEKHIKETKEQLISLQNNNNATLEQQMNSFTTERKSLIQKIDVLSSQLNNLQKE HMALQQKKDLLENEKTRKEQQFEQSRKEWQEEKKEQVERLEETKLRLQKMNDEFLEKKIE YGRETALIQQQNEFLQKKIEDLQRQIDTQQSRFDEKLKQQKNEYLVELEQKLERAQEEKT TIETKYEKIKKQLKEAEQQYNKQASTLEREKAILTEKLGHLETRKNELESKLKDEAATIA QQQSSLREQIVQEKKQLQQELEKYKQLNLQLEQDKSEIHTSYERDKALWEGKFQFLEQQK EQAKQDLVDALKKFEMTLMHLQRARSNEKDEQENNLNELLLSVERKYQAQIEEANQTHQR IVQDYEDKIRRLQKEVKTHKDKILIDQHGKIGNQLLSEKKFAEMLDNEKRLQQEIENIKQ DRDQKILDYQRMLEQERESLKAKITELETKYKEVESKRSTLIFEFEKERAKWNLDRDHLN NIKNELTDQLDRLRKKEELLLRDNEKLKNEQRATRRSVAAHNMTSNNIMTGNKYRNPINN VSTIGLQKLSPTHSNNTSTSSANISVLKKTNLADITNFEKVVPQTQQSFQNNKYYFYGNQ QGQNDDSIIGQSDFQK >CAK80617 pep:novel supercontig:GCA_000165425.1:CT868374:171755:173179:1 gene:GSPATT00015494001 transcript:CAK80617 MYNPQDQLRKALKRYNNGDKQNNNRNCRSQQFQHPQVPKGMLSMNSTQKSERNAKLSDTD TTDVTHYNLNFSQPIQCCYQVEHLDLNFFKIQPCKIPGNHSHKHCPFYHNTKDRKRYYLE HKIRINVQYSAELCTFIENNQQCPYADNCNKAHNRVEQLYRPDNYKTKFCSYYPHNISQC DYGKFCSFAHSETDIVIELIHNLEYDDDFFMFYYKTVWCPFNLTQHDKALCVYAHNWQDF RRKHQIYQYHPIPCPSWNTAEYILEYYNGCQDGFNCGKCHGWKELEYHPMLFRTKQCINQ NCSKTDCSFYHNNQEKRQIDQLSQYRVFKIVPRNRIVQNTFKVRDQSLLTSQRNGSTTLS NQKLCSSSDQHWLGHNLQNSFQYDQDSDEGKQNTKGQNYQTTLISIQERTDSDELKDLMK KKSNSVADDKQNNDDNEHVRTVLKMIDMDQ >CAK80618 pep:novel supercontig:GCA_000165425.1:CT868374:173245:175329:1 gene:GSPATT00015495001 transcript:CAK80618 MQGNQGSQKKDIEIGSCPFSDAMKPPKGHEKYTDKSKCPFQQMQQQPEIKITDGSAEKKK EKKEKQPKGGCPFMSSEKKRNPPLAHLEEQYDTYYISPLNYLLDTRGLWMLAFDSKEVKK GPIKDRRKLFDSYPIYLKSTLFHDDENTKKLRQCEVAQRFFVYDKFREKGNKLLQKQEYE EAIRYYERALGCFRYLEVVEPPEVDSEEEDTTQDTTNLTEKEKKEFEDMKTSAKQYRKEQ KEFKKQYKSLMTIYTDENVKYRGVEHIEDEADKEMCNSIMYGLYLNMSVCYMRMSHFDLA RKILDDAGQIQKENSQYLFRYSQAILYDKWSTYKDLIRAKELIEKAINLSNVENIFKQGP GILKLMGLENAKEIYVEHAHKVMESLKKKKQWVIDLIDPVFQRAKEIDEIEQEMIEDGKV PYEEGVTDVIDPEDMIQQQCETQKQFLYRLCMPQLTQEHQEYEIVKEMVNKYYRIIEFYA EQKKYDQVKIAKSELQRLLETVQTMSFFMNLDFIDYDNDEQLQELAQKYQINFSDKKYIR RFIRICREQVTELFGQGKFNFEVFEYAMNDYFKKKREQEERDKEEYLKQHPEPVKPNQQP SFLKKTLFSSEFWMQMFVLLLVMAGMYYFNSNTGFVGKLFSLKK >CAK80619 pep:novel supercontig:GCA_000165425.1:CT868374:175620:175988:-1 gene:GSPATT00015496001 transcript:CAK80619 MDQTGLKTIILGASACRKMKNEKSSQIQIDNFLLLNLELKNGMNSGNIAKKYGIHFVQTF SYNQYSLLTLIVTVRYTPFIDKIDCKDLTKALRVDAEKSILI >CAK80620 pep:novel supercontig:GCA_000165425.1:CT868374:176407:177322:1 gene:GSPATT00015497001 transcript:CAK80620 MVKFVQILSLKNMLSKLNTCKETLLRQTSFLASSLNKNQCLNQIHSQELQIQHNNEILQM LEQKHKSLKSESEAEYFQIMESTFKLLDQQLQLIKQIMKKQNNRNQIKYSSLRQKLLEKA ANHFYEIWQFDSDNIAYLQKYLEIVKSYKGTFSDQYLEGLCKYAQHTQDYQELEQVYSQI EKNVFSETQKICAMTLFVHTQDEVYYEHFILNESICQDQSFEIRFHLFATQYFQRQNCHH TTKYHRQQCEFMSSEHQRSIAQSNLELQLSFMQNKSKYQL >CAK80621 pep:novel supercontig:GCA_000165425.1:CT868374:178362:178820:-1 gene:GSPATT00015498001 transcript:CAK80621 MDSLFETNLPFSTVPIQQYGINQLTHYSRNQSLQKSDFSPQQMLLDLGEMCNVRYLLIEN LKYGCEISVFVSEFKNGPFISVHNKEFFPQHKQRRIKLSALPCRYIRIIIHKGVHIQTNQ IKLIGSTNERLAEEGFFKDFKLLVTNPSRIMY >CAK80622 pep:novel supercontig:GCA_000165425.1:CT868374:178841:179455:-1 gene:GSPATT00015499001 transcript:CAK80622 MNFLFSNDDDDGNFDSFITQNLKPEPPKEKSSSKKLQTTFKPIELKSQFQFISTPKLKQN KGDAKTSLWNLMLQHLNHTNQINNFNQERSKLPYPLNSFFLSKIYEYRNQKIDALICEIH DIEEFGDTTEITLKDQSGSCQASILSDIFTEELDDLNPQIYDPVKKIQKKENILVYLENV TVFSPNEFQITIIINRANLKLAIF >CAK80623 pep:novel supercontig:GCA_000165425.1:CT868374:179500:179973:-1 gene:GSPATT00015500001 transcript:CAK80623 MILINVQILKCCNNDQTVFRNISKLLRILKILPMIYIQVVAFQVSQYKESNRRYALLEQR YMRLEQQHNKLFNQNKYIKFLEKGNKSELVKNRKDNVDFTTQSHCWYDIWWRKSQKTYSQ SRKTVPQIQKTKKDDQDFLEVMLNSNNEDLTFKQAKK >CAK80624 pep:novel supercontig:GCA_000165425.1:CT868374:180349:183135:1 gene:GSPATT00015501001 transcript:CAK80624 MFNIFKKKEQTQAQTAPPPPPIQQQQQVVQQPQVVPPQQPAPKGSIFKGNVKVKAPPPPQ TQEVQQQQMPAPPTYQAQVHQGQSNSDILLPESDAYKQQFNQMETPTPQEDFNVEQKVEA KINVEEVKKKPAGFGFIKKKQPEQPVPTDECLQEQVESQIIYGIQVQQNQEQQVNKLQDY SSQQIYQQNNSAQQIKEQTINDDQSVQLQNSIKQNEKESEQQQQQQQQQLYQQQQQQKQL LQSTMSSSSQIKNNFINKSQFDSNSINAQLDSSYVKQHQMIMEELEYFQNEVNQKKAQIY ISQQEIEKRIITYQQKLQECNSCLTELSIEQSVSIQQEQFERAEEIEQSLKVIQNNITQI DNKIKYEEQQYSELQDEKENIRGEEYPFYQETEQKLEEIERLHIKYSDQYKFEGQAQIKK LQQHIEEENERVKIQQIHTEIDSKHLYEEEQHLNQIMDNQTKEYRIEQDQLVNKKSTLES EIAELQLLLNQKKNELQKVNYDLLSTKEKIQQVQQKFNLQLVKVQNKRTKLDDELNSLET ERAQIEALEGDNLRQQNEYKNKLEYLSQQLEAIRVKRNELTRKANLVPEQCQQELDVTQK YQEAKKASTEALQELAQVQRQIDELNYKRNMGQTQLQEILIKKSELQKNLPKMIDDKAQL VQNKSFKGAAQINEQIKEAQRQITLYEEKISENQNIETQILKEIDQIEDSFLITQHRYQQ LQQNAEICRYFVLELKLQEISQIDYFGLSQLELDIKNEMNQLVNKYQDLIKANRKVSTEY VEQIQDHEEEVNYNKNEEQQEQQNQVEEEQIEQINDLNEKEELQQQENVIEMSEEQRKSY IKHQQYLYWDLQEKMKALDVQVQDFVQKEQYEEADQIQQEMDRLVIKAAVIEKELKQKFN VDRLMPEEEED >CAK80625 pep:novel supercontig:GCA_000165425.1:CT868374:183230:185369:-1 gene:GSPATT00015502001 transcript:CAK80625 MAYFGNNPQRGELQELYADLNDLNFEKKKEAVKKVIAYMTVGKDVSDLFQSVIKCLEFND IEMKKLIYLYIVNYSRQKPDDAIMVIQNFRKDVRKSENPLVRALAIRTFGCLRVPKLNEY LIEPLKDCIQDDDPYVRKTAVLCVPKVYEVSPEICPPLLELLQKLLEKESNALVLANLIQ SMREIEVVSGKQIINLNQKIIQKLLLAVDECIEWGQIFILDYLASYNPQDSKQAEVIIER TLPRLSHINPTVTFCAVKVILKYLDFLDNGDLVKNLCKKVAPSLISLLSWNQPEVQYTIL RNISLILQKFPILFENEVKVFFCSFNEPYYIKYEKLDIMVRICDSKNFGQVLNELLIYLN EADPHFVRKAIKSIGKIAITYDKALDKAVSILVEFAKNVQQPTEPVQELLIQMQLIYKKN KSMYKHEDSLKFIYSIIEYANEPESKSACAWILGEFGEYIPKSAEKMKEYIDNFQMEDRL VQLQLLTSAVQLYLKYPSQCSILIQQLITSAKDSFNPDVRDRTYIYWRLLSTDPEIVKTL VCFNSGAVQNFSKDLRLWETQDLVLALENMGSISNLFHKLPHQLYKNIKIKINNQQDIKI YKGEEKQEKQENQAQVQQSENQQNNKQEQQQQQQDIDLLSFDDPPVNNINNNSNKANLFE LI >CAK80626 pep:novel supercontig:GCA_000165425.1:CT868374:186044:186909:-1 gene:GSPATT00015503001 transcript:CAK80626 MVIISAAICDKNGILFIGRQFQGITTNELKEQVRNFPKLISPTQQHTFIDHENLRYIYTP IDNIYIVLITSKNSNIIEDLEVLRILKNVLSDICQQVSEESIKKNSFEILLAIDDIISAG LRESTTTSQVQTALEMESSEEKIHMMLTRARENEAKEQAKKHQMEMERKRQEELAQRKTQ KQSKAIDNAFKLPQNEEKVNPAPKSEIISEILSTPSQVLQKQSNVKQAPKKGMQLTKKKE ATSFE >CAK80627 pep:novel supercontig:GCA_000165425.1:CT868374:187423:188705:1 gene:GSPATT00015504001 transcript:CAK80627 MLSNQCLHCQKIFKEQMLKLQDKADLTFQRFEQAILQDINELSGIVNVNSKSAAAAQQDL EAVDLKIQQTTDYLNWNNKRFKANEVKLENLAEQRCEANALFIDTLREYKNALSVLDWVR SDAQSKQSTLIEKSHIGDYAEKLSKYANLFEEQAVQDFVKLGEEEVSFAQTRQHGNGEQL ASLVEKDVVGIIQQLIEKLRETIKSLEEQEIQSANDFADFKTNLLAEQESLKQEYDAKAK FLNSLQNDKELASDILTKKKELQDQSMRILSLTQEEYNYKKKLYNSEKDKRHEENQLLEE SLLIYREKIATVNEYLKKRVNEYNMLSAIKLQYKIERGKQ >CAK80628 pep:novel supercontig:GCA_000165425.1:CT868374:188708:189776:-1 gene:GSPATT00015505001 transcript:CAK80628 MSSALSLAGQRWKEFWGTSKTSNIQLEDKKNRQSYLRNCILTLMYNNIKLTKEMQLLYGL LSQVLKKLDELEKSQEGILLAKTFDSLSLLHSSKIESIHKANDSFQEWFDTIEQLRQMLD KYQENRLVYDHYRLKVDELKNSKDQQRFQRVIFIKYSQNEKKDQQSDNSENGSDFEQQTK YVQQSVLNSYIQNETEIYYQAYKKFIKHEDFKAKFGCDNQKQQIDINDTKQKEQNDLSHQ ECKSDENISIKDIPAQGQDWNPFEQNNKSPYEDQLAQSQIVQSYFSQGAQEPVNPFEQGD VASFPSIYMPDQKFQSNYKMDYEKFKQSTKQPLE >CAK80629 pep:novel supercontig:GCA_000165425.1:CT868374:189776:190284:-1 gene:GSPATT00015506001 transcript:CAK80629 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK80630 pep:novel supercontig:GCA_000165425.1:CT868374:191118:191842:1 gene:GSPATT00015507001 transcript:CAK80630 MKKSNGLLQLKKVSMNHNQVNQDSEFLWDIERTTSYESSQFRRSFDITSYRRTSTSSKGD NSFSPNLQRRNSFVTFESNDPSLSISQIKNIKDPTKHIYMSELQLKIWKSLSKCSNSQLE SAFIIDIIVICQGQEYEFKFDFSKLSCFMTIQELSEQIHLIFFEQRSQKLLDPQLHILIG IIKTQRLDGQIRLFELLNLLLNGKKTLILQQSVNHQQ >CAK80631 pep:novel supercontig:GCA_000165425.1:CT868374:192466:194106:1 gene:GSPATT00015508001 transcript:CAK80631 MSDQFDQLQEGIIQNDVPENKDQELFDQMASREYNDKKHNFQVEEDDGETQDQPVSAIQE VVKPEEKQKNSFSIKKAETKRIVHQAALERKTEAFEQTKSFELHRSIQILSHRQLVDSVW ARLQKVVGSSDETMKFLVSFMRQRIEQEELQLKYSQTASLNKLFKDFDGRIMQCNYPEFS KAVRTMDSAMERQTDQIKIFITWMQNQTRERLEQEMNNFTSQNRELVNSYNRYKKTLMDL DKDVVKYYSKYDKMYQNITIKGKKPQKDFLRAELKYKQAASKVIVFQREFGTWLLNSWNE IKQLETQRLDLVTHTLIEFQNQVMNVYGKIPQHDSIIGALNAVKIQQEVQNLYSYDFVLT KQELEFISTEQQQELIKYFQNFQVIDKYADQSHPLILKQFSAQRDVGTIGKTWIDTGIII TVDHYFLTFDGKPTRFSKPENKYPLDGMKMTTRNALELEIQFVIPGLVMDSKKKLLIQFK TSDELEEIMYFLETVGQNKFALN >CAK80632 pep:novel supercontig:GCA_000165425.1:CT868374:194110:195587:1 gene:GSPATT00015509001 transcript:CAK80632 MSTMIQYELLQNNKMKSKSYVQSDEDVDTLGSYTSMTYDDKTMEVVQYRQENFFQFGSSI MAVIYMANTMLIPNMNQLFIDVSFDNPLLMVVSVIMYWLLSFLILIPCYLVIKICKQEGN PIYAYIIKQDSIKIYNVFIYSNLILNTLGLFNIIVRLGDSLPKVNRWFYIEFHHLMASEQ AELFFPTIICYTAVVLTLFYGFYKCSYFEQYYDIQYRLIISFVGKIILNTVIFLLIYYEV PDASQEYISMDENLFDSDFSSGATQWITYCFNQICCISYPFFEVFYLKRETKKGYSKVQQ CYWIQLLLRSLIFFYQITTWGIIIRQEKMKYYYQPLLQSLNSLMIFLISMSITSIYSHNY TLNKEIQEDNIQTATEDDNKKQIKEIYLIAAGLFVIEISICIICRFFITGIEVPQIKSFI FSLSYSAQTICFGFSCISVPVYLWIKNFNPKSNIYSGMAYSAIIIYMIVFVGISSEPFFS LKS >CAK80633 pep:novel supercontig:GCA_000165425.1:CT868374:195657:196356:1 gene:GSPATT00015510001 transcript:CAK80633 MQNVLLSLRNLKLRFVLIYLSGLMLMRKFEKRDKVLAASIQNITEIQQNMQTNQTELRKE YDQNTRMRVFEFALKVIKVQNENFKKIISTELWVFKHLRRIQQQRQQYQKKQ >CAK80634 pep:novel supercontig:GCA_000165425.1:CT868374:196378:196580:1 gene:GSPATT00015511001 transcript:CAK80634 MFVRQLNRIFEKEEELEKQDRQRKELQEQIAKKLRELQQQSIEKVIKLIQSKLIERKE >CAK80635 pep:novel supercontig:GCA_000165425.1:CT868374:196645:197262:1 gene:GSPATT00015512001 transcript:CAK80635 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSILDQIVNLAKKGLNASQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDVTSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK80636 pep:novel supercontig:GCA_000165425.1:CT868374:197754:198131:-1 gene:GSPATT00015513001 transcript:CAK80636 MRFCTNIYLRIKYHSFQANRNLHQKLGVTFDIFISDPNIVTTTSVAIYSFDPASPFHSTP KLFSIQEQITQKYFEMLQHQQILVVSVLLDWDMDQMKDISKLLQYMTKIHKHLLLIC >CAK80637 pep:novel supercontig:GCA_000165425.1:CT868374:198445:199408:-1 gene:GSPATT00015514001 transcript:CAK80637 MLYFIIALTTFASYFILVPELFKYYWPEQIESKYWFQILSLQVMHIVVYIIVNGSYGIIY YLNHPFFEQFKIEKKPWPWHSDKKEWIQLRNETFKNFAINLIIGTLLAMTFGIAGVKYRF DRESLPDLWEMIPQFLFCIIIEDVGFYWSHRLLHIPSLYKYHKQHHQYSVTISISAEYST AIEYLLSNLLPFIIGPRLLGEKLHLVTLLIWIGIRVYKTLSAHSGYAFPWEIFQYIPFLA FSEFHSYHHSHNDGNFGSFFVFWDYLFGTSNNYYQQKLKDNYGILNIYNPLKSKVK >CAK80638 pep:novel supercontig:GCA_000165425.1:CT868374:199437:200413:1 gene:GSPATT00015515001 transcript:CAK80638 MQRSQNDEDKPLIPLPQATEQKRQEKIAYEKQMARVTLLKQTQQKNLHLAKLYEMAADKI ENGQTVKKQLRNLAEEARQLKEDDMRRRKHQIKQIKKHEEEFILKRAAKQKIGDSMKQSS VKEYKSAIKLTLSRTRDCILQDNQKIRETLYQEEKKRKEKKEEAKKLDEMKSQQFYKERV SYEKMIEEKLKKSREKLFQDEQMLQSRLQETQNLLSKSQKKFMELQSQSVHSKR >CAK80639 pep:novel supercontig:GCA_000165425.1:CT868374:200528:201730:1 gene:GSPATT00015516001 transcript:CAK80639 MMKFIALALIASTVLAVQKDTKTILAEIDADNFGNSILSTVQMYLQAKGNAEEVLVLLNQ LLAGLVDDQNKHDNVIRVDRSACTRIVTDLENSIAYHTAQVSANAQMREDNEKALAEAEN DVRQTIQDIESNERTFAQEEANRNKAHETWVRKNGEHDDAIAAVDEATKLVQHLSLGATF AELKPKFEAVQKRLIENESHGALFQPIVTALTELASKVDQKAIQRILQLLSQLRQQLVEA RSVLEETENRQAQRWVEFSTHLSNEHNRLVERKNQLEQAIQTFKTNIDTATHFFEVHTLE LESAQETLDAENEWCALQEQTYATQSSERQRQQEIVDRILEHLTDKLTATSQYLGGRF >CAK80640 pep:novel supercontig:GCA_000165425.1:CT868374:202038:202717:-1 gene:GSPATT00015517001 transcript:CAK80640 MLLLLILIQTYNCLNLKCVSSDVDETYTRGDHMTICIHFINKIEQTGQRLAFDVKVDRYT ALYAKNSYTTLKAKGLTNHTIAIQANKHAMISPIIDYVINSTNVYPIYSLVINMEDGNVT DLIWDNGCWDSSMECSDYVITNSNGETFSDSNNYYKECTTDMDCDAKFYISFIGTDASGN YMESAGKRISRFRQYAVSDMFSSAKNVFNGYVDDIRT >CAK80641 pep:novel supercontig:GCA_000165425.1:CT868374:203606:204056:1 gene:GSPATT00015519001 transcript:CAK80641 MERRDEDCKQQGQFNQKDDKCIYISFTISFNVLFGGLFYYYSLNTSNDQCTLLKQTALYF TLYCSCSIILSILTLIGNKTFNVITTIEGLIRMLFLVSFLIAYFYSFQCYHLQSLTLIYL TLHALLFFITCMKSSINKTKQL >CAK80642 pep:novel supercontig:GCA_000165425.1:CT868374:204406:204567:-1 gene:GSPATT00015520001 transcript:CAK80642 MDNTATTVLNGNSGIKFKIIEWMGNNQDASNILKLKKELAKRILRQQQKPQLF >CAK80643 pep:novel supercontig:GCA_000165425.1:CT868374:204910:206611:1 gene:GSPATT00015521001 transcript:CAK80643 MYPKKINRTLHVGKHIQREESVKTLIIDKLVQDYGRKNQLNVNLIMAYVDDFFVCYKASE GAVRALKEEIKEAILRPENEKQLMIQRLHQRISRKQKEEQLKTLTNPKVRASLPDKIELQ NKTENSSQIKLGNTLPLDVLEERRKSIYKVDGSVDEWAAIIKYDTQQFQKELEEQKKLLL LNQQKIKEELDRQVAEKESRKQKEKKEEQNYYATSQQQLMEFEKQQELLKESKKQQLLQE KFIRDQQVYVDKRKKKEQNLNTKQYEEEMLAKVKEELKAEDQQQKRKRLEQKEKFQQILK LNENLRKKAKEEVIQSKEHEKLLQEQSALKDQLENERKEKFKKDKEEKIKQLMNNYSEFV MKNKKDNKQLEDSQGEFWAKKNSEHSAFLDFKSNTNKKEQQLQISQDLQQQILEKKRRYT LEVSEKKQLFEQQLQEQKALKKQDEERRNNIRQMYVQNAEDLKKQMTKGYLSQSPKRKQS PNERMVGYELLQNKKLLKKIANDNSIGISITKQEISIPE >CAK80644 pep:novel supercontig:GCA_000165425.1:CT868374:206872:207529:1 gene:GSPATT00015522001 transcript:CAK80644 MNTTILDLFTQIKELYPYLAEFHQKCKNDDEYENIIKQTNNAKSKFESTEDQQTLINQLK NIINDVKIAHKKSLLSAKQFEISSAEKEKALNENANLNKQIGDFEIYRNAQNIQQECLKE YYNMVIQKEKQKSQDFIEGIQQEFEEIQNKLENALLAKQKNEKEYGTLIEKYKDKKSYVE QRNQYFELEIKKLCKKQQI >CAK80645 pep:novel supercontig:GCA_000165425.1:CT868374:207569:208001:1 gene:GSPATT00015523001 transcript:CAK80645 MFEQINILNKSLRSEVDENGKLEILRKDEALVDKHLNPYLQKESEFNQLIEKTNQLINLY NLEAQKLFKQICDNEQKIQTNQKTSEKSGIFIYDQAKEYKGLLQLLQQKQEQRQQLQNLK LSLQKQLK >CAK80646 pep:novel supercontig:GCA_000165425.1:CT868374:208178:208441:-1 gene:GSPATT00015524001 transcript:CAK80646 MSDHDTHIHQNITIQQKNERIKQSITTSMKLSLMNIYQVCSKFCIKDYKKKDLSDREKIC LSRCFERKNETLQTTMEFLGKLEQTSD >CAK80647 pep:novel supercontig:GCA_000165425.1:CT868374:208452:209027:1 gene:GSPATT00015525001 transcript:CAK80647 MNYNYKYLVQSPKKQLQPKVTIKYSTAINLDHIPKFSYGSPRSLARQITNLSPTVQLNSS RNKKTVESKSPSPLIESNWLNINKIKKKIELFENLNYGHPKIFHKLSPDQMIPTQLSIPI TAHAKKRIMIKKKCEPDRIARSNTQHSMGRQEMKNNTSPSRVLQKRKNKKDPSGELNGWQ GQYVEHFDDFI >CAK80648 pep:novel supercontig:GCA_000165425.1:CT868374:209431:210030:-1 gene:GSPATT00015526001 transcript:CAK80648 MDSPTLTEQEVNDIYTWVDIIPLSRPKKNIGRDFADGVLMAEIVHHYFPKLVELHNYSQA NSTQTKQYNWSTLNTKVLKKLGFQLAQKDIDSVIQVEDRAIERVLKIVQEKIKYFKENES QLPETQKSPSHHNSDQLQQSMTNEKDQLIQEQRETIGILELKITKLEQLVKLKDSKIQTL MQKLQQLGYKF >CAK80649 pep:novel supercontig:GCA_000165425.1:CT868374:210228:211196:1 gene:GSPATT00015527001 transcript:CAK80649 MHQNQGGRVFGRHLDNYAEKALKDAKERRNGTIDEIGGKENVINDAVLTKDGWFKMDCPE KVLQYQQEIYEFLHQREQESQGLQLSINQRKINETMRNTTIRFVIKQAKLYNMKSETLFQ TVDLIDQAIQHINPEADRLELIAITCLFIASKYEEIYPPPLGVLLRGTELRVREVIDMEK EILYKLNFNVISDNTLIWLQLIGELLGCNKRYLDLIKQRSMYLAELSLSSDRFLSIKKST IALTIFLAVEIQFGYQKTQFQWDRLSQHSKPSKDSKSIKIICLLLKKLILI >CAK80650 pep:novel supercontig:GCA_000165425.1:CT868374:211197:212144:-1 gene:GSPATT00015528001 transcript:CAK80650 MSNHPQSQQPQPKTQAPQPQARTVAPTYPNAVLPQAYPNAFQPQPYYPASPVRQSYVAPV QYAPVAQVPVAPIASVPVQQYAVAPVAPVAQHQSIKGESRIEYVPYQKAVIEYEEQEVVS YVPRETRVTDYYAVEYQTEYIPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQPTQYV QQAVSVVQQPYVQQSVQYVPQPVQYVQQQPVQYVQQPLIASRMVPQFAQPLQQYPPAPVA RPQQPSQQPPQSHQQSHQPSHQPQQVPSQQPRSQPSQQQQSQQQ >CAK80651 pep:novel supercontig:GCA_000165425.1:CT868374:212557:214956:1 gene:GSPATT00015529001 transcript:CAK80651 MELQSTLRSYLSSFETYSYVRDIKLRVLYGDKMHIKIVQQCLAAFLIDKEESPILRLLTL KVRIQPQSYVQFNKELAETFNEDYIHLMQKIVLQKMEQIALFQKESQDSDRGKLYFLGQQ KPHQGNQELQALGDQFFRVTLECIRIWGRWFPLDKQHHRLSLYRVAYERLYKIGVHFPEI QYFDLHQIHTNLPSTFPPLSMMLQLKRVMSVHLHSTTKEICRYLESHLPEEYHQTQYSSF AELFQTIVKKHILNKNKQAKIANMFFVKEQKLNESKQQESTDEWTQLSRLQIENQQLQCL NNMHQQKMQELQQQIIQTQNQNSLLQQQLKDKEYLIQKLETEYKKIEKKFVSLLEEYRKT HDSKNPYILAQFEKNSAEFKIEFGLTYREVDCFKLRAENEYLIKQLDEQEDLYHKIKHEK EVLLTENKVLTTKLSNLSKRSINGNKIELEPNQEIEQLKFYKQKSNLVQYEQTMISQKRQ ITQLKDQLLQLNDKKNSVTSQTWGISTKSNSRRNINELQACNIQSLKQLEIQKTPQRQSK IIMPPILDYDATIFAINPIIFNTRFRQACFASKSIIHQDDKIQINTSTQLSITTLFVTLT ITNKSSNKIKDLSLKLQSSEKLQVQILNDLNHIMNPEESQVLQISIEIKDIPFSILEASL TYMDRTISLGIPCTINKFLTFNSCQQLPTSYFYQSKPFLTGFQSSIPNLLSEFEIVSQSE NEVSVLGSAEYLNEEYEIMISCRKSMMQIKMNGRYNDKLAKTIISTYQGLFLKR >CAK80652 pep:novel supercontig:GCA_000165425.1:CT868374:215190:216608:-1 gene:GSPATT00015530001 transcript:CAK80652 MKSLYLVFLTQSNEQQQVLLFQNTPQQPFSLPQTEITKEDFLSASIAGPKIILDQLKNIE LQKFSFFKKAYSDLIDFHKYNEREKYMWSLSSQEEKQLLRHKRNVQTPLWTQYFNNCPLW NDDNEENFYYIINLQQNDLDYIHQQKGLIFKQVNILELQEILSLNLPEQKDKFIDLQNAL INKEYLRTDGRVILHFNFKTKQYSIQDGSGFSLDTVSVYNGIFRRRYDNWNQYNVAEGHY PSDDVIQRADAIIMPGNRVSVYEHYQWIEDVKAILKKSYETNPKVKILGICFGFQILTVA LGGNVEAMQNDFVFGNTPLFHNIEAMKQFKLFQGMQLQERTIINQAHGDEITKYPEILQL ISSSQSCKNEIMISKDERILVFQGHPEYSSAGMNMLSLASRLPSELSTLEQVIKEVKRLY PQEQDPFHLNLCLKFLKFEKQLQEIK >CAK80653 pep:novel supercontig:GCA_000165425.1:CT868374:216734:217763:1 gene:GSPATT00015531001 transcript:CAK80653 MHKKIEIRLCSISYFYNVQQQNNYLLYDFSLPENNKQCKAIKVDSNKLKDATKIDQALET TYISQSYLRPEQIKLLEKKRRAYNFILPFKQSNCITSHLSQLLTQSDGLSLNLDSDNFFD ILLEYKDLEKLINSDNIVENLKIFHLLLLKELVREIYIICESQENLVSRFPFCYQSFDFN FPNEIIENQLYLGNSNHANNLKALNLLGITHIVNCAQEIPNYFSDVLQENKKFLEYFQVP VLDLEEEPISDHFDEAYIFINEALSQQQNKVLVHCAQGKSRSATIVIMFLMRNKQWTFDY SYEYAKKRRETISINDGFQFQLLQI >CAK80654 pep:novel supercontig:GCA_000165425.1:CT868374:217820:219626:-1 gene:GSPATT00015532001 transcript:CAK80654 MKRQINSALKSRQTPQSITRLKSAVQFKFQCETPQCRTSIAPMDRVQTGTTMHTQYKTNF STREDEVLKTQVSEGNLSKVTNLRIRIDPRSETDVKMQNYFYNNQPIKVQSNMNIISKSS QKLVVTSDNMQTVEVRRKMKYDPAIRQQVFLLKIKAIKNPLLQKFLRFLLSKEKQLIDLI KFKSTQQTIDLVQDFIEMAIGTQISLVVVEVLLFCSQVLENCGLVELSIHLYNQTRLLCN HSKQQYDTQKMKAFIGLSNCAVTYESYEIAIKFLKKCIQYAWLNNNLEIENLVYQKMGIC YFYMGNIEKASFYHERSITYDFEIEDSPLRKLSCDTLKIYLNKHFSRNYAETVNNALLSK MNFQIPIDIKQCQENLMNLNDLSSSPRVHLSTEESRKMSISSENCELSCLKINGMRLLKD ILSQQEFDFQVYTPKHSFKTESKCFDYLNNKKIHPKDIYHDAKYKANPFVVDDLGIIKSK KSSQAENMSKYKLPLDQQIEIRLQQKFELNLQERIQQFVGSSHQKMEQKNKILLTHKNQE NSKKNKQMDEIKKFSAVSFLYQNLISKLIG >CAK80655 pep:novel supercontig:GCA_000165425.1:CT868374:219642:222020:-1 gene:GSPATT00015533001 transcript:CAK80655 MNECFEQCLSMQSGKNKRQRSPISVKFATQRSFQVTKQTKSDNDQQRLVLDYSRQGLTIF NVIYRLSSKDGMMWNGLLHLILNENRLKEFDTNILKKTPFLQHLSLEDNQLQTFGPIQQG DAPKLSTLNIARNLLKQIDPSICKLQLKKLYIQQNYFTNITKQIVDLSSTLEVLGLGWGI FCQSQFDFLLEDQKLKVFFTLMEAMSNELTFEQYIMNYKSISNAVIVTQGDLLNDSINSQ NEQLLTQIKEQLNYHSLEIETQLNYMNDYKQNLMHLAVVYDEIGILDFLLNHHLDLANQV DLKGHTPLSLAIEKKYYRLVSVILKFNVNVNLGGGLQSSNLHIVAQQCQVELLQDLIDLG ANPAQQDIFKDTPLHIISSYGDHKRVKQFYQVLLQQPFDVNHQNLKGQTCLEILIEKNNF LTLQFCSEWNTSQIRQFNDQNLFNFCSINPHTKLSLLDKSIITKSLMIQQFLVDFQLVPI TYQTLMLCFLPKIRNVFLYKILKKQQQIQIRNSLIHQDGNLNEDNDGILNVSPQVEAVGK LNVSDENGSFDDDQMSNISDNAPGQVSENLKQLNSWTPKFQSLRSGTINFKKSFYDILSN ITECIPDDEISVIHYNKDIINNNGSKLVTKKNMDEIYTSRLEHKLTRGNRQNLKQHNYSP NNIRMIENRNSIFKYDNYQQKRQLAIFQKQMRQIIISLQNNLRESNQQNEEVIGEYFRTQ FKILDQFLTSKIGKELIIIDKPLQTEIRTLRTLIQQDRFKTKIINKVIDLFDLLK >CAK80656 pep:novel supercontig:GCA_000165425.1:CT868374:222282:222808:-1 gene:GSPATT00015534001 transcript:CAK80656 MASNKKDQIKVDGIHGRYGRFRDNRNQNDKDKHQVPFLVRTHWKNGQITLDDFNKNQTQE ILLYLFLSSKLRDIVDQLKYQLDGVYRRDIVFKVSNVYLDQHGVQKKKDLGTVHSVKSGK DESSDLRDHGFKIGDILMVEIETKNQNKQPISQIAKE >CAK80657 pep:novel supercontig:GCA_000165425.1:CT868374:223143:225066:1 gene:GSPATT00015535001 transcript:CAK80657 MPPKSRRSDLISNQLKEEGNTEFLNRDYNKAINLYTKALYLEENPICYNNRAQAYLYNNE LELALQDCNKALQLNPNYVKATTNKAQVLYQMGYLQQAIECLQGINNHSPESQILLNQYQ SQSLKTLLDQGELERQKTLLEWLKHGKAQFPKIKIECYSESYRGVNAKQKINAKELILFI PKSHMITLEMAKETPVAKKMIQFRLDLLSPKHSFLSTFLLQEKSRPNSFWKPYLDILPQS YPSFPIFFNNYDLEWLQGSPFLKQINDKLSDLKKDYNDICNVAPEFSQYSFYEFCWARMT ASSRIFGINIKGVKTDAFVPLADMLNHKRPKLTSWCYSEEKQGFIIETDEKIDRGQMIFD SYGRKCNSRFLLNYGFVVDDNDANEVNVTVAAEFNDPLIQLKEDATEEQLKQPKTFRLIM DTDGINEITHFLEATVMEFMSYIRFLVIRDQTQLQFLLNERESKYIKPTKIQPLGIHNEL DMWDLIRRICYVSLSRYPTTLEQDKEILQICDLTTNQRNCLILRMGEKEILKFYYQFSEK MKQLLSNFNQLETNIFCSKEENCKYLNYINKVIMFQNQNDQ >CAK80658 pep:novel supercontig:GCA_000165425.1:CT868374:225111:227670:-1 gene:GSPATT00015536001 transcript:CAK80658 MEIKCEYNLQQLFNKENVSTGLKVILFFADNINDQAIDIIKQCCNKMLQTQHKQLVFDIF YILYGLFIKENFLGPSYHYENADKKLFIENLLTKQVIPKCQTNQISKEQLEEIGLIELLP LINQISRVSEYEIYHSQANQEFILNKLQCCGEPFKRVIQLKHLFLVCKQILDLLHNTNPI YQLWWARLNFIHNQFLDNPVQELKDQILTSFQEFLDNYPVDCKEQAKLYTELSYIYNYYY KYQEAELCLLKAQQLLKVRFELTGILGKKTKFQEDKLPQLVAQIIDQESVEKESKYKSLI QFSSGIAKKVNLEEESILFDKPVVDGEIKQDSLSLEEQIVLLGLIHHYKKTLPNDEILQQ QVQAYLNLMLDKSNSWIVYSQGLLLRSLNEFHHLKRMERALIQMQTLVDQFNEIQPDSDL RALNLFYSNYPDYYNLSGILAEQWMKVGMMSSGYEIFARLEMWEECIECIVGTGDTQRAL KEIEQITAKGFGTVKMKCITGEIKQDPKILKEAWKDSKKRFARAQRSLGEYYFFKEKNYE KSIKSYRKAVKINSYHQKSWYIMGCAYMRLNKLEEAIKSLGEAVRINENDGEIWGNISSC LVALKKFSEAQSALEQGVKYASTDWRLWSNLMAISLRNKKFVRFYSCIEKLVQLDHRELI DEQIISKITQTFAYQTDQLNSENIAQSNINKKRILKLYEHLAKEIGQKYYIWEGLARYTE LLIIYDQRMAELENKQILDLLPYHNDIVQYRLKSCQMLQILNWERDMQICQTLVKQLTSL NQDVQKIKDEETIKNITLYISQQKSRLETILETKINF >CAK80659 pep:novel supercontig:GCA_000165425.1:CT868374:227685:228428:1 gene:GSPATT00015537001 transcript:CAK80659 MSNFTNAKPQRKYRERAQPTSREFLGILEKHGDYKKRAINYQRKKEQLQKLQLKAALRNK DEFNFRMLKSKVKDGVVYEDQNESSGDEQEILKQIKTQNQNLLKASIQQKEKLTEKLKED LAMVQFEQPTHKFFLKEARKKSFDQVEDKLQGEAQQSAPNSQLAQKINKLTEALYEKERM KRFYVAITKSKTKDNVTAKPHQRKRIKKGKGKGLFERSR >CAK80660 pep:novel supercontig:GCA_000165425.1:CT868374:230273:231963:1 gene:GSPATT00015538001 transcript:CAK80660 MDITLNFLDPQEEKNYGLYSHQIELNLFKRLLYSMMIGSFSGSIYFLITQNYLYIVLGTY MFVQTMLAIFLSQKSIQFLNIVAFAYQMEIQTLLAVLITQLDETLQRSTVMIITSAAFQL SIWYILHFNRLASPQLFVSLYAVSIQILLSSMIESLSLVWIGFALFSLIFAFQFIYYQEY EKRQKYTLHQNAQRIKENLINLIEIPILTCYNNESDLKLVFTEANKCAQSLLKVKDQNSF LKFAREVSILQTKTISSFTKQQTVNNVITTQSLEGLVRKFFRKQIDQDNDLKRTFSAYRC RVKHNSQEYLCQLILLNEKYPQCFIYLQKSFDDYIEKTNQKLNILNLLLKRSAREIFTKI GNKQKCFKSLYSNQKTCKPFKSVERLDQEIFQVNIYFANSKILFNSHNTNFSTKKSSNLI HLIDSILFHFNHTLTQKSISVSFNKNEQDPTIYCNDMLLKQLFYNSIENAIVFAKDKVII EINTHYDYDINKQIITIIIINTIDPTILEQNNKEKQIKLGHLVCNKILRWIGPYKTLSIN QETCSYSVKFCLFDKL >CAK80661 pep:novel supercontig:GCA_000165425.1:CT868374:232105:232293:-1 gene:GSPATT00015539001 transcript:CAK80661 MFREFSPNPSLGPEGQRKSQRDHDDIERVNDRTRGKRVRFKDDGAPPNPVEPSCSEEDVE LC >CAK80662 pep:novel supercontig:GCA_000165425.1:CT868374:232396:235486:-1 gene:GSPATT00015540001 transcript:CAK80662 MQSEKQNIIQVTDIEAAKLKNQALEYQILQVALSQSFQSDANDEFYLLSTKWLNQWKNYV SYDEIVADKPPSEYFGRITPDIINNDLQDNVLINFKYYPLSNHPWNKFIKQGLQEIFDYV VIDKKIWKFFTDSYNGIPINSKLADVNLLRFKSILLYPAIIKQICLGRMQSPTFDNEVMQ VDRNMKFKDYQSLIQKTVYTFVGNFAKDSSVRIWRYITDQKDQYQALYDEINKQVCYLES QDEMSFYFNGELLTHQQYDSIKDIGITETHLIVFEFKTEDQPWCIRNQAVQREGECQYCH AYKVLQFPCLCQKVAYCQEECKQKDYKSHLSNCEKLGSDDESVKYLTLAQSSIKGIVGLQ NLGNTSFLNSGTQCISNSFPLVEYFLKNLYFDEINLENPIGTRGQLVKKLGSLIKRMWCG DSKMIVPTDYKKAVEQFYPVFSGYHMHDSAELITAILDGIHEDLNRVKKKLQIQNKDYDG RPDFVIAKESWLNHLARNQSIIVDLINGLQKFTLKCPTCQQVSITFQPYLIVELDIPTQK KTTISFKFYEDLFKSTQMTIPFDKNINIPLKEYLKYVGSILKVDSQLFGYIINTDKSYQF LDQNQSIVDIRKRSKKSQLCFRKLTEHEIKMKNQIPIQFENQYFQNSIRKSFDQNGVLIC DRQMKLKQVHLLIFNHFNQFFSHHNILGYGVYVLNQYYTLIYRTNQNPQNPCRFCYAQNC DNCEVKFDDETIEQVMNRAFKIDQQVSFKIIILWKQCPLEQVGLSDMFEYYNKLYKLEVQ VQNIGNSLINQKSSQRNVTLYDCLQYSQMPQQLNKENTWYCKICKQHVKAFQSVQIYKAP QLLIFKLNRFKANNKIFKQKIEDLVNFPINNLDMTDYVINSNTPNEFLKENESNNGEINK KKVIYDLYAISNHFGGQGEGHYTTYAKNKFTNNWYNFNDSMVNQIMESFVVSESAYVLCY QLRTDEEQQCQKING >CAK80663 pep:novel supercontig:GCA_000165425.1:CT868374:236356:236779:-1 gene:GSPATT00015541001 transcript:CAK80663 MGVRVTYRRRTSYNTRSNKIRKVKTPGGRVVVQYPNKKTSASTCADSNLNVVLNGLKRIR PTKLKQLSRRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK80664 pep:novel supercontig:GCA_000165425.1:CT868374:237066:238811:-1 gene:GSPATT00015542001 transcript:CAK80664 MKKLSNDGIDFEVNEQASILKYINKLKENQKQQILDKLLSYDNKVLNQAFQRFKSNTRKP TPFQIVNCLDFELEDDCIGYQIIAEGKLAIVISSQQNTGFLDIQLPSKKCLFQLYFERIQ SLQNLTKQIHGECQPILIFIMTTSFNHEIIASNLQNSNFYGLKEHQIFFFQQDCLPLLSM DGQILFRNEHQIYEEHIGNGQIYLSKHILETMKLLGITIIQLCSIENVLCKFGDPYWLGA FTRFKLDLSFKCTQKRNTDEKLPTIVKNDQSLLHLVGNNNSIDLENNDIQIRQVDKLDGV IGQALCSLDYALNLSQNYRFQLQTNFPIRLKKCTYFDYKLNQLIQPQLATSNALKFEITY YDALPYCSSQKFGLFRVKREDEYAAIINNSNDNKDTAQTARIAYLKRDQKWITQLGYHFD LEIEISPQLTYFGEGLHETLQKIDINKIKDKSQLNLSLDNKELKMVRGNSDNFQIKQNIK GKNLGTHLTKSVQELQNANVPNLITKEKSSFFQLPLGLQKQTNSSSRTSLYQKSDTYRDE MQTEKCPYFENNFRQNAY >CAK80665 pep:novel supercontig:GCA_000165425.1:CT868374:239639:240639:1 gene:GSPATT00015543001 transcript:CAK80665 MLFQGKSADKSRKQNQKQEQKQQKNQQRKEPNENQQSEQSAPQKKQERHFDRQQFHKQKF DDPRPNRNEKDRDHLTNNSNSNRNKRIQRKQELNKIQIKIHYEDILKKNQMIYPINNCLT FIKKRESLINQEDIFEFNQQIAYRETFNQIKNEVAHGKFDYQKLLQSFTESEQILREVFD NYEYYVKLEKKLLYDERKQKRVYKIIDQLRHDQVREDHMNKNSLKLRFYLRKVLLTMVEQ IQQMQINMKKQFTKLGLPVEKYKANIVTFQPLKINSQKNKKKLNEFPMKF >CAK80666 pep:novel supercontig:GCA_000165425.1:CT868374:240773:240964:1 gene:GSPATT00015544001 transcript:CAK80666 METISQNYVYNLNIKMQTDKNFFKKMILQKYQHGISDEGMRELVNLKQKLKNIKRRKRWF IRN >CAK80667 pep:novel supercontig:GCA_000165425.1:CT868374:241034:241484:1 gene:GSPATT00015545001 transcript:CAK80667 MKYIYYNPYTQYDECINVHHGNYQSEIWDLIVWGVQNQEPMGIQQSFKFRCEIGRIIQQY LWFKMPEYLAKLVADFYKFEVGDTNSQVRKRWIEINGNCHDISTNKVYSHINDEAFVLNR ILSSHMQSNVSKNPKEQVEHY >CAK80668 pep:novel supercontig:GCA_000165425.1:CT868374:241863:243920:1 gene:GSPATT00015546001 transcript:CAK80668 MNYEWFQDDNQIKLRFEIKNTRSDNLDIQVADVVVKVNVLDKKFAKTIDLLAPVLEGNIK YGADVLEVTLIKVQPGKWPQLSPSLSKEELIQRRKDAFLRKDQEIQAQKKLKEDLKQQFD QHATKEQIKVDDRERNLIKQKQEQQKSDAVSDLYDQIGQDSKFKQNQVVQQEANEDQIEE KLKKYEEFEKQQDQNQKQKPKQSQPISENNDIFTNEDVKKQEKQAKGPIPEPRKAAAVQM TFTEKIYPHLAAREQHFKDAPVPRQKNLPITEQRENPLFLKDKADEFFRNKDYYSAINAY SAAFKYDNQMFACIANRAACYLALFNFQECIDDCQIIIKLEKKDSLYDKCLKRLKVCQAW KGDLDDALSGDLPEEAKQIIQNRIESNKYKNQGDAYMTTSQYQKAIEMYLRSLQNDADNE LSLSNIGLAYMKVNQIDKALENIEKAIQKVKPFLQYVNLNPNIGRQSSFAGNEFLLKNLM KKSNCLFELNQEQDALNTVKQALIIDETNAEARSIMNKIQDKLVKQEVLKLKETATERLK QQKYADSLELYNQCLKKLSPQEDLMDFLAVLLNRCICCFFLNQFDEIVGSCTRGIKIIQI QQNKILSFEKITKEQKDKLQDFLVRFYVRRSNAYVKQNQIYHAKCDLQEALKLDPQNEQI KKDLEKLK >CAK80669 pep:novel supercontig:GCA_000165425.1:CT868374:243920:244931:-1 gene:GSPATT00015547001 transcript:CAK80669 MDIDKYLETLKNGRCLTERDVRLVCEHAKEIFIEESNVHQVKAPVIICGDIHGQFFDLLE LFNKGGQIPNANYIFIGDFVDRGYNSVETFEYLLCLKLKYPDNITLLRGNHESRQITQVY GFYDEIVRKYGNANPWRYFTDVFDYLPLGALVDGKVLCVHGGLSPELRTIDQIRTIDRKT EIPHEGPFCDLMWSDPEEVDTWALNTRGAGWIFGKKVTHDFNHINGLELIARAHQLVQDG YQYWFEEQLVTVWSAPNYCYRCGNAASMLSLDENLKRDFVMFKEVPESANSQPPKNVLPY FL >CAK80670 pep:novel supercontig:GCA_000165425.1:CT868374:245931:250372:1 gene:GSPATT00015548001 transcript:CAK80670 MEVELHQQIPPQLNVQVAIRVRPFNEKELKQKEGVCLETSEKQVTLLQSARLFTFDYVFD QNASQDQVYKKCVSNLVQRCFEGYNSTILAYGQTGSGKTHTMGTTGVDQLANKNNMGMIP RVITQIFEEIEKIDQEILISCSYLELYNEQIIDLLQETSISSQPTIREEKDRTITILNLT TILVNNPNEMLQVLNRGAVHRTTAATQMNMTSSRSHAIFTIYFKINPKDDSEDGTLNAKF HFVDLAGSERLKKTLAQGKTMEEGININQSLLVLGNVIKTLSDQKKKSQTHIPYRESKLT RILQDSLGGNSNTCMIACVSPAESNYEETLNTLKYASRAREIQNKPTQNRDPHAIQILGL RQEIAVLVEQNKQFQELLQVNGVKFDSIKKVSINQYSSNIPHTCEEHLEMIQKQKQALLV WERLGSQHKLEVQKLQSQLNDQEIELHNLKKKKDIIFKQFQEAKKILQKFNIPFTNYDED DEIEDLYDEVDKLKQESKEKDYKILTMQKEIDELLQVVYIVQILGQDAHRDQYILCKKQK ELMVLQRKLEKLEPKDNFESPAQDSECDEEVVCNDSMIKETNLQIEEFESNLQVMTIKNF ELKRQLAEDMKKEFQQQISILEAQKSSLMKQVNQKQDATQLNALKVKLQEYESKITEMRL KESKYEINVEEIGRIGKLGQGFKDQYRKNEKIESRVGQENEDKEEKQKELILIKKLKIQQ DAALSKLKNENCKKEVLLRRKEDEILKQRNEKVIDKGSSLSFKKSQKAQTYDSMEKQIES LFSQLISGGQAEDQIKREITKLEQLQEEVNQIEQKICQLQIKREQTSFDQNNKNSLNQIE LELSDIIIIRENINETIDYQLHKIEQLRKSCNNCTEVYTNFLTNSELRDLPNWCIKIFKY VIDNWIKDHLQMQNFSQQNDEFQQIIQELQQQLQKPLQEINCNSPTFKKKIIKSQVSSQP SDALELRKQLNDQKRKYQFLQNENKNMISDLEYYKKFYTEHINKVQKDKCIGLSDSFQLP QSQSYQQIRDAYKLERTKQKELSLNLSFQKPYLQNSALKMAKVCKSDREGYTDQFSQAQE EQELRYDLIKSIPGHENPILCVYTMQNLLCSSAFRSVKIWDMDAQSHLISLDANTHVKSI CHWPERNAIAVSHGSLISLYDMTSFQLQSVLKSSIEEIRVMTRHNGLLVAGGKGINYAMN VWDARSNNQIHEFEKQSDVMCLQQSDQTQELIWGTFNHNVRKMMMNSNKCGQIAQMTPPH YDKVTGVGLLDNWIVSCSFGKGMRMWNQVNGQQGQANSDIHKDSILTMAIDKNLKVMYTG CKDGQIKATRISENKFQLVSDISASVQQINSLNIINDSSLIVSGGQDRMIKIWKPSKFTF DYLKQFTPNIGDFIIEEEQYMFS >CAK80671 pep:novel supercontig:GCA_000165425.1:CT868374:250472:251080:-1 gene:GSPATT00015549001 transcript:CAK80671 MSINMEDLKKVNVDTLTAKEKQKCYEAFVAFDKNVSGYIEKDELRAVLEEMGQKPSEEEL IKMINEVDLSGRGAISKEDFLKIIAYHKMILQTSDEEDIKLAFIALGGNEDKTGSVDRQK LINVIQNEFNLSIDLNRLIKELSPNQNELKYEDFRNLLQN >CAK80672 pep:novel supercontig:GCA_000165425.1:CT868374:251664:253594:-1 gene:GSPATT00015550001 transcript:CAK80672 MRLILLAILCLTLSAEPSPAVIHESWVHYEIEVKGKVWPFLDPDTNSTELAYTENFKLLD TFINQDPKVAEYDLGQTLYSDISAADFKTKYLLKDTIPAYGVARGLSTLEIPPEKTWETL MEKNAPDAGAHSWAFSAVASVEAYFNRNIPNPANYIRLATQQIIDCVTDGKSPLDALQWI KTNGIDLRSTYTVKNCVPSTQQYKIEDIRLVKADKEQLKAALQFTPVTVCFDLTKFQQYT NGVINQDCIDGTVNYCGLLLGYENDEWIIQTTLGQIVKDMKKYTYWGVEGLVRFHADVAH CGVQKYVAFPMKTNEDIQSLKDDFQEYKQQFSKVYGTQEEEDKRFQIWAYAQMDNSGLHY FGSTQYTDLTEAEFKQKYLITDFTLQLEKTFISDANLTADEDIDWRVNNNIVSEVFDQGD CISSWAISSKNCLESYFRQQTSKNVKLSLQQVIDCSDSLDPLKGCQNGIPTDALKYIKSN GLHWESKYPYTGKAQACAQVKGDQLRPTNYYKLNSVDALSQALKQRPAVVCFNASKLQSY TKGVITAQDCGGNTNNYCGLLVGKTSEYWIIQGSFGKTWGQDGYVHIAYGDNCGIISSAS TII >CAK80673 pep:novel supercontig:GCA_000165425.1:CT868374:253913:255857:-1 gene:GSPATT00015551001 transcript:CAK80673 MKSQIEVLIHFKKFTNIDLFTQGIYQIRVHIPEAQPYLIFRSIRQDPFTSNEVDQNFVFY KENIEDKYFYSQGFLIRYEDEQISTNIGCIFRYQENQNIEIVIELLFVDKKLLGEIYVDD IETIALSLRQQMQVISKATLTVSNPLIYNQAYYPVEFDSAHFCLLETQIHTVPIQFSFTK EQLTAELQTHDKFDEVINNSINLLLNNRKQLIKQLGNLQNNKKLIQLQYQEKQLQFEDED KENKIIQSLHDLHSDMYILWCELVNAIKETHQKIQDQLEQEYLCQMIERWQNCVLLNKSE VKQLDQVYQNGRSNHEIAKHKRNQISSQETDAIKYVELLQPLNTNPFIFKQTCVQNGFLQ KPQNSLVHYVVLVHGYQGTSYDMRYWKSILTIRFKEKIRLICPTCNDGTSNKPISEQARL LANEVINYLSDENVTQYRLSFIGHSLGGVIIRAALPQLSEYKQFMHTYVSLGSPHCGYAS SESVLVDTGLMMIQKWNKCKTLEELSQRDHKDIKNTYIYTLSKAEGLNWFDNVVVMSSFQ DHYVPFHSALIQKIENANDQRVQAYNEIVSNILSKCGKIDRFDINFLITKKKLDKFIGRA AHIEFIDNLILVKMFAYLYDEYFH >CAK80674 pep:novel supercontig:GCA_000165425.1:CT868374:255859:256479:-1 gene:GSPATT00015552001 transcript:CAK80674 MVNQGLLNIIRKIKKSEKELRILVLGLDNAGKTTILKALSNEDINQIAPTHGFNIKNLQH EGFKLNVWDVGGQEKLREYWSNFYENTDALVFVIDSSDQMRLEEGGKELDKLLGEAELKK VPLLVFANKQDLVQALPADEISDSLKLNKITDRQWSIVACSAKTQEGLQEGMEWLIKTVQ DK >CAK80675 pep:novel supercontig:GCA_000165425.1:CT868374:256492:257445:-1 gene:GSPATT00015553001 transcript:CAK80675 MSSDNPDGQPLDIEYYETNYPYLNVKKNLLNNTLSKWRRAIAPYNPFAMQQIPNQKRMGM GIRNGNGFYFPDPYPNRVNWMNNTLEIMDGKPEKMHQCLQHQQLELKHFPRGCVRQIEAF KRCQSVNGVTKCQEEADNIISICPKWALEALKEKKKQLDKIEAIQTLQYRTVLEVSPYNK GRTVKDVSDKTWVDGHRDNLRPDTMWADERYTNITQSEINEAKKRVAARDAASGRVKEQV YQVHHPDMSSSHFREDKPLYP >CAK80676 pep:novel supercontig:GCA_000165425.1:CT868374:257492:257884:1 gene:GSPATT00015554001 transcript:CAK80676 MCKHILNAQVAIRAQCCKKWFDCADCHQELEDHPLLKNIEMVFACKKCKKVFRKDITDYD ESDEYCPHCDNHYVIKAVTQESKEIQKFENLVKEVKQ >CAK80677 pep:novel supercontig:GCA_000165425.1:CT868374:258413:259251:1 gene:GSPATT00015555001 transcript:CAK80677 MLHLLLILAVVQARNKSQNDTIRIQTPEYEKNVNHTKNIFYSCVITSSSYLTYNDEVVMN QLNSIIEAYQTIESPEVRYETQRKASKRIQIDATQYCILRQTGVTAQQMYDEIQVGQFNV TQYYYLMNDFDLSKYQNQSAKITFDQDEESVWRIMEDFQAKSREMMGDDEFDGLNYSEFP EYMENPYEPKLFGQSIDKQSNTSILIFASVFIAFFVVMILAYKALKDSHKKVEQKKVKKS KKQK >CAK80678 pep:novel supercontig:GCA_000165425.1:CT868374:259280:260080:1 gene:GSPATT00015556001 transcript:CAK80678 MPNCEICFIDDKLIICPKCSRNVCSLCSKLSDCDICILIEMKYAFVNIDNTFQLHQQHKS QTEEQEYQLKQINDKINTVNQEISLIQKASSLVKKNENKKSESLEFQMSETIIDKQHELE DIESEIENQKLIIRMKNQMPQKLDLKINEAEKEYEVLQETLNLKKQQIYQILQTLKNKTS LLRASQDEKHYSQSNLRMTLPTKQQKAISGEEKLQNPGLCAGQCVIQ >CAK80679 pep:novel supercontig:GCA_000165425.1:CT868374:260124:261902:-1 gene:GSPATT00015557001 transcript:CAK80679 MDLLNDPANDRHVKTLKPPPHRPLMRNLMFPDKLKNKPDWKLLRDHLQKEGRVSKEDLYK LVGDCNKLLKNEGNVIYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPETTKYLFLGDFVD RGSYSIEVIILLYAIKLNYPNTVYFLRGNHECRQLTAFFNFKEECLYKYDQETYDMLMDS FDLFPLACIINSKFIAIHGGISPDLKSLEDIKRLDRYHEPPRSGLLCDLLWSDPVDQDQG NMDGQWKGNEVRGCSWFFGNEAASKFLQKNNLISIIRAHEAQLDGYKMHRWHGGKDFPVV ITIFSAPNYCDVYNNKGAVIKFENNTLNIQQFQYTPHPYLLPNFMDIFTWSIPFVAEKVT EMLYNLLQVGDQVDDEEVNEEDIKQFKEMTQQQNKQFDKQSTGGSNTAKNTEKLKKKIIF VANMIKMQKTLREQSESIIQLKGACPDKRLPRGVLTAGKSAIMDALADFNLARNADIVNE KMPTVTQTPQQSISINKSTNSTSSNTKKK >CAK80680 pep:novel supercontig:GCA_000165425.1:CT868374:262393:262705:1 gene:GSPATT00015558001 transcript:CAK80680 MIIYGAFDKKFSNNRINIKPFQIDISPNSTIEELKILITLQFTNLSLEDFDILNSQGVRQ RDTSSVQALYQERQDVVQIFVTNSSNLNATCCNLI >CAK80681 pep:novel supercontig:GCA_000165425.1:CT868374:262741:264061:-1 gene:GSPATT00015559001 transcript:CAK80681 MPKSHSSSSSGSSSSSEEKKRVKDFNQKKSKSQKSRERSKEKSKERKTKEKTKEKKVKNL LLVQNISRNVTQDILHEIFSTYGKLTNVEMQFDEQNNMLPLLFAFITYNDEGDASQATQY MHRAIIDGKKIKCQSLGLDRQKYYTEKIKKERLDKLRQREIKKERDLRQKEEYAKARIRE DKQKNENKEFDKDKEKDKEKDVQKKDQEQQPEQQKQKEKDSNKDKEREKERKEKEREKEK EREREREKEREREKEKEREREREREREKEKEKEREREKEREREKEKEKERERERERQREK QKQKEKREKEKQERIEREKKKKQHSSRRRSSDRYHKRSSSRKRHSKRRYSKRSKTPKRKQ NRRESTSSSSSDSSSSSSSSGSSSSSSSSGSSSSSQSGDQKQTNQNQKKTSSSSSSSDSD SSS >CAK80682 pep:novel supercontig:GCA_000165425.1:CT868374:264409:265748:1 gene:GSPATT00015560001 transcript:CAK80682 MFQNPNNNKVIHELKMEKSLQMRGIIFFSINKYLIIMQQLPAHSVYQVQESKETADAVAY AFLSNTGEPVQYPYKYPQIADHDVRIRILFTGLCHSDCLHSRGLWNPHIKYPSCPGHEVV GEVEKVGTGVTKFKVGEKVGCNPQRWMCQTCEQCKKGDNQLCSQFIGLYDDNNFGGYSTH IQVAERMVFRIPEGFNDALGSPLLCAGVTVYAPLKRYYKPNYTCAVIGIGGLGHLAVQYA AKLGMTVTAFTTSKNREQEIKRLGASNLSSSINLESLKAEQGKYDIVINTLYIEDEETFK SHQRLTAPTGTYIQVGAPPSKVNFKLDHAYIILNQIRVAGSLIGNYDETQEMLEFSAQNN VYPIVETFEFEDFPKAFDRMEKAQAKFRCVVNVGKWAQANGLWK >CAK80683 pep:novel supercontig:GCA_000165425.1:CT868374:265923:266690:-1 gene:GSPATT00015561001 transcript:CAK80683 MKPATFKIVLVGDPRVGKSNTLTRFAFDKFEEGHKITIGVEFAQKNVNIMGKEIKLAIWD TCGAEQYRALTNIYYKGAAGALLIFDITDRSSFENLDKWLKDIESNTSSIVIMLVANKLD LSDQRQVSKQEAAQFAFEHKLAYLETSAKDGTGIQQAFEQLATEITKLSQTTESIEKNNI KLTESTIPKGEQDKQKKCC >CAK80684 pep:novel supercontig:GCA_000165425.1:CT868374:267307:268371:1 gene:GSPATT00015562001 transcript:CAK80684 MNTVCQQKTATPCRFETTQSYLLEQSPKIQYRRVNSPGLPDCSFRKSHKSSVDKRNYMTL GQMDIQQLLKPTRSLEESPEQKLKCYYAKEEETLDHYQHSFNPQQYMSLKEDKEIQVEEK PFESPPKMEVFQKPQLRKQMKMVDKIESDKVSVEIFMSEQDENSLIFTGITKRILPSPEE NKQILMQNLQQLFTSIDKSANSNKFQNYIKMESLEQSVFHQHLNKSTQQDFLSISSAQSI KQQKCSSQTNFRRNSAIIPKQQSKWNHSTKADKLKNNCFSNKQSKSPFLKAQNPKAQISK PKLQQVNLNIQSPQKVPTQKKQTQQNRSTVQKVRSFHITPEKIDDCIYDERYFK >CAK80685 pep:novel supercontig:GCA_000165425.1:CT868374:268405:270302:-1 gene:GSPATT00015563001 transcript:CAK80685 MKSAQHSEGIIDAIYYLQKCQLIMKHFNTQNPDVIEWLLQLDETQKQFEQNQCHHPLVEQ SAQFINHKIIHPFLLLLEKVLQFKNFEYELQKHKLEIDYVIRRLQIIREFLPNIPKVPLD DIYCLSSTHQEWAHLAKYIQFIDLDDPEILDLQFEKFNDYISVGCAYAKLGNMIKLPVLS MIFQNLGGAYLITNIEKAKQIKLKEMTNPSVKFIQNLWSIQDKAPVLKNFMKYSMTKLQS HYKIYVPYLFKPTFTLERINQEIESGTLFQQEEFQGFDFIEDHLYEKLTQSLFRKDRQNK IKVRVLSASKEVFRSKIDEEHLVKQIANISKDHNNIFEGTSPGLDGKITKVILQIHGGGW VGMSSFSHQTYTRKWANHVGEDCVVFSIDYRLAPKYPYPHALEDVWQLYLWIVRILHKFY MNINLKQLVLAGDSAGGNMALGICFRAIKMGIRIPDGLFLPYPVVNLNFKVFNPYLLNGL IDQIAPTTILVVVLREYLNSGKEHPETDPYLSPIIADDSFLAKLPKIRIICGANDSLTGD TIRFVNKMRKLHHDIKLDIFNCLSHGFMNFEVPIVGVTHIGSVINLSCKLVQELFKSSEV L >CAK80686 pep:novel supercontig:GCA_000165425.1:CT868374:270495:271514:1 gene:GSPATT00015564001 transcript:CAK80686 MNSEQHKQLQEWKLKYVKLNPILLIFMGLVLIAFGIVSQTVDINYEYISTRYDEICAEKN QCSIAIDVPSKMKSPVFFSYEVSSFFQNTDKYFNSIPYDQLYGDSDFDTSICDQYKTNLE MGQTLSVTGKSLSQDDVAIPCGIAAYSYMNDEFFLIKDGNQISISDKGISWESDREKFTN INLDKQWIDMESERFINWMRPSPLSRFRKLWGRIDQDLEAGTYTVSIKISKSQIDQSIET NDAFSETKKYVLLHNNGQFSDPILVITLPCLCVGPFLIIFGLINLIYWGKKQNLIKKYRI Y >CAK80687 pep:novel supercontig:GCA_000165425.1:CT868374:271759:272163:-1 gene:GSPATT00015565001 transcript:CAK80687 MYVQNNPHKIHQNRSLSFAENQSKFKNHQLNQFIKEQLVELKRKRNLPSLIQQESDYLMD EINKINNIYKIPNPKTKVAVPKIILLQNSKQFSVTKLKPSTVLSENRTYRVRTHSKPIIK LNNVIIKNENPCQS >CAK80688 pep:novel supercontig:GCA_000165425.1:CT868374:272176:273348:1 gene:GSPATT00015566001 transcript:CAK80688 MSYQNHPQKFPFDSQGPQGQPQVPLFQQVYFAQQQQIYGFEQQQFPSLNYNGYGYYLFVS SVPQYNIPPQQPTPVDSLSSNSISLSDQGAKKKKKNKSDKSKKDKKKKRKRGLIYPYYQK PVVVVLLLVVVILVVAVARAVVKKRKNLYPFYIMRIIGSASIVIISISLIVQSVIDVRKV ENRLQKTTKARSMFQTQTIGNVTFVEILITQEEINAIDVKKINQKPQCLNNPHNIIKQTE VFSFAFHISKHNCISHSALSFYPFNLQLKFTIISDIPLKYTISPFNIFQYTTWMITDSND SFYKNQKIPKQINILKLLRDQLFQINLSPYYTVHQTQKGFTKRTQLIQQF >CAK80689 pep:novel supercontig:GCA_000165425.1:CT868374:273662:274485:1 gene:GSPATT00015567001 transcript:CAK80689 MQQHYSQQRIPQAFQQPQRNASNSPLQRVAPPQPFTPTQSQPRQKYEVETNNHYSRDLRV NNRQASNLPNGQSYEKMEQRIQTLEKENQNLKKQLENANNQIQILNQKVNQNPSQCLLKT TTFELVVLQKAVEQLEGLKNGLTKKKLLGSHNQTTLPESQKDSASEFKSKVTLAEDKISQ PIQQSQAKLLFPKELNVQNQQSNPPNKEETKEETRLGRKVLGNTQSDQVDERPKTSPIKY LNVKASVNQISLRISPKAKSEISQN >CAK80690 pep:novel supercontig:GCA_000165425.1:CT868374:274620:276890:-1 gene:GSPATT00015568001 transcript:CAK80690 MLQQSQLIHHSVIKYDDEDVPLMFEVLPFEGRGDYTFEEFQQLQERIVSHQQMMENYKVN TWETMHYYETITVDKCKSAFLDSNEEYQGMITMIEMFNAEVLNAQQRNARIRNGMWRLQF FRSLASIIREISFFTRNRELQAKFITRCYNWALETITKVDYQSRKLDSDSGPTRPPTGQT KRPFSSATQAKRPQSALTIKSMRPQSGYPTTVIDGYAETTIEQTHPDRPDSGVTGIIRID QMKESQYSKGTLLPGDIISDQLHDYQNGFRTKHDSMPPPDQRLSNYKRRIIQNFQAPRPQ SAVDTSGKIQMKSTFPKPIKSAKPAAIVDDIDEEVIQGDLVDNNDEALLNEEDLAARQAE NEAKLIIQQEEAKKKERTLEPQIKVFVGDEQVENNQKPRNLNFETKDGFQQYQNSNQPDE LSVQNKYQKLRNKEAQQKREDEELVAMMHQWSSNKARIEREMNRRIESATYGNRFRELEM KERQNKEKDYKELDKIQKNHQLSLTKNKSRWDDDLSDLSDEEEEIIENNIEIDGQTGLID DDGQRRQQKKIRPQTCQPRNLNEKLPSYQKEPKFMNNTDYRGLESNISKHNINKIKKLHG NIIGLQEEDEANRLMPEQSMSIYGKNQTLTQQQKRPLSAILAAQPLDQVRYDQLKEIEEI KLRLAKKGVAVPVQKLVNALLIPDPINLKDPEGNSLPPPGFGMKVDPFAKKKKSKKKKKS KK >CAK80691 pep:novel supercontig:GCA_000165425.1:CT868374:276912:278205:-1 gene:GSPATT00015569001 transcript:CAK80691 MSKRREDRLMFKNQNSNYQLDSNSLDMKKQFNFNIYERQTSAERKSTDSGLGRYYNSYYQ RPLPQRSPDEYKSFTNNQLSKIPSFLNYDKGDKSKRFPSNDLQQQVNSFQYLPKQQYSSM HDQEHSQKRKFYLNEIVELQKRQQSPTIFSKKQSLLDGDRTWMHTNQQQHSFFSPKNNVQ PKLSKNASDYISNYRPLTSLDIQNDKNLTKIQSQKFQPYVNNNSKFDIGKLRMELEQYKI NNKSRIGDGVSILKNREQYKDYPNTFIRRDVSPKLNFNYIKPKVEETSKDILFNYKQQKQ SSPQKQIQSFKASELGNPKKQPFQLINQRNNQQGVLSDNQRFLQERRKTPETNRVDELRK QLYSTYKPSNRELFFESLNKSTPYNKIANQREQNQQSSNTREKDQIKYKLDKKFI >CAK80692 pep:novel supercontig:GCA_000165425.1:CT868374:278534:282817:-1 gene:GSPATT00015570001 transcript:CAK80692 MAQPIAIGIDLGTTYSCVGVWMNDRVEILQNDQGNRTTPSYIAFNDTERLTGDAAKNQVA RNPQNTIFDAKRLIGRKFSESTVQQDLKLWPFKVEAGADDKPIIVVKYKGENKKFHPEEI SSMVLTKMKETAEAYLNKQVSKAVITVPAYFNDSQRQATKDAGAISGLNVLRIINEPSAA AIAYGLDKKSKQEEHVLIFDLGGGTFDVSLLAIEDGVFEVKATAGDTHLGGEDFDNKLVE YCCAEFLKKKGVDIRKNPRALRRLRTQCERAKRVLSSANQTTIEVDALDANEDFNCTISR AKFEELCIQMFKQCIPPVEKVLKDSGISKNQINEVVLVGGSTRIPKVQELLREYFNGKEL NKSINPDEAVAYGAAVQAAILTNQGNQQVKDMIFLDVTPLSLGIETAGGVMTVLIGRNTT IPTKKSQIFTTYVDNQSGVSVQVYEGERQLSKDCHKLGSFNLDGIAPAPRGVPQIEVSFD VDENGIMNIYAEDKATKNAKKITITNGKGRLSKDEIEKLVKEAEQFRAEDDIIKSKIEAK NSLEQIIYQVKNTIKDEKLRGKFAAEEIQKLEQLIEQTTKWIESNPNGERNDYNNKQKDI ELIFNGMMQRVQQYGQNAEWMDKVHKLIKQIEIRNNQIKQIQLNKQINKQINIDILQTTQ NIFWYINSQFELKQQQQIKNTENGYLKCETSLRLCSIQCTIITCRIIIKQIQYYAPQVQQ TQQQQQINQQPYFINPYQPLNIQADQQQYPYNQQQQQQQQQQQQQITGIVIQSPLYSIYS LSSIKCIWIQNSCITLMLFLQLTFSYYNYIQTRQQYILGFLIIMSILWLSMFHTFIIWRL QRRLSSMQLLWKSSWSHSLQTMFMIFIYSIKQITLQFSHLQCQYRNKTRGIIISENYKQK FSQKFSIEEFDQDKVNASIKLGRFQFHEYANPKNENLTQLVNDILSQTLKQLSYINFQFH ISPQIHEFLQEKLKLIIDFSRQFYTLTLDIIYLFRKWEANARISQSCQQGIDRNSINQQN CYQQTIHHDSNNQQNCEEEDYQDNMLKEEQKEENQPIQDQYEHEIQDQSQTEKKIEINLT SCKFYIQQLESNSGSLRKKNQMALRNQEDILETLGKRSNCKQREKRNKYIFKSGASYEGE WVGQQRDGYGVQLWVDGAKYEGEWKNNKVDGKGKFWHLGGDYYEGQWKENKACGKGIYLH ANGAKYEGEWFNDQPHGYGIEVWLDHSRYEGNFSYGKKYGFGKCFWNDGSIYIGNWEGNM MNGFGVHYWADGRKYEGVWRNSQTNGRGIYIWQDGRQYNGEYQNDKKQGYGVYIWPDGRR YEGYWINGKQAGKGRYTLPNGKSQLGLWEGGRRIKWLDQDEDIKPLGWDDYKDPQQHQIN QSDS >CAK80693 pep:novel supercontig:GCA_000165425.1:CT868374:283755:284456:1 gene:GSPATT00015571001 transcript:CAK80693 MTFDYQVEMRVLNSKGTNSCKLLIKTEIDHFTSASANANSSQAEEKINFRNEMHSSDSGN NLQETINNSTSTCINEKEDCKKCKKFQKELKKQIKQQNIRETNIYYEQLQNELYRKALLR LKEGDQSIKQRIITAYRSMETKVNDSALKYAQRHCQKYGINDVNPIVIVYKILLLPEEVL KKFVQAEDKAILRQEYKFYSLNIHPDKNKHQKALFAFQKMKTYWNDIPNQSHH >CAK80694 pep:novel supercontig:GCA_000165425.1:CT868374:284495:284754:1 gene:GSPATT00015572001 transcript:CAK80694 MNADEITFANNYSETIFQDRQLRFAYHSPIRSQSQFCVQKSKSQYIPNVSNVTRVRIMSK LEMLDSLNKVQTAKLCK >CAK80695 pep:novel supercontig:GCA_000165425.1:CT868374:284791:285504:1 gene:GSPATT00015573001 transcript:CAK80695 MNPQKSNKADILSKVAQLVKKLQHRELPHKMIEATVLSRKTSIPKNTKLLLQQEHIVKQI TITQRAKGKQQTQSQCQSHHELYNVELLRRSPEQKDQKTEDNSEYKLVQQKLPQIKSQKG FSRSNKNIGPIQKNVIVSYQTATNNSMTQLSNIQNSSNQQQDTKPVIKVNNYNNVANLLQ TSTLVSKQPNSVETEKKTAALISQLQILQQKQSAKRLPIPDSSNLSLSGWTDRSPIN >CAK80696 pep:novel supercontig:GCA_000165425.1:CT868374:286103:286840:1 gene:GSPATT00015574001 transcript:CAK80696 MQPKKKGSFFDSIKQFFNDAPIDEIIYNEANSTIPTEIKQSKQSSQQSTKERSSDPNVFK IGNEDEANQIYNDEEEDQESQAIGEMQKEYKILILYNFKQVGKPLDSGYQKKQIINFPPG STFRVIKPIKTHKSLMDYLSSETERYLALDGGWIYFFQLISKDNYLVSSANSLNNLFQIL IKKNETKPTFRLIFTTKQIKRFYLVNTEQQDLLFLKLKTECQKHGKSFNY >CAK80697 pep:novel supercontig:GCA_000165425.1:CT868374:287232:288539:1 gene:GSPATT00015575001 transcript:CAK80697 MNNLDQSIQSEFDQFKAQCQQQEMVINNPESKSFQDKSQQQQQNSSIQYQSDQQLQSYQQ SVIQFQDQPQVEIFETSLNETEQYLNISFQDIIQLLFNNQCPQLINELKRVIQRSCIVLN VFTILNLIFLINEVVALYFSLITKDEIKELFGVYFGFNLISDCLMIYINCQLRYTINEAE QINNGDITHPIIEYLKYTISQNSPFSNIMGDDEQDLSAYQVASRISGSITTTKWISYLLE SQNSQIFVLTRVCVLVKIILFAWGNITILQWGFLSWDNQMNSRDYYQSILIVLTLFSMLM GYIILILIISVLLIISAIIPILMWIAICQSCCWCTDLYDEYKQHRIEQQRQGFLSNLGSQ KFQDLKEQDTNMHEECSICLQAYQIDDKCIKLPCNVDGGTKKINHIFHDECIRIWIQEQG SCPICRTIFIERLQN >CAK80698 pep:novel supercontig:GCA_000165425.1:CT868374:288614:289354:1 gene:GSPATT00015576001 transcript:CAK80698 MSLNTTMPYYRNTSREIKTVRKLYKLKQLKVPEYQVEIKQKQNKIHHLGIVKLYAQLQVN RSKRKSNNSFYDNRNVSQNENTNILQSYLANAFQEKKENLQKIQMQEIANKNKLEENKQK EIKYLMRIAALEKESSKLTEMVSKLKKENSSLKQSSNAESIILQLQEALNQQRVENQLLK KQITNLQNTHNSFNNSMQKRSEVQKSITLNTTNTVNTANTTTNTFNDIVKSKEYVPSYLL ALSLAE >CAK80699 pep:novel supercontig:GCA_000165425.1:CT868374:289953:290438:-1 gene:GSPATT00015577001 transcript:CAK80699 MISNNNRMNDETYHQIRQRKVRYVKDAWHAVQQWRDLFENGYYEINGNIVYPNCNQAALK VGIPLRTLQMYHKTFKYCFIFSNRNISKSIQIDRFLNQKMGRLYKFIQQHKKQFAKEQKQ EKEVEQEQEQVAWDNMIIEADDQFSVKIEQDDQHKHQQNYK >CAK80700 pep:novel supercontig:GCA_000165425.1:CT868374:290853:291912:1 gene:GSPATT00015578001 transcript:CAK80700 MNNFCILLSWIKEVNIKGAFINKRMIYKEKIDKKKNISNFEFETFEIQNSMNSKINYLQN RNGERKIFENIFNGMMQRVHKLIKQIEIRNNQIKQIQLNKQINKYRYIINNIEYILVYKQ LIRVKVIIINKEYRKWIFKMRNQLKTMQHTMYHYYLQNNNQIINIMLLKFNKLNNNNKLI NNHILLILINHQIFKQINNNNRIIIIIKNNRNSNSKSLYSICMIQIIKLQTPQVVQNASG SRTPVLLQCCFCNQHSVTTITYKPGNNTYWVSLLLCLFFGCLCFIPLLSGDCKDVYHQCS YCGKVVGHTPYKPCS >CAK80701 pep:novel supercontig:GCA_000165425.1:CT868374:291964:292541:1 gene:GSPATT00015579001 transcript:CAK80701 MDYNQNNQYGQVSGQQGYPYFQPNQNPQYNQPQPQPYPPYQQPAPYLDPNIPVGQPVGQP QYGNYPQQPVYSSGFVQNGPIYTAAPVLRSAEGMRFPVQIQCPYCNQQGITRIENRVGDG TICASILVLLFCWPLFWLPCCLEDCKDRVHLCIHCQKCVGKKKYEIC >CAK80702 pep:novel supercontig:GCA_000165425.1:CT868374:292677:293149:1 gene:GSPATT00015580001 transcript:CAK80702 MTVYQSNSEFQKPTLTNLAQSAYMSSPLQPNILSRSQPITPNNGDQADQIIEIGLPKVLT RKMTKNDYLTTANGHKSQQEIFCNNCQRINMTRLETEYGLGAIQVTCLLIVLFWPLCWLP CILKQCKDIIHYCPFCNQIVGRTPYTFC >CAK80703 pep:novel supercontig:GCA_000165425.1:CT868374:294076:294613:1 gene:GSPATT00015581001 transcript:CAK80703 MELIIYKLYQTIRFDHQLLELMEQNIACQAYPAFEEKQNKELPQQYQYPKEQQNVPVGFP NQQYIPIQQQQPYMNPQQVVLQPIVIQQVRRTNAEGCSYPTDILCPYCQKPVQTMIEYQS GCSTWLACMLLFLFFLPLFFLPFCFEECQDKIHKCPNCGKRVGKKKYKLCC >CAK80704 pep:novel supercontig:GCA_000165425.1:CT868374:294663:295139:1 gene:GSPATT00015582001 transcript:CAK80704 MQSHNQQQPGVYQSPNQGQYLSQQNQQAVNNYNYPTVQADQQYIPQQQQYGQPMNHQDQQ YVYGAPVGMAQGMPMKLPRTADGVRFPVDIECQFCKRNTRTRIEYKSGGNVYCCSCLLFF TIPILVCLPCCLKSQKDVIHYCTQCNHQVGFAEKKCCD >CAK80705 pep:novel supercontig:GCA_000165425.1:CT868374:295215:296490:1 gene:GSPATT00015583001 transcript:CAK80705 MRLRQTYQPNYLQISQSEKPKRNVYSYGKELNTPDSIKPLSPLMNLRLFLYKTKQKLEFK QVSQFTRNDPLVKEQPSFLFNKLQIEQDNQIKFQAYLDGDLQAEEYLTLQNYKRLYNITK NDNGSFDNTKDEMPYYTQRESALKKLKERIIHRYHLNKRKRGSEISSQVDTSKGNTPGIQ LAKQLKKVIIRKNMNKINEEMTAENTLKNLKMVQNADFAVQRLQETLEQDKPFESSVKKK VNSTKHLKIITRRNKTETNEDYQNSRIQLNNEIVHLANQTIYESQTQDKLKQLKSKTLKF SDAKMNQVLIQKSLQSFQDQRRCITDRSQQSQGQKNNSKQKLHYQGEQKLEERDDSAESS LCSLFEKKINSLYNQSILLKKNISNKDNLIKRIKHMQSFNQIVGEAVQTNNQKLYKI >CAK80706 pep:novel supercontig:GCA_000165425.1:CT868374:296515:296865:-1 gene:GSPATT00015584001 transcript:CAK80706 MSWQKQLGNSVKELRFVFCQNCGRSEGVRNLVTKNYWQWKEANPKFPFVVRECESIDPYI LIRYRYGVEKKALIGNLNEQELEQVLGQLVAQSNKVNSTI >CAK80707 pep:novel supercontig:GCA_000165425.1:CT868374:297345:299155:1 gene:GSPATT00015585001 transcript:CAK80707 MIRGYTSVLRKSLWYLPSMGFSKKLGIGEASSVLEDKIKNISQLNDIKEYGTVISIGDGI ARVFGLTQVQAGEMVEFSSGVRGMALNLETDNVGIVVLGNDREIQEGDIVKRTGAIVDVP IGMEMLGRVFDALGNPIDGHGPVKTNTRRRVELKAPGIIPRKSVHEPMQTGLKAVDCLVP IGRGQRELIIGDRQTGKTAIAIDTIINQKPNFDSGDKNKQLYCIYVAIGQKRSTVANLVK ILTQAGAMKYTIVVAATASEAAPLQYLAPQFSVVLLENISETMECMLLLFMTIFLNKPRP PGREAYPGDVFYLHSRLLERAAKLNAENGNGSLTALPVIETQAGDVSAYIPTNVISITDG QIFLETELFFKGIRPAINVGLSVSRVGSAAQIKAMKTVAGRLKLELAQYREVAAFAQFGS DLDAATQQLLNRGAQLTELLKQKQYVPMCAEEQVCVIYAGVRGFLDKVQTSEIAKFEEKF LTHLRTNYPAMLERIRSTGELSKQDDAELKSILEVFIPEAGLAMKQ >CAK80708 pep:novel supercontig:GCA_000165425.1:CT868374:299798:301199:1 gene:GSPATT00015586001 transcript:CAK80708 MSKYIYTFGDGKAEGSAAMKNLLGGKGSGLAEMNLIGIPVPPGFTITTDACNLYTKNGAE STFALIKADVEKALHQVEELTKMEFGSAENPLLVSVRSGARVSMPGMMDTILNLGLNDIS VEGLSKRTNNPRFAWDSYRRFIQMFSDIVLDMKPTTKEDIDPFEEIIENTELEVDDLKTL VEKYKVAVKAKTGKDFPQEPQQQLWRAIQAVFDSWNNDRAKYYRILNKIPEDWGTAVNVQ AMVFGNMGNTSATGVGFTRDASTGENIFNGEYLINAQGEDVVAGIRTPQQITLIGSKRWA ELQGVPEDVRKNQFPSLEESLPETYQELKNVQEKLEQHFKDMQDIEFTIQNGKLWLLQCR NGKRTGAAMVQIACDLLREGKIDEKTALKRIEPNKLDELLHPIFTPEALKTAKFVAKGLP ASTRSSFRINCFLR >CAK80709 pep:novel supercontig:GCA_000165425.1:CT868374:301201:302552:1 gene:GSPATT00015587001 transcript:CAK80709 MNIARGILTARGGMTSHAAVVARGMGKCCVCGAGSLNINYKQRTLEVNGKVYAEGDWISL NGSTGDVFEGKLKTQEAQLSGDFGLIMDWAEKYTRMYVRTNADTPKDAQIARKFGAKGIG LTRTEHMFFEGERIKAMREMILASDLAGRQTALAKLLPFQRSDFEGIFEAMNGYGVTIRL LDPPLHEFVPHETAQQQELAQDMGLTLDQVKQKVHELAEFNPMLGHRGCRLGITYPEITE MQTRAIIEAALNIKAKGMDVKPEIMVPLVGNVNELEHQLKVVKKTAETVFQERKDTIHYL VGTMIEVPRACLVADQIGQIAEFFSFGTNDLTQMTLGFSRDDAGKFLPYYINNGILKVDP FQVLDRQGVGKMVELAVKNGKSTNPNLKVGICGEHGGEPSSVEFFHIVGLDYVSCSPFRV PIARVAAAIANLTHP >CAK80710 pep:novel supercontig:GCA_000165425.1:CT868374:303921:304913:1 gene:GSPATT00015588001 transcript:CAK80710 MQQIPNLENDDFIEKYQVKGQLGRGSYGAVYQVIDPNGQIYALKCIYKSRFKEVNGQVGN LIQNEVDALQKLESQYIVKLISTFYSDYQGESLFCILLEFCEGGNLLEYLIQQQDTFNQQ NAIIFFKQILQGMKEIHQKRIIHRDLKLANILIHKDQIKIADFGFCHILNSDTSQINLNL GTLGNQAPEVLDDQPYGLKSDMFSIGVILYQLLFLAYPFSIKNQETFLDEVSNSNPPSFK KNGRKVEQYLEDLLTKMLRKDPANRLDWTDLFKSKLFQNSYSCILKMIQRNFWFINNR >CAK80711 pep:novel supercontig:GCA_000165425.1:CT868374:305205:305657:1 gene:GSPATT00015589001 transcript:CAK80711 MISPIPIKFQLTNIKLQCNDYLIFRANHDKLNKLNIIQKNWEIEIINLSMSPQFSNIFSE ALLKYLISLRQEILKDTEEQKINWQQILIFQNDINNQQIHKVLQKIKFFVLIHKVLSLET LEKEVFDKLLSNAQNDFKQIVYIYQSIKLS >CAK80712 pep:novel supercontig:GCA_000165425.1:CT868374:305722:309056:-1 gene:GSPATT00015590001 transcript:CAK80712 MSVIFDQLDVCNQLQLQNIQTVRFKFTQAIKLGASLYFIDNQNLKRLVVSDNQITQFTEQ EAINVLSGVQQICSDGKVIFCLMSNGDLFSIDSQPKQLVQGKNYMSISPTTAIDHAGRGF WWKTNKSIYSHCKHISTYKEKLTLITIGGKIFNIENDVQIPIHQLNGLGINGYFKKSLLF QFGGLALTENGDVYCYTNKMIKLKINNLEDISANNKFIFAIQGKSLLQWNLEDFQSHQFY VNQALFKKMNYGNEITINFKKKEFQEIKFIYSDIHSQFCCSSLRTIQKVNQNDQSMSVLD RTFCKSNVSTLKQQLFDSWDPPTKKRNQDIRQSKQVKGDKTERLDTSNRLTDRYDRKEDL SEESRFRQSTNNNILDIFFNRSNKQEISEIEYRSEKKQEINQFSIDTTFNYKKNTQSNSD ILAPLEITKLPQIEKQVTIQVQEQPNINKENSYTNQELEEFSIKPSKFLEQYKNKSELLI QPNNEECKQEISKNTEVVKVQENSEIKTQNLPMQTQYAISQKLQSIREIYDQPRFKTQNN SLYTLDEESSFEQSVCQDEDEKGRFYLKRQVFLINDIFETKTELKTNSKRLDQLKTKLQQ QEIVSTSLPQVSNNQLQKIEILDIDLPPVQSKLNNLINKLYIKPEKSLDQVLESQTYKDE QESTSSLIPFESQAIIPDLQQKQIKSFLKTGQIQNSKQKKTFEVMEQQSVIDYQNNSDFI EQQQDSFMQILQSEKKQDNNKIQNIMQIFDQITVQQKKKSPSRYNLFKKQEQENISKVEV VPEIKEKVELNNVLTEKQIENIIVKKEDSPQKLTLKEQKPVQTILENNTFELQTQDKIVL VKIMEEPKSKNIQSPQKDYGEQIIQLIPISMSDSRKVNQFTDVKQPAIDNKSSLNFSEQK QAVSRIKVQPINCSALRPEKNFTPLRSRRDSSARGSSIDTNRFKQLQIETQAIGPDNCYQ PLDGSNIVYHIDQSIFEDQTKRTPVAQVLDIINLNQLSNQKGKEPTLVKVQSTSKIPQSL RSTSIEQNTKKKSETSPIKQLKIPVRPLNADKGSNMKRRQQDIVLRKLFFRIDIHVKLVK LEFMFNLKQKAGN >CAK80713 pep:novel supercontig:GCA_000165425.1:CT868374:309604:311145:1 gene:GSPATT00015591001 transcript:CAK80713 MNSLFELLDCYDEKEKEIFEFLNSNKNNMMDFLKLVIETPSDLHPKYPFIVSELMFGSYE QLLQMMFEWNGEPIQYLLTFFNYPQNDTSCGYFEKMIRPLILLKSNEIKPFLDISSWIKY IDQESIQNIFQTILASSNLDDNFKQDLLGMLLNQNIISENVFRIIIKYSDLFQIYVNRYQ EIFIVICNQSPSSFHQLCTIELFMGWNMINLEWLHNQINYLESLIYKNFDFCKSMMNFLH KPQGLTEIDLKIIHILSQTMNRPETIKFQNVLLELLIKYEWNNNLQNIAIKMYEQMSQGD TPHKQYFQSIITDFITANVNFQKFEFGLKTQLPRGYRWVFTRIVKLFSLNFDQAQDINVL EGKYLLNEDPYNTRERQSINILEKVSERRTQWAKRIQEEEMQKQEEKEQIQIEEEENNEN DQFFQPRNSFGVKPPIINNDEEVEDEIPVIENQPRNSFGIKPIIYEEEVEDEIPIRGRSS CQFKQDDND >CAK80714 pep:novel supercontig:GCA_000165425.1:CT868374:311417:311605:1 gene:GSPATT00015592001 transcript:CAK80714 MDKEDELHNKVIKEYKNPLEEHLNYKTYNRLMRVCFAILVILGMLQTYQQFKNKRERVLR FL >CAK80715 pep:novel supercontig:GCA_000165425.1:CT868374:311740:314376:-1 gene:GSPATT00015593001 transcript:CAK80715 MIQDEIKNEFLILSPSQSYDGSIMYLQFLSLRINNNQKENSFQVGKRINMSHPRQPSYEV EEDKTPAFEIFDLKANKQTKGITWQFRNQGEQTIVKNNITQANTFQQNQKKVLAFINKLK QHLTLKNKQHKFINQLLFSPLNAGKKIYKNSLQPQLNLIWDSIIFFFCILILIISPVEYI YQTNGYGNYLFTSLIILTILDQIYKYTSQICQEEYLYQSKQFLEYIFKSSKILMDTSSIV LLTMLILSDNEFIKTISLLIIELITYKKIFLAFNKRLYYEMSDLTIQIVQILHTCHYFTY DQVKGSQDMFQEYLQIYVNYIIQLEISNWNMCTTFILLFYKILIIKLIILDSLEFYQEFK KIKELKLLQRFLYKQKISQTLKYQISRKLEEVCLKDQNFQNIENYFEQCLEKEQLFQEFK EQQLLNFVKQFRIFSQFSKSTQQQIAQNLTPIILNINDKFRCNHYGDQYNIYLVNQGKVA YGLTESIPEYKKIFSGQCFGQYSFFTGQENQNLITGLGYCILYKLSREKFLKIISSNIQD LEIAAFIKDQILFNNNYQIIDSVCQYCQDRTHLLINCPQIHLIKNNIGFYDKYLYSPNQP RKSFDRGRKRNQNCFLNHFKQINAIHLNEAEIEEVSSEFSQQSSSVIESNQEKNELQLQG ESQNNLLIEINNENIKQNEQQQQLEYCQQNSAQQLQQKSLSRNIFDLKISKILNQPLSLN ILPSLQSNTSPIFNLKTTSLPTATEFLNFISDYPCMEEIYKINLDRMQDFETYYPEYNIG MVLFRMKRNMKLNNEQKSKFTINQSAALTISKIIKRKDQPTKI >CAK80716 pep:novel supercontig:GCA_000165425.1:CT868374:315188:315541:-1 gene:GSPATT00015594001 transcript:CAK80716 MSDQTNLINYIVKLEATYTKLKQKMIRERNMFKGVLQNLSQNLGLKCNEPYFDTLVIQEE DPLTNWNVLLSKQDNFIKIRKEITKQETIQVKQKRKRRIKEEMQQQIKQK >CAK80717 pep:novel supercontig:GCA_000165425.1:CT868374:315627:316832:1 gene:GSPATT00015595001 transcript:CAK80717 MNLNRIPLAPLTPIQVQQLARLPRAQKNQNCLTCIPTNPNSLGQSKYTSTNYQIKIYKKI VLELLNSIQNSPFLEFMFGLQVEFKSSYQFLISPTESLINYLNLHYDIKQLYRIYDNIYK QNATKIKIYINISEIDLILQLLRSIVKQMPHISRIREVLKNQQVKDIVSIKKTQIEDPKL NQIITLIQYIFNSQLTKLNSQIIFKKEQQENIVKDQSFYHIQNNVQSIMISLFLQYCLQR KILLPEIIYSITFITNSKNLTVGPSFVNDIPDSNAFDEFKQKINYRRYQRSFNLQGLIEY EDYLIQQFKISYPMKNIETDLVQTIILVQENQLQIVDRLRRIFQSQRSKIMIDNLGSNPL NQIGMSISNIILELQNTVKQSETSQQIKRMSKNLSLKIKKL >CAK80718 pep:novel supercontig:GCA_000165425.1:CT868374:316869:318057:-1 gene:GSPATT00015596001 transcript:CAK80718 MQPEQLKTLQYCQSKYQTILPNQDVKFTLVQSHQIIQLFSQYEILVQLLIQNALFCKSET LRQKTMQMLEQLEKQDHAQLYSIDLSQFNDNPLTIEVSPLQSSFQLPLLKQSKTILENIN KNFDDKIVVLKKYTPLIQSSYLPQQYQISKVRCKFTPVDEDLLFQGLQKHGSKKLEEIQK EFLWEKTLKQIKNKYKNSICSNAQLNKIKTWKLSQYEYLNQKELQQFIKGIQWFGKDKCQ LIHKFFVTTRSSDFLNKQQKLLLYSELNKADQLLKKRKKHNISESYPSHYEQLWNEERKK IQLKVNFEITQSIQKEELPTFDKYFNLSYEYSNSICKSNFEFSRLGGYSLFSNQQQQANQ ISQQQQQKVQTPFEVWKNAISSLPELKG >CAK80719 pep:novel supercontig:GCA_000165425.1:CT868374:318359:319369:1 gene:GSPATT00015597001 transcript:CAK80719 MEFNCIIERESFLLIVKDTTLTICNQSIKYTFDISLKILITWIFREQELNGFILDDLIVE GDSLNMKKLKLFLGGKITYTGIQIFYCFNGEISFSDSKATKVCSLINRQTKLKFTCKCFK KSMLGMEKIHKEIEILKKTSKKGLAPKIYECYQSNNCVYLIMENLEKLQDQAFMEEDVML FLYSLIQIISVLHQENIVHKSIKKSHIMFSEDNKLKLVGFGKSSNANIQNNEFRLDIFKV GIIMHKLQRLKNSIATKNRIFKTINLLYQRMEIPYQKLFQIGRVILLLSQKNYYAILTLN YQNQRDYLYKFNV >CAK80720 pep:novel supercontig:GCA_000165425.1:CT868374:319728:320555:-1 gene:GSPATT00015598001 transcript:CAK80720 MNQEIQQKDQIMVLIHQPKNHPWTEIQMAHEPTTHQKNKEFKEKYLSSCFDETYNLSNQE IKEWWNLRVELYSLYSHEDENCVKRIKGLWYLLTETELQEIRNQKWTEFGFQQADPTTDF RGGGVQSLDDITNFVSEYKDTLVKEMCKPQNDFYFAASSINVTFFIKRYFHLQEQLDQRD VKEIADRVALKNFCRLLVKEDNLWKKLHQLILTDLFNEWVALKKRRPETTIMDYGPVLER VKHKTKRTFSSRLFGNLKQLIEFYQSQ >CAK80721 pep:novel supercontig:GCA_000165425.1:CT868374:320750:322372:-1 gene:GSPATT00015599001 transcript:CAK80721 MLFRVSGRIASKSQFKFSSLKAQLHDLIYRDDKSSDLYKLAAASPQSAVGQKGSEIREQE RRYEVTKLSNGITVLSESASSPSRVDVGILLDVGTRDETNETSGSLLSIKNTYYKTVLNT NETINYGVIQQSGGEFEMDYDQESAYFKAHCLAHDVVDVFKVVADCALEPRSVVAANAAI EKNHGTHNLENIIKSGEGFNETIFKTAFGLTGLGMPLRGFKTNIGNLSAYTIQKFQLENI NPSKIIVAGAGIYNHTEFVSLVQDSLGFIPAGQTAKVRAQTQYVGGEVRNLTDDNEIAIA LLFPSANWTNSQAAVFQVLNALLGLQGSAQSRLQRNILNKNSYADVVESLNFTFSDAGLF GVKIIGSADKGTELLSSVVNELKTLTGPISNTELTRAKNILKTQLYLALERTSDRLEEAA KSLKVFNAIKITEYASYIDAVTSDQINKAVVDLLKNRPTLVAEGGLANRLPSFDQVLNQL K >CAK80722 pep:novel supercontig:GCA_000165425.1:CT868374:323589:324542:1 gene:GSPATT00015600001 transcript:CAK80722 MHIQKISRSIINRSCFSTSAVNEGTFLDQVMAYFNKASGHTNISQDKLDFYKSTDIVIKF NLPLVRDDGTYVCVPAYRAQHKTYRLPTKGGTRLSPHINIEEVEALSFLMTLKNSILDLP YGGAKGGIGINPRKFSKREIETLMRRYTLELAKKNFIGAAIDVPGPDLGTGEQEMSWMKD AYTKFKGHQDINSVGCVTGKAINQGGISGRQESTGMGIFFATREILSDVKYCQSVGIEPS LRGKFNNNLRIWKCWFISVLNTCMIYGAQKQIGVR >CAK80723 pep:novel supercontig:GCA_000165425.1:CT868374:324594:325289:1 gene:GSPATT00015601001 transcript:CAK80723 MSLADSIRSKELEEVKGFVNAQKYWEDESAIYQQCDIFIPAAFEKTVNANNADKFNCKII AEGANGPTTMAAEDKLLAKGVIFLPDILLNAGGVTVSYLEWLKNLKHINPGRMTRRWEEQ AKHRILEVIKMSTGLNINIKDSKLAKKMLEGPSETDLVHTALEQSMIEAVKNIMATSQEY KVNLRLAAYISAINKLNEHFEISGVEA >CAK80724 pep:novel supercontig:GCA_000165425.1:CT868374:325289:326203:1 gene:GSPATT00015602001 transcript:CAK80724 MIKWFCILIFIENFEEIEEEINKKKIYFGSTVRIEHQSSEYFLHSHLVSYGSGSGQQSVT GMQADHDYNSLWTIKECHNQPLKKYDDQIKCGDCIRLEHMLTNRNLHSHPHQAPFTGNQE VSAYGDNGNGDASDDWIVECADNKNGDPFQASMWFYLKHKLTSKYLRSNKKDNFNQRNCG YHCPIEGQLEISAQSTKNADAKWKIYSGLFYQQPQQIDDDYDQDGRHCNTYGQCDDIDDD EFETVIQKDL >CAK80725 pep:novel supercontig:GCA_000165425.1:CT868374:326387:327311:-1 gene:GSPATT00015603001 transcript:CAK80725 MTSQIKDSAFYNFFIDNPEIHSLQINGQMKNSDTKFRYRKYCKSQTVDVRFPWDAVKVHF RVRDQNDLKLGLDLGKWKLGKFEASYFAKVFSVDKKLQYQFGLQKEVNTKFWHSVWISFN QGLFVNYNFNITQPQWYLREGIKWDFNNSQLYFDGLFNYTQNLNEYFLKGSNSLNGKLAC QNQEIQFGYLRRRGNDKACGFLVDKQLNIDLVCHAKVKKCDWRFYINKQLQVRQYVKYNY NDSLTIHSGITVPLKTIGQVCPMTYLTALQVELNI >CAK80726 pep:novel supercontig:GCA_000165425.1:CT868374:327470:328631:-1 gene:GSPATT00015604001 transcript:CAK80726 MSFLHVHQQSYHQSTEESLDSNKLNFLQIQNVDIKEYKKKWMLHFNSIPNYKTLEGTYYQ LQQAKTTDHREKNEEILLQCILISVQAPPSLKLSDEQWQLVSELMPTFRTPQEFQQMSLQ FLPQSAYNNPWTEQEDQHLLEIILSFLKQKKGNKWSKIAKELNDVCQNKLMRTPKQCRER WGNKLDPSINREEWSDAEDLYFLQLLLQHGRRWAEIAIRLSAVTESKKRTEFALKHRYKK TILCSSISNPKQQVGNSYTVSSEWNSKEANKIIAKISQLEKAIEPQKSNSYHYQPLKKQL KLNDSSRLVLIRGSSKEEIDLSKLFHQMDLQFLPSSWSQV >CAK80727 pep:novel supercontig:GCA_000165425.1:CT868374:330085:331336:-1 gene:GSPATT00015605001 transcript:CAK80727 MQQKVVQQKSEIKFEKKNGQKESLILPSKYVDFQSQMLTKYQYPETTIYTYSDDNDDEIT IDSSISYHQMKQRLQQKSSYQILKVKEEQEKIVVYKKYIDGKEDQNLKHKGDKVKEIEEQ LSIYFLDKNGEVIKSNLLLASSYDQFQKKMENQHGFAKTTKYVFAADGNEEIIIDSSSSY DQMLKNFRQGARTRTLKVKNDEKLFEKQSLQISRDVPQDYMPDLDYNEIFAVQHSKILCV CKYQEKDPSQCKLCNGTALVDYYKQQWQADLSKEFEKLVKKNFQKIQKYVKDNYQEDIQT FVNWMEFQIKLIKFQDQESFYFKCIRCGKFYAKAKIVHVKSFQMPKKPICDKCFQSNRIE GYLTSQGKCAKNNQQEEDQLPNKPPNLSQSINR >CAK80728 pep:novel supercontig:GCA_000165425.1:CT868374:331381:332490:1 gene:GSPATT00015606001 transcript:CAK80728 MFQKQKNQKSLILELDYEIESKSIKSSTKMTEQKIISKFVDLYEEVLVQIKGSQNLVSHI SLFQYLINKMANCKNGDVFNLDLLLSNFKYLITLLIQELVLFIPIQIQYQMQVDSQNIEP NKPNYHELNQMKLDLQRFKYVTLLLKIKDKTDLQYRVKELEQDLHQQQQLNASICQDLLE ARQKYQELQQKFDRQTQIKYDKTFYTQYDQNIKEKLKQLHTIYKIDKSQKAKSIVNQRQK LTIMTEPCDSDSYHDRFGDMTEKSNSFYGRISNKNKQNQTIETITSVGTDYNNVSDSFSC LLNNSFYNKRDKSASLSIDQSLEHYKNLKHVFKQGLPINNSIKCKKQTQFS >CAK80729 pep:novel supercontig:GCA_000165425.1:CT868374:332550:332885:1 gene:GSPATT00015607001 transcript:CAK80729 MNFNRGPRPSFSMPKQIESIKEEKPYSYVDIESTDCFRNEKIIKNVKFAPTITVFLRFQD EDIMKFKERLRKQILQTKETFDFNPSLDEPKKKGQLKSCLKTFSEWDSQQF >CAK80730 pep:novel supercontig:GCA_000165425.1:CT868374:334362:335629:-1 gene:GSPATT00015608001 transcript:CAK80730 MRILIVLLIGLALSKLATDPKVVLAEIDNNHMGKTFLNAIQISLATGSPVHEIQSYINNI RFIKTPIFISKIHKPHVTDCFTISLQTWHIIKNTNNLQRSLNKIAEVSVEIEENSKKTNA GQSERDLQYAEFQSKIKDHAEAISAIDEAYALIEHLSGGSSFIQVKGRFNKVLSRLQSQS TSSGLLFQPILTMMTQLSAKSDSDTAKKVLQLLSNLRVQIVESKSSDEDIEKQQSLNWQQ FLSDLTNERNTLSDQRQNLEQAILNYQSIIEESQGKVEYHAAEVERNQSNLDGQDQWCRQ QQDIYQMETQSRVQLQDLISRISDHIQDKIVTLKEYLRERLQLN >CAK80731 pep:novel supercontig:GCA_000165425.1:CT868374:335655:336950:1 gene:GSPATT00015609001 transcript:CAK80731 MLVLKFNDINTNQVQQQQQQYQYFRIILSRYANQQFQIKKAYTKFSGRWSKGSDSERQID YVQEDLDVNLVAKLVNAFNGIEIVINTPQELYEMYQILHFFQIQELQNQIEKFLIQDKSS ILIGYQLSELFEIDSLSNFYFEYFKEYGFLGIFNKKLDKEKARKHIYKNKNRLVPLHYLY LQANLFKKLLVLHNHLAVINFDQSKFLDQFQIALLISEYCLTNGYDRIKLQEIFSDAVIK DQVTNEQKQIILIEFEKQYKQNQMRAVSSISQSEEDDLSDTTIEIKNNYSENMISQIPII EPIEIYRGKELDPKLLIGLKNLQFHFKTKHTSFGFYISKKIELNTSIEDEQLQLVNLSTK VQLNTSLRVNWIKFEENGLNVNNRLIIVRQKGICRYEDDVNEPNGQIRLTGCRNFRIEEV EVWNTCIFAYS >CAK80732 pep:novel supercontig:GCA_000165425.1:CT868374:337588:338214:-1 gene:GSPATT00015610001 transcript:CAK80732 MIGDEENTEFINEITKLENNNESNGGIMQEIKKNHINNLIYFGSILTFTCIIMLLFWNIK KESNQVHQKYGSFTNKNASLLVISQEDFDYFDQLNNTTKVQNCNQNDYNKNTLIVVSNQV MKDDVKECIELFKPKLHDILLIIYNATQESILQDVDDNVNYYYLSSKALSIQNSKIKKFE YLEVDEQKEVIAQIINNIFK >CAK80733 pep:novel supercontig:GCA_000165425.1:CT868374:338447:339748:1 gene:GSPATT00015611001 transcript:CAK80733 MKYDEIKKCFSSENHYKQTKQIKEYIIENDMYGNFNYESEITQGAIQAVGNILEDANSQP IEKLLSTRLVKEIMDCHIALVIEKVQIKIMPIYEQILIQFCEKGQKAKQYFSNKPDEQLM VLGNTFIRLISECIFVWNIWHPNIDGQQSAYSRVYNNLVSKGIQFPKLFYFNSQKVKEFY IQSKEQVNCSPFVYSNNIQPDFYYIKKRLDGNQFQKSDLIIIRNELLCKSLINNVFQPQK AANSILVKQSLSEILKQHLKILRRLRDLMNCRLQSNPFVQNLMTKFLINRQPLMHFKRGI NRIRAISLLANYKEEQVAIQLIRLVNFYQMESQNSSPNEQKQQLQILNEKNRRIEQLLLE NSLLQKQVRNFEDQIHTLKQNLSQNIE >CAK80734 pep:novel supercontig:GCA_000165425.1:CT868374:339791:340655:1 gene:GSPATT00015612001 transcript:CAK80734 MIQLTKEHLHDQNKKLTEENQRLELVVYSLQRQLSNLASFIDRAKLLQDQVDLLNQELGS VRRDNAHLQEVIVSLQAELNSFIANAQIETTERQEIETLKIFYEEQLNSFAKENTRLQKL IKEFNTKQVSNLQLSATKLVELGIKIDQKLDDQTHRRMLSNPIQQSPKGISKEVYQNLIL QLSNQSIDEKSMVASQYFFIQITPFQVQNETFRFEYLISLLKQQIQNTSIFGCITRLTSN FHSHPYQEMILVYVNIYTLVPILILAAEIGLVYIQLYING >CAK80735 pep:novel supercontig:GCA_000165425.1:CT868374:340867:343687:1 gene:GSPATT00015613001 transcript:CAK80735 MQAPGLTKLPSQQKVPKYRPSINIDNLIAICTGKLKNCPNHKKALYIRASAYIKKGEYQT AIQDCNKLLETDSDNVGGYYLRGCANEKLGQIDLAIEDFSKVLSLDENHVNAAFARASCL NLKGDFAGAIEDYTRALEKDNAKSLNLSNSINKRSLLRNSSMKKDEPYPKTEAFQFTTKK NKYQQPEEDDLMNPQNDEEYLQNDQSQIQNQPQQTSFMSQNPLYTSIDANELNIQRPSQI IDKEISQPMQQQLISMIPENIQNDNKKISDWFHSQGFDARKKEDFIKAIEFYTMALMFNP NHFKSIFNRGFAFDKLRMYNDAISDYTKAIEMDSKNAYAYYNRGISYDKKGDYNLAIKDF AKSIELDPSKADFYHNKGFAMKKKNLVREAILEFNECIRLDKNHFKAYYNRANCYEKLGD FDKAQQDYLIANNVVPNNPNTLTHIGILMDRQQKLEDALKYFNSSLKIDQNYAPAYNGRG LVFDKIGEFEKACQDFNKAIEIEPQNPVYIHNRGCCKRSMNKFEEALEDFKKALSLDSKN PIIYSNMGLVLRKMEDFETAVYCYSQELIYSQENTRTLNNRGYCLAKLGQFDEAIADYTK AINLDPVNIHAIYNRGICNERIGEFRKAIEDFTSVIQLQNDQGANAYFNRGCCYDNIGEM DLAIADYSKALEIDNKTSKKYQITQRSNLVFKDKVNEFECPICLDTLFQPVTFDCQVHTI CLDCVIALKKCPLCRKSIKFVKPNLEMRKILNSLQCRCPQGCGQISYEYLYSHKINCTSQ TDAQKIIAQKLLVIKDEMIKVFEKEINPHLKQMHGQIFDDFLTGWDWLSFKRDDWKWWWW SNTAWWNNQVCVICNDLWHKYEDEIEVFEKNRLELLDQL >CAK80736 pep:novel supercontig:GCA_000165425.1:CT868374:343722:344775:-1 gene:GSPATT00015614001 transcript:CAK80736 MNKLQSFLTRGQDFWQKFYEKKGKKGVAQVAALGLGLLYLQYQVRKHNGWLKKKSVVNEH IFITGGASGIGKNMALRFARLGAKISIVDVNEDALNQVVGQINSLHGEKAAFGVKCDVSD PQSVKNAIKKCIDFHQKKIDILINNAGVVSGKQILENTDAGIARTMNINTTAHHWTVREV LGDMIANKHGHIVTIASIAGWVGVRGLADYCASKFGAVGFDESLRFELRATKSNVKTTCI CPYFINTGMFDGAKSKFPLLFPILSENYATTRIVNAVLQDETVVIMPIILNLSIMVKAIF PTCIYDNMMELFGVSESMDHFKGRQQ >CAK80737 pep:novel supercontig:GCA_000165425.1:CT868374:344775:345969:-1 gene:GSPATT00015615001 transcript:CAK80737 MQLLRRVYQFGSTKHRFASDIKSTNRQKMNLFQAINNALDIELGANPKALLFGEDVKFGG VFRCSQGLNEKYGTDRVFNTPLCEQGIGAFGIGLASVGYTAIAEIQFSDYIFPAFDQIVN EAAKFRYRSGNQFDCGSLTIRSTWGAVGHGALYHSQSPEAYFAHTPGLKVVVPRDPIQAK GLLLASIRDKNPVIFFEPKALYRNAEDEVPLDDYELELSKAEVVQQGKHITLIGYGTQIR VLKEAAKLAEKDGVSCEIIDLQTIYPYDGQTLVDSVKKTGRCIISHEAPQTCGMGAELSA FIQEKCFLHLEAPIKRVTGYDTPFPLVHEPIYLPDKFKIYEAIKQSVNY >CAK80738 pep:novel supercontig:GCA_000165425.1:CT868374:346788:347212:1 gene:GSPATT00015616001 transcript:CAK80738 MISEQPEGSKPSKFQGGRIHLRPIDSIGRDFDLGNIETKSRRHFSFDPTPVMHYKPQLRV GFPKNVTNPEILFTHKSQGGPDKLTYMPPIKKHKDFTSTMRKTVINKEYEEQQTENRRMI EELDVWEKKFRKQ >CAK80739 pep:novel supercontig:GCA_000165425.1:CT868374:347251:348234:1 gene:GSPATT00015617001 transcript:CAK80739 MQIIKSKAVQQLVHRSQYNKIVWQSSNPYNKRWQYKFKNAYYTYPRDINQEHTYVKTPKD NWESVPLGWAWIQDLLHRHVPNFSCLIERRHRLFDKFNVYFLPAFSLFFYQFYPLAFGFK VLTILPLAMLYVRARDKCGDPDFKETYLRDMLYKNSEITSLFKDETLHVLDYDCEYDKGY PCPEKFPEFKNKFWQFFNTDTSMTTGYFKMADVESGAVMNLKFKTMPVPGKYRYQIGEPF YFYDLRAEITHNGQHKEVVLVDEKVALQKVRPFLLII >CAK80740 pep:novel supercontig:GCA_000165425.1:CT868374:349257:350795:1 gene:GSPATT00015618001 transcript:CAK80740 MNQIFTERSVQGNKVSMVPDDSEIKIVKIENQVRSRKESRSSAKSQRPESNILNDGEKKK STFEDSRITMLLFEKYKTVELARFFTITATITLIVFEYEYSYSDYLTRTLDEEMKLFLYL IMFLTILSIILTFISYQVLMAYQKEAQMITPQANLSETSLIWGIAWEIILLILIPTPYTR YQRVEFQQRYTGTMRFYFFNEILTFFAMFKGFLLLNIIFKFQKYSSSRIGRICRLYSADF NTHLMLKLCIRDKPFETQSILFAGGMFVFGYSLEVAERALFRLEDSVDASYIGNRFWINL ITIFTVGYGDFFPYTDLGRVAMGCGVVYGVVVTSLFTATLYNLLTPLNGEYLAWALLEKA NIRKVIQNVSGNILIKLNDISKKHTLHIKTLEEASHLKDQVGVMNNLLQEVSTLKRQYRD IDGEEFMAMVKRKFKDINGQFEDVFEFLEKIQKQQKLIASTIEKPFDFSIKQSVKVKESS KDDEFFDNLNETVNCSHLMRFEED >CAK80741 pep:novel supercontig:GCA_000165425.1:CT868374:350839:352278:1 gene:GSPATT00015619001 transcript:CAK80741 MNQNDSINQVTYAKQFKTGIGTLGYERSFSVSQEEIQSKENNYQKVQEKEIICFEDSRIT KLIMEGHKLIENFRLQLILVITALWFLEIDDEIFIEKQGSDLILWLTFSLNLILILFTFL SYLTLMNYWKSTLLIPKDQSFFHSDQMKPFLIETGFLLIFPTVFTRDIHLTFTLKNNEYY YTVNEIIIMISTLRSFQILFIYLRLCKFYNSQTQRLSLNYGIMMNPKFLFKYLIHSQPTY LIISMFTITFWYCTIAMWIAEKALQRENLQTVQLFKHCFLEVILSLLAYAYEDYVPITNL GRIILSYAAYAGFVMASIIISAIIQAFEQEYGEYQACVLLDKLIMKENMYQVTSNIGEQF YYIMKFDKNPFTYNRVKIIQAELKEFQMLKRQYKSIISENVTNLFKRRSRESNDILDEYQ DIMKMCKYQNKQIQEMLEELISLQNYHYHNWYD >CAK80742 pep:novel supercontig:GCA_000165425.1:CT868374:353329:354948:1 gene:GSPATT00015620001 transcript:CAK80742 MNLQNEGSIELSGNPASRAGEDEAVQYQKRLSVIILNQIQRKTLKKKSTIIQSSKRDVFE DSRSTRIYFERYRVLEQGRFWVICATLVLVVLEYEASFMHQLTDTYDTEIKILLYLIMIL SIVTIIMTLIAYLAELEFKKRSLSIPKASNIFETNLIFLLILEVIILLPCPTPYTMEFKI FYVQRYSDQIRFYFLNEILTFIMLFRSLLILNTAFKFQDFYSNRVNRLCRIYSVDFGPHF IFKVAIREHPYKTICGLFCIGLFIFSYQLEISERSLLRTEQEVMSYKVNNSLWVCMITIF TVGYGDLYPLTDLGRFSMTLGLFYGVALTSLFTAILYADLQPFTAEIRSITLLDKANLKI SIKSFAQKAILNLFKLQQYFQKNKLKHIQRNPAIKQRVTYIRGILQKSENMRRNYRTIDT EDLITMGERRFQEMTHFFYEYYGMLEQMQQQQELLEDYDTEKINSIKKSHTVTSASLEIK SKDDQYFEELIDSQSQNQQLMKFNDED >CAK80743 pep:novel supercontig:GCA_000165425.1:CT868374:355158:360240:1 gene:GSPATT00015621001 transcript:CAK80743 MGQIVPDLICLQFNNYQFRSNEIFFQTRSNTERRKQKVDYGNLAMIVFYFIQLLGYVYSQ FESKTQVFYENDYLAQIGQYSSIPFMMIVIHYDPFTTLVYYCIFGTMCSIYGIILFQTLL KVNQVLINQPLPPAHLINRFIKFYFQNFQWYFLTPFHEAMIGILTCGRLAYLEQHSALNP RNCFNEISPHFIVISFSGQVLVIISGFLSLYCFRNYEFIQGDLMRKFSYLNFLTILLHMV LQMASFWKEMYDNFNMITHGILMVILLIIALDIYINFPFGFSSETVYFSLCLLSTFFFEI LVAIWIFSDLDDGHIFLSFSIAVPLIFGINQAFYENYIDRQCQQFVRVRCGNISEHPLEV ICQLCHQDIKEQSDYFLVLKYLGIHCQYCSDLTCPCKQKINKFILNQNQLSKNQIYLWVQ YEFQQMIKSVMQNPDLFYQFEQLTIKFVTFLQKYRENQVLSYKIIQDVIQTFQKMNSNTT PYFFINLAKHIQYQNKVEMENINKAGVQIQQQEYLTLQEFNLFYRYESSLVKNLNGLLMT QKQLWSEKLRNQIQLEKILRCSKDIMKKTLILKKIIKNFENSKEPPGMDTILTLRIKLVT SLVCMEDIHLSIKIARHIQSYETDLFNQKQLNFNALQFIQGQALSVISNVSTQTFGLISQ QINDQFCNFFGYESSIGAQLTKIEQLLPIKIGRIHNGLIEAFLQKGRSSRLYQNQEQFII NSDNLIEKVTICLTTLFPYLNGQHQFLIIGHLLKRMKYEKQEIDYNKKGYILVDQNFLVF GLTRNVYERINYKYFYKNDKEIELIQPDEIYDQYTIQSLIPQMSLILEEYYKLLALRNEK KILKHDIICQREVGVFQVQSVKAPQVKTSTTFQTKKNTNKNMMNILKDVNFSLANLPTMS QKQYPIEYSVTQKVLDYVEKDTICEFLYFIIELDFLEDPKLNTTPSQVIARTPALSDILR HQQVQLEQMRPNQSNVILSNDDINDQLELMEELTNTGTKRSTYEIIDQLDKIIVYMNDTL LPKSIKDLIAQFLIQILVFVAIIVIISSLFKYKRSIQSDCIEQITYDLNFLDAYSQAMSG SRHVIYYRDFYSIQNDSLIYQNLNQINFSRYDKIYVAWQHISKGNIRLNKMYENYSKIAQ ESQIKLLTIYFVNFDLKTKIQQEVQDYSTYYQIMHQTFYLQYQSFSQSPQTYLSGNNDSY LTQLARSQVYYNFFDVIESANFTLQDCQSYNLSINNYFDTVIIFYFLGMYTIVVLVLISI IIHYYRVVKSIKIYMKLFKMCDKEDCQHIIHLCESLISMVNYDKIFLRQQDFKVIMAQPR HTLENVRKTQTKTTIILIKKKNNNQRSIKKNQNNLRFYSIVMLILISIGLITYIMSFHLY FIHIKDLISPISNQAILAQQSRLNFIASVNRFDLYFIKLYYETYSKLNQVDENYSFTSKN IQTDTQILQLLSINIETLNLDLDRLKAIDFVDLIFHNDQTYSQLDSSQQNSMLKNDVCIF TGCNMQAELFFDRLFQDELAPLFYTGVLNLHTKILAFIQDAYQVVSQDLSDVEIINNLEH IFADSDYLIYIHWGLDVIQFQISQFSQFFLNISTETINSLTQNNQLLIISIGTLLLLLVL IFSIMFVNIQYQRYVQSKAIIKFIPLPILFQKNIPKHLESFRRKYEK >CAK80744 pep:novel supercontig:GCA_000165425.1:CT868374:360487:361608:-1 gene:GSPATT00015622001 transcript:CAK80744 MKQNKQVKNIHIRSKELADQQRELQNEKKSLIQLQEFDYSAKPYVDFEYIKLKQIKSIKL SDSGSRGVLFIDSENGAIVLKLSGQVSVELYLNKLAQALDIKTTQMKCLKWLDLEMQELR NDILFAASSDEVLSHRLKQKLKAAYFEMVEYVPGLQLYYFQGERAKIIFNQERLFSLGKI IGFDIFIHNGDRFPLPIWRSVGNAYNVILKVIDEKQEDMFNFNNTNLNFECFYSIDPQTI LKQHDSSIQDKILNAYIEKVQKFLQDLCDDIKTNELNCLETFEDFIFEQIQYKLSKEELL IVKKGILYQIQKIVQFGIENIIKIQQELLLPDFQDWMDSYNSCLNQIHIEFHQKLITVFT DIINTNSEIFQTL >CAK80745 pep:novel supercontig:GCA_000165425.1:CT868374:361866:362702:-1 gene:GSPATT00015623001 transcript:CAK80745 MRQTQKVMLAFSEATTSELNEILEHRFFKEKKSPKSKSKTSLSKQQSTAPMSAIKQENSG TKNIYEIIKQMRLERNAPVDLVGPQKCFDQDKDIETQRFQILTSLMLSPQTKDDVTSKCA NRLLEYTINDIANMDEPDLIKLIYEVNFNVTKAKRIKDLAQLAIYKGMPKTFEETIKIKG VGEKIALLYIQVAFQRVEGIPIDVNMIRICNRVPIFKEKSPTKLRKFLESQFEHKEWGEI NETLVGFGQQICLPKPKCDQCKLKDICEYYKLQNTSDQ >CAK80746 pep:novel supercontig:GCA_000165425.1:CT868374:362735:364096:-1 gene:GSPATT00015624001 transcript:CAK80746 MNQNQIQEQELEIEQFRLSKIIKTLSKTKVIGTSAVSLYIPPKKIISDITNRLNTQFSEA ASIQDKVNRTSVQDSIQGAVLKLKKYTKAPASGLVLFSGLVEFEKGQKKISYVIEPFRPL QLSLFFCDNYFHIEQLEPLLKLEPSYGFIIMDGNGALFGKVQGISKETLKSFNVDLPKKH NKGGQSSLRFSRIRYWARHNYLIKVSEQAKNCFISDDKPTIKGLVLAGIADFKNKLAESP ALDKRLQPLILSIVDVNYGGENGFNQAIQYSQEVLQNQKLQREKDLVAKFFLSLDLDNGK SVYGVVDTMKAIEQELVKQVICIQTLEYSRVECISKQTGVKSIKYLKGLDLYEQGSLFED NKGEQFQVTSCQDLVEYLAENYREKGIDFQLISDNSAEGHQFYKGFGGMAGFFRFSMKMQ YNMDSEEEWKSEDDEFI >CAK80747 pep:novel supercontig:GCA_000165425.1:CT868374:364706:366514:-1 gene:GSPATT00015625001 transcript:CAK80747 MLSRYNINGRTIQEEKLLSEGGYGYILKAIDVNTKEVFALKKSYCQGEERTKVARNELEI MKRLPRHPNLVNFMGGTFIQDKGQQVCLILMEFCGGGSLFDLMAKDPNSRFSEEQLLGYI KEITQGIKSLHTLQPPMTHRDIKIENVLFQNGRCKLCDFGSASTQRVDLSQVRQSDFVIY EEEWEKNTTLMYRPPEMADLFLRYEVGEKADVWMLGCVLYTLCFFIHPFQESSKLAISTA TYNIPKQHRYSDKLIDFIRLMLTPDPKLRPSIFDVERILAQFHSLPYIQLNAQAIEIKNR DQKLEQEMEQYNKNSFKVKKFDGDIPIDELMNLQKKIQTEKSQVKQPQQSIRQPQQQYVQ RQQMQQQQKSGLQQQQFQQFSEFNQQQQTSQSKSQQDIFAQFNNPQQSFSTNNAWGNSNQ WTTQQQTNLQGFDNFGFVQTSHSPSPNPFQWDSQFNQPQQQQQHQQQQVQQLQINSFSTS PTNSAWDVTTNNTEQSHQSTQPSMNFWNGNSQQQFGVQTTQIQSQQLPLIQQQETIDLIG LNDPPQQQQQQYDLSNIIL >CAK80748 pep:novel supercontig:GCA_000165425.1:CT868374:366529:367041:-1 gene:GSPATT00015626001 transcript:CAK80748 MEQIESVEGKLFYKIYMLCKGQQINEDQKAKLKDLVIQRDERIGIAMTQYLEDRDEFRLL ENFHNYSCEEYSPKSYNNLSDDVSIGSSSKYKGKRPPKMQLPLKTFMINLRKNTDVTTSS KGFYTSTARSGGNRNYANFNERFQQSNWSECE >CAK80749 pep:novel supercontig:GCA_000165425.1:CT868374:367330:367876:-1 gene:GSPATT00015627001 transcript:CAK80749 MGSCYSQVPYTFPDGGIYDGEMKDGLPDGKGKIIWDNGTQFEGQFEKGKKIKQGVFKWSD SSHYEGEFLNENFHGYGEYYWYNGRVYKGNWVNGKMEGQGTLSFDGKEYVGEFKNDKKDG FGELRWPDGQKYIGAWKNGRQDGKGKLIEPNGNVIEGIWVKGKKQ >CAK80750 pep:novel supercontig:GCA_000165425.1:CT868374:367893:369195:-1 gene:GSPATT00015628001 transcript:CAK80750 MDNIYKFRANTSIKHLQHLNNDKQFYSEDESSETNQNQEVYLKQISKPPSKMSHFSQNLT GYYTDDSDKDSSRDIKRGPISQKLSKFRNLVNPIEGDVEGAQEEQEEDAQTDITHSNYED PYNITIQSSKLQQKLKQYNNDEIFLVKLQRNANSDIEYSKSKQNIKCKLSSHPFRHLIYG PSISETSFNKFLHLIQRGLIYAKRCLKEPSYNYIKSKTQMLPEARIPKTKTLLLDLDETL IHSCSARDQYQTSIPAVSDQGEHARIYLNIRPFCQWFLQQMSLLYTIYVYTASSSAYASA IVRYLDPKGQWISGILSRQNCLETKQGFYIKDLRVISNKQIKNMLIVDNLAHSFGFQIDN GIPILEWHDDMNDQELKYLATYLMEAADQENLGLFNKNRQRLLDLIEYKFD >CAK80751 pep:novel supercontig:GCA_000165425.1:CT868374:370272:371234:-1 gene:GSPATT00015629001 transcript:CAK80751 MGTCSSQAKQKGKNIQTKPNQNELDTNLNSGQKQPASQKQVEIEECPKQSHQEAQEQVEK KEIKSDLQNVITDEEAIKQYLLGEELSEVSMQMEKDLSAQIQQKISFKDKKQIVSVIKAL FLCLKKLEEPIYQLDKKYPSEILNNFQQFLQQSLQDLFEYNINLVKNEQILQEGIELCCR TYHVLQNLGKKLKTQKAYAQGVQDEELTISEELKKQYLKVQSEIKLEIIRTFQNKNEVSK SQQQQQNNPLVLMKFNTKHAITLAKQQTLKQQAGDDEDSQSLQSLQKALEYDIQYSQYDT SNMKPKKQQN >CAK80752 pep:novel supercontig:GCA_000165425.1:CT868374:371812:372517:1 gene:GSPATT00015630001 transcript:CAK80752 MQKKHCDLILNEKGSLWLGNCESALDVVQLCVIQEFLKLKGIKTVITVAAGLQLKLNGLV HHIIEIFDSDTANISQHFQTANEWIERGFKIGGVSQVHCMAGISRSAAIVISYLIEKKKM NYNQALSFVKSKRPQINPNKGFSNQLQAFVVKVQQSPLARNTRGNNFQQNQEYYDCNYYA PINRKGSAGILQQNMNFYKSITKSISQSKNKNK >CAK80753 pep:novel supercontig:GCA_000165425.1:CT868374:372870:373339:1 gene:GSPATT00015631001 transcript:CAK80753 MRKQQQEEAEEDQASLLPIKNHIKRIEEMLVLLNNDLVNDDKDIEKNRQDIRNLGDAQNK ERTQLHNSLLDHCHKIVTDMRELNQQSKTETYNLKQQLSCLNQDKIRLQQNLIVLENKVI ETDKDIGFKRRNQQLNKKK >CAK80754 pep:novel supercontig:GCA_000165425.1:CT868374:373354:374770:-1 gene:GSPATT00015632001 transcript:CAK80754 MNRQNQLAIRDSLKNTLFSKRKRNLKFNPPPQNMSPPIQNDQQKIASQQFRHFSDIYEYG HLQIGEGAHGIVKTCYKLDQSNWNNKERTTYAVKIFRTGDTEIINTIRETFHINRALNDL KCVVRALDLFINSKKEEHHLVMEYCPYLSLEQRMVNLKLEDIQIIALNLAQSIKQLHSRG ICHRDLKPDNILIGDNLALKLIDFGVSKRFLVKGKATKKIDMWTRTGSLFYQAPEIFLGG GYDEKVDIWSIGIILYQLLVGQLPFQQETILDTIEMIRDSEINVKNQTAFKLLNTLEQDL LKRLLKKDPEKRLSAEDLVLHPWIHKRQHKKSLISYDDCDIVDIRKIDGRLQSSEITTQM QYLPRSKNPLIAPIDEEATSPLNMNWNNWDNHVHYVPQDLIQIIDLNDNRWKDLGNSEEL LNEQQTQEEVGDLQVGQLRKTSEHFDQL >CAK80755 pep:novel supercontig:GCA_000165425.1:CT868374:375434:376923:-1 gene:GSPATT00015633001 transcript:CAK80755 MDQRIRLIQADPTNFSIDRIYSIFWNTISKTRNYSPNQNSFICPHTIQIELSQNEDRIFY QPQSCNDCPQNQFRVIYNQYKYKYMICGILYFLLEGNNNLNQYYESQEFFFFQNLYGYYN LNKYYLRSICQIFREQEFQQKLQIVNQMTQAQRPIIAGQFQLTRYCVLIISPCLGTPQFR EQEENSTFYLLSFQNFLQDLQQISIQIGHENVPPPCLHNTFISQRYHHFFNLHINIYQTN PICRCLNNQMGTILYALLTGEEYIDGNQGDDDQIIDDELIRFSKNLIYENQFNSSYEQFS QYQEIIKSIVRFRSSNSIILGNAIDASFYAYQLTRNLIHIEQKNLWKYYKILFLQEMIEL LENKIISQLLYSGFLCEQLFQLKGTQIQRINAYLVDSNSSILSEILSNYSQQQSGASQIE QQHQIQENNNEFPQLFVTRQVLFQQFSNVIAFDSPQNQFFRNKFEAADFNYRTIIEFEEK LKDELISV >CAK80756 pep:novel supercontig:GCA_000165425.1:CT868374:377682:378586:-1 gene:GSPATT00015634001 transcript:CAK80756 MSKQLQNEKQQNVIQASGLQEAYEYLLRQLCKKGLPTGNVYEFSAQTILKYEKKLKNQNI QTKAQQAAKEAHEKFVKKMQDKEQKNQEEVSKYELVFREEQKRKELYQQSLSQEPAQRQS IGKQSLDLKNQQIDKVDQSPPKGEKNQQNIQTSPPKQEVKTSKQEPEQVVQQQTQNQQQQ QQQQVQGKQQQNNNKKGQVQNQKKDTKNQYQNESILKELKLEEQKEQQQQQDQQQQQQQQ QQQQQQQQQQQQQQQQQQQQNKTNDVNKEQPKEQQKQDNKKTSKKK >CAK80757 pep:novel supercontig:GCA_000165425.1:CT868374:379236:381870:-1 gene:GSPATT00015635001 transcript:CAK80757 MDPRSQNNSDNQIHCDGEEDQRSGGDENDKLQNFKHNIHEGGEVIHENVKRIDMKKTLND TTFILTCLKNHFVFYNLSEAELENIVNKMFYCEAAAGAYIFKQQDHATCFFILQRGSLEV IVNEKAKRELKTGDGFGELALLYNAPRSASVKCFENCNLWGIDRNTFRRAVEEMITKEYE ENRKFMEVVRFFHNLTNEQKDAIAAVLIVQKFYKNQIIVNEGDPGSSFYIIKEGTVSVLK GNKEVRKLYKGDSFGEQALYYNTVRQMTVRAEDDVKCLALGRDSLTKILGDQVHVVTFRN LQKWAFEKNALLSKLTKAQIDKVLDVMKISSCKAGDVILKKGTQANQKIIVIIEGSLKKS KSGITVATKAQAWGEEYFLQTNKAKILDDDIVMETDGVIAEITADNFIDCIQGELEEVIK KNEKILEKKLQKSDQTKKKEAQNIKKSELLHIKTIAYGQFGPVYLVKAKYNQQLYVLKAF NKNQINEQTLEKQYLMLFLLLEIVNFPFIISFMKTFKDTLDVYFLLEYVRGMELFDVIRD IGLLSTYDSQFYVASMILITEYLHHQNIIYRDIKPENFMVDDKGFLKLIDLGTAKIVKGK QGIIRTYTIIGTPHYMAPEIICGKGYNCLVDLWSIGICLYEFMCGMVPFGEEAEDPYEIY EEIIKKDITYPNYLKDKKAKKLMDQLLSRVPEVRLGGSYASLKGNPWFENFDWEKLLEKE IKTPYLPPADKLLPELEIKSLEQNGKMIEEEIKQEQSVRQMDGQNQGDQGWEKDF >CAK80758 pep:novel supercontig:GCA_000165425.1:CT868374:382563:382796:-1 gene:GSPATT00015636001 transcript:CAK80758 MDRFLINQKCYFSNPLSELQKQTLDDTVIDEPLENCETRSSYNQIVIIQSLHSKTIIENF QDKRTHKKSWRDNFIWL >CAK80759 pep:novel supercontig:GCA_000165425.1:CT868374:383137:384264:1 gene:GSPATT00015637001 transcript:CAK80759 MDDLSVKQSLSEFLNKIQDITSLINFGLKPVGLYFKSELPEYCRNNSILKKICSIFNIDT LLLYSQNQKLAFSSKNITTSVAIVKIIDQFDLRRIKLQTSLRFNLGQQIDVQSTLEKVRK NYENLNLLHNNQLLVQQQQLNEIANSKEIVLGYFMAQTQSSECVQNDQTSILQGDLSILI LADSKITISDIKSLILNDLLESVKVRLSQELNPPCYLAKRLLITFKQNDLILQNYIDHEF NKEQEINYINQYFPNQQIDQIKILESKQKVKLEQPKQQQQQQQQQQQQQQQQQQQQQQQQ IKETIYENQKEAVGQQNQQQQQQDIKGKVKQEYLIMLAIFGILVAIYLNTLF >CAK80760 pep:novel supercontig:GCA_000165425.1:CT868374:384288:397593:-1 gene:GSPATT00015638001 transcript:CAK80760 MNSKSALQSAKPTNIKQLQMLQLLVERVYIPLESKVELRTTLQKFTSQIEHSSQQVSGTI TIELPDVVDQVDDETALKNYELMDKYEEYIGKWSQTIRQTILKEASRKTEKNSALADIML WRSRSANLSTLYQQLQNPIVAKVRQRLQTFLEDNQSSVLEFNGQFAELTKAQAEAKDNVK FLTTLERQFKNLDQDGLNGIKETLPSLMNGLKMVWIISRHYKEGDKMQNLLQLISEEIAD KVESQIKIPQLFTLRDDLPYEQQLEASINLIMQGSEILKSWKDLYHKTKLAIEEENLDRW DFTVRTIQERIEYMANRLDELRKVIDTVRKFLVFLGPNLKKVTGNAQEIDKRIEDVKQLI TPIVNSPYNFFQKQNAGQWKTIYQKFQENQKQIVQKTIDLIDETFKSLRSAEGAFDLLQN FKSIDTLEDISTTLQKKYQEVLFQYKNELKQNQGLFEKGQQSTDVSLISSKNRPPVAGSI SWARSIFYRIKRPVLKFITREETFIEKKDEQEKQENKESKNPKNLGSQYNEAKNEYRTLA KKLDQFQKEKFESWEKSITEKALQYQKNRILTKHEQPKEEGSWNRRYTVFFQDEFKTLIK ETKQLEKMGYKINQTTINISKLEKEFYGYIDRLQIMLREYDEAVHTLQPIEKELLKEKIM KLNRALEPGHESLNLASLGIPDFIDNCLKAINEFRDIKKKVRKSTGMIEDIVKSIEEAQI LREYDFETRKENASLPQLNEFQSYFEEHLNKTIEELVEKYKLVGDNFLRNIEESIFNSSS KGEPRMREYYYYWERRIYNALVKMTLRGFLTFKNLLQQPSGKQVPLFQITAEYNHPQLNT IPPIPEVTTVLEKISTSIKEASQGFWRWMDGYCIFCEKKQSRSANEEIQYHTFYSQIIRN PIITRIAMQIGIIREKASDKVNNFKEMWEDDKKKPLWDQKAKHAVEKIIDKNPTTLSLEI KMSQYKGLIQDFEEYPRERKAFFISEYFGNVIESFKAQAKDWMDKHGIVLKTLGMRELEA IKKEIDDYREQLMVQPKDIEDLKKLLNLIQEIKNMTMNMEFKMGDVTERFRTLKMYQQNV EQEKLEEAFSLNDRWNELVNHAKKKDSKLVEKKKEFAEVTKKEVEQFKENIKLLYKDFKA SGPGADSTSLDKGLESLQEYKTVVSELNARREELVKAEKLFNLPISSFPELVAIEEENKV LSVLYDCYRTVKNQIQEWSVMLWVKLDADLLKNGADNFDKQKKKLAKQYEKNTVYEKLAK KITDFKDSIPLIQQLKSGAITDRHWEKLMKETGVKFETSIKSMTLEQVFALNLARFPEKV TEICTEANQEHKNEEEISKIEQAWKTASFIIDKDKKGYQVIKTVDEIKQLLEDQLANLQT VSSSKYVAAFISRIRHWEQALNRISEVIDVWLQVQKKWQDLEGIFMGSEDIRQQLREDSK KFDQNDKAYKKIMEQTAKNPNIYASCVVNDGRLSELKALSDQLDKRQKSLSDYLDTKRNV FPRFYFLSDDDLLSILGSSDVSAVQPHTLKLFDNCREMLLSRNKMVIGMASDESEEFSYK EPQKTDGPVELWMTRVDEEMQSTLKKMTKEATFHYANKERVPWILSQIGMISIAGTQVWW TWRVEDVFRKVKEGNKYAMKDELKKQTKDLDDLIDLVRTDLKMIDRIKINTLIIVDVHAR DIVDFFVKDSILDAKEFEWESQLRFYWVLDENDIRIRQCTGTFTYGYEYQGLNGRLVITP LTDRCVMTLTTALTFKLGGAPAGPAGTGKTETVKDLAKSLAIRCCVTNCGEGLDYKAMGV IFSGLVQTGFWGCFDEFNRITAEVLSVVSVQIKTIQQALVQDKKTIELLKKEVQVKTTVG IFVTMNPGYAGRTELPDNLKALFRPVVMVVPDSNIICEIMLMSQGFNSARVLAKKMTVLY KLGSEQLSKQYHYDFGLRALKSVLVMAGSLKREAADLPEDTVLMRALRDMNMPKFIFEDV PLFQGLITDLFPKIDVKRKPYEKKDKIQEIVEQLGYRPLDDQIDKVVQLYETMLTRHTTM VVGPTGSGKSAVIEILKRVESATYYCINPKSITVNELYGVMEMTTREWKDGILSKIFRIA NEKPSGQQEVHQRWILLDGDVDAVWVENMNSVMDDNKLLTLINGDRIRLERFCKLLFEVY DLQYASPATISRCGMVYVDPKNLGFKPFYDKWLSKWQKKGDKAEGLKQNLEEFYSKYIPP LMNLIFEGIDGEEQGHVLDFSIPRTNLNCITQLTKMLDTIINEEDPQFEQENLELAYIFA IVWSLGACLKFEARKKFEEVLRRVAQRHIPPGSLFDLFFDYTQDNKAWVAWEKKVTEYQP PPDGKFSKILVPTVDTKRFSYLLGQNITHKQPCMFVGDSGTAKSVIISNYLNSLPSENYM KLNINFSSRTKSIDVQTALDENIDKRSGRIFGPKIAGKKLIIFIDDIHMPKVDIYGTQQP IAWLKFLIEKGFCYERGQNLDQKIIKDTQFVAAVLPPNVGANPLDPRFLSLFNCYQLLFP SNENLERIYNSILKSHLQGFPEEVSSTVAKITQATLQIYNAIVIQLPRTPVKFHYIFNLR DLSRIYEGLCRSTLDKFQTKESFIRLWRNEVTRVFVDRLINDQDRDLINVDKIPSLIREH FSDTIEYAIQDPMLFGDYLTANPLDPDVVDPKLYEDCGGFEKVGQKFNSLLQDYNEEIKE MNLVLFKDALEHLTKIHRIIRFPLGHALLVGYGGSGKQSLTRLSAFTASYDIFQITLTRG YKEKEFREDLKTLYELLTQKPTIFLFTDAHVLEEGFLELINNMLTIGMVPALFDEDGKKK MGDKVRDEAKRKGILETKDELWNYFLEKIRDNMHIVLCMSPAGDTLRIRCRNFPGLVSNT QINWFFPWPEEALVSVATEYLKEENLEDETFRPKIIQHITKVHESIQMFSRDFELQLRRK NFSTPKNYLDFLSNYKRLLAVNRKKYQDLIVRYTNGVQKLDEASEQVKVLQEELEIKKVE VTSESKEVEDLLKIINGKKEIATKDNEEASIKKKKLEVDSVEINQKQAEADQILKEAIPI LESAKDALNKIDQKELVELKALNSPPKPVAAVASMLLIFKPIDGIEGDGWNAARQMMNNP MKLLEQLQNYGNKIGKVTRNQVEKIRSAQNNPENRLDEIQKISKAASGLYTWVTATVNFY DVYKKVEPLKLRLEAMTKQKEVTEEDLRNTAIKLEALQKEVAELQVQSDQKAARLAELTA QAQEMEKKLNAAKKLIEGLGGEKKRWTEDTGKLAQMTQQLIGDCLIASSFLSYVGPFDYS FRRKMLYDHWMVDIREKELPMNSEFKFEDLLSSAVEISQWNSEGLPSDELSVQNGILTTR ASRWPLCIDPQLQAVNWIKKREEKDIAFKVLNLNEGAGVFLKPLENCIRYGKPFLFENVD EELDPTIDPILEKNFIIKAGMKSIKLGENTIDYNDDFRLYFTTKLANPKYTPEIMSKTMV INYTVTLTGLRDQLLNVVVSFERPDKEKQRLELIQSMSENKKKLKEAEDDLLQRLSEAQG SLLDNVQLINTLDQTKAKSEEIQQAINDGQITSQEIEQARQSYTTVAKRGAILFFAMSSL SSISEMYEYSLSAYLQVFNQSLRDARKDTILESRLRNIIDKLTSNVYDYTCLGIFEIHKL MFAFQMTIMIQDGEKLLNHEELDFFLKGNTSLEQVQRQKPYEWVPDSGWKDIMRLMQLAD IYRLLADDLEKHGKEWKKWYDHERPELETLPEGYTKLNSFQILLLLRVFRPDRVINGVKK YIQDIYGNSNYVQPPIINYEKIFDQSNEKSPIVFILSPGADPLPDVMKLGDSKGFTGAKF RNLSLGQGSEQEASQFVEAASQRGHWLMLANCHLLTGWLKSYLEKTLELMQKPHKDFRLW LTTQPTDRFPLGILQKSLKVVTEPPDGLKLNMKAIMSKIDENSLNSCPHVAYKTLVYVVS FFHSIILDRRKYGKIGWNVSYDFNESDFNISSSLLSMYLRKAYDAKDETIPWNSLKYLIG EAMYGGRVTDSYDRRVLITYLDEYMGDFLFDKNREFLFAQSEDFKYEIPKILNHEGFQTM IDHLPLINSPVVFGLHPNAEITYFTNSAKSIWDNLLQLRASGGAVSGGIDKDKYVNDVSE DVLSKLPVIWDVIALRKEAGEVISPTQVVLFQELERFNKLIIKINESLFNLKRALKGEIG MSNDLDELSLALFNGFLPGMWRRLAPQTEKKLGSWMNHFKRRVQQYSYWASKEEPYVMWL SGLHIPESYLTALIQTTCRAKTWALDKSRLYTQVTKKFKAKDITQRMEFGCYIEGLYLEG ARWDVENNCLKKQNPKELIYEMPLIQVIPVEANKLKLKDTLATPVYVTQSRRNAMGVGLV FEADLRTQEHISHWILQGVAMTLNVDY >CAK80761 pep:novel supercontig:GCA_000165425.1:CT868374:397682:398704:-1 gene:GSPATT00015639001 transcript:CAK80761 MNCFDSYCRQIIRPPRRQYMISDLGNMHLQIQKGPKIRFLQTKAIVRMDFVFESRNIQLN ASYFLTRSKNHRCMIYLHGNASCRLEGIRYAEVLASWDINLCVLDFAACGMSKGEFITMG VYESQDVIELMKYIENNFGKVDEFMLWGRSMGAVTALLLTENSKIRTYIIDSAFSEFRQL LQDIGNRQFGVFSFVLYLAIPILRRKILNQAQFDINLLNPIDKLKQVIPNKKFFFVAGKS DTLISYQYTLKLYENCQMPKKLDICEGDHNSNRPSTTLQRIIEFINEACEFKYNQEYQIR TEPYFKFQNQHSSEEEEDSKLQIVLSEIKTPQTKQQFISN >CAK80762 pep:novel supercontig:GCA_000165425.1:CT868374:398712:400185:1 gene:GSPATT00015640001 transcript:CAK80762 MIVENVKKSKFSFSRKSESEETCKLEQFKNKLQGDVLQFLKNTKQDDQSLQMFLQRLGII LEGDEETYILDIKEYHNKYIEGDVLGEGCIGLVKSVKRRSDGFELACKTVKTDSEEIVKK MIMEFKNLRRLNHPHIVSMKEIYIQWFEGFQSTGMVCVIMEKIEGREMFEVIQQQKQYSG IILTKKETIARVLFIQILEAIKYMHENYCCHRDLKPNNILCAHDGKSIKITDFNFNDRYK EFGDLNQHGKIEMWTYTGTVAFSAPEIFSGNLYNEQVDLWSAGVILYVMLSGELPFNQEY LNDLIEQIRQCKYEFTGIIWDQISESAKDLITNLLQLDPEKRFTPEQALNHPWITHEQSN SDIPRYQLQKNMARILRVKNINQESRIKQICYLFGAGDIWKRHSLGQDTNLDLYDNLKKY RSIDISDSWKNAEIKVTKDTNKKGVYTIDYPFSDSD >CAK80763 pep:novel supercontig:GCA_000165425.1:CT868374:400345:401694:-1 gene:GSPATT00015641001 transcript:CAK80763 MNYKYFILILGCLLIPIYGSKCKKVINSDAIYIFDDLQTKEYKQILNQTSTLYFRFCQPI LKCPELAVNTFAVIINNEGKPEQQCISLVNTDSYFADSFELINEEEPNEGVHAEFNNTLN GFAVKYVLYCSEQQEDLEILDISYEKEKQLYQIEMEADNGCHLVLFSKIVEFLQDNKKFL SAILIVIGLTECLMGKKILKPTLFIFGYLIGFFFALYISSELDIGDNPFYLWIAMIIAVL LGAFVGGLSMHLDKAGIVAVGIGLGVVLSLLLWNALLVKFVTSEYILYSIIVVLSFGFSV LSFRLFDHLIIFSTSFLGSYLVFKAIGLIAGGFPSEIKSISGNSDYRYYIYFTCIILLAC FGIYYQYRQWGQKIITYDEIVSSMMNGNQQTDRQDPLLNEHGNNSEDQIELKEINQKSEI KGFS >CAK80764 pep:novel supercontig:GCA_000165425.1:CT868374:402136:403221:-1 gene:GSPATT00015642001 transcript:CAK80764 MINLQAIVSLDVGDKIEQIFIYEGDDIELLTQFFCDRHQIKQEGKQFIIAEIKRQLKVPV KTRHTSILSLNTQQLVQKLYRNKTEMDVFEQLYADASNQQKRLNTALQEQDQEYKRMNYA IPRINSISRLIVKKRGNSAQPIHTKLYQDAKLLDAKKQILRQRVMSQIYPFHPNNGMTHA KKPSFQEQILHAEKLIQEKTDQNLKLQQRRLEQESAQNDKVTNQSYFKPLIRKDQTFKLV KKKVDKQDQILANLIGNKMIKLNNKNTKSNIKESEVTNNINFVEKLFKQLDSDRDGFISI SYINLNINEKVLKKIHPILNYIEENNLEINLDYFFQLLKLFHIKLD >CAK80765 pep:novel supercontig:GCA_000165425.1:CT868374:403557:405074:1 gene:GSPATT00015643001 transcript:CAK80765 MSLNNFFKKKQQLQKEKEETEKQQKKIEQEQKRNIQSVKLKARASERIENFQETMLSKQF VETMKNGSYPLLSISKHSFRDREKEKELDKLGGIYLKTFQVAKDRASTAQKEFFQSHLST GEFEQNPPNNNYQRWLRPPDISKQEKPLRFGLGERSEVQRLNKQIKNISAQLDFENKDLI MLNYPSWKDDEKSKWLDNKTFNIYPCLYEGPKSYFPWKQHPINLNNQNNQYVEGYEIVGD LSRKREKNQEHKRSEFIPTVKEDQFGTTIHISRSIRSMKQDKILNMQSNYDEYSTNKQIE FYKTTLLQTKSIDHITPHQHSNNYKGHSLHSLRPQQPYKLYRQSINYLNQQIVSKQPPEQ LQQSSSKIQEDQAISQNQTAELFLPKQKLTQIQEIPQVQISSQQSNKPFSRDTLNSQGGS KSRFMDQYNSRRSQQVEIKLGYPYRLENDETTAEIMGKYFIEEQN >CAK80766 pep:novel supercontig:GCA_000165425.1:CT868374:406087:407334:1 gene:GSPATT00015644001 transcript:CAK80766 MKTRNSQRQSQREDLSVTCQEVLTIISQKFHTLYINHFQALFQNLSMSEFALNSSAQTPR KKRGPICKKHKYTTINLKKHKSSKQFICYEDRDLNIPEKYQSILQKHVRIIFKKLKKSDD DRESDSEQIKHAINYLKELNYINFIMLKKYLLQSNSKDYFQQKRVHTKDSEHTRHFEQHN YVKRSIQTETKPALKPIDMEETRKEELSKFRSISLYNKKQVRVPSSAEKCRSNEKIRVFN IFNSTPRNVKPSRSQQMLNGFKEKVVYSSHSRQQSAAKHLISSRINDSQELLQQATKPNM IPLRRFFKDNQVQQQNNIKLELEKHKTNNILELLLLTTGELKKKFQEEQKQRDSNSRQNS EERVRIKVRNSHKFPKDFFL >CAK80767 pep:novel supercontig:GCA_000165425.1:CT868374:408131:408655:-1 gene:GSPATT00015645001 transcript:CAK80767 MKQYKISSMTILLHQHNIERVVQKIEHNCYERNSVKQKSEQVDSDFFFSKPTQKQNGHQS HRQPMSARSLYFTPMKSAKSHRQMQQQKEVNHFEDGIESIDGDQSNLLTVILQLQEQIKQ QKEQIEKLNEKCTILEAEKDEAQKPNIEQITITAHLLQQNEQLMQQLARLKKKQ >CAK80768 pep:novel supercontig:GCA_000165425.1:CT868374:408963:409832:1 gene:GSPATT00015646001 transcript:CAK80768 MDQIGVDQKKEKRKNEEIQHLFFPNKRNFHIYAENLKSCQKKLIVCMYQISHKILVNILI DLSLNGRDIQIVTNSSNDDKKAKSILLMMIQSSLEKIKIAVYEKELCLMHQKYCVIDDQI IMTGSANWTNNAFRKNVESVVILNNVKEAQLYTCEFWKVWNQSQILRLKGQNLDFSPFIN VESMICMERRRQKSKFNKIIDLEDSHQQEEGDKDEEVKEQSDESVQGFQSQKIMKIDGNP KRKRIRKSNLQQQRHNLQHNEFHEGNLVIQIDAPDKQNLDQIDEFEIML >CAK80769 pep:novel supercontig:GCA_000165425.1:CT868374:409865:410847:1 gene:GSPATT00015647001 transcript:CAK80769 MSNQEINELNALQVLDYDIFGKCNDLMSFIKTTQPILSNDPQIKVKIGAVAKLINMLLKL LKDSDSSLLSNQPEYHDIIYIINNNLQWKIKQYEEQIKKMSTSDAQSNSEIINLKQEIQK LKDDGQAKTNEIAKLNQEIKALKHISKNQENQEDETLSKMQNEIDSLSSVSYNLQNEVKY LKLQLKQKEEKIQDQEGKINQQMNQINRYKNDQSQDRKNDIFYEQQQIFQDNDDLRELVQ QLENKRRAKRKINNEEVKHLSPQEQAVQYEQQNQEINEIQNKIFGLLNRLSTSGIILSAT FVAFILIQYLK >CAK80770 pep:novel supercontig:GCA_000165425.1:CT868374:411002:411396:1 gene:GSPATT00015648001 transcript:CAK80770 MVTYYIYIQQQPINDINVDEVLRGLSNVEGANGYTVIREELYYLGIPLKRSEKNINYEKA VHMSALVADLWNVTKKCIQRELRSADNDLEIIRIRTKAQSEYIISQCNFDTYESLRG >CAK80771 pep:novel supercontig:GCA_000165425.1:CT868374:411404:412099:1 gene:GSPATT00015649001 transcript:CAK80771 MIGIQLCGKAIEEAKQAAAAEAQAVAEAEKAKKGDKEQIYLQQMAFDKALVTKFTTLGVV GITIGLAVWGFFTPLCPDETCIDTVINNVFICFNLSLLSMVLLPSEFQVKWIQDGFGLID NLFGRGLYIFFIGSWVFGLHTRYIESGIRTYSLVVAILDLCVGVLYVIFYFAFGESAQPK >CAK80772 pep:novel supercontig:GCA_000165425.1:CT868374:413307:413970:1 gene:GSPATT00015650001 transcript:CAK80772 MKRKVREYDENEFSKADLKIILLGDSAVGKSKLVERFLLDDYEERQQSTYALTMYRHNAK FEGKTYKIDLWDTAGQECFQTLHASYYYGAHACILCFDVTRKITYTNLKKWYEEMRQNCP TIPCLLVANKIDLDPSVTETKFKFAESNNLPIYYTSSADGTNVVKVFQEALKAAIEHKQK PGGQFMDDLMDYLQG >CAK80773 pep:novel supercontig:GCA_000165425.1:CT868374:413996:414620:-1 gene:GSPATT00015651001 transcript:CAK80773 MDQPRHVFKRVKERSIMDAKQSVDEWRKLFKNGETDQNGNKIKYSLKQAAEKVGVPKKTL EDYHQLLKKAEQFLDLNEVGNQKMGYLRGFLKCKQQQQTGSDILSGKDQNIDSSDYMEEE DEFKQQQQQQQFHYEEMIVEGDVRCYYMEDQSDFIQQHVQTVVIHHQLNYDNKLDIPYGD CDDCDDFDDCETDDEFDNE >CAK80774 pep:novel supercontig:GCA_000165425.1:CT868374:415911:416444:1 gene:GSPATT00015652001 transcript:CAK80774 MKFSVPLSHSPSNFSKQLIQQQKQLCLFKVRKQLQNLNDPFDSTEISRALRNLETQQQRK QLLDSIIKMRETKRTQEEQIKQEQLQRLWVKANQTNSKKLNTNYVYHRDLDDFESIKQSR YRFESERIENFYLRNEGKHHNFHRVSSLVCLTTDLEKKKRLVPLITRKDRKNFTIVN >CAK80775 pep:novel supercontig:GCA_000165425.1:CT868374:416480:417557:1 gene:GSPATT00015653001 transcript:CAK80775 MEVLQQEDNQFRYKLMNVFFQYIKNALFILLIGYEGIKLLNIDHDCQLSEITYISTLYHG GIIILLFNIVSACYILYQKHFVRIEYFITYGLNMLVFVCMLLFSLQQLIQGSCSIGQLSV KYYIIISFITQLDVLLIMMFKHEFSNRQTNICSNTAVVILLLMTKIDNDCAVNALSLEIQ LISANILTLLFFTVLNVAINLFPKLREQLTNAFKFVCVLVLCFLILNYLLIVYFVQSGDI KENQECMPLDFITRTYCFLAPVNLIGMIPILASFKFETIDEEEEDIVVPQQQAEIISPSR GLPIQNSPSPFQQSKLSLNNNTTPYKIIVNNNQLSPDEQFLMGKHQQPQY >CAK80776 pep:novel supercontig:GCA_000165425.1:CT868374:417950:418446:1 gene:GSPATT00015654001 transcript:CAK80776 MKIYVDAFSGEEIVSDSFNMEEKFEGVIGEVQSQDIVKGALNVDVGAGGHFGGKNEDEED GGVEDQAQKVNNIIDAFKYAETQFTKADYVTYFKAYAKKVKAYLEANKPRQSCFILKGSW RIHQMGVCKLQRALILLSRII >CAK80777 pep:novel supercontig:GCA_000165425.1:CT868374:419048:422322:-1 gene:GSPATT00015656001 transcript:CAK80777 MNILSNYFKLNPETYEHFILWKSTMTIISSYQCFDIVLTIAQALNYEIEGQKLVFIYRIM IQVFLIIAFHIAMSKLKKETALLINIITMLKYFSLIILWCETNYDLIYTNEYDQRSYAFE IYGIMILFLIAIESQLCKSLVILFSLFYTLFRYYKRIDNRFPSFTDNLEVIGSTRIIFGH LMIQSLLIYNLYQTQNSNETVLYRNFSPQHTQRALYTNTQREMTEPQNKRNNIQNITNED LENLNNLKQLEQQYEFLLVNFQCGIYIFENAQQPIRIINSFMSHIVLTNDQLNPQLTQLE LYDFGLLSEESCTILPSFHRSKDISNFIKFTQTLEYQYINNVQFEEKKEIQFFCRKMQMQ TLIEHLLAFSHIKYNLTNFALDFSLKIYIKKDKLYTQVILNPVIMQSKPQILIYINDLTE EPFILQLQQYLKNSDDIIQTISQKIKEPLNCTLSMLELVQKEVNQDLQNQYIDPALAGCK LLISTANDFQDYVTMHKKNKLDRNLMDIHTREFISDCLNIIKAQALFRGLNIQVNIKQNV PMFLRTDPNRVRQVVLSLLIKSIQVTVNGSIEISTQKSPLLTDHIEIIIKVMATTVNEGI LESVEQTIKHLKQQTLVSKQMTDIVATSKQYSFSILTAFYISLAVAIMPFEFNSIKTEEG TQFYFILLIKNENPNFNKQLTQKRQSALVANKSQFHQVYGQSDQFKRHQSQRLTEISRIQ IQEKLKKMKRESQEETISGKQDPQIPQFGQSEFSYNQQQPGKSYSVSQISEQDDSQQSSS SSDSKAEMNNPDGDEQSSKSSFQLDDKIQKKEQKLQHSQFLDTIICVKEPKARKISVSFI QSRLHPQIAQQLTSKQRDSLLTFGGRSSVYSSMRISSVNLGPNELLDCFEKMERIKQQQF IYKCQCPKILICEQNDFDLYAISHQLSNLKIPYIYTMQRMHIVDQLRKQFSQYKTCCKGY HIVFVGVEYVNEQFSQDCAKIKAVLNEYQKDTRLIGLIGFQDDESKIALKKLPFHDCLQK PIMIDALLFILAKWVKL >CAK80778 pep:novel supercontig:GCA_000165425.1:CT868374:422357:425754:-1 gene:GSPATT00015657001 transcript:CAK80778 MINNLKGYFSIYSQPYTNIQQHTQVINIISIYQLFDMIISTIDVSTQKVDVARIMLIVRI IVQAGLLVLFWLIFKFLRKFRNGFLNVATFTYFILLSICWTETDYYLFYELKKPYASYTA EIQTLMLGLVLLQESQICQTTSLLFSLLYSLFRIQLFQNKIDILSSIRIVLIHIAFQIYL LQLPQQKKQLNNQLVMLGQQSNNYLPIHSNRQSQPNQQWRISTYLNQQDYEIKNRNTQQL KENEVVISEDNRLDTERQSLDQFYENVLNNIQIGIFVLDNLQSAVKSINPYMSHLVLKDD QLSDEFLNSEIFDFGIAFEDNLTVLPKFHRSKDIANFIKFMQTLEQSINYENGNIGLKEV QFFHKSMKIKTLIEHLIPFQHFKFNQCQNLIDFSLKIYLKQDNLYTSVVLSPILQRHKPQ LVLFVSDLTEEPFMTQLNQFNQNSDILVSDISQKIKQPLNCTISMLEITIHSVPFEIQEK FLNPALAGCKLLISTANDILDYVTIRKKGKLELCQMDVHIREFVTDSINIIKSQALFRGL QIQVNIRHNVPAFFRTDPNRLRQILLNLLVSSIQATINGTIVVSANKSILMQDHIELMIK VHASEMNQSVLKTIDKTVRFFKSQNLMTSTMIDLANAGRKYSQSILIAFCLSLSISTIPF EYHYEKNIDHEEICFIIQIQNQNPTFSQQLNFKRQSALSIQQLNFKQSYQIDQGFKRYQS SGQGLYDKPSITIQGQMEKQKLKQQPLQQQKLQSQSQGLGNQPQKKDQAMKLSTSFGQQN QSRFIVPRTSQGDIDIDFLPTFKTIKSQDQSRKIKQVISHSKSDISIVSEQDSKESKGAK ESSHNSLDLGVQLDQFLYNKTGKINEDDSPLVIMQQSINYTYNNNISNNKRDSVLTFGGR SSIFSSMRYSASIMQPNDLIDCFEKMEKIKQQKYTQKCQCPKILICEQNDFDLYAVSHQL GNIGMNFDYIMQRTQIHEKLKTAYEVEKSCCKGYQLIFISVEYVDEELGEQCNLIKNINS QFKKEARIIGLIGFQDDDNRNAIKKLPFHDFLSKPLMIDALLFILAKWVKL >CAK80779 pep:novel supercontig:GCA_000165425.1:CT868374:426353:427360:1 gene:GSPATT00015658001 transcript:CAK80779 MDTQFFTSSTSMSSSSSSSSRKGSQECFSDFGIEKKSRKSKKSANRSCSFGLGSVTEVSE DIEDYLMSRLPHIQMPNDNNEFRERIPPRLKRTEKYQKRRASLSPHGTNGLAKVEEVIGE DDELKNKCIFKLGLMLDKDEFNDKRGRFLQSKDRINVEKATSSNLTILEDAQENVKELQQ HVMSPTYKLKVDEVDFEQEKKQWQPQEIIEVIEEEKPDNVVNIKEKEMFQKIKKILHEER DFLKRILKGELIHQEDIIKKQQFQSEVGETNWNQLIQEAEEQVNSDEDLQ >CAK80780 pep:novel supercontig:GCA_000165425.1:CT868374:427588:428609:-1 gene:GSPATT00015659001 transcript:CAK80780 MGDQDSSHVTLIVLSTILIGIYLFVLFILHCFGKINDIIFPAPTPMYQEINFQANLYYAS TYDYDVNMGKTKINIVHRNPNVYPGNPEKVRNIPYVYIKNRYWKTNLYIIYFHGNAEDMC RYSAVEFMESLSKMISANMFVIEYPGYGIYRNIEPTSNLVEQDSLVLYDEIKNQFRLNDD QIYIFGRYSQFLLRSIGTGPSFYLATQRNIKGLITMSAYKSIRYILNDFCYGCGCILSLL CCLPNIFPNLERSQHIRCPIALIHGEEDALIQPHHSQDIFENLPLHIQQQSSIFVRPRMT HNYYDIEYDIAIPISQSFIDLQPKGY >CAK80781 pep:novel supercontig:GCA_000165425.1:CT868374:428814:429275:-1 gene:GSPATT00015660001 transcript:CAK80781 MHRPKPHIRVMQEKEMLDGYSRLQQIGPYITIPRTVERIPNMKKVYILEERYTQIEKDNR LLFQKITNIMNNKNINFNKPKRISSLNSRKRNELEQIRRDNLILFRNITTKKSQYSKQQY DRDWSQTKQYYYNLTGQRPSTHKNKALIRSFEF >CAK80782 pep:novel supercontig:GCA_000165425.1:CT868374:429350:430363:-1 gene:GSPATT00015661001 transcript:CAK80782 MHKKYLIEQPNCIQNSNITIQQTRQTSLTETLDILSVLVSGKYSKEFYQQSFNFVAAVSQ APDLTPSQIGLSMFIYGQVSQYTKSTNKDVEKSLLLNFKQSIDSFRSNDLKHFAFGLILA RIQRKDIFDLLEKQSLVTEMEFQDLIRVGTGIALFGRGSPEFWKLLEEQAIKNIPTTEAQ NITSIFMLYKQFGHGTQEIFKLFEQEFIQRYDQFNSLMKLQMFSSFAKIRYPSSAIFKLF FRDIVGIIQSVNITAVQMLIFDCQKIFPQFPKQVQQFFINFIIKHYQKFHPVIKSKLYDS FQEQSLLTEELEVALLKKK >CAK80783 pep:novel supercontig:GCA_000165425.1:CT868374:430693:431235:1 gene:GSPATT00015662001 transcript:CAK80783 MNQLQLSQAQSPRESNFDYDEQPQTQSIQLIENRAALSFITNVFKLIQRIQIQILVAIFQ ILLILFNSYIAFDLSYKISLLYVTILHFLQIIRIGVNNNKQNFTIHLFRKIQNTQYCCIQ IFWGVLSISYYVLYSFSFQGMLDLFLREQRFSDSVPNINVFDFHSYLVCSDTKQLFKKQK >CAK80784 pep:novel supercontig:GCA_000165425.1:CT868374:431323:432378:1 gene:GSPATT00015663001 transcript:CAK80784 MINLKQIQWVDWDWIYIFAILWIFLSIICIFQLIFLFDFICKASSFLQERDPINREAFNQ QIIGSLWINLLILCISGLPTFSMVCYTIKLQTGTNSYNQLSITLSVIYSLVFIVFTLYYR ISLSQFVSQIQQSRVIENNVESNADNQQRYQLNSPSSKHRNHLIKSKEQILTHLPQYLIR LSQTYFMPANDNDNASQQKKQPQKFQFEQQHFKRNKTDQDQPKFSNRNSLTEINSDKDTQ CFNCYQNESCAVYMPCGHGGLCVKCATEWFNEKQECLICRKPVESVIQISQSEQNKVQVI DVLAF >CAK80785 pep:novel supercontig:GCA_000165425.1:CT868374:432387:432876:1 gene:GSPATT00015664001 transcript:CAK80785 MKSKILNTGSNPEKRPTVYLNDGQGRDTYISYNNGGNFAQEFRFLVSPQQPTSLRLKYNS SIQQQSSTPRINYQGDGTGRDTYILQNIMDKCSSGQLNYKSMLRSETNFGSFQKNRYQLP PIAKQKLNRIHQLQKVQSSRLSMPKNRQLFNEE >CAK80786 pep:novel supercontig:GCA_000165425.1:CT868374:434103:436277:-1 gene:GSPATT00015665001 transcript:CAK80786 MATKSKYHKNSFKIPFAQNVLHELKELSNLIDQAVYLLEIQKFTSIYLFAYHKKSENALL ISKILNYKYPKLYEIISIKTIEKYYHYEPLEEGLVDLYIRKKVSSIIIKLTFYPTKEEIT NSGCQTCVFDQRTQYQNFSLSELYQAFYEIEQNPQKQENYSDQGYYQDDNLVDRIECDGR YSIVDVFRNQIKEFVLQNKDIYGQEINLQIPLYIQQQRDGQNNLQFLQIQQHNKGFFNNK EFVNNEYHKYSQNFNNYNRPDEDGFEEIQLPDFNKNPVCNLQNKLPMDGQPPEKKETINS QDNQIQQNVQTFVAEKTSGIIQNLSQFVQEDFVNSGSLESETMKQKYEKNIYKKDDRSKL YWDNKDKKDDRDNQDDRNDWGRLSGDNSDNQDNIQNQDERGIQNWSCLSSDHQDNRNDWN RLRSDDDNDNQDNMDNRDNRGNKRDNRDIRDNSRENRDKRDNREYRDNKDNRDSRRDNRD NRNNKDNQRSNKNNRDKRWDHREEITTRGNRNQNRDNRDNRNNRDYNRDHGDNRRDNRDD RNYRRDNIDNRENKNKDYQYNNKRWNNGDNKQKRDNRTQEDINDSSYSDNSEQSQSSNQT DNSMDSRDNTEGHTSNEEYRESDTYDYKKEYKNYKKKKSRKITFNPFVQTNNFTQIEFSE YEDKREVKREVKREVKREVKRENNMKTTYQQINKTNWKPTNMYNQLI >CAK80787 pep:novel supercontig:GCA_000165425.1:CT868374:436499:437811:1 gene:GSPATT00015666001 transcript:CAK80787 MMSKCKSCNDEGFLTCPLCQKTTYCSKKCRDYDWAVSHKFECKAELPKRNVQDFEIVNQG SLGRGSFGCVKLARDRQTGLLYAMKIIEKSDVSIENLRREIRIQKRLQHPHVIQLFEFFE DEQYVYLILEYAENGSLFGFLRKRKILPENEAFVYFFQTCLGIDYLHKKQIIHRDLKPEN LLLDKDGNIKICDFGWSAEMMITQTRNTFCGTIDYMTPEMLEDKPHDQTLDMWCLGVLLY ELIHGQAPFKGRNDFEKCQNILKQEQFEIKASDQAKDLILGLMKRESKGRLTMDQVFAHP WMLAMAKGYQLEIKEYIFEEKKNLDQLSNSLRLDTKFSNTNTTTTRLSGSNNKQECVSLA FSMYSDELQPPIQTRITRRTQHTVRKESGFFETLFQNLGCTKRS >CAK80788 pep:novel supercontig:GCA_000165425.1:CT868374:437903:438322:1 gene:GSPATT00015667001 transcript:CAK80788 MIDQQTITLDQIYGCHFKKVPFLYGEMEGTNPVPINELDEDLDSDKQQQNQHKIISPLQL KSQLKPLIQTRKRRQTVHKSPANKSQFENHIGFRNSFYKSNNKVNLASRQRVEELKNQEI LMFTKYVQRFQLQKQALWN >CAK80789 pep:novel supercontig:GCA_000165425.1:CT868374:438641:438995:1 gene:GSPATT00015668001 transcript:CAK80789 MQNEESLEHLVNSLIQNQQPDADDQFKQQIGKRRINDQRFTFQEDKRILELVQQVGPNFN KIVKQFPGKTMNMIKNRYYKKLRYIKEDPQNDQEQVKKHSKSKKAN >CAK80790 pep:novel supercontig:GCA_000165425.1:CT868374:439132:439473:1 gene:GSPATT00015669001 transcript:CAK80790 MQSQKRGQQHKQGYQADLNKYFGKRLKIKLNAKRTIIGTVIGFDIFMNLDKEQKIKIICI QALSNVTDQLVDIGQAIVRGNSIIMWECLDKVKD >CAK80791 pep:novel supercontig:GCA_000165425.1:CT868374:439821:440398:1 gene:GSPATT00015670001 transcript:CAK80791 MIFVIIKNTFYFYILIHSIFSLLSLLICIDNDIDHFEIQCPRMSNSIKEFIAGIFKPFLP EVIEFLVGKILMQDLRIVNALIFSGILEVVLALLGAFYYIMVETPKSIQKSLRLIAKLFF FIGIILWIAVYCSGIGLRKLLEIVHIVYQNPQNVENYTNDFSNFIQMRQEEIMEYYF >CAK80792 pep:novel supercontig:GCA_000165425.1:CT868374:441156:443247:-1 gene:GSPATT00015671001 transcript:CAK80792 MSQLNRSVKQIGQYQYNERHCLGEGAYGKVFQGQDIKTNEIVAIKKMDLALFERDTYLRN QIVSEIEILKKFNHPNIVRFIDLITTQRSLYIITELCKDGDLKEFIQKKRISEQETQGIM LQIINGFKELVKQGVIHRDLKPANILNHEGIVKIADFGFAKYVDNYTSQLLRSCVGSPLY MAPQILQRKTYSTKCDIWSIGVIFYEMVFHDVPWKGRDEQDLLKNILMKPLVFKHNGITE FTREFLTKALIVEESERIQWDQVFQMFESMEKGLVSNNPTLQKLYNDQNLSWMQKQSQKM TGDQLCKQLVFLQQMKSDIAFRHFVNLELYQKLDQLKRIFRSDQSIEECVFQLSRLVVAY SYLLVQLIEETCDSGEDMLIKGTKWNILNYTKNEQEYYKIFFANCKESLMKDSTQFDTEI NDVERNKLEENLTNKIIKIIGDSFDDLKKKAAEDNHYASLIAIELLLDQQIIHKNIVKIT EIEFQQILAEKQQKDDWKKILDRISQQMEIISLQIYIYCLFKLKTHSNMVSRLLVELDST TEWILIFGVIFGILIIMIIVYRLCRRSQKQQPKYQNNSKNFKDIPKSEQIQDDEKLQNRM DTSMTKKEFFVIEEEPEKKNDNNDNYNPGDVNESINLSNVFNLEGEGKQYQNTKYTQIRQ N >CAK80793 pep:novel supercontig:GCA_000165425.1:CT868374:443524:444679:1 gene:GSPATT00015672001 transcript:CAK80793 MNNIDQFFINHIQQFVPSWSHLQSDDLRITKTIGITNKTYIIEADATPNKIIFRHFGEVG VGLFLNREQELHIAKQVAKCKMGPHFYGHTQHVRLEEYIENEVMSQESMKDPETYTQVAQ TLCKFHQIDVSNQMNDRTPLFEKNLEENSDFLQQVREKVCSNLFSEDEKSILSNMAHWFS EEEVKFLQSILPKDDIVFSHNDLLANNILLIPPNFDKVMFIDFEYSSYNFRGFDIANYFN ESQFSYLNPNPPYFYIEEGMIDEEILKDFVKVYIEKSGLDLDYQNLLHQVYIGQLFSHFF WAAWGIIMAKSNDIVFDYLSFVEVRYHKYYQLKKHLFGKQ >CAK80794 pep:novel supercontig:GCA_000165425.1:CT868374:444824:446729:1 gene:GSPATT00015673001 transcript:CAK80794 MSKIKVLSVIGNPDLIHFRTLQKTERKKTYEIQEMHESEYDHEMKILKRDASLRIQLKRL QPLSNQEPFTIDDSFTSKTVKRPLLLIQNGTPMVHSNRRTKFTQSLINQAYESQLSFFNS RKNTYVQMILSIDEQLYPIIIELYTEYAPKACENFVKFCEGVTIDGDYYTYKNTKFQKFK PNGFLQGGQFAKKVSIYGDYFEDESYALQHDCEGIIGQVNDGFQHTNHSQFYITLSSMSY FNFKRVAFGKVIRGMKQFSKILKKDQISPNIIIQECGRYDQQAQIRMKLEKFSEDFASVL PQNAESFNKFIDAEQDKHSEAQGDFTKLMKFLVEQMKQISKFAYSLTAIKKAILQLNEQF QNNQLCLMLYILDNQQVLLADNQQGKYLVVFRAIEGTDSFRASAFIVFKKQTTMFKNVNL NDLKQSGEQVVGICYCVYGTTTQLVFGTGYNLSMFTLQNSEFKLQQKELQIPKTETFYCS DINDDFIQQKIISSLPTNNMRYTNSIFTTLHRVVRLGGLAMYKDVTIFEAMICAFTLARS WGWSFCAGTTTFNQIKVKNVNQLTYLYLGQQKWVQKIENHFLSIV >CAK80795 pep:novel supercontig:GCA_000165425.1:CT868374:446734:447738:-1 gene:GSPATT00015674001 transcript:CAK80795 MFIFINLFILTIYSLEEYDCGLNVQTLCAPGMKIREKYQPYFGFWNFDLDKLVDSGPHNH ITNINELSRSSSMMGTGVYFKKDSEFEVQFVPSFEGSQWTVSFQIMFEEIGRKIKEVGNI LALFDEIEDHQNSVSIVVDTTIPTFAIKENSQIVASNMRLQPQLWYQLFLVLSDKSIKLF VNGIECAKLQSGKAISFKKLKIGLENELPSFNLDTLKLFNRKIEEWEILASSQLFQLNGD WVLLHCENCQFEQAKQCPFSYHLCTTVELYSFGINIAKNMGWGVWNNTNIWSSESNPNKF KNKEGLALCCRDLEFVLI >CAK80796 pep:novel supercontig:GCA_000165425.1:CT868374:447807:448373:1 gene:GSPATT00015675001 transcript:CAK80796 MDQISDEAINAMPKKPQNAYMLFRADTYDDLKKKNQDKSMTELTTMISALWGELDDKKKE KYNKDYDKATEQYKTDYAGWLKKFKLDEDKVKKFLKDNKQAKKRNKKGSKKVTKASKNED ESDDEDEQKIQSLKNKNQKKQQEQKEQIVQKNTKDNKEQQKPKVNPQQQKEKKENKKK >CAK80797 pep:novel supercontig:GCA_000165425.1:CT868374:448413:449327:1 gene:GSPATT00015676001 transcript:CAK80797 MSQIEQLCQDSNLSCGRGIFIGIYAGLIFAISIYCLIKLYGFMGRISYEMIPLTTCAIQS SLHFINDLFLVTNSLQIVIIYFCLQTFILISQSFFHLYYKMTYSNEEFQGYRRKQKYSLL IFYILFTTIILVYLIQIDDQNCLAYFNVGLVLQFIIILFSVLISILYGQKLKQVMNKHEQ TNVTKIANYQTTAVQIVLSTTAIFEFIMSILSLTTFQGLFCNLPLNNSNDDGFTSGENVY LTFFSIIEMTPCILVPLIFFYLPQISQQQDKKQEINLNDTFLLQSARPSLDFSGLINISI ELKQ >CAK80798 pep:novel supercontig:GCA_000165425.1:CT868374:449417:451877:1 gene:GSPATT00015677001 transcript:CAK80798 MPPKNNQSGFMSVEQRSEIGDFSRHTPARSLVQPRNRSILATASRRTGTQSQHSPTRMTV ETHEAVYWGTNIDERAIEKQFDRFLKEYRSGGMEYYMSQLNQLNETDQFILNIDGRHLLE FNNHLYQQLIHYPAEIIPIFDTVVQKVFYDDFLSLKARNEQEREEFRLYAQRLLIGIINL ERNVQVRELNPKDINKLISVTGIVIRCSELYPDMKQATFKCTKCGHIVGVNIERGRVEEP ISCQRCRDKNSYELIHNLCQFTDKQYVKLQEQPENVPEGYTPQTVNLVPYDYNVDDVKPG DRIIVVGVYRAAPIRQTKNRRVLKSIYNTFIDVISYQKETKIEQEKTKNITEEQKQKLMY LSQQSNIYDRLVKSIAPSIWEMDDVKKGVLCQLFGGTNKEFSQAGKGRFRADINVLLVGD PSTSKSQILQCVHQLSSRGIYTSGKGSSAVGLTVYVSRDPETREIILESGALVLSDMGIC CIDEFDKMDENAKTILHEAMEQQTISVAKAGIVSQLNARTAVLAAANPLKSRYDVKQSVV QNINMPPTILSRFDLIYLVLDEFNEKRDEMLAYHILNMYSLKDQQDYLNQIEEEGNTDLI DRETLYSYICYAKQNIFPRLTEEAQNELIAAYVKMRSAGNSSNTITATPRQLESLIRLSE ALAKMQFNQRVENYHVSEAVKLMETAMKKAALDPITGKIDMDLLATGRSNASRELVSKFI VEITNLIKANLSDYRGQGVRFFDFVEQINTIITAQTNEQTIVDKRQYQKEILEALHLLEE QGFVQLPGDRNKPKIKAGIKALQ >CAK80799 pep:novel supercontig:GCA_000165425.1:CT868374:451924:453213:1 gene:GSPATT00015678001 transcript:CAK80799 MDSPLKDMTGTIVNKQYKLIKKLGAGAFGEIYSSQSNGLEYAIKIEKSDSKHPQLEFESK LYHYLNNHNGQGIPKYYGYHQQDGYNFLVMELLGQSLEDVFSENNRIFTLQTVCVLGIQM LECIEFLHSKQFIHRDIKPDNFLMGKSQKDRVYLVDYGLAKRYISKDLHIPYKDNKALTG TARYASINTHLGIEQSRRDDLESLAYVLMYFLRGSLPWQNLRANNQKEKYDRIMEKKLAT SSETLCKNYPQQLLQFVDYTKNLKFDEKPDYQFIKNLFIQIMQENELRMEYIYDWDDEDT QRDKIQIRNEEKTNLLKMNKQTSNTQQRINKHQENKNINSNSIYMRNCSNVNNKYSIGRT KTNSIGSINKIVVTKTKQITNNTINSLTNQNTLPNNNNNNNNKLHQNLAKQIIKKHSSLH YQ >CAK80800 pep:novel supercontig:GCA_000165425.1:CT868374:453572:454805:1 gene:GSPATT00015679001 transcript:CAK80800 MQNQREQLEIPRGQSFTFDSNRPFIYYYSVAKPLPDNIVDDLVVCCQIRTWLIKQLPKVW FLMASTTAHILIEKQQPKFVTQNFILDIFIYSWLSDGLTNLKKELTNRLSKLIVENEKLE RLIDIFTQEIVSVQEEFSKFHKSEYLLNYNDSCVQPLIVFREKGKNENQSLIKRIDDLNV DDDSRDSTLSSSQISQTLTNKDIDRSKSDVREVTAALQNSKMRKFTKQMEKMTQNVFSEL IKYNAQDQQWQIARQFVVIILETSIQSDHYLKTQEKVRFLQPALLFYKKALQVWILAKFS SQQFNQATRNSQENKMIFSQFLCKAKNFMGRFWNEKLVIPLHTVFQIAEQTYPEVQQEKN VIEQMKKFSILLKEKLVALWDQNLAQCTKQLIQQQ >CAK80801 pep:novel supercontig:GCA_000165425.1:CT868374:454870:457197:-1 gene:GSPATT00015680001 transcript:CAK80801 MQNQQLGQKLSNISSMIQQYQPTPQNPSKVISIPSPTPQSIKSPQVLQSLINDQKQFQHD VSGLIAKLDQIQNVNSPRRNLPTINGQSQVSPSQTQPDFVVQPTLSSPSSGCAPFMKQQD PQKMKEQNANVLRQAEQLEFFIQANNELKKALAQSNKKIAEQQKQLDQLIKQNDEQKQQL IANEQNKIIQQKQYNDQIQTMQQSHQRELQQIKNYENEQKQKIESQWQQKYNLKANELNQ STKLLNNKINSLQKDVNDKQEQLNSLIFQTKELSNQLEKRVQDLSVKEELLSEKQFDLER LQNEFHQALEKYEHNIKDMIQNHTQDMMSLEQVIDELKQHNIILSQQIINIESQNAKELE SIKKNHLKELNDKLDEVSQKNLKEKQQIQNHYDEIINKLKNEKNSLQQAKDQQQLFLEQK VQVLTQEYKTAIETIKKQQDKIQMLNDQLNQLETQSKNLNRENINQIAFLNQKLKEMSSV QPQNQNTNNYYNDLKSQNLDLRTELEEKKQKLDELINNLNQVIEINFTYKTQLTEFQEKL SNVSYNNEKENEAYFNQIKQQKITIAELTKKVEQQAKNEYKLNNQIKDLKNNIEQLGNLK LGSKGDNIDKEKELNKHLIQKTNEYKQQVVELENVLQGLQEEIKQKNQIISIHEKTINDL KKNSKEMKQQIMSSQMSGIAMNEKQVQEYESQIMQLKDETNSQKVKIENLQSQLKQVRKD KAHLSMTLMNSGMLNIQSSVTEKN >CAK80802 pep:novel supercontig:GCA_000165425.1:CT868374:457326:458495:-1 gene:GSPATT00015681001 transcript:CAK80802 MGNACSCQCQTKGMDEVELNTNQKQLSQQKPNAKIDVGQHQENDGKEKNGAHLKDEDVAQ MAGARNNSIEDSSSPKLMKRENDDNKPEEQYVSLVQSTKGKFTRMKLSAIEMKNGGVYEG EWKNCLRDGQGKYVWPDRSFYEGEWVEDKANGFGKLVHVDGDIYEGQWLDDMANGRGVYI HSGGARYEGDWKNDLQHGQGVEVWPDGAKYEGRYENGKKHGQGTLTFADGSYYKGDFVEN DITGYGEYYWKDGKSYKGQWNNSKMNGKGITQWADGKRYDGDYKDDKKHGFGIFQWENGR KYEGHWINGKQHGKGMITLPNSEKKEGLWENGKRVKWINIDESPNN >CAK80803 pep:novel supercontig:GCA_000165425.1:CT868374:458717:459309:1 gene:GSPATT00015682001 transcript:CAK80803 MTNCTSKLVFKLYRLYVDNNSQNFIPKYQGQPEPINESNDENNQILRFMQQFTNKNLDQN SFKQIFEFSPNSQSLFKMPFDNDNNFRFISSQQPIINQSVYEQEQKKQKKQKQKAKQPQP KQTIEKAQENSDSKTSQKQSKAHSQVNEDIRIFEDKIRSYTSDIDESKKKTLNLPLEWIK RLGTQKKKK >CAK80804 pep:novel supercontig:GCA_000165425.1:CT868374:459449:460469:-1 gene:GSPATT00015683001 transcript:CAK80804 MKQRLNKSSILEELENNRKEQLLEENKKILLIKERIRREQIAIQNKDNELRQIQEQLHNQ IMSSKKHLCQPINFSSILLHQQQYDGNKIVKEMERQNKKMSAPQVEFQRSNTYIKLLHKQ QEEQIRLIAKRQQIKARKQVQSKYSEIVKEIYSRPVIKTFHEDPSDAFLFNSKSKPISLH EQSNKTSSDVSQLQQIDKYLIKKLEKILQVEDKLEEKRQPEIKIKVRHQQVQKLSPITQP QCKKKDQAEGIHIHKKVQEVQPAQWRNIFDDSLISSQDRVQKTLHQIKLLNSEAQKLEEE TNTKINVEKEEKLNDLLVNQIQARLALLENFN >CAK80805 pep:novel supercontig:GCA_000165425.1:CT868374:460512:462255:-1 gene:GSPATT00015684001 transcript:CAK80805 MKTMEFKNSIVQQNNIHTNYELLNQLEARKLKQIVPSKKDFEHNFQTEVVKKQSGYVITE QRAQLDAKLVNIIHLQLCTFHYFEVPLAGHKKPLIINFTFTNQAKMKFYISRHTLTPNRF NFEEAFQRKQVLRYTEPGEDNIFTTPFLYMAIFSQQQSIIHAKIQYGMKIQKLQKQDQEE KERPMTSFMLGRISSALTRKQSKDLILMNMNLRQYEPQTQKKQLQIRRVQSAKRFQETLV NKQIIDQDKQDLIRERYEHSQKKKIIKDILNVRDQIDQSIKEQQKSWLTNLYSILICRLI RVKYIYMANHMMNEATIIRKKHRTRLNLKKYLTKHGETIQQRTKFQSLQSLCVFAEIYQK TVKTRAEFICFSFMKSYGQIGEIIQKTYRFRRLIRTVIDSYRNYKKRVGAYVQRIIMLWN KYWGVMYNQIQKEDIEKVKEIKKQMNKTIINFQVDEEIKKSPYLDGKLQLVIVQNYYKYL KAEFISKFRSVYKKGKSGARGVLIAAQGFEVKDLNLFKCIDKAILKDLIYKYMLEKRMIQ SVLIKK >CAK80806 pep:novel supercontig:GCA_000165425.1:CT868374:462748:463026:1 gene:GSPATT00015685001 transcript:CAK80806 MRASFFLITILNLVYGINYSIRGQSNLLDLDTNNDDLQINEGFREAADLIFGTLFQYLPY IVLALIFIYYANKFFPTRQPKKILKKLPIQKR >CAK80807 pep:novel supercontig:GCA_000165425.1:CT868374:463229:464707:-1 gene:GSPATT00015686001 transcript:CAK80807 MQQKEKKFEPIKMPIKAKGLADAQVQKQITTDKHPQKKIQKIISNNKILNRKREQNFRVK QTRNPLLQKYYVNQIFIPQENGYVQSYADFFYVTNETVPKLFYQPSGISLEEHFSNHRIH QKYINQDEEFLLDLKKRLQNAEENAKYQYPDKTQALNEYLNLAEFFFTEYQDYIVAAYFY KRVIQISRQYTEAKAEGKGKLGYAKCHYQVGLIDQAIQILEESMKQCEQLQNLDSVVEQM STELIKIYNRMAQEYEKGDNDQIAQSLKYYDKCREAAQKAGDLESEGVICNKIGGLYFKM QNIQKSIQYHHKFLEIVKQLHKEDSKQKEMEAHSSLAQCYLKKGDVDEAQKHLESYYALA KDQKLYNSQSDAALHLAKLYQSKGNTAKSLEYFQQHFDCAKSEKPEQKSRKLIDRARVTY GIAKANAFMDNYIKLVANSDKNLKALLDWKSKRDK >CAK80808 pep:novel supercontig:GCA_000165425.1:CT868374:464723:466011:1 gene:GSPATT00015687001 transcript:CAK80808 MDTKVSDSNSPSKSIQPSIQDYISIGSLGRGTYGEVILEQKKQTINQQVAIKVINKKFLT REQNNTKLILKEKCFYTLNIHELFNYILLFKKPEKNYILLWNIQKEEISLTFQNYTKVKI DDIVTLQFYLAQIDFILQYIHSKGIAHRDLKPENLMLSKIGHLKLIDFGTYVVVHGNKVP TEFYQKYKQIKSSFQIQEGSFINRASFVGTAEYDSPEMLEEKPSEYAVDLWALGIIFFKM FTGATPFNDDTQYLVFYNVKNAQLRIPDSVPKVAADLIQKILVRKPSDRLGSQSMNDLKS HPFFKGIQWDKLFQMQTPQPKVVSLKSVEKSFNQDLELGRKSKSNSNFMGLFNIILDGLF IDHQIQYQLKVKNILNWLYMIQKQKNANLKQDQQNGYRFQVQRKDTWQLKIIIKILNKRG >CAK80809 pep:novel supercontig:GCA_000165425.1:CT868374:466222:466953:-1 gene:GSPATT00015688001 transcript:CAK80809 MNHSIITIPQHGNGRLYKTSICRHYEYGNCSLGMKCQFAHGLDELRNPDDPIPFQIPTLD SNIIITNYKTVLCKYDQQGFCKNGTDCPYAHGQNDRKQARIAPLNLKKAFYNKENNDDKD VELFLFELISRLINDVSYQSDESTLSTLRKIQSLIGEKSLRSATETLCLVLSSNQRSKQQ QTAYEQIYNGLVQ >CAK80810 pep:novel supercontig:GCA_000165425.1:CT868374:466968:467916:1 gene:GSPATT00015689001 transcript:CAK80810 MDLIQLSSMILNTLLYATLYVMSKYIYRIQKQKLSTNDKQLAKAFRYQRRKIKIIIMGLP TLLCFAQNYYNLCLRYTQTEKLNIFECLKQFDTEKIGMGILTSFYMTLLLYIGPVYQEFW NGNLREKFGKIKFNKFRWDYFTKIVITPLIDEIIFRELVNNAVNVRYQNNIEFIIYSTFL YSLTKSLSYQLKNGQLSRYEFLKTFVLGLYLSFVLVQTKTIATVIINHGLMNFMGRPNFL DLVKGKYSNEQRQKMIQFYVLGFVAFLIFCVLVL >CAK80811 pep:novel supercontig:GCA_000165425.1:CT868374:467929:469589:1 gene:GSPATT00015690001 transcript:CAK80811 MDQYKIVKRLGDGTYGCVYKATNINTGQTVAIKKFKKKYTSWDECVNLREVKALQKLKHP NIIKLVEVFKEKDELNLVFEYLDKDIYQQYLENQNNGKHLSEDKIRSVIKQVTEGLAYMH KVGYFHRDLKPENLLVSGETVKICDFGLAREIRSKPPYTDYVATRWYRAPEILLKSPNYN SPVDIFALGCIMAELYTLKPLFNGSSELDQLFKLCQTLGTPNVRDWPESQKLANAANITF PTYNPVQLEKVIPNASSEALDLIRDMLKYDPQKRPSAKQILEYPYFTNHCFPMIQQIENK QEFPKIDRAERHEKQEDIFELPKVNNKENRNKMNESNSNFLDLLEQKMAEYDRPVKKELS IHKSSVKESKEFQPQKDYRDSNLPMIQNNLPKDYLISKDYNQNDSLDPRIDSRNKKGQAG LKFLRHNDLIGEQLRNQQQLSIQQSRSYEPTKRNIYEFNFLQMPNAKPTFQPKLEMPKQN KNQMLGQNYPKSLPIAPNMGYKYQQQQQQQQYKFDDILYGKPPLKQYY >CAK80812 pep:novel supercontig:GCA_000165425.1:CT868374:469636:473842:-1 gene:GSPATT00015691001 transcript:CAK80812 MKSASRFDIIKNELIPKKKWKEDQEINQCGMCDNKFNALFRRKHHCRRCGYIFCQDCSNH FIDGPPNEGEKKIRFCRRCYDKINQLIADKGFFVDNEDSTLKSTIIKEGYSHSKNASKQF DTAATFTSSKSIIVKGNEGQQFQEEDETLLEINQEKDDISEFFEDSDIAKDQLTILQEKS SNFLEKICEYILQNVLQPNKPYEKVIEFWKSKMKVLITQCVQEIQFHSLNIKLMDINHFI KIKIIDYHDEQLTSFFPGVIFRKNVALKQMQSEINKPVIIIIIGDFDMEGSQNQLEDYIQ NEKKLLVESINQIYKNYEPNLILVEKGANKIALDECLKKKITVLTNVKKKVLKRVKLCTG AKFINLSTLKLCIERQEQIVGRCEKVFFKQFPKSIQEKGEMQKDSTLCFLKTSQCQKFAT ITLSGPSEDLLSKLKQCFMGCARLGKHQDLESHFITTECSMFKNNQLKTISNQGNFTTFL FEKVPLKDLFIIELKYIKINYVLADVSNFNDIKDFSSLEDYKSKHPSQRDKLEEFSMARM CNKPQEKKSVFYHGEDVCLGQFIILKIANKDNKCEFCHLPKIAHVSFYYCGDKYIKISVD QKNRSGRIISTNEPPQLSNQVSQQFSQLSNEDEIRDNVYTAKDISKIVQQSHSNQKGEKK KIKIETYIQCSKCDLSSNIVKLSSQNLDFSFFRFMQTILINQSNINDRQTSFLSNSCNHQ IQRIFMYDESMVKIQVGEVEVFSSIIQNTLSQEILDNLRKWEKEYIQTQKKDLFQRYLNI VFQLTQLKKTLSKAVDAENIEKYADEKCWQEKFSGMNSISDLQQFIYTYDCIQFQEIGDS IKADMKKFQHKNSMNKSQKDVDIQIPINQGYLSGQECETIHSDSVVGDDIEHQSEQLGDI KSPMGGKPIKTSSNKLLNVQYSVKDLDNPSFIIDKQQQLFSNFLKEWPMCQFIEEQKKVA QWDRKIIPFVAIFESQPLASLAFALNHPNYLKAINYYENFQKADQEQQKIVLSKLMLIKS GQQSGKWDQEDQSLPTESLATNDTNQNLNLQQSIPQKKEKNYITITLEYDKVKGMKPSTS REQIQEDDKTILSQQSNANSLGNQQFSVKKSKTQKILIYFPTQFEALRASFGITLDLFIN SLSVTGSWNASGGKSSSKFFKSDNELFVVKKFDEEKEFRMFEEFALDYFRQMHRHFYESQ KPSLLCKIFGMYEIRDKGSPEFYLIMENLYYGIGNQKDLLVYDLKGSETNRWEKKVNKVL LDTNFIIDRNAEPILVQNECYIYNDKAFQSDCKFLLRKQIVDYSLLLIINNKQKKIKLGI IDYLRFYTWDKETERLLKFVLKGGKVPTIINPHDYKQRFLTAIGRYFIHV >CAK80813 pep:novel supercontig:GCA_000165425.1:CT868374:473982:475045:-1 gene:GSPATT00015692001 transcript:CAK80813 MKQLEEKLAQTLIEKKKVMKENKKLEYKNKSLNDQIETISHRCTFLTDQLNLQKMTNKEQ NKQILAFDGVEMSGQLTQFQNFQIYEKDKKILELNKELEKKNYEISKLKATISISNLACS GTEQKIQKIEFEIDKQKSRKDTLQNRVDELQQTLGAEAQFRSREPDIYITPWIYSSLKNQ GVYQYNPNSVQLKIIKNIKYPIKPQNKTFDLCKNCNREFYQYRSCCNPLYNLDDKLLYVP GDAFNFAQAFKDKYLKTKNELEIDELLEILLFELNKIWHDREKNHLQLLAKHCKRRIQEI QRANSQQSLSEKEMLQNIKRLKLQVKDLQQENLEYFNQKFGKAPIHI >CAK73576 pep:novel supercontig:GCA_000165425.1:CT868163:638:2494:-1 gene:GSPATT00009848001 transcript:CAK73576 METNTYINYDYILQKNIQFFLELNKIDEILEVNLNKYQIYNNLFQEEMEELLNQNDLQTR FSDYYTYVETLGHGAFGLVVKAFNNYSKVLVAVKIISKATIKKFEALEQEAAIFSQLNHQ NIVKFYDIKRTDTKILIEMEIINGGSLQKLMDTKLKYNQWFEVEEIKQIIQGILGALSYI HENHFVHRDLKPDNILIGEAANVVKITDFGLSSHHNRYALMQKKCGTLTYMAPELLLKKV YNKNVDVWSVGVIMYQLLNKGQHPYFINGQSQEQLIKNMEKMHTVDNFHNMDRQQISLFQ RMTEFDSTKRYSATQALLHPWINEKRQMIPQNFTEMFDLWLLTQKAIVFIKGLMIIINLK SNVRISGQKYLTLSDNQSKITKTDVSDDNQDSSPYYQTSNLLNFLKIRQKVQKSKQSDYS STNASPIMIKSIDRQENSNGDLLFLHGFLPDLKVSKEYPEKNKKVQIKRLDSSLSQHTKN SSSTSPYKCNANKQMKFLLKPLPTQNDLQKVTQTVDLYKIQQIVNQVRQKQFRYKQSGIL EGDSQEFSPFHTKTVSFHQSRTTKYKTEAQYRQKRIQSTIDQKKQDNNLNYFKKK >CAK73577 pep:novel supercontig:GCA_000165425.1:CT868163:2603:2792:-1 gene:GSPATT00009849001 transcript:CAK73577 MNLLLVDDSQVSFNSMVSTFEQIYFSACNYHESGQIKIEKFGSCFIAVFIERNT >CAK73578 pep:novel supercontig:GCA_000165425.1:CT868163:3470:4102:-1 gene:GSPATT00009850001 transcript:CAK73578 MQFTPDTRNVGGRQLILSQLWGHRLCLQQIKERHLNTEIKTSFLKKNENSKLPISTRIND FNMAIHRKKLQNIKECLTDRSNKEPSYFFRRLPSPQSLNSNSMFNKLTMIKSKSTQDKLQ NGKIIPPPKIDIDNFIPQNTKTQISWINFINSLHGPQYPLKRWIKQLAIENRIFDENDFA ILIRILVKAYQIKQTTLVSLVAEIIEEFEK >CAK73579 pep:novel supercontig:GCA_000165425.1:CT868163:4161:5344:-1 gene:GSPATT00009851001 transcript:CAK73579 MGPCISQHPVSTEIDKRGSMCFEEGQLQEKVKPEEVQAEAMPLSSYDEQNVIKIQSGFRG MKARKEAEQEKEQMENTKPKNEKIKPYNGTVESCNELVKEKLFLYGEYNYNTRYDEKFQK SRSLTPYLLEDGSIYEGQWMNGVREGRGKLIYSDGSIYEGYFFQDYQHLHGRLIMASGEF YQGDFQNGNIEGNGIYKYADGTKYEGEFKNNRQNGFGKETWPDGTKYEGSYDNGVKYGTG RFQWTDGSIYEGSIVNDQMEGYGTFTWADKRRYNGNWRNSMMNGQGELFYPDGRYYKGQF QNDLRNGDGTFFYSDGTSYFGAWQNDKQHGKGIRKQKGGQDEEQEWNNGTRVI >CAK73580 pep:novel supercontig:GCA_000165425.1:CT868163:5922:9273:-1 gene:GSPATT00009852001 transcript:CAK73580 MLVRSSLSPRRKCFTPSMWEGRQQQTIRRSQNRYLKTEDVDHRNVQSRNDDSKRKSGSIV NNKKNKSTTNHKTSLNSSFNTKNLKTIQLSTRKSSLQNKLQPNSTTSEHHVHQYFSQSPA KRILNCEKLIKAQKFQEALKDLEEIDQPQMNPEYIKEIAYFKGLIHMNCRQYDQAVNQFS RARQSGDLNGNTVILQALCLKKIGNYSDAIAVLKEFLSKKYSKLQPIYYDALIHKGKLLM KIKKYQLALQDFYQASVLENDQISFQGTLGRADCLRLSGKIAEALNVYETIPENEQFLMR KIYCYIELQSLDRAMEAINQILMNDPNSSEALFMKGQIYINKGQFNEAILSFEQSIKQNN SRKAVTKSLQEIAKIKIDQKDFYSAYYTLQREDHLEVDKESIMKLRQFTEGVIFLMKRKY QEGVNVFTQLINNYQLGEFIKKIIFLYRAYGFICLNKFQKALNDLIYVQNFQELDQPSLY NKIICEGIVMSQSSQFEKAQSQFQKASKLFPGKMEPHFYKSLTLIQFINKHMPKDKDKYI KNALKHLDKAVTLNDQNSNLLYHRGILRFYFGQIDLALSDLTKAVEKNEDRVAKYVYARG LVRACLDNPQQALNDFTIVINWDSKFAEAYLNRAKVFTLLGDRTAAFNDLQTYISLKPKD PDIHLWAGNLLFLIGAYEHAIKTYSHSPDIQNNVQLLRYRALCYIIQKELNYAMSDLNRI IELTGDKKSYIDKECLLALKTSTVGPDESQSMMILKLSQGSNKSVNFRIASQMLKKLIVL GSDGHVFLTSDLIFYRGIMKFYLGNYKKAMDLWTKSYGLKQQIKEFSQQSNNSLISQQEQ QERLISELDELEFEDRTYNMYEYYYNVSIATILNKQKRKGKELLNQLAEQLQESFETPIR DFLAALDTNQPKSATIFPYSNRLCCIFPTFKIGNLETRLSFCLPRITPPSMNPEFDNKLI EGIQVILIIRLQQYSLQTWTTSRKRHGLEEILMELNQIQEVKAKNHKLIITKKKKMTTKI QNSSFIYPISRHQISINDDKITIEQRHQDDSTTYQTNYKKDIELLKQQLRLDRIVEQRLA KLK >CAK73581 pep:novel supercontig:GCA_000165425.1:CT868163:10160:11098:1 gene:GSPATT00009853001 transcript:CAK73581 MQKTFLVSGLTLLLTSIGSIQNHQPDDVSLAFQEFKKKYQKSYTIPEEIFRRVIFRSNYE KIQAHNSDKTQTYSVDVNQFTDFSQDEFVAIQLSFIPPSGWKPSDEEVIQVGVEPNDSVD WRSKVRVKNQQWCGAGWAFSAVGAVEAFFKIKKNLDYSLSEQYLIDCDRTKNKGCLGGHP DLGIKYIANYGIYSESAYIYAGDRNQVCTQLYGIHKIKNSGVQSIEKTGLKAAIKEYPIT VSVDATNWNHYKEGVFNNCNKNINHVVLAVGYDSKGNWIIKNSWGTTWGEQGFMTLKAGD TCGVTQMAFKAI >CAK73582 pep:novel supercontig:GCA_000165425.1:CT868163:11131:16863:-1 gene:GSPATT00009854001 transcript:CAK73582 MVPRIEYVQPEQLQRVLIARMFLDLNEITNTLMNGKSIDKMKKYKLFYQKYQISNSVMEL WLKWAILKNKQLKQKSMKNQLYYFEQIIFPELQHFKNTLIRLKFLIFQAYQTYLMGEEQQ SIHLLMNVKHEYYTMYNLKSFVTEMHLLKSQENIVFLSPKLIDSVKACANMPKEDFFQFL YFEIKLLYPISRGIAPSLAENLFNEIQILLQISQQFYKKPQQSIDQQLAKKYDQVLEMLD KYYDKLGKALFKRKTFRFDQKPSHKITRSLISFLSSEENLKQSSTFKRDTHYESISSLYN AQQIQQIQLDLTKQSERAAKSTFHSRQASLSRPPSQFQPESIFSNEMWQEVDNYKIKSFQ KRKPLHQKPSVGRIYKNIITSVPLTKRHSRQEEFSPLKKQEIIQEVLDSKLTSKEPTKFM SQALITPKPKISIMSLVVLFNLRYFHLESPGGKKLPKLITKHFLKILTYVDDPPYLIVSD RQLQMKDLIKKTKRRSKFTRQNSFAIKPRLGLKSQFIQLKANFSDKVRININKIKSLIRM GVFRKKRQQEKQQTILGPVQSKPQSITNFLDFNRFKTAPDVVGNPFEKQANAQSQPTPRE DFDEKKESMKDVIKGKGFGFFKAICLAKRLSLINYNTDDITYLQEKYGTLQQVKQQHLYY PTLKYKILFQNKIQIWKIKYKDLTADKNFIVLYASQEGNSYNFYRFDLPVVSKGREKNGV TIWPTVAEALRELDKFKQYSQIFNFDLSNNIKKDKHSFISGLTQRAKVKITTEDINSCAY VQSYLCQFHQSQISKIKKICGYAYEILPNINYTTVKYINMNLINKIISYEYHIKRIEKIH FHNKQLNIIDDITDKELQEYLVNKQRIKNSILLFRFICTSRQFMIDGGPSVNLKGFSQKI FSTVIRLSKDMYKSDFKKCFQKFVLLTLEIEISRDLKYHLNDILSPEGKKNNSLNIPQIF GQQINKQYFELKVSSTLFNKEKAVDNVKSNLLIEPYSLQVSVYHQLLEYLEKDPKQLQNI RFESLIYNLVFQSDYSNTQKELLSLFLFTYFDIDLIQNKIILKNDNQLKTKKMSLKLMQK EEKSIQLYAISPIEQQFVKHTKIINEKEQNLEQLFKISIVTQIQQYDDIYQGKQIIEEPD FMANNQEILQAINIIKQIPLYRIYEKGSLNQFSKMKQLLNYKVTEFLTKDSKTPIYIQQS HYVNCQLPSAIKLKTLILSKHKDLTNHFNSNHYRFFINRNQQFIVHTSIYYSFNKKLDNF NFDQKGNERIYYFDGEMLYVNLQLELLSSKTSSFKMIFSHQDLIELFKINIEQYQSIYYF DDKFIQRMTMTILNNTYTERKFRYQLPTFLHRYFLSKKTRQTFIEYQRSISISGDSPKSP KGNIIQINNLNLLERHNNCQFVKLFYDSKEQVSKNYCETYLKDARVIGHFIKKFHVGVKN SQLCNFKSGPAEYAVVTVYSHLIIDVWIIQIYVPKTSRYLLGTINFLDLASLETQEMFSI LFEQTKMQRKSVYLRKFTTNKLIMNKQQTFINLHKFFEFTRELNNSLNRRLIIQQLLQNK SKIINKGDKMRVELNIWTQLIKLLKLSLKDPFNIRIESEAQNKNYLEKTLIEQLEQDTNA TVNSFKQIGKNYIQKKKNESMENQELLNHFKSLSSNYQSLLKDKQVITIKSQFQDSSQIC LDFGFKANLKEFIHKMQLKIDKIGLYTIQFFLDYMSLDIGRDNPFVAFESINYANSSMFN LSIRVLGFQSESPIFYCQKQINLREILNLFIADGYFKYQTNYMNAKLSLSDLKQICEYIG FKIKSQNFLGLANQQKYINNNPIFLEETKQEQENTDGMTFMAYKKNTLIILQLFQEHQKV HINYQQIKGKIQLRQTKMYFTEIEEQCPHFSSFVNNGLQKQALQRFMSTL >CAK73583 pep:novel supercontig:GCA_000165425.1:CT868163:17639:19970:1 gene:GSPATT00009855001 transcript:CAK73583 MQMEEAAKKFVPIDPLTQKKFDRPTRAGGVYVPPHKLREMENEIKMSNKNSVEYQRLMWE LLRKSINGIINKVNITNIQNIIVELFNENILRGRGLLARAIIKAQMASPNFTMVYAALIS VINTKLPEIVNLIIRRVIVQFQRAYKRNNKIVCMAITKMIAHLINQKVLSDLVGLELLYI LLESPTEDSVELACDFTIECGQVMSDIAPQNVSTIFERFKGILHEGTISRKVQYRIEQLF ATRKTKFVDHPGVIPELDLVEEDDQITHQIDIVDELDAEDNLNLFQYDSFFEKTENEWEE IKKEILGEENIIMLKTKQQVDFMPEIEEEQEQAKDFTERDLLSLKRVIYLTIQSSVDYEE CLHKIIKMQTGIGHEDEVCNMIIDCCMQERTYLRFFGLLGQRLCEIAEIFRDNFMKCFVE KYATMHRYETAKIRNISKFFAHLFFTNAIDWRILKCISLTQESTTSSGRIMIKCLFLELA ENMSLPVLKSKLMDPELKDYLAGLFPVDHPKNTRFSINFFTSIGQGLLTEELRQIYEQQN KIEFQHAQEALKQFGTSAEEDSGSDESDSSEDSSSDDSSDSDSSKDKQKKNQQDKKRKED EVPVKEKPKEKERGKQKEKEKQKEKDREREKEREKEKDREREKEKEREREREKEREKERE REREREREKEREREREKEREREKQRDRERQKEKEKKREKEREREREKEKKQKEKEKRDQK KKQKSPTPSQSESLSDSRSRSRSRSESVSSSQSSSSSSESKPQHKKHK >CAK73584 pep:novel supercontig:GCA_000165425.1:CT868163:20095:20800:-1 gene:GSPATT00009856001 transcript:CAK73584 MRKKPSSPYAELIEANKKISQEDGKYLDYSNLPKPYSQSFQQLTQFRIPQYFELLHRKNQ KKTEIHQEFNQPNVNQNNNSTQPYLKRQQQKIIKRKPFSMSRFQTAQLESNQISSGSFNR ANYTLNKILSSLPMSQLHQIHEAKQENIQALIQKKSNKQRRMVTPKYNLRTDSQMAKFFG SSNIFPTLSTFRLKTLQ >CAK73585 pep:novel supercontig:GCA_000165425.1:CT868163:21321:22439:1 gene:GSPATT00009857001 transcript:CAK73585 MNGLKAKNEYAINQQQMNKVLLLLVVLSIAQSVFVKRSNDPTKAVFTQLEAMEEHELGKK LLDTIALQLNNKAPLSDIAKMLQQLRENLILNQQEADQKHAQDEVDCETEIYQYNRRIDY ASAEITDSTTEIATLTSKVEQLAQDVENKKIQLDILNEQETQLTEQRANDADDFIKFEHE TENVIEAIEVIFTKLSSIQPDQEVVQILTQLNQIGSSNPILALMQVASTFSKDQLNNVLN KLSEVSASLEQSLHDARQSEIQAQLDYERLMVEIESQRESLSSAREDSERQLKDNEQALD LQKKRKEDATDELNAATAGKEQKEGECEGWRTQYASDTEHRQQEISIIRQIEEILATKLK NVKVYLLERSSA >CAK73586 pep:novel supercontig:GCA_000165425.1:CT868163:22640:24556:1 gene:GSPATT00009858001 transcript:CAK73586 MEQQNDVVTQDQQQGLKEQLDKTSTQNQPTEQSIAGNPEKTETIPMIDPLMDQNADQPSN QDNQTQESNDPSKPNPFYTYSILAKEFILFSLPYLYFIFAALYRIYQLQKLQDVTLQRQS IRSQLSDEDVPKTNPPSLNIKIIISYWLCFVCTLSMTLGFFGLIMTISPKLYFIWLIPIV AHIVSVILLKQEFKFQEQQATWTHRIFWPLQTAFLVVRTSQEYQTLLSSALIILQLFPSL ILLIYALYRPNDRTRIPDDTPKFAKQLMKSLEEFKIFQSENKSIRESTLNRTKTKKENTT ITKQLSSAFSDLIQSKNQNEQEQFLRDKMPERSVSISKKIIKRFVNDNEILYSYLIITVI DGHTFQSEKRYPEFLNIERVQIDHFNKYNASSFKIQRIDLQLGEDEFSYVLRRREYLERW LQEQLANPTYVTKNLLDFLGVDEEMQQPFLIYQQLISKTRSQIRPKSYQKSQEYELQALR VNSEENLVALDYSELQFSSNCVQYEYGMYGSNSIEFVINIKYKEKNTEHVYRILKTLSCI RQFSEQLELQSGRALPPNLKITKQILNLTTNEKLTFVDEFFKELLGNHEYFSSEFFDFIG FDINNRCPKEQS >CAK73587 pep:novel supercontig:GCA_000165425.1:CT868163:24648:25438:1 gene:GSPATT00009859001 transcript:CAK73587 MIKLYLDWISQPSRAVKAVLDILKVPHEVKPLMIQYNQHKSPEFTAIHPLQQLPLLQDGD FTVAESHNIMRYIIKQKNISTNLYPLTDIRQQTRIDQYLDYHHTNTRRCFHFYYSVLIAP RKGQKVIPEVLEKEKYDVEKVFQYFENNWLKGKNYICGDQVTLADISACSEMMQLDMIKF DFKKYPITNAWLHKVINIPELYQAHHVAFKIIKKQNPGSQFLK >CAK73588 pep:novel supercontig:GCA_000165425.1:CT868163:25469:26149:-1 gene:GSPATT00009860001 transcript:CAK73588 MSEIDPITIKLVVVGDGNVGKTCILLSYTTDKFPSDYVPTVFENYTTQTAVDGKMINLSL WDTAGQETYNRLRTLSYNSADVFLVVFSVIEESSFENATNKWYPELEVPELKSVPKIFVG NKIDMRNEANPKHVQLVAAKSKVDKMNCQYLECSALTQDGLKEIFDQAIKRAIKMKVLKS QSQTLQNVTSTIQKDQKLSNEEKCCCSTF >CAK73589 pep:novel supercontig:GCA_000165425.1:CT868163:26180:27469:-1 gene:GSPATT00009861001 transcript:CAK73589 MYKLAVCTLLILSVTAIDVTNSVWTSHDQKAFAQIKQSGWGNFILNFGELHLSTGGILSE LNTEIAKLIDELDEELAEVHHQYARRTDIHNREVSRLEQEIQDKEREVFNAHDFYDNVLI PQRDRFAAQLEQLQENIAQNRKTLNESTVQRAKDHAEFEAQVAEHNEAIGAIDESLQLLS QLESPSLVQIQKVQKNLTKIQQSLKRHSTFQTFIKTLLEIAVEANFADQGALREILTAFN NLRVQLVDSLNQLTADEAEAQKDFEARVIQLNQEHAEFQRAVIVKTAEIEANANKIEQTL DLIDTLHADLDTLNGQLQAENDDYAFATDVYNATVAEYNKELNAAHQALDLLNQPRFTDY VKSQLKGAF >CAK73590 pep:novel supercontig:GCA_000165425.1:CT868163:27626:28613:-1 gene:GSPATT00009862001 transcript:CAK73590 MNQQISQEYFIHKFASISLSETDDDNSKHGSPALDSRLLEFVSALPQHIKQKLENFKPHP DFQVSKQSDESVYFGTLESGLKHGNGIQVWPQAGNLLIGTWQNDLLQGFCTMHYRNGDIF EAQFQNGCTNGFGMFISEKKIVKGIWINNQLEGEAQEIKSDGTRFYGQFHNGKKNGRGIQ VFQDGCKYEGSFENNQFQGDGTFHWSDGSYYQGQFQKGLIMGFGNYVNNNGLLLIGQFSE VKKTQSKEVGLNKNLQSIMYINQYNQSLLIEKIHLL >CAK73591 pep:novel supercontig:GCA_000165425.1:CT868163:28699:29269:1 gene:GSPATT00009863001 transcript:CAK73591 MSLQRVFEKLIYWDVYLSAVIHGHESLMLTVMLFLPSLIFSPKTIAAPIFFITYQYGYAY SIRYVISIVLSLALTTFFKKHFKRPRPKPRPQLSLKPMYFRNKETNYSLPSGDCAQAAAF LFYFLNAYGSGDIVVILIAILMTLNVMLGRVYFCCHYFSDCHLGFGIGVTSAFLINFLLQ >CAK73592 pep:novel supercontig:GCA_000165425.1:CT868163:29271:32874:1 gene:GSPATT00009864001 transcript:CAK73592 MGVYCIQRIFNQYYIYMNIVEYRKHRNENQIRTCCCKRRAPWELQPDRKILIGTADKNIP NNRVETSKYNMLTFLPKNSLEQFRKASNLYFLLLGIFQILPSVTTTDGQPTVYLPLSFII MVSMIKDFFEDFKRHRADDEENNRTVQKYSLRTGVFEYDKWQNVYVGDIIRIANKQRIPA DIIILATSKGGECFVETKNLDGETNLKPKYAHPQLQTLYKQLNEKEFVTMDFERQNPLMY KFKGSFNIVNENKELPKEALNYENFLERGCSLQNTDWILAVTVYTGHDTKIMMNSIIGKM KYSTVEKLMSKQILWVFLFLLFECIFASTYYNIWYQRNMNEVQSYLNIDKNAPENSSFYN FVLRFAMWFLLLGNSVPISLLVTLETVKFFQAQMIQWDKNYLTLDRPAAVHSSNLSEELG VIEYIFSDKTGTLTQNIMKFKSLIIDEVVYGDIEEKSQNQQDVIDEKPFQEKHRIVSQNV DFTDDALYSELISEFMNKKSARNFTSNDHVFLSLLCLSLCHTIQTELVENIESEIQYNAS SPDELALVSFAAEMGFKYIGKEDNVMKVYIKHTKEILKFQIQQVIEFNSTRKRMSIVLKD QNGRLTLFCKGADNVILSMLQDFEENDTIQKNILFEIKKQLQEYATIGLRTLVLGYKELE QKEYEKFLSEYNRAQSILDDDQLRESLMNQLEEMIENNLQLLCATAIEDKLQDKVGEVIA DLKSAGINVWVLTGDKIETAINIGFSCKLLTDQVKRFIIDGDSEGQVERQLAKVTNSINE QPEEPLSLIVSGVALVIIIHNFKGAFFKVALKANAVMACRVSPKQKQEIVNLVRKLTGKI TLAIGDGANDVAMITQAHVGIGIRGLEGQQAAKASDYAIGEFKHLRRLLFYSGHESYRKN SNLILFNFYKNQLYIGAFFFFGFSNGFSGQNLYDQWLSQIFNVFFTSLPIILFALFDEKY PNSNYMQLVQDKANFLESRPDIYREYLTKPIFNLVSFWQQFLWGLFQAILLMIISFYAFE PISPHFHGQNSTYLEAGMTIMSAVVIIVNFKVLLLHNTNYPIIVYINIGSTTCFILFFLL FSQYPFFESFDLFQRLYECFNYYMAMILMLTVTNFFDLGRQRYNYFVDQQREHQQNNPPT QLEIEM >CAK73593 pep:novel supercontig:GCA_000165425.1:CT868163:32899:33992:1 gene:GSPATT00009865001 transcript:CAK73593 MNDRESSDSSSDEFYEKIKAQLNIMDDEEKSSRIVSRNEVLPKVSFAPPESKEQREINFN YKHSDRIQLIGKIESITKENITIYSNLLEFVINLDQLIVNSQQEILGKVDDVFGKVERPH YSILLDGYVNNLIQTNQLKIGDDVFINVDSTSVLNPDAIQAITQVIYNVIKSKKGCDASN QFDEEVVNEGDIEYSDDEIEAFSKKRGNKEEGEVKKNNKKKEKHHNQQKHDKQQPYPQQN NKVQGNNQQQQQQQQQMMQMQHSMQQQQQYYQQMFLQQQMQLQMQQQQQYQQMMQNQQSF PQQQMQQPQYIQQIYPQPQQLQPNPQLNLPYNPQQINQQLSQNLNSLFQNIKPQDQ >CAK73594 pep:novel supercontig:GCA_000165425.1:CT868163:34093:35379:-1 gene:GSPATT00009866001 transcript:CAK73594 MSDIIVKRREQFRTEIRKQNLEKEFSKKRFEKSNLIQDLNECNNLIDFELKFKDQIENQI QLILQNPDNQNLEKLIQLVQQLSAKYSVYIIDHNIYKTLKHNGLDLNLCLNLMCNLCVEP TVVKHIFSDQIQKERLTQYLNLISGNLFEEHRKLYWEFMLILSNSAHTPFPFLKQVFLIC CEELIQCRNSTTQMQIRILPHLIQKYPQANQFYWEALNSANSEDRKPWKCLLHFLKQPNS DVFCCDQLLLAIHYLVQHAISKIYYEYIVTSELMFVLQSNLYRHERTMVRSTKLLFMIFE ENDTYFKFINDDLVKLLKITFIDGQIEILKLLEIVLRKRQHFLQLMEQYHILDMLFDILR QDISTTMNYATLNCIALSLFQCTEIDKYQKYIQMQSSTFKDILERFVYDTNKSVQQTTEY LLRAYFEK >CAK73595 pep:novel supercontig:GCA_000165425.1:CT868163:35736:37473:1 gene:GSPATT00009867001 transcript:CAK73595 MGYENTTDNVLYKIRIIDLFTLDQRDIRVRYSLLLDLHNAMQDSNINYQLPQFPKKEYLK TLFGENKVIKKREQKIGEYFQQLLKCPPPNHKLLLDFIREGVDTIRQQELLKEVSSKQEI LKFFNSERVLEKGQFGKTTLYSVNGKKIILHKFYVMQHQSDQFFQAYIKAHLNIFDFSLF TQVISIFYIRPKANFVDSMLGQVKQPKVSKKTNIFTHFYPKHIRVEAVKIFSLEEYEGQN LNEVIKDRKNKNKPFTLDELLNIIQKILQAIIQLHKRNIFPNRILPTSIIINNESVKLAS IQEPNAKYKEKYLLEGYAIRTEQEFDVVYYPPEKMSQQFQQLNGRLIDSWHFGVCILMAA LLYTNKELDGIHSCYQVDKFANQVQLLYGDVIAEIIMLSLKQQPHERADIRELYFLANQT AIIKFHSTVLNQQERTQITYLKINTITQEQLESLQQLIQKSPILSVKINLYKQEIDQQEF DKLLQLLGNFAEVKYFQMILNKTQNLNFGNLCVGLQKLKQIKRLSLDLKGINIEKEDIDQ AKD >CAK73596 pep:novel supercontig:GCA_000165425.1:CT868163:37578:46587:-1 gene:GSPATT00009868001 transcript:CAK73596 MNQEDLNYLTYGSIISISHVLDDHSFITADGFVKRAVCLKNFHHVDVVDAKQAGNMKSRP YYHTLFQIFPKFTNNTKQEILKELIGEEQEEEVQPAVQNILESIQKHQKVDEKTILSKDQ VQQFSQKLLQEFKYNLDTFEKSKAHKVSYKSHIQLLHLASSKFLACHQKEARVETSNYKI TLDELPSDSSLFKILPAYKYQKEGEQVIYASDIVYIVRATSFMNKLTFLHASQEMGNYGK SRKQKKSDEELLIYKNEKDIIKREVNAALDEENQTQWRISVYSDYVPETSGYLKCGDVIW LHHSETNTTIAATRKGKPVDQKNFQQFNLVDWLKVENVELNVLSGSTKESYDEYTGDTHS MWIIESEIYKEGGIVVFDKKYRFKHFTSGLYLSGFKDKFTLDKQRVPTTLFYFSQLKNSQ VREKSVMRGSYVYICHDKPIPTGKNNNQNDENSFWVDIQYGKNQRYIPILHQEQHKTDQS VFKLYASSSNDVWEISFVLSCAKTLTNYLDDLQKLKWENDAQDKEVLKDHLLKIQTTKQC IDDINDFCNNRLYNSTPEQKYGTINPFRQKLLKEQYYIDLLVKILVQQLKTEDLVIWSRR YMIIQMQDIQQQQEKMSSTEQQTNKKQGLKDHEILAYIAFKITLNQTIYTLLTSICKQNP ENELYTFDLIGHFLEHCRYIPEAIKCMISIIGNNQELLNQLSLNMKLDYNPKEQEFFEPE EEKMQLHHNKIQNNFLIFFLNLLETDEQAHKADFLTFLREMCIYNNQGINSNQEAIYKLL KKHNHKRIQLIKDREDPDKISLKDGKLIIPFKLQNDPKEMYFINEQLQFYADVSYGRNYL WKHELEQYFSKDFLFQNIWQEDANRNYAVLQAALCKIAMSLYIDHDPLNKVQLPKYCNLY KSIDKANEAQHMGLFTSLIEDLFKYLQDNKHQIVLALDTKDGGDNKDYDVLDANDEKSLL INELLYNSCQMMLLVLELNVFQFLDKEPNQYYKQIIDIVIHYFFYDFTQLPLMKSVYKVQ KSEITQRKQRKETLGIGLNLGANLISMANPMNLGLNLNLFGSDEEQKSDDDDDEQIDEGI VDDVQMYTNPLMRGFIKLENELQSSLSTREETGSSQLEIKIKLTLCDVMDRFLDMRQNYL MLNCLSFFKKQIIEKMQEGIQQIKFSKDDVNQEQEDTKILIFVQRKTESNLLGLLPNIAK TGLKEVDEKEEKQDAFGIGALNFLNKLAETKPESEEFKAFTQNEMLIYDLDQYFSLNLPQ EDINKINNPIGVVLPYLFTNFSQIHEEELEKRCLAIIMRLFNQREELCNNLLKLQLVSDP IKSKLYEFLSENLQRLNQLVDRSEVWLAEYIKSQKQDDLQETLDIIDNFRQGFFKDTQIS QRIISRTSEIDGEKQNLMNALKIHVPILNLIRDQMPALDFHLENIKNTQRKQRLAQLFIY AFQFLTYFCRNNHENQIVLSQYISSFENMHLEVGQIPLICEIYKDNQKLLTSINRNDRVF HRFVELIYQSGRKAQFLDFFLTIIKQGNKYIFDNQLLVLNTFLEKEELLFIEKNEFVFEG DIQLHQSDFLTDLATNPPMNQPFLYHAKLLDLLLQCTQIQVLEGDQKDNFTINVAKLKKK FTAQYLIQLLCKSDSLMSQNPSLQEQGFGLIKKQLWIFFMNIHITSEKSHNVGKEIKNDL IKMIEFETNRLDNIQFEHQQMKYFDFLIEAVLPLFTTYLIRKNIQIHQDEDEDGNDQEQK DIAALQQFAKSLSQRILQLGKYFNRKKYVTILIAFYSYFPLTTMGKVCQSVLGQSKLMED LGEYNNFRDVLSNIQAGVNDNYQVDLNEQVNHFQNGPSQHHLDHPTPKTIFQSNNLKSRI GLMSALSSNKKQKEEPLIEFEMADAEKAEIEKISVAWEEFVNQLCLSDKSKTHVQDELQK LSEAIVKFEQYFGNPSDKNEKNEKILKVEQVILIKKFINFLSYAINSSQNNKTIITLLGV LRKVIEGRPEQEQQKEVEVEGEKNEMEEMQNLFNKLGATRMVLTVLSESIILDGEMLRNF LMFINTLLSGGNNKVQKTIFEFMKTYPKSEVIFSRLNNVIQAQIKQITIKEKDKKTEEQQ LGNFPQQQQQQEDNVQEIKQQQDLELLLTQVLRFLQNCCEGHYLDLQNYIRQQTNSRNSY NMINQVAELLLTYYYKDRAQYENMVLCLDTLNELVQGPCPENQIAVADSKFFEIASDLFG QKKEKDNTSKTQIMTSNIKTSKTQNNKMMTTKTSRYQKQQKGSDLKNWQIERMQTKCLIL ILSLIEMREISDSNPIIKRIMRHLTPPLLEKHMVSCFHKYEKQCKEGYQIEVLDRIKEDP ETAKQEDKVKEEQYYELILQKGFYIYFLMCYYMESEKNVENSFVQMYRANTRKKLKQDKG TLEDLLFGDNIIGQLVSFVMSFANSWIDLMNQIKKEAQKQMATQVQSSEQQEKQDRERRA EKEKMKRRAFDFFYKNSASIEVVRNNEIEIVYFILLPYTHNLPKEQKIEFHENVDRSSTK SKVQFLVQESERLIEICEHEEQLRRIFQRQKFLALFANYVKLWKDLAFLFTLLLNLFIIG SFAQNESGNRITDFRLFRDEQYSPQQTRNIFLICGTIMACCSIFVVSFFLFKNAPLIIKK AWKTKLPFEDKFTYWPIQLIYKIFKLLAVLFYILKEIEVVYYLAYGALAVIGTVLHPFFF SFHLTEILIRYPTLKNVIRSVWEPKQQLALTLVLFIILVYVYGLIAYTFFFEDYKGKCQS TLFCFLFTFDWTFKANGGVGGYLSDLEDENVVEKYHVGRYVFDNTSNIFLVIIMVNIVAG IIIDTFGSLREEETEKVRDIEDKCFICGNLKTIFDRLTDTSSMGGGFDHHIKVNHYMWNY VFFMAYLKYKDPTDYTGIEQFVSDKIQKKDLTWFPFNKARELQNLNQDEEEESKKIERIS KDIVNIVDTMRQASQMLNSIKNRKKLKNAAKQPADLL >CAK73597 pep:novel supercontig:GCA_000165425.1:CT868163:47431:48608:1 gene:GSPATT00009869001 transcript:CAK73597 MRAIIAICLLSAVFAIDTNKFAVLLQAGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWESLGAVRGDVEAQIRDGYQWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHADAVGVVTLLQQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDALQKFQSLAEVKRDGTTGEQVLSILQDLQAELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTGLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYAAETVRRQEENAIIDVVIQLFKDQVRSLASQTSLKRH >CAK73598 pep:novel supercontig:GCA_000165425.1:CT868163:49766:50395:-1 gene:GSPATT00009870001 transcript:CAK73598 MQQVTTEYVRSLNGITQDFLCPVNANIYNIQFLKFRIRDMDSGQTLFEVERDQDEEPIEN LPPEYQDEARRIKYHFGPQFFELKTVGAQLTFSVGNQPVKNFTIIERHYFKDHLLRSYEF SFPFCIPNSTNTWEHIYTIPEIDEAMRQEMIDNPFQTKSDSFYFVGEQLVMHNKAEYDYS PFD >CAK73599 pep:novel supercontig:GCA_000165425.1:CT868163:50395:52477:-1 gene:GSPATT00009871001 transcript:CAK73599 MSSSQDLVKCSFNTDDMGQLLYKENYHKWIKVQELIAKDPILQNNVADYGQSRDKLYEIY CKKAYKLHKLLNYSDEMIPGMVNSQFPETVVTVLHQTMFIPTIKYLGTEKQIEKWIPPSQ NYEIVGCYAQTELGHGSDVQSLETTAVYDKGTEEFILNSPTISSTKWWIGDLGLTATHAV THAQLFINGKHYGVQTFIVQVRDTHTHLPLKGIEVGDVGPKYGYNTKDNGYLRMNNVRIP REQMLMRYSKVSKAGEFIKAQNEKIGYATMMQVRTSIIYNTYVSLAQGLAIGVKYSHFRR QFKDKNGIERPIIDYQTQQDKLIPLVADCYAQGFGCLRIRDILTENLKRITEKNDFSLMG DLHALLCCCKAVYTWNTHFGLDKIRQSLGGHGFLQSSGVVSIQTEFAPSCTYEGENTVLL LQTGRYLLKACNKAQKHQTINENVEYLYNIQQTLSQKATFTRAEQLLCPEIIRKLMRLHA AFYVNKMMLKMMEKAGEHGPKEAWNKHVGVSITEASIAHTYYWTFKTFLDTLIKVQDENI RSVLSNLCCLYGLQRIIDWPIGYFEGGFLNGEQLEIILNAKEHIFTLIKPDLLGLVESFK FNDNALRSDLVDAKPYEKLLQSAKLSSVNNEEYQNKLRSLTRQLREMPKL >CAK73600 pep:novel supercontig:GCA_000165425.1:CT868163:52591:53601:-1 gene:GSPATT00009872001 transcript:CAK73600 MNQNYSILLELTKEQELTLAIEIATICVPSVFLLIFTLYCYLKFEHLNNAQFKLVTRILY SDLIYESIMSAISLSYIITENRSNEETSFRDSYPTFCYIQAYLSNFSILTSTAWTSIMCH TLYMQVYRNTSKMYYYQYIFVGYVIPTMISFIPFYVDGYGVTYPMESTNCFYNMRLERRS YDLYITLCYYLPIWVAFLYNLTIITLVVRRILKHITDFTNKTQVFALFLYPTILFICWVI PGLVQQLNSSQSIIWQYLSYFFCNILGLLDALCYCFTEIFTKIRINGCNLEYQEDLSESN FGTQTSQQLTQVVDSQQL >CAK73601 pep:novel supercontig:GCA_000165425.1:CT868163:54007:55441:-1 gene:GSPATT00009873001 transcript:CAK73601 MKQQPNYQSFTSIFDLEQSVTFWEPLQQPLHADRLLYKGKFFEFTGNVWVEEHYDLYPEV LCKGQFYMQLPGVQIRRLKPTETYGPHKYGLGFLKGPYRLLLYTESVEQLNQWHDYLKRL CLLPNFNKRHKLMGKIKNSLNFYDCYKCINGQQYQVKILEKPQITQTKQVIREIQILRRL NHPQIQKLLEVYEDSNTVYILFDKFLGHSLKTKLPEYWNLTEKQQAEVCFKLLNALAHIH SKNIIHKDIRPENIIQLNSQLTNLIIANFASADSKEKCKKRKVFNPGFMAPELFQNRNFD DKIDIFSLGVIFYGIFYCKYPFEGKDFRETAILNEKCEINFEYSKKLSSSAIELLQGMLK KDPTQRFNAQTALKHHWFINARSKEQMKGNMLGAPSLSTIQEKSEIDISMQDRLFNKQQS KLRAKSVDTLFITDANEIESIPLHQKIQNMTNIQFNPSKSNH >CAK73602 pep:novel supercontig:GCA_000165425.1:CT868163:55833:56933:1 gene:GSPATT00009874001 transcript:CAK73602 MYYAPARPIEIEKFPKGYIKPTGQNLEFLQRPPVDNRRIQDYYGKVQDLPNQQERPYNRV YTQGSSEQSEQFPKEKKQENKLKGNQNQDFGSNINPQRMISPERFQSAPFNTIFTDGNIY KPVGSPQNFAESIVQPLERRLSPSNLRGPNRGYLHNLRDFPEDFQEPGFSFFPKTVRDIH SGEPFPGYRRTGHPGILNDYRRGIPEPILSKRNYGRDFKKDLSYRVIPVTDFRKGQTVDF HGHPPILNDRDALALNLRGYPYLDSDRQRFEPPFEYRAYFDSQVVPAPDYYVPEYRGLPP FGMQREFNERQPYGRGLPYQDRVYPSSYGRMDQQMFREGRPNYNLGQVYSPHEERANGLN QRIKQV >CAK73603 pep:novel supercontig:GCA_000165425.1:CT868163:57205:58438:1 gene:GSPATT00009875001 transcript:CAK73603 MQKIALLALVLAVTAAKFIDTHTTLAQINADPFGHVVLSAIKAHLQASTPANEVNMLLNG VGAGLVQDQNDHDHAFELDTTTNNRIVEDLEKEILYHQNQISSNTQLRDDTIEALAVSEE DIRVTISDIANNEATYAREEATRNQQHETFVAKVAAIDDVIDAISMKLAKLIQHLSLGAS FAQLKSKYDVLHKKLSDNTSHTALLQPVITALTELATHGVNQKALTKIAQLLSEIRQQLV SEKAAKTDVEDRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHT LELENSEERLAGQQAWYAVQSEIYETQTAERTAQQEIVDRLQEHISEKLSTTAQFIASRN >CAK73604 pep:novel supercontig:GCA_000165425.1:CT868163:59707:60500:-1 gene:GSPATT00009876001 transcript:CAK73604 MQHRTEDYDLLYKLVLIGDSGVGKTNILNQFTRGEFLQDSKTTIGVEFASKNVILDDKTI KAQIWDTAGQERYRAITSAYYRGAVGAMIIYDITKSLTFENVDKWMKELKENADPSLQIM IVGNKTDQKHIRQVATDCAIAYAQRNGVAFIETSAKDGTNVEDAFKNILQQIYKSAKVVK PTPQPTKFVVADTDVVKPEKSSGCC >CAK73605 pep:novel supercontig:GCA_000165425.1:CT868163:61202:62170:-1 gene:GSPATT00009877001 transcript:CAK73605 MFLLSVGGNLILIKIFQKIQNLFKWQIEDTKNNPSKMLTVNCLLVITIIFKIFLCYYSIA GFLFSQIWQWDCKTQISSLTLSPQLYIIIQICQAQTLNLTYCFCSNLWLRLWRMKLRIEQ NQSLLGLTNVLKVFLSLLIVLIPILTIVSLILESYINNIREYCLGALTMLSTISFAAICI ILYTKLKDQFSIGDHYSANLKFLSIAVIICSLIRPSFNFFFSQEFFWKLKPGLECDEDDV LINKLPDQGISWAAFQLIYSCITDFIPIYILSLLFSPNTSKRKTLVDANNDSDYIQE >CAK73606 pep:novel supercontig:GCA_000165425.1:CT868163:62328:63347:-1 gene:GSPATT00009878001 transcript:CAK73606 MGNMCEYHARNINNEQNLQPKLLAQHRFQNIGIYLDAGDIKNEEVDVIVAQTDLNLKLPY YLVNNVSPQTKQQLLKYIQQIRDKQAVSHGDVVHSHAAELEFDFVFYCVLPNEDDEPMKL ARNHNPKNLNLKLPKNAHQKYQKQFIYDCMMTCLKVAEEMEITSICFPVMKSTKFTISTI ATMQMIAVKNFLEENVTKIKFLSQVRFCIQDDKDQELFKWAFDKVFMDTDDSSEASDEDS LNNNSGNSGSGSAGNSLSKNTLYELQPTVKKPQQKNFEEDEFVKSFGANYYDKFESPQSV GRKISI >CAK73607 pep:novel supercontig:GCA_000165425.1:CT868163:63402:63937:-1 gene:GSPATT00009879001 transcript:CAK73607 MHQRMKSDIRFDQLKLDYLQANSSKILKSEQDSTQPSQTLIVQGNFLENKTDRQLSPIIN SFRPRHRLKQCQSLALDNDPDLEEFSIWGDLEELPVGNDQNNHIISFLELYKYRYEKTTK KPVSCYNVILMTKKLINRHSIARLKYYGINKIQWIDFRDWSRSGNLNSL >CAK73608 pep:novel supercontig:GCA_000165425.1:CT868163:65161:66821:1 gene:GSPATT00009880001 transcript:CAK73608 MSTNTVKMLQQRNIDIEVSCFEDKLQISPSKQNKENSHLSKSKTCDISDLVRLLHKVDIQ SANHGDQMEQEQDSTPKKQIQQGKTCRYIPLRSGQKQTLNEEFQYREIEEENQEPQKGSD YKEQGNVTLKDIYKMHVLGQPLQTEQLQWESKNLLRFADNTPSKRKILSDINPAVLETYQ NLMDYREQYQTSQDYQFSQRKISKVPFKVLDAPQLQDDFYLNLIDWSSQNVLSVALSSCV YLWSAYNNRVTKFCDFGNNDMVCSLIWNPQGNQLAIGTGSGEVHIYDQEKMKRIQILEGH SARVGSLAWSGNTLCSGSKDRSIILHDPRQKRQTGKFEGHKQEVCGLKWSPDEYQLASGG NDNKLFVWRMGSQIPLAKFNQHQAAVKAIAWSPHRHGLLSSGGGTADRTIRFFNTLTTEQ LDWIDTGSQVCNLMFSKNVNEFVSTHGYSMNQIVCWKYPALQKVTTLMGHTSRVLFLAMS PDGETIVTGAGDETLRFWNAFPRKEQAQPINTVLLPQMIR >CAK73609 pep:novel supercontig:GCA_000165425.1:CT868163:67440:68764:-1 gene:GSPATT00009881001 transcript:CAK73609 MTDILKNPKVRQIIQDVVGGCANQTATVLEMTFVQIYTADEGKHKFHGSNIAGILCFLID RREGKCFYLRVYDPATLQVLFSMELCYGIKDFWQPVHGIANFYSIPISKGFIGFLFPNVD EATKITQKICSNGPTKQQLEEINAQIEKEEKERKKLDKLEKEKGTVKGAFKDFFGLNKQV EKQVQVSGPTGFKRNQLTFNLDTGEINLDELPPELINAFKKAGITKKDLQDKNQAKEIFK AVANFNENPTAPPPPPPPPPSKQQQQQQVVPPPPAPSAKGGAPPPPPPPPPPPPPPPPPP KGVPPPPRGPPPPPPPKAPISGQPQVTQPNTQQQQQAPKQQPKSQSNKNDLLAEIQQGVK LKHVEKNECKVDIKEMDKKQQDDLSSYLAQMMAQRREQLTKNRKDSSDSHNSGWSDD >CAK73610 pep:novel supercontig:GCA_000165425.1:CT868163:69291:70739:-1 gene:GSPATT00009882001 transcript:CAK73610 MFQDPEEKDMIGDLLNGISNPHALLDSFEAEKTKKSYSNNRPPALEIKQYQKASPVYQPK VYSPQVQHKANSYDLDLRLTKIPVQQSAPNSALKAKSYECMTQFIPEEETSLEGDLISKC KDQNGARSIQKQFQEGSVAIKDLIFSRLEKGFVSLSKDVFGNYVIQNLLENGTQLQQQKM LIILQPHTQQLAFHQYGCRVLQRLLQNSHKTPEFKVLFDSIKGKVRDLVIDQHGNHVVQK LIQLMEGDVSLWVLDGVEGQISKLVTNSFGCRIIQKAISISNNHAERQMSVLQEIMKISQ ELCTSQYGNYIIQQLLKDGPEVIQIEIQQIIMDKIEEYSLNKFGSNVVDCAIKCSNNKFK LKIMELLLSQKNHPVLFVSLSKNAYGNYVVQNFLKFSDSEIQKEFYLKITNNQQLLQEIQ QSQFGQYVYQMLTQKLELEAFKL >CAK73611 pep:novel supercontig:GCA_000165425.1:CT868163:70956:72123:1 gene:GSPATT00009883001 transcript:CAK73611 MGMCQNKPAEYNSKIPNIESEFLDEDDVDDQIGETTYIPIEGENGNPKTKLSLSDFELLK VIGRGSFGKVLLVKRIADQQLYAVKILRKKLLQKKKQQEQALQERKIMSLISSPFSVKLH FAFQSPSRLYMVMDFMIGGELFLHLRKRQKFNEEWTQFYAAELLIALDILHQQKIIYRDL KPENILLDKDGHVVLTDFGLSKLGYERNEITYSFCGTPEYVAPEILYQKGHSFAVDFYSY GALIYEMLSGAPPFYSKNKREMLKNRCEKPLEMKPTFSLQAQSLLKGLLTKDPGFRLGSN GIQEIKKHNFFSGIDWELVEQRKLQPPIVPRIQNLEDLSNFAPAFLQQPLIETPDSQQNV QFEGFTYQKGM >CAK73612 pep:novel supercontig:GCA_000165425.1:CT868163:72145:72676:-1 gene:GSPATT00009884001 transcript:CAK73612 MSNTFKSAGNTLAKGNDLGQTNQSFGGSQNPASLKGKLMGLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITGLKGEK TALQQQLLGLQRRIAELELQVGQEQA >CAK73613 pep:novel supercontig:GCA_000165425.1:CT868163:72692:73608:-1 gene:GSPATT00009885001 transcript:CAK73613 MSRKEQDIKRLIASQCHVGTKQLHFDMKRYVSHRSDNGSYILNLEETWQHIKLAARVIAA IEQPQDVMVVSSRPIGQRAVIKFAHYTLASSTRSARWTPGTLTNQSNSASGKLQEPQLLI VTDPHLDRQAIVEASYVNIPVIALTNSDTPLQYVDIPIPVGNRETKSISMIYWLLAREVK ILRGELRQDEEWDVLVDLFYHKEITNDQLGITDNQVKQEAEDHEQQAEGEKAEKDW >CAK73614 pep:novel supercontig:GCA_000165425.1:CT868163:74974:77616:1 gene:GSPATT00009886001 transcript:CAK73614 MRPQSPKFFQTQTIATTRVVNSPPKDKRHDSCGRLAKVTTYQSRPKTTLTTTTYRPVIET RTVKLCTEKKCQGHETLIDQLTQENNKLNQRVNELQNELDQQVEKYEGEQQVWVNTSLET ESKIKLLEETQKNHKQEVSYLNSELNTFKSQFQYQDDQIRFLSSQLKQQEVLENKIALLS SEIERLQYVIEDKNEGISLLKFRVQDYEKQLQVGQNVIEDRNHLIQELQQKYNHCEQELL FSNNIIHELKFEITQKDSQTQQMLAQKDSLMQTYRENLELLEKKLAQSQQNEQLLQDQID KTEKEREDINNKVQQLETELNEYREQNYQLNQQVIINDQTNEVLQTQLIQQTQHHQNQLS NKDTEHELTKQERETLVNQLLQIKQELEDKTQELDDLKEMEKCLTSQLKEKTSELNQITE ELQIAKTKLENSDEQIRTQQLILIEKEAINSELKDDLNLKQLESQIEINKSLQNLICGLK QQNTELEDKYNTQENALKQQQVQSEEQQRQNDEKIQEAVYQQSQLNDQISQLITKQDSLL LQIQDLQSSLLNFQQVSSEQINNLQQQNENHILSEDALKEEKIQLIQQILLNCFEIERLQ LQNNHQQEQFNSQNEKLSQLEKNKQLYEEEFQKFKESSLKNSQNQETKIKELQQQIDENL KQIDGLKNDLKYQLSLEEELNKKNHFQQDQISHLQGVEQQLKYLNEQTNLQTKHLQKEFE SKIESESSLRFKLEKLLEELRIKQMQLVEMDSQMRQIEQNNNIDLSNLEERISYLQNEVE TWRQKFSILNKDYHRVQEDLMMLQAEFDAYKKRGFDIKNIKESTYFEVRKSSLYKENIDI KGSQTSIGRPLRENK >CAK73615 pep:novel supercontig:GCA_000165425.1:CT868163:77641:80087:-1 gene:GSPATT00009887001 transcript:CAK73615 MISILFAILGLVYSFSYITKLQQANCQYYENQNDNISRLLFVAICFTLTIVITLGVMNPI FYEIGMQSKVAQMEPSSYEDLQLIYQSVRFQGNLLIEVFVSAAQTISLIFMGYVFLLFSK NAITYNYFIYFFALITNLVAIIVYSNQDDMKSVSIINHVLNPNDKYLITYISGASLFIAL IIFFIFLIDTLGQYYRIFKNPIYKFILGNILIGFVFALIGSNGQLIRNLQQYSEVYLGKD ECRNQMNLIPSQMLEDLGCSNKYFGAQDSFQLDCPNEQQTQIWEHRESEQFGCINWNCCS LISQILLKKYISYCLLTSWEAILLIMLSFMIFQIRLVQENAISQTLKQIALLFSFCLIFA GIFAANSLPDIEIYRKTYVSPLNYIPVNNLNTLPTHQMFQAIDWLPQQCSQKVPVDIVQS IKDYCSNGCAVLFELILSIENGQFLVNTINQKDVIISQEDAVDSEFLYLKGHFKDILQNI QDNIQVCLFSPSAAIKWNFESSERKHKNKHSKQVDYPMQHEQIIQIPSKVQQDALTQLIK YYNIQLIFQENNVLPIGTQITIHNSLLYNCQSININAEKSIIIYESNMEHNQLTFYNYPQ GQYATVVFNTDSYQSCIYIQSNQNIYIHPIIPIQSDLTITLSWFGVYDLDLIVENKICKV GKKYQNECGTIKTHFDSLVGNQQTSTDLSNGEQITIDYLSTYDYKIYVQITLNKEELTKL KKTEQDNINLYQQSNAQVQIFAKNSRYPQANIFVKQIVQAAQTIKESDKFKYKWFVGCIK NGEGLDIINQIKINYEC >CAK73616 pep:novel supercontig:GCA_000165425.1:CT868163:80355:82326:-1 gene:GSPATT00009888001 transcript:CAK73616 MNALLGNLGGSDQAVIQAAYPGEYVKLTGIGRGSYAQVYKAETADGQVVAIKVIDLKNMQ KEIIPYMQNEMKLLSESDNKNVIKLFKSQQTHDKLILVLEFCQLDVEYLVKRYYKGKLPD DLAIIILRQLVNGLCYLHANKIIHRDLKLENFAIHMSNDDQVALQTRNDLSVFERATYKL IDLGLAKKLEDLQSQTSTWAGTELNMAPEILNEQKYSFQADMYSLGVCLYYMLSGKYPYF DPTNRTPLQDLIKKENADFNHIANLQLRSLIIRMLKYDPKQRITFQELYQHEFFKFKEGD LSNPIDLELKENQIIYSQKFDESILDYGQQKQQIEDNQVKFDSKSDVKKVLKEDKQEQMD NYIKDLNSEVFPQIPIQPKNNIPTKIYDNSKKEDDQIMQLYNTRNQYLAILKLADYIEQL IPLVKQSKLSYMSMESTFNMYVEYLRMVSKQIFIKLQHRFSFYQPTLGSYDRYSLLEKQV KGEDKKRLDNITVREGASTGLISWFRKSVMKQIQCEPNDSLDFNKQYEQTNIELYKELLK MLQDSFYKYKDDNDSELKKIKCLIYLSMIDTARSRVLFQVDQKNIDYKIEELLLNKAKEE PDILSEKVTTILGQYNLKYV >CAK73617 pep:novel supercontig:GCA_000165425.1:CT868163:82452:83576:-1 gene:GSPATT00009889001 transcript:CAK73617 MQQDPYNWPFPHRQDLMSLSTIKNQKRDFVYENRSQSTMTIQGIDGAAPKLKPYQYLNKE QFCNRDDDIPGTKSRPLIRVSNRSDNQLMIDDIKGTRPKVNKFSTNREPINPLEPVYKVA SYEQVEPIQPKFIRDSHNVQDIEGTQPSSMNPKLKKQPVSMEEVEGSHPKQRFLPKDYVD SLQVKDINNDLLHKYVRNTNPIDPVYPHRDEDGKLVQIGFIDGSKTKQLHPVTINKFASS ILTTKDIIGAQAGSHSQHFLRTNERKDYRQINSVSDIEGVKPGSLKKGIESKRYTNPLMP AYQMPGSSEIYSMKPTSVFQKNASTQMLSNAQKMDLFLPQS >CAK73618 pep:novel supercontig:GCA_000165425.1:CT868163:83629:83925:-1 gene:GSPATT00009890001 transcript:CAK73618 MKKVHLSKKLGFFIRIDIQIKHLQQQQIVELRREKQDMRRKNLGKYEKQTALPSDLQMFD KALQSREKPPVEYKIYSSPGELRPIYQPYQQQQQYLFY >CAK73619 pep:novel supercontig:GCA_000165425.1:CT868163:84252:86068:-1 gene:GSPATT00009891001 transcript:CAK73619 MGKKKFINKQNAATLKMVHQPGENNQGATDMICFQKVNQPGTQNELSDLIEKELNRGKYD PNLKPRMPEIDPTQDALHLVAGREDLWIHQLAKQKAVQALKQKQEQFQDVVMKHYDENGL PLDGYDYSQHLAPERPQGEVECVFVVPEEERSKVVYDIDFKREELTKEQQEVYDLLMKED KDDDDDENVQENQEELEDDFVANLIQQNKQQPFQEEKVEQVQKVAESPKKQVRFQDQEES DNDEDYEDEEEMEITYDKNKMNQKSQQKQQQQQKQQKQTKKQQSDSDSELEIDSDMEREF EREMNAYEAPDDEDDEDQSNKEFVNDQMLNKVIDDHLNTMTKKQQKKSKSQQKPQDQPEE TEQQKEKRLEYLRNTDYNEYLKQKFPNADFSQFHLKKEVPPLTPEEDIALQNYLNRESTD EECPDMEPAEKYQETIVTKSLHNTTMSKMNPDQLNIIKYKTKGQVQSTKEDRMKQKEEDK IKIKELKQEKEKVKEAVCETLKQVVIKKEETPEEKKHRKELVKQLKQAQKEKKKAFKEQL QTMSKKLQKQKLANIQSNQAEGVSIVKIA >CAK73620 pep:novel supercontig:GCA_000165425.1:CT868163:86098:90003:1 gene:GSPATT00009892001 transcript:CAK73620 MNNYHIYDEIGKGKYSVVYKGRKKKTIEYMAVKSLEKSRRTKLLNEVKMFSGLKHPNILK FYHWYETRNHLWVILEYCPGGDLMALIEADQQGLQENIVLRFVRDMAAGLNYLHSKGIIY CDLRPSNVLFNEYGVAKLSDLGNAKRLVDMISATIGPDVEMSKRGSPYYMAPELFHEGGV YSFQSDLWALGCIAYELCIGQPPFQCSSFTELVELILNAEVKPLEVQCWDFIKGLLEKDP LKRWGWEKICKFLNIQYLNVPSQPHFETWCKKNNLVRVTPVQQNNKQVDIVRLSLNVHKN MLREDVPSSSQQQQYHKQISSNEKDFKLTNKDQEILIGSEQQQDDDEIDKQPDEFQTNVQ SDLNSINSPHLQQKPFTIKKDSVHPSTQSLLNQSPIPGGITPQQKKANENDQLIPIDQLF THSSDNSVKPIIGNREIEKSIDATFAKESLPFQYINADDVNRNIETDQIEYHFEQIYNSL QVAKQQEQLNILNYFEQIIQTSNAANRLINSAYVQLLLKLLTVSKLTQLKYRICCVLGLL LRHATVIEPEVSQHGIPEAMVEILNSKEQNNVRRKAAAALGEYLFYGATQMEEDPQNPYW KMSNISFNQLIKVIKSQNEDEIVKFYCIKTIENISSQSISIGQRFAKSEIVLMILQCFLS TKNDSLRISCAITLANLLMLDNQQVDVFMQNLGLKSLVSVFVFSHLVQIFLDNLQRVQQA MITIFNIHLLQSVSTQDSSSNNVSQLASNAVNKLLMEESQLIKGLVYLLDHGNAVSRGKA AIALMLMIKFNIVTLINLSEEQLRFYQILDKGLRDNNDYLKQCLQHLVQQLCDCTPIMIT QINQSLTDSQLMEYSPKKTNGNYLNVLFQYSQTPVLQQSLFSSQYLPILTELLSLSKNKT QIQTTLLNIMSKMCTNSKILIKQQQVIIQQVLKNCIDYMVKQQSTETKFMFLKLIIDLLS ALLQEEGIYDYPNFLKPYSNELNNIIITLILPLLKELFQEQPPLPFYALKLASILLTYNS LFLPHFKRYEVLQAILDMYKPDSNNITGHTLNIIQKIVEGSSIEDLKQFQIIDKSVQIFV QFTKQKQEWAFEDLSSIMVAITSKIINELQRGNNKIIVSPDNTLIPQPFPQEFLFFQDQF YFTLQYAQQLIGISTPGCQLTLFTYMLHLAYFYPGKLSQIRQQLFNQLIPLLCPQLNKRI IKLLHWMVVLNEQKPFKIEKKEILVQVLEKYQKEDKSIAMAAKDLMKYLL >CAK73621 pep:novel supercontig:GCA_000165425.1:CT868163:90736:91284:1 gene:GSPATT00009893001 transcript:CAK73621 MQLQNSIRTMEEIEFLENQVYPKLHTALVQLIDHIVKTEEVRKHQERLKKIKIFDRIEQK KVEKQRLKNELGSAYESSSQGSAENDDLMGMSSQLIKNDESIFPINHQIQAPAGISIDDM SPQDMKKEINLALNQIQEDQDDEEAPDKQELQHLKQQMKQQREALEFNPLIYLAHLLREL QK >CAK73622 pep:novel supercontig:GCA_000165425.1:CT868163:91301:91617:1 gene:GSPATT00009894001 transcript:CAK73622 MAARQVFCRLQPKVNNYHNDGTGRDSYISKFNGGQMNQTLQSQIAPQRKSSTSRKCITSQ NQIRNLGRSGNLPPSNTHQNYEVLGGWKWERLFCNSK >CAK73623 pep:novel supercontig:GCA_000165425.1:CT868163:91627:91890:1 gene:GSPATT00009895001 transcript:CAK73623 MQSMQLVGQCRNPISKATQKLPKDRSSEDQQCLSIQITRQIGRTQKTVTDQVKASYSQYQ TSTQNLSILSNSEILKQSSQHKVQDTQ >CAK73624 pep:novel supercontig:GCA_000165425.1:CT868163:91892:93324:-1 gene:GSPATT00009896001 transcript:CAK73624 MISSIVFINHKGEILIYRVYKDDITRSETTQFCAKIVATKENKECPIINIDGTSFIHITI KDIVVLATTKVNVNVAMTLQFLYQLVKVCRAYFGGEFDENCIKKHFVLIYEILDEVMDYG VPQIADADLLKKYIQEGGLKPELMNDVEKLKQLTSQATGATSWRPPNLVYRKNEVYLDVI ESVNVLMSVKGTILKADVAGSIQVKCLLSGMPECKFGMNDKLLMQREPRKPGQTTTDKGI TIDDLKFHQCVKLPKFDKERAITFIPPDGQFELMTYRITENINLPFKIMPVYNELGKNKL EIRVKIKSIFEKNLFATNLAIKIPVPKNTANVNTNSAIGKAKHEPDQQGVIWRIKKYPGD FEALLRCEIDLGQTTNQQPWIKPPISMEFQVPMFTASGLRVRFLRIYEKAGYKPTKWIRY ITKAGEYLHRL >CAK73625 pep:novel supercontig:GCA_000165425.1:CT868163:93860:97455:-1 gene:GSPATT00009897001 transcript:CAK73625 MFVKLEKRSDRVKSVSFHPHRPWLLSALHSGVIELIDYRIKKRIATYEDHKGAVRSVEFH PQLNLFCSGGDDFTVRVWNFKQCQFILKGHLDYVRCVTFHPTNPWVLSGSDDQTARVWNY QSRQTIAILTGHTHYIMSCQFHPTQDYIVTCSLDQTARLWNYGVLKQRYAQKKNQEYVLS GAEVQVIAIMDGHKDQLNWCSFHKSEPFIITSGDDKNIKLWKYNENKAWEVDSLSGHTNN VCCAEFHSKGDVIISDSEDHTIRVWDCNKKQIALYENVHFDRYWTVACHPNNYYFACGSD TTLQVFTLHKDRVPVLLVNERYLCMAEQKILKVIELNSGQQQTIRDISTVITPTPTVLED SIESIEYNTYDTQKTQLMVRCIRSLNKNQSRIKRHLLIAFQPQKGDQGVKQFNSKSACFI GKSKIARINQELQIELYNYETEAVSIIDEKPASKLFTAPGGKLLIYRDSREELKTFQDYL VYNLNYWSNKNHLELFDPLAKQGLHQVEYTDAKYAQYHDSYLIVQGKLTLTIFTKQLQKL IEIQEKVNIKSFIWVNNFIIYTTKSQIKYLLLNGDTGVLKSTENILYLVKGEEQQQNKLK LIAVDNTAQYINQILDIQEPLFKIAILNKDLSAIHKFVENNQNEAVLSYLYQKRLASVAL KLVKDKQAKFSLSLDSGNLEQAYKAAIEIKDTNLFEQLRSEALRQGNNLLVDVCDQQLNQ FDRLAFLYLCTGNTEKQEKLQNIQPNYIYQSQTSKLKSIRQNLPKLAQIVDHLNGNNQKL DQNQSETIEWIKSLGGSQALIPPNPIMKFKNDPWPLYQMNEQDIINLEVTEESVVPQDIF TIQKQVVEEAQVEEQINNDGQWGLEDEPEEEFFEPKVIQEKDKSIDEQALRGVFLEQKGD VAVKYFAAGDYEGGIRLLKQQINLSNHQQLLKLLTGGTSFQVVSSIPYLSNSTLPVKLNR LNEVKTLIKQGYKFTTDAKFEEVSNCFQQVLQKLLLTDFEANQIEEVKKYINISRNYMIA MRCDSFKKESNALEMACKMATIELLPTHRILTLRQALSISYKQKNFITCQQIAKKLIELL KNDTTQKPEVLQNAQKYEKASQQQNTNAIQIDFNQSWLNEQPIYSVNTLKNLQNYKACPY DGSTYENDYSQLCLVCGLCLVGKAPGLKNLQQP >CAK73626 pep:novel supercontig:GCA_000165425.1:CT868163:97579:98958:1 gene:GSPATT00009898001 transcript:CAK73626 MKKLEAFCLEDNSDDDSLDQTHQISIQTEIKYKQIRDIATKIILCYAIDFDLPIIPFLQY FQFIRVLNSEDTISIEATKPLIKQLLNIKELEMITRDTHLNDILNQTYSLNQLNSIAQNF ENKYKHYLQKSQPSNICQMTKIIYNQREKIQEKLEKIFQDQNLQDFMKKGKEQLKKAPLE SWKNNKKDYEEQQLPIAQTFINLNKIKKFLDEKKEEETYATGKMNRKKNQMIRIVRKIIK KRRPKTQNEKFCTQVHSRRFIKRIFQLLLSRQESYPEIFYCLTVKFEKKCADKIQFTLNN RFKTYIENDTYIHNLLNEELVKKGYNPVKLNAEQVNLEDFYQCFNLNLQPHEVSEAKVVY EMIVQVYEQKEMHRLRLYPIFNCTKFTFLEQQMTQIDTIEKYQTPQFLKFKSIVEDIKYY LDTNKLQIEKEMQAQKDNQVQTTERQQNKKEKVEPKVES >CAK73627 pep:novel supercontig:GCA_000165425.1:CT868163:99296:100409:-1 gene:GSPATT00009899001 transcript:CAK73627 MKLLKAIDLYGKVPKGLAEPTSSGAVVSVLTLIFLGLMVMSEVIEYITIDVQSEIIVDQQ LSKDRVQVSFDIKFVRAPCDFLEIDQQDAMGQSLSQQFMEFKYYRMDSSERRIGEYIRNQ NNWIVIEDARTAVAEKQGCEVVGSLKINRVKGKISFGPHRSHTYIGAVGNLHLPLDYSHK FVSFTFGDENALKKVKSMFKQGQLESLAGSQRIKKYELASQSMQHEHFIHIIPTHYTLLN KQTYSVYQYTANHNEVRSHNYANVQLRYDFAPTTVTYWQTKEDILHFLVQICAVIGGIFT VSSMIEASVYKVMRSVLKVE >CAK73628 pep:novel supercontig:GCA_000165425.1:CT868163:100430:101685:1 gene:GSPATT00009900001 transcript:CAK73628 MIEGDEEDEINDHNEYYPIKPKIRSKQKVSKNNYGQFVHIFILVLFLAISILIFFNWMEN QSQEFQETQLLPFQNPQDQNKLQCPYNTSFDSTTFSCLKCPQNCISCYHEYQSRCLQCST PFKLKQNICAKDCVSINNICINTNNTKIDQINYTVIDLGTLLKIDSLFQVEIHTYSSKNM YIIFPPLGNSFNYFYQSLQLPELANKLESTIFVFSTPYTEVMQIIENKDQSEDQFIYINR IYEFVQPYFQNQTKSINIVALGEMLFFAHKLTTLITQNSNQDIKITLILMQTHEVQLEES IRLEDSKLYNILYYINYKWNSNSTQEQEVDINDQTISQQVQGAKQKININKIVSSVHNRR INVVVISERHSKDISTIYQNGFNYYNLTQIFLDNNKWSALFSKLQGLLL >CAK73629 pep:novel supercontig:GCA_000165425.1:CT868163:102640:105384:1 gene:GSPATT00009901001 transcript:CAK73629 MDQEDNKKEGNQDQEEQQIDESQITQTEQTENKNEEQEFECEQIQNQNENLQNSAEKVLK QNPNVPSIPNNTNPINQTAAQAGSQTSNFQDSIHTLAAIINNIDNQVLLQKAPLESLCNL LQIAQPGEFDDVSDPAGMKELIEQQYLRKRSDLEMLIYHVMKGYDELNQKVNLNQLEKIM RILKSKNKSIYITKDGFTEQALQCLCHHHQNHQQEQQEENIEQNTQQEQQQEEQQQQQEE QQQQVEQQQQQEEQQQEQQNAIEQNEEIKVQQEKNEVEIQTDQIEESEQQVDQDEQKLGD LIQKQKQQFEDFQQQIEENKTALQTQEEEIDQQIQQVEKQDEQQQLTQEQLEQNVEQLKH SIQRSREQIEKLTEQRDILLEKSRELAQKQQELKIQQQQILRQLKQNEEEEEENKPISCQ DIFGIMTLNTLNLNQLQNYNDQTNSLIFKLSKNYTVEIKSDDADYIRVLNENSILKMLPS LLLTRHIFPFLSAFELFKIREVCGWFKEQVKKAWPIVFKREMFEQLLARDLAKNIYTVLS LQTLKGTLYFKVQNLIEAIIQAIQWEKVEEALQQEQMDINIYRPLIALLSLFNKQHEIEY PHQIDGTFDIKELAKDMKNQVIQYIQTNFLPLSFNQMRRINENLLSAPEFSVEFLASKED KLPLYLTILLQQLYYHGLIHQTFIIDSFQLDKWKIEQEILGKRQSYNQNFLEGAYKKLLL KTYQDDGEDEQSLEHLNSDINEAQQFLRALTNLTPTENPDPDIVIRRNKTVTKIFIDIHT KMDILVQTIEQYRNQSLQQEALAQKDQQEQSVQIETETLQQQEVTEKEVQTENQEETNKP NNDQKGEIEIQQDQKQQLPEEQEQEPKKLIEEENLNQPKE >CAK73630 pep:novel supercontig:GCA_000165425.1:CT868163:106033:107513:1 gene:GSPATT00009902001 transcript:CAK73630 MIEEELQESDLKKPFQIIHTNTKISKQQASQLNLMKLVKQCEIQFQIASNFRECSQYLSE LAIIYLKQHQFLKKNIETLQSSLIDQCEDQQENKEIKKKRKQSKLKEKCLESYDDDQPQF TIKDNPRNLIAAEKLQLVEKQRTPINMYALLKRNNQQQTEYEIDNVVMDLSQIQKCSLSD ILNKKNQELKIKKKSNTLQQSKQQLVYNFNGQQSENNKDDDEFVEEVDYAENLDIEYQEA EQKQEISRETKKVSKKNTNKEDKLIKNRNRNSKMAQLKKTPELDIKIKEDTDELFKNNLQ TFMRHKEKIHDLSLQVNFLQLEPEFWKHEDELIGLINKISFCQDSNQKKIQEEHNFLIFD NLTTETDHKKPKLQNQGQSSLSIQNISEFSNFECFSQTPSKNCEQEQENRLYQILIEDIQ STYGKHGRLSSNEFFQISEKYDCSKPKAFYNLLIFGRVGVLEIQNNQNQQCFSEIQIVL >CAK73631 pep:novel supercontig:GCA_000165425.1:CT868163:107595:109134:-1 gene:GSPATT00009903001 transcript:CAK73631 MEQFNGKPSDNILITQPLTKQKYPALFHDERFGKDFESNYSKLQEIMDNLQGKVEQIVKS QEDDFMKAYKDQMNELQVDLKAMKRKIDEESLKQKADEKKRILEEERDYFRQEALRLDNL NKEQLRTIEELKFKLKITIEEKNYYEGFVIDSKKENKALKFELLQLYKQKMEDQKALNRI QQQQQTQQITKLNSKKQSDNRPLTQEGIRSEANLFIKDDFNSEFLKREQSSKGGFRSQLS TKNNDQASTQFDFFRREISTQQQSRFHPENQMLEERNNQIAELKQLLQKEKFLCQQLKCE LQKQNSQRGELEVVLLDCVNQLKKDIASRQTVQKQPFLGNSNKTPKVAEQIAFEDIDYRQ FTHQDKKALLKKFLSSEQFLDQIYQLTFNSQMASTSQLKLNEKWKQDANDATKKFNNFKI YKFRNITSQPIVKQVISKQEQNILSELQEKVDQGQELVDQIVQN >CAK73632 pep:novel supercontig:GCA_000165425.1:CT868163:109588:110460:1 gene:GSPATT00009904001 transcript:CAK73632 MSTREELIYMTKLTEQTERFEDMVNYIKQVVENGQELSVEERNLLSVAYKNSIGGRRTAW RVLSSIENKEEGKAQSQPASQKNLVLIRSYKKKIEEELNQYCNDILNLIDSHLIKTASTP EAKVFFHKMKGDYHRYISEYATGDQHKKAADGALAAYQAASQVANSELKTTNPIRLGLAL NFSVFYYEVLNDAAKACQLAKSAFDDAIADIEQIQEDQYKDATTIMQLIRDNLTLWTSEL EDEGGNVENL >CAK73633 pep:novel supercontig:GCA_000165425.1:CT868163:110611:111139:-1 gene:GSPATT00009905001 transcript:CAK73633 MATVVKQVSYIITFMEHVIYRIKQEISNVKQTLGVEFSSKIMQINQKKIRMQMWDTAGQE RIQSIDIRDLFQVLDITEYAYRKQFSTASFEALPEWIKYARDYSKPSVQIIIIGNKADLD KERTISQQSAKQFCLENEVQYIETSAIEFWICSNKDSMIPI >CAK73634 pep:novel supercontig:GCA_000165425.1:CT868163:111242:111906:-1 gene:GSPATT00009906001 transcript:CAK73634 MSKSLGTPIKLVVIGDGTVGKTCALLTYTTGKFPEDYIPTIFENYSASITIDGKKVNLGL WDTAGQEDFKQIRPLSYPNTDVFLLFFAVNEQSSYINAQQKWYPELRTALNNVPIIIVGS KIDLRQNDNKCVQRDSAKRMADQLGCPYLECSAKDKIGLNELFEEAVRTALRSKKPNRAQ EESKQNKDKSCQLI >CAK73635 pep:novel supercontig:GCA_000165425.1:CT868163:111968:112711:1 gene:GSPATT00009907001 transcript:CAK73635 MDITTMMKTTPKTNLFIISQFQELIQIQSQNNLVSRILIKNPTFMLENDDDILLQEIASC QTNEDIQHLVDSQCLDIIGNSIQDQITLSHKQLFRVIRLFKENLSSKPLLPYDQRASSQK ALAFQQQNCIMQYQLQIEQNRVNQLQLENEMMKLQLKIYEGDLEILKQQNFEDIKKIENQ LVKTLKQISLYKDSMIQKICVICMQKEYSMIMSPCGHICVCEDCSKQINHCPIDREKITK MKKVYLS >CAK73636 pep:novel supercontig:GCA_000165425.1:CT868163:112772:113958:1 gene:GSPATT00009908001 transcript:CAK73636 MGNQCASQCQCQQQLKEQVATEIIIAKSDPNSLKSKRSGSKQSIKQTYAKIQQKETKKDT ETQELQNKVSKQNKAAIQIQKVWRGYLVRKKKSLKSSVKRDNKKNYLGEQVEPITLPKNS SSFELETRPAYKFKSGAVYEGQWRGTQREGMGTQTWADGAKYIGEWKQSHANGKGKFYHV DGDTFDGQWENDKANGYGVYTHANGSKYEGEWKSDLQHGYGVEAWFDGSKYTGVYFEGKK QGKGKYEWPDGSFYDGDWYDNKITGFGTYFWADGRGYTGQWVNNCMHGKGVYTWKDGRKY EGEYKQDRKDGYGTYTWADGKKYEGQWYDGKQHGKGKYIFPDGLVKEGIWKDGKKVKALD NTSAL >CAK73637 pep:novel supercontig:GCA_000165425.1:CT868163:114240:115312:-1 gene:GSPATT00009909001 transcript:CAK73637 MAQQQVQRYDADENLSKFLNKELGKSIKFQKKHEKELNNLLAYQQIIQDEKLKNENKLHH MFYKEKLIDHQKKQKEDYLKEQKVNKDHQFLYKQKENDYINSLDASWCFYQDYSPSKDMK PLKVDAEREKVLRFLFKQLQTTTDNVERALIKKKINKFRDSEISKKKLEYIDHKIEYAKQ VRSESLDRSIDKIRMHDMHIIDVQEKNNLINQEKQSYYSDKINQIEQKIQMIEKQQQRNR QNRLNVEQQKDEYRQAVRSNSQTLIHQKELLNQNHFSEKVYKLNFLVDQKQKLLKQRQDL LDALNQKRVMARQSLDDVKYPQINSNNYKAINF >CAK73638 pep:novel supercontig:GCA_000165425.1:CT868163:115594:116829:-1 gene:GSPATT00009910001 transcript:CAK73638 MDNMDNCSVASFNEKEIENNLKDLLERVLLKIENFIETKEELNQVEAELKDYESLSHLIG IIKAVFTNLMMKVDKKISKFEKQLDPNRSMTKSLRSDEEYEKLEQTLIKYESEIRNHIRI EQQLKLYAESIQNKLDESESNRVELLETTKKLISNLKRENQTYHETQHKLNMEIVSLKQI INNLEKENRRKSQDFSYRDNLKPYSKKNQQPVIQQLQFQNQRNQKSCSEHKLNSQINHTS FTLENNEIPIKSQPSLKQNYYNIINYGNQANKVDVLKVIQQKDLNKIYGQKLKSKNNSLS TIQDIIQSISVQDRKKGIISQPVSKNSSQDNSQIQKYKETCDQSRSKSSRRANIGTKQIQ IESQIKLTS >CAK73639 pep:novel supercontig:GCA_000165425.1:CT868163:117122:117754:-1 gene:GSPATT00009911001 transcript:CAK73639 MGSVCSAQKNQSKLHTQKRELKTNSQPYLEPQSIVGQPPPFENKLDYVLDQQVKDLLQLF KRFCMFLDLVGNLDQLPQHTREKVNSCIIVKQNVSIIIQNTIKRVMREQQIIKRIDESDY YLIYNDHKFASALNQMMQKLSNIILADLKPDEDFQSAFPILAVSFEEEAHKIISTVEKIK NIQNIAMRKGSSQHSLSINQLQQKQKSSQQ >CAK73640 pep:novel supercontig:GCA_000165425.1:CT868163:117854:118592:1 gene:GSPATT00009912001 transcript:CAK73640 MTEMCKAGFQDPEEGFLERDPMSYYECRFYSHIARNWTPRLESFEKEQYELARNKFVQFE DLYSFILTLHRATWEYRSLYLELTKEIATHNTWFRSEHTTLTYEHHLEEAINKYINLLDQ LKEYPLWQERVKEEIGYYLHLIYNSTTHSGQSKELFAKFDKLYFFK >CAK73641 pep:novel supercontig:GCA_000165425.1:CT868163:118761:119206:1 gene:GSPATT00009913001 transcript:CAK73641 MIEDDESECFFQLKPSKKIKKKRGNILRIQKHYDSLNQMQIAAAEQEQTILALIDKRSQI YSKNKCITQWKENISQSQSLIYVNLIKSESETFEDSESFLKQPQNELLIENYLC >CAK73642 pep:novel supercontig:GCA_000165425.1:CT868163:119447:121549:-1 gene:GSPATT00009914001 transcript:CAK73642 MNKIPINSAIKDDSIKYLQIIREKEESIQALQTINDQLRQDLIQLQTQLAESQNTKSYKH QKLEESNQQLKVMLTETQNLVLQYQSDIDIHHQIIEQIIKSTQIYRFNQKTTIPQILNFL NQEIFSQDIVNLWNELKQILKQAISQINCKVSSPQLREIFTKYYKNYENQYHPLSTMSMF EEIIYMLNEKVSQQIETQVMNYSDQFTHQINKINLFETSIVLINFEEDQLKKKLKNQQIQ IIQLKEELEQQSKINKNFEEQIQTFTMKSSERKPFVEILSVKDVSSSSEDDNIEILRLNS ELEQKDTLIQKLKQTISNQQLTMDRVNIENQYWDLVINCDEDSLFPLINQGWTLNFKQDY GLSNFQVFYSFLGFDSSYLQFILENLFVNDFYFQKLADQTYLQLANDQLTYLKSIFCNDY NTLVQTKVLHQLVNNFYETSELVEGQQMLNEFWIKFSLSNGILFLCLKNCNQQNLTLLQL AINIQNQIEQTSVLMVIFEEEYTESDVVMKMIQQYEFVGVDDEIDEQKQNIRIAMTSGDN YDFKDLNKKMAKQKLDYVQQLNDFIKHNLDVYFKKSEQSKLEFNKSLLSENVFEMKLVQS QESDQIKMQTHSQVQVIQNDEIMIHKISDSQYDIFFSEKLQEVRLKSYSVNNQGLIIKLK CNFDNDNLTTRQFILKSMYIKEDQGEISHTIFDNKLILQL >CAK73643 pep:novel supercontig:GCA_000165425.1:CT868163:121562:122356:1 gene:GSPATT00009915001 transcript:CAK73643 MADFNPQERVKKMVNAIKAEANEKAEQIKDMAAQQFRIEKNKLLNQQKERITEEYKKKIE SYTIEKRIQRSSKINQSRLSKMQARFELIQRLKEEVRQKMTKLIQDQSVYKELLKNLIIQ GMIKLLEPRIELTCLEQDVQLIRTILVECQEEFTVIIKRETTKDFKTTLSINQSQYLTEK GGKPILGGVVLSCANSRIVCSNTLDDRLELSLQEFLPDIRNGLFRK >CAK73644 pep:novel supercontig:GCA_000165425.1:CT868163:122358:122840:-1 gene:GSPATT00009916001 transcript:CAK73644 MESLLKLDEYSKGFVQINYFIEQFTLASQGESYFTKFINKSQNRMKFLDFLTYFRSQKQK IQRQLFFIDTLKIQEKKLDAIKIKKKQLIQRMIEKFNHYRQAEYYINDLMYRNKEIFKKM RNYNQENLNNLHNLQQELSQQLIRYNYCEMFVDTTYYLAY >CAK73645 pep:novel supercontig:GCA_000165425.1:CT868163:122948:124222:-1 gene:GSPATT00009917001 transcript:CAK73645 MHNPVDYYYSNDYYLSQIANGNLNRLNTSYSPKKIMMSVIKNDCQPIIKQKTFNLESKEI HPHIMRHKLIQQTFTKFAETILNVTNCFDSITNTAPKTDKFAQKLKHKIITPSITSPQPH NHEIHRTPGRLHKGVLLKNLDNSINYIDRSYILDHKQEFGALSMSPKPRIIDRRSELSRQ KHKQISNTSNNTSQNKDLSKQMIVRKSRINKEIFNISLKQTASNLINYTIEAMSVDCFYT KISLNHNNNLVFIQFENVLGYDEQSYFGLQPDFITSKQYNEYIVLRDHYFQRVSSSQSFY ILKNFKELLSSISRVYQVGLFTVQYPQLLKEFLQERKLKVNCGFQIVNYKIDTFVVDITQ VLTNLQILTTDLLILIQPFQILNKQQSHSCANSAIPYYEYHGQRFFLQMKKSPIRILLIQ YKFD >CAK73646 pep:novel supercontig:GCA_000165425.1:CT868163:124284:125888:-1 gene:GSPATT00009918001 transcript:CAK73646 MSKQQQNGVKNVNGQELQTMNNSKPDQNHQVKINRIDQGNDEFLKQKLINMNQLSLQPSA QKQSKEVNGQGSQTKSVQQNCENQGSDQNESTNAGTNSNFQFMSENDKIQQAKSKLEEEK EKSENYKSLYHPSSYLQVPQHAHQISQNLKEEGSEKAITNDKDINQTFQKSEKDQIDQVQ INAKEQYGQKFVEKKNESGAFNSLNFPQLSNATNLVIAQVTQEKQISDFNEQNSGSTELS SNYENVEKDNQPGNADQKQNRQQDSQNNNQPNQQGQNQEIYQNQNKFLENNTNYVSVLPQ KNGFMQENPKQDAEETTKREEEDTLIQESNNQEPKISSNNNTYRDLLTFQQALCIQLKEM IKQAKQDPTKKIYYNKKGLNHPQQQDKLYEKELLEFFEGWISYTEISEDSEIKYRGSIDF EKECLNSFIEFVNDKKNSELQKLKLLFQDEGTLNNWKNIMDQLQINDIQFFVMLCAIKQN EPQNEFAKCYLEWWFQWNPSSVFTKKNYI >CAK73647 pep:novel supercontig:GCA_000165425.1:CT868163:125930:128141:1 gene:GSPATT00009919001 transcript:CAK73647 MPKFQKYLRHIDMLVTPYEFNVTKRQKSLTKLGGFFSIAILALMIVYTISIFISSISKSG SIYAGTQTSSDFQYLDQNTSRFLLQLSYSQSGEIINYDQEFQTYVTDINHRYLQFKVEYI NQVRPQNTSLYKREINRLNISQCSSDVIDEFKFNTQSISEDWKHKFVCFNGGYKLSGSFF DPTYNYLKVSVLKCSNSTDCKSIDEIERLLNKGFRSINVNIFIQDSKIKQTLNMTQPSEE SAYIVFWRLNVGIANGEDIFLQPILIDLINSKNVFSSLINNEEARTRIFRSSKVEKQERR QYPMTLNTTDELCAFYLRTSSDSQYFFASKTEPFSVFLDAVSQASGLTVAILGFAKILYK IYIAHETYVKVMNQVFKFDFRDNAVVKDNDGFESSGKTDKRKGLDQLYSNQLDYSFLTYL QIELSKILGDMCLFKYCCKKHYDKKTVMAQIAKSKIMSDLELSNIVKKLYEIDSLKLFLF DDAQLKLFNSFSEPVIYKKITDHKDVLKKINKDYQRQIMKGSFIEKYQFKNQVSGPMDAF SSKIPIELQLSKISRFFKAQIKAQSGEELLEAYSKIQQGENYNKKMNEQLLNFIQYNTIL YQMVDLSHKKYSLKSIDREDIKLIKAKSQYSKLPQMSQNLENYIIDEGKDSVSASSRNSE ISIQKYGYINANGGDRQVEYDPIQEKEGIQSNEIDNLSKERGQKIR >CAK73648 pep:novel supercontig:GCA_000165425.1:CT868163:128330:129962:1 gene:GSPATT00009920001 transcript:CAK73648 MENQNENPVETDGEREISQNQQNQESQQKNEDNEVIPKDQELEGESEQQRDENEQKNDQQ IIKNSAVNVNQEENEQQQQPQIPVLIENENLNIQEYLEKQLERYRKIEAQCVQQKDKGVL KRIISTLEGQLEKLKFNIIEPITNDVSNFGGISLIKELEQTEESFFSQSKIQSHLKDVYK FYSKIFYVRGPSDDFTRINHESQTLTEGKFMIFCRQFGLIDEKVHHIKTQSKQGETNRIL NDTVANKSIKGNPVSTRNHPQDNMRILPFKELNLLFRRVNQNQNEITFMNFVLVLRELSK LMYQEDQTKAEIKLFRYMEVDSTQYKNKLKSLNIPFESKDEQGFRKPEGLQLQRFANYST DELKSRRILVEEWKSVKKQELRDKMISQMDQSRSKSIMFEPSYIKTSNYRERKLRKIGLD ANTHQVVNWEKLDKLDPKLLLHEEFKPEDLIEEDEDEDDKYYLKSYDLSRQNNGNAQKKI SSLDQRSSQSQLKTESTKRYIQNISKLEHKLDNKSAYIKLPRLQNK >CAK73649 pep:novel supercontig:GCA_000165425.1:CT868163:130006:130637:1 gene:GSPATT00009921001 transcript:CAK73649 MIIEEQIEEKMIYKAIHRVKVNYQKKIDRYILYKKSRWFFNLLLMLLYAYRIQNIGGFYI VTYIYCVYQLQLLIDYFTPLGLPPVNLEDEEEDDDQFQNDFSELPTTLSNKNELNDKEFR PLLRTTSEFKVWQKSVFSVIFAYFCTYIPIWDIPVYWPFLFCYFFVIVGMSIRKYIKHMK KYGYTILDFTKKK >CAK73650 pep:novel supercontig:GCA_000165425.1:CT868163:130652:132294:1 gene:GSPATT00009922001 transcript:CAK73650 MDKLYNSLVPKFKGIANSYKNLASHTKQIASNTLDKLNKQAIQGDIVAISNRLFWMEYPS NDKIEKLSSYLNTNHQNHYYIWNVGEREFTTEWFCNQVANHSHPGYPCPPLIELLTICKN IIYFLSSDRNNIAIVCCQETRGRSIMVISSLLAIMGAGYPGECLLRVCEKTNTKDFQALF PSQHQYITYVGNVLNGLKLNSSCLRLISIVISGIPKVQNCTMFRPYIQLFKNDKSIFNSL TDGELKNYQQGDLSCIFDLKGIELSDDILVRCKHFENNKTRVALFRVMFNCSFLFDNVLR VWDRELDKSPQMKTEKDFFVDFIFERGNQKSFQTADRPQTFSNETKSSNQLLLQIVQECK GLVVKEKHVIDGLEVQQHEQKSKEEVFSLGNEIEKKDYEDSDEKQTQQKQQQNNNNNNNK SIKNDIQPQQLYYRISQKSALIVIRIVSITKIITNIKVINQKQQIIKKDNGSDESEDDDE QLVAKFEQKIQTKTGDSDEDCDDFLDNLIKQGDKQE >CAK73651 pep:novel supercontig:GCA_000165425.1:CT868163:132343:133117:1 gene:GSPATT00009923001 transcript:CAK73651 MQKLHLFLIVTVLSCDVDEAVNAFKSKQIRDPILSYAKNPYEIVDLAYQQKVQDNLKDSK SVCAIKYDDDEKQIYQLKQFNSKEEAEENQFIVTHQGKCGACSTLQDLVVYLKTDLTRLV RQCGLMYGLSEYYLLQCIKDLGFSDTCAQVWLYNTLNTKKSCFWVCIGSFFTIEDFVKNG QLNQCLQCDEDISGPIFKYESGRTRRNSGIKSEIDRPSDQIYDITHCYY >CAK73652 pep:novel supercontig:GCA_000165425.1:CT868163:133121:134199:-1 gene:GSPATT00009924001 transcript:CAK73652 MNQAIKDQANQLQSNYEQSRIGVAFGDEFEQGPVSFRAEAHFNEQKAIDQYDTLKNIVGD HIDIDWALVCFLRYQPGKKDALIQSITKIKDRPRFEAFECKIHSDETYVYFSIKLREDEE SKIKGSLEIILENGLREIVKNQDNYLNFEFDANYDFEQLVEAVNKGERVGAAFLKQIRAE VNLFLTKNFYQGIEKLVEGIDKDALESPPLAFLKHFKNLDMDLRFRSTEELPQVIKNQMI FGEEFQTLAQEELQLPINRTKQVNAIMDAAADNGFIYLTISDLLAIKIDSHTPKLSTFLT KYGKYLAKLVGLGEFVPEA >CAK73653 pep:novel supercontig:GCA_000165425.1:CT868163:134219:139962:-1 gene:GSPATT00009925001 transcript:CAK73653 MKWNFLGEFISLIIQTNLSPSLNNSYFTTFQITIYYLQLTYFLISENHEYIYRLINALSK ISLITPIFIETYEINILIGVLIILINFIPYLIVIYRRIKNVNKKVGNISKSILQISTMII NFYFLYFTWFLYLPQLYYIGWNLVQSDTRLVILATIVLIITILSLTISNVYFVNFEFNEQ HLRKHFSYNNIIAQTLVIPMAILYLKNDEIMQIVSRALHGLILLMQIYEAYFQLPFGFSQ QGYILNRALMTHSVVFLFSSIKAQSTASPYSLATIMLIMQPVVQYLFQILFDSKRANAYL SINKTNNQYYELLYIEDFFELSQLAQKNKTKEIELIQKFSLHMNRCNSTKCQCKKIGSSK ILLFDQTVLLISCLFKGSFEKHKQNSQDLRVFEIFSLKFLTFINKYKHNAPKTYQELKIF FQKKRDYSFYFIQMCLLLQFILQAQMQKDEDYNINKETRVSNVKLQVSKSERSIVQNLYQ MEQVKQNMIPLLTEISQFKSQFWKSFKEAKFSDYLQIEEQIKKLQKLRDDVMYQFNIYYQ IFYHNGRTFNVQFLKVNALINLLLFNNVRKYFEMEKERREILQFEKSMNSFEITNINFFK GEAISVKVCIAFGPNIGKVLNKVISPLIPKFFGFGKFPNPINSFIDFTKGNINTLMPPWL EAIHDEIMQNYIRRGVTARIGKYFQTFAKLYDKTLIRCQVYLAHNFSQELEDDFTMIGCL KSLEEEQPKFVGDEGKKLKNIAFKGAQHILFDVNGNIMGITKGLYKMIERLQRVVTNNKG GTYHEDELAHQSGQKSGSEKSSFESESYDVFDQKWSNTPLQIDEFYNKVLIWMILPFISR EIESTGIEFLMNGQAPPKNRYGNLIDLENGNQVVQNKETYLFVPEDLNLFVEQYDKVITK IVDDVRVQSNNFSSGSAYRGGLRSDYQDSDHQSMVQVVTIFDEKLCVFFYDEHLKRHQAL LFGQTRQSEIQKSQGRPSSKSSLPEKQTISSEEDSEQLKTRAEKIYQDRYSKYIEKFSPQ DFNPIPVVYSVFYEEYRYKKNDTDHKQQMFVIELIVNDQQLLNTEKGYKRQLRETIKQTY QHYQSKKILLEQRTEGDDSMSVQGNFSEQRSIHEGDIINYNFPSHPSHYYEDIYFVENQQ ILQTDQIYSPRQDGKSEGLLLSDRSRWSKPLIEKDKKSQGGQKKKSQVSVNSEHSRDEIQ FKGSYSNLKFPEKEIAKKALSRINQKRKQQDFFNDNESKIPLESKGSQQQNIEEFQVKYS EGLKLFDSHYKIVQQKTSDMRNPNSFKIQKYLLITTFVLIVSYIILISVAVQMQYDLSGC YNLLELMIATQKSYSQITHSLYRLELSDLLHLDNTDILKQFYEKQIFSELQQLIDIQSNQ IIEINNVEVGLDYFYDIEDKVQVKPTLQQTIQFTLGQFYKLKQNETSDIYKYNSSLTFAS VANLQGIGELPQKAYDFCFDDRVSNENTYQTLLIIYMVVIFFLVMILQFSHIPLIGKLRK SHRTFYKEIIKLQQNEVNDEIEIYETVENILKKSIYEWMLIDFVQESQMFETVREHRTSA LTPGREMHTDISSGAVSSSNKKNKYKLMEKLKKSQMRQIKYFVILMVGLFVILAYFLIIF LVIFILSKDLLSNLEILFKFKLVQSSVINLINNMDLVAFSSVNNSLFENIMSVQTYQNYA SVLSGDTTDFFEQYSNEFISALTDDKLKQNLDTMNQNNICESGIGIDCVSTDAVTLNPWL LPYYQQGLKSLLTQVDKIISQYPQFFYDENVQNTQDILFEFYQSQEHLIYIDYGSELLIK AQKQIIDTAYNEFDQSLNFYKQTLLIFTLSVGVAGFCIIGFLGNLILKMQKDSIETCQAA LLLLSPKRYLNKSMGLLTQKKL >CAK73654 pep:novel supercontig:GCA_000165425.1:CT868163:140479:141163:1 gene:GSPATT00009926001 transcript:CAK73654 MKNLFIIGCLLQLINCVSIGVEGGSVKCFFFDGYDGQIVKVPYVVTGINEENVFVELFET ILENNIEYNKTLESQEGKREGQLKHLVKGKKEIYLCFQSNDSYYKIFSFDLDISGVDKNF ADKNQMDETEGSLKQVLSKMHKVYRNQHFQIDRENYHEKLMESTENQVKWCALCKIVVLM SVCLIQIYMLTNFFKDKSFGPSV >CAK73655 pep:novel supercontig:GCA_000165425.1:CT868163:142048:143629:1 gene:GSPATT00009927001 transcript:CAK73655 MSKKKRVSWFFESQQEPKDEQNQSSLQINTVEEPKQDQRMPSQQITQPAQVVSQAPQPMP SSQFDLESNRTRKVSFIPFIGKVAESTPPKLSPISEEQPSSKKQEPQQNELPVAQSIQIQ YSIQKQAPIQNQLIQTSQQLQQRTPKAIRMEENTGKQSYRKNVKPVEIDIVKDLQQLNSL AEMNDATLKRVITREEQEILQIQDVLYQRISKLQQKLIQLNDIEQKQLDSKMNNNNKVDQ IQDREDLFENQLDEPKQQEQNKGSSQQIRAMLIQCLGYQVSSIYYDKKRYQITFTYKQAN VQYTFQNQKQIDGNLEIQDIFNEFYRELQENRLVLSGLKIDYKQKTEINKGEIVQLSLKH QFQNSNKMANEIIFSAIHQMPILLSKVDELEQQLLVSNNIWGTLWRLDSEKGFIQILFSH RYRIDDKNLLLNSKKKVLRFEINLRTFEIQYQFYKYFDEIISPFIQKDSKRKQSAKEISV GERAEGLVSDEFTETIQEYLLRKPNLSHLVEWLNIIQLN >CAK73656 pep:novel supercontig:GCA_000165425.1:CT868163:143668:147341:1 gene:GSPATT00009928001 transcript:CAK73656 MLNASEQAATSNLNDKLEDLKKIMKQFSKYTTDSQNEFDTHFKKYGPFNKQYTSQISLSV NDPISLGHNLMEFIQLFELISKSTLSSFSEFQQFFSQSIEQYNEKMNIFTKIITDGNFQQ SQQLAQQRQNCKKAKDKYDKLCKEIEMTLNSGKKNSEDVLTGYDLNLSQKNDQKLKELVK QVEPAEQQYKDAFDSLIIRTKEFNQAIDDSRQQLIQAHQLTYQRFVEITMKLDQHKLSAN CWIKGQMDEKQEKIITMPKYEQDMEDRIITSKYEQDNSFRYINIMNKVIEKKPVTDQEYL MFPDVLHKHIDAQLSFINDRIKNQKTLPNYLIEMSNQMDALSKNLQKSIKSTLQFIQDKS DKDKSNFIKPILQVQELLVKQNEQYSKKYNQQSQFIQLKAQCLDQLIKEQKNQEKSFLNM YQKMLKDFQGLKQQIVENEQKPAIKDNLFQTLKKQIQENCIAIKYSVEQVRANEFQRSNQ IIQTLEQILNHYSSFIDEIVTQTKQQEESLSQIQEKISQIEYGTMIITKLDQQDLKFQKI FEMTDEIKSKIETAILLQQQEESESDDINLEEGSVKVSQKLIEKFRILEGDKCIASYACA FDNKILLQGRMYIFSSKVCFHSYFNGKTLFGTTALGIPSIDIQSIRRVKAYMVDAALEFK TLKGTLVFASLANRDGTLGSLKQVKGLESGVIEERIENEPERNIQQVYVETQQIAKTQEK QQVIRSPSPKPQNFQAESINLKNIESSDKLQQIEQSPKNLNFQQQPQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQHQQQQQIPQIKTNEQNQQSEEKRSSQEPTSQQKQ SQVIDQQGTTEQQQQQATISNADNQGQSNPALPKVSQFEVDQTNNQSNSLYNEIMVQNKS TRYMNQPTIQKFKIQLKVSVKEFLDFFLLDNPYENCLSFPHYYQVVLCLDKEINFQKYQP QPQIGQISKRPINLIHPVKEKQMFAPDVVHCLAEDVQYYLDQDESVLEKEVKFAKIPYAD SFCCRVFWHIHQIEDGCQVNYGYYIHFMKSTVFKSKIESSSKKENTEVWEKCLKQAYEEG QNKIISKRVQNERQQPKAEVEIKQEIEVQVEKVSQNREKEEAPQVILEQVQQESQILLES NQNQQQNQQQLIENKVKEVFVEKQQQPVQQDLNIKLDRLTQLAYAIIGLLMINILFTIGK >CAK73657 pep:novel supercontig:GCA_000165425.1:CT868163:147398:147715:1 gene:GSPATT00009929001 transcript:CAK73657 MSYKPRPENKLKQDQFTKEIKPNNLPNNILNISNSQEIYFNTKGYYQDLQLRIQCQKTQL DGILEKSLKILDEEQQKQQYEGII >CAK73658 pep:novel supercontig:GCA_000165425.1:CT868163:148581:149554:-1 gene:GSPATT00009930001 transcript:CAK73658 MGSACKNCHQTSQVESEIQLKPVQKVPSKQTIISNADSIPLNLEDEELVKNATTLTKFHK MQMKNMGYYEGEWLNGMRHGSGIYTWSDNTVYTGQFQNDQLHGKGQIIHPNGDVYEGEWS NDLANGLGIFKQCNQSTYTGQWKDDLQHGDGIEEWPQQIKYEGQYVKGKKHGRGKIIFED GSRYEGEFINNQVCGNGEFYWQQGKYYKGQWKDNQMNGKGETFWPDGKHYVGEYENDKKH GQGQFTWENGKVYIGGWNQGKQHGKGFIVEDGVKKECIFENGRLVNNN >CAK73659 pep:novel supercontig:GCA_000165425.1:CT868163:149669:151351:-1 gene:GSPATT00009931001 transcript:CAK73659 MSLENAIVQWLNKYQQLNIQSFESLADGCAFSQLLNLVDPTFFSLASAKNLKIEIDAQKV QGHLIDMLQKVQQYRTNIQCKPPDYIEIDVFEIAVNQNRQQILALFELIMKVILSSNLRG KFIEPILMLEEKDQETLMHFLKNQLDESENQVNEWQEENYIQSLVQKMDEIETQNTELKQ LLNESEEKQKTLKSALDDALVQMENKEMEIKALTESKCHLEKCLEEKMDFQLEFKESEKI HKKCLEQEHKLAQYELIIEDLKRKEIQFIQLKEEYQQNKYKLQENEKLEQKIESLQERRE LDKDVYQKGKERYEKEMATLKQNIKELLIQQSELKESKLNLELELQQNKARLERSQEQMK TMETEYGQKIRELQDLLETLHQTEEEDMPKITSTNNQQLAQEMKLSTNAKNENFERDYYI KENHKMEEKIKELQAENNEVKMELESKNQKSKFESQLQQSQQISFLTDKIKQFQEHNQQL KKQNEELKKQTQNKKVSQIDYEISLLSLLSDALAKKVKDSKSQEKE >CAK73660 pep:novel supercontig:GCA_000165425.1:CT868163:152233:153249:1 gene:GSPATT00009932001 transcript:CAK73660 MSMALQKFPSIEIIKVAGSGTFGYVFEAYDHNTKQKVALKRIEKVGNLLSREYEILFEVK ECDHIVKILDFFYSRTDAGKLIQNIVFEYMEDNLENRIQTFIKQNKFFSELTIKSYIYQI LKGLQFIHNKGIAHRDLKPENILINDKEVVKLCDFGSSKMINSHGQNTPYIVSRYYRAPE LILCVTKYDVSIDIWALGCIMGELVVKEALFKGKSEGDQLFAIFKVMGSFSQSEMEYFSN KVPFDHKIFFKELQKYKRQNLKEKFSQMKDLDNFLDLLNLMLQYNPEKRISASDALKHPF FKDVANQ >CAK73661 pep:novel supercontig:GCA_000165425.1:CT868163:153250:153830:-1 gene:GSPATT00009933001 transcript:CAK73661 MSDDENQFEQGNAGSSHTYTESAGSLKKGGYVMLKGHPCKITDVSTSKAGKHGHAKASIV GKDIFTNKTYEDSAPTSHNIDVPFVTKKEYTLMDIQGDGFVILMNEDGSTKEDLKLPETE DDFNLVKEIRDQFDAGKDLLISVLSAMGEEKIVGSREAQDK >CAK73662 pep:novel supercontig:GCA_000165425.1:CT868163:153960:154642:-1 gene:GSPATT00009934001 transcript:CAK73662 MNKRAEKKRTSSFNKQTINQISDLYDTQQKQFYCPKYKKDVKNLINNLKLKSSCESTLVI IPQNDSLKNVLAGLTNKSPKKLTKKHIKKTPSTSLHTPADFSIRNTEQQSELAKQRQSAL NQQSQLISYRSLLQHNKRNQWIEQINQKSSNYIQQDQIAITEYQYIYYIQMTNNCDWKYP YTCYKEQRQHSEMQIIENLEFDSWRELMMTRVILRRYQQ >CAK73663 pep:novel supercontig:GCA_000165425.1:CT868163:154754:158243:-1 gene:GSPATT00009935001 transcript:CAK73663 MNQNYIDQAYFSKQFYRAFGNYIGPHTSTPSMASEYLSSTRTQTANMHKQNSLAKPIKSP QSVNKPILQNSARVKTQTQHISKQQPIVHHIKSLSSDLKNQPQQKRFKQEKIISLTESEQ HPFLIIQKKARELRKIESRKSILPSIYTDSQHSARLPDIPPLIHIPTIQITEPLELHSSK GQEYESDKDEDSEGEEDFPQVPQKMKKLSVRLKFKNAVQSQVSTLFINKQPKSLFNVIIS PEFTIKHEEERQKISDAFEAGNFILYKAKQFQHKEVANKKKLFESVFNLKHPHKMNNIVN SQIAKLNTTNKAFQRKIKMILTTTENSKEVEQPQKFSFLSKYKKNQFSQQGTNEHYAQGI NLNKDLFTITITNDKLENEIKIFKRPKFIIQQDQIIEEVHLALSPPKISKSPSRRNLTHS GSLHQSLRQIHLRHSVSQQIIKDELSNITIPPPNVEENNNLDFNQEFSKSNLYVRLYYQS KLHKLPRKTTFVGMNIQEIEDYYISQQQLIKLTFIKFQVTEVPGFELIGSTLQQNIELPK YIIDQPKLSLSSNLFFFDQSETFSSSESDQSRSLDLLLEEPIQSLILNKFRLGLQQQENG SQIGSKVKSLNHLDQGEEEDIFIEDDFKNLKCNYSCITSALSTGQLTLIKQAKTNFLQSY QYRCIISTLDQNTKNQLLTFPQQARPLNETLDSLYSDTDSEAFRQLEQFNNGEILKKRIA NQLEVRYQTILIGRYQDFQKVIDIEHQDLIETLENLPEIPKLKELEKQSKSQNQQLQQQQ SQLNLQKQQPQQIINQQFIKTDQHIRQIAKIKTNLHNKKQLLQNQSQTIAQPNLRKKQTA NSPDQNISKLTMQYSNQLTNQQTQNNNIITQSTTQYLTKPTNQSQQSFNGSGSKTIQKSN SNGELSETSSIKSSNSRLDISSQKIIDGDNQKHQPKIQQFQESQNEKKLKHHPTKSDKLL NKIFEQKISSMQQNALRMRSHAEEQTKRQKYDQKYQVKFAIQDNNFQEFMENFIQLPEMY IDYRFQNNETFLTLATQSGNKEIVKELIRRGADINIQNDDGNTPLHLAIAYSHYVIADML MFSGAFSHILNKQGRNAWNVI >CAK73664 pep:novel supercontig:GCA_000165425.1:CT868163:158720:159045:-1 gene:GSPATT00009936001 transcript:CAK73664 MKMVILILILTILSTQAQTSLRGKSKIEQNEELSYLPNDYASELQEYSLFVQLTKPFYET APMDVMLLNHEMEIARMMGNPGVTMMNYVLEESPFSPAW >CAK73665 pep:novel supercontig:GCA_000165425.1:CT868163:159473:161388:1 gene:GSPATT00009937001 transcript:CAK73665 MQNVQTSKCVAHFQIDLSEKVGKGTFGEVCLGRDTKTQEVVAVKIINIKANDKNFDQMKK LCENECQIMQRFQHPNLVKFYSFQRTLNNVYFMMEYCEGGSLDQYIARKCPHKNQLKYLA ETEARIILSQIVDGYKEMYKQNIVHRDLKPSNILINKGIAKISDFGFSKILQDFDNQILQ TFAGTPLYMSPQILNPQAQRQYSTKTDIWSLGIIFFEVLYGTTPWRASSFSELILKISSV PLRFPAIPRVSEQMKQIIFKMLQVEEKDRMSWEELFQLQIQEDQESMTIINRSIIQINQE QDLLKKKNLEKNLNRGMIQNIQHGRQQQEKLEKAYQQINKDSNKTKSTESFQSRNQNSIS PARKEKIFSQKQSTSPIRTLVNLNQNQNQNVLGKEQQEQIVNNYIIKKIAEWITHKKNKS EFLNRLSQSLFEQWSACINIVILYRKIPIKLEYLFRNLLFVDKILISYFIQDFYKIIKEE FKQVNYTQMLLVLLKDLQKKNGPYFAKENCELIREFLIELVKRTKIALQDTAIQEMKLIN SIATMELTDKKQFDTIFLIGLEYYYKIVLAQLPKEQNDRGYLEFAVRLKDCCKIHEIVKF QEVDFFKYEDNITNSSNQQLLEQLQR >CAK73666 pep:novel supercontig:GCA_000165425.1:CT868163:161660:162708:-1 gene:GSPATT00009938001 transcript:CAK73666 MKQSVQRYSVKILSHEIIDKKVYYIINVYNMEGGEPKETRKRYSELESIHQKILDWINIF KIRIQNLNFPKKKLLFHTNQSEESIIKRRGELQQYFNQVLTYSELQCLDVIEEMLPKDIV NKKVFGQQIDRKWQEIQQLKESYLAKHGDSIFSLPQKKNGNSNKQQYIFKFEDHIIVDDC ILYTIEVNDTITQKRWKFNQRYQDLRDYHKQLKNLRFDFPLPHLPEKKSLSPKEILDLRE RKSQFEYYLNRLFGYQLIVENDIMVFFIAKSQLDGNEIGCRSKGSTQNTLDVILVFYQKN SSIQSKTQTTIEQVDDEKRINRKITC >CAK73667 pep:novel supercontig:GCA_000165425.1:CT868163:163745:164813:-1 gene:GSPATT00009939001 transcript:CAK73667 MDSNYVLKGDEFMMKGDKALKGSTFGNIFGSKGERAEKALELYKSAATQYKLGKKWEKAS EAYQRCITCDQTLKSGETGDFYVEAAKAISNVNKAESIQLYEKAIEHYANENRLDNASRY KKEIAQIYESELEFHLAVKAYQEAADLFQADGKRISDYNQMRLKVAELSTQNASGDLIAA IKIFEDIGDKYMENKLTAPSAKELYFKSCLLYLCNNDSVGCGIALERYLDKDPSFASARQ YKFVVNLIKAVDNNNAQMFSDECFEFNKIIPLDKWKLNVLNKIKEGMQPLQQVEDGGFR >CAK73668 pep:novel supercontig:GCA_000165425.1:CT868163:164824:165867:1 gene:GSPATT00009940001 transcript:CAK73668 MKQQWDDINEDVNLITILKSKFKQTNPTQICYKSYDHEGLLLKDNIVYRGIIRNYTFVLQ RKDKNEFILDFNQYPLKLSILSNDLFQLQVFSYQFQFQSLTNSKQWILSLNYYINTSKPY CFQPPLPNFYLQHKIPFDIFEKRAESLDLLLFKSKSIACQLQRLITNSEYDHVALVLRNN KNVLHIFEANSDNGVCIYTWDTLMKSNFQEYITQISYRQLYIKRDMTLLLKLQDFIYKNH GKKYSANIMKLCKKRSITGVEKENYFCSELVAACYKNLGIMENDISSCQFWPKDFAGNVK LVNNAELSHEIIIYQ >CAK73669 pep:novel supercontig:GCA_000165425.1:CT868163:166268:167701:1 gene:GSPATT00009941001 transcript:CAK73669 MSKYTLKFKAKEIEDMYQAQSFQKHLKPLFYGLLVITLSLNTLQLVIIARKDNLAQGYIN MGFIVTCLIGLYIMYKKEGLTAKILTITNAYSLSLQFNFTPENTENQEYFLYGCNFSLIQ AVIYFATDFNLSCPSLIFHIIFRQTATILLTNKIDIQCLSLSVVAAILATSVLYICNRAQ RMQFLMSYQQDTINNQLHKLINKPFTKIQYNEKKLYMDVQTTAIQEQFWNFRSDICYGCN IRSFLRICVFNNMSLEQALIMGQAKFDEIFEVKQFRKRIKLRLCQYNIDNSIILIQENVQ QDPVQYNKISQNLQQSLFKQFKTIKKTPLSQQFKFGTLSVLMLRQFKIQTINIRKLIQHL ISIYLYPKKAKLNLNGNRTIKLKTYGYLMKIYLIQVFSILRELEYQKNYLEEINIKNLET HLQIKITITDQFSFFPLYSKNYFIEQTAPYLLLNPLGYDLEFQFSKILPFSDINLQY >CAK73670 pep:novel supercontig:GCA_000165425.1:CT868163:167730:168802:-1 gene:GSPATT00009942001 transcript:CAK73670 MTGTNKHWTCNTCQTESILSPCGECTYLNLRGLKDNSCKCSSCKITNYYLDCKQCQTWYT VNESKNSLDGKLLTCVLNCEQVQALKCTNCQTLITIDNFNNEIKNYCNSCNKPFFKQICS FCKEVSTFTQNQSQFKQKCLNRKCGKGNFLKSGKLMNQQVIVGQFIKSNEIQQVQIKKQQ STSKFLQNADLLNFDEPENDNTKLNQNWNNANLLEIDQHLNQPSQDEGSITKCQKCFNST EVIITTPCGHKVTCYQCLETQLNCISCGEPIANRIMNTFNRDFQKEIKDRILIYRKE >CAK73671 pep:novel supercontig:GCA_000165425.1:CT868163:168999:169934:1 gene:GSPATT00009943001 transcript:CAK73671 MNNQPVYMSAEQINALFQRDSTFEMRDDRINSFQNDFKKNETLKSESVECEENRPTNQSE SMMMITREEYQSLQQEYDRRKDMEEKYKEDINRLMRELKQKCSELEHMKREHEILLEQNQ RRESTLQNLRLQIQEANNREQKAVIELGELYEQNMQMKQQLEIVQQKYNNLQKQHKFEID RKDKQIDQFKIQIMQLNEDNERLKAENPTGFLNLDSQRVSQDKTQNQWAQFNSSAYKSSI QFQKEKPVTQIQFKSNYDSKVIFGKKSQNTLKYQQSQQDLYPKGS >CAK73672 pep:novel supercontig:GCA_000165425.1:CT868163:170405:171603:-1 gene:GSPATT00009944001 transcript:CAK73672 MLFQKYVNPQYYENLRRFKYSGQNLSILYNWILGDLAQWVVDQLPKSVAPNLITITGFCN LLTSFVLIFILNPMFDLDLPQWASLYIAWTIFVYQTLDNADGKQARRTKQSTALGMLMDH GSDCTATWISGLLYMNAFKIVFTPFNMLTAIGVSFLGFYFGVYCQQHTGVFQLGVINGVD EGLPILQLFFLITAFKSSQFWLNEIQLTNTISIQYNTVLLVITITASIVTIIQFCYPVFK KMNWNILKILQSLSLPITLMITFVSLTYLSPTNVLSKWFHIYVVTIGLQWSKMINLWQLA IITKETFSQYSITWALTLGSIMLNLISQFLTADGLCYFDEVKLIFVLLAFSLLSYLHCVT SIVRELCEILDIYAFSIKYPQ >CAK73673 pep:novel supercontig:GCA_000165425.1:CT868163:171740:173131:-1 gene:GSPATT00009945001 transcript:CAK73673 MLKISNKSRKNEQLETIQVIEKCTKIMKEDRLQLRQMVKDENVKLIELVAKYKKRSDLND LQQELRKFLKDKKGSPEKVQGAPLILRKVKSKERCEWNTSMSSNPSIKSPSRSNLDFRKK QKEDQSHKKMESFKKQEKLDSQIEHKENEEFKILDEIQEVKPQEQQIVFNTANSVIDPFF EDLTPVKMEFQTIVSQAPLNQSDQRSECILQYNAAEIQQYIQQDKHYVYKETYIIPQLIS LNYKQMVNAEYQNLFTDWKSILTPQEPSYHIKQENVYESQLQIESEQSKQNQTTLKTEPS QLVKSLEIRGVTAEELFTYLVHHRFTKRLNLYQLMENAKIAIPNAQLDLDSLFKLADTDE DGWINLEDLGKTLRETCVEKESHVNPIKELYNLLNGNVNMDNFINQLNDRIQAEILYHEI DLFGKGFITYWEMYNHYEEIEKLLIK >CAK73674 pep:novel supercontig:GCA_000165425.1:CT868163:173175:174639:-1 gene:GSPATT00009946001 transcript:CAK73674 MKGFCYQVTLQISKVELSIKFPCTLQVMWKRGQNKCVTKAKEKSKNIFIVNESLTQEFTI GDNAPKKSFLVVLLNVQGQSKLAGVVNFDINGTMASEGQCVLNLDRSPDSNAKIHFAYQI LNLGETDYTESRINESFNQRSLGESFGLDKENQNSKVNSLKISNYDNQLNKELYEKSLKD LDQSKQKISNLQEQIKKFEEEKSVLIEEIKSLKKNNIELRNENEYLEKQNENQKQELQQS KENEYLVNQQKVKLEQQNHEVQNQKLQYQILQNKYQQSMQKLKSLEESNEFNKFNKVERS TNTKVLEIPEFIEQNQTIKQLDEQLTDYKRKHEKLIFEQEFTQNKLNTVEQDLSSLQVQF LSLQKQLEKQKDQCNHLEIENSQLRMQIKEYEEDKVSKERNNKKIQSDHEKQIDNLKEQL QQNQDQFEQMKEKYLLQKEKIEKLNDVINQQNDELTIQSVNFLREIQMIAKKQEQFGIV >CAK73675 pep:novel supercontig:GCA_000165425.1:CT868163:174846:175502:-1 gene:GSPATT00009947001 transcript:CAK73675 MAEKIINQIKKQAEDQGELEELDIQEIRIEQLTQEITESLKKHQKLKTLAITCCGLKQLN GLPAMDQLEVLMLEGNCLDGSALKYISENFKNIICLSLAENQIKSLEELQVLKNLSSLQQ LDLSDNEVEQQAGYHQKIFEMLPNLQVLDNKNKDGKGIEYSDEDNDFEEGIGSDSEFNDD EDEDEDEEESEDESPKPQKKTKK >CAK73676 pep:novel supercontig:GCA_000165425.1:CT868163:175920:178270:-1 gene:GSPATT00009948001 transcript:CAK73676 MHKFLLENQRINYSSFNPFGSSKNLKPGIITKLKNKISALFQPTINSQSLLQRIQPFEDQ KLEQELSNQFKKNLVLVDRIVPNKDYSMALKRKSFISFEQYLKEELNIPKKMPKETQTVL DRKTNDSDLKSQNVKKQRKLYKDHAKIQQDRKMEENQIQPEFNQNIINSMQVSLNEKKGC PTEHLSNTSNKKVKQLDIFAESSIPNKLLKGEISDNQSSMKILETLEIGQNDDNKCQKSE QLLEKLKASSFLALYPSNNECIKDDINVDKNQSSSTGMNSKVEANDTLVSFKNADFQSES QFNLQNIDNNQKLLNFNQDQGQEFQNYQKSNEYDFHQKQLEFNQVNQILIQKDVCISQNN IEQQRKKSENQSIQQSEQSKLIFTQVEGNKGNMQQVKQNLEQRKRSNLTQIDQQDGEQFE NVNLSNIQVVTSKQTQLQQKLENQKEKIHTQVLLQGDQLLKKKIQSQYDKQDQIAEKEQK LQNPQNQQCKEIQKNILQSSFLNNQNKQVIEKNEKLNKEYNPFLVISPNISSDQISQYFQ GGLTANQNNGCQPQNQNQPIDDLFKITISQSQSIINNTQDKQNPQNLQSMLNVNNFGNAR LQTYPQNKSLDIFQLAPQITPIIQQQTYSFESAKLNYQQQQTQQNNLFQQQQQQQQQHYY SEQLMQQSNYQLQNLFSQQHQTSNSVSSLNLFQSNPYQQQQNDSKNQQLYFKNDVLSLFQ ETKKQDRSDNLINSLDIFQTQQYQQACQSLNNSLNKGRKKQRINNF >CAK73677 pep:novel supercontig:GCA_000165425.1:CT868163:179345:183586:1 gene:GSPATT00009949001 transcript:CAK73677 MSKILAKYMEYDDSALALPENLVNLLLIHASLLNISYIYYENSSSWLYTLVLFARPSVSI QFSYFYIFGICYLLLQLLCIIKTKLPGKNIVSLINCLMKVGLLNFVIEQYSDILGYIIGG LILVIQMFEILFIQGTLDINTKNFQRTQITFFTILTLVINIMLMIFYKLNFQLKHIQIIA IVNSAIQALDLILLKNQRSPLIRIMMISIQLVTMYVGIMQFIQFQNSFTLVVVPFFFKII SFIKLPDLIYTKDPIINASILMQEKKFYESFLILNKLKDQNFIRQIKRNQLLKKCIHQIN QDFQQKVKTQQLLGVASRLIQNDIKNIKISNNLVKIIQWKIKLLQNWKTNEFNEIYKFVN RMLKIKRQIDDYYQAEPNAMIQALVCFFYAEILNDLLEANEMIIHAKKSADLYLSDYTTN KNMFYLTTKYENGQLQMNKISSNAPSFICNKKLQDLIPIGVREWHNKLVDEFIITGKSKF VRSLNQNYVTHGSTIETVDFAIDLAYSDQVNFICLISPTLVKNATLIVDEKYNIACYTNQ IKDIKYYKALFPRGSSILNIFPELQGVTQSCFLENILINTQKLIYQNDESDEVHYYCNLE IIVKQYQNSMIYMIVKLENIQIIYSNNGNSTKKETQTNQSSSVNGLSVAEERLNLIKQTS REDTHTQNQNQEIRGLNDVKSLKEVKSQNKKKTVNEIKSLNEEAIKSALNIDMLQPQVFT SRGDPEQHLLVEKIKTESKKQSIKSLTQGGEGKVQLVDQQEVKKEELFDREDQSQVSSIR MLRNSKFYRKYDLYNKFNKHMPLKRQHQMLVILFVLCIVIQGLFIVIQLTSLNLVAFAVD INLLEIKNLFFQPLDMFLVTRWNLWTYNFQRTNGIISQEEYNSVSKFATSNLGLGFDSLN SNLKLVLNRQELQGLLQTKYIEAFSYLDTYKSEQYNMTLRTAISVLLNFQYILKMNYIYE KTVKADSPQIFYSFKNYPIMRDIMTQLNSDIMVQTISRGKNFENEMETLFLFQQIFLILM VILIIYAKIYINKKLILFLHLSQYSDNDAIQQEIQKFKQLLDKIQSDNSYKFNYSLKIAE KEFQFFEQKVEKETRNTKNLRKKRIPPYRFILVLIVFYSIITLNSIINYLEFQKYLKKYP ETAYYKKQLADLGGDIPLMFAQREVLYGRKNYMYLDDAYFDRMWYYIMESLNNTKLFTSQ DPDFDKMLVTSNFNDFYAEIQLSDLCQYLPGDIKVRGAELCPTIMNQNMRHGLKAMLIYI QNLIETDVAINNFTYRAVPTSNELEGAFMISEVINVMNSYFYNDLIDVTATLVDQQEVFN ICYLVLLFLVLLIIITEVKNKIYENSKIIIHFVYIIPSQTLFTDDTFERTLRTLINI >CAK73678 pep:novel supercontig:GCA_000165425.1:CT868163:183652:185084:-1 gene:GSPATT00009950001 transcript:CAK73678 MYYHNPDPYQQPYTHGQYNEKTYRNSGFLTETQDDYPDYQYYDPYQSQLHNRTYLNDVRP LNYTYYEQSPYPVKTGQPQTYFDPNDPSNIPFMPHERYDDGYEEYVLQFIRNLPRSTQNF EEVAFAKYLAECSIIDKKVWKLQRMLKQDQRFNVKLLFDFFDSKKNGTIDYNEFQLGLQK LDIILNSIELSQMFLRYGGNDDNQISSVEFKYMLLGPDDSQQFMQFGAMHYMHHPLKQNI PQFLSVAQRELISEIMHLQLQLERNLNFIKEVIGTKDILLALFKQLDIGMKGYITIQDLV IYMNELGGQFEQYDLKGCLDRMSKVHCSRINFNEFKKEFFLDEKKKSEQDQNIDIMSDRN KTRQKDYIQELHQRTKDLQNQQLQAQKLSTDRKSQPLLTTTTQVKQPQPYVVQPPVAQKR KQEQPINQLDDDLDDLLFPGFQKYELQPPDQEY >CAK73679 pep:novel supercontig:GCA_000165425.1:CT868163:185089:186220:1 gene:GSPATT00009951001 transcript:CAK73679 MNNYKLQKVLFKKVNKGVIVEEHLLVRSLLDKQCYVLKRTDISKINGERLKEIENHLKLL INIKHPSLTKIKEYFIESQYLVQVLEYCGEQILNERLNKQVSVNLVCQYLLQLLFALNYL EMQQINVSLDLDTIFLNSFGSLRIQFDLLSHKNWKEEVKYLQQVLKKTNLDWESQKSHEL SQILKSLMQPNPPSVQQMINHPYLLKAMFDFCKQQDLEAQAQLNQEKLIKEQTNNKRVVR ASTSANQNRQKISQQSPVQRKNSKDRPQTNQQHKKKPEQQQIKQQPIKNQIPPRPVRQRN NSQDAVASESILNQDLLMTKGKEELQELLQLYKDQLKESSKQYNPWLNMSEIKEITEYDS TTNLNQQQS >CAK73680 pep:novel supercontig:GCA_000165425.1:CT868163:186572:186925:-1 gene:GSPATT00009952001 transcript:CAK73680 MNNDLCESIINHANRIFLSIANMNGQLNENGSIANHNTTSSNTSPTQGLAFVMFLLFTTY ALLSLFSPQRQPVMQKGIIQHQQNNHHHHD >CAK73681 pep:novel supercontig:GCA_000165425.1:CT868163:187027:187554:-1 gene:GSPATT00009953001 transcript:CAK73681 MILHTIIVRGSLILAEFSDSEEDYSNIIKKRIPDVKNGQENQKILKDDIYLYAINDKDLK FICLSKEDDESIFVKLQTLIQAAQQIETDGNYSAKLTQILHKHLKKDQVVVIQDEKSDQQ SPQQTRLINQPILEKKDQIDFQKKLCFSVMLLILSVYLILNNIITNA >CAK73682 pep:novel supercontig:GCA_000165425.1:CT868163:187574:189730:1 gene:GSPATT00009954001 transcript:CAK73682 MEEKVYISEEGMRQLLQEFDAKDPLKNVLWSTNYKMELPIRVKKSGPGSEIPITLPEQFK NDCTQFAKKPALSVKRNNKWQRKQHMKNIIMNQCNLQRPLIAYGITEMSAVNIIGFNAPE WHISFMGSIHAHNLPVGIYTTNNPDACFYVSDHSECELVVADTKEQLRKYLKIWDKLPRL KGVVLYNDDIPTSEIPEQRRSQVFKWKDFIEMGKKADLMGSVLERVSKLRPGNCVTLIYT SGTTGNPKGVMLSHDNYVFTITQQKRKYVMQGTGEMRLVSYLPLSHVVAQLIDIIGFARW GAHLYFANPDALQGSLINTLKEVRPTLFFSVPRVWEKIYDQMQQIAKSNGAIKTKIANWA KSIGKEGTFAQTHGLKPPLCFGLADKLVYANVKKALGLDQAQYMIFGAAPLSPIIREYFL TLNMYLINGYGMSECGGVQNMADPFHFDKFDDLFINSTGKPMEGTELRIDNPDKDGNGEI CYRGRHIFMGYFKDEDSTRNTIDSNRWLHSGDVGKIDKNGNLMITGRIKELIITAGGENV APVLIEDEVKKNIKIVSNCMVIGDKRKYLSILLTLKHQLAPDGQPTEKLNEDVIAVFKSL GSSATTIEQAKNDKAITNYLQQLIDETNTKVISKAQYIRKWSLIPGDFSVDGGELTPTLK LKRRVVEQKWLSEIEKMYQDAKL >CAK73683 pep:novel supercontig:GCA_000165425.1:CT868163:189760:191312:1 gene:GSPATT00009955001 transcript:CAK73683 MDQPKIIVAVRKRPLSKKESKEGQKDIVEVQGNTVIVREPRMKVDLTKFIEEFNFNFDAA FDQQYTNEDLYQQLVKPMVQAAITGTKITCFAYGQTGSGKTYTMLGDQNVVGIYTLAAYD LFQLITNSIVVSVSFYEIYCSKLFDLLKDRVQLVAREDAKGQVNIAGLSETKVHSVQEFQ KTVEMGIKSRVTGQNSVNQDSSRSHAILQINLRQQNKIIGKLSFIDLAGSERGADVVEYH KQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTQVLKDSFTGNCRTLMIGTISA CHKDAEHSLNTLRYADRVKELKAPQGSNGVDQLTRELMLPRQYITNNYLFESPQAVKSNS PPKLFDHDNGDQYEDEDKHDELIQQILDEERALKKAHRDHIDDLIELVNDEMKMLQAVDQ PNSDIEEYVDGLDHVLILKIEKIMRLRKKLQQFKQHLMDEQDLAHQCQTHFYQKPHQN >CAK73684 pep:novel supercontig:GCA_000165425.1:CT868163:191341:192258:1 gene:GSPATT00009956001 transcript:CAK73684 MENNQEPEPPSHFQIWLTFIEMVAVLVCLASNMVKLHSMKNKNLKFWFLVSVSASSAFRG MSLLLIMEQPQGIWLDFLYTAPILFWIQSYIIFIGHLAICMSVLANKDTNIIQYSIYYSP VCLVLSYVYFLLKDGFLERVLILQFLLYAALIIGCLYFSNKLFSDLEDDDWIVPFLTQVN KLIQTISISLALRLLIFFLIFANYIQLNIDLILIEVFMGELVPYYALTFFKIPPPRHDND SRAGTQMELQNLEGPLLK >CAK73685 pep:novel supercontig:GCA_000165425.1:CT868163:192605:193196:1 gene:GSPATT00009957001 transcript:CAK73685 MQTTTTQKNSKNQLINQSGGQMKPLKQTMIFQLLVINYIIRITRHRKYILLNIQIFISEF DFSEQDHLKANEENDYIKNFKTLSDAFSKLRIQKQLDIQSLSKSKYKNILDITQYLKSKF DKQDEKRQNYDPLEFRKVEDRKVFAPTNRKTLSILKDKTNNKIPQPLLIPYQLKDLLQVA PLGKIQKHN >CAK73686 pep:novel supercontig:GCA_000165425.1:CT868163:193415:194307:-1 gene:GSPATT00009958001 transcript:CAK73686 MCLPLKFIQLFIRINCFCFIIFGIVLITQVFITLNEDINNGKDGIIFTFSVGLAIIIVGA SGLLSSYCPNFCIIFVYSCFIILIGVLTIYVTISLTILQDQLVSKNFDDCISSSLPSAQK TKEQILWAETQFCKQNCLCYIDKIQDWDPDYLENNRISYTTNKEISDIIKYPDCNKSIKV DGLSYKQIANLEEKYSCSGWCKQHPVYLFSNINNGIPKDGCFTYFEQEYIYYVNRAYIDY LIVDILYLFNLGFAICQCYQTSRHKKSRIYPQILYELASQ >CAK73687 pep:novel supercontig:GCA_000165425.1:CT868163:194369:195137:-1 gene:GSPATT00009959001 transcript:CAK73687 MAKKNNQDKLELKKLHHIRPDKRIIVRYWLPWNEIIPKLQKGKSLLQTEQFEIVEKIKRE LGHNHPVAIMVALTTKFDVSTVKRIIDKLEHIRNAVISLMQQEDEQETQSQITFQTTIQE IAELRERFSKDFEITSQLIKKRTNDKVLLEKRLTIINRDLSLTEQLLIQTREYKEQYDAA YAVRKGKRISEIKTVQQAYDLVENHAKKHKQ >CAK73688 pep:novel supercontig:GCA_000165425.1:CT868163:195155:195573:-1 gene:GSPATT00009960001 transcript:CAK73688 MKAVLILGLMIFGITAVELESGVPILLKEIKAIKTEHTEFTFLLDLELSQGGKVSEVVSL VGDLLEQMKRDQLNDDLEYAHRTTTLGLDIEWLIIVLRNLTKERQDKNNQLSELNQILVG LQQQLDATH >CAK73689 pep:novel supercontig:GCA_000165425.1:CT868163:195635:196167:-1 gene:GSPATT00009961001 transcript:CAK73689 MIQYPDLDKRASNYKQECKLPSYPPPDENVQAIQIGQPIQANYLQNNQVNWAQQSNIPQY VPAIPNTQYPSSLQQKNFGELDKENLPPYSLSVRCPECGGMIQTKLNYVPGCLSFSICLT MCAFGLFCGCCLIPFCMNCCKRQVHQCPLCEKVLGEVK >CAK73690 pep:novel supercontig:GCA_000165425.1:CT868163:196566:199550:-1 gene:GSPATT00009962001 transcript:CAK73690 MNTYSRSPLEPVTQNKEYFTSQSSSKVITSTIRQCTSVTQNLGASQMKRSMSGNNIYSSQ INNPPPARQQLGKNLDIVCFLMACEVERFVAENDKLKYRIKEMDDKLLDRNSYEKQIEDL QARLMQMQYQESMYRQEVEITTSQYTEEIENWKIRYFNNEEKYRNENEQLKQQLLVGNSK QQKEIEELKNQLFTQKQMNENELSKLREQLLSKQQAVEYYQVELNQYEAEFQQYKQQEEK IVQLENKIGMLVCETERLNNLLKQKLEELEMNKARYNNMAQEAEKWKSELRQLQNQFDKK QKDYDQLNQAYVMTQNELIKYKDQDQIIDQMQNKISLLQGEIDRLNQVLREKIKQGEEWR QKYFDSDNQCKEMKQKLNKLDQLQLQLKDQIDMFQQLSNNLEDQKMKNYQLQQSNHNLEQ GNQDLISRIELLTSEIERLNNILRQKIHELEEWKQKCQHYEQESKNKSIQYNDIKNKLSC LTQEIDRMQEQLRQKQLELQNLQLNQNQLQKEINNQEQINQSLQKQIEEWKRKYTNLEYD LQESLMKNKKLVEYENTIAMISQELERQKMLFSQKSQEFDEQRRQMMNDIQKLKQSMGLK STEAEEWMSKYRKLEQAYSELSQTNRIVEDKWKQSLIRIILHCSEIDRLTQVQAEIFDEN EQLTNQISHYQSQLKIKDDQLYKALDRNNLLQKLQDEEKGKRQGLENQIASLKIYQDNCQ ILEQKIMSLTTENQRLNEQLRLRNGEIDDYRTQIQRYENKIQISNQELERVNQQLRKAFS ESDELRKEKERLESQYNLLQRQYDELKQKYNSLELYKTKYIELENRCAMLSTEIERLNQL LMNRQDEIDQLKKKCYQLEQQIIQLKQYEENIRVLSNEIDRLQGIIDANEAELKQWRLQY ADGGAQTRKIQDLLFHFVMQSAEIESLRARVQEKEKEVEEVRRSSLAPYRR >CAK73691 pep:novel supercontig:GCA_000165425.1:CT868163:199861:203261:1 gene:GSPATT00009963001 transcript:CAK73691 MLKPKISNPNNKITGCKVEGQIKPKMTIKESKQQGYTESDQQDIFMASKQNPSQIVTPNK TKKKKKKKSKKSSQKSKTEIQKSGFKTKKSISQVIPWGVNQQRLRNYILAEQQKYYNAIQ RRNTRTTVDLKERRQQIGTSFLNQYIEKNLKSMMKKKKSFHDENQPKQKLKISTALQQQY KKLGSKSKNFNILPNRMKMSFIHYPSPAQKVQKRNRSLSQGQQNKPIQINRIRTGSLYEK PGTLFEAPNLQLKFNKAEKQKRIVLQYQNIEENYLNYKKLGCNSSKNQSKPQSSLYKLMS NSSSNNIPNDSDGEQNIQDLDDSFYSQKNILDTHSEEEEAQKIEETNIFQCTFPENYNEE YYFKKENFKKITLPQNTYSVENQAAVIIQKVWKGYQVRKKIFKSKFQQNKDNKTKDGLNL KSLTKSQINKLIKFGFLKKGSIDNSQLIDESSFVSQSRPNSQIDNQKDTKKINESNQYQK FIENFQDDLDCRPNSSNQQNQVSSKFKDIQLQIISEYTPEKIKKSYQHSQDESFGNQKSK KISKLSIDVEEQEEENKVDIGEIRCAFGSESLLKSLSQEGEQSFQQDKGLFEQTSFQDFV MNKFKELMQRDKMDQLIALREEAVQQRQQQSLRQIDKAFQNNQISPRTFEVQQRKVEKWV NKQKNDLEQKKREILKGQQSVFDAIVKTQRDLQFVKQMLSSQNSQTYIKIVDNLSQESAN YSENSLKSSIIDIQISNSQMLQSQQDDKNKAYDDVVTIQRKSNNILEEKELICPEPFNLK KLAQSQFVRNVEQLKEPQKISEKCADSYSILISNMLIQEEVNSFYIEMQRDGIDLYELIS KSQFNNKNQIVQPKEQKIQGLKTNVAQIKSYLKYLEEYLIDNLMIQIQRIINIPLGPSSQ LMLKFLQPIRDSAESDYDSNGAQQQIILNVELFGKFERFLMEQRIINHQNKLIIELEHIH NKAIFDSLNEALDQFRPYGLHGQPFLWKSDPTRLRARENQLTDVPQIIRKASDKVIDWSH YMAGILVDKEDSPFPKSMQLDQETIAQIREDRLYRMLTLDIIDNEDRWTNYDEETTEISI DLSDLLFDFLIEEVAYEMYKK >CAK73692 pep:novel supercontig:GCA_000165425.1:CT868163:204546:206345:1 gene:GSPATT00009964001 transcript:CAK73692 MQIYAIQQERTLGQGTFGKVKLGYHTITDEYVAIKVLEKNRIENQFDLMRVQREIMILRK VNHPNVIKLYEILESEQSVYLVMEYVRGGELYDYIIKKNYLPEHIAVRFFQQIIFAIEYL HSNNITHRDLKPENLLLDENKQLKIADFGLSFISLTKGEPLKTACGSPCYAAPEMLVGKQ YEGLKSDIWSCGIILFAMLCGYLPFEHENTKELYQLIKTSDFEKPAHLSSNAIDILTKIL VKDPEKRLNFDQIKQHPFFLMQPPLQRKNLNLDDQIIIQKMMEMGYSQNQITFQLHANKH NTLTTIYFLLQKKQNQPYRKNFFQNIQNIANLKLDINQKRHTAVKFQPSQQGSPNFEKYE LRQQKLRSRIDNSPYLQTQNSQKFKKVNLSVQSKRVSVQIDNARQKTYSVQEKYSDYPQF MNNALLDSKIQTRKNSKLQGSIKLQPKKETDNGVKTEVNEEIKNYFNGNLTQVRQRNKTQ PNKNDEALKFFDKNSKVKASHQIVSKTPQNNPFVQAEMSRTKQLQQQLIESKYSALKKKR IEFKVQRLLGNQF >CAK73693 pep:novel supercontig:GCA_000165425.1:CT868163:206440:207092:-1 gene:GSPATT00009965001 transcript:CAK73693 MSRQNDKQPQTVRQPNKGIFKKSKLLNKRDSMLKIMPNLLIQQKRKSQKSKYDKIIIVIK LLIFSIMMELREAFEASGIKTYHNKFIYQVLGELDTDNSGGIDFEEFLHLATAKISDKDT REQIQKVFNLYDWNKEGRITWDELKRVAQDLGEEMTDEEIQHMFKKADLDDDGFVTFDDF YNLMTQKEYGKQ >CAK73694 pep:novel supercontig:GCA_000165425.1:CT868163:207134:208411:-1 gene:GSPATT00009966001 transcript:CAK73694 MQNLCESLLNRWYNTKFNLTQYVYDIDKQLRQQDKIIHILNETISNDADIEQRIEKVKQT CSKIIWFSYRKNIPKFQVSSLTSDTGWGCMIRVAQMALAQIIRYYNYFKKPEQLIVLIRH FIDDDDNELTDFIQQFHKNQNQYYHAPFSIQKIVHYAKVELKKEPGDWYKSDEILQTLDY LFKYSQYSLNMEIYINYDCAFILQDAIQQMFNQQEGNEIWLKERAKNNNQFDLQDHKGIC IFLPTRIGLQNINKDYLEVLNQIIALPYFQGMIGGVSKRALYFVGRIQDYLIYLDPHFVQ NAQNFDDLSKNQASYTCQNIQLIHNSLIDPSIVVCLCIRNALELLDLWQIFQHFKQEYQD LFFFSLLETKAEIEKSFEQIFGDDNEFINIAK >CAK73695 pep:novel supercontig:GCA_000165425.1:CT868163:208466:210496:1 gene:GSPATT00009967001 transcript:CAK73695 MANQFIEYTSQEFLQLHSYLLNTNNNTLELEKTFKEIEKACNAFSASLKKIAERLHEFVS KGTGDDDNIECVYQYLSNFLLQNSQQWQQTSENLKSEVLEPYQLIMSNYRNINLKLSSEM KDYIGNISSLNKQISDQQEEYIRLMQNADKCQLLMERTVGEIAKGNKGKEELVKASEKQI KLKEIAVEAQEDYKKLIEKGNKKLTDFDVKIKDWYQQVYLNDENRIKFSKQIMLSLMKKL TNETVYSIYQDKLNQLEQRVTQKQPQDLQHQIQRLLKKSGTDSIQFSLYDQINYDQIKRG EWKKQLQFLGSEWTMIGEQLNEEQATKIIQFINDLMENLETSDDSANNSRNRSYSTSSTK SNQFLSHEYLGVKQLMTKQSGRSFLIKLLQKEIEKRKVKQLNLLEDSFNEVSSIFKHVFL ILDAEFDVEEFYIFLQLSFKIVKGENSHLISSFSKLDIWQNKQKWRSLYEHIKTTKFQQK QVLDDQFNLVKKGYGLISKGLKKITGAVQGQQFSEKEKYQQQKYIVLHEIATFLSELNVS PQLGTDVILELAFHSEIKLEKVQLEKILQIMEEKNALTFNSKYSSGLGMKQRKEDKFRRF GLNNVQSRVIYQILVTQGQTILIIISIKSLQISLEICY >CAK73696 pep:novel supercontig:GCA_000165425.1:CT868163:210837:211652:1 gene:GSPATT00009968001 transcript:CAK73696 MDVQRSFQIHFDKVPSSKLQSFLRTYAFHNKEVGYCQGMNYIVGYLYLTFEDEEVTYIAF DYIMNNYFSQYFENEFEMLKKVFYQYERLLFLFLPNLYHHFKKQKVDASYYLTAWVITVF SQVYQFTLQSALLNIVWDIFIIQQWKGFYKCVLFLLYFYQKELLQLEFDQILHFLSEIIK NELFSLKTELQVQEYICTKLKIPSKPLKGIITNQFNVTNSLLQQLEQEFNCFSTNLESQL KQFKYK >CAK73697 pep:novel supercontig:GCA_000165425.1:CT868163:211666:213260:-1 gene:GSPATT00009969001 transcript:CAK73697 MLKTKNFLQIEKIDNIDWPIFKYGQSRNNTFWEKKIDQKVDANLIIIKDNLMRIGKNKSV PKKYTYLLLKSGTLMYQDSKIKGKIQLNLQTFIKKIELTNEEDHNQQKIVAIRVQKYQNC QLYIWNPENQTCTINWYKKLAQFSVSQNFEGFYKILEPIDQGAFSSVFLVSQLIPEESRN KKFAAKIYSQSLQQKILGNQMRDFVISECQILKMAKSEFIVELYEVIQLEDVVILILEYI QGGTLSNLIKQNEIHELMSSEICHQIILGLKEIHRDIKLDNILIAQFNPILVKIIDFGFA EKINKNQLINKQGTPGYIAPELFDLAPYTENCEIFSLGILFYILLCGNFPFRSTNYEVLL ERNKQCQIRFQLDEWKNISSSAQRMVQRMLEKDPIRRITFSELSILLELHISNLKSKGSH LRQNLNQSSNSSNIRGITYEIIEKSPSKLVQAIKDNYDDDIGLDENSLNNQFIWNLQQSY KYYNKQKWISQ >CAK73698 pep:novel supercontig:GCA_000165425.1:CT868163:213274:215139:1 gene:GSPATT00009970001 transcript:CAK73698 MRVRLQSELVKDQIMPALETLRKSTKFFSPFTQKQLILFQEYFRFLSFKEKTQITRRGEP VDFLGFILKGQVHGFVENQHKITLAEGDCLGHMACMKLAGCEVQQIDYFAKEDGYIAIIR LDDLRTLQKRDSEFSTFIYKQISLFTLNSVSQQYLGQEYQSIPEQTIVETTTKKIMFMLD QLGVKPDPEPNKKKKEKQIMEEPRNLRFFWNQLEQRDKRAFVQNCTLIETKTQELLVRAH QASNVFYIVLDGELIEFQQNQPSVIFKQGDTIGLKQFLINANQENNIISRMKCLLLRITK SNLDDIATVSGQSVCSIITMLTRFEAQKLKELFEKQFKDEQQGLQRKVVALVDELSHTFK SVSKKDQQSASQLMNNFFEIDVNKMKQDDKSAASQLEKQIIAPLYLLDVYKDLVAKRYYQ PNEVKDRKDPMFESGLSIFIRDKLSEQRTQAQKKKEELKKARKLGKTIKEEDDDIEIHMD PNQLVLEELNNDYYKIVDERDKFQEYTYLLEQQIKQMKDEFKEMKEEHQRMQTQIRKNEM HKELLTLDLASQLLQPTDRTTKKKHTLYDNYLVQVQENKKFKKTVQVAEKVLTMSQLHKL QS >CAK73699 pep:novel supercontig:GCA_000165425.1:CT868163:215253:216510:1 gene:GSPATT00009971001 transcript:CAK73699 MQQSEPLKLDENDDQEFINLQNTHQTGEESQNKLKSNLLKLQKIYQECKCPSCQLLFEEP ITIVCGHTFCRECIIRSVNLKPQCPECLYPITNIINNIQENFLVKSVVKEINELFIAQQQ KKVKPRLFDQIIAKRIQENIIKQYLIFETNSLIIPYTIQNVKLHINQFKDLNEDQIYKIL KRDSLILLTYPNKNQSIQETATLVIVIKAVINSKMIDLNVHVQQQMRVLQIKQETSHIEK EITLNIAKVQEIKEEPIIFNFQTDQQIKYLIDTVSQQLAYHLPENIDSYTNKYLKDFFHK LQLFEILRSNILRNEKALKHISYVIPSILHLTDQERTRIFNSNNSIERLIQITKILERFQ YITNPLMVFKIPGDKERINYQAEFLIIIVLLILAFYYRVIGF >CAK73700 pep:novel supercontig:GCA_000165425.1:CT868163:216547:218344:1 gene:GSPATT00009972001 transcript:CAK73700 MNNQFEIIKKVWASKKILSFFKYQRLVNKRLNELIKEQEPKIQIFLQLQENKEQLGNSNK QYTKVSTKALEDEVSLLKPLILLNNRNIINNQKYLLDILSIIHYSTNDDESTYVENTLKN PSKVNEQKQLYKILELAFVILQNSIQETVQQQILIGLDSILKSMIKCSSNNPQILLTLRQ YQKYSRIMQCTTSFQGINSFIKAITSFDCKLKLDLTPDTIEKTLTNVLQQLDCVICYSSM TNPVSMKCGHSFCKACMVQDQSNNQKRCPICRMEQMDEIYLSENNKFLTKLIQLKNSFQS QSDIWQGDDTQAQYYIHIKPQRKDAYENKIVLRTKQSLKYLIEEEVEIDTMKFRSLSTHQ FQQLLQQHLIFILIDKNENAYLVKNILTHIRKSKAIIKVQYQDKIQIAHQYYSHIFLNIQ NVEYIFNCLFATAITLEDQYIDLSKIEILKQLDNSISNIKEFFNQVLRNQQQDQTYQTIL SFLTIVGFWNLQNSDIISNYDEMKKYSYLIPNILRIPEKEREKIQKTNNLIIRLQLIEES LNRFKQCSNLLMIISIPTQNKDSNRNIIIFIVVFLLLSFTLQL >CAK73701 pep:novel supercontig:GCA_000165425.1:CT868163:218388:223433:1 gene:GSPATT00009973001 transcript:CAK73701 MNQQSLHVPTTQSRQSITYQTTSGIATSTERRISDNIQNGRKSVLFEDKRYEEILQDNRK LKEVIEQLDKDNQQLKQMSGESYNLKQKYMITQAELEAAQQELAKLRTQLLMRNSQDAEQ STLVTRCKQDVSAMTQQQIKYQQGNNLLNFQEISQLNAMLEQQKKQVEEFLRLKSKYEQD IQTYKSRAQTLEMEIKQVKEHKNSQTQNEILRLTQEIARYQSEVQIRSSDKELYERTIQT LNEKMKEMDMDIQILIQAHNQNQLKLESAMAESRSNMQYQIDKLKQEMIFYQTQCRQWQE KCQTAEIQKEESERRIIQMEIRINETKKQIEQQQNDKIQRESYQIHTIQNDLEGWKQKYI SLEIKMKDFENYRMKIIELEEQLNNLKNDNYQKQEEVEILISEIKQLQEQNYGYRLSITQ LENQARNSIGSDRYKSQIRTLENTINQLNQQINAYKIETKELEQQVMYHQNKDTNVDYLV REKDETISKLQYKLNNMEKELRIIFEEQLKIEQQDWDRQKQQQIDSQLIKITKKYESEIS TLKQHFQSLEIENQSLNNKYERLKKEGEIGFQRQSEKEKSRIIELERQIIQLENQLSFQR KEFSMKIEQANQSNLKEYYEAQLKQINEKLIREQNQVQQLNDHLIIEQKSTLDLERRYSK EIKDFKQQQEKRIEFQQTTQYQFELDNHLRDLEKMRKEYRIIEQQYQQMEVQVKLWKEKF YEEQQKHQEIRQQYIEIRSQIESNTIMNTHSDFDKQRIQELEMELFQQQEEIRIKEKKYQ ELITTYTLQIDKQKSNLDEFKRIKQLEYEIESFKNKCRDLDRQLKDKQLEFDALLQRKQE VKLQTIEVSSQSEKFKTQELESQITSLKLQLQQLNSSKQEVIRETIRETVQIRSAEDIKL IDQLEEELQLWKTKYYELDRRRNQVVVETIKEVSSLERDRQKIYQLECQLQQLAQKKQEV IREYVTEKIEVPLESDQIRIRQLEIQLSNIQRDYQMLSLRKQEILKETITVEVSSQADKN RIQQLETQLSQLSSELMVLRLKKQEVIKETVIEKVEVSMESDKLRINQLQEQLERLQRDY QILNKKKQEVIKETITVEVSSQADKLKIQQLESQVSQLRTELQIVTQKKLEVIREVITER IEVACEADKYRIEQLEQQLQNLNKSYEVLSKKKQEIIKETITVEVPSQSDKFKISQLETQ VQQLRQEIQILTLKKQEVIKEVVIERVEVPSSADKFRIQQLEQQLNNLRLELQVVVQKKQ EVVREVITEKVEVSKETDRKRILELESDLFRLQQDYINLNKIKSEIIKETFTIEVPSSVD QFRIQQLEIQLQQAKSQLEQQLNYSQQEYFALNQKMQEQIKETITVEVPSESDKYKIRQL ESQLLSIQEELNEIQLENQNLHFEISRLEQENRRQTDIIQQLESQIRNQFSIKDFQSQLS NKDKQILQLQDSISGLQATIRTLRGENQTISEQTNRQQVSARESQNSQKFLELETRIQGL NQEISRQILMKDQIQIQYDDLVQRATKYEQEINLLRNQLQKKRNTSTYDGPIVSKTFEIH KIGEVANNGTGLVSGFNLEDTQKIKSIVSTQQLKSEVSFQLSNFQESQYTMKSNSLVQPF NPLRSDLIKSKAQLNRMEDKFYK >CAK73702 pep:novel supercontig:GCA_000165425.1:CT868163:223466:224199:-1 gene:GSPATT00009974001 transcript:CAK73702 MIFFVRHGERADQTNDIEERKRMQKSFDPNLTHKGDKQARMTGAHLNKVIQEYANQKQIQ LKDIQINFMTSPFLRCIQTSINLMREIPNNSKLYIQDEIGELMYTYDFSSNILDKLHIRT EQHPVLTKEYQNGVQIIKERFIKNPNLPQPVFPENDNLCFKRIQAFMHELKTQMTKLPNK TNTINICVTHQGVVDLSLTIEKFHNNDWIDYCGVSSFILEDNGSVKIGLKGQIFWK >CAK73703 pep:novel supercontig:GCA_000165425.1:CT868163:224218:224916:-1 gene:GSPATT00009975001 transcript:CAK73703 MLVFLSEGEPSEQTDFLLNQDPDLKLSGKGEEQAKQTGQALKQIINEHINSQKLNPKELV FVFMSSQNIRCIETLAIVMQYLPTENKKIYIQNQLSDLQLPHLYRQEPLKEHYQINQDKK ILGKFSKLYGVEIWKQNFIKGINIELKYPEDQVYCRARVGSFLIQTQKYANAFTNKNHYI YICATHLGVQEIVLDHYDGKCKNIDYCSLTTICFPENWQNPKLTLKAKTFWK >CAK73704 pep:novel supercontig:GCA_000165425.1:CT868163:224942:226107:-1 gene:GSPATT00009976001 transcript:CAK73704 MKRKHTLFLRKVVGEQSQMSWPFADQDLHSSNIFQTQSPDYQILDFSQKNSTNEIQSRSI VGKVDIFNKQAHELEKPLRFSQPVKLSNLQKKITRTNTNEPSSLNSSYRSKKNIKNQQQT IQKVGDTNFEVLDKFELIQTMEKIQKIIKNNEKQAQDQLQKISVTEKILKTQHERALSKH EKQMQVWNELSTKISSRMKNNSTTLIERQAQYRSRLDSLDMLEELKPEESKNPVITWHQR LRSQYMPQKIIQKEEQERLQLKQNLLEQIPNRDLLEIPNPQKLISDIKNKHGYDKGRSVS DYNGLKLVGLSVYDQELNSLKKDKSQILQQYYYYKGPKEIQIEDSKL >CAK73705 pep:novel supercontig:GCA_000165425.1:CT868163:226452:226985:1 gene:GSPATT00009977001 transcript:CAK73705 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHKPVVNIDKLWSLVTDETREKYSKSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK73706 pep:novel supercontig:GCA_000165425.1:CT868163:227038:227784:1 gene:GSPATT00009978001 transcript:CAK73706 MDSNAIMQKMAVIFDDPEQKLLNEISEINKNRYQNQMQRLNELKEQQEDSDIEIQKQKKL IEQLEKSLIIESNKSKQYDEILKQYESEGKSLSDESKKYIIQITQLSEKVENIKKQYIQA YEKQDAMEREQQQQIKDLENWSKNSRNLQNELQKKDQKQIKLLEELTQVKIQQQEVKQIE SNDDLQKLLDKLLADNKRLLKQRQEVVAVFKKQAKIIEILKKQKLHLQTSTSLDLTEQDF AKIVDFSL >CAK73707 pep:novel supercontig:GCA_000165425.1:CT868163:227809:228969:-1 gene:GSPATT00009979001 transcript:CAK73707 MKLTLALVLLVTLASATSTHDQIMAFLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKSLNQKLFQQSIENRAQFEQELSDTKNYLAWNEQRQDEINR KIQVLLDQQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQVKSVAEKLK AYSNTFNEQEINSFLQLANKQEDGSVSRGATLAERVLSVLEGLEANLAASLEALETNEIN ASWELAGWVSLSEAEVSNLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDALDQ AQADLESKRADYAEAKAKRDEENAIIEQVIIIFKKQVASWSGR >CAK73708 pep:novel supercontig:GCA_000165425.1:CT868163:229681:231201:1 gene:GSPATT00009980001 transcript:CAK73708 MNKYEIMGVVGEGAYGIVLKCKNKETNECVAIKKFKETEDDEAVKKSIQREVKMLRMLKH PNIIQLKEAFKKKGKIFLVFQFVDRNLLELLEERKTLDQECIKRVIFQLVLAVHACHSVG IAHRDIKPENLLIDNDLNLKLCDFGFARTIQSQEQLTDYVATRWYRSPELLISNNYGKQV DIWAIGCIMGELIDGQPLFPGENEMDQLYLIQKIIGPLTQDQMEKFQKNQRYIGMKFPEI VKSETIEKRYSGKMCNKGLNFLKQCLLMDPNKRLTSQDCLEHPYLSDLWSKESEIRPKSN FQRRISCERDDSKHSILNQYDFGEQKPKKIQEKAIPQTIQNQSFVQRQVYNYKIGDETTE VTKEDDTKSQMHKTFNQSFKIKFNDTLPQSRLGSEQDKRINRIIGLPGLKEDPKQQQQQQ QAIHNKSPNKRTSIQQPSFYVQGQYNLQPQKLNLVYNANTYNYSIKKSYISKK >CAK73709 pep:novel supercontig:GCA_000165425.1:CT868163:231221:232768:1 gene:GSPATT00009981001 transcript:CAK73709 MLIIFYLFSLAICIDDPIHTSIIYGDSDQGYYYVNLFVGEHKQKQSLILDTASSITTFPC VDCKSCGNHIDSYYNFKISQTHKVVKCDQIIGEKQCDKCLNNRCSFQISYAEGSRLAGYF MQDWLIMGDEFEDLKQSDEIVKLEQILSVIGCTTLETNLFYTQKANGIMGLSPKTNTEFS FPNYIDDLYQKEKGSEFQKMFTICIGRRDGYMTVGQYDFNRHRNDSLYYKVKYDQDTDVY KINVHSIKIDNIVIADHNLINLGQGAFIDSGSTLAYGSPKLSEKLTQQFLCQNENCPDLQ YLEELHCYQYIPEKHGNFSNFASYFPIFEFELDNNFTFKWKPINYLTLAVNTTDIYCFPL AVIPGAPRMILGQVWMRNWDIGFNKQTQEVLFVENNCSNTKVSHEFTEEDIILLEQQSLK QNNGRRVYKDLNLKGKLDIFSETLYAFVMIVLMIFLYYLFFYLLKLKKQGKYQTIQEINS QE >CAK73710 pep:novel supercontig:GCA_000165425.1:CT868163:233321:234324:-1 gene:GSPATT00009982001 transcript:CAK73710 MQQIPINLLKQFIKSLPQSDLSFAYGSAVQPQFNYDYTKNKPMIDLIIAVDNVEEWHLQN IQINSTHYSGLSYYLGSRFIQRMNVEIFPIHFSPFVQYQDLKLKYGVVATKELIKDLENW KWLSIAGRMQKPIVAIQESENLRFQRACISNLQSAIAVAILMDFKPSMSLQELLYNVISL SYYGDIRFTLGGENQNKVFNILKGNYKNITDLYLTNLGDLNNVIKVQKDGEILIDTSIKS LEFLCSLIPGQLLSIVLGEKDNMGSLKMMSQDRIKDLIKESIKRKNQFSSRRMLFYNLAM ISPFLSVKYGIQKLSKRFK >CAK73711 pep:novel supercontig:GCA_000165425.1:CT868163:234347:235848:-1 gene:GSPATT00009983001 transcript:CAK73711 MMKCVILGMLLLSVSCIDIVADEFRWPDWPVYRFKTWSGLIEIDDDGVTRNLHYVFVESQ TEDAEVATQPVILWLNGGPGCSSLLGLMQEIGPYVIDNGETEYKYNPWSWNKNAHLLILE SPFGVGFSQPSPDKDYKFTDEKTGRFNYEAIREWFNTFTYYRGRDFYIAGESYAGMYIPY TAKALLEGEKTVDQKEKINFKGVLIGNGVLINNEKFRAQTSIKFLARRSFIDYTNQFILN HNCALQPNSASCRQAKKSLDSAIAEINPYGVYSYCWGDSTLKQYKVERESKHRFSYTPWL KLTEDDDDSSAPCIDFGPLANKLNTDEYKEALHVDKNIVWSGCSDPVYLQYTKSEGSYQI LPELFQAGIQILLYSGDQDLAVSIVETYESIKQIQGIKEIKGWTPYLNTNDGELKKLISR MDCRIQLFQIPSYQRCWTYGPIRLKRELMVYD >CAK73712 pep:novel supercontig:GCA_000165425.1:CT868163:236545:236939:1 gene:GSPATT00009984001 transcript:CAK73712 MQQISKEKENQIQQAMDLEKDVLGTLAKVRQYEASINTLKRNIQKCNITLKELSSIDQQK TYQPIGRCFILKPKGDIVNEVNENIKTSEKEIDEYEKVRQHLITKGKEKETQLQEAMKSL KI >CAK73713 pep:novel supercontig:GCA_000165425.1:CT868163:237846:239124:-1 gene:GSPATT00009985001 transcript:CAK73713 MAEQGRYSKLSEEEAAAQFELRKQQILGNLQEQYQNANSQKNKKQSKSQQHQSNQQEFIV FNPNKKQSSQPSKKSSIKLQIHKEESPLLNQGPPQEQEIEYEIQQPVDPIPPEQSPLIDK SQKPGVKKMLLRDIGNLDLEIQRLTRETKDFKNEKSLNKSQLSQQSRNLKESLTKSNSRP SSLQQQQSKPYYELRQRPSSKESRISDASYTKKAECFKGVIRSSSLKKLPQSSKTHYNFL QLRSASEKKINQSINKTNTIESENKETSNKIVQSERKIPKPIIQINRSQSKQDTPTYEKK TGTPVKSILKVQFNSIPLECNSTKNNSASYFHQHKESYNSQISFSKNKCVEAQIEEAVQL YNNLKSLIQNKTSVLQVRKDGNLIPRKTADFFYHKEMQKPTLY >CAK73714 pep:novel supercontig:GCA_000165425.1:CT868163:239193:244678:1 gene:GSPATT00009986001 transcript:CAK73714 MVKQCLLLITILVIAHSMNYGTVLIQNEGGGQIQTKEILFSKPFQQIPQVAIALLNGHGD IFAEIHDINQEGFSIQMMASNEIEAEFAYLAIENDENYQIECFNRIDVGNEIQFPLIIQK PRFIGVLATNTHENNFNIDLLDGNLIVDNDGKLNMCIIITNEEQPIDLIGYQNYQEQEAA EFHSFKKQNAMSLVQAQQSLEITHLDQRKSFEIWHHQSIEIIKDSYEEVNPTNQSIEIVS EDQPKQTEFKQQESIEIFKLDDQDSQSNSDQNLIAQDIQLDNVDETNNNQFEDVKFEDPS KVDQLTQQQSTVATSNQMEDITLNLDSESGNQQSETITLNDQQSSNQSTQELQENNQQQQ IEDVKLVNEESVNNENSQEIRLTTDEVQIIEPKNESVQNQDEQLVINNEQDVQVQTDIID QQNQDQDASSLQSEVAINNNDQVLHQEDIKLDYLDNDNANQQGESKQEIQTEDIKLDDTD VSSINAQDIPQQQDAIDIQNDQLSIQPEAKPIEDTTEDVVVHVDEVKIENVKISEANLDD ISTDSQVQEDNTEQIQQEKEDNTAYIQDDIVDNTQQDNTEQIQDNSNDNQITDQSEDISV DPDAFSEPQQKVTQINDDLQKQESDIDEMIKFAQELKEEAKKLKNKSRNERQQGKLDIME QATVLEKEVDKLENQSEEPQVVEDQISLDVNSGYDDQKDIQIQQPQEEQQPPIIYLNDGG LNPEQQLITQEIIEEDKVMNTENQLQEVVDQQLQQQEQQIESTPEIEDEDIQIIDASIKL DEDHQEMEIYQSDQVEVIPEQVEPQIEEDLQIEQQSQEQVEVEVEVEPQEENVQEQETTK EEGAIEIGLTTEEGEAFIRKPKKSDFLREKQRLKDKLTNVIRDFKLDSVQSNTLDSVVEG DKQYSLIIDRLDVPENNEQVIVLKDEDYEQTQIEPEPEQEVKQLGDYLEAVEPNLENVNF IYDDEQQQEQQTIEIEDIKLNDDTNEETNYKIVEAKDDELADTTLDTLESIDDSQQQQLL STDVAVTAEETSASNVENQSDDENNISFDEFQKELEVLANTVGNIKQENVETQKSSDYIV VNDNSQIYEATYDEQSNELQQEDEPQIQEDQQQYYQGLEDDQNTQVDIEQLENQDDLPQE QQEAEQVFDVPEQEVIQEQQSDDQFFDNEQSTDDQMQQVETYDNAEPQDQYLTIDNLVKD SSAIEEVYDQAPQYYDESDTQSSEQQTQNVVENSLEKDYDISEQQQQDQKFQEQQQSENS QQQEQDFVVMKADQFQPKQQNENKQQEQQSDIQQIDDIYSETPRDENEIDIIVDVANQYD DQPQLNEQDYDYGDNFEIQQPSKNQVEQKPQQQQQQLQQQQEQQQQQQQQQQQQQQQLQQ DSISSKKQKQQIQHSITISHNVQESNNKQIDRSIEIQHEDNSLKIEHPISQEELRKDLKE QLKQELKEELKQEIKQEIQESHNRRKSPSLVIEENVAKDPERPAQKAINSFNKLLNDVVD DTLKEDDGKHYQKLPIVDVKKESFNKVEPKIEQGIEDLKKALGLKGGVEVVDNNGKKQVI NVDDLKKESEKLLTRPERDEKNDSPQYDEYLKKVTEKSQPINKDQFQNYEEQHNLRKQEI LENIKRELEIKKGRFTVPNDSPQELSPYEFERVYLDWEKNKQDIIPSMLQHSIEQPMTQE LHSNSDVVHQKSTERVSRKEEVDKEVQELLYGNQKNRKQQSKQSSKEFKVEDLRYIKDDP LLDSTYNGFLQVKANLRRRQQ >CAK73715 pep:novel supercontig:GCA_000165425.1:CT868163:244679:244945:-1 gene:GSPATT00009987001 transcript:CAK73715 MNQQCPHCRRRIPAISSVAKPKVQKKQNVTQTLKNISLYDILEEFAKIRNEQHFDLMQFL QEKIKKPEITKDNHPVTQRKKWDLPSKC >CAK73716 pep:novel supercontig:GCA_000165425.1:CT868163:244964:245362:-1 gene:GSPATT00009988001 transcript:CAK73716 MEQQFQGSCHCQKVQFEFQGPLELEVIRCHCSICKMKQNHHVLIDNSKFKLLTSMEELTL YTFNTKQAKHYFCKTCGVQAFFYPRFNPNMIAVTIYCVQLPNNVKLTYVTQGLEQQIKET IQQ >CAK73717 pep:novel supercontig:GCA_000165425.1:CT868163:245381:246036:1 gene:GSPATT00009989001 transcript:CAK73717 MYSVSQISKSDPTRYGDSFKPTARKVTHRLLSHCDNEGNLKKIDFALAGNGKHLPDYNTK STQLETKQIEPFKIKDFIPQINPTIKQELSRLNKNNFLDFLLIQKAGRQGDQKFFDLLIQ QYNFDPFKKTLKIHDNKQQIQYLQKRDEREEQIAQQIKQFYKHMQHKRSGTINEQPLDRM IIEKNNYLIQKLPRFRAKLEALQGKNDNI >CAK73718 pep:novel supercontig:GCA_000165425.1:CT868163:246037:246456:-1 gene:GSPATT00009990001 transcript:CAK73718 MYFDEDLGPWCSDDYRPRQRYHSQMTVPLHKEKTENKETHHTIQSILTAKRSELPKLKLN SISPRQAVKQQYINKFIKIKESPNEKHFLPQLSLIKMKPHRAKPKNRNTDIHVIFEIEKI IRRNHGYLPSHKYTTSTII >CAK73719 pep:novel supercontig:GCA_000165425.1:CT868163:246792:248286:1 gene:GSPATT00009991001 transcript:CAK73719 MSLDEMESLANSFRRKPKKNQSIQIQTDETIATIEILESDMNKFRIENLRLQQQIKQLQE SLVHCSVNVTNTSSKFMLPSEFKLKYENMVKETLPSAFGYLFDQPKVLVQVVQQSCLFLE QVIREELNNKTLQVASILGINCQGLFSLLQKLYQDNYQSVLGFNDITISKLKSHLKLNIK DIDEFIEEENDDLIEWMKQYWLLLSYIILSDQEINIGFSRVIYEVVSYQKNEYYCVDGFG KEGAKSLVILPPIMRKNQSFNGVKHAVLILSNNFDCSIFSQENNLQDTIQELKSYNSPKQ LTIPQETRVVEETKRSSLQYSARENTFQSQGSEKFDTFEEYRIKPLQKQPLKSMKETLSK LNQYNNSSNNQSFKEISKLLKQDSKDNNKFSQLNYIQSKISDSNWSRILANKRNKENHQL LNQSQYQQPQLTIQQFLKKIQIDFSRPLKSRHDESKFIKSQQDSFRQRAQSNDGKFVKYY NDSFNQKSNN >CAK73720 pep:novel supercontig:GCA_000165425.1:CT868163:248317:249546:1 gene:GSPATT00009992001 transcript:CAK73720 MNRPNSQTNDYFNLIEKCVQIPKDDRLYLRQCAHQNNPDIISILFQFKRKNDQRRLYMDL RKFLQQNKQVRVLQKKQQQSKENLRNGSPQAKSKQQSRQNSIRNNNPRSLSKQLLCINPS DKSQHLLMTTPHKQQQDRLSQNQKFHTSSGGFFKEVQRFDETIKKSHKQKKWTEEEIVRK YADLFTAPDFLKKHRAISQDTDPDSKDFNFAIPCQSFICQPKYYALQKFSAEQIFGFIYM ETSLIKISKNFFQYKMEAFYNEIDAEIPDLQEMFMFIDKKNDGFIDVNEMGQFLDFVCQK EDRSIFMKLDANNQGYFTEHQMLQQTRDRQFTRNYFFEFDIEQSGKITYWEYYLRQPTQL GQHLNKLLK >CAK73721 pep:novel supercontig:GCA_000165425.1:CT868163:249588:250087:1 gene:GSPATT00009993001 transcript:CAK73721 MCLEEAQDDKGLDKFLKMAIKYYETKYGTPQRNLREKAMTLWLDLPSYKDKMIVMEFMQR KIDKIESSQKKITQFFKSYSKPSATKIIKQVDQVDDQEITGPLIEENTPKKRDKSPYSKF YEETYWKLKLEYESLNQKEIRQMVKKQWESNRKTIQFG >CAK73722 pep:novel supercontig:GCA_000165425.1:CT868163:250175:250854:1 gene:GSPATT00009994001 transcript:CAK73722 MLKRNSHRQSDHQLRYSSLTKNDESEFNLGKFRESVYSKMQQLGNQEQQIYVKGTAPKTV KSSQAQELRGSIPSFEDIFKKMSSYKDSSHNILKHDGSLRSSIQCKIEQFADPPQSRLSQ RSVGMTLHISNRFASQTKLDTKQQSTGKFTSSFIEKPVIAQPLKTSVMDKLPLKELIDIR NRVEGSTQSEVQQLSKNYVSELVKLAQIINKQVRRCKL >CAK73723 pep:novel supercontig:GCA_000165425.1:CT868163:250865:252403:1 gene:GSPATT00009995001 transcript:CAK73723 MLQSHNNGTTSQEYKIVVEKYQRYLDERSKLKHRSNDKLSAAVRKIYLNPLLPSLQSDNQ QSTNRMRKRQSSVLKEQYSHFKQESIEQINTNIQQSIVNHQSNSVPKKQQHQSQANLEIP QQQSFFVGLYKNQRIENQSKNITIKDLVQFQKNSQDQQQSLAHLPQLQQPNNEINLSRYF VRKPKPKKISFDEISFTNKWERERGNNVVRIDKMQAEEFSENVVDYLLAQEIMKLFFQNS SVIQFKAIMLELFKGVYYESTLDFEQIIAFFTTKQITYLEIFYIKYAISQVLINAKYNFI FIERALNQFEEYRYLLQKPIPFIPKLFDNAFYSNVVKGIMMRLLKSPAYNKFLTNQKFES ESFIYAVKNGLFPYLVGEYVGLPLHARVKDVKAELFRQQILQPYINQVLVIQVRNLLNEY YLDELYIKEVERRLQFPKEGQDLQEEIHFPLYYIKSYIQCKNTLIPSYDIKALIKIIKIN PSSVDSDSTLFVDNIKYLEQFEQMTEELQLFK >CAK73724 pep:novel supercontig:GCA_000165425.1:CT868163:252828:254342:1 gene:GSPATT00009996001 transcript:CAK73724 MASERIHKYEESKGAKCKQKNCFSNSYGSPFCLVKKFNDHNILKESYNKMNVRGDEKCNL NDRLQKYRSQAQMALDKLETGRLQIPEAITDQVDVVEVLRGGRVNVASNLSANEESLAIV IIYYIFQWTKLSCEGNNRDLITISLNNNSMYYLGNTIQQRDSIQKVVLTLKSCQEYFSQQ NYDHYGEMDLKVQNVIEDVQRLDVVKMKKSFTKKMSTKSIRLLSNKLRIALDMLEGDWIS KNNTSFQYKWASIKMKNIQQVMNMDMKKLDKKSLELLICRICEKKVQAFEMKIHCEECQQ SAEAKKRILELNLEMANLCEAAYQDERNAQVKQAKNKKVQKNNNKFRRTQTFNMDEQENE QELININNAMTIIINYADKIVNQSNDDPKCKNYLTNQKIWSSLMICRMQAITQRVMKLRP SQREFIRASLIEWIILRNKKVITNNMT >CAK73725 pep:novel supercontig:GCA_000165425.1:CT868163:254369:256379:1 gene:GSPATT00009997001 transcript:CAK73725 MKKSFAKQNSLSFRQSKFSQVSQSPRNRVVETIFEQEEGERQNQPVISRRREFKMNTSIF KVNEGCDSPRISNKPYTAGFINDHTDSDSAKQPFSYDSDVGDNKAPSIKEEQSNSSLEEE SSKSNASNPLRRQLKIQQKKKRVSNFHSQDFQQASTPTSSSQQQVSIPKKSKFSSNASNN SPQLIQEQIDPVHTDKGYHSDSDMIKTNSSVSIEQKNVGIKDFEFIKPLGKGAYGWVFQV KKKGSGDMYALKIIDCAQRNLEAFLEQLQAERNIFEILNSHFVVKAYFSFVHEHYLCFVQ EYMVGGDLASILKTYTALDEFYVRHYMAEIVLALEYLRNQNIVHRDLKPENILLDSQGHA KLADFGLSEKGLNSRLKMKREGQVLPDCISQQVNDSSEFYEHIKKAESIFIESKNSSNKK IIGTPDYIAPEIIQGVSVTNYSADYWSLGVIMYEMLCGVAPFNDDTVEKIFENILNLRIE WPKLGDDGEECISYDSYDLLTRLLEQDYKKRIGHVSIDEIKQHKFFKGIEWNTLLNKPGV IIPDLDQSSRDTEKMEQFLVKLTKPTKDQEHKKLTQQLKNQLQNLERIDLLKQRSVLEAE EYIQTIEREQSYIQKQIDQLTQFTAKLYSSHMKYK >CAK73726 pep:novel supercontig:GCA_000165425.1:CT868163:256468:258732:1 gene:GSPATT00009998001 transcript:CAK73726 MDSAIIDIVWCGAHNQSNDNILVMSSKGTIYLSQNNGDDWQKFAEVFHRKGLLVLQDENE KVGVVNKIQKSPIDPQLIIFLGTEFVNWISPDCGQTINAIYTGRQMREFQFHPKRKDWIL ASSWSKCQMKQKDCYVTKDLMLSQDMGLNWEVIAKYVNQYSWGFKSPYNEVIPEERIMIT YEPNGKGHQQLSGWNMKTHLFYSDDFMKTKTMLVNQGNKFQLTPNHVFVAQVMNSSNQEV TLLVSESDQYEYNFRYVQMPDILKDHSYTIVDSQYGAFININHLKPSSIMGTTYISDSTA SRYRISLNYTVRTEEGQCDFERVLGLEGIYLANVYASEQAELYQSEIDEDSPRIKEGDLS KFKQTKITFDKGSRWQPLTPPVVDSEGKKLQCDSKSCSLHLHSVSTKMQFGPFYSTKNSI GLILGTGNIGKYLSYRPDQVNTYMSRDGGLTWFEIAKGSHIYEIGDHGGVIVLASDQQAT KVLKYSWNEGLTWEVFQFSEQPIEITNIITEPSNIGTRFIIYGTSDLFINEENIEQGNIV KIDFSQIHGRECIGEDQPSSENSDYELWSPTGKINPDCLNGNENAKCFNGEDLQRQHSVQ YCQCTEEDWECDLNFHRENSDPNAKCIPYELYEQNFEAPENCEDYYEVTRGYRRVAGNKC QGGVEYNPVSLLCPGFKFWKFRNIWDFMLICIFAAIVYFIYKNRHHFSKKQDHKMEQQQS ARAYGQKNG >CAK73727 pep:novel supercontig:GCA_000165425.1:CT868163:259113:259395:1 gene:GSPATT00009999001 transcript:CAK73727 MSKNVKQLLQDPNYTVPLDVIKRELSKIPGGEDKYKEIQQTLLPLLMDGIEELSKELETM IQNPEKIDPEERERFNPCIYLGYIE >CAK73728 pep:novel supercontig:GCA_000165425.1:CT868163:259485:259750:1 gene:GSPATT00010000001 transcript:CAK73728 MIRRIFWNVKNSFFGAGMLILIPVQFMYFPVLLGVPTYAATVLQSGLTYYDEYDGDVNEG NYYKTKSA >CAK73729 pep:novel supercontig:GCA_000165425.1:CT868163:259986:260723:-1 gene:GSPATT00010001001 transcript:CAK73729 MKYSQTTLSNQPSQFNVPVGRSDSSNWNDVKKGIRDKVYSKVQGFENQKFIQKSELLYNF KNQEEKNSGQPLSHRQSATHLRNLMDNKFPKQESPIKMGLTRAIYSPSQKQYHPLDDIQI KNNYQSAFGSAISKDKVKIQSTKKEQQYSSSSKPLTDLLDMKRNKAVTERATLAEIIRIS NHLQDVQQAEATQLSSAYLQELIALQQNIGKVLKNTSYKAYK >CAK73730 pep:novel supercontig:GCA_000165425.1:CT868163:261194:262034:1 gene:GSPATT00010002001 transcript:CAK73730 MQEDQSKKVDPMDAFRKKEDYREACQKLDGLHSQNKENDETKYLMLFKKQETSKKDLCVI IRVYNGNMLWMQKIDRAALDEMILKTDIKGTYSSFCELIRFAISENSYDFVQFEAQLDIV LYFSLQRHVQLKGQIPLDQGLPITNDEDIRKIQFQFVCDLVDVLKATQLQFTKEIEVNTT RKKKNEQMIDVEQPPLQKPPPPPKKNLLTIGQEILNTPEVLLPRKGPYGIPFYGNQYVQF PSNADLINPSKKKRKTNGGQID >CAK73731 pep:novel supercontig:GCA_000165425.1:CT868163:262978:264247:1 gene:GSPATT00010003001 transcript:CAK73731 MNKFITIALVALVSVQAFDIDFALLLQTGSESNDAVQAVYDLLNDLKTSNIEAQGVADEK NISDEEIGQGRIAALSKVNELNQKAWASAKARREQIGVEYREATDYIAWATQRLADIDRR EVELEELRCFSNGLFVRAIKQHNDALGVIKILKNDLSGYLTGAPSSMVELKVENVADKLK QYSQLFNQDAMSKFAQLAAEQASGNAELHALGESDAPTGGDRQPGVNVGQLVYNALSDLE DQLKSALAALEQNEIAGYYQLADWLADSEAEKVHLQDEIQRKTQLQDKLVVQEQAALAVQ AKANSVLKDSQNAINAATASLYELRELYETELNRRNEENAIIDEVIHIFKQQVLEMANQT SYGKK >CAK73732 pep:novel supercontig:GCA_000165425.1:CT868163:264328:265146:-1 gene:GSPATT00010004001 transcript:CAK73732 MENQLPIRKKTYHSESQQDDKNKPGVNKNQTEKLLESLQKITLLDILDEFAKESENKSIT EILKTILNNVENKQKEPEVKTDWDVGKQDKPNNNYNQKFESNNNFQNNKFKKRNTEGGWG DNQRTDFSNKENGRGGNYDRNGGNDRGKPWENKDGRKQNFQRGENWKSNQEGQKNRGGDW NNKQGNGDWKQNGTNNDWKTSGNNNNDWKINGNNNNDWKTSGTNNEWKSSGINNDWKSSG NNNEWKSSGVNNEWKTETATEQAQPVSWGSPK >CAK73733 pep:novel supercontig:GCA_000165425.1:CT868163:265188:267200:-1 gene:GSPATT00010005001 transcript:CAK73733 MGTCVSYRNQHQNESNTIDQIRLQELSQDPNLEFEFSGQNYGDVNISNMQESIQKRQLDV GELQKQQPLENQKIHHQKLNQNDSQIDSSNLQIDLELRSLNIQVLDKMQSRNPSLIEADK RSERSYTQGIQYKAKNNNLIQQSETNKQLIDFMTKGEKDVLLHPLPSPPNLNRNNEFGVY EAFDSAELTPQTTSKQESECEELEEEPQELEEEIVEKGEDKIPEQQSMNTDKTKQQTLLD NPLFEQHQEDTISLEDDNPEEVQQPNPPPQERQIILQSSRGEQMIMSFAPNVQQLFIKSE QHSVSENQGEIEVINHKPRFEKAVPSETDILKIENEHVIALSAQLEPININVDMNNCEML GPYIILNTGDIYVGTWQIGKRHGFGKQIFSNGAYYEGQWLNDFLQGYGRYIFQNGDYYSG EFVYGEREGVGVLVYQDGSSYEGKWVKNQKEGEGREQLADGNVYIGAFKANKREGKGRLE YVDGCIFEGMFKEGQICGKGTQTWADGKRYEGEWKDGKMYGQGEFIWGEGKFYKGEYVNN VRQGYGEYSWPDGRTYKGGWKNGVMHGKGLMIWPDQRLQKGIWINGQRQFTKEEQEQLQK AKKPNTTDTTVNKTKDSQVEQKEKQPKQSKPKQKSKSKSKSKERKSKKESKN >CAK73734 pep:novel supercontig:GCA_000165425.1:CT868163:267763:268353:-1 gene:GSPATT00010006001 transcript:CAK73734 MQQCLYQNEFDAEDVSPWQEFNLSPQPILYKQNQQQRSSTIYSGKDDQQKINNTKTAFSK LIKHTATTTTTTEFPIRCLRNRVRSIDQTQKQFLKATPVKQYCDFKLSKEMLMYRNTADF PKQTLPRNFLSFQRLKKSYSRKKTIHSQELNPQLQQLQMQMKKMEEQVQQQKKSSWEIGA RRLSNPKFQTFLSTQV >CAK73735 pep:novel supercontig:GCA_000165425.1:CT868163:268373:268963:-1 gene:GSPATT00010007001 transcript:CAK73735 MQQDNNQREFKILIGFTGSVASIKAEQLISDIQKKFKEFNYSTKIRIITTHHAQKFMNPQ IHDIEHFSDEDEFKTWKQRNDPVLHIELRKWADCLLIAPLSANTMAKIANGLCDNLLTNV ARAWDYKKPMILAPAMNTMMYENPITEKQINKLKKIGAFIIDSISKTLICGDIGKGAMEE TNNISTIVYQQLVSKT >CAK73736 pep:novel supercontig:GCA_000165425.1:CT868163:268991:270234:-1 gene:GSPATT00010008001 transcript:CAK73736 MIDRRSQSPMATSQKMGTARAIFDTGTEQEPTKRLTRSIRNTSTDPQQTDYKQYQNQPQL GIQGSQDINSQNSRQITQENFEYRKPETDTFNFRTVQTYKPQEVSALPTSQFNYQSFEYK KPDAGRTGSYIQSAYNTTFQEPIGSSLNFQNKTLYEPPKQDNTFTKYEPNSFDKYKTTFE QPKSYEKFEQYKFEPMKYDFNLPEQPKEYQQSYKSIPQVQPNQSVVQTQYTPYNPDRITL DTQLNRTTLNYPLQNDYLSAGPRKTEEPIRPSEIQIKTQKPVDPIMNKGQNPPSIKAESI KNEQSYRPTTPVYTQQQYQLSNQKPTESQYKQETIDIMNKPSYRFDLPKKFVEEIVIIEN EANEHEFNAGCQIF >CAK73737 pep:novel supercontig:GCA_000165425.1:CT868163:270262:271017:1 gene:GSPATT00010009001 transcript:CAK73737 MLRLMSFYRFSNVSMARGTLYKIISKEYKQEYHQYEQEPTLMKQIKHLGFQLIDDPSTNK VILNKEFSSAKISILFRGTPAPLEAKIKVPNEDQSIQQNPKYQDKIQSQLQETKQEYVLG ILSVDYTVIIQTEKGEAIAFECNTSNQTTFINYVQPVYDIQEYKTRSKYRKFLSDYSGPE INKLDERLKQSLYTYLESYGINESLNALIEALLTKSKDNIWSF >CAK73738 pep:novel supercontig:GCA_000165425.1:CT868163:271251:272225:-1 gene:GSPATT00010010001 transcript:CAK73738 MEFKKDKPKPNSHRFKKITQKLGMQSLNKQEKFDEGMKDFQNLDTFFTVTDLLKDMNKDQ PTPERQALFESQQTLIKTKLQLKKWKSEYPDYLKFDTKPPQTSYRNKVALLQKAQTHLSL EKYEPQLCKEVQNLSTLLKDKFRNVDMKEYPQLQLFQEISHFEDLRVRTEFDETLRNSYG KDRLSLKLEQFEQKPTVSYDTYYKNYHNQAEQLRNRLRQQQMNFFNQKWGNSVVKMKMKE AQDRRGLLEKAKQVRRPAVTKKVALPYLAPIIKNIITDICTEEAEMMEQKRLIKNDIKDL AKICSDFYDPKAEPQIMGLRIINC >CAK73739 pep:novel supercontig:GCA_000165425.1:CT868163:272803:274806:1 gene:GSPATT00010011001 transcript:CAK73739 MSQQQSQQIRNHFLDKKLIGTLFEEEEITKKDNFYNMEARDEKGHKRFHGAFEGGFEAGF KNTVGSKQGWAPQKFISSRTNRAKYNNQTIKDYMDEDDIGRQKLGVNVTIQPEYDTFGQN EINFLQQQLGSGSGWLLGSAPEELIYRDNKSVGYQVLMRLRKQVIKKRSTNVEQEKQETS QAHNLKYLNSTNNQYTGLGYKQNIDTFGNPSNPLEQAFWTFIKQNASIIIDEDEEKRKKD NRIKMNGFTSQDREEEYNNTIEDDDEPYQNKRKQVKGALDVGMRFTKDMIPLRIDTKDYL INVPEDYDPYHKAKKLLDQGKDYSFLFINKTNFKKPASSSKREEIFREEETASSIKRDIS KQKFVKGSNQTMLVTQQPNQQQMAENQDNINILNLFPHQPDKQQRYAYFVRQKLNKTTEE QFQVDEEEKVDFERLYQMFQVTSKNVIEKKQEPQKPTEQQEKPNPFLSQRVVKRWIPEDL FCKRMGVPQPYNDDEKAMIEKINERSHQKPNMATNNRNFLFMDKKEFKSGGFMQPQEFTQ STAQFDPVALGLPLSFKSHSYERNQQERLLQLENMERAQTQVVSKQEKINIKFSEDKEDM LPSRIQDQNIFEFIFGDDEEDDQQ >CAK73740 pep:novel supercontig:GCA_000165425.1:CT868163:274842:275291:-1 gene:GSPATT00010012001 transcript:CAK73740 MNTCSTTDCDKTAQFFFPIQSNAPFSSQKQSQKSLQIICIDPYKKGSNSNIKIKRTNSQI ELPKLQIYTEAESPDSHKKLIGNFIKDQLFTPNASRADRFPYTNINKSNESSPQKMSSQI SFNKQKQVQKSFLKSRPQQKLVTRIFGEL >CAK73741 pep:novel supercontig:GCA_000165425.1:CT868163:275350:276867:-1 gene:GSPATT00010013001 transcript:CAK73741 MMSFLIVGFVIGTVYAVNPDADKVVFPGWGDYNFNSYSGYLPAGSQLRQLHYVFLESQSN PSTDPVVLWLNGGPGCSSLLGLNEEIGPFVMVDEDRKFKKNPYPWNARANLLFLESPAGV GFSLNKDDSYVYNDENSGQDNYQAILAWFQAFKQFQRNKFFIAGESYAGMYIPYTAQAIV NGNKLASLKIPLEGILIGNGLLVSDQQKRWSALQEYFLRRNFMPPTATNTIRKICSAKPD SVKCLLAQSQFEEVCLGSNINIYNVYGYCKDDTTPDFLKPKTKSGENIRYPYVSWYEGNK FQKVGNSGAPCSDFGPITEYYNNAQVQEALHILERPYFWSACNMEINQAYTISKSGSYQI LPFLNQSGVRILIYSGDQDAIVSVVDTERSIDMIPGIQELDSWSPWGNTDLDLAGWVTQY NYLKFVVVRGAGHMVPEDQRQNGFEMFDSFIYDNELPKYN >CAK73742 pep:novel supercontig:GCA_000165425.1:CT868163:277201:277623:1 gene:GSPATT00010014001 transcript:CAK73742 MKRKTYKKEYEVESIVEKKFDEQTKAYLYQIKWKGYPHSQNTWEPIEHLQNPHVKKMVKE FDSTQETTPTKKHINLELLKQCLLKKLTDFLIKNAPQENDLQEIILSDFSISSNENNSNF KMPKLREETKTSTKKPYSQF >CAK73743 pep:novel supercontig:GCA_000165425.1:CT868163:278059:279939:1 gene:GSPATT00010015001 transcript:CAK73743 MEQPQNLIPQEQFKHVRSQEQIWAFSKQKILTIFVRMIVTAMKFFNCDMSITLIKLIVKI NIILELLNEIFQARKEIIRKNRQRQLQCASIDSLERSVSLKQGSTIDQSELKKQMDYAYN RTLQYLQQQKVVDGYAPQTIGECQDVAQFEGGLNKELSRYNKHKIQKIREAQIKLEEDKK RVELLEKIQERDQRIVHVMTKKQQEIKEKYSTSRKVENKRMDTQEMESQSQPRENEAQRN VQQLSNNEIRSKLLMKMDDQKEKKKREQVLLRKEEFEKQIDMDCQMQMAKIQQKLENSEK MQRELIQNKIEKIKEQNLKEQQIMKQQKQLKDKISQDHINQLLEKMVQKEKDFQINQKSI QNIEQEKKIEIKQKQKKIKSNQGDVFKDRDEKLKQINEKFTKIEQFNKIKKEEQDYKILL KQELRKLKEQDKQDILERQKRQNEYRMQEITEKYRQVDEKNQLKQYQNTLLQQTSMQISK QEILQRNRIYSHLQEMSDNLFNKKVRKRNLSQLEKNVLTIKPKPDNQKEDTEFEDHTKLL ITMLQTSDAEETVKIKQSRRQN >CAK73744 pep:novel supercontig:GCA_000165425.1:CT868163:281329:282247:-1 gene:GSPATT00010016001 transcript:CAK73744 MIKENDRYGEDSRYSIQNQTDSTEYERMIIELQGQIEILNDRLLENSFRNLELKQAIKKL EMLEQEKEGKIKQLNAKMQNDYAIQRVNKQSTKGQKKQTLERDLQSKKRYVSHQIYDEND ENFYDYESNIENIIEEIILSQKCNFDQIKKSFEPILQQQKIDIQNKDCRDLEQYFKDVVI QIKNKFQNTQDIHQTSYNQSYMYEELKRLRLALNLFINDSVLMIKDQLNQKRSFCKNIED NKNYCTEIQAILNSVTQNQDQFEISLQQLSMAFKKIIQLVV >CAK73745 pep:novel supercontig:GCA_000165425.1:CT868163:282272:282553:1 gene:GSPATT00010017001 transcript:CAK73745 MNIENHQQHQMQKRIKERFVYEAKFLVDQWRQEQSLSTRLIFEQRHFQDGKMIKYTLDQA AEIVGVSRKTLEDYYYCLKKAEKISKQFNLESS >CAK73746 pep:novel supercontig:GCA_000165425.1:CT868163:282579:282716:1 gene:GSPATT00010018001 transcript:CAK73746 MGVIRRIIKEHKKQSDEQNIIDTNQFFALENENNEPRKNSFEYDD >CAK73747 pep:novel supercontig:GCA_000165425.1:CT868163:283834:285024:1 gene:GSPATT00010019001 transcript:CAK73747 MKSIIILVLVATAFATNLAAFEKLEKSKLGKTLLNTIAIQMQTGEPLERIFQTLYDLEDR YQNDQREDDAENKAFQGVCDADLAGLNQELANLEQRDTELQATLDQLQPVHDQKVGQRVA KLAEKALLQKVIDETTAKRQEENDDFEAQREEFTFVSGVLAEARRLFTDNLQAPAFLQKG ASEAVHYTPQVFAQVASHLNAAAHKAGQMKHVRTFGKAIKLMAQLAVKSQQYANQELTGR IIQLIDDLQNQLQQAFDLARKTEDSRRQAFEAYNTLLNRDMNKLNSAIANLEAEIQSLAD QLAATHSSQDDNTARHEAKTQQRDDRRAECQQAAYEYQQRRSARDGDRQTVSDLIGHLNT NMRDLKEYIAMRVAAGDSDLSQ >CAK73748 pep:novel supercontig:GCA_000165425.1:CT868163:285095:285779:-1 gene:GSPATT00010020001 transcript:CAK73748 MSQTKEIKVVLLGVSGVGKSSLLYRFVENDFQEKGQPTLGAAFQSKTILIDGKALKFQIW DTAGQEKYKAILPLYYRDAKVALLVYDVNDLQSFEGVKEWFQQLQDQGPIDQIRVIIGNK CDLEQNVSDNMAEELARQYNANFFKTSCKENLGVQETFIQIGERVFKEQLIEENRKSNTE NVNSTLKIGPQNPNSKQHQNKPFCC >CAK73749 pep:novel supercontig:GCA_000165425.1:CT868163:285904:286414:1 gene:GSPATT00010021001 transcript:CAK73749 MDILEPFYKDSTKILEPENEELDKGYLFLGNIKSAQPYNLGPKKINAVLTVTKESKVVYE NPNIKHLKLDVEDIENQDLAQFFDQCLTFIDENLQNGNVLVHCMAGVSRSASIVIAYIMK TKKLSFRDAFQFVKTKRTIVWPNDGFIEQLKKFEIHLNDNK >CAK73750 pep:novel supercontig:GCA_000165425.1:CT868163:286424:287537:-1 gene:GSPATT00010022001 transcript:CAK73750 MNNNWKVKVSQEDKVVKYKNIEYHIDQIIGSGASAKVYQSQKFIDQIKQQEDVAVRIQHR YYKQNYDLLNQLKDFKWKNTTYPIENIPGGFLFTEYELFNGNLTQLSIELIKENIFIIAQ TIAEALIEIHQSNYIHFDVKPDNIVFKKILQNKLKFALCDFSSIQPLDNAGDKELFIEAT PQFAPPEIEIQGYSKNIDHTCDIWSLGMSLYSLYSEKFMFQQDNLHLKMEQEFIDMAVDQ DIDNDQLRYLIKQLLKVQKEERISLKEMIEFIQNPEIKKRQPQTSTYVKQCSYNSNFSSK QAVDQKEAKVKQPNLLKFPTLSDIFEASKKLLSNSNNLENNNGVTHKRQKQSCLLEMPNL IF >CAK73751 pep:novel supercontig:GCA_000165425.1:CT868163:287693:290104:-1 gene:GSPATT00010023001 transcript:CAK73751 MREGDEVNIEQNTYILKELLGQGSYGKVLKGINKSTQQIIAIKIQDSINDDELKVLDMMR RKKHKNLINILNFERIDRKYYIVMEYCKESLYDRIIYRGTIQPDEVRFIMKEIGNGIKEI HDLGYAHRDLKPENILIFQINDNGKTQDLYKICDFGTIKQIDVLKTQKVGTAYYLAPEQV NGQDTLYSQTVDVWAFGALIYELLTGQPLFNGNLLFKLGRNEQEVYIKIKNSIQASIDQK INNCLQIERKYKTLLLNMLQIDIRKRYNINQVVSDLRGTSQVRDRDSDDKFGIKGKPDGR VFNNIQLPNPNFFGKNYAFKPPVVEQQQQKADLNYSRGRNSEQSEPTKKLDFRSPQVIKT SDNPTSIRPAINPSQQFKPQGSTQIASLSQDTKYSQAIHQTQNTTLNQNLAVSKLSNNQK IDSFLQNNGQKQSSFQSMEQQSKNSFQQKEQQQQQQQQQQQLRYQNQIPVQALQNQQKGY FLQTNNQKIDEQQQNQNTNQKKEDPQQNQVVKRPFVSTYIGNQLQNTNYRQQSIQTYDQK QQNNNTIEQQSGKLLNQPALSAFPNQQNNNFLQNNNQKQQNVSPYDQQQQSKPIFEQQQG TTQNSRASQLSQNIQNNGNFYQNNNQNQFSSPYDQQLQNKNNIIQKEETIEKVAVKNPAQ QIQNSSQDNNQKKGNQQSGAMNFFPKPQNVNFTNVQNDLNQQQNNAQNKQPLNGNYFIQK DSNNPQQHQNRQSISQNQNNQPQKQIVNATPGSGFACNIRQSSQMQQTPGQQSQQIQSSF RNNPIQQNQQRIGQFSTQIQQRA >CAK73752 pep:novel supercontig:GCA_000165425.1:CT868163:291061:294758:1 gene:GSPATT00010024001 transcript:CAK73752 MQLYSLTLQKSTGIQKAIYGNFSGPKAQEIVVSKVKHIELLKINESKTIASQEVFGIIRS IHSFRFPGNNRDNLVIGSDSGRVVVLGFDGLKNMFVKVHQETYGKTGCRRIVPGQYLACD PKGRAFMISAVEKHKFVYILTRENEKITISSPLEAPKSHTIVFDIVGLDAGYDNAQFAAI ESDYGDWQDKDSAVCTGIQTKFLTIYEMDFGLNTVRRQRSDPIHISSHALLMVPNAPDGP GGVIVLCEDFLHYRGPKNQEMKVPYPKNQGMPTDRGAMISSFGFYKQKSSFLYLLQSEYG DLFELSLQFTKDEVHSIRMIYFDTIPVANSLCLMRSKHLFAACEKGNHCFYKYQREETNT NIICTDSSMALEEQIFFKPQKLKHLSLLQELNNFSCISDLKVADLAKEGNPQIYICCAAG NRSTLRVLRHGLEITQLANTNLQAKPLGIWTLKERYEDPVHKYIVISYINKTLVLKIGEK VEQVHDTGLEGTKQTIHVGTLIDDSQIQILTNGYRHIRKNKPPTDYIIDGKVIKGVSNEK QVAFALAGGDVYYFELDTTSFQGNLIEITKDQMDNEIKALELGPIEEGRQRCKFLCVALS DQTIRLLSLEPESCFERGAMQALPSEAESLCMMEMASEQEGQQSFTKQLFLFIGLNNGLL MRTSVDQLSGGLSDTRTRYLGTKPVKCLRINANQQQAMLALSSRSWLCYNNSGRIFMQPL SYDYLDYASAFLAKEFQGIVGTNQSTLRIIMPERFGEIFNQQSLDLTYSPRKMIFHEPSK AIFIIESDNRSYNNVEQKVQEVYQTQEIPEQWNQVQAEQYKWASLIRIVDATKLETINVH QFYENQHACSICYIQFAGYPEQYVCVGTVKDLVNEPTRKFSQGFIHTFIYDNKTLKLKHS TQIDEIPYALAAWRGRLLVGAGCNLRVYEMGNQRILKKAEIKNLNSFITSIMVKEDRIYV AEVSDSIHLLRYNIRDQTFMELADDILPRYVTASTVLDYHTVIAGDKFENIFVSRVPLDI DEEQEEHPYEYKMKMDQGCMNGAPFKMDQICNFYVGEVITSLQKIALVSTSSEVVVYGTS MGSIAALYPFDNKEDIDFFLHLEMYLRVEHQPLSGRDHMQFRSAYGPCKSIIDGDLCEQF GNMQYNKQRTVAEEFDRTPADIIKKLEDIRNKIL >CAK73753 pep:novel supercontig:GCA_000165425.1:CT868163:294863:296028:1 gene:GSPATT00010025001 transcript:CAK73753 MTEISLDKKKKLYVLKKFDSVSPLKEREQTQNIFNGEQLRFLHGQQQNLQQRQIVGKLEQ FLKQREQFETVQKQQNSQYNSSNSTKMFAKRITLRNSSQKSLFISSEQNQEQKLKKQKDY QIVSQQFLLDFVKKTEDRIKMNNEIEEDLKRLNDKNNQLRQTRALTKFQQQQQIWEQQVE EATRKSKKYEYDSVIRKSTCNKSEFILVFREKVEQIEHFQALKQQEGTARASEWYMTLRD NLGQSRQLSQEEREKKRSAYQDPFNQNTYYVDSSNKPIEIIRNQQQSTSVSKSGFRRNSS LSQINIFKLPLYKTVQTIGEDLCNLQIEGQNVLQKETELIKHYNSNEKFRLYKLEKETET EV >CAK73754 pep:novel supercontig:GCA_000165425.1:CT868163:296072:296631:1 gene:GSPATT00010026001 transcript:CAK73754 MINIFPLSLLLTAKGSDVVIEVKAGDTYDGKLELLDKFMNVKLTNVKHTSKDGNSFHGIK ELLIKGNSIKYFRLNPDAEKKAQEEAEIYEQQQQQKQQKLNEQKQQRKSQNQKPRGKSYP QKQPQQRR >CAK73755 pep:novel supercontig:GCA_000165425.1:CT868163:297924:298967:-1 gene:GSPATT00010027001 transcript:CAK73755 MSRLSCNECYKQSFHCGHQKDQDLISYLPSFIQDISTQCEKDIIKTLGDLGDKIAFLFFS IKDNIRAQFQISQSRLQILNSNQINQMLGQLVELSNVKKDLTEIEVITQNLEDTLNQKLI NLASKETTYQKPSCQQSAESTNYFIEGLTLFTKGDYQQALSSFNTSLRCNPNNIDVMLWK GNNQNQLGECLFSTNQFNDALMQFKQVWKLDSTNSKSLYGIGNSLYKLQQYKESIDWLDQ ALNYNPQNFQILSKKGDCLKQLEKYQEAITFYDKALYEKPDEFWILQSKGSCLIMQEKYR MIQSLQQFQEAYLL >CAK73756 pep:novel supercontig:GCA_000165425.1:CT868163:299722:300131:-1 gene:GSPATT00010028001 transcript:CAK73756 MSSDNLLFFKEYEVILWNCDQDPPKQFKSKFEITCTNGEEIMYSFEGAILRVDKIYAGFK EPEVLTNLEQIKNLQWIGQYDQNNLKIGPWQVLWKYEQLTNVGGEYSKQGNKQGQWKEII QNYWRQV >CAK73757 pep:novel supercontig:GCA_000165425.1:CT868163:301189:301749:1 gene:GSPATT00010029001 transcript:CAK73757 MNIYIIMLIWSQQFQNRFAFANCIENTQFIQQVEAKIRGNYLDVASEKNGAIISTARTIN FYYPDSLASPLRDRIYFYTDSDYYVGHVYFVLYLSLIIELTQPYEINLVRFRIWDWDNRI ADLQIFIIGSDRITETQIYNGFAQSIKEVRFPDQLVTKIKFYNKNGTSFTGHKLLSFIKV QAFYQL >CAK73758 pep:novel supercontig:GCA_000165425.1:CT868163:303443:304110:1 gene:GSPATT00010030001 transcript:CAK73758 MAQQLSIQRLLREYDKLQKLNNNQFICEPNPQNIFEWHFVIYNLTDAFEGGYYHGILQMP PDYPLKPPTLKFITPSGRFEVGKPVCLSFTNFHPESWSSAQTIESMMISIISFMYTNEHT TGGIISSALEKQRQAQNSKKFNLQNEQFTKIFKKHFDKLKLNENYQNNQFNDNHNNVGTN IIVEEESSITVKEFFIGGTVILLLIYFLLQSIVI >CAK73759 pep:novel supercontig:GCA_000165425.1:CT868163:304140:306279:-1 gene:GSPATT00010031001 transcript:CAK73759 MINTKLKNPKSLTSLVNIYLKNIHNPQELKDLINQILSDATFLPIYGLSDQQKLEHKTIF TNIQLGNNQNLGTILGMTVLGVIGFLALGFTFLGAIGGGAIGIILGRYLGRKISKKIATK GINLTEFDIFIIRVQCLYKWGKLIMIKYTNNINLQRFCIQRILTEIKPLLHYKYFDEKFQ KESLNLLAKIREWLAKEQSIYALCLSYKLTKEYIQIIEQKIIYSKFSNHEISYVLNETVS DVLIPFLTLFQDSKQCEKNEVIQMLIQRIKKLQVNKIVLKCQQSYFDQQLSIEFIKMFAA KLNQKVKIEDLEKFLQIQNYRQQQQNREQNSPTKSQQRRKSQGDMVSINEEVDEEFQAQV PLIMKNQVRARAKSYDDKNDQQQSQLIIQQNQQQQQQQQNMQSSDQIVFENQEKRGSQCI PENLIQQSQLQQILHLQMEHSQQHSQLHRVSQQAQEIQINSDRTYQLSAELEEKFKLLVD LIEEPIDGWEIILKKENLIIYKTFKPGNPAVFVKGHSDLIGISIDVLLKAIHNEKYRQKW DKILLSFQVLERESAIVDIIYYYVKSPPCVDNREFCQKRILKYDFPKPGQTCLLYFSVEH SKAPKFKGHIRAETLISGYILETIPGGSRLYFCSNNDVKGDIPKSLVNYVASRAPISWIN SLRKGCEDYNKIMQQIQQ >CAK73760 pep:novel supercontig:GCA_000165425.1:CT868163:306570:307038:1 gene:GSPATT00010032001 transcript:CAK73760 MSRQVIRLPAEVNRILYVRNLPYKITSEEMYDIFGKFGAIRQIRKGTHGDKKGTAFVVYE DIYDVKYAFDNLSGFNVAGRYLIVLYYQPQKMQERQDLEFQRKQIEKLREQQRQQQQ >CAK73761 pep:novel supercontig:GCA_000165425.1:CT868163:307776:309265:1 gene:GSPATT00010033001 transcript:CAK73761 MNFYSFILLAVEAEVKLEQIRMSLASIDSFESHTTYKRLDQPRTGGLKPENIFEFLCDND IEVTQDQLDYIFRVLDEDSDGFITSEDFKSAILPKMNAELKEQALNHKGYDLPVDMLLPQ EIETQLSLFMNQIKQNYLQMIKLYRRLYQEIQQKIDLNQLDIYDNSQQITVDSLKKWLQQ LGSDISEEVLQNFVHIIQGQQQSLQILLDKIYTDNEEDQDQDEQEEEEEEANDNENEEEK EKEEENYNQEKQQEDIEQIQQKEELEQAQQNDVKDDQDVKDSLQNNRQDVQSQELSHNNM DYQHSYNYSWNDIEFEISYLKKKISLLERQLWIVPQPTFRFNAGQRYEYQNRDLLYYSRK SYLRKFDESPSYYSDDNFRLKLWLDQEIKNEELKQQMLLIQLKNYVKVSSSGLFTDIYQS RIYQSPLRQSLSYLDYSGQKQSGSYQKAQQTHFQRSLNFSNRKF >CAK73762 pep:novel supercontig:GCA_000165425.1:CT868163:309303:310853:-1 gene:GSPATT00010034001 transcript:CAK73762 MSKSYHYQVQYIAKDQQEQKRDTQQYRKQHNNNYDGYQRNKKYYNNRQHYQNDNKRVEYE QKYQICQPQNNVYDAKLLLSAYREYLQPPEAIVQLIKKIPQLFATKPFKPIPQNSHCNHS DEEEPQWMQDTQFNAPFQLNDIENEMQLKREQYQKDHGTFEKKQEEKRQKIEESQIKQEA MDIIEIEKQKYREKREQELKQSEAAIETKLKLIELFSSNNEPSLNPVQINHQILTVEEIE KRQLSNQTQTTNHKQEEVLQVKETCISDDELNQLLGLNNNNENSHFKTVDQLPTVLFKSE QIKTSPFSIQAQLHNKDINEPLWFYIDKSNKTQGGFPTKNMEYWYSQNYFKPNLMISWAS PGKWIYLEQFQANLNLILQGTLDKLKAMDPSVVDQPKAYKPVQSNQSSSWNNQQHYVQNN NNSRYQYNNYNNQYGQQRNRNPQNRTAFQIGQKDSQQIHYNQYYYSNGNQIEQSGEGYIL DPKSFPTPDEK >CAK73763 pep:novel supercontig:GCA_000165425.1:CT868163:311305:312283:1 gene:GSPATT00010035001 transcript:CAK73763 MIVTINNYSSYQSTKKKEDATSTQITERYAQESQNNTILTQNLAHYQSRQQSDANIEQLN LYPSDSHIYNTPKKQSKLNREFILKSNEVLKNKQLNLTTENVNITTSKLNSSIIVLKKLD EKECKYCGQTDSPNNFIRPCLCKGSMQHVHQQCVQKDIENNFMDEKQRRFIKPIRCEICK FVFKIKIYRETNLLQSFKDPIKHEKLLFLTFVLTILVLITIALIIMSILIKEELRLHIDL LMGIVIAFLIVLGLIVAFSLNFLDLITNYYWFVQDRQIGNKVDHGIQVDYQSLNLILSSG TLKYQWNKSQVLPLNE >CAK73764 pep:novel supercontig:GCA_000165425.1:CT868163:312325:313303:1 gene:GSPATT00010036001 transcript:CAK73764 MNVEDIRNELNELHKKQADSLTKRKTIIKEIKNFSFQKLDHKRIKLNDGKEQKVFQINLV GAKEERAEKQKQLDEQVPTTMKEKDRRLAKSLLGNHLQRAKQDLMGEKLKLDKQIEISKR LDDRDKQDLQTLKEDQNKKKSQLVNERKQLERDLAADEYKRQVLLLELQIKIMRDFFITK TYPHILWQPAKTNEGMNPLKEYSTEKFNEMEKDLKEKLLRAYTNFTDSQYQKLKEQQVQQ EHESSQSESEESQEKQKEEEGQE >CAK73765 pep:novel supercontig:GCA_000165425.1:CT868163:313511:314553:1 gene:GSPATT00010037001 transcript:CAK73765 MSMMQQPFSFSNVYYSFDGNDQRIKINQLQIIQHKFIKCLNNLIRHQNNKKKGNIIVLPS QNNQLYVLFILKFKIIFQDDKILRQLKVQESNDSTFMSFPRNQFPLISYQPYPQFYANYP QEQFAAMQYQITNPILQSQDRFLVQPKQVQSSQHFRMSDFQLFGSHDDSESIEPQQVEQE SKEHKNSYFKLKNRIKKGKVNDTKNITKNFSKAIITYIIQNKDIGLKIMNYEQFEEFVSI LKDKKNQMTNIKQLRDLWVETNEEKSQQFNKAFRIFSEYFLKQQSVSYIYNSRIANTGWH LKYRYNLLRALKEPANFKYIKDI >CAK73766 pep:novel supercontig:GCA_000165425.1:CT868163:314556:316480:-1 gene:GSPATT00010038001 transcript:CAK73766 MQQQAQVGQGPLWQAVPQDFVFPKPKFEATVTKLGKKQHERHLVITDKHILLFKDKSKHV HKLLPLDFTTRFEIFRDAPVLKANASQKKSTPNTPHSNRVDIVKPEDVQTLGDILHIRLQ SEKTEKYWDFTGDQEILKTFRKYFGQKINQMGFHHMFKVFKKIGKGNFASVYLAERIEDG QQMAIKAFSKSAVYAEENGKEGLINEITIMRELDHPNIMKLYEVYETQNSLYMGLELLQG GQLYEIMKKKVILTNKQIQAIMKGLLDGLAHMHSKNIMHRDLKLENILFKEQNDINSVVI ADFGLATFVNLPVYLYCRCGTPGFVAPEVINITDMSTTYDSVCDIYSLGLVFHILLTGKP GFPGRSYNTIVQQNKEAKINFKSPVFDVVPPPAFELLKHMLEASPKKRITANDALKYEFI ALCEKTVNVSQDDDGNIGDIDDKPGLNVRIQQINEQAAKFDMLRINQLTNSPIKSPVMQA TNRMKEVQNKDQQMIMRTPVITGRTVACEESPNMNQFVSPSVQFKKLQQNQQEAPTGNVL LKYTQKPQQQQQTNNEDEKKDQTNIAKSVKAALSKHV >CAK73767 pep:novel supercontig:GCA_000165425.1:CT868163:317529:319293:-1 gene:GSPATT00010039001 transcript:CAK73767 MENYNFSALSISIQTDAQSSQSGKQFSSPLKSDKTNFLDLDNQKQINDSAQFSQVDISIQ KDCEEKAAQTSEQKSNSLCESQLKQTKVSQNKKKLAKRCQTTLLIGQSIHKHNSQKAEKY FIKKNNQIIEKSFEKIKGKISNFSRMFLTNIRQLQKPIQVHYFRSTITKVLVIDQDMLAA EFIVLALKQFQNDVKLDQSLYEFPNYSLAYQIEGQDDQQDLDEFQYRRQPQRYKSFGSLE DKMKSRRESLNEIDYDQKPVSQFEISLGEDNDDRIIQIDLESPMRYYKSVDIVPILDSTQ LDFDLQMAKIVYQQHPNHILILIEEPISKGRFHIKIQPNMKANKKYRTTDYNLILKYPCI HMSTEPINLKIPISKLPIHQLILTQKFQNEANRSLRQFQDSYTININKDTIFSRSINKSY RFLQEEGKSNEYTDKVRTLYSYQEFQLLKIDKQFRNNVILGIDYFDLYYSYTQERQRKFT LGKFCKSISQYLIEEPIVQKDYRRLPLNKILNVESTKDFELIISYEKSQNYKKQIHFGID LNQEKSKIDLQQKTIMNAYNKLEYLVDLYIKYKQQL >CAK73768 pep:novel supercontig:GCA_000165425.1:CT868163:319613:321475:1 gene:GSPATT00010040001 transcript:CAK73768 MDKYKKIRVVGKGSFGYALLAQALSNKKNYIIKIIDISKMDRKQREEALNEVHVLKAMRH PYIITYRESFMEKKCLCIVMDYADGGDLYGKIAKQKELGILFSEEQILDWFVQMALAMNH IHERKILHRDLKTQNIFLTSKSDVKIGDFGIARVLQHTYDCAKTAIGTPYYLSPEICQEK PYNQKSDIWSLGCILYELTTLNHAFDALSMKELVLKILRGTYPPIPSQYSSELQSLIADM LIKDPSKRPSIKRILERDFLKQRIGSLLVSTLNRHELQEMTEIKPTENLFIQPQQTAQQF ILQQKQFEQNKISQNQSQNNSPITSRQIIQTVASKKPSPKKDNSKVELKQLFNRQNSPLQ AINQNYSIQQQPLHSQQQQQQLIDEKSDKPQSFASVISSKENQQHPIKYQNLELLNKFYL KDNTNIVRLQSKSPILQEQKRKESIVSLVREDDSFEQQQGYKFLIKDMRKCLDKKQSKEE EESIIVDNFKIVPQFLNHQKQFQVPGTSERDTIGYKIEALRYYLEQQMGLDSFMRAYQTL ENSQESEQLKQANQLLNQEQRKYIPLIIQLIVCEDSYY >CAK73769 pep:novel supercontig:GCA_000165425.1:CT868163:321513:321909:-1 gene:GSPATT00010041001 transcript:CAK73769 MSSSLTPTTEELYDSLKLTDIAKSNQSTEPSPRKYVKVISQLNQQQIEDYVDVPLEIADS FTVNKNILPIPLKQPIQPAAVSSQGQRILINPKLKIKMLNLYISQAIIQEEDNIFNCTQL QK >CAK73770 pep:novel supercontig:GCA_000165425.1:CT868163:321963:323047:1 gene:GSPATT00010042001 transcript:CAK73770 MRANNIIVQEEPKQNAIVGFYNTLKSLIKCECFKQQAGFQFNRFMPVTYNQKPIAIPKQS LQHMGRKTLVIDLDETLVHSSFNYISDPDFILKIKVMNANYTIYVRIRPGAEEFLIKMAE FYEIFIFTASICEYANPVIDRIDQKGVCALRLFRPNCSILNGVFVKDLSKLQRSINNIII IDNTHTSFSLQPKNAIHIKNYFDDPSDTELLDLIPFLQLLSTFDDVRPVGEYWKQYIRSE KIRYTRNGESYVYDRNRNESYDEVDPINQTIDQAKDDQTLLGKQQLKLQTNFAIDYDEVN DITENDEEIQFRNEQGINSPNLNKQHFNEMDQSDQNL >CAK73771 pep:novel supercontig:GCA_000165425.1:CT868163:323103:324732:1 gene:GSPATT00010043001 transcript:CAK73771 MSLKDFQILQELGEGAYSKVYKVRRIADGFEYALKKVKLQSLSDKEKQNALNEVRILASV RHANVIQYKEAFLEESTQSLCIVMEYADDGDLFQKIVECQKKGMLMAEKDIWNHFTQIVK GLKALHDMKIYHRDLKSANVFLNTDGTVKLGDMNVSKVAKKILLYTQTGTPYYASPEVWK DQPYDSKSDIWSLGCVLYEMTTLKPPFRAEDMNGLYKKVVRGYYPKIPTIYSQDLSNVIR ALLQVQPHLRPSCDKILQLSAVVNRMDDKVLIEEEGAKFLLQTIRVPRVMHYLTDRLPKP NYAPIKMMRIDKNQFIQTLAVQKSSQENVEDPLTNSNVEHLPRIGRRLDDSQIQSYDASS VLSKKQNKVDESYILPKPSLEIASQATKNTEKLSLIHQIYAGNPVKAVKKNKKPMKPEIQ ILQQKLVQKKLDIEGEPKPLLIKNVKHQQEEKSPSPFLPVLPAISKEKRVMKDNNEDEQL KRIKQTEEQIQLLKEKQLKQKRKYQLRNSEK >CAK73772 pep:novel supercontig:GCA_000165425.1:CT868163:324762:326338:-1 gene:GSPATT00010044001 transcript:CAK73772 MAEIKISNQTKDRTAACKAYIERKYKSLITNEREKMQNWQQLQSVLQNLNFTSIEQELIK KEIQHKEAMQLRKKRQKITVDDFESIAIIGRGAFGEVRVCRQKDTNEIVAIKKMKKKEML FKNQLGHVRAERDILVTSKCQWIVELKSSFQDDENLYLVMEFLSGGDLMTLLIKKDIIPE RDAKFYIAELVLAVEEIHNMNYIHRDLKPDNILIDAQGHLKLSDFGLCKHLGQHYDMAVP YTMNNTAVDQKKPRNEQRRQLAFSTVGTPDYIAPEVFSQQGYNQLVDWWSVGVILFEMVI GYPPFYSDTPQKTCQKILKWKNHFKFPNNPKVSPQCYDLITKLMTDVNDRLGDASKIKKH PFFSGIDFNNIRNQKAPYIPDKKVLTSNFDKFEEKEPWKHVIHNREESDQEKNGSNLNKK YFQGYTYKRNCDAEMSPVKRALEELENIKPSGIKADFEKKQRSQSPKPINAPPEFNKSQR SQSPTIKEQLKNTYMQYIGQYLSPLNKQKQQQKLQK >CAK73773 pep:novel supercontig:GCA_000165425.1:CT868163:326961:330359:1 gene:GSPATT00010045001 transcript:CAK73773 MNQLLQLQYISLCSRVLQELENHTGIKDKVLAEYIIDLGRQSKDEKEFKQKLDAAKADFT DQFTNSLFNIIKKMSPQEKKEVEQPPQIEQVVKEQKFPGLALPNREVVQLEDMEEQSRSR SLSKNKKKKKDKKKKKDKNKDKDKEKEREKDKEKKDKKEPSSSPQRTHRDIEKGHIYEGV VTKVQDFGVFVQIAGFKGRKEGLVHISNIREKRVTNPFDILKRNQKVFVKVISIVNEKIS LSMRDVDQNSGQEIEKKAKAMNEYDQVIKQQEQSKDNNRYGAITGVKLDLAQDACKKKAK RIASPDLWEKTRLEYNSKLVRQIDNKAIVEDSESEGFVSDSEDLEIDMNDYEPPFLKGQT TKAGINLSPIRVVKNPEGTLQREALHAQQLARERREMREQQQRAINEQNRDKYREDPLAQ ISGNMNQMQVEIPEWKKEAMFKSSVRNRTHMSIKEWRESLPIYNFKNELLAAIKENRILI VIGETGSGKTTQITQYLMEAGYGRNGMKIGCTQPRRVAAMSVAKRVAEEMGVQLGDEVGY AIRFEDCTGPNTIIKYMTDGMLLREALIDKDMSQYSVIMLDEAHERTINTDVLFGLLKQV VAKRNDFTLIVTSATLDAEKFSSYFFNCKIFRIPGRNFPVEVFFTNEPEEDYLEAAQLCV IQIHLEEPAGDILLFLTGQEEIDTACQVLHERMKKLGPDAPELIILPVYSALPTELQQKI FDPAPTGARKIVIATNIAEASITIDGIYYVVDPGFSKIKVYNPKLGMDSLIIAPISQASA QQRAGRAGRTGPGKCYRLYTESAFNTEMLPTSVPEIQRTNLANTILLLKAMGIHDLLNFD FMDPPPVQTMIAAMEQLYALGALDDEGLLTKVGRKMAEFPLEPPQAKMLLTAVDLGCVDE IITIIAMLSEPNIFYRPKDRQQLADQKKARFHRPEGDHLTLLTVYEHWKKNNFSNVWCHE NYIQARSMRRAQDVRKQLLQIMERYKFQITSCGKDFWKIRKAITAGYFFHVAKKDQAEGY KTLSDNQQVYIHPSSALFNKGPLWCVYHELVMTSKEYMREVCEIEPRWLIEVAENYFKAH NQMGQLSKTKKSEKLDPLSCKFGDANAWRLSKRKG >CAK73774 pep:novel supercontig:GCA_000165425.1:CT868163:330396:331419:1 gene:GSPATT00010046001 transcript:CAK73774 MLDFPIDYPQFQSNSRRVSHLVLQQCITAKSQKLQLFDYQKKPTKKNSLIHCFRLLKGEK DDNTLNPIQTEKQIKSKKIINLKPLKNVIEKIALMRQQQQPRRKSAYGDTFGEMAAKQFS NLPTQIQQHHQIDGQRFALTSFADILERKKQKRTTRKPSLLRKLTKQDTIVEYDNMERPP SCKTPPKYITIKSNHKSQIELDKEKYFKVQDCKMNQFLAGKSEQLKMLMNGNRALTFNLQ ITTPQSSSIGNQRNSVLFPTLPINKISRCITNHYENKIGFQLSSRSLKNQNTPYLKQNQM LTKLIRTELKNQSHPLYRFSYHL >CAK73775 pep:novel supercontig:GCA_000165425.1:CT868163:331484:333136:-1 gene:GSPATT00010047001 transcript:CAK73775 MKFSNPKKLSIHLDFASFKAEFQNSLPSSTKKISTQPNSPNGIAKNIKTQQPLILVQNSL SSQNLLSPQQKKSTDFESAFSKFPLLVDQFVKLYNLSKSETGELAGIKQVYYYKPQKVSP EGPNGDYLAHPKDHIRYQYEIIKQIGSGSFGQVFEVLDHKTKHTVALKIIRNQDNLKKQA QVETNILLTIQAKDPMGLQSIVKLQDHFTFRGHQCLVFEKLEYTLFELLKYQLFRGFDYE TLKKIAYQIVKGLTFLRQCNIVHCDLKPENIMISDMQQKVVKIVDFGTGCFEGNQFYTYI QSRYYRAPEVFFGLKYGYEIDMWSLACVIAELHTGKPLFPGENEVDQFNLIMEVVGIPKA EFALKCPRKKMFFDDSGHPKKTIKQYRKPKSINLNELLKTTEEDFVDFIQKCLVWEPELR IRANEAIQHPWIKGLALQEKNKVISFRNFKENQINRITQQQLKESFDKKIMGTIEKTKAL IAHNKNNSQPALLNSARNQELSSFRQRQQMVQSIHQILRQTNTKKSSFIRKPSIE >CAK73776 pep:novel supercontig:GCA_000165425.1:CT868163:333747:334589:-1 gene:GSPATT00010048001 transcript:CAK73776 MLKFLENRDHQPTKRGKDIMRYESSSHLPNNRQFNSPLHQDALIQNDKPTYYITKKLPPV QIYNPLVQTTDSVERPEYIIKQKDIYIPRYLNEYKTKGFKKKQGIFESYQHLLAQNKSLI QGIHSDKKPSSLPPIRIHKKEQSTLNIPAQISTRSYQNQQVDQRCFKSISQNIYSVNNRS IDSIQKQAVQIKNTTQQKQRNSHKLLTENKGIQVNFEKDLSHKITEFSEDQTYNFNFQYQ QKQEDQEEKERQMRQLSIFDKKIKEFKEQQKLKLYKKEAS >CAK73777 pep:novel supercontig:GCA_000165425.1:CT868163:335044:335840:1 gene:GSPATT00010049001 transcript:CAK73777 MYKFLKLIGRDTEKYKKVSFFCLQGQITSSMTYKVYKALKKFHPENSKAIALIINSTGGS LAHAHIIQRKFDQVRKKHNIPLYTFAEDYALSGAFYLLCMGDKIFCNKTSIVGAVGTQIQ TLNILNLFEHYNLEVRTFNSGSNETFLQQLDPLSNNTSTNILENISEQQNQQLQLQISQM IGNRQNKEQVLTGSIFNGQQLFEDYKFIDGIGQYLNVLSNEYPQNKIENATQKTQREKMI EFFESKLN >CAK73778 pep:novel supercontig:GCA_000165425.1:CT868163:335904:336853:1 gene:GSPATT00010050001 transcript:CAK73778 MQYQFPLEVAVNNDFRKTQSANKCRGGEPPNKMYQNFTHKNQSQPKVVNLFRNHPDRSNH YLKTSIDVHEIHKSKKSVTSHQRIKTEIDKPNESNNYPNEILMFLNKGEIQNSKLNALNN RIATNKGNRLKAVKVNQGREIYDCDHSKQSSQPDLQIQILRKSSNYLRNVNKGLSTPNKM DENPQINYYQLRNRRSNYTRSDDVTPLRRSVFQQIQDYSDTKLDQINMTKEEDIMQFSFG LQYNKLRVLKRFPKDIFYGDVRKAKKNLQML >CAK73779 pep:novel supercontig:GCA_000165425.1:CT868163:337375:338960:1 gene:GSPATT00010051001 transcript:CAK73779 MRLLLSLFVLVVVIHCKTKEEWKSRSVYQLLTDRFATSQGKSTSCNLGNYCGGDYKGMIQ QLDYIQNLGFDAIWITPVVDNYDGGYHGYWARDMYGVNRNFGSADDLKALVNACHQRDIW VMVDVVANHMGNTNLNFNQNNPFNQSSHYHDWCDITDNDFNSHNLYNIERCRLAGLADLN QDNQFVTEQLLQWIKWLVQEFKFDGIRIDTLMMVKIDFWYKFSEAAGVYTVGEVFDGDMN FLKQFVGPVDALLNYPLFYTARDVFLHWRDMNTFENYYNSLTNSWGKQNIQYAGNFNDNH DNARFLNDQVSGYIPDEVFLSDKPHLTFSAIKKLQFKAITAFCLTSVGIPMIYYGSEQLY AGGNDPQNREVLWGNLDQSSEMYKFIQAINNARKTTNAGSQDQIQRYSDSEIYAFTRGTL FAAFSSKYDRQVVKTITYHPYAEGTTLCNIFYPTTDCIKVASGKFTLYLNYGEVKIFIPK >CAK73780 pep:novel supercontig:GCA_000165425.1:CT868163:339002:339744:1 gene:GSPATT00010052001 transcript:CAK73780 MDNPIKLSQLKSSSKQKEMVDKLFKIPIEQIQKFNQKSQSKSDIINELRQENYDLKNTVN ELRQRIQELEQQLQNQQNPQIEEEHQEQVSVEKSQNEFQEEMTEEEKSMQLAFMLQQQEE MEFQNRLSQYSIIFIHPSRMQNNVDLDEMSYEQLQELQEKMGFVSRGLLLNQIQLLLKQC KIKQQINDCCTICLEDSGNPVEIELECSHVFHQECISEWLSREKHCPVCKRDINLNKYK >CAK73781 pep:novel supercontig:GCA_000165425.1:CT868163:339919:340848:1 gene:GSPATT00010053001 transcript:CAK73781 MQDRDDFQGSESEIGRRQEEILFKCIRIFIALSIYLILQIIAIRIEYQIKWIIIGLLFFV CDSVIWILSSKWLYQQQYPRIAKVFLAIAQNSTLGLLPIFIILLCLQLDGFINQNPILIF LPLFVWKILLLSFLIFIIPGLCDDNYGSKKWVAVIIIYYVSIIYTEITALIQLQQHFNWF WVTSLLLFAISYNIVTLITDCATSIIWPCVILVCFIYCLTQLTIILNDITNDQQPSKSIQ YQAVMPILIISLINLIKQIIV >CAK73782 pep:novel supercontig:GCA_000165425.1:CT868163:340888:341634:-1 gene:GSPATT00010054001 transcript:CAK73782 MQQFLNQFKEIINVNDIIQKDENTAIGQIYLYNQFSLEFEDLVEKFTTTQSICGFTSVAN AIALKQIGPSVGYVQAIQHLKKNSQLRRKYVQDAMIFIQNSRRKYIQSNQWLSSNEKEGT KYLKDWVANYEISDYLREKKFENIFFIRNVAYDHPEAMEKLQFEEKDRIVEEAPYKGDSY FVDYGFTKEFIRRKDFEYSSQHIYVIDILGHFICSIVFEEQGKKLILLLETMENNRLNNQ TIKQFYKI >CAK73783 pep:novel supercontig:GCA_000165425.1:CT868163:341753:343148:-1 gene:GSPATT00010055001 transcript:CAK73783 MVSAYSIGTAKRDSPIKNLVPAPNAYSLNNQPTSPQWTIGGKVKREVRIKSYTPGPGQYP QKSLINGAPCCTIKGRLKEKVISQSPGPGNYKEEQFLTVHKKIPSYSMGVKIDKIIKNFV PGPGTYDLNQTHQTTISSKFPIEKRIRTMEDGSSSPGPGQYDLTNHNKFSPHKSQPSWGF GSKNNFKMHQSLDMGSPGPGMYELKSTLTQQSFTLKPKLKTQECDKSIPGPGKYSPDVSF VKSSQPVFKIGKESRLPSQKITQDPGPGRYYSEKYDVRSKKTQPCYSLSKANRISVAENE SQFVPGPGAYNVPPQKLGNITLKGAKAQMRPQTIPGPGTYNPQDKLCYSYDGSVKIAQEI KQNKAQSSEKMPGPGAYDYKSILMGPSWGFGKSRKRKPIYNINNSPGPGAYDVPSKFADV PKYLNCKPKFQV >CAK73784 pep:novel supercontig:GCA_000165425.1:CT868163:343279:344109:1 gene:GSPATT00010056001 transcript:CAK73784 MNSEVNLFNQVEKELTRKRPGSGQPISTKKSPLPLRKPGVSGTQKQIIKEPEQQLKPRQL SSNSQKTSQTQQLPMIKNPPQQQKPQIQIDKKIIMMKQESATTSARGTLTGEHSQRNSSN QNLSTADTSTKQFNWIDDQLTSRRDSSLNKKRMSSKEKQTTTTQTQKSKLQDNHKNSHPP LYQPKCCIDFTMTAGKNDLTKMLDSIKGEIKQIAEEQSHQNGVFLNMSEIKEMPDDGCSS IGQSTVYQFKK >CAK73785 pep:novel supercontig:GCA_000165425.1:CT868163:344184:345854:1 gene:GSPATT00010057001 transcript:CAK73785 MGGCGSREKELREKVGFSQSDYDYALKKFSKMTKQKPGKADEYFSFEGFKQYFTENPVLA QKLYIFMRNYGGQNYVDSLTFLTVVDLFTRVSMKLQNQLKNLDIYTLFTLVSLSSPDIMQ KDSFNLNNVYKISVSYSNAVKLFKVTSSLSFQELINMQSDKGDILCHDDDQAPILIINNI FENHSLLNYKAFNDKIKTEAPLICKIVKNYIAGKFVNKTLRNTLPKLDPSELMNKQLIGI KFMSFQALLNLSVPWFQKCIAINQIYEYEVDTGQQYNFNILGNCLLQAKGPNMILFRHSQ KDKDGEKLEKYVFGYFSPSQWRVSPDISGNKGSFIFSIHPKFKIFSTNGQQQSKFALLVP IITKRQSQTLHSPLKQGPKQPGLGIGGSGYDHHRIWIDGKQLQASRLVEEDKTFQSGSIL PEDIHLLNIDLIEIWDLQLSTVGQGTSYFKSTSPHVHFGDAEKRINQNQLRDLIITLNQQ QGRNDYRRDTREFQTTNPLLPINEEEEEKQQAK >CAK73786 pep:novel supercontig:GCA_000165425.1:CT868163:345885:346559:1 gene:GSPATT00010058001 transcript:CAK73786 MESPVLSKQLELSELKQIIKNRFSFDRRKVIFFTLNSCLLGLAVFSLFSAITLESNSQIF RIRTYLTDINLSNREQARKLETILIPQNIAQLCTIVASIIGYYGIYQKSQKILHLFPISL GISLAFRTIATIVIISYFNTIKSVFEEEVGTFITLQILFLAFNWLFCVFAYQQFKKAQVE YHIVTKDRERLIMDNNMAYELIKKIIKN >CAK73787 pep:novel supercontig:GCA_000165425.1:CT868163:346605:347327:-1 gene:GSPATT00010059001 transcript:CAK73787 MRFEPYQETKKTVKVAPVRIVQNQHDQNGSQPQTTKYPQLNLQFQFQKCFTPAVTIPLSF LQQLIQQAQSNKILNQIGENKTVQQEQSEAVNNLITQIQYQKQGTSKSQDSNEDSPIRLY LIKSQMKFITEDTTSIDKVKLYFADNQNLLPHFWAWINSIEFKALEEMLMLCYSNPSNTK RIQDIFKSLFQTLSIEFFQKHAYAQILRSNLQDKIKYLSVIGDILSKIMNPQDYFYFNKQ >CAK73788 pep:novel supercontig:GCA_000165425.1:CT868163:347401:348421:1 gene:GSPATT00010060001 transcript:CAK73788 MYREIQMKKIFNNTYLLGVVFFIIWVFLSMVYITLINPYSLEFSQIIYILWIPFFIFYLM VGWSMVRCVISDPGKVPIYWGVLLDDQEQKKRRYCLICHIFKPERCHHCSTCQRCVLNMD HHCPWIGNCVGYQNRKFFILFLFYINLTVLFGIGIIAFQVYPIIMDLIFVDWRLLIEKYN VIPTLLLASIILVFGVVIFNFFLFHLDLVSTNKTTIDTLEVRRNGNNPQIPLNAYDIGFK ENWLQVIGINSWLWPFPMFGESGRPKGDGVRWERNQNQLTMTEQNVTHRTQTNQNQRSEM TQPQQRV >CAK73789 pep:novel supercontig:GCA_000165425.1:CT868163:349325:350008:1 gene:GSPATT00010061001 transcript:CAK73789 MEANQVNDQVIKSLLYQKKVCALNKYQYKELVDAATQLGLVKSYSKMKYDGIIYSIGQLV QLSIDGNRDKKTYGKLIGFCNLQVDEYLIPMIKVQKYINKLELPSKLKELQEGISEFELF QSEIEEWLFCTQIDHEIRLITIKEYEEMTIQNERTYFTRADYNVEKDKFTPPISKWNRIC ICNQISNPDKSYIQCEKCLKWLHYECAGVQAQLAQDMNFYCAMCRKK >CAK73790 pep:novel supercontig:GCA_000165425.1:CT868163:350082:351108:-1 gene:GSPATT00010062001 transcript:CAK73790 MNKQYIPAKAQEKIFALILKRPENLNCADCATKGPRWVSLDYGIFICMDCAGAHRTLGPS VTRVRSTNIDGWYQENIDIMESIGNGTANSYWENKMPKDFIKPTINQGLDSLIRFVQEKY VKKRFIPQISCPDPKQQYILTKTSVKPFYFNQQETKVEEPKVKLGDLIDLDDDLFGVKKP IVQVEETQGLNTHQGTTRSLSPEKDFNEFVQSTPHVNPPIHSLPSLDILTLYKSESQQTQ QSQQIIPNKNSNYAYLSNMGQQQNNGFYNQQTNYQHLYQQQQLQQQTFPYQQQKFQQPIQ TNGPINIMDLYSK >CAK73791 pep:novel supercontig:GCA_000165425.1:CT868163:351188:353440:1 gene:GSPATT00010063001 transcript:CAK73791 MSKLQQYLSDLKTHQEEEIEIQIDQPNKPENSDDSDDEQNEILNEILKCMQKGLSERVFD FDITNQIRLIDVDDMNKSALYLDALAWLYSNRVDRTYVESLKLKVNLLRAYDTTTVPNVA PQKKSKQQQQPQQVTQKTIHLNRNVELKEITKYGESTLDFKPYTHQYDTLQINPFFNQTS KSLDMQDLNTLIIYNPQFDENLAIIIDPDQLLKVNEQNKNILTGFIKLEIDTSVLDYSNL VSKQFKMSFNEINDFRERYKYLLNKKDTQSAKLEKSQQIAIDKFINDFRVYDEKLKQAQQ TIDQQQQSLSQLSVEEQQFISLNQQYQQQLRINPTEIDTDQQDLLLRNKIAQLNIDSGPS QGDNLNQTRNQQGSVQNLVQQINQMDVEEFNNQLPELLPDPFDEDQLNENNKLQLTEEQR QLQLEQFKINQEKNKILREQFQKQQKETAEKQAQIQEELKQLSYQQQFQDIFNDVNAPID EWDLEQEEEFDQDLAPYEEQQQIQKTNNQQQVVQQQQTNVKKKQKKVYPDTFNFDDAAEL NRFLILNTVGNTQRDFGQRELTLKCLADNCQDFDYYRYFEYFTREYTGFLEEEEHQQAVP IAGPENFGLDEIQIQVQLEETNYMIENYQNDLEYYILPFRVQANNNNEPITIKQLQVILE RVIDEIKGQVEFIKIEKTMPLIINEKISKSKLFAALLFVAKNKGYKLEQKNNSFNDILII KKEQSNTIELDNEEYNRNESQVNEAMQIER >CAK73792 pep:novel supercontig:GCA_000165425.1:CT868163:353479:355502:-1 gene:GSPATT00010064001 transcript:CAK73792 MSDIKPQTAKIVSRLRFGLQQENDQQDANKIQTNLIEHYDARDLRLQDLQDCQKVYKVIK KETTTKWILLTKLEECKQQIKIELQYLRKLVIYVQNHNKQGLNKSQMLEINQLSALLNLF QTYVDLFENNTYDRKDKLKRIKTVELEDKQKAINEWKKWINRNESFLEPIISESKQITLK VIEEVLTLSYDLKYQILQEPDTIDPEIRFKIQEGNQVLINTSEAEINLKNFNICNRLLST ISIDNFNYHIPKLQDMNQLNLSELKEMKRKLKNKSKTEINQMNSGKTSPKKEESVHQQNE VDNCLYQYQKEKQRISRVDKANYKQNIKDSQREVFIARNNQGSIQKLHQSFDINKLNTSK GHREYIISMIDGQLLKPYTPLARLKKDESREIFQNFRNNDSYSQKQSLRNVSPQTFVERI SQKINDITTCEENEFHSKLEFPELQSQKQQGQINMDNRRGVKKQYNQKFIQQVNNNEVKL VKQCQGNNFQFYEDFYTTRMKFNNKFTELVTTLQKDRPLTSSIRSRSLTVNQNQRQENIQ KVRKVAEKARQNFFLRNKEQRLWLQNLAQQYKLADSTIQHIIEQLSYIVQEGLFIKVDDI ISMLKQIEDSSELTMTPKSCQLLNQIFVYFGIQISELNQHKKFRKFNTNLD >CAK73793 pep:novel supercontig:GCA_000165425.1:CT868163:355543:356136:1 gene:GSPATT00010065001 transcript:CAK73793 MESQKYTSPYAKLWEQKDWREVLKQEIKTNDPNAKITNKALIEQYFKRYMMLQPTDKANL IVNHPALLQVDKEKIDKVTNDTMMSLVNATSGCTVASLLFYKILLSKRRIFYDFYRKGRL SFVKKPISVFLLFMSWGAGLSYGYKQTIPFELFKQGMYKKYLIEYDKVYL >CAK73794 pep:novel supercontig:GCA_000165425.1:CT868163:356267:357327:1 gene:GSPATT00010066001 transcript:CAK73794 MVQGQPIIEVTLDGIETRKHTRFVDKQLGALKLPVYTGDDDISGVVEVKMNKQKKIEHMG IRIELVGRIEIINDQKQSSDFMSMSRELEPQGILFEDKAYKFQFQKFEKQNESYYGNTVR LRYYLKIYMTRSYGKVQKEVDFAVLISQPELEEQPQTSLKLEVGIEECLHIDFEYFKSKY HLRDVVTGKVNFYLVKIKIKYMELAVIRKEQYGQGQQQQTDNETLVKYELMDGCPQKGEV IPIRLYLSGVDITPSVKNVNGKFSVKYILNLILVDEDDRRYFKQQEITIYRKK >CAK73795 pep:novel supercontig:GCA_000165425.1:CT868163:357823:358551:-1 gene:GSPATT00010067001 transcript:CAK73795 MLARIIGFLINILSYLPIYIYNLLYSRFYTKWTYVMMCDRLEKKMANVKRILDVGVGTGH PMKQIINRIPSRIRVVGIDIDTNYLRYARQTFKQNENVEIREQNFYDLESSKEQYEAVIF SSSFMIMPDRIRALRIAKQRLSKGGSIFFLLTLEPYKNYKTVIIEKIKPYLKYITTIEFG SITYEKDFELMLREEGLQITSKEKVAKYSVFLAIFNMFVVEAEVI >CAK73796 pep:novel supercontig:GCA_000165425.1:CT868163:359563:360410:-1 gene:GSPATT00010068001 transcript:CAK73796 MQQTNVHPQVLLSISSQILTNKVNFPGKNIHAGILLGFFNAKINKTDILDSFEISYSGKG ELKPGEIDFLKERQQLRLKGDKWNLFKNLDIVGWYITDSNPHEYLKVHKQLQTLSEAEST ENQTSFFKYLLCFDPYSTQANLYEMEENKMQVSQSKVEPQSEEMIGITSLFSQQSSQSKK KYDLRVNSQLQMISFLETMLQKLLQQLDHPQIANDPLALLKINEVLISFPQINQQNIEQD YFKLLIISYYCAILKLDVTRNKQD >CAK73797 pep:novel supercontig:GCA_000165425.1:CT868163:360434:361948:1 gene:GSPATT00010069001 transcript:CAK73797 MFSDNQISLFKRNSKFQPQLASVENTKPIIDTTKLIKYSDPVHKTLFEYQQYKKNYNQMK QSKMKKILSKSVDKTTRQIINSNSQMSSSVDYKMKSSGHNLKYNQSTQSVISESSITKKG VVYDGNNTQVYRILIEGHFNYYALKISKVNMNKCTKEIYYLKQLRHPNIIELKHNYVVYD KSNDNRPMLYEIMPLMSCNLRSFLQQFYKHSNVQENTKITDPKLINLAKQEKKAKQILFK LFIYQIVRAISYLHHKNITHRDLNPRNVLIDVDTLSVQLCDFNSAKSLFSQIPSPNYVGE RNYRAPELLLGSKLYNQQVDIWSLGCIIAECFLGKQLFNGTNTVETMADIIRLLGTPTLQ EMKNLKSQILDLKMPEIPKFPMSKRFQEIENEQLVDLLEKIFVYDPNQRISAFEILLHPF FQELKQPNIKINSKSLPNLFNFTKELQLNEYEMNKNRLIPNWFTEKQKRFEYLTTSMDDA KLLLNK >CAK73798 pep:novel supercontig:GCA_000165425.1:CT868163:362406:362963:-1 gene:GSPATT00010070001 transcript:CAK73798 MLIVQVRTPKIKMATHRPQNSQPTMFNQSNLNSFHQFFQNQSKSLQKGEGTNDSRCKTES TQIELKPNHAHKISNQSTTISLTNSTSYNQRLSKINQKSNSSNKIIEKILPKSVNPFQED ECTVNQKLQSQLQKLLNKTKDIIASYEINSKKQQITEQQLKQQIVQLKKIIESQQKQLKK HNIKF >CAK73799 pep:novel supercontig:GCA_000165425.1:CT868163:363013:363665:1 gene:GSPATT00010071001 transcript:CAK73799 MGRVRNKTVKKAAKVLIEKYYMKLTSDFHFNKKILSEVGQVPSKKLRNKIAGFATHLLKR IQTGSVKGISLKIQEEERERRLDYVPEKSSVDIDNLRGDQDVKRMLEKAGLEIDIPIDEP VVEEKQQKQARRGQRRQQQ >CAK73800 pep:novel supercontig:GCA_000165425.1:CT868163:363665:366059:-1 gene:GSPATT00010072001 transcript:CAK73800 MFQKKLHQKTQSTELSNQALMNYLSTREAVNARISNSPTFQFELKKQIAPQSSPQLKSNS SQPNLKLSSQQQLNTQSRQNLIKLQQQNVFQSKGFMSPKVISQERLNQKVQVKSNANHMK TQPNSNQNSQIKIKSIENVLDEYTIKCIDVLQLKAPKQLSQQDTMKTLPFSASTKADQNL KQKALQAIQEMKQKQSLDLISRLNLQKPSSIQSAKNQSQQIPSLGKLEGQLKFHQKSNSA EFIETQKFQTHANSQPLLEFAFDKKKPITTRKCQQELIAIVVQYKSFQQKMTIDISTNKI SWLVETVRQELMNHFGNSFQPIIGIKTVNISIPIDYILSKIERPLSLLSNCPLQPLVLEP IIDSEQELKQTRVSLRDFEFIRCIGVGGFSKVYLVREKRTGQFYAMKLVEKKPIMQQNKQ NIIQNERDIMSQLNHPFIVKMQYAFESRKYLVFVLEYCSGGELFYLLRKVKKMSEEEAFF YFAEICLGMKNLHDNNIIYRDIKPENILIDFEGHVRIADFGLSKPQMENQDIAYSFCGSP EYMAPEMLLKQGHTFQLDLYCLGALLYELITGLPPFYSRNTDEMYQKILNQKLSFPSQLQ MSQLLKDLLSSLLAKTPNKRIDDIESLLKHPWMTQWSDKNLYKDFLMKKVNPPFKPDYFT FNFDEEEFGKGESEFLMQIKPLQQNLLENFPKEILLKNYYYNHNESHVAESTGGTNLNAK LQEELQSYETQRQKSKRLTTFDDENTNYVNEQKVFLLNQLRLQKENELKRKSAV >CAK73801 pep:novel supercontig:GCA_000165425.1:CT868163:366172:367472:-1 gene:GSPATT00010073001 transcript:CAK73801 MGGCNSSIAPKDFAETQATKQMCHHCKKHDFNLLKLACEHHYHEICLISKKMDSNKCICG KDIGQLNKINEQVHRLFLTYQAQMIVQLNQNKLQINETKQQQFFRCPKKGCSFYFIQDQK PQQGQLQEYFCDQCNCKLQYDLLKSDFSNAKSDASTIQPPKGDQTNVKSDAVKIQPQVPP QQSTKIKPDYLFQLHKIIEAKQQPFKFCRNDCGFMYIENNSYNIGNSLCENCDFCHKCYR LLFSDFISLKKCKHKFHLLCANSVINPDKLESLNCDCGEPIDDEDIKIEFNISCMICGKF DKNLKRLDCQHYIHLDCIQDNSFQFSDFLCFICKIPILEFLKSSKHQKIHNQIKKNNIEK LLVQIENDCFICYNKSEEHLYATQCNHKVHLSCLESRKKEIKDKQQLLKCSCGQYIKDIQ QKIE >CAK73802 pep:novel supercontig:GCA_000165425.1:CT868163:367535:368624:1 gene:GSPATT00010074001 transcript:CAK73802 MILNLKDIYLAAAGGLLIGLATSIHYLLKGRVTGFSGIFYSLITFDKNSFYWKLSLMSSV VLSSSLLFKYQGFTPILEGASPSFDPINQISKIGYIGAAIAGFLVGFGTKLGNGCTSGHG VCGLPRLSVRSMAAVASFMTFGILTATLKDQVVLEQPTQLLIDNANITNTAAMVISGILT LVGIIGQTKQNKKTMIDAIISSVVGLVFGSGLVISGMAKRSKILGFLTFNKSWDPSLMFV MLGAVSLNLITFNLLDKPILAEKWDLPTNKKIDYKLLLGASIFGIGWGIGGLCPGPAFSL FPQFTAQIACVFLPCLALGQINANKFSQYLDQKSKKL >CAK73803 pep:novel supercontig:GCA_000165425.1:CT868163:368656:369291:-1 gene:GSPATT00010075001 transcript:CAK73803 MAFYGETEHFDEIQNESEGIKSDEQNKEIENDCEIIITQNNQQNYNDSEVQQSETNIENV NQNNDKNCNYLEEEQKQLNQDKEYADQLQQADLLQHVDQLDDQQLINLCPVILYDMGVIF DDLELLGHQTCNEFPDCQFCEKLISLCQYQSHLKRVHSDFICLICGLVDRNITNQNHRLQ CFQQREFGFDDAMNQKLDAIEHLKQCDPVQQ >CAK73804 pep:novel supercontig:GCA_000165425.1:CT868163:369529:369786:1 gene:GSPATT00010076001 transcript:CAK73804 MSIQIASLPEFSYKSIPKRSLDLENFFKEFEMRQFLEECNYSQQELYAECRKMKGFQQKR KLKLNKYINSYLEAPSIISPVQLLL >CAK73805 pep:novel supercontig:GCA_000165425.1:CT868163:369867:370547:-1 gene:GSPATT00010077001 transcript:CAK73805 MDNNKLRNSGYQISDRVQFGGVFEPEKCKQIAKQVMDLYDSNKDGFIEQNEIAMMLSDAY RAMNKGFNPTSQDVSNCQSILDRKSTGRVHSEDIEQLVQKYFSGQPPAQPVKRVPEKLSR IAQERLEVARRIFKFVDKDGSGFLTEEEVPELLKETYKHMGMNDYQPTKEDVVIWIQMTD SDGDGKVTLEDYEQLVLDSLRKQGISLE >CAK73806 pep:novel supercontig:GCA_000165425.1:CT868163:370614:370886:1 gene:GSPATT00010078001 transcript:CAK73806 MITFENNMMRLCQLKAEAQELIEIQAQCCHFLLLQKSLINWMPTPQHKLTNIARKLMLQN NNQLNCKIITKNNLSYFNRRSTLQQKKSNF >CAK73807 pep:novel supercontig:GCA_000165425.1:CT868163:370896:372070:1 gene:GSPATT00010079001 transcript:CAK73807 MTNQRTIIKMKYKNSTVLSNNYKKESKVSNPNFRRKLTTSNQCTVKNSTYSRKENDKYVI ILYKYYLIQKDIQWQLICSNLQPKAPKTSKAKKIQKKVADRKKNPLFVKDAKNFRIGNDV QPKRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSRTLDKNQSSKVLGLLKKYSPE TKTEKKQRLTKLAEQKAQAQKTDSKKVQVLKFGLNHVTTLVETKKAKLVVIAYDVDPIEL VVWLPQLCRRQEVPFCFIKNKARLGTLVHQKTATCVALTEVRKEDQAEFDNLARDLRQHY NENHELLRTIGGGQVGIKSRHQQEAIKKAFELEELKKTSQ >CAK73808 pep:novel supercontig:GCA_000165425.1:CT868163:372436:373360:1 gene:GSPATT00010080001 transcript:CAK73808 MSIQLQQQIKNNALEIKEYIHDLYDWEESVNTAQKPQKKQNQQIDPNSVPIRGKVEQEKQ SEILKKQLKRDQNSVQNYYDAWNKVDVDKLLEESDDPIVMDVYKKPQQTNINNKIVIKGG RNVIKSQVILNKEQANELFKLQEFQKAIEKYTDCIQELNQKQSLNEEELEQLVIIYSNRA QCQLKLLDYNQALLDCNKALSLNSNHQKSLLRRSTVLQELGKWKEALKDSEKLVLLGDQD AKQIVAKLQKKIQVKKENARNQLKQVKPFMNDSVTVQVIDQEKEDINVELKSNYLNEIDD >CAK73809 pep:novel supercontig:GCA_000165425.1:CT868163:373381:374598:1 gene:GSPATT00010081001 transcript:CAK73809 MFGQYLIILYSFFNVISEKIASNSFLLTKTLQQSNFISQYEGINLHNFDNEYYALTLRIG TPLQLIELLVDTGSSILWLANYTCDNCSILNRYKPYLSQTYIDFNQTYTQTYGQGECSGQ FGQELFSIADTPINTNLTFLLANQVSNLSLQKTTSGIMGLSNWDEHTNIFESAYSNGQIQ SPLFGFQFLNSTSGSQLFYGQFNQSVLDQTIWIKTNMKKMWSTEILGVQINEDEYIYFDD HSSIFDSGTTCLLLEQNVYNKIYNDYLNCNLDCDCNKVYPNLTFFFEGAKVTVPDTAYKR YLYNGSCSMCIGQAKSTNILGDPFMKQFISIFNKKEQLVGLYQTPKMFNIRYWYFYLALG IQLLFICALVYYQIQRKIIALE >CAK73810 pep:novel supercontig:GCA_000165425.1:CT868163:375861:376670:-1 gene:GSPATT00010082001 transcript:CAK73810 MNKGKQKEERNQLYGSEIMKIIQYIYFQNGNEYHNQSWQGVDAYKKTRVQRNVGRKVTST NLYLKLLIKLYKFLARRTDSNFNATVLRRLQQTRTARYPISVSRLVKQINTAKDKSRTLV VVGTVTDDVRLLTVPKLNICALRFTETARKRILAAGGKTLTFDQLAQQNPTGTGTILLRG PRVREELKHFGRAAGLPGSHAKPYVSHTARRGKGAR >CAK73811 pep:novel supercontig:GCA_000165425.1:CT868163:379565:380021:1 gene:GSPATT00010083001 transcript:CAK73811 MPQLLLWCMLPKLKQLCQRNVQFTSCPLELYLRMIDYIFTSQLRQSLLLYLSSLSLLFIF SCLKRILHIFEIVQISNFSFFFFSISQHQNTLSIHISITSFFFSLVHVD >CAK73812 pep:novel supercontig:GCA_000165425.1:CT868163:381708:382149:-1 gene:GSPATT00010084001 transcript:CAK73812 MYGRVHYKSQQELYNQNQQESQLQLNYYNWCIQIFRYLSQLKIQMSTLIKQQMKAFLLVC LIATSLMVNAVETPQEKTFSVESDSPSFLHVKQVCPSCCYGVCCQNQSSCVKGTCNSPVA PWNCI >CAK73813 pep:novel supercontig:GCA_000165425.1:CT868163:383981:385093:-1 gene:GSPATT00010085001 transcript:CAK73813 MNSQYIFLVCISKLHLYYYDLFRINITNQSLGEMTYLTSINRDSYLKTILLDDVFYIYSP QQITIYYINLNISHGIQAQCNMTSFQPYVYQNSNYSFYANIYTCKDEGILYYQLGNSYQG EQISFILPQHIQLKDYIHFESQIEGLLIVSQHKNQIAIMCFTNQLSIIIRLNYMVNERNF SLSTLAFNRLLYYSPPLVPNNSFQFKDAIISSDLVFVNYLNENNYSAINILYNISGLDLI GGYLEYPILPSANISNFFAYYQNILTFNSSYGFIYTKLQNYLISSLKVEIHLQNQQTQCK LTAFNLVNNVTANYTFNFDQQIVFGFEYAFLAFFIIFFISALTFLWFKTKNQKEQFGLEE FEELGIDTYQ >CAK73814 pep:novel supercontig:GCA_000165425.1:CT868163:386195:388330:-1 gene:GSPATT00010086001 transcript:CAK73814 MELPQQTCLNALLTQEDSIIIDCYNLTNLNIYNYQENAWTIVYSVLVPQKPKNTDLKTFT SNSINSILYAQYYDDYDILTQFQFSQNLLQNISIWIQPFINFIVSNKSQSQNAIYLWNNN TLYQLNVNEYGLNSTNIIYRFPSQIMAVQIFNPQIIFYECDTLQIILKDYSFEQHVCYQY AAYSYGQYKTIIRFNQNSSIFLSNQFLIVKYFDLIEIYEIETSQKNYQIYKNNTKFKQNF KLTGELQLNSSLAQVSFDYNSNLLFIFSDTQISTYYVDYSKIKFESHQNQTNYQFIIQGS PYQTNQTDASKCQNCMVQLNVTVLSINDNNIYLTYGFRDQILYQFQTQIPHLQSILQFSG SLLTVNFSVENSSLGYFNDITFQNVGSINQSFQNLQFLNKSYAVVIINQNILLLNIQTFG SQFYFNFTQNFTINSPINRINNQIQGYCFLNDIYFGVQINSQQLFICNHCQEFDNVTSIL SFQPFQQFYLFYQQIVLLLESNIIQICRFNGICNNLKIKDLIKPVGLALNQQQQSSTLFI NDNYSDIIVGQIASSNYYYIINSIIHVKAQVQDIKIVNNRLILSYYCQQNQFVCFQVWNV ANLNSPYFEKNLRSIQDSNNIQLFADNLFYYVQTNDQIYVYYPFVLEHSSLFYTFDYNGS YFTTTSTIDRFNLISGASQDGALISFNSQFYELSPTLVYFYQPKNTEYDHE >CAK73815 pep:novel supercontig:GCA_000165425.1:CT868163:389768:390157:-1 gene:GSPATT00010087001 transcript:CAK73815 MGALIHSSQLKSQIEYLDEDNTITVNVPKTFQYYPKLCQYDDEIDDINLGINEIEVNKQC FIFFQTTIKEEQLNDIDDSRETPSWNTPQVSNKPEYIQNPRMKSPQKKSKSKKKQRSRIS QRINLEDIF >CAK73816 pep:novel supercontig:GCA_000165425.1:CT868163:390245:392608:-1 gene:GSPATT00010088001 transcript:CAK73816 MAAPDYDSFRKIKVLGEGAFGKALLVEDIRDGTYWVKKQIDISSMPQKEKEETIKEAKIL QCLDHPNIVKFKDVFATKQGKLCIVMEYADGGDLDKKVKSQQGKPFTESQILDWFTQICL ALKHVHDRKIIHRDLKGQNIFLNRANRVKLGDFGIAKILGNTLEKAKTQVGTPYYLSPEI IESKPYSQASDIWSLGAILYELCALKPPFTADSLHFLALKIIKGQFVQIPSTYSKEMNNL VKTLLQTQPSKRPNINQILKMPIISARMKEFLTESQQKVEFAHTILHKQKINCLAKLSDL KLIDEDNLRPPPNLLSKQNSSQSQSSLQQQQPQQQQQQQQQQQQQQQQQQQQQQQQQQQK XXXKNLQFNSMLYNLQNNIRNNQDVNNKSQIQLNNSKNKLVDVEKQEQERKRMQQIKLEA DIKRKEEEKRKLIKMQQEEEAKKRFKEDQKKKREQQQKDMREDLQKRRQQIQQQQSQPNL ESEPKYIVRQTISQPENLDDNLEEYNSEEETYEAEGQQSNKKQFQEAELEDQDEPNMDYG LVQQENDAEIDALQQAILCKEYEKVLEEVQETNNIVNQL >CAK73817 pep:novel supercontig:GCA_000165425.1:CT868163:393066:394680:-1 gene:GSPATT00010089001 transcript:CAK73817 MKKSLKQQQQLQLKQLYCRQKLNIQTEVDDTRNTKQISLNYSPLNKIDQSVYTNKWKINK GLLGNRTNRMLTQQQSDSENEFPNQLKLIQLTQKSQAQTKRSISTKRNNSIGDYNQSPNN QNRQSLYTSQKQRSYSIQQQQQQQRQQQQSLFQSIGVNKNQIVDAKSFVQQFELTIEEWD KFGNRFPFGFQREKLLGRGGFSLIWLAKEQKGGALCAVKQIPRKSKHETHFKELAFCTQF FNKGGQIRSQFQQNEGIKYLCKMLDYVIDPHDVFIMYEVCGYSLGTQLYDFESLNNSYKL IPQKLSLLFKQFPVFLFKFIKRITQTLELLVKTGWVHSDIKSENILISYGSQDVEFKIID YGSSFKFAEVFEKFSMATPEYMSPEMLTFILRENKMSYDNQLIESLVNYDKSWVIDIWGL GCVVLEIISGLPLWMSYDTQVINYKGQKVMAQGLFAVSGRSFSKIVEKQIKILTNLEFVL REQNYSGIQVTPLLTQLLKGMLAINPKQRYSPQQILNLLQDI >CAK73818 pep:novel supercontig:GCA_000165425.1:CT868163:395437:397062:-1 gene:GSPATT00010090001 transcript:CAK73818 MNTQYMYYQPYDALFQQFQKNGKITRADLKSMLQSINYNDMQINNVLSKVNDDEISKIYA QFPTQIPQPIPQQVDQNQNQQKFTQESQQQIYEEFKTLLKGQEYDKAVNFLQQNKDMDLV NVVDQQSKQICSYIVVQFDNEGLALKFLSLLTDFRVNLNFKDSLKQSILFYICRDGKLKL LDFVLSQNAVNINDQDQYGQTPLFYAARDNKVDIVTRLVKVGANVNLVDTLSNQTALFYS AREGNAEICKILIDNGCNPNHQDQHKKTAQFFAKRFQRKEVMELFNSYFGKNKDDFKQNS NYNNENSRGEQPKQQKKKNKDLPKQAYKLMFTDEYGNLQEITSSEFSKFQQQYPQIANLI INADELIDDNVLNSMKDDDIWEKVAKKVLQILWKAKGAQLFHNPVDEKKYGINDYYDIVK RPMDFGTVKQKLNANQYKNCKEFYHDIMLVFDNCILYNGSENDIGQIGLSLKQEFLNQIE QTGLKKHLQ >CAK73819 pep:novel supercontig:GCA_000165425.1:CT868163:397246:397782:-1 gene:GSPATT00010091001 transcript:CAK73819 MASKEKQTFYQEPSFQFFVKKGLIKQNNRGQVFPIFTYRVNSQPSQSKYIELKVFPSKIA RRSNFQQDSPSPFVITNIPKKNTVYQSYIDNIVKRKLKDKPESPKKYCNSIHEIQGKQIE TVKDCFLPKLHCLNSKPQRLKYRHSLPKQDLYTEPNPEPDPSDRLQPWDSSQKSFTLQ >CAK73820 pep:novel supercontig:GCA_000165425.1:CT868163:397814:398559:1 gene:GSPATT00010092001 transcript:CAK73820 MIKLFATAFRPVIMQQRCFQRQFQYNFAKISKKDQERMDKKQEKQNLGLSKTIDFTSYQS KFDQYAQKFQEALKKLQVGKLTPEMLDRVSIQAYGEKLPLSSLAQASQKSQGVLILNVFD ESTLMDVMKALENSDLNLQVKKQDKQIVCQLAQGNTKESRIMAVNNLKKLMEDAKQNLRQ IRHECIDDLKPYKKVTSEDTMRQAEKQIQDLFEKQTQSLDSLYKSKEKELLNN >CAK73821 pep:novel supercontig:GCA_000165425.1:CT868163:398721:399664:1 gene:GSPATT00010093001 transcript:CAK73821 MYSKQTTISKSQNLKSKLSIKDTMSNSHQIHSQPQSSKGKDHPKQENKQDCNQMKKKLCN ILGKNSESHKIFKVSPTNSLKQQYFVSASLQQKSKGSVLKYNHTTDNNDSLKTLKCNALK LLKPNKHKDINKYHEFLSNNNFDMQKQFGNFVRSIDEIQAEQLPIQNTERSSLIALFEKL NEKDQKQIKPKRQTLQHSESYSQKQSIEGEFIDLRLKQRNKCNFSNNSSGQLVNPNSTTT QIHQNPITSIEQKIKIDENLQTIVDKTKQILLKYQAKLKQNDIEKDVLIQEIQYWKSKYL KCKQQQF >CAK73822 pep:novel supercontig:GCA_000165425.1:CT868163:399718:399999:1 gene:GSPATT00010094001 transcript:CAK73822 MNNYNSEDKNHEQQEDAMSEEERQFAEELDQKIQNAQQKLDQLGLIQSRLSWLQDTIKQR QEENPLTDEEKKEKFQQLADKAIDIPRMLIQFQ >CAK73823 pep:novel supercontig:GCA_000165425.1:CT868163:400154:400625:1 gene:GSPATT00010095001 transcript:CAK73823 MDQKYHVNCTSFHLLSKYQISIGIFVSQLISIVESLLQQIDSQKSQGQFSKGKHEQYLDK LEVQKERGITVKAQSADMFYKVDGIEYLYNLIDTPGHVDFTYEVSRQMGACEGAIILIDA TQGIQAQMLSNYILAKKQNLKIIPVINKQI >CAK73824 pep:novel supercontig:GCA_000165425.1:CT868163:400676:402025:1 gene:GSPATT00010096001 transcript:CAK73824 MNPNEIFKVSAKQGTGVTELLNNIVTLIPPPQDHKELKCFLIDSWYVRDKGVVLLILMKG GYLKKGDQILSCAFKKKYDVFEVGLQSPENVPQERLEPGQVGYVMTNVKAANEARLGDTF RHPLSKTLPEAGFEEVKPLVYCGIYPEDPDDYAELNKSIFKLALTDPAVIIQKESSATLG NEYLCGFLGVLHMDVFRERLENEYNLSVILISTSVPYKAILRDGKEVMVENAILAPDAAV IKHYEQPMAIATIMCPEEYSATIFQLCDARNGRIVDQEKYDKQDRYTFKFPLNEIIQDLF DKIKSATKGYGKHCQIVNAFMYDPVDALSFMVPEERAHQLGKKICQRLKDNIPQHLFVVS IQAKVGGKVIAAEKIGSTGKNVTANVMEGITPER >CAK73825 pep:novel supercontig:GCA_000165425.1:CT868163:402032:402903:-1 gene:GSPATT00010097001 transcript:CAK73825 MNPYSNQKSDQQRYPREQLVENEKNLNLGGSYQNYIYNCGECCGNCSAICPCNPFVEYPY KKIEQGYVGVYLRFGKYVKTMPPGLQYFNPCTDKLIKIDCRTQMIDCEKQQVITKDNILL QVDASVYYRVLEPKKAIFYIYDMQMAVSQITLASIKCVIGAYTLQDVLEKRTEIQDYIQQ FVDDHVDDWGIDIELMMIKDIQIDDRIKSALAQAATELRAAQAKILIAESNVQSAKLMKE AAELLSSKAAMQIRYLEVINKIGCEQQTKVMII >CAK73826 pep:novel supercontig:GCA_000165425.1:CT868163:403017:404033:1 gene:GSPATT00010098001 transcript:CAK73826 MNFEDYQYLFKFVLIGDTGVGKSCFLSQYVKGKFIQEYDPTIGLEFESKSIEFNDGVVVQ NQLWDTSGSSQFMAIQKTFCQNAAAAIVFYKINSEASFKSLQNWINILKQVSSDKIQIVI VATNKDLEDQRQVQTSQGRDLADSLEAKFYEISNHDKDQIDGIVNSISYNVLRLINSSKI NPLNTQYGVKMSRQQEQQYASQIENTDEKVIQQSPSPKRRGSDIKTEQNMISPNKISASP QRSQQEQEQTQQNQQQEKPKIQLRHILIPIIVAYVLYLIFF >CAK73827 pep:novel supercontig:GCA_000165425.1:CT868163:404193:405505:1 gene:GSPATT00010099001 transcript:CAK73827 MCLTVACEAVCCAGTQICNLACCCCKYFAETTLKEQIKLAYILLNYLIMAFTVIALYYIQ DFYINYLHYFGCPEGISNLCLGISGIYRMSFVLTIFYIIILLFMCQKGWLAKMINDGLWL LKFIFITVFFYGTLYMPNRFFEVFVDISIVASGIYQLFQIIIYIDIFYLWAEKWARMYDD GIEGMGSALVAAASLTFTLALILNIYNFIWFDHNYIINLINIAIIILLTVVQLFGFNPNG SLLATGCISCYITYQTFSALSSYPNADINIFYDSEKNMKVQMFVNGILNFVALIYIIFAT QEQSKQALAILDKSNEKKRSNQNSNQQIEIEMTSTQQMNEAKALIEQIELQPYSTNQYIV FHIVMTFCSMYMAMMITNWGSPSIRVGTFELYMPSQLSYNVKIGSSWICSGLYFWTLIAP RVLPDRFN >CAK73828 pep:novel supercontig:GCA_000165425.1:CT868163:405657:406061:-1 gene:GSPATT00010100001 transcript:CAK73828 MSSNIELVPFYSSLLRTIQSMYQQKLITAEERSELKNFVVSHDSKDLGQLFKSYEKGQLE QFILENYINPRNNEQFMEDEDDSCYSISEIECQKSTLSCTPNQFVWQLKQSKQTKQEKEG HRKRSNSFTTFKQL >CAK73829 pep:novel supercontig:GCA_000165425.1:CT868163:406149:406417:-1 gene:GSPATT00010101001 transcript:CAK73829 MHLRYYLNEEGKRVYTLKNTLDDGSYTFNAHPARFSPDDVNQKYRVELKKRFGLLPTQGE PHQF >CAK73830 pep:novel supercontig:GCA_000165425.1:CT868163:406957:407278:-1 gene:GSPATT00010102001 transcript:CAK73830 MSEKAQLAKDAIKRRQGGGKSPSKATENGGQSGLNFYSGDVSSLKVQPNTVLIISLVYLG IVVLLHIFSKLRSGGAETAPEGQ >CAK73831 pep:novel supercontig:GCA_000165425.1:CT868163:408010:408561:1 gene:GSPATT00010103001 transcript:CAK73831 MLISIKPTYYVLCSNLNPKNEANKYNLEQLTMNLDQYQSTEENWSQQSWNENELFDISSS PIQFGRQTLKIKYDNNLNYLDFRSQIQQVEQLSEDQDCNLISIDSVIPQTINKIKKNKKI KNKTITNLYKEIFQRIIDNTKSKQEALQKLQQCQNMKVMIDELESSLMKIKHVLLLTTKT NEC >CAK73832 pep:novel supercontig:GCA_000165425.1:CT868163:408993:409972:-1 gene:GSPATT00010104001 transcript:CAK73832 MGQECQKCQMRSEGVQSEINKKEEISSDSDPEYEEEDEKQPQKPPSMDSKSNSSTFFKEQ YISQESKQEQVESYQNQLKFEGGGIYTGTIFNNKANGFGRLVMPNGDIYEGSFVNNYLEG DGKCIYARGPVYTGQFKQGKSNGIGKEVWPDGSVYEGEFQNGKKNGKGIYKWSQQSTYNG EWMDNMINGIGKYEWPDGRSYYGQWARNQMHGRGFYRWIDGKYYDGEYEADKKSGFGIFN WPDGKQYQGYWLDGKQHGKGLMINKEGKKKFGEWQNGKLISCSDESNLQIIPDGWFLNTF NQ >CAK73833 pep:novel supercontig:GCA_000165425.1:CT868163:410004:411158:1 gene:GSPATT00010105001 transcript:CAK73833 MGNASACCSQPTALAKNQLISLEKQSINNEDCDVREYEADDFVKIGLKANNVKEHSLFNQ PSIIRRQASVEAPKLGQRLEFFPEVSNQIVRTVLSQLPLLEIPEGIERGEQNPPIKFDND FIYSGEWKDTQKHGYGKLLWPDGSYYEGGFINNEASGYGRLIHSFGDYYEGQWKNDQANG IGKYHRYKNQACYEGNWVNDKQQGHGKETWQDGSSYEGEYQNGKKEGRGMFKWGNGNMYI GEFKSNKMDGHGVYYWKSGKVYDGEWKENRMDGEGSFNWPDGRKYKGGYKNDLKEGYGIF EWSDGRYYKGEWKQGKQHGKGLLKMDQAKEVQSEWVNGKIITERQIEIQGTTS >CAK73834 pep:novel supercontig:GCA_000165425.1:CT868163:411194:412778:1 gene:GSPATT00010106001 transcript:CAK73834 MSESQLIEKLQKYGNKKGMYENDLLNSSQECSYKISTSPRFHKYHHQSPVIYQSPANVSS SLEHYPFDKEDVQIKDPQGEIRFLLNIIDQLQYDLQIQYNDSEMIKKKYQELQYQVQKMQ SNVFKEQEQYETKQFCDQLEKQNKQLNNENNTLKKNYQEVQQKYLDCQKELDNLKLNASE LLIQNEGLYSLLNSLKDKHQFDIDSQAKHFELINQKKIDDEVNVIQRQYRREIETQNSIS DSYKKKIEDLTNESNNKRLTQNKNQKLKYQMENQQDIKSQNKSQLISILYINKKIDXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXFKLQQNILDKERNDYIDRIKQLQKQLDELSNVNNEFKKKELEQQRLL NKLSEYGDENMRLLEQNTFILDKTNDTETLRVNYKNLVKKYYYLKSENDGLVQSINQHIT NSAILQRQLSNAIIEKNEVITNLHYTQQQLLNLQYSISR >CAK73835 pep:novel supercontig:GCA_000165425.1:CT868163:413802:414029:-1 gene:GSPATT00010107001 transcript:CAK73835 MGCSVTKTKIVQNQNKSTTDKFESYLITQSLVQSPPVSRSKQYSIEKNPIIQRRKLKSQQ KTRTPSGLDKYDFSV >CAK73836 pep:novel supercontig:GCA_000165425.1:CT868163:415661:416080:-1 gene:GSPATT00010108001 transcript:CAK73836 MKYSKISNETRRAFIEKVNQGACTIRQAAKQFGIKFSTGKAILSLYKHEGRVGKKERRTR RLKQQKEELIQQNYNNSKEIPIVETKQVQEFQTLQNNESYNNSYYTQYSNYQNWYQTQAW LQYMSCHNLVNYYNYGKIF >CAK73837 pep:novel supercontig:GCA_000165425.1:CT868163:417504:419041:-1 gene:GSPATT00010109001 transcript:CAK73837 MYNRPSSKTRYQQEPTMDSINLKEKQLYLQSSSINPLTGAMLRPDQSYNQQQYNNSNFDK KVALQASSINPLTGAPLVRNPISYQQDPTQYKYSSQGQQQNAGGYATSAGLIGAGGSVND AQFQKNLVKFFAADDPNQIQQKSNNKTNNSIPSDPQFQKNLVKFFAADDPSQFNKQNNNI RQSNSRANNAYPQQSYPQQQYQGQQSRSQNDQQFQQNLNKFFAADDPSQVKKPAAQKQYT SHSQQQQQRYQQFNDAPPDPRLVSDPNFQKNLNKFFAADDPSQPKKQVTKQSQQQQQINA IEQNKLQRLEQDPRFQKNLQQFYGIDGVSQQYGGGQNTQGQGNVQYQNKSIKVLAITDSS SAARNQVSAFFRTRGIDDFDVFTYPGGVFGLQNNSLQEQSLKQYLDTMSNAYNIKEVYII SVLDNSSNARLYTNTNDKRSHQIAIQDLKTVLENKLTVQYKLHGIIIDQRGASEKAF >CAK73838 pep:novel supercontig:GCA_000165425.1:CT868163:419092:419941:-1 gene:GSPATT00010110001 transcript:CAK73838 MRGLLLKFRFTEQISTSQTLQIKRFYKDVKIEMAFGEADPYRQWLVKLDGKTVKTPSKNE LSIPTPQLAQRIADEFSAQAEFINPATMPLMTLARNAVDIEADENMREFMEHSIISYLER DTVLFREQSHSELYQIQMQKLDPQLKLFNQKFGMHLKANFGLDVEPLKQYDQIRIETILK ELNSWQLVCLDSKVENLKSCILAFQIWNNQIDVQEAVKLSRIEEDYQISLNGKIEGHHDF DEETILANVKAAKLFSQLIQIQSITY >CAK73839 pep:novel supercontig:GCA_000165425.1:CT868163:419956:420693:-1 gene:GSPATT00010111001 transcript:CAK73839 MSQLSTSLSKIFGIIFVRSQDGTRLYSKYYPQLFPKNLLRVPEGVLTNIDVQKQFEHNVW EKGKRVGARLTKGSETEIFQYCQFNIVMKAFNEVHLFVLGDFEENEIILSQVINGIYESL NHITKDHINKKTLLENFDQVIIIIDEICDQGIIITIDPSVIIARATMRDTEAINLEKQES TSIQGGAFSSVFASAKRTFAQQFMGSG >CAK73840 pep:novel supercontig:GCA_000165425.1:CT868163:420725:421696:-1 gene:GSPATT00010112001 transcript:CAK73840 MQQDSKNKKVYYQEVCHQKHQNSKILSVKFVKDDTNIISIGKDDHFIKLEQQNKEWALKE VVLENQQLQCCTFSQALGSFVYAHKKGQIDQLSYNQEQDKWVSKTIRESEGNQILELQLN NTSTRMVQCLYDFAVALENQNQEWVEISSLNETFTEDECIFTTSVSFNRDSQEIAIGFTD GHISIWKQINNEWQIYQRLELHQGSITKVRFSSSKDILVGADQKGNAIIWEKNQQSQKYE LQCQYQSEKTIEVIYFNLNSSILLIGEGSQVRIMKQLKDNKWVFMQTIEVKDLVSCIDIS NDNKQLVVGQNNGFISMFEYVGQ >CAK73841 pep:novel supercontig:GCA_000165425.1:CT868163:422054:423180:1 gene:GSPATT00010113001 transcript:CAK73841 MQRAQSAAPNFDFPMEDMFKIATRQTSGFGIEGYEAPKKYVDPIKQMEDRKFLTQKKGQK NRNHVTKRGHYLEDLKKLYEKLPAPNKYDIVKPWVPLKQEGRVKSAPQKRCTFIDQIFKE AKVRGVPGAGKYNVIPTLEDVLKQVEEDKKKKIAPVERPTYLNEIQHLAVINPGPGNYNP HRIEKKLKMNETKPADQIAKHKEQERKRGKSCLPDIGTYNPEPVEFTSFNKLQQLSKKKD KSDKHNFGKEDRFKDPKKTKSKQLPVPGPGQYPMIVQWAGKEKDKNNPKQKNYLDVTTRG ISRSIYY >CAK73842 pep:novel supercontig:GCA_000165425.1:CT868163:424519:428366:1 gene:GSPATT00010114001 transcript:CAK73842 MIFLSSLFITLYSFALYPSYNVYLTQGETYSLPLNELFKEIRLNFTILDCPSNVQLFKTL NLLSEQNNNQKFTSISSSSQYYLLTTQVNVLNLYFWNSGYLNLINKIKLPQQTCLNALLT KEDSIIVDCYNLNNLSIYLYQKNSWTIAYSELTQQIPKKTDLKQFISNSINFILYAQYYE DNQILTQFYFSQDQLYNFSIWTQPFINFIVTNKLQSQNTIYLWNNQFLYILNVTEYELQS TNIVYFSQIIDVLLFNPQNMFYQCDKLLIIAHDQSLQFQVCNQNTMIQEYQNQINLNNVN YISQAFLSNQFLILQLFDLIEIYEIIDFYFNLIGGLQLNSSFSQVSFDYNSNQLFIFSDT EITTYQVAYPKIKFESDQNLSNYQLFIQGSPYQINQTDAYKCQNCMVQVNVSVLSINDNN TYLTHEISHQIVINFLSQHYHKFISQYSGSLLVANFSVDESSLGNFTDTTFRNIGSINQK FENLQFLSGGYAVGITNQTIVLISLQMQHNHFNQQIMNFIECNWEIQPQQQFILTFLEPF QQFYLLFQQIVLLLESNIIQICNFFEDCTDLQIKNDIKPVGIALNQQLCSSTLYINNNHK SIIVGQITSSNTYIIFSQIDVKIQVQDMKIVNNRLILNYYCQQNQFICFQVWNVANLNSP YFEKNLRSILNSNNIQLFADNLFYYVQTNNQIYVYNPVVLEHSSLFYTFNYNGSYFTTTS IQSKAFISFNSQFYQLFPILVYSYQTTINMFQNDITLLLNTFNASISSQIGTQTFIDYIS NQDIIILNNFLQIQPKNQTYNVSQSHVTLTSDNITFSGQVGWFSISCDGKSNSQVINILN FTSMRKNVIAAFNKQILVLDYYQQNYLICYLQVYNITSKGTIKRVSLQQKYPKYCLNRFA QMNAQYIFLVCAQTYQQSYLGYDLVMINITNASLGQMTTLSNFTIIELSPILQDDTLYIC NRYQISIYYINQNIFQRIQTQCNLISFQLFVYQNSNYSFYANIYLCKDDQKLYYQLGKRY LQEQIQFISVKFISIENFFYLDSYIVGILIVSEQKTQLAMMCFTNQISFIIRLNYTINES DFQQSTLAFKNLLFYSPPQRTNYLYQFKQAMISSGLVVVYYQSYYPQYLDYYVLYNTSYL NFTLGNQENTFLFSAMQQYSCCQIQCIVTFNSSYGFIFNYDQTILINSLKIEVHLQNNAT QCSLQAFNLGYMAISNYTFYFNKQLDFGFEYALIAFLIIVFILALFFLWYRTKDQDYQFG LQEFEELEIDTFQQ >CAK73843 pep:novel supercontig:GCA_000165425.1:CT868163:428730:429382:1 gene:GSPATT00010115001 transcript:CAK73843 MASTCWQTNKNQAEYQIYQQQAQTKAQAQIQYFVFQDLQYVINLLYQLKAVAQHFKNSEH TMVKLCNNKLMLQLNISYGIHFDQLQQFQKDQTNLNFLIAQRNTAKGILESIIGKMNHDE QKLLKEQLEFIEDYKTNCLGSQCNLKQYSLKNTNLTPQFNEQVLQQLIHSIQQVDNQVTH IKENTNSSFSLEQIERTAYMKEKHKIN >CAK73844 pep:novel supercontig:GCA_000165425.1:CT868163:430979:432201:-1 gene:GSPATT00010116001 transcript:CAK73844 MYSKSDQKTAPRNVHQRGMLSYEKRQLSFRDEFDNIVEYLKKKICLLTQHQSQSTIDGLM DYVFDITSPLLKHIEFPMQIPYQTTFCGKRILQTIEFWTLYQIIFYLLNPQKNQYFNRLE ELFQIRLNKCLTKDQIIDTHKNIYQIEQVQQFTLNFQKLCEIQNETIQSLNNNQEQYQNL KNFKIPCKKIKIIINTNNNQNIKKEEFSNHNQTESILENINIDRTNYNSTFNESSAIKDQ TQLQVSTILMNQFIKDEQKKAIFKKELQELVIESINDTINNNNQYIETEISRTQNPGNET SQFISLETLYLSSNVEFVVLIHNVNRNNSNSLIQVLEGEYEGQFALYQFKEAQDEKVKKE DFDYYQNYLEYRKVRQNQPLVVIHKMQVNNDR >CAK73845 pep:novel supercontig:GCA_000165425.1:CT868163:432248:433043:-1 gene:GSPATT00010117001 transcript:CAK73845 MDKITLQGEINTLKLENMNLKFQIKTLLESRQVALGNNEQNINYEDKILQLQTTVNELRN MCDDLIYKNEVLNDDLEYERNKCQNFASFNKREFSAPNFQEQINNLKKKLEVDFKNSNQY TEELVKIICNQYETIVQLDLDKSKLITKLKLQTNSGIIIQDEDVSQNSDELRLTIEQQRR QIKSLEQQLHEKQQSYNELNQKYQMLLNQFQQQQQVLQTNQAKPSILDTLQKSIIQTQPS YDIHQSHLSKSIIQSK >CAK73846 pep:novel supercontig:GCA_000165425.1:CT868163:433626:435275:-1 gene:GSPATT00010118001 transcript:CAK73846 MTNIIEQSMIINHLTQPQKQNNPKQSSLQSNQISLNSKNTQSWQSSKANIFDIQNHNMAI QQDQENVTNILISSGDLSQADVKENDIKFDGKQILNKVNHKQPFSQQDLFEIQTANQEKL SPQLIVMNTDNTTHHQIDKHKQVMLQIGNKNQNQNIQQTVQIVEQQAIQEEVVKPKPLDK QMIGNLKEIFQFYSKQSITANKYNTFEKLLQLSNIMILQKFMFFCKDFEIIDLEITNDLI LKLGGKLDIIINKHKNQFKFNHKQNFIVTKFNLVEIYKKNANPQMELKYENFQMVIIKLA QITFPEQNDLFYNYLGLIDPKQYRRKMQLIGKPFNSKEHSEILTQEKLYERKIFLLPKPN ARFESVKKERHTVEIHFPRVNLTERCRMKQKKNETVQKDASYINWDDLDDLQQQFDPKQF ILEQDLGDKEDDYYLQEYAKHGQNVKAKIQNQIIKLKELFVQTPQISLESNNLSANHNKR KNNMLTTEVTRHSNNKSIDLYDISQKKKSLGQSPQNIELTNLEKQNQIYRIFLNQQSFKE KMVNKKNQF >CAK73847 pep:novel supercontig:GCA_000165425.1:CT868163:435742:436972:-1 gene:GSPATT00010119001 transcript:CAK73847 MMDEQGVLVRDQLANDIKSIKKTLKSWTKVFTQIMELQVQNSKQQNQNTILIQQILQYFS KPNKKPQQMPISPIRKMVHKTEPDDFFLRKFEDTEIYNNDNLQNELNNPKKMSIVNYQGT PFDSAYESINREMQQYFEPENQVTEPSTEVFKNFNKMGQQGVKATLNMEGISLPQSTKNN TERKQFTQPCTLSSKQLQLQGSKENMKNSKQLEMKKIKIKEQRLSTVVEEPSAFLNSQQA TKQVLLNIGGSQNIAQSCQNKNSFAGFEFQIPLQQSISKTPNNNTKPQNYFADNTRSGSK SLYQNNIPQNIQYINNSNNKQNQIKYEYLSSRAKSFSDQNSKRNQSKKCLDLELIDKQYE NSQEILKKTNTMRKT >CAK73848 pep:novel supercontig:GCA_000165425.1:CT868163:437005:439731:1 gene:GSPATT00010120001 transcript:CAK73848 MKNYASPQLQQSGRGSIQDNPYYMELKSKKSNSKIANTERTSGKNTKQDLLEYFKKERNT LTQVTNSIKTQLQQNRSIDNLNSQKSERFKTLNAQYQQIPLSLDQRLHTDEGNNIKRQLI KGKNLSLATIQMESKQQKINSKQSKVNQTPQSAYKMPKSTVDQYFQDMQIKSAKQYKNHP QIEYQQYFNIRPSKTSLLQESQALKQSIEHILSKNKGDSKKHVKRVDSTEPRSINQSTQY KGESSKKINRAEESNHYDPKKQLKIVLFYKNQKYNYLFDYQNKTTDNLYNYLIQQIGCIE KSFAKQGGGTGSTEEDQIQQEINKICQFYCVQKNVPYDYYLSLPNLSLHVFQGITLQLQP LIAQSASGKRVNLKDFALVKCIGVGGFSRVYLVRKRDNGKFYALKLIDKSFIMENQKEVI VQNERDIMVKMDNQFITPLHFAFETKYYIAFVLDYCAGGELFFHLRKLKRLSEQDAKYYF AEICIGMAYLHAQNIVYRDIKPENILLDLQGHLLLSDFGLSKPNMSPEDFAYSFCGSPEY MAPEMLLKSGHNYLVDCYCLGALLYELVTGLPPFYSHNTQEIYNSILTEQVLFPPYVQIS DLLKDLIYQLLEKDPSQRIGQTQGVIEILTHPWFADADFEAIVNKSIKPPYKPEPLQYNF DEEEFNKGDVEFRKQYNANLQKEYYNDNFGNYILQNFYYSREDLPESPEHKSKRSNVIDL TQLHFEGVPEEQSSPERVGVYTNDFRRVQKYQGKSDIQDFLKRSDQNNNKSSSLIQSSKI SHAYSKTMQQQHSHTDLQTLRLMIDSTKMQLSSERTPTLPENQSSKFQNNRIKTEQISQM LYPKTTTNYQFNKQTNLQKLFGSDKRKK >CAK73849 pep:novel supercontig:GCA_000165425.1:CT868163:439793:441795:1 gene:GSPATT00010121001 transcript:CAK73849 MIQVLDQNDREIYKYRLLQGENRFGSDPKQCKYLIRNVQGVLFSVALLNNDVYFTHFSNT DQVLKESTLKQDQKVEIPKNFIIEMVPLRNYYFQNFKFKLLNSIETIQQNIQCTLQTTQI LEDFSGTMALDDILDIPQQVAPKVQTTQQISTTQVLSSQDPVVTSTLILDEVDQSTLSSL NELISQYSGINSMVKSNHLSTNDAQTQTEIIEAEPQLQKTRTLFSDLFKTKRQTSQISQQ IYQHPQQPTLIDQTMIDSQMQSIDQNQNHDSQFVNKKAEIKGTMIDDDLNKAFSSSQNKS VDKLEQSQIKRFNSIQSDNVQITQSKQENNNSKIELNDLFGSLPEMPNQQIQQQQTIKKF PQPKSNILNTRYDDSQDDKNNQQQDQTPIKVKNQKLKQTSSIEKQGKKQTKGDLKKVALL KKITDEISDETSEESNQSKQQIRHTNSIELPATKIFQQNDSKNNKKLGNKKNQQKKVKNV QLIAFSGFQPNEIPQANDLKKLGLEIVNNQLEKFDLLVLNNPPKRTFKFLSALLLGAEIV TLDWLTNSLKQGKAIQKFHDYVPNSDEFQNSFGLSIEQIVQSRDTYFETNKNLVKIFDGK YHVKADVTPSKAEIEYLIKLGGGEVLQKPGRNVITICDTKVGNSMPSDFILDGCMFQS >CAK73850 pep:novel supercontig:GCA_000165425.1:CT868163:441901:442254:-1 gene:GSPATT00010122001 transcript:CAK73850 MSTNQQTNTQELSDEEFMKLHAKQFYKTHGQLIGSKQSYIPVPKESNHDGKFTDHLLMAT MYRNNSLNTTCDKERWINGSKDWMEHLQ >CAK73851 pep:novel supercontig:GCA_000165425.1:CT868163:442386:442968:1 gene:GSPATT00010123001 transcript:CAK73851 MGCAQIVNHTQEVHQPQLQRNQSKKTTLEQTKNDYDSPIIFPLTFPEGFGRYRKQQRNST VKLKNTMKVEISLDSSRNTILKRRTTLNPYT >CAK73852 pep:novel supercontig:GCA_000165425.1:CT868163:442981:444775:1 gene:GSPATT00010124001 transcript:CAK73852 MQFTNLEEYLTTRMQEMSKFYNQLNIPIYDEIKYNVQVKEQQSDIRSQKHFEQKQEASQS SFMNEFTEENYNHLNQHADIFDEIPIKGAQKPFEQLLQDQGIVQQEKKDKKEFLKKGTRQ FLSNAQTRSELSRREHQEILKMNNENAVEQQQPKKLPVHFLQKGKGKQCTQKANDSILDH TKNDESIIQQDDDKNESKKERQLLEQQKKELQRLKIQQQKQLEQEKQEFEKWKEEEKKKI ERITKKHYTIQQQRQQQLTTKQLEELDFLRKRVAQQNEEIKELKLQLEKSQQQIQQQMSN SQTTSTHNINKLIAQSSPPQSNYSTKASIASENKANSYAVMHNKQVCINEEEEFESQNGE DQNSYQNGDSYEQEKIKIDLIQLKSQPIISIDIINDLIDESEFEFQNNKYYGQYLDYLKF DDKVIQQNQSADGKISRTYQSGKKEVVFNNGVKREVFTNGFTIVHFTNNDKKQTLPDGTI VYYFGQAKTTQITIQNGPQIYRFLNNQIEIHFQNGEKQIRFADGTKKYIYPNGEEETLFS DGIFQKVDVNKVKYIEYPDGKIEITYPDGRIENQSPKKQNY >CAK73853 pep:novel supercontig:GCA_000165425.1:CT868163:444882:446735:1 gene:GSPATT00010125001 transcript:CAK73853 MKLGFGFCSSNPYDPRAQQELVLHISNQVQKGNDFTIKMYSWMKISILKQKIHKTTKLDL ADFRILYKNQELSLNNEDLEDYGIKNHVTLKLMARKQAQQLYFINSIHNILEESKKTQES FQNAKWAVEGAFMKGITPKLTDFGTQGTYILEDLNHKPVAIFKPYDEEAFAPNNPRGMRA KMNSPGLRQGILSGEGVDREVAAYLIDQQSGHYHNVPITNYVQICHPAFHEAEEYKQFQY EKIPIKEGSFQLYIKHDDNVGNFGSGLYPSIEAKKIAILDIRILNCDRNEENILVRKKKV NQPIGQTRQAYDYFLIPIDHGYTFPDSFKICRDEVVWYHWGQMTQAFTQEEKIFIEKIDP IKDIQILREKVKLREICLRNARIATTLLKLGAQADLTIHDISEILYREDPDELSPIEIAI SKAEHNYDNNIRVPKGYSNFKEKIFSNNLIQKSLEFDQSKLQNEQKPKIGQPFIKLEIIR DEDENKEIKRDQIIKNNQQNSDKKLARVGSQQEIKKCPKPIEKVWNEDFYSHVTFFLQQI IDSKLQEKSQSPRKYRARYISEEVK >CAK73854 pep:novel supercontig:GCA_000165425.1:CT868163:446766:447683:-1 gene:GSPATT00010126001 transcript:CAK73854 MKQNKQNIHKVIRKRPWTDEEDLRVIELVQEFGPQKWTQIAQQLDGRIGKQCRERWHNHL NPSIKKTPWEEDEEWILFLYHKALNNKWAEIAKHVRGRTDNSIKNHWNSGMKKRMTEFQM KLGQIKQKFQREGISILNDYDPLQKKALEIILMIKQYKSITSESSESDDVSYPTKQSKKN RLLKDLVELEGEQQLKGENNEMIEQNYISDEEQVMSNSVNSFQLLTTSKKRSKSEYEENS IQHY >CAK73855 pep:novel supercontig:GCA_000165425.1:CT868163:447797:450540:1 gene:GSPATT00010127001 transcript:CAK73855 MSEDILSKSNMGEYGEDIDQQLYERCEQFCQKLRRTNQQLYKQFSNIVNQNTKAAKEQLG QMLSEEPELLKEVNALLEDDVKFKTQKEKLTEFINNETSKPKALETILKQIQNGHLDGHQ NKDHILELFSNEPQLQQQFLQSFKKKHDQIPPKKKIEENRNYFQKLLDKNGVVDMNELLF WDKFRMRVVQQFPNEHQQFMNEFMKIIHLYSECIITQMEVMELINQQQWLETEYIDEIRS MLSTRVIARRIQTPLFKPLKDTDFAQVDRVTRSYVRMPIGYAKANNNSEILNHSWVSVPF GSEDQSFLIMRKNTFEEQLFKSEDERFEFDVNIQQIKRTINLLQEIIDGNKEDPILIAKV IDMRILQQLYRNQTQDQNEVLQIFQTKPAESAKILIKRVKQKLNELISARNNKAKQIWEN VSLNNFHRSLDHRSFYFKKNDKLVINGQRFAREIEEYAKNILIKHLGTKEHVFVKRKQDQ IQYTFENSFLNSLAQMTNIRPILPKQMPLVPATRDNIRFFPLISLWMGNEQILQECGQFV IHYLQIMGGNNSLDKRNGTFFMIKLINHFFQVSMKPMQISQLTLIDTKLKNEIQELEIYD IHYNPEDASTSIPEIENESPGVFLNLQPKVKSTTYVTQNLYILLRFLHTIYQRLEMAYVI SQQNKLGQDKRYNLFKSALFLSLKAKDFKYEDHLRSLFGKHGFIFSTLEKVFHDAAKQLA ICASDVVTMSFFEKSVSVEGDLDKYFQVVSSIVNGEGIKVCDLEAQVLCKFSQLQEEEQL LKLHQAFSHHKPEKRPIYRIACWHRACFISILPAYGGCNQETCKSCKQPFLMRNMKRGER RMMITNSVEWSVDECSHFKPIINQGEDYLKCV >CAK73856 pep:novel supercontig:GCA_000165425.1:CT868163:451004:451638:1 gene:GSPATT00010128001 transcript:CAK73856 MEVNMKELQEGPALAIEGQTNIDLDSEERNLEEGKTKNHIQVPVKPAKYILTQGDKMALK LAKGLILSNLIGFVLLFIGCAVIYTTLKSNWYDEMIVSFQIFIFSDVLLLGEKILFFAAL INKKKMVKLCPFLNVVSLLLKIVASGLIVHTLPSPLIVSLILVLLQMPHNIMIKVITKRW RNIPQRKWKKQLVLVRKPIPQK >CAK73857 pep:novel supercontig:GCA_000165425.1:CT868163:452002:453724:1 gene:GSPATT00010129001 transcript:CAK73857 MIDYIKETGDILITKQGSMSSFQQNSDSSPKNLLGSHKQLRFPTISTSLLKSAIEANYLE ADQRNNIIRNPNQLTVFRMHFDTNSPRTQAAMEILKLHVEDLQVKDYEEYYQNRKDPLQN LISYLQYVTNKYKILNDILKKRNQIKHIQSQVSKQMNSKNIKFKDESISLEQTELKKPSC EDVHSTILSATQKKELFDKKLIKASENYNKFLNLVHDQINKQDEYYSKTAPEMYQKAERL KQKQLELVKKKLKRNHLKVDQICQKAKMEEQTQYREHQKMVQELEKDHTLHQERKQKQLQ QAQEELLEQLRKREEKERERQLKRQMQMNLEQSMIDQVMDSIKKKSDYMNEYLHKKQEED KKKHNQNVKIFEEKQKKLQESYVQKENQNVQNYFSKSTQRLIQINKHEISQLKQQKSLSL KNSRIMKRVEQFQESLDQKRFEELNSKLQETDEKLEEGMKRHQSLLDLRKIKLTQKNEHR FKLAKQKQMENMLEKIYKQNKILQKNLEITQKNDKIKQELEFLEKYKKEQLQDHVIQRNI LAQKLSS >CAK73858 pep:novel supercontig:GCA_000165425.1:CT868163:453837:454577:1 gene:GSPATT00010130001 transcript:CAK73858 MNEETQTLLKKILEMQLECTQLLTSILFKKNPTSNDGEQQNKKSEQIEESKLQLEGSKRR GRKSIKSAIQVDTLLQSEDEIQLQQLFQMTPKGLLSPEQCYKQLNVIVQKFRQLNSLANK NHQLDIEYIKAYHSKNKFKYLRAITKLFVQSIKNLKQIQSKDNQSDIFQCPSCDFTGISS VFYPHILKKHCQNYHIFSCFLCSKDYQSHTLLHTHLKRYHGNSKKNQKSETNILLQQIDD DVSISL >CAK73859 pep:novel supercontig:GCA_000165425.1:CT868163:454611:456371:1 gene:GSPATT00010131001 transcript:CAK73859 MMNHFFECPHGCGRKFDDNQRLEDHIKRRHFLQQKVYPSLYENTNGSAIQKRVNVLRSKL PQLNDNHSQVQQQYSQTQQQLSESINTQQLPPKPISKLQLQFKKGSILRPNSSNKKLDDV QKNADQKDKQSNENKEIQKSVKIIEPSPVISAQDLVEARKLITKQFICTNSDASDLESVT HLTLNEKNLLVFESTTEVPFQDLISLKSLSLSQNKLINVIGISVLHNIVDLNLNNNKIST LQPLGECKRLQKLYANNNLINSIEMGLMIHLKIFQIGNNQITEFEHLVNSIKLMPSLKDL VIECNPCTHKYAYKYDILWTVFLDKLDNQIITQQDYNLAQAFKDSKQESKLSQSVMFSKG MRPQTASTMNQSIPFQDPQNENSQTNIVLEQINQELEEEIEELYDINSNMREKIDKLEKQ NVEYRLIAERVPFLEEQLQDMRSKISAYESLIIFKDSPDNELRKHIFALTQELEKLKSNN NHLENYEEENQTKFNINQSKFQNTETTFIQQLSQAESDNENKGDDEDDDDKYFRDDENIS QIPGQEIMELIMRNSMTLSKLKDEFKDIN >CAK73860 pep:novel supercontig:GCA_000165425.1:CT868163:456424:458378:1 gene:GSPATT00010132001 transcript:CAK73860 MSEYFRKYIKKENYANHFSTNIKYKTSFRNCILEAFKRRNWKETDGDDWDIMWAEKEWIH EVMDHIHLQPHQKINHFRNHYELTRKDLMIKNLKKQKRTLEKEGKIDEANAYNFFPLTYH LPSEYPIFNEEFKKQGDNKTAWIMKPIGKSQGRGIFLFNKIQQISQWKNQVKFNPENPSA ESYIVQRYIADPLLIGGKKFDTRIYLLCTSYSPLTLYLYRTGFARFTHHRYDNEDITNTY VHLTNVAIQKTSDNYDEKLGGKWDLQKLKLFLMTKYGQDKVQECFYNVQQLMIKSLLAVQ KIIINDKHCFELYGFDILFDSQLKPWLLEVNASPSMTSNTPIDFELKCGLLDDVFTIIDV EKILTGNEEQIGGFDLIYKGGPIKNNYNCSSISFLGTFNNRKLQLKKLAKACALKLAHAN EDNQVKSSEGTKKDKEEKEKQMRSSSKSSQIAQQVFFELIAELKQSEQSCNPIKRSDEYQ EKLYGSTDINEQTKYNTEDAFIKYSTKIENINLTIKRGIPIFKLEQSLNNSSFSPNVKIE SASNHQNHYLAKDSFRTLPKIAKNESYISDV >CAK73861 pep:novel supercontig:GCA_000165425.1:CT868163:458504:459480:1 gene:GSPATT00010133001 transcript:CAK73861 MQILPPAERVLHFQETKSWFNSRHPSKVKEKYRKTEKELFDDEIVTETFHLIDRDHSSIL HQYKLQDTIEMDELYSMLKKNNYPVNLGLLKAFFEMTDRDGNKCIDLDEFKYVIKDEGTS QTFRSLMRKMREIGEENYYSTDFVNLLRYLSYCANRTDLIWQIKNTRLSFEQRSKLVSEL FKVNQQFTKISNPKEEQKCTLRPFMKTKGQDEIKYLTPINKKPRIITNLSTKTRISTSNQ NSILSFDINSISSPRLSPLKTDRYVFKKKMSLTTTPSTKTTLYSTKSTQKINNKF >CAK73862 pep:novel supercontig:GCA_000165425.1:CT868163:460901:461992:1 gene:GSPATT00010134001 transcript:CAK73862 MEGSFTINFRLEFHIKSFRINKPNGEGSFKWENGEQYIGQWSNAQKHGFGQWIGTQDDYY IGQWVNGQQDGLGEHKWNGDVYLGQWKNSVKNGYGVEQFQNGDKYIGNYYFGKPQGQGEY RWVDGSIYSGQFQEGMRHGYGRYINKNGIIYEGEYQKDLKHGIGKLIQNDGSSYSGSFVQ DHRDLPEVDYQKSDKIVHATHQRNQSPFISPHTLEKPKQEFTQRDNKTINLQNQQSQLNQ TYLNTYQDPNLQFFHNKLQNLQLPEISKKKVQVPNKSARTHSMNQKRISQLNELKQNQKS ASIDLKDIYIGDAYRTIKKKQKNYKDRSYLDLINNNKKSIKNVFVY >CAK73863 pep:novel supercontig:GCA_000165425.1:CT868163:462133:463536:-1 gene:GSPATT00010135001 transcript:CAK73863 MQSNQIPIAGSVEIITNKLYWISDRQAPRNQPNAFYFCIDQNLVYEPFFADFGPLNLGHT YRFVTELEKLLNDKTYQKYAIYHYTSLDSAKRANAAYLMGAFQIIILKYSADDAWKPFLN VKPEFQDFRDATYGQCNYKCTILHCLRGLEYAIKLKWFDVRKFNLRDYEFYERVDNGDLN WIIPNKLIAFSGPSATQKDADGNRTFTPEDYVPIFKQFEVTCVVRLNKKQYEEQRFIKNG IKHEDIYFLDGSVPGDDKILRFLEIAEREKAVAVHCKAGLGRTGTLIAAYAMKHYKFPAQ DFIGWIRICRPGSILGPQQIFLLQKQDWLIDLGKDSQIWNQVNQIAQEVKIEQRLQDLQI EPMSEGNLNLIVFQIEDKFKAENGDQDQAEILNLAKLMNQNSIGPKR >CAK73864 pep:novel supercontig:GCA_000165425.1:CT868163:463790:464967:1 gene:GSPATT00010136001 transcript:CAK73864 MSIDDIYLKKPKTKFTIESGSSDDFTFTCASMQGWRKTMEDAIIKEKLSTGEYLFGILDG HGGFEVSSVVSKYLPRFLESNIKFRNKQYEESLRESFIAIDKWLITSEGLQALVEEKYQM PVDDLIKNIKNQKKQLNKEFFDLSQLAKLASKKIVELIGTSIIDESGSTANIILITKDSV YCANIGDSRSVGIQKGKAIIMSFDHKPTHAKERSRICQAGGFVADGRVCGALSLSRAFGD YQYKDDMVIAIPEIRVFKNIQMIFMACDGIWEGLNDYGENITQKIFKNNREPSDEKLKSL MNQILAPSMTEETIWGLDNMSCILIDFKNQQIKNNKKPLKIKKTIKKQKK >CAK73865 pep:novel supercontig:GCA_000165425.1:CT868163:465257:466739:-1 gene:GSPATT00010137001 transcript:CAK73865 MIKQLISSIIECEKSLENIRIELNQQSLFSLKPIMHRLDSNNQGHITSYDILQFCQDNDI SCQHYDAFLCLKYLDVNKDGRVTFDDLAIQILSKTNREKRFVAAIREPYFIEKSMCLPEI IETGLTYYFGELLIYLREQLEIKKSLEKENFNYFKLFSQLDQNNNGIIHINEFLKYFNLT QEDANYFYMMGNHNETIDQINLMDIFVIPQLEHENIKQEKAQSPFKKNAFQQFTYQNEDS FQSPEQRSTVKYKQAQLKLLNSDQTHSNTSSKFVDELTKKLQDFTFTPKSNKTTCNIEIT SFDKFAYQLLYQLLLKCKFLQKTQQKLIQRHDFCCKWIFKVFDCENKGFITEEDFLSGFR KLRIQIKGGPRDLILNYGQDFKINYEQFKKLIYFENDADIDENSQFNPQIFSNVTIDYIR QLLQEQIDIESFKKSYVQYILKKANNNDESIIIKKQIQELFNKYNAFPNDRELAILTNQL QNLYF >CAK73866 pep:novel supercontig:GCA_000165425.1:CT868163:466772:467919:-1 gene:GSPATT00010138001 transcript:CAK73866 MDNSQNLRSLLHNIPHDVGYEKIRKYGRTNGFHNIYFRRQIWSKLLGVDQQLPYQEAEKC LQHDQLLKDVNRSLNTIQQVKNFKEIDQLRRCLMDVLDTIFSQNPNYSYYQGYHDVASIL ILVLGVEQGYIAATYAAQNFFKDYLAQELSTTITPQYQFIRNLLLRYSRDTSNLKLRQLM LCIEHPTCVIPWILTWFSHSLDNINDICRIWDFLLCSKQNTVLYVCAAFIVIHHEVLDLR NEDDLIGEFQELFQNLNNKDRISDLKIEQVLQLTKALESQYGFELICDQEHIQFSQKFNI QLNISSIVYQQNFKSQLPYYNSLAQLEKSIPKKVFNFFNERQDIILNLGGIALSYLIQFY IVKN >CAK73867 pep:novel supercontig:GCA_000165425.1:CT868163:468084:472328:1 gene:GSPATT00010139001 transcript:CAK73867 MINQQLLQTTKWVQDRDAKACKKCQNPFKAIFRRKHHCRNCGQLFCDSCSNYFMDKTNFK NYQEIKKNKVRLCQDCYIDINRKLRASGEVVEDKNSLTVLNSQQLRRHSKSFNVTDEKLP ESAKQVYIVFRFIKTSPPPPLQLVPQIAIDPQPRSQNCKLQIPDTITMGDDIEQKQKKFE ERMISKVEEFVERRTLAYGIKEKWQGMMLQFILNAIEYLKQAEILPLKNKILLIRIKIIP FIHYASTRYLRGVTITKNIAHKRMKTHHKSPSFLLLTGSLDLDIQNFDNVVKNHNKYLQQ ALEQIDMLNPNIILIEKGINNILLNEFLKRDITVSIQCSTKQLQKVEVAVKARIQRAADV FNKCCDKDCLGKSDTAFYESCDPDSIKQNQTLYSRLDEKDKALLEAHLGKKNKDKTLLFI HTPQYATSFQITLSGPKITELIDVKKCFQELCCICYSMSLELSMILLDQKLKQDLEQNYK QIDNQKSPMMNSGEISDFSIQSMTEHENQGFKVCKVRFHPAVISTIHDIQKRNNDQSLEN YIRQNIKNINNKKISYFSQFCNFTKEVVKFYENEDFGLGHYISSKAIDTTTKYCSIKQIH HVSIRYGPGAFVRCVVERKKQQNLQVKASVNLSKEIIKKEQSFLQMQYDETSTQCEAVQG DLDYKVHQQLNTNIITYIKCLNANCDRQLTKSFKLERSHLEFSFQKLIQYLIMSALKWKK LNKTNWLVFEGELNEQGDQFDGCNHNQTERVFECNDFQVKLFTNLFDIYRIKHFQFNCQE VKQHIEKCDQEEIDKRRDTLISHLQKLQQQILKNDKSVRSSYMTNSTLSFDKDPNPFIQA PENSITLIGNFMEKLTQSPFPDFLALEQESMQLYSRIYSIEITDQLRISRIKNDNIISKQ KTSQFSFVTNESGPVIKNLSKKILKETTTTLSSINENSFPIQLLPTQQYTQSPESPSQEN FNQFEDQSEIGFDKQYDNDQNQQNSIKSFLLNWPKPAINFDQSNMQIKSFFDYIPIYNQN DIGLVASALNHPKYYDLYENKYRFTEFWEKIQDQSLQKEVEIEAAKILKDQLKNAVIEEF QIKLSKFTALMKKTSLNNLQEVDEDEQAVIQNTAESPRRPSKSVTIQLYYPKQFECFRML NGINIKQFIKSIASCSNWNSAGGKSGSTFYKSADNLFIFKAVKESEFSMFESFAPKYFEH LYSNIFNQRPSVLNKIYGMFTIKNSRGTSYLIAMENLFWGMEGELTVYDLKGSKAKRWNR KNLKTLLDTNYIIDRNGEPLPIQEQDFNFLEIALEADSEFLLEVEVVDYSLLLIIDNQNH QIKLSIIDYLQCYDFMKKMETKLKTAINFGAAPTIIKPAVYKERFIDAMKKYFMGIYSTL >CAK73868 pep:novel supercontig:GCA_000165425.1:CT868163:472398:475634:-1 gene:GSPATT00010140001 transcript:CAK73868 MDNFLDNNSDRNSVNDIRRFGGGTSRYKKKHRGHSRVFDDDYLQKEKRVFKQSLQKLYEE SFIKNIIDNSYIKKIGTLSTYQVQVLDDLQFGTDKQIDDIDNVFYSFFSSYLDKISVIYP QSNFKILWNSIQVSTFFLIFLWLPYKLAFETNYISQFYDDEKTMQIEIAFFVISAFDIII CLNEAYIHKGIIINQRYHIIMNYLKTTALPDMISIFALLYELILVHYYENQFIALQITLC LIYGLIKTIKMKQIFNQIQEYFNIKGALKDCLQMTQIVCGLLYFIHLTACLWHGLGQPTY KQTWLDVNQLRGANDSARYAESLHWAAVYLTNVGSTDIMIANSDEKYFAAAISFLSLFVV GIIIVALGFFFHKSQRNKIYSESMNLMNSFMSMNNIDFNLQVRIRNYLDYICKAEQSMID ENVSSIINKLSERLQAELKYQLRASILESCDFIKKNFSLKFQQALVPFMQEINTIPEERV VEMNNIDDCSIYIINKGEMEVVFEAKNKMNVKFKRNNIKVLEKGEYFGFYSFITNQPRTA TVVSKGFGKLFKITRDNFVNLLDQFPDEREIFYMIKDKVIINQDLSDLQIKCYGCKGNTH MVNYCPFLHFNPNQDRVIKQSLYPHQQERDDPYQYTSIYMLFRPRKSKFINALLQQQIIE QIAKEYQMQQYQDETQEGTQATHVLIQDDVSDSDSRSYSQMRTRSVSRVNTHSILSMQQQ SSNYKIGQSHMAQQMVIQQGNHLPQVDEDEVEVEIIEDQEQEKNQEADNNFDNQMNNRKE FNRKNDPKNTIETAGFGNKFNKPSNADQNIENSEESEEDEEEYEEESSSQHQQQIGKSVS KGSQSPQNSGTNPNPKNQQQMAQKVTFNASSTQQQTAGSAANIRPRTSIKRGTTKTNNTA IVFDNVLAALQENQYLTIEFVNDLKFKQPIITFPSVGDFDKIMTFRKYFPQHNISTVLKL IEKYQKQVEHFPIQSLYSFLYTAIFRGKELRKKAELAKQQQKQVLYYPKPTNKPQKRQLT KRQV >CAK73869 pep:novel supercontig:GCA_000165425.1:CT868163:475724:477464:-1 gene:GSPATT00010141001 transcript:CAK73869 MISGFQTGKNQKIQLVENEKYNKIIIQFEDLSEEEEISNSENKPTKNDLNLLNSLSKEAI KINNQLYHPSKFAEQGFKFLSKTPRDSLSEKKISYQTVIMADEIKKEIITPIKKKEPNNY NEIFQMNAILLSKISKLPKCLIGEKFCELSKQLMKNSVLKQSSFCRLQIIPENLDWKLIK EYFKQYDVEKDWLFQQAKLIFWKLQSKNNYTDQKIILELEYRYFIQYRLQSRTIIQEIWT NDQSLNQHMILLVVGVEQCQSRRILELSDGWYSLFFVCEQSFDQIYNRIKIGQKLHVTNL KEYPIIFNTSNVKIITQYPFTKLLVTTKINSIKKAQINSKLGKQQQKYFIRSLKSLRNGT IPCIDVFIVSKSYLIKVNQKNQRKALLFNSETENEEELQQLRNSNLCFWITVMDSLNYFD ERKSKITELKDIIVYINDPLQYEAISVGQRVQIINSIQFNQEISVKITKSSGFQFELQQY QDYFNKLQFVSNRCDMQIRVNNHTKFELIDVIEGSNDQNQNVKIEIQNTFFGEILKHQQM KILKMTQLNKINNERFQTTQMSKLINIINYNK >CAK73870 pep:novel supercontig:GCA_000165425.1:CT868163:478409:479753:1 gene:GSPATT00010142001 transcript:CAK73870 MEKVFIYQHKSKQFKFQEMYIYDNVIVVKNVYYELSKCYHEIKLTKTKNCEGLYLIVLFN NQGKEFIIANNEEQMKRIQVLLKRFCINKNYFGKYQLLQRSCLPISQAIKNNSEEHCTQV YEKRLLKDPKEFQIFHNSITIMHTLKTKHSTPKLYEDNYKYYILGEKMKLQSLENLLLNG FDFQEVPFVSIIFMILQNLQKYQQRNIYHGNINLSSIFINVENETLEIVILYPRYLEDSD FTIENDLYNLGILIYQITFYTMSNRINQHVDLDLIDRIHNLMADQNYKENKYPYLYRVSQ LDLIRQLLQPNISLCRAIKHQWFVTIQQNIKHQQIHQQLNPIFLPTIVEINDSLINSPHV KEGRIVNFNNQQFLQDQDPEEYQLIKCQIVNTIRMIPSKRKHDEVSQCVVHNSIVKRTSL TEITKSTTVK >CAK73871 pep:novel supercontig:GCA_000165425.1:CT868163:479845:482427:1 gene:GSPATT00010143001 transcript:CAK73871 MQNQLRFRRKCKSMRNLNQESIIDQINSPRDQEISLFEFKRFCVLLQKQRQKDKALKQQQ KREHLRNLMAKKFRLIYNVIRLLHHHERVILERHDEGLKKKSAFLIFPVSYEFNQGDPSR RIWDIILLITYIQTAIYLPLRIAFMDKGSSGVYQLDLINDIIYCIEYQSIYIVTDMLCIL RSCYYNRQNVLVQNQWFIFLNYLKGWLIPDIITLIPLDSIYKQLVVKQLVYMFNIINSQD SLEYLESTVYSKCPLFIKKKIFVTSNWENVFNIFNSYQLNIIKIIVKFIYGLHLFSCLWY LASNINDNQYETDQDYKGLLNQNSEYIGCLFWALQTFSTIGYGNDAAKNYAQYIVAILWM FLGASFYCVILLNLSEWLKKLNSECVYVEMQNTLKLFFKKIDVIKEVQTKIQLYLALNIK QNQAWSLSLQEWFQNLPTIRQKEITLYVSYIEIMQIHFFRLNLNFSVSILPKMMLMKTQQ NCKIWIKDEVVNEIYFLIRGKLQYRTQFGKVLLQINEGSVFGEQEYFKKLMLSKQHTRKN YAVAIELCEYIILNGDYFFQQMSSFPALRQYLAIIAHNREQRMKAQIIYNRFEREREIMN TLKLEKIKETCNIDVTRYEGMIKPQKSIIYDTQHSRTQQILEQLIHNRRNKIDKLMQQFK KVVQMIIFANKLIEKQSFNVSKVHPLMIFQQQQQTQTTLKTQSNESRVFISQQQQQFINQ NYQNQNTKKIEKSIIIKMKQFSNASYRKRKQQISKKIWIHNQCKKYVLQQMFRVKYSRHQ IQNTYSFQDYVIEVDDFSHYERQLKIFRTNFQDLKKMKKQLKQNKELLKIQIDDFEKELL NVYTQIEKLELKA >CAK73872 pep:novel supercontig:GCA_000165425.1:CT868163:482710:483919:-1 gene:GSPATT00010144001 transcript:CAK73872 MNRNIPIGNKICATKEIFQNQVILNQHLLNMRPQINTSAPKQYNFLKNKAIKEAIKLQKQ QEIDRENQNLISKLTNIRQQSANNSITTLPINKSSSLNVVPKRSLNKDHRKKELIKIVME NQQLLKRIQDQKSQYNVKDWNEERKCVEKHIASISEYPYKDLKPTKTLVQYWTNSRISET QMQSIFTKYNLLERDSQINKKLDPLVQSKSQQTKQRIRQDYNLEYKQLLFPQDFQQKQAI QQYFYRSIFESDFQPYEPYQDEVAKIVNELIEKPQFSEDKAQSTNQNNQITSHQNQQEEN QQEIIESKQEKHEEMENKQQEQQEQEINNQDEIKNIQQNSHDETHQRENQDLNQENQNYQ EIQTLNFDKQNDEIQDLQDGQEVQE >CAK73873 pep:novel supercontig:GCA_000165425.1:CT868163:484348:486389:1 gene:GSPATT00010145001 transcript:CAK73873 MIKKLSLNFISSELEYSFKKWVIEQNQFIYETTLATISVYLLINLINYNNDVICIITTSI SLCIELTILFFYQKYPLQREQIQSLNLIIYAITIDIYMIQNQAQDTVTEMGMLKYILYLQ GNRFRSQTLLFFLSYGVEVSISDWNVVPQIVHGLFRLFVIFCRYQVEMKRRQHYLAYRSQ IQYENLIEEQLPTWVVLIKHDKQQGQLKIDKINKVMRETFNIVSDQKFREFLRDSNILPL ERQPKKQFNFEELLMEDLLVKQQSNQIKKFEGCFINNQKKWQFQITKIYFNSIEPTILLL FIEQGGNQYEYYNHQLKWRDQQLVNHSKQCLNYIKDQINILKFIKFQSNADQLFHLTNQI CNSYILFNQSLNIHNITQITYNKLKYKINEFKLNDLVNQLKEDLRFTNNQLKLNIILKTD RSKMISIILSITEFIKIMLAIINNDEKQLYDCHPSGHPIQIKFKRIQNKPGYLKIIMKHQ LLKIPLQIQEALSKASSYTDHKKRNWGVNHYYENIQNLSDQIHDLNLKTQKVTKSQISIQ DPDSTLQQQPKGINLKGSAEPFSTLGLPLAQYLISQIGPNNQISFKDIQQQNMGDSLSFR NSTPQTKISFVIYEDLNDFIYQLNEQEPNPYLDCFCSNEVQQSTFKTFHSLSPSHKPKRG SLQSLINTQLN >CAK73874 pep:novel supercontig:GCA_000165425.1:CT868163:486918:487300:-1 gene:GSPATT00010146001 transcript:CAK73874 MPHYPEDIEYSDKYQDDYYEYRHVILPKHIFKKITKGKLLSEMEWRNLGVQQSRGWIHYE CHRPEPHILLFRRPKGTDPNSGLAPQGFSAPY >CAK73875 pep:novel supercontig:GCA_000165425.1:CT868163:487312:488341:-1 gene:GSPATT00010147001 transcript:CAK73875 MLSTQVFNSFAQRQSNGIIKLLAYGVFFGGAYGCAWHQWRGAQQKQLYEKVENEITEWKP VTINGLNANRYPWARNLRDWEYKLVKLYGYFRDERFFVRREREGRDGFLVFAPFVTALQF NDTEQDPEQTTKSQVMVNLGWVPKDNISDIQMGQEPIGTTTYENVPHNEDDDQLTGFNRN ILNMEEDYQMPFVEFVGMVRRGEEEDILKGRRNWPREGVYNYIDLWFMSRLYRSFNLTDS SSAYIERLVQEYDEESANLYPIPATKDNFDKPLPTPQTHQAYSLFFGLSSIMSLALLAIR R >CAK73876 pep:novel supercontig:GCA_000165425.1:CT868163:488371:489812:1 gene:GSPATT00010148001 transcript:CAK73876 MINQVCDYHPEQRITILCLYNSCSEDRLGCQKCLLSLHKSHIKSCVLISEIQKKQNCVEL FANTQNEQQIIKFSEDELVHKDKLNKITTQLQQSLKGYLKQIKLDLLEQESKQKTIQMKH NKEILNSYFNSYEFEKLKEQLTKFKTGQSTEEQINDFISQIIKDRQNLQNVCQNQLVQGS KQNGLTLEFKDMVVTEVCNSLESIKNFMRKDDSIFFYKSQLSQEEDANIQETIKGKQFIF NDQDEVQLIYGSCTLSKGIYEFQIAIEYLQSEQQKQEEQQEGLASIVNNSPQLYNPYSQH TIGGHISNSGIFTQQEYQHLHRVYIGVVEDLVKDQMATYSIMYQTQQCCAVDIVRGAAIG SFHMKQQLGEKIEPIQSLFHKNMKKGLVLAFKINLDENKLILTDSEGKTRYEGQLYTMTG DNIKPYILIYKSNVKVTIN >CAK73877 pep:novel supercontig:GCA_000165425.1:CT868163:489812:491055:1 gene:GSPATT00010149001 transcript:CAK73877 MNFEEDNRRKEIQIYDKQCKVWYEFLKEQANKINNYLNDTKSGLDSYKLNLEERLKELDE QYDSHYEILDSLQEQISTIEYYQEVQEQEIEAYQNAIDSAQDEKDKYSRETLQKEKEELI TLICEMKHSKIEDWLKTIKKDLPDGDLKDILKTCYRLFYGAKTFSLEEFIENVLSKGESK TEVEAPANWIKEKGLQQQGNEKLKFKLSSFLAKFAIYNRQELNKDSRQMLKQLLDSQSQR QKQTAEIKFLVSLLEKFNNWDNASSENDKLEQNIKELEQTLEEKQNSPEYIQQQNHLHFL QKEREFTNEMIEKITNARQSLEELLSSFSKYEEYQQQQVDEMQRTVEFNSRNDELEGLYV KEQIEQK >CAK73878 pep:novel supercontig:GCA_000165425.1:CT868163:491384:493212:1 gene:GSPATT00010150001 transcript:CAK73878 MSIEYNQKDQCRVITNSIILFLPDREFYNVSQATLTPRLQEACRREGIHPNELFKKTRDQ VVQLIKQRDTVGAQITEDMVTEIEKHLEDKRKRRINIVRSQRQKILQEDNNVSRNSNHIS NLNLSTMSFADKQQKMLENIKRRQQMEIEKMVEQEQLKERKSHIALQKMLKQQEKEKQYQ DELQRKRQQNEQLRQKQEMERKLKEQREKELLDQKAKENDLKEQKRRQKEHENMMKSKWE AEQRELDRKKKQEQMQRENEQFQNEQQRQLEIKKQQLEQKEKKRIQMMESRTIERKTQAE KERAELEKKLQMVKQYNENLLEKKRNDYSLKEQHVEQKRREFEEYLEKVRLEKARQAEDK QKQINKIMQTNQQKEEEKRQQYEQKQQEYQKKKEVMNEQQRQQMEDKMKKYRDKEYKIQM ILQKNELMQEQQKYDLQKKLDRTQQKLQVLDIKKEEDQKQRITLQIIKDIDKFDNLSRME QISELRRTKLEMKLSKDDERTEMVRRERENLAKQAEIIRKEAEEKRQQFIQEFEKKKKLQ VITQDGQRDKQVSVNNRSQSSGINMRQQQ >CAK73879 pep:novel supercontig:GCA_000165425.1:CT868163:493406:494112:-1 gene:GSPATT00010151001 transcript:CAK73879 MQFYEWITITRILIVYNEMKIVHKMLRIFLNWNSNYLGLTVIFIVDLNEKNHNIIYNFQY LKLMNFYAIDFEDQNDEALEEQVQIQENEEQDYGYDQSSNIEIDKNDTFDGYLQEEPVLL FNYEEEAPKKKQKSIVRKSKKIKKSDTETDVQSQKRMTKFKMSNDIAKLQQCQMLKTIIS QMETILQKTRTQILSEIMKKQSTQQ >CAK73880 pep:novel supercontig:GCA_000165425.1:CT868163:494233:496058:1 gene:GSPATT00010152001 transcript:CAK73880 MISDDIYWVPQGVKVDCTIDDLIQPKVINFAPFQFDFNQIAKPIEEKKEKNIEDEILELL GITEKDLLLESALQTYDHKLYVEELIQEALQDKQQQIQYSPQQKSNSFNSSFKTLYERQY LSYADSTFNFEEEINFKTPDLASLKILVEQYCNDKEDLEDLEQYLANNQQSKIIHKFSQL RGQARQLVFNYIDLQQKDNQFIEILSQKLKELSLINSVKTEYLLDYFNKDQLRNILDNQI PIVRFKILQSLKKDDKLMIEYFELICQLHPDQVITELQKGGYPQDECLKLCRYYGNLKGL AYLLERSGSVLEAINLQFDLFIQGLKQQLQKNPQLIEGQKDLYTYISETLEPTLSICRAN TKRNDDESDNNWFQVLIRLTKLRQEFYKIRFFPALRCFNSHFTRLLEEVLEKTKIKSLLE NLNETMKYFEFQELKSTFSQLLSGQLYELAIYGQSTMLIKQTCNKYLNSLYNQLQSGISV DIYCTHCQKLIEMASKVYIDCKHTFHIECSSEQLCKACINNFGILINKLLTLYTNRKSTR MQVPIQTVESNQQKEEDINQRQSKLNKLREFDYLRQTNKGY >CAK73881 pep:novel supercontig:GCA_000165425.1:CT868163:496071:496598:-1 gene:GSPATT00010153001 transcript:CAK73881 MDKDDLFNVQESLQSPEEKLKKQNDKKKMKVEVYISEGAYEQLRGYQKLKQQNIQTNFII PTPLKQANVNRYLELVQQSINNGHKLHNSKLNKESIDSLIQQFRESEKQKHIQKNSETLI QPQDDNLQLRSKQMIFRSMKDYQPKSESQFQSDIFTKDGFYDRIIQNRITQIFNF >CAK73882 pep:novel supercontig:GCA_000165425.1:CT868163:497156:497908:1 gene:GSPATT00010154001 transcript:CAK73882 MSNDLGVQKKKHKYIDSEEEEQQQQQPVQQPQEQSPIAQTITVDNQKWKYLFEPDSSEQQ EEKKVKKIPNPQITKQKQPEQRVKQIKSNPDTDDLRYEILTRWWYCFDQWPPSDFDYEEA LNKYKLRKVDLSVFKRESEMNGQGFRKVYEVNGYKGVFRTSAGDTLDLRPKEGCPSFEQI SKINSKNLPKILIKGLKAQLEDLIKYEPNNKQLKKNLEHQLAQIQNQYRKQME >CAK73883 pep:novel supercontig:GCA_000165425.1:CT868163:498512:499661:1 gene:GSPATT00010155001 transcript:CAK73883 MKRIVLSLLCIGICLSISNRSVQETLIAEMDNEHVNEHDQLIFRNWMLEYGKSYDNDFTA IHRMQIFMRNKKNIEKHNHVGAKYKAKLNEFSDQDYDELSLKMFMHLDFSDDDFKFGNPH FFSKEDIKELRNHPILTQMREQARKGDSLDWTKQVTPSRPQGTCGSCWAFSSSDVAISRL ALKGKEDLTQLSKTHLIDCCVGDKNKGCNGGSPIGAYKFINENGALKENEYREYDATQQE CKKPVGTIGKGQIADVEKVDDPNFEQIKEALQDGPVTALMYADKSWFEYGGGIIDTCSYV GAKELSHAVVIVGYGKDHYKVKNSWGPGWGENGFFQVQRTGAPECLDKIKKISYPIIQ >CAK73884 pep:novel supercontig:GCA_000165425.1:CT868163:499689:500851:-1 gene:GSPATT00010156001 transcript:CAK73884 MKKLLIVSGLTGSGKSQLVQLLGEQLKTIVIPVDSLQIYKNWPISSNWPKKIDNYELIGK YDGLKQTITSYHYKMEVMEILKQRDNAILEGGCCFFMNYLLNSRKEQFEENQIKAADLEA SKLLENCRDPQALLKEHFKNYDDSINSSDRYRIQKALRFALLTKGESITSPFNQDDPRLC DEMDIRGFFFTEPQENISKKIYARCDEMIRQGVIEEFYQFYQMQHQKEFRVTTPLGYDEF VNLLKCFQSILEGRYISNKRAEKQKREYVLKFIQQFYIKSRQYAAYQRKYFRSNLNSFLW IDNRTLNIPQLILQYYNCDRQEYESKVASPQNEQLKKELSSKLLGKQNDPIPSKDIQEIV YRTVDGIIEQ >CAK73885 pep:novel supercontig:GCA_000165425.1:CT868163:500893:502179:-1 gene:GSPATT00010157001 transcript:CAK73885 MATQQQQQSTQQQPQQQQRQPVRQPDVKTQLKQLYDKSSIQFDDETIQLCPQIKILNNLV KYDNRIDQLIKSKRIELQESFIQPGQYLKKTLRIIVYSELVCDEWNLYIKGQVLSEDKKP FSYFIRQLEVQFDKTYYASQNVIQWNRNHLQQQKQQQETSGFHIKRKGPACDVLISIILQ TYPQKYKLHKTLQQLLGIKEGTRSQILYCFWEYVKLNNLTDKENKDQIIADEQLKQLFGQ ERIPISNLNMLLKMFIENPEPIQIKHHLGVSNYIGFDVVVEQEMSFSPELMPFLAQKVVT EDNSEKKQQRAEHPFIQLNQKIKGFEKQIQKYLDQSKSHKLKRDAYYQYQKSPSLFLENL FLQQNSYLELMQNDEEMSNEDPKNMKFLMKNQELVERQIRKYLEQQQQPQQ >CAK73886 pep:novel supercontig:GCA_000165425.1:CT868163:502520:502870:-1 gene:GSPATT00010158001 transcript:CAK73886 MNFFEAEEEQNHNDSQQYINQCNFNQQEYEVEYQPCSISKTQKNSTRSLTKEMASLNLKS VSSKRISKIKVLLKCNKIYTHPLDQKLMLRAIRREAQYNPMTSDEVQVGYLSYTQD >CAK73887 pep:novel supercontig:GCA_000165425.1:CT868163:503797:505072:-1 gene:GSPATT00010160001 transcript:CAK73887 MRIYALLCIIAIAYCTQTTNQASMFDEQLGLLTKSKLGKTMLNMISLQQFTEVDFSPLYA AIDDIVESTQQAKQDEAESLDSFFQQFLSDSEFYQNQVTEYKTEVAQHESDITTFKKDRN SLQQSLYDKSQQLSDSQKNQAQLQRTVQNNDQATAKKTAEYDQSISIVDQVIAKLQLIKQ SSFIEVNKEDLAVSAATFSRRLGEVSHLPHLFEPLSKVLIQMSTQGFADQEQAGNAVKLL QSLREDLVATKQALLMNAQLEKDTNNGLLTSLEENINLLNNEIIPQLKGDIETKDGEIAT KSGLLKDAQSNLDTAQANLDNVNAGWVARQEQNKQLNDGWDNELMLLSQAESALERGGIR RL >CAK73888 pep:novel supercontig:GCA_000165425.1:CT868163:506021:506364:-1 gene:GSPATT00010161001 transcript:CAK73888 MDDPIAKEITPTKISDTEKRIIQYLEEELLLDQFTDPQLKQINFELSKKKTIFSFKSMDS DTEISEATSCSTIKSSKLHLCKSPLFRRRLSTLVLDQLS >CAK73889 pep:novel supercontig:GCA_000165425.1:CT868163:506751:507716:1 gene:GSPATT00010162001 transcript:CAK73889 MDNLIVTCGEDQTIRIFKETGKVWREICKINENKNPYIVKWIQNELILVVGYYEGYFEVR QGEDFKCVHSFEMFDQLIDFDLQMMKQDAILVCSGLIGNSQYLFQMETIKFQDQNKFVNK KKLQYQLQQQIQNLKIAPYMNNGEIKVAGQFQENAVVINIEYNEELDKTIDKLTDQLIPV DSNCWQFEWSTFGNELQVYTEREVRIYQQNIDDKFVIL >CAK73890 pep:novel supercontig:GCA_000165425.1:CT868163:507721:508193:-1 gene:GSPATT00010163001 transcript:CAK73890 MKYNLIILLVATTLAAIPTKVTNCMADPKVVFTSATFSVQPAKGVDETITLYGSANQHAE LSNVLLKAKWNGVESFEDNYPEDEVYDKGDPVTYSHTQNFPTYTPSGKIVCQFWFQNAKG ANFACAEISFLV >CAK73891 pep:novel supercontig:GCA_000165425.1:CT868163:508342:509269:1 gene:GSPATT00010164001 transcript:CAK73891 MGLYLTKPETAKLTQSGEVFDFAYASTALQGWQIQQDEFVIIKEKFDQDNCLFCIVEQYG GVEYGKYIQDHIVEALINDQEYKQKNYDKAIVGLYERLDNQLKNQFKESTSYQGVTLLLT LITNENIYVANAGLSRCVIRYDGKHKVMTVEHYPINDLEKQRILAAGGEVWENRLNAHLP YSRGLGNFEYKSNSNLDQGKQLLISVPSIKYVDKEDTELILMGSHGFWETWTNNAITEVI LERIQQGKALSDILETICDSIVAQNVESEYGKDNISSILIYFQRGQKKQVI >CAK73892 pep:novel supercontig:GCA_000165425.1:CT868163:509304:510654:1 gene:GSPATT00010165001 transcript:CAK73892 MQKKQQSQPFLSNQQEVPFYCRVVRKHFFRDRVYQLKYYNNQITMTYVIDINILQHLNMD APKYLMKIEEFTTFEWLIKQQRLFGFKFPYQGKLKEFHADQTDLMQLRQRLSNRMVFLNL SYNYKYLTTLESGSFGQVTSNECFFNNKKVAIKHILLNGKKDHQKQIENEIQILRSIKHP KLVEIYEVFKTELYYQIVTEFIEGLNLKQLMSNKLNQFKNEEILEIMQQLFEALTFLHRN QILHRDIKPANIMYHNGKLKLIDFGLACYDGKQLLENPNCGTEGYVAPEVLNVHQTKLNY DFKVDVYGAGCVLYMLLTGTKLNQSNLSFQKNKTYQNSENSQLFELVKIMTKPNPFDRPS SFQILELIRLIKENCAYDISLWYSSAFNLSNSNNSYQTASTARYVHTSKNQKSVCSFNSA RGIGQTLSKQILK >CAK73893 pep:novel supercontig:GCA_000165425.1:CT868163:510717:511490:-1 gene:GSPATT00010166001 transcript:CAK73893 MQENEFAISICVPSFKKNDDVVYYQLMQVFLSPQNNQRCSFSVDYRYSHLKLLHESLSTL KASLPKFPPSNWWRSTNDNEELIEERRKQLDCFFKNLFQCQGVCKSLIMKNFILKSQCLY LKKVDKQQRDILKKERKAQAVPPLNKQKSKSQLTTPICGPAEDPIEDPRERSRSWEFKLT QKKSQQVSERDPKQLSNLNFGGVPIFKGILLRFK >CAK73894 pep:novel supercontig:GCA_000165425.1:CT868163:511745:515052:-1 gene:GSPATT00010167001 transcript:CAK73894 MIVLFKFRIDQFSYRNQSQDVILNLSLGVSKAKCHIGRTRKKIEKKRINNLNHQNLLRQA KGNKIQIQYKGILFILVELSQSEKPDQDHIIRESDVIKTLNKMFNDNPQVRDHIEGQLEK QSQMSSQLEDDWEEDNEKNDQLYSQELIQQVNDQELQSEDRIYVGMITNEFTSQMKKSKR LSMDLQSISIPNRTQSILQSKLIFNDQNQLILVQDDSYYLEQLDKIIYIQRYIKCKLTKE KKEKEKQLIEKMNRYRINVLNELIQTEVKYVADLEILNAIKIKLAKQFSNKKDDIKMMFN IHEILKFNTEFLSELQKKNNLKDPNAIVMDSIVPLLNGFIFYYEYCKAYEQARKITTQYE AIPAFQQCIKDIEVQNLLKGLSLNDYTIKPVQRLPKYVLLFKDLLKHTMQSHPDYQNVKN CLETIEKINDKNNSEMDVYLKQAKLIELYQQFGNIQNLTIFEPNIYFIFEDICSIYTKKI EQRIIIYAFNNLLLFAKINKQNSLEYQLHIPITHLSFIKDIKDLLIIKNSFEFVNRNETI IIVNEDSKTKEILKTKIQLIINSQKEIFESKIDKKQDINNICVKVEILGTESDPKFDKNI TFYKTNFTIDTIQITSLIRFSQVVSLTQLVNKYDSKLKIPTIAKSLSSKSQKVIDERKLR MEQLLQIILNSPQIIQNSEYQRQVLEILNLDTKFYSLPEQMKQKPELFQHKAKLRQQKNQ KNSNLEFLHSFQEEKFRSKISEDDKQIKIGQEAANQPYCIEIMILTGQTLQVGFKKNTTT WFIKNAVANQINLKCFVDFKIFIVDTAGIIRVIEDDEKISTILDTSKTGFLKSLKNMFIN GTKFQFIFRKYLYLPWRQEELEYQQDEVRLKCLMFEIVFLAKDQTFPLSFIDFCLMTAFY SIATQQKIDRNLLKKAIPACVIKQHTEKIWLEQIQREVAYRENQLLEFQTQSQKKDPNKK NYSIQSLSSLLMVTCFQTNVLFGMQLFFVECSKETIQMVQQKEFGIKLSYQVLIGLNFNG LHFIKPENRTWLGRIDFKLITEIKSFPIEFVCKINDCNLKFKTQTPYEIKHLILEYQQIN EILNS >CAK73895 pep:novel supercontig:GCA_000165425.1:CT868163:515909:517217:1 gene:GSPATT00010168001 transcript:CAK73895 MDEDQTQQEEGEIQSVKIKKDKLTKQSKGQAFITFRNRDSAEQARKKFNNQVFIENKIRV KPYFNFHKVDKKANIFIRNLPEDADYLELEQEFSRFGKVLSVDVHRDQSGKQLNYGYLQY ETKEDAEKLMKRILNHPITHKGKLMKLEKFKDLSERKANQHLFTQEHLHLNIVVRSFEYG WLLVIKDYLTRVQGDQVMDCFVRIDSVTKQPWAIVTFETYKEAKANLDKCEALRKHPCFN SNSQKVIELIKKYGPPVNSDGSISFTIEQITPFFEEMNKDPHDIFKGENDNFFFNLAYNN LLKIDERLMVIQNIKKDVTKDQILEFLSQFGRVISLKIGKTKNSRIQFQQCFVHYQTMQD LKRARSELFDDKNEKTIKQRKEIFKDGHPVESTKKKLQSWQSIVQG >CAK73896 pep:novel supercontig:GCA_000165425.1:CT868163:517405:519017:-1 gene:GSPATT00010169001 transcript:CAK73896 MKPIFDETHEKELQKYRQKFGTMQFHDGLQTERKEFFNNYLDQEPKTCNQSPTQSRLEKN KFSLSLNHSHSLLLEKANMTGEDLQIDNLNKLLQLITTLIMNFLFLNGFQKEMIFKNGNL IGIESIKWLLSDFDINLKIVSICRMETFKRDAAQKQIVALYLQKLSLFKLMPLILLQELA GRLTYKEIKSEQDLTLCKVGDIGNCMYIIFQGTVKVMIKDMCVKEFHDNEHLGRQALETD APRNATLICTQNSHILILSRWDYQQCLNNLFKIERPKWVNFINQIHFFKNFQPHKIQRLC EDLKGKFMSAKDCLYKVGDPIDNFYIVKNGILVKKVVVNLEKSNRWPVKTKVWLQNTVAT RQEITIKYETQSLLGYYEIVTQETLGLKSRTEEINAFDDCFLLYIPRAKFQDIFDVDDQK RFRELFLKLYPTSFDQLMEMVKQQDEKKKLEFKTIKDALIEGLQNVDQLQLQKKTKKYKG ILQNARNRLKQYQQTKGIIQTEIRQKVMKSIYE >CAK73897 pep:novel supercontig:GCA_000165425.1:CT868163:519531:521228:-1 gene:GSPATT00010170001 transcript:CAK73897 MNKNKGVSKDVQTPQFLERKPQNLPQSNLNPKFKQPDLNRKLLTMPIDSKYKDVLGPDTA SLVAYLKQKKKKEKAIQKLKDSGKIQIIKEPKQQIDRSLPQGIYETEEGKLKDISGKIIR LNDQAKSLKINQERQKLDKIQEMLKIQNNLKDALNDKGRFLDKNVTIQRSNIKRQKRKLL AFNFIENGNKDKEQVQQTSIQPQDEEKEKIEVKEIQNLTTTSVQTSFKRVCVKMKHHDPI PNIEWWDLPLFPEYQANYIPLNIESEQEHKQPATAHTQEEIQKNITFLEKLQYTDTNILL DKITKLLEHPKPFIISELVQNREKAALPSFLTKKERKKRLRKIRMDIQKEKQDKIRLGLQ KPSPPRITLQNMMAILGAEAQIDPSKAEQEVRRQIQARLDKHIKQNEERKLTKEQRGDKL KQKWQKDAAQEIRVAVFRIDEDLSSTDLETKKLKFKVDMNAQQVSLQGVCLIANQNGQQI VPSILVAEGGPKGIKFYKKLLLNRIKWRCDDSKLGCTLVWEGVAKQHAFNKWRTIEIKSE AEGKRILAEKGVEQFWDLAMNNHQN >CAK73898 pep:novel supercontig:GCA_000165425.1:CT868163:521617:523524:-1 gene:GSPATT00010171001 transcript:CAK73898 MNNRTSINVENENEYDENCSVEYVNQESESEDYENNQSDYNVDSPLSPCYDNLLKEQHPF LQYQKIALENYLDPFFKVVYKAYSKDCFFDEKQYPQEFIDHIKFADFQTFSFSVLKKSAS FFGTIKTQIYFQYQKDIDLKNCVLTLNNDQNEIVKTILYHILRFTKEIDSLNQPIFHLQK ALEIIAHQEQTIKDEIYMQIVKQIQNNNTSYLIYYYQLMAVYATMFCPSKNYFKPLFNYF YQRKENNSLHQNYCNFILNRIYRNYTIKSDQLFLTEQDINKVMHMKPLMYEISFYHFAKF QMEMQVDVKCGDILNHIAEQLNLNHRHSLCLCLIKTNKRINEIYLDDDDYLFKIVKDHIQ TYNKLTEIVNTPQPSFKLVIKLIKISSPYQNLEIFTLAYMIAIQDYLSDFFPLDEDEIEL ICSLKLAADYGPKRHFKAEQIDLNEYIPLFKKNTFDSNERLSKIIQLYHQQRVINSRDAR YKILQILDQYPRFLGTTFKCSFKELSQMSSAPNSKIILNITFRGLICSLPSDQREYICHI DYDLIINFCKTNNRTLHIVTLDKQIYLTFLNHLECQQVYQFILKHESQISCNDFNYTNLS >CAK73899 pep:novel supercontig:GCA_000165425.1:CT868163:523550:524717:-1 gene:GSPATT00010172001 transcript:CAK73899 MKFISFLLIITFATGHLQLLDDLKQTSFGQSLEQTILLQLQYDENKLKSILQEIYSSMNE EQIIDDANFRQEYENCNTNDLQHDIIAIKYEESSIKQKMKLLNNSFELQVSQQKQKELQF NQEQLNQYNKQMEQEKENQVQNLKECDELLELLQYLRFQFEKKVLSQLSNQKSQYLLQLK QEMQEHIKVINKMIKKQAYNNFIKLLISQFEKQDQNFEKLHKIILQIQEIIEQNRNQIRK QFDENMNHFQTNINYYQQNIQQIQQEIEVLQEQQVQIEKELKYFQEQLNKITEIYEIKNQ LQIDKDNMCKSLAEDYNDYRNFRNSQIQQLVNILNLVERDLFLIKDHYVLNGQLRQ >CAK73900 pep:novel supercontig:GCA_000165425.1:CT868163:525830:526078:1 gene:GSPATT00010173001 transcript:CAK73900 MQDSLNSSLDSIELEIYHKPNPKIKSIMEYLRNSNLQFCTDPKKKQDDYYSNLTEPIDQF SLRRNKFVNRKKNEISIDCPAL >CAK73901 pep:novel supercontig:GCA_000165425.1:CT868163:526628:527435:1 gene:GSPATT00010174001 transcript:CAK73901 MFEAKFQVGALFKKIVEAIKELVKNVNLETNGIGISQWIDNDQITQIMDAMHVALAAFKL NGKSLTLGFGFENLQQILRTKIKQLWELKMKNQQHHPLHLNRLDRIGEFQLNLMSLDQEW LRVHETDYSSIIRMSSNLFTKIHRELGNINQAVGIETSKGFMSGFCQTYNSDKLEEYEVF EQRGKIIMIMMDNSNLFNKAPTLSNQLILLMSQDQQLIIEYTIGVMGSLKLYLAPKINDE ESQ >CAK73902 pep:novel supercontig:GCA_000165425.1:CT868163:527469:528105:1 gene:GSPATT00010175001 transcript:CAK73902 MQQQQKQPIHERRRRSGALHAQTCEEYLKKYEQIGQIVIRESSRKKSLSESAFLNFDFIP GDEGMEDLCQLKILIYSPKLKMNEQEFLQLLKRTRNRSITTDNLPNVRQTFDYDFFYREY EVNEKHFGLHFWVENNPKHKHSLIFSGMYDQNLIIIENYYRFFNAAILLQRDLNVESIIR ENNPNCMIKFFPFVNVFVYQLDG >CAK73903 pep:novel supercontig:GCA_000165425.1:CT868163:528259:529878:-1 gene:GSPATT00010176001 transcript:CAK73903 MYKNELDYTANLKLIDDITTKEFKQYEVGHRKTYSQPDQVQLKRQPDRLEILINQSITLQ MYPSIFKYIKIKVKELAKPLSFVYESNNTQCLIKIFVSSITDSPNILNNSLQVIVKNKSR FQFTEPPSHITKFKCDYVYLSIYTDKYTDLKIKATIGVHQLNTNRSLQKRQQTPMLQLPR ELLTSREPGSYTYRSNLSLHKPKTSSSFIRSNKESQVKFKLILDFKIKNTKQKNSLIEEM MKKKSAIYFNKKVQLLESSYTHEIDRLQSRVQRLRSEIKFHISNIDILWLELLVITLLAK KIKHQYYKRVMRIVISNKVQVCCRKFMRIMLNKISSRYQNGKKEAIYLDTNILFYFGAKL MRNNATKRAYSILNHFLYRYGHLSNGMNKMQSFYLKIKYIQQKWKQFKKSESNYLNRVAD QIIDKWGFLYREIIGELQNAPKSAPAQDKRVLLETISDNLSNYKRSFLQLNNIFEKLASG PTVFRPMNNIIMKDIMHQYVIKKGNKFQ >CAK73904 pep:novel supercontig:GCA_000165425.1:CT868163:529954:532131:1 gene:GSPATT00010177001 transcript:CAK73904 MSPNKNQRSSEANATYSTGFSKKTTNKAPQSNQTPISSQLPEITKKKTKNDILCYSQEFQ DILQVEIDERYRYLVKNGTIKKIENGGNIIFSELQQIPGIWVCYRRPMERSNNLEKLSLD YLDLTHMPLLEGEEKLKILTYQHNRIQSIQNLVSLPNLLYLDLYDNQLKEIDELKQVQKL KVLLLPKNQIRRIQNLDHLTKLEVLDLHSNRIINLEGLSKLKSLKILNVGNNLITKLEAL EELNSLIELNIKMNQIENIDHLQVLPQLQKLFMSQNKINSFPCIFNLSELSLENNPIQTN KSDYYRYICQTFETLRILDGKPIDQIKQEILFAEIPKTEPIKKKTVNQQPQQQQQIQQKT KKPNQMGLEILGVASEFKTKEDIVPFAQIKKDPTTYKNSLGQQQSQQQQPLRETIPSIKN SLTNTAAAQEDDILVLIKKQWTAESRRIQTLEKNNQFNKKSCLEHQLVEGGHAEVEDDVF LLIYGTASAMVLPTQNFSQIIEKIHFQYVFFDSIIDTQLTILQDYSKLRELILKDNYINS LLQLAKLEHLNEIQKLTILNNPINNCSFMFQFLVYRFPSMIQINGKDIRNDDRQKAKQLF SNFDKSLQIPEKLQNFENIRQLKSFQKDRSYIKQFHKCLNDVSNEQKIVYKYRKTFDNTY EEYLIQLIQQCKEKEK >CAK73905 pep:novel supercontig:GCA_000165425.1:CT868163:532141:532462:-1 gene:GSPATT00010178001 transcript:CAK73905 MQKYYGKGVYLENSKRIIFIYLNEVLKYNFARNQERKRRLFQKQRNDVQNVKKFNNQQKE LQNKECKIIQG >CAK73906 pep:novel supercontig:GCA_000165425.1:CT868163:533667:536746:1 gene:GSPATT00010179001 transcript:CAK73906 MLNNCYTLRHSPVFRNPKSNVAVQQLTTLINETASRSPPLQPQVKKIIGIKKNSLIDHQL PLCDVPFQTNFFAQNENDLSYSNRSNIQTNRQFSRNQRTQSVYTGNKENFVRSPTRSPFS KPIIQKDCYTPIYKRSPIQRVQSPGIIGGIRQTNQRGFGSISPLRIQQKPNQREPLSEMV DNLKQNIMRDIQEVTRKYSNTIVQVNLQPERFKQEQREVRYDDIKAQELKKQKYLEQQAL LLQQQLELIKSQQSKLVVLNKQTLCSQDNPINATSDPNKLYQQRQNDLSFLRSLENQNRS QSFADQQSNFQSLRCDQTQKIKQIQEIKNCTCDPQYQVQGVQQQYCEEWMPIQNTSKYNY QIDYLQKLNYKIESIQKHQNQQSVNSNNQEFKTLDEFCRTTRHQEQKLINSPDLNQEKQA LNQYQSRPNFNQENQYPEFQTGRNGYSPIRNKEQITANKETTLYMLNNNKQMLSTLNSQN ELQKLKNLQLELMQNKPLFEQPKPSKIEFNFNQQKEIFDLKIDKNYLNTFQQLSTMQQER STKEENIEEKTYKNLNFNKNKTAANKNVQQQQLSKFIQLQNQQEQLQEIGKQLQQQQKLP AYLKEADDTDDDVNNQITISNLSSIIPAEISANQNKKINVSFESSIEQQQFEYLKGSDCR NTFGPLQLEIILKSPKSHHEIIPQYLSPCQLGDDVNNQRQQVQNLQNDQDISSYDKQDNV ISRQLTNNHKSEKKQSALNYDEGTQLCNKIEQIETVEKRVNSQSRDQKELENQSREYKVQ NSSSTYKTKSSQSISNAKYQQAYSPIGIKNSIVVQPFFKESPSNRIATKESEKNKNQIKS QQSVLENKNYDKICSGQSQRYSDYKDESNQVEKEKQEQDVSLNNSSRYEQMLQNFQSMKN QSRIDYQQENQTIKVSMQMNECEHISDFVIFSDKQNENQLEIVKKKTVTFNDQLIQQSPI DKDKVQGGTNFSDFMKTKALDILSSDSIPIIPQDTFTLAQLQPKGGISFLQYKQQLEN >CAK73907 pep:novel supercontig:GCA_000165425.1:CT868163:537539:537931:-1 gene:GSPATT00010180001 transcript:CAK73907 MQKYCLMSLQFQVLKLNKQYQKQERLYSFMIKIVTKIKLELLRLLNQSQESLKEAKATTG AIALRIGTNQAIQTGKQITLETNQQVWYLMISFPQITRRAILILKEHYREDITLDDWQLI QDQKLFLNIV >CAK73908 pep:novel supercontig:GCA_000165425.1:CT868163:537942:538403:-1 gene:GSPATT00010181001 transcript:CAK73908 MCFRGDCKACNFLLSIDFGNLLQSALQVVDERYMQRALLTPHPMRERRVKGQYILHDFIY ASMSLKISAGGLEESMAGSQMYLANIQEDIDKVTEIINNEMEEVKIHKTIKFKEWELQRL LQVHQKLFYNI >CAK76082 pep:novel supercontig:GCA_000165425.1:CT868229:2:617:1 gene:GSPATT00039123001 transcript:CAK76082 SSIVRIYYSYLQKTASVHELYQAIKNNKVEKLDNPQIVVITAHKVQNLSNVGFQILTLYT PAFKNMQIQVYDDVYDYGQFVKDQFIHIIGMTIKIGIDSYPTFSSSATISLYQIYNDVLI QDAELNDFRRCCKDDALREPLKLNIQESLLSDFLSLSSSLMLVSANTLRPLNIPTKLLPC GGQEQQVMRYYKKFYSIS >CAK76083 pep:novel supercontig:GCA_000165425.1:CT868229:629:868:-1 gene:GSPATT00039124001 transcript:CAK76083 MKCIVEISSFSLQIQNHFVFSNQNQDIFLVNSKMLDIYSVHYKLISISFLKKYTEITKYC MNFTRIDLLKQRNFRSQIV >CAK76084 pep:novel supercontig:GCA_000165425.1:CT868229:1019:2271:-1 gene:GSPATT00039125001 transcript:CAK76084 MKQKLFLMKTHSYALDHSYGPKQNMNTSQVTRSIQPSPRSIASQKSQNSVIELPTRFQSQ DLSVILESEQDPQMKRYKYQCKNPQLVRLEKIQELVYNKKLQNKCKYTEKNRNIKKAVSV PKGSVSIMLNNQTQKHSNFNLTKKVTARSKFKMKWKTIQWLLKNRKEAITQLFSNYQVMV KYAKKKQNGLNKAEFTDLLSVVGLGTDLSEKLFYVFDEDQSGTIDYKELIVGLEVFKEDS IEEKMKVFFEICDTDGSGAISEQELYNVLKLNIASFSDRQKLKKTISKIFIECDINGDGQ LDKQEILEAAKNNFTLRQLLQQGIRDVKQIDNLIDNDLHENFNEWVPASANFVNYKEGIF YPYNDKLLQAFKEMEEIYDKKETIFKYVNRDEQSNLFNQDA >CAK76085 pep:novel supercontig:GCA_000165425.1:CT868229:2343:3339:-1 gene:GSPATT00039126001 transcript:CAK76085 MWLYLIVFLLALIIGYALRIYLRGKECKIRRDISNKTILITGGSKGIGQETIRQLANYNC TIIFGSRTKPTEFLNHLNKYYSKTTIKYIPLDLQNWKSIQQFVEQVNKETKKIDILINNA AIMGTLTREFTSYGLEAQFGTNYLGPFYLTQQLLPLLKKSDSPRLINVASVAHTYEGLDF NDINCDKWANSIFWSRIYTYRAYGNTKLSLILNAQEFSKRTGIKACSLHPGVVRSEVLQY QLSSKWFDWLLKILWPFFAYFTKDCYYGCQTTLYCIMMSDNQLVDGGYYDNCELSKPKYT SKERAEQLWDFTLELIKKVEKEQK >CAK76086 pep:novel supercontig:GCA_000165425.1:CT868229:3828:8544:1 gene:GSPATT00039127001 transcript:CAK76086 MNRAQTASEGFRQKKTMSSTGFKFRPSTQQINRDVHYKDSLSNNEVIEINPDHIIFYNIR KQIPQQKQVIVRNLTKIPRRIRITQPTTAPARFRVDYDMQGSLAAGLSMILVVYFECTVV EDYYDVFVITSEDGFKFNVKLSALKPQPFIEFDTFLDLGYCQINKCKEGKIRFKNVPIEE EDKRRKKGEKERDSEIEKELTAEITLKNDKLTFEPRHTFLVKPDETVEITVKYQTNQASI FRQSIQVIKHWNNSIDTIEVSCIAVDYSIFFIDQNQAQSTTIDFQDLVMGYPKQYSGSLV NNTPIKQNWKVLIKKGFHTMTSSVGGFQTPNEVGLEWLEKVIRVTPEKGSMEPYAQMPIK IECKGPVTEEGKMRCENYAFQDKTNDNLKYESPIDFGYSLFFEFFGEKNEETLIVHAQGK HIRPQVKVNKQALAFGECQVNDTRDAVLVIENTHKNQTIDVTFSKAPQFLVSPLQYQLGP QMKRQFIVTFAPKNVGRVNSSLNVLLVDSQYPIKIQLSGHANFNPEKRPVTRGPEALPKD FDLDRQFVDEKQLQYIEMRRREIKSEMQPKRSTNYIGQLFEDESEQSLHQQQQRMQGMSE YEQERYAEKLQQFYQTRQNQDKYNEYLKSERQSRLQKKRDKILYMRVESMQQELRQKFSS TEDDKPIDIEFKLGIMGRETDENDPELPEYCDGLFVTKPIDKYEPFTNQKKLFQPDPLQP MRKTFPQEAQTHKEKREVAMELTGEELKKIQAGPVEIDFGNVFVKSEIIRTFHIKNDLRT SISCQIITEEPELEKSYTKVQIIPSSDTGRFKIVLKSDKLGSFSRRVTYVINGKHRFEFN VKAEIQNVKIELSSQKFDFGFKDENMLLESFETVRLTNNGNASAAFRWLVSDQKVFTVNM EKGEISAGKFIDVLIIYKPSNYIIKEDKPQPIQGKTNYTVSINNQTRVDEDKLILVTIDG LDQAIKVVGQVSDARCNIKHSIVDMKELLVCKTEIKYFTLRNVSRVNAFFSIMTEKLPAA CDISPSSGKIGPDETKDITVKYMSKEQTDIKTDIQIIIRGGRILKIPFLVKTIIPQVEIV QDQFDFGKLTTLGNEGSLKMTLVNNSSISAELLLNLDKDSPEAPNGIECLQVIPVDDLDK SVLKSIHEDENTIKQVADKKSEEDELASEAISSADEDELEQKIKSKSYILTIQGNSKLEF YLKFSPRDVQTYTFDLPLTLNRYGRLTTLTRQIICRGLKPRFVMDPQCVEFPRKIITSLE KCFAATVEITLSNPERKPVYWRMDVTSITTDKIFNITPTEGKIDSGQTVKVKASFNPQKA DDYQKNIPVYIDSQERKAAQPKILFDRREVLLPPVPLNIESKCSFKILNDGYENLNLRHK VFGEEGNINVKLRFPEGVSVGMSKKRLRVDALFQSSKSVSFTTKIEFYDEMGKVYTIPVS GTADNCLLTSFSYQQRCANEFKIDEKISQGQTTQGPICLMDDDDDANSDIQSPHKKGAPS VISYRSLSSMSNQTTASALEQTVCNQMAQVFFLWRGLKFHA >CAK93563 pep:novel supercontig:GCA_000165425.1:CT868673:715:2427:1 gene:GSPATT00025907001 transcript:CAK93563 MDTPGFGSEKEVIVHMAGIFAAITGKPLNGILILTKYERFENMRKDITENMKLLSRYREM ITVVITHWDNAENDPAKQLIGLEELKKSVVKEVITPLGLKNVIYSGSQTTGESICKTIDQ ILIRTIKQKIELTKTEFENQFATFITLNKEIEIKTQELEDEFGAQCQAAIHFIDSQNEKT SDVAELMHELILALKKEANETVEKFEQRHGYDCLKLLEQGDVSYETAYLTHTKLKHKVLI QLDNVIRRAQNKMTNYPAHIYNFIKQCPNCKIIWLKVSGCGGQTSCGNFPDSDNIEFKPQ AKKFKINITKTTVECIRLKQQTEINQKYSLQQNKQKLKGCGAVLVWDNLPILSTDILDEL KNTGVLDLLSMHKNGVESKKNKQDLFWSKLQYEAQKQLNNLENASTQIMQPSDQKPKIVP QIIYRNEDGSEHIVQKSNEQKSLQQQNNQLKYEQVQAVEQQEMLKIMIEMKNTLKLQEKK IQDLNLQSKEQQQQQQNKIDKDMNIEAKKKQNQKNSCAACIIS >CAK93564 pep:novel supercontig:GCA_000165425.1:CT868673:2575:4674:1 gene:GSPATT00025908001 transcript:CAK93564 MGVCCINPQKSQEDQSVMILFFLSEENQQIVQQQFKDVLNFSFEKLMIFSDFRYYQSRLF ISNGKLLPSPDDFKLYLGNCCLEGNLRQIVVLVDLKTCKNDFNQFSYVKRLQQNDQFKDI TSLFFLNSLEENITQKDNGILNQLGLNFQKIQSFQLKETLKKTLDSQNYVSKQIQYNLPT IKQCETCQEMVKDLINEKKKFYQTPSCGNIDLYFKLLIDLRNQVVYSCPKCNNRDDKKHR NALYFKFNIEIIKLIQNFTQNIFQQIEIYCKRFQFMIKCCSICKTIFYQNSQKYPKKIEF FNNLQNNCNSCKFQENKQEIQLRYKSQLEFFSMNTIIKKLQTNLSYLQYSEIQKEQSIII QMDKHDLEKLDASKIQPQNSNLNLSSPSPSKIIEKQNKNIMLIGMGGVGKTYIYNKLSEN NDCQQKYNEFTQKSVHKNKELQNSNNSSFNVIDTPPFELVDENQMPNSQKIDWFGNCFTK NKVSKIFIVTNYGRIEIMKKKIMDCYKFLKKFQKILIIIILNLQTEIELKQSSQEMVELE QYFELECIYFNQVDNAGTFREKIANTIYSSTDEYLDLDDTKFDKKKNQLMQSQFEEKFTL IKNQQQILKIQENIQMLMQQNKEKEVQKRKITQKKKELEIEKQQLLNYLQHIFQKIENLV NDENELQTQDQRIDQEQNELEMDVLRLEKRRFELKCKKD >CAK93565 pep:novel supercontig:GCA_000165425.1:CT868673:4794:6164:1 gene:GSPATT00025909001 transcript:CAK93565 MCKCGLDVCQCFEHHCLLFIFFLNDSNIQKVAEILPNIFKLSIKKKPIFSINTLRNYKVF QVYGKEFKQLIDIELLYQSINLEGELCQVFIFLDKQNFEEGFSQSCFITQKLYQKITTLI IFDNKNQYCLSQMKSYNMQFQSIVTLTQGLNIHEKILKISDSFAGKVSTLLELKAFQIPP NQNSVVRFQQSIIDFNHKVQERKLTSLEQIEKLIRYLETSRLNLLYVSQTNQEYTNVIKM KFEFQVLKILSEFTEIILKNIEELMKINLQSLVQCKNCQSFGYFSSNKEPSFINTFSNSQ CQCPSSQQFLDGKNNLIQQCDYKDMESSMQLLRKKFTKFQQIPQIHGIESREETEFILNK NLKKVKEILVDLQTRRKTNDTYKFQIVTKKLTLTSERDKLLKVIEQIEQQIQEYEQQSKK IMFDQNAYNEKIKALENNILILANPKIQLE >CAK93566 pep:novel supercontig:GCA_000165425.1:CT868673:6256:8188:-1 gene:GSPATT00025910001 transcript:CAK93566 MNKQKLAQEKQYREQAKYKTLFSQEPPNPFGEQDVEKMKHQYAQILKEQILDSQRHKEQQ KTRDRQETQKQIQYESPFGKDRLIHLQKLNKELLKPIQDIDEFVRKKNQTDISRPKTILK NQSTERSNQQHSNDNSLEQAPQYNFDSPNQQEYIQNRNQTQILPTQQTQRNRDYQSSQSL GLPEPILSNNSNLLKNNNKNIHFPIQLPPKTPGIVYDKQNNPILSDYLSIYDQQFSRLHK SEKSDFIKELNQLRSQFFVQQKPQVQPFKQIVNLQQQSPPKITSDIGIQDDQYRLEQLER ERQEEQFRYQEYLRQLEEEKRRQQEEFEKQLREKIERELEEQKRLKQQEIEIQTEKLVTD TGIQHVDQNAVTAAEYMKTLKSLSGSVIDMKNQFIQGQQKLNYELIQLRDKAQRDIEEKL RIQKELKELEQRNQAIKVWEGEKQRQLMQALEKNAPITQYKSVFMDPKQINPTLKKVIRF KDQTQGYEYEEPNVIFSKSNLMPLNGGYDQKHLHNLKANEPVLPEELEIFKQEVAPQLKY SNQITKKIRMDDLYDQFYDYKYPKQLEHPGQERLERSKHETQIKNDPNQILLENDRRLHE LGIIDPKGDNQQIQELYDYL >CAK93567 pep:novel supercontig:GCA_000165425.1:CT868673:9035:10589:1 gene:GSPATT00025911001 transcript:CAK93567 MIHQFIQQAYEDPFCPQIKATAIKLNQPQTSPIFHVDCKFTKKKICTCSNFCSIPFIQQQ NQRALQVFLQHELDGMKLEDFKEAQLLVNQLHNYNSMISIKKKLKIHKKQKKPLDFITIL NYQINYIVYQMISKDQDKVLLEILKQNEMTDDKKKKFLNSLKSLIHFLKQLDYDPFNAEI KYCIFGSQLNGFGTKESDVDFTFLTNSYVDERIALKYLRQEIEAVDQNKFKINELVEFAR IAVMKIQDQTNKIEIDMCFNNLLGVINTKLLNAYAGLNEKVQQGGILLKLWGKKQGIINK NCFSSYAILIMWLHFLQQKYQMPNLQDKQYKTSKSQTTTIMIKRNIEGKRESSFEVDVFF VYNGDKLYKQLKDQFDRVISQVSLTFLLRDFFNYYSDQGEGFAQKYKISINEKQLKEEGE KYSMSDPFDKEHDPIKKIRNNFKDQKLFHQASINIQNSPESMF >CAK93568 pep:novel supercontig:GCA_000165425.1:CT868673:10661:13645:1 gene:GSPATT00025912001 transcript:CAK93568 MKPLPKQQTTVTMARPCSVSKEYTPMMVNSPDRQLVYGTKTQSQARVQTYMASPYMQSPT YEANKQVLTSHLANLPIQPASPKRLLDSYHYKQKTLDYKPPYVENKNQNQYGDYKPYDTT KQLFSQINQYDLGKYDFAKTYNFESNKENKDLNSNTEKRNQPKELNPFLESKENIKIGLD FLCRSPIQELNSQQGFSTYPTSNIQQQKQTTTPVSLSSKTRLQTEINKISSSLRELISTV QKQMGASQLPDALNDKMIDVLSNFRKLEEIALSDTQSQQSTSSLHNNFENEQKINTLTED YNKLKGILEQLQTKMASLVQENQKLNKKLIEYEQKGVEDEKKRKEDDERSFYSQQEFNRV LECLQQNQKENEELKHQLQKAEKSKTENMVCRQLFSQDEQVRELRTKYQNLQTEYESLEF KYKQAVQEKSFYQRDMTKSPSILRSPSVNNVQKQSKQNEQLELKLFQLQIENDNLKAEVA HNQVDSRQLDQKNSVINQLEEKVKSTLREKAESEEHFQQLCYNLESQLEQLRVSLQQKEL DLNQTNKQKKEIERDFQSSVQNYQIVQKGLDDKLTSAKADYDKLLTEFKTLDSKKNKIQD ELTTSEKQLELSRNEINGMINENKKVSEKLQQIQRQNDQQSQQLQQYQISTQQLLKQLDN YKQQEFQFRQEIEILETNLRQTKQETKNQIYDLNEALGMQISKTKEKEKQVIDLIEEMSA FKDQYEVQKKVQQENINDLERKSKNLRIQLEETIEVKENEINELKQNMEQQMKILDKRNA LSYYDFEQREYQYQQDLQQKTDLIESLKMEMEKIRSVNYNMQEEMLKNNMSTTQLQATIN SLKNELSQAKQEQIHLMDLLKKRKEETEQLHLGLEEARKEQLQKKNAVEDSRRSMFRQQD MQSQLESIQRENLALTQKINSLNNELNRKQREYQEKIEEYSILKRKYDETLQNLERLEKR WGEKIDQHRKI >CAK93569 pep:novel supercontig:GCA_000165425.1:CT868673:13825:17861:1 gene:GSPATT00025913001 transcript:CAK93569 MATDYRYSQIDNPTGAGKEYGELDLVKIAKLDKLLSKIDNDFKKKQKEITKPKLVEIMKT THEIYQIVLYEISEMLESAKLSELRQSLNKIYNGQNMILNYILKLNTNFEVQADKMLETL QKNIGQPRRKSVDQSAQQSQETNKLANQMVQLQPIVKKTVRKTYADKGTDTGDDIKDARN QANLLKKEMELKGMQEELDQDSQQITEFLKNRKIDLQAVGESKAETNLKEVLKDLGKKKH EVNDAEDEAVQQFEELQKQQQNQLKSLEGAFVEGIQGMQKQAQEKALADIKAGKAIMKNG VLVYMQEISMQTDRTKMEQEYQDKLDKQRKENHEVQQKMIQLEKRIKDTLIERDAYAQQV GVLNGQVQKVTLEKKKLEEKQQEEKKAKDKQQQEQQAVLNKNDSEKIKRLVEDNIKMKLR IKNLLDNIAALEKEMNRNFERFKLFISNNPNLSEEQKKAILGQAENLFKVNLNEKLNVQE MKELMAVDDLLRDPIFKQICGSDIGAITRGVKKDEMTRKQKLAKVPFYDALFDPEFLNPD EKIVIRKVKKMVKRQKSDGEWVEEEMEVEEEVVVNAQGEELRKRDKPNQIQQSKQTQDFL EAHGGFAIGGSKINLPSKPVAKDGKEIKQGEWFEDKTGKKVRKLQGNDGDEERFEVEEEY VDENGQKQKRIKQVRIKKDKNGVEYVEEEYVMPDGKKLKVAKRTVKDKDGVDVVEEVTVD EKGNKITKRQKAYKDADGNEIIEEELIDEKGNKTKVKRKVYIDSDGNEVVVEERIDAKGN KVITTKRKNEYGQDIIEQQIIDKNGKVATKEQKIYQENGITVVEEVAFDAQGNKIIKKTR IKDGVEEVEIQNADGSVTIQRKVKNQDGTEAIEEEIVDVNGNITVVKKKVYRDAKGNVVT EEERIDAKTGQKVVIKTVKDSFGRETVQKQIQNKDGSIVNQEKNVQQDAEGNLIENEVVY ENGQKLNVQRKIYKEGGAEVKEEVIVDEQGNKKVVKTKVTKGKDGAEITETVTTNADGSK TVVKQTVDKDGNIITEIETVDAQGNKIIVKKKKNKNGEEFIEETKVGADGKIEKSVKRVR ADKNGNLIVEETTIAADGTRTTKIAKLGTDQKATQTEGTYNDGKMSMDQVLQYLYDLGLD KTKIEQIKNWVSLKQQIKTNKQQKLQQTQQQFIQQQEVQEEIPNQQQRPASAESQKSLDT LDDEEPEQDQADKLYNKMVNNKSGGMGELIRQVRNALGKQGNENISQEEFRDYMVKMKQV HAKCGDNCPHLKRFYEKLGFIQKKYKRRFLKMKDTRIEAKTKLPHLQNFSSIKQ >CAK93570 pep:novel supercontig:GCA_000165425.1:CT868673:17884:19009:-1 gene:GSPATT00025914001 transcript:CAK93570 MQKLYQSFESEAQNIQKLISNYQEKLQGKFQGMIEKSEAKKQKLLEKMQTTKEQLQISID RNNPSKIFKLQNKIEKLEAEMSKVNRKDQIANDFHLVYDGLFNQLQITQNQNIEKLTQAQ RDDNYQILCSIKRQAINLSNQVYKKKHLEPIRQICHHCNTLLNQYLKFGVDKKIQQPDIF AIDIEQDKQFVEKKKFEEERIFQKQERQQQYQDQKAQSRVDKKNKKQRQESNLYLEKEQK RNLKQQEQYENKKQYNYNKSNYKKYQEEPYDIKQNSEVNVIKQFDNVKIEDQKQNNQNVI QQVQNKQETVTNLDDSNLCQICFEFPKQYVATPCGHFVYCQNCKDLAVKECLICREPVQL LIKVFQ >CAK93571 pep:novel supercontig:GCA_000165425.1:CT868673:19191:19301:1 gene:GSPATT00025915001 transcript:CAK93571 MIHPGSSISKAKQSKVPAPGGGRLAVKLVEQKYSNT >CAK93572 pep:novel supercontig:GCA_000165425.1:CT868673:19716:20382:1 gene:GSPATT00025916001 transcript:CAK93572 MIHIHKICEQLYLQLFYNFQTKNNQNSAVNNPQELLSSRPLNQDQQYYIKQQSFRKVEEW QKQQDLLVKHSQQNNHFIPNYPQKTSKDLSQRYQRHYAQNRSIDRDKGKNELQQKINQSV NVKTDVIEQPIISIKIKTEENQKKSNLRKVKKQTLEKKTVKIEDHRKSNSKSPENQQQQI NKPPLPKIMKKEQEQSPVIQSQPGLETVDQFLQKAK >CAK93573 pep:novel supercontig:GCA_000165425.1:CT868673:20475:21436:-1 gene:GSPATT00025917001 transcript:CAK93573 MQFLIKFLSKLINIKQISVMFYLEQFALQNQVVLQSSQGPFHKQFLIITEKDIFGESHFV DEDSKINLKKSIIHQKYYEAICNSQVVELYLLNVSELIHTINSQQLRLFLQKKFRQRIVQ LRSSVEQNQSFSKPLQQQQQIQSQQRLRTKTQTSDSQYEQLKQKETAAIDKVKEVVKNMN FSYSRTRNYFASKLGAGNIMFHKAFMYEYTPHYTKKQRQSSLSTAVKQKLSQRTERDEDN YALKFLLQELI >CAK93574 pep:novel supercontig:GCA_000165425.1:CT868673:22939:24624:-1 gene:GSPATT00025918001 transcript:CAK93574 MLLILLSLVCAKRTTGPVYKKLFEQISDTNYVHNIDSTLLLQYNSYPGVYSMELSGLMDG EFKPIQQNVTLDPFTLPGCHQYQRRLIHKHLADQQIESEESSFTDMYIDGDAVFVTRNDN ALFQFEIKLDVENRKIVGLEFKEKYENGGFSQQHQLICYNKVCLILGEEGQTFIYKDNNT TQVNLTSIIGEFRDFPKDVYFDEKSKLIYICYENSFVDVLQFTNDKSIEKVKTITAGQKI VQIRTNPESTILYLLDQQVGLLSYKIVSVLEYIQNSFQITLQNCMAFDFYQNTFFIVAET QDSIPYALEVLSEDDRYYFNKIYSKDMDIYDVWVGEHFAILIGAEIHRVIYHSVYNKFVE QTEIPLFFQDVNLVNVEEYKKWDNTSYTEQAIEQEPFQGIPLVYKQSFMVGISSNQISVF SIKSLLPWVQCKPTSTNDTKYSLKMNSTSCLSKQKENDHTAFKQCVILHNFTITGKEILF YEEDQKTIIIVGCILVGLVILLILLAVVAIVYGNYRINYYKNLPHVILDEQELQNVQVEN PEN >CAK93575 pep:novel supercontig:GCA_000165425.1:CT868673:24858:25476:-1 gene:GSPATT00025919001 transcript:CAK93575 MFQKVVSWFRELFFGKELEIALVGLQNAGKTTLVNTMATGKFEEDTIPTIGFNFRSVKKG KVQMKMWDVGGQARFREQWEKYCRSADVIIFVVDAQDQGNLDIARQQLNQLISWPSLEGI PLLVLGNKYDLQGCITEQELITQMNLNSIKDRLVACYSISAKKNTNIDEMLKWLSKIQRK NK >CAK93576 pep:novel supercontig:GCA_000165425.1:CT868673:25521:26347:-1 gene:GSPATT00025920001 transcript:CAK93576 MSDHEENDDKEQQEETTSNPPKFEDYSDMDKTQIPIFEKNAGTECFKKGDYFEATRHYAK AVMAYQFLIKDGVVNDPEEMQKLTQEIYLPCNLNLSLCYIKQKEYQMGKDFANKALEVEA SNIKGLYRRGVCLMNLQEFKAAGEDFKRILEIDPNNDDAKQAWEQLLKKKEQIKQKQKAI SEKMLNSLSYEDKIQSQKKNEKQGWFREKYDWLKQILCVRKKAKKN >CAK93577 pep:novel supercontig:GCA_000165425.1:CT868673:27057:27629:1 gene:GSPATT00025921001 transcript:CAK93577 MGTVCIKRQSRCDGSLNYPIIKKLMKFQPDKQFPLVKPNCHSNMAEETYEMNYLEIILNF QEIPKKKTQGQKAIPFTLISDQQQQKTQEQQVTMERELKESMLEKKQTEKGMKMNRSVTQ NSIHQEVLDDCSVILNKNQAGSDTQTIRSILKTNKSKSHKSVPKVTQSNSQKKVSFEPRL LKQKNRNFFM >CAK93578 pep:novel supercontig:GCA_000165425.1:CT868673:27642:27931:-1 gene:GSPATT00025922001 transcript:CAK93578 MKKFLSVIKNVTDPEKMAKFGAQKLENFADYLDPNNEKSSQQIPFMITRQMKYDLMELGY NYDDIKKMTPTYASNLLSNQIQKKQK >CAK93579 pep:novel supercontig:GCA_000165425.1:CT868673:27955:28855:-1 gene:GSPATT00025923001 transcript:CAK93579 MEEQTQERLSQLQEILALDDVPKITKKLTKLGISYSELLQQLVETNHNQGIQILQSYSKN FTEIKGKSLVALILYLSTQEPNIEAILQADRLLQSTQYVGSFVKNYEKAIVDESPFSQQI INHIIENKTNVPNFNLVFDKLFQLCQSKQFKNIQEFQNLMNTQKDVVLIIDKPVIKIFPL TPRIKSEYLPKHLQIKKTQNAISKQEELRSMQKKKIMTKKKLMRELYKEQNQLHKIKKDK LLHQLDKQKDGYKKGLRLLEEQQMELKKLKTMQLNIKRHKKKSSRKGGNKQ >CAK93580 pep:novel supercontig:GCA_000165425.1:CT868673:28894:42032:-1 gene:GSPATT00025924001 transcript:CAK93580 MKHQSVQLQLSDSQSRSAQGVCLITFLSSKSSEKLIKNKQKEMHSKGKRTLGPIQKINTP SFDFQSAFKEHQEVEERIKKETETQDPRKLVMNLLNDRDNLQPIDGTLTSSQFGMKKKTN LRINRQVKSQVPLLTGQIKNLISLNFNSPSNTLKFDMDSQFNSKRSNFIPNFINSGRNFD VEVKGTLGEEFTEFQMYDDDSQPEDIPKNKNFSNGGRMANKKLKDYDCWDEDKTPEQWIE LCRKINPPHARCPLFDHSDKYIWTDVEVLSYQDGRYEVKVLRSNKIKWIGRLSLLFFAED PIKFEQRVELCKQRQRNADDEYRFLKYIDSLPDSMTSILSTEMQKKIDDFTYFRELPYIT KEDPSKTAPGFPQIQTLKDESKTMITDPEIKRKQLQNLDILKKDLVKQVEKEYIMLMKKC SILKDMEINKNDIKWIQLRIRNRFEQVKKPFYGLCKQFGSDTKIITYESQAQSAKSFNNQ QDYWAFVPTRQVIQSLHYSKLTVVVNTLNALTARSQLYQNFPLLNVLLNHQSLPMTLSNF ESQQKQHQVQGRQTLQVQWRSTIVGDIQDKLREKYRFYQTETEDYLDSDLQKLLIRIDYM FTNYIRENVVKQNCIAWVDFIKKFTTPKQGEYWRINDYPLMILNLEVNLSFKRSKKNEKK VKQDDTPLFEEDPTNAIYYSPSLQSIQAALLKPLDLLLESVNSFNRLEKDLVPLVDIDQK KEVKGRLRAYEIENDQDQIWVKWARDKVLEYIEIGFQKPNEMLHRFREYSFLLEKPVSSI LKSLFGDISKKPIITSLDKDEIQKKLNDFINAKLQIQRLCLDEKNEQFFQIKTRIAKENL IQKANEFVSSILKQCSEIVTDNISRLSVEYNDMSERITKQPKNEAELVELKTYIAEHEVN LAKKKQEVDCLYDYLTIFEEMNHTFEDKNLYEFWNLYSFPPEIKNHVIEGQRKANLQEQK FIENLDNEKDKFQGELRELAELYLTVQKFDDYTKAKENATEVMLLNERLQKAKEKVESFN ERERLFKSPESVYDELEQLIKNFAIYYNLWTYFIEFEMEKGDWCTGSFLKLNFTEIDSKV RTNQRNVNILIKAFSDTGDDTAVEVARKLKTQIDEFKEKLWLIELLTTEAMKIKLNMWKD IWKIVGIVDQETNDDLSLDTLVSHGLMNHRSDIEEVSRRAEKQWQIEKNLNLIQEKLKDQ KVEMIPYKKTGTFVLKSLEEVVQCFDDQFNILLMLKAQPQIKAVLHKAQALEYKIVLIQD TLDGWIKCQRGWMYLEPIFTSDDIKKKMPQETLKFQKVDSHWRTVMEQFSKEPNLWDGVE SDKMKNEFDQDNKALDQIQKSLSEYLETKRNSFPRFYFLSDEELLEILAQTKDPETVQKH INKCFEAINLLEFVNGQEVVAMISAEKEKVPFSKAINVNEGDKKGNVEKWLCEIEAVMID TLKKIMKASHLDVDTKRVAWVRKWPAQIVLAVNMVRWTRGSETSINDKDNSHGGLAGFLQ QLINELRDIVDLVRQDLSPLERLTLGALVVLDVHARDVIRQLVKIGCNDINNFQWMAQLR YYWTEQVMKCNVKMINADLLYGYEYLGNSMRLVITPLTDRCYRTLMGAFHLQYGGAPEGP AGTGKTETVKDLAKALAVQCVVFNCSDGLNYLAMSKFFKGLASSGAWCCFDEFNRIDLEV LSVIAQQVLTIQDAIKQKRPEFEFEGTPIKLVPSCAINITMNPGYAGRSDLPDNLKALFR PCAMMVPDYALISEIYLASVGFQDANNLARKIVASLRLSSEQLSSQDHYDFGMRALKAIL TAAGNLKRVMNDIEDIICLRALMDVNIPKFTINDVPLFNSITSDLFPGIKLPEQDYGALE TALKNIAQEINIQAEKGFIEKCIQLFDTINVRHGLMIVGQAFAGKSKVLECLGKAMSSLN KIQSFVNVAVLKLNPKSITSDQLYGKLDPDTKSWTDGVIAIIMRQCAQDAEIEERKWVVF DGPVDAVWIENMNTVLDDNKKLCLTSGEIIKMTNWMTMMFEVEDLAVASPATVSRCGMVF LETQQIGWYALVKSYIQTIPEKFIEHHYLDDLLRVLIDCCQEWLRRNGKFPIYRSEMTLV KNMLLILQTYVQEWTDMDDKASQKQINQNEIKDIISKAILFSCVWSFGAAIDEVCRKQFN QFLIKLISSEDVQDSYKLQLQYKFQPITINAKLPDKANLFDMVYDRNKNNFISWTQTQPP FIIPKGCEYHDLLIPTSDSIRNNYFLHLCVKNKIHLLVSGPTGTGKTSNIVSEINKKLFL NTEYTNLITAFSGQTLVNQVQKTIEAKVNSRRRKGYFGPEEGKKYIVIFIDDLNMPAKEK YGAQPPIELLRQWMDTGGWYDLETKEPKYLQGITFIASMLPPTGGRNVVSMRYLRHYVLL YVEPFEGDSLQRIFQNVLEWYYARQTNPFMKSITNLRDQTVNATLDIYQLIQTCKELLPT PAKSHYIYNLRDISKVFQGISKGIVKSFRDENDFIKLWAHECQRVFQDRLINEDDQGTFD KILKETILKHFKRDWKQLVQIEPLLWASFVPTLYPDDDKTKRPMTDIYCELTDRETLKKV CQEQLNEYNSQYTSNRMELVLFMNAIQHVLKIVRVVNTTFGHALLVGVGGSGRKSLAQLA SFIAFQNETLQVDSRNWIEELQKVMKMGGIDQKEFVFMYSDTQIIKESMVEDICNILNNG EVPNLFPPEEKSKIIEEMSSYTSGTPNEKYSYFVRQCKKNLHLVICMSPVGEAFRRRLRT FPALVNCTTIDWFLPWPEEALRSTADAVFTRDMNITDTKLRQGLVDIAVDMQMRVSDLTK RYYNELRRYYYVTPTSYLELLNTFKRLKSDRDQNMIKQISRYEAGVDKIIITESEVSKMQ KELEDLQPKLEQATKDNKADARKQVCQQEEKDCNVQRDAANALRNDCQNDLDKVLPILAQ AAEALEKIDKNDMVQLKSFPKPPPSAAIVMEGLCYIFQGRSKQPGSMEKVQDFWEYSKKN LLNDKLIKRIKDFRDDSIRQIPQVKINKLKAFSQNPLFQKDKVFNASVAAGNLSLWVRAV VETYDALLVVDPKRQQLLEAESKLKEAEETLRVKQEALQEVLDMLAKLEAEYNKAKQEKE DLEAKVNKCKIQLSRAEKLITELGGEKESWKKKAADFRVDSKTIVGDCILSSGIVAYLGA FPIAYRDDTIKAWQGLLVKLNLEYDPDYSLQKILCDPITMGQWTNVQKLPNDSFSIDNAI ILKNSTRWPLMIDPQTQANTWVKHMEMKHGENQSLVIVRPTQSQNVLSKTLESALQFGQS VLLENVGEGIDAIFESILQQKIIKQGSAYKLKFGDKMVDYSRDFKFYMTTKLARPHYPPE ICVKVTMLNFQVTQEGLEDQMLNIVVKIEEPAKDEQRQRNIKEFFENKNKQKMTEDNILQ LLQESKGNLLDDEVLIDTLQRSKAESITIQDKLKKQEQDREQFNQIRNFYREVAKRVANL YFVVLDLSLIEPTYQWSLEFYIILFERAIRESIQGKENRSKNIIDKFQISLYESICRSLL EKDKLIFSFLMTMKVMQSDGKITPQEIRFTMVGGTYTDPTYHHPQQAEWISKKMWCLITE AADVLACFKGLPESITKNLDAWQEIYDSSEPQTQKLPEPWATNLSAYQKLIILRIIRPDK FANATQNLIITEMGKQFMDPPPFNLEYAYKDADAFTPLIFILSPGADPRLEIQSLADKFG FRQNFIPLSLGQGQGEIATNAIKGAVKDGKWVLLQNCHLAPSFMPELERIHEQEICAKPD VNTDFRIWLTSMPSNVFPVTLLMKGIKMTYEPPRGLKNNMLRNFSSIDNKSFEQCKKPVE WKKLFFGLNFFHAVCLERRKYGPLGWNIPYEFTSADLAISVSQLRNFLDTFEDIPWEALN YMVAEANYGGRVTDPKDRRLIAILLKQFYTTDVLQIDKHKLSPSGTYYIPPNGVLEDYKE YIRNLPLNDQTEVFGLHDNAEISSAIIETNFITSTILSLLPRSTGGSGASAEDLIKEKCK QILAKLPKRFNVEEAARKHPVQYNQSMNTVLQQELIRFNKLLQAVTQSLIDLGKAIDGLV VMSADLEQVFNKVFDNQVPDIWHKVAYPSLKPLGSWINDFIERLHFMQLWIDNGAPPTFW VSGFFFTQSFLTGTLQNFARKYQIPIDTLSFEFIVIPPSSQEYDLTKPPDDGCYVYGLFL DGARWDEENRCLNESLPKILQYSVPYLWLLPSEEKKDWDADTSVYECPVYKTSRRAGTLS TTGHSTNFVISIYLPISPDHHPYHWVKRGVAILCQTDD >CAK93581 pep:novel supercontig:GCA_000165425.1:CT868673:42651:44789:1 gene:GSPATT00025925001 transcript:CAK93581 MGTSAPAYMNSTSFFKTFKSQFRPIEISGEKVDGQVYICDANQEMIIEYPTRIIDEETDE LWHQSQSMIYSIQAPYIINYYGSSEKQSKEMCSSYSMIFSYYEYVPHNLLKEINERKENQ EQFSEKEIWYLLWSLTQALFELKQKGYNHKDLRPATVGLKRNGVVKLCPIGVLQDQKNSV ARFVVENLQTYLPTALKKQLIDQQQLQINWNKIDSFALGHIILDLMILDVPVLIEPQQIA QLQVLCYNRFSQQLIRITEHLMLETDNQLLVQDLFYMLKPYSEKINNLQDFQINFEDIKQ QSIPINNMSKLKIKQIIENSNRHSEILYESQQLDNNRQQQQLKINTQQQQQLILEQQPQQ LFQSKQQQIQQQTTSNLQQQIQQQLQQPNLTQQTTIIQQPTIPQQIITYTPQQQQQILTQ KQSIIQLQNQNLIQQQPQNIIQQQQVSPQKHVIIPRVPQQTVSQIQSPDLRKSGSPQPQQ FVNQQPQLLQRLLMQSQQIPKPQQIVSQLPPQQLQQQLIRTPRSPDDQIKIRQSYYQPLS PPPPLQQLQNVYITPPPPNILQQQPQPPNILQQQPIQRPIIQQSAPIQLPIIYLNRPPAP NNYNQIPPGDKIPVKLAQTLVPMNDQFDREITVLEYKPQGNQPLKASNQIPQQEFPESSP QAGQPNNFDRIRNVIQDSDDLLSYQQK >CAK93582 pep:novel supercontig:GCA_000165425.1:CT868673:45065:45460:-1 gene:GSPATT00025926001 transcript:CAK93582 MSDINSPAQKFSLSPDVANMLKAQKDDVPIGKKHQAILPPTLLNRKQIEFKKSNLSHYKI WNSTSNEDMQRINEIYKQFRRKFGRNAQHLDEFFTLLSRTNQDLELVKQLILFEDRISLK IHLNEKFFIKM >CAK93583 pep:novel supercontig:GCA_000165425.1:CT868673:45599:46888:-1 gene:GSPATT00025927001 transcript:CAK93583 MEVETQNLTQEELELFKQLKLREQELEYLDIQEQYLKDDQKRLKRELVRSREELKRIQAV PLTVGHFNEMMNENYALINSNGGATLYVRVLSTLDREKLKPNTSIALHRHSHSVVDILPT ETDSSIQMMHVTEKPDVSYQDIGGLDQQKQEIKEAIELPLSCPELYKQIGIDPPRGVLLY GPPGTGKTMIAKAVAHHTTASFIRVVGSEFVQKYLGEGPRMVRDVFRLARENAPSIIFID EVDSIATKRFDAQTGADREVQRILIELLNQMDGFDQSTNVKVIMATNRPDTLDPALLRPG RLDRKIEFPLPDRRQKRLIFQTVTSKMNLSEEVDLEAFINRPDKISNADIAAICQEAGMQ AVRKNRYVVIQKDFDKAYKIVVRKTEKEFNFYK >CAK93584 pep:novel supercontig:GCA_000165425.1:CT868673:47002:47681:-1 gene:GSPATT00025928001 transcript:CAK93584 MIKNNTNLDALNGLKYELQIIEDQKTQELKDLKLFFKTLQQKVWDLKRRNYNQNVCFIDP LLRDSLLSMQHLIGLKAEAIRQLEDEKYNQQVDREKLVVLHKYQESGVEVHHAYTKYIQS ENLKGLRDLPHSYKLLEQDLEIEVERKRQLKQQLESQKKQTEELLQETRKKIEEATSFHH SLQQKIDQEKN >CAK93585 pep:novel supercontig:GCA_000165425.1:CT868673:47724:49385:-1 gene:GSPATT00025929001 transcript:CAK93585 MDEFQTFFHSLGEKQQTPISEDYRKQFKIRGEFIYPHNKKFDQICKSFLEDEKLRSKRKW DNSFKLFLIWFLVKYFEKQNQITIRPGENDWINFENILKIDKHALQQRWITLINPQMKSI NWLQEEDDFIKNQMNQKNKHIWTQIAVSLYERSLQGNIRTPKQIRERWMNYLNPELNKEQ WLLKEDLIILNNVVKNGKKWSQISQQLNGRTENQVKNRYKSLIHKICKDDECDEIEMIKQ YIRKNSAHMDSNKQGIIGKRGRHKKGMRNKEQKAEAKKQKNIPKIIKQEEQLSQQPLVQQ QQQAPQQQLFTQSQQLQMQQQMQQTQQLQQLQQLQQQMNFNQEEMKLALNLQSTFNQEDM KNATPLMMLQCLTSPGYQYFENQCLRQLSPGMIEEVECHQNSSLQLPKMANIYKVNSTSP FLLGSMMQSPFWNNDQFIQQNEDQVGATSNQTFTQQISTTPYLNLNYFRPQQMNGFSKQK ELDLLQENPIQQFNKRRNINQQ >CAK93586 pep:novel supercontig:GCA_000165425.1:CT868673:50385:52349:1 gene:GSPATT00025930001 transcript:CAK93586 MKQPSADILEMEHAIGYSGRIPRSVILHPNGVEFICIAGASLIITDLRDAHKQSFLQGHD DQITCLAVSNKGELVASGQKGDNSDVIIWDYHRKIQKYRLSEHDYEVVCVDFSHDDRLLF SSGNPMDKRIFIWDCQTGYIVGSVNHTPEPTTIARWGGFAKDIKGRDTPKYQFATSGNKQ ICLWKLDPKLGVFEKEIINTGNLVRDYICMEFSKNREEYLLLGTTSGDFCVFQMKNKFFS HQIVVAALGVMSIRFVDFTRFIVGAGNGTLAMYQITEDNKINQLHKTDLGGAVNSITVRV DGLESLISTDRGLIFRFNTNSFQKGLHSENHTGSILDMSYPPGVSDRFASASEDGTIRLW DISEYYVIAKCQANVNVVPLSLVYRDEVLLSGWADGKIRMFRSDNGQQIWQIDNAHKTGV TTLCISNNLKFFCSGGGEGDVRVWEMRTREMVSHLKEHTHKVTKVKLIANETQLLTSSRD RALLQWDLKTEKRISAHIQRMGGINSFDTVPNTSVVFSTGQDRKISLWDLNQSEVQRQFE TSGNPKKGQKFILIQGDECYSICLSPNFKYAVTGGSNCSVKLWDLQTGQQISEGFGHSGP VNTVQFAPDGKQVISGAQDGVVIVWNVFI >CAK93587 pep:novel supercontig:GCA_000165425.1:CT868673:52454:53041:1 gene:GSPATT00025931001 transcript:CAK93587 MLKRPPVPKFHEECNTPKRNQDMYELISDIVFKMNLDDQVEKKSISIFNDLSIPNSYMHA QALVYCAMSELQYKVPEADEKLLNLAKCIQQQHSSLITTLCKNLKIDSKATTVCVTLLRQ IQPLIQKLPKSLQNAIAVKIATDIIYLKQGGINIRLIAYQANITPQQLQTSINRIRPFAF QIIQDLFNYFNHHSI >CAK93588 pep:novel supercontig:GCA_000165425.1:CT868673:53933:55246:-1 gene:GSPATT00025932001 transcript:CAK93588 MIQNKEHLPQEWFDFIEQIKILNPIDEETVKQFVKVRVSQFPSDQQMREYYEEVFSKSTN DSSRKKWHQQDKILLIWCVTKYLMSQNRSDLIPNNGDWEYISKILCVDKQLVELKWLSLL HSNLKISTWTKEEDQILTDIASQHYSKNNWTELTIKFNQLSQTQRYPKQIRERWNNVLNP SISKQTWTKEEKIKLIQLILEYGKKWSKIQNQMNSRSENQIKNQYNGIIRNLKRFNVQPS EERLLLKAIAENPDQKLNLTVTQFMSDFLAKKDASKRVDTPLLNNRKMEGANLKKTQVEI PPLDNPLSTSNLSQIEKSKISQESQHQIPLNPNLYQLQNSNLSIPQVQQQQMYYGNQYYM NSFPNPYMNYAPINQAYPNYYQFPLSSGFQQYPGYF >CAK93589 pep:novel supercontig:GCA_000165425.1:CT868673:56970:58612:-1 gene:GSPATT00025933001 transcript:CAK93589 MKKIVLMVIKQCIQCAGIEAVYVRDQIMPEFFKYYWSKRTATDRRNYRQMVETTCEIAAK VGAAEILERIVGDLKDENESFRKMVVETIEKFINQLGVSDIDSKLENRLMDGVLWAFNEQ QSEDTQTMLSGFGSIINAFGSRSKPYFSQLGGVLQWRLSNKSPRVRQQAADLIGKIAVCM KNCQEEARLGRLGQLLFECLGEEYPEVLGSILGGLKAIVNVIGMNKMSPPIKDLLPRLTP ILKNRHEKVQENCIDLVGRIADRGPEHVSPKEWIRICFDLLDLLKAHKKGIRRATINTFG YIAKAIGPQDVLSTLLNNLKVQERQLRVCTTVAIAIVAETCGPFTVLPALMNEYRVRELN VQNGVLKSLSFMFEYIGPTAYSYINSVIPLLIDALTDRDLVHRQTASSAVKHLALGVQCL GCEEQLMHLLNHVWPNIFETSPHVINAVMEAIEGMRVSLGPGNILLYALQGLYHPARRVR LIYWRIYNMIYVGSSDACVAFYPTFPNDQYNSYEKYELNLTL >CAK93590 pep:novel supercontig:GCA_000165425.1:CT868673:58642:60625:-1 gene:GSPATT00025934001 transcript:CAK93590 MTEEQGKQRFAYTAPKQILEEAAQIGEDNQTRKQNLDKVEEKFKYKQKNRQLSPERKDVF NDQGGENGRTYAEIMIQQDLENSRSEIENKIKKTETLNKEEKKLVKQQVKVEQNVAQQIK QERSEWEQESKDVSKKPQKWETPSRDGPQSSARASRWDNTNKLQATPGRAGTVFGETPTP GHMEIGDTPYKYGETPTPNRSYIKARWGERTPLAGQSGFGGGMTPHTPGTVNRTPMTPGQ LGNMTPDRVYQFRLEKEMEERNKYMTDEELTSILPGPKDGYEILKAPENYKPLKSSLKKL LNAKNSIESPVQYQIPESIRIEVSATPSHPTIGQLPAIKPEEYNLFSALLQPINEDELTP EQAKERKIMALLLKIKNGTPQMRKSALRQITQSAREFGPAPLFNQILPLLMSPTLEDQER HLLVKVIDRVLFKLDDLVRPYVHKILVVIQPLLIHEDYYARVEAREIISNLAKAAGLATM ITTMRPDIDHNDDYVRNTTARAFAIVASALGIPALLPFLKAVCQSRKSWQARHTGIKIVQ QISIFMGCAILPHLKSLVEIIQHGLKDEQQKVKTITALALAALAEAPFHMVLKHLIMCLF LCGKASKLIRVKDQQHFQKRLDSSFHQWMQNMQQSMLKQSFLY >CAK93591 pep:novel supercontig:GCA_000165425.1:CT868673:61274:62193:-1 gene:GSPATT00025935001 transcript:CAK93591 MQKFSGVRSLVNLSKFRASDHHLKASHHQPNRPDLMTKVDIDKYRVFINMHPEAKERFFA TYTWLPKITPLYNSTIAKYIATSFSSVQAEAVSDVYFPPKLHENGINIYTSYRFGKPFRY GRSLEIVGGIFFLTTKYPLYYALVALFGYTVGFNAYFYELTRRTVIRMDLLPHTHQIAVQ KVGAFGQLITKLHQVSDLEHVDFSEQETKENYFWNLHYHKLDRNLIFKDKSTGEYLTFDS EGWWDRQALEHELLN >CAK93592 pep:novel supercontig:GCA_000165425.1:CT868673:62273:63297:1 gene:GSPATT00025936001 transcript:CAK93592 MHNYRGVRPSTAKMNTLPPETENLEEYMKILYDHQKACEKAGKYLEADCAKQRLKELKAK YESKTKIDIRERHESEKYELTQSHQEEMQNFNAFWDEKIQEFEQDAQKLKNELQQKQEDE MQQFLQDLEGSIPQTPKDSAELLSLKKTEEQLARQEEYLEAHKIQSRIVQLQKEEQEKWA LMRNNKIKNLLQQQKIKQNNETNVLNQRIENAQEEQRKIKKAEEEKLIQKYNNVKKELEG KQLQELQRVDKDFKNTTSIFVTSKMSKMASQSGSQMQSEDQQFKGKN >CAK93593 pep:novel supercontig:GCA_000165425.1:CT868673:63384:65900:1 gene:GSPATT00025937001 transcript:CAK93593 MMEQFISQLSDKKFRKELEELIEQNDEQTMDQLIQAMKKIMNEKYPPKECLFGLKIVKDC IEKFNDNFKFKVKQHLMDYIYQAAIYRIKDMDDARGATYFKNPEHDKTVEQYGASYHRLA LDCIRVWSKWFPEFAVYEKNLIKENGYLPQINYFKPEEIQRYIPKAEATPTPLDEAQQIQ MKAQELQQQLINLLNTNKDENNAVINDLATINAQVEDLLLLDVQNEFVEDFIMTYQDYQG GNLSYQQLRQQYIRNQKSQFQQEDPQIQNRSNVLTSKVQQLPQQIITSDTHPNINQSHVQ PEKNEDKVMIENLKQIINQNDVAIETIKSVLQRQVQINEKASIENHQIQTENQKLNLEIN SLKQKLFDEHQYYQDHLLELQQQVQHYQVQLEQTKQQQIITSQVRVGQQQQQERPMESQI VFQNLQEELENLRVERNLLLEQQKIYLEKSNQACSQLDFMNLKQKYDELDSKYFLLKQEN QKLRQITLEYNNQQSANQSRNIDSVNREIDYQDEYQNQNFVFYNFKDPDLQSQALYIKPQ SQITKVSQSRDVSQLIYPHKTASEYYRVHGKKQFRGIQIIRNQFQLQLNSTNIVNFKKAS INQLAILFQSNKVEIKSRQQILYGNNQQEFISTTLSIKNKELKPVQVQIMNQHKNVWVNK DLKSVLLQSQQQIMYEFIREAKIQVHSQTQLSCSINFENFILYLPNFILTSLKFYSTEAT SFKEIKQQFLGKVYKTQLLPIVCFDELKQLSQKGVLLNNWDQENDELITESKFGFKAVLL NQLEFYLELITTPCDEFLFRGFTSFDEDLLQFILNGLANLFS >CAK93594 pep:novel supercontig:GCA_000165425.1:CT868673:66506:67386:1 gene:GSPATT00025938001 transcript:CAK93594 MQGLQQGDLIGKTHKVKKPLSRVSFCKVYLGRNIENGMKVAIKVEREEMSNFLTLERDLL IGRNFEEIKRLSIGTLITWHGKHNEFQVMITKMLGFDLIYFLKKYKKFSIECIFNITQQM IEMLENIHNKNIIHRDLKSENILCKRHSDQIYLIDFGIAKISVKCKKQSMPVQLELEQSR RDDLESLGYVLIYLLKQKLSWSNSEKCENDLLERIGQLKYEIKPQELCQGCPQQILNYMT QVAELNHQQIPNYQKLKLIFN >CAK93595 pep:novel supercontig:GCA_000165425.1:CT868673:68719:69243:1 gene:GSPATT00025939001 transcript:CAK93595 MSVILERGLEESLEDNVIYAIAKVLEEIVKETDIIESPIQTVFHTNKKPQISIYKYIERI KMYSYCSNECFVLALIYIDRVQERNQDVVINSYCVHRLNLDQLYRFMLACILMSIKYNDD DYYKNDYYSRVGGITLQELNALEQELLTLLDYQLFVSQNQYYYYKEKLMKYAQL >CAK93596 pep:novel supercontig:GCA_000165425.1:CT868673:69268:69792:1 gene:GSPATT00025940001 transcript:CAK93596 MAQRLDINSLVHLNNFIFLVFSICADKIVTEDFQCNDSEGIGFLHFILYGNLIVQLLNTQ FRYGIIFIIQFLSACNLLDTCTRKFGAVCITINTFVFFGGVIIFDIISMLYGIKQHFFSA RSKCREIELFTMCCAYIFVVWMSVMIIGAINHIIDYFKPRNDLIQPLNKNPAVL >CAK93597 pep:novel supercontig:GCA_000165425.1:CT868673:69820:71500:-1 gene:GSPATT00025941001 transcript:CAK93597 MIQILFATQTGNSEFYAEEVGRHLEKAIVSSIEDFDFLTLPEQKRVIFIVSTTGDGDMTT DILKFWQFLLIKDLPKESLIKLEFTVFGLGDSSYPKFNYAARKLRSRLLQLSAKEFVPSA FGDEQHPCGIDTEFVIWFENLVKKCETQTSIQQYIKIEQTDTYGQQKGVQQSDFEFTNKK RLSAEQHDKETYQYNFKCNKLYECGDILCVKPRNQDKLVSQFLAILNLDGNKWVKLEEQK QNQKSKKIPKIISIQQLFSEFLDITSPPNRYFIKLMSQYAERDIHKQKLTEMCAQTPEGL EEYYSYVYREKRNVYEVLYDFQPCFIPLEFLIDSLKLIRERQYSISSAYDGEQISLTVAL VSYTTGKNRPIKGFCSNYLDNLVHGQQIEGKIIKGTIAFPKQLEIPIIMVGPGTGVAPFI GFIEQRVKQGAKNKEKTILFFGSCYENKEFYYKEFLQESAITLFTAFSRDQQKKIYVQHR ILENRDLINKIVRENAQNVMIIVTGTSKKMPTQVEQVFKECLGEQMLQELKRRKQYLVEC W >CAK93598 pep:novel supercontig:GCA_000165425.1:CT868673:71536:72242:-1 gene:GSPATT00025942001 transcript:CAK93598 MSQHVQATIQYSFPKAQRFKRLHTPCSTDYYEPNYFRSTRASGFGYGSKFDFTKVQAYTE SYYDPKSSFSNNKGASFGLSRSMVKNSSYMQNNDVPGPGSYHYSPHKAIGYSIGQKFGVY YHTTEIPGPGAYDPNIHKKRSISINKGPERFSTESTFFPGPGEYNAQLIQTKTKCRFGSA KRKCFIDDAVRASKKSGVPGPGNYASITQFVQLDKQKSRPSTAKH >CAK93599 pep:novel supercontig:GCA_000165425.1:CT868673:72275:72562:-1 gene:GSPATT00025943001 transcript:CAK93599 MSNELTEKIKEIDSLKVKYEQKMNNPTIQSTIIRVSSVSYPQNSSLTRQVIKTDQENFVT ESIMKSKNSFNQSTLVRPPRQVMSQINADLNNQQE >CAK93600 pep:novel supercontig:GCA_000165425.1:CT868673:72571:75611:-1 gene:GSPATT00025944001 transcript:CAK93600 MNRLSSQPKTNNQGRMTPNEYMVHKNIPLPTKAKYPHADSEASLHKSEVIHQNNSSNYSH GQEGTSLQKIIEEKNREIEFLKQAEAQSKMLTTQFQEMNQNHQLEVKQLLEQIQNYKQRN VDLKSQVEQYMTELNKSNQKYQECCKERDEYLKNLREYSQDYDIMKMQLQGKDAEIVSLK ERIQNIESSHQQELSQYSQTNEYWKRSLMDTQIREITQQFNQDRIQSDMKIKNLENRNVE LENKCVLLAQEMERMRFKDLEQNKMITKNLQNENNELKAQMLEMMQFVSRYEEKINQMEY IEDQQQKDRMRIIVMSSEIDRLHCVIEEQEQQSHSFLQQIQRLEQYEMQCRMLQEQQQLQ NQLKSQLQQEISKNQNTQQDFEYLQQEWTERNQELQDKCAMLTTELERLNLVMRDNETYS RNYQYEIEKFQKQLKDHSQIIEQKSNMILQLEIEISNYQQESMLLNSDNDNLNMRITQLE EEIQEVKDQTSQELKQQIDELNRNQYNYSQVNQDKLEMQKELEKINRDYQTLVITSQQKD DEIKDLQDQMQQMEEEHQIELSELQKQGEYLRSSVFDQQIRDLTVKFNNDKQVLEMQVRQ LQQQKQEVELQNSLLQQKIQELQYTVEDQLEIQKQKLQTSEKERVNLLQEIKDYQLELHM ISNERQQMREDFVKERMKIVVYASEIDRLWSVIQDQTDQIKQFEEQEQLSNEQLQQLTST MQQYHNTIYQLEQEKNSHLRENSLKEQSLENKVIMMTNELDRTKNQLYEEQSKGYEQENK QKSLLQEIEELYQQIEQYQSEITIMQKNGLEVGDLETKFQAEKMSWETQKYQLTNQISDY EQRVHLLSQEIKRLTTIGDERLHEIEELRFKFRDASLAENYEQLKTEYDILEQQVMELEQ NNLKLKSHTQTLEKQIQLLELSLQDKSKEAEDIYNLMNKQRRQSESTNKEAENNRKTQQQ LQQAVSNLEQQNQYLKEQLQTLTNENKAYYHNFIICRIVYMREIR >CAK93601 pep:novel supercontig:GCA_000165425.1:CT868673:75674:76004:1 gene:GSPATT00025945001 transcript:CAK93601 MESAFIFGCLFGMGIRMTVSRVIRQPFLFKCHQYPKYMLLTGIAFSGFDWIRRLSLETLC EKEELQEFLVRTARINQLATGAESVGDYKKEFIQIAVDEHIY >CAK93602 pep:novel supercontig:GCA_000165425.1:CT868673:76014:77311:-1 gene:GSPATT00025946001 transcript:CAK93602 MQFKIFNNKIEHQQKKISAKMVPTPGVGYQNYQSLLSQSFQFSQNQNKNFNPKKSDIQKV NTAAIQQFPSLINLPQLLKLFAHPYYLWTNYSVEAIANFQKLPPQHYKSGWVLEKVARSF MDQVKYADAEKVWKEMRQIEPNRLEGMDYYSSCLWHLKKQSELTYLAYSCSQISMLAPET WIAIGNCFSLSKEIDNSIKFFGRAIQLRKDYSYAYTLSGHEFSQNENFQQAKKSYDMATS LDQRQYNAWWGQGNMYYKTDKYDDAIRCFTQALKINSNNPVLPTFLAMSYAAKGEHAEAL KYFEQSEKLDPQNGLNKYQKANSLIKLDQYDDALSELQRLRELIPKEAAIYILMGRIYKK LGKIQEAQNCFSTAMSLDQKDSAKIKGLMESLNNPNSEFNDDFDL >CAK93603 pep:novel supercontig:GCA_000165425.1:CT868673:77628:78169:-1 gene:GSPATT00025947001 transcript:CAK93603 MIEEEGQQLKSQLEQQLVEAILDSLQNHMDQNATFLAERLVYERDTEEFRSLLAECYLKE NQPFKACHILRDCKSEFNRYQYAMSLFQNKKYKEAEVALVGTQFSNQFSSQTPNVPNGGF GFFLLGQIQEQLHRIEEAKHQYCKALDQNPTLWMAFERLSENW >CAK93604 pep:novel supercontig:GCA_000165425.1:CT868673:78214:79377:-1 gene:GSPATT00025948001 transcript:CAK93604 MDQILFCINEKCTSQSRLLLTMADVPKHNDKSHKVVQFKEFKSYVMEKYGDADLQKIQKI TSNEKVIKDAISKIIKEVKEKLDLFEINEIKKMPSLQQQGKPKIQKDITADLLKTVVEFQ VEKIPKYGFILEQIDDRMNKLKTEVAGMLKAQQYDLQITTLGNVKYTWSDQFKANIYAIK DDAKREIEATTSFMGWSFACIQQQLTSQKRWETKLEIRASEPDQKTLQASQLGQSQLKKQ SYSFAVGITLQKVRQQKGLEQQDLATVGHGFYLIRSDGWTCHNSDKNINWKQGLCFTPEP KDVILIKFDPTDGDLLFEQGKNKYQMKVERPQNEVFLFCVHLKGCKAKILD >CAK93605 pep:novel supercontig:GCA_000165425.1:CT868673:79880:80927:1 gene:GSPATT00025949001 transcript:CAK93605 MSLIVRQRVGMHDESVTQSILSKEIKKKKNQNRKRQPIKQLVCLVSIAKPIKEHVYIKSN VELKLALSYIPDHCLGSLKESPCTYNYRVSRTDRLDDVYFYTLELLDQANHSIDQITNLL INQSDPDAPLAVKLVQERHQILNDFTEQPYISNEDLLNVEKIAQDYPYQCTIQKVNKDSS SLSQRIVNEQFYTLMGVSRDMMLNHLHETKTIPSMFDLGPSLKMWCDLSANSIQSAHTFE TYINTYEGAQYKCTVEQRQMFKRTQVNPNQIVLIEFWLFKVDEPLKSYLMNPQRIYQNQI DYFNKKNTQQEYEVFLQSMKQKTTPQYKNKNACGYKQLTWI >CAK93606 pep:novel supercontig:GCA_000165425.1:CT868673:81641:82584:-1 gene:GSPATT00025950001 transcript:CAK93606 MSDQEDQINADDNDDNENQVNNSQEKEEQEKKEYFKELVARLKEVQAQIKQTKADIEQEK YLRQEATTKLEEKRDQIKKLLIKQNQTTTDKVEALIRETLENLVQQTLQKQQELRDLKEN EKERSFLNYELELQFRNLRKYVSEVRQELQESDQHAQKLKIQIQKQTNTNLLLRTDVDEK SQKIQQLKQEAQQLRATIQNLNDVKKVINRVSDQEQIIIEGNPQRKPRNSYNNKSSSQQR VNYNAHEVDDNFWYGEKQLKLPSVQSTRKKQ >CAK93607 pep:novel supercontig:GCA_000165425.1:CT868673:82605:83788:1 gene:GSPATT00025951001 transcript:CAK93607 MLIIIIRPKQKEITKDWTCAHCIELKNTFPDTNDDEIDNVCQKCQKEVDSKDEVICTKCN KIFHKKCYGTKTISPICNLCHSQKPPKFILNEKMNHLLNFGVPMTSSNLFILPCCVYDDK LRQNCFQSLQYALYCQNINFNDDLVYSSAKKELNNGYNEKLDPLIGKDLLTFKKYKQVTR IGFYGPLRVEYNLDQGFYVRSVQPIAYKTLICEYAGDVYRFADQVYSTSDSIMSLLETGF AATSLVIIPEKNGNIAKYLSGINNSKKNSKKMQQNVKSRRYNVEGQSRVILYACRDIKSG EILYYDYNEGEFKYNTNYFV >CAK93608 pep:novel supercontig:GCA_000165425.1:CT868673:83814:86776:-1 gene:GSPATT00025952001 transcript:CAK93608 MQTKGEMDFDTFKFFGIFIIKNVIIMNFGIEYKQLILYVNYYSGHKLILITKMPRKTKAR SRLDKYYNLAKDQGYRSRAAFKLFQLNRKYNFLNNARTVVDLCAAPGGWMQVCAQIMPTS STIIGLDLVHIKPIPGCKAFTQDITTPQCVQLLKKEIPQKADVFLHDGAPNVGASWAKDA YNQNDLVLSALRLASQFLKKGGVFVTKVFRSTDYNSLMWVFNKFFSKVEATKPLASRFVS AEIFVVCLDYLAPEYIDEKLFDSKHVFKDTETDMLQQQIQKEIVSRTQRHRSGYADDVHQ TVYQMINFEEFLHAENPYPIFIEYAGIKMTEEAKQKYLSLAKPPQDYEILMEDIKVLGKR EIIQLLKWRSKIKHFISKQKKEQEQLEQQEQQESAEYEDLDEAEGEGEDDEVNEEEAVEQ DDLDKLVDKQKEALEQQYIEEQKKELKEQRKQKQKQAQQEKKLAGRGIGFTPQEEDQELF QFSKHKNILKLGYVDVEDKQEEKLKKEKLAFNNQKQLDKNLELIYENKKQKKENALERIR NKKQALESDQEEVDADELACKPIKKVKKQEDHLKVSDLKSKFFDKEEFQKLKEQLKKQKD DVFDNPLKNQNITQLEKKKKEKTDREQPADKFKNDSDSDEQEEKVMDPKQLKNLQKQIQE DLNQLDDNKDVGLLKRVDKKEMEYQRKLNAKINQDPLPGEDEKVKQFELNLPISDMEKRR RKLKKIAAREEKKAKQASEKDPNHKELEIVSEKKIEDYDIDQLATNLALAKKMMRKKTRE QIIENSFGRDKWEDADLPQWFVDDEERHVFKMEPITKEEFQQEKQRLYEINSRVPKKIME AKIRKWKKAQKKLKTAAKKAQTVFDTDGINEKTKMQQVRRIYNKEKANIQKEQERKVIVA RKGQASGKLKSSRKVLTVDKRLKKDKRAMKAKARVGKGKKRRQVSRRKGKKQKS >CAK93609 pep:novel supercontig:GCA_000165425.1:CT868673:87484:88812:1 gene:GSPATT00025954001 transcript:CAK93609 MLSLIQFQNLASFNNLTEFSSPLTLINLGVKRIILIAAKLIIQLDVYQYRWFNLCHFNYI LLKIKYQSFPLFQLKYNYLLTSKKSLQTFLNIIQYITPTICKYLNLSSLFLAFQMIIILW LIQVSLIFQFYLNQSLMDNIPQAQKKYVLTDIMKKRKHQSSLNEERARQIKERIQKKKQK TKDFVHPEKFVDKYRKQQTAYSYFKRKERKQNLETVDTKSIPINKTLLVVRIRGVKDISN RQQKILKELRLNKVNTAVFVRTSVDIWRKLKLVENYITYGLPTRQIINDLIVKRGHIMKD KEIIALKSNEIIEEYMSEKDIICVEDIVNTIANASENFDFVTKFLVPLKLNHPEGQLKSG KIKKPLTKQGEWGYREERINSLVENMI >CAK93610 pep:novel supercontig:GCA_000165425.1:CT868673:88969:90370:1 gene:GSPATT00025955001 transcript:CAK93610 MQQQTIDESILQQLRRILSFQSLSRNYFLLRKFDLSIGCIRLQSLAKEKSFRQLLDYPNG IETLVNILIQYNYSNWIFDQDFNVIRPIFRKERKQVQILIKKNQVDEFKKFLLELDLEKE CEVLEAEYIANKDFTKLFIVFDNEDEAQRGFSKIQSCKATFAIQNAKMEEVDFYNRFLNI VQSKQQQFQDQRMNNPRNYEDMQMEHQQFSHHHQSQQNQYLQYQQQKFYQQQQQECSTSD IVNQRLNQIRSNEKIELNYAPVQEQQSMFSFVPNLNPQQYGSMQEGYENPNFGENRKTIE IIRIEVDSENTQSQNAEQFESQTNQRQISRETESNKENSVERNNKKTHQVELYEEKQHFK HGHQQNGYGRNNYEYNKYHNKQNNRKQPYYNRLENDLSEFTGYKKYQQRQQKEYYEVKKE Y >CAK93611 pep:novel supercontig:GCA_000165425.1:CT868673:90528:92227:1 gene:GSPATT00025956001 transcript:CAK93611 MNQNVDSKKGLEEYLAIMLERATVEENYAKALSKLEQQIEKSNLIFMREQLINQLKNKII WIENFVELIRAEIASQVRETLNQQNQISIKIIEDIKQLEEVLMDRNGQVMAARMDYKLKM KDYEQSAILDMVYQYSFDVPEDQTIKQICKTQYVSIECSKLKKQYQLQTIAYNEFLDVYK TKMESYLFQMEEFEQTKLLIQKDTLLKVFLMEVQMQKQQFQEIEKFNEQIKNTNIQDVIE SFIMKYYRPDYHPNQLEFKDYSSFLINEIESIKSQEYHKLKDLIKAYSETFYNIFSDVIE KNNQQSIEKQDLDSLLNGESIQGLFKCFLIHVNSILNDGQFQHDQTIIELLKSNTQNKLL WLIALEIYADKNNYRVPNAKAYESILKWSQTLIDFCSEISDATPLRKLITLSSLLHISVQ NKKHYLMHSLKNNQVFNQSDFIEANIIEATYDGLVEEVKEEPNQIERIKRQKINFFTQIS KICFTLLIFNSNKSIRNYSDKYLKLLKVAANAVQDLTFQIESYKQL >CAK93612 pep:novel supercontig:GCA_000165425.1:CT868673:92267:95447:1 gene:GSPATT00025957001 transcript:CAK93612 MASQIVFKEKDYEFIYKTRVIQLQQTTDNPLRLDRPKVTIQRGRKANVQIRTKGNFVDPL SRAATQKAQTNPLSRPQTVDPLARPSAQPTQKIEQSQPQTQAKSVEPNKPQQQQQQQEGK LEIDAEEKQLKATGEFSIYWSEIREDFQNIFQIKTYDLEQPVPNPFGANVQTMNQFDGQA KSAYSGDSKIEYQTQAPKQGTQKMILQKTYIQKVLDIRRDLKRQWINGDKVASLQLAIQS CKLLIDNDKPLFAPVKYVYIIDILETFGKFVIERLLKLSYPQYTDQKIAEISLQSVVGSN ISETASEIGRNWINKIGSIRELLPRLYVEATLLKVYYFIDQSQIKPIFQRLIKQVRAIGD YINALYFALYLFRIGAELFSGEKDYLISTLKDFFIYMNQKNKFSKFDVQGDQYLRLFEPY LIQTFRQYSQNCTEREFKDIFEHFRQSTQNHFVLKKMIEQFQAVHISTLALELFSIMQAY PVDNKYQLYACFLPKIAKGLTNVAAGAEICEYVLQDILQIKSFSLFLEILASLIELIFRS FQGYQKNQFFFLILQRFNDLFSMVEKDQVNTNQSRDTFIKLHQFIIKIFQDSQDISEILQ IDTFITCIQFFPEDMKKLVCNDLLSMIINRDQKEKITDPMAVHSIVKLTANLNNKKSITK DEQKSLAKIINQLLQKIDFGKDLEQTLNLYAEMRGQFGNISGLSEILIDRALDLIFKGKR LSKGKTQKKIISFFQACIAYSFITIPTIDNPVSKLRKYIQVAQVGLSLNLLSQSEAVVKT TIETLLELPETHNGRPIDEVVTPYILDLISFIIIVPDDPSAGYLNIFQGLLKAIEQLKWN PKNGGIYSIVIYVNCIQYLCAQVQERLPYHFENVKSNDALFNKDSQFSLTVLELVQQLYV KLGEQAGAILKLNIADSIENGLIVKHMLSCLNRLLTLLKFNQEAETTFTIFVDLIYKKIN ELNRDRTGKVQLTLLKAYFLLTFINIEKSTTFNFEEKKNQMINILESAKN >CAK93613 pep:novel supercontig:GCA_000165425.1:CT868673:95522:96885:-1 gene:GSPATT00025958001 transcript:CAK93613 MYKLLFATAVLLFFLVLDNHFGSLTYNISNEITKFLQIQFEYGKETGYFEYILLVFSTAG DAECTNILTLLIWIKAQNKIQIFKLITMNAIAAAFGNLIKMIMTQPRPFYLDPEIKLDFC YTGFGDPSGHSLRSFVFYTILIETIVLKKYNIQENCSLIQSDKQALDEKEISNSELYKQI YSNQYISYSKYKFFIAIFAFLIGIGRLYFGVHFLNQIIIGWVIGGYIIYVYYYCGLEKMI EQAFRNSLFLNSYRYMKMLLVSFLIFTFAYFLYFERHYEQSLIELKQQWKQNILKQPQCT DGGGYNPKNKFETHDISGISILFFPCYLLAFYSKQQTLLKVESDQILNQIGSNIQYLLTY IIVYWIQIYEEQTTSKIAGNSETKKLLCKIFFTQFHYLEISILFVMIIPLSLRLVTSFLK RQQFSKISQIDQSEMEMV >CAK93614 pep:novel supercontig:GCA_000165425.1:CT868673:97302:98692:-1 gene:GSPATT00025959001 transcript:CAK93614 MTILSYEILLTLAVFILYFLYYRKFKFFTIVEKLEQHNIRNKLPRGKCPPSYPNGWYRLC RSGELKSGEVKEIKLCGRHIAYYRGTDKTVYAVAAYCPHMGANLGIGGQVKFRSCIECPF HGWAFDGKSGLCVNSDKLDPKVVSTYCYPDIERVTKNQKGEYLQKISEGEVKIKTYLVKE LKGIVYIWLHSQESKPWYDLVSEQSDHLSLRAESVNYVNCHIQEIPENGADMKHFDYVHY SAMDIIPSWLVQLRWEMRTKNAKDPDFLEKMTHPVEKCRTYQKSIFDQYLANKELIPYLN VLLLDGYVILFNKYKFHAVWATGFQMGPATVALYVKSAIFEVLFKQAIQPVEKFTQKVYH TVFTNNYLPYWISAYLLHAELRQVIFDVKLWNAKIFSETLRYNMKNHADQALLNWRQWYS QFYEGSAEFEKGLDVLEW >CAK93615 pep:novel supercontig:GCA_000165425.1:CT868673:100875:101132:-1 gene:GSPATT00025960001 transcript:CAK93615 MQISITQVDQSTTLKLDEWELIETDLNEEALKREENSGISLIGQYKFVIGISKPLEIRIG RNSIQKSKITIKGLLGIQAMFIRSQ >CAK93616 pep:novel supercontig:GCA_000165425.1:CT868673:101566:102933:1 gene:GSPATT00025961001 transcript:CAK93616 MGTNNSKEKSNSYKITKEQNDVPQINQKKDQSQDLVQQLEKSSSKVQNAQIKSQINKQQI RNEVPKVKEEQLQQLQITFYKGDFENQIFAQQDQSTVLHNNVQTQVKEHGVGSTLDVNLE IHDDHAMDVNGCRNKLRNDRFIQIQEHDPIIVAVLDDLECQTSSHHPSSTQAKPYTGTKI MIQNRIIAGLWKKLGPYKVTNFNFTPFELQENLIYYGEWFQQKRNGFGFLICDDFIYEGY WLNDAYHGDGRMISKDGNIYIGEFKNGQFSGDGFYRNNEQVMYEGEWENGQKNGIGKEQM PDGSVFIGQFYKNQRNGQGKLFNNKEQFVFEGEWVNGKPIDEGRVIWQDGRKFEGKWCNG MMHGHGIFIWPGGKKYIGNYVNNVRDGYGEYYYPDGKIYKGMWKKGLMHGQGIIIYPNNS HEKGQWKQGKKVVVQDKKPKEKSLKKQESKVILPL >CAK93617 pep:novel supercontig:GCA_000165425.1:CT868673:103008:103687:-1 gene:GSPATT00025962001 transcript:CAK93617 MNNEVPKVWNKVGYLSLKDVASWIRDLKERVKFMSEWLVTGGPNCFWISGFFYPQGFLTG VLQTHARKTTIAIDNLVFSFKVQEFEKEQCSIKPVDGVFIYGLFLEGAQWKKKCLADLNF GQMNMLMPVIHFLPLQQDKYQSRSDNYSCPVYKTQTRAGVLSTTGQSTNYVLAVDLPTLD QPPDYWTLRGTALICALKE >CAK93618 pep:novel supercontig:GCA_000165425.1:CT868673:103720:104694:-1 gene:GSPATT00025963001 transcript:CAK93618 MPDLEKLVDDITAKKQTVNPSYRLFLTSMPASYFPVSILQNGIKLTTEPPRGLKANLKRS LQDISNEFLDSAAKPEIYHKLVMGLCYFHAIIQERKKFGPLGWNIKYEFNDSDLDTSKTV IKMLLGENDTVPWDAMLYVSGNINYGGRVTDDWDRRCLMTILRKFICNEVLDDHYVFCEN NTYRIPEKNVIEEYIKYVESLPMTDDPAVFGMHENANITFQQRESDSILETILSIQPREG GGSSEKTPDQIVLELVKSIQDDLPPLLNKEESNKELWQINPEKNLIPSLSTVLLQELERF NILLSTMGRTLQGLAQAIEGIKWQ >CAK93619 pep:novel supercontig:GCA_000165425.1:CT868673:104819:106634:-1 gene:GSPATT00025964001 transcript:CAK93619 MAQKKQDLENLKELTEARLDRAQKLISLTASEAERWARTVEELGVAIINLIGDVFLAAAS ISYNGPFTGPYRNELITRWTEKVREAQIPVSEKFTLVEIGTIHKLPSDSVSQENSILATQ GYRWPLMIDPQQQANNWIKSSFQDLKLKVVKFSDPKFQSDMRLCITNGYPILIQDIEENL DPSIETILQKQYKEADGRILIKFGEADIDFDKNFKMFITTKIPNPKYLPEVFIKVTVINF TVTFEGLEEQLLADVVRLEKEEIEIQKDENVMKLAQYRKMLSESESMILKQLAEADPEKI LDNVDLITSLQSAKTTSEESNIKIEESVILEKTIERVRNEYRSVAVRGSVLYFVIKDLNL IDPMYQYSLQYVQVMFNLAMRQAQSAEELQQRLTNLIDSITRVIFTNVCRGLFEQHKLIY SFLIAAQINRKANKISDGLWSSFLRGAGVIEKSKLPVNPDKVLIGESSWELAAFLDLNFE VFKGLCQHIQKNMAAWKTYIQASDPLVVKLEEPYQSKLDEFEKMMIIKIFRSEKILFALS SYVEQNIGRFYLESPNTTMETLYNDSDDYNSYYICTIIRSRPNISDIKIRKGKKL >CAK93620 pep:novel supercontig:GCA_000165425.1:CT868673:106687:110056:-1 gene:GSPATT00025965001 transcript:CAK93620 MREAAADETNEKKWVVFDGPVDALWIENMNTVLDDNMMLCLANGQRIKLRTQMRMLFEVQ DLRVASPATVSRCGMVYLTQEDLGWLPYVQSWVETEFGPKEIQLNGNIQNVEILQKNERT YLQSLFEEYVNDVINKIRKTFKETIGTNDTQQVVSLCNLLEAFISDKYGFKATMTADSRK RFILYAFTFGCIWSVGASIDDKHHEDMSDFFRDRFQMYSYYLDTSNELSFKHWNDKIEEF TYDPTEQFFNMLVPTVDTVRYSYIIEQLLSINKRVYLTGPTGTGKSQVLAKLLVQIQEPR SIDPVYIIFSAQTTSMVTQMTIENKLEKTRKALLTAKPGRQTCIFIDDVNMPQLEEYGAQ PPIELLRLLVDKGFLYDRKERFQKFIENVTLLCCSAPPGGGRNPLTPRFTRHFNMLSLPQ PAQSTLFKIFFSILNGFFGQGFTDPVKKMSDTITNATIEVYIRIIKEKLPIPSKFHYTFN LRDVSKVFQGVLMVKPGLVREVDQVTRLWVHEVSRVFYDRLINDIDRDWFKELVGDLLGR QFKSRMTKDDVYGANKVLYGDILKIDSDNKEYEEIKDVAKLVKILEDKLDDYNTECNSKT RLVFFGDAIDHILRISRILRQPRGNAMLIWCWRVRKTIVNQTVSLYSLEITKNFSVDNFQ DFLKKIFQISGLQEKPLCFLFTDSQIVYESFLEDINNILNSGEVPNIWKPEEKQPLLEEV KKINARLKRPEDPDTLYKTFVESVRNQLHIVLCMSPVGDALRVRCRKFPAMVDCCTLDWF SSWPAEALVSVATKILEQETDFPQTDIPQKQLIDSLAQMCMEIHISAKDCADKFEAALKR KVYTTPKSYLDLIGLYLSSLKRKREELQLKQKRLSGGLVKLKMANEQVAGLQVTLTDLKP QLEESSIKVQEALEKVNQDSYLASQQEQLVKAETEEVNKKAQDVKIIADDAQADLDVVMP ELEKALKAVEQMDENEIKIVRTYNNPPQAVVMVLEAVLTLLGLNTSWDSAKKAMIDVGSF VSSLKNYPRDNIPDKILNNLKKIISREDFVPDLIRTKAKPAADMATWCLAMNTYSIVSKK VEPKKRKVAEMMAVFGFSKQGIGSQGG >CAK93621 pep:novel supercontig:GCA_000165425.1:CT868673:110101:112035:-1 gene:GSPATT00025966001 transcript:CAK93621 MKKTNSQKQVHRASGLLDKFREYKETLNRIQKALESYLEEKRMAFPRFYFLSNDELLEIL AKSQDFDAIQRNLKKCFEAIYRLEQPEEGARSVNGMISPEGEKIPFVKGVSTKEEVELWL MKRMKQGKVESETQERNHWLLNQPAQVVATISNLIWTYDTEQAINSMTDDSTALSKHYNL LYESLNGLTALVRGTLTPLQHKVIVALITQDVHARDIVDALTDENVSSISEFSWQQQLRY YMDENDLIIVRQVNAKLNYGYEYLGATTRLMLDDNYWSIEYQIRSSSSWTSRNRKDRIKR RTWPKLWECFVLCSIVQIKIEYKMMGRLFSGLVQQGAWACLDEFNRIDIEVLSVIAQQLL TVRQALIRRDQQFIFVNPDKPINLKEEVGVFITMNPGYAGRTELPDNLKVLFRPVSMMIP DYKLIAEIMLQAEGFEDAKSLSQKMTQLYQLSSQQLSQQDHYDFGMRAVKSVLVMAGALK RADINQPEDAVLIRAMRDSNVPKFLKDDLPLFSALISDLFPTAIIKEVDYGDLQKQIEIS LDKMKLQRVPNLITKTIQLFETFNVRFGVMLVGNTNSGKTSCYKCLEMTMSDLRRLNHQD QRYQLVTFLCSKSLSVFPWENYMVK >CAK93622 pep:novel supercontig:GCA_000165425.1:CT868673:112064:115752:-1 gene:GSPATT00025967001 transcript:CAK93622 MSFFQSQEYEDQDEHEQLRNVKSPNQLQLKSRLYDRGGQQKRPVTRTQQQLVPKLVAQQS KQKLDPLRMTMGTTFKQNVDELYRTKYLVDKLSGKFQIDQKYLAIMPEEERNYLMRKTGM SSLGSRFKLKPLDSDPRIQSPFKETLAHDEEFGVTEKETKSKLDKYIDLILSNTTSVDEY IYLVREEEDGQDDPYMLAVVDYKTIKGDDKKTEIKEYYTISRKGLCHYINGKPTEFIPLR NWLRERQTYHQIKSLKFFTKFRKWKTLKMWKSNVIKHKTKTIQNALLDKYFLLNPIMRET LLTVRKNTYEMMESCRFIGFETSHQLTMNDSTFTLEQFKQLQDQYRNKVSLKIRSYSQAC RKVVQSGYDKSLDILRKQNYVPQNDEQFGGNAPRDTGTNVFRTREIAYETLQFPDSMTYE KRSQLRKECSKFLRFSYLVDFLAMESLSNIYTLSVRDLISKLKSLESIDDFNVTFEASNK PPIRFDEPLFVIKLDCTFDQIPSEDMQTEDIPEFIPQPFGNSTVDDFHILYHAHLQEEKT EEQKQAEKPQGGKKGKVDDDSEEDETDGGTYDENKIYKRIVIPNISKHWIFKKPQLNTFH ADIVKCIGEGLNAIQAFERWSRHNDMTQYVAVLEEWDDMVGEEWGHPESNYLNPSDWLDS APLETFTGVVKNILEKAFERADKYIEKYFNKHLLTYWEDKQINIDIFKDEKLAHPGDTFQ YTIAVLNMQKEQFQKDIPIESMQGLFKIDSVELKKKLEPLPAELLKNRCKELKEWLQSST AALKGATTNIDEFVKQSNSLKLIQKQLNKIKSKISLVEVKKEDKQAYVVDIIQAQNLLEQ AIHHAEEGAESKLDRFARDVQHILIPQLEKQIGALDGIIQDKKYIDAGLSLEQAIKELGE CDEQCKKLEADAKKYRHYESTLGLPTSQFQSLEDLRNDLNLRYSMWKSTKEWIELTQSWI DGKFIDINTDEIKAKGEYYTKIVNRCSKGLPANQVLDELKDKVFSFKDTMPVVLALRNKN LKDYHWVQIKQEILKQDFEITETFTLRNLMDMKVGIYQEQIQEVATQATQEAVLDAQFND IEQKWKALEFTCVNYKPENLRNKGSLYELQAALDDFLASLNNILGSRYLKIDVLIAQETL DDWLQVQKNWIYLENIFASQDIKTKLKGRKCFV >CAK93623 pep:novel supercontig:GCA_000165425.1:CT868673:116022:116387:1 gene:GSPATT00025968001 transcript:CAK93623 MYTVYTSQNGSIALNNCLTLPYDTLLRVVLCSRNIFSSTPVLITNIQHSTRSPSKKGSPR KPQKGYKKILRGDEEALLPMPFYSVYEPYRYNISNDGDYVFEIKCRQLGPSFLRIIWLES R >CAK93624 pep:novel supercontig:GCA_000165425.1:CT868673:116444:120229:1 gene:GSPATT00025969001 transcript:CAK93624 MFNLKDLTIQTVLPNLVKRWDLEGFQAFHLCPIQEVSHSLYAIKDHLSIKYFRDFEELHN FIQSSKKIFFIDVVLNHTAKESQWLDDEECVYNERTVPQLTSALELDFALEQVQKYEDIE KVIKAFRIEEYFQIDLRKIDWMEFQVENENANSDDDDDDDDNIFMESNQEKNIKLIEMYL SNFGVSRYGVSFNFRRFQQELGTHQLTVSQILKALKCINEKQFKRAKQYEAESIANNQAH YQKYGCVQKYFYKLKSWYAAYNGYVDNIDPEEDFVTSQNFHYLRHTVNKWNVIKLRYGNK RDTPLLLNRMKQYICQMARYFHGIRLDNCHGTPLTVSQYLLKHARMENPKLLVFAELFGC SYKQQIKYMSKLGLNGQVDELFYCRNASAIVHKLQTCAFIPQISGPYRLIYDQTHDNQPP IVSSQGPGYLMSLVSALSFSSQFKGTTKLVDEFYDKHISCVYENRYYPQINQQLYDIEKR PITFMWKHTPPISSSSNQGAALPKVVKLKGSFDNWQQEYFLQNDQENPKYFTCQIELSPG VYEYKYIIDDVWTVDENQQTNHMNNIIEIKPIKAYSSLTFIRQLTQNQISHTYMESIHEQ VMIITRCQEDHLQNETIVICLRPIIRKIKLYSKISSILYQYSFQYSGKETQNLDDEIKVK EHYIDVTTSYAKINDDILSINAPTSCVIILQCEPILEIQKLNEFLLTPFNCDDDMNLLYQ PLINHYEVPGCGVLCYSGLYGVLRFINKIETLKDPFIQHIREGDWLIDYLCDNHSCLVPI LTIIKQLPSVFKPHYFIKCIKHIVQSIEDKYTQHREIFFKQIDILSSYFTNYDFIKGRET FMSFKGILILTNKVVEAKALIFKYGNLMQHGLIPNSLKPLRYNTRDTCFWWIKAIRDYVT YTDDFSIISLLQEQIQCIFQSHATGIKFKEIYVNDDTDKEGLVVELKLDSETGFIMGGNY KNCLTWMDKMGSGTLNKGYPAASRDGAPIECTALLKACLDFIGKMHQQNKFTYPGIKLMN RTVTWRAWSDFICQHFEKSYYIPVDPSYYSEYQVIEKHVRRKGIYRDIFRCSKPRSEYQL RPNSCIAIALAPELFQKNHVIHHLALIESNLMSLNSLGLSTLDPIASEYSGKYIQLTDRF NAQDGFSIHNGSEFVFLLGYYLKALLKIHKQDIKKEIFYAYLAPIKQHLKEYYSLPDFTD YNGQLSEFAQKSSILSIALLKEAIFDLEEFSQKLQK >CAK93625 pep:novel supercontig:GCA_000165425.1:CT868673:120285:121211:-1 gene:GSPATT00025970001 transcript:CAK93625 MNKQKQLTISSDWINEIKQASDPLSHSQMYIKHLSDVLLSHNKTLKIRLEIYEKEPITYS QITKAIASNKANDKLNDQNTQQKSNQHKSNQGISNLEESLGPAIEQIKELQKVIEELKKQ NIELHEQMKQKLSTMKQAKIIIPHKFEGHDYVGDQLLIGKSTLVNVKKISYEPFHKSSLN QQNSSKDKQIQNLINQKKYLEQEILHFKETGIIRKPKLEGQDLESIIKRYEKNKSMVAAQ ERFNKQIRQQEDLKDQYLQALIEETFLLQQQLRLMTQSKS >CAK93626 pep:novel supercontig:GCA_000165425.1:CT868673:122049:123073:1 gene:GSPATT00025971001 transcript:CAK93626 MLLDIILLLSSSTFALLFQVDELGESIHDSAKLFILGTLITEFPWMLIGIISFLWIKEGF KTKDGHQQEKHQANPAVIIHHAREGATATSAEYVQPVIEAVQIFDYVTGESQYAIIQKTE KSLLTQLGVTLKNTILLEKGRPQDASCNSKLISHIDQQKRANNQNISIIYEDNSEYQQNQ IKPHVSIPFKMGDFDKQMGEIIQAEILSGEYSVENKVVHTKLKYEQALISILIISVIPQV EGLIFRFFNSLLFGVVLHSAVQRKSLLTFSHLIYLIILLTSCVVAYIFGYYYQSINIRQF LLGGLLWSFQHVNPNLCLLVFPIPTLLFFAGL >CAK93627 pep:novel supercontig:GCA_000165425.1:CT868673:123258:124091:-1 gene:GSPATT00025972001 transcript:CAK93627 MISEQLKSGPSTKQLNSEDTLRVGECTVHKRQLEAFCTSCHVLICPTCLMFGDHKGHLVD QMDKATKVIRSSMDQAAKDGILRLEKTETVLVDIRHTKLTFEESKQKVLKEVEQTFALIF KLIKQRKDEVINLINQHYEIQVNNIDTQEQIWMDKQSRAYDVIKLAKSTNDYQLLEKATY ILESLEILRQTPTYKNVYIVNSIDTTFNLNNISLNLSEFQKGLQNWIKLGDSVLIQFKC >CAK93628 pep:novel supercontig:GCA_000165425.1:CT868673:124173:124651:-1 gene:GSPATT00025973001 transcript:CAK93628 MAEVEQLSEELTTLKRVVQTSLHRGLLAKGVHEVCKAIESKQAKFVVLADDCTEDTYKKL IVALAKQFQIPVWKVEKGALLGEWIGISKFLTKTKKIKSRKCSSVALKHFAIEVSENEKQ FVEDKIKGL >CAK93629 pep:novel supercontig:GCA_000165425.1:CT868673:124746:126113:-1 gene:GSPATT00025974001 transcript:CAK93629 MKNIDPNVVFVKKEKWDYEQLEPIPCKQIEVPNYVTISTVAQAALKLPTVYIHTNIVYPD ENEYSCDEEDLEAGIDPKVMQQLDLRRHLNTNRNYSKQYSLLNTLEYDETYYNKKVERLQ RPLLRVFMRAQENDYRMNVAFRPSKAYKQKRQKHMDIQSIDVAREILLNENLIALNLLRQ VKQRELLKLQLIKEEEFNFVNTFNNCNDLFGNLKELKCFYKSEDLLEQQRDNRNKCSYQF CPMSLSPDLIDFMDEQYQLTKDKGVIWPKNPTMLSSWDVDTYTKLGVHQLNKSLIYTTNN RTLMIADRTKKNKKKVKLMLVDRNRASIFDGVRANFDHFYAHYQKINQKQESLIDQQRKE CQKIRFEKQKKEHQLKVLQKKEELRQSLRFKMRILQDLDHPICTIRIKHSDELKFQLVKD IHYNSQVILV >CAK93630 pep:novel supercontig:GCA_000165425.1:CT868673:126283:127483:1 gene:GSPATT00025975001 transcript:CAK93630 MFTTRFVYRFAKSVPVVDVTAFLTKKGDTSAQCAQVAEALHNYGAICIKDPRVNDRHNVD FLDMMEKYFESRAAKFYNNEQVEDIFPNYAYQVGATPEFVEKARTHKNVVKQYTKENEAL TPQPAPYDAKWRFFWPIDDSGKRPKDEDFQPPRFRPKDVPQFGERMEQWGKMMINGCLTV AEMAAIGMSIPADSFTSRMQNGPHLLAPTGSDLDRHKPGTIFAGFHYDLNFLTIHGKSRY PGLYVWLRNGERISVAVPEGHLLLQAGAQFDLLTGGYVTCGYHEVIYTEEAKKKYEENKK AGKSTWRVSSTLFSHINSDVTLQPLDKFAKTGLSPKYKPIKAFDQVQQELEAIKLK >CAK93631 pep:novel supercontig:GCA_000165425.1:CT868673:128771:129210:-1 gene:GSPATT00025976001 transcript:CAK93631 MSRISQFKSTLTKLETIIYQLEESLGVEHKPSPFAEFIQLNTKQENQSNQKVEQQVEPQQ KQQEPQKQSKDHQKQPEQLQKRNNLKKKKSNHNKRKNNNKIQPQQDKMAVAPTLVDFLCI DMRVGELKRVWKHEESDK >CAK93632 pep:novel supercontig:GCA_000165425.1:CT868673:129316:130764:-1 gene:GSPATT00025977001 transcript:CAK93632 MFTGENIQFLQQLHQLKEICKIGEGSFGKVYKAIDLTDKSICAVKVISKIVYNSTTPEQD IYLQLNHPNIVRCKRTSENKKYYYIIMEYMEGGTLAQKMKEKQLNDQEAAVIMKSILEGV NYLHDRSIIHRDIKPENIMLTGTNVKIADFGLSFRFSSSEGNFHKLLNKKCGTIIYMAPE QFTEKYYSKQVDAWSCGIILYMLLNGGMHPFYNKDDTKYEFIKKILNPSIDIPLNISPLA KDLFFKLINVNPIDRYNVSQALMHPWITRKFHEKIPLTYQQQLDQFLKEQQIRQQFKLLF FLQYIYHNSPRAQTFQDSVKEGGQENSILQQNQTSASPTQNKFKILQINNKHKNQCHTTP RTDCQGKFLDFSLTQKTNFKKSMENILVFEKDKCEKSQNFSARSLKQRSTQMVNKYFIPT SFQESFGVPNKMKLKQHKRVLPPLKTK >CAK93633 pep:novel supercontig:GCA_000165425.1:CT868673:130793:132067:-1 gene:GSPATT00025978001 transcript:CAK93633 MDVQVENSKIEAIIQWSKELFSMEGQVKRFTAEMNEVVSLCTKEKYELNFVQNTKSKRWI ELDFGIKQKVEVYANNELQNIDLIVFTIQIGTQYPVKDVRIVAKTTFVRPTLADGRNLIA DVLLQPWNYKLSLVSIIKQIPSFLDRVLLNRFDKIYLQNIGQYYLGRFSRFSQISNDLKQ QNAFFQNIQVRLIGLSDAHFYLFEMIEGKDDYVRLIFRAPLQSCIQLKRKKDNSTQLSIT WKNYKNKQEEQQIFTLNEYDKFIRLFLRRLNQYQHVRMTSNSYMAFGDQQLAERQKINSI MKNLNQLENEIDKKFNQQTINKLMDLYQQAIEFYSSASDYLYEIYLNKLQTLIQRQDVQV ILQYK >CAK93634 pep:novel supercontig:GCA_000165425.1:CT868673:132820:135131:-1 gene:GSPATT00025979001 transcript:CAK93634 MDEKNTNINLPEPVTKNLFDKSQEKREIGGQELIKVIQNATSDKIVIEIAKHLKERYITQ QQFQYKKGGLHGLYSIAIDMVTKKNSDTIIKNCLNYIIDPMIECMRDKEERVRYTAIEYL FLTSKLLGDVVLNKLEDTYKNLVQSFLDPDESVRKAASQLDNCLKSLVMSTAPEKQNFKI QSFVKTISTQIVFKNQQVKLNLISWINTLNSIPHIELFDYIEGFLAELFLMLADTSNKDP FTNEAKIAARKQLEEFQRDLERYSKRSSFSQQQELKTHRQIIEILLDLCQNKQSDAHVLS QVLNWIMEYLKIFNQLELSGGQIIKGIDLMSNVFEQRRDSVLQSVIMEKLNNILKIILSL LSLDSPQIVNQAQQINDLLLQIMDKMKASGKEFVDIMPTIQDMLKEKNNHTAEKALMWMR HLLNTQTEKLKPMIENILENLIERLKDAEAQVVENVMDVLARISHEQYFDMVIEKILDIF HKNVNLLNRMSQIIIKKLCEFWNAEVVYTQICNKLLVNYVYVGDDNLDLAFTQQLVQSLE QLLITEPRLQNIRMKLKNYKYEKNQKTAKSTYEFFISIYKTFCYNEVSALSLSLLIEEYE LTYNIILTIAEQETNLEILVQIARLTLLLESPVFAYLRLQLLESANHPFLIQSLQGLMML LPQSPIQKYLKSRLKNVELFVKPHSDLSQCREIQNKLDTQLLLQLFKNSKQEQQDLKDNY TKSQFK >CAK93635 pep:novel supercontig:GCA_000165425.1:CT868673:135160:135459:1 gene:GSPATT00025980001 transcript:CAK93635 MGQEKLAALLLYRQLFKSLGNQLSRDQLRKYYQFTVQARVHGENQQILQNLEIYLKAIKT QNQIMDAENIGKPKDYKADIQKVANYVGLRMPATHQKQE >CAK93637 pep:novel supercontig:GCA_000165425.1:CT868673:135494:137350:-1 gene:GSPATT00025981001 transcript:CAK93637 MDSNIVTLIEQSNKYNSSKTNFFKTKLFVSNNHSPNREYSKHVAQTETVQYNRYLSKEVH SGRNSKKISFNTYLLNLAKQNIDAFPKIKCDFANIQMVDLQDNRFRLFPKELYQMKKVQI INLNSNFIKVLPEELFDNFPSILNFSISNNLVVMLPRKISRWQSHLEILELSKNRLQKLY PLISLINLRSLFIQSNEFQYIPIEFKNLKKLNELGLDWFKYLNPPIDPIVNRPYIEKLFL SLEEKQLKDVEDPSQINFSDEEYSSSIGMNFQDFLVQFSNLQLNILPNPQGGDKEYSTTI LHKAAVEQDIGALISLISYYPEIVDCQDQRQNTALGLAIQEEKYFSAKALIFNGASVNTN AAKYGSILNLAIVKGQIHLILDILTQGADPNQIDEKGNTALHYCMACFDKDASIYMQAFV ALLKKNINPNALNNDGWSPLHIAVKRGNTDAISAVIQHNNKKQSRFDLNLKGGKKRQTPL HLACSNCHFEIVASLLQQSEVKLFSRNKLNQIASQCCLHNYRIYKLIKRYEYEQLYRLQK NWQFQDQDEYSVKDNDSYTDLDESIQLFDGLQLKQIKINRSVLGCRERATGCKFRFSSQP PQK >CAK93638 pep:novel supercontig:GCA_000165425.1:CT868673:137394:139520:-1 gene:GSPATT00025982001 transcript:CAK93638 MSEEQQNNYANQLPTLVNLRSNRNTSQMDLNFIQKSKTIQSENWEMLQRVKESLESKAFT NFFTSSLAKLPNFKSPQELAKVLADQFIQNEIKKGKKREAKSAIKIQVSQPQTSDSLPAL KTPQVKLEKNKFNQIRSKSRDKLRELEIIKEKEREKEQLNKENNKLNEKILKQKNKLYSK DTQRQKEQDKSFVEQPSPPVKVSKNIRQTISIMKLQLPTPIETNPQQQPTMYSYFLGGGN NCELIKRVFDNRPDWHQTANTARANFRWQQSNNGYKYFKLNWHKSHKELLNHFEFHPEIS NKKNLLVNISAACETLKMNPFDIMPVTFAIDFTDASVESNISAFYNFYNRNAPESKQLNK QDAQNKLNEIKKKLRIAPSREKKQLEFQMRDTFTGPDYLWLLKPTGLNRGRGIHVFQDID NLVELLIDYQYGYHEKQMETYKDENGQTQQKVVQYLLKTSSFVVQKYIEKPLLIKNRKFD IRVWVFLNIDLSCYFFKEGYIRMASEEYRTNDVDNIYIHLTNNAIQQHSDKYGQQELGNQ LSFDSVSDYFKSKIDFRGQLVEKMKEMSYFAMRTVASKINKLNRKFCMEIFGFDFFLDEL FNIYLIEVNTNPCLEESSPLLQMLIPRMIDDAFALTVDQIFPIQRDVVSKFPVSNYSDTE NMWQLMGELTEIQG >CAK93639 pep:novel supercontig:GCA_000165425.1:CT868673:139600:141390:-1 gene:GSPATT00025983001 transcript:CAK93639 MQSAQKLTQQNQDAFSQFFDKYVSNSSKLQSYSVRELAQKLAIQYFSAQLPSRYLTENNM RKKSRNIVYKQMETDAIEKARAQFKAKKQRKESQQRKLPPVPKKKDTQPRSFSVQPPIKC LSAQPKRSMPSTYFYYLGDGNNHELIKKLLDERQNWVQVKDISLKNINFKWQETEKGYEY RTLAGNLKDSLPQMLNHFEFHAEISNKYHLALNFKTHCERNQLNVQDYIPISFAIECTNQ NLKAGLFQFLTFYRSQCPQNQLQELDKTIKSYQFKYGTQVEGKVDEYPTLFAGENLWIFK PANMNQGRGIHVVRNLQEIIDIYNRYQNGYREHLLEVKRNEQNEIVTKIVYINTLMTEQF VIQKYIEKPLLIKGRKFDIRTYVMITSNLGFFFFKEGQLRLATESFDVKQQSNYVHLTNN AVQYTHPQYGKTEEGNQYNFDQASQYFKIDFRKEVIQKLKQISLLAFTSVKGKLNKYKRK NCFEIFGMDFILDVDFKPWLIEVNTNPSLEVTSKLLGTLFPRLIVDDAFKLTVDRLFVQQ KTPSKYPFLHYSNEENLWEYVCQL >CAK93640 pep:novel supercontig:GCA_000165425.1:CT868673:141916:143489:1 gene:GSPATT00025984001 transcript:CAK93640 MIDSANIKQSSTAATSRKESQFLSGNCRIIGYLPQIECCHQKLTPLKKILPVPTKLLNFI LANLISIILEVVKRDLPTPDIRIDKIFVIEDDYQNGMPFIISKQDRTTAKNCEEILFRNF QNLCNSSNFIIGSQLGCISNYIYCTSLIMFQQSIFETNKLEQAAFQENSKLLRRNLQIEK QGKCFSNKVTQVLIIKPPKYLAKSQNENQIAIKVSQANEELYELREIEIIEEFNHKHLPY LYGYIRYSDIIFLFLKKHDMTLQEISKKLCEQRNDIKPVHIEQILLKLYRQMVIATSYLH SIDVIHRDLKPENIMFDFQSRVELMIDIMDTQATCVLIDFDRSTLVENENPQKVSHHVGT PFYRPPEGEQIKYFPTYDIWQLGFIWFVQQKHFQHKNSQTQEFSPKQNKVQELNTTIKKI EDQIKQLKQKIQDPNQKQDSIYQLQSQINELQNQLNDTTNQKRFYYQELIKQIEKKENCF TYCNKLQELIKKMIEFEPRNRATLDQVLGTLDQIIK >CAK93641 pep:novel supercontig:GCA_000165425.1:CT868673:144071:145162:1 gene:GSPATT00025985001 transcript:CAK93641 MKQQTQTHQHKDDDYFIKQSEQRLNQIHYIMTTIENKEKYARGKGRDLTSLKKRKKAFQS LEQKFKEHEKTFKSRFINDGSQSNRKFNHILTEASSFCQEIIPNISRIKTVDQHRGLDFL AIKKNYKEQSELNVEAWQTDQRYLKIKEELIQMQQDAKLPDYCKFCLINNKETLKRMNRS NQEVKETRLLFNMKDKFPPEKLKVYMEKILRKYQRLKQNQVLVPNGVGWKLLTIKSKIPQ NEDDVLIKEHLLERKQPSRKTQLLKAKIQFVKQLNDEKKRMAVYEESVSQNQAIQQFKKR VFNNNSEPNLGIQQQKEYIQTEFEEYKKRLEKFKETAKNDLYQLSHLHKKNYGMNLESRV MSS >CAK93642 pep:novel supercontig:GCA_000165425.1:CT868673:145267:146983:1 gene:GSPATT00025986001 transcript:CAK93642 MALFCSCFRKMRSNLKMRSQILLINAIIFTFTLCMLLIAYILNRGQSLSILNISSQTLMI DELQNLLNTASNLIEFQIRFFYSRSNLYKSIVAQITLTKLNILNQIIYNTDYQSLESPQL CPQNASFFDPNHDYPLSCIIYQTLNDDTSYYNSTQDYQLRNLTHLFNEMILTIDLTGEFI PNDLFMVSDSNPNQFSFYYPQWIKYKTFRPKQRSWYQSHFANLKLNPNNHTQLSEIYKYF NDSDVYSMTMTQSFFNKTKQVDGLFAADIYLQNAYFNTSNLNMMIVNYDGKLILSNYKNY LLANSTKYESFDDEKTTGFNKSDWLAVLNFINHNIKESTCSSNYNTQNQLCLYNSAYQSD VFISAKQIKGLDYYLIVFNNIQDQTTLIQMMNSLMETIQQQFAQQIIIIGLICCAFTILS FLLIYLICRPMFKLIKLSNSYINSHMVSKSFRDQQLKRVWNNQEKFNSFMKNQVLNHNPQ KTNNLMIQLIQLFQRLFDRIFDQQNKKSEQCFIIQSFNYPKTQQRDMNISQLIKERKFEE VSSDEFNLFLSYTLKQLKRQFSL >CAK93643 pep:novel supercontig:GCA_000165425.1:CT868673:147251:148697:1 gene:GSPATT00025987001 transcript:CAK93643 MIKDTKLSTKSIFCDDGDVQWQSNSSKELSNTLTGLFHIYKEEKSHYKLLEVSFSRPYLM KQSRKQGSKVLDITNAVVEKVHNNIVGEGLIVQKNNLKFFMYGQVDKWLDAMQDLCIQVN FHEDYFLYRLISNSQQSKVYFAEDRNTKIDYAIKVFEKNQFDEEIGPKALKQEIEILRLL KNEHFTKLLKIYEGDQAVYLVFEQQKGGDLQRFLKENENSLTEKTAFQAIKQLLQGINLM HTLNYMHRDLKPENILLKNKDSLEELIISDLGLAERVNNEQKPLFTLCGTPGYVGPEVLK KQPYDQKVDIYGIGIILYTLLTGKNPFQSILKDEILELNMIGYVNIDKVNCSELGRDLLK QLLQLSPNRRPTAQQALRHQYFQNQSEEKVLPKQSIIISKSKVNSIHSEQLSPLTPNLNF DKYKAPQIQPQYLRQATPKLDIHQKNTTNLMKKFRRPS >CAK93644 pep:novel supercontig:GCA_000165425.1:CT868673:148890:150299:1 gene:GSPATT00025988001 transcript:CAK93644 MIMIHYLRGYAFSVRQVHSQIAIIGGGTAGLNVAAQLIADGHAIPQQIRIFEPMKMHTYQ PGWTMVGGGLYDINKTLKPMEKVLPKNVIVSDSPVIKINPEQNQIETFDGEKYTYDQLVV ATGIRTDFDQIKGARKQLEDPNSPVASIYHYKYASKMNRLVDEFEGGKLVFSEPQMPIKC GGAPQKIVYLSHDRLRKRGIKSDIHFYKTAALIFGVPKYAEILTDVCQRKQINCHFKQRL VEVQDHVAIFEDQDTKELKSVTFDLLHLVPPQVPAQFIAASGLGDAAGFCNVHPNTLQHM KYKNIWSLGDCSSLPTSKTAAAVMAQTPILVKNLIRTWKQGLEPIPEYQGYTSCPIFTSQ STLLLAEFKYNSELDETFPIFQSNESRLMFYLKKDFFPFAYWYFMVKGLWGGRDGFRFFK GA >CAK93645 pep:novel supercontig:GCA_000165425.1:CT868673:150299:151696:1 gene:GSPATT00025989001 transcript:CAK93645 MSMISQSIQLQKNRPKELDISSLYSEGNKSSHCSNNVIHYYTQLENASKIISPLQSMSNS LLKEPTKYDCQNQEQIQGLTGSKYLSIHPTNLENVMNINGFEPKLLYEMVKPVIDTKIIQ TIQTISDEEHQTLNLDQINVQLTEIEQDIPNTSVNQILASLNIIYEKLSESISYNIENEL PYQKHIVYQLRVSVIQSKVYKFMGDYRAAIHWLQRVRDNYQVFDPDFAGKLMLELSKLYF LNFQITESWEILNQALLHYEKIQWKGEIARTLLLQARMCSWMNNFDLATKLAYGAISLLR EAYINDETKLDQAYFILAECHYVSKKYETSKEFVIKVLQLKLQTGTSEDHPSFLETYNLL GLIHSQIYDLKAAYYYFCLAYKCINSNSIYKGQILNNISVIQKYLGQMDLAGRCQNVANE IYGKFLSENHQLFKRLVLNSIIESPH >CAK93646 pep:novel supercontig:GCA_000165425.1:CT868673:151740:154049:-1 gene:GSPATT00025990001 transcript:CAK93646 MQSYGLIFKRTFWSSQFSLDFQKKIAYLISEQMYCDGEIVFKQNLCSLNGNNDDDCLYCV SIGHIQLFLQFEEQDMSFYEAEQDSMFGELGFLTGNSRTLSAKSTSISQLYKLKRMEFIQ LLQQFPLDFQYFCQLRDQILFGQYNLINLSCFCCQSSSHLANDCPKSHYYPNKIFLISRI MKNQQERQPFKRNNVRKDNLNLRKIQRAQEQVKQDLSFVYDQEEIEQLSKSFSVDFMQDT QSQISEQDINHEFDIIRTKEEASTHRNRHKMFLQELLSKIQCGIYHLAFQKRQKIHQSIY QIYLIKILLQNMFCRQLTRRLFTKSREPIEPPYLELQRDFLEPAEFYQELSKHGVSFYAG VPDSLLKDFCAYVQDNAPPGQHIITANEGTAVSMAAGYYLATKKIPCVYLQNSGLGNIVN PYMSLAHPKVYGIPMLYLIGWRGEPGKKDEPQHLVQGKRMNGILTEMGMQYDVLPDYIEG AAEVLDTAFYSMKTRNGPYALLVKRQCFTNYKLKNVAKNNYQLTREEALTEVIQNSRPLD VCVSTTGFLSRELFELRQRLNQTGEQDFLTVGSMGHASSIALGIAQVKKSRQVFCLDGDG AMLMHLGAMAQIGNIGPKNYKHILFNNQAHDSVGAQPTSNPQVNFTQIALGCGYKDAFQV ETKEEITKGMERLNRTDGPILMEILIKPGVRKDLGRPTTTTFENKEKFMHFLTY >CAK93647 pep:novel supercontig:GCA_000165425.1:CT868673:156972:157754:-1 gene:GSPATT00025992001 transcript:CAK93647 MQLKTKLLLTQLMLQNLISLIQAGNQETKEMLVLTQNAIGILLNLIIFCLIYAKQKEDII NIVVQFQIIIQLEIIFYNVQSINNYFLLIYLLTIENILRNSNKCYQILTHLLYLYIFLRM MIQFYSLFALFEFILFVLWQPLNHTLLHLEKQPLEKNTTSPNTPPQKQANPIAHLSYGDQ VQQDLLEEKSPHPEKNANVALDEDLLNCLPYGLALIDKNYHVLHHNKKLLHYLMVQSTDQ IVNSLDQLLSKQIFPVFIQR >CAK93648 pep:novel supercontig:GCA_000165425.1:CT868673:158631:161003:-1 gene:GSPATT00025993001 transcript:CAK93648 MRYQQLITHAIDLIKSYNPVILTPDSHCEQYIQENCKKLFDTEIMFLKQVFSGVQRYEEF LKILTKTMFTLLSSTTNRNDGTLYQIFSYLIIFRLDELPYNEFKKMVSEQDPVKMNVLLQ FLFDIEKIQTHVKPLWIEIYDPQYIQETVIGGLEQHFPMMKDLLSTLSSRATGKQSELVE EDERPQSATKKQPTKTLPFKLSETKKKPEPPPPPKPEQYKSKPVPTYLNKRTLSQVEEEN KQRLEQSKKKVQDNYKEAKQFEFKTDQRPTNYETVKREVEDTLNKQLQFNMKYARPVPTE LEAAEIKLNAAAILREEMLLKKKQEIEKERVKNLEVNLRDSGEFEEWKKQQDEIEQIAKM EHQQQKKIEMELAREAAMRAQEDKFKENRILAEKMKEEAIERLKERQELQLEQVEHKKQL IEQIIEAEKKVHIQVEKVQERNKQMAEDQKLEMARKLELKRQEDEQIRIKREELIKQIRE LERQPIKRTKGYDPTETMGYGLLEEMSLAELRDRLEVVKAERKAEEEEKRKEIVQSKDEY LSSMQKKVQEIKAHRRSESQQKNMEREAKKLKKQKEEELRKKIREEQLIEVQSKISQKKS IKSAEEQRIAAEVRETKLRQQYMNANKAMVEMKAWKSQQDGLEREIKNRQNEKLIVQEGI ESVNLKDRKILAEQAKRLVKTKVDFNQAYDKELKTAYQMNEELHREEAENRTQMHNIQRE WKEEHTKNMITRDEYKQKISETSLQNSKKKSQKQKVQFQQSRQLEQLPSAQYS >CAK93649 pep:novel supercontig:GCA_000165425.1:CT868673:161182:161705:1 gene:GSPATT00025994001 transcript:CAK93649 MSEEVCFTEIKKKNSSKHFPLIDLTEIKPQVSFLESLLQKKYQLNKKIQQYTLGKVYLES HNEKAIFRVFQDSDLNISSIFQKKLNKTSVDDDVMTTSSQIANANRQNRKDVIFRIFEVE KPQRPKQIPLEEMERSLFGSTQENNSFFTQQLQVTNASKLFLSQQ >CAK93650 pep:novel supercontig:GCA_000165425.1:CT868673:162034:162731:1 gene:GSPATT00025995001 transcript:CAK93650 MTLEYHTPANQTYMMNSNHRLLNFKAVVSQQNQTDVSKSDFKISFINKIKKSNDHHYKVN KNNEGSYSKLAESLRNSSNQNNMVEVKIIDIPKQNVLTTKNQDSHQNIMTSQNHQVKKQS LKINSERNKVAFTKPIQKHNSFHAKESSDIQTNLRQNVPKKLYDVHDEIKKIIKDDNKLE KAVKIYNTLKQLVQQQSIFQSREVGQKDYKNRIIQ >CAK93651 pep:novel supercontig:GCA_000165425.1:CT868673:162841:163731:1 gene:GSPATT00025996001 transcript:CAK93651 MSFERYHSSKSNFESDNNQCNHNGNNHVRLKYAPIKIIQNKVGDCEKKRKYPQLNLRFQP ADEITTRQDPNVTIPLSFLQQLIQQAYSNKQLRTINNPSIRIEENRNDAVEELIKQIEIQ QKGIYPIDEEQKLQNILLSNHMNFILENDDAKEIIDNFFLDNFNLKPHFWTWLKQLEIKA IQDMYIHCHFDPKRITMPRYVQTIISKTLY >CAK93652 pep:novel supercontig:GCA_000165425.1:CT868673:164867:165515:1 gene:GSPATT00025997001 transcript:CAK93652 MEPQFDGSQTKKKYAVITPAVRMAFIKRVQSRQSTIKQAAQEFGIKFSTSKAILQTYKRE GRVGKKKTRSRRSIFKNESKQEKQDEKQQPIDSKNEQKSLQIPQPMNQSQMSFQQLQAQQ FQTLLLSLSMNPFLLLQNQYLQQSLLQTPTPQLFYYQQQLNSLRTQAQQQQFGKIPDSRQ LITPVYSSKLLDTKSDEIGATLKDFN >CAK93653 pep:novel supercontig:GCA_000165425.1:CT868673:165600:165959:1 gene:GSPATT00025998001 transcript:CAK93653 MGANSSNTMKPEEIKSDKNVVVYGSDNCPYCFKVKKIFEELKVQIDYRNIDENKEYDEQK QKLMNGLKYDTIPLVFIKNKFIGGCSNVKELEAKGELLSQVQ >CAK93654 pep:novel supercontig:GCA_000165425.1:CT868673:166229:167445:1 gene:GSPATT00025999001 transcript:CAK93654 MKHTSKHPFNQSKTSMNHYDVQSIENKENRVANKILSNIESKISLVSKLKTNAFENRKKM SQEAIKEKENNRINSNTKIQFNSQTMLKQPFCKITNILALYTEDKQELQSNKTIKNQLDL NQLCNQEISIGNFKLESILGQGSFATVRLCVEKSSKVKYAIKIYDKSKINDNQKMNNIKR EISILKRIKHNNIIKLVYAIEDKKSINLVMEYSSNQSLAVYVKSKPKRLLPIQEGLYIFQ QLANAIRYLHSKNIAHRDIKMENILLLTDNYVKLIDFGFSICIQDNQKVNVFCGTPSYMS PELVSKLPHNPLCSDIWSLGILLYRILFGEYPFKGQNDKELYRAIQQNKLKLPNDMNQDI AYLINVRDLQQNKFQAILYFNKL >CAK93656 pep:novel supercontig:GCA_000165425.1:CT868673:167482:168795:-1 gene:GSPATT00026000001 transcript:CAK93656 MNLRKFSQQSYFKQKASNSNKNDTIKEESEEIKTSQSKSFNLTQDNLHKKCAIQTSASPY AKNSSSSQLFQKFKSFTSSTSPLQKYQIKQKDLISTVSHFTIENKHHQSQSEQKEVVERL FKWEKRKQCVIKEKQEKYQNEEEKKLWEKPILSKETLKIYESGLKHHFELNNFIKQKDQS TQSSSDYPPLQKLNKLKKKTKIKFEYDEEIEDKPDDVNEKTTQYKYVNEVQSDSTENFNR EVRSKSDYTTMPIHLRYEYEIKLKKAKLKQMIDEKDKVVQQELDLAKKYNSTAEQFEHFL SEQENFLKKKQQFYDANLKKELEKIQEIESHFSYKPEINFPPQPTKVSKNKEQDEKFNEK LHTLRERQQRQKNIYFFKSTLPDMRRNIKQKYHEVNSILNMQQILHKSENFGVSEVQFQT ES >CAK93657 pep:novel supercontig:GCA_000165425.1:CT868673:168899:170351:-1 gene:GSPATT00026001001 transcript:CAK93657 MIGQSKTELHQVFKSFDKDGSGFVDKSELHAIAQQLNQELSKEEVDKLMSVVDINKDGQI SFDEFWNWWQFGKNGHLEKLVFTKLKLMNLLKKVNSQFTRFGISIFEKQDNTFDHHYWAI NYGDQPCHLRVDSTILYNGKGIAEALNNENKLLKGIQLNPGKFFDVTLIIRALQPETAKQ KFQQLYAEFLQKLQKDESPESQEMVEFLSKCDLQVSSNTDSLIIQFTVKHPLVQDFIETQ YTPFSEQLGEDVEVQVELSVGVKNGLKKMMKKNQIFIKYLLEGFLVEFKTKFNSSLAKKV FNLGLFMLQQAQSKKMQQKKVLSKYKQAFTFPLLFKHSKFHLQFKNMEDVDAFLKSLGLD ELVEDQPNARDLLQELIQQDEIDDFKNPHDDAHIVYQFYQFGKQYLIAKGSAFAQFPNAL AKFEFNFEGLADVMECIFREDADDQKKKQK >CAK93658 pep:novel supercontig:GCA_000165425.1:CT868673:170793:171326:1 gene:GSPATT00026002001 transcript:CAK93658 MQFINLKSLELEESKTIEGVSVGLEGNSLYSWNISFAGPSDTLYEGGYFQALMKFPEDYP NSPPTFRFQTEMWHPNIYTDGRVCISILHAQDELNDQEPPETRWRPILTPEDVLISIVSM LSEPNINSPANVDAGIQFRDKPDEYKKKVRKLIDKALENL >CAK93659 pep:novel supercontig:GCA_000165425.1:CT868673:171369:173348:-1 gene:GSPATT00026003001 transcript:CAK93659 MYAKNIIDSDFQSNVINQDLKPFVQKIQDRQKRLQELSKQLEENIQKSLSINSIIFEREL RNKQKEMRKIKLENEKRVTKIKKEYDLTENSNLSKLLQKQQEYISNYEQLYQEEIHFVKF IDQQTKNSSYYTVINSILNDSLIFSGFKLDNSNVLEYLTGHNKQKLPNFHNSLKSTIQQL QHIEENLLIVLNSNNHDSQLQLLQQSLSNFINILKTTQTQIDEILLEMELIQKEISDSDK IRKNNIILIQSIIKENQDQKELEIQEYIKTNDNLIKHLFSSIEINRKYSIFLLQSNEDLQ KLSQLSEIQLQNKFQIENKKQNKQGCVQLKKVPTVLLSRSRIYSDHKKDINDILNLSNDQ SLEMISRKDIMAKESSFLYQSQQTINFEKSTGNSTLQKQFSQIYVQSPNSTKYIEKKSPY ISHNATYKTIAHSDKKTALPLRCACPPQCLCSAQTIVQIHSCNCQLYINSRGYIMCQQCQ FSQQIQDYGFYCPQNRQKNKFKNYQDFFISFWLHLITLTINDQIVEFWDNLQVNCQQQWI QYKQIDFNDLTDDVSFMNFIAYCPFNSKCYHKTSQQQIHFCQSPLIISSLGELVCKKCNF YGSPQFHFIQCPEQKEFYCYQNADLFLNSIDLNHSNFESEKQNLEFLSKLRKNLIKKWK >CAK93660 pep:novel supercontig:GCA_000165425.1:CT868673:173395:175353:-1 gene:GSPATT00026004001 transcript:CAK93660 MQQSANTRQILDLDFQKELYQEDLKKLVSNIQDKQKSYNDIRFQQNELVQKIIRICYQKF EDDIIKKRIDLQELKQKSEQQKAIQDEEYSNLELELKNKIVNVFIRFQKYYEKITQEKSK LEEYIVGNLIDSEYNLILQSVHQSLTDFELAKENECINQQTFLSLKSPNVHTKQHQKMSH LNSYVNTLITKIENADQQVLNIKSENTQVQIILSQKLTSLKQYIRQLQQFNNDSVQEVFD LQSDFLTNHRNLKNNRLNIQNSFSKDEELICADIIQLEQQKSDMDKSYKSYTQVILQGNN QRKDFEENVFRLISLSNSLIQSLNNSIEQFRSQLEAFSLTPDSKTPTTTSNKKHNILNPY KKIINDKIQTEPSTYNLQKSMYFRRKPQVEKLGSIKTDENNVTSFQSVFKQTSQSPNFRN QILPEKQTALPLKCTCPPECQCQQYIQEQMHSCNNQLYINQKGILLCQVCQISFSINEHY FYCQFNKTKNKFKQFQDFIHSFQKYIISLTLNQQIVDFWIGLVINCKQQWQNGSPRLPSI KQDEIIINFLSGCPNQSNCNHKNILPQIHSICNTPLQLSNYGEVICKRCQYSIFIQYHLI QCPENNQFYAFWSVEEIILSIDPYIKQLMRQEKNDCNQFLQSLIINLNKKWK >CAK93662 pep:novel supercontig:GCA_000165425.1:CT868673:176473:177576:1 gene:GSPATT00026005001 transcript:CAK93662 MLFRQKERVPDVGKDNFREFMRREDDSCANTQNILPNFMNSTIQAQTKQSQTPSALDGSI KMLGNTWDAQHLGKFLQHSQFASLGARTMKLGDTQQNMTLKNQSYYFRSLQPRLIEDNID YLHQQSINHLITQHPLQSTSQTFLKKKLLQIPEKLFDEAKINYQTEAELKKPEQYRKDLQ EAKQHQAKYHQWRSQFQDVNKAYKKAKLGFKSGLFALDNPTNDQTELYREEHEKLKKQEE YKSNQHFKRFKSLERFNCSNPSIEFDNVRHIQNKPNPEDPLLHSGQFPSMNFEMHKDWMK KRTANQNADTSNRIFGKTDNDRKVNTYRAQHLKDQEMRGRDYNAITLVKE >CAK93663 pep:novel supercontig:GCA_000165425.1:CT868673:177598:178851:1 gene:GSPATT00026006001 transcript:CAK93663 MLTDCDFYSKQSITELQASLAHKKKKIQILKQEKNSLLNRIDELNQKVHDQAEEFKKYRM KVRGLEKQHSHLKHQNENLKKDQSMNNETITLFQKGFKRDEFKKHERTVAQLQNDIIKLS NALEEHKIVRESNCEEITRQLVYIQELEDQLNAYKQMSEVDQQKYNNQQLYQTIEDLNYQ LQMSNKNKDEDYQNKLQELQQIADISKLKEKELTLKIAQQEKLYQMQITKIIKVCESVYE ELSNKVANKVEVKDILQKLNISKKDIDLDLLKIESEINAQTCEELQSKVDNLSSENQNIK QKFQATSTNKEALQMREQDLIHQIEKLQQQVERQKELNQMRQKTMSEQISALQRTNKQLN QDVDKITKEVQDLREEKVILQQTIQRQEKKIQTLSLSKQR >CAK93664 pep:novel supercontig:GCA_000165425.1:CT868673:178891:180315:-1 gene:GSPATT00026007001 transcript:CAK93664 MSDQEFDPSDFAFLYFAVSIIIVAMIPLTYNLIKQPFQSMKLNPKYKKAPATAKKAVEID IQRNLFYKKKGFYWKLFFWILLVMIFINTYQQLPDPELMKGFDPYEVLGVKSYTPVDQIK KAYRQLAREYHPDKHPDETQKYSKLFDTITKAYQCLTDPRKIANCKKYGNPDGFTGFQIG IALPEFAVSKENQGFLLAGLFFIFILIILITFCTAFSGFGKYDVNGILMSNRQYLAERIT AGKNKTYEECLETISNCQEIDGKEFINTIQCEYAPGILYMSIEICLQILTQLWAHYELKQ IRDVTDQIVAQIESIPKIIQVFFSLYLFFSIIPIQYHPGKPQLDAKIKTAEDTLTEDDYR NTDLFDVNCHISGLIDQFIQSQTFPVLKQNQWNILLFYNNMIIGSRQIKEDQESKTVVFR VIPAQFEQKNITEFEFKVVAYADSYLLKLEQKINLKCSLYHEEQKKKSNKQKDE >CAK93665 pep:novel supercontig:GCA_000165425.1:CT868673:180775:182778:1 gene:GSPATT00026008001 transcript:CAK93665 MSKSNETAIGIDLGTTYSCVGVFINDKVEIIANDQGNRTTPSYVAFTENERLIGDAAKNQ VARNPQNTVFDAKRLIGRKFNDPTVQKDIKLWPFKVEAGVDDKPLIVVKFKGETKKFHPE EISSMVLTKMKEIAEAYLGKSVKNAVITVPAYFNDSQRQATKDAGLICGLNVLRIINEPT AASIAYGLDQKTKGEKNVLIFDLGGGTFDVSLLTIDEGIFEVKATSGDTHLGGEDFDNRL VEYCCAEFQKKKGIDMRTNARALRRLRTQCERAKRILSSANQTTIELDALAENEDFNCSI TRAKFEELCLDQFKKCIPPVEQVLKDSGMSKSQIHEVVLVGGSTRIPKVQELLKDYFNGK ELNKSINPDEAVAYGAAVQAAILTGTGSQKCENLVLLDVTPLSLGIETAGGVMSVLIPRN TTIPTKKSQVFTTYADNQPGVLIQVFEGERQMTKDCHNLGQFQLDGIAPAPRGVPQIEVT FDIDENGIMNILAEDKASKKNNKIPITNDKGRLSKQDIDRLVNEAEKYKADDDKIKQRIE AKNNLESTAYHMKNTMNDEQFKDKFTSDEKRQLSDLVEQTQKWLDSHQNEEADVYKDKLK ELESKFHPIMQRVYASTGAAAGAGASMPNMNRGPGSEFKPTVDQVD >CAK93666 pep:novel supercontig:GCA_000165425.1:CT868673:183640:184250:1 gene:GSPATT00026009001 transcript:CAK93666 MLDQIDESIDFTIKMKSIKPYSRNFSYIDRSPEQKVSLLKLRKNSKSFIDCATEKVITIY WYDQENDQTYEFSQGFSEILSLGDICNWAILKLKNMNLPKIDIEQTKLYLPKKKQGKPNE DFPSFRNELQLKDCDQTKFSLKVTFLLRQVTPPQRIEPRSSYCNSLNKEKRIPMIEQQKK SSKKTNFFCFCNNGE >CAK93668 pep:novel supercontig:GCA_000165425.1:CT868673:184524:186929:1 gene:GSPATT00026010001 transcript:CAK93668 MLLRHPPKNKIPAKMNNRLMVCESTADDNSVVQLCQDKLNELKLFKGDMVLLEGKNNKKT VAIAISNRQDKESVHMNSVIRKNLGIQIGDFITIQPTASLPQLTKVHILPFQDSISGTNE KNLTQNYLIPYFLDAYRPVSKGDCFVVKMAKEIEFKIIATEPEDMGVVGPITILYTEGGT VKREIENKEQFDNQNGYANIGGMNKQLTIIKTIVELQLRNPSILKASGLQTINGLLISGA SGSGKTLIVKALAIETGANIYFLNGSELVSRKQEEAENIVKKVFELAETNTPAIILIQDI DCIAIKKGEGKSQMDRRLLSQLVTIMDHLQGVEKLIVIGETNQPDCIDPALKRFDRFDKE IELGVPNEEERMEILKIHTKKMKLAQDIDLAYIAKATIGFVGGDIAALCKQSVLQCLKDK MDYLNIDNQQLDDMTQEIITVTNENFISALRTMKLNDLNKYSIEVPNLRWKDIGDLQDIK KQLQEIVALKQNYSKGLKQFGLQLSKNIILYGPSGCRKKSLAKALAGENSMNFIQIKRPL SSQYLKEIFSAAKQQQPCILLFDQFDLFFRKQSSDDIQDAQLNQLFISELDNVLNEDNLF FIGISNKPDIQDDIRLKERFNYFIYVGLPEFQARIIEFKINLKNTPISQDVDLNSLAQFT DGFSCYDIKQICQNAKKAALKEIQMIDAQENAKGTSKNYQQLDSFPQITRQHFETSLQQT QKSYTYHQISQIQGFQKSLVQQQKSNKADFKFQWPLTTSKENQMEEIEEQIS >CAK93669 pep:novel supercontig:GCA_000165425.1:CT868673:187190:192765:-1 gene:GSPATT00026011001 transcript:CAK93669 MELRYQYKKHIIVVVNIARIQISKVPNQWRFNVNIADLNLRFIPNQPIKIGFQFEEQLYM ERLKSKFLPYTQQKIQHRKTKQIPFLSLLLESSAINCLFLTLSRLDIHFNAINVTLPKLN SKEKDLPDMTLRLGQTSVSLHLNQNGHLQWKLNSEGANMHQCFTINTINLNAITKPGLLV DLLEEHSYIDVNIQEVRWFCKSEYFYTMDVLANEFWTRPDINKILKRQFNERGFMFLGKP REMNLNFASFKIEFSIFNDSDNEKKNSFNILTQKFFKYTQNKLVFEWQHLKYYGQFDIVD TDQTKKGIIQCKQFTVNTLQEDIKFQDISWNLESISSNTVHSNDHTKHFIRFGDLTINLS PQLIKFFTLIQTELASEFRVAFVENYEQSKYFKKYNRIAKEMCCEFLNIDLNSALSTSFD FLITNKFQINLIFDGAIINSITINKFHYISNEGDVNESQISFDNCQITSNISQTDGLIKD FKMQNNAQIVNYTFNTFQLLVEQINIEKILRIYQLQKIFRQQLSKFTSFKLTPLEKKKSK ANKPVIKDKIVNCKEIKLKYLAKPFLAEVNLLEINTKDPNNIDLNQFSIKFQEQSLFVID QIQTKSSAELMQINIKSAILNFEEEVRDINVFLQGIKQMNTIVSRKQIFDINQEEIDTSQ LKKRRSSHDGVLKKIPNDQDVTTKVRSIFGKNTNLSIINFEVIIKDTKLNDAMCRYRRFQ EKFETIKSRQNESRVLEGLKTQQSYPLLHLKFQNINLKLKKQNLTVNQVLDKITKLDSHP TQLDESFFEYFELANCEISLEQIRISLRDYKLNILDIRGIKLNTFLCISRLNTQNIQIGF RVFYDLNLETKQLQIAAGLNLVFAFREISNRINQIMGQSVNQQQNKIVIKEQLTFWDRFR LKFHGKLHFQLDHLILQITTDILPYSVDCIKFSSKLLNVEFLNWTLKGQADKLKLGRIPK IQKVLYIPRIQMITEFNWFAQKDQYDHYFYMRKPYFNQINSSLNDFISQQLQIEVKVDCI QYEHYKESNFRDQQKHCFFIHYQNQFMRWLKNRPILRHDVLRMFSVQINKLPQVMDNQMY EMEWNMYADYKTLVNYTYIKLMESLMIKQSLFQHTKKVNIRVYSNKFRMFFTNSEKPVQH NETFLKYKDVPFIGFQALLQSLKVNVQLEPNRIDNEWRFEVQSIAARCDQLVSSMFDGKQ MLDMEQPEPLGQQQQFVNFFDIQPVDYYQSELNQSQNLQNMTFHELKKLKKFLKQPFIIN TTLTPQYHLFNLQTDESYLEDSLEDVKVRGRASGIQIKPQPKNEFNAFDIFLKAKSLEYK QNQKIDLLDEDEENNTNTVMTKKIIKNFISALDSQFLFTFYLQDIWGNVFESLEIPQNKE AKQNFDMQLDQSKPKSYSREELILMVSLVNPQFNFQYHNANCQMILTSPKDCQIIMSEYI LPFDSQEIDKKLVTKLIFNGLDCQVLQKHLDAKQTVRFTSQDYEKIIKCDYALFQIQSYQ LRENTRDFTEISSSNNKKHNPNYWNQELRRPIVQVKVGQLNATMKKYQLDQFLDMVTFME MAVTTKNEFENKERFCRNKLKELNQYGLKQLDQMIKKKISENLEHYGAEKSKLDYAIKET SLSLLDDQEISFILFNIRQIRIIETVFENDSHKIDILLQDLDAINKIEQDPDYKMVLSQE IKESKQQPFFRMIKKFYPLQIYQQKWFIIDQHDIKMNPLTIILTDELYDQFYNYFFGDES TQRNNRLSIEKKEQMKSAMENISKQIPDYFNSLQVSELKLVATFKHPSKIKRFKDVTIQL EPYKSPKQFTTTKDQFDEFAAFVLKQISTQIFSIIGQKLFG >CAK93670 pep:novel supercontig:GCA_000165425.1:CT868673:192930:194375:-1 gene:GSPATT00026012001 transcript:CAK93670 MSELQIIFNVGKNEQGNPNQNYKKILKKYKGQYKFGLHKDDLVYEKLRSANLVILGGSRA PFTEKEIKCLEEYLNNGGNILIMFSEGGENKSTSNLNALIEKYGIYANNDCVVRTCFFKY FHPKEAYIQQGVINQEIVRVINGDQKETRKTKGSTPYLQGVIELEESEINNNGGLDFVYP NGATLVVKDKAFAVLSTGPMSYPCERPIMAINQEKGKLVVVGSTDMFNDEYFEKDDNQKI FDFLLKFYFSKDVEFDKKAPFNESENKNAPDIAELSEKLKSCLQESEELPKDVTTLFDSS LFKFDLDMIPDAVKLYETLSVKHEPLTLIVPQFETPLLGLQPALFPPIPRELPPPPLELF DLDEEFASEKVRLAQLTNKCSNDDLEYYVKEAGDIIGITDKLKNRQKAQSVIHYVLENLI NFKKLNQG >CAK93671 pep:novel supercontig:GCA_000165425.1:CT868673:194497:195353:1 gene:GSPATT00026013001 transcript:CAK93671 MSENIFKLQQLNSLFKSKRENKAEENKKTPSESLIQYSNQQEATLQQIRKKQSLPVPTLE SKPTIDVTPLILSQELLNSLIKPRDWEIDGRRLMQTVSIVPPSRDDITNLQKLLDERLVS RQAREYPLCPIREELFGQCFDEIIRQVTIDCQERGVILARVRDDLNKTIVAYKTLYEGSM PFSLQKQLNAEDGLNKLEQQITKLNETKQELELKKQFLTNKKEALERSIKEKKDANEQRR KTEIEFLKYQNSHLESYQKQVNPNKNQ >CAK93673 pep:novel supercontig:GCA_000165425.1:CT868673:195390:196779:-1 gene:GSPATT00026014001 transcript:CAK93673 MDTSNIPAAGSRPTKQTFDQAPITRQVIQNKSDIFGVNSPAPVQQPSRQSARPKTDIFST ETQATKQQEKVRQNKTFQSQIFSSEPIENAPPPPRNTQTNETSKQEVKRYQKKNNDNRQV DHGKEFLFGKSDFDEYKSLKRAVTLNEFKPKLAYDPNNRRNKELYGNEIAREHLPTKEVN LEVSSPQKPKKSQQQQQDQNPSNRKLMENQSSVFGDSASKPIQGQKAEKLTASTQKWSTV GGQSNNQVKDFDPETYAKNRREAELQSSVFGEQSVKVQPVSISESKEETETLQSQSQEQS QKQQKPKERLIPNNQTWQQTDSVKNKRDFDPTQSQANNEIDPSQKKMDILKSSMDTHQFE AQQIPTNQKISNKSLKQKKDIIQREEKITKEKSTKTQSKKK >CAK93674 pep:novel supercontig:GCA_000165425.1:CT868673:196939:199278:1 gene:GSPATT00026015001 transcript:CAK93674 MHIINAFKTYIWEYTLINQAKISVLKYKKKELLKTKWPYFINLCRLQIFLALIQLLVNFF LFGSNNHYKALLVDIGISSIINIIDRCCLNRLSKGRKFVVIILLNFFQGIYIGISEKILN DNQFVQFNQHFLIHFLTKIMWMIALISTQPLFMCKVIIFLFHYVAICYFLSVWEHAFYDF CIVILIFIIYAVQEEEKFRKLICNQIIPKKIISSLPQPIFLINLSTLEFVIYNDMFKQYL NSQKDELDITSTKRMLTQFQSYGNQQVFNVIDSERLNLFETIQIIKLQDGVTTQNVNYQF ILNDKEVYDVRFHNNIIYNDKKCLLVCLSDISAIIEKTKLSERTILKSKLIKSLSHELRT RKNIIQGLLKLYLDRNNDDQNKELICQAYYNSKIESNIISSIINYNLCLDDQVIIKYQLV KVNDILDRIIDNFKYEIKSKQIAIVDNYHPETNHTLYTDPEIFEQIITNIISNSIKHCDK QSQSILSISICKETHPVAIQIPNQNNPYITYLQKMSHYSSFQSLQDYNVPQFETTLNIKI VDNGSGINVQKLKLIQEILNNDNYYQFTTSSSDGFYLGLRSCNLLLQKLNKQSKVNIYIR STEKEETEVTLKFLIHNQIKDCELSIESEDSIQQIRIQKKDENKCNCLQCIMIVDDEPFN NLVLESILKSLHFKVVKAQNGLEALKLYREQYDLCDIDECKLFYAIFMDYQMPIMNGLES TKAIIEECNKLKQVQPTIIGCTAFSATDDINELLNAGMKSVCIKPISKDSIKQILSHLQ >CAK93675 pep:novel supercontig:GCA_000165425.1:CT868673:200102:200314:-1 gene:GSPATT00026016001 transcript:CAK93675 MNQVLKYVPKIKFIGSRANLVPHQHSTQTTKYVHKVVDYQRRKMTQEEIDIINQGGFADM NWKKIKPIKI >CAK93676 pep:novel supercontig:GCA_000165425.1:CT868673:200439:201077:-1 gene:GSPATT00026017001 transcript:CAK93676 MINKLNLFLILASLLLLTSPRKQQESKLNSNDTPLEILIEYCTKNALLNQYPNKINVIGQ PYPIGAQKQLLVQCLTYIQYGSLIVLVLFDSILKSKLSLWEQYISPNKMRVGILIYIGFN FIIQNLQSTGAFEVTINGQLIHSKLATGQMPTINQISHFVSSYI >CAK93678 pep:novel supercontig:GCA_000165425.1:CT868673:201134:202366:1 gene:GSPATT00026018001 transcript:CAK93678 MQLDLFEQQIVKKVRSQQNKDNGDSIPAFLLKTYEIIDNPQNKDIISWNEEGSAFIVKKV NEFSDIILPKSFKHNNFASFVRQLNMYDFHKTRHDNNENEFKHKLFQRSKKHLLSQIKRK TNEVKEQNSLSLIKNEQIRSEQSETPEILMQMGKLQNKQLELEKLIKIFIKQNEKVMKEN KYLWSELTKNKHKNENSEEQIMKWVLQSLQGTKQNNKLKSSLQANNLLMLKQTCENEDEM QVEAQNQKHFETEKLQFDQLPSQIISQNLEQQIEKLSKTQIIQVLLSAITNNQKQEKKNN IKFDDDLSFDDEYPVIKKMDLKQESQDKKQENQLIVYNEPYFFHKFEDNYVNSESPNPSR KNSYFDQDPIPQFLDSEL >CAK93679 pep:novel supercontig:GCA_000165425.1:CT868673:202414:203953:1 gene:GSPATT00026019001 transcript:CAK93679 MNPNTKAQTRQKQPNCEICMYSFLLSVQQHQCKRCLRAICSECGKYKGLVVNFDLKQEHR VCLVCKDEQEYLEKLINEKKLQYNNNSLNTKEWLQYSCIDKAKEKIINDYYYIKLEESKN NLSQLDYENLKLIKPYVIQIRSQFKYSIIELDYYLTKYLIKDLIKEKTQAEIKESLDNTI TDLVFQVLQCFIYDNPSIKCTYNLVQLTQFLLYFHSQPLVLYFINILRKEGCLKRLLENA EQLQSSEIDILQEIGKTNHQIQQTDLFFFRKYLEKYANSMLSSLFIDYLNVPCIIYIFDR IFKYKNYSELEDITALLDIKLKGQDDEFITNQIRRNTKRLDLKKYLNKDDNVEQSQKRNT YTTYEDFFQRNRQSIEPQNQIGQILQLIDSYVLKQQPLTNCHQELIKSLNPEQVQIIEDL IKLQGQKAQIESENIPRSNSINQSKFQRKRPVQPYELNM >CAK93680 pep:novel supercontig:GCA_000165425.1:CT868673:205672:206365:1 gene:GSPATT00026020001 transcript:CAK93680 MDQNKSKYTIPSFIIKLHAILEDLNNSLIITWTPQGDAFIVLNPEILEQQILPQHFKHNH FTSFLRQLNMYDFQKIRNQNNQQVFWHQNFLKGKEHLQVLLKRSQNKLQQKQRFDHQCYQ TFLIDEITSIKQNLKQDEQQFTHIINQHEIILQQHKQIYLDLQQQRESMEIKYEKLNGQV QAIISWYKVDSTSQYFESCSQEEEQSEYFCSQNL >CAK93682 pep:novel supercontig:GCA_000165425.1:CT868673:206676:208437:-1 gene:GSPATT00026021001 transcript:CAK93682 MSNFWKEIQNYSGCTDFEQLQQYLNIIYKFEIKLEDFQAIHPVYLAKLLHLHQLAIQYYE HSTEELTEYDKYIAKSTIDISEEIQQIERRLLQSKVNVKAAQKKLALELSQKDKFMQKIV NTQLIQCLYCDKVVTTDSQFDLHMQQYHKREFNKKEVNIVNQAMQNQLLTQQLSNKIIQQ NQQNYLALNYQLLQFTKEQLSRETTDFLQNTMQVTQKINNIDQTYINKIKSLEEAIQNKL KLIQLNAEKEEQIREQQVKDKVASLEEKIQQEFEKSRFQFMRARSQNKMKTNTFVQRSQE NPPNNSQIPHSAIFQSQPGFHRGQTTMNIASLANIEQDELIGDDENQKSNLLQFKKQNIQ GSDQESPQIDQSQQLSESIQASQGLLIKPPPEIQQQQSKFSKPAQKNSSESEGEQQIGNN NAYHFDNDDNIDYVAQSLETFRNAIESQQNMLFELLLNRSRGLRPTCTELQKQYYRKILE KNIEQDIKGIEQNDVKTLKKKYDELNKQLKDQQTDVEEKLQLYSFNEESRSPEEVDSRRA TQFLNIRSRRNTEKHIRNLSQK >CAK93683 pep:novel supercontig:GCA_000165425.1:CT868673:208484:209625:1 gene:GSPATT00026022001 transcript:CAK93683 MGRNKIDIQYLKDDRIRNVNLLIYLLILLFLEPLYNLYNQLILFQITFNKRKNGLLKKAC ELAVLCNIKMLLCFTDLNGTVYQFTSTDNIEMELISSFHKKEFTKQDVHQILISIQYPGF QKKKDGDSMSEVESEQSVEQKRTRTSNKQQEIQQQQINQQQTTSAHQQMRLRNKMLENVE KLQQQQQFQQDSRKIVKIENKPEDQDIQKSKMRVKNKMNNNDEILVNDIIDNQQARNFDD LYQCGNQYDEQSNSQQDHQDYKQQLSMFTNSHPIKLMKMDSLLNGINNSKPNNSFLSEQY NKFLSKERMDSLNNSKVLQPSVQFIVPPQVYFNIPPSPISHAMNKVYVGVDEPIEYNLGQ SSFTEGFKKYTK >CAK93684 pep:novel supercontig:GCA_000165425.1:CT868673:209738:211220:-1 gene:GSPATT00026023001 transcript:CAK93684 MDFLASFDKFGAVYKPKIKQEQKNYQTVFGGIISIMIYMVSFIYFCYKIYQFGKNEVNPI QSIAYEYTQNLTMADNKDFLSFEVLGTQINPFNRTSQILVPMMIFQGYEQMEQQAFFTQD DIYNNNEVDFDIQKYTPKNISLQNGVGDYPHKVLIVLRICDSKTQTNCADDAVIDSFFNQ NNLLIRTTVQTEQYNSFHRSINNMSTEMIFALDRSATFYQRVIMQAQLLEIDEAPLFPTY DSKWIFSDQFIQTQSLGQSFKSNFPALFITELSMSSVTQKKVVQYIKVSQVLADVGSIFS SLMLFSIIISTFNEFLMEQELIKQVIEIYYPQFSKFEFRRLLNFGPISEVRFEGKTVDLD QFQKFYENAKTIAKNKLTVHNSIYQTSRLQFAIQGLVAREALKKLKSQGIKLNLNYDNNF KSPRENQVQGSKSSVIEYQEIEDHVNLQFTDGDFNLLDEEDNSQHEKQKINEENQENH >CAK93685 pep:novel supercontig:GCA_000165425.1:CT868673:211439:212676:1 gene:GSPATT00026024001 transcript:CAK93685 MQKFLAFTLTIYLVCSVDPSMVQLSTEKIDELSQSNLGRFIIEMAQTHAEMRGPLDDLVT AIGDLENELTAELQQLEDDFTRSTNQHQITQENLDINIGQTEINIFNEKDFIDAILLPSI DQTNQKIDRLNGFINDNRDSLARETLNRQNQHQAYLDRVSEHQGAISAVDEALQLINSLI NGNIAFTEKATIHQAVKRVNSKIAKTSTIHPVVEALLQLTQNFSDQGQAKKIRDLLQDTR NQLVASLNQENTDEKQIEETWAERQKTLNTEYQEFKRSLLEATYVLAAYQNKLKSTQEAL TQNELDLQNYNESLQQDKDAQAQETQIYNELKAQYQIQLQTTRNAKDFVNSAEFSTVIRN KLNQGGLA >CAK93687 pep:novel supercontig:GCA_000165425.1:CT868673:212699:213671:1 gene:GSPATT00026025001 transcript:CAK93687 MQITQNSHSPPPAKKQHLKLHVQPIQLPDANMLNKTTGNSDPEIGSKSIKTERITAFKQN KKFHIKQVQHNTHNRLQTNHPRLMQQENDGLSLILYKQSQTSTAEKIITKQLVNDLRNKI DSQDSVIRLQEFKINRLQQELLSQKQYCSNLLAKLYQNDPKSQYMSSVKVPKLGIQFHEN TQFSSQQPSMLKVSNNNDIIKIKNLLSQIISFKQQQNDFKNFIKETFSSIKNLILSFETL STEKIKSVQMENKSILNSLEEMIKINNIQNKEELSKINQKAEIICQELVLAESQKEVYYQ KCLSLLS >CAK93688 pep:novel supercontig:GCA_000165425.1:CT868673:213927:214577:1 gene:GSPATT00026026001 transcript:CAK93688 MDQGWEVSEEHYLENLIQNPLCLKRQESQQIEKPAILASQESPKTRMRFRTTSMPKLDES SKPNSPNKKPAIEPQTKVESPIKYQQSSLILKTPIRQQKFQLYPQKISLRSTLFQTPLEE CSPIKLGRTLQPKQFNPVLSNISDIHYNGMKGQTRSVKSASMSNLFNYDERVIQKKRLED FVFKIRPQRNASILYANSQSSLQTTTDDIVLRKIQK >CAK93689 pep:novel supercontig:GCA_000165425.1:CT868673:214695:215069:1 gene:GSPATT00026027001 transcript:CAK93689 MDQEFALPSMKNEQKYNEFMGHIRQLLDRNKVKSQKDINPLRIPNNFILNKGTKQGSRMR LLETKINDEQQQQKQVQHQQILSDPTDSQTQRVLQYQQRIGLVRKHGKTELQLRQIAQKH NIKW >CAK93691 pep:novel supercontig:GCA_000165425.1:CT868673:215093:216400:1 gene:GSPATT00026028001 transcript:CAK93691 MNQIVSGIQFNVGARYEVKKLLGAGAYGHVALAIDKKQTDPEKQKVAIKKLHLVRDEIDA KRVLREIRILRTMQHENILHLENLIYDDSNKELEFGEIYLVTNYLEVDLYKIIKSGQNLT DQHYQYIIYQLLKGLKYLHSASIVHRDIKPSNILATENCEICYCDFGLARQIEELEAEDN RCQNMLTEYVVTRYYRAPEVMLSSHEYSTAIDIWSLGCTFAELITKQILFKGTNYIQMIK LIFDTLGKPQDEDLQFITNSNAKKYVSSLQTKQKCTIGSVIKYSNPHAIDLLDKMLEINP KKRITSAQALNHPYLESIRDPDDEPSFEGNLDSQFENDNTIQLKDLKILILNEVNLMKQN HNEPLLNIQLEVEKREQIAKLNQQKKQQMKQQQQKQ >CAK93692 pep:novel supercontig:GCA_000165425.1:CT868673:216590:217794:1 gene:GSPATT00026029001 transcript:CAK93692 MADLKFKIPPTKTLEQEKQKLLKEKDEIVFRFEQQWIKDLDEVGKYKKGIRIKNYVDGSK YEGEVINEKRNGKGIYHYSNGDKYVGEWKDDRFHGKGVYIFANGERYDGELRESAKHGRG VYLYVNGNKYEGEWMNDKKNGKGSYTYFATNEKYDGQWLDGEKHGTGMYIYTSGDKYYGE WRDGEKSGKGVFEYQNGSRFEGEFLEDKANGFGVMQYSNEDRYEGEWAGGQKHGQGTYFY ADGAKYQGEWKNENQNGHGIFYYVNGDRYEGTFVDGERCGKGIYYYLSGDKYEGEYRNDV RNGQGVLMLTNGDVFMGEWANGTKNGQGRYEYANGDQYEGFFQDGKRQGKGTYYWKNGQK YVGLWKNDRMEGEMEPIVAFKQSKFSNDYLIDV >CAK93693 pep:novel supercontig:GCA_000165425.1:CT868673:217842:219559:1 gene:GSPATT00026030001 transcript:CAK93693 MSKRIPKFRTLQINHKRCINEYQIQLLTGKKEMIDTELEFFIADRDTIDDFLYEIDSSFV RIECGKMFDSIDLIFFEGDANLRPWSPNAYKFLILLRMCMRSNKILFASSFAMQGLVFLI ASNIECQISIINGLNGSQLGDLSKIKKSMNEIRMSDYFLDNVTGDLYSFNYDTGEWVGKG NAGLHSRRAAEEFKTIGKYIVKAPQYKVQRMKEIDQLYVSKENEVVCSLRKNSMHNYLFY DLPFEFVVPFKNSWDVHPFNFVNPKKTFQTMAECEKGPLVICISDNIVATQFSIRTKYKE TVQMLRNFMGYQLTKLCSGRAQTIPIEVASIKQNDNAMDIYLEQLSRSKYSNQKTIKFNV ITEFHHAGFAAKKSNQLDVVVNNAIGKKKFKQTQQKLNPKDLDQLLFYSSSPKFQSTQQQ EIERKPSIYSAQPNHFEEKPQAFQKTNLEIIQILHPSIDTAIFTQNKPFWVPGYLSQCRL QKSQMSKKQNTTSEDGPSNTHILTEFKQNTTPRMPRNSSQPHFRVIQKDKWMTNKDFQV >CAK93694 pep:novel supercontig:GCA_000165425.1:CT868673:219581:220566:1 gene:GSPATT00026031001 transcript:CAK93694 MSLTLLNKKYQALMVREKQIEDHFDPVVRHKKQHPNIEDLRQDYQEDLENYGFSKQSFKA KKESELENIQITPEKSLRPMTALISPVQSSSQRQRPITAPGLNTQTKQMMKIDENEEMLQ LPYHSETKYWRNTKKYDKTNQNVVRNHSALKKVSLGGDCENYNIEEQEIKNRNLIEKQII KNRLLSPTFVSQRHQINDRDVQQLTEGFYQHLALIKGTSQNQNQKSKPQPGFQLYDNLLD LMKLINQENSNKSPIITKTSSQGFRPQTGVSRQIKGQQRTRPFSSKIQTVGVRAFQQ >CAK93695 pep:novel supercontig:GCA_000165425.1:CT868673:220883:221562:1 gene:GSPATT00026032001 transcript:CAK93695 MNFLDKRNKIEILQERAALYNKVQDELYEKEVPEFEHTKLIKPVLDQHIEEFATQQPPAN LNSLTRSLPKPPVSVLTTSAMISLGLDNYGTMTTQMQDVNRRREDDFNHYKQLKKEGRLE ELMKPLKQQREKDQATQAILFKVDHIKDYEDKTHTGYAQHKSAHRTYHVVDETMKEMINE PNIKNHLMKNDLNTFFDSYLKHKNTLRGAVKQPARAK >CAK93697 pep:novel supercontig:GCA_000165425.1:CT868673:221740:222046:-1 gene:GSPATT00026033001 transcript:CAK93697 MSKSNQYQQFGINQSVFNSESPKKMTPILRVSPSSSDGDIDIRKDSYGNLIKHGERKHRI QFREKNEVFIVENWKQYNTDMATQESPCLCTIL >CAK93698 pep:novel supercontig:GCA_000165425.1:CT868673:222072:223704:-1 gene:GSPATT00026034001 transcript:CAK93698 MAQIQDNTDIIQPTFLKLKETFNTHKTKCLKFRKAQLKSLLRGLEEMEQEFHTAIEKDLG VTLFSSQITSTIVTKADVENQLTHIDEWSKEENVDTPFVIGPGSSKIIYEPLGVVLVIAA WNYPLFTGIPPMAAAIAAGNCVILKPSEIAPHSSQAMYNLVTKYLDPSCYAVIQGGVEVS KKITTLPLDLIIFTGSPEKGKLVAKAASENLVPCILELGGKSPTIVDKDCNLNVTAQRII QGRFTNAGQTCVACDYVFVHQTIKDAFINEMKTELKRFFGENPQNSNDYSKIVTEFHTQR LQELLKDHQGQVVLGGQVNVNQRYVEPTIILQPKIDSKLMTEEIFGPILPILLFENIDEV IQFINARPKPLALYYYGSNSKNKKLIEQQTSSGAIVHNDSVFHLLNPHLPFGGVGNSGYG AYHGITGFKGCSHAKPVFAKSTLNIYPFNIRYPPYTKHKLQTLSLFFKFAELPQRHVVNA TLLVSFVVALKLAQKKGYLKKLFEISKPYVEKVWKQVNL >CAK93699 pep:novel supercontig:GCA_000165425.1:CT868673:223721:225382:1 gene:GSPATT00026035001 transcript:CAK93699 MLNQVDDQSFKKMIDQTSPQSTTTQKLCLRLGYDPNDLIYKSISTLYIRSIEQFPSVSPE VQMMRYNHYKNRVMRIINEIQTNKQRHKLGDQSLKQITFNQQENNISNISEESVVIQEKK EKKGLSREKILQNLIYQQLQEEKKNVEYLQKQNQKLQNHQNIQLRKSETSFSKLRVRDGN KNKSTEQTDEFEQRNLYLITCIENESRIQEQLQGRFLKKHFKHDNYTERAHEKRKQQENQ FQQTITERLNKLQLKETQAQTKVELYKKDMKNYFAQKNSQIIFKQEKKSQIMQFEQQQYI KQQCEKMKKFQENKSQLILDEKKKINERKEKYIKMLRKCKESNYEQQNKHQEGFSNTYQQ LLKKQEQVISNKEKLLEQKSLILKDKLQLNLEDVEFNRAHAQRIKLNTQIKQNNNKDAKS QQSSLTSDTWKLKSQLTQKESENSLWEKAKQAASLFKDPIYYEQYVEQQQLVLLKKKKSL DQKFASAASIIKEILPKHEAENLLMPSELKNFLEQQSRIKTQK >CAK93701 pep:novel supercontig:GCA_000165425.1:CT868673:225546:225992:-1 gene:GSPATT00026036001 transcript:CAK93701 MSKQNVKTTSSTLAESAYTTEMQLCQYNFSLKVAQKTLQVQIEITPDSLMMEAIETAIDY FTQIYYDQDINENAEIYEVFSADQQGNPLKTKFKTEQLLQEIECKSFALVLKKKGTLLSI LKQPILRETTSQGTKELPKFRYDTTITK >CAK93702 pep:novel supercontig:GCA_000165425.1:CT868673:226028:226700:-1 gene:GSPATT00026037001 transcript:CAK93702 MTKQECISILLSMFNDFQETRCNNLSLFVVQRCQKDSINELLGELIVILSYTFLIQQYNT QSTKKQSVVAITKNEIQLLLAELKTKNNFQMIKKKQQINFLVSFDVSRIDMKHNRREFLD NELSFICNFEQQE >CAK93703 pep:novel supercontig:GCA_000165425.1:CT868673:227087:227525:-1 gene:GSPATT00026038001 transcript:CAK93703 MTRNMQSRNQRGIIKFKITSTIGECSNLLNKITAKAESIKENNNNLREVNYALSKSINLA FEKRNLQNEKQFYTVNQLLVYERVDFVYNEFIDKTDHNLELNRDVQNYSRKLESLHQELY GNYGLGY >CAK93705 pep:novel supercontig:GCA_000165425.1:CT868673:228037:230469:1 gene:GSPATT00026039001 transcript:CAK93705 MKHNKQQSHYVLDFSDIEDISDNESESSKQSNHQQQINQSTPTPNRIELQIPNLQVKKET VEIPSILIQEEEEPVIRRKQDIQKQQWQVNFDELSEQDLEESDKSQVAIEIPRDPSPPTI VVEEPQYIEQYFEDQNVIEEIDMEQEQLKLEQRKEQLKQDLITRKQQQQKKITKVQKEQW RVEEVIDQSKNRNVEDKTKQKYKQKFYNPKNSLIYLRLKGREDLYKKQELIIDDQTKPIE QDEYLEGLECINSLLIGLSKIKDKKVGAVEKQKTLPLQIQRHRLDQKGEHHKESQPQKKD EKEKDSEQQKKSQKGVQKEEKLTEQAMKQQQLQEAQQARQIRHEKQQQEKEKQKLLEQRQ VYKILTKKQINQGLILYRCQLRQKQKDSIKWLRYSEIALISKGESEIFNFEKRLSDQLFM QQHKTNMRSVVITKQAMFDIVTIPYVNEQKDANEILQKDILKQVNNEIENPKPPINQDKK GKEKKIIKNKDRKKKDQDNQMNVDLEKQQSQKQEKQQQLYQIEQQDIIEQQDFQQKDLGH QQEAKRTKNKVSYQERDEEKKDEDLLDADSIQIFKITQERQKRIDKKDRELKSQQTNQQQ IEESKQIESNELDQKTNNNNNQEIKDHKKLKNPKIKECPLSRLETNDEIKKKQQINQKRK EKRRQEYQQYLNEIEKEHQNQLALSKQKKALKDSCNQETIKNSKVNANKSHKKINNQEIE NMDDQINVKKTKPPRRIVKLNIRENKSPSSKQSPMRKSIEPAYVSSKRIYENRDQKQKRL RVIEDEESEFCEQNAKQNEKKEKKKRVRQK >CAK93706 pep:novel supercontig:GCA_000165425.1:CT868673:230749:233696:1 gene:GSPATT00026040001 transcript:CAK93706 MLQFDSIQLLLLVLAVTTFSNEVFQTNGMLPINLIAQIGNTEIKHQTIQNEWIDNQSQVS DDKNEANNVFLIEPPKKSVKVYSSKSLIVEIQEEELLKESSEQNESELSQEQRDEQQRIS IKVSKKVMQDTEIAQENNLKGENSVKQEELKPIQNDKMPTKITQENQVIENNDENEITIQ DIKIESIQEEPVSQREETKSQNEDVIIIKIDEEIKATPKVFGILDNNDSIKSESLEDELI SENDQDQDQIEQCEGEELDTIHSQQENKRQELNKSTIDQKNDGKTAKKTNEKSQKQSTNV LSNNKKQQNSKRNEQPLKDQSINSNEADQKLIGELIKVQPEVDVVDLSIFADDNSDLIPI IVEDSENTIVNDPENEIQDTQPQQEISEITQQDTIIEVNQELNQDTVDVIATQNLDIDGG SFEDFQSELDELARELDQLSLDTTQSSNGNGEGEHLEEESSNDSLQYITISTNNDPIIAN NLEDDYFLDDLENELGEQTQQQDDLNSAIESTNEQEGQILVVNNPEQLIIQKENQDEDQL VDDNQIESQTEQQYEGESSKENEINISIDNNNDQELSSQDSEDNQVQQSIDSEDNQVQDD SKQSLDLDNEVGQFQIDKSNEFKEVVDIKKNSVIKKEVSVSLDNMITNESINDQVGLTDD DFLRIAAESEAEVIENLEDLQDGMENVQFDKKTQNQIQAQPDKGQRIWKRIEDNKEYSLK IDSNKQQRRFEEDNLDQVKNTQIRDQYQRSKEDEFNKMINDLINEFDFKNQRERDVIDYD SQITQKIIENMEELRYANRVFNRDWEETEDEDEEYEAEDVHTYQESQKANTEVQKEVQNK KEFERECKDQASNSAAISDYEKDMDKRKQEVIDRIKKELKLKRERLKNINNQKSDKLNSY DFERIYLDWATNKEDIVYNILLHTNNQDKESQVEEEVQNAKVERISKKQEVEEELQKLYS NYERKGRHLRGQR >CAK93707 pep:novel supercontig:GCA_000165425.1:CT868673:233774:234937:1 gene:GSPATT00026041001 transcript:CAK93707 MQSQFSSLYPQFNDLEEIRQFITSKTNTVDLSLQSPPFLSSFFQPLRKQAFQNYFAAKVT DLNQRKMAIYKFIQLVDIEILSLFSEQIIKPYQNLILVRHYGIPQDLDVEKLKLDNSTLG ALNDLASSIAQWNLQIEIVVDNITRVQLYDLWVPSGKVKEAQLTAEIKGILSPADDFDFK TYSKHILIRLLAQGAEKFGKYLYSNGLSESNLSYGAALVIYHALVGKSALPWNVIIPNVS LKWLFGGVIGSLALGKVSTYLEKCDQGVQKYSKKQQSFLINFNETLNTAISEVLLTVNEE QEKEKKQNLANLIDQFLQSPESIKDGQTEIITCEDIIKHEQDEWQVIQ >CAK93708 pep:novel supercontig:GCA_000165425.1:CT868673:235101:237177:1 gene:GSPATT00026042001 transcript:CAK93708 MQKLETMDEDESTSFHELPINDRVSNLQQIQTWFQNQKLAITNTELFTTQIFDDLKKYCE QSNEILQVSSEFQETKELINSFYSKAQRICLKIKKKTEPRSQLQERIRYYKVKEDEEVEK NREILIEVKKKLLCIIRISKGIPFIINFIKSQNVRDKQYKLTLMVEDALLDGVNWYLMLN DIKESQWNKELPQECKLKEILQQLLTIIWQQRQELKNELNEIFFRLKKTQLIKLLDKQGE KFEKNDKFTQQSTKILQKLQEFCNGLENIKLSANKANGLYYLQRILLSYCWVKQIELSEM LYHTKITGRLDKLKQDKDHSNVIRLRQKITFLRFVYRIPAVKQWCEQNQIIPFEMQSNIP QYLQEQYDQFMSDKKERLFSDTKEDYFIESQLKFLANNFQVYKNKIRIIFNDLRFIQNKQ EIYELYQEYVNKYDGDIDKVQNLQFQKQQKDIIIACCIRHLEIKLQNEDFTKAVIPLVKF DFLLKKEKHFQFQQTSYDKSQYSFLAKPSLVKIFLIDILAQEQPFPYIFEFIYYEDGKLQ QFMKSTTLQGQFFYCPQIREHLSKRYQFNIKLIENENKVTFTYLPTIHYDYVKPAATVLW EDILLQDLKLISLHRNQLVDEINFMVRSNKFQTIKQQIQQDAKYFSDHLRIMNELEVFNS LQTNGKKLRCI >CAK93709 pep:novel supercontig:GCA_000165425.1:CT868673:237551:239839:1 gene:GSPATT00026043001 transcript:CAK93709 MEKFLNELRRVDIFGYQITLRMNQQSTYRTGLGGLMSIIVIGVMIWQFSANFNSLLNKEQ LNAVSITEYDPKISDTTITDKQLLFAIAIQQEDFIHNPFFNISLVQKYNKVLLIFRQNYR YQNGSQESLSKEIPLVECTQDRFEKYFQREGSDFSQLYFNSSSLSDYLCPVEEANFKLGG EDFSYIQIIISKCNAQEALNRGYECANQKQLDEFLALHGSFKFQIYNINSIINPYKSDDN YKQLYLDDSLQYTFIPNKIGRTIDVYLKHYHIVQDNSLIPLSETYVTDTFAIEQLETKVV SELGNQASDQYVQINFKRSPFKTTITRNYMKFDEMLSNLGGIQQILFFFVGIVVTLYNKL QMMIELANRVYEFSLDNTEKLRQQQEKLELINLALQQQQRQQKEPGSSVNNSDEEDKPQT VHQTLIHQKENNQVIKKLTGVKRFKAVVQYLTVFKQNNLQTQQKKESFRIIQDQQMLAIQ LNCKSGLEYFEKQIKSIISRAKPIYLSFKILVNVITCNRLFSKQPKIRLINKGMQQAAEQ IDIYNIVQNMNEMIKLKEVVLSYHQQLMFGFTPKPQITLDDRTTQPTRDILTLKLKEKDQ DEETGGGDIFKLKRGSANQDNYLLYAKIYNVICLIYIDKSYDDVLRQSEDEVQNKKNNVS KQLIEKLGPELQLIFKLSKLIDFQSQNSTQVQVKTPHRRGAMQQKEQNVYAKMFNPNT >CAK93710 pep:novel supercontig:GCA_000165425.1:CT868673:239846:241722:-1 gene:GSPATT00026044001 transcript:CAK93710 MGTCQYNNCKSYIDISTLNTTVNIIDQLNNDFMVVHEDYEHPLYGQVNILEYKEPKQYDF KLVHKLITFAEEEDFQQALQYYQAKFYGFNHPNLLIIHAMQYKQIDQFFTTEYKLSLFLD YYETTLAQELIYRKKIYFEETEILFFLDSLIGSQAFLQSKGYALPSLKFDKVYLSNLLNG AIGLKVQSPLFHNQEDAQFNQLFHKIINGEEVHLHEYPFITPEQWLMIQNKQLEPYNEFK SNVFILGLMTLELCSAKQSITLYSDYMIDPNTLTEQINKVSDRYGEALPLILEAMLHYDP KDRRDFLQLNELLDASQLKGKRLAQIWTSDDYQYSLTEKKVHFSTKQHSLIHPEVQSQLS NQSLLQKGSHLSIRQVISKNSKESKASSSFIQKKESYSPQIQKKNLFKKFETEQASKGGS KKLITQDGFGVETTPNGLTYEGLFVAGKKQGMGKLISDDNEIIYEGYFHENQFHRTGILK NQNAQGFQEAFNYKDFNQLGEKWARYDGDFNLGQQEGYGQLVLANAERYTGLFKSGKVHG AGVFQTLDGKQIKGVWVNNVLQES >CAK93711 pep:novel supercontig:GCA_000165425.1:CT868673:241735:242419:-1 gene:GSPATT00026045001 transcript:CAK93711 MPPFTPDARNLGGRNMISQQIWNHLQQLKEISQQIPQILVSPRKLPNSPKQSIETINYKR VNRKQDYLLYPTYLFRRKTVSESFTSLSPKNIRDETQLLFQEPLLRRQKNLKSPQKIFPF STIQLGALQKIKANEIKMNKKNDDIPWCEVEMAVKEFSSKYEELLNDIQQVISEFGIYSA SDYQVLIHMILRLKPQIPKMDLLNIFNESIIKQQLQLS >CAK93712 pep:novel supercontig:GCA_000165425.1:CT868673:242524:243115:-1 gene:GSPATT00026046001 transcript:CAK93712 MNSPRAETLDQELSEAINKIYNGCQKINAKHLKIRILQWLDKVRTPTHNFIWKQNSLLYA RVLLEMTLEQQLDKPFRGVPPDGPLPRLDKFDVPQHIWQKIKQINIQQPMSPRKPLGMLS NRNFTPKLKTEPIQYKSNKFHNSQKSQKWDQIKETLEGANRNMREFKQFLENIQTEKLFV >CAK93714 pep:novel supercontig:GCA_000165425.1:CT868673:243633:246777:1 gene:GSPATT00026047001 transcript:CAK93714 MSEGFKEGISVITSPCQYNIECQSPNSIHSNSDENILASNVQTPKLAVLQRRDSSKRKSQ TNAQTSFMSFFYIGRFVEKLSLNRKRLGSLNEAHFNLIGDKASDAQNLFTYSKRVSQSGI NLKRMKTLFERDAEVRDSTTLEQVKEDLKRLRKPIVHCINTIIHKIPIIQPESLFKMYWD FFTSIFRIILLILVPLEIAFKPEILFNNLISITYVILMILQLDFLIRINTLTYRNGIAIK DKWELVIHQLKKEFLADFSTSLILIIFMIIPDMKTKANLFLLLILAQHKYVYETFANSDQ ISYLTRPQRGIFGLLKFILTLLYILHLFSCIWFYFSSISIEDSWIRFNDLEDKNWEEQYL EALYFAVVTMLTIGYGDMVPKNAIEKIVTMVFVLGACLWVSYSVNFIGSIIDDITQNQVE RNRRMRVINKYMNQRKIPYSLQHQVKEYLTFRWKEDDEVDLEIEQTLLEQLSDELKEELD KQAHKVYIEKSEFLQKYFSEELRNALFKSIKRKIIPPQNTFSTEFGNQQHLCFVEQGFIV YQHPERKQRSKMNAVINQGQFFCVNNFITNNPQVDLFKAIGYASLLVLSKSDFIETLKDF PEDFQKYCQLKDNITLSYAPPTLENGVFCPACQNFKHPLNRCPQVQYVPNREAVIKKYCM TENQRQNKKYPRQNKIISDWVTWADKDLASQYASVFQNENQQAIAMQSKIQLNFEYGSDT NSSVDDAASPGIKIPQNSPIFQAQHIKQTRKSRIVQDPQFQSKADSRQNLNLALQNRKQS ILTAGISLGFGRNNTPKNKIEIPLVDEIKESDSSESSSGSEESTEKQEEIDYQKLVEKRA QATQDFNDNMTDNITNLYHQLQTLLELNENDVIAKKALQQFEPLYWQFNQQKFDDFETKS QFDYYFNKQNAEDVILLMKEDIFGWQTNILDRMRKFMLYPFQYVLNFLKLRRNAKLKFVP PLKMVAKIKSTLDNLKKALKRKAESTVVRSSLKFNSVAPDANKTQGKQRKWVV >CAK93715 pep:novel supercontig:GCA_000165425.1:CT868673:247003:249474:1 gene:GSPATT00026048001 transcript:CAK93715 MDQNHWIQKSSVQEFGIDKSVQQQQLQGQPPASLIRRVSKRNIGIRPTQESGVAQIFETF HPEQKTQSLDDIKFIIKCFQSHFVFSSMTDTQLTQLAHSMFYCKLQVGESIIKQGDGASS FFILEKGKINVLVDNVPRKELTSGFGFGELALLYNAPRSATCMAVEECFLWGIDRHTFRK SVETIMRSEQEKNRKYLESVKFFNQLTREQKDAVAGVLISQKFNQGEVIVNEGDQASSFY IIVEGQCGVFNKDGQQIAVLNPSDSFGESALKHENQVRMMTIKAVQKDTKVLALGKDMIQ QILGDQVQSIIYKNICKWALNSSKLFSKTQSTYQDKLLEGVQIKKFAANSKVITKGDKVG QLVILLDVDAVDENKAKALKGSILVEDTLQDKLQCTSHVKTYTVEVEGHVAIIDYESFRN AQGSVEKIQQGKAQQSEKANAFEEQIKSQPFKNLIYLNKLGSGQFGSVYLCKFKDLETLF ALKYVTRAHVQQFGIQKHIQQEKAVLELMDHPFILKFYRSYKDNENIYFLTEYIPGMELF DAIRVIGLLSKYDAQFYAAQMLLQMEYLHTQHSIVYRDIKPENIMVDDKGFLKLIDMGTA KSFKNQQSTKTFTIIGTPHYMAPEVISGKGYGYFADLWSVGVCLYEFICGGLPFGEEAED PFEIYKEIIKKPISYPHFMSDKSAKTFIEQLMNKIPEVRLGGSYNTLKSHAWFKDFDWEK LLVKQLKAPLLPGKDKIMTPAQIQSVLQRGISVHDQIQKDTQGQKKVLASAKDAEWDSAF >CAK93716 pep:novel supercontig:GCA_000165425.1:CT868673:250252:251370:1 gene:GSPATT00026049001 transcript:CAK93716 MSNTKLTQLILLRNHSQADNYYYSKSLNDFMENARSTQVINFHYILNLNQEAEQLRRTYP INEIVNKITSLVHYYKFHNDIPRCFLPKIAEIMSNYHDKRRQVEYVKIKRMIDYQNKIHP DQPQKKIVGDISDLSITQRSGKKYSNILNNITETSNTIDKIKTKLNSIKLNVEDLQLMQS NREQEQLDSFLKHISKRKQKQSQVPNSLILKFPIGLSSRTIKQVLSRKMITQQQTQTTSI NTAKTSKQLSQYYQPPHIQSSLKTNPKQLPTQKGFNHFGSFTQRVVKENPLSKLLSPLKI QLVNVNSPSNKCKTTVSISKQFKITDSLKLSSILHQHTKSDLKFFRKI >CAK93717 pep:novel supercontig:GCA_000165425.1:CT868673:251718:253670:-1 gene:GSPATT00026050001 transcript:CAK93717 MAFVFQAERNMVIKPSGAPPNVKWIQYNQVGPGSYLSHDQLKIKQGNAPFDTQVTRNQPI KQDNSPGPGSYNISNEAQGQNIVLESSQSDVKVVEKPQPQSVFASQTKRFHPPKTMISPG PGAYDTTNCGTRVIQQQYTSQHYIETVMKLNKYQSIPSIPSSNQVYGYTEKGKSLVHDLE VNKIPFQTFSGLKQDSVGPGQYDVKDAFEYNKYKGSFWHKSKAPRLAPTISKEKELMVGP GTYDPNAIVVPLHKLNPSGNFQSKSQRMFDSTKEEKQKQFMKIFFDKQKDRLSKNPTNYF EDDEYVFCDVFIIIYKPKDTTPGPGQYLGNVSNQSAISTNTQSTTKMGKNCFGSKSRRFQ EKKMPCYVGPGDYELETDIIKEGVSQKQPPFQSTNLRFEAKGQEKRPGPQTYNPKITLED KLIKKIERAPVGNFGSNQQRFKQQENEMPGPGSYDQNGKKVKGIASVFTSKTKRADAASV PKDNYPAPGAYDVKNYTIEQTTKIEKEEDPDLAIFKPAFGSSLPRFQHKEKKAMDQQDDE QEDEQIRMHNSSSLFQKKKNPHPPFNIRENRFNYDKKKEDQLLGPGEYFDPKENAWNKST FNILFQEI >CAK93719 pep:novel supercontig:GCA_000165425.1:CT868673:253711:255477:1 gene:GSPATT00026051001 transcript:CAK93719 MKNGKLILSEVNQKIDIISRRLSDLSLWKNPQIESHINEISNKVQNILQILEYEETIEKQ YLQMRQQTVSQQNKQANQTREFEILYSYNLEIINKGLNKNQQFSDIQEICHSEIIHQQNV KLPVKEQPKIEESNFQQNQTQNNQQLEQPHHIKEQKNDLIEQKNSQIKISQLEKNGQISQ IYQQPSHNTNSLNQSDDNNNEIMYSCISSISKIQQQQQQEQPQQQQQYQQQQQQYQQQQH QQQQQQIQQQQDQQQQQQQQQQQQDQQQQYQYQSQQQQQQQQQYQQQQQQLQYQQQQQQQ LFQQQQQQYKCQSKQQEDQQIQQQQQFQYQQQQQQQKQQQQQYFEDQQQQFKKQDGVNNK LKPRIFKYNVKQDLYNQMKQDMSKFKMNIVDQPSLSEDGSITLCPICYDSCFVESNQQDK YVISLSCSHQFHYVCLQPLLQTTFLKCPICNQINGLPKGDQPDGIMEVVTIKKKCEGYNC NTLQIKYSFKQGQRNGRSFSGTTRFAFLPENEEGKLVLGLLRKAFDQRLVFTVGTSLTTG VENCIVWNGIHHKTNLNGGPQNYGYPDPTYFERVLDELKQKGITQSKN >CAK93720 pep:novel supercontig:GCA_000165425.1:CT868673:255509:257369:1 gene:GSPATT00026052001 transcript:CAK93720 MKPASYLKKVGPYSLIREIGSGSFARVFRGKMDGRQEDVAIKMISKQNVRNESMSMIEKE IEILRQLDHPNIIKLIDFKRTQNHYYLVFEYCENGDLDAYIRKYSPNGKLPEEEVRRIVQ QLALALQQMYKLRIVHRDLKLANILVSKNFQIKLADFGFAKYMEDDQYLTSYCGTPLTMA PEILQRKQYNEKCDVWSVGVIIYQMIYGKSPFIPPKGGNINDLIAIINKGDLQFPDSSIT PKLKELLLQMLQQDFKRRISFRDFFEHSWLQGEVKADYIQSIKQDIQENVSEITPVAHHK VSEDIQDEEIFNDKDDSTNEVFKDLQLKYIGYQLQKQALSYLDLMFEEINKIKSLCEKIQ NYKLNFQFLQNRLLSLSLAIRIYEAFLIKQVNEFMKEQIVVLHTSSSNSSLISLQMKRPF VAHQITMQKEIIEIKNYFKDNQMIMNQFEQCGYCIADNIFDEILELLMNLIEHQQYIDQD IFTQLIQLLYSFNQSQPQLLQVELYMKLQTGNDMNYYWHLFKLVRVNQKYIADSIENQVV CENNDFDKKLQFEWKLVKDDTEEAQVSFSKEEIDKLQDLLKEEYSKRDMRQQIQ >CAK93721 pep:novel supercontig:GCA_000165425.1:CT868673:257918:264649:-1 gene:GSPATT00026053001 transcript:CAK93721 MNQIDFMSFQCMARTKQINQFDSTIQDLLKNLTSTFINDIENLFKNLKSYWKQEQTVELN ECIDERKPKQPKLLEFTNNVKNQIMQVQQLVEFSEIDTLQNLIDLMKFTDSNNQVIQEQC EVQIAKLLKKFEEFIKNQKSLQYQTIPKDYSDYLTLINQNHKELDRFLKDELNFIFSPVQ NQVQTNLKQCKQSITSSILEFIQGNSWRVKEGFLFQCIQLENQLQEQNKQSIQKILILIA AKETNLKILVTLKNKQIVQQMYQGFSKNWPEVQSEIKSDLASQISRLEQLQFSISTAETD RKREQLLKEHKKLEEQVEQQILNVNQIGDALGITINFLREIKQDLNKIQYKLDQMLNKID EVVQDIKQLIGKTPKQLLEIRMQFVLQQKIANDFNNVYVNLRTKELKENFKDEDDETTLF NDVSQNKGEIDEFLQQPKDSLLIHGPAGSGKSVAAKKIEEYIWLQYQQLNLDEWSKDSEQ IPVVPIFIQLASLKDPYFKAIEESLASTNYNFDWRQIQAFQTQVEEGKVAIVFILDSFDE LSNNQINLIQNNKLKNWRQQLLNLSDLAQGQQRIYSLNYPKVITTTRSEVFELNSTNYRQ WFSSESLLDFTKYKEIRILSFDDNQKQDYLKQYSYTQIKKTLLDYFEKYSIIQKRGRNLI NEVEKIWNNIVEKLQRFHHHQQQYDLYLNDKEINIITSILKSEFKSQNQQEQFVILEGQL RNIQTPQFYNHNIIDFNLEQLLNTPFMMKIVIDVLPQMNSQKQQKHLNYFISNYTLQMYE KYVKKKDYKDIRKYYEKISQKQYEEDQADNLNIQEQISEQPESMESDSENNTSQDDEMDD RNQQSKKMNFLPKSEIQQSSNQQSRKLNFLPKTQIQQPTQQFQKKDHSMQYQKSQQAEYS MPKSTSQLQYINSQTRNISSNRSPKVTSLHSQKFQKKAAPDLPSDVSSKKTAEANNKTSY VDQPQSAFGQRSQSANAMSLKDLKMKNNLQIRIKENLREEGKLIFQKHQYFSQQDYDQYG DDKQILLRCYKSFRLTQFDFYEQFLENYIQNQIQKLSNLQQIKFQSDFIDKVNDYSILLV SYLMQYQLTSMETQKTSNMSGIWNQKIKHHSADPRFQELFNYNSEESSLIKKCLPLKQTG SILSFEHKSIQEFIYAKFIITNLYWILSLINSFNENKFNIEKKKEFIFNCIRIFLQAQGQ GGEKFKQLFKQNYKQQQQGNSDYYYTQSDQLINIIIDSPLNQIIMANQFYMGVIRFIIEY VQDNQELRSVLHFLISISKISQQFINISSNSFQLLAYMQELFYEKHFQEIQIKDIKLLGL KCIGCDFSRSTFENVTLMGTNLNYCKIEDVYWKGIISQDLPTLSFDIGPILKSSFSCDGN YIASLGLNKQLVVYQINAEQIVTKVEFEKEVFDFCWSNTKSMLVYFIEETLNIFNFHEQP QQNCENQVQRNSNEEIYQHDKYKHKIGDTVSLVRFSNQDSYLSVGCQKGKIIIYKVINKT QQKFIEIERKSRITALDFSPDEDNLIACDQTSIFLRKIQEEQTKTIKTLSQNSQVTSIVF SPDGQLFAYATTNEMIIIYSLVKQKDQAKLSGHQKAVRCICFSSEGNILISAGDDKSVRI WDYMKGIQIGENLHGHSDGINSVEFSKTDGMIILSAGKDGLVKQWYHSDNYRVSEYLPGH DGSILFMIISQDSQRIITKGKDKKIIQWNINTASIVNRIITLQSCQECSINKLCSACQLS PIISVNDDQYIITGGFGHSISIYDSYTGKQTFHTLSCLKPKGEVTLLVYSQKNHFICSGT LNGEIKIWDSLNGKQYSQRITLDSQILTLFFDLDQNLVVLNQSGKYTNVKLGSGDIKIQS FQYQLMDEASEMQQINQLIVDEIADLQIDISTQNSSQRSNNKVSCLSLTSDYSHLAIATK ASNVYILKTKELSKIFSTYSYQGVITSMYFNKNGLVLVLSFEDLSIKVWDISKNIHGSKT DCHQALINCLGVSSDNKFIVSTSQDKCIKIWQLQNLSDDGIISKNINGLKNKIQLQKLYF KKAFEQFNPEPKNKKDFNLEVATNFEKEWRLAKVKQKDIFEEAEEIANQLTELRRADVCA TLRTQKTLKDEITQKEREIEKLLQESSENISVFNQKVISHFKDISKVINKFQEYILMKEK LLQEFQVTVTQKNEERKQEQQHVSEIQVNSQNQITFSEILCISKTPQNQKFIGTIFENSD IKNKTHHDLFKLWQQTQEKQNKY >CAK93722 pep:novel supercontig:GCA_000165425.1:CT868673:264721:267198:-1 gene:GSPATT00026054001 transcript:CAK93722 MIKLGYQEYSLRGGGSGSSSNHSKEIPEYVIKEAQLHQTLLNAFLVNLNKRLEQIEHVKV ILSTEEGKSMLDRTIGWFLELEQLFCYFQFQIDLVRRHKQIIEKTLQYFLELASTTILWD RLIALKILKICNSFSRLLFIYYSNQNEKTQQKQILKWKQLLSHIINQVNLEGEVSLFYNG LIYELTLIKACIKYIPTQEEAQQKNDSAIAILKELVNSAVNLKPSPELLSSISKGALFLY QQYQKKKSMEQFQKCLFLEQLKWNILMSIKAGVLFNEIEDKINDNYNKIIKQGSDWAIQY SWIKMASELMACKPQITKVKFLSLQQGSNQVMTWEQAQQDNLINVIHKDFEIAEIIAKNQ TSNIGIKLQSISRQYYNLQNFFMNGNQVIPKFIGYYTLESGKDQLNLDNESNQDYFKMIQ ALRQVPFDKIAYNFNEFLNKFSAYLISYYQIEPKTMDFTDFQYHLILIQEQCQSLLNDVI KFQISRDYIDKIIELVSPLLDDLKKQKTIVNQNCFQTFLYNYSKAQINKQFDKKRDIYDK LINWLESKNLVLEQKIIYQQHFGKKIPEDCFLLSKIQEKPFQTQLNYLIFALQFCQLWNQ QITDLSSLLIAENIMMNQQVIITKQTQEEEIKSIADNLIDEYINRQNNNFEQLHSTYSIL QMQYEQFVELKTLLFDKFFLEEFAKIKQKQQIEFRIDQKFDLQLFFQYQVNQHHQLIQLL DKENEILSNLMKEYQAKKLNYACQYFCSSLKFSLPLINQIYNFNLDLIKKLEILVEFVSI KDNKVLQNFKPNQIKLQSQFDLLITSKDKIDKFTNIEYIEKVKGI >CAK93724 pep:novel supercontig:GCA_000165425.1:CT868673:268030:269266:1 gene:GSPATT00026055001 transcript:CAK93724 MGNVFCYERDADNVHWKQQLETTCQDKKKEMCWAEPILIQIKDLKISNKYQKQLHSFAQS YEESSISEIQKSIKSRQAARENRNIQTIIKRTGFTEDDLVEYYIKPSRASINLSIQSITP SYIYYEKTSNVGMASQVNFEDQMYFFVQITDKIQEHLDQPNELGIMIIQFRNSLKQHHQD STFNQLHEQLTLFCRTLYDTIVIYYDMINLKKKYQSHAVLLNEETMINFIVNYVMSNEGI YRVIYNSLLKELSQIQNQTEQVFKQKKDISIIDMEVPKDFQLTSSSNPYGEAIQTLKKLS KKQGPSSKVKVIINFSQQIQQQVREANEKKGSSLLMQADDLLPIIRYILIKSQIYDIDIH LTYIEKLITNGMLNSTSGYYVVTLQAALASLRNNKNQQFNQQKK >CAK93725 pep:novel supercontig:GCA_000165425.1:CT868673:269370:270917:1 gene:GSPATT00026056001 transcript:CAK93725 MQQTYNPDERINIELFLAARQLPNLEFFSKSDPYLELYYLLPGQQEVFLGKTEVADCNLD PNWEQTFSVEYQPDLTQILRFQIFDQNRMGREFMGDAQTTIKDIMQQKNSLISLQIKKFE KEAGILICKAVKFKESNHFVQWQFSGINLKKMDGIFGKTDPFLKFYLFTEGVWCHVYQTE YLKDNLNPQWREFEVSLQRLCFNDENKKFKVECVDKHEKGKYNQIVGSFETTIDEIFNKN IDSFQLIQPKGGPAGIIKIVNKKRIHKPNFDDFINQGTTFNIIIGIDYSSHNGVPQFPDS LHTYIEKNNIYQQALNDIAKVLEGYNIKKLLALYGMGAEPQFSNYNGNGYQTLFPLTGNF QNPQVIGYQEAVKTYQNRLREIVFKGDLQLEDFIKYVQTIAENNATKLIYTIAVILGQEQ ITDLKQVQNLILSGSKLPYSLIYVGVGDNDFKEIKQITHFIDSQDPPIRNNFSFYQYQEN LASCILKKHLMNDLPKQVVSYHQQLIL >CAK93726 pep:novel supercontig:GCA_000165425.1:CT868673:271394:272089:1 gene:GSPATT00026057001 transcript:CAK93726 MSQYQFFQNFRQIEKQYHQRFRKSYRKQIYILNIAFIYYSTNQSSSKTSYQNYPIRIKIV QQTKKEQAYTLQEYANNHYFLSIILWFSIFLSLVITFPKIKGRINCPKGLAANTIPMIFS ESSQIYTRKLKFNHYNLFTVTNYGQNGTMIDIARLRTKSHVNRKCPIKLNKNIWLDKESS NNFIKVQINKDIKEYIACKLIYLIMKVALINNVTFNINNIHKNIMALFISH >CAK93727 pep:novel supercontig:GCA_000165425.1:CT868673:272698:273393:1 gene:GSPATT00026058001 transcript:CAK93727 MARRGQQPPPQQQQAPPTQKNQAGKFNPAEFVKPGLTEEEVLEIKEAFDLFDTDGTQSID PKELKAAMTSLGFEAKNQTIYQMISDLDTDGSGQIDFAEFLKLMTARISERDSKADIQKV FNLFDSERAGVITLKDLRKVAKELGETMDDSELQEMIDRADSDGDAQVTFEDFYNIMTKK TFA >CAK93728 pep:novel supercontig:GCA_000165425.1:CT868673:273433:274506:-1 gene:GSPATT00026059001 transcript:CAK93728 MNPYCSMHKEDIINFCVDPNCQTRCICKICKHEHETVPISYLVDNVFVKETLSIVTLFKA IIQKMVHSIENDLTTQLQEIKYEKPPNEISQLSAFLKKKYQIFQVLRDSISSKLQRVIDA IKSPVEFSKPQFPSSLNSLPFLDKDANKQSPQTLKKLKSEEYIRVASPDKFENALMLDKL EIQRLKTFNEENWPWRLEQGAQFVTIFTAFRNFKLLGFKQPILFCSNVHNHQKKPINFNF ALYHKKNLLKKAIYMESRTLKHYNEKVIDRCYDIVLKIPQKIQAGKEYSLVIWSSSGFYS HYYSFPASPNDFIEFQQQDFNDHPKIKRSELVHKVISTYRAGLIPAVYIDPREEKDK >CAK93729 pep:novel supercontig:GCA_000165425.1:CT868673:274569:275108:-1 gene:GSPATT00026060001 transcript:CAK93729 MNQSLLALFEEHSYYDDQIGDYQEDFEQSFFNQGQHLPTNVTKAVTINIKQEDINYKNFP KMIGNNIVKWIKKTKYNKRYESIPKGFKRLIEFRQKDKQSKIKIKDLRDSIKDDSESKEL FQEYIADQLFLDLMFSNKVADPFPYIPGICNYYSAAQEPEKMVSNYIMRKEFVNQKVEI >CAK93730 pep:novel supercontig:GCA_000165425.1:CT868673:275210:275704:-1 gene:GSPATT00026061001 transcript:CAK93730 MISMQKVNQIKPTDQFLEEQLFIEETQSNNKNLINTKKIQGLQLPIKKQKGQERNLKNFP KLIGNNLCKYATYNSSKHPKGIQEMIKKKTAHQQVGFKIADLRQVCLSDGESQKLFQIYL KNQLLLDLIYSPKINDPLAYITGICNYYAASFVPQKMVGSYIIK >CAK93732 pep:novel supercontig:GCA_000165425.1:CT868673:277170:286760:1 gene:GSPATT00026062001 transcript:CAK93732 MLKLRYFQQSLRGGGSAFSSTSNSQGVPQNIQIEAQLHSTLLNAFLVNLNKRLEQIEHIK EIMSTEEGKSVLNRNIAWFLELEQLFCYFQFQIDLVKRHKQIIEKTLQCFLELASTTIQW DRSIALKVLKICNSFSRLIFIFYSNSNERTQQQQIDRLTQLTVNITNYVNLEGETSLFYN GLIYELTLIQACIKYIPTQEESQQKNDSAIAILKELVNSAVNLKPSPDLASSISKGALFL YQQYQKKKSMEQFQKCLFLEQLKWNILMSIKAGVLFNEIEDKINDNYNKIIKQGSDWAIQ YSWIKMASELMACKPQITKVKFLSLQQGSNQAMTWEQAQSDNLINVIHKDFEIAEIIAKN QTSNIGIKLQSISRQYYNLQNFFMNGNQVIPKFIGYYTLESGKDQIKLDNESNQDYFKMI QALRQIPFDKIAYNFNEFLNKFSAYLASFYQIEPKTMDFTDFQYHLILIQEQYQSLLNDV IKFQISRNYINNIIEIISPLLIKSDELNKQQTTIYHNCFQTFLYNYSKIQSKKQFDKKID IYDKLVNWLKQINIVQEQQINYQKQFGKKLPEDCFALSKIQEKPFQTQLNYLIFALQFCQ IWNQQVSLLSSLFTAEHIIINKQVIITKQTQEDEMKSISDNLIEEFINRQNANFEYLHSI YSILQMQYEQFFELKALLFDNFSLEDFEKIQKKQKIEFLIDLKIDFNLYFQYQINQHQQL IQLLDNQNEILSNQMKEYQIRKLNYFCFIFNFSLISINQIFSFNLDSIKKMEMLVEFVSR KDNKILQNFKSNQIKLQTQIDLLIASKDKIQQFSNIEYVEKVKEFEISVQAIQQELLIIN NSDYRTQLVNELNKFNEFLVHARTRQKNQLDSTIEALKTCTLEFIDKIENLFKNLKQSWK QELITEMSESIDERKPNQAKLLEFTNNFKNQVLQVQQSIEFSEVDTLQNLVDLMRFTVQN NTIHTQCEDKISKILQKFQLFISNIKSLNYEAFCKSYSEQLYSITQNHKELDRFLKDELN FIFSPVQNQVQTNLKQCKQSITASILEFIQGNSWRVKEGFLFQCIQLENQLQEQNKQSIQ KILILIAAKETNLKILVTLKNKQIVQQMYQGFSKNWPEVQSEIQSDLASQISRLEQLQFS ISTAETDRKKEQLLKEHKKLEEQVEQQILNVNQIGDALGITINFLREIKQDLNKIQQKLD QMLNKIDEVVQDIKQLIGKTPKQLFEIRMQYILQQKIANDFNNVYVNLRTKELKENFKDE DDETTLFNDVSQNKGEIDEFLQQPKDSLLIHGPAGSGKSVAAIKIEEYIWLQYQQLNLDE WSKDSEQIPVVPIFIQLASLKDPYFKAIEESLASTNYNFDWRQIQAFQTQVEEGKVAIVF ILDSFDELSNNQINLIQNNKLKNWRQQLLNLSDLAQGQQRIYSLNYPKVITTTRSEVFEL NSTNYRQWFSSESLLDFTKYKELRILSFDDNQKQDYLKQYSYTQIKKTLLDYFEKYSIIQ KRGRNLINEVEKIWKNVVDKLERFNHYQQSNDLYLSDKQINIITSILKSEFKLSNKQDQF AILERQLRHIQTPQFYNNNIIDFNLEQLLKTPFMMKIVIDVLPQINSQKQQLQQNYFISN YTHSMYEKYVKKKEIKEIRQFLERISLEQYKEDQADELNNQSQIPEQPEYLEVDEDTNPP SQYYMDERIQQMKKASQNSQDYYQQSNQYYQKQQHSTQNQKSSQSQQQMPKNYSEFQYGY PQTMDIQNSRSPHVNPTNYQEQLKQGSPSTSTPQRPFTATQNFQQIPNQYNYEQAKQQMP LRVEENNERYRQSNYYEQIKQPMPLNVSSQQRVVGTYQVSRSSAANHQMKPLNNSTHDRE EVNYQGYESPNNYQGQVEYAKRVAQERSQAYSPNFRTSQADQPPIVYERDQGAENFKLSK DLKIKNNLQIRIKENLREKGKQIFQKNQYFSQQDYDQFGEDKQILLRCYKSFRLTQYDFY EQFLENYIQNQMQRMSNLQQLKFNNNFVNEVNDYSRLLVSYLMQYQLTAMETSKTSNMSG VWNQKIKHHSADPRFQELFNQNSEEITLIKKCLPLKQTGSILSFEHKSIQEFIYAKFIII NLLRISEIINLIKQMKVDVFLMNQSKKLKKIEFISICINLFLNQRLDTLENQEQAREIFM QNFMSIFQFQQQVYFDCYNYIMELISLIDFSPLNYVIMANQFYMGTTRFIVEYIQNNTDL KSVLHILVLISGISLKFVNISSNSFQLLSYMQELFYEKNFSGIYIKDIKLLGLKCIGCDF SRSTFENVTLMGTNLNYCKIAEVKWKDIISQDLPTLSFNIGPILKASFSIDGNYIASLGL NKQLVVYQIGAEQVVQNVELTKEVVDFCWSNTKSMLVYFSEETLNIMSFYQELQNRVNYG QRDQNEQRGQPIKSKLKIGDTVNLVKFSNQDSYLSVGCQKGKIIIYKYANSQFTQFREII GVGKSRITVFDFSPDEDNLIVCDQTSIFLYKIQEEQTKSIRTLQQNQQVTSIVFSPDGQL CAYATTNELIIIFSLVKQKEQAKLIGHQKAVRCICFSNEGNILVSGGDDKSVRIWDYMKG IQIGENLHSHSDGINSIEFSKPDGMIIMSAGKDGLIKQWHHSDNYRVSEYLPGHDGSILF MIISQDSQRIITKGKDKKIIQWNIKTASMVNQVITLQSCQECSINKLCSACQLSPIIIVD YDQYIITGGFGHSLSIYDSYTGKQTFQTLSCLKPKGEVTHLAYSQKNHFICSGTQNGEIK IWDSLNGRQYSKNITLNHQILALFFDLDQNLVVLNQSGKYTNFKLGSGDTKTQSFQYELM DEASEIKQINQLIVDEIADQQIDTSQNSSQRSNNKVSCLALTSDYSHLAIATKASNVYIL ETKDLSKIFSTYSYQGVITSMHFNKIDLILILSFEDLSIKVWDISKNMHGNKIDCHQAPI NCLGASLDNKFIVSTSLDKCIKIWKLQNLSDDGIFSEDINRLKKKIQSQKQTHKESFQKL NFSPINQISQDIVRLTDNLEWMNLVEERQLRIFDKVEQMYHHLSELRRVDMSATLREQKT LKDEITKEGEEIEQLLYTSDEMIQSFSQNMIDITTKCFTVSQILQERLLLKQNELKIFKE TLIKEKEERKQEKQHVSEVKVNSQNQITFSEILCINKTPQNQKFIGTVFEKSNIRNKTNH DLFKLWQQTQEKKNHY >CAK93733 pep:novel supercontig:GCA_000165425.1:CT868673:287038:288426:1 gene:GSPATT00026063001 transcript:CAK93733 MKQTHIFFILISCNVVCNLAMSIIAPFYPQFAKSKDLSEDIIGIVFAAHPLGQFISSLIL GKVITHDNRQKIMVIGIFLQGVGLFFFGLLYYFDPYWVILIGSFTARLIGGIGASMFMTP FYAFIPQLFPKSIEEKIAIAEVSTSIGFLGGPILGSALYQLGGFVLPFFFFGGCSFGLAI ILIIFSKSLDVPEVDLSQSIILHQSIQNQESIIDAGFSISYLSLLFNYPVVISFITNTLT LSLWTFYNPTIAIYFLEEYGIKEEYSGYWISINAGTFGFSTLFISRIKSHKKFYMYFGLV ISGLLQFYMGPDYKFTNLHPKFVYTIVAWSLVGFTGGFPYVLTLPQVDQILKKDYFKYPT QCANIASAVFNASLAGGELLGPIMGGYLTKWYGFQRSASLLGFAVLICCACYVPYLFYLD EKLARTKANKLKKAGLKE >CAK93734 pep:novel supercontig:GCA_000165425.1:CT868673:288807:289601:-1 gene:GSPATT00026064001 transcript:CAK93734 MQKLKHTLVTFKLCPYSMRVLALMCHKNIKFEIKFIEMHCKPEWFVKISPLSKVPILIIG DDIVLFESDAIMEYIDEITLPQIMSSDPIQKALDRGKFEYASELMKNMSIFFFSFDEERF FKYKELVKQNIAQVERWLEDKKYMNGDQISLIDFCFIPIFVNLNAFKPIIQQCELTKNFT KVSNSNIQQFNKYGETILQLPCSKAGKVPDYELLIVEGVEKVNSYLYRLNPCFFKSLKDI HIRQPPK >CAK93735 pep:novel supercontig:GCA_000165425.1:CT868673:289983:292511:1 gene:GSPATT00026065001 transcript:CAK93735 MKRRPQSAIITKLIRERQESASSQMIYSKKQTPQQVAPKEYFEDQEQLYFVNLQLKQQCN EIKQENQKLKAYIAQLKKQIVRIEKVDKYQVNCDPLGVIQSGEGSIVPILKAKVKEQRNQ IEELQTDLHQQYKSVKLTKLSELQREIQNQQNEIMKLRQVIQSALNITDQDLVNDPNVIE KLSKFTITVHQQENSIQQLIKQNDKLKIEYQEMSNDNAKLLEEMKYLNFEKINYKKQLEE QTITESEIYQQKKREFLEMKLAYTSEYIYSATLKQLDTLKGELSLYENKSKSLQKQIKDQ EKEFKQSTMELQKQKQNLEDQCNKKDIMIQDLNDRINILDLLKQKNSPKPSESPLTLQHK KSFSPPTTYRDEMTQFPENQSSDINSDANCSPINKNQLEVLFQQLKFKILSQKLTKERIH FTFHNKEFIQLGEAIQVFMNAPYNFQKSDSIILCRYLIGEDQGFSNSLQFQDTQEVREII DQLYSHPKIKSEYLTFQTILKQNYYSKLVNQKLVQCNIPSKIYNRDDFILLLKNNFNFTY EQIDYMLMMNYLYSNEVDNIDINVLRKFIQQECDLQATLHNLAYVCQESIRYIPKKINKV SYSDDDQSNFPIVESKDLKSVQTQRDDSKIEMRPPELSNQVQNIITSEQYLTFSQFKNSD QQLKSSESRKPSNHNNNSDILQYSQSLLPQATLKRPSEYQQITSDNSIHFNITNQFQSPQ QNKKRINQISEFQTGKEIVDQRNIQYNVDNDTQITDVNKKEDEYYEEIQEEYIEEL >CAK93737 pep:novel supercontig:GCA_000165425.1:CT868673:292511:294026:1 gene:GSPATT00026066001 transcript:CAK93737 MNVYCQLAGYHQDEPLELICLNPECISNNKVLNCIACIEESHSGHSVIHYKKFITLLQKQ GAHLLNEIDFKLQDSTNSQNDMLSKIREIIKQLTELQDIVNDAINKQKDMLERKLQYYEQ FASLLPTQEIFNQAIKQHDQSLIQKLMTQFFLKVDYNSKRQEFVARNNYLIDQSEETEGE NILFRKLREFINSFRQDIDNNYLLQNSQLSTSFKQKKLSQTNNEFKRSHQRIASYKRVVS AEKSPGSPDDRISITQSLSQFYYKPNAVQKRVGEQVQLIETQNAFTSTYSFHPECFGQGL QMTSKQIKLTTDNEKRMALIKPSIYGSGLAREQRITLVIKVCRNSNQRYPMAVGICDQQK LQEDNFIFSGSAEHVSASHNKDHGCYLLNANGHIRSMTGQDMSKSSPNLRFYSNSTLELT FKPFHKQLIINRDQQVQTTIPLEFYKDQKLFFCVRLADLNDCIEIQ >CAK93738 pep:novel supercontig:GCA_000165425.1:CT868673:294046:294928:-1 gene:GSPATT00026067001 transcript:CAK93738 MTDFVIDIFVATTSSFISSFCCTSIENFPRTILHKEQKVYMNNLCKNYLVIWRGVIPQAI TYIPHQSLAFAFKDAYSKVFQKKINDYKHLKNILIGSLAGASTMLVMYPYEFVSQRTKYL QKVGKRIDLTWRECLVEIFKNDGILGYYKGFSACISPVVVFRGLQFGFYDSTKDITDALP FIGRLFWVQLLTFVSHIISFPFFKIMLMMKADTLNFNHSPVQYKNVFECTSRVLKSQKLT YLIQGSTQRFLLATKDALTLMLYYNIQPYFKGNFNHKIDRVNQRKA >CAK93740 pep:novel supercontig:GCA_000165425.1:CT868673:295013:295582:-1 gene:GSPATT00026068001 transcript:CAK93740 MLLNNYNQQEFKKFEQVFQIKNEKYLNILTNFKQRVASNQNNKQQSVYKSLFAAITSKIP NSGKGLLKNFQNYLLQRKKSSIVQMLENLFLNELKIDELQSILTMYDSRVKNISRLEFGL LSTIKGILVCFTEGACYNEYAELSDFAKSINKVIIYGGNKIYNAEEFLVQFQ >CAK93741 pep:novel supercontig:GCA_000165425.1:CT868673:295624:296697:-1 gene:GSPATT00026069001 transcript:CAK93741 MQINTSLIQAQRDALTPILKPDIRIPWKILCYDSYCEKRLSTIYRVGDLREMCITLHFNL DQNRERLHGVTALYFIQPIQASIEKLVEDFNRDLYSEVFINFSSPVENTLLEYMAKELSK ISGAVQKIQKVYEHNLDFVALNHNFFNLENQVVTGLFSIIMALKVQPLILFQKNSKIEQI AKELIEKLKQFEFNSDYPLLAIILPDRDIDLNTLIMHSNTYGALLHDTLNIKYNKVKLED QVYDLSPQYDNLWLQACNMALPDAIDKIDNELNDWNTQYQQVSQQNQEISSALNAIDLVP QMNQQKKMVDSHINLAKQLTQIAKQKSLDKFYQLGQSILQNETVTVNDYPQSEDNQV >CAK93742 pep:novel supercontig:GCA_000165425.1:CT868673:296859:298118:1 gene:GSPATT00026070001 transcript:CAK93742 MKAAYIVSAARTPIGCFLGKLSKVKATELGATAIKGALSQVSIPQDAIDEAILGNVCSAG IGQAPARQAVIKAGLPSSTPCTTINKVCSSGMKSVTFGSQSIQLGQSNIVITGGFESMSN IPHYINARQPLKYGDGKLLDGLATDGLSDAYSNVAMGVCAEKTVNDLKLTRQLQDDYTIT SYERALESIKTGRWAHEITPVQVSKNEVVTEDEEVKRYQKEKIPILNPVFAKNGSVTAAN SSKINDGACAIILASEEALKKYNLTPLARIVSYADAELDPMDFCIAPTKSSAKALQRAGL KLTNIDYHEINEAFAATVLANMKLLDLPLDRINVNGGAVALGHPIGMSGARIILSLLTVL KQNQGKYGLAGICNGGGGATSIIIENLN >CAK93743 pep:novel supercontig:GCA_000165425.1:CT868673:298120:299106:-1 gene:GSPATT00026071001 transcript:CAK93743 MGWFVKFPDGRSFFYNLDGFFVPYKRDPSKLGKHQQKVNITKLDADYNFLDNNGCYYDKS GEPLGWKLQCEDGQFYFFDMNGDYVDISPNEDTNDLNDFDLSDFKQDFRQNVQYIKQSQK PYPKEEPKEITKIQPQIQQQKQVQKQQQKPKIPQKHQIINQQYQQAQINQKAIYHYELPD ATKETKDLFVALIKSKLEKPEFVKNEQLFTVKSDQGNKQLEQDLKLENGQCVKFKK >CAK93745 pep:novel supercontig:GCA_000165425.1:CT868673:299108:300592:-1 gene:GSPATT00026072001 transcript:CAK93745 MVHIPHLIVGAGPVGLILGHYFKRFNIPFQIFEKQSFLSAHPSAHYLNMRTMEILDELNI QLPLENIQHFSNYVYLRRIGEQPLNSTRQLIDYKQYSYTSYAHLPQSLFVQHVQEGIRDK IQLNKEIKKIEFKDKIIKVNDDITCDYLYGCDGLNGFVRDYLKIKLIGNRDLQRFLNIHF TSKQLVNRLEQYNSMLSFIYNSDITAVIIKHRIEDGIFAIQIPLDLLTGKTDIQEVQHIK QDHVLIKNLINKTLLSQVNDIEIKSVGFWRMGAVYAEQYYKNNCVLVGDACHGMPPAGGF GMNTGIQDAQNLAYKIKDQKDLLYYQKERQQIAQDNIETALKYYKKSLNIAKSFTFDINK LKIYQSVMKNTPDFLYQTGVKLGGSLIELDPIYKRLKVDDCIPLVFPKEEIGFKYNSGDI GENGGQLAPLVVREILRKKERKWYSINCDSNYERIDIENVNKMIVRNDMHIYQQQQQQ >CAK93746 pep:novel supercontig:GCA_000165425.1:CT868673:300615:300988:-1 gene:GSPATT00026073001 transcript:CAK93746 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPSVLVKICDECNYGSFQGRCVI CGGVGVSDAYYCRECVQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >CAK93747 pep:novel supercontig:GCA_000165425.1:CT868673:300999:302757:-1 gene:GSPATT00026074001 transcript:CAK93747 MHNLMNCYQHNSQTTHICNKLDCKYKLACNSCVNQQHIGHVDTNVTPLSKFVDRMLYEFQ RSEVERLKRIYFKEDIIQHIKKEFQYLKDKTNQILNQREQKLISLIDNQWIHSKQLKNII DHIEMMKDGKVYEEGLQLVLPILQQGSQDVINKYEKDKIRIVTKVREQINQVKTVLDGLF YIGNQYQLDQQQIKLENALKLENSLKSSEDRLFQSAIHLSNPKEYHTSKPYGTYQEFVDS LYPDKQQEYIQSIDYTSLLARPTKFDNTQLQFEKTESFQLYGVIDHLTYVISCIRMKNGQ IVTCSKIVSFFEYPSLKLMQQLGNNQNIKQVVEITQSLLALLTQTQVLMIMWIPKGEFIT PNIGVINKIGLINDLFLILVQTQLIVYKLKQQIIADQPIEYLFINLTPQVYMHKYDCCSF AIFENKLFSADLGGRLYQWKLGNVFHVDNVIQSSNNEVVGIMPMHDSRVITIGTDGVIRL WDSALQLVTTIKHRSPFTASVEGKELDTFYTGDVSGKIQKWKVTVLIESLIELDAHNDQI TDLQFSTYLISCSADKKVKIWITQK >CAK93748 pep:novel supercontig:GCA_000165425.1:CT868673:302813:310590:1 gene:GSPATT00026075001 transcript:CAK93748 MVPFIVLLSPADKQERQFYVIVSWYKVSYLSIVNWIFYCIFTYYLGYKFFSEEILKQFKL VMKCHLIISLTISVYFVIGLLLSLLNSDMQLVMIERLNFNNEIYIFGYESVFALILCQLL LYLALVVFLLLIYWNAQMPQYEYVEQNENYTFQQRKTSYLRQQSIMDKIIMLIIAQLCLN DGLITLFLVLCMLVWLAIHFHILNKRNQFRYCQIVIKSIIVCSFVALLLLILSGQQNQSF STLNGTLNIYRIFKLFGLVLMTSILILSMRAYHYQLIIGEEEEIEELEKEIQKDMRLKIS SLEIFLGENYFGVIMILSVFWISKQFSILRGLVYLILLVGQLKRKNYKATRRWQCAIAIL YLAFAQFFLLKLFNVPSFKVSVYFQYLGVYEQPQFNPNELFAIWNYFYNRFHIIMIDLFY ILIVSWLVYSQQTIDDRRRQKKINDLDSKDRPNREQKPSCWSNFKSNLSSFIFQVQKSFL IHFDEIVIIYCLITALSHADIFRGVFLIFFILYAFAQLEKRRKYMRNHLSIGYIILFVLY SMQLMFLRSLTTLNFIFKFGGLDEVTQQFKFPFYFIYKYYDIIIGCVLLTFQIQVIQKSD HYQEYKKKLDDYNLDYLRQILQSNGLIFCQIIALIVAFIPPANLLSLIFIFFFQLFLYLY YIHGQLNIIMDRLYQYWPLMITLTLIILIVRYTYTIKFFYYFVELYFQQPTLLSDFGLDP DFNTLKLAGTGIALLVLCAYKRAYLAPLIEQQKQEKQIYKKDTLVYYIYCIHIKLCVYSV YHIQKVAFMISFFISVYQQSYIGFLFAIMIFLIMLNEMKNNWQNISIPILILIQYIFQAE LLRTAQGQETISWIGIENQYQNKYWYLLIMFHLSEMIQVLNVMFKQQVNDYLQLFLIQTD REINNLNLLQDLLHSLQQNIVQEQNSIDSEASQQQQVELQVRDDKSQESIFYDELDEQNE RFAKIQSYYILKSNMQQEQFYYRVYPFYRALKFHQSVDNLFTQIGLEVCLFFIVITAYYQ RNFFSVFYIVVASAFANKSHLLDRHQKLGIFGQAWGALCILQMLEVIRKYATLQWTPPSW DVRKPWWYFSYSCDPRGKESFNNDDPDDSTSDFMKCQTDWNYWLSLQGYTNWDLWINFIA LLISLCYYRHFKTVQRRQQEQEIQDHLHPEVEQIQANLMDKNDFTYEENRTKIMDFIKFF IFCYFYKFALIVFLLIGMSSDIQSYTEVISCIYFFLAINLLYMSEKLEKDRNVIWGKLIL FNTIVMIAMSLYQAPFVKCPIIYENDRYYYDNLECVQIQLNNHYYNSDFFIFLQDEFQLL AKQTNDVLKKYEGVSTLDVIYMFLSHLLGLNKTLDFRFGFCKETLMAFFFLLGLLQRNIW AHPYMKQYVDPYLQRQQQQQKISAIQLIEGIHLKRIWDFKYVQAQKQVHESLQQRVQNRV ERWDNFFQFDDNNSLKKKSFFYLTPRREEQFQIIQFSEERSEDNQQHTSFDSEKFQVFKG EPKKLMKAEKITQQNKAPVIHLYDACCIVKYSINEKDLRLRLKKLMLLEEKRQLEKVYLN DRIGLTNYHIGVIDEIDRKIIQVDNEIAQLFKVQQLIKSTSMRDIKMIPFEEFKDERKSF EILSERDERQKQISEEESAKDFKKSEDDKSEDEPPIVQPQKSFSEKVKDLIIYILTHETY IREQEQQQNEIDKKKLSVLIFVFVAHYFQVVCVLLMVFNACFNANIIALFYPFSAFLYGL LENPVPSKRYWNFLLIYTIIIISLKFIYQMPIFCDSPPYTFIGFSQEEACEPRVTTQQEK ITRIDYVIGIRKYQGAETFMNAIWIELLLFIALLIQRYLLQSQGIWDYMKLSLDQYFIPQ FQQQVDDKSSEHQQESSLKESEQESDDFSENHQVQEQQKEQQTIMISIQDTFTSMWKQIV KYYYRLFPHALSTIYNQRKYDPATQQMIIKDGVVLPEQIKVGRDFYAPGFIICMIILVYF LLFYPNIIAKRSYAHITQGQSQRFSSEVIFVLLVVITIIITDRALFIMRKVTKDPRNDKQ NQSGNDIQKYTLMIKVAIHFILIIVVHYYFFFVIPQKTNKRFQESYYLIFAYILVCIYFM ISSVQIRYGYPIQKYTQIFTNSHKPANSMAFKIYRMIPFLYELRVIIDWTFTTTALDLIQ WFRLEDIFANLYICQAYQDSRIENHKLGDPRSASDKCLNGCLYSMFIVFIIILPILIFST LNPAVEINNITNGKFSIKAAFFGSDDKLFFTLFQVNDLELLDIPNDQFKKIQKIYGEIET SWQERMQKVRIKRFSDIEWNLPENYRDQIRNMIKSQEYQVEIQCEWVFQKSDSQGGAKSF TGQSIVQAPDTFVNNFGKILAPRAPDAVFDIKVLVPKFLYVGESAETQALRLEPVNIREE ISYYQDIRLIHKKTSDFVFFELVPNDDSTDLKQIGASSQNRVEFFVLNELAFGSLIGALS TNMSIISIYATFVLTIGRFLRFAYDKISTRVMFEEMPETYELFDLCQSIYIARVDGDFFN EEIFYELLIRIYRSPEILFKMTGASIYDRQKK >CAK93750 pep:novel supercontig:GCA_000165425.1:CT868673:310625:312873:1 gene:GSPATT00026076001 transcript:CAK93750 MSSGSEKLDQVHQHHLMAPLKPFFPNQNLEDKRIRTAAREFHDFLKEAIDEEHQHLLNAD QSIINDEIVKMALPETKAEIKELIYELKRIQNEEEQLLLHFIGQAKQLDNNDKTTPKRLK DYFEGFYYEVMIRSSDKKKLYEKVLLREEQLQKHLTQLEINMNKIEKNYESIKKWHEIRS LRQTGYFVSSIQNRRFKNSTTKIQKIQIPNNVSVQALSQDFLTKNVNIQQQEQSVLHEDV MSKPNAITSGYNLEYKPIQYKEIQSDLKEIEIDETSVYDWIVDIDLITTIAKNGWKVYLS KKFADAQVKQELEIPNYGFTKQQHKAQWEGAAVAVVGLYDKGKTFVLNNLTSSNLPSGKK VTTKGVSFKHVNVDSGTQLILVDTAGSYSPVKITNELSIVEKEATEMFITDLVFEISDYF ICVVNDFTSLDQRYLDRLSRNLQNSPNKTFREIIVIHNLKEVESPEILEHVWSTQVTQIY QNGSIQRTKVASINPINHQLQEKHVLWFKTEFTRHVCLVNDDSYLGMSINPWVFSLLRYW LKAVFVPVNRQFSVVDSIIQFSKTKLSSYFRTILTLQIQDTDDFLVKTIVTQQEVQDSNV RIPQVSIDSSGLIMTRPDSFLPNTDIIATDQYIIYMDVPGLTKNEILVYRQNVVTIIKGI RKRPYDTEKLERSERKYGEFALSFKIPEIYERKWSTFTVENGVLTIVYEKDKDDQIVRQK KQE >CAK93751 pep:novel supercontig:GCA_000165425.1:CT868673:312912:313930:-1 gene:GSPATT00026077001 transcript:CAK93751 MLHLLIGNKQIQLFSDQKVKQRISAMLKQKSRQYPHQYLTVELSSEDNYEIELDQDDIKQ VCENFGEVKQVYTKVNGAIIIKFNSVFDAFIVYKLLNKHQIKELDVTIKIEFTSQQDLLE IMEFQDSNQQKFTCRYDVQIDNDKDFQVARKIIGIELESILGAKGCNMKKIIDQYLVKLR LRGRGSGYKEGPEKRESQEPLHLCVSSKHNHLFLRACQLVEQLLIKIYDEYKMFGLSKGK KSFNFGIKKVQQPLKSVIKPNFMPELPNFNFSNNQFIDFNNENIMGYSNLPNQLM >CAK93752 pep:novel supercontig:GCA_000165425.1:CT868673:314070:314612:-1 gene:GSPATT00026078001 transcript:CAK93752 MKTGNIKTEAQYAKANFYYSDGTGRDTYVQFDNGGLTLHAQRCHQPPVGSFQPKKSTKIY RPQSSNKTLHYISNGSGRDNYILQIVLILNMNRDSHGGLMNTCADRHWLVSFKQGLRTPE RERPRSGAKTPQYSYQQRICRRLSVPKYTKIPFEKQLQSEDII >CAK93754 pep:novel supercontig:GCA_000165425.1:CT868673:314626:320469:-1 gene:GSPATT00026079001 transcript:CAK93754 MQRTLEQRQTTEQIRRNNNQNNADLLLSVYELTARSSLQIGQRPRQQSQSREKYKFKQCE QKKVDLMSISSPGSQKKLPLSPQLKLQSPREPGLIFNLIRAANNAIENNTDDKIIRTYMQ IRTQVEMRLDVLESADSLAVQLEKIKQENDTLRKRVSDNINSQSLSNLAQLKMQLQKAEK KAESRKVCIKKLYQLLDKSEKELELYKSGKKSAKTSFSKKNELNEILNGTYPKNKENIPD TYEPMLLSLIKDIDQKNYEAIENLSKNNSLNTDVENEKNNLISNSLKSFKLMCEQKEEIL KYSAQICENNQDLWKKFLEIDLTCQKQISTLRQAISELETNIKSYYQYLNKIGHNSAQKF QDAYQFQGVIGDSIAKWLKQLGEIQEIINQRESEQYEFQQFQETLVMRLKEIIQNNKIVH KQNDFKGLLDAINERLFNQSEEILLINKELNNTHIKAKQQIEELQNKFKLEFQNYELQLK NYKELYDQLSNTNKELVQKNENLQVEFNRQTQISVTALQNKQQEILNLNEQTKKLKEDIT KSQELKLTYNEQQTQINQFKKEIERLNQVILQNQSKSSQQEENLLTVIEQNKSEITRLHQ LIIDLHSQIEQSDNQIQLLKEAEQIIKDKITKDSLVYSDKVKKLQEIIQNKEIEHSALEE EYKAIQSQNVEFYSQQQQDQDTIKLMKKDLQKNQEQYEQKINEFIKLSERQLAEIKDLSE KYQQAENERRNQDETITKLKKELLKQTNDHQKQVKTLQDQQKQSQEMLQQKLQSFERLNE QNQMIICEQKKLLHESQAQLQKVNLENEALLQNNSESMQSMKEQYELLALELNQTKQVVL DQQELIQNQQLLHHDTQQECYKFKKQIEQQDIVNKQNQSELGKTIQDQINLINQLKSNLE ATEKRHIENKITAEQLSQTLITTQSEITQLRFESTQLNNTIEQNSKTIQDLQSQLQIDQQ QHEIELKQIQKNLDLVQNQSNQEKESIILELHKQIQEFQEQLQNQRDQYQHDVQQHQIQI KLNQERFDLVLQQEINQINCQMEQQISTKEEEKQGFLDQIKALENKIVQLDQKNKEIYLQ SQSNQNLYRQLIEQLETLQQESQNEQLKWKDLLQQKDEKIRTIEINSKSEIGKLNEQLIH TSERRAQIEQDQIKLIEQEQITNQELQKLKEQLEQKQNEIDSIDKLLNERVLDNEELNGK LILVSKEMSLYKDQNKDLQSQISQQSESNSNTINELKFKIKEQDFLINQLQSQVKDFECQ MNLQLSEVILQSKDLVNKKQQELDISSNELTQLKNQFIKLQNENDDLLKQITILQEINNN KDIQINDFENQVTKLHEQVNTINNYNQLKQEELDNVLNLLKNREQQIADQNELINNLQIL QVKQEQDYNEKLNNLNQVSMQSSSEQSELKQIVEQVQMEKEKITEELLKKNENLKEIVKN QQTTCQQLNEQLSSKDTELQEQGILIEQYQSQVLQLNEELTLIMDEKSQQNLKIHQLRTN IEKIQQDLEVKSIQLQNSDLESENQKQQFESQLNELGNQNKELFVRLSELQEENSTLIEQ VRKRENEYRLKVFEMGEKNRFENEEQNKINQILQQELSISEVSEENVINALSQIKNEFQE LLDKQSQYSTLIFQLYSELLTDDEEEDVEKQIQVISNQMVGLIDVRDKYKKIENEFEGEG DILVKIKELKLSEQKKQSSNSQDIKSIEAYSQLLDGLMQEFLHNRVNLTMQSMKSLLTEQ QRQNVNEYRKKIGELQNKLLQAEKQQKCIDDTKFNLQQYQQIVDKSEQKAMYYEMECKKL VQQIQEMKQRMPQRISLNDLDQDQSEQHSLNILNFSLRQEILYGDDSFFDNISQIKDDDV HSKCQQQIFHLVEECQLLQEQLNMQREDRNVQIK >CAK93755 pep:novel supercontig:GCA_000165425.1:CT868673:320565:326748:-1 gene:GSPATT00026080001 transcript:CAK93755 MQNPLFYKNRLQRGDNLSVKFIVSLSQNLEYQKNLLKYWDQIGKNPQGKVLATELLEVIT AIMKCVICLPDESLKQLSQQYLESMTDLDPNLPEYLCYQVFVDAITQLLFQLIIQPDLSS AIYLLKTIHARIYRRQLIDVKDNTKQDVDYHILVKIHNSDRVEQSLKSDSKKSFFPIYYT EQYIYNEEQLQSANVIPSRIIKYRLAPLNEIIPIGLSCECMIFTLMNNKCQQSEYQHYTS AQYAFAKEIKLIGNVTETFLLALPNSQLVPEIGLQYIIEQNDDSYGFHSQFYKLSKLITR DIQWSQFPLKSQEEKPLLINIKSEFFTEEDYRIDQINKVNKKWERKIVSAKRQLLTSQLQ TFDISKSSNPDKRQAKNIPQILRTFLGDLNQTKDSQQLQPALEIIQEQQSNVQLPSFQSY LSKFISPKGQLPIDSKNPYEDDVIEYANQAPPQIFLIGKPRSGRSTFAKALAKQLDLEYL DLEKGIQRIYAKVAENENNPQMDEEGNPKEFLNPLEREVIDSLKFGRELTQEQLEQLFNV ELQQDLPKNKGYILDLPLENQFWVNSILSNKMILPKIGCRYFTHVVNLEQSDEDVLYFAA RIMEKQDDLVASSQYDREEQKRPKIKYKDPNDPDEEDQGDNPDDEEKPPIIPEKDLFYRP LDQEFLLESLQSFQKSQEKLQSLYDHLPDQHIITLQSAGLTTQQLVDRVSAQILKGQPLR PLAIKLEGGSEKDLLGLNLTDEKVYRMWSQWQQVDAVELVLKDKIIPGKAEFACEYAGRV FLFDNEENQNKFINYPRKYLSKPPQLPKTYIVSVQGPKCSGKNTVASQLAEYYGWTLVDV EDIVRKKILAQQERTKHLASTFDPRVNDIHLTEAEWKEFYKGNAIKDVLPMVLNYLNIPL QRKPLGWGEPKKEEEEQPPEEDEEKKKKEAKKQQAKKKKEEVVEVVEENVKPVTPPPEDV PTKEIIPLLDSELKVPETSKPKGIVIIGYPQTQEQIDQLNLWGIKIDKVLILQDRSEEAG QLLLQRNPDLLIEQELTQVNALSALLKEAYQEENVKEIPIDGTREEVWSRVRLALDPFYI RADDESLVRAPADVQEGEEPIPYGDYANYCPVTLQKTNWLVPGKEEFIALVRGRVYRFYG EKEQQIFKDNVQLYIPSKITIPQPRIMFIGVRGSGLRTQLKILNRLYKLPVLDLKTNLIQ NLEINKKNRQLNRYYLKGFKPKELDADGKEIPDAEITEDPADFDRKAHEIEVLNKILDCA GVIINANFFDVSEESVSTPIVDLLVEAKKLPEYVILLKIEQDNFIKRNFNSKVIEDEYQM KMEELRQKRYQERVEARNKAIEEGAEELPSLEAPQGEEEDPEAPKLDDMIKEQEDKLKQQ HEADSAKIEELQRQFEELKINAVILSTDTTIENVTERITSQLENIMDREYRENMLEKEQC QKLNLYPDPTNFNINKLDIYEKLYTHKQSKFGNRNAITLQNVPHCRDYPVLYRNRIYYLD SEDQREQVCQRPYKYFYKPTVPNDIQIKPTIFILGKVKSGKTEIVRLLSDKLKLARVKVS HLLAEFVTNQTDLLAVKIKNQLKEGKEVDTQSIIQIIQKRIQLLDIYNQGYILDGYPRTI DEALALSKAGIIPTVVFVCDKDDEYVIRKLNPKFGGIDHVVHQRLSANQTSLLSAYYSTH FNNVRYLQVGQVSSWGMISLCTKYIQDNLYSRALVARALITQEPVRVSSLTLTEEQIIQK LSPLYNYCPVLIKAKKNYVASQLRSPYLLFYDDQLFFLSSEDTEQDFIKNPTHYCNNSIE KYKIPRQLTVGDKFDPYPEYKGHCPVELINNNLVKGQSNLVIVHKNQQLIFTNAHNMQLF EMNPQRYKHARLPDKMQLGELQSVKNIAKKVAKRGDCTSYLELHLKNIIIRVLAQLGYQK PKYPTLSCKETVLKFIAISLKANNKNKDAFYRNKYQEKLEKFIKNCTLAQEIQEMHKKSQ ESEIDEETLLQKVEQFDEFIAELQGQEKQKYFQQFIR >CAK93756 pep:novel supercontig:GCA_000165425.1:CT868673:327764:330273:1 gene:GSPATT00026081001 transcript:CAK93756 MNGKKQKKLITEELTWEQLVICGNQSYESGRTDEALLEYDKALILNPNNSLIYHNRGILY YNMEKNEEALLDYNKAIELNSQDSKIYNNRGNLYSDLGRSDDALNDFNQAIKLDPNFSDA YNSRAMLYVDLGKNDQALIDFGQAIKLKAQSNILKNRGILLFNLNQFDEALKDFNEAINL TQNDSTLYLNAAIILQAQNKNQEALEHYNLSIKLNTNDQRAYKSRAMLYSNLGEVQLAVS DLSKAILLKSDDFNAYYHRGKLIIYWKQKQLNLALQDFNQSIRLNPKYQNAYDCRGCLFL ELGENNKAESDFSKSIELNTRSSNSYNNLGNFFVSIGNYQEALKNYQQAIQLDAYNSIYL INLGQLHLKLNDFQQAFIFFLQANSHLDVNKQVQLSSIREIIEKMLQILLEIFTEIEKEN KLIITQPLEFQVKLDSINEIKQIEISVENQLKIILQPISLQSKQHQFSQQVEQLQEILVD TRIKFSLIHQSLKKEQLVDANQEQQLDTNQDQQLNQQQLPNINIATIQSGITNLKEQNNN QYFYFKSLCYNLISFMQFCFEIQKTNKILPQSSIEKQNLIPNPFCTFKEEECISNIDQKE SLIETICEALGYQDNFKQTIINRNFAQLYYIENNYSENLEIEFHLLAIDLATKQEGVPEI NSYFDLVEKISKIQMKNLQITSNIFWKKGILDSIKIIQFIDNNINRIISDGLQYLRMWKE DCANEEVVVIHQSLAEQLMAETPVNGQPTYCSFCSIS >CAK93758 pep:novel supercontig:GCA_000165425.1:CT868673:330620:331320:-1 gene:GSPATT00026082001 transcript:CAK93758 MILCQYLLDIQKLIIERNILKQRHKKISRLRMLIPQLNRIKNYSDILHIKRNSFKDSIRV VSIISLKVDLMNLFTFRFNIDSYFSKQNSYPQNPRLENIFQHKKMSLIVRHNKINLIKFK TRIFKNLNPYSNNYKQQIFRQTQCRRIQIQKYQPVKSCIKFVGISGYYFIFSLYLSYQPL VPTFTCETRNNLICLIYKICYSVFITNNYGQRTKLGQFTYKIYFY >CAK93759 pep:novel supercontig:GCA_000165425.1:CT868673:331757:334972:1 gene:GSPATT00026083001 transcript:CAK93759 MIIFIALVQITFQNVIYNFDANLEEKDEWSSNLQFVSCSSYQFLGSCCEMSVISRLFLNL ETHYVIKMEGYFLRQEVDDEFLFFLDGYQLEVILISDLEVNSDCGRMMLSNISIIHQHNR RTSLIQIIQPAGGIMKLQISILNCQEECIGCIWNYPINCEKWILHQYSFDQKQMTYSDGW NAVLNYHEVGCDGCQYLKFKDISYSTQIPSHQDILIRFYKRSLNSLILNYMYGEVWIAFG EYVVEIFLQNYPTEILNLNIKSEDITQESLIRDFEIYCTVPETHILDLNQGCQDQIGDRC LNCEDGWVLDEFKQSCSPICGDMIINGDEECDDGNLISYDGCFQCKHQCIDSCKTCILGI CKQCLEGFVLNSNNDCDPQCGDGIVIPYSNEQCDIKEDEDQGGCQNCKYPSIPNCKQEFF SICLECEDGFFFLIDQCYPNCDKINFLEQIENCDCIQDGIIFHPRKCRLECEQGYEFIDY SCHSVCGDGLVQEQEECDDGNEQVGDGCLKCEIEKNWVCNSIMKNSPSQCILVKAPHLII NYLNMTQNKQYISIRFNEQVKVNTTKLLSNTISFKLINIDNQNWRSSFQIKQDVGSYVTF GEYVLEIEVLQLLDFRPTLQIELNQSVSNIINAELEDYTKFLTLDYPKYLNEQQMNYSQK LKNTNIYLIYALSAITALSLLFGTGDLFVEVLAILQYLQYLRYINLKYPQNLEIYFSIND LLSIEPLLNFIHFDFFFKFINIQTDQEYSEGKIKQNSNLIINLSSQIIQCAIFLLLFLIS KWIKKVIYNWIFCTGYFECMSSLSKYMSPTIMTKFSQLFYDNCLNIIELEHYISFSGLQK ALLLNGWDMIFKILLYTRNIQINNYLDISQIIIVIIILILYFVVILNNFGGRVPKQQPQK QRIFEILNFVRQFLFLLFLIYIQSSQLLQLGLLLLTNIFEINIIFNYRYIFNKQKYIVQM VIEISVLIFMLSSFFYIEEFNQYINEAQKILLGWFQAILLSSGIIIELLMVIYKSNIIIR QKCINKQSIKQQSITKNHVEEQTVWQNKKILIKF >CAK93760 pep:novel supercontig:GCA_000165425.1:CT868673:336370:338004:-1 gene:GSPATT00026084001 transcript:CAK93760 MITSRMTEKEEEILQCAIHKEPNFMVSSDPRLNKKQRLLCRICIDSVESNESFKIFKQVK EKIEANQNQRKLYVENVVRININYIEQIRLVLIELKNKVAQNIEKLMGFVDQWIQEVLKY GEQNATYSFFDELEKLIIQKNNDQFNQESLIEQIHKQNQSKSSKINTTLTFFKSFQETKQ CEELLKSIQHQGNQRCDAKRQQEMNQIAIQQEQLEQQQQMIAKKIELKQIDNSNQSSGYC FAIVFDKTGSIMVSCESPKIQIWNIEKGKLKLINTYSQHQETVRCLVYSKLRNSFISGSI DHTLICWQQINKHEWKYSQPYQNHLEGVNCLMLNKEEDQVISGSCDKYINVWKVDFMKNQ LTFLYTLNNHENSVESLSFNSNETQLVSCGFDEFIVWEQGLSGKWEFQYKQSVANKGYKI HLINDQLLIWVTMDADIDDILVFELQRGVFQQNANKTIKLTKNNKSEDDMNFSIVHNLEK NILLIRHKYHIYFFRQLIDGTLKVYKQLNCQVKQVFGSMTNNGEYLVFWDRKKEKYQTYE ILNI >CAK66332 pep:novel supercontig:GCA_000165425.1:CT868044:8246:11454:-1 gene:GSPATT00035461001 transcript:CAK66332 MIIFILLKCISPTSLIYKNFFDSFTYTNNGNTKQSYIGWSILSKDVNHQKNVVLCGSKNI LFLDNQSTQYNLLLHKSFKLEVHYQVTVQFQLWMLDNWINNQLLIYFDNEIIINDQYSCS SSAINICQGSQNDEVTTMSLSVLHNRPSIQIVIFAQSGKMGISEFQLFIEECPSGCHSCD IMKCHNSVLFMQSFTSIMISAINSEGWMFSGTIQAAIDNCHDIFYHKSSGYNLEKEIQLK DHWAVSLNLKVMIFNSGSTTISIKIDDILVQQEIYTQGWISYSNSNVNYDGFWYYMTIKH VSITQYIHTKPVIKITVLTTMTSVYTAWAPWFGIRDFQLFIHPNYVCIDHNLNPFDGCFS FNFDCVQGCKNCVKGICMHCLDGWQYIEISKSCIPYCGDNIINSQEECDDGNQNPYDGCY NCKFSCPLDCELCKFGKCLQRMNYYSLQKSQNECLPYNFVSNPQKREQYKLPICQTQRFS NYYSIGIPFYLEKENKHTCSHNCHVCDFGTCLQCKPNYILQNQLCINVFEKNDDEQQQEY FVEIFYLKVIQETQNYFKKQKFNRIQFNFKYYQNYENNYPNLDYIDNCKNKVSSVCLECE IGYKLNVNGRACIPLCTDGMVVNYEICQNQNYQQVNGCFKCQFSCQLECLSCLNGICQVC LEGWQLVNGTCQQVCGDGLIAALSQEQCDDTNLIDGDGCYQCFFQCMPYCQYCINQKECL NCEEHFEWVENQCKPICGDLFIVQELEECDDGNQISSDGCDYCQFQCKFDCQICVDRTCI DNNNQQDEPTSNDPKPTTPQVDNSCNQGCLLCQEDICQECEKYSTLEDGQCIKCGNGYKS DDEFCDDGNTFNNDGCSNQCMIEQGWDCNLSILQFSQCYQFAELSLKFLNQSFDTQHISL TYTKKVKLNEFNQFFLDQMSFDIENIKQNQYNINIEPVIPIILESARDINYIIKITFLEK IQSRPKLTVSIDAQFLDENQILVPPGNSEISLMIPQVMNLKQMEATAKMQVFGQNMMIGM GSVGASFQDSGCFVKQANLSTQR >CAK66333 pep:novel supercontig:GCA_000165425.1:CT868044:13509:16983:-1 gene:GSPATT00035462001 transcript:CAK66333 MELWNRYYEIKLQDNLNQIDVNFMIEQVLSIKNKGGVMQLIVEKFTLENGLFQYIIAKSS SVLDIITKGNGIIILSNCSITYSQTNLLSNQEQPGSISINSQNSYLTLKLQNFNFTNIYN KLASAIISFEPSEISNNILIRNVLVSDSFSLINLFLALVFNYQNADQNKVTLENIVLQQS QEASVQFNRQLNLLEQAIVSKITQNNAIFNIQGCQLKIINLTFQGFVLSGIINLINCKKI SLVNILFDQIALFYDLNLLHVEQAAQSLSNIQISNLKIQEITFFNNKNQKPTPYVYPNFF IEYSQCQSSKAVYLPTSEPQKSNNFEQIQSVSTNSGSILSIKCQNNQTKIQLESIVLQNN YCKSCKNGLIYFSLTNFLQIKIQGVSCIGNMIEQFGCINAFSERNLKGKLTIMNSVFINN TGSQGLALSSTNVKAYLFNIKILNNTATLLGGGVYLDLNNQEFQVKSSFIQQNKAREGGG MYLNGDQILNDINFIESLLNFNKAQLTTDNLQELPSHLDLSINNQIMHSDQIYDQISQKI LKLRPYLIIQQGKIIMENALMLPSNQEIAKYELYNPKQNKFTSYINELSIQFKNRFNEQL LNFTDSSCQIIELILDIKQKTTLQSNNISLIQFNQTKNNFDLGMLILTLDPYNQTGKEYQ IQIYCKAKNQIEEMSYILKVKSLVCQLGEFYVLNGCLTCQSKQGFYSVTYNATKCSIFDK IKFEAITSNNINLKSGYWRPHFESDFVNECFKNIDSCKGGWVVGDEICKTGYIGGLCEEC DKYNKRGDGFYFKNDNFTCWNCSDFSISILSLILITAWVFLSTLITLTSVENTNQLFTLF KLTQKFSYILFKLNLKSIMSKLFLNYIWIYSVIFTFNIQFSFSLLFVNQVSDTSYFLTRN LDCKLSQSSEIELIYMRVLVMFILIALQIFIIQLSVSIFSIVAKVKLRNNLISITLIYQY IQNYAAIITQLFSILANREISQISYVQGDVSLLFESSNHQAWMYKFAIPVSLLIGLILPF FLLVFLYQKREQFDKISLRRHIGYLFNEYDNNRSFWEWVKLWKKTVIIVILIYLETNIFL KGFFIGICLIIYQMVTSSYLPYIYPKLNKLDLSSGQLCSMAIFLAAVQYFCE >CAK66334 pep:novel supercontig:GCA_000165425.1:CT868044:17083:21742:-1 gene:GSPATT00035463001 transcript:CAK66334 MQLLNQFILLFFFIFQQIEGQYLNLLNPLHQISNRTFNRVDYNWYYPYCLIYGFYSKYNP LSNIIQLGNIGILDSNCYHIYSIKDQTSNNINFLQYDCIDYEKKQIEKAYIFIGDDGQIY KHSYQMNIFEYESYWYFLGIIINIVEQKVVIILFQNDKEQNAQEYNIRYPFYDVNLILFC GGDLQLYPNQTLFNASISKLSYFPGSLKYYDIYYNTNKESCQISEFQLLFEDFKFACQCN LKPASYPDYIFSKLSQEQLISQNQNCDQFNFQTWIRIKEIDNYFTEIYYKSIKISGNFLN PKLSTDDLSAFQLYYRLTESINQIIITTYSYTFPSVNINQFDHPFLITKIFDIDIDIKLW HFLRVQKSHNSIQVQINFYQGKNIKEYSFESFVNHFNLVTFKIGRGNLLQSSNYFSIQFV KMVFYNCETEINLEICHLSCFECNGPTKFDCLSCDPSTNRMYNEKQNSCFCAYGKIELDG KCTDYSAFQLTISQVNKNEFKCSYGYFEYEDQCWKCPSKIRKNLITCIECITNPKTWINN PYCQYNYVTDNDNRPFTKQDYGIERNIFDGVDLKYRENQEQDQDIYKDYLQTFDHFSNFC SNCKIDVYRKKCFIFINCVECQIEISRPRCIKCVMNYKLSDGVCLIKNLIQTSSYIICQT PYYQTYYYTCILCPIENCLYCFDFVKDDIQKNTILYKFLNNIINANDQEVLVGCAQCYEG FLYDFTLQKCIPRQPQIQNCLSSFVNQQGKELCILSTIQDFTVAPQIINCQNIIQNCRQC FSNIQTILKCVICEEGYYSSDDTGLCYAIFYDEVLPKTNSVTFIRFSDQNIWRHLVQSFS LQFSQNNYPYLFTQFYLFSIECKEGYSVFKGDCIKYCDQNCQKCEYDKISERYTCSLCLL DNYNEPYKVQENGMCLICPSLCQVCQIRSDESVMSLNPNYIINKINTQYTTQCIKSISNS NVDINPYLQIAHYVYDQNQTAFEYQVRINYKSRQTIPANIIFISPIIFLSSTMRKQQFIL KLEFDQPCTEQENRIINYFKAKVFSIQLLKLVLTGIQQVEGLFAIDNFNSIEFSNLLINL ENNLLFQINNYGEGVDYNFQNCSFFGNQQKFVQFTIPMIINHIFFTQNVSISKVNIDNSV IFQINSTTQNENILIDVFFLMDCKMNNTTLFQFNINSKKIVIENLLIERCEFHNFTLLKI QQQTYSSKIIIRFIKIKNSIFSNSTLIDSNENNMLFIYSFQMIENQIFNSQLIRFSKDLF SFNLIFISNLFKESILFEKLFSKNYQYEVKLEDIEVSTNNYSNFQILVIDQTKIPPTQIE LTNLVFQDNNHLLIEIEDYLFKFSSSNILIQNALLINTFRNRFFYLFNISQIQFKNITMQ NEILKYRIPLHQDCATNTNQHSKLLFVKGFQSLYLDLIKVINQITLDQSVIEIQSNDPLL NEEFETITIQKCHFYSKHFDENKFRQFFFNYFNLFKQRLINSFQEYIILVEFLLLIHYRS IIFICKFVVYQLYQQHHSIQQYLVF >CAK66335 pep:novel supercontig:GCA_000165425.1:CT868044:22649:22899:-1 gene:GSPATT00035464001 transcript:CAK66335 MSNTSKLKPNNHLLAYEAAEAKCKEKYPRIEECMDISNTQVDMKLCKQMLERFSECFNAH KPVAYMQLGKQRD >CAK66336 pep:novel supercontig:GCA_000165425.1:CT868044:24064:24547:1 gene:GSPATT00035465001 transcript:CAK66336 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGATGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK66337 pep:novel supercontig:GCA_000165425.1:CT868044:24761:26572:-1 gene:GSPATT00035466001 transcript:CAK66337 MSAPLFQISPIQKNILVLCNNFKNQINVGSSLDCALFIGLIEYHIKVNKVEDIKKIYEKA QLNSFGKIKTIISNSENQQQRLEYILKETKQSSKNLEQLMKDYFQNKGIPNEKDKDKDLK NKIKLLEQDFNIKLVICDTKSNPKIQEIGATTIYIYKSDPNYYILINAQENPILCSKCNG QQNLQKLKCNHQACFSCISNSFEAKNVTSVTCFAKGCQQKIEKAQYLQMKSPKAQDLKQQ QVKQSDPSIKQSVNKVEMKQELQSTCQEEKKQLDSSNNQQLQSSSKIEQSQGEKNVNQES GQLQQSIKIQQGLEKQQKSQIQQTEQPLKLESSNNVENPSKNSQSAILSTGKRDNNPQNQ KQDEFNAPKQIKGKQCSNCLKECMDQIFEASCGHCYCPKCAQILKSKNQQYTCLHPKCSK KNQYQFESKCNKCGKVKDPTILFTNFCGHQICVQCLEGLVRNLKKCKCPVCFQSLQENDI ELFFENLQLQIIEKEMQKSSIIEYESGFEQLLLQSNNSKYCTFCNSPFTEYNLQQQLSHC QNHLHAIGVCCSIFPLECPQCQLPSLEISKYKITFEIGNYFEQNTLN >CAK66338 pep:novel supercontig:GCA_000165425.1:CT868044:26829:31834:-1 gene:GSPATT00035467001 transcript:CAK66338 MGNFFNEEIKKDQFTQMGLKNLTLKVSSLNSHMAPNIPFVLESGSISLLKLDLHKLTCLI EEVEITLNFKTIEQYRLFVQTYTKEKMTADKLQKIKDEIIKVYKVKSETSNNVNQNAWNI IRQFLQKITFTVNKLVLHIVEPIYKDKLSLAINQISMRVRKLQEEKDQMVSTVIIGGCSV HINEPDQLFDPNNFQRESCIFSLQQQYTNKYDQHVVIHLKTELKERLKFNSTLCIRLMQM NFNYKQFQIAIRVIMSILEHNKLIQSQDKTIIESIKQETPQFQFTWCESKVYYDYCDKYG EVRVDDVDQKSLSDEATVEFNDDLEEALEKSQFNEEEGNEQMEESQIEGFNQSILYQSNL GDLNQSTLKQSMFSVSVFKELINDEDDKQGLEELDEGQLIFKLKIQKIRVRLSRHNIIDK WNVESSKKTNYYELEIDQLNWLMNKEVAQQQVTTIKQTKIEIPIIQLFHYEYFNIFSGKI VEDLSSEEESGQDIFQSINDGFSNNTQTMESQIFHSCYNLSQSDVEEKITKKKNEFIKEQ GNYYYKKKCLLQLYDGCKESSLVGAKQQPQLITKVDNQFIYQNDKIISQGNGLQIQMHHL NHSHAISIKQIDINLNIDEILEFKEIFQINHEKKQIKSIPNLNLITIHRIQFDVQIQESD YAEFYYLQQIINNDPNSSSVMHQQQKMSFFTFDIIDLQVQQSSSGFITFNSIKCLMKEYD RIKQVFHDETIAEIGAQEQGQILIRPIIKLMDTKEVRVAIPKLQVFINLSYMEYVKTLFM LIKDFQKRLRQSNTNKQENKQIVRLLFDEIIVKINLDQSTNPHQARFILHASKFAAQIKG QDEVMLTLYNCLIIDTNWNCKRLKQDIPIYGSPNNTKTDQPFQVLHFRNEKYQANQVLFY KQERFNREGFKTLVQNETIKSQPEMAFQYTAIKLKTSQYLNPDCLDVLNGNTNLLIIKIN KNKKIDIQYQHGIIQVDEQWIETITNIQDLAKKWEQTLVPQKNKLPQKNSIPNFTFSVSN IWLNYLPTYRRSTLLKQYLMKKEPIKSIIAIQPEQLYYSWIRVLIRIKSATVSSDLQAHL SSAQIYVKSTSKDIKHANLSFLNPILLVPPQIGLFYENRKFSDGFQIDKDEQGLLRLLCF QKLFKIKGITFSGNLINVGQINGNLKKDSIISLWDIASMTIEKIKKIIPEQQNENQIYVL QDGHLYTPTQNMNIFDDDDTELPAIENTPNKKQFEIVLKSIDVKLTVGQHFPPEYFSDTN PINPEYAQQNQEQYYLEHSNLLHLEEFPQMNDQSCDYIHFSLKELKLKCCGPSQREINQF YLTLLNFQLADEIKNSKFSKLIGKEPQLEDTNFAEFFYSIDKPNNSINLEAKFAPFRVCV SGEALEFMLETVNSPEQLNPKVDLLSKLNVGNDKESIIEFCVQEGYQVTFSRISELKKSM KVNFLNIERIYCYITFDGNGVKLDGKLKGLVRLASYDNLLIFLKRAQINNLIFEKDRDFI KFLFDQYYNLLRNDSQLIQNLITSFKAFQNITNIVSGIQTMFTTIIKQGLVTGVCSGSIP LAKALGDEIVYLTKKPIEVCSTMTEGIGLKIASVVFSPIERALDQFSTLTTSDRIPREFL KKYNVEQTNA >CAK66339 pep:novel supercontig:GCA_000165425.1:CT868044:32009:32861:1 gene:GSPATT00035468001 transcript:CAK66339 MQFQFPLQKALQTSQNGISVISASNSRRNCYLDEVIDRMGEASAIAQQLKQIITTASKFY GSDQRIYLKADGKNCLGLLKVGKKNLFYRDYSGSIKEMQPLCVLDFYVHESVQRMGVGKE LFEEMLKSEQIKPEKLAYDRPSQKLIGFLNKHYNLNQYVPQNNNFVIFNQYFGQGTQPIA QGRSQKYSRNSQIDQLDIMVQQISQNKTNQQQLPQQKLGYQMSTPWAIDNHTNIYNNINS QRTNVYKIN >CAK66340 pep:novel supercontig:GCA_000165425.1:CT868044:33515:33935:1 gene:GSPATT00035469001 transcript:CAK66340 MSTLNLDIHYSNKRGEENSISLYLLIIDMKKILRILLFFIAQTSNTDEYYTEKVMQLFNH QDFQLGAIVQFNVYKFQLIRRDEFTINYKKLKHLTSYCETQTYCKQLSQFHQFLTCSFVV SFEHHLGNWINN >CAK66341 pep:novel supercontig:GCA_000165425.1:CT868044:34193:35947:1 gene:GSPATT00035470001 transcript:CAK66341 MNLTRYNYLHNLAFIQQRIKEANYIALDFEFTGVLASSLLRNSLLDSFQMRYWKVKENVR RFLPIQMGLCTFREDANSNNVIAHPFNFYIFPYGVDGYLDKQFQLSSNSISFLTNNNFDF NRTFNEGILYLSHQDEKILRDTKRIQDLRNEIRYLDKIVTFEMINFVKQYKVQVEQFLKV SNDPQFEIPIKRLKVKVYRHFIESITKEFGKSYEFYCKYDSDILIKREILYIVKGPEPPE TKKQNVEEANEFAGVRILLDQISQQKTPIILHNGLMDIMHIYDKFFETLPDSRGEFISKV NSLFPVIYDTKFLINQSYSVYNKVGQFSELKNSYINLQNLEPNVVFGDECQEYNNLEENQ AFEHEAGFDSYMAGSVFYKAKKLMNLDQKKLDLYQNKVPQSSIKIPFDFGDKTGEDKYHN KQIFIFHVEILEKSLVPDSLIKGYFKEKYNTDIDLFLSYGQNFEYFMVFSTLEGSQEFRK TMKNGVTIIEIGDHEFIITEYENYVQKLQRRAKDELSIKEMPTILE >CAK66342 pep:novel supercontig:GCA_000165425.1:CT868044:36115:36999:1 gene:GSPATT00035471001 transcript:CAK66342 MRKYYFFCLCKAPLDITDFEKSTGIVNFNLIKKFYGNIYFNDQSSNFIIYQVFKNELSKQ VEDYYGQDQNSLNQCENYSKNWHYDENYEDLNSGYFYGKRCGYGCEVTIEISQKQYYLYI GEFFNNKYHGKGTLKQLPMENDVNDQIIQTDSFFAGEKEKEIFYFLQPHNPKPNAPKVIK EYQNFGKGNYHGEVNAQNQKHGWGVFEFDNRNKYKGQWKDDKMHGTGHFTWANGEEYLGE YYNDKKHGFGRYIYQNKKEYLGQFYEGRQHGMALMKNSDSFNLQTYWNNGQYLI >CAK66343 pep:novel supercontig:GCA_000165425.1:CT868044:37043:37945:-1 gene:GSPATT00035472001 transcript:CAK66343 MCIALYYINPKGKFRFILLFNREEYSKRPTDQLKIILNDGNNIIVAGQDKLQSGTWLGFN ITNGMIVFLTNKNHLFSVSFSQKISQIRNQIRMSYPNLMKFLYPTLKSRGQLIVDLLQKF SIELPQQFDFQLQGQHLKCTYQIDNKSHDDSDLTKSKLMQAQLKKEQYMGFNLFVGNIIN QEYYVLSSTSDSFKKVNKSGTACSFSNIGENQIEKEKIAQNKFEQIIESEQDIDLLFEKL FQLAQERFVSNELFFKAFPEESQFFIPEYTTKIGQKRGTRTTSIIIFDGIKVEMKEKTYL >CAK66344 pep:novel supercontig:GCA_000165425.1:CT868044:38168:38766:-1 gene:GSPATT00035473001 transcript:CAK66344 MNQFLLIVALSVISANCYFGLEEVEVTLVSDKKISFNGVITIVPHTENIVIWLCNKNKLN LLHLMNGSEIVKRLYFLNDYKGFIVKCGYKMKIDKNELGLHQITLLANDSN >CAK66345 pep:novel supercontig:GCA_000165425.1:CT868044:38816:42937:-1 gene:GSPATT00035474001 transcript:CAK66345 MMEEEYVMVNGRKTVNCSILTIDSPKDVSSQQDLQWHHIELTLLHRQRIQSFIYQEDSLV YLNGHHLVLYDINKKKQQFLLRPKDEEDVVVMNHCVNDKMILSVGLGLKSTIKNYATVRI YKTNRKSFTLLHQHLPFGTQVKDIAFLHTAKYTITLCSNINSHDTSYISVFKTNTEQLIT YSDVGENYVGLFGTYKDFEQFGTFGPNVLKLWKFNPTEKQLDDVFLDYEGVITHVVQSNK KIFLMNKKGILYFYRKNKIINSANIPADLNEIPTVIAAYSEGVVVGFENKPIIAMYEAHK GIIEYRKQYTLNITNLQKIVYIHVGKDDSYISITAQHKTRQTENEEINCEIYLFNLGFVD YINSAFRDPFEQLFPQGVHKSKILNIDSSPSKPIICVLSEERVFKVWEFTYEEGKFKCLY SSTLHEVPQCMALHPMAFQCAIGYREGLKFYFVLHDELKQVYYESLKQCSVVKYTPGGNQ LAVGSQNQILIYDPYTYRLMQTISGHMGSIASLEWCDNTLISTCSQGLVMVFNQTRLVDH SFKLHKGFCQTYDTEYDFLVGSYSDSKVRIFNEKGQNLYYEMDIYPCQYVCVQILRFLDM IAFGTNCGKVRLYLWPFTQFKDDQEMFEFQLHQGPITQIRMSSDQQFLITGAEDGSIQIC KVKEWYDGKDMTPQETQKGQRVNSKGLIVSNLYSFSILAFVSKNSIEMKKDLIKELDFRI SNQKSDQEDQKQDITQKYQKQEKELEQQYQHQIARYKEELSQIMEAKDTRNKNLDIDLID LRKKYQIESHQIQEKTEKDLLQLYQKNDEVKQRLQFTNEENQREYEQKKAHLESIRTNIK NDQDTKFNDLFSRFQSSKESFELDEKKYLEVFKETEKEFEQLINEQKEKKLIKLKELLDK SEKIRSKCDRYQLRKQELENMIRETRTQKDLINKEKDNFKLINQEKKNQLKERERQIFQK EKEIKNYRNKNGHLQNFKNVNSYRLQSLQEQKAPLQEHLSDLDQNVKIMYQELTDEAEAE KNLENLIEDTSNKIKELKNQYLIKRDSLFSKRGEVQEIENDIFKVLADPEITDFKDMLKN VHKQHFINGKINKGDVNEDSIYAEIEKNTETAIREEMLRQRDFLSKKINTITRTSKAADE DKTSLIIRVQKENTNLVKSCNELREQRANLISHLSNMQKALDILQKEIASLNNGESIEFQ PSDDEVPLYIEKNKIQKQISAPKLTPFQQYHQGKEIQKIRKSDTVLKRDKGQLDLQQLIK EIKTYNLTDQELRDQVQQFLRNDESSSILPQILKYPFNSSQEETQLAGLEQSIINTSIET QKLQLPLIKQ >CAK66346 pep:novel supercontig:GCA_000165425.1:CT868044:43285:45043:-1 gene:GSPATT00035475001 transcript:CAK66346 MNNIYIQQIIINYNQFLMYEQELPSNLDDFPNYNLKQSTESEKVVVDDDQKKKAAYQFMQ SLEKNLRTKISSPQQRVQTLAVSLELYDTIFNKIYCNTLYKDTLFPLAESLEEAFNDEFD SITKLLFNESCYRHAFQSVQQQFNLQTSIESWQNYQLLFAALQQKQNIDLPLPPSWIWDI LDEYVYQFYVSSRWRKLLKNDEITQVKNIQDYWNLEEILKTLEAFYSQRNSAVQNTFQYL AYYSYLATAKLQVMSGNFNAAYTMLSQIQHSELIIYSKSGGAYQSLFSYAGFCFLLNKEY KKANLTLTLIVNYFNKYKQLYTKSYQYDSLIKQHEKILALLAVTSIFYPELEDNIITLLK ESRNKLFEKYEKILKYDQQLIGEVFLQSCPKIINTIKNIKEFQTEQELNPNETIIEVKDK LLKEILEAKRINDVEQVLSLYNQITFEHFNKLLPQKYVQLYIDRNSVALNENSFESTILS KFWQKSKLLEIEIKNNIIHVKEVQVNQRDFINQLAQMNASLEKNIQELSKNL >CAK66347 pep:novel supercontig:GCA_000165425.1:CT868044:45044:46851:-1 gene:GSPATT00035476001 transcript:CAK66347 MKNNGRVQVYEKLCQCLTTLELRRYNHNARTPEKDITLIKEQINQVLQNDKSQHKYLKQH FKTLCYSTELRKTLKKIILQGELHEMNYNWVLLELSGARTKRVQNPDVYDQVIKLRNDYP QSYFQTIQLDVVRTVSPSLRIQNNERVYERNHEYEAKIERILVAYSIRNPFVSYCQGLNF ITHFLVNKLKFSEEDTFWALSSLIEEIMPLDYYTNMISVMVDTKILEYFSKIYVPELLQH FKEIYLEANFYAIQWFVCLFTPNLHFDIVKEIWLRVMVQGNRALIASGIAILFIFEKELM KFSDFGDLLEFFKKNLKEYNNIQEFRFIVNQIQIKKKPLFEARDMFRKILEKEHEQSLGN ESPSLGRVTCNPQWTVCNQVMHLNKTLKRSFSFFVYSQKEPCRVINDYWDRYDDSSSVCS STASSPKKRKQKEQGPQVLLGRQFHICQNNDLDENDKYLYRSTLLVHQDLNSEIQSNEDE EEEEEEFIENTIEQDNGRGRSVTMNRYMPRLSEQGYDGFYKQAVYMMKQQQPAEPRKISG ELNLFPETKNEQVQQKFRVARQSISSIAFATQFVQK >CAK66348 pep:novel supercontig:GCA_000165425.1:CT868044:46959:47372:1 gene:GSPATT00035477001 transcript:CAK66348 MLKLHKREELKEVLSRRSSLHHELSKLQRSALMQLNNHTTQELQSNQKAPGGSPAHIRIN LQQFALPKIQHTTKVITNKYIGEYNNMLGIITSKHQIPSRDCTQRSASQYHNPEQFIENQ NYVINQFIRPRKLGSFR >CAK66349 pep:novel supercontig:GCA_000165425.1:CT868044:47620:47929:1 gene:GSPATT00035478001 transcript:CAK66349 MLHLNNSQPQETQQQQQQKETKKRRSVQWTEDTVDNEDLGRLKSNICCIYHKPHAEESES SDTCTSDDEINAIERDKQSKLRHKMKCSKLNKKCC >CAK66350 pep:novel supercontig:GCA_000165425.1:CT868044:47977:48668:1 gene:GSPATT00035479001 transcript:CAK66350 MLKSITELYDQNLSKFMQSNQNLESKSNITYNGFIRSDAKQSCQTSQKHLKITRSHNISP SIGQYNVSYKCLDTHRYEVNFGSPRSVRKPIEEMPMTMRASPVNNHMKQHSIKGGTDFEK QVSREQMYLTRGWLKFDKSMRAPKRTLEEKLHKLEVGQEALLKFPSIEVKENPQLQMKVT HLPYDYEKIRQISRQYLYKYINPYSRQKYHIKESALRFYSP >CAK66351 pep:novel supercontig:GCA_000165425.1:CT868044:49169:51647:-1 gene:GSPATT00035480001 transcript:CAK66351 MLSKLTRISRFRPFFAFCNQKPPQGFEKFQRKSRQQQAPKEQKIENPQEEKMEEQTQENQ QTKKQEEKIRRERPEEPQFEQPKQEQQQKKNERFQQESFQFTKRDNTNNFGQKPKPTGPV QDPNDPFKQYLFMFLASAPLAYLLYKVYEEGENHISFNEFQRDYLEKQIVNSIQVQKLDG ETIAIIYTIEGRKKLKITDLDFFLQRIDDLRNEKHYEQSIPISFQNQDETQETTANMGRY AQLLFYGGLIFIIFQIYKKVSKSLSGMAGDMMGGMTKMKSKQFEQKIKIKFKDVAGQEEA KGEIREFVDFLKAPKKYKKLGARIPRGALLTGPPGTGKTLLAKACAGEAGVPFFYVSGSE FVEMYVGLGAARVRELFKQAKSKAPSIVFIDEIDAVGKKRNSKGSKNEERDNTLNQLLVE MDGFGTDSTVVVLAATNMRDSLDPALTRPGRFDRSIEITLPDINGRKEIFLVHLKPIKLD PSKTIEEYAKRLATLTPGFSGAEIANLCNEAAILAARQNSTYVTSYHFEQASERVMAGLE KKKFMSEEERKVVAFHESGHAVVSWFLAGGDPLLKLTIIPRSKGSLGYAQYLPNESNLQT MEELQDKICCVLGGRVSEKYFFQSITTGASDDLKRAYDYANAIITKFGMNETVGQIGYQE DQYSKDFSDKTNEIIDEEMLKLIKQCTQRTEELVKKYEDKIKALAELLLEKESLDLQQII NLLGERPFPPKSNYKAYLDLKKVEQAEIKVEEAKEKDQSTEDNQSQSSDNQTKSNEQEKP LNQKE >CAK66352 pep:novel supercontig:GCA_000165425.1:CT868044:51796:52784:-1 gene:GSPATT00035481001 transcript:CAK66352 MAIPFKSIIGTLLYQLWIVMMGISLYLIYRALTQDYWLIPILLGFIGFQSKVQKSDTFVR LFKSLEIMKFFNKNTIQTLEKVRDKNVIFAFHPHGIYSYSTISNTHTTGTFFENMVLLGS NMALLFPIAGLFLKLYGIQGANPENFKRLLTSGAQVGLLPGGFEEATITSPKENRIFIKQ RKGFIYYAMKYGTIIYPVFVFGENTLFNTIDWFLPLRLWLNKFKLAGTVFWSRFLTIPEP NREIHTVCGKGIVLPQVEKPSREDVEKYHQIYIQAVKDLYDQYAPLYAKGIPLKIY >CAK66353 pep:novel supercontig:GCA_000165425.1:CT868044:53604:54132:1 gene:GSPATT00035482001 transcript:CAK66353 MSVTQSVEDNLAILNKNNDSCDTPLTSQRRINWKVEMRKMVLTKLPIKKSAPRLSQSIKS KNIPKNISKVITQQILKGYYNDLIKCNLKTFLSFIKKNKNILNLPSLMRLVKPHKNPEVN CLHQCFRKICWYFIKKQYIGYVFNSKIKDPQWHLEYRNAILKIFREI >CAK66354 pep:novel supercontig:GCA_000165425.1:CT868044:55332:58836:1 gene:GSPATT00035483001 transcript:CAK66354 MSSDFFLEYEYSYNQEHNHGSRIISNLEDSNNKQFRGPSRFTCKIDPLDEGDHTVKPPTV GSQLIDNAIPQQTDVNKVPRILQLSPPDSVIEENPLFSTQKPELQKDTKDFKDLSQTNST QKDIMKNFLKAVIAKSYTNRFIENMLQKSYIKKPSHFSQFQNTLMDDLRYIYQHNRSTKH WLSKICKRLRFFPILDQSSYLVIGWQIIHILTIITVFFWTPFNISFGITYQQVVFGGVTV KDVEDYFLITIMIDALIVINTSYIEKGVIIKSRRKIFMNYLEGQAVYDLFSFGALLIAIE FEIDTSSEKLGWQLCPYCIYYCCRLFKLQGRVHKLEEFFNFTNSYQDFIELTKLLFMVLY VGHLFACLWHGVAFYQQGYRQTWIDEYVKEPDMFSVYNYAFYWAVQTMITVGYGDLTPQN NAERICANLSMFLACGVFAFSFNSIGLMLSNLNSRQVLYKKSINLLNQYLVKNQIKIELQ SRIRNYYDYIFQEEQEINDEEVSQITTKLSSSLQEELNFEIRYNVMKTNKVLTKFSQKVL KQLSLQIEEVRFSPEDQILQQGMCDDAALYIITKGTVCIQFQDDNQGSNTRALSYLAKGQ SFGEYSFFTGMNRTASAKSIGFSRAYKISRQQLLVVLAQTPLDLERFCEVRDSILLSSNY QPSKLSCYSCQKFTHLIKDCPVLHYVADQERVLKKEYFPILQERNQNYQRKLERKKFETL KECKKTMVLIRDFQTKQVLDNVTVMEDELDVSYDDNEQDISPQEYSSLTKSLSKISRQQS QNRSYSQDNHLQPIQESDSSKDSVSPNKPRVKQVKQTIQTAGFGVSESLHNRLVSIQEEQ ISETQSNLQKLEDYKFSMKNDSLGLPNPAETARTPGKKITLVRKQKKEDSYKPFSSQTQV EVRDRGQSVQNQNPVRKPKLKEIREMSQEIPLYPSTQSVDKREQLRRRTTKTNRSKTAKT LKTKVQDNHTHTQQNQELSIPLYDEQYVSMELEEFEALKNFQYYFSWNNPKVVVARAIRT LKLNMDKRKNFGNNFSLYTFNNLAMNKALRIKRRLKLIDEPLLQTTDIKKGHSSKSPRQS RISSKRNTATQDIIGFHPKKPIFGTQIQVQGHSFHKIQQNEFLLQ >CAK66355 pep:novel supercontig:GCA_000165425.1:CT868044:59053:59649:1 gene:GSPATT00035484001 transcript:CAK66355 MRCEFIQAQSQQIYQKISPLLKPTSDVYNYTKALIYLGTNKIEDDWSAAKLNFDQAINRI LQGNTDKKNYLFCEKMLLKSFNKQTQIGKDLYSLLTNCLNFCKKENRIKRSKSGQTTSKV YDHNLINNQTKIGVLVKSFKEEYQKMIETRPSLKSKMAEERVNRHIEQIKEKIKRTNKTS YFISPKKEQQEKAQSLNK >CAK66356 pep:novel supercontig:GCA_000165425.1:CT868044:59666:60343:-1 gene:GSPATT00035485001 transcript:CAK66356 MFYYSSPNNMNYHHLVLEVALKQNTTLILTSECPSHFQSCLLKQGIKMDDLIKQQKLQII DLFTQNTLWQEEELPLTETAPKLWSDPQPYQKDLSNYLLSQVKQKVVILENLSFFEIMCN ERKLKPLKIIEELLTKCDQLHIFGSKQPLSQESVSLINFIIKHSISVTNLLPNQSGFSKD IDGQMIVETRHDGGICFEKSIYSLDQNKVNYLSALKMI >CAK66357 pep:novel supercontig:GCA_000165425.1:CT868044:60556:63302:-1 gene:GSPATT00035486001 transcript:CAK66357 MLEYRQNNIQVIVRVKPESGCIQVDECDSTALSISNKQYRYDHVIPPRASQQDVFTLIGQ PLINNALQGYNGCVFAYGQTGSGKTHTLIGNNDGLLPQCLQYIFACSIEDHSVIIKGSYL EIYNEQIFDLLSSSSTSLQIREDPKKGIYVENLNAAVISNYDEAIALLRKGNSNRHIAAT KMNSESSRSHAVFLIQYSTSIQQEKCEVHLYSKMYFVDLAGSERQKTAQTEGQRLKETQA INKSLTQLGLVIYAIVEREKGNNKIHIPFRDSKLTTLLKDSLGGNSKTFMVAAVNPLHEE ESISTLKFAERVKQIKVKAHVNKEYSGEEITKLNLQIQQLKEELVKKTRELENVQPSDAL QSQLHMQFDEIQKLLQQIHQSAMQMEFETVEGLLQEYAEKKSYIVQILKSINLSFKEEET TNYQTLNENIEYQNKLKIQLLQQEDVIYKLQKKKKELEQNIVDQQQAHKTILASTQKGFE DQIKMKDYLYDQIKEDLDQMEVSKLSLQHQHDKLKEEVQQYIDKIEQMQIQLFQNEQHQS SIYEEKNQNIQKLDQDLQKQIEYNSQLRLQLEQIHVEIYQNNQQFQAETNLLNAQIEQQQ LEINRLMEWVQKLEAENMNIKSNNDFKREYDQLTQQYSNLKTQQQHLEQELKSLQTENQY NLSVIDNLEMSIKSFELFEDNLRQENQLYRNTILELQEQLDQKQEIFLNIQKSFKLDNCE NIINELNNKTNQLYRNEQKLRQEISQLKSEQQLYIQKLQIRDQTISDLQNELQDLQKTHR TSEVQKQIEQNEKSQSQRQLEQKIASLKLEYDKINKSKNALLVEYTKLENNQKEFETFLL ILEKKLQDYVSNTFRKNRVMSQEQQILYLKTTQIKQSKFVIIEALVDIVILDTIDRQIRT FSQIRIN >CAK66358 pep:novel supercontig:GCA_000165425.1:CT868044:63545:63806:1 gene:GSPATT00035487001 transcript:CAK66358 MQIFIKTLAGKKVSYNIESDNTIQQLKMQLQEKEGISSEQFKLIFKGRHLQEECKISDAQ MQAGDTVHMVIQLRGGF >CAK66359 pep:novel supercontig:GCA_000165425.1:CT868044:65626:66552:1 gene:GSPATT00035488001 transcript:CAK66359 MIQGAQTDFIHILKKYKKSKRYSQLQELHIQCIVDELKGEIKEENFNKFFDILQSSLSTI GSFKFLVLCHKLIYQLQQEFASRFIQNKLIPGDDTDKSRLAIYYYNFLFKLCENFDYYKE VIEFIETDNIVKFLKYELIVQIQILYPLAQMLQELSQVVKLLDYLLCRESPLLLQLGTCI LKDFCVIYNFLSTAMQELQIFILKVPVKEAKVLYQVYQITIQMTKCMKRLQQLKLYSNFK QPHYFIITIELNKKIELHMLNSIKLSNIEISI >CAK66360 pep:novel supercontig:GCA_000165425.1:CT868044:66572:66913:-1 gene:GSPATT00035489001 transcript:CAK66360 MEYCDSCRAVLNERLLLEEQLARLQNELDIVATQLKLLREENELLKSNIKVPNKQRRKNN RTKAELDQCQKEIQKLQVIIQHKDLIIQSQVRCDIISESSIIDMDSVKKKVNL >CAK66361 pep:novel supercontig:GCA_000165425.1:CT868044:66954:67313:-1 gene:GSPATT00035490001 transcript:CAK66361 MRLRLVCKQLFSTSKKAPPKPSSVMGAQLSQKQMDELMNPLGFLKENEKGEVQEQIEKAK ELKELIAKYKISQNQQSGSQGKPYELDKNGMPPEVGFKVKGPEPTRYGDWIGRGRVTDF >CAK66362 pep:novel supercontig:GCA_000165425.1:CT868044:67509:68364:1 gene:GSPATT00035491001 transcript:CAK66362 MQLRSGKLNQPPKRQYISPYQIFYKEQLKILLSQGVGINMVGAQIQKAWSEMSSEMHEYY EDQFEQCEDKYQEQLIYFYGGDQKQINQLNELKNIPSKPKRPLTPQFEYVIKNRHKFSSK NYNWKQSFSLLVDEYCKQSQRVREQLEVDFERKMKDYKESIEKWNEKYAEKYKTLKKNTI EIYKKQKNDDEFEYQELQFVRTVRKLSSNKKDEQTQNYSGCKDDKSNHIRNEDFGLREVD TNIEQLAEESQLVGSKKSKKAR >CAK66363 pep:novel supercontig:GCA_000165425.1:CT868044:68440:68795:-1 gene:GSPATT00035492001 transcript:CAK66363 MAQNDSEATKQSNELLPGSKKILKKQGHWNEIEHNTYLRFLKENNNHSKGQRLFKKMSQI VGTRTPSQCRSHHQKFNPQKTKCLSETGIMRSKQYARQYFAQNKTLDNDSD >CAK66364 pep:novel supercontig:GCA_000165425.1:CT868044:68899:69165:1 gene:GSPATT00035493001 transcript:CAK66364 MGVTCSQPKKSNLTITISSENEDPNFEIKKLLSEERITKLRKTFPSPTKTYESYFLSQIN NDKTININRCCDEEKFHKSSKLGVPLIC >CAK66365 pep:novel supercontig:GCA_000165425.1:CT868044:69389:70445:-1 gene:GSPATT00035494001 transcript:CAK66365 MNNNALAHIRKLQTGENRYCFECQTGSPTWASLPYGIYLCYNCSGFHRGMGVHLTFVRSI EMDSWTDKQLAMMQLGGNQELRIFFQSHGIQITDSNKWKTNAAHYYREKMRALVNETPIP EEPIDWTAIQEIPKPQIQQQQQTQIQQDISPEQNVLHRCLQQFWEQASKSTKEAFAMIDE KISKVQIKEEAVQLGQQISAKTKQFGEKSSKVADKAYQSLKSGFNEAFGFIKSKADQVIG KEKQEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYQPQLQWPQQQQQYQQQQYQEQQQ QQYQQQQQQIINNSFISFRQMVKIKNKQQ >CAK66366 pep:novel supercontig:GCA_000165425.1:CT868044:71230:72340:1 gene:GSPATT00035495001 transcript:CAK66366 MINIEECPTLRPTQQEFENFYEYIEKIDKQYSANFGMVKVIPPKNFRVRMQDYNKTLDNL IINGPIEQNVYGKGGNYECLHILKKSMPLKDYRNKQIEIDKQQEKLTSDQFERLFWKSLA FSPPLYGADIKLSLMDVNNPWNLNNVTSLLNYGLKNKIPGVNEPYIYVGSWKTFFAWHKE DLDLCSINYLHVGKDKFWYSIPESDSHLLEKYAKQTYGDHFNKCSEFLRHKTTVINPYLL KEKVPGIRISKTSHHEGEYIFIFAGAYHQGFNCGFNIAEAVNLATLNWLPLLLKAKACKC VKDNVKIDMTSFAENLQRSTLYKENEKVLDFVEKTKNISKILHKPIKKVKM >CAK66367 pep:novel supercontig:GCA_000165425.1:CT868044:72491:73753:-1 gene:GSPATT00035496001 transcript:CAK66367 MAKRSMVGKALKKGYQYFLTQMAARKNRISHLKLCKSQTIYLQNRGQQYYDKQGKKNGKW VQASDHFKESAQISYCGEYRGEKKCGIWDFNYQIYSNRPSKLIGCGVYDGQGCKNGKWVE PCEQFSEDCWIISEGQYRNGIKVGKWEISCQKVEQTDTEPEKMQKISIIEQLSGYGFYDD QGLKVGVWLELDDSFCRFCLFLSMRTFREVIYQGEYRKNHKIGKWEIKYKREAFSQILIS AGGSYDERGQKIGLWKELTERFWNQNELILQGQYDRGRKIGQWEILFRFSQRYSFDNMQY VIQNFSGGGSYNQANLKNGFWIEQDDDFSQINTILHQGQYQDGVKVGQWHQEKLKDSSLN IAPYHVNR >CAK66368 pep:novel supercontig:GCA_000165425.1:CT868044:73839:74453:-1 gene:GSPATT00035497001 transcript:CAK66368 MDAQDIQLQDQLFTQQNNVIDFECFDKMEKFPIKEKFKIIKTNNNDLLIVKENGTIIKRL TVSDIKTSEMFFYQQDDIPANFILRQIKFFQWVGNYEEKKKVGIWSAKWNNQALLDVGGQ YCNRGKKQGQWKEIFKNYSEKTQIYEEGLYKDDLRIAIWNIIYENSQIQSTLVVEGVTMS KARRLVYGKS >CAK66369 pep:novel supercontig:GCA_000165425.1:CT868044:75207:80376:-1 gene:GSPATT00035498001 transcript:CAK66369 MPPKKEEIDLNALPPLKHLCVSIRIEAAKSRATKLLNLLKTCKSFQKNIQRDEILAFCKE KQLYVDPATLTDKQKKVNQFNIQDPKFMAEVATELTSEVMNKAFQLFIQDQVLAVRKAKY LAAQAQAAEKAAPPKKDDKKDTKKGAKEPPAVAPIVEPEKVVPEKEYPKNFDLVFIVQGF PQVPQEQIELIIHVKERVINWGGVDINQIEQPEEFQDDFEKRMEDFVPPPPIKRQYSEES LNYAASERSVIDEFKSARASSLPSDPLRQLTIKELILDMDLDAEEETIKQFYETIFEEIC QFSIDQQQYAEWIANKKPIPLINKEAPKDPFDLEVERIKHEAQLAEEQQKAAEEAILAEK NKKGAKKEPKKNEAELEAERQAEAEKQRLNELKKQQIQDAIDQQLKMKTKLHLQYYKRQL SDVQLQKVGPGTLLECLLDQLQAESTYLTNSQIPPSLEDQDDSDQVSRILAGLKGQGNES VKNRKQEQVTESPKQLILDECDWIEMRAYFASLHDQQLVVDREHKIFDNLCYPGIDRQGM PEIPEKSEKMRKAIKAKLHPFVNVDVNEFERRQLLLQFEELLKENEPEKEWHFGDRIYME RHNRNTLRQKFYEALLNDPQVIMKYLPDDDALMICCYYKNPPGRTLRKKWSAEWRVLPNL EYYIQVRNFNSDYFYDIDYQKIGAITERCKVMYPTDNSLILATKFTVGEEERLRYRVIKE NIVFGIQCGFYAQFKESRMSVQDQIMNLTFKNGLCLRFTQMGEVVQQYHYQKAQESSQHQ FNLYDNTDINSEQEIKRVITGQGSVIIYKKDGSIIILYSNGNVSHYKNNMWITTNNKGYR QSPKGVDKIPCAIRTDPESGSKVYIRDDQTLIIYYKEGYQYTQHQDGTIMLIKGDKIIVE HPNFASVVVTIDKAKQRSGTIMRKNNVTVGFDNIIDRSFDGRIVETFYQGCKVISYLEKC EIPDSKQYKTNRIHLLYTQDGGVSKCVADGEVVIITAEERVKLNNKGEKRQLGQDIDYWV QLFSLPDERKSGVYTVDLERKCIFTRDEEANYFEIDEDGHVVTKLSTAAAQNQEPYTPDQ IPDGIFVDEENKVLPTPKQWIPPRLFLVRNDNTGIELYNQQQLQDYFRLKSENAFTTKIT EDMPNNVKSISFITELKQQNKKFIDVKIPQTLDIVPKIIQKTTIIAHRVFTFRNFLEYPP FEKEERIHHELSLQKFEIWQKNMLKTQNEFGILQKSEEEKEIEFTIQMKILESRRTDYST ESYDQAKSKIQIPEQQITSVEPLEQTLLKSARVQQTVQQAFVDLSRKAQTVQIQKQSKPF TEVKGFAIHYFETSEGLDYLKQAPEDPPIKKQRQKVVQINEEPQQLQLIEQFDQQPEGIV PLEQPPQPQYNDILTKVIIKPSVFTQAEIDDEIRQKRLNDESLKYRMQKRKDIDVYGKER KDKKLVPCLLKTNPKLELNQKYILTDATTDNRVKISSMATRVYQQAAPVNQIRNEGMHQT IIRTLDKKNNLDELIDKKNLMVTGDINDRLKKDLLIYPVSVQFGELRQNGRYEYKINVKN EDIMTQRIVLKQPQNSNIKVLMKIMGPISLGLVREVFIQVSADKVGQFSDEFQILSKHSI YTIPFNGNVLEQNIFDKLNTEQIKLSSKPLLKKNVKDLLQQFKQQDIIGDSQDSDLLPKI NYDKNYKIDPFQNQKKEDQSYDDEN >CAK66370 pep:novel supercontig:GCA_000165425.1:CT868044:80400:81313:-1 gene:GSPATT00035499001 transcript:CAK66370 MAVVEAAIQTEGVGRFEYQDGTVYEGQWKLIEGKKVKHGKGRIVIASSLSTDNDLKEEYN GEFENDQMCGEGVYIYKSGATYRGQFRDNRHNGIGFYQFPEGCTYEGEWVNHKMHGEGVF IDKEGNRWEGEFVEGIYQSKMQKQLKMEKMLNKKEAEVRENASHFFTRFVDAYAHSDKKT MKDNMTPFFATTEDIKLYVKEPYPQFADRPPEKWEAGIKFLSAGSLNIPRSNTAAKILDP QNILAAQFSGVGQVAEVQVQENTRIVRLAICNTAEDKWVIVFYHDNEEQEIKKKK >CAK66371 pep:novel supercontig:GCA_000165425.1:CT868044:81415:81891:1 gene:GSPATT00035500001 transcript:CAK66371 MSTSPLSVSEQEEYMVEAITNKRFKNGRAEYEIKWQGYSDNEKTWEPIENLQTVMTYVLE FEQSLKASGEGSYDEGDSADEIIQIRKDNDGQNLLFQVSWKQKNRRAPAVSWINQSSLKM HNPEILIDYLLKKIKWPNSK >CAK66372 pep:novel supercontig:GCA_000165425.1:CT868044:81990:82415:-1 gene:GSPATT00035501001 transcript:CAK66372 MTQLQKMDSRLVSEFENDIKGSQSAIRNAQCHQIFQGRGKKYMKIKQDTKQQLYCMVQKQ GMKIKDAALKLGIKYATAKTIIFHQRQKRKAKRKCGERMCGYTRKYGQRSSRLEIISIIG SEVVHKQDYNL >CAK66373 pep:novel supercontig:GCA_000165425.1:CT868044:83135:83595:1 gene:GSPATT00035502001 transcript:CAK66373 MKMKQFLECEYALSNRIKCATCHVVIFKNELKIGHVYLKKDEGQQFDKKVWYHLHCIKKW PTGEKGQELPLFRLQTIKLEDQLKIKDLYRSLQDKPKNKKEIKVLSKQEQYEKYVTVKNL NDPGQIDEDDDCIML >CAK66374 pep:novel supercontig:GCA_000165425.1:CT868044:84053:85758:-1 gene:GSPATT00035503001 transcript:CAK66374 MIKALFAAEFLVKGCVITASYPQTGDSGFHELASYMIPDGVHKIKQDKFFFRIGNVRFVS GQVQTYHFDYQWKPLLQSSNQTLSYSDDNVLKIRGEKESEWLDINLSNAQIHVISHDFVS ITLNPDLIYGIKGKFTQDYYLACLRNKKDATIKRGSLIRSIAVCSSDLLFLGICDQKIQK CLEQYFDQVGLDHWVNDADSNPQAIEKILQKGFNDLSGDLPYYKFSHSLLDYSSKELGNL YPKRADGLTINASLLELFEKFNMKVRILYRALLQQKRIIFLCHECSNQEKQIGDNMYSNV CNLVLSLCFLVSPLNIIQNIQGYKSLLDKGWEQQNSWISIVSNPIFEYRREWWDILCDVN AGKIIESKTEQSLFINNENDIDFFQNLINLIQVSHINELQVRSILQKYTSSIIGNLVNNY INMQPQTLQFKGYRRMKIKELFNEQQYAQLLNILAMMKLQKSMEDIELLQMYETLLDCLK TEHQFKEFFKLFTESNYDLNTLGIGTMCSDIVVQEKCRDFLKLLEKWDKQLMKKINLFFV I >CAK66375 pep:novel supercontig:GCA_000165425.1:CT868044:85805:86581:-1 gene:GSPATT00035504001 transcript:CAK66375 MSKDSLPMFKFIVVGDQCNRICQTQLLARVVLLNNIRMANFLEGMNRATIGVEFIKKLVI VDKRRVELQIWDTAGQEQFRSMIKSFYRGAAAVFVLYSINQRDSFEKLQEWLSELHESAH EEIVKILVGNKSDMERCVQKQEAEKFMNANNFSLFFETSAKTGENVEKAFVEAVKLVIMR MFTSDSFKNSIKTTKKTPASSKQNTSRSDQPISEVSQLPSKPIQLQSNHTQDNHHAKKQC C >CAK66376 pep:novel supercontig:GCA_000165425.1:CT868044:86837:87809:-1 gene:GSPATT00035505001 transcript:CAK66376 MDTQEQDQDYEFELEYYFEQEQTEAHVQFAPNFQQELFHNQIDLNDFLINHSISQQRRAL MVKWIIYVFDTIYENQNNDVLISRAIHLMDIFLKRTQVSYSDKDVHLIGATCIYMASKIK NDCPLDMETIQVTICHEKFTIDEIKEFYFIILKTLDYNTEFPTCYDYLQNLSYAMYGSSQ NYQTLQVQETALKILKLSFRSYQLMQQNWLILVTTVLGIAIIDFEQVNGYYRDLQGQNNF LNDQIIRLIETANINVAEFKNCYEILSQFNCRCSEIHCSRLF >CAK66377 pep:novel supercontig:GCA_000165425.1:CT868044:87970:88575:1 gene:GSPATT00035506001 transcript:CAK66377 MNQILPNMNSKANALLQLILLTTAGLLLCILLFSTYREQEIPYNGEVLKCKLKYDAKLKC QLNNRTLKNAKITQDDCQQEYICNSILATYPITIIDGVLILVTIVMSLMKHSNLPRNITT FNVVSLISILLSASTLFIMSIVLMTGAKNENLSVWILVIQLLISLLLFASLIFIKKEEEF SNFIKKQEEMHGTEINQYVIN >CAK66378 pep:novel supercontig:GCA_000165425.1:CT868044:88618:92077:-1 gene:GSPATT00035507001 transcript:CAK66378 MEEQRFQISYPQMQSMFDTANLSSGQSAEILHQLGDVESIMVKLETDSKNGIAEVEGRQE QFGSNRLEPPKLSPFYKCMYKQTKDFCIRILAFATVIMFMMAFFSEEPFEQIVQAFSILI AICAVVIIGAMTDYRKEKQFRQLYLEQEEQQKKLFQVVRNGNVNQMNHLDLLVGDIITIK PGDNITVDGLLLEGTETVEVDESMISGLTDTISKQPISKGLNCFIRGGSNIFEGTAKVVV LAVGSSTYSNRMKHDNQQKEEGEEELIHDERSPLAKALETLAKFLVFIGCMSALAMFIVL ELYMVRELNELQEKIFSQFALKKVLSDFIDAFLIIVLSIPEGLPLIVTLSLAFSIAKLRQ HDIVIRNLHVCQVLGGVDTLCFDKTGTLTYNNLKVSNLHVANEERVNNIPPHDPLFRILS EAILSTNSAFLPDEIAKQLRFNEQLDLNSNTNKKTQEHAKMLQSVNDASKKSDWSGNRLD VALIDYLEVKLRREFVVEYLQDLKNQIRKTIPLNNYGFHAEILQVRGDKYRIVLKGKAKD IIEKCNQLNISIPDNQNFQIYEPGNFTIQYCAYSNMTTECAELEKNAVLNYNSQSLKTIS FAYKDVQIPNLIEQDWQQLLTQNNFTYIGTIAMRDDIRQEAKRLDSALKMGNMSVMILTG DTRVNTVNVANCDQADAEIQRTSEHLPKSSQLVNQQEIVIDPSTGEEQKSAQIISSIIEC PKDEVQPLLSNDYESQYMKQHSFSNLLILNFQEYVIRIRKILKESNIYYTHQEVNERNIV SKIPEIQNDTLACDKVSKLNNQLQILADLNQHQKHQFLEVYSNINQSTIGYIGDGNNDAI ALQTASVGITLGKTATNIARECSGIILMNDKLDGIELCMKYGRNIFLNIKRFIYFQLSFF LNSIAIMLTSSIVLKQQPYTVLEVIWLSLVQDIFSAVALSTEVPQDDILKRTKPAKRGEN IIDKFLLQMTCTQAAFQYLATLIVLFLTPSIFGIEPSYKHDLHALGAEFIPEFAIHYTLV YHLTAMFQIVNLVCSRRIGQHEYNVFDGIHKNKPFTIMIVGLLIIQQVIIYAGGKYFKTA QLTIWQNMFCLCLSFGALLVFWLSKYLYSIRK >CAK66379 pep:novel supercontig:GCA_000165425.1:CT868044:92286:92477:1 gene:GSPATT00035508001 transcript:CAK66379 MQLRKVRSHENSYTNLDQQRKIDSAQFKTQPCTQQHPSAHKKFCPYYHDESDRRRDPHQF KYK >CAK66380 pep:novel supercontig:GCA_000165425.1:CT868044:92489:93756:1 gene:GSPATT00035509001 transcript:CAK66380 MIRRCQMCPQFEQCPNGDLCAFSHNKVEQVYHPNRYKSKYCVQNKDCEYGMYCSFAHNDH ELRVPQKLEQLVQDKKFWMFHYKTIWCPYIVGHDRATCVYAHNAQDFRRDPQLLQPKECA NWNKTDQISRYDQGGCPDQENCPNCHGWKEYEYHPLIYKTKPCAQPNCIKKECPFFHNDQ ERRIPKQQNEKQWIIEEPNPQNQLRIPYKSNSNYQGPIIPSYIPQDLNREKMEVGQPLEL FSSTTTSSNPISRRGSDFSDRQKKKWNTQRKHHRTAPTTPDQKQQQQTKMPLIKYSRRLQ DELWKISGSDFILHTLEGMKITEMSLMHMNELNLLSLNISDQQKQYLIQALFNIKQEKNY DEQHDDELLKESAGQF >CAK66381 pep:novel supercontig:GCA_000165425.1:CT868044:93944:97660:1 gene:GSPATT00035510001 transcript:CAK66381 MLFEKLKIYNTPAKLLEKLREVRKIQETEQKPELNQVSKVQKTEPSAERYLVRQLSFQHT WNTLTHKSPNLKSPTVKSQVDIFKIPVASPKQCSYACLTDISTYKKSQARTNLDDDSLEL KDIYKNFENETLQELYNADQKLIEQLNDEAQVLSERLAFKEEKSPIRPFQRKHHQPHQTQ KPQRRGGTVIAASKLEDLKKQSPFLYNEQKDAPFDDLSDRGKFLKHYRLGNKNLQKINQK EFRSVTKNYEKLEEYAEFYKQQPNIYLQLNKSSQKHNIFQTGLGLINSNYTIQTAESSGM LRNEQKIKVFTEALKSPQCKTLTELKLSHNQLTSPRIKTLVSNFPSQVQDLDLNNNGIDS KGCETLAKYLQKSRVKKLSLENNKIGDQGANHLFLALQENDYLTLLNLSRNNLTEACTIE LSNYLKRSNMLFELYLHFNTINSKGGLNIWKAFYKNSSVKVFDISYNRTSSFECAQQMAK VIAKPYPELMHIDVSHNGFDEPSGNEIMKALNVNQNIYGFHFEGNCPKFMVDATGHLRNQ EVEEQVRQKKIEECRRNPQTSLRLLDEDVKQYPKAVPDKKQEKIQQVHRFRRIWGMKPVK QNQQFEKNRDSCWICEGWEEVKFTWSPGKSGGMNNDPIFLHVNFDGYRPVLMSPHQGEYH LYRMCPPNQKILYFFSNPILGIQTTAKNQMIMPTPATDPIAEGTQEFLYNGDILIEGNKM SFVNEVFTEGKHSVVEKYVPKIFSKPREEERTYDLTQYMNKKQAFWSYEISIFKNYQPDN EELIDECFEYDFQSSKINRIVRDPNELMEVKELLREYYPHIFASYKFYASTLIGSSIPCI SSNAFFDFIGQTTVMTDKFRPGDIDLNFISTSNVKDILYPNVYEKALIRYQFMEVLVRIA LDKYTRTGICKSMKLSVLKLFEDDLVKIRLQEIDKAQDWRDLRLWNEQCDILIKDRLPML KLLFKFTSKLNSKLKFYKQTWVQFKDFRDLLLRCDLYCDTFVERDAYIAYLLSMQTQIDE LYSLRHFQMEFYEFMEALCRCAEKLSLIRTKEIMSVDERRSEPLHKKIDALFLIIYLRAG DAIKQQLKESEDFSDFDRCMTKKQKNLKQQIEEMGSDDEEKPYNPLVELKYLEDQLKQTS VQAVQSVPKLKKALSLFTVLRQMQQQKQLKSNFLLTNVVQYFKQRDEEYLQNQIDQN >CAK66382 pep:novel supercontig:GCA_000165425.1:CT868044:98453:99167:-1 gene:GSPATT00035511001 transcript:CAK66382 MNNLDYKQFISPQYRSLSLFAKKKIKIKGRSISQEPRNQLNIFNMKGLIFQKDSLRRKSC HCNECGSLSKFQYKYMNLKHVTSMKRKIEISLRVEKKRRQTKNSTFSKYRTIIFDQVKKC RVEQLQTESVEATPKESTCLKESMHKLKQYFEKQETQKKEIQNKARNFRYLGNKTPISSP NHYKSPRDKEFLPYLSYRRIELTNLKPIKFSDALKSFQTHKKTLSTQS >CAK66383 pep:novel supercontig:GCA_000165425.1:CT868044:99387:100701:-1 gene:GSPATT00035512001 transcript:CAK66383 MDLLSFSCVRPHTIFSKRYYLKVIDDEVIISAFSNFKNPKYRLELKLSTQILWKMGKSHL KAFGIFYQNKIKYFEASPASLEQFKKLIGGRVIYRNMASFYEPTQFIGEGLSAKVYRSIE KQTQKAVAVKMIKQEFGKEEQALNIVKTEVQILQSLNHPNIIKVLEVYENDQTFWIVQEF VQGTPLSDILKQKLPTEQIKRIMRSLLDTISYLQSLRIVHRDIKPENIIVEKNGQIKVID FGFAANLKFGSVSSVCGTPGYYAPEVLRQKESSFNSDMFSVGVVLFNLMTNQPMLKSKMY NAQQYVEDEEAADLLKQMLEVDPTLRITAQQALQHPFFKGFESLNEKQQSSEHQILQQQL LINQQQQYQPLKKSNQSLDAKTIKSLRTTNIMDQ >CAK66384 pep:novel supercontig:GCA_000165425.1:CT868044:102330:102810:1 gene:GSPATT00035513001 transcript:CAK66384 MSIFESIHEENLSIKVQSEILFSPEKKDLRPRKNLFPGMFQEQKRTYIKVSKESKEQLRE LVFKEGFKIKDAAKKLFIKYATAKTIVFHWRKKCQKQKKQESKFSRYIQLQGLISIKLKI ISIIQQKLMSSVEYIVQHPSCGQCYKRLED >CAK66385 pep:novel supercontig:GCA_000165425.1:CT868044:103352:104787:1 gene:GSPATT00035514001 transcript:CAK66385 MNLYIEQAAEEIEQAIFDSDQLLALTKATIQKFTNYLKRKQQEKIERRFHLNSTFDDSNN IKQRDKQQLNQLKILEFFNKAHKEIDRQLRELKEMIPSNPQTFIIEDINDQEQIKKLTDS QLVSKTNPLDQNFSQIFKHAQNGGQNNYNQTKLGQHNQMTNQLNNQQQQQILLISQSQQQ GNQKENNQFKIQSAPQLEIQQENLKSKVKPNYENKDQIINFGRLQKFSYQGLSYPKKNNG NCVFCGLEANDSNLGPLLQIPSNRNPNEKFDLHEMCGLWSQNLVFFDKQGQCDPNNLDEE VTKSKQTKCFLCSRPGATVCCAVCPVAFHFTCLMNSSQTGKLVESQFKFFCETHKKKASK YELASSEEKIKTKRPKKTHYKLNQSKALIKTSPKRSPRRSPKKFKSPSQKQIRISKCIIP TEDKDVHQNNHSDLMSHAHKQYEPTQQQVQSLIQESDMKLEQ >CAK66386 pep:novel supercontig:GCA_000165425.1:CT868044:104949:106118:1 gene:GSPATT00035515001 transcript:CAK66386 MFSNLNSGNMLGKSNQQNSLQFGPPPSLQTNHSNIFGTMQSQPISQMPLLFGQQQQIAPQ NQSTFGIKQLQQQGQSNIAPILFGLSQNVTPNYYNQQQQNTFGQNPIQIGNSFQLVQEPL INPYQQQQNSMLFQLNDVNVFRDKFSMLIQKLTDIEKQKGSFKQIYQQQQWQQMLQPLGQ TIFSIDTTNVLETSDQLLAGQKELSRKLDNYIATLGLVQKISEDGLDILKKEEAQLANYQ KVQQSMKDFQEQLQGLNTGKHHFTTPQQYQEQIIREQAEHLDLLQNAVDKAIQSYEKEPN MNQDELLQCKYLHLQALTRYLYMLSVKIRNINERCIQTTAFEAKVLSQFQSEKKFDEFST IETQKEILDRILREEI >CAK66387 pep:novel supercontig:GCA_000165425.1:CT868044:106146:107558:1 gene:GSPATT00035516001 transcript:CAK66387 MKVFTVARLKPGETKITIEKNQLRILDKSYEFDVILDEQTQQDELYVGLNIGQLLEQFKN GISQVVFCYGQTGSGKTYTVNQLIPMILPQILESKFEISFSVLQIYNEKILDMLYKQQLK LRYKNNEFVPENLTKFICHSQEELVKLYNKANKNRIINSHQLNDFSSRSHCIYTFHGQTT DLQCEFNIVDLAGSERLNQTKVQQIQESVEINKSLFYLRQVIQNLADKVQQIPYRQSKLT SLLKKSLNGKSNVVMVICLNPSDDNLLQCQQSAQYGVKARQIILQPEQQQDDQQRQIREL KQQIVNLEQQLKIAQNTIKSLKQNQQNQLVQQQQNSIQMEQINQINNLLGQNKKLRDQMQ HINEQNFALSQLNHQMQNENQDLRDKINIFEEIISEDLKKNKDPFRKDWVELFQGEGSNY LEIIINKLQYYKQLQVKYNNEKILLNPIHQTVKNSRNQRSFSIGKREFKF >CAK66388 pep:novel supercontig:GCA_000165425.1:CT868044:107598:108242:-1 gene:GSPATT00035517001 transcript:CAK66388 MSNIEEFIDLISYAPIDVRRAFSKVRELANLEKKYEETLESTQKLVFQLKSVESTAEKEK FILQLKEQIQRNHLSLLALHRERIAALEIIQQNYQKYIATLDIEGEKFLEELKQLPREQE DISRKSQRDVKVEPPVMVQKSDKIKKTKRPLQDEQAEDAQQHLYCVCQQPSYGTMVACDS KNCQLEWFHLVCVGLSDVPDEKTKWFCPNCRQTR >CAK66389 pep:novel supercontig:GCA_000165425.1:CT868044:108287:109288:1 gene:GSPATT00035518001 transcript:CAK66389 MINQFLRNIKLLQNVKQLVLGNPTADMDSCIGSILLAYHMTQFHTPTAPIINYNRESFRS HFETAELFDADDLIFINEVDLNKYDLILYDHNDIKYTNNQIGCIDHHEDKGQQFSQFKKI EKVGSAVTLVAEYMQLEQNYKCKQEIAEIAQLIMKTILIDTFNFQQNQYQIRWVDKDKQI FDLCNSFCPQFDAKNEYQHLTDLKYDVKLNLQLSLTQQLLKDYKKFYTVGYSVIFIKLQD LMQKYNENQLINEFNEFMAKEECKTLIVFFVHLENNIIQRSMIIYGENQQKIIQQFTGLK FEQLQSPIANSLLLKDIDNIYSRKIIEPLVSKL >CAK66390 pep:novel supercontig:GCA_000165425.1:CT868044:110020:110781:-1 gene:GSPATT00035519001 transcript:CAK66390 MKNQSPSSSQIIQALALMDTYNLVLNERKRTRRNTNFQINKTKAIEQSVKIRRKSCHCQL CGDLSSFQFDTMNIPYRKQKKSAEKVEPQIQETPSQTTQRRSIFYRLQSLKSLENNQARL SLEIHGFSKNYQRQNTVQQFIAESQERSRKGSINRSGFVNTSTSENHSVKSLNIKNFNDS SPTSTKSPLIEMTTSSRTLKSSKKLLMMNTYFSQSARSLKTTQFSQIHKSRALILPKLIQ NEKLN >CAK66391 pep:novel supercontig:GCA_000165425.1:CT868044:110828:111675:-1 gene:GSPATT00035520001 transcript:CAK66391 MREFNPHKRRTGSIQSNEPDLLFHVNLKSRRKSCTCTQCGKESEYQIRFHDVQILQWAST SNNNESDNSTQQEIKRLEHLESRLKTCQEDFEKFHLTSKKRRKSCHCSECGNLTQFEVRN QNIPLFKPISIKNCKNSRKVHQLISNINMQTKSQFFRNFKQQNHGLMYQLSNQTSKTTTR LLKQSKLKLPNFESRLSLTPKLANSPLSEQSSPQQPKMNSFDYTLNAVYLNPYLGSSKKF LSPPRNFAPKLPSITQKIKDEQMYQRKSLMRKRD >CAK66392 pep:novel supercontig:GCA_000165425.1:CT868044:111773:112633:-1 gene:GSPATT00035521001 transcript:CAK66392 MIRDTPSYSLDSGVQIKFQQKLICKPMFYIKSLVKKRKTRPKSQEATDSDQQNMSLVHGP SRRKSCYCNECGSMGKKQLQFMDLKLSKQPKALNKRAQCNIDRRCTLNIQSISTMNSIFK DRSVFYRRNTQKNSEKVENQKSPTCDLGDSKSPGWFIRQMVMRKSIINVQKLMENSHSKH SYQLSHKKILGEDLLNPKSQQTIHVPNSIRTIYQKSYIDSPGARIKTVPGFDCENNLTSP RNIPKLSPYLSFRQINFNLLVPLKLKSNSSARKTNKKIFSHSKAQN >CAK66393 pep:novel supercontig:GCA_000165425.1:CT868044:112799:113888:-1 gene:GSPATT00035522001 transcript:CAK66393 MQSRDTQYCYYSNFNLFENTSQLIKKEKFIKALLQTLGEEWRIYAGYSISIELDGSINHL AWQFCFEHLLFTWLENEDCQYQEFYEKAILRIQPYKIENAYFRAVFDGFFYSAQQLWSKM KLSRPPSQESFKQVEQIKQEDVRPISNILKPLVLVSEKIINYFKKDEQMRTQEQNQQIQY NQPTWKQISKLTEESLPIDQIENAYLYVMQFMENSYKNCQVAKSFIVNIIELNQDVLGRF YVQNQSVKVRIMQPSSLMYNNLLSIWILANAGVQPQYFDLIGRAKTMLGVNWKDNYQYPL EVFFQLITKLYGNILLNPQIKSDDQLNQLLKSLKVQLAILWDQDIVQQTVQNSQ >CAK66394 pep:novel supercontig:GCA_000165425.1:CT868044:113928:114146:1 gene:GSPATT00035523001 transcript:CAK66394 MDQTNHEMLELKMVFLTCMKNSNYQEAHLIIQKFESNYHEQVLKIDPYNPQFGKYNRFQT EFKTILEKKKKN >CAK66395 pep:novel supercontig:GCA_000165425.1:CT868044:114390:115517:1 gene:GSPATT00035524001 transcript:CAK66395 MGKSISWIGAVVASAFCWASADITFDVILDSKESDLPQKKQLLPQTTTHLTHSQTMLLCA LTTYIIIFVYFIYIESIGPLYSELVGKYSLFASVQSLPFLIVSGTFSYYHYHFIIKAFEN SSSTVILPFLQFSSIFAFLFLILQNYISNRPFLSSYLHLLAYFLILLGGLAPATDGHLIR IFQIKFWSQPFVKFAIISDMSHALYTNIISYVGRGDNDSQQFNIYVNMEFFILTRVMFVI IQTVKLYSNPEMQIEFIQLFNKPIPLILGTMIGEFMTLFGYFSSVYAYQTYYQAAVISAS ESSLNLIMNLFLAYFVKKHLNIGKQASLYHLQLKVVSCLAISIGLAIATI >CAK66396 pep:novel supercontig:GCA_000165425.1:CT868044:115674:116151:-1 gene:GSPATT00035525001 transcript:CAK66396 MPIHQEVNKEISMNEVNLQNPLRDKAKLQKAIYKIGISMKRKYLQNRDQIEQINREITLY QKIISSLLKKKENQISNMDYSQNKQSYSNKNSTIFYQKQYTDECQKEQQRQLQKLLIQQD NNLRNKREEIEKLQIKLNSFVSSLLISQIIS >CAK66397 pep:novel supercontig:GCA_000165425.1:CT868044:116584:117402:1 gene:GSPATT00035526001 transcript:CAK66397 MISQDSINNNAEFQFPSELKIKEENRQDANQKGGDEKLQLLQPHTLSSLPSVEQIDQTQA PQLLQIFSQMSIDDNWNQKGKFTKQMSIELTSPSPLKKQKQKSEQQLFIGMKQVEKQEEL SHIQTRRKVIKTNYNVDDSPTEEDDFRPCHCAKTHCLQLYCSCFHNRRPCTNECKCNDCY NDGKHEDEVLKAVEQIKLKEQRASHHDLDSFDTKQVWGCKCKKTKCVKGYCECFIRGKKC TSHCQCTELKTIE >CAK66398 pep:novel supercontig:GCA_000165425.1:CT868044:117434:121005:-1 gene:GSPATT00035527001 transcript:CAK66398 MDEVLSQISNQVYEFDTLGLVQQYIFELSEHFKENHNAQVDIQQFVMFFINKTDEQGIEG LILISKLIELYQEILSQQNEKILKLRHITDYLISTQEICSEYFNAKFLPSQNNKNQLTRR NLTDIEISPPEILGLNPSMLRRLQTQLKIEIIHINNQIINGIKGDNDLFLILQFCESVCM LHEFQFKKMLQPRNMIDGNFVVYGYYSTRQQRLGVVLRNNTLIFWDKRDNYTYDYQIETQ LELSHIAYIEMQDQWITSTSKSLQIWNLEQGKHQNLQNQVFSGGIIQLLEVIHLQMLIVA SNPNIISCWDMFEQKFLFKFQVSHQILYQVVCSRQFQLIFTIGFNNYISCYELHAQYNDY NLKCQLVGHSSTVQCIEHIEQTALLISIDTKNVVILWDIRSQQQTQTVNLQTRILTRQLM FYSNKLCLVANMLQTLSFEKELHLNKQIKLLQVIYDQWNKRNIIITKADIRIQDIITGKI QYILSDFQNEISASCLIEHGYSIIIGTVEGDLYKVSLKHGQILQQWESFFSEEIISIQYD EAYKILHIASIQGILKCLPLTQLNVCKDNILKDKWAIRQLSVNICINICQLSIVQGLLAI SYEDFIQIWNLEYYCVSIQIQLEDSATVTSMVFCDSLPLLIIGTNQADIFIFQLVQKNDK LQCTLEGHLNIVKAVLIQKLKSVYPDRISIMSNLFSKTSTELNELYDEGDEQIEVKHLFN NKYKLMTHGSFASRLLIQLHEEELRLYIATNRGSALVFNITQLLEKKTVTKKLEQISQRP NYNPYRVVYNDLQGNFIDNYQIVQNRLIKDQVKKREYKFEQSSKIKQKFRNSLIRFSILK GLKDNPELDITHTLKDSIFSFDEQLETADQIHNDMIIQLNFLYVDPYEILFHKKTELLIS GCVDDTVKVFTTNFIQICQFSIHNPTPYQWVSLLNEKNKLKTTILFSLELLQQILPQLSS EKRRAYDIENMLRQNSIKHLSNNYRSPDVLTKKEPIGNQQKLKDSFLNKRKVSLCNNVKM PQLSPKLPPSLRYYDEKIKQSRYHQEIHQQELINQQNQQKRQQGIQIEFQRQQKKEIIDY LTPEPSNNGKFFEDLSIQALSTRLKIDTRTHQSKITKTTNDTKRTFTRQSLDFFDYAEKK EMLATRSKISQSRFQARRQHHDFHKILNELHGKLKISKKQS >CAK66399 pep:novel supercontig:GCA_000165425.1:CT868044:121030:121686:-1 gene:GSPATT00035528001 transcript:CAK66399 MQPLKPTKLNPASNIDYKELQKTLIHFQRAQLELEKTRQGSPLKSVSPMREMINFQALQD AGLIELNEKSKQVDGVKITLEEIEKAFKILDEKNKGSKISLLELKKKIPAINPNFPKSEY KALTQGKPELKSKDLYELLKQNELQDFDPLEQAFSLLDPKGEGNLDINRLGEVFSVLGYG KIDKRDQEILLECLDVDKDGKIGLQDLREIFESERHKQ >CAK66400 pep:novel supercontig:GCA_000165425.1:CT868044:121718:122368:1 gene:GSPATT00035529001 transcript:CAK66400 MKQHQVQISGLEFQPINQKYFLHEREKLRHDPFADSLEEYFSLFKRRTICHFLLSAFFIG LMIPSIILQAEYIEVDVNKGVGEFEMKDTWDPPISIYMFSKSDDLLIDVQLYLDGKPIQE FTIQEQLIIDTQYIIRSEKPQSFSKLIFNIRAAVRLMAQFNFVPASYLIIIVVMLVISLL YSILTYARYRQL >CAK66401 pep:novel supercontig:GCA_000165425.1:CT868044:123243:123983:-1 gene:GSPATT00035530001 transcript:CAK66401 MWKTITLFSLFIVINGQCSCSKFTNETNCYAYGYCLFQNGACKEAECEAIPYEGKCKSPR CLWKDDKCSTFKADEQTCSALLPQLCTKYEGCHYDYSNLKCVVTNDCSSLHIDQCNGKLL NGNFCVGDPFENKCQEMTDCKSLTTEFMCLSQSPHCKYENSVCSPQVCTEIKDEFSCSFL DECQWNNERCQYKNCQSIKFGYLCNGDTCGFDQNECFTCVEQYGYQLFVGLISLLFIL >CAK66402 pep:novel supercontig:GCA_000165425.1:CT868044:124377:124568:1 gene:GSPATT00035531001 transcript:CAK66402 MICNTIQQNHNINHSSSSEELSNDKKGGLTGYLSSANDLFREECKNKRLRQSAKKSRLRK KVY >CAK66403 pep:novel supercontig:GCA_000165425.1:CT868044:124802:125570:1 gene:GSPATT00035532001 transcript:CAK66403 MELLNNEERELLAKYNRYLTKDKTQEPLKYSDIFLGKHDLKDMPQQTKEKQIQNSKLQIK SSSSNNRDQFKSIINELNNVQNRQLGNIFKSQFQSNKLIYSEKQVINENPRQITNKNLER PEKQTKQQKSLPKEQIQKKQDKKPKKNARVSSSQSTIEIQQQFNEKLLQQLNKLIQLKKI DNKFFFNLISKHVNECPSFGKRIKEEIKEILNQLL >CAK66404 pep:novel supercontig:GCA_000165425.1:CT868044:125671:127403:-1 gene:GSPATT00035533001 transcript:CAK66404 MQSRSQRRRRILKQCIQKKSKIEDNAHVTQEIDSDYEDVSEMEEDEHIQYEDEFDDEYGK LSKQFSQRVKKAKSILIVKMKKCCKRKKQSQEPSEQTQLIIKEEGYGIGDSGESKKRVWF YDEAENLDFDNRAYNMLHRVTTEWPCLSCDFVLTEEEQLQYKNKEYHKMNKYPYTVYMAA GTQAAQPTKNQIYLLKLSKMHKTKYDDDDASLSEDDSEDDNLSNDEEGQVHLSSVTGLKC GVNRIKTMNGQAIAAYWNENGDVSILDLNPLYKKLLTNQQSQFNLSQLHHKVFKNQHEGF ALDWSRLKLGDLISGSSDGKIYLYQLNNNDWIRENKAYEYHKGSVEDLQFSPIESFVFAS CSSDGSLCIVDTREGKHKQAQILVKAHNCDVNVISWNQVSATLVATGADDGCFKIWDLKY PKNDAISEIQFHNKAITSIQFQPNSDSSIAVSSEDHKLSIWDFAVENENNNVDDIPDQLM FVHQGQKDSQRIEISSNLL >CAK66405 pep:novel supercontig:GCA_000165425.1:CT868044:127643:128511:1 gene:GSPATT00035534001 transcript:CAK66405 MNRLLITELGDAMRAELIKQQELKRQKTVLVARDSLPEVKQTGILNSLLQDMDDNPLYRK SLSDVALLNLTKAANISLNGSQSKDILEQHIREDLQIQKKNDYLNHFLNKQVEKIVDDKN SMTKRRRYLLGELRRIEVKESTLNIKNRISTDQSRLSTIVEDIDRENKLMAIINTEGKLL QLQRRYDKKKQDDEILKQQVKSNYSKHKDIEEMHIESLPILNQEQLDEGIQNLYHDTDLS ELRFQQRLVDKHKKLITQVWKQHHFPKRNKKWVTISKQF >CAK66406 pep:novel supercontig:GCA_000165425.1:CT868044:129902:132350:1 gene:GSPATT00035535001 transcript:CAK66406 MSKSKLLLQFARKEARQQEDKLLELEAMSNVEKEIQLLDNNDVWKSKPLKIIQKVAIFIT KMKHFSTQYRFKILNKDIFNLIHDLSSSFQYYLYNRLLSSEPTKYGEIKYEGKQNNAFWW RIWAFLKSNQTVLLPADKFLFVWDVILMLVTIVNILYVPLQLSFDLNKEQIGSAYLLFST LPSSVFLMELILNFFKGYYAKGILHTSKKDIFWHYIKGEFFLDLTVVLPFILSWFGFQFA NYLMLIRMTKVRRTMVVIEEISNFKEKTAVIYSLFCLIYSLLLISHFCACLFHYFAILEV DLGYSHTWLHQQDIYEADVYVKYFTSLYWVTITSMTVGYGDIVPVTIPEKVLVTGFTFVV VGTFGYALGMIQSIFSKLAEQQNINNSKLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFK QEEELDLDELIGQLNPKLKQEVQIAMYYSYFKQSKLFGQNLSDDTLKKLCYCIHEKTYAP EEFIIKKDDHPDKVYILLAGKVKSVLLDRTIKRYTSGKLFCEREFFFQDYMQFDIVAQTF VQVAYITQNEFLSILQNDRSQYEKYRLILDKTQFGDYSNQIKCEACSSHHQFKHCPLVFL RKNKNKVISVYNSSVDHFRQPFVRQRKRKRYNVLLVREKALEQMLDHQKSIPQVDNKLLI RLGFPKQEEDDLDIPEQTIGLHSQQQSLNQQDIKNLFRNKRFQQVSNRSLLIPNNQYNNQ VYNQNYEIQEDPNPQILNNDLNIDKVSEFEKYYPHFNITKVSKLINNSHVYNKVLQKIRG HKSKFVHYIARQIMYKIV >CAK66407 pep:novel supercontig:GCA_000165425.1:CT868044:132375:133819:1 gene:GSPATT00035536001 transcript:CAK66407 MQLNPNHPFIFYQTIPILVYKYLINQNNYYIIQIANMDQGADGFQIVLDGGQVGYAVQPK EDCPHFQQQDLHELMKFTEQHRNTLFSQPCVQCGDASENWVCMHCREIHCSRFVNSHMVE HNKKSGHQIVLSLTDLSFWCYDCSSYITNYLISKASKLLSSIKFNNQEDKKDETQEIKQL IEQISNLKVTNEDEFTYAKLVDGLKNKKFQRVCVLAGAGMSVAAGIPDFRTPGTGLYSQI QKYNLPSPESVFEIEYFKKNPEAFYCVAKEFLLSFDAKPTLAHKFLKFLDSRGQLLKCFT QNIDGLELDAGVSQDKVIQAHGHMRTARCIECQEEVSIKDFMSHIKKGDIHRCEKCPKKG LVKPDVVFFGEGLPGEFFYSWNCLKDADLLIVIGTSLKVMPFAASVAKVGPTTPIILINR ENVLNGRKNLLHLDGDIEENCKKLLQDTN >CAK66408 pep:novel supercontig:GCA_000165425.1:CT868044:133858:135894:1 gene:GSPATT00035537001 transcript:CAK66408 MSEKNMIKLKSYVINTNLEIGRGQYGVVYQCQDTNNPQLKLCAKVINERLDDPKTKREIE LMGTIMKEAKGNKNIVGVEYVDFDDERIVLILERCECDLQSLIDKRKRQEQKNFHPDEAL NILKQIVNGYKVLYFNNIIHRDLKPANILVLDGFYKISDLGLARQMENGGVLTKVGTPKY VAPQLYLENFFSNSADIFSLGIITYELIFGGLPYVANSQLQVKKSLRNLEKVPVVVKQDH PGMTQAFALLIESMLKFKEQDRISWLDLMEHPLISEGKAVVNQSGFIKDNPNEEDEEDEE PVQEQQKPAESPVNEQQQQVPLKTVNPPIPTFTAPVQFPQMAKPMNTIPTLPQQFQPQKF STPQNFVPSAINQQKPNPFPNPRFQSVTNPPQAVPQAVPQPVSNAAPSFPNVPFNAPNAP VNNVGQPFQFQQVQAQSDQLYQTGVVVDQALDSLERFINMQLSLKQEWYGLKLYLHYYSQ CFFEHAKALKAQGQNPPQQQFYTLANVEQAIEKQQRYHQVISQELDRHQLNTIYPVMPIN PDFNSYLQKLKQILQNKGLFQYSPDKKYYVEYLQLLYFFERLKDQKEPFEDVYSTASDTF KNQKAESVLVDYLNKRFTL >CAK66409 pep:novel supercontig:GCA_000165425.1:CT868044:135934:137032:1 gene:GSPATT00035538001 transcript:CAK66409 MIIEPLQQQVLINKDKNNFQGLTVRQKNNYQGTNQFVQRRKYSKPKQFSTQFPQIKKDDT QYEFKMFHHVQNMKSAHYQSKYTLSMGIIPNLILHTYFDQIVFYDMAKLKSQSARISLEA IGLDVFENICAVAGMNGDLLMINLYNGTLYKQNINLKRHFINHVHFYHDYLITNDNGGYI KIFDYQNGLKEIFSYEDKASVNLTAVNQNKQIAYCGDRIGLNVLDALSGKMIHTMDPHTE FGFAVAFNPSKGYELASSSEDGSAVIYDLRSPKLPIQQFIGSNFPIYNVTYQRNGSHLFI AESSSHLHVLDTTLYDKIQTISVFGEVSGIVNDFYDDNRFYFSTSCQYGDGICEFVK >CAK66410 pep:novel supercontig:GCA_000165425.1:CT868044:137123:138177:-1 gene:GSPATT00035539001 transcript:CAK66410 MVEQQRVHFQGLLGATASLISTLAVQPLEVIKMVMIVKSNPANYRLTSTYGSAEYILINE GLNGFYRGLRPALVRNMSAGFLYFQMLSYATSVERNVSQDFLASFFARGLSNFITNPILL IETRAEVPGYQYTSLWKTAKTIAKQEGVMSFFKGGLTCSIKDSLFAGIYYVVYRKMHEMF LTSDSGFSPSITFLSGMIAGLVGTCASHPFEILRARLQTNYYHDQMTIMERFKSTYQNEG LYGFTILTICLDLLKVSVLDQLEKPLANSISFLLVEHLNHLLYGTQFHFLIVNLMQSLYK NKQIYSKNRNLFIIFLLFLYYKNQQF >CAK66411 pep:novel supercontig:GCA_000165425.1:CT868044:138332:140799:1 gene:GSPATT00035540001 transcript:CAK66411 MHTRQKAFGLLLLFMLSGVQVMTQDLTEEQRKLLEQSQETHEFQAETGRLMDILINSLYT QKEIFLRELISNAADALDKIRFLSVKNPEILGDKTELAIRIEINTEEKSVSVTDSGIGMS KNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVGFYSCFLAGQKVTVASKNTDDDQY IWESQAAHSFAVSKDPRGNTLGRGTQVTIHLKQDAVEFAEESTIKELIKKYSEFINFPIY LKVTREVSKQVEEEPEQQQDQQENTDDDEVKVKDDDDDADTNKKATKTIKEKVSEWVQIN ENKAIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYIPKRAPSD MFDNYYGKQTTSLKLYVRRVLISEEFEDILPRYLSFVKGVIDSDELPLNVNRETLQQLKM LKVISRKIVKKILELFQDAASYDDEDEEDTEEEQQRLKDEKRKKKIDEYNEFWKEYGKNI KLGVIEDSSNRQKLAELTRWYSSKNATELTSFDDYIERAKPGQDSIYYLAGENKEQLLSS PIIQGLLKKGYEVLLLEDPVDEFTFQHLNEYKQKKLTNVGKGDFKQPEDNDEQRKKQKAL KKVFQPLTDWWRKLLSENVDSVIISQRLIDDPIIVVSSESGYSANMERISKAQAYSSKAG SQQFGKKIVEINPNHQAIQELLQRVKDDPDQETEEMAKVLYEAALVNSGYSIPNPEKFAS RFYKLFNSALGIDRDAPVKEFEVEIEEEPEASSEPPQSDDGTKWEKVNTDDAKWETVSND KRDDL >CAK66412 pep:novel supercontig:GCA_000165425.1:CT868044:140815:141742:-1 gene:GSPATT00035541001 transcript:CAK66412 MRKFISAFGFSNTIGFIGLGNMGIGMAHNLAKTRVVYAYDASPAWQSKIESSNIKPVSQV ADVAANADTIITMLPNDKIVKSVAQEIFKKQKKTLIDSSTISPYASYELAKMAQDTKNIY TDAPVSGGVGGAKLGTLTFMVGAEKELYEKVSPILKEMGKNIFHCGKIGGGQIAKMCNNM ALAIQMISVAEALALGKNMGMDPAMLSSIMSVSSSRCWSVDTYNPAPGVMPNVPSSKDYD GGFMVELMLKDLGIAIEASKKSGTDTQLGQHAQQIYNKLNEHFGKKDFAIVYKELIKNK >CAK66413 pep:novel supercontig:GCA_000165425.1:CT868044:141789:142591:1 gene:GSPATT00035542001 transcript:CAK66413 MNSNSDQQDFFYNLRSHFLFKVLLIGNSGVGKSCMLMRYSENQFTNNFYNTIGVDFKTKT IALGEHNVKLQIWDTAGQDRFRTITCSYYRGAQGIIIVYDITDRESFENVKTWMAEIDKY ASESVNRLLVGNKADITERREVSYEEGLELSRLYQIPFYETSAKSSTNIEAAFTHITKNI LNRELHNTRAVVRKTSNLRLQNRQQQQQQEKKKQEDLCC >CAK66414 pep:novel supercontig:GCA_000165425.1:CT868044:142602:143962:-1 gene:GSPATT00035543001 transcript:CAK66414 MSEIDITSQENTLELPKFEESLPDIKPEPRKDLKYEIKHEPKPSFGQSSKDLIEQYKSKF NAFKQLAEDQQPMTMEPSVIEKSEKSDIWRSDAEILKSPKEWDWNILGSKKASTTCNQIQ FDSHVPDPIVSEQEHKAQIAQHRQMILGVLDQRIEPGLKLPSSHQPQKPVVNEQHSNYQN ILEKFRSASSLDDLTTFFNTVQQRKVVEHAAPQQQQQQQQQEDLFSNLEIRRNKKAETQI QQYKTPSKSQDEIFEYGSGNRTTSFFQKKPSAQKQPLQSPSLGNQFNYRPDQSVTSKVTQ NMNFQVEVKDHSKTSKRKEELQSFMGKLGLGKYDTPKVVINLESNTQSNSTIDRMRQYVN GTPMSARKSGGGLSDLINERSLNTTTFKQQIKSYHKSGQKPDQSGRLSADNQNLSEQSLK NLHLKLVHQQKNGIGSSGLKYARC >CAK66415 pep:novel supercontig:GCA_000165425.1:CT868044:144010:145710:-1 gene:GSPATT00035544001 transcript:CAK66415 MIRSRKHSKQTKKSKDSDDFTEPHSKLLLERFPYTTKDFQLKDVPVLTIDQSFFADPFKT IDDLYAKGYEKFGIVKLILPPDLVVPNKKFFSLLESKLKGKRLQTRIQTLNTQRAGEIFG SNSTGFTVQEYMNLANKFECSHKLQGVREVSNQIRQNEIEFWSIVDYPTKYDDVEVEYAA DLLATKYATGFQEGQLGNLSGINKNRNSIFQVLQEKNEMSGISVPWLYLGMKYANFCWHK EDLNLNSMNYMHAGAAKTWYAIPPSYSDKFLQYFNKAFENERKENPRLLYDITCQISPVE LAENGIPILRTDQQPGELILTLGATYHAGFSHGLNCSEAVNVAPTQWLKEFDRATQEYRM DGNLKKVSFPLEWLLCKVALMVDEIRFTKQSWQKLFEKFKQMIDQEINNRKCILSLYDRV KTIEFVNKLEKYDRSVCKICSNYMFLSYIFCGKCIKKGCICHQSICACAAPQVSLYIRYN NEELYAMLATLESKIKTTGS >CAK66416 pep:novel supercontig:GCA_000165425.1:CT868044:145847:146146:-1 gene:GSPATT00035545001 transcript:CAK66416 MKIRKAQLKEPDPKETRSLLISILFEQHFRLASTLLEKETLDLQKIIEVLGERPFPPKSN YKAYLEIKKEDQQTTSQ >CAK66417 pep:novel supercontig:GCA_000165425.1:CT868044:146234:148387:-1 gene:GSPATT00035546001 transcript:CAK66417 MLRLSLNLFRRTGLRYSKPCMLFSQDKEPPKGFQKFQRKSKIQQEESTQPKEEKKATEKP NQEEAEKIIKDEQSEGDLPPKIEKPQKKKYQFTYDPKSFNPQDENQKKEKQQSDQEEKVD KQENQEEKQEKQENQEEEQQQQSQQQEKKKSQFPQIDFSKFTEYLKNPNNRNYIYMFLGV TGLASLYTYLNMEEEITYTEFLKNYLETNQVSSIKVYNNDNSKINQASIITNRGESKKLI LGNVDHFLENLERYQTEKGVYPEQFIPVSFEIQVDKANMIDRATRLLKQGFSVFLVFYIF KTLKGSIGSLGKGGGNDVFGFGKSNVKQFGFEQNVKVKFNDVAGLDEAKLEIKEFVDFLK KPRKFKEMGAKLPRGALLAGPPGTGKTMVAKACAGEAGVPFFFVSGSDFVEMFVGVGASR VRDLFKQAKAKSPSIIFIDEIDAVGRKRNAKIGGNDERDNTLNQLLVEMDGFGTDTNVIV LAATNRKELLDPALTRPGRFDRSIDITLPDIEGRKQIFMVHLAPIKLDPSKTMEEYARRL ATLTPGFSGAEIANLCNEAAIMAARANKTYVDSHDFEMASERVMAGLEKRRIISEEERKT VAFHESGHAVASWFLKGGHPLLKLTIIPRSKGSLGYAQYLPNESSLETKQELLDRICCIL GGRVAEEIFFGQVTTGAYDLIQRRLMMQLTAQCTKFGMN >CAK66418 pep:novel supercontig:GCA_000165425.1:CT868044:148440:154318:1 gene:GSPATT00035547001 transcript:CAK66418 MRIMSCTVSPSHRFRVWDRSLPGLFNCPLEVVHSLQECDKSELNYKIIILMHFPLTLEYP LMLYSEISENQGQWLETNQLVIRIPKSWDLDGNVNDYQIFGMGIGAEDYRESEVSDVQYI VADHATDLHYCAKFAYFTKKPFLLQVPQFNVKSYEYIFFKKKDPQDNLLLDIIGLSKRES ELDRKISFILQRLLYESRYSEIGIRKQVEDALETIFYKSLKKMIQLLGRKHHIEYESMYE LTRKVVRCKDLKLHSQEIISIVYKTFISASLFPFYCEINTMNDSYIKLKLLSLFTNSEIL PWSPKFHASLALGNYHYSFTPNDLFNLRTLNTRTFYKDYQYIRLRILDFFPLDSWIEEVS NFFIQNLETMLNYIQTKMPQQQSQSSFRKYSYDSKSNSSSSILDLKEPLMREEQKETELI QDSCNSSQQSFNVLTNCSELFKLLLKNSIRKIENVREVFGIVIHTPLIIQVKNRIANEFY VNYASEINRNGILSQFCSIITETELRSYSTCANNCQTPVAAFIYHLCDTFRPYQEMLCEK SHFLRSLQQCGFNIRNIKHNQFASKSSFIDTDNXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXHNENLYDFHPDILKLNRYGNILPFKHSIVKLKCDEEENQKESYINADY INLINGKEKMMIATQGPVTQTIGHFWRMISQENIQSIVMLCNLKENGKVQCEQYWPRNIG ESLLVGNITINFVSQEDLGNNIIKRTLQMQEQNGEEKQIIHLQWCGWPDQGVPNHNDFNI IMELINQILDKVLNDQKVVFHCSAGVGRTGTLISLVNLMIILTTYKSHIGIDNASTIILI KQEIFENPDQFRISVFGVVRRLREQRWGMVHTSEQYQYVYKFIDQAIKYMFQQQQ >CAK66419 pep:novel supercontig:GCA_000165425.1:CT868044:154336:155749:-1 gene:GSPATT00035548001 transcript:CAK66419 MSQLEPFEHHYSNQYLEVFGCCHQNRHTYSDKVVCKECGIFLNNPNTRVYKTLKMKFNAY FNPIKVLQSILVEGTPKGPAKQRQQIIEFILQASERLNLSLNTSFLAINYIDEYFSRVTI NENQTYLFVATALMLAAKAQELDERVPFISKLKRYASMTNHPEVNQYSIQEYKFAERSLI QTMDWKLQRVTLLDRIEALLSFGVIDDDDSLGQQLQKENKDSSHQQHVKLRDLQESQILQ YVKEVESKYADIALQIIRDDHLYFETDQTILALSCVAYLRKKAGLLNIWSQQLQCLTGIS AQKISSSVSQIMTLIAKSKSFKTITSLQINPQEVYFQPLMPTNTLTTISTNHLNNRPYPF ETKRQSYGDIMMQNSKLKIYQSQSTLQMLDVQKQNHLYKNTNFTTTTNYSYLNENPVPHT HMVQQFAALQTQELDKKYEQVHKVSASMFRPIQ >CAK66420 pep:novel supercontig:GCA_000165425.1:CT868044:156241:159183:-1 gene:GSPATT00035549001 transcript:CAK66420 MSQKDSLQQPLIQEEESKTIILGDRSGTEMNMEQIVNDSAFEWGSTSPLEEENQVKVIPF VANETIQYRRTLEKNNSKELNIFKRCFKGKKSSNQVTMAQIIDLRQKEIFKDYAYPTQKN GFLNGMSSCMGKLKVLEPDSRFYLYWQVLNSIFVVLFFFQIPFMLAYQPLIDEKKASRYQ FYEEFSLYVGITIFMLDILLSFNIAFYKKGQLISHRKQIAFNYLKSYFSLDLIPLLCLIW YRFLLFDEKKLGFEQFLFILKLYEVNKTSDMIKEYLQLEPSKHAKYRLLTVMMTIIWLCH LFACLFFVVGRIQLNKKVGSVSWLNSCDVVTLNGGYEDMPISELHLYSFYWAVTTMISVG YGDVTPMNFWEVFVTVITQFISCIVFAYSVNAIWEMIYSQNESKQKFQKYVNAIQRFMVE HNVDRKLKARIDAYLVHLWQTEKARDHELEQAMILKLAPALREELIYQTLGKMLTHSNFF SCFSQDFLMELAQQIQQQYYAQEENIFLQEEETDDFPLYFLDKGQVEIFLDCEKRIKLHI MKKGIFGIIGFITGYKRTASARCLTYSVVYKLSRVAFLKLLDKYETDRQKFYEIRHNVLF NSDSSKLKLRCYICESRGHLAINCKKSLYLPIKEQVIYSTFNVQNNRQPCFKRKIVKQQF KALSHIRDVQVNAQAIKKYFQITTFNLDSNDEDDEYSSDVDDYEEEIENIKKIVEQEKEK AQMKQRGQWLADDNYEMKIDENRDNQSVELSDGLRKSSLNMKNSEEEQKKVKKKKKFQSF AKLPLPKRVRTSSMSSLTPIQEQVKKVAKGQNYVFPNNVQVQRRKSKLFQGNEVNDYQNE KMPSPDRATNNETKKQELIRKMKFLSIAQPRNKEVQLIIEELRTYIQSTYGNTQPPKIDN ELNSNTNANANSDVDSQFSIDHMANYECFFQEENPEKVIRKYKKTFKEKVKLRRTTLIRQ QDD >CAK66421 pep:novel supercontig:GCA_000165425.1:CT868044:159208:159652:1 gene:GSPATT00035550001 transcript:CAK66421 MGPTQGKELSPQMRDRVLKLFARFDVDGSKSIEKSETIKYWKSNFAKLNTEELFKSVDTD NSGTISEEEWLNFWTSVLRSGHTEEEISDELESIESGSSWVKFENLDKKKE >CAK66422 pep:novel supercontig:GCA_000165425.1:CT868044:159875:160325:-1 gene:GSPATT00035551001 transcript:CAK66422 MDNKKCLFERFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMGD KNTQYTGRDLVEVHKDLNITNQQFDKFKVHLKNIAQDMEVGGADLDELLDHVEKYRETVV FNKAV >CAK66423 pep:novel supercontig:GCA_000165425.1:CT868044:160508:160929:1 gene:GSPATT00035552001 transcript:CAK66423 MSLGVPLKIMHEAAHHIVTVELKTGEMFTGYMAEAEDTMNVRLDEVQMVTRDGRPMSLEQ VYLRGSQIRFVVIPDVFKYAPMFKKIRANAKSKNMQQIREKARQVREELVPRIKQGLEQQ KK >CAK66424 pep:novel supercontig:GCA_000165425.1:CT868044:162951:164341:-1 gene:GSPATT00035553001 transcript:CAK66424 MKKFRELKKTGDGTFGEVIKAEDTLTHELVAIKKMKQKYHNFDECTNLREVKALLKLQNH PNIVKLKESISYQYLVFLDNDTLCLVFEFVEKSIYQMYTQQKEMGKTISEDQIKSIIYQV ANGLSYMHKHGYFHRDLKPENMLLTNNGVVKIIDLGCAREIRSRPPYTDYIATRWYRAPE ILLKQANYNSPVDIFALGCIMAELFLNRPLFKGNSELEQFNKILSTLGTFTQQEWPEGTR LVSQMGLALAQFQPLQLQQMIPNASTEAINLLTQMIRWDPNKRITAAQMLTHPFFYNIEK IAPTLIFEEQSKSKDDPKPFESKHKAKSQNQRDEIQTQFKPKQQFLQQIKDDDSNDLDDI LDFITTENKPMPSKLTTQSAKTLDFSEFVPTQPPNKQSKNLQQSQLQEQNKKENNSIYDF SHLQSFKPNKQPNFNSKY >CAK66425 pep:novel supercontig:GCA_000165425.1:CT868044:164643:166220:1 gene:GSPATT00035554001 transcript:CAK66425 MSQNSVEDQGGQLLVMTVQIDDKNTARIDVFEDDEPELLAMNFCNQHKLNQRLVPILAEN IKQNMIVAQKEKQNMTQYLQKLKERQITQDNPSEQLPQQNLVKQMSAQSPKTPQGKRDGN VFDRLYQSAQIKKMKMQRQDSERLQISNQFAHNQESDVNYGQLLYQRGMSKKDEFILKAE MALLEKQQQQMAECTHKPSINPISSKIVHRPQEPTCVYLNQLAKVYSEKKEQAQFLKVEE QQQQCSFHPQIDKQSQAIIEEKKKGSQIQIPHYEQLYQVNTIRQVKLNQKGEEHFTETHT FHPKIDQLSEQLVSGQSFQDRQQKYLTSIKDKQQPVDECFRPKTGRPPESRPDNLFDSLY NQAKTLADKKAQLLSSSVDQALFQSQVKASHQSDKIIQQAIYNKLANIFDLLDSDQDGEI DSLRIDISNLDPQVLQALTPLLQEMDQGKHSLIKSEFIQLTSQLMTLMSNKEKHDLLKKP QKKDQSFNVTKDRSPQSSMKKN >CAK66426 pep:novel supercontig:GCA_000165425.1:CT868044:166427:166789:-1 gene:GSPATT00035555001 transcript:CAK66426 MNLQTQENQYDSLKRQFNDLWGQLSEQQKIWFNLKNNTQHYTLSNEAFVMTNLITDIKSR VSEIQQFNSYQYDQQKYKKCQLCSEKDYTISNLKAQIQKQVQILIKLQCKEYQIYLTRRQ >CAK66427 pep:novel supercontig:GCA_000165425.1:CT868044:167360:167635:1 gene:GSPATT00035556001 transcript:CAK66427 MNIFSLEKNRFHQKACENKKKRQSVNSSSQIKNQQIDNFIEQKAQSLQMDLDLSYTKASD QDSFKLNHIPKSVRANLIGQLNNKYGRRSLQ >CAK66428 pep:novel supercontig:GCA_000165425.1:CT868044:168045:168453:-1 gene:GSPATT00035557001 transcript:CAK66428 MHKKQIWDIRMAYPNNFTKTLSYIEIQFDEVQDKAKSNWKLFILKERKMLTLEDQHISEI LSNQPYSGINLLMCKDVLIEENQNYINWNYLFIQQQQFSFKQQLIMLNVLSNFLTFKKGK IICIQCNSF >CAK66429 pep:novel supercontig:GCA_000165425.1:CT868044:168911:170487:-1 gene:GSPATT00035558001 transcript:CAK66429 MRQSSQKNTFLKTIPFTSIFMEALKQSSMWVSSNAIDGNSQFQGRLLLKVDIQWESVQLN LHPEKLYRKGVGSEDYTSYCQLQACFLKKFTHFDPTMPNYRYGIRLQFGQQLTHLLCENA DIFKKWFQFLRRFCIMDKFARKYKVLGKIKYSDPVFGQCLFSCVRVQDAEYHVVKVIEKD AITAAQKQNLYRELSNLRKLSHTQICYIVEVFEDEQNVYVLYEYYLGIDLRSYIKESQIY QMAEQSTQGLEEKLVADIIYGILQAIQHMHQKGVFHRDIKMENLFIPEKKRLPFVVLGNF CYSETTDQPQYKKCGTPGFVAPEIFRSKNYTSKVDLFSLGVIFYLLIYGKLPFEGKDQEE ILRSNEKCDIDLKIEKKICKKISNSGMDLLKGLLNKEPIKRLSAAQALNHHWFIKMGTRH QRNQYLQVQRGKSLSTIIENSVDITQSYYQSNSQLHQSTKEEDRLDRVEKEFIQDSLYDK LLHFNSVQYQPSKIRHNQQQS >CAK66430 pep:novel supercontig:GCA_000165425.1:CT868044:171300:172524:1 gene:GSPATT00035559001 transcript:CAK66430 MARIIPVLTLILAVATARVAKSESPKEVLAQINKDSFGNSVLSVLQLQLATGGPVGEIQI LLNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALG EAEVEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQIDAIDEASKIVQHLQA GVAFAQLKSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLV ASRASLLATEERQAANWEVQSGHLQEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQT LFLEDAEDSLAIQERWAAEQESQYEAQTFEREQYIMEVV >CAK66431 pep:novel supercontig:GCA_000165425.1:CT868044:172557:175655:1 gene:GSPATT00035560001 transcript:CAK66431 MENIQVVVRIRPSNGQEKDNNDLEIWSVNNQDTITISNDRFNDLVRMRKFVPGQRVEFTF NQCFDPKHTTKFIYQQQIQRITLSSLQGINGTVFMYGQTGSGKTYTMMGYDQEEGILKQA LKDLFGEIEKQQDRQFFLRCSYVEIYTDQVYDLLSTQERLSETLLINEDFNREFVIKGAI EEVVTTIDEIMDILQFGESNRHYASTVMNHCSSRSHTIFRLYVRCVPNTIGPNSVITESI LNFVDLAGSEKINIHDSMLKKRGTSAGGNRQNESKHINKSLFFLTQVISLRAQGRNDQHI PYRNSPLTKILRSSLGGNSRTAIILCINPCYSQFEQTVSTLRFGTNAKKIENNVSKNIVG FDNDESLNRVIKDYESKIEELQKARVDDKQQQEMMLKIIEKLEEQRKIFKSQFDTSDHIQ AMINKDVPYQWTHLHYHGVGVLWVPEKGSRDIEVKQDIIDSFGMIKKYQNLEEEFIILKS EKQTLESQLNEIKQKQQEVIIQTKKRYANQKAKTRKYKQLSKDLQDERNKLQKIALCYHN MIDVDEMMLLNNVTLDQMLDNIQIMMQNIYKVKLRKEMKLKGENVDTLKLKSSRPIKYPK YLFDTSAINVQFWDVNENKKTEETSKSEIESFQSYFQSQLNQSSPTYTDMQSSIQQFKEP FKHICSHEKNGPNKSGKENFEPSFKKNQIILDEIINITKMFSLLLLITTSYATDKNCLWH DSYGYTYDISKLHNINNYQVPDTDTSMGMFNMVYEFNFCTGAVRCQGREVAAFEALEVMG KVTENCDVLGLKETQEFGYVNPVYPELGISVTYKQGDMCFDVKDDGGLQKIIADNEQMQM SPRSVTFEVTCGKDEPNFRVIDSSKCNIRLGIQHSAGCRSGSSPSGGSQTLLLYFILGAG LYFGGGIAYNKKQYQLSGVDALPHSHFWRDFPHLVKDGINFTVIKVFGMIKKFRGLSSEG GYAVI >CAK66432 pep:novel supercontig:GCA_000165425.1:CT868044:177082:178112:-1 gene:GSPATT00035561001 transcript:CAK66432 MQNQQYSDKSYYSKQKKYYRCMNKSDFGIVTSSSPQKFNRLNQSQQLIPQEYLTNGIQGF NTSPRKEKYKKQIKNTHFLKVNILLNVQSQDNERKKTVWCNIYQYQMNATELEMSKKGRI CRICMMEEETSRFIYPCKCKGSTQFVHEECFKSWILTKNNVEKVLKKDISCEVCSQKINM KLIIQDKVQFSLFKDIPKHQKVCWLIIFFLILLQITGASILAVLVGFQNFGIVAVITLLA GFSVALFFYLIAQVINSLSVEIIDQWIFSNYRGDKGPIMVDMQSQYLQSQQKLHCSPKSP KQRRKSCVPQFGGLQVIQFEQYPSEI >CAK66433 pep:novel supercontig:GCA_000165425.1:CT868044:179526:180642:1 gene:GSPATT00035562001 transcript:CAK66433 MLDLTLPQCKKRILWTEDEDKLLKYFVSKFQNERLGWKMISQALRKQGYDRNTKACRERF FNYLDISYNKSVLSQFELDKLFDLIKIHGNKWTCIAKELNHRTDQDIKNQFYAHVKKVFR RLLKASYKTNETTQGSIITAKLQPLLISSIFCHDNEIDRKQIDIKDDMKELFKSLIRENK SIQQGENINEDTREKVKQISLYLEKENQIYLQNKITRKEKKLQEKTKQTNQKIKNVYSEF QQQKILEKIQNKKPIFLSAIKMLDHPSIKFDANFQNSYIYEEQSKNFDNQQQHQIISYTP FNYQQSYKNDSFYSPDLIQVNNHFDSYNYPTIWNA >CAK66434 pep:novel supercontig:GCA_000165425.1:CT868044:181834:183462:-1 gene:GSPATT00035563001 transcript:CAK66434 MKHRAAANSLNQKENEKCVGNQYALKYRAPLQQELLMNLKNQQLRNLDAISQILQSKFCK VEKRDNVEYFKFDFKQQNICDRVKFLMNQPDDDTNKTEQFKAQYKVGSRIGQGAYASVRV AIQIETDTKVAIKIYEKTKIKDLQRRKGVRREIEILEKLDHPNIVKILDTVESNNHVNII LEYVSGSSLHHLVRKQPERRLEEDIAKGIFKQILDAIQYCHSKNIAHRDIKLENILLEGL TPKLIDFGFSTSFPIDKKVKMFCGTPSYMAPEIVTRQEYRGDKSDVWALGVVLFSMLQGV FPFKGDTDQELYTRIQSGEFIIIHDISKEAIALLYGMLTIDPDERPTVVELLNYPWFKKY QQNLETEELKKKHKLPDDLIEDLNTITKNMSYITPTSQIKQQFYFDFSHLKNNSKQNNTK AVIPKPNYFTTTNAQTKQREPQFFKVERILTKNERHTTTHSKERQPTLSKERQSSYSFES SRGLSQKKNPHQLTVHPSFIPSEKSFNSVKSPSMGMTEKRSFNFFYN >CAK66435 pep:novel supercontig:GCA_000165425.1:CT868044:183757:184899:1 gene:GSPATT00035564001 transcript:CAK66435 MKQLNIIKQKLEPLNLPIHHQQQHQYSLQIRKPAFSLYEQTTSRQSHQRKKQKQVNVTQN SPPKYQEEQTLIDKYGLDDRFQYTLRMSVRTKQGMMIGNPTKQNQDSYILQSNISNKTYM HYFLVFDGHGANGHHVSNFLKQQFQLYITQFSSLLENNPYIAISTIFTHVSQALNQSGID LKYSGSTVIGLFMLHNKIYCSNLGDSRAIMLTRTNRWLLKYLSRDHKPQCADEAQRIINY GGRIDSYRDPKGLPYGPLRVWNNANVPGLAMTRSMGDQVAKKVGVIDKPEIFNFTMEIMD RALLIGSDGLFEFLTQQDILDAVTPHLNNIEKACNHLLEMAHVSWLQRGSKMIDDITFIL IFLQY >CAK66436 pep:novel supercontig:GCA_000165425.1:CT868044:185614:186293:1 gene:GSPATT00035565001 transcript:CAK66436 MNQTQGFTFKKRQSHPEQEITNTSLKIQENQTTKKLQRKQSRSQEDLAVFLGIVHPLCIL ADEYSLDDGLSQIECNFNTMTSLNYQQMPSLLSIYTSESNLSIEEEFEIWDDKQDLRVLK IILEDQLSSTQQFLLLYKARFGGFPGQQQCIKNEEVIQTAVKFASSSKQQFKKYFPSKKI VFEDDIDYSVPYKIEDDHHFQSFNHFFKFQKTKTQIDF >CAK66437 pep:novel supercontig:GCA_000165425.1:CT868044:186314:187144:-1 gene:GSPATT00035566001 transcript:CAK66437 MFQNKTDYDRGVNTFSPEGRLFQVEYALQAIKLGASALAIKVNEGVVLAGERKLNSTLLE PKSIEKVYEIDTHLACTASGFIPDARTLVEHARVESQNHKFNYGEPINVRALTQIVCDLA LDFGESDSKNKTKMSRPYGVALLIAGVSDQGPQIYQTDPTGTMIEYQAKGIGAADEGIQS ILKEQYKQDLTLEQAERLAILCLKNVMEEKINNKNVELAVITTAEKRFTQRTPEQIQSLI DKV >CAK66438 pep:novel supercontig:GCA_000165425.1:CT868044:187147:188764:-1 gene:GSPATT00035567001 transcript:CAK66438 MKAEVPKSKLSKEEYEKFKRAERRKNNKSKKKCTNEKQEIIEEVVKAEEEQVVYDEETFQ EIEIDALITGKHYDNFKQVFDNFNQHSERLMMKTYEKVYENEKEKEKEQEEQQAAEQQQQ QQQQQIQQQINEPNQTIQNYLQQGKKKEAKLSKKQRKQLRWLKVAELKQKVRRPDLVEFW DITSPDPMFLIQLKSVRNSVTVPRHWSQKRKYLQNKRGILKEPFQLPDYIEKTGIAKLRD PFVDRDGGKMVRQKLRERMNPKQGKLDIDYQILHDAFFKYQTKPSMTKHGEIYFEGKEEE MRAKNFCPGKMSAELRSALGCTDFQAPPWLPNMQRYGPPPSYPHMRFIGMASIFAEPSSY HTSVKQVIEDSCKKADQPGLYATFKYYDEEDLNELSQKTGLQADAPVDKSLWGKIEEVED EAEVEQEEEEEPEAQQRQEQQFDQSYQFGDSETRLPGRSISGLQTPDVDLRRNLQNDPRP LYQQLEQVQIDPSSGNQIFMTGHTYVLPKQ >CAK66439 pep:novel supercontig:GCA_000165425.1:CT868044:188792:190001:-1 gene:GSPATT00035568001 transcript:CAK66439 MNNSEYIVKFVTSLPQDYQISNNEIAVQGDMNQSDLSSLIQQLLSAENPDQEYNQLFDFF INGISLRSTLHEFITSNEIATEKTLQIEYLFAVPEPKLKQTINMNDWIIAIGRLNNHIIT CLSNGDIVVCTEKGKILKTYKTKMAKSFTIFENIIISTHWDCTVRVQRLTDNLELIAQGS LPSYGECSCINKKNTNEFCVGTANGELIFFDLSAVQKQVIEMVQNFKVHQQQITCCQWIR NSTILTGSYDHSICLFNKRTESINKQLFAKDSAIIGLCYLENNQQIVSVHEDGYIKLWDS LSEQLIKIYKSSLSQLTSIAANAIGQIIVSSMDGNTYLWDLRGEVPLYQLNGEGKAMACT WIDNKILFGGSTNKLYVYNC >CAK66440 pep:novel supercontig:GCA_000165425.1:CT868044:190868:191362:1 gene:GSPATT00035569001 transcript:CAK66440 MINCQQNQRQNFSYVVCRYNREQRSTSVKVERLKQINILNEIKQDYQKGLQLGRMRENAL RIINMKLEKNRLRKKSLETKTEVEEEHFPQINQVLKPPLPYRSILVNKENTIQMPSILQK QMGVTKQIQIKARRLSQRIRMKNSEPTIEFSPWENQENQEFDFI >CAK66441 pep:novel supercontig:GCA_000165425.1:CT868044:191390:192754:1 gene:GSPATT00035570001 transcript:CAK66441 MQQQFSKSALSDFNLVKKLGEGYYSSVYQVQRKCDGQYYAIRRVKIHQHSLKEREDALNN IRTLASLGQYNQDNRILNVIMEFVSGGDLLQILKQGKQEGGIQESEIWTILIQIILGVKI LHDNGILHRDLNLENVFVVKTPKGNIYKIGDFNIGKVTRQGNAEIGPPYDVAPEMWKGEQ NSRPCDIWLIGCIIYELTAFQHPFRARDIESLYKKVQIGKYDPIPSKYSEDLQQILRMLL QVNPKNRPNCDQILKDPKVVKNSGSLLVEIEQKLGKLNDNQPNPKRENKSKKLHSKQGLN IETKNPVTSQPRINLEQQQQQEQQLRKKSVIPSSQEALLKRKPPLAKPQIASAQKIKNHP QTPQYQGQKVQYKDQNLKNQEQKNCNNLKLQQCLGQQHQQQMEQQIQQKRQHGAGIQQIS K >CAK66442 pep:novel supercontig:GCA_000165425.1:CT868044:192976:193651:-1 gene:GSPATT00035571001 transcript:CAK66442 MSKTWLEKPKRPLDINFDIKTIRNQVKDKLIPPFQYNNNSGSKQFNSFRKENLPTVPSFD NLQARIRDFSYTRLQSTSSLQSKITSTKKYDEQSPKKTISSMGFRNNIQSYRQQSTIYQP VELNYRTQQKRSLVENIQLNEIVSLRNKIESSYSNRNSLQANYVSELVKLAQAITNALK >CAK66443 pep:novel supercontig:GCA_000165425.1:CT868044:194199:201773:1 gene:GSPATT00035572001 transcript:CAK66443 MIILTILIWKSVANKSISNNQGNLAENNKIVLLMIIKAQNGQQFIQIVDPNNIEDSGFVF LLQEASTSQLEEAMLQDVSMFFNREAKLYNYLANLFQLTDLDMQGAPEYINQLTKLLKMF FQIHEVGQHSEFSYQLAQQYGLKDFKTGYPFGFLINSDQSLILLLLKIILSEEILNKEND FFSQIRMYLPRYLIFSMNPPKPFISRTQLQSLQNCVVNKVNNELFETNFERPYKLDLLAL SYFSDPQLNFQLFKETVDILRSILERQPYQSISNSLLEQKNSQTDINREIQNLLQFFLAF TTNQEILISFFKLNLHKTLYNNLRLCQPIFGCNNPPLWSKEPLIIEQIVTLIVRIMSSDQ VDQQFIDILHEDFTFFLKHQKLDLIYKVLLPILNSEQGKLVPVCFHPKLSVSESKAETEK FLSNQTKTMAGSSTLVTELLTRNQCDKITQLVQDNLNKNIQKQQIPNKIKNEQVQMELEF NDQAMQDLFQEDVQWEKFQFEPHNVVQQKQPIPLQQNIIINENQRQIAGSWIHLHSFSAF NPHFDSQILTKVLDNRTNVLFIFEMMVGQENVKLVCFVNHLIQDGRRQNYVQARSNDSSF YVMMVQDEHFWHVNALDGKPHVLLDIQQHMVQISHHNEPIACINFSDFQQSKFGFPFKNL QEKTLLMDQINAALQGLECYLLQIAIHTFDVNKDNLFEDDVQSLKKKYEKHMNYYYSQLR MQNIQFIPQTVSGKELSQLLDENLEIEQLKEYSINDINVIKPVTQQIQIKSKQQKPLLTY FEQKIGGIFMILDYVKKYLDQVQAEKQYKDLVNELGILCQIEGFQEQIVQSEGFLQQLLA LAYLNKKQECKEIYQKINSLVYSQLIQLLQEKPYLKIVVILEHKLLEKIVSKTEQQLNLM SQNAQPQAQVKFEQPKMLLMKKQAANQGKPKGIGYGDIEYKGFKYINEQQQFNLQQQKQQ QEPIVNQLEKKFNDQDINLENLLNCIIQLLDLQFINAGLLDSIQQSKIIDLLIKYLQNVN ITTLEGSKTFSQILQIIECLAQRELTLQLFISKENTCLFRQMESFNQQVIMFIKTFNSKI EELNKFLTLYQYVENCLYATRLITIKYLSQDQQNNVDVKINMHQFYRPFMKRLAVGKCEI KTDADYLSHMKEYYQQTNNPSQNKMQKLVSEISTIEENLPLEATNSIFLRYDTDRMDCMR TIIFGASGTPYAHGAFLYDMFFGDDYPQRPPKMKLATTGHGKVRFNPNLYNCGKVCLSLL GTWGDNWIANFSTILQILVSVQSMVMSEYVMFNEPGWESQMGTPNGEQANRGYCNFIKIQ NIRYAMVEQLQNPPRGFEAVIKKSFYLRKELIMKEIELWVEQANLPATYNQTQNQCIYTQ QPQQYKQDLIKVYDELMVELEKLKFNIGKDFQTISNEKKKEITFNLDYKQQQQQQLTNKK IMPQGLNINDIDISYNNNVQQRQFDSNDQNLQNLMSRYIGVVGLDAVKKQSESTIFIHTL NGLGIEIAKNIVLSGVKRVILFDPCLVQMSDLGSNFYLTEQDVNKRRDFGVLNKLKHLNP YVKIDVLQNSLDELNLDEIQVFVTQDPSIASIASNQNKLAVVLAQTRNIFVRIITDFGNE FTVVDKDGEQSSEVNIENISNNVVTLFKNQNHNLTENDLVLIQEVKQEQGIGESYNQVFQ IKNVKRQSFELVTNRVFTNYVSHGIAYQQKQPINLLFDRIQKVIGSFDHYCDNVGTFDGI DKIKRDIIHFCLNTTTNDQLTDNWDVEKIKMFILSMRQQNLREILNLKYQEDVLYKYQEE LISLLTLLSINTQFQPLCALIGGIAAQEVLKAINKKYTPIHQVYVQSFEDVLPFKLTELN FAHIGPSNNLEINLNKYQECMQKFGFKSYQNTRYNDLVNTVGNTQKIFNADVFVVGAGAI GCELLKNYAMLGVSKSGKIYVTDPDIIENSNLSRQFLFREKHIRKPKSLTAAAVVKQMNP DINVVARLDKVCQETQDIYHNGFYTQMKCVTNALDNVQARLFIDSKCVENKVSLIESGTL GPKGHVQSIIPEVTESYASKQDPEQNNDIPYCTLRMFPESNIHCLEWARDKFEQYFFRKP QALVQLMQDPSPQQQTVDLAIKVLKKYPTTFQQCVQMGRLKFQKLFNNDIMALMNAYPIN SVTKEGKLFWAPPKRPPKPIEFYGESAFKFVEDFALLTAQIYNIAIPNQYDLNLLLQNFQ IPKMDIKKNKIQEIVEKQDKNNQQQQMEVEVKNYDQLIKEAKKLLSKVKPKLPQPQQFEK DDDTNHHVSFITAATNGRAINYGIQQVDWMWTKLKAGRIIPAMATTTSCIAALQTLELIK ILLNSSQYRNTFLNLAIPFMMQSEPGEVEKFQLKNGLDISIWTKLKLEVKRLTEPLQYIV KQIENMVGEEIKSLQQGAKVFYMKQMLPKDDEERYNYINTPIYQCIQFINDSTQINVQIS DNKSLLVQITLV >CAK66444 pep:novel supercontig:GCA_000165425.1:CT868044:201935:203490:1 gene:GSPATT00035573001 transcript:CAK66444 MFRNRKYFCQSITFYQQEQLLNKVLKDCEDCFKQLDQHGKSIKKDTKDMFIKFTQAKLQD QKKLLETDSVGVFQMLLDIIENVAHDKPLMTYILTTIDAIISENQRLFKQFMRALTPQVV PKLKQFLFLDGYDKVVYEAAAKIVTMIIADEGGNDAKEWVILFIGGIGNKLKISDQMIMP ICVHFLKHDSLAIQFIKSGGIKIVSNQLTKYPTDLHIAYYTILALWLLSFTNESIPLFND PQLGLIRMIIESVQKISREKILRVSFACFKNLVDVSAQCIELMVDNGLIKVVDLLLKGNL KDQDLIDDIKYVGEILEKNMKILTSFEKYVKELNAQNLTWSPVHTEKFWKENVKKFEEND FLLIRQLMKLAEILKSNNNQNIAVACYDLGEFCRFHPFGKVVLEQLNAKQEIMKQARNDD QMIRENALLSLQKIMLHNWQV >CAK66445 pep:novel supercontig:GCA_000165425.1:CT868044:203494:204887:1 gene:GSPATT00035574001 transcript:CAK66445 MSEQQSGQDQLDVTAMMDELYDKLILLNYEQSYLKQKGGKPLNRAYFVNQTNSSEQFNQF KTLVKWLFQQNDVQTSDFNKLDDPVTLSQNIINELKNIGIEVDFPPIKLKQGFGEYVVYV LLQLATKALQKKKFQYKKAKIEQQSQTRQDDEPVQETGSVSSDSDPDVASEEEPEDVFTE QGFQKDEDKMVIESNVNPKEWAKEVERAAQKIKIVIKPDAGEWRQHFDATKQYSNSIKTI LPEARIKLERISDELGEILDRITKREYNINENMSEMCLEFKKKNEEYKKIELQYQNYTNA KKEMTDQYKQIQEKFETVQNKLNEHGSVSTNQSPVISIKASLTKLRLEIKQMDLRIGVLS HTILQRTFHDAKAIQERDYHENGLILNESDEFTD >CAK66446 pep:novel supercontig:GCA_000165425.1:CT868044:205452:206036:-1 gene:GSPATT00035575001 transcript:CAK66446 MQINDKKTSKSRILIEMIHNYQKPVQEIMLLEKSKLKSIQKIEERFLKSETNRQYVFPRT EEEQIECKESPKQQQQLISLDNITNTKAFKELMNKIYQINTSKQKPKNYQQTEFIKKQYS IQSQLIRKPTQKQSNQIKTEMSDIKQNRQDNSSFKQMSITQSRGQSPHNKLQYSNRSISS QLTKFLKTKEKLSF >CAK66447 pep:novel supercontig:GCA_000165425.1:CT868044:206109:207638:-1 gene:GSPATT00035576001 transcript:CAK66447 MSDVLKYLLNSNSKITNQSTKLPPLSITESIKNSPKNKRSRIYSLNQDITQFETSRIDLT QQIENGDYTFKDQIQQSTAKYPKLRMPEQTERSIDASPSLQSNKTLKKFKYPSMLESIRY KKQNYLSQYLEENVQLFSELIKKKDLEKLIQFLNYPVQYSQELNQLKLFNELLFFVAYFA NTIVSLNKAAYLLKDCLKVSELSRDHILKIKILIQFYLIAKQLKQYEQARKFIQKALNYA WADNLEDYEIDCYDKLGMCYFYMGNINKANHFHSKWAKCDVEPKNSYYRLTSKDFIKLYE KQLPICKEFDDVISRYLHVPFINIKTGQMFDSHSIIKYNNCIAQSILDSILQGTDFLQFQ IEYHYIDIKAKQHLNKAALPRRAVEIMAKYEKNNDKYIFDHKIHENPIYKLSLQDRVNYR KTKSYSLDQVQKNIRKYIAEQREPFQKSDKVYVKESQRKDLIPQNANQLSISFKKMFQNI VNLE >CAK66448 pep:novel supercontig:GCA_000165425.1:CT868044:207763:208213:-1 gene:GSPATT00035577001 transcript:CAK66448 MKLIEFTHQAILFLQQQERLKWNSFAKFYLNNINKFSYRLDIIDQFYNIDVFLIWQLIII HQRSMINKKQDEVVSIEDFIERLYQLWVYHPRCLIKPQQELQLTQSNSPMAKKSQAQLSQ QKQSPQIRKQGTMLSETSRKK >CAK66449 pep:novel supercontig:GCA_000165425.1:CT868044:208242:209843:-1 gene:GSPATT00035578001 transcript:CAK66449 MHKHNMHSVSAHRRIISSSIKPSVSRITSAQSRSKSNNMIVNQSPLGFFLEQAIPTIPEK SNVPLKIMEKYLEEGYLINNHESIVSTLKIVSGEVRKYLQYNFIYVQDLPKSYQFQEKDP KLLFRMYETLINLDQTIIQDLFDKKQQLDDSDLNKQHPKHYFRFDILIKFIEILSELVAC IIKIIDDKAIAIFIEQIWKSLVMILDLNTQWQQDRFQSLVDVQIGGYQQSLEQITKEYKQ LEEKQQMQLRAYQLKLAIEQKKNISLREENKKICQQYNHLEDQISELSNIEHAKGDLQNI NQKLHEMDLNFIKYNKYIYESHQQASTSMKQLAKILTAKPIIPKTFIQQKHEQLNIYYKD QKPCVELMINPLISQIEIEFYHVDQLNKKQLASDFLQFLFQNLNAYPSQSSVELYLLWIH ADEQQFVNCRIDLLNKLNQNNNNDYYINVCQQILGINTQSPSSHKVIEDTQKYIKIIELA FLQQATQNQNQQRSNLYLKQSSVIDFELEINLAKYCTYGLLLQLFNHIASIII >CAK66450 pep:novel supercontig:GCA_000165425.1:CT868044:210708:211375:-1 gene:GSPATT00035579001 transcript:CAK66450 MNNSTEIRNDYVIENFKCSFLNCSQREQSLVPSDNNFVYFYQKQNPPSFNMNESESRQIE VIEQINTIQPVEIKFICQGCNNDMMKKPIQLIKQISDNINLENQNRYEIFLDRDGRETPY PPKKGKFTKQMQKCKKCLDNEIEMQNFDQNLKNYINNQDDIDIKIMIAKYYAQEQYNKDK QMFQILRQKLNTNLGPIERLMILNKMKMIVKKYE >CAK66451 pep:novel supercontig:GCA_000165425.1:CT868044:211983:213483:-1 gene:GSPATT00035580001 transcript:CAK66451 MDVDVKQGRLKPVRKNGLVNGINPYQSLSWIYTLFDIVIAYIFAFQFEDKGIKELQLIVL TVIVTCIIYSCLRATLIDPTDSVVKEEQLSKLLGKEFKTDIKSYCLVCQAHVQEKTKHCW SCNKCVSKFDHHCIWLNSCIGDQNYSYFFILVSSLVALKLFRLGQDFKLLYLQTNYEILV YICISVDPPVFLVLTYLLSMHLYFKQAPYIISRWNNISTYEYIKSKNASKDQMIKTQIIQ KKQIQNESTTGYGQLLSTSKRFDLKSQLSLKTGDQKSSNPNYFSNKQEEDKKNNQQTEPQ LIQIPSLFTSKPTSPGNDQDKNQYLSKLSKPKDLDDLKDKQIYKQVIVEDSEPQLNESSE DDDDNDDNDEDDHFHHEKHHHCPQNSHTSDIPYNLEQINIKVDQISQKDMVIKKEIEPVL DKESVNQDPESNQQSLHVNEPPTPSKFYAPAQELNGNQNTILQLNNQQSLSDHCTR >CAK66452 pep:novel supercontig:GCA_000165425.1:CT868044:213585:214191:-1 gene:GSPATT00035581001 transcript:CAK66452 MRINVLAATAASAYLWYFHHMSMNDIGRNYFTKLQQNKDKEMIFVTRMGSFGVIREDVFE TYHHEVLPYYTKFGVQNMRQNDLGMYEMSCLNKQELMYLKTQFLTNHTQLISKQYFGLKR QLSNQTLKLE >CAK66453 pep:novel supercontig:GCA_000165425.1:CT868044:214275:214724:-1 gene:GSPATT00035582001 transcript:CAK66453 MQEHLERLANKLEYKVYPYHINHYDPAHTDYIPAQRQDYKQRLVVDTHNMLIDGVKRDVT MQKQVDEAIKNLDRPYLKGKHGVTKNITGGFRDYFPIQIQYGQTGNLQNEELGYENVFRN EKRWIAQIIYPVEKPEAIGDMKRNWNRGL >CAK66454 pep:novel supercontig:GCA_000165425.1:CT868044:216353:218309:-1 gene:GSPATT00035583001 transcript:CAK66454 MSTVPPIKCPTHKDYFITNMCILKQCTEPLCPECIPRHIKDHAANGQIPQIENIQNVRQE QKAMVFDIVQKLNEQMFLLSSTDEMVIMNNLFMKLEQSKQEIIKSINKRFDDYKQELQNR FREVKGSKNDNSKESVDIVKTQLQRMDILLNKFESSDYIQAILETFFNDIGPQIYQNLDK RCQEYQSQFPDIMISNNVQNLLLQDLDQYIQICNRNQKQRMVSLRDQEIVQQLTGEKPTQ VQNQFQSSQIKKENQSEINQNKESKQLLKNNVYTKSYQSSQNQNIAREFPPSQTSFKPQF VHNTSELIKAMQDDQVQQLKIVSQQQNSFISSSEEILISCYADQRKILIYRMPKFIQPMK NLNISDFQEINLILDKRIAVGHQCIMYGKDILIMGGIERDIDRNLSIQSVYKVNLIQKTL NLHSNMIHRRQGFGACLVEACIYVCCGSSTDCEDSSINTLERFDGQRWTPLRSCMHACTG CSLGNINNEYLIKIGGIDKQYINIQTIEVYSIRQNTWFDLQIYDSQGNLWNEIPLHSGIA QINQNELMVFGGQIGEEFTDKSYVITLDFTTNTIRSGIVRRGFQVPTTGYVDQVKVYENS VYAIMTEGIHLVGTKKTVINCNSDKWIYINNINE >CAK66455 pep:novel supercontig:GCA_000165425.1:CT868044:218746:222773:-1 gene:GSPATT00035584001 transcript:CAK66455 MKCYECITVDKVEKLGNTCNCSSGYYFEKTNSKCIQCHNKCENCYSSSDDSCLSCSNSEN RILDGLRCSCQIGYFEQNDICVTCPGIENSTLYQCYKQCGDNSTIWFNQVCSPISCLVGY ANQNNQCFPICGDQIINGNEECDDGNNILDDGCYNCRYQCPQQCLNCNQFTTLPCPHVCG DGIISGSEECDDGNHIQFDGCFKCKLECQIQCTQCMRGLCYQCLTYGWSVDIISKICVEN CGDYIIIGKEQCDNGYDFDPNDGCYLCKRQCRDDCLRCSSDGITCLECKVIGFQPVSYYC KNICGDGYLAIDPYNRNTEECDDYNLVNFDGCSNECKFQCQITICKTCINNKCLECIDTY YLDQKRNKCIELCNDNIIIGQEKCEDMNNSLYDGCYNCQLSCQPSCLICTINGCQSCLNG YRLVGNQCQNICGDGLKVPGEDCDDANLESFDGCHGCKYSCDLTCLTCYLGQCIGCRSGY MMTNGFCEKRPFLSSIKYYTLKENINYITQNQHSKGKPNQRWLVQSAKTIFYLNTNNHSI CQKYVRGDSKINGYEQCDYEEPINNIICSECKFICKEYCVFCLFGICLQCEEGYFLQLAI NQCEKVVECNEIGLYPDLMNNVCYDVCGDGLISKGEQCEDQNDVPNDGCYKCKYSCHPAC PLCILGECVDDGNTCKVGYYFDTQLVLCYNICGDGIIAMPDEECDNDWFLDDEDYQCYNC KKFCNKNCEICDEIQKCSQCKKNYELLNGKCYSNNLNLNQCLVENCKYCEDSQCMVCSTN YVLNPFDNFCQPICGDGIILEGELCDDGNSINGDGCDTNCKPSSDSLCVNSQCIYVSHPK PLLKFVKEMDNSQIVYLTYDQQIKLSFNYSIQMFINSISSKINNKVVNVSFSEIGSIDQE SCKYFQMEIKILYEEIIIDPIFSISFADLNIITNEFGKISYEDEISIQLPSPNVLSEKQQ QITQSLIKFSGYQIKIIAGLILLSSLSGKFEIIQNQIDVIQQLYYLKYINSRKGQNLIQY FETFKIIQLTSFYDFVGFNPSNNAFFGFSYQKSEASFEEDGRNANYFPNFIQISTVFVLA YFLHLAIKILIKYTMNKIKMLKILYFNLYILYGIKNVTKFSINNWKNKFSEQFQGLLQAL LYEYTISSFLSLIYQDFNQVEGKFGLIVNGVVIYLLLYYLLYQKHQNNKLHAQFTYSSLQ KMLFGTILIVCFKSTILQIQLCALNEFIYFYHLFKQKQQFDKFGLFKKQQTHFNIFIINI MFLINELYKDNPFKIIQIGWIIIALMSSILSITLLVDICKILSPFIQNLINKLKAQKPIF QNHEILDPIQERNFM >CAK66456 pep:novel supercontig:GCA_000165425.1:CT868044:223325:224921:-1 gene:GSPATT00035585001 transcript:CAK66456 MSTVSNYQYYTQNSYSYNHTIVFDVYYISQWSNDALIFEYKNQAYQFMYSTQNPLKFENG ACDSEQHEVRTYQISLPYTNQYTNIKFSLTNNSTKALIRNIHFSYISCHPTCRTCTGDGF NQCTQCFYDVILIDGICRCPKGKTLYSDRCYQYCPLGLTDSRKRYCKQYLISYILALYLF PFQYTQLIRWDIIYDLRQLSNENKKIAPYFGIFRYNEGASTIVNTTYFIYPLGMQLYIYT CNATPINSGISLYLNNTYYSSIYYDGTQFIGNNIQIFYQPTIALSGCQTAKAILLYTNLN VEQGSFTFSIKGNFTSGNSGWYLTYALMSSANCPVYCLKCDRDYFCSKCQIGFKTVSDGN CVSSCPKASILQNNNCIKYDQVTKYSKYLIQQFYDFIVPDNIKSSFVLESSTSKDFQKGD EIYWSYIDSNVVYGGKYVWATARFSQIYTINSPYHSLTIYFDAVFGCNFNGITGYLGYSI NNQTEESYQYYESLTYFNSCILMLRFNQ >CAK84787 pep:novel supercontig:GCA_000165425.1:CT868519:696:1169:1 gene:GSPATT00039695001 transcript:CAK84787 MQIYFDKPLDSTSRVLNCMNGKVEVRLSIFLASIKRQIIAIILYSFKQKIMKRKLKQYEL LQIEKWKQLGAAQVVVVAANVGSCQQQEYLNFWHHDQAKRIVMLYILIIKWVINNCKNKN SYNLFNFCILIYVWGFTTIAPDGVVIRDWIHLLLQDY >CAK81927 pep:novel supercontig:GCA_000165425.1:CT868427:337:1077:1 gene:GSPATT00039489001 transcript:CAK81927 MKVLQYNNTIDTLSTLSAQYKTSIKNLRRWYNEGINRKPGCGRKKLNLEAEKKLCVWIIE QSAKQGKRVRRTQLKDQAISLFNDKYFKASKAWQDEFIRNYDIKYQVNEILYKKGMLNTL QKQKFIEMQEKRNTETNEKNSENTSSSSHLNQSQIPTQTKSEENNNNCQTSAFCQDDYQW FQTPQFDVKFEESFNQQQFEVSPQINPLNEDSWDYKIIQEESQASEFVGFNSSKKSKKQQ KKKRIY >CAK83941 pep:novel supercontig:GCA_000165425.1:CT868491:624:2460:1 gene:GSPATT00039573001 transcript:CAK83941 MQEMVLQKCNKERITHNTPLDQEQAQLNKFAQQKQVEITIIECYGCGQKSLFTLGLVNGT DRWKKKKHFDSLPRLSPIETIGTQMIISHQSRKRGDKINMILARTVTLERINQYEEERKK KEGLKFEDLDRKGPNQQLKEVQLRYKDANHYQQVFSPLVKLEEEQDKQVKEGKVVKSVKV KWDVEFKKWELQLQLIMRKSVWNYIRMILLLIIQRNDIQLNVSGCLEHLKECKQDQRYFL HKVVPPMILGRIYTLAPPTAMDTKEAICSKSTRFKCLSSRCSQESFEVSIDIRSTWNCQD CYQCHYCLSISEGNIVVDQLAEKINKTDVKVVRICIKQEKVLVQILNFYHCTIRCEVWTQ YHQLQVFYELLDQQGELDQMDEKVFIRMRDKAQKEIIEQGGKRLKEMRFLFVLIMEATQA IEPECLLPMLKGAKLIILVGDHRQSGPQLRLAWTEVCLKDQYNQEIRPVRLQVQCRMHPE LTVFPSNTFYEGTLQNGVTISDRTHSGNFPWSNKQKPMIYINVTGQEQLSASGTSNLNTQ EAVAVEQTVYYLYQNTVKFNKI >CAK62869 pep:novel supercontig:GCA_000165425.1:CT868022:670:943:-1 gene:GSPATT00032721001 transcript:CAK62869 MQIDVNKTSLTSRYYTTKGVHNVKGSYVEILRITLIVQLKHKEKGNFFKINNNQELRHYS KFTCKLFNAIEKCP >CAK62870 pep:novel supercontig:GCA_000165425.1:CT868022:1615:2052:1 gene:GSPATT00032722001 transcript:CAK62870 MASQAKECLIEYSVTGKPLKIRILVQFICSNQTSWFILNQPQIIIVQIAYSIKNRLSMYK SKFRQIFSQLLFELKFTQQISLKNKSKNLLLLREFSANYELYGEACHFKQIISLLGCTLR FLLQLSKEESINGQGQDQQPNSYSP >CAK62871 pep:novel supercontig:GCA_000165425.1:CT868022:2585:3972:-1 gene:GSPATT00032723001 transcript:CAK62871 MSDQIFEYLLERKLGFGKHQLRQFFIISFIDFLDGAEFLFLSLLTPTLKQEWNLSIVELS IFGGSFNLGLMIGSTICGFLADKIGRKRILIIGTVLQTGVVFLTVFAQNILQMILLRLTY GTVIGMNLPISSILMIEVTPKLHRGKIIVALQIMILLGRCWIMILGYIFMEGISKGNWRA ISLCNSMPCLFCLIGTIFWIQESPRFLILHQEIQKGIDNLNNIGQFNNSDYEMLTDNEIL SLKEWASEQHLHHQQENNSIKQLFNSENLPITWRNYLIFFLFMFTMLAIFNILPFILDDE NKSLLHLYIVDIGEIPAIFLMLYSIDTFGRLSTLLLSTTLLIIILFSIWVWKFQIIIMGL IIFKFCCKINWGTLNVLFAESYHTLYRSLGVGTTMAMGRIAGSLAPFVVFPIYFNNNYLP FLICGVCSFFMLILLISYPIDLTKKPLDQLKKQ >CAK62872 pep:novel supercontig:GCA_000165425.1:CT868022:5265:10033:-1 gene:GSPATT00032724001 transcript:CAK62872 MINQTNQLAKLKQEKQKIKQKYPNSLEKQCQDNKEIKSKMSQLKQEMDNNRQNISRKTVG LQLFWRELIGLRKSKNYYLEIDPVLILYQLMRKGESLEFLDGDTLSIDTQFLLDLESKIT QNKKQKILVISILGPQSSGKSTILNKIFGCHFWASVGRCTKGIDLQILQVQNEEQFQNHF DQILILDTEGLQNPNQNDPEFDKKIALFVLSISDIIIINVKGEINQQFKSLVEMCIFTLG HLKNGLSVFLSWFFNQNDNYKNVEPFRQQIKDVAQDLNIQWNENYQEQQVEHIDYAEILD IKDNIYGLGFASDQKGWQKKDWIQSVNNHTFSEEAYRQGINMIQQFIQKLQNQKDSLNNF SHFLQNVQRNWESIEKLPDLLEFSEIMQHQQNLVMKKHFDELWNNQDIRQVGVNLIQEAK LQLQSQQQIAFQNIRQIKQEQENKIEQTNQQIKTHLEEKMETFRSENKIQKKIMQKYLEK LKKLIEALEADCKLKLLNLIQEYEREYQQKKGYVQIDNFIQEVLNDQLKRKKFQGNDKEI ESEFKKKWDEFISETERQSESQYLEMIKAQYKALQCISNQFILRTKNEQQYINYFRNEII KQNPNNEQSKEQEQIFQLFKQEFSEAEQFIFINKKQNNLAYLDIFQQKIEEKLEKSKAQF LDMTQFYSQKSIYQVVAKQEIQNYLQNQFQNDFQNYFKKYEKQRNIKNYYYNFLSLLYYL QIKYDEELKQELDNIINNPTSWQQLKLLISSKSSQVSEAFSKLKKSLKFYDNDTLELDFS GKQFGQTFQDAYQSLKVIKIVDSPLNGVGSALDNSQKFRQQQFQKYIIIDTEIEIKPNQN QFQEDFNYIKSPKNDVPYNFRTTFPKQFNEIMIQQNGWRKLYNELYELIKQEIGYSKSTI NKQAYQNNIEDENISSFNPYLITSIIQKVENNIKIKYNNQFAQYGVILTDVGERCIFYYC ILIIWRFLCYQRWNLKNIQQKHQHNYYTELIRCKAEINQDNQKQSCIKATELVSKIQTLL KNQFLDRTKKEVVQQMNQVSMSNVELIAKLDKELLIGLNAKMFEDEQFKKKILSYTTDHK SFINLYILNILKQVQDQSLTEYSNKYRKELSLYLKSIKKNAKIFFEYIKNQQKEVKTIKY FVEDAKQKDKISYENYLFRLFIQCLLGKIEDKIDIIEDQYKEIFQLSNYHPVDNQFKINW QLFDPQDQQVYNLKSFVETLIQQIDLILDSIDQIRFKQTEYQLDIEFEKLKLQMNGCEYT CPCCNRKCDEDNDDVINHIHKCQNGHQIRGINGILISHNSPSLYTCEEILDECILRTLET NVQKTWKEVKKAHSNWNFKNINEAKNNENRVKWKNVWNIGLGKLICQHLKKSLNHEIIFS QKSDFQISISNIHYILILDDSGSMQGVNWDNAKNGALHCIKSLENVDCAKVSVIIFNGDA RIVVECQKPNYIQMSSCISYKGGNTAFDPPFNLALQLIVKYKGFNKIQILFYTDGEAGYP QTTIDKFCQLPPQIRSLINLIACSGEKSSHSLQLMIQKFQQNMQQASLRNSVQPIEIQKV WTEVVSKNIHSQLV >CAK62873 pep:novel supercontig:GCA_000165425.1:CT868022:10734:12474:-1 gene:GSPATT00032725001 transcript:CAK62873 MPNILMKKIITCYQKNYLKVMEAFQGHPWFQKPINLSLINYGPEIYILFLRKGLGYIRLT QQYFPQNISKLNTFKIGLRATKLFDVPIIKLRFYEQQNKLPFQKIYNLLVFLSEISPQNI LGYLIMVNKSQNISLTAVKWTIYAIFNLFQKIDQSQFQKVEKCIWQLFINQCYDFPFIFR CLKNKVHFSKINKFVQLQYYLCKNLENKLLQLTEELFQQDEQLYEEWFRILKPSYVMLSI EYLRIKTSQQYYPKQMNIPLEDFNISLSEYSEQKQKQILENWIEDMTDAQKFKLTLPLLF NIIKRGESPKDVLILANKILQLNRQLADRIGCFNIKSVFQIFFNNANDELRLIIMKFFSK EFPIPFLYQNPILDKLKFKTELLLLNSNLFYFFDQGYTIINMSLSENQKKIGKTELINDI FYEREKFETSDTCQMNSNTIDIMFDFEFNNSRNFIVADVHGKIIFETLIKILPFFKFWIV QIDSSRELQENLDQLIKILQQIDQQSKYNFKICIIVRNTEEKDQINKPPSTLKIEGQDIQ IYLYSQSSYANLNKQVIQNKLNLRCFQFFV >CAK62874 pep:novel supercontig:GCA_000165425.1:CT868022:12864:13978:-1 gene:GSPATT00032726001 transcript:CAK62874 MHLEVNFELTLNNQNIKQKILEIFQEIAQGYLVESNYILEDLNLLIQQLSNPDKEEVIKA LNTYFRDYEFVRQGGYLLQGNFSKMKVYDQDRKTYNYESILDKIKMPEYRRQNKIPDELV TNLPQLIKLKIKSLLVFDLLDYHLDKNDLSQIEILKILLELFYCNSIFTEDESKTLEQLI GSLLQKQLYEISYYFENLKKDDPINYHTFVEYLQNLQLTVISKEDSNLQAFLQKNQRYEV LLMNLSKDVINIRSTLEQFISSLIQIPTKQCQFSQVISYVNNIENVNDNINDLYVQTVFY YWKKYCFKYNLQADLKNQLESLPKIGTDRKQILIDRYDIFICNSKNLLFCQRNR >CAK62875 pep:novel supercontig:GCA_000165425.1:CT868022:16277:16617:-1 gene:GSPATT00032727001 transcript:CAK62875 MNLEYERIKFIFPSLDKFIIMDFNKKIALQDLKFTFTNVLKIDLFSKLPQFFASKGFHSI LLNSHQSLSGQTNQNFYQRGGNHLEIIVKFQEEGA >CAK62876 pep:novel supercontig:GCA_000165425.1:CT868022:17650:18951:-1 gene:GSPATT00032728001 transcript:CAK62876 MKCIEDVQVIISDCNTALESIRKSFYPFINIDFEYLSELELNKVNQIVIGFIQIEVFQEN LLQKFKSSSEKLQKIANSLKIQMKNQQSSVNQQESQILSTIPIVQEQSKQKKQQLKFKLL KDNCIKQDKRCYAIAFNSDGQFVVLGCREIIKVFEHDKGKLNQIQLLIEHKSDISILNFM KKTNHFLSGSKDEIIIIWQQYQDKQWNCVQKLEAHRDWIFCIVINKDDNLIISCSADTTI KFWKKYNGFSCQQVITEHQSEVVSLSLNQKESKLISCSKNNQIFVIERTSFTTIWQVVQK IPLDQYGVRLCFINDEQFIFLPCLQENLQLYQFDLNHNEYRKILDYPVSCGSCDECNFFP IQYQNAKGLLVIKNGKSVNVMRSLEKGEFVIEQLIQFGSCDNYGQLSQDGNYLLTWDSSS RQIQIRKCMTKLF >CAK62877 pep:novel supercontig:GCA_000165425.1:CT868022:19659:21959:-1 gene:GSPATT00032729001 transcript:CAK62877 MLKISFLFLLCGFSVFGQDKAIPNVYCACQQIMSQVECNNWNCQWISTTSQAGYCGKVKC EQLNQQQCQNSQHLCYYQESSQQINCFPFDTCEHITLKSGQSCQGANSKCVQSNIDNKCI NSGDYCQGLSQSQCTAMQDTQDIYTLTTEGMCFWSVSSCKVVSQCAEIQYESICNKKWLN YACYWSGTSCVSQKCSQFTSQNTCRFVQTTPLSGALTQPCFWNGQTCTNGTADQLTEYTC ASNTNYHYRWVPIEPLFGICAQCNLNQYHFPNDCDCTQLLLQSECNNSPNCKWANDQCIK LQCSEYLSQQKCAQIQGCFWNALIIPSLCQPYDNCEEILEKNYSTCIASSIYCPGSKDGH CLSQSGLSTCSELFNNKPIQPSICYNSIGKDGYCTYSNSACQSVSQCSDFQTFELCNQFY RTCIWQKQVCQIKKCEDYYTQSSCSYVYSSINEANINICAWNQDTKKCNIFYNSINYDIN SCYIQSGRTHHWSQPRSTIQKGFCLPCKFSHLLNVKSKCECQDFSQQIECIQSFPNCYWD YKTSTCQIQNCSLLTIQGSCILNKNCYWNQTTQNCTGQSVSNSTQSFCSSLLGNTQQECL SQSILCAGSTKTGQCQSSLQQCNDYQEYYQCFGSLGADGLCQWNQNSSSCSGASDCKKIL NSTLCNTMLRTCYWDSGTCYTFNCTTLYNLTSSCDYYLNQPNQNYEAQFCNLNGNVCDKT TDLNTRTSQNCYNSTGGIARWDNNIGCGQCYGQLISTIIIILISIL >CAK62878 pep:novel supercontig:GCA_000165425.1:CT868022:22719:23753:1 gene:GSPATT00032730001 transcript:CAK62878 MYQQYNQKNQVKFCYELLSELTIHQKQVCYAITFNQNKQLVLVCCDLNIKVYQFNDGYLK LISILSKSRFTITVLSFFKNNRKCQFLSGSCDSFIEVRSITQLRSQKYTQKLDSHKNGIN CLVIGQDQNMFLSGCVGQAIKLWSCFQQNQRSCQWSCQQTITDHTNSVYTLDINSKQNKA VSCGYDDTMLIMDIIKNMETYQLVLKQKIKLPNFSYRILFINDSDFIFQSYSAKFLQLFQ QDQNEKYFITYSLPVQCKSQPCNIFFQPQFIQSKGYFVCKNSQNLNFVYHNPVNNELVLL YSIYFDNYEQYGSLSEDGEYLITWNQKLEQIEIRKYKLIDDKNN >CAK62879 pep:novel supercontig:GCA_000165425.1:CT868022:24644:26917:1 gene:GSPATT00032731001 transcript:CAK62879 MNSSNDIWRCQDHNELVVFLARSISEQKTIRLCHKCVIDKKKDLTLKNLILIDDAKKYLQ ETKAGILEERESLNKYNIGILNDIKDSIGNLSLNFNLLIDKIKVNIDSGIKTIEESSIKF QKELNDISFDQIENTNMEKSSILYQLINQKELYDIIQSQIGNLFNIQLLQKCQQLVQSLK VGEQSETLNQLSNIGSFIDQQTQIKADWICDKHEQEIVFADLSQQKSIQNRLACLECVPE YQIQYTNLGQLQQKWQKYTEQALKLFNFQQSNTQSKQTQLILQLKEFREIFLKIFEQLIQ SIQNKVALENNDLHYHHKLFQKTWQALSKNDLINIVELLSSQNRLALVNQLIEQEFQSKD QAIYATIYAQMQQLQNLINSTCLQVISSNMKSFATNEKVNQNKINIESQIQKSLSLSSLI LTLSLQLNKQITPQIFKPFTYEIVSQISESIKCKAFAFNNDSSILIAGQDDGSIKVFEFN QGKLKEVQLLKEHSNYIQSLYFMQRSSSFISAGNDKQIIVWRMNNQKEWQSYQKLEGHTD RINCLIMNNKEDCIISGSNDTTIKFWVQENQQWKCNQTLLDHKGYIRCLSLNISQNMLIS CGQDKLLLIIQQNNNNKIWNTIQKISVERQGYSLCFITDQMFTFQPYSINIMHIYQLNTK SQQFIKIEQEVSINSGSGCSQFFPQKFIKEKQILLNKNGQNVNLIRLHGNGQFKTEQSIQ NKGDCCGLFGIISNDGSYLVTYESQSKEIKVRIYREK >CAK62880 pep:novel supercontig:GCA_000165425.1:CT868022:27455:28916:-1 gene:GSPATT00032732001 transcript:CAK62880 MKLQWIVQKHYNYKEKMLMLFILEVNNLKQLANAYKNQGNYEEAIVDYSKALELNSKHAA AYYNRGLIFSDQQIYEKAIMDYSKAIELLPANPLYCFSLGFLYLSLKDLNQAYENSQKAT QLSLKVTSQQILQFQITKDKIIFLLQKVEILTSIDKELQNVKEEMEYLLKINVLSEVYHQ QYQSEIKIIEDLLGLFAPSKSKENQGEILQTLKLQMENILNCKIEISKLKSNLSQDQDDQ NYHFNEKIPFNQTEKLSINLECSKQKESLNPKMNQYQHSLFWHLFNYLYIIELISLDSFM INSKFDSKQILEVIQQNDNLKNSNEGFVPIFSETFGLINRALDFFGNPQEKKLQSRVLNL KSILQAFTTDKSEYKREIEQASLYLMNNTKEDFEINQFNIFELFIEKISILEIDYVQYSE SNFWKSGILHTLIILQYFDQNCQNIINQSTICTLKDIIVRSINEFNSESFAIEYIKQQ >CAK62881 pep:novel supercontig:GCA_000165425.1:CT868022:28932:29526:-1 gene:GSPATT00032733001 transcript:CAK62881 MNSFNQSIFAYGYLNNGLVYSKQGKFNQAIKEFNKAIEENPQYAAAYHNRGDSLLQQLGN AFQSLANFEDAIKDYSLAININPQYSAAFFNRALVFGKQGKFQEAIIDYTQFIEMVPENA SAYNNRANSNQNLGNYNEAIADYSKSIIINPHYAAAYNNRGKNNMYIMAMHM >CAK62882 pep:novel supercontig:GCA_000165425.1:CT868022:29842:30842:1 gene:GSPATT00032734001 transcript:CAK62882 MQSLQKKVVNIVIIGDQGAGKTTLFKQVTTGQFTPEYYSTIGVDVGIKEISIEGFQYHLQ FWDTSGQERFQAIIQSYYRRAECCVIVYDLIDPEDYKNVKHWMKQFSFRCDLDPEQKFPF VIVGTHLDKLTEVQKQNLDPSDNIQSFQISLKDDNSVQQIIQAIINCAVLEQHNKIKYQE KAKSNPICQKFTNQINNDLEKLNDIMTLVQSSKKQVVETYDQLINNVGTWQKELKDLKQF YNSPTFVKQIESSNFSEELFFEQESISFNDKIQQVNQSNSEKSEMNLKDFSNVLEEATKL KGEFNQKKNQINKEK >CAK62883 pep:novel supercontig:GCA_000165425.1:CT868022:31409:31747:-1 gene:GSPATT00032735001 transcript:CAK62883 MMPLKSRLDLDARRRMLNYVKLREPQQRQCSLPQIRFHEKIETIYQMSELKNWLVCKFQM MRQPKIQLGNQQIQKQPYPHFQDAKKCNLYLRYDSRYLRVNT >CAK62884 pep:novel supercontig:GCA_000165425.1:CT868022:32895:33797:1 gene:GSPATT00032736001 transcript:CAK62884 MKNKQSQQRKLIQIQNSNKKEKVNLCKKDTSRIGSVINKTHNKNQQLPVAKPITQIQPKL PLIINYENSSKPKNTNKQMQQKPITDLQKQNDKVENNNYPNAILLGAPGVGKTFIMHKLF PKEKNIKEFMLYKLSLLEYNFVDTVGFDFERDIQMREAQIKMFKEFFIQVNNRISSIFIV VNFERTDLMKKKLISVYKYFRKFTNLISIVVTDFHLSESNEDEENLIKSLKIFQPNDVLF VRGDVKPEELTNQLKKKQSKIIKKINQFNQLNLKDTIFEQDDLEEQNILRTQLLKKMINK >CAK62885 pep:novel supercontig:GCA_000165425.1:CT868022:34419:36477:-1 gene:GSPATT00032737001 transcript:CAK62885 MFIFALLYINFSFGLEIDVNTFSNYLDVQNKHIHFELLSNMEKKQINATANYKFHVARSS INKICLDIKELNIYSIYMENGLLLRHIIDNPYADSDQGQRLNILLNREYRRGEIIMLSIK YSIDSRSESVSFMNVSQTSTKSMPFLFTQCEDAYCRSLAPLQDTPAIKQTYSATIITRIQ KQQMFLQQFKIQNHPEIDPNFTWKYKYFLQKIPIPSYLIAIVAGNLQKVPTSNGDRTFLI SEPDKINEYKEELKDMEQFLQAIEQYIGPYTWGTYTIVILPPSFPYGAMENPLLTFASPT IMTKTGSGLYVAIHEMAHSWFGNTVTCVNWGNMWINEGFTVFLERKASSFYYKVPDDIKL KAIIGNASMYADILNFGPDSNFTSLHPDTTGINPQLSISDILYEKGFQFLTFLEGIIGEE DFKYMLRSYLATYMYKSIDQQELQNFIIRYLYEQHVDNYSTKRYQILEYWDSWIYQPGLP PIRLDFSTNKLVETQQYTTAYILADGQQPDHYADYFNFYPSQKKVFLEELFKKAQNKELT QQVIEQIDKDLKLTNENDCELKFRWFKAILTARDRTRFTQISDFLGSVGTCEISCPVYQA LNELDHEFAVQTFRTYQEFYHQITRQSIKRILEKNSQIKQ >CAK62886 pep:novel supercontig:GCA_000165425.1:CT868022:37661:40708:1 gene:GSPATT00032738001 transcript:CAK62886 MSTQIPQLMITEQPLDDQQDFLNYSLSEVYFSVSKFKDNRKNVSENSMNLNYKEQENSTP ISPNNINQTKPSYLSSAQKSNSQERISVNTTQEKVYRDFFQYFLIQKFVHKISFKKKLNS LFDRYHFEVINDLGASFDINLFRENTIKLTPIIVKQVRKIENQFSMRLKQITSQIQQRWT IFINKIPLIYPESKLKLIWDGIVTAARFYFIFIIPLDLAWEIEQFMFDFLIVPTSLMLAL LIIDYIISFNQAFYEFGSIVTDRRKIVQYVLTKSYGLDIVSILFLIIFLIISNWYQLLLL LFLIQYKNVSKLSEQVEEALNLSKQMSSLLELSKLFFLLSFVLHIFSCLWFWIGYYQLTN NHKTWVPLKNLYQMNWNEQYPYSFLFFSXXXXXXXXXXXXXXXVCGNQQISFYTKEKRKK VQTINTYMQNKKISYQLQFKIREYLNYYWSCNQQEETQEEKQIINQLSENLRENLQFEAN SMILNNCPLFKNYFSEQLKKKLVKKIKSIVVQPENIIDFNHFFPEQKLNQCICFVEEGEI QIFIENEMLQNHVSYNSISIVNTVSKGSSLGLMSFITGVKARERFKSLGFSKLLLLSRDD FLKIIPEFPEDYEIFREMHDDLILNEDSQFLKLACFSCNSIAHKVIDCPLVHFIPDKEFI IKKHQFSRFQKRNESMKLKFRRNQKRQHGYFSVNHDLDLIQETAKLFQADNYKQCLFYEE IDDDSEKDKPKLHTPQFIRSINYTLADSIKQEFQLESSPKQLPFQQPQQSRRSIIQNMIS KKSLSLLVDDFTLQPFKGIKKFKRVVEVVKSLNKIVNKKSCKAQTKNILASLNSENYRDL EQISLLSGIIRRLKYIQNHNIECVDKDYQEMEFLRMKLQVLLSLQKGLEDTKEKFETVRQ FKFYCVHNNVDQILTVQNEFYKVKLQQLDLPKIFQSFVRYLMYPYSFIHKYKLKADSFDV KEIKKEVITKKEQNAKFSKLLTLHKQSKKLRNKLHIKKTQIQPL >CAK62887 pep:novel supercontig:GCA_000165425.1:CT868022:41798:43683:1 gene:GSPATT00032739001 transcript:CAK62887 MKAQIQQLSQLQPDKRILNYTFSQQAVIGRGSYGIVYVGMNIDTNQVVAIKVVPLQCDQQ SLRKEIDIMKDLDCPNIVKLLDVVQTPNNCYIVSELCTCGDLREYMKRYGCLTEQQALPI LTQILKGILQSFKRGIIHRDLKPANILITSENIFKIADFGFAKRFQHLEGDLMNSLAGTP LYMSPQVLLRKQYTSKCDVWSIGLIYYELIEGKTPWNVADILDLINKQRNTNIKFSKLKC LQILGKKISPMSQQFILGCLQYEEQKRLGWEQVFTHSLFDNKFLMKEQDQKSQHSTSQIK NESDSMLNAKLVTVNSPPQTKHPLQIYNKQKASQKELLSKVESPKQEIRQLFNHSKTVML PETQKKDRTISIGNQPQSTRNSGNKMFTENQNGFFRQKSDNDEQSNNKQIIQNQIEYLQL LKQIRNQLLDYSQVTIQQTNVYKLQFIILKNIMIITTQLKSCLIDGNVNKFELKNFDIFK QRDTFKNIEKQILELHFDGFNNFQECQLLLNENYTLIMNDLEFMDIFNNNFEYSVQFCNL AKHLSLSFIKEYLYQILLGYENPKIQLFTFLLCNYAKFVGQYTQNVEQLLHTSKTQLSRN ECINYIEKILFN >CAK62888 pep:novel supercontig:GCA_000165425.1:CT868022:44086:46955:1 gene:GSPATT00032740001 transcript:CAK62888 MTEEDDSMYFLNQISLPLRKMMTIRPPKAQPKISTRFKHKQVRQQEISNEQAQEASEDGP VINFTKLFKTSKEAGTAQGLKVDPTYVAPMAKSLFLNPDDKQEEDDDPGVLKLEDPEDQP LPPEEGDVFIKSKSNRSQRSTPKKDESILDVIQKYDGKNIENSLEFSVHPIGKGTTEQFP ASQENRDELVDTIFSQQLKIGIQSTDPKTDFYGISLLTQNGSLFQNALKMSLKRAFMTPI LPFIIIITILDYTMTSVNQFQFFIIYILILSTLPTFLWYRVYRQELELEFKFDRQLVNVF DFAQKRFSSRTIIRLFPGCIVQLKKGERVPAPMIILSAESQEHAQVNFGDDVKTYKVAAP KLQEPDLTYIDGQLEFNQLPIFSENTKARLRLDLVEPIDVQLNQEHLVEENGIVESNQLI GVLLHSSTRQKYLREALSFNLPLYLNPLNSEFFSIGIAIVLSITFSIAGSIWSSNNKDKH LYIREQSNYNFISLLIDRLIIFSNIVPIFVEVFRPIFLWLARKKVNGDIGMDPQRSGLLT KLNSYIALDNIANTKFINYGQVLNEPRLRVGKATLLGGPQGEKKDIEKNNDDVKLQGYEP KPNKEIMDDQIQVNQSTAFEQIDLEYDAQCDDKERSTFVFERALLTLPYKTLSSSQLAIQ KYCQLGECVQFSYDDNQRSVLLPKEVLRTYTVKLVVNTEQWDAYLYEMPLLEEKDLESDD EEASDLQQLQDRIKKDRELFEKMNSKRYIWVLQADIESTLCKEHPQLSQFMQGKAIFEYT FQEQEYQRLYKQLGSSAFKRKYIQLPPFAEEQLMTQIKPCGVFQFQTVAHHNFHLLLDLN LAIIRQWFFIRDVERDQTLQYLKQYMECVEFTKDDLHNEMNSVKSQAVPLFKQSTREFKV FDNLYKIDDKFITLIINQEVLDQALSDGEMRSQFYEVIMIASFCGLP >CAK62889 pep:novel supercontig:GCA_000165425.1:CT868022:47128:48126:1 gene:GSPATT00032741001 transcript:CAK62889 MSHIELVNLDGLSKLILTHARQTHVRTAGFLLFILYKGFLITMCEFFFSFFNGYSSTQLF TTSFHFMFQTYFTPIYGFLIFSFYSDVNHRVIRMFASEFIKCQRDYYFNRKKLFLIFFDS VYQGFIIFYLPANILQYANNQDGIIEGFYYISLVSYLTVLLTTQMQPILYLSTLSKEQIV VIMFNITICILILFTTCSSESWSTSIPQYTHHLESILDSVKSSCISLTPTIILQQFETVS DSQLIRMIHYYEEKEEVEEEPKPKAQEQKPSQPIDTKQLQTKGMEQSMMIEQTSQDQINM SQDNLLQK >CAK62890 pep:novel supercontig:GCA_000165425.1:CT868022:48200:48969:1 gene:GSPATT00032742001 transcript:CAK62890 MLCFKIIIVGDTNVGKSCLLLQFTDLRFKNTHDTTIGIGFGSREIRVMDQNVNLHIWDTA GQESFRSLTRSFYRGAIGGILVFDVTSRQSFQGLVQWYEKIQSYACDEIELIIVGNKTDL EEQRQVSQKEGMQFAKQYNFEYFETSAKTGLNVNQVFENLSCKILQKVNSGEIDPCKNYG IQLSKKAKLAKQECKIQNTKQDKQKNNTCC >CAK62891 pep:novel supercontig:GCA_000165425.1:CT868022:49228:49934:-1 gene:GSPATT00032743001 transcript:CAK62891 MILKIIQTNYQMQNCKHIITTIRMSKFYILFSILQVILSIILLIYIMTDPIRNLHQSIVV QAEVILTLAILVDISLRIIAERKQFFKDKWNLIDLGSFILILILLLFFYMITNEFAAIID DVIGLVVMVLRYIVQIVRLALLIKQSHKVKQLQQIDDIKFEQVDKQNEDSIEKQQPDTNL >CAK62892 pep:novel supercontig:GCA_000165425.1:CT868022:49940:51058:1 gene:GSPATT00032744001 transcript:CAK62892 MQSDYFTSKSTKNHHSTQQLRAPKTAPSTMYAVPCMNCENLIPINEIDVHTMKCLSVSKS VTAVLKSNKLLDEINFKISKLRESIQQLNQKESKQDNIKYLNRADEMSEQILTIQNTNQI ELRKLQDLNQELKTITESYRGSLAIALYLERLHSLALQKQTQLEKEVRTSRVEIQTQTQK INLINSNSSNYNPYNNYSSVSSFRYQQQPEYSRTSQLPLPSQFQSPPFGRPTIITKFSGS QDRNQLNDIKSEILTKISTSQFDESEVNQNEIDPNNSNQYNQQQRIFYSKCLAQKTKLPN THPSQKIPLCILHKEMLQRKIPSAMWDKFILDALNNPHQYLDMNKVQNPQGLKNQLRSMT QEHQFKQRIQNI >CAK62893 pep:novel supercontig:GCA_000165425.1:CT868022:51119:51694:1 gene:GSPATT00032745001 transcript:CAK62893 MQGAPEVPRRFRLLEELQNGEKGIGDGTVSYGLEDAEDMQMTNWNGTILGPYNTAFENRI YSLKITCGPQYPKVAPQVKFVTKINLPCVQANGQIDLNKFAILKNWVSKNTLESILTGLK NEMSSAANKKLQQPPDGQTY >CAK62894 pep:novel supercontig:GCA_000165425.1:CT868022:51700:52259:-1 gene:GSPATT00032746001 transcript:CAK62894 MLNKSIKVTKKVIIINQKTGTISENTSIINREPLKNGIEVERSDQKNSNQRLITNELGEQ KCDLRQLQEMLNIYLKNNQKIKEIKSIVDALKNRTNKLEQQLKQQEMKYKEKRVRRTSTQ IEKKYKCYGKNCDKSYGSMVSLNLHMRIKHKRQRNVQEQQK >CAK62895 pep:novel supercontig:GCA_000165425.1:CT868022:53091:54294:1 gene:GSPATT00032747001 transcript:CAK62895 MQVNKCMFCDKLNNDGSCHLILTKALYCKYSQSQNFYYEKDINEILDDKQVKSTIRFKDY VQLDEHTEFMRRFYRFFESDDRIPALLEYYKYHINIPRNFHCKVINKRMEKNREIQYCKI KMELGLFEEVKQQSQSKVKDTSEDCSVSQLKYLLRDLKIESTQTDISKISNTTVLRDLVQ MIGDTKVQPKPFQIFNQNKLIQKSLKPTIDAQKQMYQNYHGTLKKTIEMQLRQVQQLKSP PLTQRIPKPVQTSRQTSAQKQRSKIEIPIKTIKKPPQLKSRQASLGNIEMTKSCLTTARN TPQQNELLLELAKKMFSTKMTFNNSNSKKKIEKQQQNNFFVKGRTSLANSQKNFEDLKSS MRSLRSRHLTPSQICSSNHGTPKTKKKMILK >CAK62896 pep:novel supercontig:GCA_000165425.1:CT868022:54374:56203:-1 gene:GSPATT00032748001 transcript:CAK62896 MNTLSSNPKKTMFYAAAQSIRYLNVVDMLNQHSNQSSMSTLKRSLFQLALVPPNNPREQL NQSLSNNSDRAKQNISLPRKSNIFQQDRDRSLSYKLNNSIEHNTSLERLQNAYNIKGNFQ IANENLTSQRNWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXERFENQVNCFLQHNDQI QEELQQQSNDRQLECETIFIPANQKINTDVDTSQYQPQSKEISQSTHNFIQNNSFQVHQQ NNMSTISNPINNSQHYSSQNQNINSIINHSRLTISQLTQRERSISNEKQNEELLAKVDEI KDEIKGLQQKVKKPAQTNLQLKLPHRTAMLMQISSKNQIQVQKQEEPKSSQRN >CAK62897 pep:novel supercontig:GCA_000165425.1:CT868022:56243:56887:-1 gene:GSPATT00032749001 transcript:CAK62897 MNCNQEPQSIKIVVVGDSSVGKTSILLTYTQDKFPIDYVPTVFENYSNVISVDNTQVQLT LWDTAGQESYERLRLLSYQSANAFLIVFSVDNMDSFNNVWSKWKPELEMAGKKDLPKLIV GNKSDKLKDPDKFQMFWERCEQEGLKYRQCSAFLNNNIKNIFEELVSMTISERQPSTILR STMRKESIKQLKSGCQLL >CAK62898 pep:novel supercontig:GCA_000165425.1:CT868022:57243:57689:1 gene:GSPATT00032750001 transcript:CAK62898 MNQQRSKEYPLRFKKDTQKIDLLKIGIVQNLEYLQEKKHNTIYSIESVNSFWEPAVLSHL ARIELEKFIQNNITLYRYLENSRSYAQSEGIDNDLSNQQICSELLIYYCLYKMIESQLPK GSRPLRSHLLQNPMNKLLNIPISYRLKN >CAK62899 pep:novel supercontig:GCA_000165425.1:CT868022:57865:58201:1 gene:GSPATT00032751001 transcript:CAK62899 MQLQTRNSNSLIEELQTEKKQSEETKQILKMKVQDFHQKMQKERNEKLFQKNRQIVMLPP ILQDDQNYPYQFNEDDFEDEETYHINNFDEIEKELETFYLHKI >CAK62900 pep:novel supercontig:GCA_000165425.1:CT868022:58246:63262:-1 gene:GSPATT00032752001 transcript:CAK62900 MYCLSFIEYFKERSRDFIEFMNESQTEVNSTLIMIMFSFQRISLLFDHDYFADKPYIDFK LQILQQVFNWFTLQTPIQLIGRTNLNKVLSYIICVYLWLIIINIFTTFKLKYTLKSFFTY YQLLVLIPIIQHLNNQMLKEEDFIIGFIIIFAIFICYILYFLVNRNYFLPQRNKFLRRYS YFNFVLIIVDIVFMITKSMVSELISSIILVMMNLLFLIDAVLIQPYSNQINTKYVSATAL MLFVSLIKLTSFEISQTEVFYFILLLSTLSVAIITSLYNRQMNNQIYNSPMTQEKMLTVV DILNQTKMSPIQLYSILCHIKFKHNIWIENDNLFSKIQQTVLNLMNLVIEQIKTKDWVVM ENLQLYKIMYVIHTCKKTQLGYIELKQYMTNSQRQSFYFLVISNILQEKMAEKKKTIQVG GDQEKKLQLSDIRRAELLYEDNLSLMISILDTKIKFWIDLDKGYQRIEDFALCTYKLSEK IWNLRDAFFNWFAIQPMKLQTRLVHFNILELKLLSILYSAILNDYHATLDIEQRIDEIMQ FERNVQTDNVNNLTLFNDELVMIATSFARQKSLILNRNRGQLAQFFGYKDEKDFQNILYI EQLMPPYIQQIHDKIIFRYMKKGYSLLIEHSKEVYVKMKNNFIQAANISLLHMFENDSDY IITGALQKVKTSYDFLIFDRRGKILGISEQLFSILGEGFTLDQLLNKGFVYFWFQTIFEQ IILEKEYLLQQSELQGRGIPKSMILCPINNFNQLCVDHEYSRANSTVKNHESQNYFTEVN DTEQQNYLTYGNQINSIHFLKEQNLSFINDFISRINQPRYIDKDTQYSIKFQLTFNVLGS DILPQFVLQINDIEKVNKKKSLNQPSTSFYYSTIKKTLASDPFNESDFQLDHEGIENDIR FNPPIINKINQVQNADIDDSQKKQSYLNESQQQQQLVSPRGNKEILINVEQYDDEDEEDQ KQVFEFAKSSSQQNQNKQNVEEVIQQYKDLELEFKDNAKQSQSSATSDRTQMSVFNILRN LQYTQRYQTTLIKVFINTVILFLVLLFLVILELVIARANTSNLQYQIPLVRLPDKFNRLY CTFTVLGQIDLEIRLLDFDYGEYYVYRIIKESQQLRQQLLELIVQIEQEFSVLDQQGKLT KAEIKIVNQYIYQVQNISFLQFNQMVNEYTQALNNLMEETDEQSAINEQIRLLFLKANLN NIIDFVSNVVENIVSEFFANIDNYEFLYLVFLFVQLLIIIVAILLQFKLWVEPYGYKQNI LLMICRVQEKDVELLILKYKTFKDVLINDISKWKQINYFKEFFTCRISQLKKLQKLKHSH QVDKKQLKQQQRAKMNQRIQETQYSIMHIYLMLFFLWFILTAYVLSSYLFQNINLKDSNP ELNLCMKFVRFKQRFDSCMIISQLIKNQLLLSQHQRKSGIYLKNPEYNKTNGFFQENNQI LLAQFKISLDLQKEQYNSIYDNIVASNKINDENKNLLLGLYQDDLCDYIGEQLPFCYYQN GKFQYFPEFPQPQEINNNREHLKQGFNGLYQEIQALFSSKFVKELNGELETNADEVVLFM ETAENIQLLMPYFFDLNKAIVEFYVTIISTSVDILETDYQNYLIYYIVFGIVASAILFSV VIYATRKLQRQIRAIRQALVLLPHESLLDVQVYNAIKRFEQKM >CAK62901 pep:novel supercontig:GCA_000165425.1:CT868022:63287:71678:-1 gene:GSPATT00032753001 transcript:CAK62901 MKKQSEEIVNEIRKRQPDKPLSLTELAMIREIQYMYLKKEKCVLDLIIQYCCDCNLIFKI CEKAFLMTTIVPLLHSENPIDTIKSGTIIIACLSENQETHKRIIKSECLQSLTTLTKKRL HFEITKYALQTIANLAKVAANFRKILECNIFETNISKNKNPENSDNKNPEQNIKENLSVI DQVLPNQSELYVLQIIYEMTSQQHTYINQMCKMMLKKRFIKKIIHSIVSADVDVATQAIL IINQLIINSKSLDRQQNIENNNKNQDIQQSIENKNQENFESSPQIDSNNNKNLKRLLKLK LPPKLLLTYKLFQGHENSIKITKAIMQTLENLLKIKDFQQQLVMDSYYVLCLQMFDTNVY ELNYYGISCLAYMSELVESHDKLVEKKILELMYQILKDCKDQKIKQQAFKIISCISLNPK YLQNLINMGMIDLLVNSLNSDEKACKIYSILSLSNLSCSQNFHKYIGNINIKLLIHILEL SDPSNHAVLRAAANTLANISIDHTYQQNFLKDPEKTIILKLIYSATDIILIKSIIIIFTN ISTNSNLITDLAQQDILNCLFLLYQKDYEELRGYLSRCLSALSVVPECKKLILQKGFMKS LVNSIYKSNRETKRMILLSIMMMLSINEEFQKQFINEQGTECLLYLMSQSDNFHAYIAAK SFVLISRMESSLSQVARKSICDSVAKYSLTRERRIMKEGLRFFVNIIIHKRPKAFIITHL CYLAHEVLKGDEQDAQQLGMFAFMLLSEQQIYHETVMAHDHLLQTIANKVFKSNNTNDLN PQNATFLSIAIMNLSLNLNNLEKLLNLKYIKVMRDICVMLTKNPEKDPEFDFRTYLFTFI RQLLKITNLQEKLPEIFKQGATQIIQELILSNKSYFFRSLLESINILISMSDKYPSDILN VIVKLADPDYEIEDEESLPLRVYVLNRMSYNPHSLEYFKQSKIVPFLSEKMQQDLSFLNI SQYFCSLLANISKENEILNQIFEAQILTSIFKLRQQKHNIKLTDIIRLLAHLSSHPSFKP KIFSDEIYANIFDHLQQVTFSEKQDPQICYPVLICILNMTKSDYGLIKAIARSDILNFVK VYIKEQKGPQHITILCFLTLSNMLIDPDILKKEQDIPSLVNQLRQTIQENEDQLDPAQPD YVVVSFLKVMHNMVLQHYSEIEDNLIMIECLQDIQKMFIKFTSQEILGLILSIFCVMVES QKTLQTFKSNYPVMIKIFDLLHHNYEKLEQQEQRFRSSLLLLLANLAYHEHSFDFFETFL NIEDFMIKYRTAYLNLTQAPDRELLLTILVNLTYIKEIQLIMPKYEKTLQLIKEIFLSEH DESTTKRIVQLLANLSLNQDLHTWIASQDILKRLYKLFFESGTSKVLQEQIQILLANTTF TEEVHEILINNEAIRIFEQIVNKKESMQQDTSHQQVVSLVNLGLNPRTYALIENQISMVN QLSLLEECEKPLQIRLLKSSLEYVIDNSSQNLQNKKEILHYIIVSINILLESKSKFLVCK IDPLWQMILSTELINKTIFNKEVLIGNFITIIIFFDMLEVKCNYYSHLCELADRIPLILD FQSMQIHIENLFIFNQSSLNNLKELIQQVKQKQQVEPLLISKYEQLVNQFLRLLSNVAFA QKTQPGLQQFMQKKEVEDHLLEICQFSHQQAPNLILQSLATYANIFQIDSRNYQQVFEII AKNYKQQIKQHPLYQTFLIGVIVGVMKNKKYLLFEDGKQDIGDLNDSIQSYQKNNALYSI QQQQTNNFFQKRVSSQQTFISIRSQDSEYPVNKDMSLIISVLLYVLKKGHPPTYQSAPPL LLQCLTNIAYHQENFKFLFSTKLPEYLFTYICQKENIQADGYVHALTAFINISTNKEFFL RIQAEEVYKYVVKLNQVSKPLVIQYSSSIFMQMFEYSTENDENQHLVEFTLDFMVNEVLS YVTFESDHMDLFLAFLERLLKIQKLKYKIAKIESLFQKFQKTLETQDLTNDKKFDKYWRI WNIYNFLITSKECLNYLVKYGYFSLLKSKLSYFTSSQFDQCLSYNTQAEYQEKHKNVLKQ FQLFSIVLSSIEKIFKNDVNLKLQPPIQKLIYSQKNEKVSTDIIRINDSEALKISFYVPF LIIEKILVSEETYQNQNNILIVVEVLNQIALILTRMDSNGVGTLKFLIEILKRTLKTQVD DKTLFYSNVVNISIKLFEKFGVEISGPIEEILVLFIQHWQGSEFRFMNSEIYQNFLRLCV LFKFKDCQQDKPCNLANSVLQIMNINQTTFREHETQLLFQMFLKKLIAEVDPSESQELEE TISESIDFLLDYIQKQSANIKAQLEMGDKSITEYQKTLQQQNFTMSIEILTILVSFTSFQ EKILDLELLSSTVQCGLLIQELLNFFENKEQQSEDLKTAINQLQSFLKHISIFLSYTSFL IKFHDELQSQPCIQFIFENMLKRKKQTKIFCFNIIVNLIESQGPNFIFEVLQSIEEQIDK INANTSLQKYSLDAIVSLTEEIYQKIQHYKNIAPNEEAFYQLSDDIGLLLTKILIGKKHT FSLNGSAEILMNSKLQQVQIRTLFLMIKKFINCDNDITIRDKSIQLSFDISKQIYGMLSS MEQIDCNNETIQNLQLQSKFINIKYTWDQVRKNQSYQHLEDMFFWEQLELSDYQFKLIFL IICFENITCRFRGEQNNNKIALPNSAVMVNDIFLLIDPNLDTFLENYNLQNQLNFLLVYY AASISYSSLGNRTRKVEFQSLAERDMSFRIRLNTFVRVLSDSKINILANFADLIRGPIQN L >CAK62902 pep:novel supercontig:GCA_000165425.1:CT868022:71837:72157:1 gene:GSPATT00032754001 transcript:CAK62902 MAISIIHIKTIKEEYLTNLYSLTRESKKMKLLTEYYKVRLYHQGRFNSILSYIFNYQYLL QQINTTTNSVQLKDLLSNYGQRKQQKIQLDEIQVTFTKQQTNTLNQ >CAK62903 pep:novel supercontig:GCA_000165425.1:CT868022:73738:75364:1 gene:GSPATT00032755001 transcript:CAK62903 MIKNNIRPRASSERLDKLAILENQTKLDWIMKNSQMKELKSDIKMIPKESLSLNIHVPEH EGIATIWADNWFGQKKISQFRGLLIYDNQFCLVLNDCFIEKKKYQSSHQRAYFLKLINPT GQLVLIFSHPLSLKQWNTCIKKYCKRNNFNKKFKVVELICQDFYAIQHKKQKQLFTSQVI NLTRIQNYDQAELLDNYLKILRNSSISNYIQTIGVFEDKQMLFIVCKYFKGNSLDQFYNQ SKKSYLNQQQIATICVSILVALRSLADEELFHGKISFENIICYCNRKTQFGTYLVNSQYK FYDQNTLFKYIHQTPNYLIAPEIHEGACPSSSTDIYQLGVILGLITFFNYTQPFKMNYYQ SYAENLMELIIEQENLITKQKFSQEYPQLFSISQLDLIKKMIQPNPNLRISINDALKHVW LINNKEKKMQKLMAKQMALPSLRTIIEIKDSECDLKKTTNNFSEENIPHIQQVQDPNSLF MLLKQKKKISSETFVDSNDEDENVLNDKIEKLNQQNNLVPSFNDHCFMYPSKFL >CAK62904 pep:novel supercontig:GCA_000165425.1:CT868022:75630:76040:1 gene:GSPATT00032756001 transcript:CAK62904 MKSKIDQPNQNFEILKLSNANYDSPMNIRELNDSIEIQLNTEEKKTEITIVKYLHNLGIQ TKTDPLSKEQEYWRTKRMETQKKMLSILCLTESTQSLTLNGYASKLLHQRVSQSLLETRL INNTSTMIIE >CAK62905 pep:novel supercontig:GCA_000165425.1:CT868022:76420:78032:1 gene:GSPATT00032757001 transcript:CAK62905 MMKYELESSTTTPDLMGRQKMHQQILPKLKSLVDHIPYQDQEVPINDSYILELLAVLVKI FNSGLDMLQIQSPQLSEVHSGFFSHLYPIIENRKSTKFIQKCLKFVKVDRLKAPIWILLE LNSNTLLEFILSNETKEKYQNNACIRQGELVDILDSYYCKHITLIGDKIYDLPTPLYREQ NNTKTFFPQPPNISNNMQGDLFERFQQFEDIQPIKHVFSLDCDDSATHAPTHRKQDMETP DLNFGSPMMEQDSIKGDESDEEQHKALPQYISFQCKKSTKLLRELRDKQIEEYWNCQQTP ISKFTGQCHACQTQIQKNSYFFFQGTAYYCHYSGLFFCRQCISNELSVIPHLILNKFDFN KYQVSKEAFETIQKNMKKIIFQINYFDNVVYQNQILYDFLIKKRSLRLMYDLLCQDFNFD FIFTQNYSHLLLPINLFSLQNFIDINNKSLFKIATNAYEYGLEHISKCPQCQKLSVKCAE CLKGNIYIFDIIGTQYCRKCKKIFHRTCFYDKCTKCGQNQYINRSKLDR >CAK62906 pep:novel supercontig:GCA_000165425.1:CT868022:79147:81590:1 gene:GSPATT00032758001 transcript:CAK62906 MEFVTALVFIFIACACGIVWAIFNWMAVHKIEIHHKHEGLTELLQGAEQEKIETLLEIGE HIQDGAQAFLREEYTDCSVFLAIMAVLLIFISPWSSLAFVLGAATSMLCGYLGMAIATAA NYRTAFSAITSLANAFQMAYRGGCVMGFLLVSISLSILTLIIIIYNAIIVKSDENNFEDL VTMFDYVAAYGLGGSTFALFGRVGGGIYTKAADVGADLVGKVEKNLPEDSPKNPATIADN VGDNVGDIAGMGADLFGSFAESTCAALVVSSTQLRVLSEDTYVIEIGQLMYPLMVSAFGI GICILVSAYAVYISKVNHINKIESTLKFQLLLSTVALSPIIIGIAYWCLPADYVMMAADG SIQLADLKPWHAFLCSLMGLWSGLLIGYFTEYMTSHSYTPVREVAKSCGTGAATNIIYGL ALGYLSTIVPIVAIAITALMSMKMLSFYGVALAALGMLSNLTIGLAIDAYGPISDNAGGI AEMSELGENVRESTDALDAAGNTTAAIGKGFAIGSAALVSLSLYGGYLTRIQTYKVGAKI DDPIIFAMLLVGAMLPYAFSAFTMKSVGKAALQMVEEVRRQLHEHPGIYAGTEEPDFRAC IAISTKASLKEMIPPGLLVIVTPTAVGLFFGPYAVAGLLPGALVSGVQMAISASNTGGAW DNAKKYIEAGFYRNEAGEVKKKGSDEHKAAVIGDTVGDPLKDTSGPSLNILIKLMAILSL VLAGAFCRTGWLYKPE >CAK62907 pep:novel supercontig:GCA_000165425.1:CT868022:81605:82013:-1 gene:GSPATT00032759001 transcript:CAK62907 MQKILNFREYFLNPKGIKIVPTSNFKRATPIERLFDQSVVLHRAEKQLEKNVVAFKLQPY KYSLNKPEIKQYFMQLYGLNVDKVNTINYMGAIKRSPKGGRYREKDFKKVYLQLNEEIEP FFQKIEK >CAK62908 pep:novel supercontig:GCA_000165425.1:CT868022:83350:83637:1 gene:GSPATT00032760001 transcript:CAK62908 MGSCSSQKIHIPFSPSKQCQIAEVQAFPRMPWINKYLQQPLQRIILPYNPNSHEYQYNVE VEGVIFDEIAPPDFLDDDILEPIEGDD >CAK62909 pep:novel supercontig:GCA_000165425.1:CT868022:85643:86733:-1 gene:GSPATT00032761001 transcript:CAK62909 MGVCCTHPHQKLENPITPELIKEDIKYDQFALKIQKHYKGYKARKLYHKMRIKTEESPVV HHPKPEIAQKLSQTPTDYALTVQKALYKLGPFRYNDYINSDDPQKRSTNFQFKEEDKKLP FLEPHRLIDGAIYIGQWKNGMKWGKGKQIWPDGSVYEGFWQNNKACGIGRLIHQNGDVYI GDWLDDKCHGFGVYTHSDGTIYKGNWVEDKQEGDGIEEYPDGTIYKGQFLNSMKHGYGEC FYSNKNYYKGEFQYNFIHGQGKFVWSDGKEYEGAWENNQMHGYGEFKWPDGRSYKGDYKH DLKDGEGEFIIDENTKYKGSWKNGKQHGDGLLVVKEIARPGIWCEGIRIQWKDKF >CAK62910 pep:novel supercontig:GCA_000165425.1:CT868022:86792:87747:-1 gene:GSPATT00032762001 transcript:CAK62910 MGETKSVKVSHDMVKECQYVSSSYQEFLRRAKYAGPRLILIKDSGKKVFDGFLLSSWRLS KNEFFGLREQFFFICLYNHTRILKGSQKNRCFQMADETGFSIGAEDKQVIDNRMQIWFIY KQFIQQEVIYLIRVKLSIMKGYRVKIIFKFKNLRFGAWMRKQCIRQNYKIRKDQYLQNDY QQQCENDENVLVCVKCTQGLCEECFKQFGGQDFEALCPVCQMSSVFRQTQVEICEACADI HESEQEEMECLYKSMNLMKEKMNQLFFVGQQRLKRVELLKQQIQINENEINMIKAQTQII >CAK62911 pep:novel supercontig:GCA_000165425.1:CT868022:88305:91189:1 gene:GSPATT00032763001 transcript:CAK62911 MDPLTRYKMKLEKDKQHLQEYERIQKEKEYQNEMKLRQKNDKYNNIQQKQDRQKEIGRLK AELRKNHIDRTLFQSQQIEQEKLNIVQGYQKKIAKTLDSIEKYENGYHKDYLTSLHRKLS NHSHQIECVQQQNETILENKINKINQSLELRFKKSEDVLSNLSKQNSDRKKSEKIKVETY QQLVQKHQERLQQLRDHKAQQFDEKLNKVDQLQKMKEQQQREKQLLRLEADKLKEKMSKE FEQELAVNKRLYILENEIEVNQTNTKLIMNLSFKKFNTYPQSNFLVLLCSQCQFTSCSFQ LQHLFIQWSINYYFRHPKILFVFLNIVNNSKLFNQQTNITMDQKQKVQSLMSNYVKKEIN RSGVVNVKVYLNNELLHSIQFPTLNQTTLNLVNHLKGLLNQPLVIGFQTLDQNIPLDFYL TQNQDLGFLTNQTIQLKPLHSIQQALISLDSFYFLQCIGVGGFSRVYLVRCKSNGQFMAL KMISKQFIQEHDKFQIIQNERNIMVALAEQSNSHPFLCKLLSVFETKSWVCFALEYCPGG ELFQQLKRVKRMDEQQAKFYFSQVCLAIHHLHENGIIYRDIKPENILIDQDGNIKLADFG LARPNMKDDLLAFSFCGSPEYMAPEMLLKSGHNYQIDHYCLGALLYELLTGLPPYYSNNP EQIYKNILQHDLTLPNKNCSAESRDLMQKLLQKDVFNRLGKEYGIYEILKHPWLQDKSLY KILNRQYEPPFKPDLMKMNFDQNEITKGENNFQRDLQKSLNSDNENVFTPFFSNFYFSSI IQKTQARSASITQISSSNIDDKINNLLISSKVKNVLQTHTASQPNIHCQLKQKKVSQERN QDNNKENIMKNFSSLITKTCKKHKKNNQSMPDQSCGISSEMRSLSTQKIIESQKVPSTFN TTLLKSQFTETDDDSSVNKTHKTLFGETKSLNKNFTNQCTLLRFFKQ >CAK62912 pep:novel supercontig:GCA_000165425.1:CT868022:91248:93028:-1 gene:GSPATT00032764001 transcript:CAK62912 MQQIEIPDFRFIENTDDVHKFEDAINFCIKRKNEIDTVNVNLNQKIKNYVDGEDPYYREI QVKSEELHSQALNCTKIFEQFKLPTHLDQELSAYQKEYKQLELQIKQQEDKKKWGASEKQ KQKAKLIKLIEEIQLSILNKLELPEPENKSCIIFNWIAGCLIKAKKGPVQLAEQLKLKVE KVQLQPDSIINQPAPPQSGGIFACGGRKPLSNSRPLKRAALPEEEQRYYENLLAWKAIKQ YVFSQEFKFQEKVTTFTQDFEKLEPFQIMLIEEIVSEKKEIIKAIFDIDIKDQVRVIIEI NEIVLFLLKDNQEGQQNKIKAQEAEQIEQNMRQKEVEIQMMQKQKNYFAGKEKRLMNLKT TFSTLQVTSTKIADFVKEKMNTNDQLFAQIEVQRNAGLSKELKVNLEVEDVYRRKRYEWE ENLKKQQTIEEEQREEERKKLESQQRKKEDEKNDQSIIDNKEGENNVSNIQQQANEEDSD YQEEESDGSQNDEEEKQDWIVLAEDADTKHIQKTLFPDKIKTAENKNLAKQKNQGESLKQ LMAKRKKN >CAK62913 pep:novel supercontig:GCA_000165425.1:CT868022:93520:94353:-1 gene:GSPATT00032765001 transcript:CAK62913 MNTEISRAELLQWVNDTLKLSLQKIEQLGTGAVYCQLVDAVHPGKVALGKINWKAKQEYE FVNNFKVLQVAFSKLGIQKPIEIEKLTKCKYQDNLEFLQWLKKYMDQHVVPKDYDPQAKR GNVDLDDQPVIIPKRNPERSRTSVRRDTFGSPNQIQSTSIPKTNSFAHIEPYQKKQPSIQ DLLIQIETLKQEREFYFLKFKELDNFIEGASQLTQEQMQRGIKDILYCTADKSVIVLPNG ELEVQGLPPLSEEQSSSQEVMQQEVDL >CAK62914 pep:novel supercontig:GCA_000165425.1:CT868022:94446:96474:1 gene:GSPATT00032766001 transcript:CAK62914 MVIIEDSQDTLKEFSKLYIHLQGEEAWDKGFECLESNDFNIFHSQFKLLKDINEERNPED LKLKIQKTIAIPFTYALPDNIPGTMKRDKGYKLKIDYSMSLIAETFQLDRIVLKKLPIII NQYAVRQNISRSISNSHAMRGFWHTYQGISKLECKYSGEEFSIGDSIHLELSVDLRESKQ YIQEIVISLNKRTTINDREPKVIIEQISKHHLPGIVQGIVQTIKKSIVLFDFNNKIELST TGQLIKVDYLIRIQAYSTLFNLNNNDLRIDIPINLFQRRIKQNDLKFETNQIQDLFHLYD LLGRKTMNNCTILIQTILFTLQIKYLMGNSQSGVQITIDRFYYAEELLSGKILIAKSKIN AIQNLIIRIYGEVSLEIEGESVQREFCIYESKLDEKDLATIRNKTGVIEFELQLTNNLPP SVYYQSIKSKGGLQYHLEVELNPDGLFDLEIIRKDLEIRQSMPKVQDFCLVKELKLETVF SNYGTLSMDVNVNEQQFHVGQTAEVTVFADNTLGQVDIDYITVEHVQEISIKPAHIWISE VQLINSQAITGVKAFQKYQSPIKVFLTITDPNQKLKPNLQLGFLKIQNYIRISTPFSVFQ INPRFPLIPIEICKLESKCLYQNVNMIDQVKLPNVRYAINIEICIETSASETR >CAK62915 pep:novel supercontig:GCA_000165425.1:CT868022:96756:97423:1 gene:GSPATT00032767001 transcript:CAK62915 MPLQDIRFGRRRYENDVHHFCNIYLPSENQYFDKRNKKNNEFAKGDLKQHMAFGNYQDQS KQKLVFDKGNFGDIDGAVPGSLQSQAVRNQEIARKLRSERDRQNKSCNPTQIEENTKEES QLYSGRDRSHTFQTNSCQRNSDKSPQLPPIPERISDTVDHIANKLQLRQPRKSCQFEDFR QKNKQALLRLYV >CAK62916 pep:novel supercontig:GCA_000165425.1:CT868022:97450:99483:-1 gene:GSPATT00032768001 transcript:CAK62916 MQSIEDQIKSCMSMGNLTQDITLELRTEEQSESAEQQIQMQQEWNQDQGEFKQNQQQLQQ QDINQIQEQVWNCPIFNSAAITKELYQNLSETYDLLNKLHLKAIDLTKKFNAVANFLPRF FQAIKITFIGILQLEQIGLITNDKDIMSKSINEEYNINVNKKKFNEKSVCILLEFAITHI LSQILVLFETIEVRREKQELRQLVIYLSKLQTCLEQLPGAANLDINDIFCFDRGHYRWQS LNSITQEVLLGSREQISKSYGKVAEGILLANAMISKGSEFSNEAAKNVMQGFGALYYLVA KKDAKLKADHFMAEPNKNLAFKAWNLGESGFLSKLLPLLFPMITYNKKIYIPQLFKRLVE DNILRQYKENNINHIQNDCGECVNTNLPKSPELFTKNKERIPVRILCHYNLQEIQTDSFV QHSLKLIQGQKVFDRIIIHIHGGGFVSMSSRSHQTYTRKWAKNLQVPIFSIDYRKAPESP YPFGLDDCWQAYMFIMNYIDKYFNVKPKKVVLVGDSAGGNLVAALTVQIIKSGARIPDGI LMAYPALCLDIKQFTPSLLISLNDPLLHHTVLKLCISSYVPQQFDAASDPLMSPSIASDE ILSRFPKTRIVLGTNDPLHDESFRLANKLLKLGKDIKITEYKWMPHGFLQFDVVQGMKES EQTVLDAQNILQDLLTC >CAK62917 pep:novel supercontig:GCA_000165425.1:CT868022:99532:99852:-1 gene:GSPATT00032769001 transcript:CAK62917 MDKQKLRVTWQSSDWSFSRQKKLQELQHTQSTLRFQQQRAQTESKETLKRITNPPNFHEY VQLIEKKYRIQNLSRPQRKVGNGRSLVQICSTKSLVLYESLPNLNK >CAK62918 pep:novel supercontig:GCA_000165425.1:CT868022:100442:100907:1 gene:GSPATT00032770001 transcript:CAK62918 MFLPINTQRTYLNVNDCLDGLKGQKLHDISLQITQTRNEILKQMQQSTNRKRVLAEEMSQ NVDEFLDAKHSISKRFQKQVFNLIDSKKIEQECEQYKIECVETNEVKDDFKQLHDLIKST FCK >CAK62919 pep:novel supercontig:GCA_000165425.1:CT868022:100920:107223:1 gene:GSPATT00032771001 transcript:CAK62919 MRKVESFKYIEDDNNQKQIAQSQVDNNHHHPNVHETPEKFKNSSFDRGYKQRQGSFEQFN RIQFHEETFPQDTNYERNFDNSKMSEYSLQSIERDDMVEDRVNNHTLHKSIHVYSKFISS ASHQLNMQQKPEPDPEKPGIAMDLLNALDHQQEVEPEHFNDIEYEKITRRHKKLIQRNTM KDPYYVRLVKLSDLQRLNYELQKYNDNLLFLNLRKSFIYLIYSIPIMARKIVNNFLFKIL MTFLILFNVVLYIVVKTNGRTDTDNIEEVVMILFISEIGLRIIASGVFFNDYAFFRNMEN IYDFVLIFFTAMNLYYPEVIIIDISPLRLVTLLMYLTNIFQGLNVMMTALKQSFRYLVEA LMIVILFSLIFASMGIFLFQGLFNYRCQYENGDETEGWIQCNQGQCPSDMHCMYSDYTPK MPTSFNNLIGSLGQILRTITMDDWSWVMFFTMRIFHPWVWLYYLLIIFIGGFFGFNIVIA VLKVHYSEATEENKKREEVKEIQKRLKEEEEYPERNLIEILDVAHLREIGIYKVIKKYRA NLNEASFVKYSIEDPSSFKKSYQTERTLSAKQKQMESGIVKLPFIEYITSFTWKRFLLPK FDFLDALTKKIKIINYTDNEFNMKVIERLKTIKFSRLQPQVNKDVKQNFSSQNEVLLRFI DQQDLIQKEKHFNLEKIRSTKFKMVYHQVKIEKEKLYGKTQFARSSFPTKSKASSPFLSI YQKKAEQSTDSIINFEKVEQKLFNKMKASQIIETQYKEFSIFKNGQPCIFVQGYYLNYKR VKEKINQKIPLVVHDQVSNKFKYQGMRMKEYQNHKTITKHNWSGKDVLEINKKRLLYFHI VLSLLNKVDIIIWINGVKGLYIMSQKYAYIIATSRFSQFFFDLVILNNFTFLSLQGIVDI NIISTVEDISTIFLCFELVMRFLGFRFKDLLRSPDLILQSVIVIINFFELTISDYMTTYL SEQNLRLIRGTKCLLFYRCLKYNKMAITIGHIASMTFDQYIYLAFLMFLVIFMYALTGME MYVGEFDQNDSLGQLHSYENIFKSFMTIFNIMTNDDWYGVYVIGSDIDFTFSIIYSFSMV LILNYLTYGLVMAVLLDGFGKYLDQPVEEIQNEMQKNINEQLQHITQNSDEIQMQLVETV QESIYTNKNMTNEELNKSKPNLIYNLIKSIKQINRRLLSRTPKLYEGIECESSLFLFEKD NLFRIVLTHITTSMIYVYLMDIVTYLSIIAFILKTYNDYETDSESYPDTLQFSCNIIQLA DTIFNVIAKGLFMDKGSYLVSTFQVFDFIYQVSNIIAFGSNPEDFKPILKILLYLGYFRP MKLMYRLSWLTNLREAIGRSLFDIFNVLITLLSVWIMFGVYGIILYEQQFGYCEDKMLFE VNKETCLSEGKIWVNYKHNFDNITIAIPTLYVTASLDGWGEIYQIAENSQVASIGPQGFN SYVVTYIFFLLFVFIGSMFFLSLFTGVLYTNLKKNQKEIENTEVTQSQKEFKEISSMLIK DFPEFSTPPTSGIRKISSDITSSATIQKCLFLLLWVDFIILLMFTSDMSDEYFRTINDFH NALSGIYLVWVILLFLALGMNRFFDNSWRRFYFFLIVIAVIDFIADYSVDWIMIYYKSTP NDSGYQLLRLFFSLRSLRIILIFQGFINLQRLINVILFALPYLGKIFSILIITMLVFALF GCQLFGTIDKGQVLDDQLNFMTAASAMITLFKCASGDDWRTVMTDTMHYNPLCWEDPKYC GSFASQIYFFLFMFFSTYVLLTLFLLSLVEQFESFFQLQDSPIQSYVENIDKIKTIWCKY SSETQGQTMHYKFLCKFLLDIGKPLGGGEEENLWDVAKIASSFKLKCDLHGYIQYNQLIY ELFRVKFHADVFKEGSHDSIKQIKQFNKEMQLRLMYYRKNRHIERSNISSALQLKANFNI LHDYLTVLILFKTWESYSRILIRKLSNKQNQFSDDAISRHTELEQNDKNNVNDLMEPEVL EPSCEEATVNYNFDNKKHHHTNESQNQSNIELPIYQGASPFSIQEEDVKIILSAVGEKQP IL >CAK62920 pep:novel supercontig:GCA_000165425.1:CT868022:107901:111005:1 gene:GSPATT00032772001 transcript:CAK62920 MNTECSVLFHVTVRTTLSQVVGVIGNQEELGSWNEKCLCILKTDPQIFPKWVAEKPIAFK QGTKLEFKFVILDNGTAIWEELPQNRKYRCRYWKVILTADWNNYEGKEYIEKRFKSSVCL DQEILSQYTKPRLSDQFDPFNQANDDSEDSVDGFDSFAKEIANRNDSESSESEEDKKKPT VELNKDSEFSSLYQLYTENPKFRQLKNFSQNDILYEINDDPLIGLSDEDSLLISTYYLPI VIIKQTDGSYQRSNFQHSFSLHLLFGVQQFKRIWFGLPIVLNELGEKITDQSVELKNYLK QFGFVPVFVNQECLDYFNKEFCSKLYQAIMNNDVSITKLAALEYSDYMQECFKKLNDEFF QEIKPHINQHSIALIADYRLLYLSQIFVNHKFTRLPLVIFYNRLFPHLDNLKLIPFFHEI INSFLHANVICFSNYKTANEFLTVMKDIYKVEYHSFKGNLAFHYYGREILVKLQNPGIEL TACGEKRYEIGELHYDKVENDNEIKIVGVDTYGHQSGVELKFRHLLKFIKETDIIQKNPH IKYIQILLKAFEDHDINEQRVSLLNQIEQINSYLYPNRDNYFVKLIEEEMDSKHRFKVFE KAKIYLQCKYSGRHDFYLSEYIHLNKMPIALISDSSCYHRGFQSIVTFNVFSHIDFKEKF ADLLIKVINKQYQFTQLHKLKVEKDQLIIRQNQTSQWIENIFIDAKKAVSMLKFAQISLR FQDGLMIKVAHNLKFQHLDIQSVAKAYQQSTKPIIIIEFETIVTDQYFIDYKPKHFLQQS PHLKGNEEAVMIRQVEQELLNRIKELSKTNKVYIVSGGLFNELSSIFSGYNISLFAENGF LYKSDQLQWNALFNLDYQCLIQVRKIFNQYAMKTEGALIESKESSISLKLMNSDEYVQQL IQDLVENVQMIVDRYPTFQLIIGSHSVEVSPKNLNKGMILEIIMQKENLQRGKLDFALII ARGIQNEDIFSHFKVITQSRKYFSENASLFSVSQGLMPSYANYYLNNQQELIQLFKTI >CAK62921 pep:novel supercontig:GCA_000165425.1:CT868022:111531:112219:1 gene:GSPATT00032773001 transcript:CAK62921 MNREVKVVLLGDSGVGKSSIVLRFCSDIFKVTHESTLGAAFMARTIEVNGINFKFQIWDT AGQEKYKSLTPLYYREAQVALIVYDITHKDSFDVLKSWVNELKAHGPKKIIQVLVGNKND LIEDEKVSYDEANNYAQQIGASLKLTSCKENKGIQELFVSIAEQILYEEQNKLVEGKKTE KPQNPSVKVTVEDQNKKKKKEGGCC >CAK62922 pep:novel supercontig:GCA_000165425.1:CT868022:112259:112750:-1 gene:GSPATT00032774001 transcript:CAK62922 MSTSAYLVFIGLIHFEKKDQERRILKKVSLASIDDNDIMEFLRNEQEYERKRNKAQSLFL HRLEQSPDYILVLQPSTMNQASQTLKGIEDHIQLHLLTELPEEEWKQRAIKILLTWNLSE KKELNQFAKGSEQVEKHFLEFGVLFLVCAVIGYVMILMMKHMI >CAK62923 pep:novel supercontig:GCA_000165425.1:CT868022:113873:116007:1 gene:GSPATT00032775001 transcript:CAK62923 MKKKESPLFGIDNPLYSQNISSPRSQELLPIYSNENEHEQPMDEALKEKRNIFERNNFRA LKDGQKQESSEEDLYLSSVSDNRFDQESVKSRTNSLIRHQRSVSIQKNQKGIFSPLQKVN TGLIIDSTYNLQVQGVKSSSKIDKYQSFVQQFELEEDKMRKNKELIQQKRIAEQIKGKLD LMNVWAAKGFIIIRLVSRFIQQLKTKTETIKFRLLTRKIFSVIGDVSSNFEFILISRQIK QQPSLFLILKYNFQKRATRLLHCLENCQDFLSRNIIVIRPDSKFKIIWDILLLLFIVMNI FYIPINISFNISTSGVFEYLFDLLPSWIFVAEIIINFNTAYYDKGLMHEDRKSIVKHYLK DNFFWDLIVVIPFLMSNLDIPFVRYTLLLRLTRLAPLMESIEEMLNLEENIQIVVDLLKL IFFLVLTGHFCGCAWHFVALTEYESFGITDNWLTHYDRQAFDYHWFDRYIISLYWSVITT VTVGYGDIVPVTTFERIFVIIVTLLLCGVFGYSISNIGNIFKQMSDKKTIYKFKLRQIHS HIRKRGLNLNLSLKVKKYFEYFFQLEQEEDSHAEIFISQLTKHLREEVLTDLYCNTLKKS RFLRENFKEITINNLCQYVKEKKVLPEEVLYSRFDQPRKVWFILSGALEFVADHKSNLNI >CAK62924 pep:novel supercontig:GCA_000165425.1:CT868022:116018:117715:1 gene:GSPATT00032776001 transcript:CAK62924 MKMIIMKPLKLFSRRSVLGEREFITQQPYEYKVRALKFTQMAYIDYEDFINVISENDTEY EIFCMKRDRLLFNPQFKGSGNVCEICEWTHNFIQQLSKINWIRCPFVFLQPNFNKISSRF TSVRLNNRIKFPYRNTTKSRTKECLNSIQEGALKIIVGNLSEEEYTDEYLISLGFQLTQN MEIDSQNDDESSKNLNDSQSPTITEKQRLFQKKCAKTMSGVATMDFKDVKETHDLKKSII NFQRIQFGKEKQKGFQVHKKEQNENGIEVLEQSQLLVLPNATNARYFSKQFQGTPRRSSN FDEFGSLQLSQKSGNFTSLLEQEQNKLLIKQISKNSESSEMQESLIRKQIENQERRLQGG GEQRRKKRKTTIQLGQKPQRKSYQHQQSNLSPVLQQQFQKQQLPAVLDQKLKRQSIGESR KQMQTNQITSVGQIEMDGEYGENIQDDSLQQKILDIVHSNENYQVDCCKPALMYFPEFNI EVILKKIEYYYLFVKGVEKKMYKRSKSSRNLFERIKPSKNATIKSYQNNSRSQGEV >CAK62925 pep:novel supercontig:GCA_000165425.1:CT868022:117789:123691:-1 gene:GSPATT00032777001 transcript:CAK62925 MQQQEIIESSYMDRYKMLKLRKTFLYLYLVTRRISKIIVRSRLYQYILTLVTLSNIVIFV FEHMYDKDNRFAEFDITFFWFYVVDIVLQLLAFGLFRTKFIFISRVIDASLIILYYYHLM YFSAVLDITPLRMTRLLKHLGFIFAGKLHLVYQGLQKMIDALIESLKFMLESTAIVILFV LFFASLGTSLFMGLFNERCLPSDESDWIQCRQGHCPDGTTCQATNHSYNIPTNFNNIIYS FVLILKTVTMDDWSWVMQYTIRAFHPIVWIYYVLIIFVGGFFGFNLPIAVFKIHFSEMQF RQIVKPDKKEKFISQSNLKRIGLYDFIKQMNTFLFSNSNDILINEPLINGVQQYSGGQLR PLLGSMINENRIDISNFTQIKNQIKNFSLKLLLLPKFQIIQKYFLNLDIKIFSSNPKIQE TIKKFSRSSFLLEKYIDYKYNYVQTSHLDVLRKSLFQVLRKTPFQNSKRLKKKTLYYKPI QKKKQLVQSQYRKSSKLEEIETSPSNVQKSLAQLPMKTSNRMKKENENKSEIHGKKQSSD SCSQNNREIHIKEDVEKQRRMFPYFMRGYSVIPEYSLGQPFTINDQGQLKVLINGTYLDY VEAVKRINANFNFKQQKEPRCQEEYKKLVRKEIKKKYIKSKIWSGNNVLKNNQIVIKKFD DIFQQLNERNIQIWQISLSGIFITLQKYSFKIMHFKLTKLFFDLTILINTFFLASQGIIK RKIISQVEDIATILLSIEIIFQLIVHKPRRIAKNKYFVIELLVVILGVIEFGFSDYLNLS EQYLKLMRSTKCLLFYRCIAYIQMARIIGAIAQITYKSYIYLAFLMFFMILTFGLIGMEL YGNKLDKYHENGYMHSFDDPIIAFMTVFNIMTNDDWFGVYKVGTEVQTELSITYSIALVF TLNYFIFGIMMAILLDGFSQYLERESTNEQNEFMIEKIKQKNKLNKKLDSELSENDSESE SDSNSSSSSVKQYQYQPQFLNKRLQESESNDNVQASANNILVQIKRDNYFDQQLFHSAIT KRFDPNDEERKKIKEDFSRLKSKTNMHRLKSSESKTIKYLKEKVEQISKKMFKHNLKMYQ GVDCVQSFYYFSKSNSLRQFFYRISLSNYTKYFIDLTLFLTTIYLTLPQDIQQQPQFVTA LFILNSVIFIEFVIKSIAFGAFMDNGSYLNYTWKVVDLVYILVYYIEIFQIVHLPIFMVI ETFLFFRPLKLLYRIKWVVRVRAAITQSLFDIINVFVVLLLVWLMFAVFSMMLFSDKMGF CEEQTNYDVGQGECTRLGKEWRVYKHNFDNITTAMPTLFIISTFDGWSSILWVSQNSRMA SDGPQLYFSQIPAYLFYFAFCTIGAMFFLQLFTGVLFINLKANSKQIENQQLTQAQLDYI QVSEIILRDHPIRAQIPKSQFRRLLNILVMNKYFDAFIFCVLLVNCIAIMMIHHTGEHDF NDQINTIHHICSSVFAGQLLLQCLILGINRFKDNLWRLYTSFVIVLGVVDLILDYEYNWF HHYQLLRVLYTLRNLRVIIIFQKMQNLQRLVRILFILLLIMIVYAFYGIMLYGNIDKGEV INDLINFSNFWSAMLTLFKCVSGDDFRSIMNDCMHHNPYCSEDPKYCGSPYAQIYFISFM LISNYTFLNLFILAMIEQFEFFFNNQDSVLQTYVENIDSFRKAWFKFSSQNGQVLSIRYL PHLLIEIKEPLGCKPYDNLWDAAKLSLQFNLFCDMQDNISYNQLLYEIFYHRYEQQIFEQ CSVMAARKMNQFHKTVQFRLAYYRRGGLRQRRTNIGPQLRLDGNGNILHILLITLITFKL WKSYARQIIGNIITQQQHFSQRTVRDTEPIKHSVTQKSIDSQESKIQLNDNPPIYQKRGL GRNKRVQLQGQVNDYLAGDYINPKPALYKTSSSGIEEGDVQKIYHSHRIQKMK >CAK62926 pep:novel supercontig:GCA_000165425.1:CT868022:123695:124684:-1 gene:GSPATT00032778001 transcript:CAK62926 MQSLNPRKRYPSKTIVVNNNTTSFQSEDNPKRRPKQLRTSKQIKRCNILERQELKQANQF PANLKRISDSESDSDVSIQKSIQSGSSDSSVNSVKTIKSHSSSRMRRNLNQQTQDVFSKT IRQTKSQKDTQKEEIQQKLFDKMVDKGTLNNYLLNQEIEQFDSTIKRRNQYQKNSKNQEL SEIFSSPQNDDNQSNASAQQKEKQDLQIVQQQNENFCFSPQFSMQTPMAEISSTYLCPRV SDLHLSLDGFGPSSINSQSQSSIAVIKQQFINRLLISYKTCPNNMRKFVKEQIVMMAHNL QNIENSKVLKNII >CAK62927 pep:novel supercontig:GCA_000165425.1:CT868022:126735:127676:1 gene:GSPATT00032779001 transcript:CAK62927 MYFMNFYNIICYFFSTIILIATKFLFQNFVLYKNYSTVLTLMRHFNHIIYLTASQQEIEF CAISDTYKYFHPETMEEFIPQYSDSKPKIQSSIYYVPDRTIAYNKNICIFQKFAQIPYYQ LSDNCHIKHGINFDMPNIKLASFLFVASTEPLIVYFYSRLVVNDQYLMHINDLQNQLGNS QLDYKLRQFWIQVIESHNFPVNFKRSQLIQVDFQQYNGVLKVSQINNNVIHPKVLKDAFE MDKRMVMTKYNNIRNLIKKKLKNFRMQESLFVALQELTKDKAQEQRHDDSFQILIDYNRV GKRVYNYVESTCP >CAK62928 pep:novel supercontig:GCA_000165425.1:CT868022:128282:128761:1 gene:GSPATT00032780001 transcript:CAK62928 MLLIWLMHFDYRWCWRKNIPFFGYFWILTAIIKFSQLVQLFDEYRSVVLIIQAVLAIKIH LAIMNKKNEKNIEQYKKRADVTTEMTLWVQKKVLKTITGKSAFLFSLFDVFWIYQDIFAF IMVVPVIMTFVGFVVIKLSALVVSWAYPELYIKLNRKSN >CAK62929 pep:novel supercontig:GCA_000165425.1:CT868022:128804:130570:-1 gene:GSPATT00032781001 transcript:CAK62929 MQRVPQYAQRPVVQDSFRQSFKQTPDKENTYAQLQKRKPIQAYPQYQSTQTLQKPEMRPN SSQPRQPSVQAVPRPSSASNDKIAFSNQKQPSRCSFKELKNSTYIQPQQATPQSRYYSLK QVPDNTLVKSQSNQQLPNKQIDQSEYKKLHEKLLFLENKINKIKSNIEISNSQLQKQSER AKQKPVGLAAKFFQKKDQENYEPKESSTPKELTKCATALNLQLNKALKQSQITKEKQSTV NLDQFVTQVKQIKKPTQPQQSQLSRHQSLEISQNIIPTTKVIVNEKSYSSQKPKKDDTVI IQPYIQFLYYISSVVKALVQEQANKNDEVVRDHIVQTIQVKAFLIANQGLEYARNLQLQF QEDKVVNLPKSNHLKTVVFDLDETLIHCNESVAVPGDVVLPITFPTGETIQASINIRPFA QQILQTLSRHFEIIVFTASHSCYANVVLDYLDPKKQWISHRLFRDHCLQSEDGAYIKDLR VLGNRKLSNLLLVDNASYSFGQQIDNGVPIIAYYDNKHDQELLYLQNYLMKFRMVTDVRE LNSQLLKMNSYTNYQDPLHLVQDLFPDYLTK >CAK62930 pep:novel supercontig:GCA_000165425.1:CT868022:130781:135703:1 gene:GSPATT00032782001 transcript:CAK62930 MSQLLECLIQQFIRDGDEKQSEQISRQVMQKHPVIYLCKCSEILDDMAEINGEYIRVLKL TLQVAGLLTKTEDAKLAVIQYTQVLKRIINRILSQTQISEELNQLLSDIHIKLMDVFDEL IINHISDFFESGRVPEIVILKIIQQIFESQSKEYFRQFSYEILQKILSSLSLVKTDQCRL DYCSLLQSIYKTLNHFEENQVHINQEQVQNVSWLIFDQIIKDWMQTTIQLQVLSLVGFMS QFISRERLERSLERLTSIYLSVLKQKNNQLRPLFEGFNKFLERLYKLDRIGYEGIIKSIM VSLYEFYKNPIFSPNYPFDPNALKYKNDLLTLLQTVSKFNIDNCLDLFIGRINSKDSIER VSCLWICNFILSRNFKQISEPYKRQLVLQLLNLQFEQDCEVRYAICEIILQIQYKLKDEE QPINQTSLDVKALLFYLFNQVSIHDKELEEYGKQRAAPFETTLELLKSRAQKILTTLSQD QYFYDLLWPMGLEIINNQKFSPGLSHIFKCYTQILQKLNTITHNSPVAQHVIIVRMLLLI QIPYFFPNLGFEAVNFLPHLIKTFDLKVPSSFHQNVEALKQYLTNKRPLDEFYEEKVQQI WKVCLSLFIQNKELSQIEEDFQVQLSMYLNSQALKLPIMKMQATLMSFLTNQETIKYNLD KLFSFCHQEYTNQALNLNVPIQEPDFNLRLCVAECFGYIGERHTQLVLDKVKSILNCEVI QKKNTGSFKESVFSIFQKSGSDEYLASFRATLLIAYGCLAKQVSLEILEKNILPNVMPFI GHEQLFNSLQISLESISKAIERSVENTDEAAASSFLLSYQKYRDQVLTHCQKNITRGNVF RNLNTINLQYRLAPIEYNKVKQLLSQHLEQKYDLSIEQVNITFQNCFLLFLGTIKLEEDS QTISVWQSLLYLIKSIKDNDQLIEILQKSIQRVKLKMEQNHKNYFKVILTLLSILYRNTN AKRSVVLILEKVMLSLGVYLDIYQKTDEEFNSQLIKSLREKLHHSELTTLLQELQNLINE PIVESLHGFVSLIQCVYQSEYADLNRVLTLFQLICQQGAGSSSEELINSNLSKAMDLLAQ NNLSDSLEILLENHSEFPVGMFPKLFLNCVGQKKAFMVQSFKFLTDVLNNPEGIIAGDIG NYLVNASLFIGQLLIDENKILAEMLPKSMASILGTFLLRFMTCHDPKLSGSSQSVQTAIW AFQNLLTICNIEGISQHVFKKLLIEEEVEDGVQEIVMIYCKGASFQDQQKLFNFIEGFTK KEQASYRLGAIVVISQFIRSYKKEYEQQSVYENLLKTLLESAEDDSDNVRAQVAFGLGGL SYYLKAEETIDKELLQEIIECLISLLDDQQEICVRSAMISLQNLVDSKTDFVAYIPQLIF TTTSNFEKTMTKIRISAFSLFSKLCNTCFVENIMNEQIMSYLRQAFVSVMLHLLDDSVQV RQVCKLALEPIGNLLQIPLLQYINLFTFYRKLSNNEIQSELEMSHLEENEKIIMIMSINL EQEHLNTYIKALIEFCKSPEHNIKGAALFSLIILLSFREVGDYRAHIEQLCKDNSKAKLV TKQLVMKAAYYL >CAK62931 pep:novel supercontig:GCA_000165425.1:CT868022:135816:137413:-1 gene:GSPATT00032783001 transcript:CAK62931 MDNNIVIKKQWFIKSSATKIEDAYEFDHKKLLGQGTYGQVVKAKLKGSKYYRAIKIIPKS KVRNPDRFRREIEIMRNLDHPNIIKLFETFEDARNVYLVMELCEGGELFDRIIDKGHFSE NEARITILQIMQAVNYCHQNGICHRDLKPENFLLLTKADDSPLKVIDFGLSVIFHDNHVE KLSQGKVSMTTRAGTPYYISPEILDGKYDESCDIWSGGVILYILLSGVPPFYGNTDPEIL DAVKKGIFTFNIPEFKKVSEGAKDLISKMICKPEKRLKSHEILQHPWMKQQHPGGSSLSV NYQSLKNFTNFNKLKKVTLTYIASQLSEQEISELGKLFKQLDKNGDGVLTMDELTHGLTG LKKESQNEIMNVIKSIDTDGSGTINYTEFLAATIEKSVYMKQERLFQAFKMFDLDGSGKI SKDELRQVLGKTGSGFDDNTFKALIADADKDGDGEIDYNEFIEMMDKMKS >CAK62932 pep:novel supercontig:GCA_000165425.1:CT868022:137521:138231:-1 gene:GSPATT00032784001 transcript:CAK62932 MQENLIQIDFSQIESLDPCIQGGYKIIYNEEISFMIKFPDQEDQSIAENIRVRIMILGNG KDLQQLTLELSSENDLFFYYFHTVDKDNFQVVKTNQKLNTDFFGYPEVCVKTFRRCQLEQ QHFLPILYIQQNARAQVNIVQTLEYKELTLITFEMIAGDEEIIKQHVYYKHGAVKSKFQI LNAKIKDIHELVKVKNPQLLLHLQKYLPN >CAK62933 pep:novel supercontig:GCA_000165425.1:CT868022:138549:138860:-1 gene:GSPATT00032785001 transcript:CAK62933 MTNLVSKEGTNDNYVWRLNIDSIQEFQKQGHKEFRSAYDGPVCVKCGERSEYVNQNDRAS FLQVFPKLDINKDIHFVAVAGHWVQVEKPREFIKLTSQYLSQF >CAK62934 pep:novel supercontig:GCA_000165425.1:CT868022:138887:139285:-1 gene:GSPATT00032786001 transcript:CAK62934 MGSMTNFKNLVNSTQISKHLKSAHLLDARQDSGRYVSNHGDSPQTQTMSYEEMPCDLRHF IENHYLDNVVLLGKAELYFHFWRIFPMTDTLVTQQQMLGQEKERGKIMLRNYKKLILKTT DSRTFRIIFMKF >CAK62935 pep:novel supercontig:GCA_000165425.1:CT868022:139491:148229:1 gene:GSPATT00032787001 transcript:CAK62935 MNKSSLSSSKIKLLSSGAPIEVVPNEIIFKDIQINQTYEIAVYVRNLTQTARRIRVFQPH SHFRCDYEMQGAIAAGLSMKLIVTFETASLDTFADSLKIVTDSQYSINIPLHAFPAQAAI IYEPFINLGFVRVGKEKVDKIHFKNEGKAAGRVELKLDRIVEFRIEPNSFTLTPGQEFAV TVSYKPREAGIFRGIIEVIADGQQTGLSLKNPIDINATSIEFTRFLIDQNGTQSNHFNFG TMFYGQQKQIEAYLINNTPRQQRFKAKLKKGLHEKEETLKLQTPAELGLEQTERIMECWP DEGMIEQYSQVTIIFKCKPKVSEELQVRTRQYALNQDKKMEQDKFDYSAIFDFNDDEPLM SHLSVNCICPQVKFPPTQTLQFGQCEANQTKDIVFEVQNMSKEQSILVGFPTIPYFTVNP QLKSLAVNEKVNFWVSFRPKHVGQFSNVLNAEILGGVFKIPIKVTGVCQQMLPKPQFKKG AESERATLEISKDRSISGINRTSTDQVVQSFEMSQSLVSLSQLSMDKIEEMKKHNKEKYN EYLKQHREKRIKKDKDSQIKLKFKQMTERMDQMKNESLVLKKKKQQTTVEDKLDPPIDYE FAVGMHDDVYEQDLNLPDANESLFVTKPIYHYEPQTRVKEGNVIKPFDPDPKTVPKKKYP SEPKTHGEIRDTTTELNAQQLLKICAGPVKMEFGNIFVKSMAVKYFYVRNELRSPISARI YSDKEDLVNSYMKPQIIPSGQTAGFDVCICSKQLGMFKSHLKYIINEKHIFELQVQAMVE KVFLEMSRQQLKMSFTEDNAEMETSEILRLTNNGNAEAKFKWITSDKKLFYVKPEEGVVP SGKHLECQVFYRPSFGGNIQYIQETAVLSLYPRPYCSHNQNRGRQNNTQNRRRHRSDYQM YGRSYRTQVFDQVRSIGLQRCDLVIKNHSKSTAVFAIKSQIEYLEIMPMKGKILSEETKD IQVKFFSKEERSIKGDIVIQIRGGKILSIPFSATSIIPKVEIEQDIFEFGNVTTLGTSNS IPLTFVNTSPVSVELILDLRTQNDNPRAPDGIECLEIKPQDEEEQVMHSILPDQEEDEPK EEDPLDDASEKSEPIEIEQKQYKQYAITIGGGKTLQFNMRFSPKESQIVFLRDPYYFGQI WSITHINEEGDMSRTQTQVFEFKKKIITSPDKCFPTVEEIKLSNPDRKDVHWKIDCSSLK SEKIFGIEPSEGIVSSGEQIKIKIKFNPYGPGQFNGAVQLYILEDPEIPQTLPYVEITMS GSGAYPRLLFDKKEVILPVVPLNIQSRCYFRIINDGYENLNLKYNWAQEISNYNLELKFP EGSTLGVAKNKLTVEVVFSNKKPLSFTTRVEFIDEARVYIIYISGTTDNCIFTNQSFLWR MGRYQLEVEDKKPIMYVEDENLDSDNEKNPKHNFSVKSTSSKGTANLGYTPIRRDLLDQA NEYIARWLNYHVLTTSISTYPEDVISSNGQQIFELISFLTGKTTFPYKQNIDPNWKKSQK ADVLYKQYDELIRQLKIEGALLNHIRSEYLLGYQDYLAWLKNQPPSKYENVPENLLRLNV TKYTYIQYDSWISLFYQILKIYYLNRITAKSFKSLPGIPQNKLAIPEYYLEGSNVISQPE GILLYFYEICYEIQHSAYKRIKNFDLDFKDSIFISDALNTFIGTSMNVCNSEDDYKHNTE KLFPALQDFGLQSHLLPQDVYHPNCREMVMMLVQFFFALPYYVPQKDPIFFSCVLGEEVI KSIELKNPTQKPISYWVKYEGHPDFQLDGDESIKIDPDVPYQYKIKFTSRISQPVTGRVI FTNKKESNVQAAALVFELKSSITGRKSEKQWNVSSILYEIFDFQIQITNKFLFDGDFQII ITHEKKQQDQQKRKGKQVIQQVQQTQEEEFPAFFCQQDRIRIRKNQTINLILQYIPLIME THKCQIVFTDPQVGEFQHDLQGTVELPNISGEFKPEKLLYVDQAIQIPYQIPFKNEQILR ARQQILQILQFKQKIKQQQNIADKTSSSNNFLNNPNFPGGNLDQITFELEVFPATQYVQV PNVLTVADSTKRSTDRNNDGKLPVTYAFKNATRDFNVILTLKNKFDIRRYKLTAQVLPKV VKATLEFRIPARQQVIQEVPIVNGSDKDWVIKVQLTQSGDNLFQCPNKEFVIKKKTTGQF PITFHPQWIAQSEAKLILSNPITNDMFEYDLIGIGEEPVAEEHIILNCTPRRVLKRDIEL KNQTDKQIVYKVETDLIYATGQSSITVGAGKKAIYQLTVQPILSGQYTGSITFTEENGKY LWYTVFMNTESPKSVQTLELSCLIRQATVIQLTLQNPLPETAIYEAIISGDGLIGEDQFA IGANKEGPYELTFAPFKVGRWRGSVAFVNRQLGEVWYEFILTCEEQPVIKLNLLKASLGK VEQQVVILENPSDQKVTLQSRVSNPTNFDVLPDELVIQPFDYLKVSVRYTPSSLDQIEQS EIIFTSSIGKWHYLAFGNGLPPTKFSAATVSIGLNKDFSSVVHFKNPFKDPITVKIDLDA QGHNKDIFRLLTSKNDKVLVPGMNVLQIPYSFVPREITSYYAEVIVQMNEKISWVFPIKG VTESVSTQTLFHFKAKCRDKWEDEIKIGLQGIAQTLQADDKFEFELGNVPVDLQQMIQKC FSVKCTKNYLSNPHENLHYAVRFQPMKPFKASLEFIVLRQSGGRWKYKIILEATPPDEDD VIIISSPLNKTTSVSFKLTNKTKNYAKFYAGFTPDSDAEFSIIPKVGDLEPYGREGTTFV ISFTPIEYGKIRKGKLIIQTEEMYWSYVIKGILPRYVPPHVKHSSIDTHLSQSLIQQSSL MDLSKNFVIDNIKKARQLTPPSSRKQILSEASFLKK >CAK62936 pep:novel supercontig:GCA_000165425.1:CT868022:148289:148639:-1 gene:GSPATT00032788001 transcript:CAK62936 MNSENYKTEIHNMIENGKDPKDMVIQMCRPQCKWYDDKYDRCVKAFLSLKNADPEKNCMY PYRDLVTCVEACVQPKIQHALRGNEHGSIFA >CAK62937 pep:novel supercontig:GCA_000165425.1:CT868022:148837:149511:-1 gene:GSPATT00032789001 transcript:CAK62937 MIQNSSENITTSIPIQNLEDESPNSQSQQQDEQTCQSPEENVINQPIAQLDQDIGQQVQD LQQIEQFDPRDYDQVSCPYCDTEMFKKDYWVHVGLCEEQISYPDLVEQQCRQCGDNIVKQ YLNDHLKVCQYDYYGEVKCPYCSFPIVKAWLKEHIAECDGYKQEKLREMQGIKNCYICLE DITENNKILKCSHSFHEDCIQKWLKVKQTCPLCQSLITEVKVPV >CAK62938 pep:novel supercontig:GCA_000165425.1:CT868022:149867:151183:1 gene:GSPATT00032790001 transcript:CAK62938 MREILVIQLGGFGNKVGVKFWEEMLKDSDFGNQNEQGLQSQDPLLNSINNVYFNLNQNKP LPRCVQVDLGQDLPCTNIDFNPCSQFSFNYSSGNNYGFVKNHCANEIMDIIVDSIRQETE KCDQLQGIQLFSSIIGGTGSGLIAALDDKLGYDPLLQYNLLIPSIKQDDVCVVSPYNSIL ALSQIMNQREQIVCFDNQGLKQNLSRLGIEFNYDDANHHIAQTICCNSSPFRNNGDINSS LKKLSANLIPFPQQNFLTCSQSNNQFSDYFKEYLKMDETQIFRELMSTNHNQASNDYTQG RFLTAALSLRGNISNKEVDKSCSLFQHYLDKQTYYRRQEAKKIKYIDMFLTNICKKPFQN FEYFGSCLINSTSITQSLESLIEKFNKMYVRKSYLYKYTQEGIDEDNFIDAKTRLEEICD SYKNTYQEDFGEGHENWE >CAK62939 pep:novel supercontig:GCA_000165425.1:CT868022:151710:153757:-1 gene:GSPATT00032791001 transcript:CAK62939 MSNNRSLKDLFPSKFNFIKPKIEQPSETTKQTHKSPLGLSQFTSFFQLAQLTSKNNGNQS QQRSITPQKIKIVQLNMQKQDQSQIQIQSKHSRNQSASNINSSRSSSFFKEKQDYLNQNT SSRSPIQTFKKQELKQSKLLFNSLFHNQQSSNLDLQSKSKDQSPMKPAFYDNLDIINRNT GRNNNSQSPNTSIQKISSFINLKQSSTHNIKYPDNQLKQQNSLVKENKPADKPYQLINHE VPQIQIKKQEFQVPNQFKQNKGLDNIFNSMLSIQHLSHISKNESSKSILKQQEKHESSEE DEETLIVDCNIQINKYSFQFHYVIGKGGFGKVWKVEMKKGKQYYAMKEMSKAKIIAKRSV NSVMNERNLLAQFKHPFLINMNYCFQDRENLYLVMDLLTGGDLRYHIGKMRRFKEHQTKF FVACVLLALEFLHNNNIIHRDLKPENIVIDKQGYARLTDLGIARVWKSENSQDTSGTPGY MAPEVMCRQNHTIAVDYFALGIMGYEFMLGRRPYNGRSRQEIRDQILTRQVQIKRSEIPD DWSVEAADFINKLIQRKPANRLGFKGPDEVKNHPWLRNFPWQKLLNKEIESPYIPKQIDD NVEYLNQISEDDDSQDELIKENKLLLKKNSVQNLFSGYSYECNSKYTKSTSSTLLFG >CAK62940 pep:novel supercontig:GCA_000165425.1:CT868022:153953:154930:-1 gene:GSPATT00032792001 transcript:CAK62940 MQQETKEEHSNMKMEQHILENGTTLFYILFWIGICRDGFGTQVWPDGASYEGQWKDDKAQ GKGIFKHADGDIYDGEWQNDKANGQGIYFHADGSKYEGLWKDDKQHGYGTETWTDGSRYE GTYKYGMKDGSGTYYWPDGKVYQGQWLQNRMTGQGVCHWKDGRCYLGEWLDNNMHGHGKY TSADGKQYEGDYYFDKKHGFGTFTWPDGKKYSGNWKKGKQHGKGKILIDKIEKQGMWENG KRIRWIEDED >CAK62941 pep:novel supercontig:GCA_000165425.1:CT868022:156178:156954:-1 gene:GSPATT00032793001 transcript:CAK62941 MKYFIVIALLVSLNQCISFYAKEGVEKCFSDEIPSQTIVVIYHELMSEGVAKNEKRDIPK MIKDGITLNVYGPDGNIVKTAKTVEGKNKLSFTAKTMGRYKFCVIKSKQFWSVNEYKYSI KIQQGVDHNLQDAANKTHVESIKDRISALKNRTDDFISLQQLNREQEDKLTTQSIDISRR VTQTTILQIVVLLASGVYQIWSLKKFFKQRFLM >CAK62942 pep:novel supercontig:GCA_000165425.1:CT868022:157435:157964:1 gene:GSPATT00032794001 transcript:CAK62942 MHFKQSQNGEQIPTYYYNLIKRNVIYSYRRASRDSRDIEIKANQRKLMLKILKLIMIIGP RGILNRNFKHASLDIQVQKEIKEIKQIGLIKIEYQDVVQYSKQRFQVKSIAAQIKNMIRG ITSGVVITRKEAEEKNVLILSANDLNNVSLTCALIHQACAVKNKDIR >CAK62943 pep:novel supercontig:GCA_000165425.1:CT868022:158072:159416:-1 gene:GSPATT00032795001 transcript:CAK62943 MDQNNLHTLFFIDHILKTEQAQKIQQLAKQYSAQYAHIRDMSKEQADLFLSQLPSSSYGE EKVKRLYFDGCFDLMHSGHFNALRQAKELCETLVVGVIKSDAIAKAKGPPIMTDEERLAL ASACKWVDEVVIQETYDPTIEQIDRHSCSHVAHGDDLVQTADGKDAYQPFKDAKRMKIFK RTEGISTTDIVGRMLLMTKEVMWEEKKVFQKQTVVEASNINSLEIDQVSIEQTLNNKILN TTRRIMQFSNNKKPKPGDKIVYIDGSFDILHQGHVDVLRKAKELGDFLYVGVYDNETINK IKGKNYPILNLQERVLNLLAIKFVDEVIMGVPYKVNEQLIKNFKIDLVVEGTCSQKTVDD PYELPIKLGIYQQIQAVNKQTADELIERIVSNRLRFLEKYNSRKKKEINFFENHDYKVEE I >CAK62944 pep:novel supercontig:GCA_000165425.1:CT868022:159512:160508:1 gene:GSPATT00032796001 transcript:CAK62944 MSTIEKAIQQLRKQYEYTHNSTEIHSNASFFEEERSEHYQDDDLSWQEDFPDYLQMSSCQ LDSSLLPLQSSESSKKFSTNPTQTLVRGQGYFVQEIVDDVNEYINNNNSQTGKGIAIHVR GQGSIGISTKKGKKLEKYNKKKIQNLNNQININNNNALESQSQQQSSSNQPSPSQSNDQQ NKQICQSQKVILAYLMVESDVSKQAQQRAENFIQKYMQNENNLENLQNIQEAYKIMLLPE QVIQQLAQALSQEERMLYLNLSLHRLNLQNNRHPFAAKAAAKITKQIKIC >CAK62945 pep:novel supercontig:GCA_000165425.1:CT868022:160785:163503:1 gene:GSPATT00032797001 transcript:CAK62945 MQLNWKLIQYYSTNTINVAIGLLLYLYDVSLIFSLVLLILNGIVLLLSILIIQKQKKLIP KKYFQQFMNLTLSVHISYCCFLDKDLARITYILLKALEIQMVKKQSIIKSLLLHLAYNIL FFILFIIHKENAISYVVTVYCTLLLIKIKYSSINQENQEFELPAQMTKPTQRNSEIINLI QDSISNSWLIRLQNIPVGIMIVKKNNLQIMFQNQSLLQMFDGIKDIPKFLMNELQFKLQI KRKRKQVKETSIKLPKQSPKQYNSIPQFFSCNKVPSVQRLPNTLNEILVELQNGNLDQFY SKENNLELLAQLSKSKYSSFQEEDYTRQIQCKIFCGQNDNEYLIIMDDISLQSYLQKLET REKFQVRIIDSFSHELRTPLNSAKLFLDGLINDPSINENHKYNFIYPASNALKLQAYLIR DIIDFIQFHSQIIKYKFEEFNFEDIVQEVNDLFRPILQMKYLGLHIIVKNTVPPLIYSDF DRIMQILVNLISNSIKFSERGLITLEISCYDSTLTFCVKDQGVGIESDQLQKIQEFLKSF NQNRDFSCHDEWQGLGLLVSQMNLFKLAPLNKTYLKINSTGLGEGTQVTFKIRTTQTSNT QILGTTIKRQSLRTAYTVPDLCMGIQGILIINNPKTDDLMIDKKLQQIPIRHHSSIANYF RPQQSTGLLNQYVQPETDLQDEDTQRGNLQGNVIKLNSSAKIFYTFINNKELREKSIKRR KKSSFSISEIDIQSSKRPNTLISRLIQREEQEIEMEEKFDNLQFPCQCARILSVDDDLFN QKALQVIIGQMGFRLLIAYNGYQAVELIQNTEKCSESCKLFHFILMDCQMPIMDGWTTTK VLMDLIRDKQVPDIPIIGLTAFNSTEDINRCFEVGMRDVLTKPLNINQLKQALLKLFN >CAK62946 pep:novel supercontig:GCA_000165425.1:CT868022:163918:164574:1 gene:GSPATT00032798001 transcript:CAK62946 MHFVYVEEQIICFSFHKIKKKIQFILNQNKVHMTFIVKTRKVLLKQSLEIRRQLSVELLH PNKPTPSQESVVKELASKYKADERNVVVYGLRTTFGGNRTTGFALIYDTQQYLLKFEPKF RLRRRGIIPKRDGSRKGWKEVKSKLKKTRGAEKTKIYMSRKTDKREVIRAQKETYLKGFV GK >CAK62947 pep:novel supercontig:GCA_000165425.1:CT868022:164707:167419:1 gene:GSPATT00032799001 transcript:CAK62947 MKFGVLLLIFSTLGIFAKDVTKIHDWVSKNIQSLEKYIQETDMTSDILLGVQRNDKGKNF QNWIFSTNHKQHIELTDGQIKKTTAFGPDSEYFTETTQNFVFLGTQIKDKEWIKIQMKKG NEDTQRIDMFAQDNYQGVSLINSNNEGTMNRLLIVEKMNEIISSYEEQGKSTIKISNLSE GQSLFYILYSQNSEVSDIEKLFNYIVKNVIDLEKEHDINADEQPVTQDDGEEESDPNGHE KSDQPKKEGDGEENQVEGQEQEHEQEHQEVPEEDCPGGEEDDTAKNKVDVDDTKQLPSQD DPKNVKIQTNGVDSQEKEEVIEESEEEDEEVDAPPKKNQGQDENSEPEPPSEEYQNCAGE ELDTVKNKRDLNQNQESKQGRVFQAKEVSIKDTIHEEEGEGDGQTGEQGNTQGEEKPDDS EGKTEGTNGATDDAEGKTDDTTGTEGKTDAADGTEGKAEGTTDESEATEGKTDDTNGTEG TTHEPEVTEGKTEGTTDEPEVTESKPDDTKGTEETSHEHEHEHDVTEGKTDDTKGTEGTT DEHEVTEEKTDATQGTEGATDQHEGTEGATDQHEGKADDTQGTEGTTDEHEVTEGKTDDS QGTEGTTDQHDGTTDEHETTEGKTDDTKETEGTTDDPEVTEGKTDDTKGTQETSHEHEHE HEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEHEITEGKTDDTQ GTEGTTDQHEHENEVTEGKTDDAKETEETTDGQADDQSKTQHEKENHKQEPEEPCVILYS ECHFTGDELKLCGAHPVIPNDMKNFKVKSIKVPEGVQVTFFNKPNFDDEKLHAKNEMECL ETPLRLNLLELMNNLRMSKHININSISVTN >CAK62948 pep:novel supercontig:GCA_000165425.1:CT868022:167942:168212:-1 gene:GSPATT00032800001 transcript:CAK62948 MLKIYKHLIIKQTVTMAQSLQQKFCLHKQHISIANQNLHSSVTMQYLNPDCQSFNLFEHN LLEEQLSRSSQQHSFLIAIEHK >CAK62949 pep:novel supercontig:GCA_000165425.1:CT868022:170216:172667:1 gene:GSPATT00032801001 transcript:CAK62949 MNPSSPHWRSQNIDNSDLVDERIYSIRTDNNKQSLLKKKYQSVVQQLDNDDQIEDINLLF DDRQLKIINDLSFVQTAKIKEKPGRASISRKMSVMIQNKEQEEKQPSCSYIQVFTQEDKI RIVWDVFTMLVIFLAILILPVEISFNVESSFLDDFNIFSLSVFTLDIFINFNTAFQHKGQ YVFDRKLIFQNYLKWWFWIDVVSTFPFDLIVEAATSSVVKVDEDELNQQQNKSQKDSLVQ TMKLLRILKFFRLIKIIRLLRLLKLKQLFAKLEDYIDISGSVITIYQLLKLTFIMLFVAH WLACIWHFIAEQENSSNGYSWLQATELAEQYWYIKYVASVYWATATMTTVGYGDIVPVTS VEKLFGIIVMLLACCVFAYIMNSIGGIFVKLDTNEKTIRLKLGQANQFLKSNEIPKDLQA RVRKYLEYKYETESSQVNEKDALSVLSSTLKDEVLQNVNTSLIKQSAFFNSGKFEKEILS QLPYLLEEQIYGPEECIFLEGIDPIEKENGNNIQDRNLYFLNQGQVLICIQKTITCLKII EGGATFGELAFFTDKPRSASAYTLNFVYVQVLNKKKFFEKLKLNSSQNQIYLMNKHIVEI NNDYTPLGQCCFACMSSSHFAYECPKLHYVVNEEKRLEIIKEEQFNQENSLKIFNRQYIR QNKRVKYNSRANSQLTNVVADEIKFVYNHNREGEDDLLIQSNQSNGTEEEDENPFKMSVK GQLVQFSEETDIDRMCKFPLFFPNYNYDKIIQTYNQFTPEIRKEIIKNNNQVNQKNRGQR SHSAERAQYFQRLEEKCFAEQ >CAK62950 pep:novel supercontig:GCA_000165425.1:CT868022:172763:173621:1 gene:GSPATT00032802001 transcript:CAK62950 MSIMAIFKNCIEHFRGKFSKNYIPAQTPKAHSKKKVLVLDLDETLVHCEFKENNNFQYEA LLKVIHKEQEYKIHLRTRPHLNKFLLEASRDYEIIIFTAGYEVYCEKVLEYIDKDRLISD YYARGSCQFINGVCFKDLSLLDRSMEDIIFIDNNPNAFDRCQDNGLLIPSYLDSDDDDCL LKLIPFLKFLSKKKDLRPINQHVHTYENNIGEPLFTDPQKTLEIQQQAVDEDSLSEDNVI KQENDITDLDIKHKKTQTHFEPVFKISNKLRSATLFSG >CAK62951 pep:novel supercontig:GCA_000165425.1:CT868022:173965:178919:-1 gene:GSPATT00032803001 transcript:CAK62951 MNNIISPVIKMNFQLFNKKTQIFIIQIIFEHMQQYIKEIFHLRLAELLDHLYFHDAKPSI NVMIVVIFLMQKLYLLFWPQNSHIEHSDEVYLNICGSLFSWITFQKPLEMINMEIINNLM SIFYTFLHLAMFVVFSFSLYNWQIVSKIFTFYISYYQLIIIYHTEIHHLKQQYDILVIFL LGIPLYINYLIIVYCSRNYFIISQQHMLRRYSVYNYFIVVIDFLYFITHSLSSDYWSKYL LLLLSVVYFIDAIIMQPYCIKINLIYIGATGVLVVSVTIRIILWDESLYAQFYGITLLVP LFSHIFMQIYQIQSADAKQQRLSISLILNLGEIINHNITNSVQKGVGDYLIKQYINVEGL NTQSILELLEYYIKNLEKSDQYEEIQLYRILLKHEIQGTYLQSLLETKRYQITNKNHSLF FKTIGFLISKKYDQQIQDLYSGESKSSQQYHYQIIKIKEADNLFHNSLNIFLELLDQKII IWNQLQRGYDKIEHIANHLVNMYKTLNKLKIKIMSMIQCVDFESILKVQTLKKFNVVELR FLSLYFSFVANDYQQTKIVELYIEDLIKQENNSSNSSILNINIMNNDLIVISSSILEKTG QIVRANIEEISRFFGYEDKNNKEDLQLINLYMPKFISQEHDRYVFKFINKGNSTLYNKGK QVFCKDYEGFIFPIILSFLHINEKSEDFILTTALKKVKSNYDYILFDQYGKILGVSKYAY SILMNTHTNNSSTQSICSSIDPSIYECYIQFWIPNIDSLIQNSNFDHDNYQINKVIIEIS TVTNFMQYLYYFKYYQSINPQQQKKISYFEEFLHYIKQELGNYQNINCKVQFEVQFQFHQ LPTDQIYYICSISKQYKDIQSIPSLDKKSSTQNQFQIIQQQVKVITHQTHPKFITEIEKI TEIAPQSKRTVENQFLIHDSNQDQKQELLFSNGESQQVLNQLNSNSLNEKQKNKANIIEF DDQKISQSSRYSRNSNFQVLDQIREYQKNKEFCLSIKKIWVVTTFLYFIIYLLMLVILII LSQLNDLLQYDIQLVRIPDKFNRLYCSFATIGQMDLERSLLGKDYGQYLNYRMINHGSSI RDEMEGMMINLKNRFSILENEERLQNLTVRILNQFSYKEYEVTMIQFDMIADTYTEQLFE HINQALNYSESNHHFLIDEYYKLQFIKANLINQITSSQNLIDQIILELQIQTENTNTVLY IILSAKLCFAIGCIICLTQLWKQPFKMVQLQIQLLSHLSEQQIKTSIIAAKQAKQIINHP YKWKRTNYMNECYNKTIVKRGQDILNRIENLANKQVKSNLQLFDYQFSHIGIYLKNGLYL LLILSFILSSFFYMKYGIDSSQSEIKLTIQYVQFKQDLDSLMILTQLLKTNSILSERVRE LGFLNQNPYLLDLEKYFHILEQEFLEKFQSKYEKAQEINEYIYQDIVNSKKISVTDKEIL ETLYQNDLCSVMNDQLPFCNYTNEQFLYFPDYPKPREEDNNREIFRNGINGIFSKLIAIL KSYYQLELQGKINTNKTETAYYLSTLEFKQYIQEYFFDINKAVVKFYVTILESTTKILQS DFKSSLQYFLTFGFSVLILQGALQVQNLSKIQQLVSASKFTFILMPLECLNETQCLAIIK QIVKQQKR >CAK62952 pep:novel supercontig:GCA_000165425.1:CT868022:180800:181561:1 gene:GSPATT00032804001 transcript:CAK62952 MSQQQAKGGKGQQAKSEAKPEAKTQAPAKVVKPQETKENVMRKIRIEKLMVHICAGESGD KLTKAAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDQAKDILFRGLRVKE MELKKRNFSESGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVVLSRPGLRVAQRKSRNARL GTAQRVSKKEAMEWFKQTFEGNVY >CAK62953 pep:novel supercontig:GCA_000165425.1:CT868022:181774:182927:1 gene:GSPATT00032805001 transcript:CAK62953 MSFFSKFTKKLQPQGLGLREQFMQILDNVLKPNIKCNLKECADILAQYLIKSECKLYYDI QEHISTLFEFLATITFDFQPMQVIQLLAILHQLLSKDELTKNISIKIRTVKMPWLQNIGT LNGIMEQREKCQQSSSIIQLNEIPYQEPSSQFQYIQQCYFYLQLLSQNIDLYYAISFNNY PYNARYEIDHRLQCTWIYKIQNIMNYAIQLLAYNQEYIEIQKVIYLDMLKFHSFICQEIT LVLDSYATLGRCYTLSLYEIFCESNKHYEQLKRFWKEIGLQQPEQCLLSNSLLQEFLLFV QKLKLLNQMVYKKKITVPSSKIKSINKKMNTRKGSQCPNEEELASVEDSETHEEVFMSNQ SKRDLRLVPSFGVQQ >CAK62954 pep:novel supercontig:GCA_000165425.1:CT868022:183113:183923:1 gene:GSPATT00032806001 transcript:CAK62954 MDQINCQNPNHDSQRIKYICVDPNCQAQQKIGCADCFLEDHVTHQRKTVDQFHDQVKQQL DTFNQIEFQPITNAIQQDLEKQIDKELENCLSCITYRFTSIQSDLKSSLDGENEKLQESY NALQLSKNQEVDSIKNVNDLHSISQEEVNDLVKFYQESSKIQQNYSKASEIFSDEKQKVK QKKQKYLQKLHTIMQGLMREFNELMTTKNFQQEDFSEFETPQKSTMSPNKIISLVESTRQ SRRFYMNQTKKLLFGNASRKNE >CAK62955 pep:novel supercontig:GCA_000165425.1:CT868022:184183:184722:1 gene:GSPATT00032807001 transcript:CAK62955 MGLKQVVKSHIDSQIKQSVKYVSRSIPLISIKQLSYQHKNKVIKLYMNTKVSCQNSSPFI PNILKHFQQTQINQQGSDFNQKHVNNEVQSPSHSQALTSISDIVVLDNARQSNMLTNFKH KQGLELQQEGFKLTIVEIQKLKILKNQKNFIRKTNNFNLSLNSRIFSYENGIYTRAKLE >CAK62956 pep:novel supercontig:GCA_000165425.1:CT868022:185131:188657:1 gene:GSPATT00032808001 transcript:CAK62956 MGEKKVDRFVFKMSDKIGQGSYGQVFYLHMKVFKGQDEKTKQLVAIKMVSKALINEDEYL RDGLMNEIKIMRDLQGNNVVRFIDVLETNNNYYIVQEFCDGGDLQKQNLNKVSQLKKKKF LPQKEAIQLFIDVLLGMIELVKKGIIHRDLKPANILINKGIYKIADFGFSKAIDNFRKQM IESVVGTPLYQSLQLLKAEKYTSKSDIWSLGFIFYETLFGQTPWIARSIPELVKNITSVP LKFPQDKNVDPQILDMIRGCLQLQEKDRLGWDQLYRHACFGQSFSFYTNQAKQLEDKAMF LVQDLRFKVMKDKIDLEKVFIKYDKSGDNSLDMKELTLLLHEIDPKLDREEIEYIFNKID LDSSNSIELNEFKKWLEENQVQMSMRNQSKPHIQRKGTVEMPKVYPNNSEQVIPDFDNTQ HVQHISPQQQQQQQIGSQQQQQYQKQYTNQQSQQYPQQQQQQQQQVGTQQQQYQKQYSNQ LSQQYPQLPQQQQQQYPPQQYSQQFQYPNSPGQQGTAGSQQQYQMQSQPFPQMNNSQQFN QGFYQQPPAYIPNQQPQPPQNLAQERAMLTIQKLVIAIEKYNINIYDLFRKYDKTESQSL DMKEFGVMLRKIDSQLTDQDINQAFWIFDVDRSQEITFKEFQDGIVSYLNQWKAQCWLPI LKILNYQKQFSMFKLCYRFTKTHLPVFKQYPLHPKILEILDTKGLHTATPVQDMMFSCQD KVRLLIGPTGTGKTYAYLLPILGKLKQEEEEQNKILTEQNKPRAIVVVSTKELAEQVEDV SQEFTKAMKLSTIALGKGTFKREQSYLQEGVDLVVTTLERLQRHRSAQSIYLSRVQHYVV DEIDTLLDASMQDDLKKLATYFKDKDAMTTYCGATYSTKVKNFIESQYKKDIALLIEKQS HMHLENLSHDFVHCTTLDKSEPFIALYKECQTKFKGSIIIFCNEITSCHFLEFFCKKNGI KTVSLHGDLPKGMRSQNVAEFRSQQCKVLITTDLGARGLDFPFVDAVINFDFPNSTSDYL HRAGRAGRAGKKGFIYSLYHNSEQSVIDELRKANEGERPIRIEESAYSKTNKEDSPKEKV VKSKSQQQQQQQQSPKSKTQKHYVKGYKPAQKQTKQERTSSKSYFKKVHEGKV >CAK62957 pep:novel supercontig:GCA_000165425.1:CT868022:189188:192584:-1 gene:GSPATT00032809001 transcript:CAK62957 MSESESKSIKKAQKLQGKLSRSQMLEEKFKLEEQLKDIKESYGNLPEKKQEPKTNTQYRW NYILNEMSEMQQYMTRRLECFKFKTKKLAEQAINKRLKYYEAIKEKEKNEEKNQFRQLQK VAKTVQREFWKYVWQIRKQVQQFKDDKIKQETQKQKLGDIVTRLKEVTEEIGQKLSQYQN AQQEDETNLIPIEKFKTLYYELKRRQNAWPGFKKQIQSVQSNIVIDLIKVGQDRYEIAKV ESKDEEVDESILDADKCLKVLKKSKQFPFLFEAEDSLLEQQPFLLNGQLRIYQLVGVHWM ASLHQQQMNGILADEMGLGKTIQTIALLAYLAANKQIWGPHLVIVPTSILMNWEIEFKRW CPAFKIMTYFGSPKERKLKRQGWSQLNSFHVCITSYKIVIQDSKVFKRKKWYYMILDEAQ HIKNFKSQRWQVLLNFNTRSRLLLTGTPLQNDLGEIWSLLHFLMPSIFDSHQDFLQWFMS IEKAIQENKTISEEVLRQLHDILRPFVLRRLKKDVEKQLPEKREIIVKCDLSRRQKYLYD EFIQSSGNFEIQGTDFVTMMNKVQQLRKVCNHPELFEQRPVEQPFFFPALKFTYPKRVQL NLRQSPIKLHVTQIKQRFPSQEVLQFYSNIIEQRIMNKKASKFGKRWVELENSQVQSRFK SNMSNSYRKVVFYHALLTYNPEMLTCCKEQIKSFLMPTVDYLLEKMQETLNEFSCVIRKV DALPQQLYYSPYQAQQQDTIPRGVDSLFYMIQKQRMLFPNKKLLIYDCGKMNTLVSLIYK LKSQNHKIIIFTQMTKMLDLFEAVLSLSKISYLRLDGSTPVEMRQKIVESFNQLNITCFI SSTRSGGIGLNLTGADTVIFYDTDWNPAMDKQAQDRCHRIGQVRPVTIYRLITNSTIEEN IFLKSLQKRQLDDFVMQSGMFSPEQILKSFQLFDDEKMDQAIKQVEDIDDRQAAQKALIE EQNYQMNGAEEIEEIEKGDNMDWLIPNQLPPIVKYGLKMSDCIVNDNAEIQKLQDEMDEG EEDGEDDEVDQEVEEEEGEQEQEQDDEQEQEGEQDQGEEQEQSKNEEDQQQINEKKKKSN SKSVDYSDSGNHVFCVENQK >CAK62958 pep:novel supercontig:GCA_000165425.1:CT868022:192968:195206:-1 gene:GSPATT00032810001 transcript:CAK62958 MPVLEEGKEEICSISHCNQQVRQQMQLYCLSICTVDNYELHMLLMIYKDLADRSQNRLIC RDTFNTYFKIIGIWGEQIFNKFTHKQEHYMSFEEFLTGLQMYIKCSEDQQIKNLFKLYDL QNQNGIAKTDFLQMLHNYSKEELKIILNDQLFLEEQEIINAYHRTKRVKPRKGSEDFLKM SLQMLRKYSNLSENKESNQNEHQNLQQPDGLQSLNSKQSIDQIFTLDSKAQLNGIPMKGF GINLTFQVNGQKIEMQANLNLLIKKYVEMVYKAKNDLPINLEDFTTFVKHHPNLIMPLYC VFNFDVWGLQNNLPKYKNLPLDIQGELYRMSKKNKIKSKFCQLYPNILMEFKNKNDIKPT KIICLSGLIIKESVDLTNQKFGFEIFHMNQHYKHKVYHCSDEMSFRDWQRALSLYFNGEV NKKYSILNKIGEGKYSIVYRCQAKMDQQFYALKVINKMNLPQEEQDIVKHEISITKLLNH SCIINLIDSIENRDQIHIITELIEHGDLFDYVQNKQYLEESEAAIIFHQLLDALQYIHSI GIVHRDIKPENILMVLDKNTVKQIKLIDFGLANHLSKIQKNSEHLNYHCGTCNYQAPEML QFQEITFSVDVFALGVILYYMLSGYLPFDSDIPYEIIQNTINGIYSMDDYHWQCVSEEAK DLIVGLLQNQPGKRLTLKEAKEHMWMKNQLVKNNK >CAK62959 pep:novel supercontig:GCA_000165425.1:CT868022:195546:197135:1 gene:GSPATT00032811001 transcript:CAK62959 MAQLAQEAEVSQQIQKVLKQSVSKREKQSLIKSIKKSSIAQTGKASSLQTIISVSNSMVG TSTLVFPVLFCQSGIGLGIVIAIIIGLISCRTTQLLIVHNKSDEMDLPDTLQRVLGPKWK TIFNISNVILLWASGIIYMILICNQLYPLIQIICDNIGIESAPLTDITLSKLSYQWIGIG YTLFILPMFFQKKLGIILQLLPYGIISVFSFILFTIYEGIYMLVTDHQGVVDNLKWFSWD VSTMAGTFALALLIQSMVVPIMKNNMIQQNNNRDIAIGFGWTWFVYCMAGTFGAFAVAGA LANNQKQEGKSGSTLLDYYPSDNPLVIIIQVLLFLQLTLVFPVLQFITRSQFFGLIYDLE RQPKWQFYAFSLTYAITCLVVQCVEVDLSLIISLCGAVIGLFQEYIIPITLHLSCLYAKK GSQTPNQPNYNQVQASVESTEYLVDQVDFTKFSLNDEVYFIFYGQQEDLKCNDHSDLLKR MPKNLRISLYSFILVIGVAIGIGKLIDIFK >CAK62960 pep:novel supercontig:GCA_000165425.1:CT868022:197289:198763:1 gene:GSPATT00032812001 transcript:CAK62960 MKVNFYLLIFGYVICQVSSDNTFAYHNTEQAYQFLIENQDELILGQWTASSEHLVYLNIV FDNKNLLLQVYPRQNNDYGDTHYFRLSYSLSNSSYHFDSVKKRMTWNNTLTEVTLQSNKE SNHFQCSATIQLEIQKSQNSKNLNIYSEFEEGCQMNEERLQLLMFNNSKYSLDLQSYTAL IICISLFQIINSHYYLNSDCVPNQGGSLTISIILTQDIYICIFSSLLFDTPRFYYFIPCL LSQLITILCDLKMKAKLTQTENYSKKDILVLIIEMTSTSFLFLQIKYWYGMILLNLFLLP QIIFTFFTGNRQKFNFNYLGTIFPRVLLSLYFTAYSNNILLFKYNLIVVGIVLLISITQF ILYFCQCQYGLFILQTMKFNYFVKSTEDHSQLDCSICLDNLKNTSESYNVTSEEPVLVQT LNMATQKQLLMNTPCNHVFHPSCLIQWMQINLTCPLCKSSLPQIC >CAK62961 pep:novel supercontig:GCA_000165425.1:CT868022:199013:199432:-1 gene:GSPATT00032813001 transcript:CAK62961 MAKEVNREEYARQKHHKDKQKKFAVDKLKNCFPKSLDDYNEALQKGLKDCDCQKDPDPKK GIVHKEGCPHNDYISHQKQEQKLLKQYIYYKTQYNYDRDYSPEYYFARQIYQEKQADQVK IKIKQKVLKGKDFNDDHLY >CAK62962 pep:novel supercontig:GCA_000165425.1:CT868022:199782:200105:-1 gene:GSPATT00032814001 transcript:CAK62962 MYKEENRSQFNKKEKYVINKRIRAFKLLLQEDLISKIVNEKDFLNQMEKKSQMTQEEKTA FNIKYATLYNNIHQEYRKYLVNKRTKKDLNQNRENNPKNNQLLKEIY >CAK62963 pep:novel supercontig:GCA_000165425.1:CT868022:200968:201882:1 gene:GSPATT00032815001 transcript:CAK62963 MNQLIQELECRHSTAIPIVYSLLSSCFFALTALTIKLVPNVAPTQVLYVRSLFTIMMLKW IAKDKIDSYPQKQINKLQWRGLCGGLGTVAWFCAVRLIQSSEVIVLSKISPLWTALIAAY VTKTDKLTLKLLIIILLCIIGVALIARPPFLLMVLGQTVDANKEYDMHMLGVMLALTSSI TQSIVQVIISHLAKSVHQIAILQYFSFYTIILPMLFELYNQSQLVFPTSQEWVFLLMNSA SGGLAQFFMNRCFILGKLNKMSLVGQSQVLFSYFFDIVVLNESINNLSIIGSVLVCGCLI SVIL >CAK62964 pep:novel supercontig:GCA_000165425.1:CT868022:201893:202330:1 gene:GSPATT00032816001 transcript:CAK62964 MKQIILAILLFGLCVHAQTDRHTLQGALSLLEKAQTDMSVYDQQEPRLYTWFCSFRDGVQ RLFQPITENKLASQYALFGSVPGVILMAGIMPMILLVVFSYGMFKFITYEPKKMEFKYAK LPVKEIQKSQNKQQQYPPFCVFGFI >CAK62965 pep:novel supercontig:GCA_000165425.1:CT868022:202373:203299:-1 gene:GSPATT00032817001 transcript:CAK62965 MQMQETQLYRKSANHFNVSNSLQLLYCFTKISFGTYLLLPTQQCNYTSFSEFYYENPLHK WIAWIVLHSILNTILYFNQIVYMNVEENRRNLAQKLDQIRDQEEQFQAHFIEDSKQISIL TRQIKYEVQKSVLCGKWYSRLLGIIYQLLFLYGLAIFLSQETSRTGCEYYQYYFLCTILI LSIYQYIDLYIIAIFCILFSPFLILFLIYYCVSHCTKRQQKREAMERLNIQSYKPNCVEG DPECTICRCAYELGENILTLTCSKFHHFHESCIMSWMNVNSTCPLCRKAI >CAK62966 pep:novel supercontig:GCA_000165425.1:CT868022:203333:203884:-1 gene:GSPATT00032818001 transcript:CAK62966 MFEETERQLLKFLRSLAKDSTEEIIIEDAEMDCIPDSIKAELEKFSLLSLQLNKCKLKSL SNLPDSKSIIRVCLDHNQLSGKELSKLNIYPNLVALSIMDNQFDSIEDIKQLALLNHLSQ LNVYRNPVADQPKFRQETFEVLSKLVLLDNLSKTGDEIQLNEEVKISKNLETIDPSDQRK IIG >CAK62967 pep:novel supercontig:GCA_000165425.1:CT868022:203952:205108:-1 gene:GSPATT00032819001 transcript:CAK62967 MDNIVQHVDDEFNEGRQDSYQQPEKLSDNLARTVFFDSNNLPQVECYYHKGYYISNMCRA PQCIIPLCPLCIHLHSKEHVNEGTYPVFESLEVLLNQASDHVNNELKKFTNSYYDIKKHV NTFEVHADKTIKKIREIKKRMQDVVEQFFNGLENEVQHKQKKNVNNQERDAKHLLSMIED RWRSMKQMLNTFQSSDCLTALIPYFTTTFQEDNQVYYKKIGEYINAFPTVSSEVQIDQHR ASELSVFLSSIIQVQHTSIPDFIGIHQLPTTNVTESTKISSFQGSRIKEPLRVTIPEKKT QFESFEHRKSPVQDVRAQRSPPPNSQSMYPYPVHPSMHQYPPRHYLSPQYHRF >CAK62968 pep:novel supercontig:GCA_000165425.1:CT868022:205156:206706:-1 gene:GSPATT00032820001 transcript:CAK62968 MKVVLLAIFLLLVLAGEDYYQLLGLKKGASDAEIKKAFKKQSLKYHPDKNKGNEEKAQKQ FQKIVNAYETLKDSEKRKIYDQYGEEGVNKHEQQQQQGGGGGFQNFGGGFDEMFSQFFRG GSGGGGGHQQFHFNFGGSGFGNQGGGGFGNQEEEVQRKKDNLFENTDVYTLDMSNLSRFY RREEVWVIYFYLPNGEGQKHKKLIIELAEKYAGIFRVAAVDCEDDEALCEDEFSVKRYPS VSIYASRLSAEPIKYKGKWEINELAKEAVDLMEDFVIILSGFNFQEYIEKSKPKVILFTN KKSTPPLFKALSKEFKGKLEFAMIRQSERQLSSIFKITEFPSLIVAKNDQDFKVFESEFK KDNIVKFLRQFAYGQRKEVQVKEIQKLNGQNYEQCIVKGNGLCFLLLIQNELDLELVSKI PHKYQNENISFYYGSLQQLTSKFEAKQTQSYAVIIKPKRQTYAVQNSIEPTEIITFIDDV LGGGRTFKKMHSTFRKEEL >CAK62969 pep:novel supercontig:GCA_000165425.1:CT868022:206757:208531:1 gene:GSPATT00032821001 transcript:CAK62969 MSTSPTGAHRHDNSPYVTKKQLPQYPIPQQYQQSTQQLNQPDYYQDERYREFEEREEQYN YQIQKMNDYIQQLQHDLDVSEHAKQGFESDYLKAAHELQLYKDQLKKLYTIYQQQTAQFE TIKKKTQESNLNAEKTYEKQFEIKNDVQNLILDRDLLMNKLELGDKQYNFDVQSLTQQLN DKNAEIQDQRKRINELTELKRKADEEIASLRFTLDHQTARLEAELREKVLKLEALDKQFA EALYLKDNELVDAFDKIRILEHEVRRILDLNRSYAQELSMLARDNRFKQEEIAKVREDAV NLSYHFQKPAMPQQISVQSGGMEPRMPPAWAEKFHIKIDACLDLFERNHAKSLKQLCLNL WKAKMELKARNKQNKAMVEENSNLGKSVVVGGGTDMRVTTYFVDSFVAAITFARDAISNV VFDVFVKSVNKANNKKLNSFVLFFESLKEMQQKSSKGLWLALETYAKLRKALRHSVPILI DAKVQSGLLQKVELAMGKLLKHDMDFRNNINKWLEGTLAFTVQKKEAEAYDKNKDYLFLF RLLTVKYRIKFPLQGKTFEVDVDIETTLEHKICYALRKVVKQQ >CAK62970 pep:novel supercontig:GCA_000165425.1:CT868022:208735:210991:-1 gene:GSPATT00032822001 transcript:CAK62970 MDQKFIQAKSLQEKLNLIWSQDRRLAPLELENLYKSIHAETQHGFQILDKALDRNFGGLL PDKASYSMDYNEGVFKRITESLDNLEMVGLYAKYVIQNCRVESHRPLLKQLGYVAERLIR KFRQQGTIEEKLQLNKEIVIDLVSRLCPKDMTYNPELLQLILKAYKDYKDDELLKRLADS LIQQCKDNANQNSDHDWLMLISTIFDQAIEQQGICLYILFKKIGSTKLGHIISQHRNYFL INYFDNPDKSVKIFKGLIKYSILSENLSILQEVTDILEEQMKQNSNQSCYLILSEIYLEV ILPLLLPQLHQNTSILDLLQVYMIGMSRRQEQLTDDYITIMCDRLRLVIDLRQNDRSIFD KIYGFMNAIHKKYQNKLLHHDSFSKLLHIARDKSFDQFNQIDHPVWDCQKLKNLNFDDSL YDRPNHDNYVGLENLTNTCFMNSILQALYMTDRFRQFILINSIPEAKQYNALRKLFMLLN FQQSGFCSPYELKRLLRAPYCNSNDQQDVGEFAHHFLEDLLKYIPKEQQEILERIFFGTH RSVIECPNCDVSFGQIEKFLGIDLHLNKYTELKDMIAQVYEEEKIEFTCEKCQRKSNDIK KSIQLNDLPPVLFMTIQRFQYDAQSQQMTKILAKVPLRFLIDMREVFQQQHLDAMDSQYI LYAFIVHLGKNSYSGHYSCYARSMSKPETWICFDDAQINKYELSSEDLNSQLIDEETPYL LFYKNKSGHYLSINK >CAK62971 pep:novel supercontig:GCA_000165425.1:CT868022:212338:212927:-1 gene:GSPATT00032823001 transcript:CAK62971 MAEQEQLEQTQNQEEQPENPQQQTKRIDQIKAQGIIKIKFSPFENALIFDFEVCQNCDEH AWCTHHNEEKYVKLFLECQQLIESSIPNSYCTFNQGIQPGTGSFEIRHKGTTLINSLIGT LIYSKKNTQLFPQPPLLVERIKKFIDDLENKRDVTKWATKIEVKYQPPKQQKERPSKLK >CAK62972 pep:novel supercontig:GCA_000165425.1:CT868022:212933:213541:-1 gene:GSPATT00032824001 transcript:CAK62972 MSGSDSELEMVPFQVAKQAEIERKKQVAEQKTQAHQKKQQQKEQIKQKIKKDKEIQLKAK QTNLFEDEPPEQSSSTQSHFTNNKIDESFQRNLNEKLSEKVIKSIKMPQIQQATTIPIEI KSKKIIKKFNEKVSIETLGREVNHKLNSQQSLSFLKQHLVDKQQRVPLSKIISKNK >CAK62973 pep:novel supercontig:GCA_000165425.1:CT868022:213589:215571:-1 gene:GSPATT00032825001 transcript:CAK62973 MTEQQNLNEDTNRNLGQATAKVVPRGSKEISNIFGDRRSSYNIEGYDRVIADEITDQQHQ GIPLIDKAVIALVLIFTLLVFINFSFTSNDAKEDPDIDKTLFVTKIIELVILILFVLEIS IRCFQNGFITYFSDCWSFFDALIIVASIVLIVLDLNLQGDAFTTISKVLRGIFRFLRLFL VFRKYNQVKKINNAGTRYTVRSPVEKVIEIMRDLADQFEDSDIIKQLNWGITHISNNTVY EPIIEGRKSEALGWLNQPQNQQLMASQESKKSSSIEIIFSNDTKLPEQLRQDFAQNILNL DYDYFSLFDRYDSAILTHLMCYYFEKEHLFSTLKISPDSFKKCMDQLGSNYHKENLYHNV IHAFDVTHTVYFFIEKCNFKEIGKLTKLDYSILLLSAAAHDVDHPGLNNIFLNNTRHELA MTYNDKSSLEQHHAATLFKCIRETELFSNFSIQDFKYFREKSISMILSTDNAMHGKDFNK LKARLASNDFDPGSKDKGICFDTLLHAADISNPFKPMKNYEKWTFRVLGEFWQQGDREKD MGLPVTMLCDRRTTNVAKSQIGFIDFMVLPYYNTLQQILPVLAEFMEQISENKRYWAEQI EHYQTLLNTQ >CAK62974 pep:novel supercontig:GCA_000165425.1:CT868022:215742:218693:-1 gene:GSPATT00032826001 transcript:CAK62974 MFHKNNHNGAYANRMILHKKQLNLSTETFIWMNFVNILTGILQNTNTHLIFEPTEIYLAG NDEEQLRVAQFKIEYIAYQEFKMIYVMKDRRTHVSYKPYTSIFYSKNYKELYTKYRGTCL FVKDKLIKEQRQLQEQTGYLKDFDLEQYESFVVAWIPKRKEQKITLLFQSWITEYNQSIN FTPKHELRPTINREYFNDADPLQQIAMTYIDFIHFYSENDVEQFQLQNKILIKLVDDGWK IQFKIYSSNLLYCQQAQQNISDFLNQQQLELFNMELFDLCENIDKFIDDLEGILKKKCNR TVRLYKLNSILSLVKEIDRRFDTKIQNYVFSLGFKQCLFFSKQRDNTQAFKIIKDLIDLR LKFNQVMKNKQLEMSQLSQSFQSCKSTLLYSQYGKSGIMPQQQKEKDFASSQLFPQEWRG KSALPDLTSKYCENKLNSKALKNALQGQHQSSFSSDQKSRASKENIEQPCRIQMNDQQQL NQSGYQNDGTINSMESFRRNYDSLDSSEISDINELQEFCHEISSQQNRNKHKHKKVIEEE KFIQLISIHHISQQFIRQVLQLGIDRKSIVYRVKESTVLHLKDWESSLRSYLQSQDIQVN QLELIVCDDSVTINTKLNYQQVSTICDQFFAGTIYVVMQLNRNSEDFRNQLKDSYQIESK QTTFQQTGLITKQQYEELQVSDRGRYLAMELYNYLYFDTNIQQRVTKLINSRLKELDESM ALSEVCIRNKIIIVLSQQQLNFLGEKYQELECNKLIKFGKYLSNTFYYVEIENNRELHED AVEQLRRIGQELVDAYSNKKKQKYKNQQIIQLKQNSWKLCIYSNIRKFYSKLVNDLLEMK YTEKEYLQIFQAGVKLSELQIDLGKFQIKQEKDISKLDQMILDDSNCNDNLYVNLDKCVS RKIDHLTPGNYLSIVVRSEQLPIENVTQLYPETSLIRGYFEIQGNFSKKQQKQNF >CAK62975 pep:novel supercontig:GCA_000165425.1:CT868022:218751:219832:-1 gene:GSPATT00032827001 transcript:CAK62975 MAGEKISGQGKRKCQPRCPEHQFNLHPKSLKCWLKQSTNIDFCPLYNDALACFQNIVPVF NQDEQQCLPGFILEVDRNDYLRSMQKYKEDHQRKIQEYTMKKTKLQIPKPGRKHRYCGVC RKPYADYLEHIKSSDHINCFNRHDFVHVILKIIDEDYKSRDENKIQNDSSTYTPKAVMPK KRGPKPKVQVEQGEPKKRGRKPLEPQAAKRIKTQPQMREVLMPVRQQQPPPPPPPPQTYF PQPYYFQYHPLNQMIQYGALQIPPQFRVGFPFQMPVVADMQMEMKCEDMIVDGKIEDRPQ FD >CAK62976 pep:novel supercontig:GCA_000165425.1:CT868022:221330:222920:1 gene:GSPATT00032828001 transcript:CAK62976 MYSLQKLVPNNKTQIQPGAFSKHQNLNKSVDGILPVNQKSASQLQTQISNANKKESKRQL NKKQELLQILENAQLNQGQTKSVSPNTTPQKQKSTRKLNFNLITEQDAHFLNSSNAMANM IIENILNKDDYYDKIRQENQDLKELSIQLQLSLNEQKKKQQILEQTNKDLNQNLQQERQL YQNQLININDQIQQMKSMKFTLQNEQKKTESLTKQLQDQIAINNGLKSFICDNCLICIEF LSFFQKIVEHFQPQLIFAYESLIQLSKHSTAFILDFISKTQNLNLPALRNCLLPEEFDVN GFFMAVESLKFNQSSESSFRNKSHNASKHQILTTNNSSPPHESPKPLRNVSLQEQFNQFL NTSDPQIGSPYFTGLEITDKQGILNKDMNQLPLQLNAAKRATQETSENSFYFQSFKQTNE DETTQLDQQVLRDQGKQTKRNKENQQSYCQYVIAKYDYKAQKDIDLSFKKGDQIKLLKKT TNGWWYGEDKNQLKGYFPHNFVQAIG >CAK62977 pep:novel supercontig:GCA_000165425.1:CT868022:223264:224481:1 gene:GSPATT00032829001 transcript:CAK62977 MNNLILFVMSFAIVYSLEFPSFYHRSTDIYIEIAQAIEKCEGVEMEMVRDFPKLSMVTLN KNQNKPNKGFVLFGEHARELISPETGLHFVKKLCSQNEEMKSVKDNYELRMMVNVNPLSR EKVESGDFCKRENENGVDLNRNYKSHWTKDHDPEMVRTAPGPFAFSEAETQTVRDELKKF SPHVFFSIHSGTLALFTPYAYSKEQRKHQFQTLATENISSMMEILTEVREKHCETCKMGS CGHEIGYLSPGTSMDYAYDDLKIPYSFTFEIYHGGLDVRTKKHATKFLEVQTSHNKHRIT DEEKLDQLLRDHSCFATTSTKEMPPDECFKYFNPEEKDQYDFYVENWTQAITLSLNRVAE QRQAQQQQQQ >CAK62978 pep:novel supercontig:GCA_000165425.1:CT868022:225040:226815:-1 gene:GSPATT00032830001 transcript:CAK62978 MSKIDSNVILFILSVSKRDGQQWSLEKRYSEFDDLNTNLKKVFTNLPPLPGKTLFKLKEH VDIEKRRVGLDYYIKELLKRSDVFNSESMKQFLQLEKHAQEQVVNPPKMLGEITGFIHGL RDFYIERSQNVIFVLSSDMNVASRVDAYLTNMKMPWEKEAPPTLLAVGCLECWVKTNDEN EFKYERMWNKTYPSQAICLYWDAVTSTLIVGLDEGKLNLLKVPQESQFIRYDEQADIKAH QGRVMGVFYDSINSHIHSVSEDKKYKVLDFQRQITVAELQPSQHPLTGLAADKDNRRIFV SDKGGYVHVFEITSATMGPSLAASVASQTLSPIRGIFFDPVKNYLFTAGFDQGEIGIFEV GKSGREKFTKQTASLKGKLQMREIVWSPSRGECLVGNKDGTVTVWSAKKAAPIFVIKAHN TDITKLQWYEDKHMLVTSGKEKSLKFWQLPKEWRDKKIEQQEEIQNDILQRQINIQNQQE TSKKKELDSDEDDLKGWHKM >CAK62979 pep:novel supercontig:GCA_000165425.1:CT868022:226877:227548:1 gene:GSPATT00032831001 transcript:CAK62979 MYNKHLLSTKNLDGPKLDTTQVRRKSCVCDQCGETALKENQLPNQLCEIAYHKKERLADV FLSKLDGARKYMRNDHKKKNFRIYARNPLFILRSKPDLDESMHLQTSPTKTISPEQQRIK TEQPQIVHSRSHKYVPTSNCFLQNIKQQVLRQEKTINRLLKDRKAIQSVQFSPCEIIPTA KSPQKNKKIYMKQLKPLPKQKQLQTKFNCLIREFFNSSKSKQY >CAK62980 pep:novel supercontig:GCA_000165425.1:CT868022:227590:229118:1 gene:GSPATT00032832001 transcript:CAK62980 MQETEKSEKDMIGSLLDGNKSLIQLEDESKCSEFRSSITKSQQHSQSQSPIKSFKDLKEA KEIPLLLDDADQQEFPSNDPNNFYFTVQSAFIQQRQHSMSHEFHQYMVPQPPLYYPCQDG FFSQKRTKKLQSSTDSEQTISQQCQDQYASLNIQQSFIQGNDFQKDKIFKALVDDLPLLS KHKFGNYVIQKIIENSNQNIRTLIFEQLHPFIIEMCYDKFGCRVIQRLLEFIQNHQKIQL IQSIKSQVLNLIFDQCGNHVIQKIIDLASDAEFIIDIVTNNVDHIVSHSYGCRIAQKCLE IFPNQKLQQLYVSLIPLCERLSFCQYGNYIVQHMINQGPPKGLEVIGKYIKARIVEVSQD KYASIVAQKYITVASDDDIASICKVLINDCYPPMLLILINNQFGNYVMQNFYQKCNDKQK QSIQIQINKFEDHQFTQFGRHFLQFLARCHAC >CAK62981 pep:novel supercontig:GCA_000165425.1:CT868022:229221:231022:1 gene:GSPATT00032833001 transcript:CAK62981 MSIYKFLAWTSPGLQNILIEQLAQFNISAKRSRLPIYPSSAVFFETSMDNLNNILYKPTC ITYLNIRINKPIQARNVREFMRNMQKVQVHPYIPMNAHLEIRLSIKRCLRNHSDNWRDVI KNYLLNNNKTRKLLTERKQLLLGKEEQPQDYISETYSPKIPLLINTYLYQNYLTMYVQLH LESLHKFGFKKYIGKATLSENIADALLHYCDMRKGVTVWDPFCGTGTIILTMLIRKFNKS IRKGMFLPLYQMPIFKDTFVDNELNELDINILANDINEQQLRIFYKNLDWFSNLKRIIRQ QSPQLRSKSYENLSIAQLDFVSMHKEFIKGKLQKDDLIVITNPPWGRTVNLDKFNKMIKF LETNCNQCYLLIASDQFQFFDKRKWELLAEPLVGGQWTKIIRFDRNREIPLITSSEIVPK ENNQIISQSTTTDVIAKDLSYQRDIEEYENKKIVDLSKHLGALSKKLNNQDYLKHLNKET RTVAEKHAVFLKKLNIGTKTKVRSIMLRRANDLYKTKIRNLNLQINKIREQIKKEKIELK KQEVKDKVILDKYSLKQEDLEKIQKVLDEKAKKKSLKLLKQEKKRSQTIPRW >CAK62982 pep:novel supercontig:GCA_000165425.1:CT868022:231030:232536:-1 gene:GSPATT00032834001 transcript:CAK62982 MIIRQAQLFLRWVPQPRYYHGGLKDQDRIFTNVYRDGDPYIQGALKRGDWHRTKDILTMG QDWIIDEIKKSGLRGRGGAGFPSGLKYSFMPKVNPDGRPSYLVINADESEPGTCKDREIL RHDPHKLVEGALCVGFAMRARAAYIYCRGEFWVEANALQQAVDEAYKKGFLGKNACGSGY DFDVYVHSGAGAYICGEETGLIESLEGKPGKPRLKPPFPANAGLWGCPTTVTNVETVSVC PTILRRGANWFASFGRPNNRGTKLFCISGHVNNPCTVEEEMSIPLKELIERHCGGVRGGW DNLKCIIPGGSSVPMLPKETCETVLMDFDALRDVRSGLGTAAVIVIDKSTDIIDAILRLS KFYKHESCGQCTPCREGTSWLVDLLERMKVGNADFAEIDQLEELTYQIEGHTICALGDAA AWPVQGLIRSYREEIEERIEDYHAKHPVKARQLRSNPQSSSH >CAK62983 pep:novel supercontig:GCA_000165425.1:CT868022:232561:233307:-1 gene:GSPATT00032835001 transcript:CAK62983 MGSVCQKGDGIINWPCQSNPRGSNPRATFTVIPEEDSVIYSQYDRSVFTASQFYQNPETQ RQTLILGSAISGNNKLQILNVYLSKLLSSHSIKLTNTRSHAYEVSLTNINPEVSCYCEWH LEADKLPISSGDKIDLVHVLTGRYLLATELDEEGIVYCGYGSNRCWTIEFEDNILKDGAI FELKHNEITKYLSFLNKKASLSHNRQVCLNDNEGKNNYWKLVLIQ >CAK62984 pep:novel supercontig:GCA_000165425.1:CT868022:233428:234637:-1 gene:GSPATT00032836001 transcript:CAK62984 MEVSHANAPRWTMSGTNSREIPIIKNIPGPGQYDVKLPESQRKTLIYGKAYQIDKEAQQK PGPGTYKVEISQLSRTGFKIGSEKRYKDEKKLSPGPGDYHLIMKNHVTSYIIGQQTRSGK IYSNHVGPGQYEIPSLLKTDGGFKMIPRREKTVSMITPGPDAYEQLRQERVKSFKIGTSK REGIYQKSTSPGPANYEVQAIKESVGYTIGKGQRQDTKLEVLPGPGTYKPNKPSNAPKYS IYQRYREKTENAGPGPQSYTIGEFRETKSIIFGQAKRDSQNKIQIPGPGEYDTEIKVKKP QVSIVFGREKRSQSCSENTYMPGPGAYDITSNFLLKKK >CAK62985 pep:novel supercontig:GCA_000165425.1:CT868022:234779:236407:1 gene:GSPATT00032837001 transcript:CAK62985 MSSPQEGDIKLDQDAFRSGLQILGNLLKENLDLLDTFAKLFRKMQSINEFFDLYEALHYE LKIRCEETLVIIGQLDNPSLLEKSNEIPNIITNDQKPSIQEYKLIDTQIYDLVLDINMSS SWKLLVNNNELKGARVAVLGLYDKGKTHILNQLTNQNLPTGSKITTKGVSLKQCIVDKNN SFVLIDTAGSYAPENLKNLKEKQQIENAILEISFELTDYFICVVNDFTSIEVRYLDQLAR KLSTSLKQFKEIIVIHNLKDITNPNLIQHVWSVQIEKILEGNIQKSTVEAMNPFTNQLEE KSVMWFNTETSRHICIVNDNSDLGKSINPWTYSLLKYWLKLVVVPHQSKILKYNDIVDVC QLKMSNLLKKQIKLTVQDTHLPNEKRILKQQATSPKFNLTLTTPKLTSTPINIENEEAEE YEPSIDIIQGTQYIILIDLPGVYLEEVEIYRQNVTTIVRGHKKISMYTNKSTYLKQERKY GPFDLRFKIPDEYDPKWKYYGMNNGVLGIIYTLDSEESILPKKNQF >CAK62986 pep:novel supercontig:GCA_000165425.1:CT868022:237135:242425:1 gene:GSPATT00032838001 transcript:CAK62986 MINKFSSKTSQELLNLSFTADIYQIQINNYRFNNKSQSTQHHMLFKFKGINQLQNPINDG YFFSNGIQYFDKVCKFIIIYIDYLIYLQNKMYTNTAWMLDGAFCWVSIDEEYSRGHIQKL LNQRSVARVNLADNKTIDVPVNQILRASNSKAIDLTELPHISEPEVLFKIKNTIYLDRQI LLQSVNTSLADFVQYKKYIDERLIVSSIPPHIFSTLFYAYQNLDIGKNSQIIVTGNKGYG KTSIINDMMNFLNKDTIMYYNLIKSFITDNNGHTQGVINYRFIYKQRRLIGLLFQSYYVN LAEYQNASFFTQKWEELQNAEILKLIQTEKIENAKQFQNMFEKIILIINGLVQLNDNIQM ISILEYPGRSDQLSEYIQEKIEQIYIQSVFKNCQNQLIQDQLHELNKQISFEDNLSVLEE LETKVQIPSNISKLNNIMTKDTQMLFIRVIQNKQDLVQFRIFDSIKFFKNSYPYRLTFND FYQRYHHLDVFNKKISLQKHINSNQDMKALVTEICKRLTQSKGLVFGQSQVYFKKEAIEN LNKLLCNYNLKLSVFAKIIQRAYRIRQFRKVILACVKKIAKTKSIEQQVISESELAQIEN EIRKQSQSQVREEKEEEIIIEVSDDESYMLLEKTQQEEYKKLKKEHIKKKQHSLINGQIY EEVSDVAYSLLTQEQQIQRKDNINKKKIEQQLKELEVISNEASDPESFQLLTQEQKFQYL IMKKQNQTQVAYRLLDQNQQLKIKQQRRLNKIKDFKQKYYDQLEPFLSDLEAFKQLTIGQ QNEYKKKKLQNQKNVALSLLSEQQQQNRRQYLVQQRPRQIQKKRFLMEDPLSDQEAFLLL EPIQKEKYKQEKLKFKPLLLLQSKARFGVDVEVSDPESFKLLDNNKDTYIRYKEKLRLCK DISDIEAYLSIDDVNQYLQLKKEGQSGIAKQMANPKKYEELYQLRLQAQQRLDELGLVRY DLLDSIAFNLLNEKQWNQRRQQLNDYYQRKKSMNDIGNFCYDLDSLAYKALTIEKKNERK QKEKMQILLKNIINPILFENHLHPNSSVAFRLLQNKDAVYGRVSLAYILLKDKTVRDQQL KELQVYDWEDVNSLQQKQQLLQEKINVYEQEKENLKKEEFSDYEAYKLLDEDQLLDYQAN AYSFLDDEQKQVHRRIIKAKLISNQIHSDLDSFEMLSDDSKEKYKQMKMGDVSDYCAFEM LEEKMEYWKQKQRKRELLEQIETQGFKIYFGATPQVMIKCEYEKFVKQKLAIQQKIKDEE MSFLKQLQQEQIEQQKKSEIKKTFIIQRLIQNPKSQPYIIYENCQLNKRILDIRSAPDKN LGFFLDKEFMARMEKHKFYDYCQQVLNHEGKQVQKIMKCQSENLKHPLTKLQNETTALNV FRSITKFAHMKRSRQSAKQHLEKILCALIGNDAQIELPPAPKSLLKAKTIDIDALKKSIQ LETAGRRFSNQEDYECNQENREEILLQIFKQIEGNKKEHLHHLLRLCIVITHCISYYHPI PYIQYLVDKIENPENKLFKDPEIYKYCKQLIKNLALNTNEQVFKNEIKIPLCPRKYLPKA DEIQLMFECKQSIVKLFIHSDTPIWVEVDHHFSVKSVIRSACNYVGQQNNWNHFGLIVVH SKFDNIYNTTWLDESANFFDILNKLEFQEEINNNVYKLNKRFEIYLTIKEFFQFNKQDQD ILDLVFYQMVFDVKRNKIQLKAEDLLILSELEMYIRYGDNINNQKYNLQDKNMAKLEYLK ILRLADSNSIPDIII >CAK62987 pep:novel supercontig:GCA_000165425.1:CT868022:242716:243419:-1 gene:GSPATT00032839001 transcript:CAK62987 MFKLNPKKVLIKTPTIYDYQANLPVETRKAIVLHVMNKNPKLRIKGGNSMTNPYKIPYEL AVADQIEQLEKYKNSILWSPRMTPKKFTFAFIYPMGFALVLLWYIHNVAIPRRMVEMKRK YGYVYPELESKGWLDGWWDYEQEETEQIFDIINMEFKKTEDKVRNKQYTDFTISDLQNFG NKPTYENSGQTQNNLKSGKQIMQVKEKQKIQSQFEKILQLQTKPSS >CAK62988 pep:novel supercontig:GCA_000165425.1:CT868022:244708:245743:1 gene:GSPATT00032840001 transcript:CAK62988 MLSFSLQCEHFEINQKRFQFFLETIMARGPKKHMKRITAPKSWMLSKLGGNWTTRPSQGP HKLRESIPLSVILQHKLKYALYARESTMILADKDGNIKVDGKVRTDSGYPVGLQDVITID KTRESYRVLYDVQGKFILKSIKPEEAKFKLVKVTQKKVGPNNVPYIVTNDARTIRYPNPD IHVNDTLKIDLESGKVVEFLKEEPGNLCYIIGGNNIGRVGLIQHRERHLGSFDIVHVKDS NGKHFSTRINNIFTIGKGKKSLISLPDDNGLYLTALEKKQAKDHQEVGKE >CAK62989 pep:novel supercontig:GCA_000165425.1:CT868022:245874:246844:-1 gene:GSPATT00032841001 transcript:CAK62989 MECSICCDTTELLELQCSCQICQCCMLKSIDAKYYEVIEEIHCPGSCQSKHSVQGLMQNM EGLNDLMLEKYIKTTQDIRICPRNDCQYGGIIKNNCQQFECECGYQFKLKDENWNLRSIF LNFITIKDCPKCQRRIFKNGGCNHMTCKCQYEFCWICSQNYKEHNKLMCSANIMMKISLL IFMLLNIVYSLRLLESFMTVVLIFVKLILFNGSLLFLYLGINELYQISKLNLECSKYYSN GNETFKRIINQKWIMFVVLMSQFCLLCFFFYLCYQEQFIETYCHFLFSEVSIFIVLGTTL WIRSKK >CAK62990 pep:novel supercontig:GCA_000165425.1:CT868022:247208:253117:1 gene:GSPATT00032842001 transcript:CAK62990 MLKPHDLINLQNIDSNFQKYQLVRNCFRLNINKSHFDQLQQILGQTQELLNSSDLGLKNH LRGGGCLVCKCQNTNIKYQYPSHSHSQYITQVNEPKKILEVKEIKKKLIEHFNQNSQFKQ FISAVTNCDDDCVIFTYQTISIYLEMLQKQEFLKIEKNQLFPIYESIQQISQDTEWKKIM FQFYFDLLQQANEFGTKSNDQIYGLLSDFSKQEQYTQNQWDQLKKQKDKFSSYETFYFYQ AYLNKLIQPNNFGISLDTFANDFINNQDQKKQINSYQLLIAAQLNLMYIFNLTKQNQQLN NFIKTVYEVKQIITKDDEYFVNSIIKQQDKGYEEIQIWLSYHFLILEHTSILNKSIQDHI TQFFEILKIYKDKDFLEQLNCMKNRLDQKNNQLQVINPLVNIVNDGFKQQLNYKDQLDIV REKKKDQKYQQKQYYITPQINITTSTSKLESTFDEEVIKNLFEAQEEDIYWLFGKFKSGK TDLTKKIIDYLWKNSDQIPIYFDLTDIEQNKEKPAEFLKTSLKSEPFNLTDQQIQDCLNA NEKFVIFLDYFDQMSLGFRNSNIINMLGYKDKKINQKLVIITREQVQDLPSYQPLIQRMI RIELKEFDEGQKRKYIQYIMNKEIQSNQININVQQMISINFNDVQILIQEDHVRQIKMQL TQENQQSIQKMVQNMLQLNSMMKDLFVSCFSFHYQFKLLLKLITQKNSEKSPQRFQEYNK SKNLVAQYQEQQKKQFKQLLDSNSNSSSDTNSPSSKNEEPIGINKILDSILTKSNQKYRP QQEDIQKKQILNPQLTKFEYFNSLVDQYIQDSFEARECICSTYKITKKKVREFQSNLALL IQQKGNNDQESQNELIKIFCLLKSDGEKEIFIDEKFKDFFIANFVLSKINQFTIDKSIEE LGQFNNLNLCQNAYAEAVALLSDQLLLISEIKNVLIQLIKLSKNQTKYIRCSSNSMYLLS ILKLNLEGEDLSQIQISEIPISGLSFYYANLCNSHWENVDLSYCNFNSANLTGAKLESIK GILQTQTDRQIQIKQKEESKIIGVLDQKKNIQSKESQHKKNQLDSFDYHSIQANESQVEN SSKKNHSIQNDLYSNISIKEDNTNKIIYQKCFKQHCSSFCFLALKDQVVCALSKDRIKYK INFLFYKKQNEIEPIEIEHQFDKITKMATYKNDQILVLLINNNKILLIWLKRNQDHSYKL DIENKTEINADNFTISNCKMFLAAHNCNEVKIYDQKLIEVYKFSSVNDWWRQIQYKSKQV IFEKPQPINIFDSQVENAQYSPDGQNVVIQFKSKTEFYSIKNDAAVLEMEKVNNLIFSKN YFATSSNQGIKIYSFPECELLDWLPFKAKLLIFSYKQEQKLAIISDCNFILDLKDLEQTS PKIIRNFNQQIQDSPLIIAVSTNKTYKTMAQNGLIHFIDDQFQNEKKQQYQDETILSMGF FKDNIHLAVRSKKNNQHIFRMINFSTQKTTLEWKEDNQTEDTEFSNQFQYLLIEQRIYVI SNQNNRLVFQDVTQWCLDQRQNENNERDKPPIILELTHQNNEILLDKSQKQTMNMAQLNS DMPDEQFFCFNFSISQDQTYIAACFSQKKNQFKKNGSTIIIWKYIDKSPHDYKFEFDFSH DAGVNLYFLEYENLLLLIFKFNEQQCIQLVSIQNKNKTSLCLKTSDQPIQSIAFSSQQQQ FFAIHSNKSFSLYEIINEQIELKYYFKETEIPIYFSQTGTHVIYAFQQSIIIRNVDGILE RIKNFYLNGIKQQIDQNEGICFSDNITYLNHENQMIYQYSQDQCQKISLGHSFISINCSQ FSQDENILIIISAKERDKQFLRILFKTENNYSQQQKESDFDQVVYSCKFLTISSFILILK DILIYEISDFATSVKQLISLPNFFYEPQQSIETINVHPNRKDFLAITKSGQIQIYKLTQD KEYKYKLYKTLPRNLQAKDCVISKLNASDSIIEQLKYLGAIYDEKDNCN >CAK62991 pep:novel supercontig:GCA_000165425.1:CT868022:254049:256062:1 gene:GSPATT00032843001 transcript:CAK62991 MIVLMISLMQYALAYELDVNTFSNYLDVQNRHLHLEWLLNMDKKYINSTSSYRFQVVGRS INKITLDIYKLNIYSTYLQNGLSLSHTIDNPYEDSDQGSRLNIQLDKTYYKGQYIELSIK YSIDSKSRSISFMTKEQTSTKTMPYIFSQCEDANCRALAPLQDTPTVKQTYTATVIFKDT EAADVFMSADESKEEFQVLYRPKDQAGFTWKYKYFIQKVPIPSYLIAIVAGNIKKVPTST GGRTFLVSEPDKTEAYTAELKDMEQFMQAIEQYIGPYTWGTYTLVIQPASFPIGGMENPL LTFANPTIMTGTGSGLDVTIHEMAHSWFGNTITCVNWANMWINEGFTVFLERKASLFHYQ IPDEIKLNAIIGNTSMYQDMLGFGLDSNFSSLHPDTTGLNPDDSFSKIPYEKGYQFLAYL ESIVGEADFKQMLRAYLVQYKYQSIDQQEFQNFLLRYLYEKQVDDFSTKRYKILENWNKW VYSPGLPPVILDFSTPKLAEAYDYTTAYITADGQQPTKWQNYFTFLHSQKQVFLEDLFKQ AQNNLLKINVINQMDKDLKLTEEKDFELKFRWFRAILTAGDKTRFTQISDFLGSVGRGKM VYPVYRALNQLDHDFAVKTFKNHEAFYHPIAKNNIKNILGLKENIIQ >CAK62992 pep:novel supercontig:GCA_000165425.1:CT868022:256495:258726:1 gene:GSPATT00032844001 transcript:CAK62992 MKRHSIGKKFRKIKALKKADPHAIIPEAPEQLQQYIKELTSKGLKINKVQYAMFQTKNGL RYPGLIATEPIKSKDTLVSLPKELLLTTRHAFESPLKQVFMEFPQLFSPKFLPQWEDYQF LAFLLYEYQKGPESKWHLLISNLPRDIDYAVFWRPEDQAFLEDKYLVKLAKKQRQDFMVA FKTLKFITDKYRNLFKPGIVTEENAIWLYTHIISRSFGGQGLKYVTMVPFCELFNHEQVD VCYDFSYKDRSSNYDDVFMSKKIVKGQEDVDVDDLSLSSSDHSQGSEDDISDSEFVMDDY DEYTEFDFDSFKEFSYRNFLENQRKMSDIKPLPNEEQEEFYKRVQNQQLLLNKLRKEFHI KLNIQRDVFQLAIDCKSFLFKNIDFGDNYSIFFLGQVFNVLDTTISDYFEDEKSSAKARE DIKKVQITCTVYKDYLYGFLNNVMKKPIQQKINMFAQKIGRKIIRGFETIEQVLSQPVDR KSEYYKSDWEIDNFENFHMRTRDPFEKGAQVYFCYSRLSNRQMLLKYGMALEYNKYDSTF LRVEYIKYLKNKEAIWMVHRFKLNKFKRFKLKYTVPPYDLIVFCKFVNWTLYVNSTDTLF KIKDFNLERKALSLALQILVEENASFKETIEELEKQLFDESVGYHEYFAIVYRLERLRIY RHNINLIKICIVVLDRIMNGVPFQEAIKKTEYDNDLYDTNRHILQMYFDQMKSLVQLSK >CAK62993 pep:novel supercontig:GCA_000165425.1:CT868022:259376:261625:-1 gene:GSPATT00032845001 transcript:CAK62993 MQKLHDFAQCLDHKEVAVFLTKSESQQKALKLCHKCVVDQQKSLILIDDAKKQFEETKSA VLQQGESINLQNIGILNDLKEQLLTLCKNINVLIDKINQNIDSEIQFIEQSSQQFQKMIN DIQFDQIELINQDKSTIIKQQVNYRELQQSFITQIGNLFASICKSKFDQLIQRIEGFEQN EIINQFFDFGLLTNYNQEQTANWICEKHQLQIAFVDLQSQKSIPSRVACLKCVPEYVIQY TDLDQMQELWKSYNEQVLALFNIYISNTQKKQQQVIHQLKESKDVMIQQFDQLIQSIQEK ASQGNDELEQHHQLIQKAWYQLSKEELIRIANLLSQQNRIVIFNQTLEKEFQSKESAIEQ LISSQMMQFQNLINSSFSQLVSKPVIQDVKNQINKVDAETQIQYQLSITQAVVSLQVNCN EQVALPQTKKSFTYELISQLKENTKCRSIIFNKELSMMIVGQSSGNIKVYDFNSGIAKEI QQFKEHKDQINSLFFMQNSSQFISCSDDKFIIIWSMDNKKQWKVLQKLEGHTDKINCLIT NNQEDCIISGSTDKTIKFWIQENQQWKCRQTLSDHTEKVRCLALNLSQNQLISCGTDKLL LIIQQNNNKQWSTIQKIQVERYGFSLCFISNTKFTFQPESLNIMHIYEYDQSSKQFIKSK QDVSIKSGANCSELFPQKYIKDKLVLLNKNGCYVNIIKHKENGEFQTIQEFENMCDCCGL FGSISNDGTYLVTYDSHSSELKIRKYKEI >CAK85525 pep:novel supercontig:GCA_000165425.1:CT868541:1:5119:-1 gene:GSPATT00019242001 transcript:CAK85525 MVVNLGILSMMINVMHGKYLIILSPSIITNQLTTCLECIKNVKGWMQNSYCQTTLYINTN GNTVKTISEEDYQYYIFDGFEFSICRFCDQQSLPNVNTMYKNFNLLVSKFKQFCQTSKTN FDTSFYKNTCYECNLPNCNICSIEITGIKCIQCETFFKLINGICTSGFEFQTQNSCVSPK YKNSVGECKQCHLKNCKYCFEFNKNDLSKCTLYADFDKFELDENLSVGCAFCEENLIYDF TIGQCIYKLQTLPNCLSSFINQQNLEICTLSSSDFTIAPEIINCDKFIQNCLQCLLTPQS VVKCIICESGFTSSINIGDCYQNSLADAKVVIEGEIQTFDAWVQRIQSFMMKFLPNQYFY VRPYDDQTVIQFQVECKEGYKLNDYYQCKKYCSSDCLKCELSINSDYFTCVKCPLNKYYQ PIRMEANGQCIECPELCEVCERRSETEIYNLNPNFKFSELNMKYTNKCIRKISNPNVLID PYFLIAKYCFASNCNQEMIIEIANYFDIDSQVNVQYCNQIGITNLSILLNKKNEIDSNNN VMKKTELKSEIFSLRVIKLVINFYENEVNFFIFQGFDFIEINDAHFQFKNYDHIQLENKN QSVYLQLTNIVINQSSISNVNSIFDSDILGDITLKNIQIMDSNFSNSSFFNFKQHQYSGI IKIEKMILQYCNFTNSQLFVFFNNQIKIQIEKLIIDSCQFVNTSFITFFTEFISESQFVA YGITLTSNQFQSSYFLNTTNHFKLQIINFIFEQNQVEMSTIIGFSSNLTLLQTQFNNNKF IDSYFLAAMQVDNMRKINVLIENLQIYQNDFKNSTFLYIEQTIQNENLIKEVRHHQQQIT PFNIHCYQLNVENIKIINSNNSLIFYLLEIKKFFASNIVYENLEINYKASLNLNCAQYNY YVQNQLLFIVGFDTIVIENIKIYQQLSIDQSLLRILSSRKNLQVQNSIFQLTNLQFIGNL LIKQSLTSICSLITIESEQISHIKLHNLVFVRNFIHSYVDTSLESSIKLISIISQAGTIE ITNFSCQNNALTNTSNSFLSFQSKIIRLTNYTIQNLNVLPQEIWKLYYDISIDMTQYDQE QINLIIQQILNIQSKGGAGLITASTFFCLNCLFEDIIGQKSSIFEIRIQEVGDIQFINLT IRSTEYDLSQVIDSTGCITIDSSSSLLNLKILQAVSQNIFNRMASSIFTIFPSLKKNVPN LQDIQIINSISLKNTIINLQSQTQNMNQNKVIIRNMTIQQDKDIWIKYLKKLEMITQSEI EDLSSTSIALIQLKNCKVVMQNIHIQGYFGFTLIKLINISKLFIYQFKVYDVKSFSQFSL FELKQDLLIKQTIIIKFFQLQQFTILEYSDDPIIFNPKIKYMNLDCNQVEYTLQNPIIYN FQDFFSLAYKNWRQRSIIDIQSISNQTVFFLENILFSNIDCIICTNGIFYLNMENYSSIL IRDLMCNSNILQSYGCLNFVSQIGQNQNLRIWNSNFISNFGGTDGAALHLANISTRITHC KIINNSNVAQGALDLEINQQTLVMQDTIILDNEASNGPGIYFYGEFNIIQENFIRTFLQF NRDKQNPNNIFEFPTHLSLFINSQEMQSEELQINNITVRSLLLKPYKILEQGVCKMSSNL MIPSDQAINQYKIYIPSLQISQNLFDDLSIKLKNSRNELVVNQQLFTCQISQTTLELNQD FYLNES >CAK85526 pep:novel supercontig:GCA_000165425.1:CT868541:5180:5563:-1 gene:GSPATT00019243001 transcript:CAK85526 MILMIFMNTLFCLISEINXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLN YLDIQARNQYFYNCQNLNRTTKFAIIVVNIVMVQQKQIACCALKNHQEYIYQNINHVYAH IIIMMIK >CAK85527 pep:novel supercontig:GCA_000165425.1:CT868541:5644:6692:-1 gene:GSPATT00019244001 transcript:CAK85527 MKQFYILPFLSFLETIYSQYLFSIDSFFQTKERILALNEESTNTFGLVFGIWTKYNPLNK ISSGGIIGMMDSNCFHYMNFMRESSEQLEILYYDCLYPESQSIQRILAYNTADGFQDVHK VEIDPFEYENVWYLFELLYMPSTNKLEVIMVKEDQFLLHKVLDVNIIKTENLILTVGSGL FVQNSNIESIDVGSKFSYFPGQMKLLKLSQSIVTKLDSQILGKAVYCKENSQYSLLDQDI TWLDTKLFLSQNININSFTFSGWYKIKQIHKIDDQFTYQFLRISPNFENKQFSNPNLSPF QLNYKISSNNNKILQISFYYIRFYQYQNFKRV >CAK85528 pep:novel supercontig:GCA_000165425.1:CT868541:6817:7245:-1 gene:GSPATT00019245001 transcript:CAK85528 MEQPKKIQDKVVIKNVPPAVLNKTSWLLQSIFMILKSPNTSKTFSKSQQFKHKCPRAFKL NSDFLLSFQALALQLHDLIMNYQKKNSQFLRTRLIHYPYHFIAKKLFNNPKNRYRIILSL QLDNLVNLYVSLIVLTFLQAYN >CAK85529 pep:novel supercontig:GCA_000165425.1:CT868541:7288:7877:1 gene:GSPATT00019246001 transcript:CAK85529 MPPLLELENLNQKKLGILELESNYGIFFKIFVRSIQVPRSMVGEQTFANQLFRFSASITD LSYSSQNTIDRIIWVFFLFIQYYQQQDTFVQKKKLQHLESGNSSCEGIQYFFKPNFFKYL SLRFWVDAVNQVIFQMNFGLANLCLYDSYEEVDSEIFEEKEVGIE >CAK85530 pep:novel supercontig:GCA_000165425.1:CT868541:8960:9359:1 gene:GSPATT00019247001 transcript:CAK85530 MKFQRIQTTFNIFNGQEKMLEKWYFEGKKQEIWKESINNYRMYIIFINTNRRAQVFQSGE YLNNQSKGYWKFIFEDDEIGGGYYNEYGLKSGKSLYQQSKQLKLIKAKAKSLMKVNTKMA F >CAK85531 pep:novel supercontig:GCA_000165425.1:CT868541:9892:10465:1 gene:GSPATT00019248001 transcript:CAK85531 MGDNEGEKFLHGKNQPKIVICIIYTYIAINSQVFAFYEGKYSLGKKLLGGILKQRKIQQF LAVDFVTSKVEIPEDYLKQNQFEFKENMNKQEKLELGIQNKMMSCQFNNQIFIITFNIGD CYDEQNLKNGLWIDLDDKFSKQPNKNVIDISHHQVIHRGQQKMEGKQVNGIFLD >CAK85532 pep:novel supercontig:GCA_000165425.1:CT868541:10893:11181:1 gene:GSPATT00019249001 transcript:CAK85532 MAKKLVDGQIIIELVAIKTLKKIGGGHYNEQGKKDVRWTDMCESLPIKHPQTIFCGEYQR GEKIGLLGIYGIIKNWKYSDNEVWS >CAK85533 pep:novel supercontig:GCA_000165425.1:CT868541:11380:13553:-1 gene:GSPATT00019250001 transcript:CAK85533 MLLARNRNLNIYAQLAINVISVVAKQSDQFLLLQTFVGFALFLQNDQKRWVVNILTCLIQ VAIICFYSQNNEIQSYLMSVFTVISVTYFYWAIKLPTLKKQSSGIEFLPLNQQNSVKSSL SVDELPQHPQNTIILENQILDIKYISNSLKDLLFSDQISEFNLLDSINILKVDKQTQRNL NQKIKGISFRQLIDKCLILQKTDDILIIKTNILKLEYYQIKVRFSKEGITLQLEDVKEFA KYVKKNSCFALMNKLFQSFSHEFGTLLNQIALISQNGQIQNPNMKEQFDLIYNCCVIMNN MVKDLKDFHQLRSKTFQLEIVDVNIDGLFNELHHLFKQQAIAKQISMFMINKVKIGFKQD EQRIKQILQNLIQNAIKYTNQDGAVYVTAEKYDEKRIKFSVLDSGIGISEGVSQNIKQML SNDLILTSKISEGTAGLGLGLLNSNYLTKELSSFKQANKEFLQFSSKQGEGSNFWFYVWK YNLLESPSSQFENNSIQILEKKVFLHQKTNNIPPPPSLSNSKSCPSQFKRVSPKKRSYTR TSNQAFFPADVQEDNAIVIQEESDKIEDDPKPNHQSDECQCCKLLLVDDEPANLFPLKIM IKMLGYETDLANSGMQAINLVQMRNNQHCQYRLILMDINMPQMGGLETTRRIKIYNPNII IVACSAFSDMQTKYEAQSAGMQNYLEKPINKESLKEILETYI >CAK85534 pep:novel supercontig:GCA_000165425.1:CT868541:13956:15269:-1 gene:GSPATT00019251001 transcript:CAK85534 MKQLFTTISHEFGTLLNFILAIAQVAIDKYPSQQYYYSIKNTCEIMHNFILDMVDYNDIL GRQFKLQLEQLDISNILETVTTLFQEQCKQKQLQLEYLNYIPEGQQIISDQRRIKQILIH LISNAQKFTINGSIIISANQLNDDNVEFSVRDTGIGMSKEDEKNLREMLKQDFKSEHHIT ANTAGFGLGCYLANKLCLHLSNQQNGLQFKINEVGTTFWFMVSNNILPTSSLNGLGIQKV NKYSYIDLKQTQIEKNVGRRLSRMGSRVSQNSMPKVLSYRGLIDQIKENTESLINEEVNS IERKTRTTTLNRNIKQTMKFLIVDDEMINIIGLQLILKRMNIETDYVFNGIECLRKVQEN RYAYSGIFMDINMPLMNGYETSRNLISLYGNQFKIIACTAYTDSDTKQRCYDIGMSYFLN KPVNVIELQKILIQFQQ >CAK85535 pep:novel supercontig:GCA_000165425.1:CT868541:17031:23164:1 gene:GSPATT00019252001 transcript:CAK85535 MVELWKDNEDFNRSEHVANGVQQLANDFMEELDEEYEQEYKAANVPEQICIDLIHMNSLQ KAFQYRDKYPMLRVRKQILILVKTISYTALKISKHQLFEALTLVVIIINSILLSIQYDDQ EALELTFLILYYVEALIKIIGKGLIFNSGAYLRDIWNVIDALVIVAGSLQYFMSTSVQLS ALRSLRVLRPIKAISSIKSLKQIMTTFFLSFNELANALLVLGFTQVIFAIIGLQLFQGYT KYRCFDEYGIQSMEIDQQYCHNCLDGYICGKMLENPKKGYLSFDNFGLALLQVFIISNLE GWVDIMAAIIYTFSEVAVLYFITFIIISAYFLIHLTLAILKVNFKKSNHIEDNQVEEVSY NYRQLKRLNIYKPINDNKRKQNFHRQQSMRRSRIIRSIQPKQPTFIENSVNQVSQVKLPF KPLLINEYSKPKKLKHHNTMITLHGIYGVKNDESKQKNNDSNNHLYRRATQRNILKRGTS ILESNPLQELEQMLQGEKERIPRQTLAQQKLIKDVKLIASSFQKAPALITTVKQALRKSR IEQEVKQQDSSLSVSLTEIESVADEKVKERFQELDILYQEDKEEKAAGEPKKQIQPTSKK QKKAEQFKPQSMEISKEDEKLKIRLFETKLYPRVLYKEHICESIKDILPSLERETQLLEL QKREEKRKNMKVSLRYQLQIQVIEKKFEKKDRKLTYDSSKDSSNKNVKIFPDTVKQQSKF ARQAEFIARSPSSFNGSNRQKMIGKSYFKPYNQIQQYSYKQCKDFFNHDLTDQYLRNRIE EVEIKEPEIRIPKEEYFHHHKFYQQFHMQKIQDIENLNGELITEASILEVKAVDFDPFMM NKYEKIIQALNYTQDNFYIYMKGIVGIYKLVRFRMNIILGTNAFEYIINLFVLANTVVLG LDGLVSNKSELEKLNLFFTILFTVEQVLKIFTYGISKYSKDIMNIFDLCIVLLSLIDLLF LSSGSGSHLQAFRSLRLFRAFRIFRVTKLVRSLAYTNFLIQILSNAFYSLMYITFLLFLF IYIFTLLGMSFFQGALTNTQYRTSFDTFLDSLLVVSQILILQWIDVLYELLLSDVNNSLV ISYLLIWIFIGNYVLLNLLMASLLNYFEDEYQQENIQSQRPSITVTLVDRVIEDSPENPI FQKDKTQFSNSSSNDMRMSTMKNAFTYNFDFRQCKLSLMLFDEQNAFRIICQRIINHKVF NLVIFFVICFSTIKLILDTYFDNFLIEFDIFITCVFLIEFLLKVIAQGFITEPNTYMRNT WNVLDFTIIIISIIDIPYTDQNLSYFKVLRLLRTLRPLRLVKENKQLKLLVTTLLNSLSG VLNVTIIILLVFIMFGILGVSLLQDKMHYCDIISKDEFNYYNQQECKELGGEWSNRNMNF DNILNSILTLFILSRRDDWDKQIYWYIDASDDGPEKNAQLWIIIYFLTFIYTGSILLMDL FTGVIFVNYKFADFSMKDKVLSPDQEDWINIQKMIVASSPNFALYYPPLNFYRALIFKLI SNKYFDGTIIFLIIFNVVVLSLNYDESSSNYNSILETLNLCFNFIFIGECILKIIAYGPK GYFRNSWNQFDFFVVLTSALDITLKLSGASNQNSFLSSGPQLFRVFRVLRVTKLFRLVKQ LKGLKKLIDTATFALPELFELILLMLLMYCIFAILATFLYSDVTDGSIIDDTYNFKNFHH ALLALFRCTTGEGQYQIIYDIMESHGAVHCLFFVVFSIAIQRIMLNLFILIVIQQYERFY INSDNPLQRFKEFEQDFIDGWAPLSKQYGGNYIKVKQLISLVLTIKSPLGYDLNEKILLA LDDWKKFNQEVKQTQQNIDRIINIVTADSKKKVATTIMTMEMTATDDGQLEYHYVFFTIM KMFQQQFMQKTTKEAKTKIKQHEEQTLQRIRKNRIYINGVNPCVQLLYVMMCFKAFKRFS EKAQDKALQKPQEFSEQSSDSYYCYSSSEIAQREPQSIMSNTSRESQNQFCTPPDLTVYQ SEININSNKTSLIQIFNRQQVSLSQTSEINSPYIESAK >CAK85536 pep:novel supercontig:GCA_000165425.1:CT868541:23226:23878:1 gene:GSPATT00019253001 transcript:CAK85536 MSFDFEEFETSFETQKKMLDNRILQIQKQNETLMQQQSIKQDQLREIKQDMKLELQEIKQ IIAALEDTANRDQKFQLKQLKQKVVKFEEQHKAIVKQLIKQSISEQFDRLSMQESQFVQD NQMTELQKGQSKLMVSSNSIPNSLPKDNQILIVPSKKQSGLSLSLKQPLLELENEQLQEK RDSNEKDTKEIEEQVNIQNIKI >CAK85537 pep:novel supercontig:GCA_000165425.1:CT868541:24069:26528:1 gene:GSPATT00019254001 transcript:CAK85537 MWLCNTIYEMVLGIEQLFYHGIEFVIILCFLQVQYLQKTREQLCILVPIFLLMLNVVIII SAANQERLFLFILPTQIYDSLNRNETKRYYFIICKVLHFVSLCVWKVIQNLNNGEPIVII FILILFSLVSPKEQDKQLKRNDTDFEDTIHIRTNSDSDRVQEMQNNLVGIIKLDWTYNLI MCNSRAQNLLKNIKIQNLLDSLVISIDKQTKTLLQDQYNCKFPSIQDLKELQNAYTLKEL IEQIKQNQKYPYEFEVFCLKGYNEFYLKVFIFDSKSFTIVMQNMEEYKLQIKKVFTQTTM QQLFKSFSHEYNTSLNYILALAQVAECHNQVPKYIQEQFFKPILANGKVMHSMVLDMMDY NSILGKTFSLEVSHFNIQELILEVISLFKDQIKKKNLEIEFESNLQVTDILSDRNRIKQI LINLVSNAQKFTLHGNIRLRVEYQILNAKHQEIIFHVQDTGIGMAKEEQERLVLLLQSGV PSISKISKNTAGFGLGLFISNKIAEALSQQRYEKGGGLRFETQTGKGFHCWFSVYHQIVS PSIKPNNPRSPLVLLNKKVVIDTRQTQVNAGVEHLRMTQMRSKFSHLLNNKVPSIEQNLL RERRPHNKLKLVQTLIDAVSQDSVNKECSIDEFQSRIKYMKGSGQQKQELQQQQCQIRIN QSNSDCRCPQILIVDDEQINIMALSILLEQFNLTSDQVFNGQECVDLIFSNQKKTYCSKC SDKQYQLIFMDINMPLLDGWEASRQIKKKCSISIIACTAFTDNETKEQCYQNGIDYYISK PVKKDSLAQVLQYYDIL >CAK85538 pep:novel supercontig:GCA_000165425.1:CT868541:26579:27829:1 gene:GSPATT00019255001 transcript:CAK85538 MKVCQICYTNESFITPCNCQNCVPCLINWFGIKNNETQTINFNCPNQHCSYNYSRQELQN ICKYPLYEQQLSEILLKEYLKNQDIRPCPNGRCRNFGFIDLNSRCDQLLQCTSCSTKWLD ISISSQAVQLEYGFSNMYFNIKDFIYCFLFTNECPQCGVQIQRNGGCQHMTCKACQHQFC WYCKFRWNGHMLRLCSFSYATKMGIFVYILMSLLQTLGLLNTVLFLFSLPFKWFSFVILA NSIPFGFVVGVVYFCYGFSHLYCQWYKKGTLEVTISTVSLIIWYQLHSLIADIFDLSISI YISAVMWEGIIAVVIVCYLFRQQLTWIVFPISAMSLLYYFGLNGLCLLCWGLPIMKKQFA NNKYLFQCAGYLLFTILNIFDLQEQIQQNKISYIFSLNLVYILYVEKSRIFDYIRF >CAK85539 pep:novel supercontig:GCA_000165425.1:CT868541:28021:29707:1 gene:GSPATT00019256001 transcript:CAK85539 MLNNISEFLRRIDQFGASYKPSYSYGEVQFKTSLGGLLSIVLYGLSLAYLIYELILWKSG RILPKITSLQTEIETYSLQFDKVTIASFCLRKHTSIHNQIDPFDPNNVIVLPMLYEILND EFQEPQTLLSTKKSEKHGTYLIEVKNIELSNNEHESLDHPNKEFLLVFQECTQDLLPEGW YCAKEDRIKTFFNQKQNQLQIQTFVNQYNTSTKKIDIVEQDYYASFDNKTTYFSQIVIGS TNISIDTGFLLESLEIIEFPSSLQSYIQQMDLDYFAHSFQNKIFLAFEFELGTLQQTVYV EYPKVSEVLANIGSIVSFFLFFSHIAYMINEKNLELKVLRTLIEMYYPQMKEVTFKKNCY GKVIQVLYKNSRVSISFLETYNKFLKIAQAKLCLTNQLYEVSRLQFILNSICDKQVFRDC HDIGIRLKGLDFEHDPDKHNNKPNIIKVENIIQQELKLENVENESIKELNITKINPKATI EMQSNQIQVEQLNQSQSLFLGKMIENQLIDEEDFKLSDEDFYVLMQHQSRIKELEYETVA LQNQQQIQYEVQN >CAK85540 pep:novel supercontig:GCA_000165425.1:CT868541:30094:31926:-1 gene:GSPATT00019257001 transcript:CAK85540 MNQVNEGDVIQIPEYLKQQYGEWGNYFSMQFIGSNLSITLLMSILDCLLANNHFEKLRTL VNPNKPYTRYAQEIAQKIQSCILKDRNLSKFYHSASINDPNFTRAKSLLFEFCNYPQQMI VNDLIQKLYALSKVFQLSFCIFGDYFLKMGTQKTKIFIYLKDNQYYYIRQFDENQQSNVG EMDPSQSNQQQHLNQNSEPNNFDVDNGINLIFKFKLIEVYHESENRNDTKLVQVQQNHQK ELTENQIEQENHQDNNQKNHQNNLQENHQHNHQDNFTQSDQTCMNCNEATQTPLFVNKAC NHQFCSKCLNLKITNVEINYKCLNRDCSSLIDLQSYYSYCSGDASINKRVEIIELEFKQC NNCGKNELKRKQLISAQKNNLCRECSRKSTTTLEQQQIPNQQEIDLEKIKEQSILFGCSN CQGKIDRRHLYFDENCLHLLCFCCSNNLILNRNSYSAKLRCPVLNCTQFLNQLNFDYYFE KQQQILLQQQEQQQAEQKQDIFIQPLEQVSEKQNIELQMEVQMTEEEELNYQTIGQCTFC STDFSIYNKRQKLDCKKHQIGVCCSLMNFICPQCNEMQFQNSKNFNNMSGIFKLRKDSKQ TFDSCQFQFD >CAK85541 pep:novel supercontig:GCA_000165425.1:CT868541:32163:33314:1 gene:GSPATT00019258001 transcript:CAK85541 MATKEHSLYKLVPKEQAEAFAWSFEGPNTEAVLYPFQFPAIQPHEVRIQQTYFGLCYTDC HLVNQDWFPINYPSVPGHEILGHVVMKGDQVTQFQIGDLVGAGFIRDSCGSCKQCILGND QLCGQKGDEHLIPLPKFGGFATHVQFPAKWAFPIPNTIPQQLAPPLLCAGITVFAPLKRY FNAKKEVAIIGIGGLGHLAIKFSAALGMRTTAISTSPDKEQEARSYGAVDFIVSSNPESM AKAAGRFDLILGCAHASTVDEFIDQTKLLKNGGDFVMVGIPALTKVELQLPFYQLVCRQI SFVGSLVGSRQENYEMIEFANKHKIYPTCEEFEFENFKNAYDKLLNGRPKYRCVVKVGNA TQTLKQ >CAK85542 pep:novel supercontig:GCA_000165425.1:CT868541:33638:34834:1 gene:GSPATT00019259001 transcript:CAK85542 MGAVTIVVFGVVLALFFKLASDLDVFKPVTNYNEYGNCKYLKEDIRGPEDMTQYNSTTII IGSGNFQKVYAQGKPELEQQGIYAILNSNKKNYSVLQLEVKNFPKDVALYMHGIYVRKQQ DGNYLFVINHAYHNGGERIEVFKIDEQLVLTYTHSIIMGEQYTGILNDLVVIDDNRFLIT TYMPLPDPKQGRSAAGAMHILQTLFYQLTKQKTTYIMDCRFKKENTLIHPVCKQLPNTSG IMRNGITWNKRDLVWVADSVEKGFTEYQIQGDELIFKRFVPVQNGIDNIEYQEERNSLII GLIPKLYNYFQLDFFVKTNPLDRQTNFEYWGAVGEYDLSKDQLHILTQNRYLLKGLAGGL VSGNNLFLGSWCDVSVMVCQKI >CAK85543 pep:novel supercontig:GCA_000165425.1:CT868541:34881:35805:1 gene:GSPATT00019260001 transcript:CAK85543 MDRLNPSSKDCIVPLIEENSPCIQSNKQEIDSQFLLPQFTQMFQNPDLLLQTVQLEQLKL QAQFYSQMNNLPLITDEPRVVDLFTQQLLQQQLMINAALLNQFQGVENANQSQTKDLGDN KIKRKHIKKTHNPQFNTGHWSAQEHQVYLSFLSQHRDVMESSELKKTNKIFKLMSDIIKS RSPSQCRSHHQKFNPYSKYLINNNIVRRKMKEQQKDAQQQQQQISENENNQQQQSQESNL KIEFQQQLQEFKVESQQQLQDSNAREDQQQASGQD >CAK85544 pep:novel supercontig:GCA_000165425.1:CT868541:35855:37120:1 gene:GSPATT00019261001 transcript:CAK85544 MYTLINELWNAQQSNPFQLSIHIPQTIIINQGFKIQWYFSQNNKILKRKSINCNIPSIIS YLKQNNTPMNIITISSPYVVEGFGQIQLKNEPIAIEQIQQLLIHYQTYPHIIENPIILQE HFQLAQYISLKWNKNYTFIQECDSHSYQVNQQCLKLTPHYRSQINMLSQQLVQRIQQIYQ VRGQLSEMNIIMCLQDKPIFLWCTEIKFINDQYVRQEDDQFRLWNRIKEFRLTFNPITKH HRCQSNLEGQAINQSQQNENLNKSTLYLNLNGLISNRAHNVSVTSNVSNSKQHIQPLFTQ MKSARSNITSNININVKQLLNNNNHNQSQELSTQRQSRGGSVLKQLSNRSFSNRSQSMYK VHLNDDKIQQCGNQKKNGSMTQRTEASEQELLLQPKITKSSSKVVNCLRQRLQEIKLKQL L >CAK85545 pep:novel supercontig:GCA_000165425.1:CT868541:37185:38200:1 gene:GSPATT00019262001 transcript:CAK85545 MSDIDQWIETLKNGENLKETDVKILCNKAKDILNNEDNVIRVEAPVTICGDIHGQFYDLM ELFKVGGDVPETNYLFLGDFVDRGYNSVETFLLLLALKVRYPALDYHLIRGNHESRQITQ VYGFYDECLRKYSTLNVWKYCTEVFDYLALAAVVNDNIFCVHGGLSPYIKTIDEIRIINR KQEVPHEGVMCDLMWSDPDEIEGWSQSARGAGFVFGADVVKEFNRRNGISLICRAHQLAM EGFKLMFDNSLVTVWSAPNYCYRCGNVASILELDENLKKYYKLFEAAPTDRAQNSKKVIA DYFL >CAK85546 pep:novel supercontig:GCA_000165425.1:CT868541:38265:39788:1 gene:GSPATT00019263001 transcript:CAK85546 MRFWKHSNRFSRFIITTAINNERFWKNVQARNITVVASISVLTAIINSFPITRICKRLNS SSPKKNNSMTIISQVSKSFLSLELIQNNVQQETNEVKIQLDQLLYNQSEKLNDFKSYVSD IYLTKTDIRQFKSNSDERIIKLQDQIQQFQTKFALKLYTEQHIVINAIFNHQIDIKQAIT QIQQANNLIKQDSQTLHKLNRDNRQMIKQHNEQFSQKIDNLQKEQYKICEFEMEVEKMKI QIQEQKSENEIMQNKVKSLSQLFQELEVNNSKVVKFEIHTAFKKVEEEFFYFKNRQQSFQ DQLQVIKEQFSKSDIAQTQFENGVNQQFDLISAELVKKNQIIKSMLKNITLLQQSEKIQI QSDLPNKVAILLSKVSSFEKTSKIAFDQLKKIMDVVQEFCETSQIPSESKEKDLTNLILL LDRRMFEYEKSIYDPQVHLVQNATVRINTPRISTSVDSPMKVGNQKQKKTKLSKLLNKTV YYESRRETII >CAK85547 pep:novel supercontig:GCA_000165425.1:CT868541:39810:40421:-1 gene:GSPATT00019264001 transcript:CAK85547 MKLIRFDKFDKSCLQSQHEIKLATNFTRTPKASQSQTFITFKSSPGNSKKSSIHQQSTHV IHHKSQFSQTFKLQNQTLSSQNANETVQKCISILSKLKSTSLLLFPKKQLTQKTKEKMDQ LSGIVNQMVPSQSNEEQKKLNAQNQEKIQQMMKLLIQEKQQKYQAIKSGESLIKQQQEQI LSLKTRISLYVTKS >CAK85548 pep:novel supercontig:GCA_000165425.1:CT868541:40712:42056:-1 gene:GSPATT00019265001 transcript:CAK85548 MSSQGCKNTKLYTFQSNNSQNFTNKPRLVKYQVLRKQTGLGSRIIRQPDNNVEEQIIETC KSLMQARPDTQQSKQKSGTQVVQERPETREKAASRLRTPKSESLLRFKSQENLIEDFQPI NQQYNYVDEIPLDHPIWKLILPDIQSVNIPKLINDNPDYFHHQLGFCACYKCECGQCKCN FSKTCKLNYSSSQNTVYGKDFLHKQTGFNALRPLNQTYYSTQFCNQKPIDQQSLHQVTYL KSIQTSYKQFKVPVQEMLRPQSQEHRGDFLGNSSYKTQFKFWGPPETAHFKRPVHQSVSD QIPFTAQSLYQDSFANKPYQKPSDSLKMVTELTPLPTGQPFVSQSQTQLAYQPFRIQKNP KLESDKINDYGRSPKYDTQYKSLVNTEYTPKRQRYCPAKEYIAHYQQRALQKLKQQQ >CAK85549 pep:novel supercontig:GCA_000165425.1:CT868541:42341:43133:1 gene:GSPATT00019266001 transcript:CAK85549 MIIQHKLPGQLNTEKNQISPNHHFSEYFTEFKNKRYCKAKRINPPQEFKDKNPMFKLEST KQVFALTFTQIEYYDKTTHHLVRPQTACLPDNKRIKECFSHNTTYQKLHDEKPNCIATIY RLLVDEPYQLKLDYPQTIMEGTKLDASSEYQRQYRSLTPEKDLIFGGKSIFNNPISPNVA LFRQSESHRFFKSIKIKQHRIVQPSLAKKLALDTSLTIPNIPTFLGQYETVSKRDFSEKK IKEIPKRFKFNQQN >CAK85550 pep:novel supercontig:GCA_000165425.1:CT868541:43275:43688:1 gene:GSPATT00019267001 transcript:CAK85550 MKSKDIEQNSKVNNIKTIPIDSAQDCKKYQHANTQIYQQQINHSCIESNKHFSVPNYYKS FQTQNIQLYLPLRVKTVRDQLLVEKEIIEKVYQFEDSTEWFKGILIMQLKFGYCKYYKKK QYYYEDIFVVDSNLEKV >CAK85551 pep:novel supercontig:GCA_000165425.1:CT868541:43695:44246:1 gene:GSPATT00019268001 transcript:CAK85551 MKMEHITKETFLLTKFLGIIVRFKTLRMYAPKTKNCKKQQNQNFLTGLFMRVSFQMGKDM EKGYTLGRMVLNMKDNLKMIKSMDLESWNIPIVENTKGNEVWTFYMAKLRSVQGISSLKQ EKWIWSVQIFQRNNILWRICQWVESWYCCPNVIQSSPKISKQEEGLQIE >CAK85552 pep:novel supercontig:GCA_000165425.1:CT868541:44719:45861:-1 gene:GSPATT00019269001 transcript:CAK85552 MKVIIIALLLTFSLAAHFDKKTIHKHVEDLHKSKWGQTLLSMMALHSQSQGPVDELVQAI EDLVADLQEELDVLEFNFGQRTNEHHKIVTTLEQEINQAFVDYQRTQDTLENLLYPRREN LKNKIEQIQDYQEANRKTLAEVELKRENEKEEFEAQLADYNLAVESTDQALQLLYSLRNQ SLEQVSTSNIRKIHVQLNMIEQRIRAHSKHGPLIKALIQLANEQNFSNQDIVGTILDKLN EFRNAIVDAINDATAQEAENQKEFEERVEQLDHEFSEFGKQISKITVDLEATITKINELT EYSAQRDADRQRFQSQLNIENDSYAEETKIYQDMKNEYIREQEVAESAEKVVKSADFSNI KI >CAK85553 pep:novel supercontig:GCA_000165425.1:CT868541:46589:47319:-1 gene:GSPATT00019270001 transcript:CAK85553 MSQETLRQLARQLNKNKFLIAKPATDVFLCVQAFILLVKGVKIQDWLLAQLLMSCSLPQM VETIIEKKIDYANIILCKKLYHLIPQQQNSLGKLIYQLISQSLNFLEEEKSKIQRSKSTM IRHEPSIYSSNNNKIIQPHNKNASNQRCKEDIHKIFQHRKIIKNQKIKEDDEKKFELLKE RMKKINKNSYFISPRKIQFEQQEKIILSNNNSERQFIHNKQMRSLRSFRSSFYE >CAK85554 pep:novel supercontig:GCA_000165425.1:CT868541:47676:48583:-1 gene:GSPATT00019271001 transcript:CAK85554 MTISMQLKIDNITYGEVSAKRCGRITMEDRFQAIADFDGKQQQFYFGVFDGHGGSYVSKL LREQLHYRLKNNQFFNVDIEQAILESFNQMNIDILKQQHLLMKDGGSTALCVINVGKELF VINVGDSACVLIDKDFQITKLNQEHKPDRVDESKRIIDNHGFVLTIKNQARINGELAVSR SFGDPKYVEHGLTAIPEITKLQLTENSKYLILATDGFWDVITIQTLQNLLMNWENFKEKE GLSQYLLESAQKQQTNYKKDNMTIIVIDLIIYFNKLQ >CAK85555 pep:novel supercontig:GCA_000165425.1:CT868541:48708:50228:-1 gene:GSPATT00019272001 transcript:CAK85555 MLVNSGNLIVNIKNDIMQRYQRIKLIGQGSYGKVYKVKNQANELRALKIIAKKDFTDQNE IENMKKLDHPNIMAIYEIAQDDNYYYIVSQLCEGTELFDEIHRRIKKNQIFSEDEVRYIF KQILSAIAYAHDKNIMHRDIKPENILIDPKDQHIKIIDWGLSKDMTDLISIKQKIGTIDY AAPEVLLEKGYDKKCDLWSCGVILYILLSGETPFPGNKTSEIEKKITKSKINLNLKVWKT VSNDAKNLLKNLLQSDPVKRFSAQQALESEWIQKQTQSVTKEISSQEMQFRLQKLSRFCC ESKMVQATFHLMIQQNLTQEKYKQLRQTFQKLDKNGDGKLSMEELRAYCNDDIDVEDLFN RVDTDKNGFIEFTEFLTAAVDMKKLASHDQLEEAFNLLDQNGDGFLEIDEIKKIFNGKIQ VQDENQWDQLLQEIDKNSDGKISLEEYQEAISKFIDHNQPSSNFASQNPVPETEPSIRKK VKLTESTYKLRNRQIN >CAK85556 pep:novel supercontig:GCA_000165425.1:CT868541:50239:51420:1 gene:GSPATT00019273001 transcript:CAK85556 MAQLTRISSSLKMGIVGMANVGKSSTFNMLSKQSVPAENFPFCTIDPNQAVVKVPDPRFD YLCQIFKPKSQVFSTLSIIDIAGLVKGASEGYGLGNEFLAHIQAVDGLYQVVRAFEKEKI VHTEGTMDPIRDLQIISEELMAKDYQFVSKRVDEYSKKIKKYEANKNVSVEAREMVEQYK VLQKCDELLKKKQWVRYQKWTDQEQIQLRKIQLLTAKPSIYLINLSKEDHDAYAAKKQNK YAKPIEQWVSKNSPDSKIVYYSVENQDEQQLLDQIIIAGYDLLGLIRFFTVGSDEVRSWT VKKNIKAPQAAAVIHSDFEKGFVNAEVMSFEKFKKLGDNALDVYEKKFSKEGKDYIVKDG DIIHFKTKSFK >CAK85557 pep:novel supercontig:GCA_000165425.1:CT868541:51457:53488:-1 gene:GSPATT00019274001 transcript:CAK85557 MENPIERAVNEATADTLQMPDQKLMKQVADLVNLRADQSKFAVQAIGKRLMQLRNGKVQA LTMELIEYLAFTCETPFYTQIATNDFLQRLNTLLNPQMNAQMQQRLLQVIAVLKSLMQSH QDLFPAFFQFLQKITPKHQIPHNYDSKYAVLRKPQPAYSSGARTNSLGSGSSKNDKLRRD LEIVKSNITLTNEIIDNANPQEDASKNEILKDMITTLRGVEEKLRNLITDMGNNDEGLMN FCLELNDDLLKTFARYEVLKKHKKPDPFRPEQAQQPQQQVLPQPQPQPQIAQQAPFQQQQ NPFSIPPPGQSQPQQFQQPIQPKPQQIDLLNLFEYDEPKPAAQQKGPQPTQAVQPEKQQN IIDLFEVDMTGPSPQQQQQQVPQTITQQQQQIPPISQIPPISQIPPVQQFGQQPIQSQLY GQPPISQVQQQSNYSALNAFPPQQQQQYPPQQQQYPPQQQYPPQQQYPPQQQQYPPQQQY PPQQNLQQLYQQQQFGQQQMKYQAFQQQPTQPIPYQQNQPYQQQGFPQQQYPVISNISNQ QKKPENEFDNILNLAVGQKSQPQQSAQQQQQKLDFI >CAK85558 pep:novel supercontig:GCA_000165425.1:CT868541:53602:60426:1 gene:GSPATT00019275001 transcript:CAK85558 MAQISQKQRGVFSEVLDPTLPSEYNVKNYNQGPSPDLIMTTINRQTIPKAISLQRLQTSS SSRQQLRSDLASRQVPRNQLKTRQKNEQVTSVEPKVILPYDPIPGEVPRKVAIDRKRKEF RSLDFNRLLQEAGIEFKQKDQSVEWLKLEYFDDTTFDDNSNEDWIRREEDEEGIKHPLLG LGLRGDEYQYMEILEYKDDKFIGKWLKDDVKLELHRLYICFDAEDPRKYVKRLKNAFQQR IFADALVRYNYYIDNMSLFDLSELDNEQKKRIEQNAKTKKLEAMETTQLLLEVNMDFQRT MNKIIFDKYLDDEQQDENYPKLRLPAKERKRDVRYYGWMELEASKGVMEKWMFKYDEPFI RKPLTFDELNKNFGFSTLYVSQEVVKALQDIRHECNQVLKESLFDFSKREGALHLDEFKH MQENATTSLMYQLKGTWVPTMIKIIKDRFAEIGKGWFNMKETSKITYDFGKLKRMLTVIR LMMQDTITTLMKSNFDRYQKTIQSNIPVNVDIKNTDWVLNKYDDGFLADNRVQVFSHDRK LPLFQIELLAKDDFFQYTTNPTLYVLISLQAMKKAVDEMAKIPDLEPRILSDLHKSQKLE TFIKAPMMPIQEPVEPDPNERPRKFADENKWVWDTYYCIKASIEDALQPLEQYKTVFNKY IPVLKLRPDDVARDIELEDPPREFESIRDEIIKATQKEKQLNEEILDSIHVGMFEIHLNE AKGILIERYQGLQKNLIDLIARRARNTSIRIFQEFGDIKKTILEEPDTIEKLTILKEYIG NLPQELEKMKIKMNQLFDVFKMLEEFNYRFPLDDFQRRWKIFGSPKEIKEMVEVRNGQLE KLKVKFSDDAKVQQEDFREQIENLERTIQEFHKHQDVSKNKDMAEVVEYVMKQISEFQEQ ASKFNMQEALFDKPQTDYSKLNSMSREFKPYYDLWSSTYKFKSGIKLWLNDDFMNVDADE CERIVEEGVKNIQTAMRTIQVTGIQKIAEAVKAEIDEFRPKVPLLSALRKKGMTVRHWTQ VSQLKGLDHVINPDEQGFNFQTILNGGFMDVIDKVVNIGETASKEYQIEMMLDNMLNAWE NIKFQCVQYKNTFILKGFDEIQIVLDEHIINTSAMVFSPFKKFFEERISEWDKSLRKIQD ILEEWAKFQQQWMYLQPIFDSQDIAKQLPAETKKFKTVDQTWRTTVTQAKAKEKVLDVCI EDGLWERLHEANKTLEMVQKELNNYLEKKREKFARFYFLSNDELLEILSQTKEPTAVQPH LKKVFENINSIEFDKDKKIHAMFSAEKEKVPFAKIVDPNKKNVEEWMNEVENMMRLSVRQ ALMVSIENYTQVKREEWVLKHPGQCVLNGSQVHWTKEVEAAIDQQNLKGYFKRLEDQLGS LVDLVRTKLSKQAMVTINALIVIDVHAKDVVQKMVESEVYDKFAFEWISQLRYYWENQLV DFDCWVKCVQTNFPYGYEYLGNTLRLVITPLTDKCYMTLMGALRLNLGGAPAGPAGTGKT ESTKDLAKALAKQCVVFNCSDSMDFIMVGKFFKGLASAGAWACFDEFNRINIEVLSVIAQ QLLVLFGEKAKGTPQVEFEGSFIKILPTFSVFITMNPGYAGRTELPDNLKALFRPVAMMV PDYAMIGEIMLYSFGFKLGRDLSKKMVTTFKLSSEQLSSQDHYDYGMRAVRSVINAAGLL KVQFPDMNEEQLLLRALRDVNVPKFLKDDLPLFENIISDLFPGLERPQYDYGKLIPELSL QCEKYVFKEQPYPVQPVQPFIDKVLQLYDTIQVRHGLMLVGPTGGGKTTNYQILSKSMTK LGEANGFYKVHTHILNPKSITMGQLYGQFNEQTHEWTDGVLAYMVREAVKDTSSDRHWIM FDGPVDALWIESMNTVLDDNKKLCLNSGQILTLTQYMTMMFEVEDLAVASPATVSRCGMV YMEPRAMGIQPLIDSYVQRKKDVLNNLTKWFQQYVDEALEFTYKHCKEVIPTMRNNLVQS QQRIIDSLISPYVETEIKKVSVDELDQLNQNIEYYFHYSLVWSIMVTGDFQSRQKCDKFH RQQMQKYRANFEYPKEGLIYDYQLNLSPWSDAYQSFEIDQKLQFHEIVIPTTDSTRNMYL MKLLLTNNFHVCCPGPTGTGKSQNSYQLLIMGMPEDFQYVPLTFSAQTSANQTQDTIDSW IDKRRKGVRGPPVGKRQVIFVDDLNMPKKEEYGAQPPIELIRQILDHQGWYNRQDLQFVK LEGLLILSAMGPSWWWQKQYYRQSCSTLQCLSIYRIG >CAK85559 pep:novel supercontig:GCA_000165425.1:CT868541:60497:66222:1 gene:GSPATT00019276001 transcript:CAK85559 MLQMQLINSVLSIYNSVRRDLLPTPSKSHYTFNLRDINKVFQGICSILPKNCQDPAQLVK LWYHENMRVFHDRLINEQDRVYFKQLLTQFFVDFGLKQEEVLDQERIYLLDLDVRPYVQV QGDLQYFVGQMEELLDQYNKDVGSGKKQMKLVMFLDACEHITRISRILRQPGGNALLLGI GGSGRQSLTKMGTYLQNYKQQQIEVLKNYNMRAWRDDVKKIIMLAGVENKCVSFVFVDTQ IINEQMLEDINGILNSGDVTNLYNDKDNEDITQACKAECIKKGLPPNRMNIFSVYLARVK KNMHMVIAMSPIGDAFTTRLRMFPSLVNCCTIDWFTEWPEEALEGVGRGQLVEVAQQLDL DIKNTRLVDMFKYMHKFVEKLSLQYKSELRRINYVTPTSYLELLSLYINIVQDKRNDLKA QILRLKNGLDKLQDANKAVAEMKIVLEKMQPELEQAQIETTKMMEHLKVEKEEADQTQRV VAVQEAEATQQAREANQVAQEAAERVRVANEELAQTLLKVKELQKDHLVELKSLSSPPEA VKVVLAGVVILTTDYIKKSGGEIITQPVPNQIGKKEENYFETAKKYLLNDVNSLLQMLLE KFDKENINPSAIIKMEQKVTCHPKFNQNDAFQGSKATGYLFGWCKCMYDFYKVYTETKPL REKLALMTKIVEDKNKELAIKKKELDEINAKIRELQRVFDDKKKQQEDLQKKIKECEVKL ERAQKLTEGLSDEKERWAKDIESLTNSGGLIPGNSIIAAGMVAYSGPFISQYRIRMEQDW RAKLKEYNIPFSDLITMRKFLGDEVKIQSWNICGLPKDDTSIENGIIIDKSRRWTLMIDP QSQANKYIKTMGKDLPEGLDVLKQSDQNLMRTLELAIQFGKWVLVENVGLSLDPSLEPIL LQQISKTGTSATIQIGDKNLQYNFSFRFFMTTTLPNPHYSPETSVKVTIINFAITPLGLE EQMLAQIVALVNPNLENKKTEIVRKNAQDKKELVNIEDSILRSLSETKGDISEILMDETL INKLQNSKKFAAEINQRVKDSKITEAQIDEARESYRSVAFRASLLFFCIIDLSTIDPMYQ YSLQWFINLFTLGVQNAPASQVLEERLVHLNNFFTYMLYENVCRSLFEKHKLLFSFMLTY KILSAAHKMNEGEWRYLLQGATGDVQLPPNPTEWISENTWPETYRNIYGMSELINFDGIL SDFMSNSDKFKTIFDAPNPQDIEFPEPWNEKLDSFSRILLLKAIRSDKVIPAIQKWIIEK MDEKFIIPPTFDLSKCYKDSTPNTPLIFVLSPGSDPIADLMKFAEEMSMTKRIDSISLGQ GQGPKAEKLVKDALGRGGWALLMNCHLATSWMNDLEKLNEEMQDQGTKDFRLWLTSMPSK SFPTIVLQNGVKMTLEPPKGLRNNMLRTYTQLDDKTLSDCKKPEEFKKLLFGFSLFHAII QERRKFGAIGWNIPYEFTNEDLTVCRRQLKSLLDDYVLIPFKVINYLGAEINYGGRVTDD KDVRLIKTILKLYISQEALKEGYQFLVPTYYQPNVGERLNYIEYIEKLPLNPEPEAFGLH SNAEITNAQNETRILLETLQSIQPRTQQGAGKCREDVIEELANFVESKTPDLFDIDEISI RYPTDYQESMNTVLVQELIRYNRLLAIMKTTLSNVKKALKGLIVMSEEMEKLSNSLYDNQ VPQLWAEKGFLSLKPLASWTQDLLDRIAFLKHWVEKGTPKVFWISGFFFPQAFITGMVQN YARKHVIAIDKLQYEYIVLDTLTHTGVTEKPEDGVYIYGIFLEGTKWDYKRHLISQPKVK ELYSDLPLMHLLPYDPSQIEVQPKDPKEKKIYKYQCPLYKVVSRAGTLSTTGHSTNFVMP LELPSRDDEDVWIRAGAAAFLSLRY >CAK85560 pep:novel supercontig:GCA_000165425.1:CT868541:66692:67797:-1 gene:GSPATT00019277001 transcript:CAK85560 MQFDQLQASTQINGEETDSLKGKEVSLIVFPKRFLNPMSTFRMKGDFHLFADARYIQQHD MLKIGISCQNPYKKISKIIVDQSAGMIKLCYTQLDFSETPWEYVTKRLQSMGDLQMNQLS EYVRQYYEQLNSGLLQENKDFVINLKLSTLFQIPKENYRSFGSIQYKYLKGLNQFYISAQ TYDFKLIQDLGYSIQYFVDSCMKIGIPEISLKPGSTNVDYYKNIMEFAKPLTKPTEKQDF YLYSPLHQQGVKCDVTFQVTKDQLEEESDALINFNYYLNYNPSLCNNQALQPQKKLKSQN CISQYYELMDHQYMRCGIKKTKLNSMV >CAK85561 pep:novel supercontig:GCA_000165425.1:CT868541:69052:69642:1 gene:GSPATT00019278001 transcript:CAK85561 MILNHKEDKQIIIAIAGVPGSGKTYFCKNVICLQYPDAKVIPMDGYHIYRKDLNEEGIKR RGAAFTFDYQRFKADLTNLRETGTGSFPDFQHSIKDPVENAIHITKEDKIIVVEGLYLFL KEWDLKHLFDQKFFINKEFNAQLIGQRHYVCGIEDTLEKGIQRAIENDKVNAEYILQNSD FSDVTYIK >CAK85562 pep:novel supercontig:GCA_000165425.1:CT868541:70251:73610:-1 gene:GSPATT00019279001 transcript:CAK85562 MLQIEVICFGLAVEVERLQSLTKQLNQDIDQANLQNKNSQAVIDQQSTEIKLLQTKLDCI NRDQLELQNKYQSLEQQHLHVTDLVNQITAGQITLEQLKDLVDELKNRSIQYDEIQELLQ GQALHDVISKAEQFTLQCNINQELRDQLEQYPEIVIKLNQDLDKYKQDIINNKSSIANLE QTIADLNQEIHILKQLLEQEQNKNSLNQSQIQLQLETQLNELRLKLSQTEQKNEELEDEV EQINFFKVQMESQLQEILKENNKIMVDKDKLASDNLSLNTHNDDLSDQLNLQTSQNQDLN KKILELEDQVRLLKNQLSEDNDLEIQIIRQDAQLQSYNERLQMQDNEIQDLNLNNAEQKL NYQQSLNKYQDILIQKDHKIQELQQTQIDLQDQISELQNQIANLRHQAQIDADEINQLKL QHQQFQGKNDSSNTIIINFQNQIQQFKLEIQNHLLQISELESSILNQKQQFINQKLELQQ HYQQIIEKLQEENKSLQDQNDQQIQSLEEQGNQILQLSSENQELQQIIDEKDQELDKLNL YVRKQQEIYESNTKKNEALEESNRELLFKQNSFQKTIKDLYTQLDALKLEVNEKDQLKQQ RLSLEYEISKLNQTNADLLQQITNMKIEINNYQIQITNLNLQIDNTEIELRSSYQENNSS ENQLKELFTKIEQQETIINQNEKQINEDEFRIQQLLDELQKLNAKNKEYYKKFEEQEIFI KQLQAELSESSNDIKKLENELLLKEEEFNELDDDYNKIWNELNAVKNNQSNLDSNARSEE LQQLVANLKSEYDLVLKDLEALKKQLIDKDSQLNQKAMLVDKFNVKVMNQTSELRSLKQE IENNQIVIENQQQRIAKQEQHIQDLLRSLESEKKSQQSQVKDNTDNSRVMELQQLNMNLS KVIDEKTQKLYQQEQEILILNEKLRETENYIRGIEFQLNELRLKYESQVQITYQKSSIIS TSEDITDEFQSKFQQLQQKYIQLQRDYQILLTNSSKLEEYENKIALLSLEISRYRNSSKK STPQINRESSSSAKTSKFNLGELQSPYPEELKTEQSNTSQIFIQPFSNPKVDEQQYGLMV LLFAEIEALRMQDSNRQTLSDDKSKVSCLMDYYRTKKPS >CAK85563 pep:novel supercontig:GCA_000165425.1:CT868541:73620:74083:1 gene:GSPATT00019280001 transcript:CAK85563 MGCVTSNGNKKLEDMKQGFVIRIQQPDNKTEKQFKYFDEKQKSDLIMNVMNGICFSEKVS DKCDGNFISLYDQADDRFHYYVQKLDGICEHSILGIEIENPNEPTKGKIWVPYINEKRQD WDTLVENNSRISITDHLLWKLEQIKK >CAK85564 pep:novel supercontig:GCA_000165425.1:CT868541:74116:75690:-1 gene:GSPATT00019281001 transcript:CAK85564 MRVVSFQSVFAETLPSISVFWQPDNSPRESQLTILQGQLLFKNENDSWESYLFELQPDRM FRFIGDQTDCCYLRGCFLKKFNHYDAQYPSYKYGFRLQMGQYLAFFVTDVLDQFKKWFMM LKRFCILEKFTRKYRVIAQLRYNDPIFQQVCFNCVRLSDAQYYLVKIINKEMQPQQKSMM FKELMHLRRLNHPQLMRLIEIYEDEMNIYQVFDPYMGGDLRQHLRETQLAQEVGGQVEEK VLADMMYGLIGAITHMHQRGVFHRDIKLENLFVPENKRLPFVLLSNFCYSESFKLNGQNQ GSYKKCGTPGYVAPEIFRSRNYDQKIDVFSLGIVFYILVFGKMPFEGLDQDEILRANERC EIDFKAEKRLCKNLSQSGMDMMKKMLNREPTQRFTSAQCLNHHWFIKMKCKDETKPRNQF QQQRSLSTIIENSEMELTQSFIRPFKESSQYMQSKKNLPTQGVRKNQQKQDTIEKIDKEF IYDTLEGKMNYINQESFLKAPSKINHH >CAK85565 pep:novel supercontig:GCA_000165425.1:CT868541:75885:78937:-1 gene:GSPATT00019282001 transcript:CAK85565 MNEAIKIFVRMRPPLQNEDEEAWKIQKETNTIISLPRDPQTTRRYADAFYNYQFTFDKIF SNDDKNEDIYNHCKQLLNYTLQGFNSSLFLYGQTTSGKTYTMLGDQENPGLLPYTLLDLF KECQLPIAISYIEIYNEQINDLLQQGATNLKMQDINGQAFVNQLRIQQVENFDDALSLLC DGEEQRMYRERQIHEHSSRSHTIFQILVQHESHTSTLSLVDLAGSERLNEEDASDETAYI NKSLFVLSNVINKLAEGKKSHIPYRDSKLTRYLQNSLGGNSYTTIICTLSPASMNFYQTL STLRFAQRAKKVENKIFKQESLSKAGLIEALQKELSDLKVERDQLKIQLQQQQSNNTFDQ IIDVFIQYIALQVQDKQKFIEDTNILKEVYNQQHKQLIQSLYNQIMQMCQDCNLPKGLNN PLSNQTQKVFVQQFKELINKQLQEESIFNNVYVQSILNPQSDFQSYQTQQLMPILESAFQ EAMNALQNKLNEITSVVQNIGELSYQNQKIFDDKSLKKYFEIVNNEYNWMKQCKFQLNEQ FNKKTQVLQQAFNNVSKNLNDSRYSLNSNKQQQQQQQQQQQQQQQQLQNITNIINPNIRQ STNTPKFTERDKTALFVWGSGKDGRLGTGSLASQPIPYKIQIQNLQSISCGYYHSAAINI EQQLLIWGKNYDLTPQKQPQFNNIIQVACGCQHTLILDSLGQVFSWGIGDEGQLGNESYF NSDEPIKILDKIIYIEASRSHSAAINKDNLFYSWGSNIDYRLGLEEKKNYNVPTSTGIKV SKISLGTNHSAFIRQDGIVFTTGNGQQGQLGNKINSDCYLNLQLEMKAVDVVCGEDITLF INNNGDVFSCGKKSNGNLGHLMSTIPAFLQTPKQIEGLSKIKQISVGKRHCLAISSCKQV WGWGFNFFDQLGLGDKNRFIEQYQIFLYIGLLSWIFRMQFKFLVENTILWLYAKPEIEKQ PIIFNLFVENQGKGEFNPRKLLQQLFLNLILEVQI >CAK85566 pep:novel supercontig:GCA_000165425.1:CT868541:79564:81341:-1 gene:GSPATT00019283001 transcript:CAK85566 MKKQNRIKHLLIQLNLSDFEYMRTTPNSVKETASKTSTQDYKKQQSQPTVSIPESSQLFH IPQKYCHQRFKPQPTISKSIEEKRCKVNKNNLSELNNRTNSSSKSRISGFTSNQLEDDSM YSSQNALKLKKIIGDSQAYSTNLFVSKSNLTCDSSSPGGRQNKSAYKKSVDIDINQLNML REKTLMQKRAQSQQVSQSKELIDQLLNLDKQIQGSTLEQQKKQQYLKQRGLWDPFKDLFI KQFARTPIQFKSVFNQLQVQVREIEQQWSDIIMSISNNLFELLQNSAILMVYEQDLKLNE LIEQMRRERDIWQNNFRSLEQERDILLETVSQLKQTLEKERPSPFKSDKKQMDLDSASTP QLKEMTILMQQKIQEMSEKEQKLIKLVLAIRRTGIDIEKIYNEEVLNDDSLSEQQNQSTR EKKWLIPKIERSFHDADNSVVNDSDESSFQYNGRLDDESIIESIRRFENRNQNSNYATES KSSVKMKIDLSKCNQQQQKLQQLQQLQQQQQQKKIQQQIQQQNLNKLKIPDQDGIGFHQE FMMKFNEFSESWRVQVLKDEKRNKS >CAK85567 pep:novel supercontig:GCA_000165425.1:CT868541:81822:82124:-1 gene:GSPATT00019284001 transcript:CAK85567 MGCVGQKQNKKQSQNMLHIQSQSNSTLKSKRKLCIESLPMTDSKQQIQLYRSIDISKDQE FVLMSVKMFIPKNQESPNSTPKFNQSLQFGIGNNRNKVVI >CAK85568 pep:novel supercontig:GCA_000165425.1:CT868541:82622:85016:-1 gene:GSPATT00019285001 transcript:CAK85568 MNAEKFNWIILLINILSTFPRFMDLHQNIQLQLLALMVSEFDIFKAYQKVILLIIEQFIY IHIITCFWIYHLENENDAEESFSQRYLNSFQLIVSMITFNSDYNNLNDNNKIIAYCCISI LSFYCFAYQLSILIDLFKPEQKSSQLSYFFTQNKLDSILKLKIRSHLENQPKFCNKEVSD KLSNKLLKEYNIVQRYKLLSQYFKYLNNATLKQIIDKCIEIVYQPNQNIFQEGATDDCSL YVILEGSVHLKSRTDIIVFTLTQFAVFGELSFYTENPRDFTAITDGTTRLIKIQRSTFLS QLNFNEKQFFYEQRDLILFNEKLPQECICCNSHQHVITSCPYLTYKPDLGFILKKYAFPH KQVRRDYRRKKNKDVKAYNFYRQAFEFENAFQNLVEDYVYNASQSQTQIPIDDNHIRDSY FSQFSKVSKEKSFIKSTSFLKQQSGFDQQSIYNNDVQVNVDQNNEFQYIHDNSQEFDFVS KVRTVEQKRTFNTAGFGSQGGVASNKDMTDKSLNIIMENEDSDSHNDQESRYKQVQNDVN EENLMEDKFIRKYNDPKLTFNNNFESQMHDQNQSRLQRLGSGSYRQQSSMNSIYLHPEVV SQRLNSSRSLTNHQYKPNLNQSQYLKKSSFSIPQKIQQQSRQLDNFNQEENKNQNFMNPF RKSITRRGSKLSNVASSFSPFSAQEIPVYANKSFGIDNFDVMYAFDNYLAHNNYEVTIFQ QIQLINLRANKIKIGTRLRFSKYLTDYRLVNMVQKLKQKRLTN >CAK85569 pep:novel supercontig:GCA_000165425.1:CT868541:85125:85800:-1 gene:GSPATT00019286001 transcript:CAK85569 MRNDHVISTKTEPIFNNYDLFQGPFQYSPFTIGHPDQPEDDHSVRDPTVKTIEKSKFSLS PMHTNQEVLFFKVFKISNDKLSCNFEESSFQLFSSARHNTLTKVLSQTKPIKLKQKFIDV LSKTIKTNYRIMKFKHLFDLDQLGFQRQCIILYENSRCSTSKLCNFKNLEHILLSLAICI FACNSYQREISSSGISNIENSRLLLKSVQRRGYQGRN >CAK85570 pep:novel supercontig:GCA_000165425.1:CT868541:86412:87330:-1 gene:GSPATT00019287001 transcript:CAK85570 MSNHPASTRPPQQAQRPAPQHPIAYSPPRTYAPPAGFASPSYLPIQQSFVGSPVQYIPQP VAVQPVAVQPVAVQPVAVQPAQQVIKGESRIEYIPYEKSVIEYEEVRQRIQVPREKYVTE YQAIEYQTEYIPQVFYDKVTEYIPVDRFQDRVEYYPVERQVVHQPVQQVVAQPVVQSVVQ QVPQYVAPVQSVVQPVFQQPQFQYAPYVQPNFAPSRIAPVSYAPPLSYGHPVSHPRRHSP PAKPLPAQKPQPQKEKKSFLDNIFS >CAK85571 pep:novel supercontig:GCA_000165425.1:CT868541:87670:89101:1 gene:GSPATT00019288001 transcript:CAK85571 MQEVTCKPPKSFGVNSTSSLIGYSNQTLRIQNDDIVSFNVVVQQATERDTSIALSTEVVS EDGILKPKSEIKFLLYQMCPQKVDLTPENYWTPILVNVTINQVSSTNKDTIEFAMIFTCD KAFRDKTFDWSLPILLIITTILIAFLAKHTRIISFKWRVNGHDFQGFEIGFMIIGIYILA YASGATIVIATAFSDLIRYSLIVIACTIGTLAIFFLSTELACLLKANSFIRKYNLIIAAV ISLLIGLPYYFWMPWYLNDIISLAFIFLIVKFFRLKNLKTAAALMFSNMILDSTFAIYIH YTQDLSYNTTVLQYLNCPLELQLPLMKLQYDKNCAWISLFSQAVPGLFLSLAYRIDRSKR TFTYGLAGVMSLIISEGFWVLATVSVKHSIPQSLFTYPIMLGALTLNSIRRAEFSSYLFG DYLFDQSYYRLRGISLEDCKEPQFLLNMESLPDIKTHPTDL >CAK85572 pep:novel supercontig:GCA_000165425.1:CT868541:89158:90056:1 gene:GSPATT00019289001 transcript:CAK85572 MELNKSNIMVATDGSQASKQAFFQAVEFFEYKNIFNQIIVAHVSDESKTYLPFEFQSTTI YEDYKIELLSRVIDFPLFIFQYPEANYQLVFQEKAQGQENIRNQILSIAGDLNVSYLIVG FNGRKGIKQDVTVLGQTVRNSVYNSKVPLIAVKKLYKRDETNGFKFVVCIDGSKKSYKSL ESAVALSFDERDSLLICFAPTPDREAFGTTIKTKVEEFMAKYQRKWQYKQLDPSYRAIEN VIEMINNSDDLDFVVFGSNGYRAQLESKTFFGSTADELLKRAKANIIMVP >CAK85573 pep:novel supercontig:GCA_000165425.1:CT868541:90111:94510:1 gene:GSPATT00019290001 transcript:CAK85573 MKETTQLKPVTQKKSKSNFLLEMNEKDKEINNQIIKNMNKRVNYLKNPRFKINKAPVLFS YINTKQDIVVEKDQTTSFRVFPKQLIFREYMLNGMYEIDLYVTNATGTLQRIKVLPPEKK EFQIAAIKYPTKEDGFIAPGMSAVLKVRFNPSSLAEFDDTIALITEDHILKVPLLARKEP PQLDLPSQLDCQSCWIGDQVETRFVVKNSGGEAGYRFFINNQQDQQEEENYIQIGNFYLS PAEFFLHKGETQIIQAIFKPDLEGEVIENIILGCDNLTQATLKLIGRGNMVELGILGIDN INLENQEQLQKIFFNDPIPKVETSRQLKIRNKTSVKVKYHWYLQNDDKELKLEDEQNYYS IQPQEGYFQPNEVIDFKIFLQSEEYYPLFQTAYLIIDDIPFESIRNPPPNLRQQFETNSQ SVAIGSNSIKPSITYFEFELISKSALGEVSVTPQFYKFPVPICVNTLAQYKFQLSTTSKT QMKYSINPLQLDYFYIQEKQGVINNEAEIVLGVQSSEVGQMKLEYRIDFDYANSILITVF AEVIAPIISVKQSWLNYGLIQTYSLESQEITINNLSPVPALIKAQSGSDQRLLFNKDQFE IKPNSSIQFKVEFQSREAETYNDFLYFSVENGDCVVLDVFAEVQNPSVSLNRLSLNIDTL YCGNTYTFDQRAQQYIMLQNLGNISTPFEWVVDNEKQDKYLVQFEPKKGVLKPKTNQIIK FSIKPKEGGKLNELFVCEVQGLQYPLGFEMNTMIYGLSVEYELVDDSAHISSKTSVSSKS KSLKDSRKSLLKADTEIKNQQMDKLEFYNCTINQPKQAKFLIKNTSGIHTQFNLFMRKYQ PHALEEQSTLFDDFDAKSQAARTIKFAESSISKSVSKKGKISQGGRPVVLLTNKIEKTHN FTSEAGLKLNKQKKMEHDQRVYLSNNLGIAVVFEPSSGTLNAYGSVVVQVTVFNDICGLF EDLMCCDIRGLPTKEFPIAIDIKGSPIVISPSQLGFNYKTDFPTFDLGTYMRNFGTISRD FRVMNTGPQDVELEWKIYNLGNSTVSDYFDIKITEPQLGSDSLCDVQFIANEPPESTDGP YQVVPNKERIKQRKEKHFTLHFTTQDTGNYSACLVARPRLINQDKTIGEVAFYVKSETIT PYLTFDKLEKLEGGFQVKFQKWSSGYNQKQEKKLVLVNRQKSSFVCTFEIEGPFKLIQTS TNSPQKYELGMKTEIAKTFNLVTDSHVELLVKFDGYQPNDPVNWPLTYKVYHHGSINIFY ANGDKQSIQLEGILLRPFVHLNTSGIDQVEGPEVLDFGDVQEDKTIAIYLSNLSLVPAQW KLQHQKNPLRKNIVERTMTLEDKEEMKKTDDPSVFEFQITEGKLDGPSTMVHTLPHATCL PYEITIDDIRELGVQPFKILIRFKPTAELLYKSKYRIKVQDGPSVDFILRGTGKDLIKRK V >CAK85574 pep:novel supercontig:GCA_000165425.1:CT868541:94562:95880:1 gene:GSPATT00019291001 transcript:CAK85574 MDLNSNPDFPSNISTDGLIQLKREQVRNSQRKEDIQNKLLQKRQQYIYQYEQLYLKQQEL RKEELALIFNKSIEQVNDHFYLNEVTEALEIMVNLESKLESITDIPEQFCVSSFTNVLNQ LVAQLSANNQLEFFLLTISFDSINMSLFPQEQSVMSEYFSKTLELLKKEKHRHAQHFLIK LYQNSPQWFEAVLFQEFCSVSKKLFELLLKHIDNQIVWVILSSLKIVPYDNLIFSTILKN ITNKNAFLLLRKTLCQEEYQLKYIQSNIFYLFTQVLQQAEDKQYFLNEVIDCILSICCLK FELFEKVITSGLALVISAQSIKDFTVEGIQNLQRIVYWMCSSKSELLNQNIREGIWFQSI FLILLQQQLNHVVQINTLDILKQQFKSDLGCHFKEFFRTTYQVPDNIQTLIERYL >CAK85575 pep:novel supercontig:GCA_000165425.1:CT868541:95905:97222:-1 gene:GSPATT00019292001 transcript:CAK85575 MVDFRKFMQIVMRKFNDKLNVSASAAMLIAVFCLYKARAKPNLPLVKISNFMLVLQKKLV EEVIYDGSHILFRCVNQAQWLSTQAEPMIKGKELLKALMEYGVPRFQNSTQIYYNEKQMM YIIMSIAALSYTFGIFASHLINSNRLSNKSMLAQSTGVKFSNIYGLDHAKKQLQQIIEYL QDPLKYRNVGARLRRGVMIYGPPGTGKTMLAKATATESNVNFLYCSATEFIEVYVGTGPK RVRELFKKARQSSPAIIFIDEIDSIAYKRKNQNFGTETGGDNERVSTLNQLLTELDGFKE NENIVVIAATNRIQILDEALLRSGRFDIKIEINLPSENERKGIMGVHLQNKKHQVSSGMI DVVAKNAYGFSGADMENITNESAYIAIEKQQEFINDADFQEALKKITMEKQHMKDQILNF >CAK85576 pep:novel supercontig:GCA_000165425.1:CT868541:97505:97684:1 gene:GSPATT00019293001 transcript:CAK85576 MHKQLNQVILTDAMITTRSQIAIAKKALQSNGVIQKNITAVNIVTCEKVYQKYFVNFLR >CAK85577 pep:novel supercontig:GCA_000165425.1:CT868541:97826:99474:-1 gene:GSPATT00019294001 transcript:CAK85577 MLLTTLLEMIVCHLPSPRKAQKYRTSYLYEGPQDDAIAQSMRECNPKGPLIMYVSKMVPT TDRGRFFAFGRVFSGTIATGQKVRIMGANYKVGKKEDLTVLMMASRVEYIPDVPCGNTVG LVGVDQYLMKTGTISDHPDCHLIRSMKYSVSPVVRVAVQPKNPGDLPKLVDGLKKLSKSD PLVLCTTEESGQNVVAGCGELHVEICLNDLEKDFAGIELIKSDPIVSYKETVSATSNIVC MSKSPNKHNRIYAQATPLHENLPDAIEKGQVTPKDEPKLRAKALNEEYDWDKDDALRIWT FGPDNSGANILMDKTSGVQYMNELRESMESAWQWSTKEGPLCEENQRGIRVNILDCVLHA DAIHRGGGQIIPTARRLYYACELTAQPRLQEPVFLAEITAPNDATGGVYNCLNTRRGTVI EEEQVAGTPLSVVRAHLPVAESFGFTAHLRGMTQGQAFPQCVFDHWAIVNGNPLEAGSKV NELVLSIRKRKGIKVQLPDLNEYLDKL >CAK85578 pep:novel supercontig:GCA_000165425.1:CT868541:99474:103701:-1 gene:GSPATT00019295001 transcript:CAK85578 MQQGQRLKEATEGQIVQAGNKIGGPFKIGKPVYQEKRLKLFNEIYQRQKAELEAKEKTPI TITLKDGKKIEGKKWVTTPLMIALGISKKLAENMVAAKVVYDNIFEKNFVDVDHEDQQQQ ASTLNLKPDYLIWDLTRPLEGDCQLELLSFDDNNGKEVFWHSSSHILGSALEEVYGCHLC IGPAIDGGFFYDCYIGDYKVTQNDYVKIEKAASDLAASKQEFQRAVLTKDECLELFGTNP FKRQLITNKIPEGAMTTAYKCGNLIDLCTGPHLPNTSYVKAFQVTKNSAAYWLGKNTNDD LQRVYGVSFPSKKLLDEYVKIQKELALRDHRNVGKKQNLYLFHQLSPGSAFFYPSGAHIY NTLMNFLRRQYYIRGYQEVISPNIFNAQLWKISGHYDKYKENLFFINMGEEGEYGLKPMN CPGHCLMFDMIQHSYRDLPVRFADFGVLHRNEVHGALSGLTRVRRFQQDDAHIFCRMDQI QEEIKSCLDFLSYIYSLFGFEFKLYLSTRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIRSERSWTNKTTSE TCLSLHTLIMVNQPSQTHFAGDARATDTREDEKERGITIKSTGVSLYYEYDIYDNKTLEK FLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVEGVCVQTETVLRQAMQEKIKPVVMV NKIDRAILELKHDGETMYQNFVRVVDMVNVIINTYQQEDMGDLLVHPELGSVSFGSGKEC WAFSCTRFARIYANKFKVEPLKLQERLWGDNYFDAEGKMLEKRQHQWIRKSYEESLRCFH HGPNLQIGQCCYGRKIWMQLIKCLKLWD >CAK85579 pep:novel supercontig:GCA_000165425.1:CT868541:104417:105007:1 gene:GSPATT00019296001 transcript:CAK85579 MLKYKQRINYLLLKTLKELMSFRKTTFSQYSTNVLKLDNEQDYSSAKTVKKSNYTITTDY NSLQSNLDSLDNLLDQQSSKKSRFFFSQKIDSEESARYIKTDSDLQQKEVKEVRPFRFRR KETQPKIDLNDKSDVDDFFTGVEIPRISQQAQKQNAYQLVSFTDYVENLYGKDWFQQRER KFKRQITVQDFFDTPC >CAK85580 pep:novel supercontig:GCA_000165425.1:CT868541:105029:107127:1 gene:GSPATT00019297001 transcript:CAK85580 MQFIPFSPLIDIGFWSQLSKNKIEIYKLDDGERSLLVKTKINPYPEKTSQLYLDIYSFQD EITINKSGPFEVYSRIQFQNYNTIEAYQEFDHLNYVQQTFKKMIESFSKEEKPNLFPARM SIFADLKKYLFYFKLVVPQFQVENIQNIIQKNLTDYLGDQMPQFQQQLSLIIQQQQKEIS NTSFVVLRKDNLQYVQFEDYYKNKSEVVFLYFDSFNQAQINGQFNNFIAFLLTNNSFKDQ LNNVKIIVIKDALTINKNQFQFKNSIYVELNLSESKITELNGQYKAFNIEGYLQEKRIDL KSFMDEQSLAKEAVDLNIKLMKWRLLPDLDLDKVQTQKVLLIGAGTLGCQLARNLIGWGI RKITFVDYGKISYSNPVRQSLYDFEDSTKGGRPKAEVAAEKLKKIFPDIESEGYQLQIPM PGHFVTELQVQQTLESFYKLSELVSTHDAVFLLTDSRESRWLPTVLSNAYGKMCFSVALG FDSFLIIRHGISLKKYNPEIHGERLACYFCNDISSPGNSMKDRTLDQQCTVTRPGLSFLA SAYSSELFVSLIHSPLLDGTPASDNPDQLQQTDLGILPHFLRGQLSDFEVRIFYGRAFKH CVACSQQILDALEKNPQAFLLEALNRPDILQDISGITEELTQNKQEIHEIQDIDGDDECS VLQIVS >CAK85581 pep:novel supercontig:GCA_000165425.1:CT868541:107154:107549:-1 gene:GSPATT00019298001 transcript:CAK85581 MKGAGIQIIAYSANQESGRHPSTDCLSSNFEVGQAGVVLKRAIIIRDFKILYSIDVAVSQ NNDKHIKNIQGYQQNIACIQIGLMSYLISIWQNSKSQALLTSGNRELLFIPQLIFFIVQI KQNLNLYNYIF >CAK85582 pep:novel supercontig:GCA_000165425.1:CT868541:107595:108612:1 gene:GSPATT00019299001 transcript:CAK85582 MTSLAKTMELIQCFSNPAHFSQQPNFLNPYPLQQINFNQKQKNSADNPITVDDDEPVQQQ KKCHNPLCKNVGDKKAKSKKNDILFFCDKCSKLYNKGNFCDFCEQVYGSYDDEAVWVQCD SCQKWNHIVCEQKNRNQNIQIEFETSQYHCLTCSKNVKKPKVPKKVEEPSVIKQRPIIEQ EDCRNREKNITFVATKDNKIQYTFRFNLMEDEIKQDLDSLRNSTKKKQKTQQSSPPKIQQ VVPTQQTTQPPKQQTQQETQDSSFANRNLRRRINQKINYRDLIGEY >CAK85583 pep:novel supercontig:GCA_000165425.1:CT868541:108619:109593:1 gene:GSPATT00019300001 transcript:CAK85583 MLKLQEKRKLMEILNNPISQINRQSKCKTQSNPQPIEQLIFNRSLLRVYGYQKCQTQSRN RKRFNLKQLQMTQVNESNQQVGEMLKRDYSTKSNDEKQTSFKKEQLEKRCNTTANNRFQQ LNVIIKGRRDTNISRVVEDQNDSQKQRYQMLHLNFIKTQKQYQNIYGIPISEFLLEHRFL TLIHQQILIILLMIFQIDCTNMYEVITYKQYKLFKQVIIWKDLSIIELVDKVLSIFENAS GGDLLNIIHLILQIQPYKYHGKQKQTRDMHLLNKTVQDLEFKLIEHVLQQGNLNIQIDIT KFKKLFYNQIININTFIDLLSGLF >CAK85584 pep:novel supercontig:GCA_000165425.1:CT868541:110316:111541:1 gene:GSPATT00019301001 transcript:CAK85584 MTDDLKETFDGYLNFDFSNFHNMMAEAFVNENDDPAEEIQADCVKQKIKGIPGPYFRTPQ IEFENQGDQAEHIYYDQDSENISNYSKFNLLANLDFELEEEEEIQMRKSSKCTKKISLFS PSIHFEDDQKITMINVQNIDILKEDYDLDDFYKFLTTLDFLNVQNNQRNDEQDQQQQSIQ VLMQIRQQFESLIEMFIKKTLKYQSISFNSKLNQYQSILEQFISKINCIIDSRDRINNTN QMITVEFFKGLQLMELFKELLMQKFFVEQQKKMAQAKKVLKSHWITKYFLLKSKGCYVTM FKRDKEQRQSITMVPQQIDWGKLELQETTYLCRNKVSNRPIFIGLNEYFKQASENKLTEI CLRDQKVCMFQGVKKRTQQTHQKYHEFRSEDDYFYQQKL >CAK85585 pep:novel supercontig:GCA_000165425.1:CT868541:111583:112326:-1 gene:GSPATT00019302001 transcript:CAK85585 MTDTKGSMVAPLSKYKLVFLGDQSVGKTSIINRFMFDTFDGKDHPTVGIDFISKTLYYED RTIRLQLWDTAGQERFRSLIPSYIRDSAVAVVCYDVEVKQSFDSVAKWIEDVRLERGNDV IIFLVANKIDLENRVVSTEQGAALAKEQDAHFIEVSAKSGSNVELLFKQIAATLPGTETS QMVNSVVNQANQPSNIKIDNTQGQDQEKNDRKSCC >CAK85586 pep:novel supercontig:GCA_000165425.1:CT868541:112517:114112:-1 gene:GSPATT00019303001 transcript:CAK85586 MKPVAQSVAQSDHELDKHHHESQADEHGDEHHFKEYSPKELRSIKIQGVVCLLGGIALHF VLGTFYLWGGISPYVGAYMKDKDPDVTQSTLQIVFPILGIATNSVLSFGVKLAQRIGFKT MIAGAGFLISLAFLILSFINNIYGFILIYCFMIGIPSGLVYMLPIICGWKYFPFNRGMVS GLIIAGYGFGAFTFNFICKAICNPNNEEPDIPFEEDGKVKKYFSKDVFQNVPFMFQMLAL SYFLLTIIATLLVKYPRDLNLEYQQVLGEGSKKPSGINHEKSVDYHPTVMHAECETLGQG MKSRPFLFLIVMVLCSIIFGMLMANCYKVFGQTLGIDDASLTVLGSVQSVCNGGSRFGWA VLFDKIGFKKVYLIIAVINLICTAAIGYIDGSYAGYFIILCITMCCEGGLFSCYPAVSAK IFGHKVGPIIYGGLFFVIGLSNMLGYLLYKFGEPKIGYGGVFWIVFGFCCVAFILGIFFK EEHDWKKK >CAK85587 pep:novel supercontig:GCA_000165425.1:CT868541:114170:114343:-1 gene:GSPATT00019304001 transcript:CAK85587 MRKIGYENSTLEFRCLNLFMLLWYLIKEETEKRVEYEKEQNNQEWKQRYVQKLNERN >CAK85588 pep:novel supercontig:GCA_000165425.1:CT868541:116270:120045:1 gene:GSPATT00019305001 transcript:CAK85588 MAEEDPMTQQEQMKDDGCEPYGKFRIAKQTLCSIAYMCQERVFAEEVDKLEELGEKLIEE GLCTDFKSGLTMQDQLEREKAFGHNRKPKIEPKGYCELWLGALNDFTMKVLCIAAIVSII VDVSTADESYRKLAWIEGFAILVAVIISTNANAVNDYQKERQFQKLNEVADERKRVTVVR NGKKCDIHMSEVLVGDVVQIFEGMEIPADGFVLEASDLTADESAMTGETDPIKKNVLIEC INKRNQLKEEGGQNTAGHHDVPSPIMMSGTRVLSGEGRMLILVVGDSSCAGKIAALLRQD EPEATPLQMKLTAIAEDIGKFGLISAILIVCVMCLRFGIERGMNDDWENYMVVTIIGYFI IGITVVVVAIPEGLPLAVTLSLAYSTKQMLQDQNLVRKMAACETMGGASMICSDKTGTLT QNKMSLVNVFSYSEKQQLTSYFPQNFSEFFIQCAVVNGSAMLRPEPKGSKTEIALLEFIE RCSMNYEEQREKYPASTKFPFSSQRKRMSMVLELDGGRRRLVCKGASEMVLAACSQYHSK GNGSIVPMNQDLKQKVEKAIETMAGRALRTICLAYKEISAREDLTTKDPKGVYAVEQSDL TLVAVLGIKDILRQEVPRAIQLCRRAGIKVRMVTGDNKMTARAIAKECGIITPGDDQSIV MEGPDFIAKIGGVVCQKCRTAICPCARDSTTAKKENKDVRVDTIANPQEFDKIYPHLDVL ARSRPEDKYALVTGLIERGHVVAVTGDGTNDAPALKKADVGFAMGIAGTEVAREAAAIIL LDDNFNSIVTGCYVGFQLTANLVSVGLTLIGAAVLSQEILKPIQLLWVNLIMDTLGSLAL ATEPPTEKLLYRKPHDRNEYIISKKMFKFIVGTALIQIAVVLLIVFAGDSFLPEYADEYD LTAFRGIKKRYKYSDHLCKTSYEDLKKYSEESGRPMTLIEDVTESKEVKMSGACLSSECV CHENKCYDIMCEECDFETSCTLIASGRLNTPEGKRDYAVFYEDTHEPSRHFTYVFNVFIM LQLFNFLNSRRFNDEINVFEGLTKHTAFMMIVPIIFCIQILMVTFGSKAIGLYGNFGLKI QQWLIGIGFGCISIIGCFFLKFIKEDMCFECGSKEINPMETEHKIIALKGDHSSGGLARR YSSLRHDQNPFGAPHQQHQH >CAK85589 pep:novel supercontig:GCA_000165425.1:CT868541:120061:121403:1 gene:GSPATT00019306001 transcript:CAK85589 MESEYDQKDIVVIDNGTDTIKQLSLYCIYSQSTTINADHTRFQNDNDNPNNKPQLLFGQD LKKVVKEKKLNVQLSNPIKDGKIDNVESMKEFWQYILEDGLQISELQQVNLLIIDQVKNS KEYKSKLAEVFFDYLKVQSVLFMNSASLSLFSTGLVSGLSIELGHAVNTIVPIYQGFPIM HALEFSHISGAEITQCLENDLRCYETLIDKFSEEEKQWIIKDIKENMCYIASDYEMQMQS EDNFTEEERSYELPDEQVIQITPQIRYHCSEALFNSAIINKNSSSLPQMIVNSIQRCDKE LRQELLANMVLGGGTSMFQGLISRLQDDICQIYPGGAMRSEFNFVADFQRKYSAWIGGSM LGSLKTFQSLAINKQEYEENPEGKMSLIHKRTF >CAK85590 pep:novel supercontig:GCA_000165425.1:CT868541:121702:124306:1 gene:GSPATT00019307001 transcript:CAK85590 MKIRKKANITRSLPKLPFQNKSRFITQKNEDEELDFSIDFFPETTTSTKKEFYRFHKTIE RIQEQNQFYNRNDNLMSSLSSPQIPPGSFGLFNRQKNDVLHLNHMKYGDKYMVILARGLQ KQNDIKEFHLNNNRMTQLSSSEIIRSTRGAYKLSLSKNKIGSGCLEIGNSLVSRDCKIQL LNLEDNKLKESQIIEILERVADNRSLKILNLSKNNISNNCCTALCNMIQNNEDISELYLH FNRLNGNGAIMIFNSLQKSNLKVLDLSQNSIGIGLDWSNSFNQMVSTNTELIHFDLSFNR IPYKITCNIAEGLKKNKTIIGFHYTGNSGYVDTKGFLIPIESGETEQTQHQIAQRIQGCQ YIKQKRLRSYRDTNIKDCCWICEGWRQVDFQWNPQESGPANDPMFLHLSYLNYDDLYMGK VEEGLKVQRMVPPGLCYYFFTNDDIQCVAQDQLHKRWPLPLNKVRILDKKVDVKLNQLNY LNVQGTQIIDKYYMPIINVQPRQEDLIYVPDVIENKRIWSFPISLFKDWKKDTDELLDKC FQNDWNLSRITKLIKDENDRNGCYEFLRSNYQQIKDTYKHFACLSPIGDIWAISSLINLQ LLSQIKLTEINEKGVIKQQDMELKYLATISGTEKGNYRKPERGMVRFQFLEMWIRIAEDK YIRNGIAKSFEEALRWLWEDHLKEEFNKYNSQIFRDSRYWNEQCDMCMKHYKTILDSVYI RYSVKKVKPGQKPFMSLQELQDMCSHIGLNQLDTYGPNTSLFAFNKAMMTQIDEVNSDRI FQMSFVEFLEAFARIAEDIDNRSIGLHLKIEQLIWRCYNLFPDIYASPNQSYFQDEWDQI NKQQSDEDIDNYY >CAK85591 pep:novel supercontig:GCA_000165425.1:CT868541:124442:125448:1 gene:GSPATT00019308001 transcript:CAK85591 MQSQINKTQILAKVKKCHKNISKLNDKLYLLQTLIKKHNFNIEKNTDMIKSKASDNPVVI INDETSVEVIINAEDPKQEQAIDLKQTARKLISRFYYHIEQKMKQSSINQIEALKQFSDK EYKNDLFEKLHKGFFKLYFEQDQKCKECQQIIKDQQNCLNHIRYEHLADLEIYICIKCKL SFSKGDTLEKHISQAHHFGQLTQVETKVNAQKLQIKPSSILNGVQQKFHQKLDLNNIMDI LQPQQTLKKVLIPQQNNNNSLKSSQDEAKECGSLFNQVYIPVELNAENAEKKDEEQKEFQ SYSTKKPTKLKINFISVQKNDNTQNM >CAK85592 pep:novel supercontig:GCA_000165425.1:CT868541:125966:126810:1 gene:GSPATT00019309001 transcript:CAK85592 MNKDDIIAFYEKRDVKETYNYDILADDRVSLFSSMRETKQENQKTLILSNQPTIYCCLSI CSFLIALSNLPEEDRIYFFYDDPLSYNVGLIVCMCFLCILGIVISEIIKKSFTNKQIGTL PFLTKKQHKMNFNPTICLAGQIAHLLLLIEIILQSMPTQLFQHETLMDLSFLLNMVYFAY ANSFLEKKKAAQMHYIPFILYICVTLALLCQNNSMKLRYTHIWKVQTERVILTYMGIMLQ GIQFQLFRPAIQQMERNQNILAEVL >CAK85593 pep:novel supercontig:GCA_000165425.1:CT868541:126831:129159:-1 gene:GSPATT00019310001 transcript:CAK85593 MYIEEFSNQLNLDQETLDKVKIAMQQFSEQEEKIYVPAVVYLCSQSCQIQQIDGQTKQGN GITLTQVIRNLDPQTDIEQFLLVLQELPSLLKQHSSEFDSVVRQANFSFRLYKKFDKCFS LIQPFQNPSSTPQFRKEDDSDPYIQDLKKVAWILCIMVRQNILQYEEQLEKNEYNIYDPN SKVILILVGSLSIVFKNLPNTFTQNVADLSQKMLTYFCNDLDECDEELKYYQNLCFKCIN QIANIMQHKAKVLDLQLETQENQVHILFEENTIDQTYKRLHKYYEKTRKHSDLDEECFLR QRVVFTPKKDINKQTDYSEFETLQKKTKIKSDPPFMQTPLKDNKFSNTLEQTYFDIKKNA IPQTPISKCMELYQWMKKITMQNYKCFEDYDEKEFYDRAIHKIRKYFEVTEAMKTQKQNE QEQTIFTLSLAVIDSLIKQNEGQFDLKLLQNDCFQFSILGLSLYTHQIINQKPNLLYNMK QLCTIFEISPLDLFRVIANFAHFNRQMPSTITSQLFELEKHILFNLMWQTDAKKLVEIFR DNSPKYFEQELVRRFQNHLALRVKELCKILQLEIHDFAYSAICEFMKNPKLEVLKDVIFD TVIVCTFYVIQKHHKQSPSFNSFNKLYTKYYSQQKQVFTRIVEYYNDRFLNEFRDIVKSI SNAQPLHIPKNFECQSPLIIKPIPVSPNQKLSYIATPEPPSPQKNFMRSLMQKIKPQQQP QQKQQDIQPFQD >CAK85594 pep:novel supercontig:GCA_000165425.1:CT868541:129220:131044:1 gene:GSPATT00019311001 transcript:CAK85594 MLCMFKDSSNIPYAFIQPVQKVSLRCVSPLQQQSNQGNSISSTKLYAIPNELVREFEKPQ RVCDNCYRDYLYYQDLIKVYKIKWNTKSLLMSKILGDKKRKIKFKQPLELFDKQNIEKDI LTGRSDAHLLNYSIREFVTQSQQGLQQEEIRKSIIRVLELFVAHNPTIGYCQGMNYIAII CLCIADEEGAFLLMNQLFNEIIPPRFFSNSQGASLIGYQAELNFLQEMIGVNGFQNRESL SQFIELFGPQLLLTLMIQVLNTSSLLVTWIEMFKLKSFIPIDNVILYTLNTATKGQNLMH PKILNNIGKFVHYPNLIEMFKKEKAYFTKFERTIYIEQYYSKTSRSWVKNDPIILNKLKK ISNLDIDEITTLQTEFKKYCLEKRTIQVDQQQRKSMKQLAQLTDSSDEDGDDRYRESLII QQFKLQKYGININTFLTFMEIFLRKESEHYTLDQEKLQLIFNLFDENKSELLDFREFLIC LSILLRGSFADKFKMLFTAHTQNVLKFQDFETLLSLLIPYDIQQTKEYTEFQQRIVQPYF TYFDMLRVLKDPLIVQLEVNKEMTASQIKKLNSYKGIID >CAK85595 pep:novel supercontig:GCA_000165425.1:CT868541:131053:131786:-1 gene:GSPATT00019312001 transcript:CAK85595 MESIKQERNFVNEHSISKYLKCTICSAVFDEPTRLKCGHTFCKPCIVFWLKDHPNCPQCR ANTKQKDFQSDRIAAGIISELAVYCLNRNYGCKWKGVIDNLQIHQKKCSAKSDATQLNLK MEYYQENDEDYELAQSNDPGNIGTRILAKLKDKGEVIDALKSSNENTKDDNLEENDPMLF LDELQKLSENIPLEEQRDKLSFNEKRETNFDDEDLPKQCRERINKQSNM >CAK85596 pep:novel supercontig:GCA_000165425.1:CT868541:132118:133563:1 gene:GSPATT00019313001 transcript:CAK85596 MSEIEQLTQQKQEIEKSQAISNQWVQLKKNLSSKFKNPADAFKSLCKEGKDVLAIEDFGD YAKGLDLTQVFKDITLNEENFSKAWEQWEYKYKQNDHKLQIINEKLQLLTMLDNGEMPKE ASRGLDTAPNKRVQSIANNCETLEKLQEKLDSLVNEGQKQKEKQDVVQNEQDCFAQFLAK KSEVKQPELHVTKLQQKNNEEEEGSNPSKAKRSYIDSTYSYSRNKGAYGSTGNLLQSSLQ NKGSLGNSQISLKSSFIIESQIQISPQKAAQNLKNYVPNPQQQLKSPYEERQVLEERKSQ KANRMKGDLQNYISDLFQNEREQRQQIRTFEKPSSQGLAGFVTSKRLYQNASNKQSFVDN DSQNVSKRVQTIRYKLDDFQSQKLAQYSYVPRTVPDWTPDRPVRLSNPKPKQPSPKYTSG NTHRLNLNYLKQEKEKESHEQKQEEQPQANC >CAK85597 pep:novel supercontig:GCA_000165425.1:CT868541:133595:134839:-1 gene:GSPATT00019314001 transcript:CAK85597 MQDFKRTKRETSYNTQRTEDFSIINGLTDTIKQSDHKFDEQASQTQEYQQKQNVLLHLVI PLLQNNPNQKFNWTQKIESLGDSYPGSEIIALIEYLLHLDLSEHYGCWLVRIGESTFIDI LLKKMLGNGQIIRDSTLQIQEIQGILNQNDLTLNIKFIDTPGFKRQHSLRSWLKLLCGYI EIQFKSYQQRQNQQYEKKEKFQQLSQQDLDERVHVCFYFFSGPRIQTEDLQALKQISELQ REINQLKQQFNDLINHYHIDLFKFQCNNNFQEKSQFGPTPSYVIIRSVEQFQVGNFLIYG RKFPRGICDIFNPQHSDLIILYKSLIGHYCLELIKLTDFLYNDYIQKEKQKLKLKQQNQS WLANLISYIFNK >CAK85598 pep:novel supercontig:GCA_000165425.1:CT868541:134987:136747:1 gene:GSPATT00019315001 transcript:CAK85598 MIIKSKATVTKIKLPQIAMNHVRERSTHHSKARSLNPSQSPTSKMLQPTTPLHSTHHKNS SYYHYSSIMKIDQVPLNEVEMFNCLYKPLDATTTTKNKESPMKRIRNNSNENFQNHFMIS RDPRRSAPDLDMYPNYLKKPQQQNQTKSIEIREKIMEIYNQVVKLLQNHKGYVRQVEKKQ AVITLVKLRKEFMLLNQDYNEYYVLPVAEPDLDFKNRMEMLINWFQPHKLQKSNRINLLF NFDESFNTAEQSQIMQLLSDQFSDQDQNYSTSQQSCENLSEVSGAISQSKRNAQQNRTTK GSVSRQSKLINPIEQLQIQQIQVDQQKQKHKNSKQDLKDSKTQIPRKYTKLSIEDIIDQQ EKNANINYFDDDEEPSENGTGDKGTDTRRRAKRDAKMISRKNAILGANGQPVDKKNYRIN TDGQMVSDQMSDQDKSSENRRQSEETNRNGLENREDLLSNQEQIDEILNQVENDLITYQK IDENDNRRKTSKAMEFNMDNNQQGQSRFQRQQTKYDQNLIIKQSQIYKTEAEFLNQTIDQ TGLIEALYNCQYIYDSRILDLYRIDKQYTTWDDDIDYIYCGEYQFR >CAK85599 pep:novel supercontig:GCA_000165425.1:CT868541:136779:138922:-1 gene:GSPATT00019316001 transcript:CAK85599 MSSYIIAGSSDKVDTAECENMANLIRQYYPEISFKIVLKDLTQWEQYADEICRIYGFKQK SNPIIYTMDGCLIGDRNAFIALAESRFGFSDPKLRQGPITPDVNKKIAKQELDQKLKLQR GKKTLIEKIEQQMLIIYSNNIVQPTDGVFKQSFKSGVKEKLKTWYDSLNNLIRTDVKRNL STDSIYSSSFRNGMKFLYKFDDRLRPIKKLQESHYFEENKEAIQESNYVDVEVKEDGKLV IKQILWFSETARFLTLEQEQLQQQLQELAQQREREQSHKNSMISPDIRRDTNELNDTNSS PKATIQTQPIVEQPNEEGELDAIKNDQVEEPLQTDQQGADQQQEQINPTNEQQELDQVQS IGGGPVLDLQEDIETILNNNQEKPDKIDVSELLVHKFRNDYALILHPFPLMDGQMLLCKP VDNYIDDYSCIKRGRINSYKKSSAQTNCEGLFEHQIDIFKSITIQDCEIATKVINKCDAL VVLNILPQDLESFLPLSNGHYSVILRQHAELNKANKKLFKFLNEKKVQVNYKQLMPIEEF ILDQRSQCYEIQREVFKLKSLDIHHYFAFPPQILTAESVMDIILKILSIIMDYDHTAALK MGFNLLISKHLIFLCPTFSNYDNIDGFKLHLQAFTAMGFLNLPTGSSSINFFDLLQKAQE KSQQ >CAK85600 pep:novel supercontig:GCA_000165425.1:CT868541:138922:139661:-1 gene:GSPATT00019317001 transcript:CAK85600 MAEQESVATVKFANQPKLFGKWDYDEVQVTDQCFKDYIAVQTSKSRVFVPHTAGRYQRKK FRKAQCPIVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGG AREDFTKVGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAA SNEDGQKSYTIKKRDELEKVAKTNR >CAK85601 pep:novel supercontig:GCA_000165425.1:CT868541:140648:141332:1 gene:GSPATT00019318001 transcript:CAK85601 MDLHHSKSYGVFNELNCQLFKPKPHSFRLQIGSLPTEIPQVLKQALVTPSTNDNDSKYYN PQLSHSPSPQLRAFKSYRVSTEMLNGSPIDQIQLIMRENENLKKSLNQKQKIIDTLTRSH KTKIRLDFNDLKKNSRSHIQLQSQQDANKPKLIEVKQSVSQNYNKRTKLPRIEDPVPKDD DRNFTFANNFFNDNATSQRQKFNFKQVFAQSHLKKKFFT >CAK85602 pep:novel supercontig:GCA_000165425.1:CT868541:141355:142652:1 gene:GSPATT00019319001 transcript:CAK85602 MKDNYDLITTSQAIFDVVKRGNLIEETRVQYEIKCVGTEIETSQIGYDTVKYKFTITKID LLTDKKKSHDFVRRYTHFLWLQNELQNKLIGRIIPSLPEKQTGYDKDKRKLEFVYFMQKI LSHKKFQSVDCIERFFSEELKEYEAFQQYIDNMRESQSVINKVINTGLSFMNMFQRVYNY NNVQIFPRIPDDLDKQFDEYRKEFELNKLNTEIITLDLQKIVQKLQTQTRSLSSSFDIFM NECQGTEEFNMLKIIIKIYESYEIKLRQKYIYRMEASIKDYDQAIKLINLYKDLKDQINK DSQLINNQNYKSQIDELQQQIRINKEKVDQLNLNFNDDIKIFRQQQSWCLNDVQQYFQID LKECYDSIKSQKTAEHV >CAK85603 pep:novel supercontig:GCA_000165425.1:CT868541:142807:144869:1 gene:GSPATT00019320001 transcript:CAK85603 MDQKNYLKTKVSLQTPAQLEQYMKQINQLNEQGVFKIKLQKYQEALLLLQQSEKMLEYAA NCGKQIDRDLIIVILYNQASIYHCQWILSKCSFYLTGVIYNLNESVKDKDVISINVTNQQ ENDSTLVKKKSFLARASLQHTAILSQLGKHKQALQSARKAAEIMREVFQKTWKFCKDWIN NNQFSESVTTNTSYLNNKSKQKQNKFQMKDEVEFSRLVINSGKDILLDMLNYQDLDNMSN DEQMILREAKKQLYFWKNNPKNNEKHIRKELNLNTKEDDYRSILGVQNVEEWIQSFNISY IMHMAPLIYSEITQQGEMLYEISKRLLLEKIIYLSISYFTIATELRFIELEKAKQQGIKE INTEEFKLSELYHLKAIEIACKHIACSSQYINHLITTYHKHYNSNLDTIQEESITSMISD FNYKELKLKQFKQLQIQTQRENQLLLQNIAQQKLKDSSHNERLVNSFQGQLSPLKNNSNI SDNIKTQANMMDQMIYKRKYQIPFGITSKQKFKFMNASTNNSCERVNEKMLKLQDGLPIQ LQPFKSARTILNHLIQQVPLSQFNNTGFQKFSLLENSRFDRQNFRQNNSPKIEGRTPNKS PDKSFLNYGPKTSFLINKTPPRITRLESELSNNQTLNKEFSIKLDILQQQQIGKSYYKQK RK >CAK85604 pep:novel supercontig:GCA_000165425.1:CT868541:144937:145460:-1 gene:GSPATT00019321001 transcript:CAK85604 MNQVDNLLKLSLTNKKSQLLENNSNAEVLNQWEQKLLQKEQQLIQKEKKLKEKENQLSQK EDLLVKKELDLNERMMKYSGILEQIIAQKQTKSDASLLPLNSNDRSYRNKNINEYQNRVI NLLNTIRSNSQQQQQLIHQQSYMGSTLELTDDRFNKSSKGFLLL >CAK85605 pep:novel supercontig:GCA_000165425.1:CT868541:145931:147214:1 gene:GSPATT00019322001 transcript:CAK85605 MQTKKEPLFLKPQLSAGTLNKEPLTIRFLRHQAKSQDLYTNFLQHKEPKIVKQPKKWTLK QLLNIVDKQSSAISTTRFFNIKSSNKRSQEQSKEKDKSEVVPIVEEKFVEEQVGESARIR LVKFLSFHKVNSNGQVDKTKQGFNLNLSQIKPENQSQSNIKKTLIKINTSRFKQDLNNST SDQRNQLKTIKQKIQNAIISTDRKILISYANTAQQLQLTSGRKRNSRIVKKFQRIIKEII QVVKYMLKYKLWFCYPITYNRTFLQKVVDKQFEQIKNELHQDPQLVHIRNQHGQTPLHLA CIQSNFAMTKLFIQNRSYLNSLDCNELTPLSYAILSNSTICLKLLLDSLASPWSPPGQMI DLSKVTTLMKEMILKSRMYDVLRIWKREVKIKQLVKQFSKNK >CAK85606 pep:novel supercontig:GCA_000165425.1:CT868541:147387:147815:1 gene:GSPATT00019323001 transcript:CAK85606 MQDAQFLNYQVEAEPNIQPIRSIKIQQKKGLMMIFSSDSENLENDLRVDNSQYYRKQCQE SYSLFTTKNSLSGKLKTLTQPSNSITSKAKLASIRKIKSFRLDEDAQSQDEEESLPKDFM KVNFQFKQDLIINVQKILQQKK >CAK85607 pep:novel supercontig:GCA_000165425.1:CT868541:147919:149138:-1 gene:GSPATT00019324001 transcript:CAK85607 MFFVHETPEIIDNVQFIKLKNKEGLAQEDQQFLQDLEKSRSEVKYILTHQNIKTLVENRV SLLTKKDALDNYLKTNVDMMRLYLIKIKEHPKFSYKLTINKKQQQYKTESINTAEEACGC EIVMVRYMYLLGFNNSATPHVLRLRYSMLSTPNNIDLNDIQEGLKVVSTGWMPFQRLCNV MDDLLHFLVTKQQQLIPELKMTTIFSFKLLIECNMNFFYLETFRLQKQYESMVRVCKSSY TKLIDAHNRLSQIKDEIHPEILEYCLSGQKYFKVYGIWSILLKNESDILFDRTKNKSYTI LTAECHKIANQIVQIAQEISSIKNINEYDKFTLGFIQNVVNPTLQKSLSVYSQSAKQPCQ LPDQLLVQAESLDYTDQLKQ >CAK85608 pep:novel supercontig:GCA_000165425.1:CT868541:149182:149801:-1 gene:GSPATT00019325001 transcript:CAK85608 MLSANLINHRSVLREKSLNFLNVPIADYLTQQKPITEDSQYKAFQRNLQLQKQILNEQDD VHQYQTELFTKSVKQERVPIIVNRPSVQHFKPTLPNNFAIPNRKSETIIKQISKIDDDLD QLAQIFYQRKLLMKAYNALEKHAERKNIQIADEHRQLQIFKAFFQQLQKKQMIQNFKKSL KQK >CAK85609 pep:novel supercontig:GCA_000165425.1:CT868541:149871:150809:-1 gene:GSPATT00019326001 transcript:CAK85609 MLKVVGMFQIKIQQGKKTVFYGYCVFVSCSAQYIYKHYGKFEFKLISMIPGVQVCSMNGI EAPVPFQQSIIAYYFFTLLFLYTIYYALENVKHSIVVFVRWPLLLYSLAAIIIGVVSIIQ SYSLTTSDIQEGWNKMTQWRNSSNNLLDENKRNLILISIYHFIYGFVFIILFGLLFNYQT IMPQNWRPPFSSRPLMKSALIYREGMVDQVQQPKQDDEENQEKQQDKPFQNQNQSEIRQP QQPVDQQPSTIFQKKNTGRRVFGKQVQNSQVQQQSQQQSQLQQSQIPPQPNSKQDQPDDE ANQQPF >CAK85610 pep:novel supercontig:GCA_000165425.1:CT868541:151055:152309:1 gene:GSPATT00019327001 transcript:CAK85610 MKNKDQLNSCFRLVQYCLPNLRLRNQFILIVAVFVLLIVTIIALWNLAHQSILNNLFLGE SDLLYDTQSKIRLSFMLNKYKSYFYQIFALNGNALISFHRLYLRTKKEVMKGSLEINKNY QMEYEGMIQIPDPLRILNEYGSNISNSFMCYSNTSAYSLPLTEEERIGIKLQEQIQAYGQ ILYQGSLIMQSNIYSYIDLQKINNLYPCVNRGQGIFTYAPEKRDWYKTLKSTYFNATKYN SYSFKFTAPYLLFTYQTIGLSMTLPIVDENVKFVGGVSSTFLGSKLVQYLSNNETGFQLT YLVSEDGTLIMHPFTVKADQLPLYIYNETFTGFNLTDWYEIKKTDGISSCQQFNLRTTLK CRYNSVTQQEMIVTIENLIEYKMYLIMQQNIEDNKQVVEQQ >CAK85611 pep:novel supercontig:GCA_000165425.1:CT868541:152964:153566:1 gene:GSPATT00019328001 transcript:CAK85611 MQNSDTDEECLCLFDPNTYQEGQGPRFGNCYQPPTYDELTAASTENGLKLLPNNREKFFE FILKEQQVRLNPKVQQLYKDMNHSSAVEHAIHSELFKSFGYDDCPLNHKLYFALTRKYSN DPELKPQVFFWRNNVMHGSRVKLHQTPPPIPLLTLENKELQLEQLLQSAHEEQKLCVIFA GSYT >CAK85612 pep:novel supercontig:GCA_000165425.1:CT868541:153585:154117:1 gene:GSPATT00019329001 transcript:CAK85612 MPDYIEFAQKQKDTCKCQFIYIKEAHFLETDDKGKFVDGWPRGYFGFEYPQHKSQEQRFQ MAQTLKTKYNIPDEFPIYQDVYPQNLFDESFGIWPDNLCVFKDSKLIYRGIINLDGTRNA SYSKELEELLDHFKY >CAK85613 pep:novel supercontig:GCA_000165425.1:CT868541:154508:155721:-1 gene:GSPATT00019330001 transcript:CAK85613 MKFIVLVCLALIVTANDYELLSELSQYEFGKTIIATMQISLSSEDSNIDGIVHQLKSMQT NAQGDLEENTQNLRHQQELCNLRFDEIQGVIDSASAKKASDEQSFPLRQEELIAKNQQLK DKVGQDQKIKDRLSTLDKTREEDKKAFEAKQTESVEFIQGLKKAKSIVSQLNSSFIQVAD VKAKLHKHLESLHNKQTPYNGLVKMLVAASGDENVSKVVQIIDELIESLEHLQKVGHQGE KAKEELYLLQKARYELESKTLETSLADLNADAEKLRQNLLELKNDIESKGQLLDVKSQEK ADWQKTCDDELKGHQNMRQQKNTELGIINECIEIFTSRFNDGIKSYIQKLEI >CAK85614 pep:novel supercontig:GCA_000165425.1:CT868541:155770:157089:-1 gene:GSPATT00019331001 transcript:CAK85614 MKNYNFILLSRNEQRFQFVYQINEDQSFLLFNYVFFVLIICNNFLMKSILLIALIAVAFT ARVQERNLAKVTTDLKKSTYGSALLHLVELHSMAGGPVQELIDAIEELINDLEEELEELE FNFQVRTNEHNALVVSLEQDIQDAVIDVNNTQDTLDNLLFPRREQLQVRIEQIQENQEGN RKNYDEAILVREQEHDDFELQIAELNDATAAVDDALALLQTLTNPSLLQVKRFQNSLKNI EQKIKSRSKMAPMIKALISLASNQNFSDQGIIGKIVDALNEFRNAVVDSINAQTAAEAQA QADHEEYLEQLDEEYAEFQRQINRVNVDLTATNEKIDQLTEFRDQREADRKQYTAELELE NNTYAEETDTYTNLKNEFTRELGISEQALSVVQSADFSNIQV >CAK85615 pep:novel supercontig:GCA_000165425.1:CT868541:157604:158612:-1 gene:GSPATT00019332001 transcript:CAK85615 MLLIVEISQIRDHLLALSIRGQFNTQHLKDHHYIGQSEIVDYYERAQRRKIKFTDDQSLY LTQRQGQSFAYTIPIGNDIPENQTYVLVLKFAELFYEESNSRIFNVMLGKMMILQNIDIF QLVGTFTAHTEYIEFIIDEGTVYYQGEICIGALNSRNELIVGFSASQDQATIAALFLFKG PLKDTDFEDKERFQERWIQRNTPRTNEEMKKQNQERVKNIEKEIEEKQSILKIRDGFGHE IEIEEVKQPKKQMEWPQFSFQLLFILIKTPLGAVLLVGFLTVSFVTISFVFFDPYGQNKI KQQYQEIKKLREIKQSIIPKKSVMQKTD >CAK85616 pep:novel supercontig:GCA_000165425.1:CT868541:159137:159765:1 gene:GSPATT00019333001 transcript:CAK85616 MSTPSVKSKLVQTFGRKKNAVASASVREGKGIVKVNGAPIELVNPAPLRQKALEPLLLLG QVRTGRIDIRVTVRGGGTTAQIYAIRQAISKGIVAYYQKYIDETQKREIKDLLLQYDRTL LVADPRRCEPRKYGGKGARARRQKSYR >CAK85617 pep:novel supercontig:GCA_000165425.1:CT868541:160185:161412:-1 gene:GSPATT00019334001 transcript:CAK85617 MSYGNKIIPSVPKFTEFKDYTSSQVLQMHTPHLLQKSHKQDLVLSSDYSMEVEPSKKYTL QSAHLQLTGHQSEVYCVKYSPNGEYLITAGFDKKILIWDIYNNCTNIGILGSHKNAILDI AWQYDGVRLFTASADKTVQIWDMETYLPLKKLKGHQSYVNCCFPSKRGQDLLATGGDEGY TKVWDLRTRKLAFEIQGKYPITSVCFTENGERLYTGCLDNVIRCYDVRKQEIEYTLDNHT DTVTGLAISNDGSYLLSNSMDMTVRTFDIRPYVQGKNRQVRVFTGATANTAEKNLLRCAW SHDDSYVSAGSADKSVYIWDFNSKKVIHKLGGHQGTVNETAFSPKDKLIASASNDKTVII GEIPEVTL >CAK85618 pep:novel supercontig:GCA_000165425.1:CT868541:162791:163954:1 gene:GSPATT00019335001 transcript:CAK85618 MSLLQKFTSLFKSKDDVQSTLQKLLKPTTTNELQVAIKGFNQLLCNQPVAIFTMLRQREA LVTMDPKPEHLLNLLLVIHSNISNDDICDQLRQVPIYWLDRLMTENRESFIQIERMITEQ STNSYIPQQQQSQYIQQQQNQTKGEKIEDKRKARIEMNQKLQNPIINTEAANNADSHNLK PKMFCIIYYSFLQKLSINQQIYQSSRKLQYPEDEQDFIWIYKIQNVMQMGLKLLQCPQKL YRPYQLLIYQDLFYFQSFLKQELEALILKENNLHIYEAFCEYQRAKSIMETFEPRYEFKL KINSSVIDEYQRNAIKQKVKSQFEEDQKRKKQLIKENQENIDQLNFQDFDCNHDFEREII MRKFFDKGQSNQMSLDEISLQLKIINL >CAK85619 pep:novel supercontig:GCA_000165425.1:CT868541:163980:165380:1 gene:GSPATT00019336001 transcript:CAK85619 MIFEIGEIFTVQESLKDEKIAKNLDLDVGSLNDFLDLRSTDPNPRLPNRRLDRKAQKRQD QIPKKFEQAKEEKETVKASEIISNIIKDVFKMDINEFEQMNSPEKLNKEYKFQEIDPEKR KYLRMKIPLNKRQEQFEQELLNVKSDLKLKIQEIRMPQLKNAGNNSQKDDNTFSRPNSQK IKQNSSSNKLFFNSSNEVDAIDSHIWLQSRLERILKLNSHNLDTQKAWKEYWSNVNCTDF KTFQEYQQQHKKPHLASDLVTINYGGEKSAKYQKNDNEISVNIKNSSSLTIKNKQITSEH IIYLSMIQPPLISLLRVELIKTQLNETAFKLLTTLLSECKRLEYINISSNTYPRNQSIKI LLLDLQNLKILKMSDMMISTKYFLDLCEVLVQTNIQEIDLSNNLLDHHAYDALITLIKYL PSLTQMDFQYNDILQSANLPLQKKQQSWEYLEKVKLSYQRRVNIVL >CAK85620 pep:novel supercontig:GCA_000165425.1:CT868541:165399:167166:1 gene:GSPATT00019337001 transcript:CAK85620 MFFTQTKFHKLSSQKELLAKIQEFEIHTNFDSPRTQKALLKMNKTKYDFRRKNKFEKHNE LEYRNKRNNKSLDQQSIDFEYETYLEQLLNDYMELKEQRKQIKEWFQKKQQEEDELRGYE YIPFNSINSATPFQCQTITIDDKIGKINQERQRQLSLNNAEVKDALLLELDRRQWEQATL ERRQKNVQIRSAKIMEIKMKAQEQNKRAKKKCETQKKLKEEQIEGDLVKAKEFQEKLNQK DNEIATKKSNIIMEKIEKNKKLEEKILFRKSLLNHEQSETLFQNIRKETQTEENRLKSVE RQAKDRQDKQWRYEQQLLRIHEKSASSKAIWESELCNNYGKKMISIEEQKKKNEDLMKQE AIKMSKERKDKLRKHQQNLEIVKEEEKQWQIKLQEKLQNKDDVSKKLRISKLEEIKQLKE QNAQNQREYWYKLELRKRKQFSQQDLLLERSLNAQKKSIQQKNEQEKLLFYLQTSKQKMN SEISNKEQKIKLIHQQSSTQLLEQLKELVPESEFIELQKQYKITKKPQTANPQTTNKL >CAK85621 pep:novel supercontig:GCA_000165425.1:CT868541:167199:167764:-1 gene:GSPATT00019338001 transcript:CAK85621 MRIEKCYFCSGPIYPGHGIVFVRNDSKMFRFCRSKCHRHFKAQHNPRKTRWTKAFRKAAG KEMTNDSIFEFEQKRNEPLKYNRDLYINTVQAMKKIDKIRLKRENNFWKNRLRAQRKQNL DNVETELAKNINLVSDKSVKEVIKQKAIEKAQLKAQKQKKQQKKDMVEEEA >CAK85622 pep:novel supercontig:GCA_000165425.1:CT868541:167785:179397:1 gene:GSPATT00019339001 transcript:CAK85622 MENWNLIRQECKDQSESVIAYLFQRFPSLRNLLKSQILKTLPNSYLVCYVLDKCTPLGDG AFALNLKALQGILIAEPEKRLFLEKEFYDQRLKNRQVKHIFAITQQNKRINTGNEIQVDK IKLNELTYMLDQTNFVLICGPFGCGKTTLLKYYFELHKQKELTLYMDETIDFQSLIGQFV CTEQIGVFTHKAGPLLFCENIGAHLVLKNYSETSEDFKQQLRRALQLKYVEVNGQKKDIS NLKVIAVQKDIIEDEYVLTMEPVSFETLKQINCRYFKEILEQYQITEQKPELKDLYKLKN RFQYHLKDYQDQVYIPEQVRVKLCLECWEVLKVDLSQVFQLDQLRQTTYLQKYDHYLEFS KLGQIEINQDSQSLIVYNQYSSRIMEQIMTCILNDEACLLVGDTGCGKTTLTQHCAQLLG KKLHIYNLSEGSDCQDLIGGFRPLNQETLIKINYTKFLKYFTRTVDCKKNQQFINSLQKL YATKKFNMLVKCMIETMQQCLSKVNDDKLKEKMNKLMKNLNSSVQEMSFYFLTGNFIKAM QKGDWVLIDEINLANNELLQKILPAIEKTQLLFYERGDENPIKIHPNFRIFGCMNPGTDV GKKELPPNIKNKFTTIYCHELLDKQDIIDFIKGLIGHSFDVVKLADLYLNLKDICKKHQF NLQTFSLRNLARALHYFRSSKLKNQNLAMFNGLSLAFGSGLGKDSQELFHQQLQINGLDY KQFTPSINSQNYRVFDQYVEIYGFQLPRGYEQPISPEKCEYLITPSNFGYLLSCLRCISG TGIPILLEGPTSGGKTSLIYFIAKICGQRCHRINNHQNTQLEEYFGTYSQVGNSIQFVDG LLVTAMRRGDWIILDELNLARSEILENLNRLLDDNQELFLPETQTTLKPHPGFRIFGTQN PVTYSGRKQLSKAFRNRFIEIQVEEISTEDLTNILKTRCKYLPETHIPLVRQTQVQINLL RASESLFMGMVTLRDLIKWGNRMKNAASGKESTAMEGYCLIVERVRDLQLRKQLQTIIEN IFKVKLHPEDYYMEVLKNSGLIEFSSKYNIQWNQSFIRMTALTLKSIQNNEPALLVGPPG IGKTTLTAIIADKLNLQNQHIVCHQYLELSDFVGALRPSRDANSNSPFSFQDGPLLKCFK EGGVFLIDEVNMCPESVLEGLNSCLEQPATLTVNDQFYQAHNTFVIIAAMNPSGDWGKKE LTPALRSRFTEIFVFNPLSKNEMHSIVQQFEQLHCNTICETLLSHEDMTFRDLLQIVEHI KKLRQTGYSDESIIIEESIDFALDQVTSIRNNLYSNMELEQTQLIPEINAYKLLRAINIH NKPIMIQGPPQCGKTHIIQYFAKQRGVKCVKIIFDEQSDLQELLGTDQPNSISSEQSNGQ FRFVPGPLLEGLKKGYWVILKNLNLAPQNVLEGLNSILDHRREIFIPELGQIIQVHQNCK LFAIQNPMTCGEGRKGLPLSFLNRFIKIEFQKYSEEFKIQICPQINVPLAALNLYNLLSF NQALRDKLLMNSSNNQVTSDHIDSTLWAQQRIHFSDLINSVTHLRSVLIIGDKQIGKKYL IERVAKYCNQQLVNILLSKQTDSMELLGQYVQGDQDSLFKWQFSRLVEAAINGEWVLIDS PSRELFPKLSQFIEEDHFTLFGIDIPINSKFKIFITTEQLNLHHNVKLYLQMHSTYEFKL SYYQDIRRITYQDFEELCDHQEVVLQYFKKYDSIIPQQQVKRMLQKLRIQIESILLTQDV VSQYEIQLLCDQIFQGQENIQNTNPQNSLINLNIIFKIDNPIIEIKDCTLKHDNSIIINQ IHNQSLIKRIIREHNNIYLEYFSFGEQPILQQQYDTMLSTNLQLFKENSFKLKESSNIQI PELSNSLEGLIQSIVKVKLLQQLVSILQQQQINYTDVKLYEFMLRQTNFEFQYDTDLQNN MIKTIEDWRKQEIFDYIIFDKRIPNITEDIVQLKSKINLLSEQLRESENLKEFVLLLKQC LQSKPLAAIDSWVSSLLKSQLPLSFNEFPLISDQLSVLNHYRNYLTKEVNFENKLNIDIY QLQTLYNYQKTDLSHQELHFVLKFVQFYIDYLNVNPKIYSNPLKICGSDQIQTYIQYLKN SHSKVDLSQTQQIILQGFLQAQLFEIPFYQSLINRFQFSRSQHIYHNKKIEKIMTIEFFQ KILDLTEDDLMKLSSNEIQLIILQLYQEQLEQAQNACFDSQYLLKGYYQEKHQQLSQLLE STKLKVDQIKERLFIRDPNQTNLEATMQFIQDLKQALLTISKNMNISYIEAWIIQSYDKY YYGFKDFLQPIYESLMFIQYPVEPTAQLSNSGFKYQLRSLKGQGFITFSDQKLISQEERD VFKMDDAKLYILLSRKIKGLVVEQYNDNTVENEQKQLELQLKKELNQYREELEYVGKVTT DELKHNVREELSQLDILSKEFLDYILEQESQNKNPLIITKMFGRFIQPTLSISDDLHVDA FLYKLQFNKLQNVYKQTDQDEITKATDLLMKTLIKIKQIQEDDLFAENEVIQSMGVFIVH LLKQQAYSTPLIKLITGIELLLEKIELWDKTVPKEFKIYGYDLQNIVIKWRKIERDGWKY ILANKIQELWCVESIILFKLLRDLSHNSLKTYLNECDIGVFEIRMIMLRQILQYLNLQQR QLISQHIEQSSIFVETYNQQLGSTVQGYIKEVAEYRKLVSWESKNYIIVQQTSHKMHGKL IKVQGRFRAYLNEKIQKVVIKTQLQDEFTDCVDGFLLKSKQFQQKPQISRSFNSMIQSLI EQSLERVKNLKDQKSNSKLAALKNFQEYISTFLGLKLHQSYKEDNHLLQNEAHPLISKAS FYYYKAISVMEQSVLQIGKFVPPEYNTKSFSLILDYIRKLNHQFKLIRPLIKTDNESISK NVQVVPQDYLNCFKDTDIYDQLITFNKNQDEQSLLKLLAQIESKFHNYSDKYENSYHILK QLHEYLTQIKINDLKYNIENEEKQQLNQEKIQIVYIKYMKNLEQEENLLAGEIKILNSFN KHGIDQSKLNLVIKNLEYSCKFLYFMTSILCNIIVKGFCQKDDDQDENGEDNPENFQAGT GIGEGKGEQNVTKEIEYEEQLLGEQKQQQQQQPEEEEQEKEKEKDKKEDAFDMKNDFDGQ NQEQSKDEENEEQEEEQEKNSMDEGFSSVNEDNEDMKQYQGNEEEEENEDESKSNRKKSD IEFNADEEKDDKKIQAKEEREQKQNNQKRNMKDLNEDSHDIDSQMEEEEQDGDEENEESI EQSGEDLKDYAGKGGEQLESMDEEDVEELDNNKSQNQDQENISMNEEENPNPEEMPIEEE VKFDEPNMEQEAEKQDINEDIMQKQAEELEKQDMDNIEQEQDQNQNQLFKNQEDIENQLN EQAQDQGQQGEEGTQDKDEKDKKEKEQDNKNKKQRESGDDGGDSKVEMIKKLFDAMEQHL TKEDMDNFKKDLNVHDSEQEDIDNEEALQDNDYKVSKDQVQHKRENTTNLPTKFQQNEAQ EVKREGEENKEKGQGKNMDEEKEEKKDQEKENQENQNMNQSNKEFIIPQPIEIENKSLSD IPIEEYIKQYFRLGYDVNADINIQKGLEMWPKLQQEVLESSIHLCEELKSILIATQVSAL KGDYKTGKRLNMKKIIPYIASNYRKDKIWLRRTQPQKRTFQILLALDDSLSMSENQVGYL SLQSLTSLSLALSKMEAGQIGIASIHEGMRLLHDFNKPFTQLDCPFILGQFNFEFESKQS SELSLMRFMRESIDKFKNIRVSNTQQICFIMSDGKFNKKMVRPLVREAEELQIFYVFIIL DREDQSITNIKSTHYVTVNEKQKIEIRNYLEDFPFRYYIIIKSPHELNHVLVNILRQYYQ LLE >CAK85623 pep:novel supercontig:GCA_000165425.1:CT868541:179443:180029:-1 gene:GSPATT00019340001 transcript:CAK85623 MAKSQRSKVMRRWRSLKREHLQNVKVNQDLNELNQKLEATIQNKFQRPTQPKNMFLHPND PSAQIPKYKPQPIVDFRSQSLPYTATQFVGARRKPVQEVVFKPEPEVDEEIEMNDQPQHE QVQHDEADDLINAMEGVRVKSKREVRRENKARAMEEIIPLTKNKQIKKNRRKEQPARKSK KLITF >CAK85624 pep:novel supercontig:GCA_000165425.1:CT868541:180033:181257:-1 gene:GSPATT00019341001 transcript:CAK85624 MAEYQPQAIVQQPALYGAPQTLAGQPQFVRPIMLGNSINQQQIVTNQNLSQSGFYQGGGV LVNQPVLGASAISSNIVSTGQAVKGESRIEYIPYEKTIMEYEEVRRQIQVPVTRQITEYQ AIQYETEYIPQVIQEKVIEYVPVEKVAERVEYQTVTRQNMFQNSVQQVQQTQFQPVVTTQ TYQTATPIVQTVQQPVVTQVTQPVVQKQIIQNVPQTQYTTYQQPITTQYIQQPLSVQNTI PVPVYQTSSPRVRQASQIVQAPAQITTAYPQTPVLYQASQTRVGAPVAQTQPLVYGYQPQ GQQLIAQQGHQAPTQPPQISQLPQQQQVSQVPPTLQQQQQPQQQKPQQQQPVANQQQQKQ DKGFLAKLFD >CAK85625 pep:novel supercontig:GCA_000165425.1:CT868541:182068:183233:1 gene:GSPATT00019342001 transcript:CAK85625 MGNSNKKSEYGDIAIRTEKPFYFAGDLVRGNIFLNICKSGYQGNIIEFTVQGKEKTEWEE GSGDEQRTYKGKNKFYSQSVPIYTFSNQIAQIGQYVFPFQFQLHPNLPGSFHHKNQYDCG SISYNVKAKFTSTQPNKPSIRNKQEFMVREPIKQNVVGQEQESTTNLTECCCNNKGSSRL KSFCDKNHYLPGDTAQLTIEVDNSNCYLNIDYFEIELHQVLILKANYSTTKLARKILTQR IPGIQARSKNVGSESRNVSITLLNQIRPQIELTPTTNGKLVNQQYYLRVNPKFEGCICCS QKPVITFQIVLLYRIPSDYIQPLPQPPDWNPQTFEPVLIDFEHQYQMNIANNNMQQNDPF MSKY >CAK85626 pep:novel supercontig:GCA_000165425.1:CT868541:183264:184352:-1 gene:GSPATT00019343001 transcript:CAK85626 MHQNHIGENTNISIQTYSDQNNFDNNIIAMSISKQKPYDEQNAQLLRIQKVACWNCFKLL IKDLIKVQCNEFCNLDCYKNYKNLYHAQCVNCNQSFDIKNGIMLNRCNFCREKCSEKYNF QIMKIEMKISKNNSQSLKVAKQKKKHTVKKKLLIQILIEIILIYIKLQFLIIEISSINHL KIIDLNHNSKYHLYYQFLIQLLLLLISSFELYCTQWYFYQTNSNSIKKQIYIYQIIYIHK DIIILLYSLYSLQQKKWYFNFRRDFGQNISVKDQNLKVLIFKLQIIEFQSVYLFWILLLQ FVNSLNLNQIGLCVQVNLFCLHLMSRKIQQIEHKTF >CAK85627 pep:novel supercontig:GCA_000165425.1:CT868541:184379:185025:-1 gene:GSPATT00019344001 transcript:CAK85627 MQEEAKKMAEKLEKLKQNLNSQRMERSQISKQSGDQIESVRWQNNTTPNNRILKDRPPSS KSEPIETFLKSIKLDTYVEKFKENGFEELDILFDIQKEQLQSMEIPLGHQIRLIKKIREL KQSVEVNQVVIQNELKYDQLEEPSIDLSFTKFMQKSSLRNEDQNIVKKVTFQQNNNQEED QQIKLFFANPLS >CAK85628 pep:novel supercontig:GCA_000165425.1:CT868541:185093:188758:1 gene:GSPATT00019345001 transcript:CAK85628 MDFDFNEAQNSFQFLQAQNKLPIKMSHKLELLLECINNQEIDTSVFHSLELEECQGFMKL NKQAQKEMFMSIIKQYAYANMIPSAIGEIKGIIDVRTKVLKSWKQKEFKIDSKQRTFEIL RKKNSKKKPRIIYLQYYTVQPQEFKDKRYRFVIIANSEGHGYYKTLVCGSDDKVLYDKVI QALKTISQFKDHVMRGSILLESNDFNQPRAFNNQQSFITTRQDMKLVTQSEIIPQKQNMN IKITKQTVESDIRPIQQQQQQYQQQTLSQNIKQQPNANEQILQNDSTIKQQQYPQNLQQQ QVQQPVIYNQLEQQQQQQQHSQQQQQQQQYQQQQQQSQQQQQYLYQQQSQIQKNQEEQYR LQQDQLIQQQKIDQQRQQYEQQNQQLQQQEQQSKILKQVLSQQENEIPQLKNELKYPQVF QMLFEEGKFNPDYFTQSNKQFTQVYSKDGVQILKDQGNSLSFRSTLTLYNVEIDKVFQCL AEIDFVKKWNAVINPNETKLLNLMQSENCGIIYERHKPYGLLYLPRDFVYLRYVTYRGDD ILIVDKSIENEDAPPYMKVIRGEINYQITEIVNNDNNILVRIETEITNGGLSSVSQDCAI TQWYLSELSHFQQFLKTYSAVQEIIQSPLLQILKSTRPNKQKEIIVIDQEISSIQQQQIV SPQSLQYTSTNQQQSIIEQQSMIIKEVNQPFPVTTAHDEQLKFEQVTENRRITLDQLPII ATVPETAPLIIDNQKEINEIQQYNKDIEDADLSNYTPSDFIQQTLPELSDKEIAQVKQMI KNIEQGLCDYTSNCIFHKYIQEPQKVKDEYITQINGGHYFLRQDWKRDMKHGGMIFYNQK KIEAQKRVIKFMLKSIGSNLLSGKSILNISLPVEVFESRSNLERFCYSFTFAPQILEKAA KIDNIHEQMKYTIAFGCSSIIMYMSLEKPFNPILGETYQGFINGCPVYAEQVSHHPPISA FQLKGRGYDIDGHIESAASMHANSVTGKNIGFIRVTYHNTKSKQIFIQCPGVLTGTAFGT RVFNINGRSYTIDLENNLIADMAFNPGSKNVFNKKDLLQDQFIGYLYKVKPGVLNKYKKE GYAKYSGIDFDKDVEQRFFSINGIWNQMVEFDGVRLFDTLEMNPHVMVNHPCPLPSDSNF RLDILYWKLRDFDNSQRTKEIYEVKQRNDRKWREKLTGKKH >CAK85629 pep:novel supercontig:GCA_000165425.1:CT868541:188918:194523:1 gene:GSPATT00019346001 transcript:CAK85629 MLSGLCFQKQKNFKKAEEFYLYTLEKRPEDPKILNALFKLNKDDIKNKEKAIEYGSKLIT IYKKTDIGKAMEAIVGYCEILHEMQERKKLDTYFLELLKHGSVEQVLKVAKLYIPLIIDL KLIDVTQPKSFNSIISQFSQKIYNQTQNFEIIENIARKVVSKFPVNYRDIIFGLAISKEH YVVLFDWLELLPSSCFLLDQVLRYCHCFLDYKIKFLLEQSIILLNDANGESTYLNYKIYD EEQYNKTILKAQSFLAFMRIYASQIVQQCGDQFLSAIDKLLQQNNFDIIEKNKDYTILEL PLKVAQLFKSGNKENTQLANTLMVDFNKKMKQLKNSDFDVSVLEEVGANLGYDILADPVE LMEMKNLLKIRIKNKAYSLETYEKYFDYQMKLVSLIRHYDEELSMQLIFEKILSDDPQNL KVQINYDWLKFSENKISQSQLIEIYQKYLPAPPKIEQIIYKRIGTLKLINNQINEAKACF EKIQGDDYEASLALGMIAFYQKQYSESLEHLYRAFNYCQTNYHLNQIIMFVLNKLKQKDK ALEFAINIYKLQQFQNVYWLSFTIGQHLMQNVQFGKAHDILQKAADQFHFNVEKTYKLYY EKKFDQGDFSIPYFINQNDNLYLSDYPVFSSNYLVNQGMIVLTEQYFQDEKYLKLFKLEM KLAELKKILGLQGSALKSLEKAEELLLSENNNQISELDNYLTMKCDETTNKGKLIKFTQQ LNQIQIQSRWVCTLRAKKIGQQYSKLLILHNFECAQLQLYGQQEYFSQERMIQGLLMSIH NFDDQEIQILIKSYLCFVFEIATQQIKNQLVYGADGVQLLAQKYLSLFELISSQLQLNVY ACECLREFFYLLGIYHDAQYFSQSLKYSQIVIKLLCQVDIADQFDLQETFQHMRSILNGQ DKKQLIVKNNLQSLLLTRSRLNLDTIKYLELSLSLFPKCDSLYCIAAQIRRNPGFILKAL ECNQKCQAALYQLGLLYIGRQNYEFAFQTLQKCIEVEPTTSAFAYLALSIILFIYLQQNY NIELPDCNKVKQLDSLNFYQNQLTQAISSYLDYVSTLQNTPIQNILSIIYRNLYLGYTIT SEAILHDQKFKQLLKQFPPEYTSILYCEIPYIQNSTELENIYNKYTSIVQIKEEIKLVIT QMNQYENINNNQVQWAAKLKYLIHLLTLSIDKVSNLQDLIGVTNNVFDMLVKNIIQLRQA TNIFNFDVLFMSFVNKWYHYTRTIYLKDNSHKDYYLFVAKFQQQCLKLKELNEAQLINYI DQLMDKNTKITEELKHKLQFMELIYQGNWQEAITHLQLCIFHNPLKRVYRDILNKIHMTF YEQKYVQQDILDFRKTNQASLWLNTIINELVLERSRNISRLPLMLKIIKFKILPYADNLI AKLLVKVLCDIEQQISTEGLLDQIAKKFNIDKEKYWIKALIQKQLNEKQNVNQLILKYRD VYLEQFEDQIQWKKTALFFIPILTQLYFDRGSQGMETILQQIMQNYCLYAQQFLPKDDKL KKARFIYNMLHYGCYIKKLDDIESIGVTLTNLKEIADEFANQGTYNPTSLSVYLHYLVAT EKYQELDKWLEITNQYIYNNDPIITWGQIIRSYHQVEEKKDINTLKKFITLVQQQSIQNP KLQSQMHYLIGQAMMNVNDWQQKYSKEEVLKKFQLALLLNPNREIIKQLQPLQTVRQIKQ KVKLRLEYFEDVQQPKRKLKKKVLEGLNSDSDEALVDQMDYEEDQKEFQQEHGMTLEEHQ QITESVIIEQQKALAEYNQQVESYNEQLMYIYEQQQYLDSLGQEEQQRLIEYYTQNYTQL SYEQQCQYMILQGYQNEQIVEYFYHQQQQSQESEQQQEQQKQQQ >CAK85630 pep:novel supercontig:GCA_000165425.1:CT868541:194873:195896:-1 gene:GSPATT00019347001 transcript:CAK85630 MATPQSLSPAPLQSLGDFTAKKLIEDDFSTGKATLKVKASANGGGIANYKGTLDIAKSLA PGQETKFQFPYNNYYFWFATRKEQTKVHVDFGKFNIVKQQNLFANVQFYNSSSKSTIRFG SVYEGQKCLSHARFEWLNQDKVNFLLRSQVKHNNWVYVIASQVCLSKQEFDKLDFLVGYQ TQKYDVYFRHLTNPKPSFPLFSNFGFGKFLVDAIIRKNSNQYGFEIEYIPVSSVSILLAA STKFQGADVKARLNVLNKTVGLSAKGKFNNTFSWTLATVVPIDGTCPAKCGILPVPLGIT LETTI >CAK85631 pep:novel supercontig:GCA_000165425.1:CT868541:195977:196391:-1 gene:GSPATT00019348001 transcript:CAK85631 MGCLEFWVVNSFLAALAFFILFLVVDAKTPFYPYAVAGGKSRDYSKSATACIVAAALYIF VGLGLLLWQKSREHRMEKTRQYQSYTKQRTSFDDRIRTQSSIKGPQLEL >CAK85632 pep:novel supercontig:GCA_000165425.1:CT868541:196403:199870:1 gene:GSPATT00019349001 transcript:CAK85632 MKSTFTRSAQRSLEEQPVSSQFKASSQLHAALLSEYKRPENSSMPKVTLTSTGKEAVRQA RLEKEIQKFEYTLQSEVASLPKHPAPIYREQTKLLIERQKDSRRDKHLKFCDEFEKWVNR LNKNLEEAYKDIKRDITDFFSESDQQITNYYQTLTDEALLKREIDFVESIHSTVNAHREK RETKVNALDKRLEDLEKERFRSLELFCNRFQEGLIDVAFQLEPEITAHVDTFREEFRKVV AEKRAENQVYVQEVNRQHQETFKLYDETQIQKEQRWRDLKHQHYIEEFNVDIQKLEYVYP DERTQLYDKFRKTQKDIFRERKQLLEKLNSIELAALTKSIVEKWIDETKSYNELASQTID VCCNNLLEETKKTHAHCMQRFELIKTQLLYARAKSEEELNQLLDEQFLPQVNCLNQSARD LMKQAINYYERIDQQQTDIITNFGQFFMKIAQKNDEYKTEMQLLMHNYEIQKAQAADKND EVLEELTKKLKEDKQKLTEALHHPRLEECLAQCYKDLDLFGEEYERFHQDNLAIANQHPN IIRNKHQLFEKSVLSIFELVDPQKEQFLQEKYRRIAEIKIKYLIAKEEYNKKIEEEKLIE EAKNKKGGKPPATKPKDPKKFQQELEDRMKQLLKEQPPQEVAKYQSPLDQQFVQFRSTNE IAERMYIPPDEMEQVVAKEKSETDEIESYLQQQNAPKDPKAKPAAPPPIELPNYAPEEDV PKKSVDFAEPPPINVLNQAVLEKDAIITIEYLSSLLNQTKQKLFDYITSCLNFQNQQANV SDHEFIKQSEKQRNERQAQIDPQKQEIKNTIYVLRSQQITMHKKRYERYAKQLVERIDDQ TEQINFLLEGGLLDMKDYIQEQQTLKNNLQTATTLAKLQGIQNTVKENYFKFGEKINDLE QKLSYLANQELDVLLQKNKDFMRDCKPNEYFKEELEWYQQMMDEHNATIVKHKEKRTQRL EEIKKVLTAKRQEQLDKFEQEYIIAVEDLAAKDGTGKKYGRPKRIAQEKLRTEMTKCEKA QECINFKIQELKDHYDLFKKKQGDYFANLEPSFSIKVRKILSGTLVCIKRYAAHIEALKP DNKVVDMPRTTWKENKFDTILENEEQQDGQKDDRK >CAK85633 pep:novel supercontig:GCA_000165425.1:CT868541:199881:200991:1 gene:GSPATT00019350001 transcript:CAK85633 MGPLFYAEKKLQESLVEIEKIIKEEAIKIYADKQKFLTGADKIPDYLRAYIENMQRNMNE FRIQSIRELRNACEELSEMSPNISEMILFSNHQRWIFTLQMINSNLWNELQQEQSKQENL KKTHQKQLRPNLGNPQLKEELDDLKSRESKRLSDFLELLSKYQVTLFDENFNQGDKYLKA ASQNFEFLILLNYPGDEQIQKKHQNLKVSLRQKQSGQIIDTNSERSLSKTWEGVQLEMFT IGDRIIQYNWQINQVIKVDPKDAKNKAPEAKKPAAGGKQQEIEKPVQTSTTPLKSFKTFR QISAKHEFFRSVNEFVIEYKKQVDYTKDQIKLLSERELKFQFKWEQSIASL >CAK85634 pep:novel supercontig:GCA_000165425.1:CT868541:201018:202332:1 gene:GSPATT00019351001 transcript:CAK85634 MLFPTEPYIKKKFFYKNMLADNSKIDFLNQYKVLQQLKDNHNGNNRNQFHTQVTQVSLYK PMFSIHSPQTSQTINKSQRPGFSSVRRFHTHNSQQTLLSRHPIDTPKLESRKERRAPLHK RKLVLKQPSLEISDYLEYLKSQKQSNTSLKYSDLLKEETPIVTMNQQKQQTYRKQKEEQG NHLQLLYQCTNAVSRIQDESNFQDIINSCTHQYPNLKHTIDQLMQDIEVASLIYTSPSQL GATLGRINGVDYKIFYLLFRPQIEYPESNQLYSVDEELCRKYKFLRPTKYDVYYRIFGAG DLPLEFQDQLGQPEPQIRKHKIRLSEYQFAQQNKHIIPSIDYDFVKKISYYQKNLQLFLK QKSGDILTNLKQSMMDEEKTNGNMQRHTILSKLMDSENQIGHFPLVTRQNRYLFEKVEYD QRLLNKQKN >CAK85635 pep:novel supercontig:GCA_000165425.1:CT868541:202902:203528:-1 gene:GSPATT00019352001 transcript:CAK85635 MNKLIIVVLLGLASAQLQSVQTLNVTQYLGNWYEVASSPWVHLTFEKDAYCTRATYGVQS DGNLSVLNMERYGSPSGEIKEITGYAYIPNPEQPGQLKVHLDGAPFEYADYWIVQLGPVK NEQYQWAIVSEPSKFFMWVLARDPVEYNLLYKTQVQNTVTNTLQFDGRFNQYVARPWTGC VPYSDSQ >CAK85636 pep:novel supercontig:GCA_000165425.1:CT868541:203641:208877:-1 gene:GSPATT00019353001 transcript:CAK85636 MLQEIELTLDQILNQIDKHDDELDFSEEGGLVRIVAFDQLKLDLRKKIGIINLSKNRLKM IEEVNQFYNLRTLDASNNIIEDVKLKTQSLQMLNLQHNRLKRFPDCGPLSQLKYIDISHN SITTIQNNDIKQFSKNLEVLLINDNLIKFAHGYDIKNILEELKQLKIQTLNILRNPFLKR QPLIETAFAVTLSGILKTLNTKSIDLFVPDANFNIEEVLGDVEFIDSEPDDEDQEYAKQL CEKITKCINDPQAIVPCLRRIDDLVNRIIVQPNKADLCFLDKDPKKQQQIAEYIDSFLQN CFLFAQNQQSYVEQILKALAQLAIVRCLEIGRRCFQMVVEIVRSGTGMALIARNIIQKYS IPLLQQQKELEYVPTFVMEGILRTVEERDDEEMVPIKLAQFNFVDWLDQIMANYMKDMDN LREEQQQRDVLFLNFMAQLAEFQKFANEYHYRGIISKSLVLFKLLLKSELDEQYIGILYL INNSMKRSQICTKEVLESRQTACNFIKDVEDELRKYLFMFKKFRNSLLNPETKENKDTIL LRYEKVGILIQVYGTIWKSDNIVQQQIKESFLVNELLQMATIPAIHPYLINAVAIYIRKL LKNKVIKVQQPGVDNNEIVKYICLKTHNCELLLHYLGGDYYVLMMKQLDPTIQKLTKNLS SLLNVKVHEVFRSIIKLLKFFSKNAIGYDSPISDICMEVSKNLDNNGRDEALFIVLATPS DMVRLAVVQCLLTIKVSQLDSKEIGKLVTLLGSYRNLGAGKTESVVANIMMILTKIVVGE KSSSREDFVTVFSQNATLYALDILERNQERDLLELGEDNSEYQEKVELSFGTNNFLKSLH CEPLLRENLKGLYQAQCMKVSLLNEDSLLTPETLDIDIERSFIGKQNTYLISVLFNRDAL LPYKKVSDRILIRIAENLEMRPEDDVEDLSKVKNPGLYDFKEIQKIWKKTALDRRSKQTE IWDAMKTSADPFLIVENQEDSVYHISQHQLFYDQEGAINLLGFLVGRNQSAKSLSLKEYF LETFGNQLIFERMLNSINFYIREQVHEYCDSLNKQQEVKKLSTTRMNEKLTTIFQGLPMP TDPLRGFIKQKPYFNPNKIIHFPMFTKKALSITQEHITYTGIKFEERTITVCAYLRIVYA LLGYCPQDQNKPNVQRQKMAEQLGQRAEYIRELTLICQWADWHNGNIGAKYLKIMNVILE YSFCAKEKSFIISFFVIAKAVRDMLDIISVKLQNEDKFPLTYDDLVLIKETCECMSKITS NLTKISYEDKIMETYEKDYLEDFQKLRIEERNQIATLINRQIDEYILLNLLPLQGFTIFL EIIVWDMQSEKSLLAAVSLDEKFFKIKSQTRSSLIKLVGLYIVKCKSLKFQILSVLTKME VFNKKFIRQSFIQEILEDLFAFDYQLKLQSGLLNRSILIKNKDDEEQQGNQRPDYYVEHF IKCYYQTQNGLNLPSLVVVTSKYFILCEADEEISKKANPNIQFENPKLLMEFTSLKLIFK INKSQIEGCYKMEGDQRICVLYSVTDQPPPFKDEDSLEVAIIFFPKIISFMKFQIALNNI KFSDDTISQGALLYISNGIQPRCTMWAVVNPEKPIFSKFKEFHKKRMLIHNDGTQIRIFT ENLEDWFFLKPDLMLEQTVNKKYAKLTSETQKKQASEQNYFKLKGTYDLSKMTLLEIDQT LHNQLNLQFGSQNVLRLLFGCDRAREIFKFSLLKSIEVKKFQINNMK >CAK85637 pep:novel supercontig:GCA_000165425.1:CT868541:208918:210225:-1 gene:GSPATT00019354001 transcript:CAK85637 MDWEIIRPKIATDYKRQCSEFSKPVNEAIVRTYQTKANDIDLIFRGNYKLNFNQRMLDQD LILLLSCLQNYKENIQHIDLSFNEITNVGVQELSKFLSGCNSLESLNLQSNKIGVEGGEL IAEGIKDIQTLTHLNLNFNNLQTKGAMSIVEMLFNNKSIVELNLANNGIDHDGVIAITSI LNWKNNTLQILNLDNPVYHSIGQETAIHFGKMFHSNRGLEKISLQKHNFTCDAIYIITEH LLENNKLRVLDLTANRISFKGCEAIAKYLCGEYCVLESLILTSNRTGHYGAKAISQALSK NRTLIHLDMVRNDIDDNGLKMIAESLETNDMLVSLKLYQNHFGQMALQAFHKYRMKNNKK QAERFWDFHTYIVDNHIEMAYVEQNIPYDIQVSSQYFIN >CAK85638 pep:novel supercontig:GCA_000165425.1:CT868541:210237:210565:1 gene:GSPATT00019355001 transcript:CAK85638 MQQQLNSQVILDRNQEFKGVEFQFNSRKIVVENIDSLEPLSKYIDADKLNEILNYKIEDS KKGEEGMKLNSHIKYYDFSRPPTGIPKKAFRAYSAIAKKKK >CAK85639 pep:novel supercontig:GCA_000165425.1:CT868541:210776:211048:1 gene:GSPATT00019356001 transcript:CAK85639 MTNSRVHAIDSLKPQSLIHMESQEQIQDNQIVICKTNPNIKSILKKQEGQQKEKKQLQFK DDRNKIYVVENWKIYNTPFDEDDSCCCLIQ >CAK85640 pep:novel supercontig:GCA_000165425.1:CT868541:211088:215038:-1 gene:GSPATT00019357001 transcript:CAK85640 MQQQKVLFGNKQSLYLSYVCFQGTKYRLYSTSEFNYVVKVYDNGQEKYLNTELQAVHIFK DYGNINIAKSFEGDLQQNIKVIVFEKAEVLQNILKDSQKGLEEPQVLKILLDLSKGLKQC HSLGITHRDIRPENILIGIDKQAKLWNFQRCFFQQYDHLPNEYIPKIKEEIEANTFEHVR APEQKDFQQRWPITKKVDIYALGQLMYYILFKVRYDQNPNWEKNPKWGLYSNKLQELIRL LLIPNPKERLSAEQIEEYIHTYLINQNPMSSQIHIRSLSTNEKMNREILTQRNENYKSNQ LELIDFQHPSSSSLSTKFVKLVSKVAQKTDFWVAACLEEVDAAPNQKYFRYLHVKAWQKK AKIPKFYEKLFNRLQLNSVIITFKTLQLLHNYLKKGPQEALLVNNQNSPLSILEKIKMFQ ETSITKPSKDKFRSQFFTNLLYSYSVILIEKVKFHKYYLKYFEGNFAMVPFFNHINSRDK LKLSIAILNNLINLWKQINIFINSFQFQKSTLFNLQLGFAITMADELYNILSTCTHIYYA LKQSTNYITGMPSQNNEIKQAFIQFEDEYQNNFYSTSQFFQSCKQIPQFYQIIPDPPRSV IDTLKKVPIFQQIKGEFNIYDYLSYSMSIDGIKIPQSYGEIMSTQVIEYDDEVVELDPRN EKFATQYPIRPVLSVQTNAERIKKFSFDVDTSVNGSNNNSNVISQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQLQQQEE LQILKQQQENQSSLNKNVEQKKKQTKQQQQGSYLYQGLDFSDEDEVHQNEDETEQFPNKP NEQLQNNIRAPLVNQNVKLTKELLNDEEFLNWGQKHNGTSNQNGLLQNQRIQSANSQPIN HNYFDQFNQLNEKKISQQLQQQQQQQQQQQQQQQQQQQQNNFQVVDYFQKQGKNVQEWMI SHDQLRLERLIGTGSSCEVYKGYWRGGEVAIKKMKIKSLNENHLKEFRREISAFVTIQKH NNLVQLMGISQKDDELYIVTEFCAGGTLFDLLHRKKHLDISWQNRVKIAWQIAEGMLHLH KLNPPLIHRDLKSLNLLLEQTYDQTKVNIKIADFGLARVQADNGEIMTGILGTFHWMAPE VFQNVPYTIKADVYSYAIVLWEICCRETPYKQLSTNPPAIMKLVTVDHGRPDLNLIQLGC PSFLKDLMIKCWDQDPNKRPSFQEITQYLRAQL >CAK85641 pep:novel supercontig:GCA_000165425.1:CT868541:215971:216626:1 gene:GSPATT00019358001 transcript:CAK85641 MFRIGRIFPISVPPAHPNALTRVFFDVEVSGNPLGRIVFQLFDNIAPKTATNFLRIAQGV QVDGKKLHYQDTQIHKILPFRGIWGGALGGSIYGKTFPDENYRIKHDRVGLLTTSNPKIN SNDAGFIITLGPAEWLDKKSVAFGEVIYGLQHVRAIEKLGGLSGAPKKSVVIKQSGVITE HEYHKYDIVEEPNIWNLQSHH >CAK85642 pep:novel supercontig:GCA_000165425.1:CT868541:216651:216914:-1 gene:GSPATT00019359001 transcript:CAK85642 MGCGSSDVRENNNSNENKSKGESKFKKEHESKQNDQNQVDGYYREEKQKKERMLTLPRED RKFNEDGHNLDQNKEKKQANKPTKAAF >CAK85643 pep:novel supercontig:GCA_000165425.1:CT868541:217331:221554:-1 gene:GSPATT00019360001 transcript:CAK85643 MFQDEILADKHLILEGIIKDFQPQKVNEYQQFNDIEELLKGTPNIEVEQQCNQSKVSIQP ETQVEKQYTNEEIDKLLHQITSGEYPSSNRESIKQTEQNKQIPYILDYVDQLEKEPYQVI QKQNDDSDLIQHYENQKQANNTFQEVKMDRKLKDLYSSIFQNDFRIKCITCFSSTIAIGT TKGFIYLISDKIVKLESDPSITVCPIICLRFNEDGQYLLAVSQQQILLYNKNKFCKAFQK MTEGIPITMTMLNGNKDFFCQFLVCDSLGKVNLMKIRKNLFTYGIERYPLFQDCAFGVCH CCESIKIKDIQTVAFVYKAVVFVAIVEPKIFLIFKKKYEVKKRQLLCAKWQIESENPVLA VSNGKVIEIIKMNYENKEGKFITTFEVILTYNLNDDVQFLSWMSDNILFLKNDRKKYSLI AISEITENNVLVVQSQLSQDVADQQIGEDKIQSFQNTICQNHNQLYYIQIDENGHQQQNI IHYQLITWQDYIEQLTSNHKWTECMGLCLYLYLGKQLKFAGLPKTGRQEFLRPYFNERLK QFVEISISHQTIGVLIPTIIEFMVTIESYEYLFREIKSILQKHNLELQFYNSLEPFILKN SIKFIPDDSLKDIMIYLINQQKKLNILKQLIINLDIKLLDPIIIQQLCIENNLFTLLIYI SPRVDEDYKTPLFKMYAVYRQLIKGDQMSLEKYYKSIQVNLSENQSTIEKEIQLLGYKCL WNLHMLLIGELFPQQKIPENQWASIIAEILSWLLQYDTLEEFLKIDSSIFLNELLIIFTN KEKYEQLEQHKITIANEQLQVDDLSLSEIVILLLEASSKKLNLLDQLSTFVYEIMKLGYP IKYEHFLNVVKCHFKDPYTNLQTLVEKSNHRFQHLEYFKQPDFNIQNKNIRDSVFVSYIN QFKDKLMNDQEAISTIIQEASCSELQSVRVKAQIYFIKDQWQFCLQNLLTSNNEDDKIFI FDYIEQMINVNQYSQLRTEILKYVLSIVPQLVSICAEKLRQILQYYNEEDYKAAIEMLDS HPNLKLKLLSEIIQEKRNNKYLVEDKLMISFFKLICQYHPKDAYEQLQYGDFPQDECLRL CEDFQIMKGVAFLKERSGRYMEALNLYFDIISVELKKMQVIEYDQQSQIEQIQILILPCL KICRDNHSNEEESTSFWFTLVIRMVQLREDFKYNKNIFKSLNNVYQVMLEELLERVHLYQ IIMNIKILFGSFTHLEELRRTFSKLLSSCSFELYNQQQLIRVLQMDYMKNLMSLFELQQI GVNFSPSCQTCFEIRNQYMLAFMGCSHLYHHGCAPKIQNMIVCEQCLTQNSYKLRIQLDS IVQKNGRQMPTIKMKNQEQIEDEEMTKEEIRMNRINKWKRHDIENEISEQQYY >CAK85644 pep:novel supercontig:GCA_000165425.1:CT868541:221750:223282:-1 gene:GSPATT00019361001 transcript:CAK85644 MNKYEVLGVVGEGAYGVVLKCKNKETNEIVAIKKFKETEENEIVKKSIQREVKVLRLLRH ANIVELKEAFKRQKGRIYLVFEYVERNLLEVLEASPSGLEPLYIKRIIFQLLKAIYCCHQ NDIVHRDIKPENLLISSNHQLKLCDFGFARSLTASTQDLTDYVATRWYRAPELLLSYSIY DKGVDMWAIGCLLCELTDGNPLFPGENEMDQLYLIQKMLGPLTQSQQETFSKNPRFLGMK FPEISKPETLEQRYLCKLPKKAINFVKGLLKMEPAERLTCKQALRHQYFEDLPEAVEFMR ELEMQNDQEKRQVSAGVHRTAASPNTQQNVIRTKTSFKVPNYLGNQLNMQNTAYNYNIQG QQQEKDGKQMKKAVINHPKIQQSIEKLVPGFKEQKLNMNSDTNKMKASGQSQFSQQQLQM QQSIKIQNLNIIYNSNTYNQFQKKGALTKK >CAK85645 pep:novel supercontig:GCA_000165425.1:CT868541:223290:224090:1 gene:GSPATT00019362001 transcript:CAK85645 MNNSKCQSCNKNIAILNCMTCSLIMCYFCDEKLHADKENHITTTLPFASQHPTQSNQSHL NQTIHQKRLELQELKDKEQKMAKIYQEKMLHAQKKYEQQINALEERLQSASQFMNQVQDQ VEEIDVDKMQNELEGLDKSLKLDIKKAEQEQAILLEKSKNSDQLISKLQKATEIEHKQIL KMNEVLAVFKACSEQLQKEKDLLMLDNEKLVGEVEIFAKFMAENGPLLEEIGKVQNEQQQ QQQQQQQ >CAK85646 pep:novel supercontig:GCA_000165425.1:CT868541:224820:225643:1 gene:GSPATT00019363001 transcript:CAK85646 MSSQSTYQAQLNSVLDLKYVFLMKPRCKESADTKIAIDYSPIYSEVLGCKQNTVPIFSND GEPSQPGFILQIDQPVHLANSIHYRSQFDKKLQEVQEKNKDLFIPKPRGTKIYCNVCKQS IEDYLQHTESKNHKLKFRKNKVINLIHSMADEFQKNRSMPQNTPTLHSDSDRCGYFSSIQ EDSVEHQDSTQQEPHTIKKIKLNEDVSAFNKMGNSLVHSSNQSFNDKYGRQCL >CAK85647 pep:novel supercontig:GCA_000165425.1:CT868541:225695:225982:-1 gene:GSPATT00019364001 transcript:CAK85647 MGNEQLIIERQLYAVCCLLLALYTKNEQINSAQQFQFTEKDVTVSLNRFYNVWQFIKLSP QINSLEACMQRHKHLNPRDIQLNIPNSEFITQFLG >CAK85648 pep:novel supercontig:GCA_000165425.1:CT868541:226103:226360:-1 gene:GSPATT00019365001 transcript:CAK85648 MDEKLLLSIIYIDLALSSMKIRRINLQLIGVTSLKLAEYYVQGILKQENCNAYAYITADE YNEKQIINMEQQIL >CAK85649 pep:novel supercontig:GCA_000165425.1:CT868541:226391:227923:-1 gene:GSPATT00019366001 transcript:CAK85649 MNYIIKYLIYYIQFNYISQSIFIRISQLQIGNSIKIEIQQICINKLLLISTVIFFNYIIK YQQQCSQKKEFENHKGKENILIQTSSNKKAEYQVKHRLLLPKDLLYKLFLFLTPKEINNL IRTLNHQYLQLINQQFQNYYNRFNTLLFHDLYQKLKFLQERRSKGKLYQVCNRLTGQCFL LRELDTIKAKANHDDGVQTSVLREISYVRSLKCHENIVSIVQVKIKNHIVSILYEYYTLN LREQFKRTNLSIEQIKSLFLQICRGVEYLHQNHILHQNLKPDNIFINKNLVKISDFGMSK METFPIIPYTPKDPKERERIKEKDLIEKKQYYSCEIDTEMALRSPLNIYLRYSNFLDHLM KETGIQSQAMSKLNSKYNLYINELKKNEIVDIIQNRKQIYEKLLILNTILGKEGVDLLQK LLCIKPEDRLDIIEIIQHPFLNAVSQNAQIYYTEPKFKEYLKFQTEINQTMRSTLID >CAK85650 pep:novel supercontig:GCA_000165425.1:CT868541:227942:229113:-1 gene:GSPATT00019367001 transcript:CAK85650 MRLSYISRLQSQGVEVYKPQEGEYVIPNRVRSILQSKFYKRPKSALKGVKQQTQKSESFW VSPLKNKDAPEVIHRNKSQPKKNFYVISVNEITKKKRNSSITPSKLKINHQIDTSQEQIE KNIIQGISKSLTNKYSTPKCRVFTEPEQFDNNNDSFLDVLQYKTGIQILKESLLSIYQTL PFELNITQQRELVRRIVLEETHLIEKKNQQTQEWVNKMSPILKKQLMEDKTFWYPSTKLN NNIIKKDINQIFGIGSSRLLQPGEEASIIELMIQILESNQNILKILKTDIQDICKQILQF QQLEYIQQYPQCMKQILYHWQLFVTRLLCIQVQKDNNQITSQDLLVLCKRNHALDFRQDK QSTLATMLLNYLQN >CAK85651 pep:novel supercontig:GCA_000165425.1:CT868541:229471:231221:-1 gene:GSPATT00019368001 transcript:CAK85651 MDSKSPISVYFSNNNQASVISFRNDPNDDAIYYMIQVKNKTSDVWQLEKRFSQFEDLAKK LKVLFGEQLPSLPKKKYVTFLVGKTPEDIEKRKAGLDEFIQNLASRPEVVASEPLKQFLE IEKNAKEIIVNPPKQIFEFKGFLHGIRDFTISSEQGLMFVITSDCSVINRLDAYLTNMKA PWESEKGDQALIPVGCVECWLQQESGEFSRLWVKMYNSQAITCYWDAAASVLLVGLDSGS INYLYVPEKEGFKKFTESLEIEQHTDRVMGLYYDSKRKYLHSISKDRKYRVLNLRKGELV ADIEPDQYELTCLLGSEERKKTFVGDRHGEIFIYDITKKRPKLVIKIPTNQLFIRGFFID NQRNYLFSISHENGVILIIDIQLPGREQYSKEVAQLNGKSKSREIGWSAKRGEIYVGNID GTVTIWDARKSQQLYVLKAHDSDITKLQWLDADQTLLTAAKDKVIKVWSMPQHWRDPKIV EKEIEQDQQQAKQQNVEQQYKKAQQLFDQGSQDDDEDDMAVWHKDQQRHSDTKYEDIVEL K >CAK85652 pep:novel supercontig:GCA_000165425.1:CT868541:231312:233363:-1 gene:GSPATT00019369001 transcript:CAK85652 MDDEGFESAFIETLITQQDKQPTFIITSLPTPNPIVYQADNRGLTNDIKDIEKSYKCIYK KQYGSKVSNQHFKQLNLKDIQTPTITQPQQLPLFDSNFTSGNLAAVYQVDKFEYDLLLQE DINATSYSQWFYFRVTNPNEYKCRINIINMVTYNIKQQSKNYLQYQNGFGVYIKYDSHWE ILKSQVLIKQSQYVKSNYCKTTSTKLYTLSFWINKSSKSYEIALGIPYTHLDLDKYLIKF SSEILANTITGLPIRMVQFGSKRSDVILVIARQHPGETVSSHVCQGFLEALSNDKTLQLF YNIIVIPMVNPDGVKQGNFRCDLSGKDLNRVWQNPRLKYHQQIIQIRSLIDKILSEGRDI KTFIDLHGHSRKLGTFMYACRNSEDPIECRVLPRIMAENSKYFDFNSCTFNLKSYKLKTA RAFVFNQVQKHKPNSFHNIVTLETSFFGYKYDNKFIQYSQNDLVQIGMDLHQSLKIYHMQ LEQKTRIYQDLELNKKYYQQIDDNQVSDDSDSEPEIGIVPDENIQLQTILTKKSTKLLGD IPKTSALKKRTLKKTATNPFLVGQSNKNLRFHNLTRDSKSQKDINQTLQIQQSLSPNIIN FYKINRNLSSHNTDHFHMHSNSGDPYESVQSCRLLRQSTTRTPQEFKLKSEQRGQFHSPN DKLIVFEQNLKIKRKNTQKIKLF >CAK85653 pep:novel supercontig:GCA_000165425.1:CT868541:233428:234560:1 gene:GSPATT00019370001 transcript:CAK85653 MKATLAILLLISIASATSTHDQIMALLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKTLNQKLFQQSVENRVLFEQELQDTKNYLAWNEQRQDEITR KINVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQEKSTSVAQK LKAYSNLFNDEEIKTFLSLAQTKQEDGVSRGATLAEKVLAVLESLEANLQASLEALEVNE INASWELAGWVSLSEAEIATLKVEYERKQVFADRLATQIQAALAQQAKSKIILQESQDAL DQAQSDLESKRADYAQAKAKRDEENAILEQVIIMFKKQVASWSGR >CAK85654 pep:novel supercontig:GCA_000165425.1:CT868541:234648:235794:-1 gene:GSPATT00019371001 transcript:CAK85654 METKKVKLADYDIMNTLGTGSFGRVRLAKQKSNNKYVALKMLKKIEILRLKQVDHIISEF NILKQVKHPFLIEMSGYTQDERYLYFVLEYIQGGELFTYLRNAGTVQNEEAQFYSAQVVL MFEYLHTKNIVYRDLKPENLLVQSDGYLKLTDFGFAKVVEDRTYTLCGTPEYLAPEILLN KGHSKPVDWWCLGIFLYEMLAGIDPFNDEDPMAIYQKILKGKVKYPRNFDNEAKSLVKHL LEQDVTKRFGNLKNGVDDIKQHKWYETLNWKDLFAKKLKPQYIPVIQSDYDTSNFATYPD STELPDSIKQQDDPFKDW >CAK85655 pep:novel supercontig:GCA_000165425.1:CT868541:235820:236400:1 gene:GSPATT00019372001 transcript:CAK85655 MINFILMVNKQGQTRLAQYFQFLSVKERMTLEGELIRKCLSRNETQCSFLEHRGYKIIYR RYASLYFIIGMDLEEENEMAYLEFIHNLVETLDKYFENVCELDIMFNIEKAHYILEEMVM NGQIVETNKTQILAPIHVLDKAKDE >CAK85656 pep:novel supercontig:GCA_000165425.1:CT868541:237263:237856:-1 gene:GSPATT00019373001 transcript:CAK85656 MTNHQIQNKYCNYPKFKKKKIDQQKCKKIEMEQLPKATVQQFIKSSTNGDMKCSNEFVTQ VMSMAREYIQLVSDQANTICLEQGKKTISGEFFYKAISKLKLDSQIPHLKEIEEEIKEEV NVKNQNRARFQDEEHLKQLEEQQKQLYEKAKLENSKSMIDDEEHGKSRKLNIQFEQDDED QQ >CAK85657 pep:novel supercontig:GCA_000165425.1:CT868541:238780:240338:-1 gene:GSPATT00019374001 transcript:CAK85657 MSKISQEAFEYLNKLRQNPKLAITKLQEHLKLFKGNILQKPGEIPLQTNEGPKVVNECIS FLQNQKPLGPLTWSKGLECAARDHVKDTGPKGVTGHTGTDGSSMSDRIERYGEWDVTVGE NISYGQTTGEDVIIQLIIDDGVSSRGHRKNCFKPEFGVVGIYDGEHKQYKTQCVFDFAGS FEDKPGLDANAPSQSISQQQPEQQQNRQPDAPPAKPQVKDPDDKITQDAFDYLNQVRQNP TLPIPKLQNLIKLFKGSVLYKPGEVPLQTNEGTKVIQELIGFLQKQQPLHPLTYEKGLEQ ACADHVKDTGPKGVCGHTGTDGSSLSDRIERYGEWNGKIGENISYGQKTGEDVIIQLLID DGVGSRGHRKNCFGQDFNLVGIATGDHKTYQTQSVFDFATEFTPQGQQPKQSSNNQAKTV ELGGKGGHVGAAPQQEDEEEEQLPPGCVSVSTSSAVSMKGGQKVTKITKTYKFKDGSTKT AIQTITEG >CAK85658 pep:novel supercontig:GCA_000165425.1:CT868541:240416:240700:1 gene:GSPATT00019375001 transcript:CAK85658 MVKNVAQESVNQKLQLVVRSGKITMGYKQTLKAIRNGTAKLVFISNNCPTIRKTQIEYYA MLAQITIVLYQGNNVDLGTGVQKESLFFFD >CAK85659 pep:novel supercontig:GCA_000165425.1:CT868541:240863:242056:-1 gene:GSPATT00019377001 transcript:CAK85659 MDQKEKTDIKIKSKSSQDQIAQYLVKAVLFLRDRDNKSESVRLFGAGSAIPNAILVAEIV RARFKGLSSIATFENMQKSIEVNENGQTKKIQIAIPAIRIKLTCNPTQEELNQPGYQEPG EVKDEKKFELFPYVMIYAQNLFFSRENKPKQNKQNREDNNKQSNQDRGKLITRGDSHAQR RKPDQQDQKESYRGGSRSRERVNEREQQRNQKNYKPGGRPRPIQNQSNFNLSSQDRENRT KQGEIKTRGGNRK >CAK85660 pep:novel supercontig:GCA_000165425.1:CT868541:242880:246537:1 gene:GSPATT00019378001 transcript:CAK85660 MQQEDQEKINLISELNLHKQQVEEYKSKYFQAQKEIENKNKEIEGLRQMMQMTQSIRGSD GRQSEDLRRNLQVLMSENQSLKSQNQELQNQLQQFNQQMQYQPQQQQQIQLQQEINRLNN DKMLIQGNLDILQQQFDAKTKDFDQLKVRLQAIDFIQNLPQGQEANKILVMGKEIQRLNS QLIQRDQELENLRSQLLEQQKGLQERDNLSAQLRSYEDKVRGLSTDIESMLIQVGGLKYD VQLKNDLERCKQQKLDLERELVLWKDKHTKLENDSKHLYEIERKTEDLQNRLIRTNEENE RLEQENRGIQLKLQAFDRLLRDKEQLERKIQDQESTLYNMEQQLNEKRREMEQLKIRNRD LEQDFINFKENIFRPNEERQKRLEIEIENWRNKCLALESNFFGNNERLYVENELKRLHGI VIQLQQENDELKSRPRRNQNNYGDEQRLKILFAEREKLYIELEEARRRIAQLERQPQMQQ QQQPFQYFDVSDSDKDQQIQELKQGINLLIDENKQLKAEIDKQYLQIEQLEIDKNYIKTK QIAPKETVLEMLAKQPDLHKSVLEQLARRLYADLKAKDQIIEQMNQNLSVSQQLQYEKKI QDLEGQIQTLINNQRQQLSISQNNLLQQPEQQSLNNSRLSSPNKKKIFDQVDFEKIIEEN QQLKNEIKDLKQQRDYEFIELLEQKDKELELIKLKFNQISNNASDFKVDDLKKLRDVLEQ KAYEAQEWKDKMAALQMQVRNKENQNYNRPFSQNILEQEYKKLSQLYELKSLEVEELRAR SGVNGQLIISENLQSQNLDVIKLKRILEMRTAELEEWKNKCLKFQQYQIQLQNQNQGSNG VSDMEEHIRKLKLTHDYKTKEAEDWRQRYNQLLKNQDPKSGKAIDEMQAELVKLKRIHDA KHQELQEMQPRFQRMQQEKDVLENELRRLKYSLEQQIQDNDLLKKKLGISTGGNMNNLSN DKVVREQQLKIQQLQQQLQQYQNQMNDFSQEHNKLKQTHQLKQDQIKSIALNIDTKEQQM RQLLDENQKLKSALDQKLKDIEELKLQLSKASQMLQQTSREYQEYRKSTQSVESYKAQLT IMQNKIQESDKRVQQIQGDMQKLQNVLREKASENEKLKENLNKVNQYQNEKNMVLQQLQQ AKTTLQEKQKENEKFKQQYSQLLQQHQKLQTQQAETKSQLDKLRSVLGNFGK >CAK85661 pep:novel supercontig:GCA_000165425.1:CT868541:246811:253487:1 gene:GSPATT00019379001 transcript:CAK85661 MKNGIILEQYEDEDEYEIQEEKQRQERKEYLRRMQQMIFDETHYDIALQQNTESQIIQEY LIDLDNEFYIDYTHQLPAPSSQIKLRPMNELQLAYIYVDDFPFLKMRRLLMIIFQSVRYL MKYLINLKFFQLILMVIIILNSLCLALEVDQYEIIFIICYSVEAIFKMLALGLYSKRDSY FRDFWNVIDFVILVFQYLPYFVNIQFNFNALRTIRILRSLDAGQSIPALKVILTSLFQSV YQLKDALIVLVFSYSIFAIVALLLFSGGLKRRCVNLETGIPRNNEVCSECQGEFECVKQI ANPFNSLINFDTFGWSLIQVFICTLLESWSQILDYTVAAYNEAVYIYFFMVVMVGGFFLV NLTLAIIKLNFSNNQKFVIPPVIEESYDYWELRIMGIYEPAKLQIQAALRRKSDAQFTFR ERSQRTQTRRKLRITKYPSQIQNLSLKIHPIKFANNFSTPFVKQDKKFMGIYGMGKKLQP IIGGVTPSKNARNKLNFNQEQPGQSILQSPIDQNISFSYNLSPNNKLGNMLFSQSNRTLL NNQTKQLSSKSILNNNKSPNNRSRIISPQNQRNHNELNNGSPKSQFSKQIVKRAVRPSIS ESDQILVNDLKMIGQPQSKKTITTPKNTEEQLLDFLSQAQNNNNILTNENQSNGLLTPNN QLNLQNPTFNRANLQHGTYAPKTSHQIQMLQDVKQRSFNVRNKQIDQVKNDVSILDKSEN SIINSIPSEQVDQELMDLGILEINNIKKQKPEMQQNSSFKMNYIRRKKPRLTRKTLRKST YLSKTFNSANDDRFQQLKIKLFKTKFYKEVPYLPYTSNSELDVLEVQQMKKIQQNLKNNE EINRKLKKQVKYVFSNQQTQLTNLKSTSKLQPSSTQIKSTNAKRSQKQVKFQDQEEQQQY KKLILHDVQLNFQEAQLLMRKEVQAVDLEQYGQVEIQYDLKEQLRYLNYEQITITTSTNY SEYVKFMSEASSKLEIVQQSSIEDVLPMSDYVDDQTISIMNQIMLALNYTINIYEIWLPG ISGIFKVFRVKLQNLIQSEYFNRLINFCVACNTIVLALDGLVTNDKLLSDLNLAFTIIFI IELGFKLIGLGVKGYVQDKFNLFDALIVGISLYEVIINQENGGKSGFSALRSLRIFRALR VLRISKLIRSLKFMGFLLKVLGNAFEQILWMFILMLFFLYTFTILGFSLFQGEISDENYR YTFNSFIISFETVFQLFTISNWSDVLYSLFLSNANNIVVFLYLVLWIAIGNYIFLNLFLA VLLDNFEAEYRRDKNQIDNNIIIGRDQIFESAISSKPQGSRKSSKSSLQDDVEEYQKLQT QRFIYFSERGIAEFALMVLSQESAFRKMCYRAVKDKIFDIIILILILISSAKLILDTYTL GNSYDVVSYWLDFSMVILFGCEALLKIIAFGFIGDDLSYLRNSWNALDFIILIGSIVDIS VAAIDLSYLKILRLFRTLRPLRFVSHNRSMKIIVSALLQSADGILNVAIVIILVWMMFAI IGINFAKGKLYYCDMGDGAMHYVTKAECEGVWRIYDSNFENIFSGMLTLFCLSTLLDWPD QLLYFKDGTENGLVKDSSPEFFLFFLAFILIGSILLNNLFIGVILVNFHIAEEKARDSSL TQDQIQWIDTQKLIIEAKPDLSLYYMPKNRIQAFLFRIVKARNFDLIISLFIIINIVVTG MYMDDGDLEYLYVIDQINFAISIIFCFEAIIKIITFGPLAYFKVNWNQFDFVIVVISIID FATNESGLSIGKGFRILRAVRLLRLVKQFKGLKKLIDTAVFSIPAIINAAALYFLIHSIF SVLGVFLFSDIKEGKIISDTNNFQDFHHSFILLFLSQTGLDWNRIMYDTMNNGSQYNALF WVVFILIQNFVMLNLFILIVLDQYDVNYFHEDNPLNRFDEYQNKTLDVWTRFSQDGAIIN QNKLTDLILALPKPLGLDIVKSTQKGVEDWKHINPQHTQDEVSQLKFTIQADLIRNALFK IMDMQLKCDSLGNIPYNLVLFAVMKEAYLEKLEINLTEEGGRKLVMKEMETRSEIEEEYG MSLDQDVNPLVSYLYAQTCFKAMQRFVEISKNKVQDDGVSFLAKTDSSIDFEEYLDNDLS RDDYEKPDYEEVYFVEIPMNNKIYNGEQYKVQIAQDQSSQDQESNEQPIDDENNVEELEE YKLQIVDFNKYLGDQD >CAK85662 pep:novel supercontig:GCA_000165425.1:CT868541:254204:255687:-1 gene:GSPATT00019380001 transcript:CAK85662 MSLSLISFYMKLRQIQQQLQEQMESRGIFHKIEMNANSVEREFIEQIQFNYKKHISFQKA ILFVKCRIKKQGDEELKYQDQDIENNQKNHDYYNNKALTLQEMNRFGEALKYHDLAIQKN PQNHIYYYNIANTLYKMGNLKNSEESIYYNNKAMTLENMNRFEEALYYYDIAIKKNPEKS EYYNYKAKTLNKLNRLEEALKYYDQAILKDAKDSQYYNNKAITLQKMNRLEEALIYFQFA IDKNPEESIYYYNKADTTALQRGLQFENILGMNNFYGALKYYDLAIQKNPENSDYYYSKG ATLYQMGLYEEALKCYNLAIQKNPDESVYYQGIGLNYNDCLGNALLKMNRFEEGLKYFDL GIQKNPENSDCYYGKGNALYE >CAK85663 pep:novel supercontig:GCA_000165425.1:CT868541:256383:257855:1 gene:GSPATT00019381001 transcript:CAK85663 MFRKVFGNSMRHNPTRLRYLREYVCLREAANPSAQQAQIAQFQQVANKYGLSTTQLTTLS SIYQLSQLLRPQNFPNPKDYKVHVEKLELLFRQNQSNTENLEQFAQSLLYRIPEISKLTN EARKQAAQDLFSIYLEGIHYDNLVSPGYVEQLESTFRQRTTAVINKIKKEVKDPQLAAQL EQSLESIFQNYQFNQGRYVGLIKSFIESNQETEVQASPKLNQQAQEKQLFIQTVDRATKK FSAEDQEYARNVDGHDFKLFLQELYEKEYQSGFAQNRFNEKGQKLVYESQAQEHHVLRYQ ILAGVFAGYFLYLFYRGDAYYSTILAVPTVAAAFFYLAKQGQAKRAIPFIRQVLKNNDNT YEVVFEQNRIISRIENVQAAQIKLAQDTTFSNALVLGNPTDFGYHVKVADNSFIVPYLYS GNGLDFRAFINI >CAK85664 pep:novel supercontig:GCA_000165425.1:CT868541:257932:259742:-1 gene:GSPATT00019382001 transcript:CAK85664 MFIVLILLLLNQVESNRIIKPNLTVIDETFQSNDFYRQASEIIEIPYGAYPGVFAIDLHQ RVKGEISNQSINLGDFQKVEEDLLEEDGCYSIQRNIEISMKLKLWLDKSGLTRDIYCTDV ALAEKFKKAYVVRNDFQIIQVDLNQGLFRLQLDPIRHSFAYLLNYDEENTDYHPYLVGDR ESNKIFIFTTNGGLSFDSNSKLDIEFKVEEEIKQRDKIYNVHYNEKHQLIFVACGHEGID IYKINNGTLVFMKDISAQYLGIDSQIVDVNSNGEDGLYVLDLERGLKFYWIKNMMELVYQ FTIAISNGQSFDFYDNTFFIVAKTINKQDFAIEVFVNQVTYDYYINNHFFDEMTINDVSV FQYYAVLIGDDGHKIIYHSIYQGFLNQNLISHTSFYQPDLVTVKEFEFEGEEFSNQRIFA AISKYEFQLINIIMNMPKIECKYSDAGVRQFYVKINSTNCAKKDKENNLNYQFTLCNVSH SFTFTTTELEGNYTTYAYYTLTIYVLIVVGIWLAVLLFYLIKKWGYKLKYLIWRKKIVDA TAPYNESFDAKETELPETQKQ >CAK85665 pep:novel supercontig:GCA_000165425.1:CT868541:259972:261773:-1 gene:GSPATT00019383001 transcript:CAK85665 MKNKYIKSEKVDEQILIFEELQQHEHEQKEIKNNPKYEIIKLLIFQEKVIYNRFIINFRS LEYFKISFFNILFFINMINFILFFVFLNIIVKAEIALESFTNVQQTNDNSTFNIQNDEYC FCNLKVREVDERCCCDPDISTSSSTLPSDCLLGSVKRTCIKKYILSRQNVDQCNIQNYYS GIQVEEQGNQICFIYEKSSLSTSGVTLPQAYNNPTYLQDYLKVNIIPETKVSKADSLQVA IYQTNDKSNILVYRDSNGICKQTKRFINYKQIQKQSCLMLTCQTNLQTITSTSSQTQFYS KSSTVFAQSATKPGGCLIGQSIFMGTSAVTTTPSFTSNTFAYFSSDQTLYNYVSEVVYAN ASTNSNFQGKAGYDYGQYVQGHINLQTNPFGYKIYRAKVSTSDSTCTLSDDQNPLNYEPL RFGQNLQFSCSYSLNQTTLSTFSWSGLQIFNSFPYNTLLGLARTPLFGTSSLDFVNTTTE VPQTDYIYFTQHVLIFTDEFGMKEGRQNYISSFKIVYSNAILKTNIPTNTKLYRFNLKVR FIALPHDDIENSIPQDPPFIPQLPSDIFFPIWYETFGSLISTIMIVFIFYI >CAK85666 pep:novel supercontig:GCA_000165425.1:CT868541:262141:262326:1 gene:GSPATT00019384001 transcript:CAK85666 MLQQLQEPLDSKLKNFEQQQDRREQLMIWVKLQKQLKKERKELSIEIIKVISRTKAEKRI K >CAK85667 pep:novel supercontig:GCA_000165425.1:CT868541:262456:263865:1 gene:GSPATT00019385001 transcript:CAK85667 MNTMKKMSESRHFSSQQQESSPLMNQSTNQSTKKRSKNKENINLFNEVNSLLQYYQNSNI LKKKTSLPTSMVSPKKMMDDIFLKQVLQTRKTNSRNKVSVSQNVGQKVLSLSPIEQKQKS FTKGNQFRCAYHANKKAKFFEYNRSIGAIFYCSECSVGKLIKGNILFPVENYQVKQVSNK KRENQSVSRANFWSVDENSLLSNSPFLLESTDTFTSITDETEDKAKELKDFQKSLSSLQQ ECQSIIENSKGNTYQTQFNSINTELQQLLYMINIQSMKLLQQLQSQNHLQQVYEVQNELN SILSDIQSNESNILNNMSIKPFKQIMNKYKEKLKGHTQTINNCSSSQQIFELDDRLQKLN KVKKMLKTNFKIETDEQELLSTKRKLSSNVYISFENKEMFLAAAAANKANSVHVKDEPDL VQIDDKCHLLESPNFIQN >CAK85668 pep:novel supercontig:GCA_000165425.1:CT868541:264540:265601:1 gene:GSPATT00019386001 transcript:CAK85668 MSISSSNSHTPIPQRKPWTTQEDELLTELRKNNNLDWIEVARRIDGRNPSQCAQRWKRIK GFKLRKQWTEEENQKLIDLVSNFGYHWSKISSFLPQRTGKQIREHYLNQLHPDINNEPWT KEEDEIVVEFYKKVGGKWSQISKHLNKRSENSIKNRFYSYLRNKYLNIKNPYYIVPEKKQ KLNEFQDPKQKLEKQMNNPMIQEASFQPNYQQVPREQNMQLFMPYYPNQFVQYSMAYPCA MFVPIYVYQQQETQVNQIMRY >CAK85669 pep:novel supercontig:GCA_000165425.1:CT868541:266434:268400:1 gene:GSPATT00019387001 transcript:CAK85669 MGDLKISTLNLTKRYSNSFQLFLMVHSHRVFRGFFIMLLLFHMILLLVPWIEIKIPAWPI LKIIFAIGASLWPFPTFDMLSEENLYLRQILMKTLEISVIMLYAIDLLPKIFIWRQNVLW KFVNIVILSQMMFLLPVSIIAYDKVALPTDTMKLRIFSISFCFVIVCEILSIREQKSLRF LQITQRQQEKQRQIKQKIQEFSWCEIVKHDSPNDCWVVIKDKIYDVTQFLQYHPGGNMIL DGAGGDCTALWYSYHPSYLTKQEPPQQYMIGKVRDYQQYYSYDDDFYQNIKEEVEQKIPR QNWQNHWVLFLKGFLCLTGYLACLYYYVNYCTLLSAILLGFFAAQADVNIMHDGNHYAFS SNKTLSFLAGYVLDLTFSTSVVYRRSHNFGHHSCVNHLELDRAFDTTFPYIRLHPLQQRF WYHKYQHLYIWIIYTFVNFTDVMGTFDEMQWFSNYPCRRGYVSKLQVFLQIIVKLLWISI TLIYPSIKFSYKVAFGMWFVYNAVHSYNYALFFSVNHWTLEAGVVDNQNINQTNWGKLQI QNSSNFALDSFIWTHLSGGLNYQIEHHLFPQFAHTRLKEIKSTIQVKAKQAKIKQEIDKF YSSYFEFPSFLDALISHYKLLKILGSKDKI >CAK85670 pep:novel supercontig:GCA_000165425.1:CT868541:268628:269072:-1 gene:GSPATT00019388001 transcript:CAK85670 MFIYFFQFANIPLQCIHLYETSLNLIPIQQSNLKSYIFIISSMIIQFFPFIKAIGLSLKY FVKIVSLMISILGNNLKVYAFGYSKCCQ >CAK85671 pep:novel supercontig:GCA_000165425.1:CT868541:269106:269849:1 gene:GSPATT00019389001 transcript:CAK85671 MSRTNDEDYDYLFKIVLIGDSGVGKTNILKRFINNEFQLESKPTIGVEFATKTFQQQGKS VKCQIWDTAGQERFRAITNAYYRGAVGAFICYDITREITFKNTEKWLSELKEHADGNIVI IMIGNKIDAVDSRAVRTDEAQDYCESQKIGFIETSALDGTNIDVAFNKIVANIFNTIGSK NIKKVVQMATEQVLLEKPKVTQSKSKKNREGCC >CAK85672 pep:novel supercontig:GCA_000165425.1:CT868541:270048:271550:1 gene:GSPATT00019390001 transcript:CAK85672 MYSIKTEPQPIAIVSLQMNDTDEEIRVYEGEDVENIVRLFCQQHQLGQDCVLYLVDQINQ QLQREPSPRFGDSFGNKNISHQLLYPCHTQQSDIGITTQDSSVNENQNSAQKSYEIWQKI INQKTDLRQLSSVTQNTLLWNIPSSARSYNDNKKVTTNERLYRDGLDRQKTKLEQAEQYK LQKSILEQKESTFQPLISPRSRQMAEQKKLSRPDCSINNIGFQLYQKGLDMNSKKEIHRM QMQEQERKQSPFQPRITDTSRNNSQNRSNTPIHEKLYCQAKEDKKKKEDFQNREFSKIHP FHPNSSKNQIKQSTIQQKKFVDKLFKEQEEKQKRIEKKKQEFQIQTQSHVTFKPTINKDA TYKKVSEKKENEDIKIALDLQKMQSRLQSKNSFSQSETRISSQQSLQSLLDLKIQRIFNK LDSDKDGYISKDNLSLEELDCETKKIIEVVLNQIEEDSYTEINLKGFKKLCEMHHLQEQL NSL >CAK85673 pep:novel supercontig:GCA_000165425.1:CT868541:271631:272613:1 gene:GSPATT00019391001 transcript:CAK85673 MLLFTNRRLWRFATQKIYKDSTKLSEEEISKILFKDEEPTTNNTQQNLNNEVTIDTLYEK SNINELLDIIQRKAQQEITPKEEPKQPTRQDLPLKYGLPIKNVGLDLTVEKVEPPKTVTM KMFDILKLNEHTSYQEYENAIQQFKEGLYGNAVRPFYEVRNEMKETLKEDAIPYALLNNN KYMEEIRENRALNISIPGTIENEHAHRFLKLRPVEEFRHTPLIPISDRQMREYQEKVEAY HRKGVYLEVSREQLYFASFVAGILYIMFRMFQWVLKKEEEQGLQFQRLKLRRMGMAYEVV >CAK85674 pep:novel supercontig:GCA_000165425.1:CT868541:272615:273818:1 gene:GSPATT00019392001 transcript:CAK85674 MYKITPPSQQTYKGPKLQQSRISQLQNNETVTEDVLQSSTEQYREQLEEMLDLKPSIRDF KSNNKNNQPDLWKTVQQIEKRMQEQEQNLQRVNSEQSEEINKLKSEIKQQKQLYEQEIEE IKYSSRIQSQKPQPSYNSYTPKNTREYSYRSISNNNLEDVQEKHYLQQLVQDLKQQILVL QQKNYYSPIQNTDNIWEQKFRQLEKQKETELQMKDQHHIERIRNLETQLLNQKQSYENEL FAIRKILDEKSKEDSLIRHQNQKLQKDLNSYINQVQYFNNLVQFAIIIDLIIKSVNLQLS NQLNQQQPINQNQQLMEFANQLQSLTHLLDKKNQECEYYKQRSAQNQQPLQQNPQIVSYR QALTPTRQNTLQQSSDSIVFPTLTKQQILQQFQ >CAK85675 pep:novel supercontig:GCA_000165425.1:CT868541:273840:274776:-1 gene:GSPATT00019393001 transcript:CAK85675 MIIQLFALSAVLVSGTECILQRQSCQNIKTQIQCENTNNPFAKCEWDNTCKSRSYDSCYQ FFTVQDCLRDNSQYRNFMCYWNGGECMKRVQNQDIFGERTKDLKCEDIDNYSDCSEQRGQ PLLCAWYHNRCKAIEKCSQITDFKQCRNARTRDRCQYVVNNLASNQEKEEMYSTDIFDFR SCRSQDCQFNTHSDCPTFRNGRRCFLKKGKCTQCSYFTNPNDCIATNKCTWQNSQCSNII CSQITSKRLCNQYNYCQYDFTTQQCQQSNQIPLSHCYTYDISSDPIQKKYNSFI >CAK85676 pep:novel supercontig:GCA_000165425.1:CT868541:274898:276029:1 gene:GSPATT00019394001 transcript:CAK85676 MEEQEECSDCKIIIAKKEMYCEKCLQQQMKKEEEEVKQLENEINELQIQLKQVRDEMYKM ETNQIEKKNDKIELDKKNLDKEIYQLEQDEQQQKKELIKLKNSILEQEHNEDNLWEHINK FTRKLYSLFESNQIADNKLSQLNNELDRLSKLDVLNDLFKISVQDEVATINGLQIGKKGE QPVDWDDISAGVGHLTLLLVYLMKKFMYTYQKIESIELNGSFSKIKIKDEYQNTLCTKTL NLYLNNKTSQKDEEEFSDAFLQLYFEFQQFCKYLQDGDILRKRKINLNLPFTMNGMSVDN KILSLKQPAAQKWQEWTNSVKKFLANVKALIVANAQFDLSL >CAK85677 pep:novel supercontig:GCA_000165425.1:CT868541:276499:277905:1 gene:GSPATT00019395001 transcript:CAK85677 MIQQDAKKDQRLKILLQADIEVKTQINSDVKWINRIVPLKNNLAFIFYEGGFYELYDIEK QQITVTGRLPDKIRFFLEIYTKVLIYHDPTDIQIKMLDLNTNQINPNFVFRASDKIDIKS DEQSREIIIDKFRAFFLDSQERQCKYLITQDLEKYYSNSNVCHFKIRILPLEYTPETHFD LKPVAQYDYPNIIQQTELYSWLVDNETFTIYGQDNDNNKSEILFIKPHLLENAFYIVHTV HQCSYTILNVSNWIGPHKVAIWVNCNDGTTKPSIFSIDLRKYYDGKNWLPETEYQPTLER DENIQDKPAIFMDIYQFNLDSTYTIQIRQVQDDAMISIIDYQNLQKPEIISEVKVNSFWI DPAVDHSYILLYNDMSIENKTVKFTILFPADARHQLLQIIEKQNIIEKYGINNVEELFEF YQ >CAK85678 pep:novel supercontig:GCA_000165425.1:CT868541:278082:279965:-1 gene:GSPATT00019396001 transcript:CAK85678 MKFKDLLKQNKRDIIRKGCALALSGGFGLWTFGKFIGYIKHKKMDRICQRKRQATIEAIN NYKLNHQPCQLSQELQKQILNGNVAQIKQLLYEEKMTVFQTVLVFIERILKVACSDNLNI ITEINFDEALEEAKIQDQEIKQDKNIINKYPLFGIPVSVKETFIQKNFDSTYGLGANCFQ PAQEDGIQVAQIRQARGIIIARTNVPQVAMTFESVNHVYGRTKNPWNPNRAVGGSSGGEG ALAAARGSVLGIGSDVGGSIRIPAAFCGVYGFKPYSGRIPDYGEAKISLAVEGVTELKVS RGPIARCVDDLIVLTKVLFDKEIYSKIPMQIKDPYFEPQELNDFPKKQKLRVGYFDIFNG LVTPFCMSRAVKETCQALESQGHEIVEFKVDQQLQSIIANCFLKIVAADGGMKSYIEALH GQKFIEEYELLVQDANICLGIKKFVLAPLFKLFGQKTLLEYNNNTKVDVYQYLVDSAIRK QTNFQFCQAIVNQGIDVIICPAFGQPAVKHGGSKQLAFTALYTWIWNVVDFPVGCLPITT VQNDQDLEIKGQQNSMDLVYRFMKRDLQGAIGLPVNVQVAALPNQDEMVLRVMKEIEAAV KFNSQHPYPELN >CAK85679 pep:novel supercontig:GCA_000165425.1:CT868541:280098:281895:-1 gene:GSPATT00019397001 transcript:CAK85679 MSHKFYSISTKVSSQDNVKIIRIQSHKNHKSHNNLINPNKVDPETLSHQNNQLKTQINTL SDEVQVWKLKYQQLQRDSTQNLMFDNSKTFLISLKQQLKKLESDLSDKIKQIEEYKKMIN VTKYQELQVELFNYQQQVLFQQQEIKDLKEQLQENQSLKIEDLYQKQEKIIQKLSQKNHE LKSENTTMKTERYFINGQIESLKTQLIIKDKEIRRLISVQKQLEENHQLSNAITKSRQQI FRESIENAQLQEQLKHLEFRYSTDMKDRDQEINDLKLQLLEYEELKKQIEKYQSSSQEQK LNQFDIQKVESRQDSTRKLKISLNNSGISQQQQNYSFLRQSSQQYKQSMQMDSSKIQNTE FTKYCNEIDENEKQVEQSNRKQKKIIRVNQYDVAQFGLEIKFKLLSKEVSIFDVEMLFEK YDQQIKIHELLDLLLQEPFKIQSYEQRKLIARYLVEDNNEDYVVYDALRSNDVQIVLSVF KQLIGKYELFTKKEIYYIENELKYIFEKSQFQFNDSIQQLIVKKKHLKPGQCEKADYEQA IKLAELNLTPRQLEYIYFINYTFFQDLYIIYYQNVMTYFNKSK >CAK85680 pep:novel supercontig:GCA_000165425.1:CT868541:281943:283038:-1 gene:GSPATT00019398001 transcript:CAK85680 MIHNLLIIVFFALWTVLFIVLILIGYFLEKKYKLAAITPQVKSQTPQNSVIFVNHMLISN VQKQSQQKNDSQLVDELINNQRMFSQQNNQENGIKIQDESAQKETSLKLPITKKQKQTTP QTIFSRKQIAGNMEGVETVQSTNRKSVRSRQSGNNSERNSIFGETPDQKVLNQPKIPSIS NFQTSGYSITVQNCNVPKPAKDYYKCNLFAKIFYSHKIGLSRIFMLAQIYFRQMVCFEIC GVLLLFVSQLQYYYIMASSSGGYLIIKIHDYYTIQSICKNGSGCYIKLINYVIWGSALAV LIIGIMYYEINNFICLQYYAPALALELFVVDPIRYLLIKNCLAEPRLGINKKCQSIK >CAK85681 pep:novel supercontig:GCA_000165425.1:CT868541:283603:284671:-1 gene:GSPATT00019399001 transcript:CAK85681 MFNGYQYDKQLAFTKDIDKIKKDYVSERERRKDMNQRLSKNYKKRVENFVINMLENPVVC NEYKPPESYKFREEDITKNLGDPQIYVKGFKHEKERILEAQEKNKDLDFLPNLKVGKHSF RERDPSKDIKRDIFRYRDKTALARIEQFLKDHTQSQVENMKIDHKKIVSLENFSEGMSAL ERRAYLSRLIAKNLLPSLHNKTHFQAAQTMFNNLPLTLMEHARSQPLLHTQEESRKKPLQ STEKLRLMSQEAPARLEEMGNEKDKKQGNEMETFNPVETSKIILKKCNVVKDKNPKVPIL HQGSGHLISTMDKSIQDVYRELYHVDFKKFD >CAK85682 pep:novel supercontig:GCA_000165425.1:CT868541:284810:285834:1 gene:GSPATT00019400001 transcript:CAK85682 MKYYFNQLHSQLYYFMLIENLANELQCSICLSLYSLPFVIPCGHSFCRDCIQNYGKSTKS AKCPLCKQPFNLAKINLNISLQAVLNVIDQDNTKVKGDLSRQKSKSTNFFICTSNLSDEQ KECIERFQKHFKIKSNSNINSKITHLISGPDNPNKPFIARRTLKYLEALARGIWIVNIDW VIESLKCDKILSEDDFEIRGDEFPTLTPKISRTRGGMYDFLRNYEFTIEVGNVNKTIINQ EYVETLILLCGGNIVNQKEKTQNTIIIKIVQDPQMNHTRIECIPMIVNQKWLFDSISKFN MQNYFGYLISN >CAK85683 pep:novel supercontig:GCA_000165425.1:CT868541:285938:286738:1 gene:GSPATT00019401001 transcript:CAK85683 MRIIILQINLLASLWNSVSNYSQPIGQLLISFLSHIHENNDLLHLLKIIQDAKCQCQYLE FYFLIFLYYKPKEIEFGDKVILKSIEKRYSIQISWNVALLELYLQHSNHFLQVSNKSQLF SGLAQVFLVHHLIWSDLTCINKGINIFIRYTICQEDNYINIQNCELNYEEEQRIRENKTQ FKFGKAKDEYFPSKTEQDIMRWLIYLEQLFLKQLCIIAVLFTGIIFGQSVSILLAINLLI NSIIIFQSILPGTVVKPRLNIILGFL >CAK85684 pep:novel supercontig:GCA_000165425.1:CT868541:287697:288225:1 gene:GSPATT00019402001 transcript:CAK85684 MEISRKAQFTIFKKKGASIQTIETIEPTFKKQISPQHTHRLFQSTQSSKSMVINKDKEKQ RIKQLLEENMKLLEQCSEKDLQIGRLKANAQRNLDLRTQRVQTLNNLKPNEKKNCYFDNQ KTQNISDLEQNDDKQLFTFYSPEQKQVSQTFKLPKVFRAFPKQNRYYI >CAK85685 pep:novel supercontig:GCA_000165425.1:CT868541:288534:288891:1 gene:GSPATT00019403001 transcript:CAK85685 MINTQSEDGEQPVSSVQAIEKPQKFSLFDLIVGLSIFSILFFQQCMGEILFPIGLIFLMN GILYKCNRRIDQFMIGLFYVLQLFAVWALTYHDFEECRIGYLQYFASLQVA >CAK85686 pep:novel supercontig:GCA_000165425.1:CT868541:289085:290551:-1 gene:GSPATT00019404001 transcript:CAK85686 MMNSLIFLLVSISFSLEIDNGKVKGSIIYNRTANTTGWNFLQINLESVENDEDVFATAGR YEGEESREEIYNAYLNYIYGIQGGRHFLPKSLQTYVHNQYNWLKEQSQSQNTPYWRMVKY LLIQLENMYEGYNYHYGKTEKALSFDQFYYLSNMGDLQDLWPSVGQVQTQGIGNCNSFYK PGIVAHSTFNVYQTMLRIYKSYNFKLKDKDVVNPHLSFTARPGDLESKDDFFVLWDTQMV VTETSFNNYNKENYAYFHYDSVPCWMRANIASRLAKTPSEWMDIFGQHRSGTHNNQWVVT DYNKIFMGEEAFFHYKVHDLTNTLLASQGYVASYNVPLDQEIFDSLKYPKTTSYNDDVRA RQFRMYQGNLKTVKEVGKLVRYNKVTAEFDACDGALSPRCDLGNGYTFGGIDGKVISQDM IKNKKVHLISSPSYQNHDPFNWKAYPNIPHYGMTDEWRFPWYELDETTFTIKELYLDY >CAK85687 pep:novel supercontig:GCA_000165425.1:CT868541:291488:294229:1 gene:GSPATT00019405001 transcript:CAK85687 MIDEITLLANFIQLKTETLYQRSKFSGLCLYPSIVLSIAFDRSTVNIGTVLITLILTAIL KSHNKLIYILRLQVLLLFPELLLQNQNQALISSFLINQIYVPYWPSQIVFQMVLVRSVNG LYLGFGLVMLSRYFEILKRRFFISFYIQEYNQNQRECVLEKLPIDIFLINSEANIYYSNN HVKQFDNELQRKLANLIRKKKTDEITLNHKYYKMEQYHSDYLLTQQQFSCGDIELFIKLS QQIDQLYNLICQDYQKWNNLRAFKVVKESDLSILGQCTTDCRLLKQQIDTINILNHDCQQ IKYQSSSKDEFFLKNYVVNVIESMVNRLQEHFNIIQLEFEEGIPEKLVGNKHGILYSLYA FLMSAMLVEQKSDLLTINTRIAQVYLDKSEYDLEISISFPCSNKQMKLYCQDEFENENEQ FLLIMIKQCKQLLSIDYKTDGDNIIILLITSVQLCEKSDKSCDNIQMVDLTFTKNVIDVN HYFWNAKRYASPAMTYDKSLRSPIQKCTAKFNFTDSLASTIQLKSIPNSAQASAANSNVQ SPENTLIKNDDIIREVEKAFKYTIQFPQIKEDIIKLLEQTLKVAYNEGILDHDKTILDIG CSQMGYDKYSQISVRSDTPFVQDYCDQLIDQNDNEFQKSKPKQFKTPQLKPRDLVRFDNL GQQVVREQKKKQEKANRSIQGKRNKDQKQLSVLFSPSVMSKFSNNLVSKVQKSKTQLPKI DDNNDNKFHIRVRDNRLRKQQRKWTCRIRLDEQPKQEPNILCLIPNTQFIHVAQRFGNSQ YGDLMIGEPIITYSVSELIAIYKQHFNEGKQFCFILIYIHKISEIEELSIAVQSNENLWC QDRDFKKTVMIGIYNQTQLNKNLYQFLQYTIPLSQDAEQLAKSKEWIAEISK >CAK85688 pep:novel supercontig:GCA_000165425.1:CT868541:294255:295136:1 gene:GSPATT00019406001 transcript:CAK85688 MSHHHQKYYERPDGYVYSPEELDAHRIPLPFRDRCVNFYVPFFKCQQIKTDNLFKTALAA IDQTLDHWGGECYKEKRDYVNCAKAAYQYSLAIPVQNIYTANPWYASQEAVSSELEKNHF SSPVFTKQNIIIIYMHYSLVEGSQQTDSIHYPSKIHVRYPSQLQMGSPRIILLQNHFPAV KPIIVRKPQQGSNLKQLKNAYYTNRQPVKTLCNEQLEIPKQEKILKEKNKKLQLRKNMTR KLLKITEYLASYRKP >CAK85689 pep:novel supercontig:GCA_000165425.1:CT868541:295702:296654:-1 gene:GSPATT00019407001 transcript:CAK85689 MLNSSLYQSPQTKNLKSVIAPQSSITDRNVSPSRAKIAQLSEKLSGLQIEEDKTLKKEAY EQKLKLFDEKFQKAYQNDLSRLKIIQDQLSKIEDGLTNEKIIRDSRDDNFKQRDVKGLEQ NIVKELQKDKTLRRESELKVARLIDEKSYQYRLELAKQKKMREETEEQYSNEIGERVLNL AEDVQNERREREAACQELIRRFGESVFQIQETLTKEKRQRQESQSQMYRMIDEMNNILNL QLSEEKAQRESTEETMLRLLDETCNRVENSLRR >CAK85690 pep:novel supercontig:GCA_000165425.1:CT868541:297443:300238:1 gene:GSPATT00019408001 transcript:CAK85690 MADNENNDDQPNLEEDPMAFLPADHHLLARLQIALQKQLDDEHSRVELELVEVEDQLKKL DREKEDIGVRLYGVQQQLAENQQNFEKAHENFNFVQKLRIESEQKLKTINDLHLQKKKEV DELRKKYLKAQDELSKLTKTLHTIENYNNQMQAEIQQTRRIVYRGEENVQALEKDKKKQD YLIDQMNEEIKRLTEQKTILMDQLISQSKETENARQTMKDAQAEMEKIIASKKNLMERWQ KSLVRMQRMDNALQEIREAYKKESEVNIQLGTELQGINNEIRKENARSEDLIAQKRKLEN QKSNLENRRQELLEEQAKLNAQVLLLNESLKQTENETKKTDNEQRNVDEQMKLIETNIMK LHTETKSLLEDLLDSKSENTTIEKTALNLLKQAQIIQSEIEEKEIELENLNNEIARVKID QLNTEQQIEQLKLKKAAVIKERDDKKITVATYEVQIKQGHELNEKKQHEVGRLNKVHDEL TNNSSEVSRGPLEATKSNLKRQFDEMIQQCEAMHRDWIKKQTQLVEKTKEYETCSTEVDE LSNKQVILENKKMRLNSQYRSHEREIREIKNSLKNLQNDMNKLNDDLERYQFKQEKLTNE NNHIQSEFLEKLKELEKEAVRYEVQIDKLKDSKADLLNDIMEAEKQILLWERKIQLEKEM QEALDPNVGQSELKLLQKEIHKMELRQKEQKKSQEQIIKEMERTVFKRETIQLKYLNKDK SSSGPKELKLPPVGASNNTTQVNKQIQTLKNTLNQTLMNGQKIEQGIRAQEEQLENLQQQ IQEASQQQQQMEQEAYDNKQKLYYAKNEKHLNVFKISQLQSQAKKYEELLNNPKLPFPEQ QIRQKLEEQKNFTNNIKSALNKLVDEQPQLQEILAPLLDL >CAK85691 pep:novel supercontig:GCA_000165425.1:CT868541:300353:301944:1 gene:GSPATT00019409001 transcript:CAK85691 MAKDKILSAKLKQQEFKTKSTHLKTQKIAQLLEPQFQQSVEIDDERPLLKISNFKGVFTQ KRMCKMQNLYSLNKIINLKLKQGPYKALCDTLNNIFGQENLVTQPLLIGDTKKCYDIISI AQRNFAVAQKSCIYIYDPKGVEVHKIRECKQATKLEYLPYHFLLAALNQNGQLTYQDITQ GKNNIKTTPSPLCLKQNNNNAILGIGDQNGSCKGCTLLILELRLHPLMSMTFSRDGNHLI TTGSEGTIKVWDLRTQKLQSQVAVNATNIALSDKGILAAGRGTDVVMWKNCLIGDLKTPY LRYKASSMICDIDFIKHEDYLAMSTFDSYEQTVVPESGEAFFDTYEQPELQNKKQRLETN VRQLLEKLPPESISLQSHRIGTIDRTSKVIIEKEQKRQLEEQASRKIKKQKKKMRGRNKI GKREKLKELERDQKQQVKWQEMLSDALRVKRAEKEKKKFETKLLDRLEQIPSKEFIRVVS >CAK85692 pep:novel supercontig:GCA_000165425.1:CT868541:301976:302666:1 gene:GSPATT00019410001 transcript:CAK85692 MIAFQLKDVDKGLIPDRVVQEIISKLPKESVEKAKYFAQLFDQATQILTDEGNDEFVKIE KAIINNDLRNQVWEINFKGEENTEYQDKKIQGYLILPNDYPTAAPKFYFWFFKDNSKIIH ENIYGDGLLCMPMVTNNWKGNEGLTQVMMTIRDIFNNPNDKDPANPIFTKAPNVEKTRRR KAQSELLEDWE >CAK85693 pep:novel supercontig:GCA_000165425.1:CT868541:302894:304963:1 gene:GSPATT00019411001 transcript:CAK85693 MQQIQEELANLKLDSNTTGFIYCEDFDKHFSNKKHFENPKRTQSIDQTIQNYLYQHDARK KVEQLSQFNQCEIEYLRLVYDQAYIDFVEGLFEEVGDQKNQKEVVHLSDTYLCKTSAFTA RKCVQAVLEGVDKILTKEWRNAFCSVRPPGHHSGHKAQPTGFCIYNNVAIAAKYARLKHK VNKIVIFDWDVHHCDGTEFVFYEDPNTLVISIHRYDQGNFYPRSGDPEKIGGKNAEFKNV NVGWNVTDGPAPGYDDYVYAFDRLLGPIIKEFAPDFIIISAGYDSAKGDPLGCIDNTPQG YQYMTEKLSQICPKVLAVLEGGYNLDVTAECALATLQQLMGLPQDFPATIEPTKCGVSAV TTTVDKHKEFWTCLTSNDLMEYQKKYIGQTADLISGGHLQSFQIKDDIIIKTTKKGEFQF YSTLNDKTNPFYEENQRLIRFMPKLISLDQQSCSITMENLTYGLENGSIIDLKIGYKTYN PNGSALKREKEIKKAKQCDQLIMGFRIAGVKVRDQIGALTVNKNGSDAYKWIRNDKQMKD IIEQVFKSNYVEQPNKEALQGSIKFIQELIEALQKSKRVFRNTSILIIVDNMAKKFRIKW IDFNYVMKLSDDSENPDAQVDNNILGGLKYLLSMLRQIDSK >CAK85694 pep:novel supercontig:GCA_000165425.1:CT868541:305059:306597:1 gene:GSPATT00019412001 transcript:CAK85694 MISLINFNPADKNCIIDSPRSIQVIRQRGIVLNELFCINKEQLQAMAKKEKSLTNENLDD FHKHFEYRRQQKVYALIKDREALIEKEKRGKIEQSACSLKLLRPASSTTTSKFMDQVQKR KQMEELRQRHLQEMMLKREEQTQVREEKMQLYEEKRKRMQEERQQEFQEKQENIAKQKRQ KELEEQKKNKQLQQVYFEREQERKMQLEFEEQQRKEQAALRDSQQRELKQQLEKEKKMKD KQKEQEYLIRKQEEEDQELKRQMFFQQQQESKKQVAQKRALSAKERQRDAQKSQIDGLKK LREDFLKKEEKIAEKQYKFQELQKERQLSIEEKNRIKNEKIKHTAKLKEHEQQEVRREFM EKEMDIQLRLQQRSQSNPKEQYMQEEADRRAKHREKVKKMNMLQLEEKRKVYLQKIQEQD EKIEKVKNEQEVMFQEQAYMKLKKKLDQQEAIKHQKQLEEYKREQIREKLKQVDLRMDQK KEEQREVDRLRDQANRVEMMGKKQ >CAK85695 pep:novel supercontig:GCA_000165425.1:CT868541:306872:307290:-1 gene:GSPATT00019413001 transcript:CAK85695 MNNGSVKDILKDKKKVRFVAESAFKQVDKDGSGYLERPELEEVMNNVAADLGVEPPTSEE IDEVLKELDDNGDGKLSIDEFQVLIEQVLEMMAKVEG >CAK85696 pep:novel supercontig:GCA_000165425.1:CT868541:307492:310371:-1 gene:GSPATT00019414001 transcript:CAK85696 MNNKCELIIQENGLEIERSLDHSIIRSPQAEQLISSSNKQTLLKFDSSFAQESFQKRKDS LSSQNLSDKNQMITTIARQKQFSKLLIYCHSMKFANRLISLIKPCSKFSIEQFNMINDKA SFFNNNLQTKKQTTKHFVQQNLRAIDFQFRWRLQLRKFKKRLFEYLHYQYQQIPLIEPES QKLFIWDILLNIIRIYLIISIPIIMVFQNQQLESKNRIMILFSSVLLLLDLFLRCITIVY DKGYPVDNRYLLIKRQIKLSNLFEVCSIFIGIYLSIIFNDPGFNHSILDQNGWIKLLLIL FLIQIKNVVKFMNNIQYYYKPNKEMSSVIDLIKLVGLILLIQHLCSCVWLIIGINQISQH HQTWIYKVYLESWEVQYLEAFYFMSVTMFTVGYGDINPQNSLEKVFCICYMFLSTLQLSY SVNTIGAILTLLKEKNEIFRQKMTCMNEFLKDKNISQQLQYKIREFCTFYWEQDIIQKKN EQQLLIKELPDELQYQLKLEQARQLVNKCTFFKQHFAKSTIQYIIQRVQFTSFQPGTLIQ ITPSNNGVFVIERGNIEVIYNKTKISDLKDFDQFGFQEYINNQYNLDITYQTTTYTSVLC IPYQILTDAIKKSKSDFEKIQIKKQITKFHYCYICKSTKHSFESCKLVHYVADKEKSIKT YLLVDNQPRIKVKRSNRLQKFQTMIQQESLETSCKLIQQEFEKEIEQYFPELTYEALIKP LNSSQKIFESPKDNEVYRPQKQNYLLETIKTSQGKTYDKFGNNLSLTFRNNQDISPFIKE PDILKDLQLKMINIQKYPQKQQIELFILYKTLENQSQADLEIGNFEIVKKFRKFDRLWNI SNVIEQLNCKYEHSRLYLDIIKRLSKYLLFPLDFIYLFKKDLLKQRAESYQNRKIKDSDD EKSDNKLISPFRKFERRKALIYPKSLTFK >CAK85697 pep:novel supercontig:GCA_000165425.1:CT868541:310572:310832:1 gene:GSPATT00019415001 transcript:CAK85697 MQDHFLLRVMNLEQALKQEWDSTYWIWGLLIFLCLMGQVLCVYMLAQPDTPKENSDYHVQ EETPQMDHEKQPEQEKLLQTNEQQEQ >CAK85698 pep:novel supercontig:GCA_000165425.1:CT868541:311085:312265:1 gene:GSPATT00019416001 transcript:CAK85698 MDQKKTRQSKKKQSPEKKEEVQEKEVVKSKGKQQKGAKNAGDNEDKKGEQKIEKQQVVKG EDKKAKQVQQGDSKFELGDGRFSTPTVKIASWNVNGIRAATKREQAVKYLQDTKFDVICL NELKADQAVFDKENLGAQFGKHYFLYLNFCKNKGGYSGVAIASKVKPISVKCDIGISKHD QEGRTLTAEYERFYLVACYVPNAGQKLERLDYRTKEWDVDFQNYLEDLRKKKPTILCGDL NVSHHEIDLANPAGNKKTAGFTQEERDQFTNYLQKGWVDSFRHLHPKEVKYSYFSARFNS KQSNKGWRLDYFIINKESTDAIIMSDINTAVEGSDHVPIECEVDLRKL >CAK85699 pep:novel supercontig:GCA_000165425.1:CT868541:312435:318831:1 gene:GSPATT00019417001 transcript:CAK85699 MQLFLISIEQAYTKFINKNNWEEALASDYDQAEKLEAFFLRYHRGPQELKMAIDNVTLKY FPTLLQCCLESLVFLSKPNKKKYSGYIDQILGSTHFYNLFSKELQIMNAKLGWGDDDVTR YQKFINYFLKVVHNSLELQLQQLPKYVNLLRETVSNLEKRNSKFTQVLRDIKDLYDKLTN PQPSSIYQPKPQNVVNQGTNQQQTQEPQYRPRNFQVEFLKDNRQNNVNLPQSQSYQNNLP QIQQQQFVQIQPTSNNTQSNIYQPRLNQANNIQTYQQNNIQNSKIDNNNLQGFQTLQFDS QSQIPQNHRPPLQTNTQQQYQHNQQTPQQSQIQQNSFVPYQSFQNQQIQQNQYPPPQQQI QQNQTTSQQLDSYYQKQQNQPEYYQPKQQKQQEEQWVEKPQVQQFRRSDIPRQQDNQNKY QQQYREDNGSQYEVKKNSGPQYEVKQYDVPQYEVKKSNAPEYEAKQYNTQYEAKQNNDPQ YEAKQYNAQQYNTQQYDEKQYNSSQCEVKQSNASLYEAKQYNEQKYEGKQYNTRQYNDKQ DNAPEYEVKKPTKFSRNYENSQQEYRSSNQGYQNKPINIENYKPNIEYYNQMKNFYQQNQ SSYEQNRQKQTEQAYVSYKDCSIEPMVKEFETVPNKDFVYGGCIFEIPIGQPFKSRELFL NNHFFILREDYLKSFRNVVARLKQNHFEELSYEVLQNAFLYYNVLIENITVTNRGLQFAV TMNAYDARNNSINWVKTTRLMPGSLLLLSTIKLEFMLFGTVVEKPKADRNGRVAILLILI GLSIKEQLKFVNSLLSQEQNLVAIENKTYFETYTHFLSCLQMIEPSRFPFAEQIVFGSKV MPRPGYLDNQQVRNEGIVYDIDLKFDLHQQKRIGQKERINIMREWPNVNTESLDESQLQA IKSILKNGISLIQGPPGTGKTFCGALGVRILLENQYKWNQNYQYQNKPILIVCQTNHALD QFLSHMLTFCRMEDIVRIGGRCKVKEFEPMLLTSNQQLRKIHFNWPDFLDLREDLDIKLR NLLNLTDNVNYKDVEQYMPELLERVIFQYLEIEDLNFHNPLSSVSRKQIMHMWLDNFKPQ IEQANKIIDIEEANSNYLLQRQVNRKKFKPILVYKFSDLDHQFRLTDEAQQQLDDDLDEE FLDENQNIDSDEEENRKIFTTSEKSGKEEFVRKLIEDSRTMKRLLNRLGQENEVSFNVIL NEINQKQNYQIWEMPQAKRNVITRYIFSQKFQHLFQLLQREVDQYEIYVKQLKQAYIDRD MKYIQTKRIVGATLSGCAKYAEKLSNLKFNILVVEEAGEVLESNLIAVLSQQINHLILIG DHQQLKPHLECYDLEVKFRANISLFERLIKNGLEYATLRYQRRMKSKFADFIRLIYKDYK DHSSIEEQNKIHLEGFNSDLLFFDHRKSEDKKLYSSSKQNQFEARMIVKMVDYLLKNGHT NQQITILTTYVRQALYIQKECGNRNIKVQAIDNYQGEENDIILLSLVRSNDEYKLGFVAI DNRVCVALSRARLGLYVFGDFDFIKVTPDVTGLWLKIIDLAEQKGVIKNHIELKCSSHNQ ITKIYDVKDWDQVKGGGCQNTCGAEFPCGHICQLRCHKSNHLQNDCPENCEKILTCGHQC YGRCKQYPCPPCRTMVQKQIQECGHTTSIQCSDQHKKTKCRERCEKILNCGHQCALQCID DCKMNKCLIQIQYTLPLCKHVMSIGCDDQNYLNKLICQQPCQSQSICGHQCCGSCGVCFE KFHYPCQNVCEKILLCGHKCKQLCSVECYKCEDKCEIECNCNTQCKKKCNEKCNPCQNNC KLSCQHTNCTKKCYEICDRKPCNQKCDKKLKCGCDCMGFCGEVCPEICQIQDHKNQFADK DTIYYQLECKCNFISEAEFLDQYFDSQQSNFIHCPKCNQIIWRSRRYQSQVKNSLIQFNI EKEKALLKQQITNDKIKSAIKLTEEKLELIQKNKIKDNDSFLDKILQGLKYEMQQYNAWK PQKMSYHYFKCVKRQLKYYETTLELIGCLNDNQLFNIHKNNLIHQYLLNEDFRSSYSKSF WWKVAYKMENLLLYQKIIAQYQKTKDIEFQNISYAIEDSNFYLDDGKKQSFEKILNESIA GQQ >CAK85700 pep:novel supercontig:GCA_000165425.1:CT868541:318865:319257:-1 gene:GSPATT00019418001 transcript:CAK85700 MCVNAFYLTLCMQHAPIQFSLVILAMFFIEGEDILTKIILSMLKYFENYLLKINDFQKIT EFIKRGLINNFYREIQKCRKQKRLVQVLLDIGFIKRKEKQHKPKKPKGLMGRLIAKPLSF GNDVYSYFFK >CAK85701 pep:novel supercontig:GCA_000165425.1:CT868541:319268:319999:-1 gene:GSPATT00019419001 transcript:CAK85701 MIQQYFNPFRKSNQCAYSLFDEKSSHEITVEDELAILKPIPSYVWEDDYYEQLNLELKYY NIDVQVLSHSEWQTLLKTGTYDSNKNLLLSIFTTRSLTVKQVFDFITMQCQMSCQFAQLK SQVKEKNYECISKDVNRTFKSSIYFQKEEVLQRLQDILVAYSNLDSEVSYGQGMNFIVAA LMYLDFNNDEAIFEILRTIIMDREWRQCYINDTPGLFLLVAKFNFKFKEKNACIISPFFK PWN >CAK85702 pep:novel supercontig:GCA_000165425.1:CT868541:320978:321423:1 gene:GSPATT00019420001 transcript:CAK85702 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGAAGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK85703 pep:novel supercontig:GCA_000165425.1:CT868541:321661:322856:-1 gene:GSPATT00019421001 transcript:CAK85703 MNQYYILVKIGEGTFSEVLKAKSMITGQVVAIKCMKNRFDSVDQVKNLKEIQALHQLQQH PNIVKLHEVLYDEPSGRLALVCELMEMNLYDCIKNRNSYMSMAKVKKYMHQVLKALDYMH KRNFFHRDIKPENILIKNDNVKVADLGSCKGIHSTHPYTEYISTRWYRAPECLMTDGYYD QKMDIWGVGCVMFEIIALLPLFQGENELDQINKIFKILGTPEPELLNRFKSQASHMEFNF KPQKGIGLERLVSPHAGPDCIDLLYKLLQLDPTKRITAEEALRHEFFEEFWDAPMSQSSD STQFGKAITITKPKTRLNKGIYPGTIKLEVKLEGKLNQSDDENEKVRDGSLHSRLPPIIK PHVKPKVKNPYVKKKLYDDYIIVGKKAFN >CAK85704 pep:novel supercontig:GCA_000165425.1:CT868541:322905:324220:1 gene:GSPATT00019422001 transcript:CAK85704 MGLIEKIKEIEDEMARTQKNKATEYHMGQLKAKLAKYRTQLLEPPKSGPKGEGFEVQKFG NARVCMIGFPSVGKSTILSTLTKTQSLVAAYEFTTLTCIPGVIDYKDAKIQLLDLPGIIE GASEGRGRGRQVIAVAKACDLVLMVLEADKAEDQRRKLTLELDKMGIRLNRRKPDVTITP NKSGMVRITSTIKLTKVDEKLIKNIMQEYKIHNVDILIREDITVDDLIDIIEGNRKYVKC LYVFNKIDKISIEEVDEIARRKDHCVISCNLKLNLDYLLECMWDKLDLVRVYTKKRGNQP DFSDPIVLSNERNGLMVRSVCAQIHRELVDEFKFAIVWGRSCKFNPQKVGLNHVLADEDV LQIYKSKTKAQLAKQNKQIKGTKHDRKKEEKGDKSQKK >CAK85705 pep:novel supercontig:GCA_000165425.1:CT868541:324425:325381:1 gene:GSPATT00019423001 transcript:CAK85705 MSINIIKSHKIEVPKPRVTQNYSRNHLTTSKILRCITLLCILIAKSLDGFIRLLQQTCYQ KSEQCIDTNQVVSTTRQLNTQKTLMEFGFLNGRSSPIKKNKQPQKSRQKNYKFPELQYRI RRLSCGCGECGMVGRKIRKFHDILIPKMKEVQDQARVGNGNKLRGIFSQVTKTARMCISL KTLQSEREREEKVEFSPEGKGSVFDFQCKQVSRKIIYQNQMNQLPLIKKPQMKDYMRKIN NLNNLIEQTQMITTQSSTLIRNSAPNLDAFEKRQMESPMSKREQSMHSSRQKQNIYLLKT PLNGLSLSKFSREFKIKQ >CAK85706 pep:novel supercontig:GCA_000165425.1:CT868541:325467:326011:-1 gene:GSPATT00019424001 transcript:CAK85706 MNSILNTQNKMTLLLVQVFYFVIIFMPVIPPGNEDANNHSEDIIQTLQRRGTNMQFLLIK LKYAVSIKIITQMFLQMNLSPLQNIFTKFYPHFIINTNLMIYLHIILQIKQTTEFGWKHY DQWNATNIKHIPTLKNITKFFQFSLLLYYTSSTLSIHFLSYMYVFILHGYRNLKE >CAK85707 pep:novel supercontig:GCA_000165425.1:CT868541:326087:326230:-1 gene:GSPATT00019425001 transcript:CAK85707 MQFHYHTPPMDVMMMNHELEIQRMMGVPGVVMMNYVIEESPYNPISW >CAK85708 pep:novel supercontig:GCA_000165425.1:CT868541:326599:329050:1 gene:GSPATT00019426001 transcript:CAK85708 MRPHYASSIASIPPPKQQQYFEGNRKGEVNELKILVKNTLSEKDDKKKREVVKKVIAYMT SGIDVSKIFPEMCMASYTNDMVQKKMIYLYLTTYAEQNKDLAFMAISTFQKDCKHSDPKI RGFALRNLCSLRFSGAIEYLMPAIRESLSDIDPYVRKTAIMGCVKVYYMQPDYLSNIEEQ LYKMISDNDPLVIINAIHALNEILAEEGGMALSKKLVDYLLGRLKEFNEWGQATILDELS KYQPKDDKEMFNIMNLLEERLKHSCSAIVLAVIKVFMNFTKNKPQVYEQVITRVKAPLVT LASISEGNLEIMYTILCHIKFIASKGYNQVFAQDYKCFYCRVDEPTYIKLIKLEILALIA CDFNLGDMLNELGEYVTDVDQEISKKSIQALGAIALRLPDLANAIVKQLSSFITLQDYIT NEVIIVFKDILRKDPKHIKDCLEIIQSDSVTDQNSKIALIYILGQFGSQIPLAPYILQTF IGAAESVELKHTLLTACLKVFFCRAPEMQEILGKLFYNIMNNENEDIDLQDRAAFYYRAL QNNPIELKKLWQNSIKVDKFLEEQNINKEALLFEFNSLSVVYEKSVSKFIKPAEYFNNLR NKEMQDQIQEVQQTTEKPEPVQDGFENDQDIIITNPTIEKNAPNLLEITEQPKQQLVVDN FVLDYRIDVEYFESMWTSLNNGASITRTLARNDIANENAMEQLFNRFRLYNIAAGEEDNV LQMYFYGAHKCQVFFEFEINKNLSTVILNTRSELEDYAYLGQKYVEDFLKQSNLIS >CAK85709 pep:novel supercontig:GCA_000165425.1:CT868541:329639:330607:1 gene:GSPATT00019427001 transcript:CAK85709 MSQYSYSQSLKIMLIPYIIVGILWFPLTVMIEQHYDSILISQFLVIQITTAFLFLIGLYY NNTSIYDIYWKVAPMFFVTYWSRGSGNIILPFLVFFYCIKQNYTYFRFWPGLQYEDYRNV AVKQQYPNPFVFWPIIYLGFEVVPSIMIFLGHLPLYYVFTSQNPCTFCYNFSIIFTISAI SIELIADYQLFPYRSKQLKGDCDVGLWRYSRHPNYFGECMYWWGQYLCQLSFGFENVWTI VGAAAIQALFSFYSIPDMESHLLKKRESYQNYKRKVVSSFVPWFRKEN >CAK85710 pep:novel supercontig:GCA_000165425.1:CT868541:330753:331445:-1 gene:GSPATT00019428001 transcript:CAK85710 MNINKYKYIIQFIFIRSLFKKIKRIFKQQKKFVLLKMVLGKFVEVGRVVKINYGPQEGKL ATIVEILNDKRVLIDGPTTGVQRQVIPIRRLTLTKFNLKGATRGARTGVITKAIKKSDPF AQYAQTIAAKKVAKKALRAKLNDFDRFRVMILRKRRSALLSTQLKSLKKNAGGKAQAPAK GGNKKK >CAK85711 pep:novel supercontig:GCA_000165425.1:CT868541:331446:332129:-1 gene:GSPATT00019429001 transcript:CAK85711 MEADPFIKIIVVGEQGVGKTCLLNQYCYERFEANSPPTIGCDFTTKVTQTNGQTVRLQLW DIAGQEKYNAVSKLYVRGALGCLIVCDIKDPNSLEETLKWKSIIEENSDQNNIPIMLVQN KCDLASDDEKTSYYQTLQGFAKTNKFFSCIQTSAKEGTGLKQLFTELIQEIIDKGLLVNQ QKNFKQSNGGVQLNAAQSSQNQQQKKDKTCC >CAK85712 pep:novel supercontig:GCA_000165425.1:CT868541:332936:333609:1 gene:GSPATT00019430001 transcript:CAK85712 MSRANKQAEQAPAQNNKQKGAPAAKPQKPKENYLTPEEINDLRETFDLFDDDKSGTIDAH EIKKVLEDLGVDARNKFVYQMVQDLENHGESINFDTFVDIISNRLGNNKTREGAQKLFQI YDPEDTGFIDFTNLKRVAKELGETLNDDELHEMIHHIHILRKTESPEQISFEEFYEIITA PRRY >CAK85713 pep:novel supercontig:GCA_000165425.1:CT868541:333611:334165:-1 gene:GSPATT00019431001 transcript:CAK85713 MQKIVLIFAIVSLSLAIDVVDDYDVESYLGDWFEVASSPWVHTTFEKNGFCNRARYGVLG SGDLSVYNVQRDGAGDGAIKSIDGYARIPDLTQKAKLKVFLNGGQVGGGDYWIIELGPVI NKKYQWVIVSEPEMLFMWVLSRNPQQYREEYEDYVRDRVSALGFNGVLNKYVPRFFDKCV PYDD >CAK85714 pep:novel supercontig:GCA_000165425.1:CT868541:334470:335609:-1 gene:GSPATT00019432001 transcript:CAK85714 MYKHLLKLRYAYQPSIKFTHVHPSSQYTPIQMTVREAINLAMDEELAHDPNVFLLGEEVG QYQGAYKVSKGLFQKYGGDRVIDTPITEAGFTGIAVGAALYGLKPIVEFMTWNFAMQAID HIINSAAKAHYMSAGDQKASIVFRGINGATAYVAAQHSQCFASWYSNVPGLVVLSPYDCD DAKSLLKAAVRNPNPVVFLENEILYSESFELSAEARDPNYLAPIGKAKIMRQGDHVTIVA FSKMVQYSLKAAEQLFKEGISCEVVNLRSLRPLDRETILSSVKKTGRLVCVEEGWPQSGI SAEITALIMEGGAFKYLDAPIQRVTGVEIPTPYAFNLEAMAFPKTEQIVDAVLNVLKGAR >CAK85715 pep:novel supercontig:GCA_000165425.1:CT868541:335618:337091:1 gene:GSPATT00019433001 transcript:CAK85715 MYFLICITTVLANYNTQYVTDQLKIEHNNLDAQKTYLIQMIITQFPVDNYCLPLMQIYNG TQNQFDSQSYSIRSNIQSVLVKQTKKLSIEISCPVLDPFINIKDINKTMHFNLTINEAQV LKQQKSCPFPYYGLDCLLQMQKIQTDYSITLHVLNNTWFYAYVILDNQDYDIKIQNQHAL FGISSLPADKLDITILPTFFTNFEILEQTSDEKSISLTRETEISDFIFIFGLFNFNSTHI QEIQISFVSLGETNNFPYWATILLSSIFLFGILVALLIFLSYKSQYRKITQIQPALDRKI FKKYMPTQRINSNLVQETCAVCLIQFERKEKYRETPCKHNFHDQCLSDWTIKQANCPVCR QSLQEQDIQKLLLCQTQLPKLNLEINEKAELKEGEQQQSDRGALYIPFYPTPYRTTCRID LDNSPQGDNSPQTVQFDGTPNHQSQRDLCKQNDRIVEL >CAK85716 pep:novel supercontig:GCA_000165425.1:CT868541:337385:338611:1 gene:GSPATT00019434001 transcript:CAK85716 MSLLINEERLMDSPKRTESTDIQSDSQKVKKEFNTFFLGVQQTSKVTRQYIDTKTHGIQL YYQEFTPQFIDAQVIIVHGFGEHSGNYKQLTDCFLLNNFKVHLYDQRGFGFSGGIRSKAT IEEMHMDLETVIDQIDKSVPLFIFCHALGAAIVISFCLRNPQFEIQGIICSSAQFRVPPR YGKMKMITLQMMAKLCPDLQVNTYHNLSFASKNNHHIRKLATDRLIHPYMSIQFAQNVLL FQQYILPNANQFKIPILILHGKQNKVASHLDSVDFYMQIQSKEKTIKIFEQGFHEMHNDS EWPKMKTIITQWCQKMITKDVKMNYLKEYNHGVVVQQYRSKIRLLISVLLIVIRRKLSCL NVSTKVSILIFMELLLRLFTSH >CAK85717 pep:novel supercontig:GCA_000165425.1:CT868541:339817:341084:-1 gene:GSPATT00019435001 transcript:CAK85717 MKGFSYPQTIFKPLQNDYLIDSDILETLDQLKIKQYTDLETEDNQLILKFTFKGDQFLSL QDLITFKENDLQCFQVDYAFVIINQILAEFQSKYEKYQLNVNFNPEEIWIHIGQSQNSFQ QVIFTSINFDKLNKINPDIGQLKTTIVKFLQLFSQSDPYIIQEVNETLKKCNQINEIQNI IQNKLKPSQQLMLNHKSNIEFQKLVDIFQNSKEISLQGKNYDILTNNLISQVAKSNYSLK DASNIQRAFEILNLLPLYKILTLWDDLDDIFIILKEYEILNSLKRLQNINDYIFLLTFPK QRHKPDIIISVSYAESTLKWIEFLEKFINYKINHYIKNILDFYKGDKDEWFKVDYKCIFN KIRQQYNDSENVEQTIENYQSLKDFTYDNMKIYYQEKVNGLNQEIIKIMFKKK >CAK85718 pep:novel supercontig:GCA_000165425.1:CT868541:341637:342520:-1 gene:GSPATT00019436001 transcript:CAK85718 MNMVIQEKQIFLHYKIKTTHFHEGREYSYEPQIYTNYDNVQGLFVNGLGEKLSPKQTDPN LVKIFGKQNADREYITNPAHKPQYVKLKTRKNSDEKVLKQIDNAFQKQVALKQKLKIFDS EQMNQIRNSLHDQIVHSNRESFSQIIDHKFSTNLTPEHCQIIKTLRQELTFKEKLKLKEP IEFAKSVLTERIQRQLIYKKQVQHDQKFFKEQNHKVSVLNMEKLNVKSHDGLDISRRSIS HKDFVKSINDLCLTERQTYRKMLKKFNFVQRKFCNKYNGILDEVQTKL >CAK85719 pep:novel supercontig:GCA_000165425.1:CT868541:343131:343511:1 gene:GSPATT00019437001 transcript:CAK85719 MISILVVCLDSEYECHCPSIVIKILRSLSIIPFTNEVKKSIIPIIKELSPKWIRLLILSS FMMALALILSLIYTALYLFYHQFTQYDHFKFIDEPILCYIDSTFSIHQLRYCQEQYTNSI KFITTL >CAK85720 pep:novel supercontig:GCA_000165425.1:CT868541:343678:344972:1 gene:GSPATT00019438001 transcript:CAK85720 MEVNSFIFPAPKCEYTEKNFKELIWVPVYKSKQIKQLGVQSANQSKRPSTQQDFYFEESN YDQDVDENVIVHKSPPRLLNKYKSNNVKTLLSNKNQNRLYESLANVCLTSTPNEKIQHPR CNKLPIRHLKQMTQQDEEEIEIIKKIPCAFINNQSKQTLVYFHSNGEDLYQAYELMWRIG NSLKLNILGVEYPGYGIYKGDPNEQTILEDADHIMNYLINTKKVEESNIMICGRSIGSGP ACYIASKYRPFMLILISPFLSIQQLVEHKLGKLFSVLIKERFPNYKHISEVQCPIYILHG QSDNMIPLSHALKLQRLCKCKCKLTTPYHMTHATFNLQQDLIIPLLRFMMS >CAK85721 pep:novel supercontig:GCA_000165425.1:CT868541:345826:348268:1 gene:GSPATT00019439001 transcript:CAK85721 MRLEYSTKEGRGLLKLFFNCFRNFIQNTIRFCVQKKQRTKTISLIIMVQVKIIVLLVCAL TLTQAFEAEGTLLSMSLNEISNLNLGDVNCQNTSQQQFVQLEQNLESWADIIDHKDDIQK DINTLKQLEVILLQVKKQTQKKKIAPQQLKQINQVVTKKIKSLVLPQTASKIGQAHCDEI ERQLERLSSDNESERSECCDALLKLATFLIRQLSSINQQCHQNPVTIIKIKGQIKELHII QGGCGGGQTIDIPMGGSDEKCDKPETPTKPTDPTDPEKPTDDPVPDPGKEEETTAEETVT EEGETTPPGPDAEEEGEPTPEPDAEEEGETTPPGPDAEEEGVPPGPDAEEEGEPVPEPDA EEEGETPAGPDAEEEGEQPGPEPAAEEEGETTPAEPAAEEEGETATPAAEEEGEAAQPPA EEELHEPAEEEEESFGPDEKNNTKGPGDIPDDAEEEGFLPEEEFSPEDGPEPQAEEEGPA EEVTPAGEEEGTPATEEDQGENVPGEEGFPEGEEESEFPGDIPSNKNGTSTIPDIPEGGE EGAEEGAEEGSEEEGVPKKHGGSESKPHRKPAGEEGEEAGEEETEPEEKHGRGSESKPHR KPAGEEGEEAGEEEEGWVPGDIPKGKNGTSTIPDIPEGEEGAEEESDEVGEETEEGGEEG APGEETEESLDQPEESEEKCQETVEITATNAADIMCALGQYLSQLAHGGSPADGPKSKKV CFCLKYEPDNADPSLLQLTQKVSKKSRIEQDGILRALIPNMRI >CAK85722 pep:novel supercontig:GCA_000165425.1:CT868541:348355:356085:-1 gene:GSPATT00019440001 transcript:CAK85722 MKTRGQKSEPHYAYHYKKDQILGQSEKGKPLLYKVVEDMKTNDHRSQLRVVKLEQQEKLK DGKVVYKEAEELLLPVKERTKVVEDVEVKSTKPNARSKTAVTFFTFPQDTLQDIAKNIKQ LPANTKPQKKQVSSESEDEGEDEEKEEEEEPPKKYTIKKGQKKQVEIQQDKKEVKSKIRK QAQKEESEEEEEQEEEEKEEEEEEEVVKPPKKDSVQKLNKTKIESPKNQNNDKIKKKNAK KEQKHNESDQDEDEEDEEVSQIVEVSKKKKNKNTQVIQKVQDTSFIKKEVKQKGRSQSQQ EEDDEDEEAEEQSVSQSFSVDSKRKKGGKTSSSKKQKSQSQPTKFIKGKFNPNYRELRNI SSQLEGPNSKFHDYTSIIMNNKELIRAAKTGNKKLLEDIFANSLRISNLFQRWGPENEIN ALELIFLRQDKEMLLPFIKSMGKIKLGVSQNCSLKEVNTGHNDQYAYGTRTRKVAMSRGG REGNNAFVYDLNQDNTFGRYQIERLMKIETDPEFFGLMIAQLGNEYQFFEMIAFAVRCGN WRTAGYLVQKALEKGHMYGFNQVHVDVLNHTSASRIGNIKKVSATKKTVGMYLITPVHCA AINPNHACLKKLVEISQEFNILDEIHRKPVHYAAVSQTSDCLKFLLENGIDAREGDRLKN TPLMLASQYGRTHNIELLASNNVGGKNREGNAAIHLACFGGHVETVKALLQFGAQINQPG QYRMTPLIIASAYGHYDLVKYLIDQGAKVISKDKFGRSACVMAARNGNVKILSLLLYHGA EYNMPDSSKNTPLHYAAAYGFPECIEELMKAGADQNLPNSWKLTPLSVALQKNHLGVVKT LLNYPSTDVNCKDDEGRTLISSSLSRFTADSFEYMKYLILEKNANVKIADLQDKTPLHYA VQISRKNVKQYYLKWNEMMKAERRDIKNNYENLLERLIELLINAGSDVNIPDANGQTPFV QALMSQNFKTAEQLMKLATPTFDYVDTKDRNILHKLIECKLFLTPKGNSILENIIATVDQ SYINQYDENGWNPLLYLISEYTKSAEQQHDRILKKKIKLLQVQLFEQKVSELPPDKDNIN EEQKNNDDEDEDRDLSKVVVDDEASDLHRSQEEDEDDEEEELHYRSKKAAFKNPSIIPNT AQIKQYNGIKTRLRSSTNMFQKQQNQLQQFGFSNGVDQDAYHQVTFYYKNKPIIVNLNKE QVNTMKQEALQDSFLLQDAVLKLFQQLINFGAQPDSVIIKRKKFRQPDQSEQDKKEDPYI SEGNYTIAHFIFKSFHSVSFLEGLQKICNFSLKEATHNNIYPIHLLAGTCNLHSICGREQ ENSGKFLKYCIKNIDIKVKDFNWNTPTSIIAFRFYEDLKWFIDTLLKLGGSIDNLNKLNE VPLQKWVKENNIKVVELFLTQYKADPNFRDKHNRTALHHAINTSNSQADASFEMEHLLIM NGANTSLKDYLNRTPLFYAFTKINKLNDFSEIDPFETVSSILADKNCSVNCLDIYNRSPL HYAALRGSVISGRYMIKMNAVVDDIDKYGNTPLGLAFMSGHSNFCTMLIDNKANVNRNAI VIDIEKIKKEEKAQRQQRREKGEEIQTEDELDSNQVDDEDEYNQKGNSIFFGNKVAKAVR RVSTRSAFGQNNQSPQPSKFLPGTYSYFKLAIKQGWQGVAYLLISDGYDLQRAIEDAMME DQFKLVRTLLLKVKDDEVIKKYNQNNQNLFHIFAIKGRNCNEDMAILIAEELSRRGVDRI ANDYQMNTPLHYACTYSFNKMIKYFLDRKGNPNAFNSEQNTPFSIRLQNNYRVFADPLEL GLWASNNANFNVKFKINKKNYCLTPLLYLIQEYHVEDEIILSKFVDAGCDINEKTEKGET SLILAIKNNSLKLVNFILHHPKFKKEAHFQDSNKRSPIHHVVQPLEFGSYENTEMLELLA SIFDVNLVDDKGKTALDYAVDQDSGVMARVLKNLKAIKNIKSQQPRLPTSVISQAQWVEE EIDVEADAQKFLDLNGEQLEESKQDYKNEVDQYAMRTGKLEVLVDKDIGPYYLLMTKVDI GNGRYSENVFYKMQVLHEINRNVFILFTRWGRIGTGGQHQQTPFESSEEAIKEFNKIFFT KTGGNDWRKIKSGEEEFVKKPGKYQLMNVKQMKNYKTLLSPFDFSKNSPYPPSKLDNTIK RFILQFIQVKLYQKDLQQFHVDMDFLPIERLDRKQLEVAKAILNELTDSVTELKELRQKG DLDIKKISNISSEIADKSSRFYELIPMIEQRTEPLPPLDSIEAINQKLLLIETLLNFEIT SKILLGAHLVKQALNPLTYCFNALNVRVITLHREHPEYKLIQQYINQSSTPKISNIFAIE RRGEAERFESNKQYNRLLLWHGSKISNFMGILAQGLKVAPPWALNTGAMFGKGIYFADMF QKSFAYTEDWSLHYNPYRGLFQEGGRWNKQQQVIQDEQDDIQRYRYMLLCEVAVGKSQEL YQSDFVQNLPKQYQSVKGCGKTGPDYKQSVILSNGCKVPVGQCIEYPEPKKKDKEGNPIH YCLQHNEYIVYEETKVKFRYMVQLDTKDTIDEY >CAK85723 pep:novel supercontig:GCA_000165425.1:CT868541:356124:357236:-1 gene:GSPATT00019441001 transcript:CAK85723 MSRQRVNSKSPTVNKQIRKHSKDSDSSSSERRYGKFWKPNEDALLIELHSKYNGNWRLIA EEIPGRNLSQCQQRWKRINPNKTKLRKQWSDEEDKRVILFVQQYGRNWKVIEGFMEGRSS KQIRERFLNNLDPEINHQKFTPLEDKIILEQYRIYGPKWSEIAKMLDRRPENQVKNRFYS FIKRVHMLEERSDDDDDDGDSSFSEQPKIPQPIPIYQPLETIQSIKEEHDNTKNDSMRND SFMNIQNRTPSNRIQPSINLIQGLDSFDSKQFEGIHSQDISPFHQRRILMDYSPINFEYA HHHHPNFDIVQEDVKELRNQIECISLEKIN >CAK85724 pep:novel supercontig:GCA_000165425.1:CT868541:357599:358021:1 gene:GSPATT00019442001 transcript:CAK85724 MSRVQKDRLLKLGLKEKKLIRLLYHKDLSEEDTIFLNTIYEEKQYLHFTYALALPCMISL STNYALFRQNSKWMQVGYVAVIGLSMHLYCNSKVNNRFYNLTQPFFKKYEIN >CAK85725 pep:novel supercontig:GCA_000165425.1:CT868541:358031:359695:-1 gene:GSPATT00019443001 transcript:CAK85725 MRLLCFAQSNDLEQFSNLLRSGLFDLSALQNSKRFTSLHYACFNNSYQMCELIINHQDRR ERSLQNMENFINSTTNDGFTAVHFAAFRGNVQILTLLKLKGANLKATNKQGLNIMHIAAQ GDQPNSIVFALVNGIKLTDLDLNGGSALHWACFYGQEHSVNYLLPLIEKKKPYYPLNSLD SHQLTPLHLAVQSGNTKLVKKLLIYGADKKIKGNQDKTPADLAQENDFKNIYNMLTKERG FLITYFNLKQGIKRVRKNGIELMRFGGFMIFLLLSYFLYVVDDFIPLISDYVLFGLTLLF FIFIVCSNPGYQIRRKEPLYTLITTYDHQDVCPMCNVVKLPRSKHCDICQRCVLVYDHHC PWINNCVGAENHLIFITFLVSLDTSLIYALINTIRQCFIGNQSELEFFDEPFIFWTILIL NIFIELIFVTGISVLLVTQLQNIFLGQTTFERFAAQNQASTIKSVMTNSNKNSQIEDELL SPKRDRFVQCSCKNLLNFFSNGVTQRKKEQAIKI >CAK85726 pep:novel supercontig:GCA_000165425.1:CT868541:359695:360341:-1 gene:GSPATT00019444001 transcript:CAK85726 MIIQVGHLNGEVRTYNLADQSTASELMNVLNSELACEVQLVQSGELVNASSILAGDRIYY VTVDAEGGKKKKKKKKNFAKPKKKKHRHRKVKLATLKLYNVDNKGVVQRSHKQCPQCPQG VYMAKHFDRHYCGTCHQTFRMDEATIKANLEAIKKQQAAKAAAAAAAAPAGGAADKGAAG GKKGKKK >CAK85727 pep:novel supercontig:GCA_000165425.1:CT868541:361222:361557:-1 gene:GSPATT00019445001 transcript:CAK85727 MLSEPFVLLKNYRQQQDASKSSQKKKLIPIQKKLVKKTPKSKPSVIEKDRNPQRSLIQTQ QQIEPIIQIQQYSDDNINEDQYSPLLFNEFKFTLNDPYCENINLSDDAFGI >CAK85728 pep:novel supercontig:GCA_000165425.1:CT868541:361590:363894:1 gene:GSPATT00019446001 transcript:CAK85728 MKQDKEMPFNGDFEDSEADEDDVSQESESEEEQIQVKKKKKKVVNSSKKLIMNVSDTQYP VVKFVGKMIYKFKLSYVPYLENNNWDFCWTDNAVLPETLSKMQPHQRINHFPGMYSLARK NHLGRNLNKMQKQYPDEYDFYPRTWMLPSEYGDFKAQFQKGKAKTFIVKPEASCQGKGIF LTRSIDQINPQEHYVAQRYIHKPLLIDGLKFDLRMYVMICGCDPLRIYLYKEGLARFATQ QYSSPHSTNLDDVCMHLTNYAINKDNPNFVFNEDEKKMDVGHKRSMTSVFELLRIQKQNV DQLLSDIKDLIIKTFCAVQPILQQNYTQVENYANNMCFEILGFDILIDSSLKPYLLEVNH TPSFTTDTPLDSYIKKNLIRDAITLMSINVKTKNEIISQKKDQMQKRVLTGKKTKLSFEE KRVLKMQAQKERDEYECKNKGDFELIYPCDKSYEEFLLHAQKLYEDWTGANIRRNLKRDT ISEQPKPQSCSQKPILTQKSQLYKHVQSKVNTNLYPTKNTKADQEVTQEEPEQTFQHAHE PIQSQPQLEPDNFVTYEDYHQSESLKDLYNFAEKRIPEIQLIDLIQFRRAESKQDNSSFQ IFQMSQQNSLSNLSPLMQIKSIQDSKNEKKFSIRKKNKQPKQIDQEQLYFQPQPHYQPPI QQHSISYQQQVQMQNGSFVKPKVFSIKLQHPPKGYKLQSLPILMQQNYTKQRYEQGV >CAK85729 pep:novel supercontig:GCA_000165425.1:CT868541:364315:365478:1 gene:GSPATT00019447001 transcript:CAK85729 MIEQFQVQGAKDKYIVYNKVLGKGAYGVVLLAKAANLGSYHAAKIISKKSLSQTDIFNLR NEINIQSKLAHPNVVAMVDAFEDNEYLYMLLEYCNGGCLFTNIQLSGPLREEKAQQYFVQ ILKAVQYLHSKNILHRDIKLSNLLLTSQDQVKLADFTWSTSLQGGCVGPQICGTLEYMPP EVLGNKVQNEKLDIWSLGIVLYEMLHNDFPKLGQLFFKFGISEECKSLIKQMLEKEPYRR PTTSEIQMSPWIKRYHRYKELSINIIKSSNNLQDQKGLNSMSFVAGSPLGSPLRSGLGTP QINTLGSPQKNKLGATLGYSFNNGFESPLGSPMQSPMNSPLTNVGKRVATEPTRISAQKL V >CAK85730 pep:novel supercontig:GCA_000165425.1:CT868541:366099:367021:1 gene:GSPATT00019448001 transcript:CAK85730 MPNDTFLKVLKKGPFQLSFAKTLAAYLTRVLVTLHTALIAHCDIKPENILIAADYNLKLC DFGFARVCNEPLRPPGGTPGYTAPEMYVTPQVNLFKCDVFALGVVLFIVVMGFPPFQNND PNVKDGWWGLIQNKQFDIFWSKCESFRQQQFPQEFKNLIMSMLECDPEKRISLQQVLEHE FLQNGASEEEVLQEIEKRVKE >CAK85731 pep:novel supercontig:GCA_000165425.1:CT868541:367076:367396:1 gene:GSPATT00019449001 transcript:CAK85731 MSSSQNSYESASSALSNTEDLVSKLSQGVVEVLVKGTICGMVHLLVFTVLKQKIHYQQSE VKKNIIIIKITLQLFYYHPDVEALETYSDITACLVESGKCKVRLFG >CAK85732 pep:novel supercontig:GCA_000165425.1:CT868541:368351:369916:1 gene:GSPATT00019450001 transcript:CAK85732 MLSDQFDYPISGGELYTYINTIFLVLHQFIHFKTKTSTPYLRNLQIEPILIQLSEAGAIN LSKLAVGTYLQDFILSISKEKLGQMNIQYSQGINQNKCQQVEEWIKEEIRSITSGQTFLK IDHLLDEARNQKTNRLIENVGNISLKYEDNVVQLALERKRAALLKTENYDEEEAKRKFTE RGLQICENFTRDKCRNVQCQKTHFRKILKANTQTKLGNCTYLDQCPEQEQCKYIHYILDG NDVDWQKRIQTALSNHKSMPPQWINCDLRIFDFRVLGKFDVIMADPPWDIHMNLPYGTLK DKEMKALRVDLLQNDGIIFLWVTGRAMELGRECLILWGYRRVEELVWIKVNQLHRIIRTG RTGHWLNHSKEHCLIGIKGNPQLIKGLDCDVIVSEVRETSRKPDEVYGIINRMCPNGKKV ELFGRPHNCRPNWITLGNQLPGVYLKDDGIRQRFMEAYPSVDISENNMNDNLVQMSNQEN LNVIYNNHIGKKE >CAK85733 pep:novel supercontig:GCA_000165425.1:CT868541:369937:370506:-1 gene:GSPATT00019451001 transcript:CAK85733 MQPINQLLLTIAKILEEILQETDPLSLQQASPFHTQRTPSISIENYIQRIAKYAHCNSVC FVFALIYLDKIQEMHQNVVLNSNCIHRFMIVSIMVAIKYYDDEYYKNEYYAKVGGLSLKE INQLEKEFLNMLNYELFIQKEVFEVYEERLKQYEVIEI >CAK85734 pep:novel supercontig:GCA_000165425.1:CT868541:370521:371979:-1 gene:GSPATT00019452001 transcript:CAK85734 MNIAERLQREAEKKKLQQEEQLKAGTKAIKEKYEAEYQENKNKLSQEIKQDIKLISQQYP SFQKFALYKIYKQFDFNREIANEFISIQIQNQNQQDNGDDDWIEITSKRRALIHNITKSS ENNQKPKRSQSVTNKHDSEYYPQRQSSEKENQRTQRRNISGDRRREVSPRKYNYQNRKYE QFDSDQNNIKYDGRRKQQLNQKPKIPQQNSFYPKYIYVPKHQDDDCPYVPKSIVTQTQNI IQQKQSEQTQNGCAQYIETINQLSNVDQSKGSEQQHNKQDFAVQDKITQDYIKKQEEQLR QEKLKQEQLRQEQLKQQQLRQEQLRQEQLRQEQLRQEKLKQEQQRQEQLRQEQLRQEQLR QEQLRQESIKQEQLKQEQIKQEQLRQEQLMLEQQKNLQNQSQQFNYQNQSLQQEYSQSQP FNQQLKSSMFAPQFQMAPQQENSNINNQPSVYEMLMSYINYE >CAK85735 pep:novel supercontig:GCA_000165425.1:CT868541:372234:374678:1 gene:GSPATT00019453001 transcript:CAK85735 MLRQSLSKICRKTKLQRLGCCFSNYAQKNPAMKFDDEFRTTINQEGKLLPNSDHSFIADH DDKFGLQHIRDPAKILTHLKSVEFKYSLNDLIYFLRHLVHISEEHGLRSQIMNNYNFREF LNHIKKCLLTNQHDKFPLIGSYAYCLNKLDINDREMWRLLEYKILEDQYHTTFDESVFAA QGFCKLPLMYYGQSEETIQARIDKVYKKLERVIRITIWEVNPVHYHSIAMALAKVNRFDP QMFAKLEQHILTNLSLNYTTKMMIDILFCFAKGKQGSLEFYDSMQYVIFKGHMFNRNYFL QSLSELSYDGHLVARLLGIYKEAQDKYHEFQLHPDFQSKVHNLMVNKLTFYDLESLVTTM TLLPTFLKDDVKNIQNSLINRITQIPGQFQIQDIIKFYEFVEKNYDDIKNAPIQQMHFVE DNLYKYSDSVSMDDLIIVLQYLYERPKFILQPDKFINQVIKTFDQNINLMTAAQIDMLTS LFQPFLEFQQKQIGDSLNIKSTLKKLKDIQLIKQYYQKYLHQLYNYGYKIAQIQFYQCHL TIIKMKKKFIIISLEGNIGAGKSTLFEILKEEYPQAIFLMEPLEQWQKINGNSNLNILEK YYSDARQVGVYFLNLRLLIQINGLGQIIAIVLVFTERSIESARELFFQLCCNDGKISQIE FEIYEEFYQWLMNHYQQYLIDCVIYVNTPPEVCLERLIKRGRSEEACVPLDYLRKLHQRH EDWLSEKSNRFLTIKIDATLNYVQDQKVKESVRQLLLSEIQKLKELLN >CAK85736 pep:novel supercontig:GCA_000165425.1:CT868541:374698:376406:1 gene:GSPATT00019454001 transcript:CAK85736 MNRLSQLSGQFDEEQKLLQQITELENWFKSPRFNNVLRPYTAKQVAEVRGSIVPNQFSDF MARKFYTLMMDLKQSKGFSLACGALDTVQVINMSKYMTSIYVSGWQCSSSASTTNDPGPD FADYPYDTVPKKCDQLVKMQRFQDRRQQYERSLITAEQRKQKKPYDYLVPVICDADAGFG GTSSVMKLTKLFIESGAAGIHLEDQRPDLKKCGHMAGRVVTSTNTHVQKLIASRLQADIM GNELFIIARTDALGARFIETNVDLIDQPYILGVTKFHDKPCTYPEAGVFIIEQMIDKEKR IQATNQWLKQCESGGIKRAKDLAKRLGFELDFDWEQCRNPDGFYALKSSVHYCAIRAKEY LKYADALWMETSTPDLKVAKELSDELQFELQNNKVLCYNCSPSFNWSKFGFSDSELKNFN SELGKLGYTWQFITLAGFHLNALQSERFSKDLSERYMLAYVEDIQRKEEKHNVDQLRHQK WSGAELIDHVMTIVNQSTLISSGEDSTEHQFDNK >CAK85737 pep:novel supercontig:GCA_000165425.1:CT868541:376429:377150:-1 gene:GSPATT00019455001 transcript:CAK85737 MLQILNTVQKIKESKSISNESVRIDSSQSNVIHNNEKTKFQNPVFKMVPLDNLQSVSENK YSHIFSSFAQSSKHEEQQQLFQQGNYQKSKQRAFSLTEPQTIKKDHKYNASDGELTNKKI ITVHTARVLECIRKERDKELNAETPKLGQNQLQDSQVTVKEIVAQSQYYSPRDMDHKFND CSSFQAKQTLTTALGSITSKSELDQILKDCQLNFEKNKAVRADEYVACLIF >CAK85738 pep:novel supercontig:GCA_000165425.1:CT868541:378066:379446:-1 gene:GSPATT00019456001 transcript:CAK85738 MSLTLKIFTLLRQQDSYNKGVREYRIRLDRQDKTVYKPICNAIILGFASKELVTSLLAAR LGMQAVEQNNAGFNEMFMERCLPYFENIAEFSSWDQTEDRGKYYYSTIPNKEEMQYGKSL LIITLECLEAWARFYPFQSDQLTQSAFFKSYTRLLEKNVKFPDKFFYIKKEKLDYNTSPM FTKLLQNFYEIERKNIPRIMTQTSQIVYKDQQENQTQARLQGEMQEPKSMSTPKKEIQKI NESDAQSLINQFSLLKGSLSEKDLGLDFQEQNQDIMDLELVKQNLTELIDKIDIKIEEFL NQDDVNTSLKLLKVYDEIKIYLIQDLKRKVIIQDEIEQQILDIQRQRGGLSSSLKDHETH NNLLTHQIAALKSQFESLSILQQNDQMEMKKMRSYISELLEENEKMKQEIETLKIQLMIE KNQLNNQMQQQEEIN >CAK85739 pep:novel supercontig:GCA_000165425.1:CT868541:380471:381479:1 gene:GSPATT00019457001 transcript:CAK85739 MNNQISLCRIQLPQESAITVILKENHFYQYRLNQEMKKFLLLMTSVIGSYCFNPNDYVTI PFLKFPDSLSYNVLEINSIFEYLIACVYIGLFYYSMYFCISHILVWSHPAPKTPDRIAQT REEMRRGIKALFYVILLTTGIIWKVQPLNPYYGYYETHGIWIEGILHFTSRVQQYYYAQR YMLTFDVWFYTSHIIGHTPFFWKHLHGEHHEFVEPGAYAQDAVHPIEALIQGPIGHFLPT FIYPFHPVCHHVFGLLTSIYAQLAHDGRWDPAGHTLHHYYYSCNFSIWGFCDFIFGTGYN QEKYPIPYIPTWLRSKVNKSKNEDKKIM >CAK85740 pep:novel supercontig:GCA_000165425.1:CT868541:381481:383025:-1 gene:GSPATT00019458001 transcript:CAK85740 MSDNSDNVLTQSTGCPVDDNQNSLTAGEYGPILLQDTHLIDKLAHFDRERIPERVVHAKG AGAYGYFEVTGDVTKYTKAKFLDTVGKRTPIFTRFSTVGGEKGSADSERDPRGFAVKFYT EEGNYDMTGNNTPVFFIRDPKILKLTQRMLIQFWDFLSLVPESAHQVTILFSNRGTPNGY RHMNGYTSHTFRWVNKEGEAFWIKLHFKTDSGNKTFTGAEADELKRTNADYATQTATWTV QVQVMPEQDGFKYKWNIFDVTKVWPHSDYPCLNFGKMVLNRNPENYFQEVEQSAFSPGHL VPGIEPSLDKMLQGRLFSYPDTHRHRLGTNYTQLPVNCPYRARVINQQRDGPQSYNNQGN RPNYEPNSFQPLKMIPETKTSQYRVTGLIGRYKPSHPNDDFAQPGVLYRKVMNDFERQWL ITNIVGNLKNANRVIQERQVKVFTKCDPEYGEKIAQGLGFPNNKARL >CAK85741 pep:novel supercontig:GCA_000165425.1:CT868541:383204:384168:-1 gene:GSPATT00019459001 transcript:CAK85741 MQETNDQMLIIKVANSKPIQIKQFDTPLQIAHRFCSDNNIELRKCKTISNQIIRIFRAYV DEFKQLKQFSKYFSNQEPLKKKVQYSLEEIMNQEEKENSYYSFQQETQLDEKLGNPIPSV DIYKSPKEGIQHLSAGAQIDSKKLEDMSRKLYQNILSPTPDCGYMISYSQTTKNISKLQR QLQLNKLQANRPQQKSQEKLKSKSPHNSTQKSPLLNKSGYFENGNKVQSSLVLQQIKQQA LSTKNQQMVPLRQLSISRASSIGRTRCKTEMFGDDKLQKISNKLFNLLDNDKDGYISPSQ IDLSKISDDILKQ >CAK85742 pep:novel supercontig:GCA_000165425.1:CT868541:384359:386972:1 gene:GSPATT00019460001 transcript:CAK85742 MNEPKYKFKRNINSSSQIGNTVVVEDEEGREFSKKTIKSEYYDSCREAVELLKTIQHPNL AKIIDTVEDRDKGEIHIIVEFYELNLDDLIQKDQKFFQNQDNLLDFVKQIINGYCRLMEL KVIHRNLKPKNILCNKKENNKYEFKISDYAFAKVKSKFAHDMTFFEGNDYYQAPELKDDN YAYRCDVYSFGLILAQIVFNRKLSEEEKQNQKFPDNQIVFEEILIKSMLIKDHSQRISWT QLRLKYFYNIKELSREKVFRGKNIITHEMVWIKQINKRVSSNIIGDTNEININLKFKNGQ EQNDHIVKVLEILNEDQYQYAFLIMEDCDGDLMQFIKKQGNFTYEQILEFLEQLCKGYQF LIKQEIVHRDLKPENILYIKTDKGILYKITDFEHSFDLKMSKKSPNTKTGTLKYQAPEID AQKDYDNKCDIFSLGIIILVLSTSKEFQVDQFNNVKKRLEEECIIKILQERSFGFDISKL DQRIIELLKKMIRYNPEDRLSWEKLIQYIQDQKQQNLPIQRNFQLSKSNNIFNNDQSFKF QKFNSNNQKLNQQFVVQNQQKSDKNVNNQEQYQFPQFNQKLGQSSKFQNGKEFQFPKNNN QLSTTGKSSLQFQTTKWQQSSNQNQFEQNQLTQNSSQQTKISTSQTIQVKDQKIQNQQTQ NQKNELHPTFQIDQQQIPQQGNQTGWGFTQSQSANLSLQAQSCNSKQYPGFTSSINKFSN QQSQKSPIQDQSHAKYQQAQTTIFNKVTLPNDQSIQSSKDANKSSLNINMKGQQQISQNQ FAKNQYNWQPPTFHNFSTPQPQQPQNQVKYTAQNNWQYQQNTIPINRFSNLHSRQFYGN >CAK85743 pep:novel supercontig:GCA_000165425.1:CT868541:387078:387506:1 gene:GSPATT00019461001 transcript:CAK85743 MISIQVVSPKLKNTNHKANFSQPSITKYNNLKSFNEYFRSQQINEKFLGIIKGLALLIVN IKQILVYLRTFQAAQREFQYNHLPYAFQIQNPRVQVEKQNKYNSHNEFKFLNKKKPEIFK ETKTQARFRLNIFLIIRFQKQV >CAK85744 pep:novel supercontig:GCA_000165425.1:CT868541:387991:388654:1 gene:GSPATT00019462001 transcript:CAK85744 MHIENSVYSSMQTPYTQPYMAPTANMQRKEIGDQAIWTLSSAKTGNGVDQLRDDNMNTFW QSDGTQPHYLTIQFLKKMRVQEIAIYLDFKQDESYTPNKLSIRTGTNIQDMKEVQFIELK EPYGWYVIALKTKLLNDRPYVSTINVQIVVLQNQHSGKDTHIRQVKIFGPRENQNQGLSF PDFKTPELTQYASIR >CAK85745 pep:novel supercontig:GCA_000165425.1:CT868541:388674:389756:1 gene:GSPATT00019463001 transcript:CAK85745 MLFVVNRDPVIKRKKAVSERVSPEQKKLMDDYQIFTYLKNEKNNHPASFHVTSLRQVPLT QRSQKMNIQTIIEESGKKPKSVDSNQQIKKKKKSYFQIKLERYHKEVEEQQTKRKQEQLT KSKNLLKKDLEDIQKMTNKGVREFEERCNLYSKYYSEELLTPTGVQEDMNLPIYKYSTIE NQNKYKVLLRGDPTPQPKFTSEQVFDQYYGDPDKIKLISSQLHKLNIKLIKRPNVQENQL FDAIINNDSFTVNKLLMENKSLINIRNRIQETPLHLACKRNLKEIVELLLRFQADESLKD LWGQTPRQLALKLRHLEILKVLSYL >CAK85746 pep:novel supercontig:GCA_000165425.1:CT868541:389831:390016:1 gene:GSPATT00019464001 transcript:CAK85746 MDQKLGKQRQLMINNKVQFNCLYIKIMMISQVWWI >CAK85747 pep:novel supercontig:GCA_000165425.1:CT868541:390019:390802:1 gene:GSPATT00019465001 transcript:CAK85747 MNKNKTIDHLGIRIELIGRIEILNDQQQSSDFISLRRELDAQGILTEDKSYKFSFNKFEK QYESYYGRTVKLSYYLRATLDRNYGQVKKEIEFGVLIINRDEVNQLQSPLKLVLGMDDYL YLICVYLKSRYDLKDVVKGKVKFCLVKINIKQMELAVIRQEQIGQGATQKTHNETLVKYE MMDGCPRKGDVIPIRIFLSGINMSPSFQNVSGKFSVKYILNLILFDENDRKYFKQQEITV YRKK >CAK85748 pep:novel supercontig:GCA_000165425.1:CT868541:390857:392022:1 gene:GSPATT00019466001 transcript:CAK85748 MKTFVLIVLLGVALGVQVNEQATILQEALTNNLKATSMGRAVLAMVELGSPSFNPLFDAL EAWASLIEQTIADENSSYGAFVQQVETEETNYKALIAQYESELAEYNIQIREILKSRISL EEDLEKTRNELGATKQQKANIENQQREDSADFKTRTSQLSAAIVVIDEALKVLEKAKYSS FVEEDAQQLSSLVSGTPELHTLLLQLNTEDYKSAQNLTKVINLLQNIRDQFNQNIQTLQA GFTAAQQQAQDLFELLNAKIDSLVKDVIPQLQQDIQGKDSEIDSRRQLANEAQANLDSAK ESLRQSIQEKGLATNSHNILISEYHSNLDTVAECISALHGSGIKRQ >CAK85749 pep:novel supercontig:GCA_000165425.1:CT868541:392029:393537:-1 gene:GSPATT00019467001 transcript:CAK85749 MSLEILDYLKQENQQLQEQVRELQGLLQLNKQALKVLMPKTNDEQHKGLLTVMKNLQEEN ETLQITIEKLVKERNEAQSDQLINQQITEQVQRQEKELIASLQQKMQGMQENLMTTENKL AKMEELKPEYDEVAGVVIKFKEVCNPDLIGLKMHNEIQMLNEQLLIEQKQKQKLIKEKSN IQGLNLRLMNELLQQRSVAHAGNKLIFMNQDPDQLVDFQTRALKLINNSSSSESHSSQVS EAPLDSSNSLKRQQQCQMNPKKGTVIPKLNLTIPKQIQEINAKRLIMQSQKPLNDIQSME KIQKLENALDELRKNHQREMILNKTLQAHNDELQRCCDEMEHRIKLLTNSNLRYQEKAKK MNANYKFLHQFYLNNKDLMPTTSLSSNQYRQTSTHQIEEEQSQSKQFDIDEMESPIQKQN NDTLIQQQQTSNVYYYMPNSKEQSIKYLLQTAQQIYSTIYERVDRVIQEEPLCKHRFRSF SEIILN >CAK85750 pep:novel supercontig:GCA_000165425.1:CT868541:393908:396229:1 gene:GSPATT00019468001 transcript:CAK85750 MRKNRYYIDDPIVSQRKEAQQIVESLYFNQKNNLQQKLEKEEENKLENVIEKLDEEINFR RFQTAQELLELYEAKPLKSREQYLEWFNQLSYCLKFQEQIQQNKLNYYKKLAGQYKAQYN KEYEMPNIVFLTPSQILENSVFNQVIEDFVSNCEVIEWLHVRKQIKKSFQTQLYSLDYYA LQRLWEENEYPIQTIQYLSLIIKDITESKFEKDQEPQFMELFDGKFQQGQSLKKILEQLL LQKMQQINFYDLNNEDFANFVFIYYQQQKLPILPTFLKELVENVKERISTLNLLENVKIL QAMLVIEIGDNQSYCYLLWNIIRLKNRINSDYSIINGIIFILLHFRQNILFVKGKRNYPK PIIVEDAMVFSEIFHHLTEDLKLFGFVHLSQLLTIASKNFADPAKLNAIIVSLFQKPEIN QNIQIDHKIDLYYQATQLGGAILDVTQILKYKVQLLQSHSITGFFEKNQQSEGNQITGYY PYYTNLLDSLIKLIWTSIIQNSKCFEDINYLIFKVNELTRLQTTIRIDRVTQKLISQINQ YISLAGKTIFPNKIDIQQILENKNIYKKLEKFGNPLMLHSKLYLQEKYYFLQKYEKNLEK DVYYEDVLLDFVYTNQKTGNKALILLNGSSNYQISAVGEMIPNSMIKFQDDLLAQSEIPV IQINLPDMIQSQSKSFYEEQLKDILEDGSNEYEDVANAIQETRQKIRRDFNYLSIHQQKN EEESQEEKEEEGEVQNDDTYALSDEEK >CAK85751 pep:novel supercontig:GCA_000165425.1:CT868541:396298:402022:1 gene:GSPATT00019469001 transcript:CAK85751 MISAYRFNYEHLPKEVKLNTFDAERGLIYNEIIRLLKDFEMSSSKHWFPIMNLLQRFKQI YSFLNEERQELAELILSKLGGLKSSVGLQNGFSLVCTIIQNKQKYLSLKVDWRYWHQYLQ DKFMTNKDKTITSQTFSTQLFMQFCQFLKTVKYYFDADVVQFAFLKFREYLGYQDKQVAW AYLMLFVPFRTDIPQDAYKDLIKYLMDPLLIFGEKDNCRLKFILNYLRVYPQQMNLMPQM MRFFHGYWRNDLGQDDKNEKLVTMLLPDDTLGVPSLFKIMPQLLVELIGNSKREGYKEYM LNMKRLFSLVDSITSVYSQQTMDDQIFKLLKLMVGNFIQKYRRDQLLLRIYEMQQNKNYD ENVSESLMQELIDQFNDVHIQDFIESDKYILELLLINPDQGYSSFQINYPNKRNSTKIHA TKINIDKLLPFLLDEQAKQDFIEIVMDVLDKVIYMEDQGYSTAKTVLYLSCFEPKLIDFF LNKMKEAINDVTALQFITIKSILIFMAIPILQGKCPFSIHHLQEFMDLTYKLFEVQGVSE NIDSLEFYCKIFMNVPIYTPEEYMELYGEHNELCRYLSEFVSEVFNLVIKNFNLIKYKDV DYGFVLPNLINIFWPYVANSSQRMLDSIVDRLKEHLENLEMQDLSAMGDLIDCILYRDSS LLEYIIDICMEKLLKQRQSPPKKHYLSFIVQLSKYSDYIQQFEPTSGNKQSKLLWLTLLE KSLTYAGKATQKHDMKIQAIVINYLMDEEDEVFTKVSDIIHSVIITNQIIKTNNLSWQNQ RSQFFKKDFDQKTLYQPQDLEVEWILPTRQDWEYIFDWNRMFMFGVIEEFERTYMKEYEY YEMPRDFPKLIDLMIFRNPPMDPNLKQICYRVWFLVFGCFTGSSLFTPFQPQDLIQGSKG HLKLEKIQQDYLKRVCPPEYWDLRQRLGTFAINSICYAINNGVAHDKDINDLLVTIASEC ISSQTLNQELQLGREFMEGCRQLMQASQCKLYFESRIQCVIDINTYLYQRHVFMLHLQGI PIEYNHIYNYIIVLYLLGHSEFSSPQYLLPYSERATYTLTTGVRNNFFEYLLGILDYNNK DSRLSKINQLSERSKEYKQLIDLLQGFNSAVQHISSDIEMSMSIQKQLNQFISVMEYVDN QKCKYLIMESCVHHLAIQYDESTVVISNDYNDRLEMINNSIRALQSQYFWKCKIIHSLSL LNNINIIRYVPENCINAYRDLCINDHVTLNQLGRAGLFYFLRLAKQMIYKKQQVSSQQYE QHLPFNKFIQYRYLTQEQLSIIEKSYQSILELDDILKAQQPIYRSKMHEKYMFTKNDLTK VTIINDNITPFIKDLRQLFTDKKYMREFMEKILIDKDVAPTSEFDITDAPSQEVQFMSAQ GITRYVQIFFTYIYGKNRNRQSPFSATQSFFMSDFYFRLFKKMFQVCGIAAFYSTKEVID EYIEQFDQREKQRLVSCYLTALHRSLLNVGSYIQDSFIRILPNITQEIFYDYHLAFFYMC RDQDWQWISPVYLQMLQCCQNLIPQGGFKGLRYIQLLKILIQSQGPRVIQLQEQILQQFN SIIFTYPSSVYVEEYTCTLATTLLQTILIPIPIDAPYQDEHLIATTANFQIWYNSILQMF FKTFNEFLSNNSQRDKVKFHQELSARILEFIAISKFDNYSWKFIVEAMKIIFQNNQTDID AQEILRKYIECDYDEITELLDIAEQMTKNDTWNIRKRANFFLRDWYFKAKLEGSLLNDIP KQLLNMFQDSNLQLQFQAVSSLADYLKLYTKQELEDLFRSAQENRVYILMSMLLSRQDRN FNWTDQALSEIFQELKNKRILQEFVSEYWKSRQDWQRVFKDEISEENVRKLQEIVNPHPY YA >CAK85752 pep:novel supercontig:GCA_000165425.1:CT868541:402254:404123:-1 gene:GSPATT00019470001 transcript:CAK85752 MPQTQQILQMVLVFLRDQKLFKSLLHLELETQISIDTYTRELQFLRQQILDGNFIGAEQY LQPLKTRLNDQFSYVLLELKKQQYFELINAKPDVEILITLLRQIEQLASPEAYKNLCYFL TLSCIRDHPEFSDWSVEKGRLDCFEGIASVLKNLGKTFRIEKYNGNTLQQLYEKVEEDEE NNKHSKFYSKKKSQIQLQQSSSSQLPTDFDKNIIIEKLHISDINENDQSLIKKESILNAT PPHSNSSIQSQLFNYKFDEMDQVATLSDTHPIRAAAFSSDGEMFAIGTNSKSLRIFSMKS VLQESQVVMQLEKQNHHQGSIYCIDWSRSGRLIGTGSNDKTVKLYNVEEDTDFVLVGHRG LVRSVCFSDENRLMSAGQDAVIKIWDVETQKCIRNLEGHTQTIYCLQTAGDGSYQVSCGM DKTLRIWDQRASRAQGVMTMQTEINYVSLSESTTNYNLQNLVKGSKKAPQFSSQGLAVLA HSDGVVSVWNIQHQKCVKTLKHHTMDCRCVEFDPTGKYICSVSFDSTIALYDWEQQKLIT QITDHEDRVVLCKWHPFYPFILSTSADCTGRIFAPHGFLVQLMKSL >CAK85753 pep:novel supercontig:GCA_000165425.1:CT868541:404776:405676:1 gene:GSPATT00019471001 transcript:CAK85753 MKEENHFDWPDPTRNDLMYLSSIRNQNKLRSISQDYKYTTNQPKKTYYNKETYINKVDDI PTKNNKFETVRESLYIDDIAGTRPQIAKFKTNRETNPVEPIYKIPTYQGADVPQPNKFIR DTLNISDIQGTVPKIKIYNPRNVDPYTFIDGSKPKQLRHIVRSQDEQDIKRTSNRQVNPL QPFYKWGEEIVGPVDGSQPYNHCQDRNKLKSLSLYTKDITGAQSDTVKKIQKESQKITNH TQDIYRAQADTIQRGMQTKRQTNPLQPAYQLLGQSELYKMQFK >CAK85754 pep:novel supercontig:GCA_000165425.1:CT868541:405728:407239:-1 gene:GSPATT00019472001 transcript:CAK85754 MQSSENLLVEDFHQNFLHFYAKTKDITNVRFNYMRELYNLGVDTFPDNQEVYTIQQNNKL NLQAQQNKLKKNWTDDDKKVLIWLVGKWVALNRRDIKSISDEDWNSIASMMPRRDAFKCK QKWLQMLKLPLQQAPWTLPEDDLLRSIIYDYQNQNKGNKWSQIATTLNKISESNVHRNGK QCRERWNNHLNPFINRNPWQLNEDLDLLQLSIQNGKKWALISKKLKIPRSENSVKNRFNC LLRKERGQKAGKKDEEESESEESKNSNFPSAEELNHEEIKLIHTIIKKIEWRIQQSENLR EHEQKDIIKEEHLDIVKKLKVESNLPLKRNLRASKPNPIQQDKINLQIKECQLTEAEMST LQPCLINKEKNQIFFVSPEQLHFYLNRSEISQQSTFQENNLSNQNSLGSYYYDPKLLRSF VMLQSNEYPQIQSGVYQQRSFLNQNLLGNFQNINNYSYQLPVSSYPSQANLMGQLQR >CAK85755 pep:novel supercontig:GCA_000165425.1:CT868541:407314:408163:1 gene:GSPATT00019473001 transcript:CAK85755 MNLTTRFVDSFSLTDDLLTQIIQDKQTISLSLRQLSKLHHLQKMLEEFQFLNIVYSDQSR VRKMMLTRTKSNLNLQQQLCHQIDKKIEDFMNFFKKLDSILFYTMNMQLMSENCLEFLQQ IADLILGSPAFTVIYEINKKVNGMSTHINDIENAYQKLLKFKESTNVQGSELDNLFGTNE LYVYKNKYSYLIYDVKDVPFKAFPDYRLILDQFISIAYDFQKEIYDKENLVSIIRGIKSR FIKNSTRIMLRNHSIISY >CAK85756 pep:novel supercontig:GCA_000165425.1:CT868541:408218:410212:1 gene:GSPATT00019474001 transcript:CAK85756 MPDQIQYMNDLIIDQHGYVVLPVKLDKQIKYYIKIESPNIDMITFSDYEFQIQQLWQRLT YQLKDLKNEEFLKQMLEVNSPNKLYIQLDGDGNIRYQSCCIPDSWYFMLIKGGSLEDLQL EQVNKQSLIQKMQELKSSVKGAVSVCQICFGDKAVDVDLFAKRNEEDEITDYYIVFNAPK KKFEDDHRISSQMSSESKLQELSKAALINIKMEKLSKACNVMRDYLKVNLTDEEIIDLLK EKDILKEVYNQQSITDDIRSSYVMEYYKAEPLNPMRDPVQGSQQYIIESELTNIKKFDLY TLDANDISNLSDYSFDITVVQDKNEQLRYTWALFHLCNFIDLYQINKEVFYQFTVIVQEK YSYRQNPFHNFDHGFTVAHACYYIIKSKSMNQYFDKFIQFTALLSALCHDIDHTGRNNHF ESQKLSKLALRYNDESVLENHHASVMFKILQKEKYNILSSLSQDQFQIFRKYAIANILGT DMKKHFEIVKLLELKLSKLPDEPFIQKEEDKKFLSSAIIHTCDLTMQSKTFKMAQKWSNR IATEFSDQVAEEKQLSLPITQHFLPLGTPNFNQLLAKQEISFIKFIVKPAYDLTAQVLQT GLEVPLKNLEDNLKEWENQK >CAK85757 pep:novel supercontig:GCA_000165425.1:CT868541:410239:411786:1 gene:GSPATT00019475001 transcript:CAK85757 MQGVTTQNGSDEETEFIFDSNTIQLKESRNKISKANEEIKQLNEQNKELIMQLNRQNELL ELKHKQNQQLQAEANKFKEQMDDRELKLLQSMKEKQLLQNELIAMHEILDQTTQRNDQIN SEVFALKLTLEQIQQSATMCKEETTQGLQRELKLKETIDQQNRNLKQQEEQLRKITNDCN KKDIQFKFEMQNKESVLQELRNQLQQQQQQNNEIINELIEQKNQNIQNSVYGKDYKPELT NITKENEELKNKFKGLTFQLNIYKEKEQSQIKEITTLRQTQSNLSTEIERLLNKINEQKL QLLNLQLESQNVLQEKDKNINQLMNQLSLLKNQDQKINLHKSIFHNGDEKLLKDIQLKND QIAMLQNENNQLVKLIESLKNQQIDNQGTEKIKIKQLESELKNLQSFIYDSPLVVLFNLL EDRLSKQQQKSFENKNKIKEFWATQLKQWTEGFEELKQAFSGLKIVFKYDLKYANQTSQQ >CAK85758 pep:novel supercontig:GCA_000165425.1:CT868541:412342:413393:-1 gene:GSPATT00019476001 transcript:CAK85758 MNNYSERAIKWMKVLGYLPSDGINQFLDVMEQKYNQFVIDITKSNELLIFQQLSQYTLEV STQTEQQVEEQKLKIISQYMNIEQYQQNEQLYSMIEIDNNRILSKYEFLKNPCNFNIQQL FYTNNYAFKSNQKDAYSTTYLDVLKRILYIYCKLHPNIGYISGMNYLLAPIVQVIQKEAD CYFCFELLIEKQQHLLLQSDNQKGLKATMNSFDEFIKNKEPQIYDHVNELGIILSLIFVR WFITLFTSDLDIQIVIELWDRMLVEEYQEYQFKIILELLRALKGKILKSNINQFLELISF KNENQVKLINSILKK >CAK85759 pep:novel supercontig:GCA_000165425.1:CT868541:414197:415591:-1 gene:GSPATT00019477001 transcript:CAK85759 MLNLKLFNILQPHEIIIQQNQILIIMKDWRQYRLSENQLNKQNIEFILLQLAITLNELSQ KKITWNLQSISQLYLIEDCVFLQLFDLEDSHLKMTTPNIDIFKSFVRKYFPQMIQILDIN MDNFEKIINYLLSFVKGLRINQQNHFGLNLFFKILQISSSYGMEDIYTVEFNTPKVFETV FGIKSKSLLYRSYDLSKPNRNQQLEYQNREIFVTEKLSNTSNILINFSYLRLYNQTFFFQ KKFIMTLAEAAEQKKAQNIDNIIYRKVACRAINELIKGISTFHQEGIMHRNISPQSIYID NENLVDAHFYIGDQEKAKEENLNNCGTQQSSSYQFHAPEGMQDITMKSDIYSFGLVALLI LNKGAPLFSFCFLDAEEIEKKFNAEYINGLLIKNKLEYDKKLIEIIVECLKQNPKERPDL VDIVKQDQNCVYIINISAR >CAK85760 pep:novel supercontig:GCA_000165425.1:CT868541:415759:416752:-1 gene:GSPATT00019478001 transcript:CAK85760 MMLADLREKEKLINQREQQIKDFRMKNVHLQNFQKVYDYRVNTLKDERENLMDHLKTMEK HVKNLYNELLEESGLKQSRIEEERKLITDLNILKNQLKSAQLNMDNFKSSIQTLINSDTG DWPEKLEELYHMVQKDNSKAIAIKNPVFQETLKDMQKDPLQQQIDQSQQNAIYKALSSQK YYLQQSLSEVEASAKYQLSQREVAYDTIQDQNKHLIKQCKELREKKQELKSQLDSMNKEY REKKREINNQGIEIPEENEDDNQFARTYATTFTSITRTPGTKSQQNFRTKLLEMQDDNYS ILIFILIQKYSIKQQ >CAK85761 pep:novel supercontig:GCA_000165425.1:CT868541:416919:419415:-1 gene:GSPATT00019479001 transcript:CAK85761 MLESISDFEFFLIGPQFLTQYKYQTDISGLEIQNNLISVLELQQDENIVEVCYNSEKKYA IVATSKNNLIMIKGDKKVGKFELIKSSILQSSSSNNLYNILSKSQSMFLLKLKKQRDEKK QINKDKNKATEQEKLVSVRISTIAKTNKGFIIGLIGKPAFQVYEVEQDNSEQEQYILNLV GTYFIKDEHIYGIHQIHLATYEIYGALTVIYFNRSAYQVALGSQQVLNNIVSCEIVYINL AKVEALKSVQKEPFEPLFEKGVHKGTIFNIATTPMRSILASVCDDKNIKFWDYSNDFKEM FSHQFHETPLSVAIHPLSYQYEIVFKDGLRFYFILDDDLKLVHNETTKVCNALTYSKGGH ILAAANGNQIQLYNPLTYKMINVLLNHQTNLKDLLFIDRDNLLISQCQFGILYVWNLLSG ERILEHAQKQNKYQSLCYDFEYDLVVGVSDQKLKVYHEKGQNIVLEVDTFPTQFSAICIS HRIYQSILLSILPLQPQMMEPIEISVHQFAVTAIKVSPDNSYLISSSIDGSIFFSKIKQF INGEEITQLDLITRGDTFALNSLCLCSTTAQEVRQEQLKELEYRLQNFKSDIDDAKEVQL NNNDYKLQKIRDEHTKEIQKVQDQLSQTIQQSDAKNNKIKEDKKNLISTSKKTIDEMNEQ NSKKLLQIYDIRDKLNEKLQNFIKQQDEERRSVNQEYQQSISQVDDEYCQKYQDLFNRFS QAMSNKKLDQRKFKEVLQQSEKDYETFYKESQQSLRQNQKNLTLKQKY >CAK85762 pep:novel supercontig:GCA_000165425.1:CT868541:419543:419989:-1 gene:GSPATT00019480001 transcript:CAK85762 MLSKSSHQIINGVSITLEASFGCLQNPIRKNCKFVNDDTLMFISGKHIVLYDIIRKRQTY IMKSAEDEVVQVLSFHVQLSNQQARYFLLSRFTIEKRSVSTNVFTLIYYLIVIFMKFYSL MMERHYNNQGNHFSILKFRIINNKLFTL >CAK85763 pep:novel supercontig:GCA_000165425.1:CT868541:420186:420647:-1 gene:GSPATT00019481001 transcript:CAK85763 MNQTLFESWVIDQLNQNEDTQKTKIKREKKRQKSKEDQQNIKEMTDLEALFNQMTLTDKK LKQQSIVKIDFEKNYYISSVQDEEFLKWIFSQLRIYFSFKKISQMPNKIDSLFQILFDQK EIEFLEQSIGSRLGMEKDQRILLKNIFNSIFEV >CAK57918 pep:novel supercontig:GCA_000165425.1:CT867995:7052:7985:1 gene:GSPATT00028953001 transcript:CAK57918 MGNTNVNKIMLSAIEKQRYDKIVEIIEKYPQILSEDLSQEIQSTPLARAVWRNDIYLVDI LIKNGADVDNGGSSSITPLMWACRRDNAYLVSNLVEYGAKIAIKSNEGYTALDYAIVHGN YRPALFLFEFYQETLEYFDYYQFAKDRDYRYVNYEVMLSNLRQKVPYQQLPNIFKKPEKK RLIDPVVDPRESWKQLIVRQIDFKEPPLVERNELPDNLQPQNRFWGKVRQQLNGLSVQPS QRMNQGDEGQNLQQNIPQDQEHSNVHIDQVSVG >CAK57919 pep:novel supercontig:GCA_000165425.1:CT867995:7988:8686:1 gene:GSPATT00028954001 transcript:CAK57919 MDSPQLLCKVVLIGDSGVGKTSILNKRIYEKFSFHTTHTQMGQMNTLKLKINDKEITLKL WDTAGQEKYRTITLQFYRETKGAFLVFDMTVQQTFDNIKFWAKQLRNHGGDDVKKVILAN KCDLTDKLVVKEEEILELAKQINATYFIVSAYTNENIIECFDYMALELMKNCCNQPDKGL QSKQLSANTESVLDQKQKNKSSSGCC >CAK57920 pep:novel supercontig:GCA_000165425.1:CT867995:9610:10767:-1 gene:GSPATT00028955001 transcript:CAK57920 MNIMMCKYHPDNQAIFLLWNETILQFACEECFENQKKQENTNMFKQLSIRKALKEPDYFL GEFNLSKENRQLIEKIDNVHVSKLKQLIISIETYIQEIQISLSNLIDRYKKIIIDVIQKK ENLRNDLEKVSFYALFKGIFQGLNSQQCITDDAINQIEDNIKQLFQEINKSQKSFNQEIL KQLLIPKFDKPTILPQYQILKDKLQTLKDNMASLLIQKPAHQTQDPKDYKRDLKFSNIYK QSQIQVSSDGKVAFAEDDVWQVVLCEQALPNNGQISFSFKILKLHQFYCGICFRDRINKD YRGFVTEAEHGYYMICRMGKVYSHHDKEINEQLKGFPSEQGDIIEILVDMIEKTIRWTNT RTKKSFVSY >CAK57921 pep:novel supercontig:GCA_000165425.1:CT867995:11205:12135:1 gene:GSPATT00028956001 transcript:CAK57921 MFTSSRIRNYCFGLKQIGIRKQIVMFKMFGCTSKQKVILISESLEQIGEITSKFKQERLN QQERNVQIIKDLKDKVQNLKDSQVQQFEKITCTLEKWVQMISNQEEEFTEKIDSVSFIDI DEFINFTQDLDAQKLSYNDEFKLDLKQKLEALIENNIIGYDLYILVFQYSQQNKNWNQIQ KIQTSAGGYRLCFISDNQISWKVYSKEKSEEYTKRQGIKIKKGFDQNCFFPQQYIKQKQL LINKSGSCINMIKVLESGQLMVEQCIEFETNYIFGATNNNGDYLVTWDYVTKDLQLRKYQ E >CAK57922 pep:novel supercontig:GCA_000165425.1:CT867995:12387:19363:1 gene:GSPATT00028957001 transcript:CAK57922 MSSQCSFEELNSSVNLSLDSGLINVVQNPNDSELDFNQLESAILSSKQEGLTKLIKGSDQ YYYQYFLNILNTSGYRLDSKQLENFEQYKKMNTENFQKIRLRSLFIEYDELMNMQQSEKV KLKEQEILRKINKRLFGFSFEELSQNNLDSDQQKLLIQKQQVISGNTQAKVGLSELDNSK LQVEEYIKNAYSEKGILKLKTNLLSKLDLIQLSKSTVKVIDIFFTKIDTLIIELNNIPEF YNELMKREDYKNKFDAVHFKKLTLSQMKQIMTLNNRFLENLHFVGYLYFKKYNLGKYDKN DEKFQDNKEYFLSTLKKAYLWSKTLPDKFKSLSDQILLELLQLGIEFKHFDFDLLSEYLR NPKDYYSNCTQQQKDHQSKIRREYQSFWHDFHDFNFQRWMYPDKMIEKYLEKYFQLHDNY SIFELYLEANYLKMLKAECKLYKGDEVTNLTEFFTNSQIKQMNNNKILNLCDYNKQYFFK GDPVTLYIELKNISNLTIKIFQINMKNFYMAKSEQLTSNICLDGFIPTEEISFTYDYPPI KKVIKEFSFESIQKSERGSFIIEFIGNGLSSKAIIEKGRLCLHETVVSAGYKYEIFDENF EKLVDEQIGLWINETYYKQSNGEIILPFPESETKYNAIIQHGDFYQKTQLNLQPEQFQLH CAFILTEEQIQLGQELTTIIIPKLLLNNQDVGFGFFKEQQILVTCVNDKGVPQTITFKDL IFESNVPLEVKFPITQQLRNIDIEVSGRIKRLRFDQDLILKKFHQVKFNHGQSQHIFQKQ LLKFDQNDGYSIYLLGKNGEPTSNAQVQIQFEHSEIDDLIQEKLITNQQGQIKLGFLKNI SKISSSVLITNTQVEKKEWDLFNPNKFCLENYQETFVVGQKLTLKYPSEYTEQDILFYKT KSLKSVFLPVKNLIQKVQRDNNSVIFEFEKKGKYILEFVREKVIYQFNVLESNVKHKDNL IYTSTRRISQNLAEKNFSLENTKISQQEQHFVVEGDFVSSTEVRFLALATTFFPKELSII NKQMGSIFYQNVSDNDLRIELRDNIYLSNLQQSEELRYIIERKNQTKYVGNTLEKPQILL NRLLADQTVTQDEQILKQDHNLTGNERKRCASSRFLKKAANQFTGNQLCDSFTEFLLFPG KVITQINQDKNHFSFEVPNQYSTILILAYANESYISRVLPLSNSVIQAKNLCHQSSLSKD KFYSTFRSTKQIAPKIPYQIQDISSTEIFILDNLNVLFRIQKELMKIGNKKLVAEDEKFI NQLLKWNQESIQSQHKFYNQHQCDELNLFLYFKDPAYFETYISKYISNKIEKSFIDFFLL KDKNALSKYVQVTSFKQLNALEQALLVIYFQEIIGNKEQAQAIALYMSNNNNNNKLIKQD DDRFKTLFDTILGIEKDSQGEQEEIPEKKQNEIAPPYSPPKEQMVQNMQRQLKKRSSLMR FDSIDYKQKEDDDSSMSIQSIVQSIKSRSSVKKNVNKIPEMKFHSKDYKPQEQALKYDKM RNQLMKTFSNVETTNEYCEKHYRYGVNQQDFHNLVKPQEFFVDLVNHSIQKGFLKQDFCP SSFMLCNNNFTEILSVLALMSLPFQTPQFSQTQFGNKGLEINYESSALIFTREIKEAEVQ LNSNIIINQTFFDITEENQVDQDGNEYEQDLSEFFIKKVYCCKIVVSNCSAISQTFQLLV EIPNGSIPVFTTFSTKTFTLTCAPYQTQIQKYFFYFPKSGIFTIYPANISKLGKVIQIAK EKTLQVYDQKPKVNLENINEVLSTDNNKDILNYIENKNIFNTKLFNPKLLYHKFSDQVFY NQVMEIYRRRKFYDFHSFAYSLLHNNIECLKELFLTEQGQNALKQKFKHFKCSLFEINSI RVLEYYPLIIKRVHKLKQGENNILNVQFRKQYTNYLSYLLEKPQFSTVDKIVLIYYLLLQ ERVNEAVQVYEQISEEEQKEQQLQFDYLSAYLDFYTGYPNFQKAREICQKYLSYPVIHWR NMFYEIINLFIEYDGEEDNQFTKLETTQQQKQNDIMKNEETLTCAIEADSINITFSNLSE VKIEYYKLDIEILFSNNPFLKNVIQDFSIVLPNVCVTQKLVGQEIQKNLFQEKIKIPSEI SKENLFVTIKGKQKSVTCKYQPTSLFVRTMVDSGQIRVFNQQGQYLSKVYVKVYSKNKGD SETFHKDGYTDIRGRFDYASLSSSNVKDIAQFSMLIYHEDLGSIIQQVSPPPTLAQYEKE IRLIGNKWREQETQEMLQQQQIYNQKFTKPCKVEQKNIDNID >CAK57923 pep:novel supercontig:GCA_000165425.1:CT867995:19479:20257:1 gene:GSPATT00028958001 transcript:CAK57923 MQVNYEAFIDEAKNKMMKWQEINEQNMWELYSNKDGYIVYTKKNPENGINMNRTQTEIAR TPDQILDLIGDVNKRPMYDEKVETAHVVEQIDANTRIVYVRIKAPIPFMSSRDLIMVQKV YKQNDGIIIVCSKSIIHQKTPPIPKIERAEMHLSGWIIIPQPNQMTKLIAIQCFDPKGDV PQSVTNQYAKLQSDMMKAAVKFIALNYK >CAK57924 pep:novel supercontig:GCA_000165425.1:CT867995:20266:22271:-1 gene:GSPATT00028959001 transcript:CAK57924 MLLNYILIPIVIASQESCQHYMTSLLVKAEIEGYKKLFEDIWPYVSLSGTRYYLLLQGSL NQFGMFETCLRKGYYYSSLKIEMEPVPSYYGLCHSRECTTDDFNDEQFQSDFKELINSTG ILDTYNVKLKLFKVSLDNADFIFYDPLNYNKNSGVMFILTLFLIGMLLLLSCFNPIFCQL INIKRQVKVSINIRDIENNEKQDDENQGLTNNQIPVVKQEETNKLCFLDYSIQTSYNTLF TFKDHDTNLSIMEGYRSIGFFMVIFGHQFLDLAKSSFRFEMIAQLKSWLCLNLVNMLYSV DMFFWLGGFFSAYMLLEKSKVKLVKRNGFSIFGFLLHRILRIWPCYAIAILISSQLVPYL GDGPRWFMALDRFECATGWRNLIFIDNLFYDTQYCFPWGWYLSTDMQLFISCLIPVFFYA KVNSKVAKAMIVSLLIITQTIGTVMSLVNEYLLPPFALTQPNMHQNYYTKPFTRGPPYYL GFLLGVLYRELKENNVNALSRLQAFAQQRQLNFKLFCYLLGILIIGGIWFGWRPAQLHYE HQDYWNKTIQSFWFAFCRLGIALGMSVLCLPCLFGMKDVFNMTFMRNNYFRFINKISFSG YLIHQIIIFGLLGQFYQTPNFSFETIFTLYIGNLFITQICSTLFQWKTAL >CAK57925 pep:novel supercontig:GCA_000165425.1:CT867995:22373:23486:1 gene:GSPATT00028960001 transcript:CAK57925 MKQDRHFSRSRRSTSPQLKNKKALKNKQKKNHNLQSSSSKTKSISQEIQPGLPEERNILN LIQELETQDQKGIENQKLIHLCLQDTQNPIINENQELSEIQRQSQYNQNYHQECQQSMRN EYVFLGNSLSKHMISERQRVKMVNWMVEVLSNYNETTSDITFFRSVSIMDHYLQKSIFKF SDSNLHLIGITSMFIATKLEDIYHIPLKDFVTRVSHNQYSFFAIKAMEQSILETLNFEVT FPTSLDFLQNIFYQCFSLNDNPNLQDILDSSIYILKMCLYDYTMTSFNLYTLAASSLIFS IKDFVNKNYLNDRNIVIDQFVIIYTINLVQQNYVNLLNRLNRAQFM >CAK57926 pep:novel supercontig:GCA_000165425.1:CT867995:23606:24607:1 gene:GSPATT00028961001 transcript:CAK57926 MQSNQHKIMRNYDFYNNENWKEVKLQIQHYIELFQKQTQPQIQNIEQFYQNLEYDHSFIQ WMFPNFYQSMFNSNSQKLTLKERDCMIKSDTILKRYHQYYILILRFYGIEIKQVKIKQIE KANVSNQQSQIHTQTFNNFNNQQSKDIKNTIKQIDIKVTQQKTNNQCEIYQQKQKQNELQ NCKPNNQLQQIQQQFQLPKDTNNYKNIQTQSHIQNTDPTNNQQSELVLIDKKQFELCCLQ NTHHLLRLKRILASLSVLKHRKEAIQLCQFLKKELTNMGRENIYQENFSGFDRYYEEMSE RDTYRAVNRRFNSFKYCYVDKDLLEQVDISIIQ >CAK57927 pep:novel supercontig:GCA_000165425.1:CT867995:24623:25374:-1 gene:GSPATT00028962001 transcript:CAK57927 MQTAPPFSNNHTNPLLMKDDVGKSKPSTYNLPNQDFIYGQPLSRDKEGAKEVTMTWKFHQ ESQDHLPNRDFAELNKQSIHNGSFKAHDMYKFRQTHDARLKVKKGTNIQAIELPEEEFRY GRKNRPSTPMKLVMGNSYCIEAESTILDKYQQRAGSQDSKMTSSMVKGNKASQLFHDTNH KKLAAIQGVEKKEPFKMEKFKSVTSKINTNLSTKK >CAK57928 pep:novel supercontig:GCA_000165425.1:CT867995:25423:26601:1 gene:GSPATT00028963001 transcript:CAK57928 MSQEEMLAKQEEINLQAEEGEQRRKNKKRVITNPNQLTGHWSEQEHKTYLEFLNMHRTVM ESQDQKKTSKIFKLMSETIGTRSPSQCRSHHQKFNPFVHSVKKRQKGVGRKRKENGNQDR MDKYNDNGLLQQFMVGPQLYQQQQGFQDYQFQFPQMPYMFPIPDQTSKDDDKLNIYDQQQ LYFQQYLLAQQQMQQQMDDKNLFCYFMHPQQLPLNLNYYPQQVLSEDMNIKDDEQ >CAK57929 pep:novel supercontig:GCA_000165425.1:CT867995:26651:27515:1 gene:GSPATT00028964001 transcript:CAK57929 MKIQLILVLLIAVNTQSFLEESALYYQTPFAPIMIQEDELQQDIETAIAPEQEYVYNPES VLQSAKEQQILIARNYEQNLPATGDCIILYSECNFRGSSFKYCNQPDEVLSFQLPIQSVY VPIGSSFQMTDALEGNQINMLLSNDCIPSGLHLPEPELQEAQDTGSSWFSELKDSEKAVL DEGDLSTPKIQYFDNDGNVISREQYQKMVEEDAKRSYEILSGQQTLVSPGEEQPQQTATA NVEEMPVEKEQQQQEQQE >CAK57930 pep:novel supercontig:GCA_000165425.1:CT867995:27561:29301:-1 gene:GSPATT00028965001 transcript:CAK57930 MKKCDNERIAQQIYASQMLKLQGKILEKKLIKTGSKNQIESQSQNISNNNSPVVGRQKSY ENLQKVNKTFINQPVSQQKLSSILNVISGQQQNTKQKYSINNELIETVKISHKLRKNQSM IHIEQQSPALTKNASFHHSVKNKINSNSVSSDQSQIQKVKEKIKLLLQERDQNLPQDAKE LAFMTKFNQILNQLVVVLFQEPNLQASQQQFNLPSSTQDTFQAIQIDHFFKRQIQILQQS YQLQLDKKKLENVLLQIKKKQEVLQQENDQLSENKMSMNDQITQLKQQISELQQQNHSNQ ENIQLESETQELKYLVQGQFEAIQKLLQREQLMKVFLKRVGDSSIIEMFEQFIQSAENVN QDDTEGNLNIDMLPQQKHTQVSKNNQQQLQLQQQSQQVPDKIIQQYEISYKQLDLCDSLL ADDSRVNDSEESSFGYLGKEQATEVSCYFNQAQQFQQQQNQLPFQQQQNQKLKGKSNIKD KLRINMMDVQLAQAAQKHEYLKQQQLLQQQQQHDKILPDDINSEDF >CAK57931 pep:novel supercontig:GCA_000165425.1:CT867995:30450:31739:-1 gene:GSPATT00028966001 transcript:CAK57931 MKPTAKVFEYLNQYHYYLPQNLEQVQKMFYEQMVQHLQLGDSFKNSFISQISQINQKAQK EPEFRQLIRDTWFGFVNKTSITQICSMIHQQEIKDVQEFILKVLLEPKSFEITYVDDLLS VYEAYFQSNKGMAQVVIRNRFRMIISKLICSKYVKSLESRYQQKFNQFLFDIYLKKIERL DQNIVISTEFFTESNFFQKMFEQLFDSSEMQGTFYNLIKNVIQIIVREITGIASQLDIFT QFYHLYCEKDKILFEKWDQRPNIDQSKLATEIFQKYKENQDLREAIRIVFQKITQNQSID YKKLLKDLVSKDIYNRENEQWVSMILREFDQYNIQRNRKQPAPNDFQSYLRAQGFTQKAE RRGEQEYDHAKFNLIIHDQNNFTVYNKINKQTKKFVQIKEVYHYIQTSIQNFNN >CAK57932 pep:novel supercontig:GCA_000165425.1:CT867995:31820:32427:-1 gene:GSPATT00028967001 transcript:CAK57932 MYKSLLICALLIATQAGHVRKSHGAVHQKRVFNSAFMEFVNLGDSDYHLNPKEAQHWAQI TSEDTKHDKQAKKHASLVQTNEQYVPGVVGQVTDLSNNAGVFSYTVTDVNGNILEQDAGD HLPKQLYNAYLQMTQEIERGPAMIKLQTDMDNIIREDQLEQETKEELQSQALEGTALSEQ EQ >CAK57933 pep:novel supercontig:GCA_000165425.1:CT867995:32454:33147:-1 gene:GSPATT00028968001 transcript:CAK57933 MDVILGYVDEFRHMKFRKIILQIVSLAIVVGSALSIWKSLQVVSFSESPVVVVLSGSMEP AYYRGDILFLTYFNKPFEVGDVIVYKIKDQDIPIVHRVLQIQQKSEDPMDQLILTKGDNN QVDDRALYPRKQMWLERSDIMGKIEGVLPYVGYITILLNDYPSLKFVMIGLMSLFVLTAK DPQS >CAK57934 pep:novel supercontig:GCA_000165425.1:CT867995:33203:35320:1 gene:GSPATT00028969001 transcript:CAK57934 MSLQVYQAPPSQLINSYLITLIDGTGSMAQEYEQAVKAYQQVFSYLGNQKLDYQFQSQLY PILPYVGVNGCGGNITKTFKELFSILLSKQHPVPKNITILFVSDGRESFDLNQLQTQIQE MKKIYMIQFISLAVGDRFPNEISNILRNKIHNHDPNFQSLFKVKRQYTSTKEVIYQNFLN CFLQIKPLIQVQDGIIQINQQVQQTLVSPLSNQVIAGSHFCAKSDGKQDNEICAGPTKLQ PKTTDKDINEFIIGSLSQAINDQIANKKRDTQLFKKISEAAPQLLKQIKSVVSTPEEQSK SQEVYSLLDELLSQNLQLQTMSENQITQLQRGLFDQQVSLNQIINVNSLDIKKKIVTPEM LNERLAEQYQKLIAPDTFQEPDNLKNQQLTLLDSTELIIIETLNNHISYIQSNLNADHTK LLTDFLTSTQESLIKVFSASDFKVEQDEQIVSFKQMNNLFQLIDQTIKRLISIQNFLVLV KKYQQKTQVIEGVDASNALFSSNDDYKYLPKELQILLKEGLELEEAEKCLILIVDINDSM KNESQIAIESFQTQFKDIPEKKKQQQQQQQQQQQQYESLLEQFKQLDQQYDLSNKRNRIC VVTDGQTNYQQLHKSFKVVRFHYSFQIIYLTIGSQINSKIGNELKSKYQDRNIRGCPLLF NVPRSIVQSTQNTSQRTKDAFNRCFEDIYKHFIK >CAK57935 pep:novel supercontig:GCA_000165425.1:CT867995:35344:36362:-1 gene:GSPATT00028970001 transcript:CAK57935 MDEINEYNQADEILQNSDDEFNANLLYTIYLISGSFLLILLLGWIFAYYIVRPLKKLAEY AQHINQNTTRLSTGKRSKQKPIELQDITAQDKIGELVDEFKNLIQGLAGLKKGNIQKKSQ EIKPFLEGYENQNQDQFQELLTEINKIEEEKQIGQFNYDFL >CAK57936 pep:novel supercontig:GCA_000165425.1:CT867995:36499:39027:1 gene:GSPATT00028971001 transcript:CAK57936 MSLFRSEQMEFYNLVIPRESAWDVMNTLGYFDSVHIIDYDPNLPQINRPFSNYVKRCDDV MQKIEQIDSEMRNFKIEKRYCPDVIDLLKKRNGTHKQFEELEQDICKVADDLEHQQQTMN SLQEKKNTIRENLEVLRNAVAFQNEDSEEASLLGFQKMVGVILKEDEMRFKRIIFRITKG NIHVDIMDIQEHFIQQDRRIVQKCVFMLIYPNGDLTQKKIQRVIESFSCNKFDIPTSSDQ HAQRITMLENQLSEADQLLHLTITQINKRLQDLAEVKHNCSWIEEMRILVTKERYLYMNL NMLNMTNSVFHGQIWLPQGQDQKIQQALRNLHGNDKQIPSGQIQECQTQLTPPTYYKLNQ FTYPFQEIVNTYGIPRYKEINPGLSTIITFPFLVGVMFGDIGHGLLLFVCGLYLTTEDAR KSIFSGIVPMRYMILLIGFFACYNGLIYNDFLSIGLNLFGSCYNLVDGEYELQEDCVYKF GIDPAWGSSANQLTFMNSFKMKLAVIIGVTHMTFGIILKGFNTLHFNNYLDFFCEFIPQF LLLLCSFGYMDFLLFLKWSTKFEDTKDAPSVITTMIDMVLRPFDVPEKPLFESGEQQRFI QLLLLTIITFCIPIMLITKPLIFSLRKKNHHQYQQIPSQVPEEDPNPEQLQHDMQKEQSQ PHSKLSIQQHNEHDDIGELIVHQSIETIEFVLGSVSNTASYLRLWALSLAHSQLAEVFFS MTIASHIGEGGFFGTIGSVVQFPGFALATFGVLMCMDLMECFLHALRLQWVEFQSKFYKA DGYLFKAYSFTNIKTNKEDD >CAK57937 pep:novel supercontig:GCA_000165425.1:CT867995:39039:39914:-1 gene:GSPATT00028972001 transcript:CAK57937 MLNLIPPQQQYKPYTNTPTAAQSAVCILLRGRFKPQQGYSQLNVNNPQCTKFQEQQDIEI LYIQRQYSNRDQYSGEIAFPGGKCDNDETDLQAAVREVHEEVGINLNDLECYYVCRLSKN AYMKKLRNSKSLYCSAFVIAINDPLKKTDKMKLSENEIQLAKWIKLAYFDDPIFKIKKSQ HYFGPRLVAKYFKSAETAALDIGFEEILYGFTLYMTIAFLVLIQKHQKVWEHAHFVKFTF TGPMKYALEYGAKLTYKKERISLVEQWQWPISIYLIPLLILMIILIWFIFL >CAK57938 pep:novel supercontig:GCA_000165425.1:CT867995:39964:40347:-1 gene:GSPATT00028973001 transcript:CAK57938 MSDQSQYLNKSVKVVCSDNRVIYGVLSCISSPFSIILQHSVATHPSPLDLDIEFHYTPQF PAKYFTNDESIREAYNNYNKATEETKQELQKKVDEAKAFNDQFMKDKYYVGSVVIPGEHI QNVILIQ >CAK57939 pep:novel supercontig:GCA_000165425.1:CT867995:40372:41062:-1 gene:GSPATT00028974001 transcript:CAK57939 MSNSLMGNMLVETVMERALKDKMKEQQAKQQEEERRRAARRQYEEEDEDDCDDEDLKEML KKMREQRAKELQEAMLKKNKGFGEYREIVEEEFLPSVTKSEFSVVHFFHRDFERCKIMDK HLQAISQQHPETKFYCLNAEKAPFFVGKLQIQVLPTVCLFVNGVLKNRIVGFEDMGGKDT FETGTLAHILLRYGMIKVRKNSKDDDSSDEDK >CAK57940 pep:novel supercontig:GCA_000165425.1:CT867995:41090:41497:-1 gene:GSPATT00028975001 transcript:CAK57940 MPSNDSEVTKSQSDIQESSKKIQKKAGHWNQEEHESYLRFLQENANHSKGQRLFKRMSQI IGTRTPSQCRSHHQKFNPSKPTIKITQTRLLKTKQLVRLYMQKHKVKDEEEE >CAK57941 pep:novel supercontig:GCA_000165425.1:CT867995:41619:41837:-1 gene:GSPATT00028976001 transcript:CAK57941 MFTLKLRLKQIVFQLRLSPVDEIIIRKQILLYVYCIIYKVIVYDKKFQTCGNEGIRIDKE SQGGGAENGKEY >CAK57942 pep:novel supercontig:GCA_000165425.1:CT867995:42144:42764:-1 gene:GSPATT00028977001 transcript:CAK57942 MADEMPEVDQLIYKSRNLGKNWIREIKIVSFIILMIVFVLLELTINGKCLLTRDKWMFLM IDCIMYLGVFAISVKEIKIQNQKKNKKQQMQIRLRALNCKSLRIYLCFILTILLLCIIHL GVQIYFFTFQFCQECFYYKGSFKDTKDFEFCKILLFIVFIIIEIITIFFLVWIVKENWKA MRKPEKFRRSRVEIGYSDDIVLKINS >CAK57943 pep:novel supercontig:GCA_000165425.1:CT867995:43448:44878:1 gene:GSPATT00028978001 transcript:CAK57943 MMKNFTVFEKLGEGSFSTVLRVKRQSDQQEYAMKKVRMGQLKEKEKENSLNEIRILASIS HPNIIAYKEAFYDEQSQSLCVVMEYADKGDLQQLIQQHIHQKQFIQEAEIWKMIYQMAIG IYVIQFLALRTLHQMKILHRDLKSANVFLHQGNYKLGDMNVSKVAKKDLVYTQTGTPYYA SPEVWRDQPYDAKSDIWSLGCVAYEMAALKPPFRAQNMEGLYKKVQRGLYERIPPKFSGE LMTVIGLCLQVQSKQRPTCAQLLNNPILLRNARQFIIESRVSQQTQSSQAGSNILLQTIK LPKNLKHLKEKLPKSKYLIESVNKSYDETQANSSFLPKINNTKEIRPNGSVPPIKSDRNQ QQQHQQNSLHEERSKSIVNSVQLLEMERVRQKRLLEKQQNLKISIIHQNAIPYINSSSSQ IQNLYANKQHNTRDSQKQYVEAPKPIWWG >CAK57944 pep:novel supercontig:GCA_000165425.1:CT867995:44895:45519:1 gene:GSPATT00028979001 transcript:CAK57944 MQEVKKELKLIIVGDGAIGKTCALFSYVNDKFPTEYVPTVFDNTITQVEINGEQYQLSLW DTAGQEGYEHLRQVCYQGTDIFLVCFSLVSDVSFENALKKWLPEISLYNKNGFIMFLGLK KDLRDPKNLNHIQTQVAEQTIKKMGFQYRETSAMTQEGLKEAFTFSIQSLIKSRQEHQKT KKPKKSSCQLI >CAK57945 pep:novel supercontig:GCA_000165425.1:CT867995:45538:46683:1 gene:GSPATT00028980001 transcript:CAK57945 MNEQFRKFLLNQEKTEIQQKKSENAPPIRLKTQSKRKTNVDLGQETFVQKKVKPDEKDDK KEPNLMVKLQMNPVDLVKCTKQYSYGNYKKYYHLRLQQKWEDPRLTILDGCYFTDKSILD IGCNDGTLTLLIALKHYPKLIRGIDIDYTLINKAIEQMVHLDDQQKKIQKQEFKPIIEDL PVSFEKYMEQPMSKAIEEQFIHQTIEDMNKENQQNTKDNTFPHNVYFRVQNIIGNKKYDE KYDTVLCLSVTKWIHLNFGDVGIKRLFKTISNSLNEGGHFILEPQEWKSYKKKKYYSTEF KQNYKEIQLKPQDFSKVLEKEYNFKLIQQINPDDESAIKKSKSTFRRPILIFEKQKEI >CAK57946 pep:novel supercontig:GCA_000165425.1:CT867995:46702:48977:-1 gene:GSPATT00028981001 transcript:CAK57946 MGTAPCYKRKNEEVKVAHRGHLSDKSMKSNNEDFPEQQGEEQEEEEEEENIVYDYIQGEL IQEGCNVYSALNTLNGQLLALKIFKLSSEDDFDNVISIVDILKRLDFKNIHQIIGWDYSV FKNEVIQNEIKILMPYESGGSISWLLQKFSSFSPQLAIMFMKQILQGLEYLHSQGILHRN LKTSNVLVDGEANAKLSDIYILNKYKLSMYSAPECFNGQEYSQYSDVWSAGCIFVEMLTK MPPWHHLSSDITLDQIRNSINKGQLFQFKRITKQEDILQIFNQIFKLNPKERSTPNQLLT LSIFRNLETEPLKSVIISTRKHYHTKHDDKKSFKLQNSNMSSSSMHGGLSVSIRRSNNPD SSKYQQVLQQLKSIHQDFNRTENMQETQLLSHNDLCNVVTRKIQIESKIKEIGIHHIHQD KNALVENGLIRPKEGPTQIAKISVNGIPDQIFKNQVHKPPINQTSQQYKSIMHSGSLEKI QTIRSSEYVKSNEQSIERLPPVVQNKQLSESQQLEELMAQQFYQINHNKQEEIKPNINLI DIERMMMDQFSFSMLKSNQIEQDSLIEKTEQQEYQQETKNIKSEILVINNNNIQDPNYFE NLMQQQYLEDDEQEIDELQKLEELMQQQYYNIQKNDISLDKLIQKQLSNHDKSPHDKAIP LLEQKQEEVLQENVSIISFDKLDQSPKNENELLIEDDFIYML >CAK57947 pep:novel supercontig:GCA_000165425.1:CT867995:49086:49313:1 gene:GSPATT00028982001 transcript:CAK57947 MNNLGISNNRFQQKAQQKRQKSFDQPHPNQKAPINNQELVNNHEFEITPDIVKPNVQVPI FQDPKLIENLQQKKK >CAK57948 pep:novel supercontig:GCA_000165425.1:CT867995:49338:50624:1 gene:GSPATT00028983001 transcript:CAK57948 MDESQFNSLQKTIIIPPRKLFQTILQEFETQTPNFQQFINETQSNPSFINGELIPIFIIY ESEVDLVHQFQLKKDQQLLRSYPSYHQTNLYVNNTYTETKKQTLEDNKVIHCKILEINVN EEFVDQDLELLIIGTYEQKYCYNGIKTILRNEIPKEQYKQLSYQHSIMVQVHNAFDLEYK YFGNTVKMNIAFQIKSHIQLDNIEMDFLLSESQMIWKDVGINRVVPKCMLQNISINKQYL NNEEGFIEVLLDWPAMLQNEQLQPDLPSRRLSIVQVNPVLEIRTPLYIRWGQQKYTKCNY LLWKIKPIQTIGGEIKEVVRYKDAQNQEYLALKIVLINYSGKEHEIIFCPPSQIFGKKLN QKKDQPLVVLGITLSTEKNLGVLQPNQLCETILRVQLINNGILNMQHINLKIDNQLTELS LNFLYSHQ >CAK57949 pep:novel supercontig:GCA_000165425.1:CT867995:50651:52338:1 gene:GSPATT00028984001 transcript:CAK57949 MIEVNNKREGFSVVDGRNGVLNVKKEGDNGGVNYIINYLTQFQNQMTELLLIMNVRIDEG RQGTINVHLGDDPEVLARDFCIEYNVNQRLVPLLIENINKNLEVAEQQKVNVRERDQYTM QYSTETCNSKFMETPFNEPLQQLSTNNYYSTHSSVHERLYEDAKNKRLKQKLNISDSRSK KIKIQEPEINYGLFLYQKGLKKNEEKQQRAESAKKDLQNSQLVECTHHPRINHVSRQLAQ RKGECVSEHLNRLAQEQKIKRENASQEHLKTEVQSCSFKPQINRISRYIVEERNDRGNQP WYQSLYSDYDSKRQKLEQLEKQYFSSNYTFHPKIDLISEKIVQGSSFEQRQKNRSTSRQN LSVCDDENQLFKPKTGRPPEKRPRDLFQNLYNHAKIYEQKRQNQIVQLHQQQISASQIRA SERSNQMIQSQMQIQLIKIFESLDSDKDGCINSENCDVGDLEDNVIKILSPLLLEMESGN HTLNKKEFVESAERLVAILSPGDKHELLKRPGRKTDVPQFSFNPSVNKRSQKIVAAKRN >CAK57950 pep:novel supercontig:GCA_000165425.1:CT867995:52375:54929:1 gene:GSPATT00028985001 transcript:CAK57950 MSDEEPKEDDQDIGDNPDKENPDKQQDDQGDQDNFEDVDDYQDEDDPRDDEDLKNNETSN NLKLTIDDLDLDENQKLRKWKDLLTDHVLQVQKLRGRNNRLRGELKKLGDKAEKLVQSNV RKQKLLEQKLDPKHYNLAEKKILYEIKNGKSKQDFANKIDPRILDLLIAIDKMEQSNNQM RESIKALKDRNKNLEQTEDVNKLSNEIVLVEKQIADEDRLRRKMQVIMKEQESELKQQQF DCDYEGRLNSLKEQIKTLRDSIKEGQKEELHETRKEFELNNHFAELQKIYRQMCNQLNKP CEEKQHFKQDKQRDQDNMNEKLKKVQEFAQKSQEENQKRFLQIKENKKEPEEKDELKKAL EEFKKDENKFKQIQEEVKQLQEDLEKKEREAQKEKNRQVEEYAKLSEDVGQLAKQLKEKE IQTKSQVLILNDLQRQAQLLEKKQIAEPIQSTQQKSESLMRGITEQTQTCLPFDDSKNLD WTSYMPVNQIVVCKNSINNLIVGLELTYGSAENEEEQKTTKNIGLQEGNIEKVEIKITPE EKLSYISGFHNKEQIIFLKFETTKKRVIQVGNMKYKDNFTKDFRIEIKDKEIQGFSGILD FTNESSNPSERYLVALGVNLKQKEDISAKKKQKKQQMEEEKEKQRVPIDYRKITYPFRKN QPKHLELVKSQPKLEVSIYEEEKSKLFQKEKERFLQAQRAQLGFLSAKQFSPATYKQQEY LTEDKLRKEKNKERKNWVEQKREENKNSLTLPLIDLKSPVEQDKKGAKGQKTASPQKQQK QPQKEAPKKDDSKAKKK >CAK57951 pep:novel supercontig:GCA_000165425.1:CT867995:54956:56476:1 gene:GSPATT00028986001 transcript:CAK57951 MNSLNNGLNVSDVLRNMPSFTFTKTMELIESIVKEIEYDKIKSDLGEEGEPERPTNDQWR RQNAHLMKEFIRKPDNKIEELEEEQFEEKPPSWEEFQPEIVQTQQEPESDLYDDHLRSQL SYREKMRKEFEENQAQIEKEKQEERRKIRVLVDGQPEKVTYDIDGKIILKAKRVQLAPVK KIEGQQVKESAPSQTEELAVIKPRRPALPKPKLKLFKVQPSNENAEALKIIAQQERNHLQ EFMDVLELKPGVFLEIDHHEKGQRNKHTQAQDLPYRVQNKMDQYLASEQSQSIRLSKEEY SSITINNSILRGSYEQSYLKKKSGIRQNTTQKTKQSDYEDTMPKNGIVKVNDIGKFYDLL IKDSEIIEENTHTEFPPILMDKYDSLSIQEFKTPIKSELPSIPATQFYKYLSKQQPPSLS RIDNNSVTGSVTSKLTRDRSMPEVISNMKLHQCLAAPKIGKYLGFGINQKYKF >CAK57952 pep:novel supercontig:GCA_000165425.1:CT867995:56524:56983:-1 gene:GSPATT00028987001 transcript:CAK57952 MKQYIEVGYALSNRVKCQNCLQNIIKDDIRIGHVLTRPPGLGFDRKVWYHLPCLTSIKGD RNQDLDVVNIHGLKEEDQKKVRQRVDQIKKSSYQKKDQKEVKYLSKQEHFQNYVKIQRDL HFNQKIRQQAMFFQKMDQPEEEW >CAK57953 pep:novel supercontig:GCA_000165425.1:CT867995:57971:58427:1 gene:GSPATT00028988001 transcript:CAK57953 MNIIDEAEQKLQKSVQQNKQAQKKELRPRSNIVPGIFQEYKRTYIKVPPVSKDQLSRLVL KEGFKIKEAAKKLQIKYATAKTIIFHLREEKKQIIQVGSKMCSYTELQSGMISKLRIIST ASNDIISNVEYQLVPVNQLLIQ >CAK57954 pep:novel supercontig:GCA_000165425.1:CT867995:59469:60106:-1 gene:GSPATT00028989001 transcript:CAK57954 MEHIIIKAEGTENYGSMDMSPECRPRPLSDRNSYGEYVIIAKTIFLGPHWPLFAATLIGF NVFAYIMMRQQKALGHDITFGIIITLAQSILYLGVGLANPGIANDSQLNQKYLGQLYSLS NRPQQRNVWYCEKCQKIQPARSDHCPFCRVCITNYDHHCPWTGKCIGGENLFHFWAFLIS TIIFFTYFVTILLNQREDAKNQ >CAK57955 pep:novel supercontig:GCA_000165425.1:CT867995:62730:67157:-1 gene:GSPATT00028990001 transcript:CAK57955 MSQLLMVWLNDEVQLSRKVTSFEKDFNNGYLFGELLSKFNQQLNFEEFSNKDVREAKMRN FTLLEPTFKTLHIPFNFQTADQVIKGKKGVAMQLLYQLQMQLQKVNDPHDVMMHAKTGKY NVIQPLMVIRQPKDQFDKMEQEFFVSKLNEKNKAQKDVNLDKHLGKFSSFAIQQAEKERR LKIKEETEERNLKEEMRKIQLNKLQRNMAFMEDWNQKGIENWKKNQQVRHKNKVADEKFK STMQKVTEDRLVQTQQMMRSEMEDQIDQFENRGTQEPVSLGLRNKMMSEFLRKERDKRRR KMIVDQEKQTDELQRREYTVLEKLKQQSKQEKEIMYEIWRAYQCKEVICENRQLRDENYR NKQELNVINQKFKEEEMLRALQSEFNEEIELQQKRQLEINVEYKLQIRQSNYEKCKKLVE ILFEIEEQLYEHLQNNDSNEFNKQFTRENHHLFKQGMELIPYKRFRTYDPIKEMKKQESL NYKQKMFLAKMEMQDYLEGTGAWDIYQAQNNYNLGNLVRYLIESQFKNSIQEMEQTDIPY VPFRGSLIGFSFSGKKTISELLAKKYGIQLLSIDVIINELLEYIKKYEQGEEHSQVWDQQ QIELGQQISGYLCQGEEIPEELYIKAIVQKIKRLFDKQEFEQIYEEYKAKYSSFNPRQSR TIDEFNDDGINWEDFHRNNMINNKPYCKGWLLVGFPHNYEQAKLLEKHLTGIEPQDELTP LQARLQEAARVVKPVDYSVIPRKKQESGVGVCVYLEMPSNELCLRRAIGRRYDNHNHSLY HLESNTPPVDNAPLVERIKPLYEVDNLQQLISDKNAYFMTQMQGIQNWYNNFESADNSNA QSAFIGVDASNNYERVFYDVDRIFQNFLQIQINKIQQKYDNQQNELLHKEELVKQQQEQA ELDKICSYKQVQLDSLPLKQPSQQFLQYLHSFWNQIETKYVSLQNIFKGFREQREFLTNY LMDIQRKFIDLINTPDEKFYHVRNFQEQYNQFVQENPDLCEEDVCKEELHQRVDDLYDQL IDIIDQKRDDLLVEKQQIQNSQFIENEIDLFLLQVRQLLAIELDRAQNSIQLLNDYYGTL EAIELQDIQPSVGELQLQDGDDPNVRLDKLIADSLRIFAGEEEIIEDKTKKGGKQPAKKD EKKGKKGKEEEIVKKELQNPEAISAITLEKQIFKDRVDAIKRYASQQVRTYRQACDQLYL KIDEWIYYTHQTEIKALDAISGLFRGYIERVERIQKELQLQFVDVIVSHEVLNFLTPIPP PLTAREPLSRERYSISQLYYLIEDLRAITSTHLLIDIKQLALLLARSSYGVLKYSPSQWM QALQILDQNGYVNVRQICTYLILLSSPTPKEDELQQYFSKLGQPLVNKDTFVNTAAWFDE YERIPEEENTNYFDRVIYIKELLFFVHKDENDMLATKQYIDILNVEGDRFEDYLLQTLQH Q >CAK57956 pep:novel supercontig:GCA_000165425.1:CT867995:68300:69821:1 gene:GSPATT00028991001 transcript:CAK57956 MDQCDSSKLKIDYLSQEIENLSQRLEEQININAQYQSLINGRTDMRILLSVMQSEQELLK QQISKILNERNRAVSKVLILEQILLATQQENDFILKEAEQRIKYLQEQIKYYEQSNQNLN LYETDPQNEGYLIKYKQIVNLNEATLRLQQEIEIYKVQLALAHMKMSKLQESKNDLIQLN FTLSNEICRLKLQSSQGVKNVDKYISQLVEVQTKLVQIDDEDSDKECTSPMEDKFNATRI NTIFNQHNMPKLDLKRAQQIQAYTLQQMNMKQQKNQDLTLQDELQKWITEYKYLKNNYDA SKILFDQLKIQYEDLQRNYNETLSINATLIRANQNYEEKWQNINKQHVFYKQFYLQYKDC VDIFNKTLSNKKLQESVSERKDLLEFSIQVSKIRPAMFVRRSSVNVVGITSVDSTPNSIN YKDKIIQMTKDVFQIFKKKHSENESSDEDISQVNQMKREFTVSNNFQSSQKQAKI >CAK57957 pep:novel supercontig:GCA_000165425.1:CT867995:69857:70439:-1 gene:GSPATT00028992001 transcript:CAK57957 MKYLLIPATIYFLVTGWYLFIEAKLPYYPFQHLLEFTPNHAIQALFAVALGLCIVIDNQK LLMAFLFIGVADLSLNVRQCFKLEMQELSEALQHVGYKTVILGIIIEAMRKQIIYEEPEK KKKKKKKVKKMVEVEVEEEVDDEQDEAVETRKLNPQQPEEPVTAPSKKKEKKSKK >CAK57958 pep:novel supercontig:GCA_000165425.1:CT867995:70567:71142:-1 gene:GSPATT00028993001 transcript:CAK57958 MNSIPKQIKNILRVEILLISVLIMLNITLYFLNIAENKLQTCQLSFNAIERCYDLDNQLI YKQRMTRSQCDYCTVLLWLMPSNIVMLFVMTLIIINKGRYYIKNFMNKLHLVEVIYFGLQ FVYNLFILFYIFKMPINQNYRTKLAPLIILFIESLINCFICILIRIDQIYTINYLRETNK KETQMQIQLLV >CAK57959 pep:novel supercontig:GCA_000165425.1:CT867995:72322:72635:-1 gene:GSPATT00028994001 transcript:CAK57959 MGGWAVVEVDQSHHQVLAVVHHGINQHLGSHHQTFKIIEVRHQVVAGTNYQFIVETEDHK RIQVKVFEPLPHTNQPAHVTAAVYL >CAK57960 pep:novel supercontig:GCA_000165425.1:CT867995:72958:73343:-1 gene:GSPATT00028995001 transcript:CAK57960 MATLNVHINLNQYQLILQQEKAKNTYSLQKKEFPHGPINIYEQRFNSVNFSNTKINEVIG WILIIAVILLMLVMAISLVQDSVVGFAIPLLSVVTFFIVYLNWVSMKFFVNS >CAK57961 pep:novel supercontig:GCA_000165425.1:CT867995:73549:75248:-1 gene:GSPATT00028996001 transcript:CAK57961 MKDVKRRFQEINQFKKKALKDFNNVDNVINSISDIIEKRKREPLSKSIDCTSFPNTKQQR LQSCLNKMGQIDYDQQQLDRESGIRTASIFSDRIAAILPQKELADKELNQELAINCHLHD TSKFGQIMEQALLLHSFHKDCSEKLNQNLSLLDPNNFKTRRERAKFQQRNEMNQKSVQAQ EYYMKKFQLEHMRLKDRLIDSDQKTLIDHKYGQDRNKGSKSAKNRKRIQQVTEKINRNKI VVIQNLFKSGHDQKSQKADEVLRFLELYSKVDRENNQVFSQMIEIDGQFSMKIELYLRKI FFKFSNKESDDLNELYKYINLTGYLECFHLSHLDIEDKELITVYENNVRVQNLDKDRGMN FNFFRDSLIDVANCISLLPSKDEKLHRLVEEYLLEIYNQVLDQNEIRLLTIKSYQVFNNL FFPLIQKLFLMYGQSIQNYNFHDQRLNLDKIILVFMQIKVFPQKFSKQFIRHHYCYCTEQ DEGLNVNEFQHFLNIMALSQNKRISISRELNNIIQYNQYKLASKFEDINEYEQKIFRKIM IFYFHSEFLNLQNVEQD >CAK57962 pep:novel supercontig:GCA_000165425.1:CT867995:75327:76250:-1 gene:GSPATT00028997001 transcript:CAK57962 MEQNTKTNQEYVKESCQFQFKKLMLIRKKMNDVKADQSPTVIKYLVRRKSADEIQVPLHD VEYNNQPEKKNVLYFKNFQKVTIDPHIKMDEINEEKWTIMTNNYKSLIEKSRQSVQKNND YGQSLFHNKEPRKMQFITRRQSIEYRLREITTATSNNTSVSPLKLNTQSNLNPSVDPTYA RFKKYYQRIGIPTDSPIKMRLQRMMSIPMAPQKKKDKSTYSTNSQNRSPSPVSKILHTKS NNQSQYLKEIREICSIASNYHAQVKQDEKNQIQHASQQVGYIQAEFDKFHNMLTSDLETV NFIDHKK >CAK57963 pep:novel supercontig:GCA_000165425.1:CT867995:76262:78950:1 gene:GSPATT00028998001 transcript:CAK57963 MDQNTFGLIKQIYNADQIIRISALNELKTIFRDITKIKQGFEQLLLPWEDQNLRLQYFIF LKSNITENYECSPNYIQKEIQIIIQDFMNNVDSYLLPFYCDLLNFIIEKTLPSISIIQFI ISQNTYSSIHIKIITEMMNNRFIKVKILAADFLTITRTMILYYDLKDIQKLNLLADLYVS LIQPINKFDENTKSIINHQFCEILTYHCLEYELLRKFAKVVYKSNKYGLPPIEIQTMLFD ILMSTYMQNENQRITDKYLAYQIMKVFKQLLSDRLIEEWKQESIIFYAMVNLIMLDYRQY ENQFNRIGDDDSEDYLLIKVRQYGADFIHHVAGYFSEDTMLKQLFRLKDLINDDQQHEEF NCIKLEAHYFVICENISSIPMHMFDEKFLAEIIQILKIKESMYIPLKMQILNLLRKLLEK KAIRKQDFNIVISTLQDQFNANLITTPVYICKIVNNLIYLHSLYPSKKLITHQTFADLKT LYQLQTKLIHKENILKTLCEILRLEQGDYSVLLLIETEWNLAKQEQHLYKYQEKMILNVF EFIIEDWPINLLNTIFNGLLKMVKDCINRNHHLNQSLSVLSLLLKKYLPTYPIKYDQILA DFIQIQKDFEIILLDEPDQFNQILELYYLMLLHDIADYNLTFQFLIQKTKYLQKGLQLSL LCLLCTNLKQGQLQNCIIEFYANILQSAIYDEGRAYSELICGLAILDLNQYDIKDLLMQI QEKVPNKMIFTMISNATKCIQYNMPYYLNYLISFFKTILTKQHLLFDMEYISIESNNTQN LKQYISKMKSYLIPNAFSLESQILRIFDTKYSTKLDLCQEIVRKLRIDVTKLQIQTEEQM AMENIHIS >CAK57964 pep:novel supercontig:GCA_000165425.1:CT867995:79147:79560:-1 gene:GSPATT00028999001 transcript:CAK57964 MNLQEIIYAKVKKIKEENLLKQKEGRFQANQCESNQFKQNIVSNWKFNNVIKPKRKYNCF NHFLIMELWKTTYQMRKIQQLHQNFSQEFLKKKDKKIKVFKNCQGNILPFYKNVGVSLLN KFIYNLFLLFQQTWLIE >CAK57965 pep:novel supercontig:GCA_000165425.1:CT867995:79701:80423:-1 gene:GSPATT00029000001 transcript:CAK57965 MLVFRSIYKYRQQIYEAPKRFSKNEIQINAEKQKARLMQEKLLQQTSNIESNTPRIVSIL FGVMTVPTFYYFYNALSIRFEDISYFYNVRLCIDWLNLKYGLLCGSLIGLNMMKFDDFKA KNKLRSKVPINYTYYSLPILLGLCSYSCIETLSQWWVVPTLVNIISTMIAYSHSTNMGTA PFWTFAIVYQILVLDLITTGSLFWSQRNLNLHREKRKELYSKY >CAK57966 pep:novel supercontig:GCA_000165425.1:CT867995:80463:81407:-1 gene:GSPATT00029001001 transcript:CAK57966 MKNILILFILVTIINAFPLTCSEAVTMAECKDIINGIHECIWYENTCQLKSCQNSQIPCD GLAYKGELCATSIQGCKSVKSCSEIDNQQSCSLVKPYGIECHWEDSCIVKNCTHNSQSSC RQTNGVKCIYQDQQCSSIKQCSDIIEKSSCLQSLIPEINCIWIDNQCVAQTCNLIPTKEN CFNTRRNSEKCFFTQNKDQDNQCLSCSQLTEQCRCNQYSIFGCHWLNNSCQEFKLQLACS TEDEQQCVSDKQCVWYKPMNKCLTIESASLNDRACDIYVFGSILHIWILVVLIVFW >CAK57967 pep:novel supercontig:GCA_000165425.1:CT867995:81457:82622:-1 gene:GSPATT00029002001 transcript:CAK57967 MHKIFQFWISQPSLYAIIHQVETRCSKMNLQEMQRRLTNDFYGSCNLLKSKWKILIIGGI QVIPIDFRLVRLVTYNCCLYFFHLVRNSVIIILYYFYVIVAFYTKYYINFPKMQQKVVFE QPCPKQVTDVPFYESDSKTYWRKIRNVCHSIRLFQMPIIKSIQSPDEVTFNQNLTKFRSC TQIGLLNNEEQMAKKRAHDDLSDAMKAIKRERELFYYVEKGTSIDLIEKILMNDPKRYLY EPKSPLRLVNKKNSQGFTLLYIAAKNGFEDVCNILIQYGADQNISVQINQIDDYPLVAAF RWRHFEIIELLLANTPNQKVKILCQQSLDKSLRQKYSQYF >CAK57968 pep:novel supercontig:GCA_000165425.1:CT867995:83959:85412:1 gene:GSPATT00029003001 transcript:CAK57968 MAYHKKSFTWSPTVSQVLTKTCLINLKPTVTANQGPVRLKIDTERELQFSNDLSWNWHKS WNIPNLSIKLQLEDEDTPQWMDCQAQLMAVKLNQDGVYEEVGLEGVTTQELVDGKAFFSG VKFNSTTYNHQGHKFHLIILLKSANNIITALESPPIFVDSRKSARDEHRQTQFIQPFEPK YLERNFCKKEKHFNDVIEAPIENNENGLHNYLTAPNIRNKIKHPLFLALKFSKCMTIYHL RTMITDNHLIEFQRQLKQKQGQCEYIIAFQSNNNRIRKKIEETLTQLFIQSSVKVMERKY VDETLYQKLDYDIKSYYEAYNKLVEMMNSTNSESTMEIQIEEQNQQQISSQQGQFNRQQS NGGRKSAFRKFNKDFDKLPTKNFCEFQNEDSIQKKVKEEEVIDYGNKMLPVNIQNLFFQE QLIKYYLFQQQYMINLLSQQI >CAK57969 pep:novel supercontig:GCA_000165425.1:CT867995:85526:87330:1 gene:GSPATT00029004001 transcript:CAK57969 MDHQFPRKQLVISNSTKDKVEACKRYIERKYTDLLKAEMERREDWAKLWEKMKDMQLKAQ DQEYYKQLVLKKEAELMRKKRMKFCTDDFEPIAIIGRGAFGEVRVCRIKQTKEIVAVKKM KKCEMLAKNQLAHIRAERDILSQENPWVVELKCSFQDDKYLYLVMEYMAGGDLMTLLMKK DILTEDEAKFYIAELVLAVDSIHKMNYIHRDLKPDNILIDRKGHLKLSDFGLCKYSEIKP KVELGRKVPNQNPAPTPILSKMQKRRQLALSTVGTPDYIAPEVFTQQGYTETVDWWSVGV MLYEMVVGYPPFFSDDPQTTCQNILHWQSTFQIPQDISLSPQCIDLIRRLVADHTERLGI NGVSEIKIHPFFQGVDWRKIREKITPYQPQVNHEIDTQNFEKFEEEEPWNWNSSRRVKKD HFQGYSFNRQAQREQSPVRVALENIDKVEFPKRQQPTQQPQSQIQQPGSPSHRKVIAKPI AYAYNKSARSNSPVNKNQQQNSMKTIPQQQTLLNILSQYKRNSNNNNNSSNNNSALNYSS SRLPNLKSVREQSPRSPRNTQKYYYQ >CAK57970 pep:novel supercontig:GCA_000165425.1:CT867995:87681:88760:1 gene:GSPATT00029005001 transcript:CAK57970 MAGGLERVYGTEEDKVNCSFYIKIGACRYENKCQRIHSIPPISQTILFKHMYQNSPIEVA IASGNAVSQAGIEEALEKFENFYEDVFLKLAEFGEIEDLIVCENIGDHLVGNVYVKYTSE YYAEGCFNALQNLSYENRPLQMEYSPVLDFSSAKCKQYIDGTCQRGGACNYLHLKKISTK FKKSLFNQMYEEHPDYKEKKEKEINEKSPKKHKKKEKKSKKKKSSSSRESSRRNSIERQK MINDWNETGIQNVSQAQKMMYGNNMPAPVYTPKVSMRTSPELLQLQLQLAALKEQLTAIK MS >CAK57971 pep:novel supercontig:GCA_000165425.1:CT867995:89041:89344:-1 gene:GSPATT00029006001 transcript:CAK57971 MHSDGVFQLQSCHSKEIEDYELQNCKLFYEYIGQELFQEYYEYKQTQLCEKVTTMTFSTQ FCTQLTRTLTLSNHSFKEKFQSGLKDQEDVTQI >CAK57972 pep:novel supercontig:GCA_000165425.1:CT867995:89882:91877:1 gene:GSPATT00029007001 transcript:CAK57972 MQKQIGKYITSTSGYLGSGSLGRVDKAKDSEKNIDVVLKAIPKSILKDDEAIQLALLDEI KLFQKIKNPKIVELLDVFQHEGTYYMVMEYSQLNLQQYLKKDKEKMCMSEQEAIKMLNDL LEGFTELNKHGVMHRGLKLSNIMVNEGVFKLADCGLSKCLESFRKEQQQLSQYQYLSPQI LSGQKYTNKCDIWSLGIILYQVLFGYTPWFGAKLDEYQHQLWHTLLQFSEDKKQISNEMK SFIEGCLAIEEEERFDWDAVYKHKLVCEHFKNYRSQIKQDQIKFLMNEVRQKIIKQNIDV IKLFNELDVNSDHTLEYKELVVLLRKIDKTLSNTDCKIIFKQLDLDGDKNISFDEFAKWL TDNNTKMTLLTRKFHRQSTMKNTASPGVQPQSTPQGMNANQNVHSQLNIHTPQGYDSKIN NPMVSEMDLDANPFEDICKQRSPEQTILMIKDGLNQYRINLFDLFCRFDQNRDGFISFQE FFMMAKQINQQFTNEELRIAFKVFDLNDDNFIKFDEFKEILAITVQRPIKPNMSFPQFPM QNSSYAPFFGTQYPQQPQQPQQPQQPQQPQQFQPFQSNNYQNAQYNQPQQGGQQQFQYQQ QQYNNNIQQQNNDLNIAYQLMNY >CAK57973 pep:novel supercontig:GCA_000165425.1:CT867995:92517:94074:1 gene:GSPATT00029008001 transcript:CAK57973 MQLSHIIWLFFGVLLAYNLKLYMDSKVQYDIKIDGNSISINLQGKSIGNSGLEVACKQFQ DFVNVTKLEANFNLNGLTNVQTLLLTISRFKRLQELNLQIGNNPIGNSTASNLQALLIQL QNLESLSLGLDTLFTHQGRSQIIKSISEMKKIHTLQISLINTDLGGNQIDMLLPIAEMRQ LKSLELILMGSNLTAPSTKSLQTLLYKLPKLEHLVLNLYGNRILVDGVDDLSAGLYHQKQ VKSLELELYFNNITSNGTETLMSVTGQMTNLTKLHLGLEFNYVEEEGAKHIAKALGNLQH LNDLSINLASKNFGYLGYEAVLQAIEKLPILDSLQLIIGINKCGTRGADLLKKVLFKQNK LKTLVLDYTENYIGDAGASFIGEGLTYQKALEYLKVTLNFNSISDRGAIDVAKSIHSLKH QPKYIDLRLSQNKISDIGSKEVLRYLSNVTKAVDFLELELLGTALTNATRDDLVETYKNL KNFNFIVDTAPPSYWDEQ >CAK57974 pep:novel supercontig:GCA_000165425.1:CT867995:94238:96550:1 gene:GSPATT00029009001 transcript:CAK57974 MNQVMNLGCVSNKLMDTYAVQVFCMNTWDHCCLIYHKKKMIIKYHYLEQKIVRQILWCKK KSYRIFDISMSKKQRLYFLLCQDWFIYFLNFDFQIVNKVQMECKQFTNLLFLDHLSTFVM VQFNSVSAFEIQMKDKFNIVKYQELSGSLDWNRQCKLIDYFYILYNSQNITIYDLRTLKH LGSVQLGLTISDVYFINDLLYLGDVYGTLWCYDLNGTLQYFHEHAHDGQIVNMVVYKEEL FTVANDLFIKVWKLNNLIFCQSYPIYQQQIQYFKFINYSKYFLQSADQLFLCDLHHILQA YYLHNSSVSAMSEQCIAFKNHVYYSNQSHKVIFAPNSVYQVLQIIDSPNQPNTYYLHLQN QTLAKWVNNQQVDVLNFDKIRTINGDKINQDITFIQIIALSPPLYDVELTIDSKDQNKIA KMLENADQSLYFVMGCSKGAVICIPLQLYTIIYTRVNYNKNAISSIIHFNDQLIIKSIDN VINFVQISSNKASLIKSIKILKLGPIHLTQSKQLAVAQSNDLYLFNSNLVAYETNAGHET EILQITSNKNFVVSNSQQQIKIWTNNGFCLFTINFHVQIDYIFYMKDDLIISLNGGLCQL LIPEHEEVELDTNEYNKIQMIDLAVSKKKSSLKEESQQLQQQSIHQQKFEFKKTLELPKI KLKIQNQDNFEAPQTPIKNKKHYNSIQTTTNKSTNISISNSQAAPISQSFDYSIQHAIDS SCVLPAIPKQKEDTSLLNQYLNRRVKKGQPRNRREWTLDKKILGNILSLI >CAK57975 pep:novel supercontig:GCA_000165425.1:CT867995:96610:97086:1 gene:GSPATT00029010001 transcript:CAK57975 MIINSMDYTYLIRINILYDQNDKLNKILSDNSKDFFIYVPKIFQDVKCQIWKNSQNQNIK FDITFQLFDKTDQIQQLDQIYVVYSKLKFILIKENKDFANLEEMTECNFETSIFQAIDQS IVQCIQQEHNTLIKGQLHETIRNLYANQQLKERNCKIY >CAK57976 pep:novel supercontig:GCA_000165425.1:CT867995:97123:97611:1 gene:GSPATT00029011001 transcript:CAK57976 MTFQFDLMYKTMYVGVGLAFIVFFPLPRIIRKPLVRGLEKIFNNSIFSKVLYSILSWTLF LFVSAVSENLDLGKELVGQKAQRDSYASGTSQYELEKTVNQTRMKMFYSQRNIYLTLFNL IIFGAIFTYLKSLVKYDEQLDKEDKLKKQINVPKGAVGNVKQ >CAK57977 pep:novel supercontig:GCA_000165425.1:CT867995:97615:98647:-1 gene:GSPATT00029012001 transcript:CAK57977 MLFLAFLISVSMASQDLLKKCYLEQFTIGDPEVKIQIYFEDHIVKNHQIEYECLEFIISR GYYKVALSLYENYFLLNHIDITDRIVAFLKNDKYRNQREMQTLFKLAMAKSNQVQVVQPV VQWAQSKNATFINIKFSHRQDAPACLNAKLEVVEIKNESLLIEAFGIVSHIPFKYRYAIK LYKPIDPTTSYEKVESVGTMYVNLTKVEPVLWLRLTEEDYKTPIWWDLKDNYRKDMEEFA QMLEKESERKERNADKQAKKNQKKRDQEKQKQTSQKALEAKRQLEYEHNQCYKPGKCEIG WYQRQ >CAK57978 pep:novel supercontig:GCA_000165425.1:CT867995:98681:99830:1 gene:GSPATT00029013001 transcript:CAK57978 MKQLNRTQNEQNLVHSAITQIRKQYQNEQTEEVPKEIMENKYFTEYEQLNELELLTNKHI KYCTKKLLNIGYSIFYLDVGQPWCIYWPLNALSILQDDVSKYENQIIQYLEKCKIGGFTG GPNQFEHLAPTYSSLLSLFILSTPAALGLIDRQALEKFFWSVQDPTEKGSYLMHVNGEAD IRAVYIVVIMVVILKLDPKLLDGCAEYIASCQTYEGGIGGVRYSEAHGGYTFCGYAALVC MKKADYIDQEKLMNWLVNRQMENEGGFNGRTNKVVDACYSFWQGAIFKLLIQSGYVDEQL MNVFELKNYIHMCQNASGGIFDKPSKSPDAYHTCYGLSGYSLADSNFQNPIYNIPNKCIE FVQNFFQ >CAK57979 pep:novel supercontig:GCA_000165425.1:CT867995:101595:102922:1 gene:GSPATT00029014001 transcript:CAK57979 MDKKTKYKLLGLTALVGLTSYFYIQKERNKPINQKMAQNFKGLQKKIDSYFSGKSAEEMI QLVRDNDEFVEMLQKEAGKYIDLQKIDEEISKIDFIRQLSTINQQINQLLEIRLVVQYIS KNSLQLVQEQIIEKLASQRVTIMQSNTQFGEATINFVNYVQCSFLQLISELEKVLQDLQS PQRIVAVNFYFMCILDYFNNILTKYPNFRNLIAIVLLEKIGQNQFGFDFQHDCRDLYYAN TVQTCQKLNACDCCIFDLLCRYISIFQSPVQQKFFEFMKCNQLFQTHEHLMQMGQILFKN TFYLGGQLGEDSLHFLDTFSPLFGLLIEATSNKSIQEGLLKCEEFGIVIQYLEKVINNHE NQFLNRLLASLIDVYSIIYPLFYNESALKQIANDEEKMKQILSTFFGALLNLESREMFDS NKCITNI >CAK57980 pep:novel supercontig:GCA_000165425.1:CT867995:102967:104247:1 gene:GSPATT00029015001 transcript:CAK57980 MGTIFTQQLLGNISVEFIQQLLKQKEIEKGILFTYMYCLRVSQNKRIKDRQQKKRNVDIN SFITKLISLIVISQLKDDFSNKNMDLITNNLGFVDADERSNIFLNILRIQFEIPSMNVNY LKYVSAKCQQLSQETYHSFSGFYTNVLNPTTLSFDQYMISMQLGVIYGKVDSFLAQIGQG FLRDLQQFEQYKDFLQRDLILIVDLMENPYYFANVLIHNNNCPQDIYKQLLVKVAISIWQ LNPKLTFSQLQQQMSLFIKVEVLPQYIQDLFILNKDYTIKLKEQQQEVWPFQCLQRDCSS VQIYLNLVKDEVLFNELLQDLESFEFLTRIQEIMFKSPNFIQIIYQLLLCVHRLELKIWI GKILFYAKKFSNTNKECDTLLKSKECQSFILEMPSNFRTYMLFDKNAIQ >CAK57981 pep:novel supercontig:GCA_000165425.1:CT867995:104387:106248:1 gene:GSPATT00029016001 transcript:CAK57981 MERNRAGGLSQNLQATAISGSWKDVGNIKATWISENNHEFVVNLQKSKEIYEEQNKNFLE SNQNCTAHDLYLILQDHYEQSEIINYDRALIKKIKKNQNCRKSIPQKFEVIKNEIDLTYY FTETQCNTNRCMNRGQEISQNFCKWIQHEYFYSHLDYTYFSLNEFQQMLNKANVQLGKKS ISEWRQIKMAIGQPRRFSFYFLQQEMSKLAKYRSVIRNYLFDQNYPVHRDIKNLDLIRDI VRLAPFSNGQVVYAIHPECKHVHPGQIVSTNLPSITIKFMQSELGVHKIFDQNIQLEEKF LNKQLSDERFDMQQQMSLNLSNENKNTLISSIVQEFDYFAASFMIRILQRKYALVGYLKQ LNQRLEQDPALKNDPDFKAQYGWTFQQIRNFDSASKHVMTKFRMRGLNGYCIYILMITML ALKQVNNNLDKVLSMPLAYMERELDQNNTRVEEEKKNQEIKQIIHKQQDEKINSQLNQLL NSKFQFLENDQSYKSLISSLFGLLFTLNNNSDLNMNTNIYWQTLKQNIKDSHPEQFQEIQ DSILKIVGNQSK >CAK57982 pep:novel supercontig:GCA_000165425.1:CT867995:106272:106994:-1 gene:GSPATT00029017001 transcript:CAK57982 MLISNTTQSNSRNSVTRTILTGSNSIIYSLHKYKRSSLKNKNRNQNEDEFVQTKFTQLRR KSCYCNECGQLSNFQFKQLNNNASIRQNNIYIKTTSICQSVKLINTSRRPSVLFQRHHSR MNTYGETQLSQVYNLTPTRLSPIKPKQGAQTKLKYYLQNQTIQQTKRNRQFQLNLITVHQ SHKDQESQITNKVLAKSPLTQRKTKPDVRPYLSHLKLKPICIKNQITHFEI >CAK57983 pep:novel supercontig:GCA_000165425.1:CT867995:107108:107841:-1 gene:GSPATT00029018001 transcript:CAK57983 MNKLPLEILKQFEHNLTAQSTTFRHQNLMKTQFPIQKRKKSRSQFHSCILQPKTNKQTLR RKSCCCNECGQLGTFEYKCMNIKGPKPYRRSIFTRRTSNQQVSSAQPKSVQRNRQQLKKI NTYDESRLNQLTEANKTPFTMRVIQGSMTKLHSYFHKQQQQQIKVYQLNQLTPGCSPKHL KQQKSLPQLYSYLNYRKLDCQLLKPLKLDQQPKQSSQLQLFHRTKQHKTLQTVVQF >CAK57984 pep:novel supercontig:GCA_000165425.1:CT867995:108594:110420:1 gene:GSPATT00029019001 transcript:CAK57984 MNQEVLKQIRQHFPMIDNIVFRNILSQQKDQNLLITLVYILKRVREIVGHMEDVTKFALS LWEKEEIQISKECTDKLFMIIVHDEIILNLVESKFYYQALLANKNSQFLNKNKIYAEVSE LSEQSKRVNDILIQNFSVQRPYQLFVEEQQELQSKKTAQTPKIPQVQKGQKLCSLTLNVQ DRDQVDKLYRNNKQYLQQLGIKMEYPKNTTATQTEQVDGLIPLSSKKNKNQQKDQSKEMK FYEYQLEMGQCTQYLPTYDTVEQLTQDIKLFVAEIDQYNKKNRNAFQKLIDEISGVVSAT YAGAQIDVYGSYATELCLPHSDIDLVIKISNQHEKFVTDILQRIEVELKKCKFIEETKCV TQSTTPVLRAKCNKQYMNKRLDISIQETKHNGLQCVQLIRKYIKNYEPLKPLTLIMKQFL HKSDLSDTYSGGLSSYGLILMIVSFLQSYQNQDKNWPTIGTLLIEFLNVYGCELDYAGKT ICPDQPEVFEQETTIIFDPHNFAYCQQQSLVIIDPLNPQNNVGRPSYNVAKLKLAFTVAF SKLLTFDSSNQQYPLKFFFNSAQNIQCSLHSVLVNQYKAYADQIMFGTSTRY >CAK57985 pep:novel supercontig:GCA_000165425.1:CT867995:110511:112137:1 gene:GSPATT00029020001 transcript:CAK57985 MNWDFINRKLKEFFEQRRQQSIDKIFTLNTVTDQNVPKKQFLELETQVTEDPKMTQMQQT IPPTLSTPKKTKPNPKSFSQISHLFSPHGPARSTASMQMRQIVASTLKNECNSYNSVRTK DIKKVQDLVGQSSKTSNKPNFRKLRKQQTVVSQKILREFDNQFDQEPNFNIKQSDSLKCF ITQTKNINTLAMRAQLKMKSYGNIRQLNQPSPTIDNFTKMNFGKSTDPRVGLDRFLSNKW LQFVIEQKSMRKDDQFNEYLKSYTESPQKVLNIQKLKQKQEVRQQFNKELPLQHFKPHRH TPLSAKNKQVKRETQGLVKSYSQTVFDIEKRKDDEELIEFYPIYYDKKVKRRRIIRKLKV LAKIAVIKQMFVHQLLEQQQQLVPYQSPGSVAFLRFVKYNNIHAAKQMLDQEKKLIGSFD ELGQYAIHLAAMIQSTEMLKLLLCYGADVNSNDFFLQKPLYFALITNNLEIAKILLACRA TPWDQNLRDYFGCCRSQEAKDLIKLAQYLKIYNRDPECLLNIDNIKQQLSLY >CAK57986 pep:novel supercontig:GCA_000165425.1:CT867995:112314:114047:-1 gene:GSPATT00029021001 transcript:CAK57986 MNCFEHNTPYTLLCWVKGCNKKFLCNQCMQKHDPQHFQMIQSLNDLDSQINQIPKSIQQN LILLSSQLSSAQLQITLNKDSLKKQIIKLSDLLKAKLDLEINNFCSSAIDYTTVYYKQFE NDITALFNTISEHSNIFTPQTGRPINEFQFTQLIQQQEKMFDIILPKLNQQVQMFVQQIS NNQLIMKTENFHSILNNNISKSFQLTNLLFTGETLQAQTPKLNPKQNRIISPLIPKIDLK KNASQLFPQKQQSDRTSDQQAFYNDSMVELSGSRFLQQKSINTVLTGHTDIILCICAFSN TSILSGSAGGTIRIFYIDNALTQTKLNDHKGDVLALIKINDTNFCSASSDQTVRMWNYQR KICEYVLVGHEKPVQALIHLQDSDQLASGSLDHTIKIWSLKRPKMKLNIQDHQKVFAICY VQSKGLIVSGGEGILSVFNMINGYCRDKLEGHTGNVLCVKYLKEVVNGEYISLISSGGQD QKIILWNLDRAQKLYIFVGHQDYVTCLTFDSENNQLWSGGADQTIRCWDIDKGRQVFIFK RHTNQISSIEYLSSRQLIISGSWDKKIRLTSRSILVN >CAK57987 pep:novel supercontig:GCA_000165425.1:CT867995:114389:116020:-1 gene:GSPATT00029022001 transcript:CAK57987 MQKIDSLEPTQAIATHFLDISNIEQLVQYLEKLHQQTKVEKHHTALLLNCYIKLRQFDNF IEKIEKYGFESELFDIETAIRECRSSNYLDIALKMASKKNIYQACLQIYIFDKAECGAAL EYIRDTIILEEKATYLKEFGLELMRSEPQFTLEVIQNLILLINIVQNLKKQFESRKGIDS LDRLTQEQQKVWKYFNLNNDQLANIYSITFCKPDEFLHIFGGYNSYLERYLRFLIEECKN LPNEMAIFHRYFSYHLERFERKEEVKESEKRIMSLLLDDKNESKYDKNHLLVQFKIYNFT EGIICLLKKLQMREELLNFYITKKDNEEILNLCTEYGEQEQNLWVLALKYFAKPENKATH YIPNILKELSKLESISSFLILDIMKENSNVKFGQMRDFLKQQLQRDYTEIKDNLNVIKEN MQQTSETRTEFQQLKTQAQIFSFGKCTACDNALVNPSFHFICGHQYHQNCIQIEDKKRIC MRCNLEIQMIQNKQKEVDNSNEQMVNLRSKMLETSSKFDIISKYK >CAK57988 pep:novel supercontig:GCA_000165425.1:CT867995:116242:118044:1 gene:GSPATT00029023001 transcript:CAK57988 MEEQQQIENTIIEERYKKADGETAYRRYQKGKVLGKGGFAKCYEVTSIESKKVLAAKIIA KSTLKKGRTKAKLITEIKLHKSLHHQNIVQFEDVFEDNDNVYILLELCQNQTLNELLKRR RRITQIEVQCYLKQLIGALKYIHSHRVLHRDLKLGNLFINDKMELKLGDFGLATKLDYDG QRRHTICGTPNYIAPEILDERLGHSYQADIWSVGVIIYTLLIGKPPFETSDVKTTYNKIS QCQFNFPDHIQISENARNLISRILILDPSKRLTLDEILAHPFMTSNPIPKTTHISQLLSP PTAAWLSQYSQASMLNSKQLQPELVSVKSTSQIPKMNNLFSTQLKMSQAERIKTLTEGIN YLSEKQQTKTQQEQILENNEQQELSKENDVVYVIKCCDYQSKYGIGYVLSNHHSGVLFND STKIIQCNRLEFNYFDSCNQKSSHEFENYPQELNKKVTLLQKFTQYLGVDENNTVSNSQI STIFVDKFLKTRNALLLKLSNGVIQADFIDKTVIVISPNENILFVNEKGDKFSYNLEQIK DKDKIQRRYNYVQQLRGDCQ >CAK57989 pep:novel supercontig:GCA_000165425.1:CT867995:118095:118675:1 gene:GSPATT00029024001 transcript:CAK57989 MDNSKKEHSYTYWVKNDPNHPKIDCQPKKVEDPSQVQQPQTIGSQWNVSGTWEEKKVPMS EIKKSLENIIGMKIGQTKISAVESVEGEAHLYLSRGKKRMGYHLKITYALEDDGQIKYTD FTDDGDRDYVLEDVNDETVKHQIEELHDQTKQFVEVFKN >CAK57990 pep:novel supercontig:GCA_000165425.1:CT867995:119777:122545:1 gene:GSPATT00029025001 transcript:CAK57990 MSQEQPVDLQILNKVRHLIPESFKIERQKKWEVLKRKGLVQRILLKSSNRFKLEQTAKYD EFCDALDQATYGNNMDVIKQFENFKESIKPKIKAGQQFGTINGVESLDLNTFETKKGSFK TKQGSTDLIVFWSSENEKSTRSLNELNNWLSLNQNLKLQINPIAVSLDDDANNFKNHLKN NQLTHFQHYRYSLGWDSSNAFQRAMELNYLPKIAVVGKENEVIEVTRKAREVENIIKTYW EAGSESEDDGKYRELLEQQTFRFIKKALTQQIADLLSQNKSFAKSDFIRLSLTKCYKITQ DGSKEIVERSHLKVSYEVSVQSTQAIKLFLNNVTAVLGKDNVQVKRSIVKDPTGMLDMIT SGFQDVLKKNYKVIQEYTIRSEYFNYVHDKEEFQPDVKVVSKKAIQNLTFKEYQAIYAQV LPIFKKYQQEIDDSDDDSDNDEEQEEDKRLIFDRVNELTDCLKSGLELSLGQPFQTIQNY HPFGKDQVVDIEHKDDQVLVVMYWDDNQQCNRQFTQILKVLLVNEEKFKNKVRLVALSKH KQEAYELFFLKNQGIEEVVEFYFPREDNQADQVQYNVKSFPHFIVVDKVGSIRIQSQVED IESIITSYIEESIDSLNQKGPLNDDEYSEITQFLYSDARKKLSQLNNKRQFSLSFIFKEI DQGEKVVRFAPIIEYTIREGKQKYLDAFLALLNKVVPLSNFQINQTVQRTLNLLFPGKAC KLCQNELNDQTQQYYSPFSDEFYCVKCAEVFDPKAAGYKKFRVQDNLVFFNAPLVDKSVL NDIDVYRVGNNQKVKRGDQYTQNHALDCNGCDGDVKGFRYIVLNCLPGKVTDLALVDFCQ DCFNEIRTGGPRLEKIVKRTKIYGYNPSMLMLRVPFYMGYYDY >CAK57991 pep:novel supercontig:GCA_000165425.1:CT867995:122547:123756:-1 gene:GSPATT00029026001 transcript:CAK57991 MGLQIIKKEMFFLLLYGVIFLAMSLLMIGLYEYNGMFYLFEWVWLLFFGKILSLVFLINE GSIDEEEREQLLEKELSEHKQTYIPFKLYAINASIQAADIFLICIELFYMNFGLFIICQG IVFVFYTIYTNKHDKIKIIYAGVVLLTGIFSLALDTEGNHECYIGLIATLLQIGTNIYAI KLKEEFMCQYVFQHAKYIAYTGIVQFLIWCVVLVIVDFIPCDDTETKCQGTLGNFKGFFE ETFSQQYETENNHKNITYPVIVFVILILVSSALSYMDSKITIKQQSDKKEIIQQFVFFPL WIWIYSDPRKITLFVFCILFFILQWLLGFYIVFQDKKTGKREQEDDDQDSEFS >CAK57992 pep:novel supercontig:GCA_000165425.1:CT867995:123883:126248:-1 gene:GSPATT00029027001 transcript:CAK57992 MEQIKICISSLQEFQFNLNKVLDKLRKENDLANTLIQFADETFRSNESLLKKYLLLMIIR DAVYTNPIGSVTNKLANNNSLLNYLQEVVMFQPGSDDEYKGIYRFENDIPQIAIKYFNLI LELIKCLAEKYPATSSNKPTKFKILYDRLIQQGIIFPKHNLELTANVNEIEEAAEESQPV KRNQVQQIEPSRQSGIKQLEELQLEMTDQIETIWDMLYDQNCNPDGAKDMLQFYCDTFKE SDAKLQQLEYELSDQLTQQQRDQIEILCNFIKIFNLKFNHFERNKTKNGFLEFQHAVLTE ASKITKKNYTPSDAYQKSKIQPLSSTRQIGQDDTQDVARLNDHTQIQVKQQVVSQINYQA QSDLQRQHEQQIMQDNSKQVDKLKGTSQPQHNQYNEYDTFNQQNQFQQQQYDQQFQLNQQ QQKQDYQYFQEQPQQNSFKPIKQNNPHQLETDYQQFEQNIPKQHQNYFSQDYYQSPTKLE GSSNNIEQYQDQFNQKPNGMKLTFGNGTDQEQDQTNKGSSQVQFKEIRNSEQQKYQQKQN DSSGYQLNSQSLDLYQKLSRSGYSLQMIDIWKNTCLSGQGNLFENELIRVYCTFGFQYQV LNGKNYLKISLFIFNKTEQNWPINIKFQGDRSTKFWIKQDNRKVLERELQYLIMVDECKD PIICKIQIENMFFNVLLPTNQFNFIDFFDLRPEIMQLKVKDAQFHKSQPFRLQVGLETLK KLRFAVVNDYYGNGLVLNRGCVWEQ >CAK57993 pep:novel supercontig:GCA_000165425.1:CT867995:126295:127030:-1 gene:GSPATT00029028001 transcript:CAK57993 MAEQSMPETYFLSYSCNQLLFVFVDNRLGIYVWIVHALPDLDHFLENTKWIFWLSLGICI GISILAFIYRNHIKTTPTNWLVFLLFTLSLASVCGCLVAFGNSQVGLLIFVNFASLIFFL FLYSSTVRRKITYSGAVLFVSAGILIVFEMFTIFSQISLFWIMVISLSSFLFAFMLLYDT YSNLNSEDSYDINTADDVSGSVTIYWDIVLLFLKMTELIKDNLFNRHN >CAK57994 pep:novel supercontig:GCA_000165425.1:CT867995:127119:128927:-1 gene:GSPATT00029029001 transcript:CAK57994 MQKSGSCSHFSKYRLEQIGNLIKTNFTYCDNAKRQQCESDIEKWLCIECGFFGCSRNSDN QCSFKHNQQTNHPLSISINSFAIWCYVCDNDLETIQEETDNKGQKEKISKFIDNMKNLLF KKIKQNKPTQTIQQFQQVQQQQSQPQTLSQEIKQQATQPLNYTPKQVFGLRNLGNTCFFN SVMQCLNATESLNSFYLDHKKFNFSSYSQNVDNDEDDDWTTVENNKQIKQQVRPKSSKLS INQIYQNFLLNSRKSKGLVDPVDLFKSIQAVQGRFRSMAQQDASELLRCLLDALSTGEEN THIGRINKTKTNGKPKRTIVENIFGSYLCNYLECLDCGFISRTFDFCLDYTVQIRKPKGL INQRFQEEYQEITSEELKDVIVPYLHNSILVQKNNNPPKVDQQKQYNLSVVDCLDAFTEY EELNQKNNFFKCEQCAKQGKKSGRALRKFFLYDLPQVATIILKRFQQKSAGRFEKVSEQV DIPETIYLEDYTILKGEPSQTVEEIKAQKYPYQLYGVVVHQGTMTGGHYISYVKHKENNQ DHWFYFSDSNFKEVSLKQALSNQAYILFYERV >CAK57995 pep:novel supercontig:GCA_000165425.1:CT867995:129227:129619:1 gene:GSPATT00029030001 transcript:CAK57995 MSSMEPYREEFLEELDKIRQQYSFGQSQQMSQSQQKKVNLQFKVTDTNDIWNNSKYSDNK WRGNLTTTFYNKEHNASHYEVNSLLNPEVTRKNFNMRATQISEYSNAKHNNTVFVNPKFT SC >CAK57996 pep:novel supercontig:GCA_000165425.1:CT867995:129647:130931:-1 gene:GSPATT00029031001 transcript:CAK57996 MNYFACPLHNGHFLSLVCTEKSCANPLICPLCEHADHQSHNTLPIKLMLQQVDEAANNNS DATQQSVMDASIFLDKSYNECVRIVNRFNEQMQNITKQLSKRVETYYLDLKNQLRNFSLS KTPIHFLLDSLNIPSELPEYKKNIQQILEQYSFGNGIATRQSINSAIMVACKSQITQLND QLQDIQIDIDTKVGILSNIFRAQPVTATEVVQSLAFQFSQKFKGANIGLSGQNMIAEQKT SESNGQRFIICEPCVPKNGVYKFGFKIIKYAGWIGVGVCHRDIIASANYKFNYTNIGHGS YLISNNAYSWSHLQKDLNSAHKSFDFGVGDIIVIEVDIPKKKITWWKKKTELKFSMNLDT NLDLYPCANLCSPGDTVEIVNKVES >CAK57997 pep:novel supercontig:GCA_000165425.1:CT867995:130945:131905:1 gene:GSPATT00029032001 transcript:CAK57997 MNQLYKLTPQELATRKDIVVLSDKGLRFREEIKSQDQFDLDDFHLPQINQQKDKPYTRRQ VLQGEKPVELLVADLKKELNISRPYMEKALEKMTVKITSEKPSAAINNKLQKLYQELDKH TRLLEAKKVKFQKKPIEQQKQDQTSILEESEFQDKVASLNALEARIWRMQQNYQNEIRIR EDTLKKVKQNLNVMRIQKETEIESVPVPVQVEQLQQSQYLDRKQVRLKDYQKYKFEKGWN RQPPPSYTSKQVLIEEERKKQLQLIKEQQEYEQELLRRNGHSNIHNTANQETHEQ >CAK57998 pep:novel supercontig:GCA_000165425.1:CT867995:131922:133834:-1 gene:GSPATT00029033001 transcript:CAK57998 MLPESLPILKELSLEMDMLNGWMPNIQYPIRLKFEMNDDVLWSVQRDQLVYVGPRYVDSM EMCQKYLTNYQPTKNQTDMMEAIQKKQRQLRLFINRPQAQKHFIQFDSDFESGNLDFAFQ VRPNEYNCYMRCDSNSRSSHSWYHFSMVSERTQTIKINICNFSKHRSLYQRGMRPYIGIN GVWKQGGDNIVFDQKNQRSSRLSFDLTLVKGQKIYVAYGVPYTYSQMMQFIQPLGFSIFT RTPAGVDIPMLQFGEAKRRTIIVTARVHPGESNSSHMMEGFLKYLISPDGQQLLQNSQVI AIPMLNPDGVIAGNFRTCLQGMDLNRQFSNPDQNTTPQIYQIKKLIETMKSPPFVYMDMH GHSLKKNLFIYGPEYPIFSLNYLKCRVLAKLMSEATEVFRYWSGIWRVQPNKRNTARAIL NSEFKIVNCFTVECSNGLYYLGSQQITKEFGIPDFHQLGQELGKQVQILLEKEIKYIEYQ KDRIKNRKKKRINPYTSLLQQIQKDEEEHQVQDEDELLESESSEEEVKKRKTFIRKFQQP RQSQLRQEIECRKSFVSDRKPRSQDKSLDKSQISQRPFSPYTPFKRSVSSSRYVNCPTKQ QQLKQRLSNYGFN >CAK57999 pep:novel supercontig:GCA_000165425.1:CT867995:133868:135313:-1 gene:GSPATT00029034001 transcript:CAK57999 MITQNKAVLNWREFFMNENLLTDAYKFRDWNQQDLEIPEKETLIKDLTRTRNDDPYFKKE STQRYLMLLATFYCQQNSVNYQQGMLEVVAPFLVMKNRDFPIQKCYAYFNSFMNKYFPKV LEWNILKGQKQLAHVLSAIQFCEILLKYHCADFYNRILKLDDFNLSMVVTPLIMTLFAKG TSIQLVFLIFDEYMKRHNPNYIFFMVAALFMIQKQEILSIEDSEELFTYLNKKLKQIQYE SDVQSLFDRADELYSQTPESYEQLIASTSFNDKSVLSVEDITELTNLSQSYYSQCLFIEV SEMKSLFGKSKKQPTKNLQLQLLDIRKVKKPKLSEFLPKQFITKKPDGNFHTCLITDSNQ TLDDAVKVANQLVLDGVKHVSILKGVIVDFDQVVKGLFDHVMAILHILLQYAFIVWYSGR VQKGYNNVDVMHSLSPVLMRVFTFL >CAK58000 pep:novel supercontig:GCA_000165425.1:CT867995:135322:136357:-1 gene:GSPATT00029035001 transcript:CAK58000 MDYFKYCPWDGYRFSENDVQCFICRRPKYQLTSYIQEEQEQKKWEKILYEKQPYDDNYID ETFLQSVQKQDNTNNGPDYKQLISKTSDLIFAVNCVVFYLLSYFLMLHDMVNDQLQLYFW LVTAITCLIMFSVVKKMKTLEILSNFKSIGIYISILLLFAPVMQTVNQTYADNTIYVLTS VAILIYIFLKDYDYEKDFTELDVSQWASLVFSSLLASRLHNELQVFLMIFASNLLFIFVP ILQRSIKQKYNSVFRVINLISTIGLGLSLLFFSYFLALLFFGCVFFITFVSPLQIIWLYQ YKNTIRGPWDLPNVKQYD >CAK58001 pep:novel supercontig:GCA_000165425.1:CT867995:136607:136975:-1 gene:GSPATT00029036001 transcript:CAK58001 MAYLILPIHQQFRVGGNYLPVVGFIYKNQLLFFLLSLVLNQSRDQRRVIVFGIYNYRQNN YGKNGRQNNKWRERNKILVNQRHSWSVNLEENQCQGCKSETCFLIWQDNRCFGNSFQWVQ IY >CAK58002 pep:novel supercontig:GCA_000165425.1:CT867995:137017:137280:-1 gene:GSPATT00029037001 transcript:CAK58002 MPTTEPQTGDIATEWYDNKGWSQIVDASYLISDIIAAKDIYEQTFIDQSSQLTAHLFKKF VKQIEDFNRRCGTCITLQELVRNLSNH >CAK58003 pep:novel supercontig:GCA_000165425.1:CT867995:138015:138971:1 gene:GSPATT00029038001 transcript:CAK58003 MKIKVVHNNEIHLLKLHNPTLQGIREHIQKIYPNIDSNYTLAYNDSENDQISLSCQEDLQ VLVDEGIQTIKVFVVTAQQPKPVTQDKMVHKHHTCDGCQKQPIVGPRFKCLDCPDYDLCE ECQAKDLHNFHKFFKISTEEELEQFRKEHWGKFGHWGQRPHGHGPHGQGFHGHGHGHGHG FHGHEAFKQFRELFQDPAFKDIKQTVFSLAKDVFGAVKTEIEKHKNSQQQQQQEKPEEEL YEDEKLETQQETQQETQQEKQQESTTQQTDCKAQQDIEEKIQYLISIVQCDEKQAREFVE CFKDLSLDRIVDIMLEKQ >CAK58004 pep:novel supercontig:GCA_000165425.1:CT867995:139581:140183:-1 gene:GSPATT00029039001 transcript:CAK58004 MTFLQQYQIQYLQFHLCDKDIQLITTYSQTLLIFFQILYSNYNLQFQIDSGHYRKRQKLI LLSNILFTIQSKPHTCYIIILKREWFYPQQDIQNYIPQSFHYQIIKAYDLSLNLFFAVTL MLYISQDCFHINEKPVKIISYYSLVLISLSSYYFRISKLYSLIYLKNEGSEFLFYFHLCN QNQSLYFIQFIIHQQMICLF >CAK58005 pep:novel supercontig:GCA_000165425.1:CT867995:140326:140753:1 gene:GSPATT00029040001 transcript:CAK58005 MNDSKGPKIMSSHSFNSIKNEEPKKAEVIDEQSLQTTQKRGQPKINISRLPPAFANKKPQ KKQIEPLAQKKEQKQQVQQNLQNIEAIQEYKQVSQMLSPLKALIINQTLARTQLAIQESI STFSLVHERPKENS >CAK58006 pep:novel supercontig:GCA_000165425.1:CT867995:140794:142215:1 gene:GSPATT00029041001 transcript:CAK58006 MRIEDMITTGTLRSYGDQSPVKKMLHAPTMKLYVVKEEPLHNKEIRKNLKDWISFWQNKF ANSNLHVQVYATFWNTPEGYVSIVMEYMNGGSLQNLLESMGFLPERSIKQLVPPILQGLQ KIHQQGAQCHGALGPSQILFLRDGTVKLGQGLQYRVQVQGNQLFNSYILGKPKDVQSLYD PTILETPSLWSKASSEKVHFPAQEDFILERANDIWKLGWLVLNCAIGTLEFHPKAQQIYE GSRIIIEEIAKYTDHLKDTCCLLHSEAKVISLVEQNQKTVSEPTKITMLELLPSDKFSPE FIQFLCSTLKIDPRQRVYTEQLLQHPWLVSGRDPKGPNVQLKELLNISNAWNAFLPEEFQ GQGAQKLERLCDALYLVLQNSERPTVNKMQLQENSPIIKELSYDMGLNAKAVSDRIMSIF QSLI >CAK58007 pep:novel supercontig:GCA_000165425.1:CT867995:142873:143394:1 gene:GSPATT00029042001 transcript:CAK58007 MIINVSNNNQILISQIQMASKLSVKCNIDHNNSFHNQKKPIQFENPIRRKSCYCKLCGNL SLFQQQNSNLPTQKEIQFSKQKRIKRRLSFGDEIQTDFPNKLSQNESENGRRIRQNNKSI SLPIKWQQGFSKNNCICQESSIKTKRKSCECSECGKLNPFKIKSQEILFKRQQ >CAK58008 pep:novel supercontig:GCA_000165425.1:CT867995:143397:147199:-1 gene:GSPATT00029043001 transcript:CAK58008 MWGRTLPYLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXPCRIFQESNYRHELILETLLQLLFGCQSKSLSTDIFSSSHFIDLFHLKGSLTLR >CAK58009 pep:novel supercontig:GCA_000165425.1:CT867995:147219:148340:-1 gene:GSPATT00029044001 transcript:CAK58009 MKIILLLLPYLALSTIHPEGNLNFVKYCKYFNYPVEEHVIQTEDGYLLTYFRVQAKGTKM VSGKKVVLLQHGLLDSSDTFIINDEDKAPAFLIANKGYDVWLGNNRGNKHGRAHVKKNPF FWSFWDFTLEDFAIYDLPAGFKYIVNKTGQKIQYIGHSQGTAQMHIHLSLFKQSIVRDNL IQFIGMGPVAWVTTKYSPLVRLLDTNFLEVLATFGLHEFMPGDSFLTSEIGRVVCGLMEN LCGDLIGSFVSADPVLDNYDRYDVLAGHSPAGTSVKNLKHWQQFTRTGEFKRYDYGDKEN LKKYGSKKAPLYDLSNIDVKIFYIAGYDDLLAAPKDVNHLFSALVNAPNKGTQVL >CAK58010 pep:novel supercontig:GCA_000165425.1:CT867995:148353:149186:-1 gene:GSPATT00029045001 transcript:CAK58010 MFQSQLEYAGKTSQIQQNVVGEVCNFENQFNSRVVYSRKLYQYLLIQMIVISLFIYWVYA SPSLDSYLEGKPWLFWLCLFISIGTATLALIFRKDVAVFPWNWVVFVTFTLSVSVVCGTL VALGDSVIGLLVFSSLASMVFFLFMYSLTVKRRLTYQGSILFISASILLIFEIFTIFTEV SLFWLSSVSLFAFLLAFLLIYDTYTNVNSGDQYDVNQADEVSGSVIIYWDVILLFLKMNE LIKDYLVRERN >CAK58011 pep:novel supercontig:GCA_000165425.1:CT867995:149215:150608:-1 gene:GSPATT00029046001 transcript:CAK58011 MIVIKTEELNYLIYTYFLEQGYSHSAFALINEVKLDQQLYRDDVKPGQLINLLEKALLFQ QLQDHIQINQYYECKVPQLLIQKHVCQFTEKKQLAEKQERRDREIMIQNALMRSQEFVSR NGQTMDLNVELQQDIIQLQDEILLSDWNAQKNILAIYQLNCIKLIQNNQILQIMPTVVGE CFSRVKLMKFDRSSGNNMLIVYENGAAKVIDTTIQIIKMFNIKNASKLLDFHWNLNWPNV VALIFEKDVEIVDIYKCQTLKYLENVIHFEWRHFDHFIVMDLNYYINLMQIDIDKPQLQL KAELETTLIEFNKRKTLLASFSSTLNVIKIWTLQNDEYVFEITDDQKILQFCWCSSQNAN YLITLTSQTLNIWDVEFGQIKSTYEIDFNVAKMVSMDLLNDTPQVVLIGEKVVLYDLINK KRRVFNCDTPNKVLRINDEEILFIFRSSYIVYKKN >CAK58012 pep:novel supercontig:GCA_000165425.1:CT867995:150627:152921:-1 gene:GSPATT00029047001 transcript:CAK58012 MASRFIQNSFNQQESQRPSRAMSEHQKSKSEKGGQKVHHKSHASHHYNPLVIPCDWVLAE NHAKARRVARELEVEYQSTCPCCGYSIERTDLEYDCDPLEMKFLGSGFPLFYMFIKYCIF ILVEFWLLKGMFCLATDLMGDYCYNLEKVKVQEHAHHSIHDHLNQQHHHKHKSDNQICGG SLWHFVSLANVYDREDIRNLQSNLSLVVVFANMVSFLFFRKAQRSIDIEVDESQLTPSDY TICVKNIPNLNQDYKEVLKNIFQNYAADGRELTVTKIVLVYNLDEVIELEESLKEMIREK QEYLLENGMNFADFKVKQLDEKLESLEQKIHQKEHELFINKDKFAGIAFISFLTEEMKQL VLQHNPHTSWERIKAFFNNGLTGDVKEKGLIFEGHKLYVEEAPEPNDVDWEFIHIQTGQK VKARVIAWSISISFMAGCFFLIWFLSELAERMNEQVEEQEKKDNSIFNKLVYFLDCRIFQ QVCNWKGVSFRQLIWRKYQIRLNSISVLLENSPVALFINTALISLVIDFYLTGNVIGKGG FIYNESNVFLLNAFIPPIVWFVDPWSIQKDYQRQKQQKNVKNCVLTQQEANEIMEMPDYS QAKRYGDIMKTMWFTFFYGDIIPLGILFSIMGLTLYYFVDKYNESLSKHLSIEMIEMLEL IIIFTGIGNTVVSSILFGEVKWQDFIIIIIGIVYILLPMEDISSVLFPLEQKDEVQTYYE AEEHFNTDYDRENPVTRREILHEIAKKK >CAK58013 pep:novel supercontig:GCA_000165425.1:CT867995:153644:155469:-1 gene:GSPATT00029048001 transcript:CAK58013 MQIGQQDLPKNKTTEQQKNIIFIENLDKAITEDFLFHQFKRFGDISIIKLMKDKHKNISC GQATITYSDAASAEKARQTLDNQKLINNIIKVKPYINFNDTEKDANIYMKNLPKQVKIED LEQELSKYGSILSIEIRRDAKGNQLNYGYVQFEKKDDAKLFLEQINQNPFIYQGNEIHFE LFKSQSERAQQSNELFLRGFSNPLSQNIIQQDKTIAAIEYGWQMVIKDYFQKQQNIQIQS CFVKFDKNTRQPWAMIKFEHSDQAKAQCIICESQRTHPCVQSNILYALQLIQQSDIKQAN FSELKLSLQEIVSIYENLANHKFDIFSGVSDNFFYNLKQDKSQTIDERQLYIQNINGSLH KDDIQAFLSSFGNVISVTMRQSTKPYTQLQDCIVLYQTIYDAKLALSQIYDRKYSQSVKH IFKNGIIKISVFLGKNLRQEYQQMKKKRKRLIYKPMMIQLPQPPFLQLQKPLIQNHPFNQ EPINNFFPLIKKYGTIEIIKNQMSDFSKLTTSDQRMILGNLLFNKVQKKVQDKGVANHIV GMLIEPSSFTISDIFDFFENDQDLNDYIEDGLELIKENQQKIK >CAK58014 pep:novel supercontig:GCA_000165425.1:CT867995:156015:156468:-1 gene:GSPATT00029049001 transcript:CAK58014 MGNTQGKELSPQMRERVLKLFAKFDVDGSKSIEKTETIKYWKSNFAKLNTEELFKSVDTD NSGTISEEEWLNFWTSVLRSGHTEEEISDELESIETGSSWCKFENLDKKG >CAK58015 pep:novel supercontig:GCA_000165425.1:CT867995:158373:159829:1 gene:GSPATT00029050001 transcript:CAK58015 MSQTEQYVLNYDSQYLDVFGCMHNTKIAYSGKLICQQCGIFISNSQTRVYKTIKMRYNAF FNPMNILNNALAPQIIQKHQINRSPVIDFIEQASERLNLSATTQFLAIAYLDHYFNKQGV NEEQIYLYAATALMLAAKAQELDEKIPFISKLKRYSSMTSHPEINNYTTQDFRNAEKSII QSLDWKLQRITLLDRIETLLSFGVIDDDDSLAQQQSSQQKENKEQQQVHVKLKDLNETQI CNYVREVENKYVEIAFTVLRGMFKMFYLDDSIYFSNDQSILALSCVAYLRKKAGLLNIWS QQLYALTGESTQKISSTVSQILTLTPKSKVSNLSKPLVATQSSSYLQNFQNPSSQTKSSQ ANNRQYLLETNKQSTGDIFQQYNTTKASVKVPLFQSQSTMQLSKNDIVKPHQLNQSKYTS RNTNFPTNTTTTTNYTYLNDQIFHPNSYIKHVEMDKKYEQVHKVSETKFRTKLFNQ >CAK58016 pep:novel supercontig:GCA_000165425.1:CT867995:159890:161047:-1 gene:GSPATT00029051001 transcript:CAK58016 MQGYLVEGKETNNDYSYEWLKGNPDLVAIEKGIPIVHFNSEIQKRDLEMEFRLLRRLTET QYHNENLHDFNPDIQKLNRYNNIIPFKHSIVKLKQEAEDEENLKDTYINANFINLINGKE KMIIATQGPLTQTFSHFWRMVIQEDMTMIMMLCNLRENQRAQCEQYWPKNVGESMQCGNV QVNLLSQDDLGNNIIKRVVKVTQELEEKTVIQIQWCGWPDQGVPSPSDFEVMRELLNMIN EKLLSDQKVVFHCSAGVGRTGTLIALANLMILLRAYKSHIGEDKSKLEENSELYRISIFG IVRRLREQRWGMVHTSEQYIYLYKFIDEAIRSMFNI >CAK58017 pep:novel supercontig:GCA_000165425.1:CT867995:161074:161837:1 gene:GSPATT00029052001 transcript:CAK58017 MRPGEIFEKYISQAPDFVPQKNQSYTLQEDLKIMLALKDISIVQSKNFRDIEESQVVKRT YNSIKNRYMDYLQYLTQENMDQIAQHLNEHGLVGYLLFSNTQPRELLKVVNLDPKIMDKE KKKLEEELDINKQQKVKVEHKKQLDPTFPRNDFDKFSIEDMDQVLNLLSNYKGVPKAYLV ERLHQLSGNLEDLDGFLKTNDDTYCFLEEEDHLLREDQNKESPAIRVLIRKKGISRVQRR LKYLGN >CAK58018 pep:novel supercontig:GCA_000165425.1:CT867995:161976:163406:1 gene:GSPATT00029053001 transcript:CAK58018 MQQKRNQEQLRNALQQQLFPKMQKKIEYSKVKPKRAIRIEDANNRLPQTFPQIEPMEIDF QAKYRLGERIGQGAHGVVKQAIKEDTGEIVAVKISSSGDPELVKTFTEAYKNARMLNHQY IIKVYECYIDEQTEALFLVMEYSNLRSLEEVMRHTKLTEEQIKILIRHILLALQHIHERG VAHRDLKPDNILIDQNSLDIKIIDFGVSRRFKKYNGREFIDVDMWTRTGNVYYTAPEILI GGGYNEKVDLWSLGVCLFRILSGNLPFFKDSVLGTTKMILKGKFELNHSISHLARDFIRR LLNPNPLQRLSAQLALQHPWLYCQVSDRLSLESSQHPKSSYRTNDDIKDFNQFEKKNSYY SRNLHMRSNTMSKSPIVQHDRQISPKSPLEILQNDIDGEIQQSPLIKLKKIQHQMSKEIN IIRRNKQNEQGFFRFRMPNQFGNRNLLQDYQ >CAK58019 pep:novel supercontig:GCA_000165425.1:CT867995:163510:166110:1 gene:GSPATT00029054001 transcript:CAK58019 MIIPEKHEKALIQEILTLLPQSIGVTQIKQHKINNVKNQYIQFIICRFQVSYLYQSSQRI PISQAFLFEEVSNKLKKFPLDKYVSNYLIESLHPYYDKDESFIGFPNLTLYEDGEFKSNQ KLEVTNDILNVMIFWSINNWESVQLMKDLNTQIMNELREARILSINIDSDQEAWSQYILE LENYNSYHFNPTHIAFNLQMKALRFTRFPHVVVLDKEQKVIVLENTSLQQIKDTLQKYAK STNNLQTIDQQLQKLELQNSITLQYSKEDYKAIKEKIQTQVMDMVNAFFAEQDIVELRVI QKKNYFKDGSKVVIDRSKILICIEQNIKNDSKELHEEFQNYLTQVLGEQNYLVVRQSFRK YETEEQIVIREILNDFVSQFEVQIVPYQSEKHKIAWYHIKETFICQSNYEQKVKFNKELS IKEYKEIIDGIAPLLDAQEEENSKQYLNTLIEQLKSKRSMGDKFYPIDGFYNKDGKEILI EHQPQQMLVLIWLVPCVFIIMKLENFYKKLKDQYGDKLRFVYLGIEYNQEDIDLIYKFKP TSEFYYSKETPILAREKYEVKYFPVQMIIDQNGIIRQNGLFHKLENKIAFEFATHPYKKQ QINQQIYFDQLEEFLFNQKIITKEMGTDKQFIYELDVHTNECQELIANCEYTIRQKSLFT LGQFHQSLQFHIPVDKLSIEQRLILSIDIRYPAIICDYCGVRLSRNDPQYFNFFKNQFYC CRCAERKDRLNKNYEIKDNLAFINTPITDVNELKDIDIFRFGKNIQPVDDHKTVQQYNCN GCKKSTNLGQDRYIVLNDQRGVYAPGGYSDFCTNCFAKIKSKAPEWKKFTDVNDNSVFLR ITCFFGYNNF >CAK58020 pep:novel supercontig:GCA_000165425.1:CT867995:166119:167069:1 gene:GSPATT00029055001 transcript:CAK58020 MCKENLNQIIIYNIIEPSISEIEQIIVHELKNTPYKQIIFIFFNWQLTHLVIYSTNTYRI YQFLRLYAFITKYLLNKMGNEQPKPQEPKPTIDDAILDMRMASKRFANESKKCEKEKEKL MNQAKVALKKNNEEGAKLFLTSAMNKQKEAENLQKMAHKMDYMQGVIKSNTNSTKLVDAL AKISPIMNQQIQNMPLEMVYQNINQFEKAMDEIQVQGNIMTGMMNQNNSIGQDLAIDQMM GQLKQEIHSEVSNDLNANPNVLFKELNQQNPQQNVQAISNNQIK >CAK58021 pep:novel supercontig:GCA_000165425.1:CT867995:167069:168280:-1 gene:GSPATT00029056001 transcript:CAK58021 MRIVPVLTLILAVATARVTKTESPKEVLAQINKDSFGNSVLSVLQLQLATGGPVGEIQIL LNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGE AEAEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQMDAIDEASKIVQHLQAG VAFAQLKSRFEKVQAKLMESKHALFKPLINAFDLISLKGRQQEHHQDLRTLGSNQITIGC QQSILTCHRRKTSCQLGSSKFPFRLVERKAFLENSIVQFKVTIQEAVEDLEDQTLFLEDA EDSLAIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQIREEVF >CAK58022 pep:novel supercontig:GCA_000165425.1:CT867995:169419:170151:1 gene:GSPATT00029057001 transcript:CAK58022 MYLYINKRAGLNSNILFFSLKNGQTSEYKLGQNNECIINQADFINNQEILVSDNDNQIRI FDAENLNKEKYLRNCSHCVNHCAISNHVIIACLDQPLILGFDRRDSRPFLTLKGHVCNNF VAQFDPMDSMKVATSGEDKKCLIWDLRKHQVPFDQVEAISKPFYNILYNNQGQLICIESD DFVQIFNLENSKLYQVIDFFGKCGGCAIYKDKMYFNLQSHRKTGLFEYNLINNIKF >CAK58023 pep:novel supercontig:GCA_000165425.1:CT867995:170163:170552:1 gene:GSPATT00029058001 transcript:CAK58023 MYQNDEDRLPELQREIQECLDALEHIGKTPYPYPHSILQLYQDLNKSLKKLFIKSAKLKE QSIVPNSQATLKYCDGSLELQSIIYNNEVQQVCKLSHKDIEKKQLNLKSMYSFAKEHPYL DDKKKKRRR >CAK58024 pep:novel supercontig:GCA_000165425.1:CT867995:170599:171023:1 gene:GSPATT00029059001 transcript:CAK58024 MQIIQVVKQKPSNNQKNNPQLLEHKINRFRQFFYLHQNSMLNNLCNQVHSHCITISFKVL MNLINQTTSSTQITLCSAQSIYYQSDLFNCYIVKVCYLEQLDNQRLNQQHYQQYFHWIRC IGQLYLIHI >CAK58025 pep:novel supercontig:GCA_000165425.1:CT867995:171458:171817:1 gene:GSPATT00029060001 transcript:CAK58025 MQIQNINRLRNKTYRQQSVGYQENIQQSINKTKSSQSISRMKYNANKSVLTSQSQLSQSV NFNSVSMFVRVLNNSTKKHILLQKQQLFDQIRKQIHYKILLKQLQLENKQYLFNQDSKN >CAK58026 pep:novel supercontig:GCA_000165425.1:CT867995:171844:172486:1 gene:GSPATT00029061001 transcript:CAK58026 MQIASQNHYEIKDEIFNKIMLLKKKYEPKQLEKASNIFKCKVINKLIIKGDVKLALAKLI TKQRKEPLREYLQKWKIFTISEPFYDQNFSINNYEIKLLASISTKDQVIRKCPNKSILVL ESNSTLLSKGEIKDKQRTDTSINQHLASNANNIYFDYLVINKLKRKRKKKSSKNSKSQNG NKVKQDKKKLGKVNIFLIYFIDKQMC >CAK58027 pep:novel supercontig:GCA_000165425.1:CT867995:172864:173244:1 gene:GSPATT00029062001 transcript:CAK58027 MKQRKVQTPQEIIAFLRSFKNYIKQPHSMSPQSRCQTNVQTAKKMMNDDHLLKSPTERKQ NNEFLCEGIEKKIESLATQIKDLQTKQEQLESQHYQLSKSVKKLNLDKKHQLQVRGSKLN FRSEVR >CAK58028 pep:novel supercontig:GCA_000165425.1:CT867995:173490:175188:1 gene:GSPATT00029063001 transcript:CAK58028 MKKQSENEKIAIYASQMMKLHGKILEKKLTKVSSKQQFESQSQNISNNNSPAVGRQKSYE NLLKVNRTFINPPIQVSQQKLSSILNVMAGQQTKPKNQSLFNNELIDTVKISHKLRKNQS MIQIEQQSPQLTKNSSFHRPNSSVKIKNGNSVSGDQPQIQKIKEKIKSLLQDRENYLQQD QKETAFMINFNQALNQLLAIIFRDQQNIQPNSNQDGTHALQIDHFFKRQIQILQQSLQQQ MEKKKVESILISIKKKHESLLSEQKQLLEIKKSQDQIIVNLQQQINGLSAQIREHDHVDQ NDTEIQELKNLVQEQFEAIQKLLQREQLTKIFLKRVGDSSIIEMFEQFISNAEQVDQNDT EGNLNIDINPINQPNSLNKLQTPKQKMNSQDQIKYPLQILSKYEDNYKQQLNLCDSVLAD DSRVNDSEESSFDFKGKEQATEISCYFNPASQFVQPQMKKTSSQMISKEKLRINMMGVLL AQVEQNQQEILEQQQQQQQKILPDDLLDEDQMDAYQSNEEVFKSKKLVLKI >CAK58029 pep:novel supercontig:GCA_000165425.1:CT867995:175216:176362:-1 gene:GSPATT00029064001 transcript:CAK58029 MGSCQSAPCVADDLLTMDSSACKQTDNPIKEEILPQYDENKIIIIQAHYRGHKVRKSLMP TISSPEPSAPTQPDNPKLCFDPTLLQENDQSIFMKNGAIYTGTWKDGKANGKGKYQFHDR QLLFVIFQLHRRNCNLFNIFQWIANELQGEGVYVNSNESYRGQWRDNMFHGQGEFRYYDG RIYTGQWKQGLQHGIGKEIYKDKSVYEGKFQNGMKCGLGIFQLSDGSVYQGEFENDLFHG YGSFTWPDKLKVFEGYWRNGLKNGNGTMKWGDGRIYSGQYLDDIKHGYGEMYYTDGRVYK GQWKQGVQDGIGQFLDKEGIAKKGFWVKGKLKKWI >CAK58030 pep:novel supercontig:GCA_000165425.1:CT867995:176455:177447:1 gene:GSPATT00029065001 transcript:CAK58030 MNQQYDDWPQWWNEVRRQKFGSETPPRRYEITVPSITAHTWVILDAQTGRLIWGKNEHSN REIASITKSMTAYVTIKICEQLKIDPTQVTVSVSEYATQIGGTSSNLQEGDSLTLLDLLH GLMLPSGNDSAQAIAENFGVYLYFQSKYFQQKIDSIDNDNNTIHVNNPAQYFVAEMNATA QKLGLSNTYFTNPHGLANRLNRSTAYDVAKLCYYAMKLPLFAQIVRTKEYSCTVTNLGQT RVLKWENTNKLLEQGFSGIKTGVTNDAGPCLASHFISRKHSYILVVLNCNSKEQRWVDSC RLIDWVTDIYEKQ >CAK58031 pep:novel supercontig:GCA_000165425.1:CT867995:178388:179027:-1 gene:GSPATT00029066001 transcript:CAK58031 MWYAQILNSLEVDVFSEEQLQDITNHLQSISVSDSCNNDTKQFFIESANMGYEFTINNQL SNTQIIIKYLQLWNQELHIFSKFMGLIEFRNSLSNQDQSRDTSQLSSASFTLTNQSFIVE TQLFKQNDKSFKYSTNIMQLMMNRTVSQIYESTQTLYHLRSLDNQNKQYALQAIQKLQQL TIKSLEPKLNRKVNLLMAKLQERMALL >CAK58032 pep:novel supercontig:GCA_000165425.1:CT867995:179041:180575:-1 gene:GSPATT00029067001 transcript:CAK58032 MMKLPPSYRDPKIFNNKYIIKQQISSGSFGIVYLAFDKHTREEVAVKIEKEENEDVSSLD REISILNRLNGVPGTPKLYWSGFEQDYNVIVIQILGKDLSQHIKQFKKFSLKSVLQISYQ LLSTLQQVHERGVIHRDFKPENILTGYQQENGTIYLVDYGVSKVYLDNHGKHIPLKDKKS FIGTTRYASIAAHRGYELGRKDDVESMFYVMIYLLKGKLPWQNLQNIGDRDRTDVVGEVK QKTEVSELCKDVPPEFAEIFNYLKKLEFKSEPDYKYMLSLLLKASTNNHIILDKLFEWTD RQTKIKDQMIWALSEEKQKQPQMNSSQQIMGSNNLLKPPEAMRATGGSPIRMESKHLTST SIQGSLSSMMIKYIPSQVENTSMCNQDDKRSKSKKSKRSRKSAKSSKRQSVIFHSGVQII EPKDQLRVTQILIIFQRHKTIDSTWGFQQCMNEFSGDEDSQKLSKKYHQLQASSLHPKKI PKSTSKI >CAK58033 pep:novel supercontig:GCA_000165425.1:CT867995:180984:182530:-1 gene:GSPATT00029068001 transcript:CAK58033 MEELICPQCHNIFNEFENTPLMLPDCGHTICQQCIQFMLSNSDGQQISCPEDNILARGKL NISEFPKNCQLLKMILKQRSSIEQPEYQLNLNNFAKERFELCAEHLEKLEIVCLTDKIRI CTKCALFGNHRHHEVKSVDDVVREVTHKAENIMQTYQKILDKQQEMSESKFYEPLTDKFQ TMLLDSQMAVKEKFKELHQQLELKENKLLEQLSGLTSTLEQQTKKQIKDQIQQCIQQAEL WKIGAKDRLLYFSTKTDNGELPLDLLYNQEFKGNAIIEEMDRTIKILEQRINNIRIRKIR VDFKKAEIEKCFDTMCIIRHLQLNHTENSHVDTSFTKIDQEDPLLLRDNSIADWTDNDES LITQVAQNQSHISVIQAKSPLRTENLTQSHHSITPLKCIKQKSPSKEQPNNSLQPPAPVS ILRQGISPTPKLQERRKKTFKMNEKFDQVWQAFKNDNLEIVDFSNAELGDEGCQIIADQL KICKKGETAEIGQEQDQ >CAK58034 pep:novel supercontig:GCA_000165425.1:CT867995:182552:185189:-1 gene:GSPATT00029069001 transcript:CAK58034 MDMKVKLFGGLAATFAASAIYLFFRENNKSLNQKMLDQINKLKNYIENHFYECSKENLSQ KLEDRKDFVNLLGEVASNFFDLDRVNDEISRHPYFKKFAGVNQQAHLFNQEYTSFYLRKN SLDAVQNSVNFIVSKHRLINQEQPQLSENASKFINFFYLLLIYLVRLIEETLLDLDDNYA LIQMNFLLAGLLDQIELILKQYPLLANLFGIALLGQLQLNDPQVGFGHQCEDNFFNAKIE DTNSNQNCKCLIFDALIRYISLITPILQKKFITILIDHQILSTKDHLVKLGQILLKNQFF LNSQISKVEMYYATDVSVFNHMLIQATAYKEVRQELVKPDLLDLYFLYTERVVAQNNPKF IAKILTSLIASHVMTYPLFGDEDILSQLLNNEKQQTLIVVHFLGMLLILETKDTVDVKEN PNVQMLDWLAQNMDFMLAVEVLSYLQKQFILKIFRNKASDIPLLMKQYLQCLRVTHNKRI QQKMAQKKQFDVNAILQQMIGYLTAIQVSELPSKESFTKLISLIPFQDQNEQSNIFSNIL RIVFDLPTINFHFLKFVLDKCEKISKESILSYNGYYSHILTAQTVSFDSYMFSIQLSLLF GRPETLIGEISNRMKNDFTNFERYEDFLTRDIILLVDLLENPYYCMNILMQTGSEFPQKQ EIMTQLYQYAAISILKLNDQMTLLQLLDTLQLFIHIQELPQYIKELLEDTQDGKVKLKYQ YSQNWPYLNLQKDMESVKYFLSKASDPFDELMYAPDSFPFLFEIRQQMYSCESFVKIIEL ALQSKDRMKLYVWIGKLLYYAKQLLLKKGQTKMEELFALEECKSFIQELSEKEGCNKLVQ YLQQ >CAK58035 pep:novel supercontig:GCA_000165425.1:CT867995:185201:188176:1 gene:GSPATT00029070001 transcript:CAK58035 MKTPINQDLLDQYVGMGFSKQLITMAWEESNTEADVINTLLTLSDKNNALSNTPTKQDED IQLNQALIDSYKTNPQAATTTFEIVSPEQRKRNNGVPCGLKNVGNTCYFNGLLQTYFFNS DFVKIIIKFQPPAQIDQGKQGKSIQLVLNLQQLFLSMIGSDKKYVDPSDVVKSICDDFGN VLPIGDQKDVGEFNHYFLSRIGEGLAQNEHQPSKIEDQPHEGSSSILRLKSSTIHDEDVV SKLFFCKVHHHLQFDQAGVPQSRDSNVLYNFIPINLKDANLYDGLENFVINSVEDFKNDL SEIVCATNYNWIQGAPQTLSFQIQRVDYCKEKHDLIKQNDEFYFEEEIYLDRFLNENSKR YLEIRTQIKELIDKQKKIKQEQQSFSKFDDKYDLQDILQTVVKFLEIQNNSNTDNPANFG YSDQKHTIEQLQKYSQHVQKRKEVLQCLYNDLEGKIQESYNNFKKYKYHLQSILIHEGMA NSGHYYTYIKDFRLNKWFKFNDIHVTEETREKVFQDAIGVKPGINAYLLVYVKSEFVQDE LKYPKRLYQTSTEKDYLKDGYGDFLNPQQRDSIIRENQLFQNEVEDYKQARIIDRIVESY QSRFEFVNEHTRTLTNKYQSIKFKPLFTLNFPIYIKCKATQLSNQNTQHDNLIKWIILDS ALREVPEHKEGIFGGQLSENFKNKILNKFKAQFNEFKRPSDSLTPSEQNDLNKFEQEYLN YVNFGAWATLVLDYLSKAEFIKALQVLQCLTKKVKPLEQQNYFFKFTKNLKTLLPIIIIG RMIPKESEVILKELQLLQAYLVHNHFQYDDPKFWQAEISIMLNAIIENHDEQQGIKEIIV NFEAGNKIKEQMELLEFVSEEIHNQQIIISTSYEVYFWSAYPKQDIIFDKLIEGMNTLKQ LFDPYIKIQLALIKEVNQPLMKEELEKMIK >CAK58036 pep:novel supercontig:GCA_000165425.1:CT867995:188186:189819:1 gene:GSPATT00029071001 transcript:CAK58036 MHIREHRSFSLRTSSVHIRDEDPKDVVKSSICGVMMGVLFMIIFAIIGIVGIFTNIEKES EYIWMLVALSLTYILFIPYFILILKDLKRISAELEKHQDESIVMNPFAFASGMNTINAIT SNEKYNPFRLLNIQFSIISLILSAYLINSKIVIGSLLAIVNYIVAATILIYACRKCWLGC CNIRVVTKKPKRYIYQRYPSPREVQKTAFAFGILLLAFYVFKGILYIIFLFIQQEDYKFT QLTSLFYSFIICTQIVYVLYLIKKINITLHSKKYFRCYMTLFQSVYLSYTTQNCVLKGLY FFSLLCTYIAFFLEKPYKTIAKDSLFGIQQFCSYEHFIYLFTIMQLIYFFLFRSYCVNKE EEQIQIFDFNLAQPQEQRLQWVQNYQDYPLYKQKSLSNIYQIHDLEALQEIYDNIVFQNS QLELKVKPRSGQLISLLQWIEDKKFQFQLEGKKVDEDYFNNLKQFIEQNVGQRQDNPEKQ KLDQDRMECAICLQNLDYKENITQLECHFTHRFHTECIERWILAVHKCPLCNQPT >CAK58037 pep:novel supercontig:GCA_000165425.1:CT867995:190045:191768:1 gene:GSPATT00029072001 transcript:CAK58037 MQSLRCHNTIGFDQNFDKIAKQEQKMQKQEKQQTKESTFLGKIVWILIGILVAYGIRLYL SEFQNINCIINGDQIIMENSGMFIRDAGVAHLSSQLNQNVQATKLIADLGINQISDLTIF FESLGYLTKLKELKLSFGNNPIINQESQVMKLVDTLSKLKELEHLNLGMDSILNNQSRLK IIQQVSKIPELTTLRISLISCDLSEEGIKSLAPLAEMKNLKALDLILVGSKLKEKEMESL QLILSKLPKLEKLNLNLYANKIQHDGVKTLSVGLQQQIQVREFGIDLYFNNITYNGTESL MKVVEQMNNVTKLNLGLEFNYIKDEGGIYVGNTLTQLKQLKELSINAATKNFGWVGFEAI LVAIEKLPPLDKLELVIGVNKCGPKGAELLKNVLYKHNKLKSLRINYLENYIGDAGATFI ADGIQYQSQLEELHVNMNFNSFSDRGALELARAVKNAKSPKVVQLKVSQNQLTDKGIKDM LQLLEQSLSKLDSLNVEILNTALTNATRDDIETKYGNVEKLSLKLNTIPPSNWD >CAK58038 pep:novel supercontig:GCA_000165425.1:CT867995:192063:193056:1 gene:GSPATT00029073001 transcript:CAK58038 MGNNFCLGKRSFKKKNELEHQMFIQEIDYQLDKLKEIPYRQFKPPEYEKKTIIDLLQVID IKSTRQSIVEPEQENEVVKNDEIKPDEFNQYQELGKEIITQIQQKIQEFPQDEKNWSLLL DDIKSPHFLKLYIRQVQLPDGNKINVTYSQFIVPCKSERFIQFMGDFKDQIKLDNRIDQF KCIKKHPEKQEQLIYLSYKSVSIVSARDFVYFKKTEQIDKDNDIWCDASRSIIDNSICPI QNKIVRGNIQLSGYVIQPLKNVMKQEYFSQRFSTIVFKVESYSLVQLWSECDFKLSVPLY LAKGQVKQEMANYINLVYRSLL >CAK58039 pep:novel supercontig:GCA_000165425.1:CT867995:193067:195222:-1 gene:GSPATT00029074001 transcript:CAK58039 MLIKQIKEILQLKRNFSEDKADNNLKLNNFNGFLDQQQRWVNGQKRLEDCLQRIARLDDG KQKSDEFFYTDSTKQMQYEFSLLLAKQLLKQITNIMLNNKFQDYLINCKSGDDYLQSLLD KFQYVQDSDSKYQEQEIDTRKYLFEITIKYFKSLNIDYLRKIVNQQRTLEYYVNQIQEAI LELFYEEYILKQQTEDYTMKIQEQKKKILNNNTKDQNSLITQKLKQQLPEPNNHELGYEN KEINVFCALSNDEQYGKVLEEALLFDSFYCDKLLTNQSYHNHQKQRKFKVQSQKYSKQDL EHFISNYKVNQLRMTKKHIGELNKTDYSNRYYQTREQRIKSENNKSRIIEVTDKINKRRI NTIQQFFKNDPEIKRQENKEVIDFLVNYNYKKVPKTLQNFIIHQNSNEEKDLSSDLLHKL FFKYSNKYEEDSSQTFQFINAQNFITLCKDLKTQITSDEGLRIFHKCLEDQSFFLDKGLT YSFFLDAIRNLASITSEIDTNNPEFQREANQVRFKFSKKEIEQNSDPQQKINKFLQIYIF PNKTFFYEPIDLQLQNCQYYSDFLEAFNPLIAEFFENSKNEQSQFINFDDVVKVFMKIKL CPSKITKATISQIEDEGLTLQQFHNFLNLLALQQNRKIISSKETSNVIQYYTYIFEQKNG ISNDTIATYKKIMMFYVHRDYLRLLRITKLAEFSIDRRESFQDF >CAK58040 pep:novel supercontig:GCA_000165425.1:CT867995:195256:196147:-1 gene:GSPATT00029075001 transcript:CAK58040 MQKFDKERYFHKSPEQIRREKLDMKMQNVKDDQQNGVVKYFMKHKSSDQLQSPVHNVQYY SNKDKPKMVLYRTLQKVQVEPEVRIDEVKELRWRVLSKNCQNLLELSRVALDKLSEFKFI SPTPQASKPASNPKIKFLSKRHSIELRLGDITTPPPSQPKPAPKILQDPFYAKFKKFYQK IGLQVKEPNKFNLNQDDRQTLQSSEKQTHKKHRSLHVSPQQSSLNFSQKKPKNAYFLQEL LKVSSNAYRFQVSLKKDQSENIEETSQKVNNIQSDFDKFHKLLNQSDSF >CAK58041 pep:novel supercontig:GCA_000165425.1:CT867995:196390:196974:-1 gene:GSPATT00029076001 transcript:CAK58041 MSQSIVIVLLPIFKLHTIRMLQNLDISQSTHFCLQFSIQNGQRNKQSQQIHLQASILNIN LNHFYFFPPAKYRQSQLLIIRIEEQYFYQMISMIHIFKHGFFLLIYLWSDNKVLLIFYFQ VLQVKFPLLFFQVPILIFPLYFVQIHSISTIPSLSCFFQLILKFVQNYTFSANFCQLYSH MVLSFFLWVLEEWK >CAK58042 pep:novel supercontig:GCA_000165425.1:CT867995:197544:197894:1 gene:GSPATT00029077001 transcript:CAK58042 MFEEPIMESMLDYNQQLTLDQKLQTMNLPLKTLSEVKERYKYQIDYSTLQERKFNLRKTR QPLIIFSSAEIDIPPTRTEVRIKSVISPKINQSLLHVSAQPKNPKSRSLGFRKKRQ >CAK58043 pep:novel supercontig:GCA_000165425.1:CT867995:198929:199822:-1 gene:GSPATT00029078001 transcript:CAK58043 MLFLSILIGRCLASLNLTIGIDINNKESLAYLGRLEQHYEQFEEKGLTLNIRNHILPCYT CKQRHNYTQPELHCFGGGRYCQFSTYANGQQLLTEIIRQQCLFAKDINIFLSYINFFQQD CFESPHYTFCSENILKNLLSLNTTEIDECVNASFVGEGDKALLENHLLAQEMKKQYNESS LSVYLDNKEIQDSSFPEMIGQICYKLKTDPPEYCNIFSRLEKNTTIKLDDQILFFIFIVL LILLILISIRVLKRVKYVILEKQSIPIEETIGIISGENIQ >CAK58044 pep:novel supercontig:GCA_000165425.1:CT867995:199848:200444:-1 gene:GSPATT00029079001 transcript:CAK58044 MDFNTKVDEQLIYEGKWLHYKFLHFEVNGHKKIWEFVERPPGHRGGVEIIPIINYKEKPS QLILIANFRPPIRKFCLEFPSGLVDQEGTIEENGLREIKEETGYTAQIRHFQYNGVRIRN DPWKSTENEVSIIVDIDGDSEVNLNPKQELESDENIIVYKMNMGKTLADEILQLAQEKDY EISGLLWFFALGQQFTNQ >CAK58045 pep:novel supercontig:GCA_000165425.1:CT867995:200489:201701:1 gene:GSPATT00029080001 transcript:CAK58045 MQQIQKQIKYLKCKSISKRSLPINSQELQLPNLVNNQSHPLEQPSQVLLVKLGYSLIFRV ASGEMLGPNQPVILHLIDLPFAMAALNGVVMEIQDCAFPLVQGIVATDNQSVGFKDVNYA LMVGAKPRGPGMERGDLLKDNGKIFTETGKYINDHASRDIKVVVVGNPCNTNCLILANQI KDIPKENFTAMTRLDHNRAQHQLADKLGVHTSDIRKIAIFGNHSPTMVPYIDQMTAKNHK ATVDQQWVTQTFIPTVQQRGAEIIKARKLSSAASAGNAAMNHITTWVNGTAEGDYTSMAI PSDGSYGVPKGLIFSFPVTVKNGKYSIVQGLPISPFYQGLLDKTIKELVDERNAVDHLLK >CAK58046 pep:novel supercontig:GCA_000165425.1:CT867995:202479:202742:-1 gene:GSPATT00029082001 transcript:CAK58046 MLPSIKSYKENRSSHRLKTEGTCRYPRSNSFLGQISREPIKQRLCKRDPLKELQSFDKEI KLLEQYEKKLDAAFIERLRVQLYWLKM >CAK58047 pep:novel supercontig:GCA_000165425.1:CT867995:203330:203629:-1 gene:GSPATT00029083001 transcript:CAK58047 MNDNLGIQNNRFHKKAVQNRKRQTSADTNTIQQMQKGKKKNEYYERWLTNQKIPQQKLDS ESNLDNHTPSTPKAQIPTKLEPKLIRDVMQKFDSEQYGL >CAK58048 pep:novel supercontig:GCA_000165425.1:CT867995:204437:206003:1 gene:GSPATT00029084001 transcript:CAK58048 MEQEVGKVCLVEVPIEKTFLFQDQITIDKSLSTLREGLSYRFIQSFMNPQQKLLIVCPPT YALKELDTKANNKNLFDGKGYIKALPKAEYPHVVGQQSGMRSAIIKQNGKNYRLKGCGNG TDGFTLRKFEGDIENAVEIRGCCFDHTVKRELFMNRKVEQILKKYNIETGNISIGYWQYG DVESINENLEKNLQNEMSLVPKYCGIYETIGDFRLGTHLLQGLRIIVSSSVTDWSVDDIR RLVTVQSRIVENRKSPNGNPWDLNNTQFRERPQGTIFQEDDYQNNRVFDWEKEKDNVSIT ENLNVNSKLQNISILYQRIGYEIGKVKRIFIDNDISWGYFRDHSKFYYHSNAHLDNFVLI QNGSSLLAPVDFDLAFCKEEFINIDIDFDAQLNKSSEIQENKNYGKFDLEQWLFYQDQER IGLELAIGGMDMILASHMFLQKQKTDVEEYIEILLRDQMRIGYLEGMQLKDDSSLFYQRM DKIQDIIKEALNLTQDIIS >CAK58049 pep:novel supercontig:GCA_000165425.1:CT867995:206372:206725:-1 gene:GSPATT00029085001 transcript:CAK58049 MDRMITLFIISNYLENYSKHLTLLRKLSVILRYLTQAFKSDELTRAWQIFFCSLIEKDKQ LTSIAKYNNFLFLYFLLATKIYNAVYQKSQLKEMISSFKCNLCTQLQE >CAK58050 pep:novel supercontig:GCA_000165425.1:CT867995:207363:208143:-1 gene:GSPATT00029086001 transcript:CAK58050 MYQVFISGLPYTASENDVQSLFEDCGEILSIKLPRYQDSNRLLGYGHITFSDSEAIQKAL ALNGTQLGGRYIDVKEAKGTQPQKPNVPPPDCLTIFVKNLSYDLNADQIGDSFRPCGKVA NVRMVYNTVSKNFKGFAYIDFEDHQSVIKALQMNGKKVHGRQVQVDFDVKRPKAGYRYQP KQVEQQNKYNQEYQEIQKKKIKKEKAVQKVKKIKNFAETQKQISAQRNKQQI >CAK58051 pep:novel supercontig:GCA_000165425.1:CT867995:208158:208466:1 gene:GSPATT00029087001 transcript:CAK58051 MLFNIVSGESEKLYEKENPTYDEVVRFIREQMNIISPLLTYIDDDGKSYYVNCTNDINEI KKKLSIIKKVNLYITLQSWTCAFCTYEENTEEKCEVCGQMKK >CAK58052 pep:novel supercontig:GCA_000165425.1:CT867995:208473:209207:-1 gene:GSPATT00029088001 transcript:CAK58052 MQILRQTLSNSTILYNPQIIQTAVAVIMNNNEILLIKRKVNARDPHSGQIAFPGGKVEKD ENTLQAAIRETQEEIGVNLNESHHLGRMDKNYQAYYTKNKHLMIAAHIFQLDGHVDFIPN ENEVDRVMRLPLNSISLQNMSIKKYKNKSVHLFVRTVERQQYLSDIVMKGFQYQQFPYYK LEKQVFYGLSWLVLCDVLQKLKLHQDVVDFTFKNYHYGFENDIRKEQFFDEWFKKKRLNQ FMCN >CAK58053 pep:novel supercontig:GCA_000165425.1:CT867995:209220:210824:1 gene:GSPATT00029089001 transcript:CAK58053 MKQIMINFSELTDDAKKKYITFLAESNISIEKQSIKKPTMPQQHRARQYSANSDIASFKS YTPTKSKTYIQSHVKTEHSITTKFDDLIDAYPTIMNQISNQDLLSRPLKLNLNELCLIIE EIYQRKFIDDTNQLTKYQTVCDISFADYVYNFLLQKFKHSRNQVLDQHINQQIINFLASV DLHSLRKKDISLFQSFLRYQRQEVLTFYLYTRAVIQKELKLSFYHPLRKQCIDNEQLQLN QKQVQQISQLLYNSEDRYQEFKKYFKGNNISVSDFFSAALHIYEIQHQTHKQKPFQSQIK YIPPLQFTNTTNNFDEYQVDSPLFAQSEVATDQNQQQEKYFASTGPSQQNSNQKEQEQEL EVQFKFKKKNDNFNLVQQNINEKLEVKLTRFIQDLLEEMGELDFEQKTQKLEELQNCIDG IMNTLLDAIYKYDKLLWFKRLNKQPDDIGLEYIENLQKVYRSLSKTKQPQNEDLEKFSCS LMQTPELAKQIESELPWLGE >CAK58054 pep:novel supercontig:GCA_000165425.1:CT867995:210854:211542:1 gene:GSPATT00029090001 transcript:CAK58054 MSIMNWGNPYDWTAVEWQSATWGERFMYIDPYFWSYFGVALALATSIIGASWGIFVTGVS LLGSTVKAPRIRSKNLISVIFCEAVAIYGVIMAIIMIGKVQTIESYPQDQMAQCYTTALF GGYSLFWTGVSVGLSNLICGIAVGVTGSGCAIADAQTPETFVKILVVEIFGSALGLFGVI VGIIQCSGASFLKDCL >CAK58055 pep:novel supercontig:GCA_000165425.1:CT867995:211721:212841:1 gene:GSPATT00029091001 transcript:CAK58055 MASININTVNIEQQGFCLCCKQLTANGTILQIQNFNDSLYTQLQENSWRKSGQLFYHSNP KSCCQQIVCKVPICQYASPKRCLKALSKLTQEYFDVEPIKQSIFSNLLQYVDMSRPTPQT LQTKQAFQHYLLTLAKRLNYTSITKCGFKNNLHDELNKHVQSLNNELHMEVKVDKKNYLH FKWPSGFVALLRKSKRSSLNVITKQATYDEEAHHLFNENYVQFSKQSYEKMFCDSQSQMI KYYANSQLAAVSFVEFRSNSFHRLSFHYTQQIKEINRIAIDYEIKWAQELNLTNYYYVKK SRITTNQDQAIKDTIDENFPIFRGSKMIQIKQLRSVYQKYLIELLTKMNSIMGPQLFKVF IFKYE >CAK58056 pep:novel supercontig:GCA_000165425.1:CT867995:213617:214299:1 gene:GSPATT00029092001 transcript:CAK58056 MSETKVCQCWQVIDLYLSLEEVLATNYLLHSLYCERNIIKCEICDQRIDSNEKNAHMESH QKTECSYCSQMFEKSLLEMHKINCPNKPEKCGFCDLMINLSEMPRHQAKCGSRTEQCQIC KKHIQKREFNLHTSVCGEGDQKETSKRNRLKRITSESGSDASVQEVKQIPKRKPQKQVKQ QSKQKEQILTEYNDDDDKDFQQALQMSLNQK >CAK58057 pep:novel supercontig:GCA_000165425.1:CT867995:214467:215020:1 gene:GSPATT00029093001 transcript:CAK58057 MRRKSCHCNHCGSLTTLQYRNMSVPFIKKVSRLRKQPSMNNSRLLTAQNTKKLFRKYSAS RQDTVAYPSSSQLLLTTLRRASARSTEKLIEDTQIRIFEPPKSCRSHLLSNPQSEEIKSI TLQIPKSQRIIKTQPQDNHNIKLLHTRSMPRIYTRNFLNNYLTKYKKKKTILHLQKN >CAK58058 pep:novel supercontig:GCA_000165425.1:CT867995:215041:215767:1 gene:GSPATT00029094001 transcript:CAK58058 MNFRNRIFKIKISSVITPQLQLFQIYSRVEKVADSLDKWSIYKPECIALIINSLGGSLIQ TQNLANLIKKYSQTNKIPIYCFGEDIVIKSALGLLTIGDKAYVNPYAIVGNMGYAQPFFD LRKLASNWHIYQKHIVTNENMKLLNPLTELSEKNKEWVEQQMKNQEAELINMIEINRKLD KSTFEDRVKEVYHNGIVQPNVLLKHGIIDGYIGFDTMSQGKKVRKLM >CAK58059 pep:novel supercontig:GCA_000165425.1:CT867995:216372:216801:-1 gene:GSPATT00029095001 transcript:CAK58059 MDQLALKKLVNKLIDEKLKLVCEECRKKTTQKKLGYHNFNISIQPTPINFDFSIDHENKE NCVSSNRNAANRVKASKSQALDLGQQIFNADIRPISQFSSPTVKASRKKSMPFLKKETQT TYEKVLKSKK >CAK58060 pep:novel supercontig:GCA_000165425.1:CT867995:216843:218513:1 gene:GSPATT00029096001 transcript:CAK58060 MSHSSMEQRNGQLLVMTIQIDDKRSGRIDVFEGDDPEILARDFCIKYSLNQRVAPLLIDN IQKQISIAHKERMNMVQYLSQKKQNQRATEQSSEMQTQQQGSSPIIAQSQQSPMKQESIS QTVYDRLYQDAHNKKLKRQLQLSENKSSSQIMKSQESEVNYGLILYQKGIQKKEEKLQKA ESARKDLQKSQLVECTHKPQINSLSSKIAQRSPKPVGEHLNELAKVIQEKRENAQNYKLE MEQQSCSFHPQINRVSSSIVDEKKKQSQIAIPHYESLYQDMDVKQQKINELDRNYFAQKH TFHPKIDQISEQLVQGLSFEERRQKFRNKSKERNSSADESNMFRPRTGRPPEQRPENLFD NLYKDAQLLAQKRAAIETVSKEKMMTQSKVRASQKSEFLTQQAVINSLSNIFDQIDNDND GEIDAIRINLGNLNQTVIQILQPLISEMEEGRHVLTKSEFIESAMRLVFTLSNKEKHDLL KKPSAKKSNVPTYSFHVQHFNQFLAINQLKTIKKFWIKKQMNARIVLFI >CAK58061 pep:novel supercontig:GCA_000165425.1:CT867995:218698:219075:1 gene:GSPATT00029097001 transcript:CAK58061 MAVKNRKFFACLYSKWGMRSVNFVTFHFSLQHIEKYPIVDYFNKYFNQIFESDMNSLNIE NNKFMKRAIQRKSQREISLTPTIVFSNKEIEIDDFDSPTTLNLQIPIFSPTKMIFSLPKS AGTIK >CAK58062 pep:novel supercontig:GCA_000165425.1:CT867995:219399:220936:-1 gene:GSPATT00029098001 transcript:CAK58062 MQEQNSLFFCPENEESEIIKAKTYDVQTQRIPASFYSQFSDGTDSFMDMRREHQCNSACS SSSLQSSRTLPDECMQHNYSKCLDLDILSEAAKQSFTFVFKSQEDWLEHFTSKCLTLDTF FKDCAFNSLIEKEIQNEDSKIKLSIVLTVDYFTNLFTFSLYLIWKWIQNENQMDMTNYVS CQNSIPIFFNFIFQYRSNELKNDKIFIAFIEQTISTISKSKFAEDQQSKPYLGVGMSQNS KPLPVLKENEIFPWHPEEVAKILSIINQTFYADLQIKNVVVRGGLKYYFKRINSFSQYII YSVVKSPCKYKRQEALSYWIDLAERLQLQNDLEGLFIVFKYGIQLLLKDYISTMPILFKH QNRISKINAYYEQQIKDNLKIIRPNSKGYNIPSFQKYQTHIKRLELQAKQNRQAFEQIAS LLAELVAISKEQQYKQSIMNHQLSFQEQQIARFLTQGIQNELENNLKIPLEKETLVYIEL IKLSKIMN >CAK58063 pep:novel supercontig:GCA_000165425.1:CT867995:221112:221465:-1 gene:GSPATT00029099001 transcript:CAK58063 MEHCDHCRETINEMQTLEKQVESIKNQLEIAETQVKLLRQENELLKSNIKKPNKPKHYNQ SMQSEIQKCYQEIAKLQDIIRHKDEIIQNQIRNDDSVSDKTEIYSKQIDMCRKKVKF >CAK58064 pep:novel supercontig:GCA_000165425.1:CT867995:221677:222596:1 gene:GSPATT00029100001 transcript:CAK58064 MQLRSGVLKKPPTRNYISGYQIFYAEKLPELRKEGKFQIGDAGHKISEMWRELEDDERSK YEDQFNEMESKYKEDLILYYGGNLHDIKKYKSLMEIPEKPRKPASACLVYIAEHRKEYSN QNPDMNMAQLTKSLADKYGGLPNKDKKRYEDDFQKKLEQYHKDIEIWQKKFAQKSEEFEK LIEEKFKRSASKQDLEYQELPPYKRGPRKMKEEDETAPQKSEKKEDKKDEKANKKSSKNN KDEGKGAQNKGESKKYLEVDQKDEHNRRKSGQKKQ >CAK58065 pep:novel supercontig:GCA_000165425.1:CT867995:222926:224338:-1 gene:GSPATT00029101001 transcript:CAK58065 MEIEKSYAQYANYIVKQTIGKGGQGKVKLGLDGDKEVALKFVQFSKDIEKEIQIHRGLNH KNLITLLNFHVNEEYTKRNGQKVIKSCLVYDYMKDGELFEQLSQTGPFKEETARTLFHQL IDVLQYLHGQGLAHRDLKPENILFQDGQLKVSDLGFATFVGGNYGHGVLASFKGTISYMA PEILVRQKYVGQCVDLFAAGVILFLMVTAQLPFKQANIKDQIYNLICTNRFDQFWAYKEK SGIPRFSNDLKTLINSMLAFDPLQRPTLSEIISTSWYQGATISNDLVIKLLNARKLKIQS QQNKENLQKMLDKQKLQAADQNQQLTVGIGNFNRGEDDLTIDVTLKRELKRFKQTDVILP FEPHLIFVKLIENKDKFANKINFVDNQKYKIHYWYLLNNEEFEMAIQLVQGPTESTVGID FQLIKGDGLEFYQKKIQVEDMLLQIE >CAK58066 pep:novel supercontig:GCA_000165425.1:CT867995:224657:224965:-1 gene:GSPATT00029102001 transcript:CAK58066 MPLIQVTYSPSNRSCCRNCYEKIAENTIRIGYYVKKGGGYTNIQWYHEKCFTKANLWGVT DIELTGLEELSGQDRLRVKEKFEAIQSKKNPVKF >CAK58067 pep:novel supercontig:GCA_000165425.1:CT867995:225008:226035:-1 gene:GSPATT00029103001 transcript:CAK58067 MFSEYIKAYPKFQTLLYILGILGLLKCTKMLWFIWKLMRPSTNLKKYGNGSWVCITGASD GIGKQLAMKFSQKGFKIILVARTRSKLEAVAQQLQTESHIIVADFAQSMDIKLFDKIMDE VGERDVSIVINNVGADAFNRFHLISDEEIYKTIIVNCLPVTMLCKRFIPQLLRRRSHKSA IVNVTSLAGQIPTPYFNVYSASKSYGEYLTSTLSAEYPELEVFALRPSEVSTNMTFNRKP DLMTITPSDCADGLLKRMGWNTNGHWNHEIQAEFYLFAPRWLFNWVMIKFIAPQWMKERA STTDTQKKNN >CAK58068 pep:novel supercontig:GCA_000165425.1:CT867995:226827:227664:1 gene:GSPATT00029104001 transcript:CAK58068 MLSETSKEVQKDQNVVPQLNQTPSSTYFVYNCYIPVVQDYMSQPPALQVRNSSESFPQLI KNNDSIQLSHLAPGVSIPSFQFGASAPFISPDDVMRNVQPAQNHFASFILNEQLKTQNQP TKNRIIEGTQTLCDIEIVLISRYFDMKAHVFCPPNNKYALKEDTNISNAEWLKDRMLFRY KKPIKESFSDMIDQLEKEGEINFKNMIHPVKFAQGGFDNVERQVPKSVKSEKPFAVFIFE IQQLISQFLFTKY >CAK58069 pep:novel supercontig:GCA_000165425.1:CT867995:227697:229210:-1 gene:GSPATT00029105001 transcript:CAK58069 MNSEQECSYEEQRMLSQYQQHVNTNRHGSIEDLKEHYVKLIKASQLKIKYLLIASSILLL LTTITSLSCLIDPKMVGIELCKWFSHLLTLILYLAIYLDLKNANIEILNVPKENLKLLCL TYEKNVYEFLEEFKFQEKFDLINVLMYSSFIWSFWFSQFLFILHTNSKIYPLLIISYILH MVLYLIACIHSCFRQSPGNCECSILKTKAGYQYINLPRFIETKKNTLKMTIILLMYYKIK LAVIASFIDQSESETVLVIYLYIIYCGTMILKQLYHLILLKMIKKVNQRHLSCYQNAYML YYKLFFYESCLNKTLKAIIYLSSFACVIVCLMFNLKNYFKYIQESIYKIEVYLVNDTICF VISLLIYIYYAVKKYSNRVSLDHLESYESRFDMRFYDLNDVVPNPYYNALSRYLQMEQQQ LEERNHRELIRKLQLSPERSINLEENVDCIICREKLLQDQLLVGLQCHPSHIFHKECLIK WVLLHTTCPTCRSSIQ >CAK58070 pep:novel supercontig:GCA_000165425.1:CT867995:230011:230722:-1 gene:GSPATT00029106001 transcript:CAK58070 MSYTLYGFAMSSCTQRIRSILNYKKIPYTQVSVNFLKKEQLSEEYKKIHPRQIIPALVTP EGHSLIESVAIAEYLEEVHPEKPILPKNKIQRAQVRAIAEYINSSIQPFQHLGIQQYINS HSEKQLEWKDQWTHWNMLGISNLEKSISRTRGRFSVGDQLTLADILIYCQVDSAQTRFAL DFGQYHNIMNIYYNVNNIKEIEQSRGIYQKDFPK >CAK58071 pep:novel supercontig:GCA_000165425.1:CT867995:230733:234270:1 gene:GSPATT00029107001 transcript:CAK58071 MSLSDLLVDYGGSGNSQFDDHVKKQFTGPAKFATKIDPLQVLDNTIKPPSLTSFHKETDN IHPSPNSGMIQFSPKTSINEEKNLMNPQNKEQLKDFVQTNLINQQKEGINNFIKLVLAKS YFNRFVENMLQKSYVKKLSHFNLYQNTMMDDLRYIDSKHKIHKNLIGKICKKLRFVPILD QSSYLVIGWQLLHILTIIIVFFWTPFNISFGITFDQVVFGTLTVREVEYYFLFSIVVDAF IVINTSYIEKGIIIKQRGKIFINYVNTQGIYDLCSFASMLVAQQFNVDSSNEKIGWQLIP YMIYYCSRQFKLQARVHKLEEFFNFSGWVQDLIELIKLLFMVVYVGHLFACLWHGVAFYQ IGIRKTWLETYDVANSDIFTKYNYAFYWSVQTMITVGYGDLTPQNNYERLCANLSMFLAC GVFAFSFNSIGLMLSNLNSRQVLYKRSTNLLNSYLTKNQIKVELQSRIRNYYDYIFQEEQ EINDEEVSQITTKLSSSLQEELNFEIRFNVMKTNKVLTKFSQKTLRQLSLVIEEVRYSPE DQILLQGTQDDCSLYIITKGTISVLFQDDPQGRNTRVLSYLEKGESFGEYSFFTGLNRCA SAKSIGFSRAYKIPRQSLLTVLQTNQIDLERFCEVRDSILLGENYQPAKLSCYSCKKFNH LIKDCPVLHYVADKERVIKKENYPFQQDRNVVYKRKRSDRNYYATLLEMKKTIAYVRDFQ TRENQADNITVIDEDLDVSYEDNDGSPNEQDYTSLSKSYSKVSRQHSQNRSYSQYENNNN NKNLQPIQESDDSIDSPSPNKRRNMQVKQTLQTAGFGAQDSFQNKIRNDDFDPNISSFGN ATPSIKDENRFTTKNDSLCLPGPIDVPGRVTKKRITLVRKQKREESYKPFSSQTKVDVNQ EREKSQTRKSKIKDPFVDIPVTPSIQSVDHKRDSVDRINDHRDQKRKSTKTKTNRSRTAK THKTTKLIPETPTQEISIPVFERQPVSMELEDFEVLKNFSNYFSWNNAKVVVARTMRVLL KNLEKRRYYLNQFSFYTFNSLAITKITRIKRKLKLIDDPPQDEKRDKKNIHPLNKTMKGR RESKRSTQTDDISGLFQKKPQFGTQVQLLQGISFSRFSRIESDLR >CAK58072 pep:novel supercontig:GCA_000165425.1:CT867995:234378:235109:1 gene:GSPATT00029108001 transcript:CAK58072 MEDDLHFKIQMQEMPSAPSKPLIQTSTDEPTKLSVASTSESIPLQTSNYDLNHASHPKAC IFTFLFKALALIFYLIFGDSIWSFILVIVFSAFDFWTVKNITGRLLVGLKWENIILEDGS SKWEFYSMPNKQVNAVDKTFFWTAQLGFTLAWAIFTFSNMISFTLMKFVIDVIGLSLCWT NLFGYYKCNGDHKKKMKDLQGDITSKVVTQFVGQMMSK >CAK58073 pep:novel supercontig:GCA_000165425.1:CT867995:235310:236004:-1 gene:GSPATT00029109001 transcript:CAK58073 MSWQQKLKKQIGTQPYSEIKQLRFNNLQLNKLPEDFVSELHKCTNLEILSLNQCGIRSLE NLQQMCSVTQLEYLDNFTTSKTLQQIAKTFPNLEVLIAGGNFLRELRDLDALKPLTKLET LNIMNGINKPDSDIRKYAFEILPRLKLLDEKDKYGQIYVEPKQEKKQFEVETQINMEQEE VSQEDEESALCSDDSNEEEESDSDDEEDSDLSDFIEKKVKNE >CAK58074 pep:novel supercontig:GCA_000165425.1:CT867995:236019:237588:-1 gene:GSPATT00029110001 transcript:CAK58074 MLCSRRVRSHDSSLTNLNAYNQNCQVRVHIDHQQFKTLKCESQHQIDQKKFCPFFHDESD RRRDLKEQSYQQIGITIIFFIYRCQLCPQANKCPRGDQCQWSHNKVEQVYHPNRYKTKYC THLKDCEYGVYCSFAHSEQELIIPVKLDGMVQDKNFWLYQYKTVWCPHTVNHDRASCVYA HNAQDFRRDPKILQPKECPHWNKTNQILNYDKGGCPDQEACQYCHGWKEYEYHPLIYKTK PCTQQSCSKKQGECAFFHSEQEKRVRKQVAENSWVIEEPNIHLEAKRQPYKNTSNYSGPI IPNYIPQDYQNREKMEIGQPFCQQSLTNTKTSDSQSRRGSDFSDGYKTQKKKLNSQQQQY QSQKKPRTAPVTPDQKQLMGSNYTMKIQNNVQTNSYLTYSKKLYEEILKLQEGEYIYKIL QGFKIQEKRLMQMSDEQIQELNLTEHQITQLIQALTAIKQEQRYDETCGDEILSLISNQG KF >CAK58075 pep:novel supercontig:GCA_000165425.1:CT867995:239389:240363:1 gene:GSPATT00029111001 transcript:CAK58075 MFDNQFSKGFYSVNSTIQEGYAYAISSKWFRNFKTLLMNPNGVDISKIGSIQNHNIYDTI YEIDPKLDGFLIPEQYKINFHRVFKLIPGLIYCQDYEIVSIDVWKFLKMYYNADYEVIVF VTKVLPGLKNYSFCDNLEEGLCVCNEIFYLVLVIVELDDKSKLLAIKMPACPWMDLNKFR TFLFSNTEYELDWQKFINHGHLYYDGKKVPFKGNKLLKDMGITKETQIILSCPNLTFEDI EEEIETDGEEQQVQQDLHTKQEFLEILEQNMKHQSNELILKSQQEIQQSLEQNDFFQV >CAK58076 pep:novel supercontig:GCA_000165425.1:CT867995:241143:242436:-1 gene:GSPATT00029112001 transcript:CAK58076 MKYLNYDFIASTHLHYLKSYRYKGTDQSLLYNYILSPFAELWLRFVPMNVAPNVLTLMGL ACIILPHILYFFVMGDNFAGLIPNWLLWLTALLHMLYMNFDNLDGKQARRTKNSSPLGMI LDHNFDSMIILLQGTSMTTAMQFGNTIFSVILYIIPSVPFYIIAHEEYYTHEMNLPIINA AAEGTVSVAVIFAATAYYGCDMWVQKLPWFFNYQINQFVMLIFIISVIITMPAVFLKIKK FTSITSLLKQLRYFFIFNIVILYSIIFSQSNVIQNHVRAYMYTVGFTMSKAVGVVALNHV SNQKLPEYQNSIYIYVVIFLNTISGQILGQSIINEGFLIQFAATASFLIHIHFLYNVARQ ISEALNIKIFQINSINK >CAK58077 pep:novel supercontig:GCA_000165425.1:CT867995:242657:243477:1 gene:GSPATT00029113001 transcript:CAK58077 MSSTYLEQVRAAFSQIEVIEKTIVFCMQSKQVIPNQSVLLDHRIHNLIQMAQKTAADALI LIDGADGWKKEEMNYLQGVGGSGDVWENFYERFKEIKDYHKRVTNVQTMQNKVEFYYEQA FAPPFKEPYFSGEEHHGRFLDMHASYKEFLNLKKLKESNKIKIGDYLWYLQNFQNFHDIP LYIKEKEGSKYKRYLINMLDYFAQFLIKEQIINLFQVNPLQDVSKIEQKIDIEFQTQWEN GQVKGWEHRKEETEEIRGHPHQL >CAK58078 pep:novel supercontig:GCA_000165425.1:CT867995:243501:244264:1 gene:GSPATT00029114001 transcript:CAK58078 MKIPSQIILMAKKHKALAKLKEKQIEQVIQASNQQELPKEDNEGQRKKKMAYLEVAILQY KDTLQQQLNDTMNLVRKKQSRRYEENEDDEAVPVQDQQLDQPEEASSEDESPIYNPKNLP LGWDGRPIPYWLYKLHGLGVEYKCEICGNTSYWGRKAFEDHFQGWRHSYGMRCLRIPNTL HFKEITKIQDAISLYKKIQYDQERSKFRPEYEEEYEDTDGNLLNKKTYYDLKKQGLL >CAK58079 pep:novel supercontig:GCA_000165425.1:CT867995:244316:245358:1 gene:GSPATT00029115001 transcript:CAK58079 MNQWKFVRNAVGLFGSAVHEGQTKTGTELAPRALREGGLISALYNLGWEINDMGDIQGKN YRLHNVKSQQFRNSQQIGVINGEINKKSFDIAKQNKFMLNIGGDHSVASGSIHGLLQHYG DDLRVVWVDAHADCNYEIGPNRNYHGMPLAHLYGSIVEPIKRLDPKNLIYVAIRDIDPME REFIRDQKIVYYGMDEIIELGIGQVMNRILKQFEGKPIHVSFDVDSIDPEFAHGTGTLVD GGITYREAHYLLRKLASSKQLVGMDLVEVNPQLETTPEFREEFFGDFQQIGRIQGTQTVA LGIELIASALGRTLVL >CAK58080 pep:novel supercontig:GCA_000165425.1:CT867995:245887:246350:1 gene:GSPATT00029116001 transcript:CAK58080 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGATGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK58081 pep:novel supercontig:GCA_000165425.1:CT867995:246510:247635:-1 gene:GSPATT00029117001 transcript:CAK58081 MSSDQSIDNNSNHWEQFEQFRKKITQECQSEEKHFKTKRDSYTSLEKIKKSPVHDESGSK ELQFIVDSKPPILVQPSEADRIVKLRIENQELKEKLEKYEKYEQEHQTNSDELELIKQAH AKQLKSQKEYYDVENNQLKNKINSFNEELEELKKVYKEKNMKLYNLVLQLEQEKQQLIDK NIQLLESRQQSSQQDIHHLVEERTRVLSEQIYQLEERNAKLQVEKSKIEDKYMNLLNGKQ QSQQKTLRSERSERKETKNCDTDRKSVEKLNRSSLQRSDCKPPMPTSVSQSFEQLPPNQK SILKPSKLNISSKENLQTMNHSKILDTPKSNRKSSRAQSSVTKKATKPQSQTQKVVKKKQ IYSKIK >CAK58082 pep:novel supercontig:GCA_000165425.1:CT867995:249439:256924:1 gene:GSPATT00029118001 transcript:CAK58082 MKQLSLLIFEYLKTKFQHVATKQVKYKYHLEMEQGECMNIMSNKGNKVKSTYINFSVLNL FFKQFERIGVVIYFIVMILHFSVFGATSEELVVYVVPLTMHLVLQISKALYFDHIKRKED FVVNGRKVTRFRRLRRDKPKQLITQQQQQQQSSFKKPKTSLYENCSWDQVELGDIIYLKR NEICPADMLLLDVSDEILQVQTQNFRGQTMDQTRQPTQLTMLKNGRNRLQGFDYKKLLTG SIQYNIQDGQIFGFIKLKKDPKGEQFENRNIIFREEQLKTCQYVIGVVLQTGNNCFCYLN MEKQEKKSFFVKKSSMFFALTLGISLIISIFSWFLASFAACLPYNQADFDIITLVFYLLQ YLKTTPIYFYNCIDLIEVLNAHLKFTKYKGFQSTSIDYMQLNPVSVGDMVMTEYVCFDKT GTITTGECRIHALIVEDTMYKFSYNKMNKNQWNIFKENIQNQIKQDPNFQMEEIDESDPE IQSKKIRHTGIFKLTIQHRTSKKIPSYESGRQDLASSKSIANPMDDGDGEFENMKLEDFD DTHYRITPELCTNKPKPFFNKKALATAPVQVGASQGGLHQLQEDEMLIKQSSYKDEQRSF DNKSIMSRKILENSSRDMKRQQSIKKSMQMDKVAEEDLEVIFSRENDFYKHLIHGLNKLL YQEAILSLLLCQEIVSRYSPSEDHFMHDSTQFTFDQELLRFVSLLDIKFLCCNEFNGKVV YIIDFFGEVLEFQVMANYHNGTQQGVLVLYPDKLQEKFLLINESDPMESLQYIFIMREET NSLPVYIDVDKGSREYWDKVFQKLHMCGMRSVIYSKVYLKEKDASLFMSRYQGEEGMNAF NELSKDMQIIQVVGVKEQMRKDTKPLINQMKQADLSLYLLSGDEISRVLPIAFKSKIVNH HDTLLYLDNENARVVMKNHLTIISQQLKLDEQASSKPLRMSTLDKYHKTFTQEEKYTTYP DIKSFSIVLSGEYFNDILSDSYLLSHFMFLLYFSNSLVAYKLNYNQKAKIINIIQTSFIG NKRVLAVGDSFNDIHMFCQANIGVQFCHFPMQNQSYQRGNTKLKLNRKVTSNKLLDNPLN RKFLQNEMWIIPTADVCLKDYVNLSALMFFESRIFAEVYDDLLVFSFYRSLLIIYILFLY YATYCSQNNTIFTGTWLTVYQSILLFIQQLVSLKSKMRRQYDKDSITYIEQFKNNIKIFK KKKVASFILKINGNALLDAVLLYISLRILDDIPIDTFKQMLLILLILSDIVKLIVSTNYS KYAWIAFISTYCLCWLLMAILTAYFDNMDGFILMFTLPQTWLALFSYVFTQYCFSSIIEM IQSLFCQSLIDKEQYEEVQNFIVKPPKNGAKKYHNLIKRFAQLAGKVFKKNKDEMDIAIQ DVICELKVNSDRINKLTLRFLNKDTEMKFKRMSKLRWIKFEKLKLGLQLIFLEVFALIIY ILTYKTDVQTRTYFIIYILSISCQSILLITIFSSIYLKHFFQINLIIACIRLLTKMVSDF AQDGTYDILLSQSYIVIIIFSKLHIPLLNFTIATLSIVGFFRKFLAGKDYYQIINGNLIV LITLILFISLQYKLNMLEKQDFMLTATLNQETTQMTNVLSILLPKFIRDRINAKGMYEIQ ENQGEVSILFCDICGFDDLIAVEKENVVNLLDSLFRGFDALCVSNGMQKIETVGKTYMAA GGLRAVDQGTKFINQSAVKRAVQLSLEMMEYSKKFKFGQTGNIRIKIGIHYGRVIAGVIG HHKPQFSLIGDTVNTTSRVCSTGQDGEVTISNEAYMELNMPDLQFNQRKVNAKGKGELIT WQIITQIKRNTDKKERKKKGLIPKFDSSQQDQQTMNRLDPVTPKTEHRQLRVPSQSRQFD MLTSNSSQRIEKQLSQENNSNSQILLKDSLQKDLVPIRKRGQRGPTLIMKQQDNRSALAL QLQHSGSQNAIQQTSNRNLPPIVVYQAEESAHSNNNFREESFHEKNQTNLLHDLSQKLRR DLYIEMIDEAPDYEIEIEKIQFDEDEQFVSEALQLEKSKFYLDFKDSQKELGKEFMDQKE GINIVLILLLHFIQYVSKTFSLLFMQNEPNWLAVFVIRFIVSTLLLVLAILQNKKLKHRF YKYEYFLTTYIALLISIILEFIILTKKSVELQAAEGILLICFFSSIPNISIKFKICFNFC FFVAQLLIVLIKYEDLSVAYYTVFQSCMTFIIQYHLFFQDLGTFNNKRSLETKKLQTQNL VKYLLPSHILKQFLSNTNQRMVLVDQFEDATLLFADIAGFTEYSSKVEPEQVVNMLRNLF TEFDKKCLTQNAYKLYTIGDCYVAFGIVDVTQRNPAQEARNVVELGFQMIETIRQVRAII GFDGLDMRIGIHTGCVIGGILGTEIVRYDVYGADVMISNKMESNGERGKVQVSEETKQLL EQSFPDQFLFTFNKEVEFKSINRKTKGYFIEPVRNDSNDDFEDVKNLQLVKEMDQLDYDR K >CAK58083 pep:novel supercontig:GCA_000165425.1:CT867995:256959:257582:-1 gene:GSPATT00029119001 transcript:CAK58083 MSLGKLKLKGQFGEKQQRNELKIRNKVEREELAQDEQLFLEKIQEKGPAKRVVIEKPLQD GMGMIFTSGTSVHGQDTQFLKQLEAGDFLVVLNEQTLEMESREILTMLNQKSLLIREPFG QDIPVFKPYQFRKKPTVEEMKMAKKIQKQKQTLEMRQKSGMWSYKTDKVAVDGGLSREEL VLMRAKQSRDRHCWH >CAK58084 pep:novel supercontig:GCA_000165425.1:CT867995:257609:258596:-1 gene:GSPATT00029120001 transcript:CAK58084 MAKPLNAVLGTFFFQFWILFMGLAGYMFYRLIAYRESWNIALFVVTMILQLKYGKSEAFI KFQLWFNIMEWFDKREIVEMEKPKKNNSMFCFHPHGIYSMGMVHNLYTKNTFFENLIVLS SRFALSIPFSGLLLTLFGLEGVHPENLTKLLKKGSNVGIMVGGFEEATLTKYGENRVYIK ERKGFIKYALRYGTTIYPVFTFGENNMFYTYNGFQSLRLWLNKFKLIGTIYWSRFFTVPE PNIEMITVCGKGIELPKIENPSKEDVDKYHQIYIQGLKELYDTYAPKYAPKVPLQIY >CAK58085 pep:novel supercontig:GCA_000165425.1:CT867995:259104:261471:-1 gene:GSPATT00029121001 transcript:CAK58085 MGICTSTKQIQVPAHDHAPETEYKPFVFDTTIVPEQQPQVVQNKTHTIADRIVPQHTVAN QTKTDYDLALEEMRNKSQAIFEDSKFPPVRSSLSSDPNFKKGKDIAWKRPDQFLQAGQIK LFDSIDPMDIKQGELGDCYFLSSLSALAEIPQQIQKLFRHQEYQQSGKSLYGIYMCKNGI LQEIVVDAFTIPCNLQGGPIFSKANGNELWVLLLEKAYAKLFGSYHKIESGLASCALKDL TGAPSEYFIRKGETLKDADLCWDFMEKNDKQKYILTASSETNEQGVELDNGGGIVSQHCY AILDVQRVTGSDGQPDRIIKIRNPWGRKEWTKDWSDNSAKWTPELRQRLQVQQKDDGIFW MSVKDFITEFSQVCVCKFKPDYFYTATTLQVNAQDTVTTKVILMKVYQKAHAFISLTQSD KRFFQKGHQYSLARLIVGELDKNTKEVLHYSGCAFDNERDIVVEKTFEPGYYALYIEVDW AQNYDRYLAISCYGSNSVQFSELQFPSGQEKLAIGTIFDGFLRAHERDTDEEKVKDIEPG IRRISGVVGGYLYYWYQNQTTKKTLQELLHLDKIQNLEICPPYTNPADVQIQCKPLSTVM VKYRVTKQGGGSYGYALKCQTQVIEAKTDQDIIQQALHKPDQKVQRSMQGQNIQVFFYLV KFPAGVAFFYENKESRTYQETITFEVTNLKGVGIDISRDVVVEIPPGQSKLIQLQSVDPS KGYSYKQSIMFKLI >CAK58086 pep:novel supercontig:GCA_000165425.1:CT867995:261667:262095:1 gene:GSPATT00029122001 transcript:CAK58086 MNKIRTISRNIATMISKSSLNQVKRTQIFKNLTKNQDFIASGEVKLFQQQCVQEKITSPI ADLQNIQYKLFKQSIRHGLSELVRIDRSQPRTHKNINYAGDYESKFVHSHFIHALYLNRI GANDYYFTVDDLKTTQSEYHRT >CAK58087 pep:novel supercontig:GCA_000165425.1:CT867995:262135:264116:-1 gene:GSPATT00029123001 transcript:CAK58087 MLASFQQQPLVRKMVKSQVELSMPQTKTTSDLLFQNQRSSYQQYRKQTQQSDEISVQKLF FRTTEPSIQETPSSLYLTRQREEPFITANTKFQEQKYKQRIDFSNSDHKNSLIELPKFRM VASMYGRSILSTAKINRRMAESSDSEFFMQSPGLRQMIICNQLFMEDERFLYQFMYVPDL TQPKERFDDFVKCLRNVQKINSKRLYFYLKDGTPINSHLDIPPIHKTLIYSQNSVYKPYE NPQLDLLESQCKSYNFLQIKKKQYKNSNSQVEDIIRTLNDGQDAQYNSKELKSQLKNLSF RKSSLKNSLEKLIKPTQLNIDNNLTQQKTVTHTQIDKTETQEQKKMELFDDMLQLYEQEL KKIDFNQNQPDDINQIQFDNENDEMRLKIKQAACLLEPLLNKFLNQPQKKEEVVEEQFQL NNMEQKKQTKTQQNFVHKNIKRRISNKFSLQQLLKINRELFIQNIPKLLSSSNFSRYELH NTYVLYCALQQITSQRYNYYNINDGVDYQTYRRGIFQIFFQNEFLAQQIFNRIDYNYSGF LNWEEFLRLMMSIKAKTVVEKIDLFISISDSDGNGRLSHDEIFKLAKLCLSHYVQDKGEF LDILCEYYTRLIFQIVDKDITDEIPFEEIKKAILDNKEDSDLLLMFCGADV >CAK58088 pep:novel supercontig:GCA_000165425.1:CT867995:264147:265345:-1 gene:GSPATT00029124001 transcript:CAK58088 MFETRFIPGLVVVVLAYVLNGLKGALNQEQFFAFMGYMVLSFFAYVLSYWMIPKIAQLTE KADIFGYDINKKGSDAGKIKIPESLGIVPATIYLIFNILGILFTRAYMPDLILSHISGLL AITFIVFLGFADDVLDLAWRYKLLLPPIASLPVIVAYTGGTQIVLPQLIRPYLGQTIDLG PIYYLYMIMLSTFQSNAINIYAGVNGLEVGQSIIIALSIAVYNIIEISTQQQHLFSLMII VPYISSALALYNYNRYPSKVFVGDVFCYWSGMTFAVAAVLGHFSKTLMLFCIPQLINFLY SLPQLFGIIPCPRHRLPRYDQSTGLLYAIKTNLTLINLVLLIKGPTSEKNLCRVLLSFQI LCTIIGFGIRYLGSQMFF >CAK58089 pep:novel supercontig:GCA_000165425.1:CT867995:265790:267412:1 gene:GSPATT00029125001 transcript:CAK58089 MKTNISENSPKVNSLFQVVLMNVNIQLGALNIGYVLSYLTLTIDTLFDNLGITKEERTSS LSLINGILPLGCVVGVIIGYFLKRKFTNKQCIHIADLIGLSSLLAVIANTNVVIVFRFFL GVANGISSLIMPVYVKSLCPEKYYYQISMILGYGVNTGLAIGQLMGIGYIKYHGETSNWW RVVFVFPAIIFIIRSSVIYFCYNYDSPEQSLQRNDVEHAKQVIRKIYKEEYVEAQLDRYR QIAQNEQLKTQNSFLHIFQKKNLIGLQVGVISMFVQIWCGSFAVFYYSAQIFEQLSGGDL VLKTIYTICIGLFSAAAQFTTIPMLPRLGQKYILIIGSVLMGGINITIAVLSNYQSDTIL FLIFVFLVLLIMVFAATLSPVCWGIVPQLNDSDGTFFTMDLRWIFQAILIFSFPYIVQGV NISGAFYIFGGIDLFYTIYCGFFILDSRGKTGAQILEMYFDKYSKFLVLPQTETNQ >CAK58090 pep:novel supercontig:GCA_000165425.1:CT867995:267737:268723:-1 gene:GSPATT00029126001 transcript:CAK58090 MSQKPKLPSSRKVYPVTNSGQESPTQTQYIESSKTISQDKQQKKNDDIKLITDEYPPVTQ EILKLKEKLQLVDKISLSFKEVEKDDVYFYGDVIFNMKCGFGKQFNKNNLSYYQGEFMMD LFHGKGTLIYQDGTIKQGVFFQGRLVEDVFPFLQPSYDLKLDSFQNFPNGANFTGLLDGN GKKSGVGKYIWKDGSMYFGEFFDDSFNGYGQMSFANGNIYLGEWRYGQMDGIGHFIWKSN NEEYIGQYKRNKKNGFGINKFSDGRKYIGYFRDGQFDGQAILQKKNHLDKISYWQNGNQI VEPTQYTCEDNF >CAK58091 pep:novel supercontig:GCA_000165425.1:CT867995:269267:270850:1 gene:GSPATT00029127001 transcript:CAK58091 MQQVIIQTLLKYNEIFDALQQVFQLHNYNCNDQKNILSKYLESNQKNQTCIYVTLNENSD FLGINERFLKLQKSFNSNLESLFEFNTTQFQKYVSSEISKIDNDLSKKVVDVQDDINQFK TNVDATYQTILNAKQQQKFQAQTFEKINEEVEYIKHKYALKQNLDENFTEIRDCISNVSQ SISYLRNDVQLLFKNTKEMKSQTLQETSQFNERLQNLVREVQQIDECFLQLGSLQKKIDI CKIDVNQTQEKFKTLANMYQELEVNNSKAVKFEVHSAVKKFDEDSLKINLIQERQSNQLE QAHIKLQNILEQNIGIKSNQQSIQEQFNQEIKSLQKQISRQQKYIEQLKESISKTNQNSA DLPLQIKGLNSQLQYQWKYLNQCFNLTKDSLDILQDFCETLQVPSINQEKDLTNLILLLD RRQNELKRNLHQTPTQSSNFQSRTLMRLQTPKNSQPCDTPARIHSLQQRKCIYSKNGSQT ISVDSKRETLVQN >CAK58092 pep:novel supercontig:GCA_000165425.1:CT867995:271255:272278:1 gene:GSPATT00029128001 transcript:CAK58092 MLFKFSQLVKQPEIYDAQLLSKICPLQLKHKYLILEQKIKQAKELEHEIYKMKDEDQGWK KLKISLEFTPQNTLNSKFIIIRHALAWHNYFKRVTEFKDSNIYHYDLIDPPLQYLGTKQC DKLKLEINKLEFDKVYVSPLAIQTTQLLFCNHPQKEKIQFILCPDICEKISTINSVYKWG TLTQLLKDNGNPIQFDFSQMPDNLEFWQFNLLQKSYDLRDCQANKEDFERFIVDEFVRKG SSFQLEHGRGVISRVQGFVNKIKEDQTQSKIGIVTHFQIIKSILQCSRQRIDFKFGNGAV FGLNL >CAK58093 pep:novel supercontig:GCA_000165425.1:CT867995:272319:273674:1 gene:GSPATT00029129001 transcript:CAK58093 MDLFRKPVKTQAEIEILYKEQFNKLEPFIKVLTLQSHSEYLELIRRIKVYELMAFNLVPQ NELDNLYMFYQGRMRQDLSDLEDLDEFASKSKFIKKKKQQYQEDLPEGYLIDRQNMNKIF AVENCTLLMLTPTDITSLLLKHEQLIRQKRHFFLNTFKPTNVDDKQLLCQIADSLQLFYY EELACIMQLYEKLDNLFIIFTGRVQIYTKRNIIMECNPMDFINEECAMANYSAQVLSKDA QLFKIDKVLLQLFPESAKLLIEHSKKQKSLLRRKLIENHIVDLQIPENQAYKFQRQHKFR SMISLDKLFKPAQPLQQKSEQTTMTGFNRKETQHRKKLSTGSIEVSDKEVVQVLFNKNQF TSNRTLQSQTSDKFSRSSSNISKLLNFNFQSVQLTKSSKKVQSLCLLPEMSQKQNKQSSL L >CAK58094 pep:novel supercontig:GCA_000165425.1:CT867995:274871:275575:-1 gene:GSPATT00029130001 transcript:CAK58094 MYYRNLINTDSQIPKDSIVQKSQLKDITHQYKFKYLNNFLIQSMDPHGDDDEQVGEDYNP EQEVFVGEGQATLPQLPVQTGEENDEEVAKFRAKIYRFADAQWKERGVGDMKFLKNKQTN KIRLLMRQDKTGKLIANHFITAQEGFCKLSQLKTADKSWIWTCYDASDEQPKVWQLCVRF ISPEEVERFKTEFEKAYESNKALQEEVPKNE >CAK58095 pep:novel supercontig:GCA_000165425.1:CT867995:275697:276357:-1 gene:GSPATT00029131001 transcript:CAK58095 MNQIQSYKVILVGDNKVGKTSFFIRITKNVAPRQPQSTIGVEYATKQVVFKELIINLKIW DTAGSEKYKSLTSNHFHQSQGALLFYDLTDLYSYENLQFWLKDIYNNADDRIVIIIVGNK LDLIHDGVELRCIAQEKVQQFCKAKNLLYCEISTKTGEGINELIDQLAQNLQARKVSQDV LQQRPRQNCCT >CAK58096 pep:novel supercontig:GCA_000165425.1:CT867995:277057:277717:1 gene:GSPATT00029132001 transcript:CAK58096 MKSKMLQQQYGSQNNTSKKLEQGRQDKSKNTRQNKGKILIQKKQCGNIIQQFKKAKTILA IKIALLLNNLELNIQEKVVKNYDAKIQKNSEDSSYYHNKGIRNYVELLAVNLEKMNRLEE ALENYDLAILKNPKHSEFYNCKGKINIQNFQANILEKMNRLEEVLQNFDFAIQNNPEESK YYFNKGLNKMLHFQRLYVRQNEQIKKRALLYL >CAK58097 pep:novel supercontig:GCA_000165425.1:CT867995:277799:280465:1 gene:GSPATT00029133001 transcript:CAK58097 MNRLEEALENFDSAIQKKNPEDSRYYFNKATALLQMNRLEDALENFDSAIQKKNPEDSGY YFNKAATLLQMNRLEEALENYDLAIQTNPEVSKYYFSKALTLDQMNKLEEALYNYDSAIQ KNPENSRYYHNKAVILGKMNRLIEALENYDLAILKNPQEFRHYNNKAIILDKMSRLDEAL QIYNYAIKKNPQDWMHYHNKAITLTKLNRLVEALENFDLATQLNLSNSSYSNGKADILYK MNQLEKALEQYDSEILKNPENALYFNGKATILIQMNRLEEALENFDLAIQKNPEDQRYYH NKAGALLKMNRLEEALVNYDSAIQKNPEGSIHYSNKAYTLLMMNRLEEALNNFDLAIQKN PEDSINYFNKAFTLLKMNRLEEALENYDLAIQKNPEFAEYYNGKANSLQNLNRLEEALEY YDSAIQKNPEDYRYYHNKAFNLEKMNRVEEALENYDLAIFKNPQHSESYNCKGKINIQEF QANILQTMNRLEDAIQNYDFAIQNSPEESKHYYNKAMTLDKMNKFEEALQYYNTAIQKDP ANSEYYNGKANTLTNMKNFEESLKNFDIAIQNNPEDSKVFFNKAFALHKIKRFEEALLNY DTAIYKNSENSEYFYGKAIALAEMNKLEEAFAYIDQAIQKNPEISHYYDAKTQILLQMNR IQEVFETQRIDFLRFL >CAK86036 pep:novel supercontig:GCA_000165425.1:CT868556:1218:1391:-1 gene:GSPATT00039741001 transcript:CAK86036 MSLLLTAAQNEKFNVYDVNLLIGQVKLKELHSLQYFEQFQIFPLNKLDGFIINFVVF >CAK87547 pep:novel supercontig:GCA_000165425.1:CT868621:1:1398:-1 gene:GSPATT00039811001 transcript:CAK87547 MLINFFDLMLFILLFQQEKFIFYRCVQNYCKFSTFFPLLGKGNNQFSIDQALTQDIIQGQ TNTGFGVWMNYQPLTKIGGLCKDQFYHLLANRVYQDFSKLKEQIIIEGGQFIYSIEQSND KFTWLVVSADIDSNKQIISNKVFYSFNTLIDTLSFEFDIFLYEGQWILFYCYFDNIKKQT LIGFYNSKEALSIQVVNDLPKYVQKLKHKVGSVYSYRNQDGNLILLSQFKGSLTSMLSAK SQNVFLDINSCTQSLYGYIPCYGRTYTVGENNQKMEGSQYLKISTQEIQRPYYIFKGWIM LAQTTQTFLETTIFRVTVNSDYGDDVKIGDRDLLLKYYQSNVPDENGFEISTYSYMTNED DQIRQFGDEYSVLLIQWHYFIYEIGTENNNEQPVFSIFFPSITTPPYILWLFYQPNGEQH SFLGVVHTLFCLDFHGFLHQPINMDFDVKQYQRNFLQN >CAK83939 pep:novel supercontig:GCA_000165425.1:CT868489:774:2418:1 gene:GSPATT00039571001 transcript:CAK83939 MIQQNVVEDNETKALIHYEKSLVQLIVFIEELRTKYQFEEALEEVNKTITAQSIISQSIH FTWFTHHNISQETYMKNQECMIEHQKMQIMEQAQILIYQRVIISEVNLYKIIATIYWRTK LYEQAIQDCMQCLEIDKNFALVYHRMGISTVNKLGKIVGSKGDKEKELEYYNIAISLDAN SLKAFMNRGMVQSKIGILFHNQGEYEKALNDYCYGNTILDSKHPIAYFNRGILYNQMGNS ENALQDYDKAILIDPKYVDTYFQRGFIYYKKGDIENAVNDYNQILQLDFSNIKAYLQRGL MYLQINEREKAINDLNKAMQLDPQLSENYNLRSFLYQQTSEVENSSNDCPYVIQSDLQAS LYYYIRGFIHQVMSKSENALKDISQAIEIYPLNFQAFFLRGVIYNEMCKTEEAFQDFSEA IKIDPKNFQDWFQRGDVVISILGLIYEQMGNFENALNDYDQAILIDPQDTEVYFRRGEFF NLIQVVYMIKMVIKKKYQTIVIK >CAK72131 pep:novel supercontig:GCA_000165425.1:CT868119:1053:1882:-1 gene:GSPATT00008572001 transcript:CAK72131 MNQQQIILQNLREIIRKNRIDLTIKYIEVLVYAVTICFIPKDIQLYKFYKSSLIVFIQGI ALTHYYLHIIYQHKKQIQNFGYFWSLPFNNLESYNHKELNNSIILYHKDFLVMRIANLVY SSSFLLSFEIVLFLSAPYEFYRYLKTPLNSYSALIIFEVSLYFARRVQLFVFPSLRLFKF CVLLFISKFRRQNRPIFQNQNQNQPTETVAIQLQEKSCSEIDLECIICLQKITDKYVMLQ CEHYYHKECIDHWIKQKEICPLCRSSIN >CAK72132 pep:novel supercontig:GCA_000165425.1:CT868119:1894:3052:1 gene:GSPATT00008573001 transcript:CAK72132 MINQLNQQLERVDDLKSADICAEFEFETKTREILGKGTYGKVFKMKSKFDNQYYAVKCIK KQNEKEGFPITSLREIKFLTSLDQENVIKIKQIYTRVKKNKNKLKTIKTYCAMDLMANDF WKILFTNEQQKFYFSTNQIRSILYQCFKGLQYIHSQKIIHRDIKSANILVNEEGIVKIAD FGLAKFCPKIEGVKKTPTVVTLGYRAPEVLLTRGQYSFQLDVWSMGCFAVELNFNRPLFK AKDEAQQIQQIFEKCGTPTPESWPEIMKSDYFIKLHPQKYDRKFISSIRNMNMAFDDELL DLLDKIFVLNPNVRLTVEQVLQHPFFTNHDLEECFHQFKEYILKKNVTQEKVVKTIYKPI QEEIKFVI >CAK72133 pep:novel supercontig:GCA_000165425.1:CT868119:3053:4189:-1 gene:GSPATT00008574001 transcript:CAK72133 MFKVKILQLFDSFVLNTVGPYYRRLGKSLLTQGNDMLGSEASDDRLVQCLRQVEAKGHKP QIGDAIFTAPNSVMVGNVILKQNSSIWYGATLRADNNSITVGKNSLIQDNVYIKATKTIT VGNNSLVGPNSHLQGCTIGDDAFIGMGSTIKEGAVVQGIVAAGSLVPEGTEVKQGEVWAG SPAKYLRDITPQELQILREYKQELLELAQVHGEETSKNFRQVVIDTDERLIKQQRGNEEE ALQKIAELNFPLEYEDEEFIEQRVFMKQQPPMFMDSENLKSQQDQYEQDLSQFSENMQKY SEDYQIYEEAKKYFEQNPQAKANQFAPKSEVPDDKPWSRKY >CAK72134 pep:novel supercontig:GCA_000165425.1:CT868119:5000:6197:1 gene:GSPATT00008575001 transcript:CAK72134 MLPKIQGQTVGRKENLASQQIVEDHLKNILKKQENQNYKAWKTPYAPKNPHSPFGDFPKE YLPKEKANQQKVIEPVHETSQNALITNKYQGTHQGTGGRTSSQLQKKEEYRPAPPKAGAY DQRTISVSDFRRYYDRGDLPIKVDHQGSVNRIIWKISPEQLDYHHYLPIFFDGLREKMDP YRFLAILGTYGLLENGGNKILPVIPQLIIPIKTNLNTRDPSIMEVQLKVLQKLVLSGEMV GEALVPYYRQLLPIMNLYKNRNSNLGDFIEYNQRKRVNVGDLIQETLELFEQTGGEDAYI NIKYMIPTYESCILN >CAK72135 pep:novel supercontig:GCA_000165425.1:CT868119:6899:7507:1 gene:GSPATT00008576001 transcript:CAK72135 MNKSQNYHFFNEFNIQNFLTKKLVIQFVHIRFSLQNIKFSMGTTCSTEKFKISPTASTCE LLSCEHPLILVAHQCNQNIELIGDLETAPIPVQNYCKKLKDSSQVLKPALERLENEEQNF LKIWNLIVSILKNVSSLIKAALQDPLFIEYLPIISYNLQEQQKDLEMLINQKRGQQQIKR AQSHIIQSKVIRISKRHQTDKI >CAK72136 pep:novel supercontig:GCA_000165425.1:CT868119:7538:8061:-1 gene:GSPATT00008577001 transcript:CAK72136 MKVKFQYSKILEALNRNLIKPKKQVEIRLDRLSMDPHRYWIAVAKGMERPFSGEFWNHDQ QGVYECFHCNNTLFQSDHKYQAQTGYASFFQHHKNSVKTIETKAKYRYSALQCMNCQSYI GQISQDGPPPTFLRYSINSGALRFYQPKKNQTNLNQSQK >CAK72137 pep:novel supercontig:GCA_000165425.1:CT868119:8240:9528:1 gene:GSPATT00008578001 transcript:CAK72137 MQKKKYKDSKSSRYLYQIWQTENKILCNGKLFTGSENHKLIASVSFITIPSILFYIFMSP EFAKSGQNGYTVVFVLIQITIYVFLSITVCMDPGIIPKIRPEYEMNEELLEVPQKYSKVD YRFIMDSKMFTIKAHQFKLKYCATCAIYRPARASHCPSCDNCVVRFDHHCPWIGQCIGRR NYIYFYFFIMSVSFKLIFVFGVCLSYIVDESKKRSATMGTSDAISEALAHNPVSIILVIY SFGFSCFVVGLWLFHTYLVFTNMTTNEYLKKHWIVESKNPFRRQNFLKNIVNVLTCITQL KFLELKQCVYEPKEYMQSPINTQNHLNEVNDSSKYREEDKIQVEYRGKQMQQQKIQIISE IQS >CAK72138 pep:novel supercontig:GCA_000165425.1:CT868119:10351:10838:-1 gene:GSPATT00008579001 transcript:CAK72138 MDQQLTEDQIANYKEAFSLFDKDGDNKIKVDDLGLLIRSLNQNPTEAEISEMKNDVDPDS TGMVDFPEFLSLMARKHRDVDPEEELMDAFRILDKSNKGTINANELRHMVKSMGERLTEE EANQLIKEANPDKDLEIRYEDFVKLITTKYIL >CAK72139 pep:novel supercontig:GCA_000165425.1:CT868119:10879:11151:1 gene:GSPATT00008580001 transcript:CAK72139 MTAALDLLMESPQARAKVKYYKIKQVALLTVTDDKKHCIFKSRNVDEIEQFIKLTSKLML NVDPTEVKEDPQEAKTDKKQAKKKGKGNKK >CAK72140 pep:novel supercontig:GCA_000165425.1:CT868119:11572:12677:1 gene:GSPATT00008581001 transcript:CAK72140 MTDKLQTIVNREEQLVNTDNRAEFSLGKFTPIELAWDKLIINATIKVGKTTTEKCLLNNL KGVMKPAHFTAILGPSGSGKTTLLNFLSGRLISDNLKISGELSLNGKRINDIDKFNDQMA YVMQDDILLATFSPREAFYFSANMRLTISAEEKAQRVEALIRELGITKCADTRVGNTQIR GVSGGERKRASIGVELLTNPSLIFLDEPTTGLDSSTALQVIDLLKRLAKNGRTIVSTIHQ PSSEIFNNFDRLMLLVRGNIIYQGDAEQAIDYFATMGYQCPNFSNPSDYFMKLMNEEGLL VEKIQAGESDDFDEAQIKAEFEQRLEGFIQNYKTSNMIKELGNS >CAK72141 pep:novel supercontig:GCA_000165425.1:CT868119:12698:13632:1 gene:GSPATT00008582001 transcript:CAK72141 MILDFTLDSSNNLSFLNEIRNPMDVKLKIFQSIVNAIMLMLVYSDLGEYNEGLQNRFGAL FFICTANAFGGIQGALHTFSMERPLFLRERINKTYSVHSFFWARSLAEFPFQIVYPSLCV IIVYYVIGLSDENVGKFFMLIFVQFLTYQYAVSYGLLLSTVIPKIEVATALVPALVIPFM ILGGFFVNQDNIPYIFYPFTYLSMFKYGFEAAVINEFDDVIYECMPGQQCSPVDMLSITL TKWECCYILIGLAIGIRMFAYLALHLISSPEKPKLQAPESMQIKNNQK >CAK72142 pep:novel supercontig:GCA_000165425.1:CT868119:14144:14472:1 gene:GSPATT00008583001 transcript:CAK72142 MQPSVTYFGLQTLIFLIIIGMILISLNYFQDPKQKESKFKIWNRMITNYEGISLTIDEEQ LLKQTFKNTLRNRKVAISPSIPRQKQTTKKVSFKNLDNLL >CAK72143 pep:novel supercontig:GCA_000165425.1:CT868119:15268:17129:-1 gene:GSPATT00008584001 transcript:CAK72143 MLSNDRQHFVKLVRNPQINAIQQLTQLVTRASRTKSTLQPSTKRVAEVQKCEKADKQEKV IHTTREINSQQSMRNYFDNSIQKNNKENLPSKKLLYQENRSQTPMAQKSPISINTRGRGS VSPLRCIPQNLTSKSSNKSALKQSEDPQIMDMVDNLKQNILRDLQEVTKRYQSNNPKPIE MLQKQNEKIKHLLDYKEPQLKVPYFDYSSQKSIKHPEIFNKEENSQNKQQFLEQQSLILQ QQLEIIKQQQEALRYQQLSVLNSKSNLSSFYNQMRKQQNESIEDIYAKSQFTISNLSSII PVEVSANQNKKINVSFESSMEQNPINLQLEIIQANDCRTTFGPSQLESFIKSPTQVEPQL FSEQQIMKETVKYVQLDNSQGKVSSAKRYSNDDQEVVKQQELIEQVPPPSAFSNKSNRYD QLMQNFQSLRKLSREPSFVIETKKSVQMNDLNHISDFAIMGSQRESIALCQTKLITFQEV NNSQEECVQSPTSILGKQQILTFEQELEQKSLYSNQSQYDQRSIQQLLQKSSLTQQQASS FQKQSISIQQSTEQTNRILESIRSNESLKQRTASFTEFLKVKDDLPIKITNAQKLSIRPG ISFLQYKQQNKY >CAK72144 pep:novel supercontig:GCA_000165425.1:CT868119:17152:18245:1 gene:GSPATT00008585001 transcript:CAK72144 MKLVQQEYYFSRPKISSLYSPESKYKSISHYSDKSLVQNIDTQIQINQIMQNIQYLRGKI NYEKNQLQQLSFLNSDTNFSFTSVPLSKLDPESYYMKQKIVQLKEQLKQLEDDQKRMQSM NYEKIDQNEEYAFKNYTMIVMRRKSKKQLIRHKNELVNQIKQLKNEIYMDQIEINVQDNQ IMVLEKKYKQYKIRLSKVSEQLKQIKQNVSVYQQFDMKKSMEIKDFMSKLGVGDSTVDDM DDVLENYNRKILNNQKIIDDFLLIAQQRSYYTLSQMQDLEYQIKLQKDDKQQLCQQINEL KLRISKFSKTKRTSLENNYNKDNQNEDEVVDWIFNSRDLDEFPLTQSKNMDDFESDF >CAK72145 pep:novel supercontig:GCA_000165425.1:CT868119:18460:18927:-1 gene:GSPATT00008586001 transcript:CAK72145 MADSDERYQRALNNEFHSEFLEFEGQEANKGIDVRDQFGKRLHYWSAGDNIYTVTFKKIK TRLHWFFNPDTYDKQSKKHIQRQAQLYNIQIILGLIFIIAPLSIAITVIQPRVARAKREF LMHQYDKIKQIYKLTKTLA >CAK72146 pep:novel supercontig:GCA_000165425.1:CT868119:18947:20020:1 gene:GSPATT00008587001 transcript:CAK72146 MNSEEEILKQFDTLLKQVNSYEDQNPGDDKLMELIEKFQQTQQAIIKQHIFSPNEEFNEI KTEHLKFFLLPYYVGWALAQVQNEDIRQKNVEASNYYVKEFLKLLRHYEILKGIPLKLFK SMMENETLQINRDDKIANYKEQQTLNKQIQNLQKLDDDKLSREIITLQLNQKIISSLDIL NTNQQELDILKFKSQMKKDKQLQQQYQDQQEKPIPKMKVWNIPKPTMQPQFFDPHCQHCQ TESELRQKHVTEVWQPNAARLPNMTLDEFADSEMKFAQDQEAKMKKAQEEQQKLEQDKDD DKDYWADQQTLKDRNWDDWKDDNEKGAGNKMGR >CAK72147 pep:novel supercontig:GCA_000165425.1:CT868119:20032:20492:-1 gene:GSPATT00008588001 transcript:CAK72147 MLEVLFRSSATVYDPVEFLDDEASIKPQIHISYHYQRKVDATFAQDILIKLRQAKMIGME GFPTNLKMNFHIFGQVALGNLEQLYEFGIEQNTHDGTGIRDHIHVQIQERLTQLQYTNPN KTRKNGMAREDCPDSYNFIKSKQ >CAK72148 pep:novel supercontig:GCA_000165425.1:CT868119:20881:22569:-1 gene:GSPATT00008589001 transcript:CAK72148 MVLILGLGLWYKSNSRESRLEFNSSDIYLFIYMNQQHKCQLKTLGYIRNYHSEFASRNII NHTLYHKYSASQNYYYTKEINDIFGKNRTPATIQFYDDMQYSDLGERMKRYYSNQESCAK IKLLSEFYKYHNDLPRFTVHQNIIKILDVYYDKKRKLEYYKIQRQIEYENRNNPNKTPKG IIGDKPEQTETTPESESSHNSTINNNVENILQDIKLQGDQNQICQQEIIKISKIQEDQKS EIMDMLQAFNSDATNNNKNVQKYQVFKNQHPSFKKSLKLEEFLFSQTSRTQVKCSKQIRV PLSTRIATDSVSKVLKTSQEKTKEIQKESLIQLFNKYSKLSRIRSENKIEYNKESKYSVS EMKQLELKIMKSIVDIEKNSPKNKKNKSAQNNKELHQILCKANKLDSCREINRTSQIKCS SNQSIKVNNNQINCYKISKNFNTHLNPISYSNNPYDQKRLNHKQLSKLKANDLRKLIIYK SDKNSLGEKAQKSIPKLNLQTINAFNNLQTSLTFRNQTSSAQLLTPKPLTVRCRISNLIN WQVKNLQQNNTQYK >CAK72149 pep:novel supercontig:GCA_000165425.1:CT868119:23774:24154:1 gene:GSPATT00008590001 transcript:CAK72149 MQSSQEKLPRLDLTNRRSQSMANSNPALKKLKETLFVENQSSTRRLKRKEGDILDSILED ASLILGNKQLEKNIYQLKELGLLKQYDPTIAIQQGLKKSNYVMNDYHNKSTTNGYSRNYG GLFYNR >CAK72150 pep:novel supercontig:GCA_000165425.1:CT868119:24622:26401:1 gene:GSPATT00008591001 transcript:CAK72150 MKRENKCDSKSRSRSRSKSSKRNTQNNQFQNFIDKKLETKGTDQQIDNKESISHHSSPIR SQEELQESNELNKKNKEEYKIVDQIQCINLAATNQSQIQLEQQDQDEKCFISMSQPQQET GINSKETFNKQPSEMITINDSSLEVNQNAQKNENRGGSQLENRLFGNFKKTQSRNSILRR KQKKFQSPPIKQSSQHVKQPKQSLECIDLTNDDNILSFFGLNHPMLLSSLEYDKKELFEL AEPYEYQCGNFMLSLGIQNHYTAIVTLPNLSQKKPVYYLFMMFQFDPKKNIYCMVPEDDQ TTLLVSSNISSLYYYCNSNIQQKKNNKTTQIESNLKYKFPYIYPSLIRKYVVSYQQDDSQ ILYQINYNSNATQQAIEDYYWDDPGHLITGTSHPFLKILFFKSKNNQIPSFYFKFISTPL YEGQYSLKASLKQNGNQIVVDRRFLSPFSYGVHPIKIEINRITNQIVMKQTGTEDIITSK NVKCFWDQVLPKYLQLYNPKQLYYYFVDLINQDRRISEKYKKWNQLYQYMSKSNQQKSSK PNDETVILNDKQFFSYSIEEKKNGNKINYQ >CAK72151 pep:novel supercontig:GCA_000165425.1:CT868119:26407:27443:1 gene:GSPATT00008592001 transcript:CAK72151 MNKLQFVFKFCTEPQKSIYKLENAQKYISDLVQKTDWISFAISKHIPKQVRQDYLNICQF HNELARIEETSKERSLGVGKLQYWSDQIDQIFLDNPQRDPLSICLHNSCKNNPLPKYLFQ KMINYRKQQVERGEFANLVDMATSAEANRGSQFLLFLRLMRMDIENQDLKQLTEFSAQAV GITDYLRRVPFTLQNYKLSLPEDIKFKHNINVRSLWDRIRGEPKEELYDVVLEVAAFARS QMIKSTSFQNKIPPQSFRAFLHLTPTEQYLEELEYYNFKIFEKAINQNSYVQLPITLFKN CRKMKFVSKDYEQK >CAK72152 pep:novel supercontig:GCA_000165425.1:CT868119:27474:30207:1 gene:GSPATT00008593001 transcript:CAK72152 MKKSQSQNNSGTFDFPEVNKPRFEPQLHKISASTQQKVVIQKLTNSLNNNKIITQTTEQP QTLRSQVSKPLINSERFMFDLDASMIYIHREKIAQFEELEQQRIQQLLRDIQTKVPQIND KVNHFIALAVQYENEKVNPLVNQFIKVIEQLLQILDEKNKEIKEYQFALKQSREQVQNNS RLIEDQSQMIKYMESQNQNAKNVTRTFQRSNELAVINVQLEKKVELLNQNLQFLMNQNKD SLATQLQQKIELLNKTIEEQQNKSYDDNNKQIQLQQQIQTLKSTEFKHQMQLKKLEDKIS DYETKLKDLNFQASYSKEQKDQYRELYYMTYEDLQMSKLNTLTERKQMQKSFEKIRMLQD RIDEMTVKRSKEVDAPFQSRVEGDMIQLQAITKILDEDHVFQRYMMSSFLKNVQEYDSKL VDAYVDVKRLVVGRDEEAELQTLRYNYPPFNLFLEKRLVDQETQYLQIRPISNNFMGLLR AILDGMFIEFQKNTYISFQSYVISWLSTFTIENHQVIIFPEDTKRVKIEEQLHTFYLDLM VPRLDKVWEVVQFRHFLNDIYSLEELYFYLHARFLLRRGPWIETFDAIYGVVHYMKVQQA ESIILRFLEQFDKINQTLVIRAVNERIVEGKKDRKLIQTGFVLQLLLEIFRIDRINRYKI LSFAFPAQPITFKQFHKFIKGNFSKCTEGEAAELWREVYMISNGIPNLNSFFTAAQSLFI KSLILPAIYAAPLLDTNRKLAFDELNFTTMKIQEQLKEINDSDLDILKMLIPSNFQEEMI RVTKQLMPKNQIQFVNPLEYIFKFMKLIITLKYNTILADGIEEDGVNRKGFMTETFTQFI TSEISELNKIIHKKQSYMSDQEIRISRLQKYVKKKVKKIYVIMSSVLQSRIKQ >CAK72153 pep:novel supercontig:GCA_000165425.1:CT868119:30216:34854:-1 gene:GSPATT00008594001 transcript:CAK72153 MSQNKEKLESELFQQYQQAIDLLENGNEAQGIQILKELMQNQSIINQDDKKILYGIYMSL AEILVQSDCVQNKCEALYYYYQSTLISDNCWQTQRKMALIFRQLGMMPRALNVILKALQL CNQPNIIEAILYQVCSICFLMNDQKQFEIYFDKMSDNNNLKHKIAELKKYFIDKNNVTDF VKELIQEQSEIQQQLEKIPCESAQKLLYFNQTFSFEIKLDTYDLKKFFKKIQNILQINVN FESKKFDFIHNDKCFMSNTKIIVISKEQQQNSKDVSKELPKENQPVKQSERQKSKKTKQQ QDQQFVLDQIIQSNHNKLIELLKQFDGNEIFQLNNNNNKIQSEQNENQECPVAKFLLENL NNKQFLTIIQLLEKLISLICLDFQQYDDAPLQQQSDTLNEQLKSPQKALKGLINCIVWAQ HSTNKFTDDPKIKLRLLEVAYEELTFRFKEKKPKSPEQQNKIKNFITFINNMKLELLQTN INQIITDKAEIQKYLSSYYRVMAYIHSDINFFQGRIAKQCSQQLNNPELSQEILDKIKSA QFQISSSSPHQSQQIQQILTQWEQKEQRLEDNEKIRTQIIYVQDYLKQNQSYDMIKRLAI ICLNQFYFVQQKEELKIIYKFVQPLIQQFLNIPQPDLSIGFIFLKFSIIYSLMELDSSEF LAKFIEIFLQQTNGQNKHLLLIEILKFHHLNINKLFNYINIDLILQEFIKTKIKMKNRYD QLIEHYKNNVSNDLELRYYLLHLLDLKDQYTPQFIVQQNELQIEIEKQETKYPQPESFSS ASEEEIESDEVFLKSYQNIHLLQDQIDSSFKLGKYNYQFTTKTVEFLLNHTIKVNIGDPK IIEHIKRLAKEIIRVEYGLTTKEFSYYLQQEFERDLSEAELQFMGYFLTHFSKIFSDQRQ LNQFTIDIIKRSLQKYTDPKIQEFAEWLYSQIFTKQKSQITSIKEFQDCVTQNNLQCEYK SNLYYQIQKSEEINFNENQPKFDLKNICYALAYKESPYLWNYLYQGTFEQAQKQFKLKTQ TEIDWFLSQKKIQAYVNKNPLLNHLNEYRYVMDLIKLKQRQVEYQHSNNEQLYKKTEQGY QSLYQKQYNIPKDAFEIENNFRVLQLYEKKVMRKYRNVPIERISQNLDNINSLVNLIKQM KEYEIEYLSQSSDGELEMKQDLYINIYKFIRRFLRHRLYYQLKQLIQIVKEKFSDLLNQS QSDLKFEFQYDNRKFKISNFNQEQLEKEENTEFNNDEINVILNNLLNIFIENTQKLVRKK AQRYIIEAFYYATHLKFNSQAPIDQVFAQISSIYQPNSRDLVYYYLQIDKYKCEKDEVLS HYYSQDLIFNYQKSKILKLIIKILLKMQKYKDIYQLFEKLHKTYDIRLYQACIGLLEEYR KIDDKVLIRDIILKSESYRRTTELFKQDSIVPLLNNMYIRFYEKDQQEQNEGNFLNETSE IKLEKGKKLIEDYRATKRKPAKPKDQQQQSQQQQQQQQTQNAQAQIQTQLIQQVVDDVGQ YINQGTIIQSFNDFDNI >CAK72154 pep:novel supercontig:GCA_000165425.1:CT868119:36041:37743:1 gene:GSPATT00008595001 transcript:CAK72154 MSQNQQGDKAQKEQYLSDQVEKGLDADLCAQFLVSLKPEGGDDIDNWSLSELKSAIEQFK ESQKQAEEQQPNNSEDQSNLQQAQPQEQPQQNQQINQQSNTPVLVNCYQILPNELSGDNV SAKIVTYKKESAGFFSSSYIVFQIETQPHGWVVFRRYSDFEWLRDSLQKFYPAFVVPPIH KKRSRSFEDTYLNKRVIFMQRFLNSIFKSYELKSNIIVKNFLSLTQNNEFKKFQESITKS APPQTVQRMFSKEGQVNCVAPGELHQYAQQTQDYFYEIDGVYKKLRVLSKSLKEIQQQNS LKIYCVGEIFASLFQHLNKLNQVLPTGQAQGLAATSRYYKQHYDYLGQYYWKINRINRGH YNSSIKQIYETRAVISQDLEKFKSKLHYKKERLFQLGDISKWDLSKDIKLSPQELQQNKK LAFQYMCDQETKQEMGMEMLVGYYTNQIFSQTQQFFKQQQQELVGHFIKFCQVQAFNITD HHQLWADAITNLQNNLLTQKRQTQQVVIESVG >CAK72155 pep:novel supercontig:GCA_000165425.1:CT868119:37779:39398:-1 gene:GSPATT00008596001 transcript:CAK72155 MQQDICKVGQYIQWDQKKVLGEGRISVIYAGHNTKDNSVIALKKFRSDLLNDKNFYLREI LKQEELLLRMMQPENFIKCISLEETQNSVYWVTELAETSLRQELILAEFGKLKLEQVKII CKNLLDGYLFLINQNVLHQDIKPEGILKKNNQYYYSDLGNGYMISRFQGENNQTYYQSPQ KTLNQIYTSKCDVWSVGIILYECLQGSVPIIINNETQIAKEFTNAYQQEPIYEFIIQCIK YSESERLSWEQVYQHPFVINQLGINVDVKIEQSYTQFKEQFLQTSSKVDLYLMIGMSSQS DNTENLSKQEFIQSAKRLTNTSSIKEISSLFHEIAGFKATHITNKDISNWQNRVKNRLKG DRGHHYPLMPQEQTEQDNQLKNLPILRWTMNLDRKKVRNTSLLYPEVVEVLLSIKASMIK FDTKVNDLFNKYDPNSIGGITKQQMDKLILKIYPEGRNDVVLSHVFQFMNQFQNGKITKE EFQFCIFDLDIQEITKTRN >CAK72156 pep:novel supercontig:GCA_000165425.1:CT868119:40229:40906:1 gene:GSPATT00008597001 transcript:CAK72156 MAYNQPPPPAQGYGTTPPPPPAGQLGQYPQGQYPPQGQYPPQGQYPPQGQYPPQGQYPPQ GQYPPQGQYPPQGQYPPQGQYPPPGQYPPGQYPPQGQYPPQGQYPPQQYPQQPGYYPPGG YPQQVPGQYPQGQTTTIIEIQQQPTQKPPQQSHGGGAMVGAAIGGALIGAALADNHHHHH HGHGPNVVVIEKGRKHH >CAK72157 pep:novel supercontig:GCA_000165425.1:CT868119:41186:41478:1 gene:GSPATT00008598001 transcript:CAK72157 MSKGFALNLKNDNVMTFEQENELYAGQSIKVYHTDIIQLKFKQEEKQFELEFKVGQDVEW AKKCVAEILDCGRNDFVIQLRNYVNRT >CAK72158 pep:novel supercontig:GCA_000165425.1:CT868119:42016:42744:-1 gene:GSPATT00008599001 transcript:CAK72158 MNNKQIVDILFDEIDSTQTYATSNYSSLFKDQITAIRALFQTDGRGQHTKKWESKSKRNI LFTLIYPYFKNFQYINTIPLIVAHSVLQVYNNLYDLNGSIKWFNDIYFTDKKQAGILVQS EITGQDVVIFMGVGINVDWNIENGTNIEAILNKQVNVEELYNSLKEKIVHNLILLNENGF KQFQKPINQQLYRKGEKCVFVNQEQKYVCEGILEEINQDGMLIIREANGLNRVVDINLKM MI >CAK72159 pep:novel supercontig:GCA_000165425.1:CT868119:42791:43514:-1 gene:GSPATT00008600001 transcript:CAK72159 MDKLDKHKSCGKCIEQFEINKSKQDGTFKEQKTKILGSRPMAKFIMYDGEGNYQKKLNQE HQNHKVQIDMTWKNTDMEVCKDKDNEELLIKESQSLVLPKIYHHKQVHDLKPNNPIPTQA HINRYGKYSQRYLLRSDPMNLSDNKLDAYLPSSEKYGRFYLSEEPKAKTIVSEVQPFEGY NPISPQYNVFPVEKPILKYHSMKSQLFKYK >CAK72160 pep:novel supercontig:GCA_000165425.1:CT868119:44044:45189:1 gene:GSPATT00008601001 transcript:CAK72160 MNLNSSMNETVSEEEDIEEIEFEFPSNDKGEKINSEEELLNKAERENIQEDYIESDAERK TDVIKTEEFEYYYEYIYEETNTKNIAEEILLTQEDSQLDFMEQTVHTDQNQQEKQLPHNE SVLNISDFNIKQDSQQSAEDQNLNSQDSGQQNEKLNQTMTYSVEETEEIDNSQKQDEEYQ KMVEEEEENHYIIVEEEEINIHQENQEQSQTIQNDVINSDDEQIIADPRAPEFNRMNQTI NQEQQQSQKIYNNQSKTSQQFSNQIFSLYFDLVCYKDHKTIEQEDMIPSSINIPETSIPT QNINPILLVHTIILTLMLILVSINDKQNIKIKKEPIQVTSMSELSELNEYMAMMINGLEQ QYNLISKSQEQLAYQLELLEQ >CAK72161 pep:novel supercontig:GCA_000165425.1:CT868119:45493:46942:-1 gene:GSPATT00008602001 transcript:CAK72161 MQMVEPIICYRKHLIGDQIYEIRFTENALTLTNPKKPGTPKYFLPLSWSSEIRWQLTSKE NAFGFHTQPQKFKWFHAKQSDLLILKKILSKFVSFTQIQDFYEATEFLASGSNSRVYQVV RKNDKNNNFVTKCITKETIQNSVEKMNGLYNELNILKQLNHSNLPHFEEFYVGDGTYYIV LEYCQGQTLNSHLKELKHQLNVRVIQSILLELLQGVAYLHSLNIIHRDIKPENVILNIQE KKVDLKIVDFGLSVELEPNKELKKCGTPGFVAPEIINLKNEKYGLESDIFSIGCVFYKLL SRKDLFQGETQTEILSANRRCQFNIQNLSLIHIPQTAQNLLSEMLHEDPKLRIKATSALQ HSFFRENFRPSIGNLNLNLIHAKGSFHTQKSNYKKQHSDDEILVDYFNNELPPINEIPVF LKYQKNGQTSTQNQDNQNSQSTNSNFFKRNISKSPD >CAK72162 pep:novel supercontig:GCA_000165425.1:CT868119:47274:51254:-1 gene:GSPATT00008603001 transcript:CAK72162 MSTEDINSIKKFLKFQKMHKSLPSSIPIQMGLDSKAYKLIVSRIGGLRRPQNPVLNLQFS VAANFFIQNSHGEKCFFGRTYNSPRLNVEFGPDGVNYEHPIIPIYYHTRIINNKEMPLLI ILEIQAFESQQDLVLKRMHLGWCELAALTITTQSTKLNFRKGSPRILLLGQQVNFESSGI SVTCDIVQSEEFQISMHLAPENALCGLGDELPGLKKSFVAGDFELSRSMVVFMSKIQVLI PDTMEADIYRQVQKYRTYKYRTPEDYKGDIQIINKRLIVAFHNGWTFINTRGTNNFVPLG ETRMLVNEFVDQKQIQYKQLAYHGVIDINNVCSTDHTVNSGMLVAQLEYEAMFLVSNVTK ETMQLTLGWIPIYINNKFGADEMVEEDFIVGPGKALDGRPLVTFQNPDQSIKLKGSLNLS GGGFQIQDLYMGAAQQQQQHPQQQGHQQLPQQSNLNSNQPVQQQYQNKQEQVRGSDIDIR TQVKLKDFEQQLLRLQEENERLRRLAIQQTSDPQKQQEYLQAIEKLREENRLLQFTQARQ FEGLEKLIKDQKVVERTAAPPVVLAEARPQNTVIKPIDSYAYPVPSATLKPITRTDVTAL VDDPTSMDQENRLMALEMQDERKDQIIVIQPVSMKQILGPYPICKSVQIGIIFYDLQTFK SPFLNLEYYNDERNFKYNLDNGNPLRLLYNNEVFSCKFNIEASLQRYANLDQDFARYLFN KNLILDIWDSESLFLFGSVRIPLRGIMRGGKQNAQIMADVEIFDPQSKKAKGTLQIVIKN IGKIGQQDMSKTVPLENTYNGLQKKKLVSEKPVNRLDVPAQAFDGNSIQDRIKKIKQQNL QEMIKNKQSQQRSLNEVLKYKEIKKPTLVKTIMQGFLQNEKVVHSFYGKCEIVPLYFKNT HPKPEQFTVNIEDPESRMLEYQEFFLIGNPAEWKYWVSNNKYPEPENYDLIRMKENQGKT QYYFILDPNQEVTLLFKFISFRQYDYRVDDHERTPEYIKKYMQSRHITILLQQDGKMMDG LRIIVEPHQQPIDHVLRFYERENRQTRLIIPRLFNQPLQQQPHVHITDPDVMIEWSSDFN SIRLNLRIGEPQSVKIFNILLYQDQYQHKIIANWKIEVYSLTTIDIEVPLGQRVCTKITF PCDITRIIKMTSSNKMITFVKPFDSEITMLPGKINLVPINIQALQDGKHLIKLNGVDIHS QELIYSWLVNVHAEPQPPSATYDLRCIDGRESRHQVNYKNQVNYTAQYNIVSSSEFVKII DTSISTGPNEIVQFKIRVLPGSEELVRIFITDIDERIFDVIVLNIKYVY >CAK72163 pep:novel supercontig:GCA_000165425.1:CT868119:51422:53145:-1 gene:GSPATT00008604001 transcript:CAK72163 MLQKSYSEGVGAGFPLTQNIRYQLAKNRVDDLFIKWLTLPQNQKLVHQLIKDVREGKQNN LITQPNAFFTNKMTMSGMSQSALLSSPNKKSLGPSTPPRADSFHETKSRIPEDLSQSLTQ SQIIQKKLHVEEVQNYDLIPQFYFPTQEINLQLQQEQNKIINEIFGKAEQIDASHFEQIT TNLCGLCKYLTRILMTAVEGTGNKISKTAFIKYWNQQLAQKEPKQRCFHILKKPKNDYIQ FDDFKPFMKILLEYHPGLEFLQATPEFQERYADTVIHRIFYHLCRKDNNRITWRDFKSSN LFDILDILGKEDDINKIRQYFSYEHFYVIYCKFWELDGDHDFHISKEDFSRYSSHGLSRK VVDRIFDQIPRRFRSQIDQKMSYEDFIYFIICEEDKTTIQSIEYWFKVIDLDDNGIITGF EMDYFYEELKQRMDYLNHEPILFHDFVCQMVDLLHPENDILFKLSHFKQNLTVCGVFFNF LTNLNKLIAYENRDPFQVRNDIVDHPDFTDWDRFAYQEYVRLAMEEENQEQGEVFEGDNI WDNEDSKQ >CAK72164 pep:novel supercontig:GCA_000165425.1:CT868119:53174:55288:1 gene:GSPATT00008605001 transcript:CAK72164 MFAITKQALFRQQAMKLMNRFAVVANNKPEKGPSQGHLTDKYTVIDHTYDAVVVGAGGAG LRAAFGLVELGFKTACISKLFPTRSHTVAAQGGINAALGNMTEDDWRWHAYDTIKGSDWL GDQDAISYMCKEAPKAVYELESYGLPFSRTPEGKIYQRAFGGQSLKFGTGGQAYRCCAVA DRTGHAMLHTLFGRALGYDCIFFVEYFALDLMMDEQGACRGVVCMSMADGSIHRIRAGYT VIATGGYGRAFQSCTSAHTCTGDGGGMTIRAGLPMEDLEFVQFHPTGIYGSGCLMTEGCR GEGGILRNSLGERFMERYAPTAKDLASRDVVSRAMTKEILEGRGVGPEKDHIYLHLNHLP SELLHERLPGISEAAKIFAGVDVTKEPAPVLPTVHYNMGGIPTNFKTEVLNQVNGKDQIV PGLLAAGEAACASVHGANRLGANSLLDIVVFGRQAANLVGEKWKPGQKQPDLPKNAGEAA IARIDRLRHHEGSQTIAQVRKDLQRTMQKHAAVFRIEKTLQEGVEKVKEIYSRKDDVRIK DKGLVWNSDLVEGLELENLLLQGKMTIEGALNRKESRGAHARDDFPDRDDKNWMKHTLAR IQDTKQGDVQLTYRDVITKTQDPKEFDTVPPKKRVY >CAK72165 pep:novel supercontig:GCA_000165425.1:CT868119:55880:56636:1 gene:GSPATT00008606001 transcript:CAK72165 MKFNERFQFQSKQEEINLDKEASDIAQRIMEKVRQRSKAKSDQRELASYYQRASDYKSGQ LFQDNQLEIRRQSEKVTKGTNEQMGRKLEIFVPEFKISKDNRAQEMTRLENQLRMEYLKT PIKNQLQPNGQGFKDAHQKTVKLNNQETMGFQEQKIVKQFQIINDQTKPSNGQMDQLSSK RFSSPKRNHLKLDSNQQTKSSLYLKTTQLQTPASVQIQQSDIVTQLLGSQKKQSRNYLEQ ITKR >CAK72166 pep:novel supercontig:GCA_000165425.1:CT868119:57338:59437:1 gene:GSPATT00008607001 transcript:CAK72166 MEYAYREWSFNNNHYRFQIFFSFIGGYLIVMIIKFNSANTLLPLIISCISLCLELTLLFF IRKYKEKVEIIMTLNQILLSVICEFYRIFAQKSNLWYLGYSLACMKLIIYARGNKFIVQT GLQVICQCCSFIFMEDEDVYFIVSHNLLTFLLVIFRYQLELINRKYFIASQSQCQYENLI QDLLPSWVVIVKYDKMQGQLCIDKINRNMQDKFDIKNDKCFREFLRNLKVLPHEFERQNV QFIKLEHTLIRKLQTNREDQTVERYHAFMDKKDSKTKQQKFKITQVFFKTFEPSIILLFE EIKEDKYDQLLYQVQQRDYTSCTNARISLGNLKKQIQLLKYLKSLIPQWFNGKQSQFINS LTSSIMDQIQNGYIMFNLNFDVLNLFKISHRQLKFEINQIQPSILMEQLCEDLQLSRKLI NKYVVSAEFTEIIKTDKAKLISIIINLVEFCKILLSIIHGDDKILSQAVPLGSQIQIHLK QTKQQKNCIKISLTHPKLFISPFVRDLFANIETSIDHKKRNWSSRNYFEMINQLNSTFQQ IYEYYKKDHKSTDLIDDDFHYLFQNQKSNLNISEESRGFTHQNNVQPQFQFNVLGYPMSQ YLISQLGPWNKIRFKNRYQNFIQTPSQKSINTQNTKLQFLLYQDLNDFIQELNQLEKPIL EIYQKKKLSNHDISQKFYYAQLASSPRKFG >CAK72167 pep:novel supercontig:GCA_000165425.1:CT868119:59505:60155:-1 gene:GSPATT00008608001 transcript:CAK72167 MIQNSIIKQQEDWQFTTILSDFINTNEEEFEIGVKPKSRRFSTSVEKYKFIEEYTKKKKT ELCKNFTLKGSCKFGKECSYAHGCSELLPKAHLHQNYKTRPCKNFMNDGWCNYGSRCQYI HPENSIIKKKTHKLISQDKQAQQKICSNNQNLAEKIILLYSELLQKLNIRPQFSISNLPR LNCFKKLGMNKISSQSNDSD >CAK72168 pep:novel supercontig:GCA_000165425.1:CT868119:60700:64902:-1 gene:GSPATT00008609001 transcript:CAK72168 MKFFLKRTTFLLACILMMSVYGSKELNQENQIIKSKLICEIQNGIDEYIQILELENDMFG IKASDSTYQIAFNSTNQIQNYKDVLIIQANKEFDINVYFNFPDYQINSNYVGGLLHKQVK FIIDDENQIYFNIITFSQPSFKEFALKDFGKISLRQISDDPESIFLQIIFITPQNVEDQI NNIITNFNQLNRICKMHECKTDLQLQHFIEQIDILQIYPANILKAQFSKNQFLQDFKLHN NMFYTVVQSEYEIIQKIQTNSCDISMQDQILINNTIYLWHEELCNNSQSYIYIIQTKKCQ NIKKCEHYIFSIESKKKYQPDMLINHFAVLIQKSNCKSLERIKQLLQINGIFLQQYSIDS ESKYQGSLNFNEVHQDRNLIIEPIQNFNIKDKIDDDSNQISTLQLSHNLDEKSIYKTLNG VYIPQDPEIFLRDDVEQIQKETKYDKHEEALTQQSDQKKDQQQSLLQTDNDNQQNIDFIV YEDPMSWINQDNQDVEVFQFNEDQQTTGSKSSIQNSQNNEIQNNNQTPSILQSHTNNNQE KQNQILQLQDTSEFNLQNQQDGMIIEQQAKVEEIILDDQDNSQTKNIQEDQISFNVQEQQ LQQQELQQQQWQQQQKLQQQQQQQQQELQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ DNQQGSNSHEEAKEQNQKLGNIKNENKFYQSHKKQYQEPDDYYDYDVYSQLYSDYDSDYD SDYDYHVRRHLSHHHRAPQIQHFHHHSHHISREERDYDNIKQNLNGQSYQGQKQNLRGKK QNYQLDDSTIPIIADRITIEYNFVNAKQKEVNLSEDEEKSQKEGEEDDQKAQKNSQQKQQ KTNKNKNTEEGEGEDQANESQQQDDQESQKKNKSQNNTQNKAQNKSQDKNKGTSKSKSQE KPQQSAKEKEKKKEKDNQQNKEQPKSKAKSQAKPKEEDESQDKEQDQEQEQENQDQENQE QENEDQENQEQEQNEEKENEDEQAQDQENNEEKENAEEKQNEDEKGEEMDEDDQWRGFNS KDKTKKKGKSKGNGNKEEEEEEDDELYDGNGRYIRNHPYYHHRKNKKLHYPYNYPGRYPG DELFDEDLIWRYRCMNKQNRYSNLENPFSGYPYRHRQVVNSNPFYNGNPYLRLNPYSRQT STTSCVPWMVRQNYPPNSGYRRYSNLYRGKYQDPEYQLREIYLRQQQQQHSKHHVQRYRD QVGYYNCYGYDDINPYYYDPYEKIIEDDPGYYLHNKNINYPYFYGPYNQTIHHGHNYVNE RHFNAKEGSKSSNHRMIQKNVDFEQQEDDSCLQVFDQCYFKGRRINLCGEYPVITEGLNG FKVFSYIVPENFEIRFTQSDQNEDNVSNISGSEECLQTPYELIPQLQQ >CAK72169 pep:novel supercontig:GCA_000165425.1:CT868119:65160:67117:-1 gene:GSPATT00008610001 transcript:CAK72169 MFQFIKDSFEQVASKVKQSGVPSNSVKVNLSQFEKYQSSFQTAIYQKYLRQKLINKLNQL VGKKVLVIDQFIADMLNLVVESMQILKENGIEQIYLFDSDQLDVEVNQIIFFVNHDRQHM KRIVRIIRNNQLKNLNKKYLLILCPRMNIVCKEYLEKEAVLGDLIITNFNFDLIPLSNDL LSLEMNNCLRPLYIGQDMSILQTVAESIQRMELVHGKFKNIYAKGNFSKFVIDILKQKKQ QGELIEDEMSFKESKMHTLLIIERKVDFITPMLTPFTYEALIDEVFSIKHNSINLEIIKN DQALKDRPKTMKLNDSYYNGIKIMNIKQCQRVLEEKRQNNKQTIIDMKQPDNKMNMSDMN QYLSKLRVLPKEQNIILDHIIVSQHLSKQLETILFNMCVNQEVHAILQTKSSQVEQMIEN LISFGAPITKVIRLFSLYNQVQNGMKTKLYDFYRREILHMFGIEHIMTLENCEKMGLIGK KLNDTHIWEKIEKPLRLINEDIDHDAPEDFSYVFLAYAPIIVRIFEELILKNTWGPIVLQ ALKEMPGEVVIQQNLNQNKTEEVKEDANQIYVIYFIGGVTYGEIAAIRWLGLRYSNQISY FLEKDIQICTTHIINGDRLVQEMIQNL >CAK72170 pep:novel supercontig:GCA_000165425.1:CT868119:67159:69214:1 gene:GSPATT00008611001 transcript:CAK72170 MLQKKDSDKENASYKDKDSQKQRVIGDYLLVKTLGVGTFGLVKLGVHQITGEKVAIKILE KERIVEVADVERVSREIHILKLIRHRHVIQLYEIIETKKHIFLVMEFCDNGELFDYIVKN EKLEEVEACRIFQELISGIEYIHKLNIVHRDLKPENLLLDHHNQIKIVDFGLSNTYKEGE LLKTACGSPCYAAPEMIAGHKYQSILVDIWSCGVILFATICGQLPFEDKHTSELYKKILN GQYTMPSHVSSDGQSFIRGLLNTDPKKRFDLDQIKSHPWFKLYKRVHSIPQGIIIGYSRI PIDDDIVDQLAQKGYNADYIKKCLDANKHNNLTTAYFLLLKKHLIKGGQSTADINSQNFN EKLLEPATRPTKPPISNLLDSQLMKTLKHNRSGSCQTNQKSHTQGRGMSVPQVEDIRSIY NGSKNYQNSSLSIEDQSFLFERNKSQSFQQNNNKKMPTDIQINDALNKTTYINTSKRSSN ASTVSPGHQNKLLQYLKSSTKTTTPTAVRGNSQSKMPYTSKTTKNQKTSSSNFDNHNNSL IEKKKENKPINLADATNIENKKRSLHIEGDLSMPASTKPQSMPYQLWLKMNSRKGSRDYS GGGSKTKKSTGSAKANTNQSFNFEKRA >CAK72171 pep:novel supercontig:GCA_000165425.1:CT868119:69329:69842:-1 gene:GSPATT00008612001 transcript:CAK72171 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVRFKIIA VKGKSLLALWLGKVEK >CAK72172 pep:novel supercontig:GCA_000165425.1:CT868119:69924:71330:-1 gene:GSPATT00008613001 transcript:CAK72172 MVKHHTTTPDFLTRPQGIYFKHKYYLITAYFIMDQAGYNHSQIQSLLHQSSNLIRPPRVG QYGTQGSIGQSELFQYNPQQQQQALTQSYSSQKIGIAPFSARQIATTPVVSQSITQSQPL LITPSVRIASTQPNNAEVHHQERPLQVVTLDDMDSRWKTKCMQLEVYLFDLQQENLRLRG NAPQISYVQDDSKINELINANKELKIQEQGLRQSNKQLQDELDQWKLRYKQLQESNSKNQ GADDEIRLLKKKISNLTDDLKSSQEQNEFKDQEIRKLKLLMNDKDNELESLDQRIREMEM QLESFSQSEQQIISLQGEVELWKKKFKQQNEINSDISEKLTMAETQLEAMKKSKVTVTKE SEIKRTGPSGTGVTTQFETSTIKGATIAPGGYSTYGTNNSGRNSVVRNSGYNDRKQL >CAK72173 pep:novel supercontig:GCA_000165425.1:CT868119:71347:72911:1 gene:GSPATT00008614001 transcript:CAK72173 MLDCGLHMTHQDNRRFPDFSLIEEKLGRKYVDLVLITHFHLDHCGALPYFTELYGNGYNG PVVMSMPTKALLPYMLEDYRRIWTMPKEDQQFQQQSSQQDYSINPQIKTDPLLIYDHENI KSCVNKVQVIGLYETQNFDGVEVTAYYAGHLLGACMFHVKYKNEIYNSIADRHLGGAYID QLQPDLVISESTYATIIRDSKRTRERNFLMHVQEVLDRGGKVLIPVFALGRAQELSVLLE SYWQRTKCKAGLYYAAGLIEKANQYYKIFTGWESEKIQQSFLDDNIFNFKYIQPFDRNLI KSPLSMVLLATPGMLHGGLSMQVFKEWCGCANNSLVIPGYCVPGTLGNKLLAGVKHVRID NKDYDIKMQINNMSFSAHADSRGIIQLVNHLQPKSIAFVHGEYHRMKTLGAEIIDKIQIR VDCPANFELLEYEKILSIDRPLTIKGEFNKNMKGYLLEYKGQMVLLSDENDIMKEEQCNQ NRDI >CAK72174 pep:novel supercontig:GCA_000165425.1:CT868119:72966:74093:-1 gene:GSPATT00008615001 transcript:CAK72174 MEYLEIVETIIPFLINKIMTQIEDNIFASLESQSSASYTVNLAFNVMHQKCFDPYFQNDI EIEDFESKSTQKERFRAYDIKDIAPISVRITPKIDMYPKHQFTVPMQLWEDYEPQLVRQK TIEYVEEYPNERRIMEQKCIDKSNKEQLLRERSKEKRLLNEQYNRLIMQIGSKEYTYDYN CDPIARSKNQIQKDVITTLPFEVPKSDNNTVISKPKLVEQIRYKKKQADNEDTTIVEFIE SQTQDIKLQPGVSLRFQTVQRKDTLQKQPSLQQKQTNEMINQTKYQKGFRNLVKLNDISL LTYLQQFDLDSNMDTLIQNRNQTQSVTPRHQSLMNQQTIKRDVLKLPKLRSHSINVRTKT KSRQQKSFSIVTYRD >CAK72175 pep:novel supercontig:GCA_000165425.1:CT868119:74205:75413:1 gene:GSPATT00008616001 transcript:CAK72175 MNQNEDDLFFIFVQRVYELLQSKQVIQALVDNGFDQWEQTKELTVDILLQLGVPKDKAAY IIQIINEIDKQPLSAKSNSKPLTLELMTKGLNKKKDYSKRPPLKKQDQLSDCCVLSLNGL GLTSLNDCISMAKGLQVLHIYDNNLQQLVLQDLQFLRILNAQNNQLKSVEFEQLPSLEKA YLDKNCLTTVPILPGRLNTLSLSSQNTKEFQFPDESFELAAFSLINLTCENSNVEFILTL GSLQNLEYLNLKNNKIKEFDDIEDSISNLPNIKEIDLRGNPITQVYKYRDRIVLLCKTIQ TIDSKTVLANERQYLINLGKQKILRRNQSLEGKKQTIQKPSISGVKQKILSNEEQRFHQQ QGISKTINSANYFNDNTPTMLTKHK >CAK72176 pep:novel supercontig:GCA_000165425.1:CT868119:75494:75760:1 gene:GSPATT00008617001 transcript:CAK72176 MQIHNKIDILQPIPQKRKIIGVPSIKYNNADPFNQILKAASAPATLEQMETIKQVNKNKD YSKNPVFLIDYSITENQKTLQEQLDEID >CAK72177 pep:novel supercontig:GCA_000165425.1:CT868119:75936:77793:-1 gene:GSPATT00008618001 transcript:CAK72177 MNQVLRLANNVSQQSSSYEFEYLNKVFIGFKVKFLIQQSKPHHQLKSIRIFSYHERYIGG TQMIIMIYYIRKYVTYMSKNIHLFYQNTSNISKLRIKKQERNSQWQLIRIYQQLFMFRKQ LKSVDDKKTNNQSPYRLNFFNNQIKNKRDQELGQVQDVGNKRRLKKKESLSISNTFHFDD QQPASFNSFFVHSQKKPQNRDKLVTEIGNCGSYRKRVETLHTQQFSDDDARLHPDRQNER LRLKLYFNFQKMQARLVNLINLIQQIDACSLNNYISEISSATIEIIGGHCRQFKRFMINE CIGLVFYLMIKRGILQGIDLNKWEKLLIYSHQANTIQTISTLKLQIKGVQQYQHQFQQFQ SMNPLIPNQIEKMNLLIEQNIDKLLKNTQDKQVQQHLQAYRDSQIDAAFQFYQQKLRVII VNLERFLFLLPKSSTVYTLVLDLDETLVHFIEETQEVLIRPYTEIFLEQMGKHFEIVIFT AGIQSYADKIIDKIDVMNVVKHRLYRHHTFSQGNVMLKDLSTLGRPLSKTIIVDNNPYNF VLQPHNGIKIKAWVGDEKDRALVELMQYLIKLTKYEDIRIGLKELKANPHYFTTSF >CAK72178 pep:novel supercontig:GCA_000165425.1:CT868119:78021:80729:1 gene:GSPATT00008619001 transcript:CAK72178 MFQSSHELLNQYIKQSLQGQDIKNIESIFYVLEKLDNLVIQESIKKIENQEEFVSLKVLF HHKIILITFLFIKNNNTEEIFELIPYLNTLNLQQSQVIISILGGSLGIIIEEGSFISLNQ MISNNQMNYEKIKQLAYDLVEQLAILHQQNICLFNFSLNDVYMNLESNKFLIKFSLDLLR VQSDQFIFNYSQNVYMDSNFPIPELIQLDLQAKQFKILNPFLIDSWNLGVLILNTFMKIN QETSTDLKHLLEKYFLNVCGIDYILMSGNYYKNQNDLQFLLQENNRCSILQFIKLINCTK LLKLCETNIDGSDEFINLANCCETIKKQYLKGKLLKIKSINQHMINLEFIEDLNQNQLPI HNQIQLTLGQLIINSQIVRVIPHIQIFHNTNKLIMDSHLTDEKLIQIIMIFQDLQIYSID TESLLLFLQFQNINFKKILKLQKQFQFEIHNLIFYDNPNSQNSLDELLHFFNNFNLNRLE IRQEQIPFNQNEQFAQNIFNKMNLDQIRVLILSNTYLTDQTLQIISTFSSLSNLNLSKCQ CLSTQGLCAFFKQSQFCNLVTLDISKTKSDETVFDAVWKNKSYIALTSVNISDCSFINLT LITQTIAQINAKQEKVQELYMSGCDLNNSVLTALQQITFLNCLDLSNNPKLNQFHSLKRD NKYNYLNLEQCEMKSQDIIYILNLECLIKSKLLMSSRQNTSIYNIQINQRSISLTNFQVK NIQIDQDVSEIKFINVVLGQKRVFLSLEFNKLSILQLQNCQIDDKDVSILSQNLSLNQLQ ELNLNQNNLSDSSLITVLSTFKQLINLGFDNSKITKNSIQYIIASNLKILSIRFCLMLQA EDILSLLTNLDNQIEHLNLSYNKINSALKQYLQNEKLNFTIIFDQSDSENVQTEQHIQSF NS >CAK72179 pep:novel supercontig:GCA_000165425.1:CT868119:81319:82120:1 gene:GSPATT00008620001 transcript:CAK72179 MSYQFGGSQLQTQSVPNAKYKTMLCRHYLATKQCAIGAKCQFAHGTSEQRQMNDPLPASA LSAMTSGIEQPISKPQSSNLNSVPCKYHAQNYCKNGSNCQYMHDPDTALQANTINLQQIS VIASPPPQPKQEDPIQIVFQHILIEMQQIFLQDVSYIYKVQEIIQKLKIAFDQTKIGNLI NASECIKSIIHAPERTHEEILKYTNLYNQAIMYFNQISQVN >CAK72180 pep:novel supercontig:GCA_000165425.1:CT868119:82125:84005:-1 gene:GSPATT00008621001 transcript:CAK72180 MYRIGDQMSKTSQSRENSNSNLEECMQNIQSNLKMMYGKDEEEVHLGSYNVNAVSGFHSQ FLSNKDDQYDSQRMHYTSQQSNDFMLEDKNKQIRQLNKELADLRKGQQMTQFEQYEHYQK IIDGLKKENVLLQQRVLNNEAVQQLNSDRVIEQQEQLKEYELKLDQQHQQISSLNLQLKD AKDQLKLQATKSQISKQEQQCQSKQYTQIQQEYDELQRRHIKQQQENENMKVLIEQLQND LDKRLETQSDSQVVEIIEQVLQTISQFVREYDYELQKSEYQYKFSNELKDIIKGFITQRD LSIWQSIDRLSSLIISLFEQLTFDVKQIHSDNIKMKNMTLQIESLEQMTVQLEQTISQYE IISNQQQNYLKFNDDQNKQEKEELVNVLINRTLNDQQMNCYDKMIKNLEEVNQAQQNEIK DLKDKLSFQIEQNQLYQKQYQEEQHQQKIYESKFKKQHYDSLFLKEIMNKLVILIGNETT SKTIEQIQEIQQKVNDQYCDEIDIKSKLEIKEQDLKIQINENKLNMNEMIEKRREIEVLR NTLRNCQKQIEMNKEKVDMLVRDLDHNVMQEKSQQFSVSYLPKTFKKENENLAQKLQKTM RM >CAK72181 pep:novel supercontig:GCA_000165425.1:CT868119:84792:85121:-1 gene:GSPATT00008622001 transcript:CAK72181 MQQYKIKTMSDPKRGPATNTHKSFLKMSLLFSTQATARFIPIAMVGFIHPPEWGDNFREQ YIANVNNIVLYTGVLKTAVFLSNNNTFMNQKDVVANISPHKSEQIQLCS >CAK72182 pep:novel supercontig:GCA_000165425.1:CT868119:85239:86715:1 gene:GSPATT00008623001 transcript:CAK72182 MYKNLTSLIQRPLSFLFNRTIRSLVYSDQKTKRKSKKQSGLSALDELLFRYTKPDQQFLD AKYGFNAQFVVYETNSNYCDLSKSYSGENDERYQSNYKSIVLPLIMIYGCHLYMNDETKC FFGSSSLKKNQLEQRVVLLQYAANNPIEDRYKVSQLKNINGYVVSVFDGHGGWQLAELAM NILHEKIDQYVLRNQEKILNQDDLIQQSISQAYSDVEEEFYKVALQAYNMGFPSVARVGS CALTAIVVGNKVYSANLGDSKGIIVNVNNKTNEKSYKKINHTLNANSKKEQRRLKSVFSD DDIVVCKSGNKSCYVKGRLQPTRSLGDFRLKFQEFNNPKNVAEDKGYLKSITNFKGPYIS STPDQQVFEIQKGDRYLVLGSDGLWDELTKSEISKIVQKNQHNKDEIIKQIFEESLSHAA KSNKMSDEDIRKIPLGKRRKLHDDITVIVVDLQGQV >CAK72183 pep:novel supercontig:GCA_000165425.1:CT868119:86755:87435:-1 gene:GSPATT00008624001 transcript:CAK72183 MGQPNSKAAPVQPRDPMDIQLDLRMTWKRLESESKRSLKEQDKNVAKAKEALKKNNEEGA KLFLQTAMAKKQESENLQKMATKIQFVESQVKSAQANADMMQQLNVVTPIMQQYTNNMSI EQLYQNINQFDRALDDIMVQGKVMDSMMNKNSNDFQTNMAVDQMMQQLKKEEALKLQDLQ PSNMQFQQIQQQQQQPQYQNQQQYQQQKY >CAK72184 pep:novel supercontig:GCA_000165425.1:CT868119:87871:88350:-1 gene:GSPATT00008625001 transcript:CAK72184 MRTSSPLLSPSSGYKTANFQTPSNIKHSSQHSTVGTPNKSHQRNIINLKSASFHYSPQPF DTSRPKITSNYFNQQIITKNHSRYESPFKILLKNGYDYQEEIKQLKKENQDLHTIVKLQR EQLQQTQLDPQLMEENQMLKEIIQRLQKENDEYKRQLNK >CAK72185 pep:novel supercontig:GCA_000165425.1:CT868119:88405:89268:-1 gene:GSPATT00008626001 transcript:CAK72185 MSESNVKGRVQAIFEKLDNISTSVQDEKNSRFHNISQLIMAFEAQLQHNSEQKEEKFNYL ASRMRQLGEFLEQEQEDRLRQEAETQKLLTDLERHARKLIEQNSKDRVEQERKIVYQIGQ QVDNLQGEVVKEGLVTKYNNIQAQSESYQFVDAYINEDLPKLADELQNEIGERKEVEGKI QIQFLQQLQDLKEAFDREKKEREIKEEEIVESLREISGRITEALKKTRTEREKTEETLVQ LVEKVVEKIKREMLEMNL >CAK72186 pep:novel supercontig:GCA_000165425.1:CT868119:89501:90228:-1 gene:GSPATT00008627001 transcript:CAK72186 MNIDLSQQLQKYRQEINSKFKQKQILAQTIFKLASQSNKKDNVNLATLKQDLGRQRTKQR TSVTNFQGSPLKNMIRQNQSPNAQMRNEFNSSKFISPMRSMSQFKQSPKQSKFQIPTPRD ANQTQSAVKLYSSKYQKVLPSEQGNYYSQLQAKLDGLAQLIGSEEDYHQNAKDVENVIEE TINNFHNSNKQNKFDQIKSQQQVLEQDLKQLTFKISRFNVYKD >CAK72187 pep:novel supercontig:GCA_000165425.1:CT868119:90806:91537:1 gene:GSPATT00008628001 transcript:CAK72187 MKQKNQKQFKLYYFQESFHQENSTFAIVIGLGVEQMDINKQIGINLSQSFKNGDTMLMRS IDINDEELRKILQEYLTEEEQKQYVFERPEEYFDQFNIFKHLVKEQVYLAILPRGQFVLY QKNDKIDKINLDDSIASIREHLQQFKELDQTQKEFHLHRILYNMKEQIDGELPQLGLEKK QKEFKNAEEKLEYEVEMRQYTGKALFSIPTFDKTLVHYNWDNMQQIPQKLLFQQ >CAK72188 pep:novel supercontig:GCA_000165425.1:CT868119:91603:93717:1 gene:GSPATT00008629001 transcript:CAK72188 MGYLNDKGVTYDYFESLAFQKTLKEKGIVQFLSLLKIFGKFQLHEHQFEHLSDPNVKQVE TKMQLFWGDEFEGHVIKLYDDKKLALLNPNTGGLFQSLGEEQQKKLPFVILPEFGAWMIE LTPNKPYQCMCFNFDQVLINMRKRIQTLQSYLPQGNQYVLIPVYPMLGVGRFTTTVESDL TASMIEKIRSQTSYIEGTPTLQQDEKQFQNEKLIQPATPYKELPVEPICNYFSQSIYFDD RIINPHPRYSTLAQNIRLRRGSKVEIKIPLFIDQNTKIEQSEYEPNPGYITMDHMGFGMG NCALQTTYLSIDIDHARLLYDQLAVLAPLFAALTAGSPIYKGKLSNWDTKWDCLEMSVDC RSENERNPQHPNHIPKSRYSSISYFISNDKMNLEEYNDLNFPANEEIMKFAKQKASEMNI PLDDKLLRHLGILFLRDNMVMFKDKIHIDDNTAHFEAIQSSNWNSVRFKPPPSYNSKIGW RVEFRTMEIQLTAEENAAWSIMILMIVRLFFTNYIPVNFYMPLSLVDENMRRSKEKGAIL NQKFYFRTNFQDYGPATIEELSLQEIFFGKQDGSFIGIIGLIHQNRNVVNKQQCAQKEEH IYLKNKTLQDEVIEFVRNKVNGNTKTLASWMRDFVTSHQNYNQDSIVSHEINYDLIKTLT AIKDRQKEDPHFPLIFSM >CAK72189 pep:novel supercontig:GCA_000165425.1:CT868119:93760:94203:-1 gene:GSPATT00008630001 transcript:CAK72189 MNTFALKLQSKAYIIGSLISIVAAYDYLLDVRYINKVYDIKQEINKPVLAISKKVHKIEP KKLKDELIVMMQIYWQFRHPLSQEHIITRVELVEQDKLIHEDRNSLFEMTLPQGTFYGRI NEKSLMQNDFANIQSQSQIIGIPILLI >CAK72190 pep:novel supercontig:GCA_000165425.1:CT868119:94470:94853:-1 gene:GSPATT00008631001 transcript:CAK72190 MFKHYETGVDNLILKLQKQIRTTNFELKRMNRFILPQIINKSCESERYKKVLKTLEDPIL KQYSNQRYLDNSENSIRHISYEVKKSNCIKPPVELVKLDECQVFVWRISNKKPQNSSRNS RYSNVQK >CAK72191 pep:novel supercontig:GCA_000165425.1:CT868119:94908:95681:-1 gene:GSPATT00008632001 transcript:CAK72191 MYYQENYRKANHYLVQRQKQPDIQSNKLNQPSITTIRQILEVIRSKERSNSQIKYIKTTG QEKKEEKNHSPQVLLTSNQEPNYHSGSIVSKLLRLNKITRNKTQVTPNQYNFIDRIVEHN KKKTEIQSERNSPLRIRKNIPSMEFQLSTQLLVVDHQKQNSNTNLTERQFFIQKPRVLES IQHTQSKSIQNYLSHHQNMQTEYASPTLRSLNKTSYQCEQTKSTNKIRNEKIDKQIQLIR KVSGWTIQSQDSVQTPF >CAK72192 pep:novel supercontig:GCA_000165425.1:CT868119:95738:96130:-1 gene:GSPATT00008633001 transcript:CAK72192 MIPKRAKVSSLYEESKTISLDDMETPQFNNTRRRVLTQIEDDQPKLVLPKIKLGNKHFNP SIINNNNERKFKLTGAKDAFFKPRQINRLPQIIQKQGLKKNENNLSQPEIKELYSYQHYK ASAYTLSIHE >CAK72193 pep:novel supercontig:GCA_000165425.1:CT868119:96751:98661:-1 gene:GSPATT00008634001 transcript:CAK72193 MIIAILLLTTVFAFPKYKQNLFVTKPESLKKLAYQLSIASDLQADVDQDQVCTWLKEYTL TTAQDAYYTKLASSFLEECQVDYNIDLPQIDQDDFESIYYNSLLNGQCPQLEYFITAGGA AKPQLKKQDDSYRTAAQVYHLATICNTIHNDTPKVHQAIQEAIFNFQEMYDGVIGILDRD NSAAVTASILYSFFTFNITNLHPKLQDLLQSQIYQQTIINFLFQRTQVLGSIDEQYWITK LFSLSQVNSIVHPIFQDYYLVNKGKAQINVKFVNFKGEKVQPTEVSAFIESQKENYTYTP IKSKEFSGYFEVSTVGSLPFELHFPNYVFKHTIKVLQEIEIEEVMFDVVDSKTSKPNFHH SVESGEVYPATLNANEKSFLHVIIKTKNHVKSQVAIRLVHPKYPSATTQVFAEYDTKRKI FYGIIDFGDPDHVTPLNAIYQVELLLADSNVLPKRWSFLKIDTKFQAQTTFQSDGHYKLP QEIVHQFSQEEPEIPFFFVSFFVAIIVIAFIFFLRIISNLNLSFEKLPKNNSNIVYLFIA LIIGLFVVLTLFWIKLNLIETVSVLGVLSVPLVVVGNYALLALRKSEKLD >CAK72194 pep:novel supercontig:GCA_000165425.1:CT868119:98871:100363:-1 gene:GSPATT00008635001 transcript:CAK72194 MDVQLQKILDSNYKGKTLYNHFCEIYYQMQENKYYKAQSTPSTIILGLSDFEDLSNFIKE NRFYHIPLKTAEQVNNLQDQIFPWQEYCKKQMQLMQIKAKVINATVQNFLKLNALLNNVG YGFTDDDAYLIQQSLKSIAIRDANIVNIRFWGKLFAQERDYYVIEVLLSKSYKEPQPPDC DEKLNEYVHYVTQDLLEDWALLPQVTRKQMEASRYITYVFSGNLNKEISQYPRFDGKEKH LLKAQILRITHANLLAPKGLYRYDEDTKQITFEDEFKMPESAELSTMDGWVHLPPNILKQ GRITFYEDPSLKEEELNQMKEADPELERLKSIKDDKPFEQNEGNWNIKIFGETQQYTNQE ELPLSYQIVLLRNYLWPGAITVSNSSDFISIYFGYGNKNQQNSFNPLAPNDVQEEPEDID EIPEPNPREQPDELEPDSDDERRREQERREAELQQQQE >CAK72195 pep:novel supercontig:GCA_000165425.1:CT868119:100405:101382:1 gene:GSPATT00008636001 transcript:CAK72195 MQYLDNYLQSKGGYDYTNVKRNELMKAQGFKEMPFTKTGTTIVGVIFDGGVVMAADTRAT AGSIVADKNCEKLHPLAPNIWAAGAGTAADLHHQCAHFNAKLKLQRLNLNRQSRVNEVIT KLTAKLFPYRGHIGVALIIGGIDSNGPQLASVSPHGNYVYHPFQSMGSGSLAALGILEAK FQDGLTKQQAIDLAIEAIEAGIFHDMGSGSNVDVVAITKEGVDYRRNIRQYNAKSHQKQI PYHFPANNTPALKKYEFNFEKQELSEVGQEMEIVE >CAK72196 pep:novel supercontig:GCA_000165425.1:CT868119:101411:102227:1 gene:GSPATT00008637001 transcript:CAK72196 MDKQDYYQVLGVEKNATTEEIKSAYRKLALKWHPDKNPDNQETAKKQFQLILQAYTVLCD SQKRANYDKFGTADGEEQMNFDFDHFYAQDFESMMNFMMGDAFMKMFTNIGKPGRGKHKF KIPSSFIFKQAQPQKKPQKKEEEDDWETEEEVDEDDDWKDVDEDDDDKNDNDNDEFQSDS DDDLTQTENLFMMPMFIEENIKDTDSNKFKCKFDGQILKEQTLVQHFEKNHKKEFAAWSK KKHFK >CAK72197 pep:novel supercontig:GCA_000165425.1:CT868119:102256:103604:1 gene:GSPATT00008638001 transcript:CAK72197 MKNRFLQLKQKLNNWKWIECQVTLHSQTTQQESYRLLETNYKIMQDCTVVEESLQFNIQM QKSFNFSKRIGWNWHKSWKLPEIIVKPILNSYKLYTKGLRCRLICGYVEDVSTIKQVDLE GNTEKLYNDEIQGFQFENIKFSSTSYKLEGVHFHVIIEIYRRDDIFESELTIKSVISPPI FVDSRKAARNTKRFDYQKIMSLIQPFHPFSLKKQLIVRSDKSKILKIEDTLEGLNNYLMA QNIRNKCRHPYFLTLKFRSVFDLYYNSNLYSTQLSLEQLLVKIQQCLVDSLNSNQNQQVL LLRMKYTNYSELDMGFFSNNLSQLQNNVIQVVAGSQIDKHWVKINSNELNTIYTEKYSIF PRVSNSDQVQMKQYFDISSDESDSEVCCQNDEQIELGKQQNLQEFYETKIEIQKKKVCL >CAK72198 pep:novel supercontig:GCA_000165425.1:CT868119:104095:106450:1 gene:GSPATT00008639001 transcript:CAK72198 MGGCVGKPQKRSKKPYVLPKTLEQQFNTIEIDLLYLIYQDLASRNVENLVNKTAFTDFFT MIGLWGELVFDYFNQENHSLLNFEQFLKGVVHYIKCDEDQKIQHLFKLYDLDKQGLIRKS EFLQMIQNYPRDDLIKLLDDPMFLEDLKILKYYETKEMINQKKNQPKRADEQEFTESVHA IQRKNSMSGEMQSIQGAQSGESAIGSQSNLPAFNEQSVVMMFPNESMIGPTGVPMGQFGQ NITFNINGKLVELKRVNINYLVHKYVNMIYKHKAKNDQGLSLEDFKSFVKLHPKIFEGLY KAFNFDVWGFDTIASVPRMMMTPKDLEGEVKKITKKNPKVSNPRYFKLMQRFCLSFKTKD STLPSRIFCLDGLTIQEKVNNQEQQYGFEVSHKDKLYQTRTYMCGLTVYKCFKTSVNDYY SILQKIGEGKFSIVYLCECKKDRQTLAIKIIEKFKLSKSEKLMLAHEVEIMKLLNHSCIV RFHEIIETKTHLNIITEVVRDGDLFDYIIKNENINEQEASLIMSQLFDTLNYIHSVGIVH RDLKPENIMIVLDATKKNVKQVKIIDFGFANFLTNIQTKEGEALCGTTNYLAPESLEQKK IDFKVDNFALGVILYFLLSGYLPFDSEFPEDIIKNIIECKYDLQEEFWQQISDDARDLIK KLLMKEPDERISMQSALEHPWIKNRNQLPTKKAQRVKNRLGLF >CAK72199 pep:novel supercontig:GCA_000165425.1:CT868119:106814:107341:-1 gene:GSPATT00008640001 transcript:CAK72199 MQINIRNYHYDGNIMAYKFPQSKIKISGYPSNKVKTQPEKQQNENQPFSSLCEINNVEKI QQISLSLERRNQNFVCKLPIIYSCEIPENYYIWNKKKNIAIRSLLPYKLKYEEKTAFLKD LSKDFQSKRYSERYKNSCQKKTITQLKLQQQSKTLSKDLEFEPKGWTNKSSHSLL >CAK72200 pep:novel supercontig:GCA_000165425.1:CT868119:107698:108229:-1 gene:GSPATT00008641001 transcript:CAK72200 MNKSKQNLNSLFDLSLIVEKIKFLEEQQSTIFNLKQNHNYDWLKRSHTQSIPKVVNDLEI RKKFKEIQRDSLQSWKPIIFPTNYNKSQIRSKQNNITPSPQEISINKKIHSTPIKVQNEV NKRVKSTDFRVQLKKTEAQDIEAQFLETRNIIKQFNRIKRKSVRFNILT >CAK72201 pep:novel supercontig:GCA_000165425.1:CT868119:108336:109328:1 gene:GSPATT00008642001 transcript:CAK72201 MKSTGEKYQKQLNQRSPEKILSKRNNNGQLQYLIKWKNHEEPTWEFEEYIRNQIQNLLTS ELEQKTHQNEKQVEPLKNLQRTVQQSFKHPNCSEELLFNKQREMTQKYCNAYPQSGDEID SVQLILTQEDCIFEIKWKSRSDGLQPISDFYQYDQFKVVAPMLFMDFLEICILGFEKNSD IQFIAPGKDNIERSQLIKRILLQNSKYVDTNKNQLEEVKVDEQTTQVKKKNVTSKKNTKI TFMQSDQKQKQLIQPQSSTELQQQNQEQQQNENQSQQNNNQRDEKLLEDKQPEQQQVEQK EQNVVDQNQNQNYEEQIEQESISLEQQQEE >CAK72202 pep:novel supercontig:GCA_000165425.1:CT868119:109367:110388:-1 gene:GSPATT00008643001 transcript:CAK72202 MNTHQIQYLYKPPTSPQQLTSRMTLNDQISPIRDIVMPKTNRASQNITRNSARGIPQLIT TKTQPSVARESCQIQRESVHIKQPSQMPIDNISKAIYQLSQIKLKYDKTLESSIDVIITM LKTSTFDKENCNPNHELRQQIISLQSSNEKLQNELLHKKCEKTTIQNLEQMIANLESQNK SITSQRDILKSENEKLQLKLLSKEQHFVSLQQEVQQQRNSFQPYELLYNQQRQKIQELEE LIQSFKQINYSDNVVKQQDYSDLKWKFEQSIIAYQRKDKDLQSKVEEIKRLKTQIEQLLK RNQTLEIKLLEIMETEVKQRIIL >CAK72203 pep:novel supercontig:GCA_000165425.1:CT868119:110453:110951:-1 gene:GSPATT00008644001 transcript:CAK72203 MHSGSFIANTPTYELDDFRKEVRGKINSKFDQPKHILYQPKTSNLEQLQQILRSSISPKS PKNNPLHKSQNSSPQAHKSPGRLSFQRSSLDLSKPTQKQQITTMKYIISKKTIARYIATE QDHRIAESNQKSQSSYQYYFLELKKLAKVVLRETQG >CAK72204 pep:novel supercontig:GCA_000165425.1:CT868119:110962:112309:1 gene:GSPATT00008645001 transcript:CAK72204 MKKLPSSYLEPKIFDNKYIIKQNLSSGSFGVVYLAIHKVTREEVAVKLEKGEQETLDREV YLLTKLQGIQGITKLYWFGSEQNYNVMVIEILGKDLGYYLKQYKQLSLKTGLQLLEQLIT IFSFVHNKGIVHRDLKPENIMMGKTNTTQAYLVDFGVSKQIFDQGKHIPFRDNKSFIGTT RYASIAAHKGYEIGRKDDLESLMYVIIYLILGKLPWQNLQNIGDKDRTIVVGEVKMQTSI QSLCKDLPSPFSEYLNYLKGLDYQDQPDYELLKKIMRQCSDANTYDNQFEWTDKVPEKLG EVKQQSSFLAVPNGLNDQNRQNTKKQSYMGSQSSNIVKYIPSNQDALQIKNQPQKIITSQ PHIEVLKQLDTVDFDDIEENMQNYPTLEQKLLRLQRFDAKFKEDCVSKSAIHVFDH >CAK72205 pep:novel supercontig:GCA_000165425.1:CT868119:113002:114401:1 gene:GSPATT00008646001 transcript:CAK72205 MFQQSEATLGYNRNQTENQPDSQRDSSVQQNPLQQVLTDEQKSIGILNPYVFTSYNMPKI NCYNHFGQTITNFCKTQECRLPLCPECVKEHVNEHSEFKTYPKLECLENILTQVHSDICQ QANQITHAQYGIEKSVAQAYAQTTFTVEKLKEAKKRILNVIEQYFDTLENELENKQKKNY ENFKRDADALLKALKARNGAYLNFLDKLQQPECMYSLLPYLASPSQEDNQQYLQIANKFT SRFKEVQSEITFDSFKSAQLSSRLSEIVGVIHQDLTEYLDIHKLAPPEIVKSKALTLKSQ NNQIQKKASSPQQRQRNLSKTYQLQENKDKEIIKVNPNQNQIEQTVRYQQINPQSIQIQQ YQSPSNFNSLNQNYPQQFTQQQPQYYSNQPQYSAQLPYNQQRYQQQVPYQQYLQYDQNAQ YQNTINPIQKYY >CAK72206 pep:novel supercontig:GCA_000165425.1:CT868119:114791:116912:1 gene:GSPATT00008647001 transcript:CAK72206 MSQDLKKYQVYEEDQIQMSINEQSNQIEQTKKKLFTKFENDNQNDLNCTVQKFQATQQQK SLNIPQKQRNSSYQGINDDFYDKLLNNKQTVQFDNHVEKLQKSQQFRRSNQYKSLSNRYT SSEGGYKYDQMRQANLLEDNQIDSINKYEYYDSKYEEFGNAQTNVLQYYQRQKLNEVYRK RQDQLSCSFYSKNSKKLLIKTNQTIYQGQIKEEQDHNDSSDTNQSRISKNISESDQKDQQ FKYEDIQSIRISKMSDLSDQIKNDLYLEDGQGTENSLGSDFHYFDSNKSSLNQTPQRKSL RDIKFNKSQVPSLKIQQQENETYFSQASSPQQKNQDNNQLKKQLLTISIEGSGSGFQSNL ISPIVGYHDNGLHKNTMSFGRQQGCNSYQKSLKKNIPYCISPFDCNTPINEESKIFNDLI KWDFAKNKQIEKEQARIRDLKTQSVKKQQNYEYEKKIKELEQELKLKEEQIEQLEKIQYY NQRISLIPNEDDWNSDFHNIREFIDDYKSNLYQLISNKNSNISFINLMKITSINSVAIIQ LRQINKHLKNNPIRKIISIQKHQILSPIFENIILLQQKSLAFGFEFGHAVPELFQKLFSL SKREKFRNRLLDMNECVKYEIQELNNFLKNQNKNQSINELKETLESEIIIQKYIQQTIYN ISNLFKERILQIQEGHKQMQEQLKKNTLCN >CAK72207 pep:novel supercontig:GCA_000165425.1:CT868119:117192:117646:-1 gene:GSPATT00008648001 transcript:CAK72207 MEEKKETQDLAQLKKEMLGTAIIKDTDMSPDMLSEVQDSIVSGIENNSSPVLSIENACKT IKEALEKKYGPTWQVIIGEGYAYDVTVQNNTRLFMFYNGNLACLVFKS >CAK72208 pep:novel supercontig:GCA_000165425.1:CT868119:118662:119077:1 gene:GSPATT00008649001 transcript:CAK72208 MICCSSKKSKQQTSEITKKRSKILSDFIEEINNEAMTLYQSPNCQNLSIMFQYSGEPQTM KSSSNTKICRSVERLASPIPNITPRKTSFNIFKCAKTNQNTKFISTKSGFTLLVTKARL >CAK72209 pep:novel supercontig:GCA_000165425.1:CT868119:120283:120834:1 gene:GSPATT00008650001 transcript:CAK72209 MNDQLKRMEKGKNNRCRLLHKVYTQKKKAPLNNMSFQIRVQNMIKQIRVDQFERSRCLSE YENRFPYIQRLNPITRPQNIEPLNLKLSTIRTTSRQSNLIIDGTIKKEQQKNNSQASLDL NLRLTSSPQRFYNKNKISIQALMLKDIITLKEQKIKIDQRTQAESNFFQNISSWSRKSSD SII >CAK72210 pep:novel supercontig:GCA_000165425.1:CT868119:121189:121539:-1 gene:GSPATT00008651001 transcript:CAK72210 MGKLHGTLAKAGKVRKQTPKIEKQVRRHKIPKGRAYKRICFNRRFGSAAAAGAGPQQKRK GPNWHAGRKDLIEEERKKQVEQRRQRKKDVPK >CAK72211 pep:novel supercontig:GCA_000165425.1:CT868119:122544:122913:1 gene:GSPATT00008652001 transcript:CAK72211 MDSQDKGEFCQHKQVFSLNSYLGHMFVIEHKKRFCPIFQENVRQLEINSECVTLYQDINN QIKHKGRRILYVRLSQKAKQGILMMQSNKGQRNRKDDNVCYIVQGKNEGQAIMID >CAK72212 pep:novel supercontig:GCA_000165425.1:CT868119:122969:123765:1 gene:GSPATT00008653001 transcript:CAK72212 MEEKQLTFSQRHAQKFNGALIEMISMALFAYGIVCSEGKDGKIALAFFGSLALCAPISGG HINPAVTLAMWLTRQISTGTCLLYWIAQLIGAFGGGFFCYMVLGQINSPMVTDLNFHWII ADLCGEALGSFSFILMILIQCKFSKQLKGNPKTTFSDKPIASIILITLSLHLSRCYTSHS GGCLNPGMAVALELFQVLKTNEVERLDSMWVFIAGPFAGAIVAVIFFKLIYQPAFNRYYK NA >CAK72213 pep:novel supercontig:GCA_000165425.1:CT868119:123801:128014:-1 gene:GSPATT00008654001 transcript:CAK72213 MFVKELLQIQEYVEQKKSSGKHVSLKPLIDICVHKYQKEEVQEALNQALTNELKSEWISQ PNIDILILCYKRLKCEVSMELIERTFEISIDNLQTTKRILSICTEEQLRNLCSNYISTQG YIEKIVKPIYESLLNVNDQTMIIVDKVKKLYKNLFSLLKVQKQWVLDRAMEFVLFSEDIY TEKSQKFVAQQFQEIRSIIYAFIINDDEIRLNFVKYLPQIFQQHNPKNVDFKLHCSELIK IALFSKSVKQLMVYEQFWQALHDSYQVYSDLTNNKICVAVLNEVLINVDDEKIQQHFKLF TDLFITLDSFGTHLTKDVWVKMDPFTQQQQFKIDNELKRQLFQNIKPLFILINKAAQHSN EKTIKNCIKSLFKKQIDVNYLKPLIIEIIIPVLNKPVFYLDTPLEGDSKFFELLKQFLAK IPYIDDVIIQMVRNIQYPLAVGAFSVSLKDVKVEITQFALLVQFIEQTLMRLNLRKRIQL LQAVLHLFKQNTNPEQLFQLIKVIPFDCLFLNNIAELLKSLITEEFLVYAQEQQQAFDLN SNYHQYAVIYHLTQDHKLFLTFYQPIISQLQQIYTSTYTQKETKHRILEQLNYILKTSNQ VQFLEQISSGLFAYLTLDLEGAMIVTEELWIASLQHFESVPLLTQQMSKFVGFLFQQQFD NFNIIHLELLIKTLKATNIALQQAKRNKTGLFVEELFLKYGLQLLSKLQCIEQDRQLKNL TLHYLSHILTLFREVNHKPQEYHTQVQNLISNIIDITDQFNAYDSITLYQLLRSVYGPEI AFNKESSEQFKQVAINSFQQMMHVFGTHTNLDEILAYVDFIIDPCFFEGELYEAVEQVIR VIMKQHQKQWVIQRKIANNLIICLHKHPNRLPTFYYILFKLIKGSELRGYDSSEILASSE QYVPLANNVKHLISCKGEFFGAYPRFLIFNFLFELGKQGGYEKELLYYIKFLIEVSIKDR YKNAYMQYTKQFRMKLHVWQNICCLKFLLKPENYQKVFGDDKKYLVELEQLIWKAFDTLN NPQYRQYIELMMSFLIDNYPDIWAPRIIQTLSDPKLKVNIQIPTTFISCIFLLHNQQNKF SQKFFDKLFPLVSSNVAYIRSLCQTTCLQYIKKQKLEYKDFIEYFESNRECQKIIKNIGA LIERYEKLMVTFDLDGILTIQFDTFGEIIHPSIIEILKNTCTQTIAELKSEDNMSENPPP PWFQYVERQQLSTQYSQQLNFQRKINLIMDQFDLFKAYQPRQIVGDIIVVATLLEKIPNF GHLTRTCEIFGCRELVLPNKKILQDEQYQAVSVSAEQHLPILEIKEDHLMNYLQLKRLQG YQLVALEQTSQSKSIVNFKFDKKTVLVLGREKTGLPIEYIELMDNCVEIPQYGVIRSLNV HISAVICVWQYVQQWC >CAK72214 pep:novel supercontig:GCA_000165425.1:CT868119:128054:128942:-1 gene:GSPATT00008655001 transcript:CAK72214 MNNEYEFLSEILKQWHKITQKQIKQRQSVMSIMYTKDQNLLKNAFQGWIKRWYGQKNYKY KRDLFYKKLLIFNYKFLIRKYFNGFIQNSKRLVTLHYKKEMANNFRSQQIQKLKRKILRA FIANITTSQNIKQIQQRSLRLYFKLWNSETRKLQLKNKLTKDNGNLNNVEQWVQQKRSNR IQPKIYQENPDQQPSLPQQQTKSQTNEQKVQKQVTPKKEKINEDQKAYAPDQINQIQSIN DLNQYLQLKMMEYEYYTGLPNKNVNVIKQIKLEIKLTLEKIQEFRGQQ >CAK72215 pep:novel supercontig:GCA_000165425.1:CT868119:128992:130925:-1 gene:GSPATT00008656001 transcript:CAK72215 MCGILAILNVKGTEVQVRAKAYELSRRIRHRGPDRSKIIEISPGLYHVISHERLGLVDLS DKGRQPFRMVDDENIIFMQNGELYDYWDVRPELEKKYRFSSNSDTEIVGMLYKEYGAGNF WNHLNGMFATVLIDMSKKSFQAGRDHIGIVPLYYGFNEDGSLFLSSELKGIHDQVIEVKQ FPPGYIYIYNIQTYEIKRWYNPIWNNFDHIPTGEIDFQVLRDKFVEVVRREIQGDAPFGL FISGGVDSSIVAGIVAKLIKRGEIDLSKRGMRKVHSFCIGLEGSPDLHYAKKVAEFHGFE HHSFTYTVDEGLDYIPEVIYHTETFNNTTIRASTPMYMMCRRIKALGIKICLTGEGSDEL FGGYLYFHKAPNRVEFHQELIRKLHDLHKYDLLRANKACLAWGIETRPPFMNKQWIEYVM QIDPKYKMINAFEPQMEKYILRKAFEDLEHPFVPQEILWRQKEQFSDGVGYSWRDGIMKR ANYLISDSEFSQASIKYPISTPRNKEQYWFRQIYSSYFPSESAVLTVPFARSIACSTEKA LEWDEAFKKNTDESGRAVLAVHNDAIKEITQLDDDRSTEDISKVQEHFQL >CAK72216 pep:novel supercontig:GCA_000165425.1:CT868119:131665:132361:-1 gene:GSPATT00008657001 transcript:CAK72216 MKTSCNKENIVPKQYKIPSSQNLLQTNQNNNGKGTQRQNTTPSKLKSYNQFEVKISKRSI SFIEENLQKQNKEPTLVCQLKNDNDQNQSDSEQSQDSINIWQDECRYEDLFDLFQFRFNN SYKTPDQLQNNALHLIKSYKKLFGYNIFRTNIGKNPFYHMPRRMSSLKYLNEQKQNTRYS KLSAKFKLQESKLPFGKNSFLNNQITIYNIKEKK >CAK72217 pep:novel supercontig:GCA_000165425.1:CT868119:132885:134142:-1 gene:GSPATT00008658001 transcript:CAK72217 MNKFIIVGLLALVCTQAFEIDFSLLLQTGSESNDAVQAVYDLLNDLKTSNIEAQGVADEK NISDEEIGQARIAALSKVNELNQKAWASAKARREQIGVEYREATDYIAWATQRLADIDRR SVELQELRCFSNGLFVRAIKQHNDALGVIRVLKNDLSGYLTGQPSSLVEVSVQNVSDKLK QYSQLFNQDAMTKFAQLAAEQASGNAELHALGQEGGSSSSDRQPGLNVGQLVYNALSDLE DQLKSSLANLEANEIAAYYQLADWLADTESEVAHLNDEIQRKTQLQDKLVVQEQAALAVQ AKANSVLKDSQNAINAATASLYELRDLYETELNRRDEENAIIDEVIHIFKQQVLEMANQT SFGKK >CAK72218 pep:novel supercontig:GCA_000165425.1:CT868119:134199:138218:-1 gene:GSPATT00008659001 transcript:CAK72218 MQIDELPVGQSKKNFMLEEFPPDFDPQQSEKVDLPLKQRIKSKVWKVRLQAYEELVNDEE VDCECVLSIIQDIHIQCQEKALQIAQKYFENHNSIESSQQKEIIKVLLEKVLTQQKLKPL GYSVANQLFPTCKSAIFEIIIQQLMHKNPKVVQATIALLTELLQQFGLKKLENLKPFFPV MSKLTEAQQSTVKADAVAFYKEVTKWYGKNIEAFFGGLNEKLQQDLKKLAETITEVQKAP NQEGEADTNNQELYDLVEAVEVFSKYTDSWCEKVFQLEKWQEKKEQLDTLQKSCSVPKIL PSPNIYSVVQLLKKLLNEQQMIISTQCIKIIGCMANGLRKNFNQYAKIIMLPVLTKLKDK KQNIVDETISAIKKLFYCCNLDELFEELKTLLEDKAPGPKVNVFIIVDYYLDETSKDKLT KLQSIKQLLPICKKLTEDGNADVRAKSIMLLAKISAKLYNGVMVSDLKGDKFTKYQNQVN IYMEGIKALSGKDGVEQQQQQQQFIPNKENLQFQQSTPIANSQKQQSLQPNINKPLHVNQ LNTFETQDQSYSTAMTPNQVDAFLKQYKSAKNKDQSELGSILLQITQQSKYILSTTMEFI IDKMAEQKPLCYQLFDKCAQTYKPNHLTQLITTFKNASTQSQLIEVLNILLKYIPQSEKN IDQQIISEFLKNHQHLSNLKTRSLVQECQIALKQLFEVKKIQSEEKPMAPFPLNTFSDQH FERIKEQLKVPQIPQVLYEKMFSYNIQQNQLAAAYIKTKMPQPGEFSEIFFKWAYLISWM KENIPLQQEISQLFQVLITQHIPTFLEQQIIFSYIKMMILVYFRNGVPKLAQRTLPFLMN ILSEYKKYCFQQPLLVSFEEFDEQSNQQANQLIQIMFENSKDWNMSSTIQQKQLIAQLIS LIWKEESVIINKQIVQVTPQQFQHSTILQKPLNNDNNQYEEQNGSRFNPLFVAEQLKPEQ TNSEKKNFPAKTIPFIGIPGIPQINSQINSGNKNLIQQQQNVPTQMEIEFDQNARIFQQL YYKYRETNNFENPQILADQIIFLLNNFIKEQSCDNLNQLLEKLVHILSSGQFLKLISYDK FYVIFDILIFKMVEESTKIGNKDGAQKSCYSFINQNLIKILNNQELSSLYLAFLDILIKV KEQDKQSKQFYTLITKCLSKSVGQVKSQFQWQQLQAILEKINKYLLLCSRPESNLEFQES QDFLLKALKSTVIYLLHFNDGVRVSQCIMQLTNENSLLRHWLLELQENNLAKQRRSRDTH INQIVELLKIDFDKTVEQFVSIVRRQNIHWKPLVEFLSQQQIKFIELRLEQTNHMNLLEK KVGELEKMLNQ >CAK72219 pep:novel supercontig:GCA_000165425.1:CT868119:138295:139190:1 gene:GSPATT00008660001 transcript:CAK72219 MEMETLKNTFLYQYWHSNNRILFEGRLMIGPKTDILANLFVWGLVLVFPIPFYLSTWDKT WDISPAIPFLTIFLQLTTIIFLLLTSTTEPGIIPKKQLQLVAGTNFFLDQFPDSRFCETC EIYKPLRASHCSDCNNCVLVFDHHCPFVNNCIGKRNYRYFFSFLISIFAFGLAVTISALL CLSTVSDYEDQKTLVILFLVPVIIASLVVLIFFLFHVFLRITGKTTREKLKQISKTGDED FDWLNLNESLFSLRLLI >CAK72220 pep:novel supercontig:GCA_000165425.1:CT868119:139226:139559:1 gene:GSPATT00008661001 transcript:CAK72220 MNDSMGSLSTNDSQEGQERKKKKSNVILKKTVRPINISYNEVVQLLNPQNLFINKNLEEQ FNVIQIVHETSYFPYFKFIFDENLVFSERKWDRLPTMIRLTS >CAK72221 pep:novel supercontig:GCA_000165425.1:CT868119:140055:140565:1 gene:GSPATT00008662001 transcript:CAK72221 MDYEYGFGQFYNQDFELAIYKKTIDQDYRKISHKFGNTSRLSPNKLEIYNQHHLYNKLFK NEKQRKSIQVKAQTINPMTAKHFLDNKGRFINQTQNKVYDVIKGKYYIMQLGQIPLTYRS KTPTYQSQSGQYTQTSFYQGSKTHRKRSTTPSSQRLVRFN >CAK72222 pep:novel supercontig:GCA_000165425.1:CT868119:140690:141693:-1 gene:GSPATT00008663001 transcript:CAK72222 MEDQQARKIQKAWRAFTNRKIFQYYKDIILFKCKGNPARLLKAINPNEAQLLDAACKSHI RFRLGGEQFPPIIYYKIYSHGGIVDLNAFAPRDYSSLQRDPIPHEKVAYSEYKKNQQKYD NQGWYLRLDNNGWRPISNKQMIKADFVELYTANKIRYYHHKKDKREEKVNKKTRLNKLKW AQSIQKQKEGTQQLKQEQQPQDFFTQTKQYLEMDDDEFDKEVQNLIDWSENLDYNKYMAE WFQLSTSNASENYVVQQIHQTKIDSVFKGT >CAK72223 pep:novel supercontig:GCA_000165425.1:CT868119:141733:144060:1 gene:GSPATT00008664001 transcript:CAK72223 MSSKITIYGIINHEDSDLFESAGSLTLRTPRNVPNFNETTSLSIPGYVKQICAGQSHILA LTQDGNVYSYGSNQFGQRGSTGPGFQLVMKNVLQIAAGLYHSVAATRDNKVYVWGRGSEG QLGLGDTENVEKPTELNIQGQEVCCGSFHTLVRNGETCYVMGENQNGQLGVLGQHTSPVK LSIPVEQMAAGLDHSLFLYKGQVFVTGNNLFGQCGVSEQVVREPIKLSLNFEVQQVIASM GTFSCVISKQGKVYFWGTGSWGYARKCTPLEELCKLDEGQRVSQVISGENFAMLKCLDGW YVFGMNKMPENYSQQQTHRISINKVNLVDGQISAGLNIVFVYSHTQEVQKQTQQIWSVDQ GSYVSQSSEQEYKQRSSYKQNNEIRQSYQMAQSVAYQPSSAMKSSFVQPSALRQSQQVTN VSQFSNQVSSSLQFQNEYQSNKIESKQGKDYKLSDLLYRSDNKQEFRQDLKLDLRQSEQK VRTESRTPDNRNDQKYNDRKSDHKAPPKEDELKHELKQELLKLEKRQEQFKQEIISQQQQ LDRKNENLKEITFGLKSDVKPLQERNIAQQQPQKCYDCQHFVQKNDYYQKHIKFQEEEIT NLKKELEILKNQIKQKDNYVNELENRILKYQETSFKQVGLQQQQQQQQQQQQQQQQQQPI IYKPTMEVRLKSEEKQPVTRDEQQINYQKIIDYSPKIYRQPIIDQSPKERNFNTSIIDKI QTYQQSAKAARPVHQNLMNRYSAHEVQPQQIYQPNTYVIPQYFKARN >CAK72224 pep:novel supercontig:GCA_000165425.1:CT868119:144229:146026:-1 gene:GSPATT00008665001 transcript:CAK72224 MFNKYSLTFQSVNLELEYRESQIPVVKKQYTAFTLQTCLYMIFQSIYYLKSQPYFLVILL SCSFVIIFLIFSKFLIDKYPSVINIVLPILQLILFLGQSVDYAFRETNSYNPFNSSYDWY YGFCACYFHIAIFIQGYLILSQTFLVIGLLLVYILWSYNSSEVYIGLLLNFICIIVGMIA IKYTIENGKRLQFLHNRERKKWFAIIDTVLQQSIIVIKLDKQQDQLRIHQMNNITKEILQ VNNDNDLRTVLRNLTYSRGSKLSGEDNKCSLEKHIRMMLQGNSSAGSAFEISKKGIQDKL INSLICQSNILEYQFKVQLVTYWTDEQQMVIMAAECLNNNQQNHDKLELNLKNRLITSLA KRCISVYFKEKNDRFSQLRCMNYFVCLNILQTPNLLNGIHIKRYSSDQVIKLLKSVFQYQ LEIINKLKTQYLSIDLNSVLIIFISLLQFRDKKKEGFIKISSKVNENNIESIHFTLMQST KQIIPQIRVYFSKPLFFVNNEDISLNYSHLQQNLIHLDKKLNCQDPLTATTLIIIKYLLY HFGCNTQIKTKQKNNLTHFSFSLLNQ >CAK72225 pep:novel supercontig:GCA_000165425.1:CT868119:146594:148708:-1 gene:GSPATT00008666001 transcript:CAK72225 MLESIKLLYLCTAIPLGYVLEANVPYLSAENKESGVVIDKVNDRCMNLNFFTVCEQLNTE QSCKLGSCLWDPIEERCYEWHQAPCQSYPKALCQWNYQCELVNQTQVLYLNQNYTLMNEV DSNQQDGRNVGSWLYEFGLIVGVIKSEKDKEEYNFTKCTLKARCEFIQIINYNNAHFECG ISDLNCYYDEQEGKCKKISAKTACELIRQVEKCNSGTAPCIWIDQKCQMFNDAVVSCTAL DQNRCLNNENCYLEDTKCMSYLSCSDYKAKIKCDESTFFCYFDEYEQSCKQLTKHIQCKQ IGKKRCSQFKNCKFNEDTQICEEILQDFYCQKFAHSNCKDNIDCDWDDNLQICNWKYSTQ NCDGKTADSCDTLDCYFDEVMNQCSTIKTNTNCEFLGQNACSNQKIIKDNMCQWSETRSL CLAIFDFSCEDLNKDFCKLNQKCFIVQNKCQTRKQCKDNASKSECEQDATQSCYFDSDQQ ICRRVTSQTACKDILREDSCKKAICSWQDGYCVSIENVTCLKLETKNCMYSSKCQLQNDK CIPLDICETNNGDSQTCNSDPNHCFYNSNSKICKSIDGTSDCSLLYGADNCFYGPCTLLK LANKKLQCIQFEYAGCTLLTKEYCHFGQCAWNETQCVSYPVVIEDEPQDNPDAKPDETEH PQAVNSTYLHILLNLLVIILE >CAK72226 pep:novel supercontig:GCA_000165425.1:CT868119:148844:149773:-1 gene:GSPATT00008667001 transcript:CAK72226 MDFKDQSVGICYCCIFFTFKHYFYLMMITNGIQGLTLMIMGGSGILNLIELFHFSLPITI TLLSLGSILILGLIAEIIFFFRHSVIKIGRFMVVLNILTSLFDLVLGVIILLMCFFGVVL IELNDTQLYFSQKRRDILKAISIGIIFISFFGFWMTYLQYQVLLPLHEQIQQDIKIVPVV SLRQAVTRKFSKNFIADLTPNHKQPKRLGRADTISEQSQPLQSIQLKSQKNDEMPELYLN QRSEKLIKLPDSVSPYNEYFAPSTNTIRYSNQLEDFQNLRKQRTPRTTYLKQQG >CAK72227 pep:novel supercontig:GCA_000165425.1:CT868119:150480:153977:1 gene:GSPATT00008668001 transcript:CAK72227 MDQTLSKINNMAEKAMLELQKQPLVTWNSGCLNTLKYVLNCSNRYQINQNHVLKNKDLNP EASLLQKLSANYALVFDKVLEINSRKVVNAPELPIEYCQRQPNSTRTVLGGTEVQNFKIF SQKQSDFIEAEQTFKMNKQKIFRKMENKSTSTSDDAMIKLFQGNIQNETEKELLRIQEEN EANLIDLRKQLKLKGLWSEITSSHATQKLNDLSRHMERETFKNDLYITDQIKIANEIKEE EEFDIKKEVKDKILLVQRKTAERIMRAAERKIRLEYDAFVMKKTTGILVKNNKNQNFQRI EELERLIRDKNDQIVEKEAKINQLFQKFEEQEKKFAGLLKQIDNYKVTQKIEVCNTIVSK NGKKSDVEVQVSMMDPRVELFELRLQEIVEENDEMKDELKLLHEKALEDKMQSLNEPKQI EEVLLLLLQSDLSPENKIISLNTLLQYIKDNSAEEIARLAPNELYRIVRSKLKFNCESPL EKLDELSGDEDDSYEQQSITKKRGRDKKKRISHIEKPFDAQIQESIDLDKIATQRRQAQS ETDDEQSRIQKKQLIQLNQIDDINKKASVNKLIEQVSSPKSTNRSNITFRQKQGYLKTNR NNSAVNNDSSKKDQNSSSGQFGIQNSRQTLTQLETKSNKQSFTNFSAKELNSQKGEKQHK KPSIMDQSDIQCDFYKQNKTKDIGIQVSFKQEPQIPPQQQQKHIQSPIQQPSKQQEEKEK LEEQTKKLSESQIYRAQAESISQKNTQTDDFFLWNLFQKMAGDLGLTEDQLKRLEAAFLN EQQFIHYYEKTQSQKLITRNSTLQGDTLGDFKRDSLKNLDKSIGIRNSIKLRTSSQQNIY TNNELIDNYAYQKNEIDDDQQKNLHTQPTTWHSPVVSRQQSQIVFSGSQNQNNNFGSINQ PWSPNGIGNGLRSNSPMNPNSSQGIRSVSQLINTGDNVHIITYGTVSPADQVNKNKHRTT SSSQKLLDDMLKSKTQILKSPEQQQREQKEQQLFFSVFGDELQNDEEFDLEVIRQNMGKP LEIMQDHLKEDSIKKLFTSLAQKEKNQWKDQLFLIISNYCNKSVQTITYFDFKKYYENYM RIHKRCGDECIHIKRFLARIGFGFVSKRKVLNMSKQSVSPFEQLPKLK >CAK72228 pep:novel supercontig:GCA_000165425.1:CT868119:153983:154580:1 gene:GSPATT00008669001 transcript:CAK72228 MGRKNRDLSYLKPFCFYCDKTFKNEVYLHQHQKAKHFTCQRCFKKFSSCDSLKNHVESAH HEVLTKIPNATGDRSDIKNKIFGMQGVPRVEIEKRIRKGAEEYWTKILSAQYQQRRKENK QRMKQNKEISKKENQQDVKIDNEKEEIEQHEYNPEAKPISFDLDYEQLQKQ >CAK72229 pep:novel supercontig:GCA_000165425.1:CT868119:155199:156786:-1 gene:GSPATT00008670001 transcript:CAK72229 MNLTENIIDLSEKRDNKIKQEPKVNLNKFKSFFGIAGKMIQQKTINNTFSFSKLKKDVTS NSPYADIQDLNSTTQKNEQIPQFEYQQEHIPNKKFEQSDIQIKDYKLNGNQSQTQRIPLK KIFNEQKQQQKENNSQDKQMNRKQNNQLIQQTQTTQQQTFDSADQTTNLQNINKRQFIMQ RMEEEKAQKERIAKQQQEANKLIKQIQERIKQKESLLKQKEEAIILTELEKRKAVMTQRR ELSQPIRLNDLTEHQKKYEEDKKVKEYEREHLKQEHDFKIRQNTLKFPKSQTQMRIEEEE KYLKQLKEQDIKLKQIARQRQFKYANFAQENYFREHPIKQKQSPPKKEVQQKQIQEISIT EQNLRKIRRYIPQIPQQHHLSQESKDSINDLSIHLENKPPKPIKKKNYFNSEKTHKTPEL PAKPKTIDYLQQLRQQRKMQNMTVDQLDKSTEYILQENQKDIQQILENVQKLEQKAKDRE KTALLKNDIQMEEEANNLYIATIRAKLAILDKN >CAK72230 pep:novel supercontig:GCA_000165425.1:CT868119:157009:158086:-1 gene:GSPATT00008671001 transcript:CAK72230 MPLPSNIACPFHSNQQYYISAVCLYAHCEHQPALCVACQKDFHSDHQQFCYTFQEIEEKT KNLDSVMDIKQSYMIFKKEIIDLLNFIGLTIQQSTFSFHSRFLSSEYKQISQQTINELGN ALRNTQEQISKRHQIISYFNEFLAEFEKRTKQFTGQIKYQYPKQQKNVKNIQRDDTKSRL VKRNSEIISNSRSNNTSKVELSKISRAQSDFSIDNNSKFLQQLDFNKILFRLDFNQIQGQ ANIINDYSIKSTTGCIALLHPPLNDIINNYIKLSFKIQKCQGLVCIGVATNTQKCSFANL DSKGKVYTSWNFLEHNKQSTIKFGQSDTIICYVNKHQKTVEFSKNDVLI >CAK72231 pep:novel supercontig:GCA_000165425.1:CT868119:158106:159273:1 gene:GSPATT00008672001 transcript:CAK72231 MKIIQILVLVSYVLGATFSSTSLGDALHENGSVYGWREEEQRQFKNWVQENQKEYNNEFE MIYRMEVFVKNYRAMKHHNEQLPKDVWGLNVFSDETSEELMDKIFMKRDFDQHYETFNED DINAIKSDALSHNSFLQADKTVVVVKKVVKTTAAPAPKNPPSLDWLKQITDVQQQGRCGS CWAFAVQDVVISRLAIANKNKLDQLSKTHLIDCADGNTAGCDGGSVSDAFDFINNYGTVY EKDYREYDQKEGECSKPKGSIGYKQFKSVQGLTKFTNADIETAMQTGPVTALMYADESWL RYTSGIINTCGYPKVSNYQHVVSFVAYDTQTWFAKNSWGAKWGMNGYFQVAKNGEANCLD KIKKITYPVI >CAK72232 pep:novel supercontig:GCA_000165425.1:CT868119:159756:160725:1 gene:GSPATT00008673001 transcript:CAK72232 MEERQSSVKGLRDYFQGIAENLKQQNDNYLTIKQNSTSVPPQRNSINRDSIKMNVQKMET FKGNKGDDESQLKLIYQLKEKIKYYEERQDNNEYQKLLERFNDQKMQLQLLQQMNDQLNE EIIIANNTIANLERQIQKQENQTFNLNNAQQNHSSKTHIKQSDKEIQVDLISPLIEQNKL LLERLHENPEPKGKELIIINSSQAIELRRQMETLKQELCERLNLYNNLIQSKQLSEIKKS IKIDINQFHDTYIQMFRNINRPIQNVEQVSKILSPIYQEELNEFLGQLSDFLDKFRLVSI L >CAK72233 pep:novel supercontig:GCA_000165425.1:CT868119:161357:162351:1 gene:GSPATT00008674001 transcript:CAK72233 MYKGNPTEAKSSTGKRITRPYSQQKEYIDIKSSLKQDSYSCEKTNQSTILKSKVSSKQQV KNKMKPTQTRFYYQQPQSLQTTHRYNHSPYVKPHQQQQLFELQKTIMADSNRMGNLVNQM KSHSQQKKIPQQIQMHHKSYQTNCKEKQTQSLNGSFELKKRIFTANQDVNTQINMIAKSN RAQSVLDEYKTTAIELQQTMRIPEPILPQRIIPYDSETEFEGYRKGDFLTFQSEDESESE DLLRKAGIEHFLKNLTDKEILLLEKYIYLRKYDMIARNPRTSKQNDQFFQNNNTSRTSQQ YQQQQYGKISRQTKK >CAK72234 pep:novel supercontig:GCA_000165425.1:CT868119:162353:163589:-1 gene:GSPATT00008675001 transcript:CAK72234 MSLIRFNILALTIGVCLSAMTPQASLLMKSFHQFSQSPYSDQLKELVQIKLETGGQVDEV LKLIQELLDSLKQDQVDDDIAHSRQMAVFDLNISELEEDLSRLNTDLANANILIQTLAEQ LIILRETIITYEKQLAILNEQEQFIRNARAADVKAYNRRVEQANKVINALTLIIEKLSRA VDQQTSEQDRQTILTQIHAECHEQFGPNHPITILIKLTTRFDVPTVQRILEKLEQIRDGA NKSLNEDIAAEEVASKNFDTSMDEIETLRKRLSTDLENLNQQFNDKFNQQKIVLAQKEQL LIDIPITEELLQLTKEQQEQYHQAYLSRQTQRQSEIEVVQKAYNLVFDHVDSVKKSEDLT AKLSS >CAK72235 pep:novel supercontig:GCA_000165425.1:CT868119:163655:164849:-1 gene:GSPATT00008676001 transcript:CAK72235 MKTLLLLLVVGVLCQNEVDTVEKLLADLKGASAVELTALNTDYTVSKNLKENIIFNLGKA YQEQNFLCASRDKSVSDRESDIDKTNKYIAYLQKRLVDNANRIQTLDTNRCTHSSNYIER VKNDNMTLRLIIFLRNSLQNLDNNQLNRYGAYVEKFLTMYKQAKISELVELAHEFADTMF IDWNQVTPSSQGNINTVKGQLIEMLNDMERYIREQIKNAQNLEIATGVTLADFKGAIDIE NEQINYDLSSEQKNLIKLDEQLVQARLAAVNCREKGVALQQQQQRAIEDLKNEEIQYNKN KARLQEELNLFTDVYRIYSTQVGTSEDQFKQRVDDYVNDRKVDSYERSEYSLSDAVRKQV QHE >CAK72236 pep:novel supercontig:GCA_000165425.1:CT868119:165122:165644:1 gene:GSPATT00008677001 transcript:CAK72236 MSIQGQLLNPFWKTKICNFYLQGQCRNITDCNYAHLKRSQEILLVKHINQFKTSLNYKIR FIDYNYQTIMLNFKRTCWNMPKQSLIKLGKWNMHDEAKLLIFQKEIEQATFKIIEIIEKN IKKLQVKLRNRLRSLKQNFQLLLKTPRRYRKK >CAK72237 pep:novel supercontig:GCA_000165425.1:CT868119:165654:165767:1 gene:GSPATT00008678001 transcript:CAK72237 MEQEQGQGLPIPMIPKIKPFPNHQQVYQDRCRNQKFT >CAK72238 pep:novel supercontig:GCA_000165425.1:CT868119:165783:166655:-1 gene:GSPATT00008679001 transcript:CAK72238 MIQKVVQLVQRRSIQRFSSVFVAHRNRDDNSDSVPFDFTDENYKKIEGILSKFPSNEKKS GTIPLLMLAQKQNNNFLSLSAMKKIAKILEIPEMDVFETASFYSMFNRERVGKFHLQVCG TTPCQLCGSKDIIKAIEQQLNIKNGETSADGLFTLQEVECLGACANAPMMQVNNEWVYED LTPENTLKLLEDLKNGTDKKGPQNGRKNAEGPQGRTTLQNIANQQDIKYDRNFEAAKKEW LDQKEKERLEAEKKKQAAQQAKK >CAK72239 pep:novel supercontig:GCA_000165425.1:CT868119:166667:167637:-1 gene:GSPATT00008680001 transcript:CAK72239 MSINYAKLGKRNRRLSLNSDLLQMHKSSQNDKTFTNLFSVSLHQSKQTINNKKKNKNISE VDLETPFGNPKNKLVNSKPKRENTRKKFSFEDTNKLSNFLNAIDDFIKQIDESSDCHTTT YDSQSRLENMLFLKFNIIQKKQEQLKNKYKNLEQHYVVENYENGTLKNQLFDLNNKLEEL KKKNFIQQLILEKQKLKNKELKLRYQYLTNSYLREKLKCENQKLKILQSSMPIKESYIVI MLNDIQIQDTNQSNQIMDYYSVREFLKTQIRKLKYNNKQIDDYSNLYKKYSDHNIENF >CAK72240 pep:novel supercontig:GCA_000165425.1:CT868119:167718:168811:1 gene:GSPATT00008681001 transcript:CAK72240 MKKLIIFTVFVVLVCGQQDLAEAFTQLSSSDPDRTKLNWPNLSQAISDLLCDVQHVRQIE SDTNQQKSALLQKLIILHDRTGSQIKVDLAKHKTKLNDQLTPYKNELDEALRYRAGILDQ QKQDLKINDADFTKMKESAKSEMDDVSIALQSVDSMRKTVKELLQGGSGGNSFVEIKTSL QEFHTKITSLAKSDSPLLTLAGSLQELLQLDFKDRKVLKDLEQLLDQFWMNTIDYRIELI SQANRNQQLYEDRRQAILQDKDTTIELYNSKIEEYNTVFDEIQNIQTYIENRQKDLDDSA NSQDFFKNIWGLNEGITSSVDKSLISKASAIQDALKQIGNGVSFQEK >CAK72241 pep:novel supercontig:GCA_000165425.1:CT868119:168874:169474:1 gene:GSPATT00008682001 transcript:CAK72241 MSSVQFVNSKAEVLRKYQALAMNINAAAGLMEVMKSNLGPKGTLKMLVGGAGQIKLTKDG AVLLSEMQIQHPTAAMIARSATAQDDIIGDGTTSNVLLIGALMKQAERLLAEGIHPRVIT EGFELARKEALSFLDTFKYQQIDKAVLINVARTSLISKLTPDVANQIIEIVVDAVQNSSS TREANRFIYG >CAK72242 pep:novel supercontig:GCA_000165425.1:CT868119:169479:170612:1 gene:GSPATT00008683001 transcript:CAK72242 MEQRHPDMPKFVKKCYILNLNVSLEYEKTEVHSGFFYNTAEDREKLARSERKLTDDKCQQ IIDFKRKVCEKNGYGFAVINQKGIDPVCLEMFAKEGIVGIRRAKKRNMERIAKACGGNSV NAVEDMSESDLGYCEVLREYTLGEEKYTFIEGVQNPTSCTILIRGPNEHTIAQIKDAIRD GLRAVKNAVEDKCVIPGAGAFEIATSVHLQKFKDSVAGKAKLGVQAFAESLLVIPKALAE NCGYDVQETLLLVTDEFIKNNIPVGVNVNEQGFIAPIANGIFDNYCSKRSWLNIAPTLAQ QLLLVDEIMRAGKQAGGAQQ >CAK72243 pep:novel supercontig:GCA_000165425.1:CT868119:170617:171537:-1 gene:GSPATT00008684001 transcript:CAK72243 MKNKQNIVDTQILGLMRCSKIAKQKFKEQKEYYAIKKEFQIIINIPRELGIREYLRTDID IIFSTDYQITSNLGELCTNIFTVGYKILQTDYFQDKQAFTLFPRNLQCVSIEVIFDLLEK MNLQKSKEDIKNFMLDHKKRNIQISSCNDLNYVDSYASQFPFESYIIKYDSAGSKVLKYI NNVKYLHLMGITREMMECNLIQTQMLPCAIKFESYLDIWSKMFEAISRKSNFFTAELQNY NGQRSFVKMEQRLLYLIQENDNSVLCHLYWIYHTNPDPAVAEENYKKELDSQNPKPKQCS FKQICN >CAK72244 pep:novel supercontig:GCA_000165425.1:CT868119:172032:173762:-1 gene:GSPATT00008685001 transcript:CAK72244 MCGIFALILINKPHKFNFNLYQEYLNSPYITKRPELIEELLAQNQRPLNIDLDLDKLQML NARGPDYQGKIELQQPYYQLLYHSLLHMRGDQNTLIKQPLIKEQFILQYNGEIYNIDPDE SDTTFLMNRLQQAKSIQDIRTLLQQLNGDYSLIFQDLSQQKIYIAKDPFGKRSMLLSFIN EGFILSSQALQKNLPIEMEEEEDDEDDGKTVDEKYLMKKYLNEFNQAINKSCIELPNNSI IEIDLSGEQIQWNKIQISDFLNFDQIQQIDPQNNLEQNIGKIYDILIQSTKEIIQNIFGF QHHFINGQNLIQNQQQGRIGILFSGGIDCSLITHMVCKLLPDNSKIDLINVAFTNDAPDR ITAKNAHQELQNLHQNQQLNLILIDKTLDDVYKEEKLFLEILYPKITHMDFNIAMILNIA SSYNVETRVLLSGLGADEIFCGYARYKHALKRGYAELIEEMNFDLFRLWNRNLGRDDRAV SKNGKELRFPFLNIELVQFIRQNIHPSQYIMGDTKSILRIICQKEGLNVISKHGKKAIQF GTKIAKLSNKRFFGGNKKAKGTSNYNIK >CAK72245 pep:novel supercontig:GCA_000165425.1:CT868119:174354:175330:-1 gene:GSPATT00008686001 transcript:CAK72245 MWNQPFPFTYIHAQQPIFYPIYYMPIVQGYVGQQEIYYHNQAIPQVISQEEKNTVVEVSK SPTDTFKAKKQENQQEENNSSIVVANKLKSKSKMSKFNMSLKSTNIQKNYAKAIAQYIIR QRVEILRQLGEKGGLEFLRILTQLKNSIKNINQIKKHLIDNDQLKLFRIIANRFLRKEAI GYVYNSNIKSTSDHVQQRNQIILNLQSY >CAK72246 pep:novel supercontig:GCA_000165425.1:CT868119:175688:176721:-1 gene:GSPATT00008687001 transcript:CAK72246 MRSNSHQVIGELPQVNADPTPDMQTIEIKKEESTYRFSNTNICNIEQLILHSIYEGNIDQ LKSMKIHLRDLNYLEEFSEDFIDKQIFPLALAVATGQLEIVKLLLQNEAIEVNMATKPQE LSALKLACSNGYYEIAELLVQQDANVNQADSKGSVPLFYCFSRLEEETNYFENKQLCFKL AELLIDNGANIDAVVNAEKGYTLLMLFCAVKDKLNPRDLRVNLDVIRFLLSRGASKDKLS TKGKTALQLSKHHCAKEEVQKMLKDVKPTQYKIFSHRPQIIQQQVIKQEGVKASGNSNGL CCGLLSSSRNRPQTEKSWWQIF >CAK72247 pep:novel supercontig:GCA_000165425.1:CT868119:177771:179308:1 gene:GSPATT00008688001 transcript:CAK72247 MDQQQGIPAEQINQLVNKHFDDALPKFIEILKIPSQSRLFDPEYLTNGLLQKTAYEFRDY VLASNLKNAKVELLEDEGYSPFLFVEVDGSDGQADGTILFYGHMDKQPPFNGWKEGLGAY EPKIVDDKLYARGGADDHYSILGAVIAVRTIQELGLKHPRIVMTFEADEESGSVHIDHYL AKLKERIGAVDLVVCLDSGCGNYEQLWITTSLRGMVGACVTVQVLDEGVHSGDASGVVPS SFRIQRLLLDRIDNPNTGEVIDEFQVNIPGERYLQAQKAAEVLGKTTLSKFPFHGTTQPT TQDYFKAYLNRIWKAQLSVVGADGLPHAKTAGNVLRPETTLKYSLRLPPTKDPKEAEAAF VKLLTTNVPYNATVKITGLGSGSGFNAPLNQPYLDELIQNASQLYYKKDVQTLGEGGSIP LMNTLKEQYPKAQFIVTGVLGPNSNAHGPNEFLHIPFTKNLISCITYIVTGLQVHLKK >CAK72248 pep:novel supercontig:GCA_000165425.1:CT868119:179950:180366:1 gene:GSPATT00008689001 transcript:CAK72248 MGAACCTEQFEKGGELDIKIVKLDNNQQQNGSGTASISKEFFDSKDKMQVQMGICYSCQV LKITCECKCIQKLNGDKDDEEIYMLSSRSLDSSSSESRPDDTNTKKTILKHQLHYVSNVQ QFQIPIKKKVRFDLSKKQ >CAK72249 pep:novel supercontig:GCA_000165425.1:CT868119:180406:181190:-1 gene:GSPATT00008690001 transcript:CAK72249 MLILIVLSLAYAQMQDEQQLTNAACVIFSRYYLQSNQELAGRAVGEMIQQGLTQEEAVMF AVASLVEQCLDSITTQQTMEIIQQLQSQKINVEAHKHLVDKADLRKYGSKKKSAKKNEIL KIIKSIDDMVNQQKKEYEGGDDGSDQQEGGRKRQRNRNKQQELPDISIFEAKEWLVLAAF VLVGVLLSYIICCVPERNKKQKKEKNKVTQKVVEDDQSKGQEEDESEAENSPKEVAQSEE KQKAE >CAK72250 pep:novel supercontig:GCA_000165425.1:CT868119:181402:184055:-1 gene:GSPATT00008691001 transcript:CAK72250 MISSHRTNDGLINNTIIGPFNQFELNNIDHYIKRDSSNESVLPQEPDKIGNLNSLQIESY NSSRQLESLNFENIQSKPKAQKIVNPSVNLLKTMRKTQNVERFKNNLFSSAYILKNSQKQ LSIFQDDCLLERNQNFKQQISKQKIISILCICKALSNHVSLKIFQSTQERCLIWFNNFLK QFKLLFTTWEICSFFSQLLELWYCPFIISFGIYDHNLKGIEIYFIISILIDTLMINLIEL TQTKDQASIDQNIKYQSKSLQNVIIRVLLWILVYLEFFTISYVREIIGLILIVIICKSIY AKYESQLEKLYIKGIDLNLLDLISLFVTLTYFVHFIACLWHYIGDITQNLHGSWLEKEEI IDQSIWVKYNYSFYWSTTTVATVGYGDITPKNQIEIIFSIIVMLLSSCMFAYSINEIGMT VKSINEQKTKYKRGLILLNSYMEKLFVDQSLQQRVRNYFKYNNEKETQDNNIETQTILNQ LPVGLQNEINQDIRNKISNKIQIFQNNFSDTSNGLISKQLIQMKVTPKDPIYHLNDKFDK HLYYIVEGEVNIVEEKSQKIIKILKPGDTFGEFQFFTGQSTLEAAISNSFTELFKIDRES VLKIIQQNSRDFQRFHKIKDSLIFKQDFTLLKQCCQMCNQKNHSSIKCPLIQYKPNCYIK ILKSNFSRQNDRQEFERKIFKFRTLLENDEVQNSAFEFQDDKSLTQLYGESEIASIKDKP SDSNSIRYEEEQMHNPQQKSSLSIKKQSKKNTQIRTPQSPSQSITLLNSKKQSIVQFQRK SLFKAQQFSEKYLQLQYLRESYFSQTLEDFDKVQNYVDYFPHNNLQFILKLLIFKQLNQK FGQKVSKIRKGRSYCNQ >CAK72251 pep:novel supercontig:GCA_000165425.1:CT868119:185580:185804:1 gene:GSPATT00008692001 transcript:CAK72251 MGLCLSKKQESKQNIIVRLEDHVKKIDQTNIHDQALLILYNSRNLRVQHLNEPIMSAFSK QFQKTQEPSQKSSA >CAK72252 pep:novel supercontig:GCA_000165425.1:CT868119:185891:186822:1 gene:GSPATT00008693001 transcript:CAK72252 MKRLVQSTDFNVAIPISSTKHAINDDYYMFQKYKCSSPAILGDVFRCNTPVQSQLSPIEN QQKSCFSLLSDNFDISVKDVSRKYNNVSRQLSIQKENEDEINKIQRIIKQEQIILEEQEI LNKKLKNIRFDTNKKLEELDQCKSYQNIQEYLKRRLEIIKQLQQSIGQYISNSKDIQFKE PPLKSALKKKENKRQHTQDKYEDGFNDEDSQDDWNFIHQAKINLEKQRTHQNTDDERTPS KVRFVSTRDANQKKKMRKSINLSDLNALTQLKNLSIMQIQQYGLCQ >CAK72253 pep:novel supercontig:GCA_000165425.1:CT868119:189256:190928:1 gene:GSPATT00008694001 transcript:CAK72253 MIFVQLFFLAVNAIMPMPNSIIQGYQTARISSICQVKFFSNQEFPDHVIQLLLHYHELIT QDEDCEFEESIQNTNIKIEGALKFEISIENYEQLYWVTSTKEEAYELQIDENLNVKIQAK NHWGLARALDTVNQLAINNEIQNLPIQISDEPQYVHRGIMIDTARNYLPVKLIKRTIDAL VINKLNVLHWHITDDESFPLLLSKYSQITNNSKFWKDGFFTKKDVQEIIEYASIRAVQII PEIDTPAHVHSWGISPDLQSIVITCDTNIRQYGQLDPTLDQTYEVLTSILQDLNDMFDKV QFIHFGGDEASNQCFEQKPSIKEFMNQHGISNYFDLQVYYRKKQKDIWKNQIKSKKKIIY WYNKNDQLPADQDDIIQWWGLSSQLSEVKGRSNQFILSDYHPLYLDTGVGNAFGDRYDRY QAWKDVYKWRPSIPRNFEGTILGGESLLWGETNNQNTHFQKLFLRSSILADTLWNPDQKQ DELFPKFTKRLSDMEDRMNKYGFPVSPFTHSYCKRQLDLCFPELYSTKLKLFFMFVLFYS I >CAK72254 pep:novel supercontig:GCA_000165425.1:CT868119:192458:195313:-1 gene:GSPATT00008695001 transcript:CAK72254 MDQSNMNLYKIPFLEELTKNEKTATINKGDLTIIGVIDASGSMSNCWAWLANFWNKSIPK DNLIAITFSNNPTVLKDNKELNLDIGKHGGGGTEIVPAFVEFEKQLANVPTQNNVTVIFI SDGQDNSVKTLDTRMKNQLKGNLLNHRINFICLGVEKGFPTNLAMNLRELYHRGDPQIPA IYLIEYSSEQAFFNKFETMKQYFYPARVLQLNQYVNLYPYDEQITKEVFEGQWCICYKND LKIISNGLSIQLESIKEDQITIEDAIDIFRSWVQNLQLKIIQQKQELPGQCQQCLDIMKR IVGHLNAKLGFDILSVSQNDILNSDKSFHVRVKEGFVYKYCTKILYFINEIEALMKGVNP KQLSEFEQAKRLMIGTITGKHLQKALALKGITIEEFQIIKQEFINIVKNTQFNQQNDQGQ ERSVITLENFRDILRDHAQMEESMKYIKSQYDFVETFPLIGQGIKVKRLEGSQVNPWLVN VINFAKQNKVIDSGYLIKNNFQIQLNVGGQQPEEINCILPLFNETDQDLQPILRSRIIKL LMTFMVQQNVDTLYEESYLALLANSLTYILQEPDSQWKFEHLELIYNTIKIVYSGTKQFE DYLNKIINKTNLALMEKDQEYEQFISLPKAIIYIFYAFRSGLIQIQDFEKYIKDLIVYSI FIVYSRSPQIKNNCFKTQLSKEGEIKQKEYLEKYFSKCLYLKDFRNSLQKNFKQAIKESV FQIDSSIKINDDILYNIDSKFNLKSIESLYQLVLKKQFDINQYKYYFNHVFNNNQHEVLT KPIDFTADDQINKLITLNEQQYSNLDYLRQQMEEKYLQIYLDTHLIIPPFTKQELIEECQ KKGVNFQQIVFNEAIGMTKNCCMAKQCPFYLQPMETVVFRKHLHNWQDLYPRGFHGFVLQ NIEQGYSDDELFRNAKIRYAGFPGKFGGTKEQSYQYFSILREFQKKNLQQI >CAK72255 pep:novel supercontig:GCA_000165425.1:CT868119:195396:195869:-1 gene:GSPATT00008696001 transcript:CAK72255 MNEQEQLKQQLKDFEEKCIYQDTQIARLLNDNKDTYQANQQLTQQILSLDNIVNQLIMEN QFLRNENDSIKQNSYKAQSNFYSTYSDQEFFEPNHEIADMISQKQKKLKELKLIQQKEES KPNQSNKIVQSLLDEVIQDKIMNLQMDITALQQLLQQ >CAK72256 pep:novel supercontig:GCA_000165425.1:CT868119:196032:196448:-1 gene:GSPATT00008697001 transcript:CAK72256 MSYSIKNSMNLTMMKKSNNLKKSLNNSLINHTDSPKSLKTESSKEDYYIQISPRLETKML TFTNQIKKLKVIQHEEGEINQSVKSQSQPSKEKIRNIVQKLRQEQPKSHFYNEMKVSRRL KTEQ >CAK72257 pep:novel supercontig:GCA_000165425.1:CT868119:197225:198340:-1 gene:GSPATT00008698001 transcript:CAK72257 MRRPNEVEFSKTALMGNWYEDRLAPQQLQRDPNATKSLRGVEEGISIPGDNGCLLPLPRI IVPDDGYREFRTEFQTKFDAKNIQNMQLGDCRSLIKTNGDKVSFPECNTTVAQTSGAKSI KQLDQTNMHQILVDRAVHQNYTDFGSTFQNHPEQHNKFYAITTYQQSFQQPENNVAEILT DKKKKPQAAGCRERDPFDQGLKMTSVITGEKYRNSIDPKENTQVQRQWIHCGDAGLTTAQ NNLRQSSMENYKKNASQVHPHDIATSLPLGDGVYTLHSKYTDPGQFRHIRSDVLQEL >CAK72258 pep:novel supercontig:GCA_000165425.1:CT868119:199281:202155:1 gene:GSPATT00008699001 transcript:CAK72258 MIDNIEKNESIQSYMNYSEQRLMNEDSFLKQKQTQEEDPQAFDQSETHSQNKQIDPMLQQ NDEEQEQEIQPIELNPLQIFESKKMEIIQNCQKSKTLYTDPDFPINNSSLYKDPQKPPEF AKDIKSVKWVRPHEISKDAKFVIDFEGDYKQGAFGESWFVGAVVIVGQIKKYNEKQNKQT QQLSQQQISQLEKLILDYDQFDECGFVAFQFFKNGEWQQVIVDTLLPFDQDSKQILFTQC ANPSEFWLPLMEKAYCKLHGNYETICDGHIGEGLVDLTGGIAEINNLREPQLSKMIENDQ LWQVLLQTHKLQFFMGCMNHNETKGTKNADTGTHGILENHHYGIVDVREFPKEKLRLMRI RNVWGPEGGWNGAFSDDSEEWDKHRNLREELKLVFKSKKSDGTWWMSYQDWHQHFNKFYI CKVFPESWQQYAIQGNWFGKTLGGVCPLKMPSEGLPEYVQVDTDEKWFNNPQYKIKVHKE TKLYLSLMLEDEKITQQPYVACNLMVIANKARLSRVWERPQNQDIIIDMNPKGDMQAQRE ITQYLILKNFEGKTFGNYMVVPNMIVNETRKEEKRNFILRIFSSDKIDVAEMSETLEIQQ EGSWNETSAGGKRKYDNGKENPNWCKNPQYFLNLSVSTHLKIILRRQGQVKRAKGTKIGM TICRFDKAPTNSLNIIKQQKGGGTNLVRLLKQTQQQLEAPKMVGIQRKLLIGSNEKFKQS TYFNEDVAALYFHFNPTEGPFIIIPSLDQEDRSANYKLTIYSNQEVELTKLDETKNQVHI GKWEQDISDGGCHLYEDPYEKDTSKRTWTMNPKYSLQFFEPIHITITLCIAEKNWKSKTK NTVGGMIGVYLIEKSENKITTQQIVRVPNFLPINEIQEEFDLQPTKNGYYIMPTTYQSKI HGQFILAVQGDKEFTLQALK >CAK72259 pep:novel supercontig:GCA_000165425.1:CT868119:202684:204158:1 gene:GSPATT00008700001 transcript:CAK72259 MSNEAVAGFIVTKTIQQNPLRYYDANFNDYRDKNMVMYIQKKYADLKKRTGVKILPKQTF ANTQLQVKLKAQEGNKDYQGNYELQPEVVEIMQRVLDKEQLENLLNFQVIPKRSKNWKRK PISVQQKLAMLMNDKIEQAQLDDLYKCYKRQNLKQNLKEVKTSASMKDTKDANKSNGKHK GYQNIELEDQEQVNFFMKKLCEDQNLNACTVLDIQNNELTFKEDQCDEMERWRNIVQKRI TQEQLLEIMREEELKQNKELVKIFKETRIDQFDPDFYKSKVKMTTMKRVNQAQDSQYHKF FVSTETQANGLSQEDFRRKTQQYQSPKSHFMENLSKVSRTTRPQTSVSRTLKTQTSIKTE IEFNKFLKQCDVVETNFKKEKHLLKEKFSSLDNIMEKASQYLSMDKPQFEREPNQDFEKF KKQRQFKKKFVTYLIDKVEKQSDLLSEKIKQSSSKQFIERILSEEQ >CAK72260 pep:novel supercontig:GCA_000165425.1:CT868119:204201:207801:-1 gene:GSPATT00008701001 transcript:CAK72260 MAEATPEITLQVFVQALENLQNAYEILHTAYPNFMVESTKMMVSPEYPVEIRLKIGILLK GVLIEQWESIQPSRKVIRELLLNGLVMNVSNMPIIELISSIIVQIICLDPSHIWPNPLIE LLNWMDDFNAIESSLELFLQLFGRLSETNGEQQGLCKEIVPSVLEKGFSIFAQPELNEKL REKILLLVYLVFRSISFADGTDNSFVNKCLDSTFQIWMSLFLSALQTSPKSHIFIKKLVL KILIVVFRDFGVYSRKSLSLSLIPVWKFFNSITQLYVGHIVYQIDTEHIDNLFSEESKEL PQSNQRIINLQNDIEYKYLNEDDDYDNHIEGLCAYSIELITILVTKPALYNLIKFGTFPL LNTLATFLIATKDQERQWVKDPSYFILNDEEELLQKSVRTLALRLINDIIEKYGDTFVQQ ILMVGEKLILNRDEKEFIELAQQIISKLNFQELKGQQSKDFDQDSVMQFMKSSAIYVTNQ FYLKSFIQKRKETGYLLLGSFSEDIIVFQQKHESTFDIKKCMQNILIELERKNSSSLQAR AIWSTTKYSELISHQFKELLVPFFESVLNYLKSQYPITLKIVSVKALGNYATKINKYSIP FQYKAEIMDLILQVLQEASQDQIIYVLESTIHLVRFSSILAATLAKNGSKILLSFFSIFH SESIVIKQFNELIMRICQCKDAYPHIFDVFCPFIMDCFQVFYEDMNKIQDKSKMKPTDIG LMSAIMHLTSIFIKYCSDNKAQEAFINLLPSMVNLILINEDPQLQVHTSQCLKNFIIIET GQILKMNLVQDVMKVNLKLLEVPQNSANESASLFAGNLVMITINNLLDGNPDINLLKSVV FKIYRSRMPSTVQSLVLVYARMIIEKPKESINFLTSWSIDNRMALKVLIDKWLLQQPLFR GKGTKNATFSALMKLFLLKDKTLENLLVIGYNPSHQNINSDVYAPFKILSLLIRCLDNEI TPHAQNNNDIKQEDERLEADDDDDEVIKKDQFQDQVDVEIEKIKDDEEQDIAERFASLDP KEKKDKGLADLETGSTLYMSEFLDFNQEVGEECDETTEEDLTYLKDPCLNINLVEALKEF FQNLIKNDPDYLKFCLKNLLKEDINLLQKHIKLNF >CAK72261 pep:novel supercontig:GCA_000165425.1:CT868119:207989:208372:-1 gene:GSPATT00008702001 transcript:CAK72261 MKSNVIQFDEYQINGLTILHKIPQVPSSNTIEIRQIYNIPLTTKGKILLVINNDQNCIIN GINVKLKQFAQQYSSFWIKKHFEQCSWQKFGSCKYIDEAAHLTFEGKTKRILIGFLNDSE CTFIFLI >CAK72262 pep:novel supercontig:GCA_000165425.1:CT868119:208724:211219:-1 gene:GSPATT00008703001 transcript:CAK72262 MYQQGEVYQDEIFLDDDEVFQKDEEPSIFEIVYQEVGCILLKYTNLNLITCPNTLHYITL NFNNNEPSSFLFQPNYILVEQLAVNPALKDIADQLQIEDLINHNQNDSEYFTDYYEHLIK EIFKTHLSQVQKVFLRQVELLQEQINNPQVNQELQSIFQDKQFQFPLNLDLTKSELPENQ IKYQLFQSIQLLQSNYLNIYPQRNKSIKLECSETAQQLSGQKTQSEGFDVTPQIQPLVIS SLNKEKIKMIVNWLIQEKIAIPEIILCAELKNRGNGMNLTFNPNQDMLNICAFYYHSYNE AQQNQSETKNIKEENTEELKSNQEFNIGKQRIKITEYHLLISMIDLVVQQQESLKSKITQ LVKYPQLKNQIKRLSRIQESDAHFKIVQQFIEKLETLLLIYEETKKAEIKNEIENKNKTY SNNQSEIDDESKPIKCQKKYYRLLKLVVKLILIESIEQEIPIPEILSCLWFKKHKEKIVE GQIISQNSVQILPSKQRLIPYLQQENRLKIKIEQVQLQSLLELPDTATKELEDFEIFLQQ FHLDNKFGRDVVLIEKLLTVVHNQRDSIQNQLIQVMQNYQKIEKAKEQLKDKLVLLPKLR TNKTQINDEPILENIIKIIENLQLYTCQIQQDSPNIDVRKVSHRSKRQITSMQKQQMPQS KKLDKEGLKVFILSGLITLIDNEVPLPELITYLGIKNKTQKFSLQINKNYRLQEYLTNQM ELNRVEITDQVESKLLIKKIKVQDGENIPIIPSKEQISEPQLIIRALKLLTNCQMTKQLS EIWQRPSVLSLKTQVYKNSLKKSKNDTTIGQIKKLFYKIDNKYDTYNNQQQ >CAK72263 pep:novel supercontig:GCA_000165425.1:CT868119:211674:212264:1 gene:GSPATT00008704001 transcript:CAK72263 MNLDYTKIQDNVNKYRYGVKIGNYNEETFGMDVTQNAHKIPNSTMKDTYGLQNTLLNMPR QQETEAEKEYYEQTTFSHGGLQNHLLVGHGQQSYFETRQLTSTYDLSHNQQVKPQVQIHS KYEPNKTQFQSQQKKVEVTDKVQHLVPDLQQSNQERLVKKKEFTNTFNDNYKKIPLRK >CAK72264 pep:novel supercontig:GCA_000165425.1:CT868119:212611:213296:-1 gene:GSPATT00008705001 transcript:CAK72264 MGKNYRNRSKTSATPRKPFEKERLDNELQIIGKYGLKNKREVWRVQLTLARIRKAARELL TLDPKDPRRLFEGEALIRRMVRFGLLSEQERKLDYVLGLTTQKMMERRLQTFVFKSNQAS SIHHARTLIRQRHFRVGKRLVNSPSFLVRVESEKLVDFAVTSPFGQGREGRRKRKNAKRV KPNKEE >CAK72265 pep:novel supercontig:GCA_000165425.1:CT868119:214090:214853:-1 gene:GSPATT00008706001 transcript:CAK72265 MNYYTTLDRGSKLQDFIEQIRKMDATISNAVYHRNLKLQKNESVQMLDKLLAMQIDGIND SIKQARVLLNKSPNLSQQDIQRSKTLLDEFQNNSEKLRKTLDIKQVFKIDAPDTQTDFTS KSNVEMVQMQKQLVSKQNETIDKMIDTTGRMQLNAQNINLALGEDKVILRRLNENVEQAT HEIKDTDSNLKSLLSYTNDCCLWTSIIIEFMIFVFLVIY >CAK72266 pep:novel supercontig:GCA_000165425.1:CT868119:214894:215577:-1 gene:GSPATT00008707001 transcript:CAK72266 MIIILFISIAMTYSQQTTIIEQPIIQPNSYLQLGQVIGDYQKQNFKVGNLKVNQTLTSTE NKSFQVILLPYNDSKYPCLIQQFQFPNKTQNTERCGFQQTNSSCPTVPLPTSKLTTPYVY IQNCSTISVIYFDDEINDSQQSLWILILINDDDEGIIQLTSTYNIVIDNTISFYQISLVL CILLGIFMVISVSVLCILNHKYQKVKQQNITLKLVNRTDDYQKTKLL >CAK72267 pep:novel supercontig:GCA_000165425.1:CT868119:215652:216719:1 gene:GSPATT00008708001 transcript:CAK72267 MNLKPPSETGSVDDISDKEKINTLKKGVIELREEKSQLMKYITELTLKNNWLIKEKEGTE QKSNIGDESKQIPKLKQQIFLILQENQPLPFRIMKLAFYFTNSLLGYGKRKLIKGSVKNT ALNNQLQKNIEDIERDLYNKIKDLKAEKIEIQLQLKTLDKEMQQRDDELRVIHKGLDDSR FTVAQLQGELEESRGKFIQYKLILHNQLLDIECLFIFRQNLFNEYIFELETAAQKFQYKA SEITDLKIKDESSFTLSIKSRNREEVFVIMHNQDLKRSANLLKTFYQKQKILNQLVAYSS IKSKKQWVLGRTDVHFWKYSIEEWNQYKY >CAK72268 pep:novel supercontig:GCA_000165425.1:CT868119:216760:217418:1 gene:GSPATT00008709001 transcript:CAK72268 MSQEDENNDIDVQALLASINANFDNEQILNEAQSNAQEVLQEIDQSQQGPSESIVIVRDQ PIQKEQEEVDPVVEILNRVEIQNSLLTNPEINQHQLETGPLQQIIESIKDKFDKQQEVIV KKQRNIFTQIIDIRNISNQLVNVQINSHGVSKMKLNDFKNKQKEIDQLDIQVFKTKGYVL SIIERLEIMEQKLDYKIDSYVKQKVQELAKK >CAK72269 pep:novel supercontig:GCA_000165425.1:CT868119:217647:217862:1 gene:GSPATT00008710001 transcript:CAK72269 MSKEGSALWSPFKTTKEFIEKDQKITKGFIQGALFAVAGYGVGFLLFKGKTLRGFSAGTA ATWRFRDQIEQ >CAK72270 pep:novel supercontig:GCA_000165425.1:CT868119:217906:218215:1 gene:GSPATT00008711001 transcript:CAK72270 MFIQTIRMLFGKVQGVYFRKYTQLKAQELDLVGWVQNTKKGTVIGVAQGRRTNELKEWLK NTGSPNSIIKKTEFKNDKVIDELEYDQFDIIK >CAK72271 pep:novel supercontig:GCA_000165425.1:CT868119:219021:220538:1 gene:GSPATT00008712001 transcript:CAK72271 MDNSELYEIQYIFGSQILDNKMQYCVKWKNYPKSQRTWEPIENLGVHNISSVRRFQRHAD YFLFYQFIYEDQVEGYRVHQKQNTLEKIKVIPDPQEEEEQIEQANTDKLNTTLKETVNKP IITPKETTKRTNRSSRKQTYQKEEYESHSDSKDSDFGRRGKKSRSKAKSSQSRRKSPTSA GDKSNQTQPKKSQFVKQEQVETDEINPVQQKSQERQPLPDQDKQNEDNLSEKSKSPKGQQ KVQTRLRNLRNQKSVEQIKSPAYFNSVRKLIQSLNEDERSLVASYINDKMKDNIFENLGA LCILDYMQRKDEVLQEIKQQEYVYPQKGDPDAQQNKQKKRIKKLEKSSIKMESQRSTDIA CPPISKRLFRLSAFEQKRKLIKKKEDPCNMADFLASINQFPQFGVVLTEVDTKEQYGQIG FQMGEEITGHYYGINANEVGKANIQLYFIMKFRREGDKTFLYNHVTKAECQLYEKDLLYD YVYKNQDLLPEMYNELIVRSVYNQQ >CAK72272 pep:novel supercontig:GCA_000165425.1:CT868119:220568:221436:1 gene:GSPATT00008713001 transcript:CAK72272 MLSYKSLYGIAKFNQLKDYYAILKISKTNDQPTIKKAYYALAKKFHPDVNQGKEDKFKEV NEAYEILSDENTKKEYDAARTPQSQNSQTYQQQQYYDSKKYQSTSQQRQNQNYTQNQYTR NQFEENIRRAQEYLNQQQQSGIIKCKMLSQQQYRQYERMRAQQEYEDRIRQEEMYARAKD QQYNEFSQRYYNENKRKTFYSQEEKEAYDSFVRQREFEESIKEKIQEFKQKGYQVREGFQ NISKNLADIKGNLGSIWNTIKGKNR >CAK72273 pep:novel supercontig:GCA_000165425.1:CT868119:221560:222531:1 gene:GSPATT00008714001 transcript:CAK72273 MDYQELYDLRKKLVDWKKFPKETLCILKSLEQSPLEWENVNKSKIQKTLQALTLIDDNND PLVTTIKAKASALQDRFKRLSQAKAIQRTSQEQQQQAIEEIKIQKQSSVQSTQSSRSLPC KDLFENHRVPYPAYADRLKYLKGINQMFIANIFTFKKDNVLNDSEYQTIKECVEMMENTI YHKRAKDHTPRKAYEQDLRILAGFLKKDKNGSLTYRIFTKAFDPVSAAQLRAADWVDDET KQEQARIIKEKMEAEQIGFYKDLSKREMEGIEGKTCKGCGQKKVYLVDEKQTRASDEPTT KFFECYNCGDKFRIC >CAK72274 pep:novel supercontig:GCA_000165425.1:CT868119:222568:223466:-1 gene:GSPATT00008715001 transcript:CAK72274 MLQFIKQQISEHTQEKEKKIVQYLEQSQFSLYEDLLILEIMLNEKLTYQHFEQLSLKCHK KTPDELKLRYINCIKKLTEEDLKQIIEFIKNNGLEGILFYDKKGLQICKINEEIKNKKDI TQTKKEIKHSVEPQLKKKIRIQPEVQPQPQNYYQLTDLQKHILYSANPTYQEQRFPTNPN YQQSQRLKQEQFQNALHDLSIWYDIPFEKMILLLKACSGSFHNLKQFLEDRNYFIYWKPE EDQKLKTKEEIQSLYLTKGVLEVEKRKQWLQCD >CAK72275 pep:novel supercontig:GCA_000165425.1:CT868119:223497:224525:-1 gene:GSPATT00008716001 transcript:CAK72275 MQKRILNHLLQDNRQMKKLHTYRQSQQTDTFPILIRNKSCECLECGGGIIKQLEEYQQGQ VIESKLVPKLIAQHEKIKQSYISQSHELKITKNLLYLITPKKTVQNISITEQPLISPTLE QPKRDIQKLHRLIEKYDGKVESNVQQICKTMPDAVPIPKQRVQIQRQKTKQYSFIRLLPL EDDAEYQNINYANSSNSQQRTPLRAQVYKELKGSTLLQQLQTPMFQKSKFNKNQIIDFED GMRKAMEKIKEMDEVSNASKMISTQINDENKTKSRGSLLLKSFLDCTRQIDRDPKIIRQL DVFLRPVSRQQRQNQQSRTFSYQNVYLPKVNKK >CAK72276 pep:novel supercontig:GCA_000165425.1:CT868119:227182:228938:1 gene:GSPATT00008718001 transcript:CAK72276 MSQFLQPTILLLREGTDTSQGRAQIVSNINAVQAVADVVKSTLGPRGMDKMIQTGPKVTI SNDGATILNLLEVVHPAARVLVDIAKSQDDEVGDGTTSTTLLAAELLKEAKPFIEEGIHP QIVIQGYRKALELALEKLEGFSINIAKDSAQDKRDTLLKCAQTALNSKLLANTKHFFSEL VVSAVEKLDPNILDRDLIGIKNVTGGSVTDSFLVDGVAFKKTFSYAGFEQQPKRFENPKI CLLNLELELKSEKENAEIRIEKPEEYQSIVDAEWALIYEKLEIIVKAGAQIVLSKLPIGD LATQYFADRNIFCAGRVAQEDLLRVQKATGGQVQTTVNGLNPETFGTCGLFEEVQVGAER YNLFKNCPQSRTATIVLRGGAEQFIQEAERSLNDAIMIVRRCFKANKIVAGGGAIELEIS RFLRHYARSVTGKTQYIVNSYAKALEVIPRTIAENAGLNSIEIMNKLRQKHAQGDEEGRW YGVDINGASGVCDTHSSFVWEPTLVKRNALCSATEAACAILSIDETVKNPKSDQDTKMKP KGQPGRPPMGMRR >CAK72277 pep:novel supercontig:GCA_000165425.1:CT868119:229047:229334:-1 gene:GSPATT00008719001 transcript:CAK72277 MSEAQADRILSIANQETVNRLFRVCYKQITGQRPVGKFQDSDHQAVLDCHRRLVEVLKIV APAIVPLREE >CAK72278 pep:novel supercontig:GCA_000165425.1:CT868119:229489:230005:-1 gene:GSPATT00008720001 transcript:CAK72278 MNAKGAGLTYVSEYKSDEFRTFRGGLRHLPERAESMAHNSKNNLSNYQDKFNYERGFIQH SRSLSNQHHNFHYMFGGPKEYLFKRLFYGAWYKKNIRNFWFPAVFSYGRKHSIKKQSDVF VCDYTIMQLRISFISQIELFHINNNPNQKYSYDNNLFIMQLY >CAK72279 pep:novel supercontig:GCA_000165425.1:CT868119:230543:231576:1 gene:GSPATT00008721001 transcript:CAK72279 MLQEQYRFFGKEIVNSSGEFYKENIDRNKSKHLTVLPRYLKLNLWCGEKENQMEEEPNIN QLCQFDQQIIKDPQFTPIYNQEIFQYLLSQEQKYLVNNNYMNEQQQPDLNARMRSILVDW LVDVHLKFKLRDETLYLTSYLIDRFLNIQKTTRQQLQLVGVASLFIACKYEEIYPPDLKD FVYITDNAYTKQDVLDMEGQILQTLGFSITQPSSYSFLQRFGRIAGLDTKNLFLAQYLLE LSIVDIKFMNYKPSFLTSAAIYLVHKIRKTPQSWNEEMQSTTGYNEQELRFCAKEMCLVL QSSDKSNLQAVRKKFAQPKYLEVSRIRVERQTKPQK >CAK72280 pep:novel supercontig:GCA_000165425.1:CT868119:231685:232908:-1 gene:GSPATT00008722001 transcript:CAK72280 MINTLKLGTLMRLFNQQDEQQVGFIQLEQIDKILFNIYECNKDYKIYFDNLLLKECKEFA IEDIIMLITEIDYYEELRQSVMQQQQELSLNQIQSEMLIAQIEGNQRDRRRIDYLNNPKI NDLIIMLKDYYKVCCKNGDAREMNRIENRISEVKTKQQRRWKNYIKQLQKYQETLIQKAA FEHQFHQQKKWNEFVIDFQNSRFYKFNMLIEQQKKTVQEMSKQFQEELQPKVFKVSKKVL DLRQKQKQLLKLKEFQQAEKVKEEADFIEYLEKKQQEMILKSKVKNKLMVLKKRQSCQIS AFMQKVASEQATHLLQKQANETRWVNINRHCVQDINERFNKDKIITLASRRSFDAYRFRT KSANSYVAKSANISRQFTQQYE >CAK72281 pep:novel supercontig:GCA_000165425.1:CT868119:233863:235894:1 gene:GSPATT00008723001 transcript:CAK72281 MKAKAIIEVVVFLDSLKTIGIFLLDEGMYQIRINLYIQSSQNLKIPLTPKETLLFKRNEQ QYLPQHTIDNFYMSDAFLLRQWTEEERFEIKNGCRFSGAIPHNLKEQPCFMEIELMYYDL AQKIVSFQSISIAKFKIENLSNGIYEYVPVILGEDHFSLLNIMVICSLTSFDIQLQQVQN EDKKGADQHLHINMRQLYNKVLEPLKTSYRNMQTFYYNMELEMERPSSSGGMQYHVRQTK NFQVMSLSSADSLLKNEQNTQLISKVMANEFKVLQSTINQLWHKIIIGLKLGHQKILRQL KKESLTNFQSRYSAFTQKEVIPVVDHQYTMIPQQQKQSQEQADLQSKRLEYQKLLLQGPS KIVDLKIIDEIKDKLMIVEKHYVTRQTFQLSRSKLHLIVLVHGYQGHSYDMRLLENYMCL RFPQHMLLVSLCNQQNTEGDILQMGKYLSDEIKNYIATWSYTDKLVISFIGHSLGGLIIR AALPYLDFEFHTFLTLGTPHLGNVTNQRPLIKFGMWFFQKLKKSLSLSQLNCYDDTLLKL SQFPGLNKFKHIILFGSQQDHYVNSESSLLMKVSGIENEQQHNEMATNILRQLHQNEVLR ISIDYKFYDGDFDTFLGRKAHIAILESHFLNQYIIYNLGDYFK >CAK72282 pep:novel supercontig:GCA_000165425.1:CT868119:236081:237260:1 gene:GSPATT00008724001 transcript:CAK72282 MFTQLNKKLTLSSSFKTAPNIGLIKYWGKWNEREIIPLNTNIGVTLNPKDIFTTTTLTLN PETDKNQLLINGKDFHISNRIERLFGIFREQILQSKQFASNKYKNSPSDKPLGQVIPDIE KYGIRVESNNSFPTGSGLASSSSGLSALALCLQDILKTDIDVRYLSRIGSGSACRCLYGN LVLFPETISLESKRCLPYEVQSSKWLKDKVSIVILTDTHQGQKDVLSKDGMKLTWETSKL IQGRVRQYVEQHITELQSALEKQDFNKVMEIIIKDSNQFHATCMDTYPPLLYLNDFSRQI IKMVHIFNRNAKHIVGYTFDAGAHAVLLIHNDELQSFKKFLSEAENLFTKQKYIIPSQQF WTSIGEGPINLN >CAK72283 pep:novel supercontig:GCA_000165425.1:CT868119:237291:238191:-1 gene:GSPATT00008725001 transcript:CAK72283 MDGLLDMFVRKSAITNTMQQVISITEPIINRGPSIEEQQAQIQENQFKYLLVLLSHFAVA LLMIGLLTFFKFSEQIQQIPFIIWVVLLMLYLLSIAIVQFSESSSQKQPKNVFLFGVNTL VRLFWFIYAVVLYPQLHLEVVIGLLLINLLVILLCFHLDKSEGIKNCFLVCDQPWRISFV LLFEILFVGLYLNIQDAATAIIWILVLFALYTFILLNVQLVEFRRHLAKNGNVYLLSQMY FDGDIVFPCYFLQHHLLCTSERSILRQ >CAK72284 pep:novel supercontig:GCA_000165425.1:CT868119:238755:239763:-1 gene:GSPATT00008726001 transcript:CAK72284 MKQKQGFGQSLMQMMTNTAFQLDKMWSEDIKIDELMYIFGQEIQNAEAFNQKKDTLIWFC YRANIQFEGKAISDQGWGCLVRVGQMMLANALMRECKILAINKTKAMIIHLFDDNQEYST IAPFSIQQIIKRASINLNMKIGDWYTGPKIMSVIEDLNKNNMNIKQINLVNFLEQCVLES QIDLSFKKPHLLIIHAIIGDKSLGQLEIQNLQSHMQISQFAGAIIGKNNKAFFLIGFQKN NAIFMDPHYVQESNKIEMECNLKCQPLKQLNGTIALAFYISNYMEYLEFKKQVNKLQGSI FSIIEDIGYKFT >CAK72285 pep:novel supercontig:GCA_000165425.1:CT868119:239885:241104:-1 gene:GSPATT00008727001 transcript:CAK72285 MILSQNNYDGYKEFDMNRKLRDEEKQVRLMKQEIMKQQLVEQTQLQEQRKKELQLQKQRE DEEQIQLIRKKQGEEKFQQIQNKETMKQNLQQQNHLILNHQKLIIDEKKQETLKIENDII NNAINGLSLEEQMRRQKREMQKQIVEQQMKELQQRKEKEKKDKEFEQLIYKEQTQNESLR IQKQEDSYRNYYQKLSERQNALQDIYRQKVDNPKLYLDQMINKQVKERQDKEETEYLTRR SIQQKQKEMYQNGLANQIYEQNEKKKQEDNVKEQRKQEILQASLLFEEQQRLDRLRKREI QQQYHTQLSSLSQSQSQSSGNLNTEVSQSNIYNPLTNPNPSQIQNPYILRQIQHNNGNLQ QSQSMSQSKLASFGKSSLLN >CAK72286 pep:novel supercontig:GCA_000165425.1:CT868119:241540:243044:1 gene:GSPATT00008728001 transcript:CAK72286 MNKYQLSFKDKEIEQMYQTKQHNELRILTLNIISIGLFVNYFVISLNSVIMNRFDFLFWK LLMMAYMLVQYYAVNKYPRLLRLAFILTNHLNSILYIFASDDQYTHEFDIIKGANQMGME IIIVLSGEFLDAVFSILSLNLIRVIYGIMHSDIQFYIPHVTTAILIIYFVFFFYKFHYAR RSQFLLTLIDSQWDNILSNLIRKQSYVIFTFEEDQLHYSIKQINNCGQFFFQNQDVFSFL RESNYQGKTVESIILQDIKLFQINHQKRMNIDLICQFHKEIFHIQYSIYSGQNPTILIIF KQHSMSRRKYKLSNFDQTYNNFVTLFLKVLNNITPTQPKYQEYIQIKRQLFLFKLLNFIQ ERQFNQKLVNLSQNLASMASLYPEKKIFYESVDGSHQIKTISNILYFFQMIVLENSIGRG VKIQYKSQQQIYTLTFFGSFNFNKLKFVVSKLSYPLKMIFYKFNITAQRITCEFHQEPLI PFTKLHVSKYHCN >CAK72287 pep:novel supercontig:GCA_000165425.1:CT868119:243524:244034:1 gene:GSPATT00008729001 transcript:CAK72287 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVEVKDEKKEQKKQQPKEQQKEQHKEK ETKKPQPKKQQAKKQ >CAK72288 pep:novel supercontig:GCA_000165425.1:CT868119:244037:244485:1 gene:GSPATT00008730001 transcript:CAK72288 MNKLVSTLVRSMQTQVPLYSYHFPNGSVYNNPAVTAKRIIKVVGERLRKIDPERWESIPI TFNTNWNDAAGYVDVATCIHIHDALEKEFGIEIKDRAFLVSSIETAFYIVNIHHDSH >CAK72289 pep:novel supercontig:GCA_000165425.1:CT868119:244485:245419:1 gene:GSPATT00008731001 transcript:CAK72289 MSQQLFKAYFQSISHTFKDLPKGNFYWRFFKRDLGRIINMPCPVDSPNQEADIVIFKELR AQIGISEENVCSNCPFNAKCVKAFQQFDTGNMQNLLLPNLSRFLEQISLYNLNIQNLDDS KSFWLASNRILDTFHAILIDFQKNQNQINQLFLQDQQNDSDVDTNKYSKQTQKSEKYYRA ADFLNENQNNEQPSEEHEKVSSKYENIKPKNSFKDNQKFNKKKITMKERNLRVKDNIENM IITKIIQIRQDQKIKRRSLLIKRHKRKRRQSKQKMKNRLKKI >CAK72290 pep:novel supercontig:GCA_000165425.1:CT868119:245419:249566:-1 gene:GSPATT00008732001 transcript:CAK72290 MKRVGIQDESEYQESLLGHENFKECNFLFTNITPLIELINRKSDQLENTDLQYFLEPNLK PQKAIDLVERIQLNELERRKKNNISLEREYQTPTFYIFKSLFMGKFIFIGLLNFLDKAIQ IGSVYLLDKVTDSIKDYNDPEDEHKILKPILLLLTMTIVYTFGTYLESISNNYQNQFTTL LKIVGQYLIYQKALRTKYLQETVQKEMKDKKDGDEEYVANVNNLLTVDIEELSGIYWGVF GLSSSLVTISIALYMLYLKLGNAVWTGLIVLGCVVIFNSFTTTLTIMFYRKALVQKDSRL ALSSDVIEGMKQIKYLSWEQTFNDKILAIREKEFGYIRWQKIIDIINNVQWSSISYILLY FFLSSYVKENGEDALKSTNVFTIIALFNLLTFPLGVIPYSVNQLINTTVSYKRIKTYLDL KEINEEEIIRYKNCIGEEYVIEIPQIKFKWPTKESNSNDSETFQLRKNTLNMIIGRIGCG KTALLNAILNEMEIKEQNQSSLKVKGSVAYVSQNHWLQNMSIRDNILFGRTYDKQLYKQC IAACDLMPDLLTFPKRDQYILGPDAKNISGGQKQRISLCRALYQNCDIYLMDDIFSSLDM HVADNVFNKGIKQMLLDQGKTILMITSQFRFIEMYNKCNIIYMIDGQICEDEQLLNDFMS QEKEKQHQLEQEKLEKEEKEEKKMIHEKVKVRQSQEIDLDQMENNQTEGAKKNEAEEEER ESGEIAGDTVLYYISQMGYALVILAILLSVVLMLSRNMIDFWIRAQISIDNHTFDFFDDW FGTSFNSKFSWLIAIIVMITFVSGGIMKICILLSGWRTFKKLNQSIMNSKMIFFDNNAQG RIINRISNDTLVVDDELPWYVEVLIMSLLACIGYPIGIIILFPWLIVIIIIEIFAFRWLQ KYFRKSNRDLKRIQSTNEGKVISHLTETTGGLRTIRAFEKQQYFIQEYIVKLTESICSLV NSRRVSYWLSVRLHLLSNLIFLSVSITIIFMMLFNIEIDYATCAMTLTYAVLIANEFNDT MNWFTQSEAKMVSVERIRQYFNNPQEQYDSVVKINPQVQSIIRQQVPPKPQNVDPNQFAI VFNDVTITYDDVLQSQSVKYALKNFSLFIKKGEKVAFVGRTGSGKTSILNILFRLYDFQQ GQIFINGHDIQNMQLRDVRQLLSIVPQFGFLYNATLRDNIDPQGRLTDQQIEQAFNNTQF RIRGIMEDSNKTNSSSLEESQQSSTNINFMIAKSGGNLSNGEKQVINFMRVILQNKDIVC LDEATSNMDPKTDEELHKTLFDYVQNDNRTLLVITHRLENIQQYDRIVVLEKGEIVELGS YEELMQMNGWFVRLVNQNNE >CAK72291 pep:novel supercontig:GCA_000165425.1:CT868119:250755:251644:1 gene:GSPATT00008733001 transcript:CAK72291 MNTKSSIPTFILKTYQMLEDQKNTNVISWTSQGTAFIVYNQILLEKEVLQNFFKHSNYSS FVRQLNLYNFKKVKSNEGQIFKHKCFRKGMKQYQIIQFRSMLSFIKRKNQDDSVGGSNTL VQEEPTIHIKEEQNLFKECAIDIKETNNKLKEDMKLLQETSSYLIDQMQNLNHPQQFVYN QSVDIEVKFKQVGQMLHAINEELRQENKSETITNKFMDKKEESFQESKVGSPNPYVDYNS TALNPLDYEYFIDSFL >CAK72292 pep:novel supercontig:GCA_000165425.1:CT868119:252273:253126:1 gene:GSPATT00008734001 transcript:CAK72292 MDKKKLKASIEIKNNEYYLIIEDEKDEKQSVRMNISDLKQPMNKCNLLIPSPPYNEPRDI QNIHCLPYKAKAKIENNQKDVEELQNKFRGLKYSDKQKQKYKNYSSSSEVEQSPSEDEFS GFQIPVLFQLSHLEIRESQQGKQNQPAEAYDKSNLRSNLIDFLRTKGDNGANIQEIKQYY KFQSVPEKHLRDSLKLFAKTTMRANKLIYILPSTLQN >CAK72293 pep:novel supercontig:GCA_000165425.1:CT868119:253401:253852:-1 gene:GSPATT00008735001 transcript:CAK72293 MSQQIYHHQTQDPISSTQSSDGEDYVPKRIVSKLNTRKVHKIPIEQQIQLFREVFQEGKQ IKQVAKALDLNYSSAKSLIHYYKNNKRSIPVVILDVLNTKKLAGYKTSQTIPQANNLKIE VRENNTLIRSYSFYEHLATNN >CAK72294 pep:novel supercontig:GCA_000165425.1:CT868119:254037:254710:-1 gene:GSPATT00008736001 transcript:CAK72294 MNQLRNQRGTDTDEVYIDPERGIAINARQMTTEQHFKYYKSSFSTLRPDLTEYEYEAFAK RLRVGESFLNHMRAFLNHESGRVTNLYPVSARLEKILNYQNQYFHLRPPFILGHRSNANR NWADASKVVNYVEKQLLKITKYGLDYPNYYAPSTENELKQREDEIYERFVREMRKPPVAA AQ >CAK72295 pep:novel supercontig:GCA_000165425.1:CT868119:255670:256364:1 gene:GSPATT00008737001 transcript:CAK72295 MPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRALRLSEGNFSWGSQGVAKK AKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKQWYAKKYNVELGSKKKKETTGEQPK KLSSKQEKRAKENVIDQFVQEQFANQRLLVRITSRPGQSGRADGYILEGKELEFYIKKIE QKKK >CAK72296 pep:novel supercontig:GCA_000165425.1:CT868119:256373:259200:-1 gene:GSPATT00008738001 transcript:CAK72296 MKKLSHQSEKVADKNSFQDSPQRLEKKNMKTIAGTIKTERHLEKAKAKLLDYFKRERNSM AQSTNLMRAKLKGSKSIDNVFLQTLKSDRIKTSQPNVAELRVQTEEGSNSKKITLKNKNL SLATVSLEKKELAKRPLTTKTSYNQTPKGQSKPENKKQQQKEQYLCDMLKNAQIIQNQYQ KYFNQKLNKQSIISDTSTNHKQSIEDVFFNQKGTKGSYQIMQPKITRKTDIESKSLNQGH SKTEQTPQPKQQTKTKSDEMLEYDPKQKLQIILYYKNSKYYYLFDYADQSTCDLYNYLIQ QIASIEKHHAQQDGGTGSTNDDQIQAEIKKICQFCTIQKKVPFDYYLSLSDMSLTVFQGI KLSLQPMYSQPQTTKKVGLKDFQLIKCIGVGGFSRVYLVRKKDNGVFYALKLIDKKFIID NNKEIIVQNERDIMIKMENQYIIPLHYAFESKFYIAFVLDYCAGGELFYHLRKLKKLNEQ DAKYYFVEICIGMNYLHSQNIVYRDIKPENILLDLQGHLMLSDFGLSKPDMAADDFAYSF CGSPEYMAPEMLMKTGHNYLVDCYCLGALLYELISGLPPYYSHNTQEIYHSILTETIQFP DYVQISNQLKDLIISLLEKDAKERLGSKEGVIEILSHPWFNDVDFEKIMNRQLPTPYKPE PLKYNFDEEEFNKGDAEFRKQFAINMTNEFQNVDAAKYQLQNFYYQKVKQEEYHNRSNII NGNKLYSQFLQKEPISPPKQVINKAKYSPQESKKSLKASIKSETQDYFKKHNLLTKPSQI MQESLKMSHGYSKTQQNSQISFQELKKLKLLFDQSKQLLSSDRITTIPDQNQKNQIERIK TEQFCNLPSPKTTAHSTVNKLNQYQKLFGSEKRKK >CAK72297 pep:novel supercontig:GCA_000165425.1:CT868119:260226:262259:1 gene:GSPATT00008739001 transcript:CAK72297 MENTHLVEESQQINQQYQNPQTSENRFQSLQSQDEDDENQQINNSHFKNLSSSSIQNVLS SQNSNLDQENQDDDFNFITREQNQKNNIENQQNEQQILPLENDQNEEKIIQNDSKITQTQ VVQNEEPQTDQLEDKNHSQINNNSEDKDIKQVSVNILIKHIPTETSDQKYELQRGNEVEQ NIQKIQNSSQHVEKLKSIIKSPKSGTSNVQSGDPSIDKSISPEQILKQKRNEKLYNQIME TNLNEIFLFYSKQFQSPLKLPTKTKESIFEKKSFLSLPQYMHFCRDFQLIDLLVTNEFIE KYAGPKNQKPLYKIKYKNRVKDSFIVTKQILQEIFQKCSGIQQLSFSEFQYSLIKIADVV FPVSNSINALYLYLGIHDPEIYNKKLSTIQDMNQSRIQNQDNIITKQDVKKILPTIVNKP QIDHQNSQDTSQISKFNYIQETHKRHKAQQNTNQSYSIRWEDLVNSESEFDPRKLLLDED LTDQDDAIYLKEYMISEVGIKKKQDFLKQYQEGERIKYSQYPYQNYQQRRKQGYTENSSR EQNPSSLQGRLIFQKETMFLQKIQELPEAQLVYPTLPINQTSQMLQKERGQQLIHPNSFE QRKNYNVIKQLPSLNKSYANQNVSSSIERGQQNISQIDHVSKQNLRKLEINQKKRDQQIY VGMLKLQDIKARKVQRK >CAK72298 pep:novel supercontig:GCA_000165425.1:CT868119:262559:264592:1 gene:GSPATT00008740001 transcript:CAK72298 MKFIALAMLVMLATSQEFVEFYSAFQNSDAAQLDGWTIQGSLSKAQTDNFFTCSGASVFG GPKAFGKGAIVTKQFQLPPHFQIKIQVEVWKFDAWDTQRQFFYLDNYLWETMWTGSDGTK RCATPAGGNVNSFPVDQTITHNHAALFIAFTSSADKTADKMSWGFRNLKLSYLPCPTECG TCLGPDAIVCQMWVPVSSSWIKNVDADGWSAKGSSTVIKSSTCAGVPIIGGPGNFGNNVS IQKIFDKLVPHYRIKVIAQFWKIDNWDNDNAVLSIDNQEKWKQNVAQVEDKEYFICDKIS PGGVDGTEKIINVNFETPHNYGAALVKWTSTIKKSSKQASWGIRQFQLYVAQCSSNCATC TGPSVEDCYCLCFSLCIIGSRRWKWIWLCIERRLGAGWTANADWKILQHNVENSNSLVVL IRLEKMLKSLENSIYLLIKELELHLKLGRLIIGMEKIILLKLMDQMFGKEHLDLVILDLL IFVGGDGFENYAIVDFVIGHETPTLDLLISTTIGKNNDNASFALKGFKLYYEKPDACATL YTECNYTGKSFNMCEDLPNFQLAKYPSKIKSIQVPAGAKVILFDEMDYNGKTIEYTENQK CIEEYQYKFLQKNQQSIVLTANN >CAK72299 pep:novel supercontig:GCA_000165425.1:CT868119:264601:265559:-1 gene:GSPATT00008741001 transcript:CAK72299 MNVEEDDLIFLKVSAQPLWTGCRNTPYLIRAGINIDKCLIYKVITNLRQSWICKLNKDRI EKDKELYNKSIQTTNFQGVLDLLDLALKFDPQAEYSFKLNDSSLYYGYSNVIKIYTLTWV FDCKVVDDQHHNKILINDILNPLLLTIHSLENRCNNYKTAFSQLEQDYIKRLNEKERAQY KVRDKDEDLSVYILDDQIDQEKICQINFTPMANYFIQYYAINKVNKTLQQSKKRQPQQQN KNDNPSQNINKKGTKFGRVMKIHYLICLKEKDNKYWKMITMNKTGFL >CAK72300 pep:novel supercontig:GCA_000165425.1:CT868119:266391:268072:1 gene:GSPATT00008742001 transcript:CAK72300 MEERIVQFAPFLASRANEIAQLQNTLKSKFLNSTAQPFQVIHKHMRRRAMSHNRYRIPSS LRKHMSGPGLEERDLKQKTPKCRKHIRKRRDLIFLNRSNKILWMETHLYQVKRMKMINYC GFKVPEKANEKNFRAVHRYFDHGSMIFDASYYQIVEGSIENELVVDDHTIIHPQEVPDTD FVVHNNKYNIFQIIGRESLQIVNSLLNIFNCSIDGQTHKYFSFNYQYEKIQSVTTKKGRK IKTLADYDEDMKDMHEVFENKGDEDINKSVWQIDQNQFMKRFKIDTRNRYTHQRNKKQIF KTLLEIRQKQQQQQQQQQVEPQIPIDLMKKKRAMRWLLNQMDKNENDISVELFDQNEKEE KPDKEIQIEQPDIQEQKPQKEEDLQKLQQNTTLKPKDIQIKMLIIPPSNQIKKQIDIGYK LIINLGGGLIVWRLFNQLKLKPVGLRDIRQLCLENNVLFNEYENEDLIEKYLKSTNKINY LALQFPYPFSFDFSLYPSWCSCHLIAYKNVPQKQALILQPTQEDFELLKNKVFKIQIQRT EDEKTKNKQQS >CAK72301 pep:novel supercontig:GCA_000165425.1:CT868119:268104:268286:1 gene:GSPATT00008743001 transcript:CAK72301 MEQKRKVLGVVTFGNISLQHGKGVGQGKIDGRLAGKDIIVLFRNHDSEYCFFADCKVNTI >CAK72302 pep:novel supercontig:GCA_000165425.1:CT868119:268336:271449:-1 gene:GSPATT00008744001 transcript:CAK72302 MKSYFQINESISQTEKIILPDDTPMRNRPNTITTMKTTWLTFLPYAFIKQITQPANIYFI VIGILQIIPVTSYTSGVPVIYGPLSMMISISIIKDAFEEYSRQDSNQCRYKSDQEENNRK SHKYFENSFIECNWKNIYQADIVMVMENESIPCDILILSSSYQGGIAYVETKQIDGETNL KQKYSIYDLQQKYHIENAINYKKDNSVKFVYDQKNPILYKLSGTYQISNSEVNSLNYGNF IERDCILKNTEWIIGLCIYSGMDCKIMLNSSQERTKRSRLEQYMRICIISISISLALFCI MASIYEFLWTNNHLNYSYLGLSKDNVFVDLGLWFSLLSYFIPISLVVNVELVKFGQAKML ESDKVMPNSKSHQSNLIEQLGEINIVFTDKTGTLTKNSMQFRELFAQNEKEALLCLSLCH SVVKSKNKLIGSSPDELAILDYCEQKGYLFQGIDNNNNLTINQTHFHRVMSFDFSSEKKR MTIVVKTGDEYILFCKGADEVLLELIGECKHQQVIHQFAIKGYRTLMLGRKTFKQNEIDI YLAEYLTIQYNYKKDEEMMNKLQKTLESNLEFLGITAVEDLLADDVGQTIQDLKSAGIKV WVLTGDKVETAIAIGYSCNLIDSNDMLQVVTETTVGSIEEVFLHYCNESANIALVISGQA LSVMLDNLQLKSMLLKRAFYAKVVIVARVSPKQKQQVVALVKEYLPKAYTLAIGDGANDV SMINEAHVGVGIQGVEGTQAARAADFAIQEFKHLKRLMFYYGVECSRRNALTILYIFFKN QVFITPYFWFGFLNGFSGQYLYDQWLSQLFNTVFASLPQVLYALFDEMHPSSEYLQWSKT SHNLLEDKPDVYVQFRQQPIFTIIKFWLWVFNGMVQGLIIFLVCTFSTENQNMDSGMTME FFEDGVFIYGIIILLVNLKVLTFTYTNYNFTIFFIVLSILVYWLILILVNDYELSPSFNF YRYLVSPQLYLALALTCMLFLFDLAIERFYDFQIYVRKPESIELV >CAK72303 pep:novel supercontig:GCA_000165425.1:CT868119:271569:272879:-1 gene:GSPATT00008745001 transcript:CAK72303 MLISKTENEEQGETAVDSTQPVCPNCGAAREGILEQVPSSASFIISFVSLFYFGIWCIFI IPLVFQSTKIIIKRCYYCHQELEKRKYFQLPNINDQVLQFRFGNCIVVVSRKYALILLAI IISLFFYFEYFVEQEHPQIEANVYANKSWVDFLQFCGKFQFVDNGLKARHQFETNFKNKI VSWKGDFIQTKPNHDPNFHFNYWVYLKMDPTESTEDLPDIIIGVTESQYSIQTFQKLEKG KPIHFEAKFLFLGSEYNFHILELKHLTVIPSELVEDIKTLHQFTLDMDQIQLLKRTKLAD KLKHTIHMYSPNQNHEIKQILNKIKLANDTQPIVETTTEKQEGAENKSEDIKDTDQIDKE LEREEKNELVNEQDGQEQFFDPSTVNIKIDESIREEILSKDTAIIDGVIDSDSSTTTQD >CAK72304 pep:novel supercontig:GCA_000165425.1:CT868119:273007:274530:-1 gene:GSPATT00008746001 transcript:CAK72304 MNKNWRIPKQRLFGSYCIGQHFCQHGNDYGILYHRFFLPITLDYEDAGEFTFKQKLIKAI KINLITYAIFGVLGIAFTIYLLVEKSFTFQALLAFTVVLSNALGLLIVTFLLGYAIVAIP KQFFRESNHQIQVNLKYHSINKTKQLLEQESLDLDDLLIEILSYESKYKYNEEYQPYIQK ILQLFTKEQIDSAKLSRLRSKNDQGLEDMNLDKFAEIHKRAKELSYDCVRNTKKLEILTQ QALFIEDIINCDMRGKKITSAIRPERAGCFGNFIDKVQYYWYVKFWRVIMYSAAIIFICL GALITLSEIAIFGNLKFNVFIYFVNDEEEFFAVQILVLIPLLYVLYCVQYGLLSIRLNRY GFYDYGFTDGPSLIFSATFISRIAFPLAFNFFWMFNESDADIANVVGNFNFDQYLTEFKL AFPILLVILIICNIFDIYDKLLGALGFQRFQFEIGYADEHTEEGQEYVQKNRLEQSKAQA PRLDLKQPFL >CAK72305 pep:novel supercontig:GCA_000165425.1:CT868119:275516:276571:1 gene:GSPATT00008747001 transcript:CAK72305 MGNCATCDDPSIYENAKPVKNKTNLENQENIQQLTPKSKLSQSLVKSENPSLIVQQHIRQ DLKVLDSMPDFTNQNTKALLERLQQFNYDQNEPFEFKQLPFYGPVEMEPNVFYYGQWKNG QRHGRGKQVWVDGSIYEGYWFQDKACGNGRLIHADGDLYEGKWLNDKAHGYGIYHHKDGA FYKGEWYEDQQHGYGLEKWADDSMFEGTYNNGMKDGHGKFNWPDGSCYVGEFTNNNIHGK GHYSWADGRVYEGDWKENQMEGKGIFTWSDGRKYIGEYIDDKKHGYGEFFWPDGRVYKGQ WQDGKQHGRGKYKGINNVFREGEWVEGKLQKWIQ >CAK72306 pep:novel supercontig:GCA_000165425.1:CT868119:276592:277409:-1 gene:GSPATT00008748001 transcript:CAK72306 MITSANSQLGIEGKFLQNKPTGSYQYLKRIYRDNTNNPQYTQGFTFLNEDTILESAGLYK KSGIHYFNLKNVNKLQNRQNLDDKYFGEGCDIINNKVYQLTWLERKIMVYEKEDLQYIGQ IDLHPSIKEGWGLTHRVQNGETQILISDGTSKIHILNEDFELLKSIQILHVTIPTEYLNE LEYANGILYANQYGKTHIYAIDLDKGKVLAKYNFANLVNEANRPDGCLNGIAFNQKTQTF WITGKNWPFIQEVKLN >CAK72307 pep:novel supercontig:GCA_000165425.1:CT868119:277475:280101:-1 gene:GSPATT00008749001 transcript:CAK72307 MGNICRQNPINDKNDLEVTTSQPPPIQQMQPPKPEEVKTQVPEKQEEPAQEIDQAKQENE PKRKEQKKMAKIAAVIDQEVIYENVQKQEKVKSPFDFQLLLNAFGNSFIFGQLQPDDKVK VIEKMFYCTVHDGEMVFKQGDKASSYFLIERGQCQIIINNELKKTLKSGEAFGELALLYN APRSASVKAIGDCAFWAIDRNTVRKAIESISQKDYDQNKEFINKVQFFESLTDDQKAAIP SALINLNFKAGEIIVNEGDQADSFFIIKKGEIQISRGGKEIRIMKAGDSLGEQALQQNSV RGATAKAIKEDATVLALARDDLTRILGDKIQLIMYSNLQRWAFERHAILNKLTKLQVERI VSNMQQTQKKSEEVIIEKGQACREIIIVLQGSIKYGKEILEKGQMFGDKFLDQGENVKLG EPVIMKDEGMIATITFKQFFEIIGGSLEQIFAKNEKAHDRFIKKEEGQKQDVFKHFQLDQ LIYVKKLGQGQFGNVYLVHNKMDKKTYALKCISKAQIIEQNLEKHLAQEKIALETVNFPL IMHFARSFKDNTYIYFLEEYIRGMELFDVIRDIGLLNTYDSQFYIGSLILCMEYLHLNNI IYRDIKPENIMIDEKGFMKLIDLGTAKNLKSKNGRTYTIIGTPHYMAPEILTGKGYTYSV DLWSIGICLYEFMCGNVPYAEDADDPYEIYEEIQKKQLTFPSVLKDRKAKKLIEQLLSKT PELRLGSSYASLKNNSFFERFDYDLLINRELKPPYLPPKNKLHSDKDIQKAIQVGKLITE EIKNDLATAQNVYKPEKARDPNWDKEY >CAK72308 pep:novel supercontig:GCA_000165425.1:CT868119:280386:280784:-1 gene:GSPATT00008750001 transcript:CAK72308 MFSLCLHKNSFEFQQQNSQPLTMLSNQPERNKPRENLFQKYMKIRLAKMELKDLNKCHNQ TYRAKTNVNRTMYPIQNGQCQLKNKVRRFVTLAEFPQSARIVIKKTSNVKRKQSENWSDL NQWNFSDETIQS >CAK72309 pep:novel supercontig:GCA_000165425.1:CT868119:280970:281621:1 gene:GSPATT00008751001 transcript:CAK72309 MLPQLKLISLIVRVFARPIITSRKEVSIEKVLMSKQRFLTQQIQNLGNYYYYTDQKIDRM YLNQNLHDEYDKLNDEDALKLVILITIILQGTEFLLEMIIYTILILVSLFEIYKTYFEQQ ERLQNMNNTIKNLQQQIKVIKELKLKDLNNLSRVYEIYEANLKSFQIKQEKALQQVSSVR QLYLENLKKDNQNC >CAK72310 pep:novel supercontig:GCA_000165425.1:CT868119:281693:283004:-1 gene:GSPATT00008752001 transcript:CAK72310 MSNLKSSLTVGIFQSLSFCGLRQKILKNIAFQPPKIIQLKAQIKLLIRQNKLNANPEIRQ KSKSYDDENINSLGLIDLAEELMQFSSGSTSPTREDPIYPTHDFYLIDENGKEIIIPKQE NLELTGYFLKGRKGHRIASLYVKSTFPLSDMVILFSHGNASDLGYMIDTLIDLCTNLRIN VFAYEYSGYGLSQGKCTDLNIINNIQVAYDFLVTQLKFDPTKIIVYGYSIGSGPSVMLVS DNEFPVGGLVVHSGLSSGLRVVNNKLKSTPFYDIFPNVDRIQNVTCPVFIMHGLEDEIID FTQAKLLANNCQRLYEHWEVENIGHSGIDTNAEHRKKYFYKLRDFIKLIQQENQTIKELK QRNTASPKNSKSYNHYYDNKLRDFHLICKKIEDSDTLQKKG >CAK72311 pep:novel supercontig:GCA_000165425.1:CT868119:284361:284981:-1 gene:GSPATT00008753001 transcript:CAK72311 MIYVPIIESIQVTKTIENDRYDASPNFQRFKLNNLHQNHFSLACKINNTMKASANKNSVV FGSSQDRKRPSKFILPSLQYDLNFKSVDRHKASPKIRGNRTSRIKSEKSDKQCCHSPPII NKTETIVQTIQTTELNYLQKLIQRVKTPRLGQQHKISLRQDEFIPMNSQELKESLISLMQ ILSQYKGINMVQNKDDSTEDDLFVYM >CAK72312 pep:novel supercontig:GCA_000165425.1:CT868119:285038:286674:-1 gene:GSPATT00008754001 transcript:CAK72312 MQNQELQSAIVDWMNKISFLKYHTGFREYVDGTLFIQILNHINTDHFGSTFHVLENQAYT DNWALNMSRLKLIVDKLTDYTHKQLEINVMEIAKDRNETQILKLFGVICQVVKQFYFFQL ILQSDFQDDFFVPVLQLPEKKQELISQYLQTLVEPFTQSEDKSLLDKIDELETENQKLVH QHQVLMNEIIEQKMSIKKLDQENKEKAEHIVMLESHERKSSFNEEKIKELEDLNKQLWSS QSKTRELEIKVQSQQSLIKEANLLKDNTRLENEQLKLKIMQYIKQEQKYEQAKEKLSQQK LYYTKLIEDKDRQLNKLQTSFQEIFNSFQNEKKRTLQLESDVQQLQSKINELNHEFHQKE ACLNEKIREASRRESRLNSFEATDLRPDSRMNTNDQINLAQDLNQTNDQYYALHMSMLIQ EENYKKEIDILKEKIKNQDQQIKAWKQLNERSEDKIKQLESKTILINDNQSAQHIAQQNE QIKHLTNMLNSFNDKFMKIKEEHLRLLKTCQ >CAK72313 pep:novel supercontig:GCA_000165425.1:CT868119:286707:287073:1 gene:GSPATT00008755001 transcript:CAK72313 MRGFFTFAKSFISFSSVKTQQMKVSIRLVNQSINCQLRLSSTLTALRMANLYGIWQKSSM QEVDDESELDIHISQLMSLSLKAEQQTIQTNI >CAK72314 pep:novel supercontig:GCA_000165425.1:CT868119:287251:287754:1 gene:GSPATT00008756001 transcript:CAK72314 MKQFIWEIIQFERKLEYCRQDLALDQGFSIEFFPETITYLGLKQLFHREMKGFFNRYDSD RDGKLSFNDIQQFVQPRQLEYQCFIKKGGNYNRLIELIEIAEEYENYLIDNIETLEFQEN NQISIKQFLSSKFKQPTEKDIWFLNQRFECYTIEEFLGYFEQYKKAN >CAK72315 pep:novel supercontig:GCA_000165425.1:CT868119:287788:288720:1 gene:GSPATT00008757001 transcript:CAK72315 MNTQDEILLLKKCICEFLNKLLPTIKDPLILTRQRLSQFKTVLLDELKTIQDVYYYVQTL QFQDGMIIRTDTKIEHQRNPSGANQDYMSLNSNPSLQEKRTNPPFGLNKGQDPQLPNAGN AINMKTNNTTTLNQQNQIVEDQQEIPKLGKDIFFKRPSTRKLSIKTKDVKVQENNYKPID EIQKVNVYGQKADTFSVLNNYSKRTPFFDKETLLNEIKESEKIIRKNTTTLNAVYSRSFE ILTGDKEQNTEQLNSIQQDLRAQQDLRELQNAQRNATLKGYSIYAKKDKDIAKQRMDEIA QINDKKKLYF >CAK72316 pep:novel supercontig:GCA_000165425.1:CT868119:288746:290238:1 gene:GSPATT00008758001 transcript:CAK72316 MNKLYPTDKTHHQYVEYPQRWIQLVLFLCALLSNIMFGFSLSPIVKEMSLVYQVDSRQEN IKCYRYLQFLTISFTVFSVIMIIPGNIINEKYGIRMSIMIGCALTFIGSIFAIFINVSFW FFFIGQLISLIGFPFRLISASKFVANWFFPENRILIMVIIALVFNASSGIAIRIPLIVLG DFQIHDHPTDEQIELGRALIESLMFLLFGLMILLCIPPILFFLSKPVTPPSYSASDECVR EDYNKASVIVASNPDFILLTISFAFILGTITLFTLQMEYFISPFDYSLKDQSNLVLVGVI AGLIGDICVGTAIKKTQSYKKVLRICNILVTVLFGILILALHVNKVFFFIIYFLLCGSSA IMALTFEFSCELCFPLSENTTIAMLGFFGNLLNFLQGIPEILILKGDNQLCSTMTMIFML ILIGSSNILTMKINENLKRSEQDIQLDDRIEKKNTEVSLLEQSKFNNSNDDY >CAK72317 pep:novel supercontig:GCA_000165425.1:CT868119:290266:290639:1 gene:GSPATT00008759001 transcript:CAK72317 MLKVIGFLLLIHSAISLIRYRKHLIYKDMADQYHIPLDILLEIIVGFTLNMFFGVILDKK FTNIHLFPHKKTYDQAFQRPNFRQPGGRGGVLQSVVRDKIGNFDIQN >CAK72318 pep:novel supercontig:GCA_000165425.1:CT868119:290644:292044:-1 gene:GSPATT00008760001 transcript:CAK72318 MSLKLTYILGNPRAGKIIVAAQLANVQISSDFVEYKSLETPAFLAKNPVGKIPILETPEG YLTESNAILQYVARGTPLVGVTDFQQAKVRQWLDFTSLELEPTLLGLLLPILGYEQTTPQ KQEEVKKELNWKLKILENHFKANNFLVGESLTIADLNLASYFQGLFSFAFDKQFRGTIPN ISRWYKHISELPAFVDYYGKTKYCVKAFPFQYEQQQQQQQQQQPQQQQQQKQQKQQAQNA QQAQQQKPAPKEEDDEEKPKKQQCELDLLPQSTFNIDDWKRQFLASKDFAAEFKNFWTIF DSQGWSIWIMKYIKAEQEGKVLISFRNNCNGFLQRTDPHFKKWAFAIHGVYGDVPDLQIS GAWIWRGTEVPSFLKDHPTFEYLQLTKLDASKPQDRALFEEYWLNQTEDESKVQGLTARA LYYFR >CAK72319 pep:novel supercontig:GCA_000165425.1:CT868119:292303:293290:1 gene:GSPATT00008761001 transcript:CAK72319 MSLSQLYGKQTINYAKLRQMRSPNDAQSFGLRQEFNDFSQLHRQPVETNKHQRAKSTLQN NYRQGTPLTDLEEMEGEKLFDQFFKFNNSKSESDFMRDSMQRDQKQPQSEFLRFVENAIE YHKQSELHFRPTIKKNQHLEQKTKELTVQNRLLASEINRYKQREMELKNKIKIIQKECQL ELGQLIQKNEWLEELLVKQKIDNENSLLAVKKSLEMMQGRCMCQKGMADKIMKEVKQQSR PQYDLQATHSSSELSSNTGDEDLDFDYVRRRKSLSKTSEEHQFQLARSKNLREFARDQFF RKKPTQDPYYT >CAK72320 pep:novel supercontig:GCA_000165425.1:CT868119:293309:294763:1 gene:GSPATT00008762001 transcript:CAK72320 MVFIKRLVPLSILYCFEGIMLGLILYSVPSDLIDKGVEISRYKFSFIVLLPYLFRYIVGP LIDSLSWDKMGRRRSQLTVLYFGLGVLLYFSNFQSLDPSTWFWNIFWIVCLLACIDIIIA AWLVESLEKQDRGYGALAQFLGILIGGFIGAYIFKMFNSLEFCNTYIYAIPQEIPYITLQ IAFKDLSYISVGLAAACIIIIHNEKTTNQDIIEAYKSALGAFSNRHLIVLFLFFCFFRIG QMPIDLAHIHLMKGQLTEGQIQFLEILTFPIACILPYYMAKMVKKSVLEIRLIIVFSIYE IAISGVFIITLFASEENFPFPYRDTLMKISLFSVWLLNLINLTLAQSFIYKSTQSSVSAT FISLLTTGINFQFIFEGFVEYLLANYNYYLIWICGVGIYALFFFHLASRVTMIDQLNCEE FSLEIDIKKEKLLINTELATLS >CAK72321 pep:novel supercontig:GCA_000165425.1:CT868119:294896:295959:1 gene:GSPATT00008763001 transcript:CAK72321 MEDRLIQLQEFSGIKDRIQLDNSFSSQDENFMPQFSSNVQKVQQSLNIIKNNNLRMREML SKYSIATTSSVEQGLSRELEQIMMQNQQISKQIKEAMKDIKEEVERSKREKDQEDQTETR IQIEQENALASSVYEVLQASSQISYQYQIGVREKIKRQARILDENATEQFLNEICNDPQK ATQLLQNKLYGGAPSVQLTSAVSDIQEKYKDIQQLEKSVQLVFQLQQDLAILVGTQGQIT TNIEGSLTSAKSYVNKAKDDLIDAEGDHKSAKKKICCIILIGVVILAVIIGPIVATA >CAK72322 pep:novel supercontig:GCA_000165425.1:CT868119:296116:297909:-1 gene:GSPATT00008764001 transcript:CAK72322 MEEQQLRNEAARTRMIGNYVIGKTLGFGTFGKVKMGIHEQSQEKVAIKILEKDRIVETAD VERVQREIHILKLVRHPHIIQLYEIIETPKHIFLVMEMISGGELFDYIVQNTKLEEVEAC KLFQELIAGIEYLHKLRVVHRDLKPENLLLDQHKNLKIVDFGLSNTYKTEELLKTACGSP CYAAPEMIEGQKYQGVKVDLWSSGVILFACLCGYLPFEDQNTSALYKKILSGSYQLPTHL SKEAQSMIQGILTVKPDKRFTINDIRNHPWFKIYKRTYDIPPGIVVGYNRIPIDQEILKQ LKQYGIDIDHAQKCLDANKHNDITTFYHLLLKRHLTNGGRSTADLNSESFDITLLEPKQR PHKAPISSLVNNEVIRQQMKDEIIKQRSYSTDKDRGRIIKTTNQNKILADNDLSVNRVGN NGQAQSVQARQKDENYFEQSPVVKNKNVPYSNMLCQKYGVKFKNNNVTSIDYHNKEEFRK VSSRNKRDLDAINPYRNSSREQQQYNRMLQSGGRSQNHKKERVHITNHNNQSFDVPLNSN QSTKQKAVNQQRSSHFYHD >CAK72323 pep:novel supercontig:GCA_000165425.1:CT868119:297959:300902:1 gene:GSPATT00008765001 transcript:CAK72323 MSFNTNDLLDNYKISLYKELQSNLKRSKFLKKKDYNRIENSLNSQPIFENGPRLAIIKPC LTKEDSNSIMALSGSTQSSVNRDLNENNSKESIVEQYTSLAVQILNAISALSDNQQRGKV IKHFLSISTTYQKYERMRHIEKELPPKLIYYLQTKFSLDIFKQLNDSYKMQLDQSISNVL FFEAAVETENKALYNSSFLASQLLLLYSSKAQQNGIKQRIIFLNFTGIQNEDAELHKAYS KDECLYISLQRKKNPIFQVPNEVLSSNESNFKTIYLEDNQEELTWQQFRIIYKYQIKRNI KNFKSNLFILSIAKQLDCQSYFRFTEDCIIKMISKLSILAKDKLIIHSYISKSSSIQYQN YNASTQYQNYDASTQFQNYDALLEAQNAELICYINSLEQGILSQEIFLNQDKFDLQTDST ELRQFDDWVQNLIQGNTKFFKPDQQELIQRERRNLKLDSQFEQVNDLSAIKLGVYIFKVS EYCEQDQIIYLTYEDKNLQFFTNSILYVDYIGRQIVLLQYEESVYYVYYTEFQDNDSNKL IQKDQNCQRINLSELLKDQEYLDDSIILDSSLIVKEQKVYIIYNKDASKIFRIELNNSSA VILKRRDLNSLRLRPKSQEKFQNLIHQRQEQVEKIVQKRRKPAIVQQPCVDGFDFIIFGG EFAHSNQFCNLVELLQVESHGFSSVTIPKDILFQKCGYYIPWPNMIVLVNTTSPKQYILL PGDYNNKTHCYNQVINKEHQEKAILITSGRDTLQFQIDYLKIEYKVNQPETNVQQIELDE RQTKKRKPIPIQYFSGSQINIKNSLNLEQKWNIAITMLENGYSKFVQLFVFAEIKIEIEG QRKQLSITQSIVYDGITQSIKHGTCKQNEYPLKRYCFAKFQKKENTLDEYGREKGQLMDR VTSVESGSNYQQETQQSNEKQYPSKPKPQFN >CAK72324 pep:novel supercontig:GCA_000165425.1:CT868119:301197:301664:1 gene:GSPATT00008766001 transcript:CAK72324 MDRSQQEEENKNQNPLVQYSNSLLFPSLGLSCPSQFLPTYSIDTCFILSQEVQSTIFQLE TQMIPKKKTMEKILRISKRIQRKTKKNQNSKIIANPVLNEIRDLIIQVKSDTPFYRIKVV QKLKSVIENLENLLENIKHQLIDQLQDQESHIIIQ >CAK72325 pep:novel supercontig:GCA_000165425.1:CT868119:302146:302754:1 gene:GSPATT00008767001 transcript:CAK72325 MQQNQCVQTSSFELAFQLQDLIFRETKTKSPSQLYNFSTSTKAISYSKQIKQINSHRSIG EINTNIQSPAPQKLLGKGVEGKAMLTNLMFPSQVRMKVSIIEYKQEKKDQPMSKNIHMAR RLSQYNMNESKSGRQFEWINKFNLQLQKCNYYVNNEKSVKLKPQRKRQLSVIDDVRPISS QNLFINGTQSQFSSRARTPNKK >CAK72326 pep:novel supercontig:GCA_000165425.1:CT868119:302766:304698:1 gene:GSPATT00008768001 transcript:CAK72326 MNTKITLRNSKQNSFLSSFKTTHRHTLSQGMELKFESPTNRETSGFTSFQSLKSSSMHLK KLVKSPTSSLQSARQFDLNENFLNKRTSIVQMMQTFSNNYEIIQQSEPLENIDLEKLFPV EEQKKLQNNEIVSDESKLRIFELKQESNNWISINRFRFHYFSIKIQGQESPIQVYVKCDQ SRLLNYRMYISTTASFPTKFNCEAVVQSKYFKYQDKKHNDKFLDKYLYIAIYSEEDCEIS ISFLYGNKFIKKQKPKREKPNYDKYKYWWQQQKFDMSYETNKINSNLDTRQYSREARKEK LIQSQDQLDLRLKNAMSKKKELCEDKKMDLLSKYQANEALKEIRNQQKFKQRIRQALIKF QISWFDIFLLIHLAENTKVMLDEAKRRSKYLAKGRLIVWKYRTTTMIKIKESGQTVDQRN LFKLCMAMCMYAFHNKKRIKTDVSKVLRYFFTLTHQYCHFIQKHQELTNKVNYVKRVFRN LKQKEKAYKDRLWRILNKYAQEILHSLGAHFSNPKKRTYIIDSSALMKATEDFLTTKKRK CQQFIQSYVREKDQKQRVVDLALNMMQPEMFDTPSYDDLYGIFRTYILYKKMTSQHSQQQ QLKSQQIQEQ >CAK72327 pep:novel supercontig:GCA_000165425.1:CT868119:305389:306075:1 gene:GSPATT00008769001 transcript:CAK72327 MLTFQQMGGGGGGGGGGGGGGSGGSGYYDSSSGEDCSDSCVISIATIFSSIIVFFISFRC YKSGYLRFDCFKIRKAKQSLLQNFIQTDNISTRDELLYGLEILQNCNWNMMYYQKAWNNI RLVQKEFKINYNQELNKYYIDLKLRAHDSIGDSTYTGHIKQSNNHWRILMIKTYDDRIKS QQFGDYAILIYEAVTNQLPNGFNGRWYFYDDSKSNGEWKWQGQEETQL >CAK72328 pep:novel supercontig:GCA_000165425.1:CT868119:307749:308696:1 gene:GSPATT00008770001 transcript:CAK72328 MGQSCASCQNKDESTAGYNCMEQKDQFDEENLDDSQEFDAKSPPSTYQCKTMEADILQFQ KVIPKEKEEEIFEERKEQQLVFEDKSRYEGSIVNGKANGFGKLHFENGDYYQGEFVDNMM EGNGTYNYAKGPFYEGQFKSNKPDGIGVETWPDGSVYEGEFKGGRKHGRGCYKWYQGCFY NGEWKDNLIHGIGKYEWPDGRSYSGSWVKNKMHGRGKYIWKDGKSYDGEYQYDKKSGFGI FHWPDGKQYQGQWLDGKQHGKGLMIGKDGKKKIGSWLEGKLMSSIEDDNFQIIPDGWIQE >CAK72329 pep:novel supercontig:GCA_000165425.1:CT868119:309178:310145:1 gene:GSPATT00008771001 transcript:CAK72329 MKNKRQAQHISDESGLTYFEVFQKAISKFEVDNQKQNHKQDSDRITIYEDLKLILELSKI SQIEPQHFEKISVLLKRSKTVLMKRYREYLKNINQDDLQTIFSFLQQNGAYGYLIFNLEN GSYRLQDIEPIKQQDSPNKTPIQTPIKKIYQQTAQASAIDHAQQQQKIEPESLKKIKPNN FFQLSANSKYDVSHKKTNPFKQEQVVLDAEQKHEQEELKFTLKILSESLKISYQELCQRM YQCSGDLNTLLDVYLNRQENLLWTKEADEALNAYFIEENQFEKQKLRAILHGEEQIQKRK EWLYGK >CAK72330 pep:novel supercontig:GCA_000165425.1:CT868119:310152:311107:-1 gene:GSPATT00008772001 transcript:CAK72330 MAAQVESLKMLMSLGTAGIFGIFLVKNCFFTVEPGHCAIKFSKFFGLQEEKYKEGWHFRI PYFETPIDYNIQTRPRQIKANTANRDMQNVLLTLRVLHRPYSDDLPTIYRNLGIDYDEKV LPSIVNETMRSVVAQYTASQLMSQRDQVSFKIRQALDQRAAQFKIAIDDVSITELTFGKE YLEAVEAKQVAQQEAERAKFVVEQAREAKKSIVIKALGEAKSIELVGKSALTNPAFLDVR RIEYAREISAILAESRNHIMLPSDILKMDATAHK >CAK72331 pep:novel supercontig:GCA_000165425.1:CT868119:311124:311856:1 gene:GSPATT00008773001 transcript:CAK72331 MGVPAFFRWLCARNPKALLEVLENSDESCLSNNPDIDNLYLDMNGIIHPCSHPDQGGIPI PVTYDDMFVNVFHYIDRLVDIMVLPQEPNQISKEVVDLEQPKNPFEFRKKRNVYEITGEL KAQIRTLQNTYIEKNFDSNQITPGTEFMQKLNIALQYYIYDRMNNNPLFRNVLIIFNDSS IPGEGERQNPLICQRSKKTNELQNSQTLFVWSRC >CAK72332 pep:novel supercontig:GCA_000165425.1:CT868119:311864:312242:1 gene:GSPATT00008774001 transcript:CAK72332 MLGLSTHEPYFYVIRETIMANDDKQCSICQQKGHFFTDCKKREKQYNQIQQQQKNKLIQV DFQILQLNIVREFLYFETRDLQASLKGQYDLERIIDDFSEMIFYLIFLA >CAK72333 pep:novel supercontig:GCA_000165425.1:CT868119:312348:313532:1 gene:GSPATT00008775001 transcript:CAK72333 MNQFLFHVGLIEDELIKGQEKKKEIVKNRRERNAEMKEQKVLTAPEFSIVDTQKEILSKF KSALKDKLTEINQQEIDQAQSAKSSQELKKWKSVSYKQRYYQEKFGIKPTQFSEFQHRIK ISYLEALAWTLKYYYQGCVDWGWFYPYHYAPFAQDLTNLDQCMFEFSLNTPFKPYQQLLA VLPPQSAQFLPRPYQQLMLESSSSIADFYPTDFVVDLKGKKFAWLGEVVLPFVDADRLIS ASNIGVNLSKLEQERDRLGQTLIFSLKKHEIFNFQQCHIQAQVDWLPRFPVGSEIKSPIP TMGNILNNQIICGALLKTMIESDATFIQNQIRKGTIMPPEFLDEYYMNQCEQNKRSFGGE AVRRIVYSILGVKQVRRLDRSQDDFDQIKKQTKL >CAK72334 pep:novel supercontig:GCA_000165425.1:CT868119:314327:314648:-1 gene:GSPATT00008776001 transcript:CAK72334 MRPIPWYVRLGIDVTIFTVPILMLASYPRLGTHFLNNRRRKYRRRNFDEIQYRNDSTLSS EEVISFRKRLGFQDLI >CAK72335 pep:novel supercontig:GCA_000165425.1:CT868119:314669:315645:1 gene:GSPATT00008777001 transcript:CAK72335 MQNNNCLFCEKQRIEGTIHYPTLLQTVPIQFIKALYLKYNSQDEMSIDDLIKHAHKKWVI DFYEKSQEIQDQEFLKKYYDREHGIVPKLIDLTEYYKYSLDTPNLFMLPLFKLIKNYNKL RKEYHYTKIKYKLKILVPQNGIKVDKKYTQLLQLTMSNQEDEQQSLISLLNKFQPQQIAI PKQLKSYTQQSCIQTTKLHTKPLIKKSEQASYKLKSIVNGDIVQQQPQLLSQQQQYQLQR RTQSKSHSNIKFHTLQSVNNNSKKQSIAYNKSNLQPIKTLGQSKIGSQADIISNIQIQNT NSIGKTRSRRKLIQLFYK >CAK72336 pep:novel supercontig:GCA_000165425.1:CT868119:316673:318395:1 gene:GSPATT00008778001 transcript:CAK72336 MGCLQPKKLNRIVAMQTTNKDLVFTSTADIHKLYSFGKVLGIGAFGKVLVAKMRNNNSKQ YAIKMIDKRKVRGREAMLANEIYVLQKLDHPNIIKFYEVYQNELYFYIIMDYCEGGELVE RIQKSQKNLSEGQVQNIIFKICSAIMYIHEQGIIHRDIKPENILFSTKDPNAEPKLIDFG LAIKFDSSNLKQLKAAVGTPLYLAPEVIEGKYNEKCDVWSLGILLFHLLCGYPPFYGKNR TDLYENIQYQNLIFDRRHWNNVSEEAKDLIKKMLNKNHNIRPSAKDCLRHSWFRKKFQNP VKLQRGRSTIISMKSPQSEDQRSIYQMLKTYRIGAKFKKEVMKVLVNQMNEKELGRLKQV FQKIDVDNSGTITVQELKEALLEEGSQISHEEIEQLIQLVGFEVFEEDKDDNDCASTTKS SKPLVIKYSDFLAACVDERRVLTREKLWSVFKYFDTQDVNFIMKEDIKEALARHGRQLSD EKIEEMIYEIDPNHDNKISFEEFCQMMGVAGVEQTMDFKDEVKEQQIFHQSPE >CAK72337 pep:novel supercontig:GCA_000165425.1:CT868119:318657:320198:1 gene:GSPATT00008779001 transcript:CAK72337 MVFIILILLLGGSQSQGCQPYGVRLFLGHYFSYLRNSQDLIRVVFNTEKECKDQFILELV DNKLGHKLMISQITYYDTQLLNMTQIYEKNETTHFTYETFIHTFKVGLNNIDLNASTTYD YTIISKQNQILSGPHRFDIPWAESEQKMIVFGDMDSNWVQNYSKDTFDWLENQVKADKRY DTVLFTGDMAYDLESKNCQQGDNWLRNLSVFTSRYPFMAAPGNHDWGNNTYFDFFRANFG SLFLKEYNTQHYLNDFFSFDVGMVHFIQFNPIKAVYQNDIYNITPLIVEQMRNDLIQANY NREKVPWIIVYTHYPIYCAVPKNDQCINNFKYLSAFEDMLVEFKVDLYLSGHVHTYQRNK PYYKNTTAKYIQKDNIISQYQYPVQIIEGAGGTDYGEQNSTYPDSPFMEIQNPNHGVGII TVKNSTHLYFEHITVADNKVIDSIWLDRSSDKTKTTTRDGFEVAMWVIFSVLIVITGVSI YYKSNQGKKLKSKLLEETVTMVVT >CAK72338 pep:novel supercontig:GCA_000165425.1:CT868119:320615:322945:1 gene:GSPATT00008780001 transcript:CAK72338 MLYLFIIIILQQLLITQQCQIFNEKILLGDYYTNNGSILAVIEFKSYEFCEIPIKINLIQ LRYESSFIDQQYYVYTVPIESHFMIKFNMDNNVTLGDLQLTLRLPKKYGRESISIVEKEG SYQLINNNSIFNVPPFEATKSINVESIHYILINRCEIGKVIKDLQKPFGKWTLLIGKYSC MKKLSLLKYDAYIQIGENHFITFLQTNQQFSENVIKMTFLIHNDNKLEYNYYFNEELVQQ EFVVKNTLQQPGPESDCLPYGQRISLGYYYTNYNDSNELINIAYNTLSNCPSGFLHIYDN DNVDQLVSLSEIRTLNMSQIYENTPYTFTYVTYINIVSLNQSILPKLGQIYNYQIYGDIN QESETYQFKVPLKEPDNQIHKIIFFGDMDSNWTGNKSKQTFDWFQSIQNNQSDYDVLIFE GDMAYDLESLDCQQGDFWLRNMTTFTSYYPLLPTPGNHDSGDNYEFDFYRVSFLSPEKPY NTKENYYNFYSVDLGLVHYIFYNPTNIVYDECNQTEIDIMVSIMENDLIEATKNRDKIPW IIVNSHYPMYCSDATDPMCSSNFIALNPFAELFTKYGVAIYMSAHQHNYERDAPFIYNKS QINTGLITDGPEQHLIMNSAAPVYVIEGSAGQEYFTPLVPYPAQPYTVYQTGYNDGVGIL SIYNETHLYFEQIDLIENRVVDYFWVVQSRGLASERTFNILLWVIMAFAMVLVIGLAVFY VMRRQMIKEKLIN >CAK72339 pep:novel supercontig:GCA_000165425.1:CT868119:323443:325125:1 gene:GSPATT00008781001 transcript:CAK72339 MEISNRKDIEKLIAYSKSIQVGLIEDDFNDQYTPPEKHVYDLEPVPEIKLEELETNLNED EMLKLLKQNVQSAKMKESVSSEQDSVESQDSMERRSTPPKRKQQQQQQVQIPIQVPVQKS QTTVDMSEFNKVPEEVLQFLDGAKGRKSMLGAAIKNNKGTSMLLASQGKPIKIYFFNTQQ HIQIIVAKNTTVLQVIRMSITEYQNNNNFDQKLLRYPNNVDAYEIRFVDDDFEFKAEMAF GAIDKKKEILSTQTNIFSLIEISNYKEQKNDMQIFEKINPNMVLMDVVIIDQKPEKNVIT KVQLDKNSIVADIITQISKKNKQINQLNYLIQLNDDDTILDLDLRMPVVQLQNKKIQIKQ KTWADQAEQQTINTQRRDTITEQDDYVDAPLNHIQAFKYEKFTVVKINERGKRQDRILGI DQFRIYNMNKKENLGLFERMLTNKITGTKFPERLIEDIVDIRIANQFLYITFRQENDFKE LKFDTLDSKIAQKIYKKINFIRDFQITTQKVRTYSQKLD >CAK72340 pep:novel supercontig:GCA_000165425.1:CT868119:325195:328208:-1 gene:GSPATT00008782001 transcript:CAK72340 MLSQVELKYDPFLKEIELYSKTRRSLKAIKNQGQELYVVSKQWLRQWKYYVEYIEGIAPN QHRDKPGALNSELISNENFLLKYPRDHAFNQKIIKLQPEDYVLVNKEMINFWENEYGADL KIARLVHFNKQGVQLSNVNLLRQSVYINVQNPKRGILQVDETCLIKNWIQLLSAAVKNEF QIKVEKIRLWKHKYFQGDYAIKQLEEHQGRFNGEILDENLKVGDCKADLIFIDIQTDGKW QYDILEMKIACSEQFKHLYEKASKGCGKFICDKDYCKSNPIFSQIGFDKKTLQQFLIQQF KEDTIDWNNVCWNQVIEQKPIQQFEGDIVVALGALSNFPNSFGASFILNFNNCYDVINYN NLNPELNLQVIQQVNNVINVKILGQWVNNLIANQKQNIYFVRSIIIIMHFKQFNVFLHQE QNRILEAFLTMNAEDKNLLSNYLTILPDEYFIRYIQTITEIVGTFLKKESIKQIQLLKKS EIQYVQLMLELLQVFYRSNLIVKRLNPTKFQIAEIAKLYPKYGDQLEYFQFDSYIKNDLE RYYFTFCQYPWVIPLEFKSEILAIDSRMNQKHYYESSLMLFQPFLKLQIDRNDVVRSALE ALSRDDINLKAKLQVVFQGEQGIDQGGLAREFFSLLTQKLFDLNFGMFLPKNNNSILWFN KHNMEMPIKYELIGMILGLALYNQVNLDLQFPQVIFTKLMNEPTCFEDLKELDLEVYKGL NTLAEYKQDNIEEVFALNFTITESVWDDIIVVELLPNGSQILVNQKNKHEYIQLCVNYYL NESIKTPFNSFKKGFWRVVEGNGIKLFSGSELQQLIVGQKNFNLQELEDSTEYDGFDKNS EYIKQFWTFLRSLNEEQQKRFLFFCTGSDRIPVGGLKSLKLQIQKHGENSEQLPSAHTCF NVFLLPQYDSIGKMITKLQIALQNCEGFGLM >CAK72341 pep:novel supercontig:GCA_000165425.1:CT868119:328925:329392:-1 gene:GSPATT00008783001 transcript:CAK72341 MIDNHYDILGIKRDAKQHQIKKAYHKLALKWHPDKNREQRAFALDQFHRINEAYHTLSKL ELKLLYDHQLSQYESIESIKNLINLLRHNDSMDQNNDFDYSYDNLLSEEEQELLRQFKRR INEPGISKKIRKFH >CAK72342 pep:novel supercontig:GCA_000165425.1:CT868119:329591:331596:-1 gene:GSPATT00008784001 transcript:CAK72342 MNLKIENAQQSEENAKHLDDAKESYFSNSKVLDFQNIDQYNDSNNFASFYALNRLSNHLE NRASYNQNSQSVILMQKYKRQKMIRTVFFYIYLIITVFQRPTWCNFVDYQVKSMLFQEYC SIYNGQKLPTMVNFYLPISLYYCIEILIVLYLCLSKVFKATILGSESKKRAAIVQLFITF LIIVNDVTVMILENNSPINISLLMKPILIVLQKRTLRATIFHYCQIVNKGKEIYYLMIFS LIFFAGLGSAIFQQKENVPSESTIQQCIVIILFVLQTTVNSPDIYLPYYGESRGYVAYFI LFQFINTTLIINFVLAFFYSSYKDLMQKETKQILSKYNHRFSLKTQKFFRTRTGSDITRL ADLSSLDNEISRSIYQILDNRFYEIFIEIISTLSFIMIFFTITNVKLWILLICNSIMFGE CLLLIYYTGKRRIWRKKSLIIEFIFSSSILFLIILNMLGYHDQKILLVLLCYRLLRGCRW LLKNKSFTVLIISITSTFQYIVQLFGALFILFMLFTAVGQLLFGGRIFFSDENQVHYELA NFNDFLSGMCTCWFLLIINNWNVISYNYAKIFNTDLVYIFFISFYIVVVLFTLNVTMALL IEYIVQKLNVKNQTDASSQEPQDEMLSNQQSLK >CAK72343 pep:novel supercontig:GCA_000165425.1:CT868119:331866:332526:-1 gene:GSPATT00008785001 transcript:CAK72343 MKRSKINQFIIFISMLIHLIYVVLNILIIFNDFFDVSLQHQIFHLLLWITIGVQVYKYTL QWVLQDKEKAESVFMENIQKLTSLSKLPETLLRQYIMPQLIDAITLKNIQHTVAFFIALN LGISYLSLFLTNKAVRSISLHLLYGLYYHYNKNQQPYQFWIQRIKKIISEKYDALISKIQ KQKV >CAK72344 pep:novel supercontig:GCA_000165425.1:CT868119:332949:333155:1 gene:GSPATT00008786001 transcript:CAK72344 MNIPSEFKSVTDYAVICGKRCQLFENFLRGRKLKNFEDCLYNCAEHVKDNIYKLQIDKSD E >CAK72345 pep:novel supercontig:GCA_000165425.1:CT868119:333223:333444:-1 gene:GSPATT00008787001 transcript:CAK72345 MKDDKVTDLDIKEKQLQIEESTTKILDQTKQKGELIEEINQQLKEQLLKKENKGKIQKNT INQFRECNKKNDQ >CAK72346 pep:novel supercontig:GCA_000165425.1:CT868119:333556:333821:-1 gene:GSPATT00008788001 transcript:CAK72346 MIDQHIPKDNRRGEEIVQKSVGEDGKQKQDIQHKIYTKQAVEIGELDKNNPRYTKSQQVN TDSVDIDEKFGKKGMQSQKD >CAK72347 pep:novel supercontig:GCA_000165425.1:CT868119:334213:334950:1 gene:GSPATT00008789001 transcript:CAK72347 MQTLLQQNLQKVLQTIVKASETTNKQVTLVAVSKTKPVELIKEAYEGGQKHFGENYVNEL IEKAPLLPNDISWHFIGHLQTNKVSTIMKIQNLEFIQSVDSLKLAQKIEKHCEKLGRNIN IFVQIKLSEEESKTGAEIDEAKLIIQEIITKFKFIKLIGLMTIGPIGNKEIFQQLVDLAK KFENEFNLQPLNLSMGMSGDYLDAIKYGADYVRVGSAIFGERNVKQ >CAK72348 pep:novel supercontig:GCA_000165425.1:CT868119:335181:336054:1 gene:GSPATT00008790001 transcript:CAK72348 MQKVNIAAIKSDKDFINLLDKVGKRHERDDQKQDKQNTENRILTSNKKNSKQFYSFSILH KSNVVVKDHNKIILQTFHNNIKLSEINSVQFNDIPLSERQTKRSNIVQRNLYFGLHTQTQ QTQRSEFQSINEEVIQSGLCKTQRNNRMDQIQERFNSCKEYLSKEQQRLKMAEEQIEKNQ LILEDLAQELEIVNRNKAIFNSIKNLDQQVYKNELNFINYRKVTEELYEFIQQTQIKHFK KQELILPFIESFKNELNCMLIEYESQIKRNQVK >CAK72349 pep:novel supercontig:GCA_000165425.1:CT868119:336346:337907:-1 gene:GSPATT00008791001 transcript:CAK72349 MNQGKQRQSSVHTEQQNRKSYRRSASAVQSGRKISHSAITLGDNQIQITMINNITQCLAK KKIYVEIYSGPQQNDRNQSDCEDEILYALGASIVKKLSKHTHYLIWQYGFLSTLEKAKEW NIKIVNPMWVNECLTKKREVDASNFPIIELTPQEIQELLKKERVHIKKGKQSNQQIQDEE EEEVNEFKTRHIFSLYKNQLQQIAKGFNKSHVPISNFKQTSKNLIHEELDTEQLEASQKI NSQFLSKKSSKALKSKQQQIDQKFTLAIHKSCDCNMLNLFEHINSNDQYQLVTEEDMKIK CDILIINEDKLIYDLVFCCCCLNKQTKIISQKWILKQQQNLKKEIAFNPFQLTYNMQKKI LFPYNFYVYFSKPKSSQQKTINQNLRSGLEQLIQNFGGKITQKEFCDIMIVMKIMFKTTA QAILNSNPNIKIIHAEWLYKSIQQGCLIDLNEYIIQQ >CAK72350 pep:novel supercontig:GCA_000165425.1:CT868119:337950:338246:-1 gene:GSPATT00008792001 transcript:CAK72350 MGQICKLPSTEESNLDTHSNDIRPLSQDQQLLQEQILSDNQFNNIPNQYSLQLSCTPEIV IPQYGQKMHSLQDSQYTPEFLGISQPFQQQVNIYQQQF >CAK72351 pep:novel supercontig:GCA_000165425.1:CT868119:338335:340181:-1 gene:GSPATT00008793001 transcript:CAK72351 MFLSVSGSGFHMNYRQEVRLPKLQGHKVQAYSMPKYKQLNSPDEKPTSPDRFLKYLNNKV QSQSGVYRNPVAVQSSMILIAKQMKFSSDQHTHRQKEKSIKIIEQSNEYKYNLGLGNNHE LVQRIMDTRVDWQQTKDNSSMFVNFRWQQWNRGYKYDRLILNNQYKQMVNHFENHPELSN KQYLFRNLCSYSEQNKQSVYDSVPITFVLDFKDDSVDQQFTQFVKFFDKYAPKKIDQMAK KLVEYKKKLKIPVQPMNDKKIQPIPIRIPKTQSGNQYLWLLKPTFLNRGQGIHVVNDLDT IISLICEYQEGHQTVEDEDPKNNKKNNNIKANSFVIQKYIEQPFLINQRKFDIRVWVLVT QDLHCYFFKEGYIRTSCENYNTEDVSNQFIHLTNNAIQKYSEKYGEFEDGNQLSFDDFQN YLNQQGFNIDFQQNNVTKMKQLVWMSMQSVKRKLNLHQRKFCMEIFGYDFIIDQDFKTWL IEINTNPCIEESSGILKMLLPRMLDDAFKMTIDVLFPPKQKLEIVESTFPVNKYSNTESL WESIGNLDSPKTATKQSALLLPNQLSSQIQQFQTILPIYQGDL >CAK72352 pep:novel supercontig:GCA_000165425.1:CT868119:340209:341876:1 gene:GSPATT00008794001 transcript:CAK72352 MYQPADREKIELYISARKLANKDVISDSDPKCKIFLRDFNGVEKQVGQTEVLKNNLNPDW KTTIQMDFIFEIKQELRFEVWDHDDGSIDKDDLIGVVNTTVGEIMGSRNQVYSGQLKLKN KATGTLLIKGDKLRDDNRYIFWQWEGKKIKNVDGWFGNSDPFLRFYKQSGNDWLFVHETE FCKNNENPHWKAFEISMAKLNGGHTQQQIKVECWDNQVDGKHQFIGETFFTTHQIENELK KEFISLSKKGTNHGTFVLTQFNVFIKPSFIDYIKGGEQINLMIAVDFTGSNGDPKSANSL HYNSPHQMNQYQSALHQVSEILLNYDFDKKIPMYGFGGLIIGIDALYNHSFPLTGSFQQP EVIGLEGIMAQYSGALQHVTLSGPTYFAPIIQYACQTAQQNVNHNIYSVLMILTDGEIHD MNQTIQLIKGAARIPLSIIIIGVGDEQFQQMRTLDGDNGPLKGSSARDLVQFVPFRDFKQ TGELAKEVLAELPNQLVTYKQLLGLQPLKAPDVHLSQMM >CAK72353 pep:novel supercontig:GCA_000165425.1:CT868119:342036:343742:1 gene:GSPATT00008795001 transcript:CAK72353 MQQQVHNKDREKIELYFSGRGLADKDVLSQSDPQCYIYLKEGQQGQERLIGKTEVKDNNL NPDWKTSVVLDFIFEINQFLRIVVMDHDGKNVENDDIIGSLNTTIGAVMGSKNQIYIGQL THNNKKTGRLIIKADKRKDIGEENQMIYWQWNATKVKNMDGWFGVSDPFLRFLKWHQNSD WLLVHETEFIKNNENPTWKPFEIPHDKLHDENPQQPFKIELWDNQTNGKHQFIGAIEVTI EQIIFKEIYQFVVKTPKGDLGGNLGVKFIDKKTFDLQMKDKHTFIDYLKGGDQINLIIAI DFTGSNGNPSSPGSLHYNDPEHLNQYQNALKQVAEILLNYDYDKKVPLYGFGGVPSLPNF KKSSVEHCFPLNGNKSDPEVYGLDGIMSTYSNALNHIDLSGPTIFGPVIENAIEISKANL KKDIYSVLLIMTDGQIEDMNHCLNLIKKAYRLPLSIIIVGVGNANFNMMEKLDGDDAQHE RDLVQFVPFIIHSQQPGDLAKELLAELPDQFLIFKKLIGKNPNPSKDIDLSQIN >CAK72354 pep:novel supercontig:GCA_000165425.1:CT868119:343901:346022:-1 gene:GSPATT00008796001 transcript:CAK72354 MQIIKLALPQILNVLFSIFCIIYLQLRGQIFNLLIPQIIFLVFGIIFSLAAIRKTNQNIQ QIDHSPMFFTILLLLQFASLLYLSNDNLSENLLSLLFGNMLQLQKLKSNEKNEKRQFLNI KILLQAIALLLIFIVLIIFKHMTNHIEYLIIAAIMILIVCSYEFLLSNNKNQPLQIEQSK TIVQRRVSLPIQSQYPPQNPQSMWEKFIEQQYIFVSYRNNEVVIEKMSSSLMQILKEKTL TIEEYLKKIQLFNIPYESEYILTNNMFVNMSTNLYSYIKDQIIDEKYSAVIQRRKSQKDI NDDQQLQKLVSPQNEQRSRLQSVQKSEANLQKGNTLNLNNSSLQYIDREFLSGSVMKNLD NFIAKTPENNSQVSTKKPLYGIIQFDKAFYKKIQFNYWTQGLIIQMEDETIENIKTCANN VQNGYKIEVTQKQLNKLIQMIENLEQKFNNLLSPQMKENKLFSQAQLQLYMLNVSIHNFY YFLCDGLASPRQDQFNLIKFLKAIKTKFIQDTTVVEKSIDIIVSDDLINKMITTDVRYLR QIVVNLLVNSIKSYKKPDISNMVQIQISQIANDDIKFEIYDKASGFPQPLDYKRVKEGKL GIFIVQKLLPYMSKNPKLQFKTVHFENNQAGSSISFLLQRQMNPISNSLQNSQNNLLQQD SCKLAQIVQSQLVTQQQDFI >CAK72355 pep:novel supercontig:GCA_000165425.1:CT868119:346148:347258:-1 gene:GSPATT00008797001 transcript:CAK72355 MKLVNIHQTIRSKSENRRQTSREQTNTDQKCKLPQLMDKQISQKTFKKPPLQQKSRRIIN SKIPKVEIQLYYGSLSKAGFDGFCEKTNQDREFAEIIDDEQGIFAVMDGHGTDGDQISTF VRDYFQQYAIKEFKTIDFVKLFASAHSNVASHSHFDSLMSGTTATLIVIRDQVIHCAWVG DSRAMLCSKQQDKLITTELSIDHKPHLLKEKKRIENQGGAVNTYKLQNGQSVGPSRVYIK GASFPGLAMSRSIGDQIAEQVGVSHVPDIKQHQITRDDLFIIIGSDGLWEFLDNNQIAEI THQYYLNNDPQGACQKLIQESKTKWKKFSEGVDDITVIVVFLQNSNT >CAK72356 pep:novel supercontig:GCA_000165425.1:CT868119:347268:349210:1 gene:GSPATT00008798001 transcript:CAK72356 MLENQMLQFLNSDFQQFTQLDKQDKQDENTETEVPNTSQRQLRVKSFEEKPANKPLNLIF QMLLQKKTQKRQQIKVDPKSIPLSINLSIQVQELPIIRKIMEKNEWKKAHNFDGDILWCG PDNVQQAKQFTYDFAMVFMNLCISRIPGAKLISTKKETTSIMAKMRQYNMCHIILDIFRL FIIFIQKPTPCQRIYMNSLRILKKRMASPTQLNPIRELRVKESSQSAKQKIYSTMVSRMS PIQRKTILYKSIQAIPYYWMTRNSIFDSYINEEGLARLCTENYQDITSSNLSNVYMHLTN YSLNKNSQNFIIHPPDFQKMNLGTKRTYTSAKKTLMKMGIDVPKLKLRIEQTIVRFLYGI APFLLNSTREVYQDKWEKANCFHVIGFDILIDKDLKPWIIEINANPSLEITQVVQNANGS KRVETSSLDAFVKTKVIEDAFIIAVKTPQEQIEQIGKGKYCNSYKMIIDGQPPIENLDLF YKLVDLYSNLCGLRYGNLNQMRFCRLANNPQLINELLIKSDYDLIYKKIQLKSLQTDLNF LGFIEAIESISEKLLKRKASNYTLQKAVTYVVNMALKAPQSQQRPLTAKR >CAK72357 pep:novel supercontig:GCA_000165425.1:CT868119:349267:350632:1 gene:GSPATT00008799001 transcript:CAK72357 MFYVVKGLKTKLSIEPNYLDQLKDQKFILEQIVQKLEGKYFDDVGYIICINKCMNYNQNQ DEQIDALIEEDGTVKVVIKFEAIVFKVQEKEIVDVEVIKCEAQAVYAKLGPIDFIIPRDK LPTNYTFSNVYLSLLTQESYKDQDEEVIKQGTKLRVQVQKTHYKQGKLRAFAHLTGDNLD NICGILSDDLKVNQQIIHDSKNYSSILQLCEDEQSKQKYQRNNNTQDQLKQITEIVIKKQ QEFLGLEKQLQKYESEVRQNIRDQYLMQQQCDDLKSRIEELESSRTEFLNNTKNIIIKFK RENEELYQKCKSLTAQLQKIDNQSISKYSTIDNTVMTNLTNIVDQKKPNKKTNTTPQKLK TDFDVSEKRLSQPIFKPNYFQKKQSIQISIQNKKKSFQQLENYLNVTTSM >CAK72358 pep:novel supercontig:GCA_000165425.1:CT868119:350650:351147:-1 gene:GSPATT00008800001 transcript:CAK72358 MEIIKQLLCEIQQSQSKGQGLLIDHYLVGYLFGTGQFNSIEEVKGLIKELSEIKQDMDDS KISSFSRDYYINLILMRSGAHSQQFLSFVQKQKKQTNHQVILEDNKKKTHQKEEDKQQIT QIPSAEQNLQQRDELNENIKQSQKQYNAHIQKAIQHSKQHNQKQN >CAK72359 pep:novel supercontig:GCA_000165425.1:CT868119:352664:353600:1 gene:GSPATT00008801001 transcript:CAK72359 MNQEEKKNENKEPEVKDNVEDFGIKVLSAEEQKKIMLQISQEESFNLKNIEQREDDAVFI EDEPEKALAKLLALNQLNFQERQAYAKHLAELIILIGNEAQQQLTQIINQLINDSDEMKK ILIHQLDLLFDQIDVSKIKQHILPALMQLIKYHNFDIQSDVQKQLSRVIQKLSKEEIKDL ILNDLVLMIHDESNEENKMIALQFFGSYAQIADQQSLESFISTEIINAGEDISVRVRKES IQQLPSIAKSVRPEFFVSKILPYYLQYIYHQIILIENLKISHHGIYAKPVQKQL >CAK72360 pep:novel supercontig:GCA_000165425.1:CT868119:353654:354761:1 gene:GSPATT00008802001 transcript:CAK72360 MIDFLKDSNKWVKASAFFLLGYFIHKLHDCNQKNEQLLNEYCRSINKDVCEYFSSDQEIY DACAATFHQVVEIYGQEKWPNLFKLLQGLVKNKGVRKVLADNLYIIAKSCGPRYAEKDLV FILDSFLKDLNDEVKYAAAQNLWEFIRIFDEDKRDNLLDVVLIIQRDQKKWRIRHLIAKQ IKHLVPLYSVDNIFQIIVPITLKLCNDIVAVVRKQAAKEMHSILQSLNTEDETSQIYYQC LIENIKAYGISHRFNQRQAFAYMCSKLITLKDFESTFLEQFVSLSLDPVKNVRITVALIV QKRFQQGHLKDNQKIQQMVQNLKSHKDREVLTILN >CAK72361 pep:novel supercontig:GCA_000165425.1:CT868119:355361:356275:-1 gene:GSPATT00008803001 transcript:CAK72361 MWLFKYRMIFQFIFSLHQYLADKVLLPKISHLIIFPLLYFLIIIVICPRSLLQSLNFNTK KLAILIIQDLYIDYDIKLLILKSHIQQGFHHLQVDHKFKNKCFDWNYNLRINQHPKLISI LAQFKQIPYGKYLIIFIVLKSPALSQISPAIINMEPQQRQIKTIIRIFNTRRFCINNISI MQRYQVSDQIKRQQHQGSRMIDLLQFQTQIQQAVTQNQLQVESFIKQLFHLFYQLHKVYY LIGLYSTFVIFNLQNLLTGPYAFALYFKCSKYSKYLIFQQSFTIEDIFNSQIFSSINIYQ QKQY >CAK72362 pep:novel supercontig:GCA_000165425.1:CT868119:356551:361850:1 gene:GSPATT00008804001 transcript:CAK72362 MIMIIPKFPQEFFIIPHLLHHVQLLGLFLERSSDQAFDYAVVLTHFSLIEPMLTYELSTI FLFVIFAFQIILLIYLLFATFETQRVSLNFKLRLILEKKIESVNSILHWYFTFYSIFTIP IIVISVKFMLTNYYIFGYFNALLQYCQGIILIYLLRNHRFAENNSFKRRFAYVQLFNYTI GYLLIFFRFYQEILFVKYIFGFSYAFLQILDQFYSCPYRDPFREPSLKVAMVLFSSIFIC LMFSLEIIVDGQLFWTLFVAALMSGVSTSISSLFHEFAMTNYNSRFNLQEKYIIIGVDQF YSHFIHFQTSEYNKLTYFQQLLKHNENCLRLKCPSQRTKLSKMNFNDKIQLQKLTIDVVS CIFKTCHSRTVVKNGEIHKPKFEQLQLQYISFLSSTAQRPLIGYVELRKYQQDQNSDNSL YFRELTSKISAELEFSILYLQERMIQQQFRKERYMAKEEKLTIAQLWRKITPKIIEVIEK KQKFWDNLIEGYDDLEPFHKEQLQLCKSIDELYKSIKSTIIIPEVFFDRSKDISLQTVLK TLDPEDRNINILNLKLYSILYAVILNDFDRAFQAEKQIEELIKEDRQKSVEVIDDCALLK DEAVIILVSLVRKKGHIVNKNLLTLANFFEFQNVQDIAAQTLISSFLPPDLRILHDAQLN DFISKGYTKYSVQSIHSYFLQKQGYLRECYIKLGNLFQDSSDFVLTGSILRLQPSYDVIM FDSYGKIIGSTQDFFEKRILPNNPNMTMERFVEQGHIFMLMPFIFINLRKLANEIETEFV DYTYFPEQLQDICLQFGQIFNDRQKYDQKLSSYNLTTIKSQLVSHGSAVSARSFNNQEEQ VKIKGQNIPMLQVERMNLILDFLQKYHDEQTIKCDVLKIKYNLEFQIMETKKERYPYFVL KLEPLSEFERSDSSSIIPYKKSIRRTLMALKYGESQTGLDSTNTKNFMTRHLQENSDQLN ETADLKGPSDQQILEQIMEINNSNISKLLKQQDESKVIFENPQISRIEDQDFQQLVQDIE ESKINAQQPEQEQFDDPKYESDLIEKKSDSSKDLQKKKKNDILEILRKNMNNQEVQDHEN KQSSKPSSAKTSSSKSPYLLIRSLYQFGEINTQMWLIIILNIVVCLTALILVFIKIYIVQ DQFGILQTNLNYVQYPEKINNYFLKTLMFSSNILQQKLNFVNYSQIVNDAFKTEFNTLAL NIEHDLKSIYEDIITFENQVIPLNDNLKIINNLQQFEITFDLTILLEQISSNSINLNQQI QNELDEKFVSLNLFFKLNMVQVITVSKSYINYLNDNLIFYEQQIVNALIYVVVAELSVIT TFMFLIIKVLQDVNQLIRKILVLITKLSEDDVNQINKIYNEIKTILKDPKQVLWKQTNFV KYVFESNFVKAKEDLNFLQSSSGQSTAKNNKQKFKKRYQNSSLTSRVYNLRLPQIWNYAF LFGSWLFLAGYLLGALGLSISMVQSIKPAITLNLQLIEFKLKFDSLVVYGEILKTDYLVN KKLNQIFELSQIDFNQDGVLSEFFSLQNGFQDQLSVIYDQLASSTSLLEEQKNTLLVPFR SSLCESDPTLVPACTNSFAPASSDNSLDYISGGIVDMVHEFSKTLKTFWQSNDYAITDED QLEHFLSGQVHTTEFTHHFLFQGKIMEQIASTMLNLNKSTLDSVILKFQIYMYTMGLAMI IIYILCFYKWILRLDNGMYLTKLALTLIPADSLTEQKTMTDLKNIQNE >CAK72363 pep:novel supercontig:GCA_000165425.1:CT868119:362460:364151:1 gene:GSPATT00008805001 transcript:CAK72363 MNYKLTEPLQAQDQKPEIVGEFITPKGKRRVLHGFSNSIIVANKKKFISLTFETKFQLLR ESVEEKFKVGKALGLLIERENIEHKIKLFSDDQQLLKRWRDYLAKHINQRAFHESFKAHR KIGKGNFASVYLADRLEDERSFAIKAFSKEVAYGQDKGKLSILNEISVMRQFDSHALIKL HEVYETENSLYMVLDLLEGGSLYDKVKNRPQFNSFEIEVLLFTLLEGLQHMHSKHIMHRD LKPENILFRKANNIQSVCIADFGLAQNADEFPYLFNRCGTPGFVAPEVVNCKDGGRYDPI CDVYSLGLIFYILLTGKPAFPGKSYNDVLAKNRKCEISFDGAIFENVPQQAYDLLRKMLE KEPQKRITAQQALGHSYFGRRVKQVEENEETTLKIQEEHLKFDKQRLKQLSNSPLHSPLI TASSKLRKDCSNDSLYQNSPLLNGHTDQIDSPSNNGFNSPSAQGRQLNRGDQQQQQKPSR FRDFDGNNTNGQGSYQNSNSKPFSLNQNPLHKYAIRNEMVRQQNNQEQKQ >CAK72364 pep:novel supercontig:GCA_000165425.1:CT868119:364813:366514:1 gene:GSPATT00008806001 transcript:CAK72364 MSESIDINDIITLNRNIYGTQQQNKESKILQKPADDSSLTIDNILKGNQAFYAPVSVKAE QINEKIAEESAEEKTDFFQEVQLLSKIPEITSLNSIYNYKTIFKNDGIPYDEVKNLDKTR IKRKEREQKGSETSIAKEAVVVKKQYVRLYKNQISKLCFITPKSLLLTSKHLVNNTKWEK LLNLQDFGGQRPSLSIVQEKTTGRFYIYDNKLGKVHIYSSDMLINDNKDKPLVSLNLNED KPRQDPLLYLIECEHEYQWKSVLLVIGGHQLQRGEKKPLKTIKVFEIKRREVTLTPVLTI TMTKSRMNPIVFDLIKDKGIIWQDKDNRDKEKFDPQHRSVDSKYIFFMGGNPLLEYEKEI SQELIEANQTCEYVSLKLVLEHIARAKLFFQTDQANLGLNFGKFSIVDTLTIKYQNIVAT QKVYNHFYNASVTKMVDEVFQQKALIVVGGNTCQTFQIQAISFKLNEITLIGYNKQISNP SLIHSASNLRYFEKKVWYFDDTNKKDPMVLMNMDFRRDIKTSCTCSIM >CAK72365 pep:novel supercontig:GCA_000165425.1:CT868119:366554:367198:1 gene:GSPATT00008807001 transcript:CAK72365 MLNFKNIYRLFIQAKPTPNPNFLKFIPDGKQVMLNGTYDFSRPREAKCSPLAQKLFMIDG VNRVFYGKDYISISKNEESKWEELKPQIFEQIMEHYQLDAEGKEKQLIIDGYSENQDTQI KEEDSEAIQLIKEIIDTRIRPTVQEDGGDIVFRDFDEQNGIVHLYMKGSCAGCPSSSITL KNGIERMLCHYVAEVKEVVAEDYVGSD >CAK72366 pep:novel supercontig:GCA_000165425.1:CT868119:368467:372564:1 gene:GSPATT00008808001 transcript:CAK72366 MSDSSPEKKQNELEMTRQKKDSDTNNQILTVLNDQQEESQQEQQPLNLQEGQDEPSMLTI PEEEEEKFYPYYHGEDSWMISKFFFLHLTKYSLFLKRNVLDKGHKIADKHLPKLSPWEDL KETVEVSQRTVNQKKKISCGDLVKIIFFGQLKWISIGCFFSYCLEAVVRNGVSMVMSKVI TSAAKDERDNAYGFAILLVFLNLLCVFTRHHGYNLAMIFSSKARMVLINLVYIKLTELSA YSIKEANIGKILNLVSGDINYLEFVLIMIFQSSVCFISIIFGCYILWDRFSGPIGMIALA IIFVAYPIQIVLQSFNSETLKNSKQYQDQRLKLTNELIEGVRLIKMYAWEQAFNNMISIM RKKEYICLLTISFRTAIDRLFSLISQVWSSFVFFVILYYGGFRQTMNVAEMISTIQLLTF FKISCVFMVSYGIQSIIHIKVSFTRIATILNIENSEMLNLDKLQLKTDNNTQHQDYNGPR IQLQNFTSFWTSKVSATTKPVLKNLTLDIQAGEAWAFIGRVGCGKSTLLSAFLYEIPAYK GSFKIDGQEANKGVLSIAYVEQEPFIFPDTIKKNILFGRPYDKVLYQKVLHASQLEADLS LMKFQDHTEIGERGTTLSGGQKARLSLARALYQQADLYLFDDPLSAVDASVAKNIFHMAI KEFIFDYQIKRNPSKKKPIVILVTHQVQYAVECDKIAILNDGELISQGTYEDIKSNLYMI NEELAQQLNNTKETTKQEFVRPQFQKRTKIRSSVANNLIGKEADQQSLINLKTYVRYYKF WNFLIILCVLCLEAGSEVLNNFYQRIISLFQEYQQEDDIDTAYYLLGMLTLGLFLSNFFK YALNTYSVQTSTQKIHQQMLRSLTLAPVSYFDVNPSGRIINRFSNDLSLCDNQTNSVSLD VLEIMGGFFFALITLAILQPYFLIMIVFIIVIDIYQYSYAKKIISQLKEVELMQRSPLFD FLKKTLGGVIQVRVYEQQAWFRKQFLEISNKCNLNALTYYYSSRSFGFNLDVVGFIAQTV GIFIFVKLNYDNVAILSQGLLLLTTYNDSLQWGLRQLITFETQMNSYNRMFQVIDIQPEA PHVKEEDTKYPDFPKDGRVKFENVFMRYRQNCDLVLKGLSFEILSGEKIGCVGRTGAGKS SILQAIFRMSEIENDKESKLLISDLDVRKLGLHKLRSNIGIIPQSPFLFTGTIRRNLDPF DSFTDEQLWKALEQTDLIKHVKAFSNGLLTDMSDVNSVFSVGQKQLICLARIILFQKKII VLDEATANVDMKTDDFIQETLKKKFTDCTLITIAHRLNTIADYDKVMVVSEGQVIEFDTP FNLLANSINSTSVDKSTEFSRLVKNTGDQNAQAIFDIAKQKHIKK >CAK72367 pep:novel supercontig:GCA_000165425.1:CT868119:372890:375564:-1 gene:GSPATT00008809001 transcript:CAK72367 MIFAFKKLSGKTLSVLSILKILHLENNIQVKLDFSKCCDTLQINENDSVYGNYSIINYLS KVKRNPYQNERLWWVAHQLKEVIQIKKNDVVVEKKKQVKTDNIKTLNKILEQSKYLEGEE LSETDIIAYIKLKRVKPEVKTQGKLLKWQNTVGAVVDPILTSMENEFKPQITAFEEEKKK KEEEIKRRIEQHQNSKKDSKGDSKKGNQKQEQELPKQQKPQQQQQQQQQPQKEKGTKQKE EISQDYLKQFGAQKIVRTNLGQQILPQKGKRNVLITSALPYVNNVPHLGNIIGCVLSADT FARYSRLRGYNTLYVCGTDEYGTATEVKAIQENMTPQQICTKYFEIHKNVYDWFDIDFDY FGRTSTPVHTEITQEIFNHLHPKYTTQKTTPQTFCSHCKMYLADRYVSGTCPHCQYDDAR GDQCDSCGKLLDPVELINSKCYICKNKPEIKDTKHIYINLPEIQERLEKWIHSTSKSGQW SQNSYNVSKAWLKLGLKERCITRDLKWGVQVPLEEYKDKVFYVWFDAPIGYLSITANFIK DWKQWWMNPENVELFQFMGKDNVPFHTVIFPSTLMGTGLDYTLLKTISTTEYLNYEDGKF SKSRGTGVFGDNAQNTEIPNEIFRYYLLANRPEKSDTVFTWNDFANKNNNELLPNLGNLV NRALNFIYKNYNKQIPQVELNQLTPKDEEFINLFLNKFKTEYLEAFEKVEIKDALRKAME LSSLVNKYIQDEKAWEKEQKESKRSDVILFVAVNAIRFVSALIEPFMPSVSAKINFTLGF NQRTEKDDKLFEHVLSYDNNVSALLNLVKPGQPINQPVPIFREFKPEEVENWRQQYKGQQ >CAK72368 pep:novel supercontig:GCA_000165425.1:CT868119:375668:379396:-1 gene:GSPATT00008810001 transcript:CAK72368 MNQMNQLKTLLGKNFTLHFRSKQFWINLYIPILSVILSIFVAYKTNLGLFFLIIFVCLSN NGILRQSLVLLVREKSDQFKLLQYQMGLSSNAYYASWIITFYVMTIGVSLIYLIPFIVFG LHKVGYGQLKIVILILGQNLYCCAIASLALFLSSFSKNSNKVSELIGLLNVVLSFVVISN FVPEPKLTFIGQLPTLFLPQTAYQMLLLSSNWLVNSKEYFLHPGIYITCLIIQIIGYTLG FLYFDQVLSGSKKFFFLCNFCKQNTKTYTNFVDEQNQNPNNREQEPQQFYRPMLNIQYQE VDNQGEPFIQVENMWKKIDNQNIIKSLNMEIYQNEIYCLLGPNGAGKSTLMNILSGLSER SKGKINILGQSIDNKQFKNQIGICLQKDIIYQELNVYEHLKFYGQLKGILDEELQQQINY ILDVCQLKNESNLKSQQLSGGNKRKLSLAISLIGNSKIVFLDEPSSGMDALTRQQIWEVI KKIRLHRAIIMTTHHMEEADELATRVGILMNGQLVTQGTPDFIKLNFGVGYHLQMDFQDQ NQLLQEKPKIQTQLQKIDSYTPVIQCAPTSLKSVLPVKQIHMFHELLMYLEQNSQSKFSL QLNSLEDSYLAIDYQYGTQNIDLDVQHVFQQRPKIVFKAQLISLIQRKVQVLLSSTDIQM RYALPLPLIFLAVLFGMQYSFLFVFFYLGIKALTSTLYVMIQIEDKDTKIKQYMYSSGVN IHTYWLSNVITDILPSFIEGLFTAILLYIYDVGHFRQYFFEVFFLITIFGVSMSCFSNCL SILYQNQQGVYISSPLLQFFVFFLFFFIIMLFIVQPGSPIGFNMISMLLMIISPFSACYM GFAMSPILLNLPIFSYFSCIIYLIIGGIVYFLILYSNDKNEYKQIQANMDDTDALVDVQQ LTKKQGQVETVHNLSFQIKPKEIFGLLGPNGAGKSTTFNMISKFDRPTTGKIRIRDIETN LGLVPQFCPFYPTLTIYQHLIIYGTLKGQENLTEAIEKYLKALDMYEIKDRQVQFLSGGE RRKVQLGIALIGGSNRLFMDEPTTGLDPKSRRIIQQILLQSTINNDASVLLTTHSLQEAQ SICNKIGIMVNGYMITQGTLNELRAQLGEQARFSVKCNIGRKEQVHQTIWLQLSHQFQLQ PIFEYRDNYLSYQIPSGQFKFSQLFQYLQVELKSKQLLITEFQIYQPNLEQIFAFYASQQ IVRGNENLLINNGENEFDFGNRLRTIMAFFCIIW >CAK72369 pep:novel supercontig:GCA_000165425.1:CT868119:380051:382061:-1 gene:GSPATT00008811001 transcript:CAK72369 MRRRILKLIPIFLFACKGIRSTCKLQSDLTEEQKKGIIQTAQMLDYNNTQLITYTSFKKP KEGELMPFVIQNGDEDYQIILTRYQGKIYAFGSLCPYDLETDLSEGICFGDKLYCPKHGC QFDITNGMVEGPPSIDNLPKFGLKENEDSIEVYAPLIVPKKIIPQYHFRDYNDQRKVIIY GGGAAAFACLTTLREFGYTGELSYISNDNYMPYDKTKMSKRIKATKPEDFFFRKDNWYSA IAIDAHLGRKISYVNNKYSNTYVELDDSNKIAYDTILIATGTDPVHPPIKGIENQEVFYF SSLDSHQQLKEKLKTINDLSIIGINTMSLEVAQTIRQEYPNIKINIIDPNDESQFQVQYG PELTNLVLDLHVEKGINIYENIKIKKFEKDSIVFKGKKKLKSDAVILFPSTVSPKTEFAE VSDHQFEFDNAGRVKVDYFQRTDIKRIFAAGSCAHTYYYTNGTGHIGDQWQACYNQGMTA AYNMLALNVPWHQIPFTYTEQFGKVLQQASSWPQFDEVHIEGDLKKWDFIIYYGMNDFVV GAVGTPSKQNRVAIVNEGIRCKNIPFLSDLKNGKYSTKDIEAAVRKIKKSGCYKSTLYKF RYDVVPEYNLWTFRDNMSTFYFPYDNAEISAAPKMKGDE >CAK72370 pep:novel supercontig:GCA_000165425.1:CT868119:382670:384227:1 gene:GSPATT00008812001 transcript:CAK72370 MIEDSLFAESFWNPIPLNSFSNDDDYNVFQLYSGNTPVQIRVIPGQLLASSQQLDLLQTF ITLDEKGVTLQNKFGQITFLGPQLQQFYEHINKYCMHLDIQNHYTIEKQTHQLDNFEIFQ SRDKMTGIQKSIKKYSKKLGKSYLQTIVDYRNYEYLYEDDQYLYLVMSYLNGQKINNLTL EESCFGLLKLLYKYHNQGFALNCFSESNTILLDENYNMEILDNSQLKRITSDQQKEIQQD VQTIHNYLLQKFPHKQDTLQNILDTKIQSVQELLFHQLFINYFGDSHFFVFLNAKPYQKY NNLTSNPQLNLLETFNTIRSSIMDSSDESDDVQEINQFNFSHSQFEDSPMLSPKLKPKHQ SRLSSRQVVLETLLNEHIITPEQFQQFYKEEDFQNEQEINEFSEMLSKEIENSQLTTPIQ SDSTATCPNTVIIHSRKNVVNRISDIILEAQQEFIQATKKPYNVSKVQNVRKNLFNLH >CAK72371 pep:novel supercontig:GCA_000165425.1:CT868119:385880:386491:-1 gene:GSPATT00008813001 transcript:CAK72371 MVLNILVNTIYQGSLLNIPLYLTQKEKLQIKDIGLNFGFIGFVSLPSAYFYNKLLKSFRE KLFPEFNQHQIRYFSLMYFVSKPTILFDAFFIHLLYYGFIGLSLFSLCQYHYTQRPKSES KENYQNSLTKLMKFRFYISLISITFDVWLPQIEHLGKNFKEDPKQILMSKKFQLAYLLKQ QTFNCIWSYVVISEYFSFCEKEY >CAK72372 pep:novel supercontig:GCA_000165425.1:CT868119:386783:387969:-1 gene:GSPATT00008814001 transcript:CAK72372 MHRKLKESNPRVKLTHLNKTNIVDVWAHNFQAEIAEIADLIEEFNVISLDTEFPGTEYDQ PESDDKVTDYEYLQLVRNVQKYKLIQLGISLANEAGEVPLAKNTWQFHFKFNAQYDQLMS SVKNMLEQAGIKFDDLASKGIDYSEFCEVVTGSGLILNDEIKYVVFHGEFDFGYLLHLFH HSGIPDTQDEFYKMMKLYFPSIYDLKYILKDNPKYKDAGLSRLATKVEVTRIGPEHQAGS DALLTLQCYYQMKFCFPDLQSDFEKNMNVIYGIGKGYIPNNRRKTFASTTQTPDPTLQVS QIDQAYYYAQNFYNDEQQYYQYNQQYMNYTYYNQYQMLDTDQQKRKSGY >CAK72373 pep:novel supercontig:GCA_000165425.1:CT868119:387979:390239:-1 gene:GSPATT00008815001 transcript:CAK72373 MDNNFQLLNKQACLEQLTKLGIEYIAHHHDPVPTMEDVVKIKVADGTAYVKNLLYVDKKS NYYLILANHSTQVGKLFWKTLGLASGNMRLSKEEQIAEALKSSKGNVNPFAVANDANNLV KNIIIDEELTKYQRLALHPIENTTTVEISLDDLQNKFLKILNRQFTVLQLTDAAAEQKLE QQKEQQKEQQKEQQKDQQNLQTLAITVKKSDFSEWYQQVIRKAELIEYYDVSGCYILRPW AYFIWEQIQRYFDDLIRTEEVENTYFPMFLSAKHLNKEKEHVEGFKAEVAWVTKYGESDL NEPLAIRPTSETIMYPAFAKWVQSHRDLPLKVNQWTNIVRWEFKFPTPFIRTREFLWQEG HTAHSTREEAVKQVYTILDFYEQVYGELLAVPVIKGIKTESEKFAGGDFTTTVETIIPQN GRGLQGATSHHLGQNFSKMFEINFEDDKKQKAFAWQTSWGLTTRSIGAMIMFHGDDKGLV LPPRIAKYQIVIIPIIHKDLDEQQLNERCEQIRQLLVKQKLRVHLDSRDNYSPGWKFNKW EQKGVPIRLEIGPGEFKNNEVRVVQRFDNKKYQIKMEELNQLNQILDNIHNAMLEKARTE LNQRIKQAENWKEFMNQLNQRNTILTKWCERQECEKQVKTKSGIESKEKDSEIDGQVQLT GSAKTLCMPLKQDQVKEGELCFNCGQQAKKYVLWGRSY >CAK72374 pep:novel supercontig:GCA_000165425.1:CT868119:390825:392402:-1 gene:GSPATT00008816001 transcript:CAK72374 MSIVNLDETEQNQHQDLKESQDIQIDIITFDQHESLLDTYTYYIIKGKDKIGFFEVYRRY KDFISLRDILLIRWPGCFIPGIPGKKMFQGNDTKTASERLRFLKQFCNKMKLLPNLYYSQ EFSQLFLRSKDKEIHKTFEQMPKPKTSELIQKYQLNFIELQGRDIDQTLDKKIEGFVEKL KNNQIQLKLAKKYIRDLYQSQEQYNQSLEKIMTMHLPNYEKQFIQTYQNNRCDNLLLTNQ NIKKQLDTQYNQNGIFKRRNIDKLYEYIRQELKDIKAFYQSLKTKKEYEEQKKKLEAKQL ETQQELNNLNEGKNPNFFKNIFNKQTPEQLKSHLNIQIQTNQNELDNLQNLIDIMQAIIG FIEIERFQDLKIKFYSVIIKQVSELEQKLIDEQIQYWQYIESQSSNLINQLQFGSQEGFN KQQFNQPIEQQQEEKEEVEQNQPYENENPNEINQEENNNEEINQQVNENENEGENEDNQQ QQEDEVEVNISQQEIEDENQ >CAK72375 pep:novel supercontig:GCA_000165425.1:CT868119:392868:399077:1 gene:GSPATT00008817001 transcript:CAK72375 MIQNWIGKLLFNICGDLVEDFPNNEIEINKWQGVGEKRNIKFKQNKVREWMMEYFGLNVD VKRAEIELLQFKIPWNRIWKEPTKILVKDAFICVQTREEFSLELMRLQYQSDLKDFIEHC YKKLFNNFTNTKKQSKLKRALKNFFSEMIILEVQNFHLRLEDEDNAVKHNRVALGVLFKT LTLQPSYNNKNEQEIKRKLDFSVEALSMYLKIEPTSKQSNCLSPDYVEQQNVIIDPLSFR ILIHTNLTQQEEIPLKYIEIQFGDDIKINIDNQQFQTILKLLKLRDWYCQQGDHLQYRPQ YKSSPKDSPFEWLLYWKQIVTLIRSRINKQKINLKSKMRNDLVKYIVVDFLHQQYQQNDD NRYCIDDQMILYTDILQNKQQIEDKLLQEEIELVFYKVNKILNSPEQLKDILVKMNLEQP QQQEKKQTIWSYFWKQKQQPEEGTEFQSKYEKLYTSISQNENRCLKRLEIQISNILINLK IAQERSTVFMKIELKESLLQYESFLKIRKFILKLKRITAYDCLNPNKDFQTIFCYHSYIE RSQRHQYLSQDQSQIEISFEYNKEANKSLFKVKSLPHLMSLNDTVLARLQPFLKQLRKND YFYDIVFISQGNFKKSEFINVISNLCKANRLILDINISHVTIRIPESAIKTNCPVLEITL ENYLLQFLNTVTQQINKFAINFQYVEKNCKQSIHILQPVSITTKVVQLQNQDEQNKLNEL TDLVLENKISDIFITIPILKTDFFKNFVYNVLGTLDNLKKISIFLQKEDSVNQSSSVNSI NRRKRSRSWSQQRDQSSRREDCSSQTSEIYYDAEEFINIPFIQSITQSRQQVSPQEKREE MLKKWNKIRINLNYLVNKIFKEADPKISQFLESLRLKAVFELQKFQIKVAIQKNNERNSY FYIDNINVTIEKAFKVKHFGFQLEINTITLSIYKLHQLKQLKKYSGPQSDDIMAYINEDM LNLLPFLLRIKELQIYYLISVKEIKLELQNIKEANQNYLTTCLSNFNLQSQLPFKIMYKE KLSDKPFFLEINNSVSSWDTTCDCKFIMNILYYNGKLKLYEPILDTCEAIITFQKSSEEL CFETKFTQLLFNITPQLIFTLYSLNQHSLQIKQFLLQDLNNSQDQIVVKQNLTQKFEIEI EHDNAKLLVDQIEIQHSYHYKTKYRIQLQTANSDDEVSNEKITQHSRHVTFHDQDPSPSI KQQQTPNFKKQTSLLEDEILKDQSNEKDTIKRIASEIDFSEKQRFVTEILGDYLPIEQST KYIFVNLTVQKLLFNLNGIEQYINEKESKFVRCTEVMAFKFTSQRNFFRYSSLIRTKEER TYFLKTDNRDHKLKTIYYLLSIINRNQNQIIIYQIEQLPKAPYLIKNKCSQLIIFYQQNF EKQQCILEPGKSSGFCWEDPFQAPSLQLEIHYEGQTKRFLIDIDRFNNQELQIDKQLDST IDKEYSLEIFNDGLQKVIVLAEAQSLKKKKKSYKISCELIGFSFIDEWEILYAEINNTKY FQQQQQTDIVTYQISAENIQLDACGLPIPKRQLYIVNNQISNLNKSNGQKKSITQSQLIQ DTQSALQEQLKLKIKQKTISEILVHLKYVKVRIPNYKIIIDSNLLLQVFSFIKHTKLLLD AFDTPKQKSKSILLQQSFEKLNNSNISDKQIQIQPKKIHIDKVIIRPFSVKMRFKNLLNM EQELKTLHHQAGLITFVLNQFQQLNLEFQQYVKTDYQQFMDANYELHIKALFNVFGESIN QQIRCQVNPTNFLKNQGNLAKQFITELNNLQNEMDKNDIFQGGLPVKFQLLQTFCVISKS LYDAAQETFVSVLLGIGKYCETSQPDQAIQRTSFSNKLSKLFRRVSDFQFKSQTTLEISF QLLISKLKTRRYPKYIHKNRQITSYNEDLSKFQLYRLWKLGLDQQFDHFHLSLNCYLFLS NQLFILLDSQYNQILLEMNQRMFQSITNTNSSCVIKYQKSNVNKQEGDDDIGMKQLKVEK LTLNFTIDEKILSSITNIIKSNVEILNVKENKIFSIMMLI >CAK72376 pep:novel supercontig:GCA_000165425.1:CT868119:399142:402172:-1 gene:GSPATT00008818001 transcript:CAK72376 MGNELKSSTNQTQYSYMFRGKQLKIKNICQPPKYSINADNFEAIFDQILSDTNLEKKKFS NLNLKQKQVLLVLHYQALINENPQLCHLNQDSDLLIYISFYQEIKTLIGLNDELLTTQLI AYAFPIIQKQIEYLNLNRSTLNIYDKLYVMLQGNLLFLGFTLDEQQLIALSNNLSQIQKI SNSQIQAQIYLQYEKISWRQINGYQLVESLLKLQNQEFCLLQTLQLLETSIDKKLIANIL SFINNFVELSNEKIQLKQLLLVHGLELIFQDIKKKQEDNLLVFQEDYSILVGIKENSKDF ENIIIKNISAFETLLEQGEQNIRESINQFRISYNPKTQPMNRVSIGNVQLAQVVFPEQFL ISLQHFASDFNQQCSIHECIQKLQKFLSQAEVYGKNIITKILQNVAQNCLDGYNNQEIEK GDLKRQIIEFQTQKALYQTQLKQTQNVLYQLKQALLVYQNTQDNQKQSISVQQSQRLDET RIQFKIEGSLQVYPSEQNKETPVLMSSSLPEQNSVSLFPVSLPPPLSPPPPPPPPPPPPP PQKTVQTQNKTNIRQRRKPNQQLISVGITEIKQIKSNIFQTLDDSKIELQFEELDKHFQK NQTKIASSLPSSSFIKPKPQQVLTLDRSRNIELILVKIKIKMDDMINYIQEMNYQKFTSD DIESLIQILPTFQEVQEFQKIENISVLNRPEIFLYNLIKIDNYSERIHVFQVLLNQNQKE IQKELELIEQQIQSVQSNVKLKKLLCYILAGINYLNSSQNKDSYGFKLSEFSKIAPLKSS SSSKINFLCLILRMAEKNEGDLIGEEDFNLQQLKKLSKISLQNIKKQIEKKEQDEKLILK YSDKIQVDNSELNLKLNLSELYLKVNAQFNDICQAFFEDPKKLDTDSFFTELSQMIEYLI EQKKLNRILDEECQRKKAIEQLNSYIQQIDPNKNLKNQDIGENNVEVENNVLKQIEERKS YKQQVQEMRFRSLKSLAKIKKNIKQQREQQQQ >CAK72377 pep:novel supercontig:GCA_000165425.1:CT868119:403480:404731:1 gene:GSPATT00008819001 transcript:CAK72377 MGCVKTQSVEIYQENSNQLLNDQKVVENQQKIYPDQGNFWQGLQFYIGVNVDFSYPEFMI FDVGGKYQVCKVIIMKMQMDSFLQLIHQIKSSYFYVKEQLLNLNKIQSSNSQHVYKARQI TSRQLSLDLRMRNFWILGIDSIFQICSAKTGQRVQEGILKLINLIKKYKYQKFHYTQLNK NNEKQQYDSQFKDINLNLYPYFQVIAFQIFSIFLLELISFSKISNLNSSFQISINQTVCF THLSQSLYNYLTTLSISDQSLFFFIRVYLPTSFIYFIFLSLIFLIQQQLRQYSLITMKAL ILIFSKELSIKTFKILLMVKYSILHFLIYQHQHSSAFSQYILFYFQIGLAYFTKNYITFI SFLFNIVCMILFLYLIEINLIHFIIAISIGINIKQYAN >CAK72378 pep:novel supercontig:GCA_000165425.1:CT868119:406365:407752:1 gene:GSPATT00008820001 transcript:CAK72378 MNKVICLLSLLLATSYALYDSRSKVQLLTPQTFREKVLNSKSLWIVEFFAPWCGHCKALA PEYEKAAKALEGIVNIAAVDADAHKDLGGQYGIQGFPTIKFFGENKSSPSDYQGERSAQG IINFALEQVKSTVNSRQKGSSSNRNQQKQSSGSGSGSGSGSADDVIVLTDSTFDENVLKS KDSWFVEFYAPWCGHCKKLEPEWNKVGSDLKGKVKVAKVDATANTQLATRFGVSGYPTLK FFPAGFSNDSEVISYDGARDSSAMIEFALEQSNKSKKVEVLELLSKDILKENCLDYNGVC IIAFLPHIYDSNKQERNQYINQLLEVAKSLKNKPVNFLWTQGGDNYEFEEAFQSAAAHPS VMALSGRKSVYAKLKGAFSKQNIEQFVNNVLNGREHFNQYSQLPHFKKVDKWDGQDHKPV YNDEF >CAK72379 pep:novel supercontig:GCA_000165425.1:CT868119:407872:408523:1 gene:GSPATT00008821001 transcript:CAK72379 MQQEEEQYSCLEEFFIDSCRFGDYEDAIGCFEDNVDLFWIDINQNNCIHMVSANGHLLIL EQIISYSHKKNIDLQKLINHQNQYGCTPLHWAVLNNQLAIITILLQNGADVTIKNLNGLT CIDEAFQLDKAEVIELLSKNISLTEDQQQSFQEAPDVTEKDEEDQVE >CAK72380 pep:novel supercontig:GCA_000165425.1:CT868119:408734:410866:1 gene:GSPATT00008822001 transcript:CAK72380 MSVKKQSYAGSTTDYSEAMFQPNFTQRNQGLNQNYQLPTQNQQFLPQQPFQNQRISFGQG EFCQPQQTIPKQRSDYNFQPQYPVTDLNSTFTMLPKVFEMDQDFLPQEILFLLSEENNHI DRFKKRVDREKEKIKRDISSIKSEISNMIEDLGVQMQQFVDEHYKRYLNVYAAFKDEVIQ FKKTKLEAPLNLVPPPPSNNFGDCSNANLIRELEEMKYQNYVSKVNAYISNLAKQRVEQI QIISKELLQLTSQNSEFYHSEATLRQLNNIKQEVEERLITKFGDMTDYILPLDFMEQSQQ KQMNQEIKSKLNLETQSLPQSAIKTNPYLNIQEPKLTLNFENQMNQFNQSSQTIQQSPIL QLQNHFLNTHTIQGKVNELQEIQSQDIRHNGMILCFTNIKENLIATGSKDTCINIWDNYS LISQLKGHTDGVCTLTVIKANNVPFFLASGSDNGDKSIKIWNLSTLKEHNTLVEHQAAVV ALLSLDDGQTLVSGSYDKSLLIWNIDNQKPIQRLDVHTNAVTCLTLAKGRFISGGLDQTI NVWKIIKNAQGQFQSAQLERTIRNQTLVCALNIVQIQNNTKIISGGKDGKIREFDINTGE LLSQQQVTNGPIVEMIVAQDYVNYSVISMSNKDRNLVMTSQGVNKVLDTNDQVFVEFGCG VYPKIEVVEKAGQLQLNIISQKQDVQKIFKYAI >CAK72381 pep:novel supercontig:GCA_000165425.1:CT868119:411218:411850:1 gene:GSPATT00008823001 transcript:CAK72381 MEQNYIKLVLVGESGVGKTQILNSYCFGLAPQAVADFTIGCDYVLKRVLLNGKFLKLQIW DVSGAERESPLMKIYLKGALGIIFVFDATDEISRQQLVKWQNIVYQYVDEYNGRHIPFLI VQNKMDLVQQYDEQNSQQFLQQFCQTFGFFDGVQCSAYDNQGLKNVFETIVDEIIRRDLV ELQLKKNESKISKLQIEDKGMKLKQKSRCS >CAK72382 pep:novel supercontig:GCA_000165425.1:CT868119:411893:413523:-1 gene:GSPATT00008824001 transcript:CAK72382 MDQKHDHANQILSLLENQESINSLQVAQTIGINHQELVGYLLQLEAANYIITEGLKKDQL FLNEEGNTILNSQSGEYEIYQKVPEGGISMTDLQKQFGIDQPPKQKQEQGEKKEKQVEDP KKKLFDQGFGNAKKMGYIKLDKGMISKVANDMPDKIKQELQSFNEIKLGSPQAKLLIERK LASISTIKYFEVKKGVKYNSKFREQFAALTTEQLLSGEWKDCDYKSINLNAEGEKISMGN LHPLLKVRKQFSQILIGMGFEEMPTNQFVESSFWNFDALFQPQKHPARDAHDTFFCSDPE LSEEVDNTLRDKVKAMHQTGGVGSIGYQYEWSHEEARKNILRTHTTAVSSKMLYRIAQQY KEKGYFPKKYFSIDKVFRNETLDATHLAEFHQIEGVVIDKNASLGQLMGIIREFFRQIGI NKLWFKPTYNPYTEPSMEIYGYHPVLKKKVEIGNSGVFRPEMLAPLGIPEDVNVIAWGLG LERPTMIYYDIRDIRTMVGPEVKVETVRSNAFCVFESK >CAK72383 pep:novel supercontig:GCA_000165425.1:CT868119:413761:415706:1 gene:GSPATT00008825001 transcript:CAK72383 MEEQLSQQFDCIKFIESHLQGTQDIDMQLTDLSFQLQLLKSDSEEQIIQETKAIYQNQDQ IIQDTQQLFKELIDYKDLQDQYISQTLPFLAQDNKLYQKMDECLRYKKNIRDSLDMFEIV MQLDQIYDQYQKIIEDNDIQQLLDNIKNNIRIFKILLQLPKMSPRYGQIEKIVNLVESYC HQELFTSKQSQQKLEILDLLDISSRAASIYLQQRLKVIDLSQLTLNDLLSTQDAQELVHL MISITDNELQYLRNMNNFQSFFFEFLKYLISYFNKNLFKQYFNFENQYNRNLEFLYGYLT YYNILQSYSDKGYLQPKHFDQILKLAFEPYSAIIKIIVKNECQFLQGNINFQIDSTKSIN EKLASFDFNKQDILEQSFKRCYDLSFQIAFEDWVFECIKILDNLLIILNQIIDEIPLDQF GSYIQSIINQSENQQFIKGTNSKFQMKHNISLFELLITKHYELHNFRMNQLVELDTFIRK TIEKNMEEELLRQISQIIREKLQMNRNKAILNQYMETLSLTSALRQLIEECENKLSIHIL RLLLQDSLNQDQDYIHSFTTNLELIENIFKSIKANKINFKACVNLNQNVSYEELEEKSKN NYFSQPTCFWATMHILVETKIKTFL >CAK72384 pep:novel supercontig:GCA_000165425.1:CT868119:415737:417993:1 gene:GSPATT00008826001 transcript:CAK72384 MILKQCSSKEGFDSSSEDEYLDQFKTKFRTLDYYEGLNKEEEKKQYNSQAVQQQYRNLTL NVPELKYNNSTIFLGQPFYNTQQIHRLIENKEYSNDFEFQPQILRPFLGMLKQGIQFNSS FESGNLDRVDQISNEEYNLFMRIDTNSIGHSNWFYFKTTNNQLNKIKFNICNFTKPQSLY TKGMKPYILSKKGSQKYFTQQGEFIRYQQVGELYVLSFIYQYEYVDDEVEFATLPPYTYT ELRRKIKKWHKKSKQYLTKHKLTTTLTGLVLPLLVITEKNSNKNKKIIIITARIHPSETC SSFMMQGVIQFLLSESFMASYLRKKQTFLIILKYRFKIIPMLNPDGVIVGNFRNGLSGVD LNRQFLETDLTLLPEVKALKNLIEDNSPQLIAYLDFHGHLVRKNIFLYGPSSNSINYDSK IFPLILQQRLESFRYKSCEFGIPKFKMGTARAFANCFIDTLCYTIEASFCGYQKGKSLKF ISKDWIQAGQCIGETLFLYFNFKQINSKQLKQNQLMKTINEMSSKVEQTEAKHESDDEND SQSDAEIFEDYDNDKLACLQSQMKAELSQQNLVVINKGDSQRKRMKAQTVSRKIKIQPIF IMNKNQRKPIAYPELNIQNWEFSNKTNQSIDTKTSFQQKGIKNKIPSTFRFVSSKRATSL HGTSPINQFPQEINTTQQIQPTPPVQIPSKLNSNNFPILENTSQMSTPQYLPFITKLLKS KRIVTQS >CAK72385 pep:novel supercontig:GCA_000165425.1:CT868119:418530:419012:1 gene:GSPATT00008827001 transcript:CAK72385 MINDLDINFCPLSPLEFHTNQQQIISEQFLEYENRSKKCRVSSQCLLIKKKIRQKKSRPS VLRIEEVVRNVKLYNTCSSQQNLENLEIIQNKPLVKSS >CAK72386 pep:novel supercontig:GCA_000165425.1:CT868119:419520:420707:-1 gene:GSPATT00008828001 transcript:CAK72386 MASLNKLSSNEIGNIDRQIAKLRQGQILTEQEVKSLCIKAKEILQDEPNIIQVRAPLTIC GDIHGQFHDLIELFQIGGNLPDTNYLFLGDYVDRGSQSVETFSLMLSLKVRYKDRIVLLR GNHENREINKIYGFYDECFRKYGNEIVWKQFTEVFGYLPLSAIVEQQIFCAHGGLSPAME SVDQIKQLNRVQDIPHEGLMCDLLWSDPEETKNGWGISPRGAGWTWGCDITEKFLHSNKL KQIARAHQLVMEGIQKVHNQKTITIFSAPNYCYRCGNQACIVEVDEQLKMNQTQFEPAPR ENEPHTTRRVPDYFL >CAK72387 pep:novel supercontig:GCA_000165425.1:CT868119:420745:421802:1 gene:GSPATT00008829001 transcript:CAK72387 MGGAKVDSKDPVRGIISGGITGGIEICITYPTEYIKTMMQLYKEYSQKGVKYCIGETYRN FGITGFYRGLTPLVTFSIPKVACRFGANEWLKNNVFTDRKSRFQTFCAGLGAGVFEALVV VTPTETLKVKLIHDKLSTTPKYRGMIHGIGSIVNEMGLSGIYKGLVPTIVKQGSNQGIRF VVFEDTKKFIQKTFTFLPEPVVLLFSGGIAGAASVMCNTPVDVIKTQMQGLKAHQYNGVL DCCKQTYQQEGVRGFYKGTVPRLGRVVLDVAITFTLYDYIGRVLNLVWPPKH >CAK72388 pep:novel supercontig:GCA_000165425.1:CT868119:421821:423499:1 gene:GSPATT00008830001 transcript:CAK72388 MTQKTIDQYVFNTKDVLGQGSFGVVFKATNKITGREVALKMISKDKMLKDQNAINGVKSE IWIMQKLNNKNIVQLIDVLETSNNIYIIQEICESGDLAKYLKQHQFVNEQQALKIMTEIL QGFIELIKFGIIHRDLKPANILIHQNTYKIADFGFAKIVDNFAQQLFYSQVGTPLYMSPQ ILKNEKYSTKCDVWSFGFLFYEIIYGRTPWVASSIPQLVKNINTQPLQFYDSINQVSDNV KDLISKCLAIQEKDRYSWYDIFAHPLFSAQFSQTPNLQQICEQKELYIANRLREILGAKQ INNNQIIEELQQNSDDIILQDKLKELLIDIDADLEDYAIQYIFNSIDEDQNGQITCQEFC NWMIKHEIINDFQVRSTSNTISGPYQIQQQEVQDKKDTKTIIYNLISAIQQQNENLVELF YKFVKGQENMSSDDFADLLLYYDDSLSDDEIFSTFQVFDLDEKNEITLQQFKYILEQDID DY >CAK72389 pep:novel supercontig:GCA_000165425.1:CT868119:423887:425689:-1 gene:GSPATT00008831001 transcript:CAK72389 MGNQTSYVNEQVISMDGKLEDHLECIGSKQHKYLGLIQLWRRDSKSKPSIFSKQSHSGQR HDLNVNEHNDRKKANHPNILQYYGCQSNYLTFKGNILQTKFFFEYIPNTLDNVISFHKKN CSYLEEAEIWKIILQIVSACSFLQKLDLFHGDLNPQTIFIDNSKQVKILYHNAYPEIITS YAKLLAYSDASVYISPQQLDALVNQSVTPKDDPFKTDSFQLGLTLLELMTMTSILEECID YQRKTIYFKSIMDLLSSARKRYSQQLINFVQRLITFDEQSRPTLSDILFDTNLQQQGSTF LPQSKIRRYTSPPRQPERTNSPPPDMGLSSKSPVLRDLSIQSYFRTPLQRSVSPVLDRSG KYLSPAQSRNTTAALSGFNKTPTKQTILIQSQKTPIKDERGRKLNTVTENSRNIMVISRS PIQNQSRKTSFQMTSMHKPALKLVTPLHHTGFLPPYQQAFYQDKANQKQVNPQQQFSQTL QHFVQPCFNNQENLGNTLPKSDSAYYSKPQEASFQQQHSIPKQQQEQQQQVIAQNQQPQS ANPFGKTPQKVPQKGINLLSPLSSNNK >CAK72390 pep:novel supercontig:GCA_000165425.1:CT868119:426729:426974:1 gene:GSPATT00008832001 transcript:CAK72390 MQKQRQIPLTYLQSDGDTYPVPESIEADIGSLLTSPQTTIQSERKKSFQSPFRLKRSHQI LEYTEQLYVWNSYLSRKFVSS >CAK72391 pep:novel supercontig:GCA_000165425.1:CT868119:427819:428418:-1 gene:GSPATT00008833001 transcript:CAK72391 MSVYIHKAYITKNQCQGDHNYFYHEGQIKQEQQKLIQKTQEENSQIDILQQTIAKQQQII NQLELQNSSYNQTIGKQELQIQSLLNKLQQSDKQKETQNAQLIDEINKLKAILREQQIND QPIKLPEYQEAVMQTEQQQNELILINQSQQVEILYQNQQTQTDTIPAETIVSKESPQKTQ PLKLDVKTIRIQPIYYYQT >CAK72392 pep:novel supercontig:GCA_000165425.1:CT868119:428426:429166:1 gene:GSPATT00008834001 transcript:CAK72392 MFYLLLILPIFGIDDVQVRRSIICGCKYLSPSECIEVEWCELASQFDKCPINSDCITYQT EQECDEADASLCVWKDSQCLNKCQILEYNTCQNKEIDSNCSQFNTTSSCEALSWCQFNIT TSICEQKLQVSCSDILNSIQCEEYGCQWDDDDMIGCQNNDGNCSIILNKDDCVASYQDNR QCIWIEDLSSCYQSKDCGEYKYDQDELGLCESISNCHSDENQCQSCVQDMFTFESILQLI SIFYFF >CAK72393 pep:novel supercontig:GCA_000165425.1:CT868119:429170:430628:-1 gene:GSPATT00008835001 transcript:CAK72393 MSEHYLRPGIIKNQETTPKRMFVNQNQSYTQQYFNQSPICHTPDRAMKQPFRNPNQSMSE VFRRQQQIQYPPTYQSSQISIQQDFNNTSKQVDYIKRFDNSITQDSSWFQQKNNISPNIQ LQRIEREREKNKRDSSQPFYDNNHQVTDRNLRLIKFEEKASGNKSEFEKVKQDQMKLIMQ VDEFKIRINKQDSKILELEKQNKQLKTQLSEKSQEVKTLQQSHIPQSKLQEIQDQQMKKF QKIYEQLTQQNDDLREENNQLKLLLLQQQLQQQIRVDNYSSVSRSIISKKNSEQEFQLIS QHANIKEIINQFLQGTSDKLLHFTQDPLIQNIFNQFRDIINSLLFNQHQKLDFEMLKDTD FMRMKKQSEDIITVPELNQKADHLIREIKQKKEQMVSLKDGDQRKNVLKEDIDRLQKEYD GIQILVTEQSDYLDKNAPNLHRNSIISFDQNFDGEEQQ >CAK72394 pep:novel supercontig:GCA_000165425.1:CT868119:430674:431485:1 gene:GSPATT00008836001 transcript:CAK72394 MEIGQYNDVMQYASQLADLALNVGFVVGPLIGYVAQYQLIKQQKSVGSFSTDVCAILLFA NLLRIVFWYEKRFESALLYQSVLMIMMQVELLRLCLTIKNNSVYKNKPVSFFDSPLNKFW RWSQLNSYITCLIAFLTFVLITSYFNLGNPQYWEIIGFLSCAIEATLGLPQAIKNHTSKS VKGLSYTMIGSWFVGDAFKTFYFIVKNQPAQFVMCGVIQLSVDIWIMLQIAVFSSKKSDL AEL >CAK72395 pep:novel supercontig:GCA_000165425.1:CT868119:431485:432602:-1 gene:GSPATT00008837001 transcript:CAK72395 MTRSIKVYDDIIISIIKIDDYDIEKQYYYIMEKTILAIGLLMLVGTLYNVQSTPELQLAA QFTNYQATFNKQYSGSELLYRLQVYEANLADIKARNQKLGREIFGETQFTDLTDEEFAAT YLTLKVNPDDLEVPKAQFENVNATPIDWRTRGAVNKVKDQGQCGSCWAFSTTGVLEGFYK VQTGELPDLSEQQLVDCSTLIDFNQGCDGGMPSRALNYVKRNGLTTQDAYPYEHIQNKSC KIKGGAYKVAGSTAIAANEAAHQAALQNGPISVAVKASDWKNYKPKGDDFIFPDSACTGD VNHAVLAVGFTSEALIVKNSWNTVWGVDGYIYLQGGKNTCSVWDNSVVPK >CAK72396 pep:novel supercontig:GCA_000165425.1:CT868119:434542:435302:1 gene:GSPATT00008838001 transcript:CAK72396 MADENPDDNNFEFIKFLPEGDQKTKSSRDYTGRGQAKYANNEIYEGEYVDGKRNGKGKYN YANGDLYFGDFINNVKHGIGKLTYKEKGEYFGQWENGKRHGEGIFTFPNKDQYSGWWAFG KKEGNGTYIYNDTGMRLVGQWKDNKFVSGRWVLPNGTYYEGEFDNNKPNKVGIWYFKNGN KVEGTYNQKIIPNEDSEDKKLNIEMKWASNGYLYQNADLVNAHEKF >CAK72397 pep:novel supercontig:GCA_000165425.1:CT868119:435334:436871:-1 gene:GSPATT00008839001 transcript:CAK72397 MKQKPSYWKSTHFAASVQYLNFLIECVIFPLALILYINHYQMDRNLYDNWEFKPIVEIQK INDSKCPSNLETFFEYSYPETSSGCDCGFGEEDENGEIDYDKLKSEHCQSSDIDEGCDEI ASINSQDFGIWKGYSICGRRSEYTYRELFYEKNCTSICKGVCQTKNEQNACGNYADLISK ENLTQSYFNFTLSYGIDVCYEDGDSINQYPLLESAYKCVIQSSEYAFIDEFDQYLLFEEN EYPYENLPGLEYFPETPKFGLFGQKFTEYNCTIKQIDVSNFRDNYIQLVFLIALIMAGFL MGFGAIFHSAIIQNLTRKGIFKHKIYKVTWKFYCPTTFLILLHMAHLSIVGTKLGFLAHF RNTMHSYIENKCTDWSNILNLVYMRDIIDEQLMPLCIPELVLTFAAMLLEILQLCLFDRR LPETIASPTLKGRESPSKSNNFVLNFGFEKDENIEKHVLDTDNIRINRKNEQLKLYNE >CAK72398 pep:novel supercontig:GCA_000165425.1:CT868119:436896:437421:-1 gene:GSPATT00008840001 transcript:CAK72398 MNENKEMIQHDYQNQLKDFYEQTQEIVSQIQQGIRIKKVKDLIQYFKDIPIQINNLMSQL KPILESISQNGEIQKYNWLYLRSLIVITTRDMLLNMQKLFPYEKTAQNEGFEDELDIVLQ FLCVFEQKPPFTLQRICELLIDPQKHYKSSKKILFAMEKLVNVST >CAK72399 pep:novel supercontig:GCA_000165425.1:CT868119:437568:438288:1 gene:GSPATT00008841001 transcript:CAK72399 MNPIQQAWLKILNPVSVVINEKLAKRSGLLGKIGRFFLIGPREFGFHPTNQMFIYFNRRV LFATAFMGHKYSVLKGLTHQGYHMLRPMRAAVFLGPIAVLAGLFRLVYYSSENRSYYPDN LDYVMKKATNALHFPLNTLNQRLSAHYTEISSIYTAEMMKRYHKQHAKIIKERSIQSEHV KKTKYADPSYKYVPMTPVHIEDLKLA >CAK72400 pep:novel supercontig:GCA_000165425.1:CT868119:438291:439640:1 gene:GSPATT00008842001 transcript:CAK72400 MLTIFDEFYNNKNIPDFLDDDGILMNKENNIQLKEFLVNNEDLVTQMLSFFEYDELETDH KRVIKYPFVVSELLGSLYALNANHLNFLIQLLNSHNSLPSITIGYISKVIMNVLKHNPCF FWQNVQLPQLGILLQDINNYAIAELIYSMIIYQTQDNQLDYLKQRMELVNCLLNDINTDR SEGISNVICMLLQQITETLQEQKSLIIKHLFTKLDIFLSALPYRPQPILSILINLIKHQQ YYESEVNQIYNHFLERSKELFDDLLKNSGSFGQNNLSYIQFYDVLTNQTYILQNINAQHL EIALFQLIQKYQFHNQLHKYATSIILKLIPQTDSQQLLQIALSEFKIKPIKENRGYVVQI INKIIEVNFNLQIQEEELQNINSIQNNYFFGQIPDQKPTFNLQEEFEAAMNKLQI >CAK72401 pep:novel supercontig:GCA_000165425.1:CT868119:439643:440410:-1 gene:GSPATT00008843001 transcript:CAK72401 MNNKQRYQNWKKARSDKRDQKQQQGHQQQITLHQLQQIDNQQSNSQDESEDEDQQQITKK EERLNDIKLFMIDYGQCDVKKCTGRKMERFKLLTAIKPKVKFQGIIVSANGKKYVSKEDE ELLQKGICVIDCSWAKVEEITYVSPNERLLPHMVAVNPVNFGKQFKLSCVEAIAATLALC GRREQADYILSKFTWGENFFKINKEAFDLYAECQNDKELKVAELKYLEMAQKKENTGQKN YDMPSSSDEDEDQIQ >CAK72402 pep:novel supercontig:GCA_000165425.1:CT868119:440446:440981:1 gene:GSPATT00008844001 transcript:CAK72402 MKANRFIIHNPTIESYQLEEYYNHFKRMEEIDKEKMNIYKSIESNKSASNYRFLMLQQTS KFNKQQQQNAQDRLQKLLDNKIERIKVRDNPYVNQTRLRGISQVDLKSPNISFNSSLENL NLIKKLQTLKPNISKKDLDCHYEKQKEYGSRLRKLPKLPDLDKKNHYFF >CAK72403 pep:novel supercontig:GCA_000165425.1:CT868119:440997:442107:-1 gene:GSPATT00008845001 transcript:CAK72403 MNQSQKQFEFKNIDELKTFLKYQQRSSNQYQLRFNDLLLEKVEESPIKLDSDLSLVDFQT HYDSKVPVIITGLDKDWENWDWDYLIQNYKECYFRCAIDDDGNYLKLRFDQYIQYFQNNT DYNPLYIFDGDIPQKMLNQYKIPYLFPQDYLAYLKQRRPQYRWILCGPKQSGSMIHIDPY ETSAWNCVVLGKKRWVMFPPSIDKNIIKGIKDILENINNPIDYFSIIVPLVKKHCDQQNI KYYDFIQSEHETVYVPNGWWHAVLNVEDSIAVTQNYVSDQNLEKFWIAFNKENPTLSQQF QTNVQQENGELYNRILGLNEKFNIQIKSLQQDDNNSEQDSLEEFQNQFD >CAK72404 pep:novel supercontig:GCA_000165425.1:CT868119:442191:443113:1 gene:GSPATT00008846001 transcript:CAK72404 MNQASSSHSRNLYNYNGSAYSTDSMRVYSKSPKNAQQYNNRYQPCIYSTMSNIQNLQSLK NQISQLQSVLTQQHRKSSFTRSKADSSANISNDRSFYTMIKEQLKQVNNLQTLEKDQNNQ NINQTTQQPKKDQVINNKKFEQDTEKQSKNITPQGSAVKNASILKDTTNNVIKSEKQQAL RQLLYPVSETKGERNTRTNNTLEEQLRVRLQEKLTYLEQNKQDKMTKLVQEFKNSKKLLE EDYQNQVQNEIQIFERKLRKLMMSQNKDKKLKRLKKSSLLLNSKLNQESPCMVLFAII >CAK72405 pep:novel supercontig:GCA_000165425.1:CT868119:443171:443342:1 gene:GSPATT00008847001 transcript:CAK72405 MNTFKRSDRTQLVSSFKKDSSLNKDKKQKVRFENEQGTRFKTETENN >CAK72406 pep:novel supercontig:GCA_000165425.1:CT868119:443396:444446:1 gene:GSPATT00008848001 transcript:CAK72406 MGQLYSFVQDNKKIVGITAVAALGATATVVYNKRKQYLSQSDIFKTPKQLDDEYFPAKRP AYYYEDREDTLNTRITRPGKIDQIIDSINVNYIPNSECLEPQTIVDILQNTIELAGDEFI RMTQKNRTQRRQFKENQKLNQYRYQIIKYNEDLEELLEKAQAELLTRLDINKNIFEESML VLMERGFFQQLYMLQASVKQKIKEKIPSKKDLSLKQIKEIIKFNIRILKEQPDPFKSIIS FMINKQDQKDYIPNVISLLVQDFIYQKYKIEEEDQIRNISKPECMGDPEILHLLGEIENT MQELMQDLGLGGLDSIPDNFENFA >CAK72407 pep:novel supercontig:GCA_000165425.1:CT868119:444698:445066:-1 gene:GSPATT00008849001 transcript:CAK72407 MQIYPQPQDETDRKLIEIPFNIKEQTQLNKYQSESIETTQKDQLSSQFKSKGQLSSDQKS NSQKKVRLDSTGQPILKKSKAHKITFSNELCQIYYVESWKEYNSNDIQEEEKENCCPFCT MF >CAK72408 pep:novel supercontig:GCA_000165425.1:CT868119:445671:447418:1 gene:GSPATT00008850001 transcript:CAK72408 MIFSKGLVQNQEFWNKSDIPIFDKEDFQSVQVLMGNFTKVGRTFKQRCLRTYFLVKQFLL YSDVGSGNNIKGFVQLDTVYCQFNQLETGFQIALINNGYQIHLNTEDKQQFKIWVDALSN CCILSDFDKVYKLGIQIGQGSFSTVNQNIYFKVHQCSNGDGEIFAVKIIKKQTIKQFNKN KSYEEQLLNEIQTLRMFNHANILKLYRVYENQQKICLLTEYIDGPELVSQQSSKKIYSSD DLRIMIIHLFSAVEQIHQQKVMHRDIKPQNIILNNNNIQNPVLIDFGLAAFTCQNNVPFP QCGSPGYSAPEVLKYDESKKIYNQQCDIFSLGITLFVVLYGYNPFKQNDLKQTIKKNTDG YFEIPSSKYPKSLENLICQMTKKYPKDRITIRDALDHSFFKTPFFCQIQLPKQIVSKQYR DIIAKGENNTHIHASMEMDQNINYVSQANTQTTPQNNGYKNRTISIMSVPISRKSQDYQK QQEISSYSRTSNDKDCNPQDKIRIPSKTLSYQKNIQLDFEDEYQLNCLEHDNNFVDQEHL YKLNFSINQKLFQTSRLSVNKHDN >CAK72409 pep:novel supercontig:GCA_000165425.1:CT868119:447462:449289:-1 gene:GSPATT00008851001 transcript:CAK72409 MFACSGKNKKANEKGGISLEDKCKIHNNAYDCVSLNYTDRSQTFKACALCKQSRMKEQFV TFKEMQNKLQTQTSNDLVGEYKLKMTPLFNETEQLRIKLVQTADSIHEILLNGIHQLFVN CIFKKLPNNLFTVDDIFDLVTPNTIDQFSSIIDQQIPFQKGDDLSASLLTKVLFHEQEAR KFIDALKDAQGVKKKLEMILNEFTQYKNEISKKIEQISKQSVAEAPKVDLQEPTFQFLIE KNKQEQEYLVEQIKSIQGVKDLVIEPNQMERQENPQVKSIISIDQKLLAYSSGTRIMIFD KTKNFSFISQVDCENDISALCSVTLDKKTYIIASVEENEESFQLQFWDWETFDKWKVINA HDKYIWQVINLPKNLIASCSEDGTIKIFNTQNQQQVQKIAVHQASVNDIALITPEIIASV SDDGMLAAYKFLTGDLVGIVSQESEIKSVIALDGVRFVTGNSSGEVRIYTFVQSIEIQQV IKAHESIVRFLIRIDQKLFVTSSWDGTHKFISVIDGEIKVINGPKKNFPEPLLWIHEAGC LVASSGGILQKYK >CAK72410 pep:novel supercontig:GCA_000165425.1:CT868119:450299:451258:-1 gene:GSPATT00008852001 transcript:CAK72410 MAYYGVGLPTAVQELVFRFRNHVCKANPYCTLSRLKAIFQQYDKNGNGKLNLEELDNLLK SVGMFLKVVELQALIKYFDKDGDGFLSFREFLTFIREEMNERRNAMVLKVYESQHNTKIT SDFLRQIYKIDNLTDGMKTFIDNIRVGDELTYEQFMEFHEELSLNIASDETFTSILSKAW NIDEKQEDTAFQEYLQNSLQQIKERLIARAGGKKFIVNVFQEFDTNKSKDLSISEFIFLL KKLELDWNKAAINRVYRIMDQNNSGSIEEQEFISFFTN >CAK72411 pep:novel supercontig:GCA_000165425.1:CT868119:451392:452452:-1 gene:GSPATT00008853001 transcript:CAK72411 MSYDEDFNFDPLNDDQFCFVQEDQFQNDIIDQNNQLQFECDLLGDIKQLEEINFSCQEEI NHVSENLDALQKLSSTHNFVIENDSRLLSKIQCFNNQSKVEDCTFESRSRSREIERNTGK MKKWTQEENDILANLYQQFNGDWQRIVERMPGRTLTQCKQYWQRKHKPEQSQKSKWTPEE DQIIRDNVNTEENNWATIAAILKNKTGKQIRERYINKLRSDIIDVKQQPWSKQEDQKLLQ LYNQLGSKWSQIARQFPGRSVKTLVQFQDLQIKNRTHKLLKTQCGTQVQNEQLKLQVQNL EGYLELEKTCEKSQNKPKFEFPQYNSYSVSSQFIQ >CAK72412 pep:novel supercontig:GCA_000165425.1:CT868119:452857:453946:-1 gene:GSPATT00008854001 transcript:CAK72412 MINNEDKKLMERLGTASTMDQTFQQSQFQQQYQKRVDFIQVNLFSKNIKNDLNQKQNTRY SRQEPANESKDQCDNLDQMQHNEQQNIVQQQNFLSRQKQIQIKQQNQSQYSIEYRQEEQN SVFDNENLESPIPIQKKGKGEHLYVNCQMQALSEILVKYHIQELNQPYLKRKTLAKCCCF TITTKQSFYQIQKQIIINEGKKPYDENDINHCNLLYSILYQMYQLEKLTKKKSQEIEAKQ TTVFQLIYMLSCITLFKDDLLSLTKNDKDKVIAILFLISKCIFEILLNGILDPIFKQSDS NGETLHNTLTSIHMGMFVMVCQDNLNQEQEIAQNMQNRNIKQLIDLWKQKKFQNN >CAK72413 pep:novel supercontig:GCA_000165425.1:CT868119:455063:456683:1 gene:GSPATT00008855001 transcript:CAK72413 MLNSKKLIKQNQLTELNNFLLQVKQAFITDDFEFANEPEKIIDNKFPLQEIIENTIPQIK QDQIKQATLQPQDDIQNLLSGLTTLLEKNLQESHNLYQIFQSNKQFNSKCQDSNSSFQYQ KEENIEALQEDIKLNEIKLLQMQNSRLLNKIESLNEQIAQFESDLHENSKDYRQLVSLIN STYCIQRIQYMVQQNTITILGKGNDEEIEQGVFVIVDDQIILSPSYLDENKMILDISQIL DVTYKNDFQIIIHYNEGKLILIMENQQDFAKIDLSLWSTMRRNACLNSDKLFENSLFERP FRQEINIDFKKLKEQLFNIIPQMEQESKQQQQQQQYQQQQQQQFTLNIKGSDHFTEVHQS SNIQVQSQQSPELKGTQPKQLIQVNQQTKLQHALLQLKQGFCMIKYSEGQRKPNIKIIYL TENEQYIKWKDELQPFDQKNTSEQKSIQISQIKEVKDKAQGSGFEKFKKYRQGKESLGIT IIAKRNLELDAPKQEIKDLFLDCLKILLDNKQNNKFELKSKK >CAK72414 pep:novel supercontig:GCA_000165425.1:CT868119:457210:457783:1 gene:GSPATT00008856001 transcript:CAK72414 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK72415 pep:novel supercontig:GCA_000165425.1:CT868119:457790:459489:-1 gene:GSPATT00008857001 transcript:CAK72415 MEQQQNEQAQSIRIEEPVYKLTTKLMKTCNPKTLDKKYLKDFKIKTNETLVNLNNTKFDP QSPKTNKALQDLNIYSYQLELLDKSEFKKNGESEELTEKRYWLHYFQVAQIKKSVILQRN KYKREEWIEKYSRSEHEQQYQYNPTEMIESWIDTQVQSSDRQLLKFNKLQQKRKEQEEQN QEEQEKYKEKLEVILEKKKKNRLKNLNQKSEIARKDVSKSLEVSTKMKTVVQEEKYKNAQ VKKLQTEQNIEKAQKRVDQIKQSYVDVLEEKQRIYEEKLHQVLRKKDEQDQQLMQSRLKE IQTERTRKSIKKIRKPDEWSAKLPLLISRSEAQFQNVYNSNLASVIEKFHNKEKKKLKYH KSQELEKEHIEEVKQKEEQFQKRYSDKLKLFEEKRQKVEEKFAKKNQYLAEHKLKKQEEL DEKFDKIRELSAENQGRFIHIKQEYNNKCDKQMDREQKKFKQNMSLLKQRNQIIEQLRYK RFLEKHKPLTLSQDTTSKQSESKLQA >CAK72416 pep:novel supercontig:GCA_000165425.1:CT868119:459504:460570:1 gene:GSPATT00008858001 transcript:CAK72416 MDKIEKIYDYIREKGSKQLFILISIQMSFLIISCVTFIILENNQTDAEYRRENLYKWLIL LLFSLSQYYYAWHSIIKKNMLELLAYLIISICTTTASTLRYFYISMNEELDHKILVQSVC YIYIVFSIFVQIVGLISYKYFLEAFRDEIFKKIGASVNEQNKFKWFTSFECLLEVYAQLT LLIFVTFFFFFDTSIDDQKQVHLIADICAIVIIIIGFAIGYIGVKKRQLKLVYLYFGVAF LICIAENVKLFLFLQYYDYQFEKIHLDWATFSIITIVFSSSIIVLGYNFYYGYKCVQLKL MDIKTFDADKNSNDHFLSQYDY >CAK72417 pep:novel supercontig:GCA_000165425.1:CT868119:460891:462059:-1 gene:GSPATT00008859001 transcript:CAK72417 MKQTQYLILQKQSINLLISNYYQIYQYDESSYIGFQSDYEADILVRIQQINSNQCILDCQ HDTECQSRYCNCNIAQIGQDCNLIIDDLTQQQIFQGGKIYYIDIEQFFYEKEEVQLQFQN STSFYGFCITQNFNLNQISKQTTTTLHISLDQVKECYNDVIDLKEQSNSTINFYYLVYFD SNYLIYLESSNSVSDDYLLTIILSTTLSSFALCFIFCLMKSKCYPKQKAKKKVEIKYDLK QLPSLTEILFPSQEYGILRVRCDRFATYNQCLICLDPFYDDSLVRVTFCNHIFHTTCFDK WMNVHKSCPNCRSLFDQESMLKYQGCIKKDSDMLQWSSRTDEIRIHLGPLINETLQQQPQ ASQMESLRNIQAI >CAK72418 pep:novel supercontig:GCA_000165425.1:CT868119:462469:462898:1 gene:GSPATT00008860001 transcript:CAK72418 MNTSSKPFIIRHIQENYLVSSDSSSFDAIVEKRIQFVQGANTFIAVMLSYDSLQHGFQFF QYYDKCLSYAYMIGALLIGNIFWILCSIFGFYSIDAKNSQKIHRGIILHYCGFCLQGFLS MDIWHIK >CAK72419 pep:novel supercontig:GCA_000165425.1:CT868119:463606:466207:1 gene:GSPATT00008861001 transcript:CAK72419 MIVRRDRIHHQGKTNRDFKMQDSLKNTSHNQNSSLDGDRIATLSIPKNKKFSEILDQKSK RIVQCKDPVDETKTSAKKFYQHHLIGYPRSSNLEEKELMKRVFCGDSNTLFFDEEHRQSQ EGPIHTKQEQKEKLAQQKREENTTGIQETQLVGLENKNTLEGKVDLEKVRDIRRAIRRRY ANRKNFQKIFNLWDEDSNGAVSVKNLYNMIQRLGININIDEARVLLASADMDGSSDLGLD EFLDLIFNDKDALNVNLKALPALTEDEKDSLVKNQETIDYLRKDAMQARDRRHQNQVNLI LKNRLQQLGQQLTMQDELQKGYISYGRFERVIKKLEIDPSILSEQDLKILYENFKNQDDT FDYKKFLNHLKSFQLKEEVYDDPYLNKNQEPVDKKQLLLKSMKYQEDQLITLFDITRLDA YSLEKMKKKTKTLVNKIQRYIPSQTKFEEFLKGKIQGNNVNVKEFSHVIVQFLESVNERY QKFDLESMLSVLQFGQYETQKADEIVRILFNETDQEFYDRAQLRQKGPAPPEKVSKVDQP LQAIENKEQDIEKQIQFYNQEAGMTWYLPNQTKELSDVLMKVENSLFNKSERAYKLFKDF DKDKDGYISQQDMKQKFEEMNILNGQEIGILINYVDPTNKGYATFNEFHEKLRAGMTIFD TAGNQLISINSQPGKTFQSAAKAFLPELSRLTEEFKKPFRPQTNHTDIRPSTRFGATPAF KNTFQNFVPPKTSPMFMTQGERFSKNREQFIQDEKSQNNQKYESKLNRIRQYHQSIEQRI QSAQEQRDQKDASNLKSKQMAQWTYEHKAHLKNDYL >CAK72420 pep:novel supercontig:GCA_000165425.1:CT868119:466226:466822:1 gene:GSPATT00008862001 transcript:CAK72420 MGSSCAKFVCQDHVDSDLMSLESKMKTLNEMSRSTKFSSLKLSITYTVPVNQKASETEIQ DQDRPIHKINKSKGRMKNFNFQEDHQQQFWLSQVSRITESPVSVKSETRRIKKKNNPKRD LIQIQNLDLSFYIQRISYQLENREVQSQRSILRSPLNKSLNSLSEHKKVHFAQGTKFRSN KSSPSKRTQLKQHRGSLI >CAK72421 pep:novel supercontig:GCA_000165425.1:CT868119:466881:467597:1 gene:GSPATT00008863001 transcript:CAK72421 MGSCQIQRVESESNSCKESSSKCQYSKSSVIMNQIVQPTNAENKLELDQIIEDEENLLTV QTRIKKNKRFYNFQEEESLRKISNRDEVESLKIGAKQKRRLFRTSVTHSHFDEIKQHSPP LNQSLQTEKEDDAKSVKSILKKNSKLKDSLSGSPETRSVRFARGTIFRTKSQRSIRQSKN KKPNRPDSRQNESINSFENKSPNWKRHREQQRVQITNVPVLFSMNQNIGVKTKLFSYY >CAK72422 pep:novel supercontig:GCA_000165425.1:CT868119:468553:470651:1 gene:GSPATT00008864001 transcript:CAK72422 MIVIIFAIISIILCNFDESMRWGTYKPQLIHAVTQRNMKTFNPITGALMYADHIGTDDRS LVYKIPDLNTEQVKINPVHHNGKDFNVQVISDPNTKSIIQTTLIKFPIESKVNFVDEIKT LNIEKPLSLFYVLTIEQFNQFNLETRTFEVNVTNHGIRVSTYNEDETRIEHFFITITVND RNYQYNCTDEKLSNLTIRTLFNDYIFNYDPRPTLMQAIFSNSTNTNNSNLVAIEFRAQPG DNVIIQVTQTDKYIPDYGFDNLEQLSDSLAAVNRFNFKKVFGTDNKCAYSSFSNLMAGLL FTYGNLSCVINKDTCTAFKPMLSHTPSRFGFPRPFLWDEGFHNMIACKINPDICLQSLED WINTMSIDGWIPREQPRSEELRSYIPWLKEDPRESNPPTFFFNFEYLIDNHPEYKERIGK IYLKLFSWYNNWFRTQAIFNDQGKFSGFLKWWGPDEDSNLGSGLDDWPRTDGSKVSKYNI DAQSWGYFFTFHMAKLAKLYDVGIVSSLEQRLELILNKMNSDMLDPNDLIYKDILYYPNT DDQVFYSPHRGYVNLFPLGLGLIDKSRVDIIQQQINFMRSSTMWTQFGIRSLESNSTEFR KKSNYWTGPIWVNIQFLVLRALKLYYWEIDGVQQFYRDLRSNMIETICSQYETRGYFYEH YNQNLDGLGQGNRPFNGWTSLITLIIAEKY >CAK72423 pep:novel supercontig:GCA_000165425.1:CT868119:471050:473184:1 gene:GSPATT00008865001 transcript:CAK72423 MLYENLQEQLQECLPEYQRQFVIQNYQNFLDASKDKDPVYQQFVQLIDKFQSASSQIEVI SKDIENLKNAKARCKQLLEEQVIKVEDVVEDVQAEDQLTEMLDNLSMFTFEKNYEQAIES YRLLIETHQSKKFMKLIKKPEIKRQLDEAFKSLCQSIFNDYISQNAQFDSSQLMQYLMVL NQVEMLIKGYLQIQQDKFVNWIEQQNQFNEKQVQQFVGNILKVNQQYESIFGEYLRKNQL LFSFISIWNQQIIQSYFDKIQENFFQDVVSFDDIFSKSKTLLNTFSQYQSKGISIDFEIQ KELAIYIENKVNELFGVYGQKINQYMHFNENKLVKIEFLPNLLESDKLLESKEMEMSNKP QEVKLKASATIKSTFDSLSQGVSISSCFFWNIVFKLGDSALNFFNPEQYSLLSTMLSESI SKLLILFIKDYLSAIRTNRKEGQLLFEIGNLFGNYNLNSTLINYLSTRASNLPIQSNSEW KRAIQDWVDELKQLIQQQYKNTYLQMLPFWICEHGKIYIQKQLVVQKPSPLFLMIGFSIM EMNNQFKSRIPQAPTLYYLGLLWDYTKYLLEYSLYWSYHNQGKNNEVFLLDAKSRNELKL DMNQINLQFQQSSIKIQQISQDGLKQLILDTQFVFDLFNRFIGQLQQNDQFLPKLVSFYC KDKKCQQPQMNSQSFEQYFTQSFQQDMIQAKNYIEQQRKSIVT >CAK72424 pep:novel supercontig:GCA_000165425.1:CT868119:473216:474855:1 gene:GSPATT00008866001 transcript:CAK72424 MGLCSSKVRAKHAKLSEIRKFSKLNIHQFYRFSQILGSGSFGTVKLAFCLEQEMNGQKSK SYAVKSIDKHRIGNRLHLINRELEILVQLDHPNIIRVYETFEDLRYYHFVMEYCRGGELF ERIVKKGVFSERRCCIIMKQLFSAVHYMHQLGVTHRDLKPENLMLVSPDDDFDIRIIDFG LSKKYPPPQSTNQGRSQVRQQTKVGTPIYVAPEVLLGNYSQTCDEWSLGCIMYVLLCGEP PFFSNNIKLLEDKIQNKEVQFSEKVWAEISTEAKSLLVKLLDKNPKKRITCAQALQSQWV LNFNTTAPLRMESIPNNEHENEKTIRLLKTYGNISKLKKGTLNILLNQLNELQIQSLRFK FEEFDRDNSGTISVKEMTKIMKQLGLTDTEKEIQELIKKFHILKKSDSSVDDLAIHYSEF MMALLNQQQYLNEERIWGLFKQFDIDNKNYITTMDVRRAFERRGKSLSTVKINTMFKEIS LDSQDRIDFQRFQDIMLADSLKSQDVDVDMYNISKMPTNIPQNLPYLNQPLGDYNIGF >CAK72425 pep:novel supercontig:GCA_000165425.1:CT868119:475600:477225:-1 gene:GSPATT00008867001 transcript:CAK72425 MNKVFLPKRRKFLQCHETTVESQLQTTRSVASTNHDVPRILIKTEESEGVSIPKDARLKN WNDVFGDFLSEALLRRKQTQLKRNTETAIDRAYLHEVPLQIHNAMSISPSIRSNFTKYIM GEISAEEFVIRKNQSQKLDKLIPAVIKKQKRPKINVTYRRSAVTDEGNHDVAFLKLLDQK NEEMLKKQQALDRFDMIRDLIEEKIEKREKEDLVKRSRELKNVGMIKQMYDISDQYIQNL QKKSEEKDISTNNRLIFKGSSVNIIKHQNPYQLLSSRNHQNPNKVKYEAPQQKHVIRHLV NKKAKQYMEELLIKDYIESQKAKKQIYVNYQFKKNIEQKLSTPQTFDQSQQNNIKHIYPF LQKGVKGSQFVKNQLINNDLELIQYITNYPKYDPYKDKDVQQQIQEDILIAGGLSSNRNI KLPLRKPAQSFKQQNLRHVELNASQDSRTDDESLNSMYEFNVDNLYQQSKKLQEKLLEKQ YTDGFSKTIRAIQKNQQLKNDIILKNIQKLEGISPI >CAK72426 pep:novel supercontig:GCA_000165425.1:CT868119:477263:478150:1 gene:GSPATT00008868001 transcript:CAK72426 MTTLLFDPIYMTQSRQLILQNTTSYFSNYQFSQAGIITQETACKNKIRIRNTQSCSVSQI AIYRVGIKNYRKKALDLKFEQDFKANNQKIIEYFISKVDYTNCINADKKAYLYNKKTIQK DEDIKFANQKMLNLMKIRKLSVYLGMFDQILRFEKPITTRNRLFQEQTEFLMLLYLAQRI KIFQGKYVGVRGDEEELQQIIGTRIQDVNKARQLSSAKLSYSVLKEFIKSNEKHFIIGPQ IDEQGNVCLKNVQQFLEWGLGSRMNPDLSRLKQIEIDRYVRIKVLFQ >CAK72427 pep:novel supercontig:GCA_000165425.1:CT868119:478465:478826:-1 gene:GSPATT00008869001 transcript:CAK72427 MSYIGINTSGGINPCITFYERMQACINKENLPNKMCAIEGLDFLECVNRRKQYALNYKIQ QELHKNRILVLPTYDVENDRFVSKYQNATEVFQQKH >CAK72428 pep:novel supercontig:GCA_000165425.1:CT868119:479677:483294:1 gene:GSPATT00008870001 transcript:CAK72428 MNTYSSVKSIESQILGRRIQEPNVAVMFCKHQPNQKHHSPESFLDQFVNLKLVEPQKILQ KQKPPQVQQGKAIANTVQLLKPAQSSISVKKQTKRTISPKKKKAQTVDCVQMNKPKSQQQ RREQMGLGELNEKQIKQRTKKIKQKEKSTEQPLKKKPYEPNTKLQSYIIQKKTVIYNNYM QQQLMVEMEKQRKLENLAQLNQSVKDIFKKCKTQENSNLQPSKAIVKRRKIEAQKENAQQ NIRQIKNQNKTKQLQQKFDDISHRYQQIQDVRYNDINNVEQCIFEMDEEDDQYSAVSDNQ LVQLALQELQKIKPTEYLINKVKYIIENQNNIDLDFITEQDYQVIQYHLMNQAATKLQSV WRGVYVRKQILNELQNMMEEEYDNLSQEDLSKTYQRKQFQQEFIGNISNSNSKGVCESNV SASNKIENRSLQDLNFNQDQQPNKYEQAKQHFYSEEDQALDIKLSDQLSELIMIQQPNSP IEQTEDFSIQFGQIEKRNCLEQIDSFARNEDEKTNSLSQSVVQQIQQELDSWNCQIDTLF QQSNDGDTISKVKQQISQTVIKIVNSHLKKSREVKQLNESAHDEKIRNQYEANNEKHFND TIKRLKKSKEFGAEQLLYSSREIEQNNDNLNLESQLKLKENELLNMREQARNLRYFSELK KVKQDSNKKQELDLWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXANKKIKIQVWGVEKRKKKNQKSTKQAIEISRIREAQTIQKIQRDLQIASKIDEN NPKIQNFKKFVDEQLLETSSLVNESKIIENQTIKQENEYQEQSDMNLINENANNDNSNET FHHINYITNSILDQVVNTLSQELFSNKSEFKLVMSLMINEFSNVESKTSTQYIQEYIKSL FDFILLNYSEDLIRNLNIPYGFRPLRRIQLMHGYDVEDDIQNSDQRSSQIAFPIDQSVFV QFEQQRIEMNSNRSGYQKDNEYYFENVHNKAIFDACNEALNYQRQYYLNQGQPYPWEQLE YQAIIQKDKLSTILRNMEDKVLTWSKTLGGFLPIENNYQIPIEKQEVLEEKNSQLTISSL HRFEKKPFLDSQLQEQMQENLTSIRDERIYKLLIQDIKENEFRWYLAEDDRAEFLMEFSD VIFEQLVEEIVSEQLLQ >CAK72429 pep:novel supercontig:GCA_000165425.1:CT868119:483336:483656:1 gene:GSPATT00008871001 transcript:CAK72429 MISDTVLLVSIISAASILVVIGIFCIWKKLKIRTINNLNNKPMPKAYVEIPDKTDRQARP PTNPQQCSPKGDEDSIAIKRKVKNFSSAVVNKKKNLTIKIPTKEES >CAK72430 pep:novel supercontig:GCA_000165425.1:CT868119:483781:484332:1 gene:GSPATT00008872001 transcript:CAK72430 MQFGYEVDKGQQICFQDYFSQNDVFDLKIRANTSNYAVKLEEAVAKRYAILYEKSGSWEH NYQHQSSHKTSHLKYCFINLEDEIVLFNVTYKTGSELADMQKVAKISDLNQMGDNMKKLN GLLDDIKRERSFLISKYDYMDKMQGSISKKQVVFGLLCLGLSFIITAITLNLIKRILQQK KTQ >CAK72431 pep:novel supercontig:GCA_000165425.1:CT868119:484349:485641:-1 gene:GSPATT00008873001 transcript:CAK72431 MQNPSILNLQQVKQFQVRRPQITKGSPLLKGNQVQIAANIRNQSLLSDQPQHLKIKQQEE QELGMHNQFERRIQKQNQFDLKQQDNIFQLKLSNEHQLNQLNMQLIKKNKILNNRLQQQY KINESFVEQINKLQETNQAYELQVHQLEEKIKKQKSKKKQLKEQIDQLQQELDEMQEKYQ NNSNQDMNQEDDQQQQQQNHIPLTRQQLYQLMQYLYQGDQQQLEHLHEYQDQEIDPDAMT YEQLLELEEQIGNVPKGLTKQQIKQLPKRTLNQANIPEDKCSVCLFEFKEEEKVRELPCK HIYHSSCIKNWLQNNKQCPLCKTEIEIQINESEEQLNQQEDQDLQDEQQEEQEQQQSEIE >CAK72432 pep:novel supercontig:GCA_000165425.1:CT868119:486863:488295:-1 gene:GSPATT00008874001 transcript:CAK72432 MLDYELIILIVFYWIIDIIVILTLSILKIKYKITVGNLLIDTFLAAIFKTTILINFTYSE LDIQYFSYVIMAYYFIRSVIINIRKIATNQSITQYSFLIQGVKILLVLQLMLITMRWKCT IYWSWYTTFSIAWGLLVICFIVHFVFLLSIGETLIDYYKKKTTKTQLVGGIWLTFYLCGF SGIPMWFCYIVCQNQEVKHFQTTDQATLLCILIVSYNLSLYVVTAICKVQLIQFIKDMGF DIEIQQEPVGQEEKLPYHFLKISLPQKLIQISSTYFDFAQESNKQQKQLQHISNSNFSVI NQKARLKAFEFMAKTEQINSPVERESGLVKGEEKCQICFENEPQIVMLPCQHGGICDDCL EKCLKKSPNCYLCRKKIQKLLRVSKEASGKFAINDIALCDA >CAK72433 pep:novel supercontig:GCA_000165425.1:CT868119:488470:489849:1 gene:GSPATT00008875001 transcript:CAK72433 MIEISISQISLKQALGNCQINIGIKCGTILNYYQVKGRKYCINSFHQLKKQSNILSLINM DLTPKTRNLQNQSIIHCQLQFYIETPDEQGYIKLLGSSKLLINDVQGREVSHKIELEHGN IMNLVLRCTAEVRNSSKQIPTMNNRIDNYHMMSVNKSEPIINKKRYGSPQPNKNQPSRSP QHKKLPPQPQPQPVVLQQQQFQQQQQQQQQQQQQQPQQQILQPTSSNLSKSSASSIKHQK ILEDYKQVMQNSILDESEEFQNSPDHRMDPPQPIMEFQKNSITPRQSSKQIEEIQFAQYK QMVKELSLLLDVQQDCDSIVYAVQQLLQKYRGQNEQIMKIQCENQILFSQYNSLQQQKSL IESKTDEFKNQIKSKLKTYKSLFDENVQLKNQLKEDLQKIVDLNIQIRELKGDQLEKQQI DQEIQHLKQQIDKVTMNVDQKSYDYAILTEEINLIQYNV >CAK72434 pep:novel supercontig:GCA_000165425.1:CT868119:490070:490501:-1 gene:GSPATT00008876001 transcript:CAK72434 MPLKTSILKSDHSEEQRNKKQGNNSNYSQLRLDDLIIDKPSEYRIKTSSGIKMQQVLLNK RRLAEPTNIEWMYNYKSKTKLHKQSKMCEYLKSVIEENKLKPTIGQFHQVKIQNLTQSDI IKEKHNIRKKIFQNPRRISSKIQ >CAK72435 pep:novel supercontig:GCA_000165425.1:CT868119:490561:491093:-1 gene:GSPATT00008877001 transcript:CAK72435 MIPGGAISQCLLEIKENCPPLQHVNYSNKKSFRHCLDSITQKIPIVKSIHKLRLDQEQKI YQNIHKVKKLNLMHEIHQVNPKLHNELKKIQYDQLTLKYAEYKQQQEQYTDTLSDTQDSY IKYQQQLENHGSGMKIFQHRPLIRNIMEEYDQEDSIYSDSYINKYLDLN >CAK72436 pep:novel supercontig:GCA_000165425.1:CT868119:492262:496449:-1 gene:GSPATT00008878001 transcript:CAK72436 MYSSKAILLSMYQKFIQDEVNIQLQQPKKLNQKQQQKKKSQYKSDQQQKSQQQQKQQLRQ SEQNQQIEQDSPESSEEEAQEDEQKQIELQKQAELDKQKLLQAEQSAKQTKQKKPEVDKQ KSSTQKEDSQIQKQQQQPIQQKAPTQKEKINPQTQQEKKQKQKQEKQKFRVEIEEDENDE EWVEVGNKKKLPPQQSQKTQQQQQERNQQQGIKQTQELKQEDTKQQVIKGQPQIQPQKQQ QQQQNIPQKPQQPQQPQQPIVNSSQKVQQPKQQQQTQPIVQTQQKPNNQVQDQSKQKQNE NDKNQTKQQKKQLKKIVDDQIDEEEGWISVEPKSIKNINKEQDSSKQEAEEVKQTKKKGL FTKEEYQQLKEDAKKIEEAYKLRIQQIEDIHRAKVISKHTQYEVKNADQLFKTQYVAAPP KIEYKMPEVQPKFMTREEIDQLIQAKMPKTRVFVEKSEPLPQQVVKSSETKKIQFKSKIN FLKPIQQEKNEQKLFTDPPITFETNKDTSKSFFTTQVVLTVNTTGKDVFGRVKKFRRPIQ EKKQPEQKQKEIYQVKANELNQKPKDQDENQQTGEKEPTTSILLNKSEAQDQKHESANTK KPQVRFEEKPIFEPKVQKPKEQDPQDLQTTTQNQNTQDQKQKSNQKQKQPQKNQQPQQRD LYEVKQPQQVQKVVQKKPAKQSSNELYVPKAKETDEIIIKNQNNEIKQDDNLNQNNQIKH IEQEPLKIQEQQEINILKEIDIESELNLQSGNQEIQIELQQGNLEQNILNEVEQIVQTGS NQPQFVEEEEVFVSKDKPVENQQNTQQQSGSIVEQIVQTGSNQPQFVEEEEVFVSKDKPV ENQQNTQQQSVNIVEQVIQTGSNQPQFVEEEEVFVSKDKPVENRQNSQQQSENFVEQVVQ TGSNQPQFVEEEEVFVSKDKPVENKENTQQQSENIVEQVVQTGSNQPQFVEEEEVFVSKD KPIENIQTNTESKKSVQLQQGVQQQEIDNEVEIIQKGELLQVQNDSTEFIPAQQLSSQQQ KQDFNQKILSKLDAPSPIDREQKITSMSNIMQNEFSQDIREDSSFLAEMDQKQRVLPGYL DLTFNSRKKLINKNIPVTYRTEPGYENILELETMSLILDGCKEIIADEYVNYLENVRRIR RSALNQKRFSDYIKYVIQMAEEQFRIIQEGFNCIAQSLNINEEAFQNSFVFYSQHEEFGK EFVEQLTFVQQNMKDIPQIKVSLRKQQGIELMKYQIDVIESYTKHEYFGQILDLFNDMED VQDAKPILLNTLIDDVIFEKYGYEEEDKINFMKKFHNDKEVKSLQAKQENLVENLFNIQL E >CAK72437 pep:novel supercontig:GCA_000165425.1:CT868119:497834:499767:1 gene:GSPATT00008879001 transcript:CAK72437 MHQQLPPEQLDRLICLEARIHRKSKEEIIQEQFLQMESERQTDENDRNKQNVDSNKKSDD LKCSLNSENSPQHLFPSQNMSPVQKKCIITKKHSQQKKNKKSKEGQQKDITQYLQFQKKQ QKVKKSEQKLIEYSIQDSPFKNKSPLPYDPTVDKQLKEKQNQLAISEIKIKELETHNSEL RETISSLTEQQLKMQDVVKQFAMENEKLKKLQLQTQLQANRVRLGEYVVQREKTALVDVW IDGQELREAKEQSKKIENLKVDYENRKKNCKNKEEQEMRTLNVKINFLVKEELQLQETVD RLETEKNLHIKQIKRVYEEEHARFTKNNDYPLIGERYQVLSLLGKGGFSEVYKAYDLQEL REVACKIHQLNSNWSDHAKQNYIRHAIRENRVHKELNHTHIVKLYDSVEIDKSSFCTVLE LCDGPDLAYYIKKYKCFPEKEAKLLIGQIISAIKYLNNHKNKIIHYDLKPQNILFHLNEL KISDFGLCKVLEDDNSKLQLTSQGVGTYWYLPPECFHMGDQPPNISSKVDIWSIGVIFFE MLFGQKPFGQGISQEKILKEQIIVKSQSVTFPQKPLISNECKEFIRACLAYNQIDRLDVH QASNHSYFQKKQN >CAK72438 pep:novel supercontig:GCA_000165425.1:CT868119:499791:501484:-1 gene:GSPATT00008880001 transcript:CAK72438 MSKVIENYVLQDVIGSGQYGKVYRAKNMKNDQIVAIKVVKLEKFREVPKLHEFTINEIQT LSKIDNQNIVKFIEMLKTQNNMYLIYDFCNGDTLEALLQKRKFLTEPETMKIFAQILNAF RSLIRENILHRDLKPSNILFHDQIVKVADFGFCKSLLHNNDLTQTMVGSPIYMAPEVLKG CSYNCKADVWSLGVVLYECLFGFCPFEDKSIARLIMQIDNKEITFPKHVNQLSRKCEELI RTMLQVDPRKRVDWQQLMQITFYEEPNVTKQITCSSNTNAIQNLPQMLKKQASTNQVLQD RTNIINNQPQQQQQQDFQNLRVLLRERSKIIFLAQMVSFLLEQNTVSAVQLHGSLSFSQK TAIIAYFLMKLAQNQTEVIKKQLDQDHRRESRWEEFQGSNEYKQFNSTFQRESEQLLMNI ESFKNEAQKVIRHMQQNDLTTQIRNELMSPQFTNLKLYTSLLISYIEESRERQVNLTEDL QQKLLIGLIDLLETSQLNDFFDKNLSDVNIRFNDQRYFEQMRKMPKETLTDILNQRLVNA KIKCAK >CAK72439 pep:novel supercontig:GCA_000165425.1:CT868119:501634:502265:1 gene:GSPATT00008881001 transcript:CAK72439 MEIQLNEEQQKIYNLVVNSKKSGITKANLAKNAGLNTKEVEKIIKTLENRDKIKSVRPNG RTTGVKLWIDFNQTLDSSLPTNVFRQADQGVDDELIERIKQKLIYFMQQQCDGRASLDEI RRVYKAQQSEHYDEMDFDRIVNLLKYDSILIEEENSFFKLNPFRQQKFQFYLSYLPCQTC PVFNQCKPGNTISPEKCVFEW >CAK72440 pep:novel supercontig:GCA_000165425.1:CT868119:502377:506097:-1 gene:GSPATT00008882001 transcript:CAK72440 MIFTPASQQEKATLEKIGEFLMGLSKNVLKQGSSISLPKFLQDLELTSNELCYMLQARDQ NDYSQAINKYTQVWKDVLKSVQINNQMHTEGEQELEPLSRADIMQRMKKKLMEGGQTGRL GGARVGGFQSPLAENERIKNRQLSSKNSNILPSIRLNSFEQQTDRTLNNSSRVQYELDDN QKCILNEVLKQSNKLNVQIVMKRYDPKIKLCISQGTDTNLDILEVMRNFKKEYHESKLQE IVQDSDKHSMELQKFLNERIDYRYTLQTQQVTDKLKGVHKQMIQKSMDIETVILDTQKQQ IQQDMIPDILNDFIDYVNESYQNVQHETYKVMMKFVSGFLVRRLKQDSEKKLPQQNSQQL LSGSNKDRKAPPIRRADNSEQLQKELSNKNVELDKKFMENAELWNKVRLMENQIMQMNIE IESTKIAEKAIEQERTQLLYEKQKLEKQLSVITSKTFQDQAVQVSDQSLQQQISKLQKEN QELQQRLYQLTGELNKKKSIPADQRSLQMDIANSQDQSNKDSPKGTGKIKSQFDFAPDED NVSIGYRGESNRQIQFSDYSKRKSTDVGYRMSFTQHIQSQKEQKVSKNNSQTSDTQQKKR ANQHSFTEFHPSKQPNKQTTIDQKSIQELDEEQMQKSGLLLQQQQQQQVRKSVSPNNENA QIIRKMSSMSKSDQQLPSSIVRKSTVNQMKMGDSSIPDIDLTQAQTNQDTQRTSSGRMLT LTERKNDTSPSDRRIQTEYTDQSQFQQPQMQQSQQISNTPQQNKQFKGYKKSETFLANKP KNKYARKSTDALREIQEDQQFGPPSNRGSTRLIESNKTRIPLIKEEDEVSRNSKSRLSSQ QSSQSHIRRASTTIQQPIKMAIKVNDENPSEYIAQWKQRTTEQEQQTDIELLTNLLETLI SNLGLPQDIQQKIMETMPAIISLKNLNQLSEIQNNLMKKQQSFKNVVQKYGFDTERVSSN QTKNHSAKQSQESLLKHESEEEQIQMGSKLGSQQQVDTQRIQNSKTKSTRFLQEKQKKDS TVQRQPSRQPIDPQEQFDQEEAKQIFNMAAELNIEQVDQMPYEEVVKQIQNQENDGKQLQ QIKPNELKGETFLRTLFNQIQNKKTKGVENVQELLRKYYKKGKHENITYYEFKAFYQRLA AIHKTCGQDQMCSHLQRFIMRLGYTCSIFSKRQLLKTNTSVLKPFNTSEDMTTQSKLTNT HQNLAQSRFTSQQFYMSEEQFY >CAK72441 pep:novel supercontig:GCA_000165425.1:CT868119:507537:509672:1 gene:GSPATT00008883001 transcript:CAK72441 MQQEIAVFYQPSLEYLNKELEQLKFQCNLKLLQKKVILLFGFPSIKVESDRIHTYLQGIY NQSENEQLKKIRVLISFIGEVTSINNWDDRGLIIRQRVLQFLNIQGLEVKWTEQQWQEEI DSIVTLRTNNPYYDTIPNKMFSYTNLFHTLGIQLPTELKYLKHQSFNQMQTSNLIDDFHL LCNIDEFKIVRNIRQFIQNFTDKLDDFMSLIINIESIHLFDVHSITNSYQTLCNQLQQIL ISFISEITKRQDAEDQQNQQLIIDENLEEQNKKIRFKKTIPAASSTTIIQGSFGSNLKAK KQRRKLKLIEQSKGRSSLLYLSGSVDNCISQDEIRQSQNSSQIYDNENSIDLISYLQDQL LKNAGLSNYLLTEYSLENLKSKILTYEYIQQKLEQLGYPCINLDEKELISVLEEYKLFNK DKKAIVVKLFNIISESFISKNEGLDPTLEIRDLALKYLSQISIDYPIQELNEILKSQCIP HQKMQDFDMNQKFSYMKLSQILNRKFPEQVYQIRCSSTNQIFQQWSINQLLDIFNFYDLQ NIRITRSYISQVFTCLQNLINLKELLHSNIDGIIIEEFMQMEKQFLEVINQPLNFQINSQ YFESSNLIHKEQSSNQNDLFIERLKDQRLQISDLDKINELSKLQQQENDQICRQSQDEYL LLKFNQPIISDYFNFLCFQNQSDSPRFSFIDKSQHQSDDQQYNSFEEEYFS >CAK72442 pep:novel supercontig:GCA_000165425.1:CT868119:509793:512032:-1 gene:GSPATT00008884001 transcript:CAK72442 MIKLEAHTFHQKNVMTPTQLSNKSPIDIVRQKKIIFKRELLNTEQNFLVQTEEHEEIIYL KPDVPDLILTDLTNMAIQEDISEYQALEYHNRVAKLLEFYTQNQIQVQYTSVLFSKINYA IILKKYAAQNEALEILLDCDQILKTKGKALKKTSPESLNLAQIIQNKLLRLRLVFQVTLL FSETKKNKQALEMAKKTLRILKSIIQNTIKLCQQITVMSLMNKKQRANSEVNSALQKIYT SQTINITSQLSQALSYPQIVEIIFKEILVSITSMLPNEEKPNDVINIQNLMIRSYQNRSQ SLFASEYVFQPQNNPQNKLFTLIDDNHPMLQMQILGLMQLTYVDLEELFPINSYEMVMAE EVILELIMLTGLSFYSISTELRFINNQSNKLTVEIWLGKAVEIFYMYVPHSSAIFNQIYQ VYQKLYGVDKQSIPEDEEIEYHTKLLKPHPYNNKSSLSNKVVIVIKVPNQNKQSQSKDTE TKQSQNTQTTFQKIQQQILVKKQILFPQKHTFTQPDSDKKLDTMQDEKDKSPQLQQQLFV KKTNTSLNSTDVKQRVEFLMNQILNQQARLTQEKLKQKHQPITILKQKYQVTSKIQENAN TFNPLMTSQFKTTATVSRSLSNSRKTSQVNLKNQSNGVKTAQMRYRTQVNSADESSKQFA QHLATQLASINRQTTKGFMLKRSDSAKKPKVPFQQH >CAK72443 pep:novel supercontig:GCA_000165425.1:CT868119:512070:512681:-1 gene:GSPATT00008885001 transcript:CAK72443 MRSKAQAQAPVTQKQQPQKQGNVRKPQERPGLTEDEIDEIREAFNLFDTEGTGRVDPREL KAAMQSLGFDQKNPTIFNMIAELENEGDRTDIDFDQFLDAITSKLGNREHRDGINKIFDL FDDDGSNTINLNNLKRVSKELGETMTAEELAEMLERAASNGREISREDFYNIMVKRTF >CAK72444 pep:novel supercontig:GCA_000165425.1:CT868119:513458:514288:-1 gene:GSPATT00008886001 transcript:CAK72444 MFSRIVQFKIYGKIDEKLYKLISQFRTQKVYKSIKDTKFIKPKLVTLHVHGEGSFVQSKN IATAIRNYAKYYKVPLYTFGEDFVLNSSVYLMTVGDKNFASEYSVIGDFGYVQRRLGYKQ LIENLGMQYHKIIIIREHKFIHSGEKKVKLSPYDDLKEEDVKWIEGQLKHRESRLKEEIM QNRNQKVDQEMWNQTLLTGQQALKYGLVDGLGVFDDIREQEYKGMKSYDILSNLLDYYVP ANATFSSYLSI >CAK72445 pep:novel supercontig:GCA_000165425.1:CT868119:514504:514827:1 gene:GSPATT00008887001 transcript:CAK72445 MVIIYCLINLKDEKLLIHILLNWQTYFKVLLIFTSLLNFVMVKIQENLSNQKRKHSNSKK IVIRNQDFTEIKYPLLGHVIILKEVCQALFYWEHL >CAK72446 pep:novel supercontig:GCA_000165425.1:CT868119:515179:515959:1 gene:GSPATT00008888001 transcript:CAK72446 MKQFNQILFLIVIVVPTVIAVKGVDLSASFNNFSCIKNAGYQFAIVRGYMSYGAADTVGL QNLRNAKAAGLIGDAYFFPCKGKKTASAQVSEFESVFTSEYGTVWIDVEYNPSTGCGWST SDYSGNCQFLQDIVRELGNRGRLVGIYASQYQWSTIMGSASSCAYFTSKPLWYAHYDNSP SFSDYSKYSFGGWSTPSIKQYQGDTTLCNVGVDLNFY >CAK72447 pep:novel supercontig:GCA_000165425.1:CT868119:515995:517842:-1 gene:GSPATT00008889001 transcript:CAK72447 MKLSRIPVRAFGNAAYQQPIEQRHLRPSSIQQSLEPRQIMRCVSQEQKLPHPQLQYVQYP QQRQCLDLMAIQRFQPTNSKTAVRDCCSVPLKTEQQQKTCELSKVRSSAQLNLVQQDDTN QSTIIKQQNEQIQQLQTQLSEKVHQYQQLQERFNVEFKKFQEDFRHKLTNIDLNITQNDY TQQFMLEIDRKVSNLQNNLNEQIKELQENFEQKTKQSEHLVKNLSSNTSIRLNEDEQHFK QEVENLNSKLNSKADRQKVEQTIQVQIAQLQNQYQLQLQQAHQLLNKINNEKSDELSQIK NQIQNTLMHLTQQVNQKLQHMYDTINERDQENNSKKHESKQLSQHFTNLQNKFQQLQQQS IETITQLKQKNKQMEKEKQELNKQLTQQQFLVSQFQSEITKLKNTNSQLTLQLSPQAKQN SFFSTSTAVANQKSKKQLSVVNKKNEISLDDFADFNENSLLDSNESFEINETCGFSKTKK IIQVEEFQSPPRNPFKKSQLSNINKLLNENLSGQLSCKSRGKSAPLPMVNALTKAIKRRL LYLGKNDRVLTCTYDSEKHLIDCDMGLCLLDENGSPFVVSEQEKTQLIQMKLIKIN >CAK72448 pep:novel supercontig:GCA_000165425.1:CT868119:517878:520365:1 gene:GSPATT00008890001 transcript:CAK72448 MKFAEFLINNIIPEWALMYFNYKALKAYLSTSGALKDFLLYAKKTMSTQEYREIKKVVME KTYIMSKILADQKEFNKKLNQELVKIRSFMNAKYNDLKGKTYKIQLQILSMNRQKRSLER SRKRSVIRVSSQVAEELTEQLTNKQIKVQESDFNQKCLKLKELCFQLFNEGVNLEKYLKI NQEAIRKLLKKQMKKSIKMNSEQVNLDECKKLASEINFEQQLNKVKQMLFQVQKYLLKNF YQTQQQVCKEQLRKYQFQNYKNNKAWFQFGLFTGFSLMLISFIIFLATQKQLNIQNNAII YEQFPIYRGALLFILYYWSLTIVIYLWSKSKINYKLYFCFNHHFSTINEQLKRVMSLTSI FLLVSLFYLCDVSKLGIIFSNLKGEEYFPLIIWTSVFATVAFPSKFMINGQGRLWLYRNL WQCLNLKLIEQRHYFIFSQFTSLIIPFTDLTYTVCEYSKGINNQEETDFNQYDECFFISR YFTLALVLIPYLILMIQIIFLTQKQMNGNLFIIEFIRNIFSIVLIIFATLSYQESDLFYY WLGMAIFIAFFNIISSIKKWSYLDVKERRKKKQLLSYKQKLLIIYLPFGVIQPLSISTSI FGCFDKKEQHSLLILYIGIVELIRRMIVNYYIVDAEHFRHKQKYQSVGELLSQDTTLTTI VRESEILFRQDEIEDSDEDEKDLRRKDKEQITEIEVIITQMEPEMKRRQDTGLISQGQSI SNQVENHNQKEAKYLGISELVVKESEKPLGESFFNYDIDDFKPEELNQIINCQQISESDL QSLHINNKNSKEEINRLYEEFGIKIK >CAK72449 pep:novel supercontig:GCA_000165425.1:CT868119:520434:522070:1 gene:GSPATT00008891001 transcript:CAK72449 MSQRDLSNLISINPNDPQQYQDLLAYYRSKLGEFEKERFEWLTKLEEIKIQYEDKHQQEW ELLKRKQEIKELQQTVGEAKLMLFEERQARLKLQKENDALKVRELEDKKKIAELMAMIEP IEEQVVLSKDLRPEVTTKYTGDTLAVREKQGNVKMHNINQGRSILKTVYMPNEQLNAYQL ENENLKKQVENAETMLTQQFAALREELRAKEVETNLRIKEDQQKLDQLVQKIQKLEKQNV ELVKDCYAQKQKFQNKERQMQEDLEVAKLKNKKLKMELDQIQRKYNIENKTALELLEKKS DEYNKKFRSQIKSKDEQLSIIKEQYEQVQNIYINKIQQLEENLSKLIEKYQQLEKRRSLE VEGFKNDIKILTKKVKDCEKSHVEKQNENKSPEDLAKYQEEAQQLKQQLAKETGIIYSSG KKNTANNNNQQQTIKVKGSQSRGASKTMKQTNKQSKKGINNQNQNDQREDDDASESVPMH ELEDLQRQLDELQYQMDVAKKQ >CAK72450 pep:novel supercontig:GCA_000165425.1:CT868119:522156:523572:1 gene:GSPATT00008892001 transcript:CAK72450 MQIHTSSAHTLGQCESFKHLSPQAKEALLSLKKIKNFGFEQPDDLANVKAITTNNHDNPS ALTESIVDQVVRHPSQNEFMGSQNFSFIYNSKSLLAFKNSVVLDDPTPDFSDALSSEREH INETLQNQQLIQKQLKLFSNLQVKQPKDRIQENKGFIKKFTQEKENMLNQNTKKNYQLNR LSDLISKEIKKNILTPTSNNVRKTSYTSLNTQHSSQTKFSLQTQQDYLFKKAICLQEKQK TFIDQGQLINTTKEMKECTFNPKINQKSKVAHSSSFFERQSTWMRKKNDKITQQVEIQKE KATKECTFSPNLRDTSNNRIDSCDVYYRNLQWEKKFNKKKQHFRNSMMDLHKNDLQNSTS KLQLQRSNSTQIINQQTDMKQLLEIQLKVDDAPKYLKCLTPTYQTTGTITTPNSQSGYLD LGKRKNSIEQIEQKYKLLYDLVNYGNKNTSKKKK >CAK72451 pep:novel supercontig:GCA_000165425.1:CT868119:523626:524871:-1 gene:GSPATT00008893001 transcript:CAK72451 MKNSQDQDIGLNLVYLIQQHNLAQVRKKVTIDQFHLLSVIGKGSIGKVVLVRKKDNQKIY ALKVIKKTKLIENHSVKQIFAERNILQNCQHPFIIKLEYAFQNETKLYFCLQYCPGGELY QLLVQKNKLTEEQAKFYASQIILAFQYLHEQDIIYRDLKPENVLIDQEGYIKLTDFGFSK QGIQGNFGAHSKCGTAEYLAPELLAGNHGKAADWWTFGTLIYEMVIGQPAFFGETKEELF NQILHHEINYKKIGASSQFKDLLSKLLQKDPNNRISSANEIKKHPWFKNIDWDMILKRQM PPVFIPILNSDDDVQYFDDCFLKEPINSQTNSLSLEEQMQSPFQGFSYSASPQQKKEEQL EF >CAK72452 pep:novel supercontig:GCA_000165425.1:CT868119:525072:526487:-1 gene:GSPATT00008894001 transcript:CAK72452 MLDIPKLKKFIFPLIGTLTIFQLSLQQSMPIHTLNKLLQSHSNSKQAHETLILQNGIYIF GCVLGSETYKYHHQPQALDYQQISLANLFFSYQTNCTVLLLSITTMDNQPIEAYLILRVF VGIANGLNYAQSIIYVKQMSSKKESQFFFKLIPLQLLIGQFLGAFWLEHSNQFATFQQFL NVVLILNSVSVLRMILLQFLQIETPQYYLQILKDSRRSKMIYQEIHNDNIQTYFGFEQET LRNNIHQNTSEYLISKPYLKKYFKCCLLIVLTQFTGETLIFSFLYSPLTKSYQDVDNVWI YAFVTGISLVVLQMVFYNFIKRKKIITLIGQAIICIALAFLGFSNSLWALILLQFGYSLG IGTQIFSIIPYQLPEFGILYCINIQWIIGLIEMCFYGLFLNKLPSSDSLEQVHALQELIQ IYFFVSCVGLILIFIILRQPIRTQPQQKIKKEEKKSI >CAK72453 pep:novel supercontig:GCA_000165425.1:CT868119:526571:528115:-1 gene:GSPATT00008895001 transcript:CAK72453 MKRSVAISSLSGSPKRMLSQMSIYDFPNLELLSQNYEDEQLGYMLTESVIVCRFICRQII QKASNIIQENYIMNQLVPFGCKWTEQFMNMIITDITEDINCIDSNQDEIEPKPCPIEHWR RMIGQVQSPKRTLIQNRSFRRESKIQSTQFSKGDIERFDTQPVKMGDLDDDVDFDTEIES MRQAKARQIFNLQQMQMQDLIKRQEYQEMNRQLKRLNVDSKSKYTYDFEGRVIVQKPPDV ERYPKTNQEIQEKRVLLEVKDLFPFHKKKLESICNYKKKTTEQCNPSTLSNRISQSQIDI IQLQKGVTFIEGKNEKRNDRQHSLVEIKDPKELQKTLSQIHLKMSKEEYSIITNQPLQSS YTTNKNLSLTQNQTPQPFQQQNIQTIPLSINGDQSIQSLNSSILQKLNGTISIISDHYEE LLVQEPSSLITPTTSKLPQIQHQVSQPQIPQHMLTEPTSYAIANSVTKLPKTMYVPGTFS KIIPKYPRERISRIVKQIKF >CAK72454 pep:novel supercontig:GCA_000165425.1:CT868119:528140:532178:-1 gene:GSPATT00008896001 transcript:CAK72454 MFKITQTQILEWVKDGNAETLSTFFESSLQQNQNGLNSFFKMVDKEKRNVVHWAAYLGQS ALLEKWLSQHSQIIDLNQVDLHHYTAVELASIKGFMKEYNSQVQFRLIKLLLDHKAKMPT ENKHKRANPLHWAYYYGNLELIEFLLSNYQDLQYQLDQFDMYPIDYLFLENRPEEYQKKY KAIFVKTVQEYAFKNDGHQFKTIRNLNTQRTIALGSLQIKSNNLENPVMINDQNQNQNQN QLLLKEPANELESIDQDKINQHLQCGQTAMTLMSKIHQTSTTQQKRDSISSRISQQFKSI QERMKKLPSKYVQNELPFEDSQQDFHEPEAEKIPSVKIIQPTNTIFSDPHQNITENIENP ITSKNDIESERNQFRVLLQSDDEFGRLFKSNNIPSRSEQSKCMVSQYLQSQVPSQFGRQL SQEMQASQDDYRPSMNDIRPSMKDIQRVRSVRPSLKRPGQKLITRKSTTFNETYTIYHYT KSQTKKQLFECRLQFWSARIESTEFFSYFLKHKCNPFLIQYQGFNCLHIAANKGKYEILK QILESEYEYQELKDLLTLHRSITVGQFSKTYIKKDIFNKVEAFNMMTEQNPSNALHLAIE INNFKCMKILVEHGVSMDVLNHRCLMPIELTFDEKMVKYYENHYLKGKEQSFNLMGYMYV IQTSGNQDICQDIVLLQLQNIRQSFQQRNMEFEFLIINTPNYKYMQNGKEHCVKHHYYVV KLSADTIYKLADIYQIECYHFTKKHLTKFKYGDYGHFEFPKPLQIQQLIINVLNEEFDLE KFVLEGLVTSHFPLEDSSKSLKVNEMWKDLQYNCIRDTIRIKTHQIALRPLNSIASYYGP VIAWYIAFNVQIVGWLIIPALVGSAIQLYQLIADKIHAAILPSYALFMSLWATLFMEKWK NRESELKYIWDMHKFKQQEPQRVMYTGLYTVEPCTSKIEVYDSFTTFKRRMIAEFPVILL GFSIILVSFLAFNQWQGQQDPQSVYMPIIINSLNGVSMTVLCDLYKRLCKYVVNWENHRF NSEMQHSYVLKVFLFEFLISYISVVYAVLFKTDQTQLALSVASIIITRGLISNLQSNCLP YLLYKYLKWGLRDQFETFQVFKEQFKICDMQYVKEKLKQAQQIEFMKLMEDSNNKQPQKD LYEEYTNIAIQFGYTTMFSPAFAAAPLFFLLNQFINLQFSISNYQRVLRRERAQAADSIG IWLSIFELMNYCSTFMNCLVIGIVNKAEFEGLIGDSNPLIQVLVLAAIEHMLLLVKYLLG IMIPDCPYWVSKELRKYAYFEGQSAKIHDDSEIIF >CAK72455 pep:novel supercontig:GCA_000165425.1:CT868119:532368:534200:-1 gene:GSPATT00008897001 transcript:CAK72455 MTEIGNYLIGKTIGQGTFSKVCQAINQVIGHEAAVKVLEKKQINQEGDVERVKREIQILK ILHHPQIVKLYEVIETENHIYLFMEYANGGELFDYIDRVKQVTEYEACKFFHQIISGLEY IHGQKVIHRDLKPENLLLTSDRDILIADFGLSNLQKDMLKTCCGSPCYAAPEMIQGEPYN GQQTDIWSCGIILFAMICGYLPFDDLNTQNLYQKIINAEFTFPKHISIDAKDLIKKILVV NPQKRYSIQQIKRHKWWQLWKRDNPPMSAFKARCITIPCQFLPNSPYNQEIPNLPKYSPR NAKSPVAKSPPTKSPNTKSTTSKLSSCTYKQVYDKIKMRQTPSKSLHESSNNCNPKSNHN TNVNKQNIFIKQQNNYAFYNKHKKQPSGQYSTLMKQTQFQKVVQSMHESGNQKSYINAAP FKTPTKHFYSHTKNVSDLEKTKFQTSLKIDESQSTQNILQRFTSGLNSLRNSPRQPINIR GIEQHTGPYHLSFITKKHPQLFIDMIHNYLKLHFPIIIYENYSITLKLTDDSLIEVRLKR IEQIDVYYLDIIQQNKDFAEIQQFVKDLRSNIKF >CAK72456 pep:novel supercontig:GCA_000165425.1:CT868119:534245:535720:1 gene:GSPATT00008898001 transcript:CAK72456 MNQIQTDVMHQIFQQADFQSDQQYTRQDFLEYLNSLINDQFPFELIESVEQDYSTQINLL TVDEFVNLILQEFNTQLQIKQDAINQIETYKNEYKQLLEELKIAKKEENLNEQIDIDSTL FITVCSAECLKQSQYYVTITLFNEEKNTQLSQITNHPMWQEEFKIHVSSPYGQIILELFN SSSILIGQLKLPLYQFKDQQSSNQDYMLEDEHGVLINTKLKLNLTIWWIHSRVALLEDQI SFIDEYKKEIKQQQDIIKKSNHFIDSCLSAFDKSRIAKLHQHPYEVNQEPDFYDESEWTI KFQNKMYQIAKQFKNEHQDSLEEWQQIVLLLNGFVIIFTLVLISMSRPCFQQMTTSLLIG MLIITYDSDHIKTSKILPQVSLILLIQCIFDVFWLIVNYKVWWSSTLIFEQQLFGSNIMN YISFYCTMLILPINLVLSACSFNLSLKYLGLNNVEL >CAK72457 pep:novel supercontig:GCA_000165425.1:CT868119:535801:536418:1 gene:GSPATT00008899001 transcript:CAK72457 MIFLVRHGERADNCEVEKQNIVNPSDPHLTPTGCTQALQAGKSIQQEIQAYSCVDIQSSP FLRCIMTAKIIASHINKEEVSLKTEICETLYPHFFSKNPLPELVINTDPTLTYFTGITLI DQQSNQNEIYPETLENVTNRIMSYVQQLLKTIEPEQCVILITHQRPLKTILELFNQNTDN VGYCKVISLRKGESTQIEECELKIY >CAK72458 pep:novel supercontig:GCA_000165425.1:CT868119:536505:538407:-1 gene:GSPATT00008900001 transcript:CAK72458 MEIQPFIQASNLDTKSLITGGIFIAFTTILGVIALLQTYCEHRHYNLLREASVAILIGLL IGVITEFQVLQNVNYDNSSEIFFFVLLPIIVFKEGYNLNKQHFMKNFFYVVLYGIFGTIF NFIVLAALNYSITNTTIFWIPPSKDSQNNTPINSRYASTFYSACISSKDSAVSLSVLEFE HAPKLHSIIFGEQILNDVVVFAISKTVEKFHDQSESKSDWEWYSVFIFIGWILANLLVGL IVGVLVGSMATWITKESRFLSEHSSVATAFTIYIAYFAFCVCEAIGFCGVLAVLLCGIML SHYQTYNLPKISATSSKITIKALAYISETIIYFYIGYMVTENAIVKDQQDSNLKSQVYTF LLIQYFVFSPVAKLSSMLLAHGFAIMQIDHHIHSIRMKTKGPWRINKYEFFLLFYSGLIK GVVAYALMCEQDVGGKDYYKIIQTTSLYMVVFTTLINGGTLKYVCEWAYRRMEKENSTAQ TRTQSSQSYAIRQTFIQEDLAAYKKLKNKSEKFFKGFDEKYIKPFLIYKYNQRKDDIRLA KKLQKNKSKYEKEQDFKMYDDSIRQQREELELHKQKRNELTQIDYSNSDSDDEQNNHQNG NQHTTFQGELQEVKNSKQQNGDRNHD >CAK72459 pep:novel supercontig:GCA_000165425.1:CT868119:538575:540190:1 gene:GSPATT00008901001 transcript:CAK72459 MNRQEILNLKPEKKISNYGFSLKAILGKGSFGTVYFGREMNTQLPVALKVIDHSKSENYS QLYSSLHKEIEIMKKLKHPNIVELYEVYSTSNNTYLVEEYCNGPDLRQYLSEKRVIEEDQ AIKMIKQIANGLKEIVNNNFIHRDLKPANILIHDGLCKIADFGFSRPLPSECVMESLVGT PLYMAPQILTKQQYTSKCDVWSLGLIFYEMLFGTLPWMATNYMELIYRINNCKLTFPKNN KISKESLSFIQGCLHKDEIQRFSWNEVFLHPLIRPQMKILLEPGINEICSPKFQTQRQLQ SNDKSALPTLRERSCSGKNSNPQNKQAEYKSEVKIEQKQDLPPPSSSQQSTEGEENSKVA KYYLPKQQQKLRRTRSQFENIKPNQTEIIPKRQIQQPLPSNGNLIKQAFPSKKRHNFEIF QKHNTSKQKTNSETINQLLDLIASLEKDVKNQDVDLEAFLSKERIAIANGQQNHALRIKI AQKYLEKLEGNKRRKLESFISLLQKDLNSEENNPFLPKQNNAALVLYQS >CAK72460 pep:novel supercontig:GCA_000165425.1:CT868119:540229:540919:1 gene:GSPATT00008902001 transcript:CAK72460 MYFSDNEYYSLVESIKELHNFTVLQQQSLTDNTKVLLSLNNSYQNNAQAILKLLLQGIGQ KSQERSEKILEIDLRDCPEILETHFQNSLNQIQNKNKEIIDKLLICQQKIEFRAKRQKCL QKNAYWELALKEEVEIMRKKTLQLNEALQQHSIKIQHEERVNQMPVRDLNDNFEQESLNL LKNLKDDYIKQLKQLQQSQKVIRQFNEVLE >CAK72461 pep:novel supercontig:GCA_000165425.1:CT868119:540961:541648:1 gene:GSPATT00008903001 transcript:CAK72461 MALVEVTNIIFENELALFQTPISLQITFEVLNSLPDEIEWNLIYIGSPLSDKYDQVLDNF SMGPLQKGLMQFTITSQPPNYQLIPSKEDLFSVSALILTAKYRQKEFFRVGYYVYNNYTE AELIENEPQVVLIDRVYRQILGSNPRITKFPIDWEGQLTQLYVPPSNQQFMFESLMSQQQ SGVGMQTEKNDLVQQGSQSSIFTQNLF >CAK72462 pep:novel supercontig:GCA_000165425.1:CT868119:541652:542033:1 gene:GSPATT00008904001 transcript:CAK72462 MITGYLQNKIIAQSSIQEVHGTDMLATMDRRTSKIYMGGQSQQQLNPLDLVQISSALPQF SFQQNTDQRLQNLLNSNYQQQISQLASPPNIDLINQIKEQARVVRLHYQA >CAK72463 pep:novel supercontig:GCA_000165425.1:CT868119:542093:543626:1 gene:GSPATT00008905001 transcript:CAK72463 MESEVPESYTKDEIVWAKIRGYPWWPGIIAEVIKEKQSSEPTKYLVNFIGDNSQSSTLPF QSLAKYQEKYEEIVQKIKTKQHKDSVTAADQILKGQSTYEIESKKISKKKNITKTVSKRK RTRSSSSEEHSGIYGSSRKSKMDSEDITKPDNFSSEIQELLKLMAETKLNPHQIETKISS LTQILDLDRPDIMEILQGPNGKFLMQIQARLTDKKSLSQAQIDFTQLLEKLKSIVLRTYF DPTEIIQQLHQVSGQKKITQKLLEKLSNQPNFILDSEDDSEESDSEESDGESEEDESSEE SVKKGKCQRQIPITKPIIRKKQTQNQSPKKPRKQSQDIPNPLLKNKVVQKISETISEYID QSIPKRISEDVESRIRLCDSNMGFVYKKKYRTIVDNIKKQTKQDLINLLQNSSNSEQLWN LLNGHTQSMNQSGNKQSDYEQQYS >CAK72464 pep:novel supercontig:GCA_000165425.1:CT868119:543701:548070:1 gene:GSPATT00008906001 transcript:CAK72464 MDIYQLLSFDGFNNTLEIILNKVACQKLQQIQNNLNIICIIGSQKSGKSWLLNKLFMQGQ GFQSSTKGFYFTYDGQLIIDTEGLGCGDQRKDMQIILLASLLSSVVIYCGYSVEDFELMI NLEDYEDFLPPFIWVLRDIQNKDALQPKELLERQFKSQNRVKKHLLKLFSDFVTVPSSSE SIEFIDSMALLRRKVLNYAKPKKIYQNIYLNGPLLVEMIQMVVAKFNSNQSVDLRQVTET IVDKQSTVLLNEAFKKYEQSITQLKLPTCSFEELKNHHKDSELKALEYLKSKINDKDLND DLHQMCKETFKEICKRNEQEATNLCNQFINQEFQTLQKRLSEYRSILEFERDIKLFYQFL LEHGPKTVLKQQVYQEFYNKMMTEGTNMFIKQQNQQQQQQQQPLSIKNENINTEKDKTIN ELVCKVKEQENKIYSQQSQIKLLEEQLFSSDKQLEILQAEILKQKELHQQQFKQFERNIY LKESEFNKMKALNEQKIQHLTKQLEQSQRKETQMDSSFLSTKSEYTIQIRDVQQKYEQMI TQLQERLLAQTDKTQQLQDQLNNLEQQNTQTQFKLQSKESKIYEYQQQIQILKNSVIQLP QFDNSNNEENEKQITKLKEIIETQESQLKEKTSQLIQLKSSLEREQALIAQEKQFLEIQL KDLSEQLKLEKKNHEQTLSLFESQNDVSKSQVFSKQYMEMKDMHLNEMKQLEQEFEIQRR KMQQQIEYLNSELNKTESQVLYQQNDFTRELQQAKTQILNYEDNIQRLNREFMLLEQQKT RIQRELEEKLILKTRNHQQEIEELKKQNLNEYRLLQNKNEEQIAQLRQMYDLERQKVEQK FMEDRKIMEEKYNQMVEELENQFDNNQDEEISKLQKKLKQTDLQLQQLQQHWQNENDLKQ KQILTLEQVNNSLKISIQQFQDDQLNLQKSVQDLHQLNQIKEEELSILKQQGSYESLNHI KQDQIEKMKQNNSLEKQKLTEQITELRKQLDDAQDENIKMKVEYEKQLALITQENEFNSH KLEQLQTQLQNNESKNFTQLIKIESNVAQLTLETDLKEQQQKYEKLRQQYKEQEINNNRI VVELQQQVEQLKIQLQEEQNNQQKKNVNLPAQQNNVQSNTQLINQLKQQIENLQSELQEL KSLHERDTLLWEGKFKFLQQQKDQSKQDLQEAMKKFEFTINHLQKARQQDLEEESNSISE MLVTLEKKYQLQVLDLTEHHQAIINEYTLKIEQLQKELTLNNMSNQNYQKGDLSDISSLY ENQIEQIKLQYETKIEELYLNQTEERQIWRAKLSEAEEKLKEAEIRRSTMVFEHEKERAK WNIEKDDLKYQKSELQEQCSNLEQQKHKSDKKNKKVGTIRTRLSPNKSPGTFSNASKLGQ SFDTFQQASMELPEENKSIHLNPGESFEAYYQAQKKFD >CAK72465 pep:novel supercontig:GCA_000165425.1:CT868119:548965:549355:1 gene:GSPATT00008907001 transcript:CAK72465 MISEETGKIEDYISIFRIESAFQDLIQRIQKKIKKFQHLKDVMGDPNLLKNILEKGQDGE YRTRRGNNRIQKGDKKLYQCRICKKTYPKSQQLGGHLRKGHPIDEGLEVGQP >CAK72466 pep:novel supercontig:GCA_000165425.1:CT868119:549829:550644:-1 gene:GSPATT00008908001 transcript:CAK72466 MSFRRPNSSVLLLLFDKFITLTFQLTILISFQNVLDSYSFWLQSSSTLNQSISIFNSQLQ LFISKFINHSQKSFRVLLIQMLTKSNLNCILGFSKVLLIRLMYFSIQFFTFYCFIYFLNF LSDHPSSFFFVNFSIIFSIISSHTQLSSRLQNNSQFSQAKFFAQISNLTVFNFVQQLFTH GYYDYTISNNSRNSTCFSFLLFRLNPGDFGGFWNSLKYYQIASIAIIIFFLMISNDEYTM TIKHVKYSFHFNQPLGETTLFFTQQIQIICK >CAK72467 pep:novel supercontig:GCA_000165425.1:CT868119:551400:552140:-1 gene:GSPATT00008909001 transcript:CAK72467 MILFHSYFQTAIILYEVFIKTDFVIFIPHFFNKKFATLLLHQTFRTSQLFHFLIFSFKPI SLPTTVFHFFQLLSVFHLSIDIILVFNSPLIIRIQLQYLYSILKFIEIISQSKLLGDLIN RVLLFTTFLPQNKSKIEFLGYYYLYKTFCSSNCFLISLISQQPYFVSYQSLINFQVSLNL LIFSKILYFSFSRTYTPSFKIYQSTTIFSSTTLYYSMSHNQNRFKSSLNIASTHQSIYGY YCIRNI >CAK72468 pep:novel supercontig:GCA_000165425.1:CT868119:552154:552465:-1 gene:GSPATT00008910001 transcript:CAK72468 MNSQSPPWNILKPTPLNLLQKQALEEEEPFILEGNSKEIKFNELLIVLNYDPNTEVTYIK RQVLKCKGLSNIGWINPCLDSENNQTLLNKRSILKQTYNRRLQ >CAK72469 pep:novel supercontig:GCA_000165425.1:CT868119:552625:553116:1 gene:GSPATT00008911001 transcript:CAK72469 MNQPNKVQEYEKVIDLLEHFLKKYQNLGRNAIETSIVSLLKNNVSGLFFVGFYEVIDGNS LEVGPYQSTILATPRIEKGKGQCGQCWAEGKVQIQEDVKVCQNYIACDNETQSEIVIPVI KNGVVQSVLDIDSEHLSRFDEVDSKYLQRIVEYLI >CAK72470 pep:novel supercontig:GCA_000165425.1:CT868119:553131:556845:1 gene:GSPATT00008912001 transcript:CAK72470 MTLSFELLNLRPTPLRFDIWPFVIGYIALYSWWSTLDEEAPVETNNIMDKINKATFQGAE IYNDEVYYESMENIYARLTFIGLVFFHSLTYMSSFWSVSMKSKIRYFSGGIKLSQENVRN YKYCKVQFAKQQKSYSEIVAIQSDSSNKFWIQFMENKYFYDQTKESFTRQKPNVKFNLQN LESLEKLEEYEKNSLLIPMKKFNEVLKDQLMEPFSFFQIFSVSLWLLDESRIYALFTLSM LFFTSCTVVIQRMKTMLTLRQMKLNPQLITVYRKNQWTKISSELLVPGDVVILQTAEQIK PAAKDNNNDDEQFLRQQIPFSKHLPPKLFQVETMNVDSYKNVPCDILLLNGQVVVNESML TGESVPQVKEGINKNQNEHLDIKNKHKQNVIFCGTEIIQLQGNAQYPSYINNAQNQSHCL GLVLRTGFDTAKGKLIKTVFYNNENANAKQTDGLFIVVVLLIFALCASAYVLMNGLQEES RNKNKLFIRCILIVTTVVPPELPMILSIAVNQSLMMLQLRKIFCTEPFRIPLAGKVEVLA FDKTGTLTNDTLLFTGIVDNCVNRGTKSKSDCSLYCQQILAGCNQLIYADNKLQGDPIEL LFFQQNNPWSIQTQQKYAQNKDLGIFLYQKQVFSFKSDLKRMSTVVQVDQKGQRHYRILV KGAPEALQSLFQEVPDEYEYCYQHYSNLGYRVLCLADREIEEYENQEREELEKNLVFRGF LICESPLKPDTQQWIKKFKSSYFQPIIITGDNLLTAIAVGKQLQLHDNKKTYILDYQDNN YVLVEHGNKNIKVLSNVEKELTKTEGMLCISSSIMVKLEEQHLIKLIIHFSIFARMSPKQ KETIVIQFKKQGKGVLMCGDGTNDVGALKKADVGIALVTNQGEQQEEIDEEEEERVANLS FTDQLQQVKKQQMEIQKQMNEAKGDKEKMKNIANQHLQQQAAGLFDMGPSYKFGDACIAA PFTSKMSTSIRCVHTIVKQGVCTLVTTIQTYKIMALQSVLNAYSLSALHMQSLKMSETQM TLMGILGAAYYFCYSSAKAQRNLSKVKPSFSIFEFSFFISLTLQIVLHVWSMHFAIHHIA MPNMTIEEKEIKNELEFKPTFLNTTVFLLQLLQQSCIFLFNHPGEPHMQKIDVRSKFFKS LFVPLILCIISAFNYSDILNSYLELTFPQNQEVSLQLTLLCIFVTAANWVIEKGTKTLKY KKWYGFI >CAK72471 pep:novel supercontig:GCA_000165425.1:CT868119:556905:557881:1 gene:GSPATT00008913001 transcript:CAK72471 MNNLAQLEEEDGSTKNTTREIKAVNTTIKAVLGNQLVRSNSSPIVQPLSGKTFKIGICGG HSSGKALLTEKLMNQLQQLGFQVSVVKQDNFDVDSNDFDNYKQNSDVLNNVPYVNNQDYQ KFLSTAIKTLLTTRDKNVIIIEGTLIFYDRQLRDLLDLKIFLHHDQDVRLSRKIYKEVCA KGKDVEKVIHNYLNRLKPLYESIIKPTEQYADIIVPKFGGEFTLKPQENQGETQQMHAGF SQFNPNILNLLIEITKENILGSDKLDKLEKLDKIQASSK >CAK72472 pep:novel supercontig:GCA_000165425.1:CT868119:557944:558645:1 gene:GSPATT00008914001 transcript:CAK72472 MIKNYYKQSKQQSYISTQKKGSEFHQNQRSKSKSPGPNKHQAQPKQSNSNLTSHYKMAIG EFKYFQECFPEEYTILSINNEIFFEKDIIKCKQKDSQLNTTLEGSSDEDQTLIQDYTLQT SFNPINQTQYQHSSRFVYSNRERIFQERRTSLSSSQANTQVLCREAYIVDTTVNYKVAKG EYLIEYYCVTNTTNEIGKSIIIIYTVFQIIYSEIIQIFYHLFFIQTLVNDNFL >CAK72473 pep:novel supercontig:GCA_000165425.1:CT868119:558932:559273:-1 gene:GSPATT00008915001 transcript:CAK72473 MDQDNYYQDRLEVESLQQRLNKTNFLEDDFENLNYQFKPNYLRTLQPVSYSDTEIKSTRK QTCKFKVLYKRTVPLNLKFEQKSQSQCHSESKSQIVKIRKRIAKKFVKKTNPK >CAK72474 pep:novel supercontig:GCA_000165425.1:CT868119:559318:560288:-1 gene:GSPATT00008916001 transcript:CAK72474 MLRICQCIRQLFIRCLNIFKYTRVENAEKGYQMESVSRDIESNMNAELSKYEQNLYLGAH EDSFIQNPETKIEEFENKANFQDCEQINEEETIRQFIDDIPHISFSQTEFIKEIGERAVM AFTSVIDKMEGFELLEEEQDFNFWIKYIETPEKFQIGIMKYTYTLNTSIDSYIEFMRDLQ LQKQMDNSIDAFEMHYEDINLQINYLRYKKIMFMDPRDFLYIKYTDRKGDDCIEISKSVN VDHFQPQELSTKQCTRALLLLSGNQIKQIEENKIMITTYSECNMKLKLKPVMTKQASKNE IKKMVKRYRDHFHQ >CAK72475 pep:novel supercontig:GCA_000165425.1:CT868119:560300:561462:1 gene:GSPATT00008917001 transcript:CAK72475 MKYNLVLLFLKREHLILLTKIALLNEQNPTCEYQINLIDQEIQNEEKLLIKSKWTQSQLE VPISPSLITNYISLSILLSLSKSILNLFKQLEIQTITGLPWMTFLNSKKLQLERMKLIRS QKLIEEPIHPKIANYKIDFTYVQYLFNKYYNSKNIDYKQPYQLLNLFVKKKLYRINNRVC MSITSLEDVPQLQLINTNLIQPILNYDQFNSTFEKRMNKLMNRNSQIQQSIRQYNYLYYN QRTSIPNCKTSDDLPTLQRQKLNHNCESDILILPDHRTQRTNYRFAKLIERQENKNVKPI NIKDQMELVLMQKIKRHHTSSEREDSKQISRSQLLRSVKSEHLLIEQEIFFKKILQPIKE QKFKIKQNK >CAK72476 pep:novel supercontig:GCA_000165425.1:CT868119:561854:562597:-1 gene:GSPATT00008918001 transcript:CAK72476 MQQELLLPEDIEDKRQLIIVLEQAPLEIATIRQQVVLLNADEHKNYINQTLNKDYSLYRP DILHHCLLSLMDSPLNKAGKLKIYVHTAQNVILDISPKLKVPRTYESYAALFAQALHKLR VRAVESSETLIKVIKNPITDHLPSEALKIGTSTQAKLIDIKQFIKQPNLDQNKPIVYVIG AVSKGNPGMEAQYVDECICISQYSLSAGYCLQRISNAYEELWNIK >CAK72477 pep:novel supercontig:GCA_000165425.1:CT868119:562624:563491:1 gene:GSPATT00008919001 transcript:CAK72477 MSQQIKQVEIKQVRSTSFNNNYKPYDRRQSQPLNQTFEQKQFEYHQSNQSTGQDLVDNDK QNQMLQVLEQQININQYLEQQVNTLQQQLIKQQKIIEQQSDISHVLEQLNVYKEIVEQLE FRLKDILKENDELNQFNQKQQQKIYYLEEEIRKYQQIIDEKIHEQVKGVMSPDVQRKMKR LIEENAILKQSNKQMEDEVNELRKSLEQFKESIQTLHLHNNTQDNNYVAELENQIQYFQE MQQIDQLKMQALEEKLNLLQKENYLLQDNLKSKRKQYQS >CAK72478 pep:novel supercontig:GCA_000165425.1:CT868119:563823:564615:1 gene:GSPATT00008920001 transcript:CAK72478 MEYNEIKQQIVSNIKENKQKIKDLQVFLKTQHNEYSEAQEKLEEEKQRRRKAKSSKNKFS SFENAINRPPFFSATHVQQTFHEQPFIDNEVPALTATQKEELIMPQRNKSPSSITYKIDH PLSKLQSDFPALKPNQMSEKEMKRLEYWQTITNQTTKLQIEEQNKQLIGSLKKKELEQKH TQVFQLANTNLRLLDPKPSLISENPLTPRRLQKLITLPRLYEKKVWTPNNNYF >CAK72479 pep:novel supercontig:GCA_000165425.1:CT868119:565744:568718:-1 gene:GSPATT00008921001 transcript:CAK72479 MSKFLQEESEGSDQEPQNEGSKFLQVEEENEAEEQVALKDEGPKNTKKETKKNKNKKNDS DDELDKILASKAPQEQELDEEAKKKAEKKKEKKAKQAEKKKNVPEQQPQQQQAQVPEKKP EKPGKKLNAAAQKALEMQKIKEEEQRKIREEEERLRKLEEERIRIEQEEEERRKQEEEKR RQDKQKKKDELLKKGEYKTKKQLEEEEKLKFMREQLGIKVDDAGNKSNIVKKKKKQPGQQ NQQVEATVQKPEETVQPEQKVEQKQPEKQQQAAEIKQQPQKQQQQQQQQQQQQQKVKEEV TIKQNEVEDIESWEQFVEEGEAEQLQQEKDKQEKQQVEDQKRQQEQQILQQSYSSTIKKE ILDLYKPVGELRSPICCILGHVDTGKTTLLDKIRNTNVQEGEAGGITQQIGATFFPAKKL KEELIKTQQFYPVDCNIPGLLIIDTPGHESFSNLRTRGSSLCDLAILVIDLMHGLENQTL ESLELLKLRKTPFIIALNKIDRCVEWKNKKNASSYYQLQNQTKNCKMDYDTKRQQVITQL AEKGFNVAFFWENEDPKTYISVVPTSGFTGEGIPDLLSVIVKYTSVYMKNKIKVKEQFNC TVLEKKVTEGHGTTIDCLLIDGQIKKDDKIILAGFQGPIVTKVRALLTPHPMKEMRVKGE YIHHDIIYASMGLKISAVGLEEAMAGSQMYLANSQEDIDRATEIINNEMEEVKKYIKLQN QGVGVAASTLGSLEALLQYLNSQDIPVSYVSVGPVSKDDVMKALKNVLLEDVNRRKKEYA CMLVFDVKILPDAQKFAEENQIKIFEANIIYHLFTKFTEFIKQVKEERKKKEAADVVFPS LLKIVRIINTKEPLILGVEVEQGILKTGTPLCIYDQNSNKNKIGIVETIELNHKSLKEAR ATTGAVALRIGTNQAIQAGKQITLETKLASLITRRAIDILKEHYREDLTLDDWQLVKDLK LFLNIV >CAK71720 pep:novel supercontig:GCA_000165425.1:CT868099:3139:6095:-1 gene:GSPATT00038645001 transcript:CAK71720 MKNLTCLNSSNKCTSCSPDYHLEPNGTCQYCYNGCLSYSSASICQSCASGHLYIEDEERC LECDWSCRTCSENSYQCTSCRTNYFFNSDAICQLCSPPCLECNSEYECKSCIQGFYLSKK QCIACPPGCSTCLFINKRTTCATCFDGYYLDGYECIQCPWQCKDQLCVKQSSYIQCNECI EGYYQGTFDCQLCKAPCKTCKSTEDSCTSCISGHYLALNNVCPKCPTNHCSNCQISSGKC LACDQGYLLTSSEQCTECTTCTCGTHQYYDWDEVVSSNKCKECDQECVSCFASSNFCINC LSNQYIDPTDKNCYNCNSQCVTCQNSTTCTSCTQFSYLTDDKNCASCNSPCLNCTSNTQC TSCVDGFYFDGTNCIKCNSKCAQCESSSKCTTCIQDGHTYYKSDYTCNSCDNHCQECYNR GCTRCDDGYYADGQSCTACNTNCKSCSNLNCFECQGSYYLNGQNCVKCQDFCLQCTSSQC NQCQTGYYINGQNCPQSSCESCKSGFFFDKQNSVCSPSCLDNCLSCTSEKCTNCQTGYYI NGYLCEKCQTNCLSCTSTTCNQCAGPYQVYQNSCVLCQTGCTKCTQQECQQCDRMYYLDP NSKQCVNCNSNCLSCTVQECSSCKTGYYAKEKNCLQCNANCVSCNATSCLECQPQHYVDQ TNCVKCKDNCESCSSTECFQCKTGYYLQSQQCTICNQSCKECTSETSCQKCNNNYYLEGD KCFGCSSNCAACTKDECTSCVQNYSLENKQCVGCTSGCLVCTASQCTQCQSGFCLKNFLC STCPSNCLQCQNANSVQCSVCDDSGQGEDENNVNNCDEGQYYSIEDKLCYSCEVENCYTC TSSDTCTACLSGYSLEVNQTCVQNSTAAVPLATFRPDPAXXSQPLFMLYRNYSITCLSNS IPIESTVGLPYLIGNKYRMFLSRSSRMPSLKCNKENSELVVIVIHITTQFYNFEFYFNE >CAK71721 pep:novel supercontig:GCA_000165425.1:CT868099:6914:9235:-1 gene:GSPATT00038646001 transcript:CAK71721 MQKIVLQQLVIKHVQLVIYMIELDVSHVIRTQEIYQMENVFARMGLLKLHPQMCLYVYVQ NMKWIIRMFLKLNHCLSCGDENITHRQLVNGDCVCKSGYQAVKYQYACEVDTWDERLLTF KFKTDTSNQYQQAVVNLFDSNIDIVSELTKLIKASYLQDTATSSVSKYYFDLEQNQEKFF FKSDIPVGQYYQRFQQNVFAVGYIQPKEKQSVLWKFDSTASVSQTSNYYTSRVFERQWNV GMFQYQKGYAVYIQVIITSQEETGRVTRRRFLAEDYSIYQAMYFFYIGYSKFTFFSDAEC EKLIDALMSLINGCQKYCDDCYFNQNEQYCKSCQLNRVNQNGNCLCKYETTDQSQCYEPC NGLDCIACINGFCISCPDGMKPPCNSPIIKCDEGYYQENSICKGCAAPCATCTSSIVCTT CIDTYYFAEQTCEICIDGCLKCTTSTDCSICIEGFFYEDDQCYVCTPDCVKCQAKNYCNT CVTGKYSNSFGQCEDCPSICTSCTSNNNCQSCIDGYYNNVGYCNKCQSVCSKCLNSSQCT QCIPGYYVKGFSCFKCADNCTTCFDLPDNCASCISSYTLIMNKCIKCTSPCDMCQNSSTE CLSCEIQNYLVANNKCQTCSKGCKQCSGYNDRCFECSPGYFLENLKCIQCNAYCRLCTAR YTCTSCPSGYYLNFDNCLPCPIECSDCTFQAGSIICNTCASGYFKNSSTCEKCQEPCFNC QTSATHCLDCIIECLFLMHHCTMQILQLDK >CAK87953 pep:novel supercontig:GCA_000165425.1:CT868644:60:296:1 gene:GSPATT00039835001 transcript:CAK87953 MEIIKWKEYFLYFFNRCQNEYMLFMIIIIVKFIYQQQKLSLQQNHVAFFKLKQSKVLNHH LYKLNFKYHHLEFILLKF >CAK77976 pep:novel supercontig:GCA_000165425.1:CT868291:105:488:-1 gene:GSPATT00039253001 transcript:CAK77976 MIAYRNGIYQGTLQNGIKEGIGIFWWPTGSIYIGEWYKDMIHGEGIILINDNIIRAQFKN NKFHGLCVNYTYPIPPYCSSQSEFYRFEYGQLNGKCLKGQTVSQYRRGDLIQIDTNLESV DVLLGII >CAK77977 pep:novel supercontig:GCA_000165425.1:CT868291:869:1491:-1 gene:GSPATT00039254001 transcript:CAK77977 MSLVNITNIVIDDKPQPFQSPIIIDIYFDVLVDIEDEIEWMLLFIGSPKDESHDQILDQF SMGPLQGGAKHFTLECNPPDWQKIPQNELLGITAFILTCSYREKEFFRAGYYVYTTYTSQ ENIENDPPEIIIEDISRQIFNNKPRITRFEIDWKGTNQNQSTPEHIDNKEFMFQEQQEKQ SADVTEVDDPQLIQNVFDS >CAK77978 pep:novel supercontig:GCA_000165425.1:CT868291:2179:2718:-1 gene:GSPATT00039255001 transcript:CAK77978 MSRAIRRYVNAKEEMEYERGYSAEEMQAAKLRKAFVQKFIADFDTNFYKTQEERDWGYVV RREYRYDVTYSSLVDGWACAAAVSMVRMFQTKRFSWAPYFVVWPIAYLYFQPIKFLKHNK KYFDMCNLGETFYLGRERNKVLAECNRILDREDF >CAK77979 pep:novel supercontig:GCA_000165425.1:CT868291:2893:3204:1 gene:GSPATT00039256001 transcript:CAK77979 MQQSQNYKKKNIQEGNFNTSLYDKKQYYQRALLQLKKFRWLTQIIDIHKMNEYNNDESMN NHCKCKIKFKLFLITTVRIIKRQERKLIMKS >CAK77980 pep:novel supercontig:GCA_000165425.1:CT868291:3506:3931:-1 gene:GSPATT00039257001 transcript:CAK77980 MPYYCQVKQKEQQLLKSFILHVINLEEAYFAYCMEYQFFSIALWLFAENSKTAKHTNMHD FGLSVAICTAVIGVLYVIQHFLVFVESNHFSLIKDNSQQQIDQWFKKYDIIIQFTEIISI LNKSKNNLNQIQKFSITRFDI >CAK77981 pep:novel supercontig:GCA_000165425.1:CT868291:4336:5780:-1 gene:GSPATT00039258001 transcript:CAK77981 MQSLFVKCQKCQQRPATIKCSQCRYGQTYRLCYSCDSQIHNRTGPIDQQHKTEIIPYQEM YQKNQNFAPAPSKLEQSNQIKKNEFKAQPPTKDYLSSETRKPDYSKTIDVNRKPEYPDKK HDYLDKKVDSHDKRYDQQYSGFDKKQYTSNQKPQEQDRASQSIMNQLKDEQQQTERLKAE LNMAKDREKDFQKRLSKLEQDYESKHREDKQKLQQLQDENKNLNQKLNQANRHLQEEINK VRQQYESQLNELEQAYNEKEQQLEQIAQEFNLEEIQKKIEELQQESDMKDQIIEQYQQQL QDIGNKQNNSRNTRNQFSSNKKLSKSQDNDKDQLIQNLQQQLEAKDEDCRKLEDLIENFK PLYQNLSDEKQQLQEEVEKLANENNQFREIFSQNLHLFGIDPEQLNEEGEEGEGEYPEEI AEENDDQND >CAK77982 pep:novel supercontig:GCA_000165425.1:CT868291:5834:6533:-1 gene:GSPATT00039259001 transcript:CAK77982 IDIFTTVLILLIFTIIGIYPPYFSQDQAKNANGGVWPTDFSKIRLRPGGVNYIYNILTGY HYKPYQGLDVPKGKAYNPYFDHMIIGMVRQLHDGLVDYEDGTPASTPQMAFDVTNFIQFV QRRSGFQRPDKTVRYYMFLTGIALIYPFAYLKTRGFYRNNLSLRWEMYAVRDGVYYNHFK KGWKNSRAIQFRGQVWA >CAK90964 pep:novel supercontig:GCA_000165425.1:CT868661:3591:4148:1 gene:GSPATT00023692001 transcript:CAK90964 MFDEYMDRDNIPKNAFISSPSKKEKKKISLSKHRYNHFLQQSPSQLYSPGLKNNQYKAVG IIAMKRKKNSVPINESVNQLIENNGSPKQNAIIRVSKNNKDYKPKEMRGLSISDHIPRQK FIFPQVQRISQVKQLQYAFPNFNDENFEGYKLKSEEMSFEIEEYMRMQKHNNNRNLLPNI YTGNS >CAK90965 pep:novel supercontig:GCA_000165425.1:CT868661:4282:5015:1 gene:GSPATT00023693001 transcript:CAK90965 MSKKKRGLYLIDEILDYKQYNGQKYYLVKWQGYNNRDCTWEKPEKIPNLAQFLHQFEEKV KTFGSNFYHIENDQPPQLEDFIGAPTLSKQNQYQYQSEQINKLQNQIDELKSEIDLMKKQ QNEIVQLIQTSQQNSKCLQPSLQQDSEIIQESSSEQSQKYTEIKSQTEGGFEFGDQLEKI GQAVQTKEKGEKMYYVLWQKRPNGIIPKNRWVNSEYLMKNDIKSLCYYLQKKLQ >CAK90966 pep:novel supercontig:GCA_000165425.1:CT868661:5048:5841:1 gene:GSPATT00023694001 transcript:CAK90966 MMYKQSPKINSNEQRMNQIHRNYVAADYNQNYSNNLLNSQMAEKMMIAYKHQKSEKKPLV TKKIRMVDPFSNKYQRDINSFAYVYEAGGIPCKINYNTQGNMKIQWIQDVNIQTIPYDPV LVTCFNGLLEDVHPYLAIATTAIQFMLQNEAAQEKIIYVLPKLVLPLRSALSSKSDKVFG SAIKILMQALLLIQVFIECCWISSEQISEIVPSPYLAEIESFSFRRANQTMSYCFGKQWG ILTHQLGF >CAK90967 pep:novel supercontig:GCA_000165425.1:CT868661:6640:7809:-1 gene:GSPATT00023695001 transcript:CAK90967 MXXXXXXXXXXXXXXNLRDCQICQHNIIDKGINKKQGSQQVFFIHNFKVFQYYIPLYTKI ENESELISDFIDQCMHLKTLLNLKSVPKIWTLEGIQIKHFHIVNSKVSYINNSFDFWSMI AHHNQSLGSSQMNLLESMYQDISKFTNSTQIFDYPLSSNSKIRFYFASQINKLPQIQNSQ SKINLDDHEIETAFAMLDTEKQKTKVQIFEQLNKELNSKSNYSQKSNKLIKLTIKTRTRQ SIEQQIIQENIINPEDLQQQIQEQVECSNVFIPSTQNIEISQVTSPFQLKTNLNDKYFMK NVNIDKIQMDYKITRRQTINFLTIFKCLLNRESQPSKLLISKANLLLAYPYLMMEGQSCG YDTFLFIKETKMTSFSFEEFVKIFTQGKA >CAK90968 pep:novel supercontig:GCA_000165425.1:CT868661:7964:8467:-1 gene:GSPATT00023696001 transcript:CAK90968 MGCGAQKQSQGLSPQLRQKALEIFKKIDVNNSGSIDKDETQKFWKANFAKVNTQALFNAV DFDKSGQITEDEWMAFWEIVKKSGYSDKEIFEELDNLMEGKAWVQFRKVDEFVKRDQMRK KSQVKQIVEDNSKRKSIVQQEQHNN >CAK90969 pep:novel supercontig:GCA_000165425.1:CT868661:8487:9681:1 gene:GSPATT00023697001 transcript:CAK90969 MLYLAILILLLLLVGASLYLLVCVDPNSPGFLGDMNRFVFFTLPETIKKICGDKIFGVFK IGIKYFFFTNHPIVQIFYVIVAMGGYLIYFFFGCFTLFGNNPFVSHVDTVIGSIMAILSF YSFFQACKYRPGIITKENNQEYVKEFKEYYDNVVYLKDNQCSTCNIIKPARSKHCRVCNV CVSKFDHHCVWIKQCVGQKNYKYFVKFIILHAMLCDYGAYLGFRCIWGIIVKENLFEAKI KDPYTGQTLKASWSIIIMHLLSKNSIFIFLIIMCIIMGISLTCFSIYHLYMIGKDTTSNE RMKRSEFLKFFQEETSRIEKSLKDAKTQEEIKELSDKLEKIKSCKNRTISAKSIGIWKGL KQVYNQPDELDQKIKINNKKKQ >CAK90970 pep:novel supercontig:GCA_000165425.1:CT868661:9693:11609:1 gene:GSPATT00023698001 transcript:CAK90970 MCSRHQNQALSHVLINHKQQGLCCQQCIYQDSLPQQLVVPLQQFLTLVRSEINNKINDIH EEKKISTQYEKLHMDVLKNIQQAFKQIQNFIFQQSYQQMKQNEDVYQAQTLIEQIEQRQI TNRQAQDNVILLLEKSIDYNGKKFELKNYSGKQFKDQLNQVMLCYNQLQVMQSILQLQNN VEQIFKRHSQQKEFSNYNQNNNNNPQITQQTTSTQKFKYEYTNAQQNQQYAPQQLSQTMQ LTNTNQTPQNKQNEFSRQNTQSKNPTQSSRSHSIENKQVQYLDQSQNYQTDRSLTPQSMK TDQSNKPYQFQSGLLFGEQLKRTISDKQQEQIRQQQNTSSSLISRSNLFPKTEKQYSIKV HEKRISQLQVGQLCIFTSSYDKLIRAWDKANGKMIATLEGHTREIVNIKFKFDTLASCGQ DKVIRIWKHHPRWQLIGNLKGHQGTITCLDFLNPKQLISGSEDQSIKIWDLDKMLEIHYL KFDFGIYSLAIYNEKFFVGGDGILIVHQCPQLQQTNFIQLHESPILIILQTKLYIITIDK EGIIKLLNQQSYQVIKELRDDYEISSALYIQQFNFLVIGLSTKNGEGKICFWSLDTFRKI KEIYDNGSGVGGLAWESPYLWSGHDNKRLESIKFLQQQ >CAK90971 pep:novel supercontig:GCA_000165425.1:CT868661:11715:12152:1 gene:GSPATT00023699001 transcript:CAK90971 MGCSITLVQNPPTDPLSSISSDDLQESEVSLLWIHDDVAQLKINVEKQKEHYPEDNTPAV QHWINCQSISLRSSKQSDTYSKKSCLKSQSVTPPHSQHTNTKKVHFVQPKKKNKQSQNGT KKKNKKKLSRWSEQPQQRINLDDIF >CAK90972 pep:novel supercontig:GCA_000165425.1:CT868661:13684:13962:1 gene:GSPATT00023700001 transcript:CAK90972 MSASMELLQGEQLKHFFDNHLILHKNHDFEQQIFWKFEQSENYQILIMYVEGLIYKIHFR QQQLHEEDGKLKEISKQQEMKQEDSQPYSPIE >CAK90973 pep:novel supercontig:GCA_000165425.1:CT868661:14819:15171:-1 gene:GSPATT00023701001 transcript:CAK90973 MKQDSKRHKTQYYKLSSELRQTLIHLICLKGKKIKQAAQQLNIKYSVAKSIFFYYRNNMI RNKQSAYLAKRCFYRTTTTKVIVYRIITQIAGEQVSSKIVHQTTTQISL >CAK90974 pep:novel supercontig:GCA_000165425.1:CT868661:15821:16209:1 gene:GSPATT00023702001 transcript:CAK90974 MTTDRYQLLIFDEELMQNPIHDESSLEHLMTMCIQQSTHNQNKNPSYSKQTFTKDEDREI LKHVFQHGPNFNKIVKYFPGKTMNMIKNRYYKKLRYLKQELDVDNPWVSICNNSKITKNH >CAK90975 pep:novel supercontig:GCA_000165425.1:CT868661:16280:17729:1 gene:GSPATT00023703001 transcript:CAK90975 MPRNTIKKTVKKIKKPKTMNSKQNNKQKIDDDESIDSEYLDELENQKPQNISDKSDSEID ETEDNRRLRLAKQILQQTKQELQSKKNDDFFQDHHDIHMNDEDKRLNVALLEKFAEKHTI YQSQIQKFDRQFNRETYKGHLRAITCTQVDEFAKHMYSCSKDSSIIKWDLETKKKEFIQR EVGKHGDGHYDEVLTISLNFDGKILASAGKDHSIKLWDTTSNKLIETLKHHKAPIYGVKF GYNSNNLCSISCDRTFIQWDAAQRAYIDTFFGHSTEANDIDCFNADDFLSCGYDRQMIQW KTKSGGQLLYSGHQQSIDCIRAITLDTFATGSVDSNINLWNVKKRKPLFELNKPHGDRWI TSLGTIYNSDLLISGSYDDNLNIYKVTNKDIIKVRSLQSFGIINHINVFGDKILTVESQE HRLGRWITSTKSKNIIVLYL >CAK90976 pep:novel supercontig:GCA_000165425.1:CT868661:19012:19410:1 gene:GSPATT00023704001 transcript:CAK90976 MKVEPSNDKVRSYCRVPRLQQQQLVNLVYKEEWKINQAAKLLNIKYATAKNIVQRFKKTH IFGKKAKLPESKRCQYKLIDRPEVQSYAVNTKSGLVFDSLVEYSQKA >CAK90977 pep:novel supercontig:GCA_000165425.1:CT868661:19843:22800:-1 gene:GSPATT00023705001 transcript:CAK90977 MSRSSIPRQLPPLQQKRGGEGEMPFGGQQQLSQPAEKKVDFANSNFEQNEDQQENEDERL QPAPNHDSGMTYTNFMNDDIIYNCYSLGDRIGARLSRLIHCDPPRLTFVDRLNKLEYDQI VNPFSLSEKDKAQITPDRTVVVRIIGTSQLQMDTNIQHPFIRMHVINIKNGCYLYKPKNE DNQAREFTNAVYNYESNCITNIVDGERERVTCELELLTAFSTNFCDMRMATNSRADWNEE ILINIPADEFYKSDTIILFELLDFHPIYLQQHNSEFLDSDNFYRIAWGYTRPNGLCRLHL GLSKIQLYKYLFDTSKLKSVSRRESIPLVYFDFLWLDKVEYDAVLNVYLGTEAKPQFLEI YGKNRSTNVFEIEKGDEEAREKVKHSVVIDKVIEQRVEEITDQEKINLRRRRYLGDKEDH IPDKIAYKFASAPMGCYRLAFNKYGNYLACACTYKNSKTIIKLFDVETGQHFTTYKGHRN IIHDLQFSQNSQYLITVSSDYTAKVWSVPQHSGDIVSEEDSDLMLICQLQHPSFVYAGLF LMDSKLPVVATCCFDSRMRIWQFESNQSLQISDEPIQQEIISYDGISFDQFDNLGNHRHP NCIVYDGVKCLYVGDSLGNVHFFDINLSGVIQEISGNAINQIQLMPPEQQDLLIHTRDNC LRIVDFKSGDVNNRFFGSQSVKIACRSVPSPKGDYVLSGSEDGKPHLWSNLTQTLPTDLF QFNVIGPVADVAWNIGYHMIAVAGFGDEHPILIYVWEREGDLNFIEAKKLQDEIKIKHRE QDDIVFNIQAKQTLGRFQQSIQAQGLFQPQLAQSSQIPFLPQSVFNQQQPGNQSKTNTQA GPFGSSGPFGQPQQGPFGQSQQQQQPFGQPQQQSQGPFGQSQVPFGQQQNPLNSGPFGQP QSQGPFSQMPFQQQQQVPPNNPNTPYNANYQMPFS >CAK90978 pep:novel supercontig:GCA_000165425.1:CT868661:23558:24603:1 gene:GSPATT00023706001 transcript:CAK90978 MGNQMARNQDNVPLAPYNVSENYQPQMGAYGNNQYSINSNYSAANDMANPQYVQHQNVQL HNQQQSTPNQPNMSPIPPIPTQPIQLGIPVIQSLEIGQIPQQAITNDLKDQPFHIKKKEI IKALTIYLIMQLIQNGLSLFICIYLAQIRMDGRFSSIICLISSLCSLVVVISGKYQKFQE NPRAIINSILIILFYSSTIISYFYFESKQATSDSYLMGIVYVVLVLQSATNCIVITITIL YFVIEQKQVRFEIYFLMLCFGGFIAIMIEIWLLFFVCTAFIFGTLLMVVLQQLMSGRFQL KKNQSFGLCNAIYLGILVPCNIF >CAK90979 pep:novel supercontig:GCA_000165425.1:CT868661:24653:26482:-1 gene:GSPATT00023707001 transcript:CAK90979 MGARVRCEKCTKSGGDLIHIDDFLNVLNEKIGVVEGKEKDNLEEFEKFSNPLELMLNNYK DQFNSTVQLIINEVQKVKHQIHEYFSIFNNPLESQSLSQFESVCHKVCSNVFDQFDLKSQ YKQLIYPIKQLINVQEFYSDKLKTCFKQLQNDMTNYEPTYNFNVQGRVCTAMSLGQCDDT QMIAMALENQLRIYELVMEKKKVIERQCFKHFTHNIASVVFSEDNKYLVAGGTYDKRMNI YVECETKKKEYQLKAEIIYENPIYSIIFTSNNEDLISASGNDLFCINNADMKTIINIESQ EHKRIQILEQKSKYLLNFSHNNTVYQLDYQIKEKQKFISCSYDKQIFIWEKKLGDWNVLN KIRVQNSVYRCSFVGDDTIVVQENSSRHLTFYKEVSNQQQANQKYEFYDLNTDLSHNFPI IYLESPGLLIVKHNSKIVFFRKRGNGIFYKEDEKNGEIGTITFDAQFYLSWEKEKQKVVI YQQMDKFQATKQLFEERYSQKQFKTNEEKPTQNLYQTTSQQESQLSAQNTNVINKGLNSN DIKVEIKNDIKVEVNKDIKKEINNDIKKEENNYIKKEIKNDNLLKDIKTDDRMLVDLDNS DSLQLVQFT >CAK90980 pep:novel supercontig:GCA_000165425.1:CT868661:26822:27496:1 gene:GSPATT00023708001 transcript:CAK90980 MMSNLIYNIQTLTFLSNLKYNVYNCKSNFITPIIIISQKYTCQENITQIQKKGGIKNNIQ LINFQILSHLQSWVLKQYQQIQVFIRQNFQLIVISKQKIQQLIIVQSGITKLIQFIISCR RLCLKYTMPYSWPKITRATLWLYFSRDYIFYIMYSFWKISRKSYKIQFCSSQFLLIDFIF GYRYKIFQVKNKRFGIQNLKRGIMDNSLIRNQQYIMWMQKTILK >CAK90981 pep:novel supercontig:GCA_000165425.1:CT868661:29319:30364:-1 gene:GSPATT00023709001 transcript:CAK90981 MQQIKRNIKLNQQYTEAERYDQNLKSISRNTWWHESKSKYDKVNELKFMNKVQRAIYQFV QLFQRSRKCLLRIEEKKKLHAQRSLRIRSTRMGIRTQIQRISNLQKQIMNYQNIYLSYSN PIYFFNSLWVNEILTKIHFSLDFKSLQICVQSLFYEDVQTIISQFPEMILLKFELPYQIE LIIQIKDIQLKLGEQYLLILFLNQDFNGYYISDNLLKKYLMRKNINKSRTYSKISEAQKK KLLNLVCFFGLKIKDAAKQLNIKYAAAKTYMIFHRKNVMMSKHSNNSEQECQIAPLSLKK CKLTIISKIGGEVVKQHQFEYPMIKQG >CAK90982 pep:novel supercontig:GCA_000165425.1:CT868661:30398:30960:-1 gene:GSPATT00023710001 transcript:CAK90982 MKQKIDRSRIPNSSQDILIVPVYADKLGFSLPAKLPYLPVSEDSIAETVFQANRICQKIR CEKSRIEESDPLETEKFYVTSSWVLFIVGVILFVLGFSYEDLKSTLTLLGTIFIVLPTLI SIIVVIISITKSPKLIDLEQECTKKLGEFFEVQNQQYRKKGLQWSIGDEMLWIQLEKI >CAK90983 pep:novel supercontig:GCA_000165425.1:CT868661:31084:31912:-1 gene:GSPATT00023711001 transcript:CAK90983 MGQQLIEYFPLNTLMLINGSFPMPVMITKKQFNAHHEKTTPTIQLKKKIRKFYHKRHFLF KKFDEGIVLDEESWYSVVPEEVASYVAERLHKRIIDQKIPIKQVIDGFCGSGGLAIQLAS KFDNLLCIDLDPVKLQNLQANAAIYQRTIETQLNNFLMIEHEFDENIILTLCPPWGGLNY FKKEVYDLEKDMTPCLSDILKKAFSISCNIVLQLPKNVSISQLTTLIQQQSQHLNFKRYS LEIEMIYINDQINSQVQL >CAK90984 pep:novel supercontig:GCA_000165425.1:CT868661:31923:32396:-1 gene:GSPATT00023712001 transcript:CAK90984 MKQPILNKGSKPEKNPTSYNNDGFGRDTYISYNNGGNFGTEFRFLTTQQNRTGMNMMPSP ASRASEVPQRIFYQCDGTGRDTYVLLNVQEKYSPMQNYKRMLRSTDQFFSSPKYRYELPP LARERFKRTQQSQRSLIGRLSQPKLKQSC >CAK90985 pep:novel supercontig:GCA_000165425.1:CT868661:32477:33547:-1 gene:GSPATT00023713001 transcript:CAK90985 MQSCLIYFVEYQSFQIQYLGMLLCFYNAIWMFYSGVQLHKRKSEKHQYNLVPKLELMQLG VFAKLCFITQITFINLKLIDWLSIHWLYAFVIIWMFLLIAIFLELISLFNLSAQLSKYFN SSNVEVKVQMGTKIVGLVWISMFFFSFAGIPTYTMINLCLYLQNEDGRKNYLLAIIVSAF YTVVYMVYTIYHKELLTLFILNLHYFARINEDRNQDRQILSFPSSRSQKKFVYSLKENTH KNNQLEYPTQMVKISSTYYLPDELVMRRHQTEVNIITSKQATITEVAVQEPTMKQCFNCI QRQSCVVYMPCGHGGMCCDCAMQWFEQNKECPICRSVIDSPLYELADQGHTSDSAD >CAK90986 pep:novel supercontig:GCA_000165425.1:CT868661:34113:34463:1 gene:GSPATT00023714001 transcript:CAK90986 MNNSPYIVKRKQRIGDWVCGNCKNLNFSFRNACNRCNKPPTKTPSKHQYRGFQTLVLIHY EEPTESMDDLLENQIDPNVTSSLLVLGLDQF >CAK90987 pep:novel supercontig:GCA_000165425.1:CT868661:34951:35421:-1 gene:GSPATT00023715001 transcript:CAK90987 MDNGQIINLQDFNEIECDEEYIAFSFGNNNKKLKNYEKALEKFKSSIQQGLIYINNNFDF FNVNTSQDGVLIDEYNNKIDVIDEHIIGTQVVLKKDRTQILNDRKRHLINIDPYSYKIEG KLEEKNSDNNNHLNKIDQLIKEKKNKTKKKAAHKKQ >CAK90988 pep:novel supercontig:GCA_000165425.1:CT868661:35998:37416:1 gene:GSPATT00023716001 transcript:CAK90988 MQLKFSETDGFVYDLLHFLWLKDPISKKAPLINIPYTLQIKAGYVKFWYFSKQGVIYRKS KEKLDPDYLKEVFHSESTNKIEAVWIFKENEKLKFHYMNKMEVGHFIEKLDYQADGVLQG FVCPKRECNSTIKCIWQKSSCIFEQIYNINKMTNTSLHPNQRITTFETDGGPTISTTLNS NVLAKTLELTCQEIQQHICNVTFEKINISLMELYFRQGVDGQIYLLFCSKVITKSQSRSN SNVKLSLKVPLPKGPNRIEFTNQRCVGCKVIQNVNLFEEISIREIIESWDRHHEIKYKQD PPIIEMDKDCLVPKILRFIYPNLDFNHYQGLKQNVPFICQKIKLCLKCYKNVNDNKSRFS TATYSIQKRIMMRQKSSQSTFQYDTQSIKKSQHSQESFIQNTQSQTKTNSTHKRSTQNTS YMLKYRCNTQLNFNQSISQIFRNEQSQ >CAK90989 pep:novel supercontig:GCA_000165425.1:CT868661:37722:38735:1 gene:GSPATT00023717001 transcript:CAK90989 MYKLNTIQGHQFFDFQAPLNYFSKRKLFQLANEIKPRKRDSRGKIVISSSVPKGFWFRDY DAVRTVSKRLKESRPNNHRIQNPIYFKRVDIQAYLQNYTIRACTPNPSRKWRKYRNLLLE NNYHIREQIKQKFQESLNLLLPNSQLSPPKSKRSSFMNSHQQQCLLEIRTSQHLKLKNIS RQPSKRKDDSMRSLDRHFQDINCAQSNFNHSFVQDKFISSNQITPRNRFFKDSFRLYGID AQVQAPKNKLIFTDSVQLVESQETRCDKIDGIQSDRDRSTQKQNTLLNLIKKQRKKNPQT KVVSPKYPKLNTSNPKDDRIVNIYFPSLKKINVRDHS >CAK90990 pep:novel supercontig:GCA_000165425.1:CT868661:38800:39322:1 gene:GSPATT00023718001 transcript:CAK90990 MKQSQYQHQTQKLTQNQMGYSPTTQKTLPNFNQFNDEIQHRIKLKYQELGFKQPLYPQQT QVLSSEKGIYNFRKVFDPVRDGRPEFQLDPKYLDQLQDYKKKYLAPSRNYDLQYRNPALK IKNHDFYFQDFYLNLYEQDKEEIYFNKYGKKFVYQE >CAK90991 pep:novel supercontig:GCA_000165425.1:CT868661:39352:40721:-1 gene:GSPATT00023719001 transcript:CAK90991 MTLSPLQTLLLIIQSLTHYQYNYHHMLSIQCYLFSDRKCDIHTQFICPQLLFLQCTPNLY LNINRFMNIRKPPREGLRSIENKENLPDNSSKPPPFRLTNFRLNNLTTYFKIRTPSRGRE LTKFEDTFNTKNEQRYLSTKESQQCNAATNNNNLQQLRLSSKIQRKRIQGNLSAKDSTNH QDSLYKQIPSTCDEKIYSIGPIIGKGSYAIVKMGTDRQGNKVAIKMYDKALLKGERFNNL IKEINTLRILSHDQITKLYDVYHYTTYINLVMEYCGTESLYQLLKSTPTRTLPKELALNI IHQLLKILHYVHDRNVCHRDIKLENILIQNKRIKLIDFGFSTFTNQSISCHCGTPSYMAP EVVSKLKYDGRAADIWATGVLLVTLLQGSFPFKGENERELFKKIRNNESNITNQDRDIQK LFSRIFVIDPYQRATAKELLQLDIFKS >CAK90992 pep:novel supercontig:GCA_000165425.1:CT868661:40727:42292:1 gene:GSPATT00023720001 transcript:CAK90992 MIRIQQLGQQLNATKSTLTIQDSRSGKKYEIPISNNFIKASDLEKIKDDKGSIIRSYDPG YMNTVNCTSKISFIDGDNGILEYRGYPIEQLAEKSNYLEVAFLLIYGELPTQLQYDKWCK KIQTHTFVHQDLYGLLSQFRYDAHPMGMVISSLSAVSTLHPEANPALSGQNIYADQKSRN KQIHRMIGSITTITALAYRNRIGRQPNQPHQQLGYVENFLYMMDRLNESSYKPHPTIAKV LDILFILHAEHELNCSTSAVRHLTSSGVDIYTAIAGAAAALYGPKHGGANEAVLRMLEKI GSKQNIPQFIENVKNKKALLFGFGHRVYKSYDPRAKIVKQLAYQVFDLVGKDPLIEIALE LENIALNDKYFKDRSLYPNVDFYTGIIYKALGFPTDMFPLLFAIPRISGWLAHWCEFLDD PENKIVRPRQNYQGYQPREYVPIDQRKETDAYIHAPSTSFSTRRNVSVQK >CAK90993 pep:novel supercontig:GCA_000165425.1:CT868661:42525:43451:-1 gene:GSPATT00023721001 transcript:CAK90993 MEPQFEINQSIQFQSCHTSSSWDNGVITTIFTNRTPIQYVVQTSNNEKLYLNEQQIKAIK TIKSAAKTPQVSYNIEFQRILSQLIMQKNEDQLIEELKSLLKRITPQQVMQEQNGAKIIY TTYQFLIMRKPSILRNYPFAPTNPVLKQLKKLIYAIKKEVLLNSNLIQSQTHSNSNADSF KHIKLKKQLQKQSRKMTQIYDDSEKEEQPTAFYANLLPNKSAFRPYKSDSMNHQFEQLSI QTKPSPKIDQIKTIYFKPIIIQGLLC >CAK90994 pep:novel supercontig:GCA_000165425.1:CT868661:43522:45347:1 gene:GSPATT00023722001 transcript:CAK90994 MKLASPTFHLSQENNAELAEIGQCLKYTPYMIDSNMILTSPLHQTLSSSTITLDQVLPAR LENYMMTTIEQDQNLPDYISNLSLYEILNQIRYDQILQFAKPLVIEQQEQKQFQIINTQS NKQIGFQQKKTDGLLSNQIFNSKINNTRSISPQPMSTIINKTQSKTLSQNPFIQAESQFM NDQFMNINPNLSNMSSNSILNDTPQSNQHHQLNKWTDKSKEKILFQDNYMIEEETTKQVK QNTIIIKKSEDPQFFQQLKNARVASPAIRQVKGSGLSPEPYNQSKSKSPEVIQVNMTNHY KKTEGGQNMKQQPQQQQQQQQQQQQQAIKKLPEQFKKLLTESTKRQNVTKAFDQNNTKFA LISSGTTTQQKYPKQTKQFAFQDSLNDNQMKVVQSEKQFTPRVNEQEQINQDFQMMLSEQ QQSLPRSKSQNKKQDEKQNDNIHQQHQNFKDQFSFKAQQYRQEQPQQIIQQQVVESRSIR GISPQNRSIQQQFKQQSTLIQQPPVPPNQQKEPIQIKLDIKQFMSSNRPKDQQRSISPIY SQRQQIGVTNENGRSYFVNLNFQK >CAK90995 pep:novel supercontig:GCA_000165425.1:CT868661:45490:49286:1 gene:GSPATT00023723001 transcript:CAK90995 MFSKQIQYTQSQKTSDSGLSTQQFNQRAFRYENQSSVKAPWQKLKSNETDNDQISRRDRA VKSVTVLQEIFNCNNQTKLIKLVSSFLQSEQQLTQISEQLDKQQIVSLFLKIHQMQSPQL VQFLHLLYDSLFSLDSSISSKIYTHQDVLKYILLSNYESIQGQAGQLTRILEILSEMQIL NPDFLAFEEEFQVDLEDVKKQYITIQISNSFNQVQLRILFLVSSIDILRALKGSVALFER LEQFVFSQIKNSQLQSIGDMQTLVIAYILLSEQLDIANKLGYSKQAIETIGKSQDYSIYE LKRRFINNAMKLSQKYLGPEVYDQISSMNRSFSPGFNRQSMPHFNQQENISYQFLLNNLK MNYVLNNDENFQIDSKCNNLLTSPLIYQLLDKLVPQLAKKEKVNSMFTEQSQVEKKSQPI IVKKQFSIKQLKQENVSQSQTQNQVSTPSQKQMSQTQGFQSTQIKQQPNISKPGLDSNEF EILQNKLGRQQQELEELKQLYTTNMALKKNELNQTNQQNQQNDSLKKKIELLENNLVSLK NENTTYKKEKDQKQSEVNELRSFIQDLQEKQLKLEKLVQQQQQQYQQQQQQQLLQLQLQQ QQQQASPQQLPNPNPAQQYLKTQQSSYSTKANTQTQMNIQIPNNNDDKNRLFVRAQSQMS HSPGEEFKNEMDDTSPRIIKQNQAYLLQLLDMLDLNTSFTKTYTKFHSNNENNSWESDVS IVHNFKLEGLITDSNEGKVLMLKAYNQQNVLCSESISFDLLKSLLGYVDFQDTLPTNLPN ISTTHQFFKFLILPYTAIVHDENTQQYKIQLWPKPYGLLNGLNLKIDFLDLSCLVYIHHL ETDQFRIIIFDPASYDCFKLDLEMDYSSIDTFFVDAKSIKDEFNYYCKSNLLKLTEKTPK FGDDDVAEALVERHFSKDKVKAVQAEIKQNQSYGQENLVLKQPVEFLKFIKSIVQVFEQQ LKSQQITFPNCLLGMKYFRCKTWNAGTKSQIQIVKEQLDQQQISIYLANCFESFGPKKSK IKAKGSTHINFSAIQREFAVSYDKLQIEERATILQTILYSFNLNIFEKVCEQDEQQFDKN PIIQSIYDCGSYRRVIAFDNGKIAQVTIQVIGSNRRMCGIRFSVFNVDETIENGVFLPVS QSEWDTRAMEKQKIKASVQNVPFAEYLLTQILKCPTTQTILFKKILNADFKSNQINSNEI KKRKTFIERVDNLLTWQEVIAHL >CAK90996 pep:novel supercontig:GCA_000165425.1:CT868661:49422:51308:-1 gene:GSPATT00023724001 transcript:CAK90996 MQQTVLQQNVNFVLKSQLVEVYKEKVKDLLDINKQGFKNKEKQLIKDSTFSFDKEEIYNA LQKSLVNRQVGYTNLNDMSSRSHLLFQMTVTMNNEIEGTSYTGQLIMADLAGSENASKAG TTGIALQEGSFINRSLLTLSNVINGLSEKQQHVPFRESNLTKLLWNGLSKNSMTSLIITC SPCISNEPETLSTLRFGVRAKMIKTQPKVNKEVTIKELEILNTKLMKDLEERNYIIDQLK KDDNKVKIIKLEKQMLPLRKENQLLKEQISQYITELDMRSLDYQEMQTNFKNSLLESDRL QQYNLNYQKQIEQFQQNKEIEIHDLNSQIENLKQQLQSKDEMIQQLSKHLAIFQKSQSQN AQLQLHEHYAEKIQSQNIADLLKEIDELKKKTFEDKNEIKQLSKVNESQILVFTKQINNQ ESEISNLKDQVKNYQLQLMKNNDELLDLMKSNKKFQKDLENRVMKVTELQEQYDYLLDQF NKLKNQLNYEEVGVYEENQKLHSQLQEINKKYLQEESLRRSNQLTSSQTLQAKLSRIQQL EQENYKLKLDIQNSQILSSQNNNNETAIVSQFKSANKGSMISKIVKKQQNQVDQS >CAK90997 pep:novel supercontig:GCA_000165425.1:CT868661:51317:51622:-1 gene:GSPATT00023725001 transcript:CAK90997 MSNIKVFCRIRPLNQKETSLISYIIREQTLQIGEQKFTFDKILDSNTTQQEVYDEIGKPI IDQVLQGFNATLLMYGQTSSGKTYTMIGGSTLTRNHQKNHQ >CAK90998 pep:novel supercontig:GCA_000165425.1:CT868661:51664:51891:-1 gene:GSPATT00023726001 transcript:CAK90998 MQSKLSKIQEVSQNFIASDQKISINQKTLESENSKSKTQFNKQQSLDNLIKLKYPYHQEN DETTKKILHEYFKWQ >CAK90999 pep:novel supercontig:GCA_000165425.1:CT868661:51920:53129:-1 gene:GSPATT00023727001 transcript:CAK90999 MSLNNIFKQKQIERQKEQQLNREQREKKLEEIRKIKSVQFKARQANRVEMFEENKLNKLR ETLKEGSYPILPITKHSFRDRYKEKELDGNIILKSQLSGKDRARQTMLDFRQGHLATGEF NKDEPHKNHYKWLKVEDHSKQGNPMRFGKGERVETERVKGVIDNATQKVDYVKKDLMMLI NPTWRQDEKSKWMDSNTFNIYNKKRFDPSSWEQHPINYKNQSNVFVEGFEVLGDPSRKRY KEQEHKRTEYIPTVKEDQIGTTLHISRSLRTFKADQLINDQSNFDEYSQNTKLKYYKSAL IETKSIDHQIPYQHSNNFKSLDFKNLRPELKTKIFRNSLTTSTNRHIKTQNCNQTPLKTT LNQI >CAK91000 pep:novel supercontig:GCA_000165425.1:CT868661:54132:54893:1 gene:GSPATT00023728001 transcript:CAK91000 MNCRSSDIATFMDKVSNQITFLNITLFYQAIEIIGRGSSSSVSVLIDTFSSEKFAVKSIN KDYLLKNDKLKILFQNEVQILQELTNYNQQNYFVHLYRVFESQSSYYLILNLMKGKSLMA FYNKIKVSNKDKLFEIRNNQTLNTFLQKLLDKS >CAK91001 pep:novel supercontig:GCA_000165425.1:CT868661:54900:55589:1 gene:GSPATT00023729001 transcript:CAK91001 MHRDLKPENLLLLEPNKVETLTIADFGLATYTNVEKYSYPICGTQGYMAPEITNYKDGQN KYNEQIDIYSIGVIFNWLQFCNNLRLIGDIDNNNPKLKKLDSITLNLLNNLLRRNPNERL SAQAALHHPYFQSDETTKRTFQSEQGFENVDCETIVQHEGLKTYQLPLMKKPQGQT >CAK91002 pep:novel supercontig:GCA_000165425.1:CT868661:55641:56694:1 gene:GSPATT00023730001 transcript:CAK91002 MLKKYLSSCSSSEQIQSKPTLTKINLTQRTIDYGTPKTQLNLGSIENSPSNMQYPTSEPN VSSKPSEQYLLKKDNSPAISGSPKQDFDLLITQRDQTLKFRSASQNQKKLQLKRQSSEKQ CSSNEKIRVFDVFNQSPRLNKQPPTSNTYITTKENLKVQLQQQKHNLQNQSKIATNNVNY QPQNNAQQRQSCKPPISSRLNESKEILQQMRKNSLNNKKHSHFKGEGGTQKNQLFFHSPK YNESAAFSSSGKSQLQDAQTNLKYDKDNTKNENILELLLLSTQELNNKFKNKPLERNESE EKIPMKIRVRAGSRFPKDFFE >CAK91003 pep:novel supercontig:GCA_000165425.1:CT868661:56701:58163:-1 gene:GSPATT00023731001 transcript:CAK91003 MNSLNDYEILEKLGAGSYGDVMLAKCKANGQLVAIKAMEKRLLIKEKKQYQVFIEKEVLS RVKHPGLINMIASFQSSAQIYLVLEFMEGGDFANFLKINRNMSYQTIVFYTAEIVTVLEQ LHSNGIAHRDVKPENIMVANNLHIKMIDFGTANFFDEQNLPDNVRDKLNELREISKQDER CLDEIDQYQQKHKATFVGTAEYVSPELLEDDICGPQADLWALGCIIYKMFTGTTPFCDQT EYLVFQKVRSCQYQKSNKISQDGLDLISKLLVRDPLSRLGGGLPNSHNTYRELKSHPFFK DINWQQLWNQKGPEDVQIHLKKITTQEYTQKKQSATLKPEVVITGLVNKKTGWMIYKLRN MILYDFEIPKLEYFDPNTGLKKGQIILDKSVTIEVLKGQFNIDVPSKKKYYFKVRVLLKV RNANILLNYGLTKQRM >CAK91004 pep:novel supercontig:GCA_000165425.1:CT868661:59555:62877:1 gene:GSPATT00023732001 transcript:CAK91004 MQSNQLDGTKFRLSQPESLNNKVYLNENKKNLKLQGWLKKKSPAILKGWQKRFFMLIENE GYKLVYFDDDKTNSKPKGAFEISNKIDIEQAGEEEFTIIFPGRNLELKAKSGDERKKWVE TIEFCKSIGKNSQRKYLSVDLIENDISKSKGSTHKKVDLQTTQIVQIEKEKIENQKFQGH RDIQKKSIILPSQFEDSQILEVLGLQQLNQNSMLKSRTIFGTLSKRSKTKMKNYKLKWFI LISAKPLFPEIQDDEEILQQQDITLELDTLVYINQKMNDKLIKRNVYIKLQNCKEIIIKN MQKSSDHGFIFKLNMGDKIYSLMANTENDRMKWQQALNQSMNTCKEINNKLNLNILKNIN PVIRIYDMESSLPIRRQKIKEKLQNDIQRIELEQSINDQNRYLNLQDLLCCLMIISQDMI KSIEACLVKDPQRQDIIQEYIEIHHEKICLNISEYWNINNKYLSLNEIKELASWLSDYQQ IIINYIKDDRIKQGINTLLQIYINRWKIQSEKKIIAQFEQSQTIQAKNTTKTACVLELFD IVQEIEMLITQKLKPYLKNKELLKVIKSILWHYLEQLYDSVEEQPENLEFLIGVCNDVEI VREIANRIESIAEMLQENKKQEKTKQKIMQKYNEVLEIVEQQIYNISSNELTQQFQVSLL DLEIRSGVLEVFEENVQLIKLTEQTQQSLLDKLISTFNYHYFNIFLNELDKINSYKRKQI ESKIKQDKQVVSEFLKQYHCSNIKMDNFDLMIQLLNCEKDSEYVQAFRGLKYQFGRCFTV NTVKVIIGHLRVRKSEVNQSSLEQFDQLIRSIDDEQDNGESTQDLKSQLMEFGQNQLEPL VLQKQDSNSSRTSRTSMSKSKVNIEELISKSQAQSVQFDNTSITEGYVYKKKAKQQKLVT KWDHRYVRIRKGYIYWYVDEKSWKCQNKLNVQDILEVEGKQNKQNKFILKMRGGKIYEFK VDTVEERNMWMNGITNEKRQQEDVVNSKIEAQQVQTSQLNILIKNYDEEIITNKTTNNNI NNNNKFNNQQRKTTLIIQQKEYPLELGQTTLKIKRTTSCWTSFMVCLGLSKG >CAK91005 pep:novel supercontig:GCA_000165425.1:CT868661:62932:64275:1 gene:GSPATT00023733001 transcript:CAK91005 MDNIYKFKASSSVGHLKSLNNDKQFYSEEESSKKEEEEKQLKKQSKPPSKMSQFSQNATG YQTDDSDKETPKKNKVGPSSQKVSKFRNLVNSKKIEGDNDDPNFDDDDDKPTTIQQQVQH AQQQSEDQYDVEQQANKMQQKYAKGEVGKSTKVKHSNTQQSMDVNSDVEQMKNKQNQEGK LRNHPFRHLIYGPSIGENSFNKFLQLTQRGLVYAKKCLKGPSDKFIKSKMVQLQECPIIK PKTLLLDLDETLIHSCSLRENPQVTVTVFGDYGEEAKIHFNIRPFCTWFLQQMNQLFTIY VFTASSSAYANAIVNYLDPKKQWIMGILSRGNCMETKNGFFIKDLRIVGNKQLKDMVIVD NLAHSFGFQIDNGIPILEWHNDQNDQELKYLATYLMEAAEQEDIRQFNIQRLKLDQLIEY NLD >CAK91006 pep:novel supercontig:GCA_000165425.1:CT868661:64934:66155:-1 gene:GSPATT00023734001 transcript:CAK91006 MPGSIMNISFFFMTCNIYFLLQFRRSLSEKYIKMIEFGIQIKDPLFLFFIIMDKIVYIPE IRYKQINENTQDSQETIAQKLHDLEELISDIKIPNEVEFEHVFHLVKPNCCGFGPYSQKS EFSIQGLGDLSFSIEKFHCCTLLCFTCFRDNCYYCFCCCFFDAIFTILWWIFRPFAYCLY YLIRILLCMYQVHRLPEALYIMDNSYLRMEFWQRGVGFIKAKGEVIFNFTQTDRNYFDKY CAGLKSSFPQLVVTSPFDSNYRLLVRPSKNSCPQSIYGEMLFLGCCDLFNTSKKYHVEGL TQGKCEILNFRTGCQACARITGMQGQCCKLCPTIDYPRYAIQFESVRQVDKLAIIMALIH ISMYNQWGDGEDSRLKLKFSNEICERRNYVKQVTVV >CAK91007 pep:novel supercontig:GCA_000165425.1:CT868661:67619:69783:1 gene:GSPATT00023735001 transcript:CAK91007 MIMINNKSSIRKRAKSSLNFVVSVKYQNIERSHNNSMDSLNTRVMEVYEQQQKDDQTQIQ RLLIKKQLLEIRIRHENEKYQETQNNHQLLLQRQESMENSQNKYISQMNQLTECLREIVQ QNQEMESAYDDKCKEYEIMDSEGEEAVLKAGDIIQGRFRIGDLLGEGSFGSVFRIYDTQN SNQVLAIKVEQELEEEESMLEREIKIMMELKKKPGFPQILYYGQENHYVYYIMNILGPNL EITSKKCGGSFSLVTMLRLAIQMLSRIETLHSMRLIHRDIKPDNFVLGLGQQQNLLHLID FGLSKFYVNSKGEHIKQVRKKGLIGTARYASINAHNELEQSRRDDLESIAYILVYLATGT LPWMNLQIEQKDLKYAKILHLKKLTAPEVVCKDLPACFTKFLTAVRKLDFITTPDYVTYK KQFQNELEKHQGQTYYDWEVVGDNSTKKKSNTMHIMPDQLKQNNSPNNLHIISNGNGSNK NTVTYGQDQIIEGDMDKKLNLQISTKGVDNKQFERFEQILQQNQKKYYKMNSTKRQNSGR AKTPVMVNVIEPDVINVYSIQNQIQQQQYEQVYDEVQYIAKSAKFLQPPSTPNGRNSSSI INPYYIPSLNTSQVNNYDWSEGEEISNEGTPLWCMYVEKERQNVMTGIQTKKKNSIKHNS FSVLSYPIQNQVRGSDEEIFDIE >CAK91008 pep:novel supercontig:GCA_000165425.1:CT868661:69994:70395:-1 gene:GSPATT00023736001 transcript:CAK91008 MEQVIEITTSNYSEKVNKEGKAALIFVGDVLAETGQSWCSDTVEAEPTIKNYAIPQLLQK GYKVYWCWAGDKETWKDPNNPARVSEWIQIKSIPTLLLVHDNKEAIRLEEEQLFNENALK QFLEQ >CAK91009 pep:novel supercontig:GCA_000165425.1:CT868661:70616:71532:-1 gene:GSPATT00023737001 transcript:CAK91009 MQFRTKTLFKVLITEFNRKYQQLSIKFVQNQCQQLKIRVCIIYHLQSQYDKELYFKFKYH IQNINLIKFILLIKFYYRIEEAKPCNCKKSKCLKLYCDCFAAGKLCSSKCNCCGCFNNSS NLLERNQFIEKMVERNPEAFNQKVKEVDYKLAHSKGCNCRKSGCKKKYCECYQLGIECSD NCKCDGCQNCSSNKLVKIFTQQDISQISRQLKQLSQPF >CAK91010 pep:novel supercontig:GCA_000165425.1:CT868661:71617:72807:-1 gene:GSPATT00023738001 transcript:CAK91010 MQSHLDSFDNEQQFLKSLLQKDQKPERIDKLIKIRLAKSQMTLHSHQRSQQTEISIEKQK LPTQSSIRRESQRELRDKKSVELKQQMYKLLLAFEDTCQIWNGKNKLENLLDNDQKFVGF MNLTYNLTNLPLNIEGLEQMFKGTKYVLKTLKPYIPQSLEKLIFEDQECQKFRPLTFQYQ VFYILNNHSELIDTFTNQAIRLMPRSSKECSDLQHFIQKQFLNKNQNDIEYIQCLFEKQF NQPYQSILNEARSFCNLAIEYEYVKQLYCFCTKVQDALSQKIQQEANKKQVYDKGFDFKT ALSKIKSKDINHEKLFAQLVPKKHEIIDKLDKFESKFGRIESISNVQREQAKLADMKHNQ LYERILKLQKQME >CAK91011 pep:novel supercontig:GCA_000165425.1:CT868661:73247:74887:-1 gene:GSPATT00023739001 transcript:CAK91011 MNQKCNKLGHDQKPMEYFCIQQKQILWSCEKCYKENKQKLNFQSIKHFQSCIQGAKETII KETENGRIEQMKFEFDMQVQKLKDLLEFYLSGIENQINNQYAELSGIIQFVDNFNIKSLD SPNQDHFQYLHLVLDQSVAKKFDQLQMQINQFMQKILSIGMDIDEQVGFLSESIFLDQMK SLIKKFDLPYQFQKQIEELQIPKQYCLDQSHHAQFVFKEKIDGNTSYIGQQENDEFNGKG LLYLSNKGYVYYGAFINGQFCYGISLNVIKKELLLGEFEFIDLFYYQIQNYGILFNSNCE RQTVICNPRYEGDFKDSLFDGYGQFHYQNGDLYKGYWKAGKRFGQGELINQEFGTIKGNF VDGQLNGKGELDCKTQKYVGDFKDNEMDGQGTLEDLDKKTKYVGSFKKGVRCGKGTMFFS DNRKIEGNFLNNEPSGQCVETTTKKYVENLKQQVETTVEEGEYSNGLKCGQFNCQTTYNG QTMKKLKYYDSGELIIEDLIS >CAK91012 pep:novel supercontig:GCA_000165425.1:CT868661:75410:76166:1 gene:GSPATT00023740001 transcript:CAK91012 MQSDYYESDNIEVLPEEYNEKMTPKEKVTLKEQIEFLQQQTALLKQSMELEQELQVDNPI AYLNAIENNLESLKVTNLQQRIQCLAILGSGAEKCVTLKCVLPQSDNLKRFQMLEKQVHL LETIVGQKPYQNNSTIMQDLNEVQSILNSIQAENKDIKLGFTQTLPQIDKINEIFRLVQL LVGIADLLPNIITRLEQLKNAHDQSIQYQNQLKELQIKHTKVLDLITSNRARIKALCTKL AQK >CAK91013 pep:novel supercontig:GCA_000165425.1:CT868661:76754:78060:1 gene:GSPATT00023741001 transcript:CAK91013 MEICAMHQGDIKYIILDKNSVKLACTECKDDGITEGCEPDQCILISKVLKLPEVLLSKIN IDSELKSFFSNILKESKEELSNFKNYWLKQLQEIKTALENLICETDNYIDTLTKMLSGYR IELKRIIKFEIFEQYIKANVNNYNIDKMGSAIIYKNRNEINSQLELKIQDYINQITQVNK DEIQKKMEDLVLDYKNKVINTKVPSSIEILNSFKSGLEEFQVAVTEKSRLVNPLLSVVSS LLSPSNFQDILYKIPQKQSAKCTLIYQGSKDGFETIKFWNKIQNKSNLLMIMKLKNNVCI FGGYSPCQWLKSSQPQFIADNQGHSFLFIQNKSVQMQYYPIKSDFKHQAISVNQNYGPLF GKNDLQIFSDFKEGYINIGQHYFRDPKDNQQSLLLGPKLTEITECEVYEL >CAK91014 pep:novel supercontig:GCA_000165425.1:CT868661:78120:79457:-1 gene:GSPATT00023742001 transcript:CAK91014 MIPSITQAHKQMRESGISFQTKLQKSLKLSEQYHSTVYLKREDQQLTRVFKMRSAFTSLQ SLTKEQKEKGVVTVSDGNFAQAFAFFCNHFKIKGTIFVPEVCYGWKIDLIQKNGKQYVEV KTAGENFDECEEAAKKYIKENDKVLIHPSDNIKAIHGCGTIGIEILDEMPGDVDYIFLPV GQGALAAGIATYIKAMSPNTKVIGVQPDGADSMIQAFQAKQVVKQEHFSRFCEGSAVPSV PKLCLDICMEKLDQLVKVEEGRVSTTILQLYNQGIAVEPAGALSVSVLDQFAHEIKGKNV VCILSGGTVDLSRFDEFKEHSQLHEGLKYFFLIEMPFKSGILKNFVSFCLGPDDDITHIQ FQKKANRERGPCLVAIEVAKKDSIKQVMENMRKMHLQFKLVNDSKELYDLLV >CAK91015 pep:novel supercontig:GCA_000165425.1:CT868661:79511:82372:1 gene:GSPATT00023743001 transcript:CAK91015 MSNILQRMVTKEAKRRQVESRLLNKSQQTFRQLIPDLKSPNKPPQVSTALEQFKSKFTEG KYVFQIEQQRKNQLDEVFQRVQLPIKRDFLDVLLQQFETELWRVCNEQNLQYLSDRYLSY QLSNNKFLKHLVQYVDEQREKAELALGQLAGKEATINELQNKYAQLQTMFQQLSHNKDDG QTKLNKNESVKRQKELYMENVRLKNEIERIQDKLKQIENQTNIQKLQEQYQELARKSSEK IHELLNENQIKEKQLQKLNLHYQNIKSQYSKLENESKAYQTVFEANKQHLDRLIKENEIH TNLMNRYREIACMQREDFEVRIMYYKEELSVASKAKDKLIQLQSKLDRFQIEKSKDIEPL PNNNFEKEKTGLEKFNDLFSNDKTYQRLTYQALQEEKGRIVSRVGHSIVTMEQHFNAQEI QLEKFTIGYCPFVNLVEHHKKTLEQEFSGLQYKRPNLQLLVTLRVILDSKWNEMQMKPEK LWTHLAEFTYSHLMNFKVDMQTKSIKKIDQDVHRIDDQIIKFIVDFSNPVFEKNWECITF QEFLNDFTSQDEIYFYLYARNLLFRGPECFHATAYYEPHHYIPLLQAEFVATHILSQYET ATLQQVKKVLREKAVNKTITKNLFIVDASFVLRILLEFYRVERRNRYKMFKIAFGSRATN ISFKLFRVVLISNYPYITDLELATLYREAYSFTGTGVSIDSFYTIASETGFFVKHLKLQN LTTQPKIVNKEFVFEPDTQPFLIVNEAYKRFQTFQNKFESILIDFGLEELFAQFRELDNL IQQKFAPLQYNQKTLSQIIEHYMSLFRNINNIICSRLFLQDQVQQGQEFLVKHEEKMKFN KDQNKEAQFYSGDNFNKQYLRREDFIPDIEILKGQMDCLEHLGSYLESYELVKKRRDYRM TIQAKKIQKFVKKKMNKFYSFVSSLLSAKFKASLKQGK >CAK91016 pep:novel supercontig:GCA_000165425.1:CT868661:82660:82966:-1 gene:GSPATT00023744001 transcript:CAK91016 MDNKVQEIFEKLLQFNSSDELQAQQAQLEALLTQIKDEMQMLIQDTHFGKDQYYLQQFER IADSKKRLDQVNAKMVKIQQRVDVIEKRFIKLSQ >CAK91017 pep:novel supercontig:GCA_000165425.1:CT868661:82996:83739:1 gene:GSPATT00023745001 transcript:CAK91017 MMKNPKVKESLTSRLEQQECCKLQGHENNLIQFICACYECPYRYQKACAHCVIKLHGKHV EDMKEIEEFDQIINENSKKAKSLMKESEELFLKLKNPFGNFFEQLKLQINDMIDSIQQRY QLQNQERAQQLIRNELDFINQFNQNVNSKQNRYLNDHTLKQYSNSIKTAQDKLSNALSLF ELKQLLESNLILLLEDFGKNTGVSYIVNTSRNTSQRHSSCHNIMTPRSALNVFNHNISAV RSKKIRN >CAK91018 pep:novel supercontig:GCA_000165425.1:CT868661:83747:84572:1 gene:GSPATT00023746001 transcript:CAK91018 MSIQSKQYLSTSAPHVDTSQLSNVSPKKEFYDATKQLEAVKRRVSLLRLENEIREKKLQI QQQKQEQMNKIREDHEQFKEYKQAHKEQVNMQLQNLVHKTRSMKMQQSQDKKLIQYNFRQ MKQQEVKNIKEQSQRNEYIIRQNLDSIKQQKAEQKQQVQSSVQIAQQTIQNFRKQKYLRF IQEHQISKSQHSLEADLKLQQIEELKKQEAYLLIRLDETNNQVNKLNQSTLIKQSQTTPK TPSYF >CAK91019 pep:novel supercontig:GCA_000165425.1:CT868661:84592:85949:1 gene:GSPATT00023747001 transcript:CAK91019 MSEDDQHFLEDDNSEDDKFEENLEKQYALYPKVSNKRPESKHGRPESSKFSYKKQEQHTV EQQPKPLEAIPTSPALKKFNQLVSFHKILIEQFWKNHTIMASQTEFIGFLLESGFQFEQH EINQILSDLSNDRGVITIESLCKKVPAWHQNENAMLEFIREKALKLAQESKRKFSAQKKP KPQSASTKRSGFNKGDSRPVSGISKKYQSGFSDLKISNINDKPLQNRSKHYLQLAKQKQQ EEDRLLQLTINQGKNEYEYEMLIKMGEANELSQELESKITYRAYKTAQGHLKVHVYELEH FQRDLTLEEFQREYNILRSKYNEKKKLKIWEVLNEKKKNQKSLQHFGSSQKEDVKQNEVD AKAVNKKERQQELKKVLLETMMLSNVLKEQLVVLNRKGINAIHNQSVKL >CAK91020 pep:novel supercontig:GCA_000165425.1:CT868661:86068:87021:1 gene:GSPATT00023748001 transcript:CAK91020 MSSKREVIAKHRVESASRSQKRKLEQAMLQVNSHQPITKAQQALPSEDVELYNNVNVQHV INGTDFAWNHLESMPKKQIDIVFLPQTIAGMQNVYCVVKKKYNAEMARREQMEAERIQKN QEKHHQFEQRKLKNGLIYAKLGLKKTYQSAPATQFTEEPLFQRLSKPKQSFIQQSTYDLP DFRGLPIKNLTYVDKYKNPILINHFMSSNLLPKSVNKDKIRTKFETSDQKLEKFQNTIQV DEMNLDNDFKDNAMLEIDQFEKMLSTMPQTMYSQVKDRENQLLQSVEGFRSIRKIKRDPN YRHIIGNQIRDQILQKQ >CAK91021 pep:novel supercontig:GCA_000165425.1:CT868661:87037:87403:-1 gene:GSPATT00023749001 transcript:CAK91021 MKSLKNLIKEYIMTRRKQKSKISFYNSIIIQLQTFKENLCQKTYTFGNFIDELRNILTPT QVAKVILGLEKYHKEFSVSNVQKQFEDEFDTIVKQEEWQFDDTVSKKVHI >CAK91022 pep:novel supercontig:GCA_000165425.1:CT868661:89068:91091:-1 gene:GSPATT00023750001 transcript:CAK91022 MLQQSYFYQSKLRYRLELKRQLTIRYYYIGNALKSLNKYQEAIECYDKAISINPNYEAAW INKGLALNKLNQYQEAIECYNKAISINPNYDVTWYLKGHALSKLNQYQKAIECYDKSISI NPNYDVTWFNKGNQLLEQHYIGISLSKINKYYEAIECYDKAIFINPNYDLTWYLKGHALN KLNRYQEAIECSDKAISINPNYDDAWNNKGNALKSLNKYQEAIECYDKAIKINPNNFGVW NNKGIALDNLNQHQEAMECYNKAISINPNYDVAWNNKGNALNHLHKYQEAVECYYKAIFI NPNYDVAWNNIGDGLSKLNKYKDAIECYKKAISINPNYDVTWFHNGLALSNLNKYQEAIE CYDKAISINPNYEAAWINKGHALNKLNQYQEAIECYDKAISINSNYEAAWNNKGLALTKL NKYQEAIECYDKVISINQNSDAAWNNKGFALSNLYQYQDAIECYDKAISINSKHDTAWQN KGLILHNLNKYKDAIICYDQALSISITPKSLRLKADSLIKLGNEQEAYELILDSLNQEQE NHLLIMTYLSW >CAK91023 pep:novel supercontig:GCA_000165425.1:CT868661:91092:91426:-1 gene:GSPATT00023751001 transcript:CAK91023 MQLTASQIYLGYALSKLNKYQDAIECYNKAISINPNYDVIWYHKGNVLNNLNQHYEAIEC YDKAISINPNYDVAWNNKGNYLLNSIIRPCINQIKQILRSY >CAK91024 pep:novel supercontig:GCA_000165425.1:CT868661:91561:92055:-1 gene:GSPATT00023752001 transcript:CAK91024 MDDFKCTYENHENDKIIGFCLNQKCQNTTKFCLKCLIDIHQDHQKDCIPFHRMIEFVNKP RQNLNELQTKFIKISEKLEKSFQQFFKTIDQEAIILENMDNILKDQDYSTFNEYIHILKQ FYSKEKYNYICIFYIYKKRIKNKKTNSIKLQNNIRIRRNSTWQK >CAK91025 pep:novel supercontig:GCA_000165425.1:CT868661:93348:93714:1 gene:GSPATT00023753001 transcript:CAK91025 MTFILLQGCYVDDERDEEWDVLLFRNNQIIKKRGGRNWNINTSRSIKIELFPMRIIGTVN ENGKEEGSWMYLKETDECNKNNRLNLQRVEFINGIKKINYQKTF >CAK91026 pep:novel supercontig:GCA_000165425.1:CT868661:93904:95119:1 gene:GSPATT00023754001 transcript:CAK91026 MKNLKECLSSEGSYKNIKRFKENIQDEDTLNQVVETLEDIFQSPKSQPIQLVLAMRVSLL VCLAEQLTKELMDLHYDCVVYSVTNNIIYMIEDIIMADLKKNERGKFYFSDQPDQSLLLL GNTLIRLALESIFVWNLWHPNNLAITQVYQRLIDQGVQFPKLHYFNAQKVKEYYLTVKES SKNGTLYRKQSLMLNDQYFDQLKQKIEKNQYNTIKLHEINEELKQIKHVNENQKQFIENF NKAYKDYLVNNKLDEFNNQVQSICLEYDELSSQKKQNSAIQLCTYSTDKQSNQFSRSISS QNSQQKNGSNNKQQSIEQLLHENELIQAQIQSFEIPKVNSHIQNIDM >CAK91027 pep:novel supercontig:GCA_000165425.1:CT868661:95412:96740:-1 gene:GSPATT00023755001 transcript:CAK91027 MDNNQQVNFLEDQEQFYENDEYEQTMGRQFESVVVIKDEKGEVQKKYTLTIQIIKANYEK PYLGGLKNKKSGALLHHAFAQTNQYRREHKEKNHRDTQTHFESTKSTIMMREFGTQMEKE DLFIDLRNDRNFEPKLYFTSEMWEERREEAALFIQRLIRGWFARRRTNALRQKKQQLQNE QLEKEEDFRRQEEVRHKKEIERRTNPRTKEDFQILYEELELWRTTEIARIKSSAMSEEEK RAALKQVLNKETDLLQTIDRLKIIANQKNKDEKINKFLKSISDPKKWLRKSDGRFTEVHT LYTTRARELMDIYNGLKQRKLSLDERLDILVNTKWTVKEWDCNLTREIVDLIDREADMLN RGRPEPSLEGLRQRLQNLFLQFIETPEFNPEAARFQKIPYEILKSTS >CAK91028 pep:novel supercontig:GCA_000165425.1:CT868661:97492:101156:1 gene:GSPATT00023756001 transcript:CAK91028 MDVWRQIGNQKVQDVETVLDAFNSTNCPDQIAYNNCLGFMKLLKSSDLLEQIKNLYSDRY FCQQLSQSQFDNFLRITIKSVPLYQGKDEDFVDGINLLQDYFLNFNTNQFCFALLSNKKL LEKHPKIMLTIFSKAIVQEDQILLNKIVQLLGQKQLKSFHHQFLIDLIQIDLSQKQSFRT YAEKLFDSFIGHTISEQQLKISNLTFQDIILAFKRLIKWDCLNYKFIVVKLDHYIMTQEK NNDANILKLIFYIMTSQSSHLWINCSYLTKTIKLHWKTADFEFRFYRVLKLLRINNHRDV DSSYRMGFNGLSGQKVDSFRLAQDLEQNIKKTIQESDHQIIYLQFIKQAFKREPYCFSYS FIQFLLQYRYEDKPDLYQPFWSLVSKIYSFFFIQLYEQDITNVFDFQGMFVDENGNTKLT TKIGIQKKNARNLVPYFQQLIINSQNLIITVYKKFYKLFLSEKQIFNFFTNLIYEPLYQQ IEFQFPDKSNLKLNQNTQLYYMFSDILDSFQNTKNVLQDYLTEIFKQLSQNTKENTFRIL SYYQKIHKKYQQSSLSEYCLDLINKSHAFMLSEIFQGKNELSQQINVTQSQNLKNNLQQL LQLYQPLSDLRCKELKQIQDIILTNFSVNYNISIDSSTSRSFLLCLLKANNKLSQQYYEK QLNNLLQQFDHLFVTNGYANQEKLEQQCQKFSDFEEKDQYGQYFQSIVEQKSIAVEQYIR TSPQLKQQFQVLLYLQLLNLLISKINRYDFEVEALITSLRKLLTHPYVPDEFIIDIWNEI YFIIEQLNEQSSEKWLELTQQKLMSNVLIHLDQSISITTTLILAVIVNQKSLQKKQIRLQ KLFLLVSELKSNNQKNSLYRIIFSNLDKLDSSLCQKIFFEIKDQLMIQSGTYKLLKLILQ EPDNIDHFNLIIKVFLGQEVHQIQIPILQFIAENIFKIGMRYQILIQLGACGRSVKTKKF AKHLIKQFQQAVIQYQDLIQFIMMINSVVYLASISIKDLNYQTTYWLFRKTLKHFFQPRI KSNNKKCSQIINLISYFIEQSVCLTPVKSEFEFQLSEKLEINLKKLPESIQQSNKSIIDF TDMMGVLQQYLNDVMSLGKKNFEFLKKDQQPQGNLDIFDAVLFSMNRNQSKQIVKSKQQQ ITNPFQNFADFKQSSKMEKVTKALDVEKEIK >CAK91029 pep:novel supercontig:GCA_000165425.1:CT868661:101729:103076:1 gene:GSPATT00023757001 transcript:CAK91029 MKLYIVFLVICVVTSQKENTELSQEDQTLLDSMFKDKFGLNVLNDIQSEYRKDSERKEQL VRIESLLNTIERQIAQDEQDDLEQLTKDQELYNQQLESLKEKIAEAQYDYSSIGANIRLL EDESNRYDTQINEKENIVNEYESTLVQLEEIRQHENAYFEITRDDSFAICSLIKRARYLI RELLPRSPRNVAFVQQYTYEDNSHQFSSSDVLQQMRDLQVEAQETLQNNEPFLKIINEFV TVSTQTEAVIDTTRANAIINLFQQLYDQVDSTSRVQLTAEDDRQNLHQRVKDQINGELDY LHLQLSILYDEQDGVEAHIIAAQMDQKDGDQRLANLKQSFQDLQKCKEDSKVNYGVRSKE RKEQLKLLRKAREVLLNEFDAVRCYIEDILSRSVSQN >CAK91030 pep:novel supercontig:GCA_000165425.1:CT868661:103095:103535:-1 gene:GSPATT00023758001 transcript:CAK91030 MFILICIIVLTYSIQLPIKESENIEVLELGSSDQLQEQQLKKVQNNQQVFLLEIANYLEG TTINSLLGLQSKDNQSKRIIIATILSIMLIVIFCVVIQLILDVSKKCRIKLSRESTSLPI S >CAK91031 pep:novel supercontig:GCA_000165425.1:CT868661:103762:104489:-1 gene:GSPATT00023759001 transcript:CAK91031 MYLKQFRRNQPVNNIFRMIKNLDVNQRGLKYFDNMTITGYPIKRIQTLKSKFDMIDFKSK IIDNLEVQISVPNNIYIKEGYEIVSYPRRIILSNEEFFLVGDFIYNSQTQILRCNKIKAL QEMKNIDLNQIFKRFYTKHVLKLLLKIGIIALCLCMMYKFAKRMLIFENGQVNQILISNQ HRQLKCKICKNRNSNIIVLPCQHLVGCQECYSRRYFCPICRSYIQSRQKIFND >CAK91032 pep:novel supercontig:GCA_000165425.1:CT868661:104639:105662:1 gene:GSPATT00023760001 transcript:CAK91032 MSNSQERDQEIKSIRQEMLRDAEQNAKKQRFGLFSSPVPLGLGDDSMEMRKRPQRGENGK PITEPSNMKVCATRTGRIRSSYFGPLSFTTVGDPYIDPEKVLTIYEKEQKKLCNKEAAFK PPSGYKELMGSAYSHMKEYDYKKVESRKQTDGRVYSAPRNVTTNPRCKVLDKSIPYLTDD YNRYSDFERKARMESKSKEKEQPFRSTVNGGYTFEKDKNIFGVTKMPPQSQRKSPDLKSA KHESAFRPANGLKKGFDGLFGHFQYKSDPMREIKRQFSSKKERESFKPADLGNKTRPNPT ISCFKMNIRREMAGHI >CAK91033 pep:novel supercontig:GCA_000165425.1:CT868661:105876:106259:1 gene:GSPATT00023761001 transcript:CAK91033 MNILNEIKKQVDIEMKKIKKVPVSKKTIPSQQKKELVDMVNQGVLLKDAAKKLNLNYHEA KVAYNEQKRKSMSTQSETESAVYSVRTAGVTALKWFPRHFLLQQSVNNNLVSVRRLYNVL VLNPKQQ >CAK91034 pep:novel supercontig:GCA_000165425.1:CT868661:106259:107809:-1 gene:GSPATT00023762001 transcript:CAK91034 MPSKTKILNYMRPAMAIIPDVAEPERRILFKYRALWTAIATLLYLICSQIPLYGIYKASA GDPFYWLRVILASNRGTLMELGISPMVTASMIMQLLAGAKLIDVDQNVKEDKQLYSGAQK LLGILIAFGEAFAYVWSGMYGDLDKLGAGNAILIIIQLVFSAIVMIMIDELLSKGYGIGN SGTSLFIAINICENIMWKAFSPITHRTELGLEYEGAIIALFHGLFIRDDKVAAIQSAILR DSLPNLTNLLATVLVFMIVIYFQGFKSEEDQHHIQSNCSIPQTFQSFSKLLWFQIFYFLS QILYRNFRGNFLIRLLGHWQELDNGQTVPIGGLVYYVSPPRSISEAIFDPIHTILYTAFI LGTCAVFSKTWIDVSGSSPKDVAKQLKEQDMQIVGYRDSSMKDVLKRYIPIAASFGGMCI GALTILADFLGAIGSGTGILLSVTIIYGYFETLKKEKEQGTLELF >CAK91035 pep:novel supercontig:GCA_000165425.1:CT868661:107881:108093:1 gene:GSPATT00023763001 transcript:CAK91035 MDNIQQRETLGLFIRFTSVCQIRCGVLSSKDDVLTKDNHQCLEKCTNHMIDSFRRIQDAY K >CAK91036 pep:novel supercontig:GCA_000165425.1:CT868661:108121:109163:-1 gene:GSPATT00023764001 transcript:CAK91036 MQIETYEKGREEYQRRYGKLKDYISSLKIKDTSEITKFDSSDFDLTKLQARLADQAEKEK FEENIIGSTDIVQQVNHDFNVLVYGIGSKIELLEKAMKELMESNPQSYFFVLKGFKPLVN MKMFLQKMGEVLKIDSHVRNSEQIIKCIENTPHSIIIIAHSIDGRHLLNEQAQKLLGQLS NCPNVRMACSFDNYRYAMICKIQRAFFSCVHTNRPYVQEILQIFEDQVGKQKQEEGLWYV LSSMTQKQKNIVYYFAGKVLESRDGLNFQDLYDVLSDEMIVSSKIQLKDNLKELMDHKII IEKGGKYTMQYSNTILQELSQKFDEVIKQ >CAK91037 pep:novel supercontig:GCA_000165425.1:CT868661:109209:112037:-1 gene:GSPATT00023765001 transcript:CAK91037 MITFTSSKSRFSLYYLEESEKYLQDLSAKVRQINMLKGEERLERGKVHICSRTLIFEPEK QELPIQKLFYRMITTPIKQTNFISFRIKRIIEIFTQGPPSPYIYQDDVDFEIQIEPLFDN VKVVHDLIEKIQQINQQKKDVESEVEKLDSEKLQKARFNMSFVESVSEKPLIQKEMLVRR IMPLIQTKGIMYITNKIIYFQPFFKITLKPCKKIVIEQIKVMYKRRFELLDIGLEIILKS GKTIYYAFENQERMEEVYKVLIGKVTIEAETSLEKIQYLWQSGQISNFEYLMRLNQAGNR SSSDLTQYPVFPLIIVDYESSELNLNDSATFRDLKKPIGALNEKRLQEFKKRYEEMPPPK FLYGTHYSTPGYVIGYLVRQKPQYMLKLQSGKFDKPDRLFKSIKGDFKNVMNNTTSLKEL IPEFFIEDDSFLVNTLNLDLGVRQNQKKVGDVKLPKWAKSAKEYLRLNRLALESDYVSNE LHHWIDLIFGYKQKGPHSVEANNVFHYLTYEGTVQLSQMQDPIERQASICQINEFGQCPK QLFKIQHPPKCALRAGQIMYKFVEQIPKQKQEEVPINVDGLMWENLDKKNQFRHKMLQKV HKTNITDLIVLEKKNLLVTIGQDGFLKVIDLKELIVLKSFKVDEFCLSCIVTLKQDEIFA IGSWGSQIHVFNINYGSKVQMVQRFNNSVSSLVYLMKKKILVTGSWDCSLKRFECSENQI KQDSEEIIDDWEAQITHIAATEDESMIAVGDIDGRVITINTNNWTQQQHYEINGEKIVKS LFFRASLLVVGDAQIKMFSNGSQLLDFKIDKNNGLITDIIIDHDKYLIACTKKGYVAVFS MVLESKLGYLFTDFSGQADLIGNQDQQFTKMILRNKTLILTSQNGSLNVLQY >CAK91038 pep:novel supercontig:GCA_000165425.1:CT868661:112194:112872:1 gene:GSPATT00023766001 transcript:CAK91038 MFSERVAKAQGRNCHSQNQDNPICHTVPKCYKQSRIYILLKQTKPYNNTHKRPKTTVEAT KYLFEEKEKQKVLNRQILQHITGTSHDYFKAKKEGKIAILKMRTETQLQDLVSGGSVKII NGHELTQPQFLHSLLENRAKSAFGIKCTTIPNISETRAQISQYSRLIVPLEKQKLKSMQS YGILNHELQMQNQRHCLRVERTFNHLNRNEVRI >CAK91039 pep:novel supercontig:GCA_000165425.1:CT868661:113267:113737:1 gene:GSPATT00023767001 transcript:CAK91039 MSFIGKVFLLAALLGYSYLLLTDPALGKKFETKYASFQEQALVKQYIPADVFKHVPALLA KQVVSGLLASSPLLFICGGFAVFPVLGLLLQITIQSNPLFSADQYTKIDCLKLVALIGGV IVWASSRCCSKQTPKPKTE >CAK91040 pep:novel supercontig:GCA_000165425.1:CT868661:113759:114976:-1 gene:GSPATT00023768001 transcript:CAK91040 MGSQCSQCQTCQKRDEQVVVVSEIRQQSSQNMNQYSQQPLDEENDEMSKGVDLLKNENLE QKYQSEAPTPTPIATPGLATTQLVSFNIQIQQPQMTQESQNDPSMIKKDGMNKRNQEDLN LDETNRQGPREKRPKYVFKSGAIYEGEWVGNMRDGYGTQIWSDGAKYEGEWKANQANGRG KFWHVDGDYYEGEWKNDRANGVGKYIHTDGAQYDGEWLEDLQHGQGKEFWADNSKYEGQY RYGKKQGLGWYQWTDGSTYKGMWSDNKLNGFGVYNWPDGRRYEGFWQHNQMNGRGIYYWP DGRYYDGEYFNDKKHGFGVYKWNDGRCYEGYWLQGKQHGIGRYILNDGQSQVGVWENGQR KRWLEGESRIERPRDWDKYVHPKLDDFQ >CAK91041 pep:novel supercontig:GCA_000165425.1:CT868661:115005:117171:-1 gene:GSPATT00023769001 transcript:CAK91041 MSQKFYTLIAFACVNVVCSSFIVFTYLAWKAGRKSPGDIVFALGLCQLSTSIYWMMSSPD VNTPSNPDNNLNDTSFCKWVDFTQNLGFLGQFIYNFAYCFTMRQEITNVLKTNAKFKLLL HSFCLVFTLCISLILFAFQPQIQQMAGPTIMNRCINTIILERNYLPLALYVFEFVYIISA LYTIWYFYQNLPNDVTFRNNKKSSLIRYVMYIISTIFLALFTLLSTFIQTSEHPSSQPAS LDTVMMISTCCLYTLGSGILCYQRVKNPALLRKIVNMVHKAFYPQPKKEVEIVDVDTQFI EVQKFIRMFQIRSVLAGIIQYFNNIEEYLDNAQLEENVDKSETDVDRTSQLLIQNRNTYN NDITLNAHLIRESLSDEQLAIAKTLEKYKLNNQALNKEFNFYVKETQYTKGKNPKMFALA PKYFYYLFKFDNLDIDAHDSFSLEKNAELIENMINVDGGKSGEFFFFSYDNKWILKTITE RELNSFRQRMEEYFHHMSEYRKSLINKIYGIFSYDMNYISSSCGIVYHMVLMRNISQVPR PFVKRTFDMKGSEVAREALKGKPKDIDLQKITLKDVDFDNLEKWIKIEQSQSDFVKFQLI KDAEFFKNTYLLDYSLLIMKVDWGEYQGNVDRLVDLPQNAYPSKDEPNIYYHIAIIDYLQ EWNLSKMAEKASKQLLAMNPNLNVSAQTPEIYAYRFINNLVNKIFR >CAK91042 pep:novel supercontig:GCA_000165425.1:CT868661:117350:118025:-1 gene:GSPATT00023770001 transcript:CAK91042 MQQNSQKQQQIQKELDDMRQKKRQCKILQKIFLQSNFRSLIINQFLKDLQVKQRICEKQM RVLNWNIFQNIQMKRLNNIYFWLRNQNQMLKKRESYLRNIIHNRLYCILIQQSKLTSQRQ QMIVSQLKNQPIFVQGIDSLNPSSFASEWNNVIKDYNQKLVQLQQQLESATNEECFRILK YYQNKKLLSDMQELKMVLYALFGQSQGDQQWIHFIVD >CAK91043 pep:novel supercontig:GCA_000165425.1:CT868661:118109:118336:-1 gene:GSPATT00023771001 transcript:CAK91043 MENYQLFQTQIKQHKNIQFQMRIDKNQSAQEQNHQEEEVSDIRLKFFVKEEKVRQGWIPD QQQHKWKELLRKREL >CAK91044 pep:novel supercontig:GCA_000165425.1:CT868661:118449:119013:-1 gene:GSPATT00023772001 transcript:CAK91044 MNIYEINPLLELLDQWYENIVSKKKKTYPFKQIKQNENHKAIEYEFNIENGPQINWNIIN QIMKPIEKQFQKNQETNEDVHFKFQMLRPSKGPGNNVLKTLDQVRMEMNKQILNSLLLLK VTKKLDKLQINRKHKTNWNIQNMDEEPTQVFSDQSHDLNKRLQIILEGLQNILVSIIY >CAK91045 pep:novel supercontig:GCA_000165425.1:CT868661:120108:120686:-1 gene:GSPATT00023773001 transcript:CAK91045 MAEKQHKVFYRNHILVVPTRNLDLQHRHFMLDIMNILPHSKKTNKIKYEQLRQVIPSLCE NHKCNSFIVFHTIQNQLILVFGSYPSGPTVKFSVLNITTIKDLQLAGNFSKKGRVLLQFD QRFNNITKYKLLKEIITLLFNVSQARFTDNYIDRIFTFTTEGEAQNRIWFRQFEIF >CAK91046 pep:novel supercontig:GCA_000165425.1:CT868661:122036:122798:1 gene:GSPATT00023774001 transcript:CAK91046 MLLRGRILAYFAKVKVTETPKIRIGEAVKLIKDRSKAKFDETIDFQLRLNVDPKHGDQNV RGTCMLPGGLGKNVSIAVLTSNEFNDAALRAGADFVGQEEILKSIKDGTYKFEKLITTQD MLVLLKPFARLLGTKGLMPNPKAGTLVLPQELTQAIKGAKAGSIEFRVDSGSNLMVPVGK KSFDDKVILMNIKAFSIALNQKRPTSLKGQLIGEARIKTTMGQPVEVDVSSFDQRNKEND LKDLVY >CAK91047 pep:novel supercontig:GCA_000165425.1:CT868661:122843:124222:-1 gene:GSPATT00023775001 transcript:CAK91047 MNSNYHEQLCQFEQQKPKYQHLYLELYKSKILQIHGPKLNQSDTLKCKQNYGEQQNTQET PIYLDIQGNQEQEIKFQPNIQEEQQIQPKQKTFSQSSKKFRRIYGFGMRRIRSKESSEDV DSLEKSDDHSLTSKGYKECQICLSFRLVHQFLPCQHEFCRSCISELLKENIVRGNVLVIL CPHSACTEQFADLQIKELVSHTLYEKYQRFYARQLISKNKNVRWCPRIDCENYVIGKGMN LLTCTCGQQICFKCGNQYHQDMSCEQAMDAQYLQVRKELQVYDCPNCQAPIEKKGGCNHM KCYKCKYEFCWICRGKYSSIHYGVFNIFGCAFPGGQLSTIQPLTNPMLIKIMMIIPKLLL TLLLISGLFILLPFYLLYLVISAPYRIVKRKSNFRMSKHRKFTQFGLFLLFLQIGIMLSP ITIICAILISPVLLINYIIENF >CAK91048 pep:novel supercontig:GCA_000165425.1:CT868661:124272:125847:1 gene:GSPATT00023776001 transcript:CAK91048 MFLYCRSYIPKYSASQVIKNAIQRRKERKNVMQEHLERLADKLEYKVYPYHITHYDPAHP DYIPAQRQDYKQRLTVDTHNMLVDGVKRDVAMQRQVDQAIKNLDRPYLKGKHGVNKNVTG GLKDYFPIEMPYSQAGNLQNEELEYENVFRNEKRWIAQTIYPAEKTESQKRHEKELESRP VTSKFHPDKGSKYDVETPYHLRFPYLADRLGHPEFLANPFQRLFRLESDMYHPSYNDQPF VQHPSADPDPTLNFEEGEVIYENTRLQEWLKFVWWTGTFSFAWICWVLPYNIVYKTNLMF DHQIDASFYPYHLQSIYNMDYMRINVLAATAASAYLWYFHHMSMNDIGRNYVTKVQYNKD KELIFVTRMGSFGVVREDVFETHHLEVLPYYTKSGVQNMRQNDLGMYELSCLNKQELMYL YKEDAFWNPKLKTQFLTNHTQLISKQYFGLKRNEQQEYQKTQTVGELPLKDLPAP >CAK91049 pep:novel supercontig:GCA_000165425.1:CT868661:125847:127352:1 gene:GSPATT00023777001 transcript:CAK91049 MNDDIQRGRERPVRKNGLVNSLNPYQTISWIYTLTDIIIAYIFSILIQEPGIHVQLFLIQ ELLLILLTIIVTTIFYSFLKATLMDPTDPIVKQDIKCKQQGQSYRLNYSKELKTDFKSYC LVCQAHAQDKTKHYWSCNKCVSLFDHHCIWLNNCVGDQNYSYFFVLVISLVTFKIFKLAL DASLLYYEVDLQILVYIFIVIDPPILIILINLLSMHLYFKYKHITTYEYIKSKQDKKQQT NQQQHPQQKQNQNDGRTGYGSLLSTSKRVDLKSQLSLKTADSKTNEDKKNPQQSPSQPQL TQLPSLFTSKPATPGNDQVRKSRIQQQVPLSYAKDLDEIKDSDAEDSELKMNKSSDEDQD DNLSNNEHHCPKNSNVSQIDQLANQSISFEIEQKIVEKKNQEVNKEIENKSFNQDIVSVS NQQSLHAQQPPSSQNCYTFQIDLNSDQQKVITSPSSKRNSQHLIADNCTE >CAK91050 pep:novel supercontig:GCA_000165425.1:CT868661:127466:127960:1 gene:GSPATT00023778001 transcript:CAK91050 MKNLVQNVQIQKVIKTINDLRETMDKRISISLGLGITIAVIGFKLLRNKGDNQQSPQQTS EVVEKQSQNSNNSEENDIIEIEENKQLEKQQQQQKQSEPRQKKKQIIQKINQKTKKQFQT DNEMPAPKIILEKQNSIKQERNADDKLFFQIYQKMNQEVSNEDS >CAK91051 pep:novel supercontig:GCA_000165425.1:CT868661:127996:128460:1 gene:GSPATT00023779001 transcript:CAK91051 MKITIIKSNIEKVLSQIENKEQIINITAIKCNLDKIPILDFPNLKVLDLSYNNISTFENL PNTIEYLNLQNNQFEYFEELEFPPSLVYLNFNGNKVSTKIQYRSKLIKKIKQTCPEFKYL DNVSIKLRYAEMPINISKFDHIMDFTYEMFGDEI >CAK91052 pep:novel supercontig:GCA_000165425.1:CT868661:128512:130271:1 gene:GSPATT00023780001 transcript:CAK91052 MNKQERENVKQRIEERIFQIKLKNTQHEVVIGKNANFTKIIEQEYATKYLESLGIEANQK NIQMILKNYPLNRCEITGGWNTSGLYADTINIVVRQKKKNIDLALGQKDKDVLDEVQKEQ DLKKITIKKGEQEQDPQLTQQQIALQQKNEVEQQKELLEKEEEKKIKFKLDFSLEEIKHK YLFPFNQTFQRKQNQNMVNQDRLEFQQNDETHSEHDKFLVFESKIFQLPFIHIEIPAPLL KDVQRPPNIKPEDIEKVLKQIDKLFPKSIFPIKASAKSQEKQQQQQQQLNKEKVNDDVET RYIVFEKHQVDNVFQLIQKGEMVKLIGLFIHLSYWLVFGVTLPIQIQTLTKKQMYIQMME IIESFQSFYAPKLWMHLVMPMILVSLKMATEYLYKNHYIIFFEKPRTEINTPGNIAMDKI FHFADRLFDQNNLFCRFIFLESSKQKKIGNDAKFMHKRIFGVSPYLDIMIQNPQNSRTRA IIAKQRDIDIRDKILTIGEKKLAQTMPPILRQQSTKEEKDKIEMAQKAKMFSVVLSRMQQ DFEKVLKEKEVLKLKQIQC >CAK91053 pep:novel supercontig:GCA_000165425.1:CT868661:130351:131038:-1 gene:GSPATT00023781001 transcript:CAK91053 MQIQDIQDYLEDQKLPYLKSLISICSNFSEHQPYLIKMESIAEPEPESVPEIYPALGETN NIHEEEPEKPEIKVKDEGQEIQQVEQFSCSCSKSHCLQMYCSCFHNGRVCGKSCKCQECE NAEDNIIKREKAVNYAKKKAQRNKKVPEGKIFETTEIWGCNCSKTRCVKNYCECFIRGKK CSVECNCQHCDNGKDEDLINEIKRQNQIKKRIRKERQLQQ >CAK91054 pep:novel supercontig:GCA_000165425.1:CT868661:131280:131720:1 gene:GSPATT00023782001 transcript:CAK91054 MLSDPSSSSKFSDLLHFILNSNKKSNKFQRILPQLSITETLNNSIKNGRSRIHSLKHEPT GFITQRIEFIQNTGDYCYKALNQQTLSLKHQRGRIDDSMEKSVGASPSVHSYQTTKEVQI PIYVRVNKIKEKLQPLKVPR >CAK91055 pep:novel supercontig:GCA_000165425.1:CT868661:131816:132830:1 gene:GSPATT00023783001 transcript:CAK91055 MQPQKTNQQLLSTFCELLYFIAYFGNTIVQLNKATFLFKDCLKLSELCRNHQLKIKILIQ LFSIAKQLKQYDKAYKFILKALSYAWAENLDDYEIDCYDKLGICYFYQGDILKADYFHNK WVKCEIEPRDSYYRITSKEFIQLFERSQPICREFDDKISRYIHIPFINVKTGQPFDFNST LKYNNCEAIPLLNEILHGYEYTEYFLEYHYIDQAQRQHLKKSSLPRRALEIMSKYDKNKD KYGFDHKIHENPIYKLSLQEKVNYRKTKFYSLDSVEQNIQKYISQQREPFKKSEKIYVKE SQRKDLSPENGNQLSLHFKKLLVSIIDQN >CAK91056 pep:novel supercontig:GCA_000165425.1:CT868661:132886:135666:1 gene:GSPATT00023784001 transcript:CAK91056 MQEQEFLKNENNPFLFKQQKQISRTVLQSLVHEYHILIKGLTNQEYQDYCNVKGACGQTI PLILILPIGLTIGTFQLVLLFFKNIQEGPVIPAVIQFILLQTAQTLIMYMILKACEVIPK KIENPLLFGKITSYIFMCLSIAEGTLIIERISNFNQFSQSQFWSIFGLIIWQKVIQLFIY DCKLRIGIVIFLYIYYVFRLDCDLFNKDIVKVIAYICLEIIFIIDAELKNYSQYQFENNM QFRNNKEDIQFMIPQVQMQTQQQILEIITNQFPVIIFDQTKELLHISGVGLKQLQMEDDS NKIDLISAEIKLRKMEVIQLISNNEKLKHNLVQLKRMAKFGSTCGTNEKGELLHSLINGP ALQIITYSKGNLKRITELFIIIQMVFQSNYLIIQQMINSQNTKGISKFQSTQKSNSRYFQ FTLIQKYPKGDTFQIILDFRRTLLKSIQDFNFEFRRTEEEDLPIMCQEFRQMLFCQQTVQ VPLKLLNVEIKNTLKYSKQQYLQEELLNVNNLVNQLQNKYQNQTNPNIKLQFQINLKQNT GEFKTDQLRLMQIMQILLENSINNTKEGFIKIVIEDDKRQNCIQISVEDTGKGINQEIIQ EGFNMNQIRSLHIVNYLTKILGPQFYCKKITGQVANKGIRIKSLQDCGTSISFSIRNLGL DEFSTQFIIDDEVSQDEMDVDVDEDEIMERYIRDDDQIQYLQRFNKGLRSFIINRQQIQK IAKPLDINTNKKQTKLVGMMKLQELGRFKNVQQQNGYCQCNNKLIINSNQDFERVIKQHV LENVYFINEQDSFKHINLKIREKQCQNHSCQVYKQIIVNCHKFDFNYRELINRIIGITNN FKIIVLIYNSNGEIDTLGTYIIMPIQLDMLLQYLQG >CAK91057 pep:novel supercontig:GCA_000165425.1:CT868661:135904:136629:1 gene:GSPATT00023785001 transcript:CAK91057 MNLTNHNDNHWQEIYQLGQINESQSFDNHNLEFSPQLLGSRQRTLTYPSSPLYTMVERDQ NSDSEVQYYDDQLNELQQCCLRTETEKSESCCCKDENHHQQKHLIQKSIRKNKSNGKPNW DSSIRKNFPKLLGNHFLAHLEQISDQILPPPITQFLLEFHQIKESKKQLSFAISDFSKLC LASEESKKFFIDFLQNKLPVRLIHSNKFTKIKHVLDLVRNAYVGACNPERWKGNMQIAKP E >CAK91058 pep:novel supercontig:GCA_000165425.1:CT868661:137286:138356:-1 gene:GSPATT00023786001 transcript:CAK91058 MPQLSKQIQYGLVAAFAVGLGTLKVAQRPFETCIGYVLSLGSIVILLGWDKLPFNQTNST DGEELTKDELYERRRLLKEEKKRQKQLEAQELKKQQRSPSSESPEKKSPERASSPSESEG EKKKVQETKTNQKQQQQQQSNVNQQQNKKSNKQQQQQQQQQQNTKQQTKEKGKQTQEKQK PQPQHVEDDDEGWEEVYDPRQNKKANLLKQQQQAEAQKQAEAKAAAAQKAAEDAKIAAEL AIKVAEEAAQAAKQQKGSKGKNTNAKQQKHDEEDADDDGWVKVDSPNKKQKGGKKNK >CAK91059 pep:novel supercontig:GCA_000165425.1:CT868661:138414:139799:-1 gene:GSPATT00023787001 transcript:CAK91059 MSEQQVGQEQLDVTAMMEDLYEKLVLLNYEQSYVKQKGGKPLNRAYFVNQTNSSEQFSQF KTLAKWLFTQNDVQTTDFNKLDDPVTLSQNILNEVKNIGIDVDFPPLKLKQGFGEYVVYV LQQLASKALQKKKFQFKKVKIEQQSQTQDEEPVQETGSVSSDSDPEVASDEEPEDVFNEQ GFQKDEERMVIESNVNPQEWAKEVERAAQKIKIVIKPNAGEWRQHFDATKQYSSQIRTIL PEARIKLERLTDELSEILDRINKREYNINENMQDMGSEYKKKNEDVKKIELQCKNYTNAI KEMGDQYKQISDKYEAVQTKLNEHGSISTDQSPVIRIKAALTKIRLEIKQMDLRIGVLSH TILQRTYHDSKAMQERDFHENGLILNDSNELTD >CAK91060 pep:novel supercontig:GCA_000165425.1:CT868661:139861:140317:-1 gene:GSPATT00023788001 transcript:CAK91060 MLEKFQRSNMKILTSFEKYVKELNAQNLTWSPVHTEKFWKENVKKFEENDFLLIRKLAEI LKSNSNQNVAVACYDLGEFCRFHPFGKVVLEQLNAKQEIMRQARNDDQQIRENALLSLQK IMLHNWQV >CAK91061 pep:novel supercontig:GCA_000165425.1:CT868661:140326:141359:-1 gene:GSPATT00023789001 transcript:CAK91061 MANQLRERLKICSLNSLKESCKSRRNSWKLTQLECFQWFQISYENLIHDKPLMTYILTTI EAIISDNQRLFKQFMRALTPQVLPKLKQFLFLEGYDPMAYEAAAKIATMIIAEEGGNDAK EWVILFLGGIGNKLKIADFMIMPVCVHFLKHETLAVQFIKSGGIRIITNLLSKYSTDLQI AYYTILALWLLSFTTESISLFNDPTIGLIKLIIESVQKISREKILRVSFACFRNLVDASP QCIELMVDRLWILTVIKQLMLLLKWKFLKRLRFVR >CAK91062 pep:novel supercontig:GCA_000165425.1:CT868661:141389:142489:-1 gene:GSPATT00023790001 transcript:CAK91062 MGNSNQRMQEEKYENVMKKFKMKGSIHHNQLGIVRVYDLKDDNNYSIFEFHKTVTTSEEA KLMLAHYKNRKCYNNDHLTQIFFISEQNSQILCQDQTQLTVIGEFFYNNIQNEMKLCYLN ENIRNIVQQFPELRLWQITLQIVNACAFLEKNGRYHGEIKSKNIYLHPDQSVKLTEYNFI YGSMTGYQKALLVNDFQYLSPELLKELRAKNPQPNVDLVKSDVFALGLTLLELASLSSCE QYYDWISKEVHIDRIIKANDQLIQKGYSTLFTNLIYQMISEAESRPRFTDLKEVLSNFEN DINNHIDFYSKYQMKQNHQFNAYNSVYTQPYQRPGQFNQTQSIYLPNGSY >CAK91063 pep:novel supercontig:GCA_000165425.1:CT868661:142876:143452:1 gene:GSPATT00023791001 transcript:CAK91063 MIEVSHKSLQFQEGQLNQLKFKITNVSDVPITFRIRCNNNTNYKLDHYMGLIHSHQDFEN NIQNFEAADLKLPGDQLEIQYAEFLDPLQDLKDFWKSTQSQDHVMIPIEVLDIQQQQKEA ILAELKVKQQTLQQELQSIKQEYVQSQKPAVEINHFNKLYNGFTIGKLIIVIAISLLLGS ICR >CAK91064 pep:novel supercontig:GCA_000165425.1:CT868661:144604:146139:-1 gene:GSPATT00023792001 transcript:CAK91064 MHQEHRKMNFLKQIKVDTNDIYHIDAEKYFKKQCTSLEIMLISRMITKINKRNNEQLRMI AISNEFVYNIDKKSIKRKIAINKIFGVTISKKSQEFIIHVPTESDLLYKSQENRDVIIFY LAIAMKMHNIDGIKIYFVEDEVLQPYCMHHQTLDKLKQTSLHPKSQISMLYPENFQLNYI NTMNKSKAASNELLVLFANDPLRLRVKLDEYQKSVVLASGSLSKIYLLHNKYEKQQQFSV LKCVPINTVDIDLLELFLKNYERLPFIEELELCMLYNGNVNLIFKFVKGGDLYQHLKEIN NFPEQQVKQIVAQVAIALSDLHERGIIFGDLKPENILIDERGYVCLTDFGYGKLRMYQEF KKTQAINFTIEYSSPEYIKNGELTRMSDWYSLGILIYELLVGITPFYHNNFEIALKLILK GEIHFPKNIVCSSQCKDIIQRLIKHDSTQRLGFLMDFKEVQSHPWFHDVSWEDLSQKKYD TLAYIPQFNEISQISDRYFTMEQLNEDERSW >CAK91065 pep:novel supercontig:GCA_000165425.1:CT868661:146316:146635:1 gene:GSPATT00023793001 transcript:CAK91065 MNEKPKKKPAIEWRSTSEFENNSNYVRVVEFTKQNYQKECSMNKTITFTKVLQVAKQMQQ GFLWSLQVEFSDKTIKIIQIYEDLDGVLEFDSCKDNN >CAK91066 pep:novel supercontig:GCA_000165425.1:CT868661:146874:147226:1 gene:GSPATT00023794001 transcript:CAK91066 MITQAKRHFIENKIQKNQELLGGVRWQSPNNYKNDENYFQALEKAKQDFHKVCHLPNGVT WVKVEKVGLQIVQGRMWWFEVKLSDKKTYQMEVYQDLQDTFELDECNK >CAK91067 pep:novel supercontig:GCA_000165425.1:CT868661:147287:147931:1 gene:GSPATT00023795001 transcript:CAK91067 MQRSWLEQPQRTLDINFDIKSIRNQVKDRCVPVLQYQTDSAQKSFYSYRKESLPTVPSFE NLQAKIRDFSYTKLNQASSFQQKNQIADKSITYSPQKAAGLQKNSLGSRNNSNYQSSRQQ SPAYQSRPIELSYRSQQRRNLSDNLQLNEIVSMRNKIESSQASRSLLGSNYVSELVKLAQ AITNALK >CAK91068 pep:novel supercontig:GCA_000165425.1:CT868661:147986:149281:1 gene:GSPATT00023796001 transcript:CAK91068 MKNNKYQIRVTLDSTPSTFQTNETLSLWESLQRSKDSPRKSKIRIARDPLENECPLQQPN PFLFNEQLKHSFRDYLEGQKTVLNLVNECNHIISSSHKMDKLKLFSNVHKFRSQLKQRSS VRLSMIQNNSQAEDLLQRKIKIQERFNKIQALIQQRMHAKNKKESLRDVQLFKHLFETCE KNVNKIKSKNLLSEKADGIREYDKIKYSKNPQGSLRVRLDNESNLNQSNNLRSRTLPDQQ TTHLTRKKEREKMNAKLTEFLEHDQNQEKNLLLKAKRNLIRELKNGNKKFHQFDTNRYNI TDPLSKDVKFYAYMFMKPKNDDVLQKKDQKYKFLCKTARVQKNTQRHYLANFNQQQSNSR EESLEEDSSFNSMLEINIDNLYKRSTDLQNMILNTKSEKFVRRIRQFQKVQTLTTQIIKV NEQKLSNTKLL >CAK91069 pep:novel supercontig:GCA_000165425.1:CT868661:149323:149817:-1 gene:GSPATT00023797001 transcript:CAK91069 MKNCQQNQRQNFYNFVFGYNKDQRSTSMKVERLRQITETSEIKQNYYKGLQLGKTSENAL KVIHMKLERQRLRRQSFETKTEMEEEHLPQVQYPVKPPILHRTIVSNKENIIEMPYNLAK QQQFQKIYQIKRRRLSQRMKIKKSEPTIDFSPWENQDNLDFDFY >CAK91070 pep:novel supercontig:GCA_000165425.1:CT868661:149870:150861:-1 gene:GSPATT00023798001 transcript:CAK91070 MLYFIFIAIALSKNAKMDINLTIGLDFSDNSYMEFFGNFSKHYDELQQNGLKLNIAQHLL PCYSCYTRHQFKEQEKHCLGGGRYCQYSDYVSGQTILKEFLLQQCVLEVLPQHYLNYTIY FGQQCKKSSMVACSKNYFTNHNISTEAINKCIEDSFDKSEVVNQEIKTNRILEQYKKMEY NQTSFSLYLDSSDQTDLTYNEFLQKLCQKYTNVSISFCDHAETPVKQVENANANANTSTN ANPLEQVFLFIFALIILIIAGSGGWTLLNKIQFGSSLVPKSRIAIPKLEGDHIIQEDDI >CAK91071 pep:novel supercontig:GCA_000165425.1:CT868661:151256:151941:-1 gene:GSPATT00023799001 transcript:CAK91071 MNKKQNIPLRNIQPDTVPFSDGDGLKYWKSFRHAITTIRLLQNPKTRRLNSPDELVISGY KPQDSLKQRSESSRNFSEALKYIQMQQALFTSIEKGHSSNIIEEILNKDPKKYIHIINEV NKKNQKGLTPLYVAAKLGHLDICRILVEKGANPQTTVSINGIEETPLEVAQRWRHLNIVH FLKQQL >CAK91072 pep:novel supercontig:GCA_000165425.1:CT868661:151966:152592:-1 gene:GSPATT00023800001 transcript:CAK91072 MADPQKIVGVVFSKLETQRSHPTYIVETKTGNLIYRQAANLPSEQIEKFNLIFSKQIADK LYPSQQKRLFYKGTELDLPDVEFDDDDDIDDSKSTKYQCNCQSKQTTKMIQSNIKTHEQS LPSSKSTIKLSSNTILMYDKIDGEFKQANRIDCTLIKDIKNWEQAKISNKKQVMLCISEN CFVKLNDLRFKNPSLVLDFVLNNCILVK >CAK91073 pep:novel supercontig:GCA_000165425.1:CT868661:152765:153247:1 gene:GSPATT00023801001 transcript:CAK91073 MFQNKTDYDRGVNTFSPEGRLFQVEYALQAIKLGASALAIKVNDGVVLAGERKLNSTLLE PRSIEKIYEIDTHIACTASGFIPDARTLVEHARVESQNHKFNYGEPINVRALTQIVCDQA LDFGESDSKSKTKIGAIDCWCF >CAK91074 pep:novel supercontig:GCA_000165425.1:CT868661:153250:153635:1 gene:GSPATT00023802001 transcript:CAK91074 MVLKFLQTDPTGTMIEYQAKGIGAADEGIQSILKEQYKQDLTLEQAERLAILCLKNVMEE KINNSNVELAVITTAEKRYTQRTPEQIQSLIDKV >CAK91075 pep:novel supercontig:GCA_000165425.1:CT868661:153637:154311:-1 gene:GSPATT00023803001 transcript:CAK91075 MKKTQGNGFRVRDGSSKIKKAGELLASLQYSIKCKSYTPQHRSIKQLTNYPLKTQAKQDV KPLVQPRNAFQRQTSINCQQRPSLLSVITSESNMSADEEYEIWDNNQDLRVQKMTIEESK PSVGQFLQLYKARFGGFPGQKQCIRNEDVIKVAVEYASTNKQLFKKYFPKKQIVGRSSFL EFQQFFQNIRSPILFLKSNTSRI >CAK91076 pep:novel supercontig:GCA_000165425.1:CT868661:154746:156047:-1 gene:GSPATT00023804001 transcript:CAK91076 MQQLCLQISEQTLIPSIVIIALLSLISVGLLFQTYKLRNWNPIQELSPLLTIFQGLSLYL FIVLTLLVIVYDGQNNFHTTKIIMIFQNFFRGLFVYITVFKSLRVTLAFHLNIKSSNLLK FLCTKLFRYQFRILIVALLMTSAFWSGIYYIVILYNEKKNYSDQDHISDLIQYENINIVE AINNTLEIVLCMLIIYWNQKIFIPQFDTLQKFVNKPLLIYAIWLYINTFISYFINEMDCK IQNGPIELPFPLIVMIFSQILRSMVEFYYIVYIPIQQSTLIRLPLIPSIILDNFQLFMRI PLCSSVFYEFLQQPMYSPNFNSKERRVNHSLSLEYSDSLQVLKVWMAYQMKLENGIEDEH FTLFLNLEKQQNDTLFDFNYQPQIQVRLEKHLMQLFEEYQNTFSYYNMKKLFHCLDNATK NFYEMNIIQNFLN >CAK91077 pep:novel supercontig:GCA_000165425.1:CT868661:156252:156380:-1 gene:GSPATT00023805001 transcript:CAK91077 MNLASVVNDKVYLPLKCQSVGVFENPVIFNLVLDEFYHLDLK >CAK91078 pep:novel supercontig:GCA_000165425.1:CT868661:156959:157853:-1 gene:GSPATT00023806001 transcript:CAK91078 MNRYTTFTIVVTSCVLLLVTLVSLEQTPSFLTYRPKEYDVTLITQIDNCDQTVFLEGLCQ GGNWAHIFTQSLYLTNCLRSSSKQPRLSAEELLQCTKWDEDKCSATPKSLKIVNSIKNFL LENGLSTNKCLSYKQTVNFNLKNKCPIRCDDLSFKKSELRAKDLIELTSTQDIQDHIINK GPVVLALKYGSSLNEYSSGLYTTKKNDQDFGIRFLMVVGWHTETDGKITWKSLNSFGTQY GVDGRVNVGEKNQYILGYFGFDV >CAK91079 pep:novel supercontig:GCA_000165425.1:CT868661:157894:159526:1 gene:GSPATT00023807001 transcript:CAK91079 MKHRPAANSLNQKENEKCIGNQYSYKSRPPLQQDLLMNLKNQQLRNLDAISQILQSKICK VEKRDNVEYFKFDFKQQNICDRVKFLSNQPDDDNNKTENFKAQYKVGSRIGQGAYASVRV AIQIESGTKVAIKIYEKSKIRDLQRRKGVRREIEILEKIDHPNIVKIIDTLESNNHVNII LEYVSGSSLHHFIRKQQERRLDEETAKTIFKQILDAVQYCHSKNIAHRDLKLENILLEGQ TPKLIDFGFSTFFPIEKKVKMFCGTPSYMAPEIVTRQEYRGDKSDVWALGVVLFTMLQGV FPFKGDTDAELYSKIQSGEFTITHDISKEAISLLYGMLTADPDERPTVIELLNYPWLKRQ NLYTENEEIKKKHKLPEDLIEDLNTITKNMSFITPTSQIKQQFYFDFSHLKNTSKPNTNK AIIPKPSYFTTTNAQTKSREPQFFKVDKILTKNDRHTTTHSKERHPTLSKERQSSYSFES SRGLSQKKNPHQLTVHPSFIPSEKSFHSFKSPQIAMNDKRSFNFFYN >CAK91080 pep:novel supercontig:GCA_000165425.1:CT868661:159615:160709:-1 gene:GSPATT00023808001 transcript:CAK91080 MVKHCPSEDKKKRKPWTPQEDKLLKKFVKKYEKERLAWKKISSALKSHGFYRDTKACRER FSNHLDRRYNKANLTDKEIDQLFELIELYGNKWTFIAEQLNNRTDQDIKNKFYAHVKKII RRLIKVAYQTTESSVIIAKIQPLLISSIYCHDDEDNDKILKIDDKMKVLFKQLIRNNKKI KVGDKVDDQTIDQVKNIMKYLVEQNDKYLEKKVNKQAQKVQIKKLKYKKTFKVQLGLDQQ NIIKKIQEKKPIFTTKNIKIEKYLFNIPPPLMEQTPMPEFKCPIENVYSYQPMLISNPYW DTMFSNSLLFRIQYNQNTYGMLSDKY >CAK91081 pep:novel supercontig:GCA_000165425.1:CT868661:161184:162060:1 gene:GSPATT00023809001 transcript:CAK91081 MANRCQFENSNDIGVFSKLTNAYCLVALGGSENFYSVFESELGLSMPVIHCSIGGTRIIG RLTAGNKNGLLVPNTCTDQELKQIRNSLPDEVKVKRVEEKLSALGNCVACNDYVALIHSD LDKETEEIIADTLGVEVFRTTVAQQVLVGTYCCFNNQGGLVHPLTTVEELDELANLLQIP LCAGTVNRGSDIIASGLVVNDIAAFCGLDTTSTEITVIEKIFKLQDKNKQNMEVQIRQDM MQELE >CAK91082 pep:novel supercontig:GCA_000165425.1:CT868661:162151:163015:-1 gene:GSPATT00023810001 transcript:CAK91082 MQLILDNSQIHKSPEQLRREKLDIKIKTIKADLAPGVVKYMMKTKNNQKLQTPLHNVEYH HTYSKPQLTYLKTFSKMDLEPAVQLDEVKEEKWSILSKNCRNILEKSRISLGKPNESQYL RRSSIELIPSPQKQIKNNNKFLTRRQSIDLRIDDIKSPLQSPVELKIPSPKPLGMKLDPF YVRFKKYYKKIGLPQDEQPKPQNNKFKKLHKNSYSQDKLYKINSPQTQNQSFIFFSTSLM FSSGIQKIWVLEEKNSSTISEGYFGFMQYGSAFSSRDEKG >CAK91083 pep:novel supercontig:GCA_000165425.1:CT868661:163451:164733:1 gene:GSPATT00023811001 transcript:CAK91083 MRGQIQINILYDVIIDIDIRFPNLNCTDTFWKQPIQCTIFHYQQNKLGIKQIIINKFIRS QYREFKKQYTVGISPPQKFSKLNQSQQLIPEECLPPTFQSLNPSPRRDVYKKGIKKTYKM KDNERKKMVWCNIYMNEMDPIQLARSKKGKQCRICSQEEETSRFIYPCICSGTAKYVHEE CFKNWILLKNGIEKVYKNDIKCEVCQQKISMKIHFQEEVHAEIIQEVPRHQKACWLILIF IILLQIAGAVILGVLVGFSNVGLAAAITLLGVISIVLIIYLVAKVTHSLTVETIDQWVFQ NYHKEQSPEKIGNIINIPPQPAQNQSPKSPRQRRKSCVPQFSGIQMIQLDQYPLDV >CAK91084 pep:novel supercontig:GCA_000165425.1:CT868661:165550:168179:1 gene:GSPATT00023812001 transcript:CAK91084 MSQNPTSKKGLKLSAPFEPTANPSFAQPYYPPPKYYNEPPYYAQTYVPTSSNQQGMHEQG NTINSLSLNGGNNANLSQSTSANATNGQQPQQNAQKPKKRLVLNSNTQQKFVLDQTVEKK ADEEQRKLEEQKKLEEEKLKKEQEQQRLLELRKPKPYSKEELKKLVEAINDFNEYFDKQE WQLLKERKHFNIKELQTKTQPPRKEGKVNTYTKTPNQRPPNKPAAPTVQPFDRAQIQPAT ETQPKIIIVRVVEDIQTKQLKDRMKTQAEDFFQTLNQTPEQREIIFKELRYRLNQLAPDN FEMASQNIIKVVIDNKSENDSTYLEYLAQKIIEKSQTEPKYRKLYTKLCQLLIKEPQLTI VKEKQDGKAKSVSLFKNQLLNQVQQVFDERKNKKEDLSHMKPEEREQYHLIRKQKIMGNV RFIGDLFLSKVLPILAVEYAIRELISDYVAQYLPNQENSEESIEGLIELLDQIGGSYKTQ SITDFDVLKKDLEQFFDGTTKNLEEAKAFLQKKLEKNLSIDIIMEIFNLLISKYKVSQRI SMLIENVQERRNQGWKDHYSRQDQAQSVKTIHQEQEKEIIEQNYNQSKNKNKKYQTQQVL YVEKNSSQQSSSASSQQKQQLFDLNELAIFVQGTFNMEPQQQEAKLLEELKRVGPSDEAI QKFFEIFFEQLLSYKLIKQNIERAQMVFELLSKAEAKEEIVKKATQKALNEDKVYDLADS PTAIDLLVDIIGYFLNDSDINSLKKLYFKPEVDIEDFNDFMSKIATQLLIKYSDKENIIK EYYGILGYQI >CAK91085 pep:novel supercontig:GCA_000165425.1:CT868661:168219:169331:-1 gene:GSPATT00023813001 transcript:CAK91085 MSDEFPVPKPKQRVEGNVLPEEEYLRRLEIIIKRDYYPELYKLDKMRNEDGNPDENMSLS KFLSTYTSDENVTLNQIIKKGDDQWYQKHAWMFQVEEQHRLKQAALQSDKLQHMIEGNRE NNLQLNEYKALNPLYFRMDPRNERLQPEIQELKETDNSLNLQKVEIQNTRFSDHHKPLDF AINQIDQKLQKRKLQECLNAQGVQVFYGSTPNLVGAQRFEDDDMLGLFSPAPQNMKTPLM TWGELGETPVQLDRSYMIPPSSQRDKVGSNLTQQLNIKKRNEQKQQEEWLKRRLSSITPY RVGSSMSSRVSSEMIKNFLDRRGSTNHFTPMGPKSKNIKKQQPQQQ >CAK91086 pep:novel supercontig:GCA_000165425.1:CT868661:169361:171553:-1 gene:GSPATT00023814001 transcript:CAK91086 MENIQVVVRIRPSNGAERDNNDLEIWSVQNQDTITISNDRFNDLVRMRKFVPGQRVEFTF NQCFDSKHTTKFIYSQQIQRITLSSLQGINGTVFMYGQTGSGKTYTMMGYDQEEGILKQG LKDLFGEIAKQSDRQYFLRCSYVEIYTDQVYDLLATQERLSDTLLINEDFNKEFVIKGAV EEVVTNINEIMDILQFGESNRHYASTVMNHCSSRSHTIFRLYVRCVPNYIGPNSVITESI LNFVDLAGSEKINIHDSMLKKRGTSAGGNGGSQYKDRQNESKHINKSLFFLTQVISLRAQ DKNDQHIPYRNSPLTKILRSSLGGNSRTAIILCVNPCYSQFEQTLSTLRFGTNAKKIENN VSKNIVGFDNDESLNRVIKDYEDKINELQKARVDDKQQQEMMLKIIEKLEEQRKIFRQQF TTSDHIQAMINKELNYQWTHLHYHGVGVLWVPEKGIKEMEVKQDVIDSFGTIKKYQELQE EFIIQKSEKQTLENQVMDLKQKQQETVVQTKKRYANQKAKTRKYKQLSKDLQDEKNKLQK IALCYHNMIDMDEMMLLNNDTLDQMTENLQVMMQNIYKVKLKKEIKLKGENTETLKLVTS KPIKYPKYLFDTSAINVQFWDVTDNKKIEEQSKNEIESFQSYFQSQINMSSPSYIELQGG GGQQFKEPLKHICSHEKSSMSKVGKENYEPMLKRNSSLEVSDELNFRSYFK >CAK91087 pep:novel supercontig:GCA_000165425.1:CT868661:171584:172813:-1 gene:GSPATT00023815001 transcript:CAK91087 MARIIPWLLLEQQEVRVQRKCWLKSTRIHSGNSILSVLQLQLATGGPVGEIQILLNNIAS QLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGEAEVEVR VVTSDIANNEKSFADEQATRNSQHETWVRKDAEHVDQIDAIDEASKIVQHLQAGVAFAQL KSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLVASRASLL ATEEKQAANWEVQSGHLTEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQTLFLEDAE DSLAIQERWAAEQEAQYEAQTFEREQQLEVVERLQEVLTQKVSAASEFLQIREEVF >CAK91088 pep:novel supercontig:GCA_000165425.1:CT868661:173448:176344:1 gene:GSPATT00023816001 transcript:CAK91088 MNNSGDDIQLLKKTINEKDQQISELEKKLRLEQFRMKKQQSELNSKIENEKSTAQNNADQ INRFELQINNLKSKLSETEKKNLQLIEEISTLKKRRTSGSTDEDFQPKKELQGDFPSLQQ ILGIIQINQGNYQPELSKLYECYTQACQSKDEQINSLQEEIKDLTQQIDIKQSESSKQLE SQEERIKQLKQSLDQRENELKNVVQQTSDNSDQKIQELEKQYQTQLSEKDKQLQEATKKA KFDVFKLNKQLNEQKTQIESEQAKTNLLQQQIERHESSLRELEIKISELEQKNLHLIEEV KQKQLEIERLNEESLSRVQGEEISVDKPSYQELVDLIQKFQANEITYQQEMKNLEEELNL KNNHSFELQEELNQQIEKFQLHDRKYQDSLKSIKALEEQQASERHVSQDLQHYVEQLKQE KEHQQAEFIHKIKELSEHNTNIDDAVYDVEQKYQKMIMIQEEQYQRREQELIHQIEQTEE KQSQQEQLLKKQEQKYKNEIKEKEENNNQLFQQKQLQITQLQKQLQDETHKTREESNKQI NEIKQENYKKEKQLEQRVQEVTKQYEGELNKIKKMEIILKKKEREIAEEKEGKRFAEEQL QILTDKCNKLIEQYDNKIREIQIANQVTKQDQHRHSIFDQRMSISSSDINKTFEIEVLKA QIEQLQQKGDIELQAEINRLNERERALKKELIDLQNEIGNERLNLTVVQRQLEQEVESLN QEISNLKMDQQSELDQINDQNNRNLSSQKNEFQTKINKLEQKLEQQANQFQQKQSEIEEE KDNIIQQLKVKIKQCEEQIIELKEKKNLKNPTQQTIIQDDNLQLQQEINSLNEKERVLRK EILDLQNELGNSKLSQTIKIRELETLAEQYRQESIHAQQEQDYAEQLTAKISIQYADLAM EYEKTVLQNRSYRKSISKIKQ >CAK91089 pep:novel supercontig:GCA_000165425.1:CT868661:176490:178927:1 gene:GSPATT00023817001 transcript:CAK91089 MNSKNKNNDSSSEDDAKVYETLTKLPPANELMPRKLTIDIDVKSLFPLKPLPQFNQIYST FIEEIQTIQHPIEAQQQEERTLLEYFEENKQNLIYKRFDQLDLSKRNPNDRTYFSTLFAN FKKQYPRVYFRGDPLFDQKLIPCQTDQLKFDSNFESGNLFCAFKRADNVYDLILQNDINT RGNTQWFFFSVTGAQAGQTVQFNLLNHLKSSSLFNEGLQPAIYSIKENEINKKEWTRGGF NISYFKSPFIKEYPQTMKAKYYQLRFSYTFQHNNDKVYFAHSYPYTYTNLLEFLNSILIN PEKNQHMSRKSLCTTLGGNTCEVLTITSNSMQRRAYRKGVVFLARQHPGEPQGSYVMQGI IEYLTSNNPQAEYLRQNCIFKIFPMMNTDGVVNGNYRCGLEGSDFNRRWKKPNKYLHPTV YYAKKYIKGFSKERQIILVIDLHGHSRKQSSFVYGCAYSSQVKTIERVFALLMAKMNPFM DYSSCTFRVESSKDKTARIQIWRELKINWVYTYECSFYGQQKKHFQIKDYINCGVSICNS LSQIVKDTSKEFTNQANIPDIQQQMLEELGKMPQTDDQDQGSDTSQSDAELSDDEIIQLF QPKTYSLKQKNFLKQLDTKKKQITTKQTLVTQKNSILQESPSKNQQQQDKLPQSSQKPKN IAYRIPPHVKLRQIEPIREQQQPIMVDKSCQTDDWMYRQWLIQIGKQKKQEEYQSQTPTK NIYKSSSQSATRFIVKNSSKPDRGRIDSISLVNSGIQKTAFSQQRQIMRYSGSNFMY >CAK91090 pep:novel supercontig:GCA_000165425.1:CT868661:179929:180144:-1 gene:GSPATT00023818001 transcript:CAK91090 MQQAMERKSSRSIQLSQNNLDESNKSTFYEIWDKNDGLDSPMSPKIKLPGDYQPKFIHSV RNQSKRGSEIN >CAK91091 pep:novel supercontig:GCA_000165425.1:CT868661:181240:181958:-1 gene:GSPATT00023819001 transcript:CAK91091 MSETYFEFDYLLRIILVGDTGIGKSSLLMRYTDQEFQQNLLPTIGIDFKTKIIEQSGKSV KLQFWDTAGQERFRTISRNYYRGAHAIFFVYDITDRQSFKRIEYWMNEVEQNSPPDTIKV LIGNKSDLNYKREVDFDEGKELAQSQGLEFFESSALENRNIENAINFVAKLWLKKQELEE KKQQENRKANPVLQNSFVSIKKKSLFQLCQC >CAK91092 pep:novel supercontig:GCA_000165425.1:CT868661:182324:183228:-1 gene:GSPATT00023820001 transcript:CAK91092 MQRQELQAAMLERNKKDSESFDNYLFADQIQKGMQRQDIEQIQKLHLLKQKEAEFAKYSL EQQEMKKILQRQQNLNEKQQISEQMLRNQQIFKEELLEKLNQKNRISYELNQSYKDMEDK KRMEQIKIRLFEKQSMQEEVNKLQQDEEQRRNFMNKLKHNYHQNEGLLEKYNQIYQQKER EKIDIENKLIKEAAEQKRQQDEQRQIIERAIRQKKNKEAYNSIMEQIEQKRQWKEREQQQ KSQELEHFGVVNKHFKRKDQDDLQRKIEKLRNNKQRKKEISQ >CAK91093 pep:novel supercontig:GCA_000165425.1:CT868661:183507:184105:-1 gene:GSPATT00023821001 transcript:CAK91093 MKAYRSPCQSFHTVRTSQCNFATPERLVKVQTNSGVKSSPFQKNENQFKNYQSNTIAKKK DSTNLYDYISELEQKINKLEQSQCRSLNNNYPEILQLEKRMLMLLNENCELKSEALMNQK LAYENEQLKQLVQEQQMKILEFGEQYNLLFDSHQKVCEEYRILESKIQTYELTMSIRKHS SFSEYFKTSE >CAK91094 pep:novel supercontig:GCA_000165425.1:CT868661:184428:184652:-1 gene:GSPATT00023822001 transcript:CAK91094 MSEEKSLASASKSITKKPCSWKQMFRKKNYEEQKKREELRKLGEEIAKTEEFLQNAWTLV AKVLNSKSLITQLK >CAK91095 pep:novel supercontig:GCA_000165425.1:CT868661:184952:186358:1 gene:GSPATT00023823001 transcript:CAK91095 MKKYRELKKTGDGTFGVVIKAEDMQSHDLVAIKKMKHKYHNFEECTNLREVKALMKLQNH PNIVKLKELFLDNDTLCLVFEFVDKSIYQMYIQQKEMGKTIPEDQIKSLIYQVANGLSYM HKHGYFHRDLKPENLLVSNDGIVKVIDLGCAREIRSRPPYTDYIATRWYRAPEILLKQAN YNSPVDIFALGCIMAELFLNRPLFQGNSELEQFNKILSTLGTFTQFEWSEGCRLVSQMGL ALAQFQPLQLQQLIPNASTEALNLLTQMIRWDPNKRITATQIKIAPPIIFEEQGKSKDEL KLPEMGKKPKSYSQKEEPQNQFKQKQYVQQQQVEDNDSNDLDDILDFITTENKPLPSKQS SQSSKNLEYGEYVPSIPQNRQPRNLQSSQIQEQQKKDNNSIYDFSHLQSFKPAKMPNTNS KY >CAK91096 pep:novel supercontig:GCA_000165425.1:CT868661:186424:187029:-1 gene:GSPATT00023824001 transcript:CAK91096 MKFYANFSFFFNQDEVWTFNKFINEFPEHQIILLEPDFYDELMNVSAEVVDKPQIVEIDK SLMEQQLQFVELNKQQRNYSQEYLRLINFAIYNKEITLGQLTKLLIANKYVDQLELKIYS KYSNQYIIESGRIFGVPLVFYDKKDLEHKHSLYLVDYCVDCQWFQLYRKIRLSLEVNKQF LLILFSKEGEIVNTKKIQNFK >CAK91097 pep:novel supercontig:GCA_000165425.1:CT868661:187081:188176:-1 gene:GSPATT00023825001 transcript:CAK91097 MVWKKDSEQIISILYFSFPMEQHAEQFPNQSIQSTFEANKPKRPYQKISQETRSLILHAL TVEKLSLCNVAEMFKTKACTCKAILQTYEQEGRREKKTSRRERVEIDSHIKIIVIDPLGR PNQEFINIKHSKMYTEEKDLSRKEEKQLKRRLQQEILSQLEELQTGCYNVQQTISSENHP DEKAPENIARGINMSLQLIQQKLKLDGVSLGKQEKRKLKELKKAQQLTKLEDLIKVEEGK SNQNSVCQQQQESKSEIPKTNSVFDLGQNYSKIKQFIENVNQKSQLNPQIIDKLNYLTNE TIFQMSLKQRLQDKDFQVSILKHNVSRYLKQFFLSN >CAK91098 pep:novel supercontig:GCA_000165425.1:CT868661:188366:189630:-1 gene:GSPATT00023826001 transcript:CAK91098 MKFAICLALIALTQSVLIKHASDPHAAVFAQLENLEDHPLGKKILDTIAIQMRNQSPLSD IAKMLQDLRENLVLQQQNAELVHVAQEADCEAEIYGYNRRIEFASNEITEATMDINKYTA DLELLQQEIENQVVQLNILNQQEEQLREQRAEDHAAYQEREIQTPKVVEALDVIAAKLSA IQPEADAEVVLAELERVGGENPILALVQLASTFSAEKLQSVQAKIGELRASLEQALIDDR EEEIQSQLNYEAQIYSIQEQREAIQSAKAEAENKIGQVENMLAAAKKRKYDAGRELESAT NGKKQKEAQCDNWRSLYARDTEQRTTEISIIRLSGINSCYKIRRCFQLHEGKNQLTLIQI FILSNNYYSLLYFSRVSTYSNVFPNQNQFISSLNEKSLVLKSEQKVVLIEKVNI >CAK91099 pep:novel supercontig:GCA_000165425.1:CT868661:190071:191456:-1 gene:GSPATT00023827001 transcript:CAK91099 MTSVPLVSVFSADDSTKVTAKHIPLPAVFQTPIRPDIVSFVHTNIAKNRRQAHAVNPQAG MQHSAESWGTGRAVARIPRVSGSGTHRSGQAAFGNQCRKGRMSLPIKVWRRWHRRVNIKQ KRHAAATAVAATGIVPLVLARGHRISQVPQIPLVVEDKIESYEKTTDALNFLKRFGAFED VQKVVSTKVVRAGISKQRGKKYRVRKGPLVVYFNENAKLLKAFRNVPGVEVVNVTRLNLL QLAPGGQLGRFVIWTQSAFAHLDKLFGTYRYASVLKDGYQLLRPLLTNPDLARIINSNQV QEKVQPAKTTKVLHDVQKKNPLKNTKAMDRLNPYAKKQRAAAVAAIKANTKGTKKIKKNK ALKKASKAAFNKVAASLSDATKAAVQEEQDIKVKYFSVQKGAAQAE >CAK91100 pep:novel supercontig:GCA_000165425.1:CT868661:191760:193172:-1 gene:GSPATT00023828001 transcript:CAK91100 MYDQLHYLLASGSTNYLDDYIAKKPDKVLPATEEAKHFEAYIANPRKAHFELGEDNVNYQ SIAKLSFNPKESQKAQLSEETQKDLRSHHFKLGFHSVPSETEYQQYKNQPLDIQKKQQVN IRKHHHDFGDLKTYFTTTYQDTNKEYKVEKEEYHAPDIRKTNITIGNNPIDYTTNYIRYH DGQQNPKINVKGNLEKFLKESHLVLGEDPTVKSSQNKDAFQGQQNKEVIRVNKEVLLDLR TAHFDFAYQKSDMPQTTKQSMFQKTAIAVSQPNLPTSSLTIGTHGFLNDRYYQTSYGTNY VNPNTQKPELAKDPRISAIKFGDEQVEYNTENNRNYQMPEKVDQFKLDKNQIRDLRKHHF QLGTDPIEYPQKISQITPNPNTLSEEQLKDLRRQHFVYGSQLGTYDTHNSQYGTKQGSPN KLDPQLQKDLRMHHFEEDGERVFQTSYNAMNNDQL >CAK91101 pep:novel supercontig:GCA_000165425.1:CT868661:193260:194246:-1 gene:GSPATT00023829001 transcript:CAK91101 MRASHILLLIVAIKAVKFLDEPAVLLEDEEKPYMQITVFAQQSSLFTEEELAKNSEPAKQ YESGFNYEDENQSSLTSADQSQVFLAAQYEKDLPATGDCVVLYSACDFKGTSGKVCKAED AMNFQIPVFSIYVPIGQQFTTLDSTRDEQVAFLTSEKCLTEPLVMADTQKDVFIAKPSQS LAGSDNASSDETTATQPQMAEEVQEQIEVPQVQKEQQVNVDQVQQEQQVQSTEQVQEQVQ EQQQQVEVDAQQQEQQQSQEQQSETPEIQQTETQSSESQASVDDVPQAAEALVGQTQ >CAK91102 pep:novel supercontig:GCA_000165425.1:CT868661:194722:195193:-1 gene:GSPATT00023830001 transcript:CAK91102 MSLGVPLKIMHEAAHHIVTVELKTGEMFTGYMAEAEDTMNVRLDEVQMVTRDGRPMSLEQ VYLRGSQIRFVVIPDVFKYAPMFKKIRANAKSKNMQQIREKARQVREELVPRIKQGLEQQ KK >CAK91103 pep:novel supercontig:GCA_000165425.1:CT868661:195268:195726:1 gene:GSPATT00023831001 transcript:CAK91103 MDNKKSLYDRFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMGD KNTQYTGKDLVEVHKDLNITNQQFDKFKVHLKNIAQDMEVSGADLEELLDHVEKHRDQIV FNKAA >CAK91104 pep:novel supercontig:GCA_000165425.1:CT868661:195909:196364:-1 gene:GSPATT00023832001 transcript:CAK91104 MFKIFIAKQSLNKEILQSQSGFGLMRISEILNRVQSLKVFSIFQLQYLIYILGEYNIEIS NQIVFQFRAIALYSLTNFCQKLLYLRPQINVLQFRIYCAEILKTKIYPFKQIDIAVIKLQ IQYFYFFQGRKQLCRKVSCSGIHLQRDFLNL >CAK91105 pep:novel supercontig:GCA_000165425.1:CT868661:197090:197540:-1 gene:GSPATT00023833001 transcript:CAK91105 MGPTQGKELSPQMRERVLKLFARFDVDGSKSIEKSETIKYWKSNFAKLNTEELFKSVDTD NSGTISEEEWLNFWTSVLRSGHTEEEIADELESIESGSSWVKFENLENKKG >CAK91106 pep:novel supercontig:GCA_000165425.1:CT868661:197567:200583:1 gene:GSPATT00023834001 transcript:CAK91106 MNDQDSGQHFPQSHQAQNQIEIANRTYSEIRMEQIVDDSDYLYQSMIDDDQYSRVKVIPT IANETIIYRNTIDMKRQQPNIFQRCFGGKRSSNKVTMAQIIDLRQKELFNDYVYETQKDG FLKHVQKYIHKIKVLEPDSQFYIFWQVLNSAMVMIFFFQIPFVFAYQPLIKEKQSEDYLN FEMYVLNLAIAIFIVDIALSFNIAFYKQGYLVSGRKQIALNYLKTYLFLDLIPLFCLIEY RIFLLSDTQFGIEIFCFLFKIYEVFKTSNMIEEYLQLEPSRLSKYRFLMVMLTIIWLCHL FACVFFFIGRRELSKEDDSVSWLSESDLIKMHGGYTNLKKHIFELHLYSFYWAVTTMISV GYGDVTPKNAWEVVVTVVTQFISCIVFAYSVNAIWEMINLQNENKQKFQKYVNAIERFMR EHNVDRQLKARIAAYLYHLWESEKARDHELEQAMILKLAPALREELIYQTLGKMLNHNKF FSSFQQDLLIEMAQDIQQQYYSQEEVIFQEREEIDDFPVFFLTKGSVEIYLDSEKRIKLH IMKSGIFGIVAFITGHKRTASARCLTYSVIYKLSRTQFLNRLEKYSKEKQKFFEIRHQVL FNKNQVDLKCYICESKKHLITNCRKTLYIPEKVSIIFETYNIKNPRNPAYQRRVVKQQFK ALSHIREVQVNAVAMKKYFQNITFTQNSSDEDIEYSSDVDDYEEEMEDIKKIVEQEKVNA QLRRRGQWIVDDDYEMKIDEGQDNQSLEQLSDGFRKSQHLIKHSDEEIKKQSNNNKKQKF QNLVRLQKLRNVRTYSMSSLSPIQEQVKKPSLVKKEKTPLMANNVVAQRKKSKLILQNEI QVCDKSQQLQQSNEKLDKVQSPDRHVYNESKKSELIRKMKFLSIAQPKNKEIQSILDELK TYIQNSQNLQGSVAKETGSSLRTEKVDNNIQQVETHSDVDSQFSIDQMANFDAFFEEENP EKVIKKFKKQAKEKQKQRRTTMMRQFV >CAK91107 pep:novel supercontig:GCA_000165425.1:CT868661:200736:202156:1 gene:GSPATT00023835001 transcript:CAK91107 MSQLEPFEHHYSIQYLEVFGCCHTNRHIYSEKMICKDCGIFLNNVKFIINSQPNTKVYKT LKMKYNAFFNPIKVLQKMMVEGQPAGPIKQRQQFIEFILQVSERLNLSINTCFLAIDYID EYFNKATVDENQTYLFVSTALMLAAKAQELDERVPFISKLKRYASMTNHPEISQFSTQDF KSAEKQLIQSLEWKLQRNTLLDRIETLLSFGVIDDDDSLGQQQQKENKDSTHQQHIKLRD LQENQILYYVKEVESKYVEIALQIIKDDQLYFQTDQTILALSCVAYLRKKAGLLNIWSQQ LQSLTGFGAQKISSSVSQIMTLIAKSKSFKTISKLQVNPSDLYYEQVNTPINTLTTVNSN HLLNRQFQFEQKRQSFGDAMNQKVKVHLFQSQSTAHFGDLSKQQLYYNNMNYTTNTNYSF LNDNSIGPSNYINCHKIHHNGELDKKYEQAHKVGVSMFRQMQ >CAK91108 pep:novel supercontig:GCA_000165425.1:CT868661:202350:204995:1 gene:GSPATT00023836001 transcript:CAK91108 MQKFIYSHLQILWNCIQLISLLFNQHIRICCSCYYFLYVIIYKDNICFLKFSIFLIIFIC DVAIKFKLNAKKCFQIFYAINGFFIRQRTSQRYFWLRKLIGFQKFQRKSKIQQEPKKEEK QEQKVEQEERREQNEQVIKEEEEIEEQQQKVEKPQKKKYQFTYDPKSFNLQDENKKEEKQ EKQEKQEQQQEKQEVKEEEQKEEQQQQKQSQKKSPLSDINFEKVFEYFNNPKNRNYIYMF LGVSGLAAFYQISNLEEEITYTDFLKNYLEASQVNSIIIYKNETSKVNQASIITQRGQSK RLMIMNVDNFLENLERFQAEKGLSPEQFIPVTFEAQLDKDKIIDRVLNVLYFGVSVAIIL FFFQQFKGSMGSINKGSGQGGNDVFGFGKSNVKQFGFEQNVKVKFKDVAGLDEAKLEIKE FVDFLKKPRKYKEMGAKLPRGALLAGPPGTGKTMVAKACAGEAGVPFFFVSGSDFVEMFV GVGASRVRDLFKQAKAKSPSIIFIDEIDAVGRKRDAKIGGNDERDNTLNQLLVEMDGFGT DTNVIVLAATNRKELLDPALTRPGRFDRSVDITLPDIEGRKQIFMVHLTPLKLDPSKTMD EYAKRLATLTPGFSGAEIANLCNEAAIMAARANKIYVDSNDFEMASERVMAGLEKKRIIS EEERKTVAYHESGHAVVSWFLQGGHPLLKLTIIPRSKGSLGYAQYLPNESSLESKQELLD RICCILGGRISEEIFFGQITTGAYDDLKKAYEVAHSIVTKFGMSESIGYIGFQEGEFQKP YSDNTNKHIDDEIKKIIDDSTLRTRQLLREKKDFVDKLATSLLDKETLDLQKIIEVLGER PFPPRSNYKAYLEIKKEDSKKASE >CAK91109 pep:novel supercontig:GCA_000165425.1:CT868661:205183:206840:1 gene:GSPATT00023837001 transcript:CAK91109 MKRSLKQKKQSKSSKNSDDITEPHAKLLLERFPYSIKDLQFREVPTMQVNQDFFLDPFKT IEHLYAKGYEKFGIVKLLLPPELIVPEKKFFSDLELKLKGKRVETRVQTLNSLQAGEIFG SNTVGFTLQEYMSYANKFECSHKLQGVREVSNQIRQNEIEFWSIVDFPERYDEVEVEYAA DLLATKYATGFQDGQLGNLSSINKNSNSIFQVLQEKSEMSGISVPWLYLGMKYANFCWHK EDLNLNSLNYMHAGAPKTWYAIPPSHSEKFLQYFNKKYEKERIHNPRLLYDIVCQISPIE LAEQQITVIRTEQHPGELIITLGATYHAGFSHGFNCSEAVNIAPSQWLDEYDRASAEYRM DGNLKKVIFDLNCLRLVFPWNGYQPRLPLWQIKSSLLNNHGSRYVYLCLLFQLYDKFKMM IRTEIDSRNSILALYEQVKTVEFANKLEKYDRNVCKICSNYMFSSYIFCGKCLKKGCIAH QSVCACSNPKISLYIRYNSEELQTMLTTLESKANSKTGL >CAK91110 pep:novel supercontig:GCA_000165425.1:CT868661:207199:207495:1 gene:GSPATT00023838001 transcript:CAK91110 MDAFLAHNHVIILTVAAVNVLLCLMPPYNQTIAKQSHFIDDNDDSIQESTTKVQRQNHKF QTEEEEEEENVGCRKSNQVQESDEQDEVFFRIYDGLKK >CAK91111 pep:novel supercontig:GCA_000165425.1:CT868661:207723:208484:-1 gene:GSPATT00023839001 transcript:CAK91111 MSSNSDYQFLFKVLLIGNSGVGKSCMLMRYSENQFTNNFYNTIGVDFKTKTVAIGENNVK LQIWDTAGQDRFRTITCSYYRGAQGIIIVYDITDRESFENVKTWIAEIDKYASESVNRML VGNKADITERREVSYEEGLELSRQYQFPFYETSAKSSINIEAAFTHITKNILNREIHNSK AVVRKTSNMRLQTRQQQQQQEKKKQEDLCC >CAK91112 pep:novel supercontig:GCA_000165425.1:CT868661:208582:211255:-1 gene:GSPATT00023840001 transcript:CAK91112 MNSKQKAFALLLLFMLSGVQVMTQDLTDEQKKLLEQSQETHEFQAETGRLMDILINSLYT QKEIFLRELISNAADALDKLRFLSVRNPEILGDKTELAIRIEINTEEKSVSVTDSGIGMT KNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVGFYSCFLAGQKVTVASKNSDDDQY IWESQAAHSFAVSKDPRGNTFGSWYLNAVEFAEESTIRELIKKYSEFINFPIYLKVTREV SKQVEEESEQQQDQQDQQDQTDDDEVKVKDDDDDDADTKKKATKTIKEKVSEWVQVNENK AIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYVPKRAPSDMFD NYYGKQTTNLKLYVRRVLISEEFEDILPRYLSFVKGVIDSDELPLNVNRETLQQLKMLKV ISRKIVKKILELFQDAASYDDEDEEDTEEGEEDDNMAETTPEEQQRLKDEKRKKKIDEYN EFWKEYGKNIKLGVIEDSSNRQKLAELTSMLCNDDKLIWIINGIISIYQSSDLTQHISNP TLSIYQILYLIFYFRWYSSKNATELTSFDDYIERSKPGQDSIYYLAGENKEQLLSSPIIQ GLIKKGYEVLLLEDPVDEFTFQHLNEYKQKKLTNVGKGDFKQPEDNDEQRKKQKALKKVF QPLTDWWRKLLSENVDSVVISQRLIEDPIIVVSSESGYSANMERISKAQAYSSKGSNSQQ FGKKIVEINPNHQAIQELLQRVKDDPDQETEEMAKVLYEAALVNSGYSIPSPEKFASRFY KLFNSALGIDRDAPIKEFEVEIEEEPEASSEPHIDQDGTKWEKVNTDDAKWETVSDDKRD DL >CAK91113 pep:novel supercontig:GCA_000165425.1:CT868661:211439:211855:1 gene:GSPATT00023841001 transcript:CAK91113 MQAFLHFNCLNQKEFLKQNQLILIICNLEKKKCAHKLLNTIKCDIPINFKDWNCNKQLSY NYIEYSLYIYNNYIKILLIYVSFLFALYSYLSNQLLSYFMFFIKLIVQFRNQFTTKFYYF YNISKYIYTLAQQNSKKL >CAK91114 pep:novel supercontig:GCA_000165425.1:CT868661:211899:214178:1 gene:GSPATT00023842001 transcript:CAK91114 MYPFRATKSNSQESFFVLLVDGTTSMNAHYPIFLKCYHNVCSSIKHKLAFQFGNQKEGSD VVLYDINKFQDHHQSNFNKVFKQLFDILLTQHKDKKYLTICFISDGVEHFVYEEFLPLVD QITSTFKIQFASIAVGKNFPTSISNKFRERLHNNGNLDFPSIYEVMDQSDLTIYLDDNDN IAIQPEQQQKLTQQFTDALEGLKRQLIVFTEQIELNEIVYTSFLDIQDQKTKIVRCNQLF LSPNPNVQTTKNEIIQSSSNVEDLIQIQQGSVQQLLIHLQANDKIQEGKAEFQKLLDISK QSQKLFIKTNQQMNPQADLQEIADNPKLDLINKIIDQCAYTDYLASIKDNENRYAQLQAN LEKNPQLCIDKNFQTQYREIKIEQILNSVQPMQQVEIEQEVQIKQQNKINTYEINFNSKL IILVDSFTLQKSLQEEILKAKQTVYNQQVQDNTQTFWWADTIQENPELSVRSQQLPLNQA ILQILRIIEEDQSGVKNFTICLIISGEHQIDFKFLSQKQQQIQQQNKLIAFTTMIIENEQ LSIDKKIEQQRLSLELRRQLHTNNLTHSLQVSARVKIQEFPQYLNLYFTMINGYQSKFYG IRRKGCFVRCMIKQKNAMFLLNQFISDIEESRQQIIEDSDFILNLQKIKQLYYKRMNNYK EQEFPDEKYCLAKLNSIFQCIDKINLQNCCDFLDQIFHIKLGFHDKNQKDFDLNQLNQAR KIMQYKKKSNLLQVTITILVFGAIYLIFKGYKISLQNVL >CAK91115 pep:novel supercontig:GCA_000165425.1:CT868661:214211:215957:-1 gene:GSPATT00023843001 transcript:CAK91115 MDCEQNDNIILLEEKYEIDRNKQIGRGSYGVVYECRDRTKPNSDQKLCAKITQILQHDKK QSREFEVMSKIKTVAIGNPNIIQVIDVLVHEEKIVIIQERCQCDLECLIKQKRKDKKRFT PKEALEIIKQLSYGYKVLIAENIIHRDLKPGNILFLNDTYKIADFGFAREAHPYGDHTKL GTPGYYSPQVVWSSSYTNQADIFSLGVIFYKLIFDNIPFQVGDDKIIKNSLFNLKNNPIR VSRDLPEFQADDSIPELIERMLLYYEEDRISWSQFFQHKLIVQSYASQPTNFPQRMLTPD TDIEQEPVKEKTSSIKHNIQVFDNAKFLPQISKSIQEACEQNVFQAPNLFQSQQQQYTIM LCQHYEIGILIAYVEYEVYQNKNYLISITHYQYLFLRRILCNLAFIFLNSAKNGQLPFIN FKQECEKFFTAQQVVVIFNFKTLKKEFQANKLPYNDEKLDIQNQDKFIEDLKQFMKQPGL KYNSKNIYYFRYQRLLGQYIHYISQQKQATKPYIRLFWKLSKSMTIQVQQLII >CAK91116 pep:novel supercontig:GCA_000165425.1:CT868661:216057:218484:-1 gene:GSPATT00023844001 transcript:CAK91116 MNKPRFLLQFALKEAKHQEDKRIELEAMNNVEKEIQQFENSEQIDKIISVWKLKPLKIIQ KIAIFITKMKHYSPIYRFKILNKNIFYLIRDRTSSFQYYLYSGLLENKPTRVGQMKYEGK LANALCNQTVLLPADKFLFVWDVILMFVTIMNILYVPLQLSFDLSREEIGNAYLLFSTLP SCIFLVELVLNFFKGYYVRGILHTSKRDIFWHYVKGEFIIDLTVVLPFILSWFGYSFANY LMLIRMTKVRRTMVVIEEISNFKEKTAVIYSLFCLIYSLLLISHFCACLFHYFAILEVDN GYTHTWLHQQGIYEADAYVKYFTSLYWVTITSMTVGYGDIVPVTTPEKILVTFLTFLVVG TFGYALGMIQSIFYKLAEQQNLNNAKLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFKQE EELDLDELMGQLNPKLKQEVQIAINLNCQGQISLMTSLRNFAFAFMKELMPLKNLSQRRM TILINYTLCYREESSQYYQTGQLKDTQVGKLVCEREFFFQDYMQFDMVAQTFVQVAYINQ TDFLNILQNDNAQYEKLQYLLWIEAQFGDNNNQIICEACSSHHQFKHCPLVFFRKNKNKV ISIYNSSVDHERHTFIRQRKKTRINSQLIKERALDQILEQNKTLAAVDSKFLIKLGIQRN DEDESSYPDQTVVQHSQQGSLNQPDQRLIIKGRGMRQVSHRSLLVPSGNNAQETQEDNQT YIFNAETNVDKVEEYDYYYPHYNITKVIKLINNYNIYSRVLEKIRGHKNRFAQYIARQIM YKIL >CAK91117 pep:novel supercontig:GCA_000165425.1:CT868661:218967:227557:1 gene:GSPATT00023845001 transcript:CAK91117 MEEEQQILKYGDNIILRCMVDNKIYYLLARNEQYLMETRLQLGNSCTFNMYNFPQHMVFT VFPKLLYEAQKKYNSDQQFGASLDFQKQLLYTRMETEKKYNQNLLKQTKGQKIMYGDTIM LYQPLSQVFLLRAENRPSNCHPDDIKPLQFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXIAFCLFTEFRKKEGIEGIIDHIFKQNKNSIFSQYIFDGNFQSVLREKLQDFSNTIRKT MRIQPLKLERSSNDRWRQSPKQITTTRLYHILCEVGFRNFQIIPSLDSQGNQNLIPLLHC SLNNPRQQHFFINKIILFRVVSKFIYGQTLKAFANGPIPLIFENGCEIEQRKRIPVTLQK RRKKISDVYQKVAKKYLVESDKTVDFWLYLSDFISNFWKTKSIYEIKGNDHVYIKQLILT FTEFLKKGLFIEYLSNAHNFYNMYHKFIEFLKNSVVSATLEEKYIKHQLQGNKKSKEQKP LEVKDYLFESTVTQRKVSRTTTYAKSMIWLDELIEILILLEQFQQSRMADQFIITEDNQT IFDDLQYLQKFAKDKKYADVVQRLRQAKRASYHTRGQPSQSQLGQKDIFTTQELATMLRE CSYARKTVILEYMGGIKQTDISLVYFLIELLTLNQIRLSAKVTELLWLIYSSRSNFLQTL NQTILIEAFDQGELQLLVNQNIFTDFSNIYWKLHFAIIDLKSSLEKDSSKHYETINDALS DMLVIFFPDYAAKSDMQMFMEINQLENEEKHYQAQEEKMVKSKVKQEVAIIEQFVSKAEL DLIDVSAQSPMRDKQEEQLQSLKSATMDQQQKYVWKINNSLSIDVLTIFYRQKLLRYLGF HDLMQKLVENLIQETSTLFREKDVLVSNANKKGWEIKSDILEKSILFLIYFVIRNEENQE MILNLSKRKDSFLHEMSNVKRKQMQTLIYILFAELFRDNYNILFSLDKYNDENSILAKLF SIFGNNFANKNYEAAIYFLQFLQILLKVKESDVVQNNYVIVHLFQSTKQLTTFPKDIGSL IQTIYLFRLEQFFTGNHAPAPQAQHNQQKHPQFNGFIEMPIEILFMTELLRLMRFICSKR SVLINEFVKKLFPVNRVAEMLKQLDEWYPLKSELILYFQSVFLENLQFITFEEKQEVVKT ITEVIFKQMTVSFVENQNLKKMANAKLVNSVVFNIFGIRNQYTNQEFIMSILHEKASFIY VIYGVLEVTKNFVKKVCPKYFFKDEQEYFEEFVQNELLFMAQTISETIQRVYEFASDMHN GGSGGRMKNSNIKRKSGQKTTKLYNLTHKLLSCNQEEQNQELSILAKEDNEVDKDNIIRI IDTIQSVQTLDIAFQLQRNDLQQGEQGILDKWTNSIKSQRTKLIDEHLVASERENEIQQL VNLIVTLKRDDTTKFKRMVTALIQMLSETSVSPSIKLKSINIVRKLSNYKEDLHITKSIL KDLGELGFTDFLCDLIKLEGDSEQKLEYIKAFVDYIDDSSREIQNSFLLYLQRDPQNMFI NNMQNFITDMFQDVRMAEISKIERKNRNINRILNNKINGCILIFEMFRLGCEDHFSDMQN FLRIQPNSKQPINFIMFTADLFEKYVEIMNEHNAALGQKILDFLIECVQGPCLENQTELC QSTKILEALEQIIVQQRVEKEMFQRRDIVFSSLRSKVFLLQLSLMEGNSDQEIARKISHY FNPEIFFERVYLVYWKIIKKIRNTQMQINLEVKVLDRVKSEAEEAINHHGVIQTKDTKET LPNQNLFMEFFSENFHSARSHQHLKVSSQTFVNAIQEEDMEEMVGETFMMYLLLKKLTRY IPRIKDIESNPKLRRALNYYKNETASIEIVNMNGKLEKIYFQVPTLMKYLTEETKLQFME EVERDSINDKINGLLDNMDYFYMEMVHFMKLRKIGIRFNNNFIVYLRNVCIILALLLNIL ITINDTEEKLANLAKAIAILDCILYGLIMLIWIFIRMQLEYDRIYKQVSDLIQLNYNKKS NQGQSQQVNPKTVIYPFQITLLQTFHIIKRMLFSSYTFYWFLLLVVNLLGLLYNKLFYGF LLLDIIDHSQVLRNVIKSISLNYKQLLMTALLGVLIMYLYDVCNLAYQSDDFKQQLKENN NNGGDEASDHFDSTVCYSAFQCLVFVIHQGLRAGGGIGDVLEAPPTHSNQDYYSQRVLYD VSFFILINIIWLNIIFGIIIDTFAELRDQKNQKDFDSQNRCYICDLTRTVFEKEGISFDN HVNKYHNPWNYLAYLIYLKVKQKTEHTGTESYVYSKFLQNDISWLPIQKAIDLELIVEQQ PKQTVDFNVEEHNQKSLH >CAK91118 pep:novel supercontig:GCA_000165425.1:CT868661:227597:228804:-1 gene:GSPATT00023846001 transcript:CAK91118 MEQIREYFIPIKEISQNLCCKICTYVAISAVECQTCEQLYCEDCAKLWQRKKDQCPDCKG EFKVKQPHRLIREELSKLAFSCKNDAQGCKAPILMNDVLRHAEECQFKNVKCPCGWSGPQ LKQKQHEQTCQQFATKQCNICKEDIKLVKYQTHNCFLELKQQFEKITEKFYEYKETSEYS IKELKNHASKESNELQSVKQQIKGIVQENNELRKQMTDLTQVLKNQEQQFKQVIESQQQQ QQQQQQGPFLTQGKLVESRQFQCAKSHWLQYWMNPNGEEKTKKCFRCQKTQVNCRYCCPL CCFFVCLKCQEPELTKNPHENSVLCPARHKVTKKIMGLKCTVCDKTSVQMKQPGGADCTE CDFAICFECLENERYKSRVQQCPVQ >CAK91119 pep:novel supercontig:GCA_000165425.1:CT868661:229031:229324:1 gene:GSPATT00023847001 transcript:CAK91119 MSLSEEDSFKDLLLKQQEIIDQQDLQIRLKNQEIFTLYQQIQDCQNRLVDTQEMVLQIAT QVDDIKESSIEKQEKYRKEFLSFPKRQKGNKKFFSII >CAK91120 pep:novel supercontig:GCA_000165425.1:CT868661:229516:229677:1 gene:GSPATT00023848001 transcript:CAK91120 MLSVGNTIFYRSKKKQAYADTKKRTLLTKRRTYDLIEYLKLMEGFQLYQRILL >CAK91121 pep:novel supercontig:GCA_000165425.1:CT868661:229788:229986:1 gene:GSPATT00023849001 transcript:CAK91121 MKLVVSIWRGTQRTLKNSHEIQINPSSILFQEKPEWVIYYKLVLATKEYMRNALE >CAK91122 pep:novel supercontig:GCA_000165425.1:CT868661:230063:230915:-1 gene:GSPATT00023850001 transcript:CAK91122 MWKLLLVALSIAQFRAQEDELSEEESEAQFQQQDQQQNYYQQQQYGCIILTKYHLQERSQ ELMSLFKSIANEQDKRNAYEKLQAQLVMQCIQKIQYQQLADLFNQLRQQTFKYQDFQDVF MMTDLSLEDDFTLTDQEKILSQEIEKFDKNMQEQQKKYKQEQGYDDDDDEEVDPRRRQQP QGYKLFGVDLAKLSSTTQAIIFVGLISLFSVILFLGLRFVQQNTQGPVKRAKKDKKVKQK >CAK91123 pep:novel supercontig:GCA_000165425.1:CT868661:230968:233001:-1 gene:GSPATT00023851001 transcript:CAK91123 MQQPAEELDQLIQTLNNQSTIPFLQQALTQDQLLAQNARLLQQLHEQQRMLNLQSLFTQS VLQNPFMNQQITQESKKIKKTKSTLQRIQSNASLKEQAPQLQEIKGNVKNAYIKKITSLL SVEGEKLLDEELQEDLQGESSSEEVSKEQKFGLAGLLGSANDLLSAESKNKRLRQSAKNS RLRKKVYLKLLEKKVSDLDQKIQEYKKTTRQSFEYLTQLLSSHPILNSMIIANSNAIDQI IQCKQPDQAQLILDSYLMRYGTCGIKRRDYLKYAVKNIQRNFLKGNYGLQLISFNTDHKN YDDEFNNYVEIIKKQTKLDDENLIYKKDIIIVFTSIIHRLLLKFNTEMKKLKSVQQEVEE NVNQFTQQLTPIQQVELIKGVEKLTTFNRSLIIRLFYNDKIYINFQQKQQSKMELLNHQE KELLSKYNKYLSNSKTLEPTKYSDIFIGKHEIDSGQLRDEIKFQNTKMGVKSSSATKRNE FKNIMSELRSAQVLKRENENKNQSSVLIQENSRQKQQQFDQSQMFLIRECLDKPEKQVRQ ISSISQDNLEQKNIQEKLKCRQSTFDIQRQFNEKLLQQLETLIKKKKIDSKFLFSMIQKH IEECPQFGKRVKEDIKELLQQLL >CAK91124 pep:novel supercontig:GCA_000165425.1:CT868661:233278:233928:-1 gene:GSPATT00023852001 transcript:CAK91124 MKEHQGQIGGEFQPIKQKYFLHEKEQMRKDPFVESLQEYFYIFRRRIILHILLCILIAQQ LIAFFFLALMIPSIILQADFIEIDVSDRGGIIKMEQTWNPPISIQMFSKSDILLLDVQLY LDGKQIEEFTIYERLYINTQYNLTSNTNYSFSNLIFQ >CAK91125 pep:novel supercontig:GCA_000165425.1:CT868661:234400:235294:-1 gene:GSPATT00023853001 transcript:CAK91125 MINKDSRNGMFISSSNGSSKDEDLFVPKDNEQREDKKQEFLQAQTQSQLSSSELIGDVPV PRLTLFVSQMSLDENQGYYKKQMSLEALSPTKYSPQQKQENQIRQDAQEIKQLEIQEIKQ VDIQEVQNVQQIQTRRKVNKANYNVDNSPVEEDEMKPCHCTKTHCLQLYCSCFHNRRQCM SECKCNDCYNDGQHEEDVLKAVEQIKMKEQRASHHDLDSFDTKQVWGCKCKKTKCVKGYC ECFIRGKKCTSHCQCTECENKRQPQKKQKNNQQSNQVNKKIKKKTSVQF >CAK91126 pep:novel supercontig:GCA_000165425.1:CT868661:235581:236709:1 gene:GSPATT00023854001 transcript:CAK91126 MQQVETQYCYYSNFNLFESTSQLLKKDKFVKSLLQTLGEEWRIYAGRAITIELDGQINHL AWQFCFENLLFAWLENEDSQYEEFYDLSIQRISPYNIDAVYFRPVFDGFFYSAQQLWQKM RLSRPPSQESFKSLDQIKQEDIKSMSNILKPLVIVSERIINYFRKEEQAQPQTIECPQYQ QSSWKQISKLTEDSLPLDLVENAYIYVSQFIQKTYKNCQVAKSFIVNIIELNHDVLSKFQ ISNQQIKIRIMQPSCLMYDNLLSIWTLANSGVQPQYFDLIGRAKSLLGNNWKDNYQQPVE VFFQLMTKLYGNIILNPQIKSEDQLNHLLKNMRIQMAQLWEQEIVNSTLEKQ >CAK91127 pep:novel supercontig:GCA_000165425.1:CT868661:236715:238467:1 gene:GSPATT00023855001 transcript:CAK91127 MIDPQLMEKWKTELFQDQALNKLFDQHLTLEEFVLLILKNYNMVQLGDIFQKLTSINQSI SMQLDHYIKNNYELLIEDLKDKSQQCDNDVIIEALQKIKFIQQRLQDNYVTKYNKIIKLL PIKQNSELALQKCKQLQKFSVQLKLLRSLAQQQNNSINITDAQRVSNALFEIDRLPIKQF QFYKNNQTYIKQVEETLQIKVTRKFEDSLSAKSVPDLTQCLGIFYSLGRLSEILELKCNQ VLKQISEQYKILFSNSKSFLPDYRNQIKNELNQMILIWLIYQAMNQTDQYHLINYQEELK DQFPHLFLMVWKKYTDVIQQSIQIIIDNKQKYESTYNNLVHFYPIIKDIYYDQLIMFSEQ ILIFPSSILLSLNQNEIQGQLMSSLNVLRPLHQMQFILLLKEQITNLANDVYVQEKGQPY VEKALNALTSFIDFVKLEFEQIKYDGQSFKFMTQKLLHEYNSLIESFQGMTYTNECLAVL SVSMPYFWKQLLDHPLNHELEMSELLVKKEGLKQIDSNLQILFDQYLQRRKITQDLINAL LKN >CAK91128 pep:novel supercontig:GCA_000165425.1:CT868661:238507:239364:1 gene:GSPATT00023856001 transcript:CAK91128 MLRTIQSFSLDNDVKPTFLPKKKSHYYLKSLIKNRNLRPKSQEADDSNQQIINEKIGPSR RKSCYCNLCGFTSKKQQRFMDLKLIKKPTMIKKPPIHSDRRSTFNLQSANRSVFYRRNTQ KKEEKADLPKSPAYDLGESKNTDWYVRQIIKRNSRINVQKFVDNSFSKNSQQKSQSNKIN IDDLINVKSQQTIHLPYSTNSPSVRIRTIGAFDQDNTTTSPKCKDFPKFSPYLNFRQLNT NLLAPIKYKSNISMSRKAKKNIFSYSKN >CAK91129 pep:novel supercontig:GCA_000165425.1:CT868661:239812:240961:-1 gene:GSPATT00023857001 transcript:CAK91129 MANNPAPQNLFGSKPPQNNMLFSAAPAQQQPSNIFGNPQPTQQNTMLFGQPNNQTQPMMG GFGQNPILGQPTQNQGIFGQPQPAAPNLFGNTQTGFGQTNLFSTNPQPQQPGLMQNGLQY QQQNQIQTFINDSNAFKDKYNLVTQKITETEKSFANQPAQNQQWQQMIQPISQIVFQMDT KSVFDTSEQILAGQKDLSKNLNEYISTVGLVQKITEDGLEVLKKEEAQCNDYQKAQQTMK ESYDQLSGTVRINQQFSVPQKYQEQLILQQHEQLELLTNTVDKMIKYYEKQPNMDVDEAL QYKLMHLQTLSNYQCMLSSRIRNIQEKISTFQAKTYSQIMPDKKIEEFYTIDTHKDMLDQ IIVEKI >CAK91130 pep:novel supercontig:GCA_000165425.1:CT868661:241030:241628:-1 gene:GSPATT00023858001 transcript:CAK91130 MELRFLSVGQSQGEDFDDGMIDKISKVKIQYNKQNIVAIALFYDKRQMYKFHNFCCNAVS KFYFYVQEEEFIIEKDDCKYIAYLDLQQVSGTFDGRYITSLQFATYNGQSAHFKASCLGN QFYIENFGDTFSSCSGSFDTNGLTSLSFKVIPLNSTDQERIQNTILYLSYGSHYPQQYIP QFSQFLNYPQN >CAK91131 pep:novel supercontig:GCA_000165425.1:CT868661:241672:243169:-1 gene:GSPATT00023859001 transcript:CAK91131 MNLYLEQAAEELEQAISDADQLLALTKATLQKFTNYLKRKQQEKMERRFHLNNITEDSNS MKQLDKQSYNQLKILEYFNKFHKDVDKQLRDLKELIPSNPQTYVIEELKQQEQINKHIDL KAPPKPIQQEQKFYPLFKQTKNTGSSVQNSFIQNQLTLGQSQTQSQLQLQQTQNQTQFQT QQNQNTQKENYQQKVSTQVQNQNGNLLEQQTETIKPILKQRQEIKESTITFGRLQKFQYQ KLIYPKQNEGNCVFCSLEANDQNLGPLLLIPSNSNQNEKYQLHEMCGLWSQNLVFFSNLE QCDPKNIDDEVEKSKKTKCFLCSRSGATICCAVCPQSFHFTCLMKSSQTGKLIENQFKFF CDKHKYKARLEVQNTSDEEQQPKKKKKVQSKLNTSKSIIKSSPKKGKSPSSQKALLQQCL QSKDDDLKRQWITSDLIYFNLPQWASIEQKLSDLDQSLLQNKLNEAKHSIQSIQQEIKQE QIN >CAK91132 pep:novel supercontig:GCA_000165425.1:CT868661:243601:244128:-1 gene:GSPATT00023860001 transcript:CAK91132 MNIFICPNQNEFSNNGSSEIEKLQEKKELRPRNNLVPGAFQEYKRTYVKVPSNSKEQLAQ LVFKEGFKIKDAAKRLSIKYETAKTIIFHIRKGNIKIKKAKPKKCRYTQISENRILKYKI ISTLSSRLVSSKEFIIQFQPSVDTQEFKMI >CAK91133 pep:novel supercontig:GCA_000165425.1:CT868661:245052:247020:1 gene:GSPATT00023861001 transcript:CAK91133 MDMDLLSFTCIRPHTFFSKTYYLKVMDDEVLISAFSNYQNPKYRIELKLTTQILWKMGKQ QLKAFGIVYQNKIKYFEASQMHLESLKNALGGRVIYRNMASFYEPVKLIGEGLSAKVFQS IDKKSKNAVAVKMIKQEFGREDQALDIVKTEVSILKQLNHQNIIKVLEVYENDQTFWIVQ EFVAGTPLSETLKQKLPSEQIKTIMIGLLNTISYLQSQKIVHRDIKPENIIIQKDNSIKV IDFGFAANLKFGSVSSVCGTPGYYAPEVLRQKESSYNSDMFSVGVVLFNLQGIPKKLLEQ QTNRCLNLRYEEAADLLKRMLEVDPTKRITATQALEHPYFKDKMEKTSYEKQDSHYLRKI KLYNYNSSQIINNISRRKAIKVWMLKLRMLLINEFINYHFLLFLCSILLSLNNFQFKQIL FNLFIELMDILIYSSSLHNAQQSNQSIRIQTIGTDQRSNSLHFKNINVILKELNKTHTRR KSCYCTECGCMGRFQFENMNTRQFFENQKSNQIVNTKSALTLTLPKQEIFFQRKRTVRKT DKKTTIIDSSTTGDKIDEQKTQYFNFNTQSRSSLLSNILGKRDLQIKQINKISRPQAQYD WSKK >CAK91134 pep:novel supercontig:GCA_000165425.1:CT868661:247454:248305:-1 gene:GSPATT00023862001 transcript:CAK91134 MAVPSQVYNLGNACKRFLFSAEVIGMLTLTIFENELTIPIGIRLLIETIAAGYFYLQCSD SAGEPVVEQEDHELSQLSFSGLRGDYEQFESQTPDRNECQECKIIQSYRTKHCSKCQKCI PKYDHHCFWIGGCVGELNHRMYWLFLFFQCLLCFDGMFQFKKQFPYYSTYDEEFGHDEYQ YQYFIILLTAATSFGFGIFTGALLLYHTMLILTGKTTWEHTKRDKISYLNFYPRYYHPYN FGFIENIKITFFHKGLQSHWIPPSKDQIKEQCNIFDNKYYSCC >CAK91135 pep:novel supercontig:GCA_000165425.1:CT868661:248324:248804:1 gene:GSPATT00023863001 transcript:CAK91135 MAQEPNPFKHVTFMDTNCAAKAAMVTVAGGAMGFLMALFMNAVEMRDMDYGRTKQSTRYV LRRDINKMFGMAKGFAIFGAFYSIFECQLEKLRIRDDATNSFLSCMFSSMVLAAESVGWK GLMMSGLGGGMFGGVIL >CAK91136 pep:novel supercontig:GCA_000165425.1:CT868661:248830:249456:1 gene:GSPATT00023864001 transcript:CAK91136 MKKQFQFQKKNKEWQQEFQDVDDQAVLEKIELPPSEDEEQPVQQEQQQIQQKSQEDGDEL EIVEEKEEVVEQSNQKQKKIEWLDSDIEGVDEIDDEEEMIEDADEVDHDQKTIFVQGLPL KISDKEIVDFFSEYGTIVSIEIPRNEGSQFTKRRAFVEYHTVSEAQSVAMLDLELKGCQL KIRIGIQQNELNNNNKQNNRSRSRSQSK >CAK91137 pep:novel supercontig:GCA_000165425.1:CT868661:249534:249776:1 gene:GSPATT00023865001 transcript:CAK91137 MDFLGIKNNKFVKNKELNKEYEEMLMVEDHILQSDNETNIIVPESPDFKFIQTIKRRRIR >CAK91138 pep:novel supercontig:GCA_000165425.1:CT868661:250106:251854:-1 gene:GSPATT00023866001 transcript:CAK91138 MGNSGIRKLWFLDSPVETPFKSIHEINVIDINKSEESLSQYKGQKVVIVNVAIDSPELND QLNYLKSLPYQVLLFPKCDHKFTYQQIADKLQGFKVYQKVELNGFYTHPLYKFLKRQIPQ LYDEKLANGRQIKQDFCKFLISEEGQPIKNYELFMYRHLYRNPTILSKILIKEYTNLKSE ELAFRYAALAKLRTQELDFEALHQLDPIEHWDISKMSLLPQIFTLLANLHRSTRSDCLIQ YPQIEAVNRITALLDPNMFPVQDLSLKLHTSDSVTIWDKPKTQRVTQNLGFKLNLRESTI DHSDAGEGVFLETLPANKRLVPAGTLLGFVPGLIYDNYKSYSEKTPPDMHFFRFDGPIFD FTSRIYYPYVPGYGFDEYEQKISDIKELADRSSRSYRNKSKGGYELVKGEDINPYALGHK INHTPRNKSTNVSLIDIFVPQTFFPEELMKFWPTQYFSTTQDFLQTKSSLDQHYKNHIRG LGFISVTEIRDGEELFLDYLESCLFNMEIESPDWLIKPPPMHPQICKCNMRQESLLMMLL EDYAFSKTKDGQNFDKFYKSVLRENHQYL >CAK91139 pep:novel supercontig:GCA_000165425.1:CT868661:251933:252871:1 gene:GSPATT00023867001 transcript:CAK91139 MDDKLQELFQKTLIENTNVNIQKIVQGQARVIQQNAQPLSQKNEAISIKDVQKKLEAIEK NLMIQDSARKRRTSRYHSKRSSIKINTQNGSNSPSPYQLDIEDAKDYEADILRKENQRLK ERLEQLRSYNDDDNSDDLNRERENLQKMKLEFQSKDEEFKILHNKLDLLEIDLNKKHSQL LLQSKKLEVQQQTLQKNEELIKEKRDCIDALQQQINLNISQVISLNNNELKQKLPQKLGV QLDVVEQLILSTFKERQVAQQEYLCLRQLKNVIKDQQKEYLKKIQI >CAK91140 pep:novel supercontig:GCA_000165425.1:CT868661:252880:256144:-1 gene:GSPATT00023868001 transcript:CAK91140 MKDDYSELTTLGTSSSSAYRKLVFLQKSDVSELYTDLTNDRNAQQKNKKQLFQKRFQCVQ ERNESFEAQDQLLKMEINDWQGFLEKEHNAIQQINNEDITKIEIKSPLTLKMKTSPSVVS PTNDRSNYFYKMKLGNKQLSVISTQDFLKTVRYSQKFEDYSKLYKQQPHNLYLSLNFSQP QRVPKTFGLIQNTRSLVTANASRSIKNSEDGNAFSNAMLTQQSRQIQAMQLNHNQFTPKQ LRDILLTFPITLKDLELQNCKSNHMHMEILMSFAGKNQIYKLNLECNNIRDLGSQIIMKH LLNNNTSQSLNLHHNQITECSSTAISNLLKQTQRLLELYLGYNYLNASAGTTIWKAMYKN TSVKILDLSHNNIASLECAQSINKAVSRPYNELLHVDLSFNKFTYPQAQIIADALQKNET IYGFHFEGNQAELCVNPNGFLINNIVYHSQLKDKLTQLYKQPQYFKLLEEAKVEQTHMRR EESLVMPFSRSRKIRSTKLNVQKVNEINKLDTCWICEGWQEIKFEWTAHKSGSLYNEPIF IHFDFEDYRPLLMTFLNNEFFFIKMCPPNKEIHYFFTNPILGVQQPAMDQNIKNMQIQSI PFLYNDEILVDGNIMDQVNVLRTTNKQQLFDKYMPMVQCKPREPMAKFDFSPYLNIKKHR WSVESSIFKHFQPDTPQLIDECFEFDFQNSKVTRLVKETELQEIKENLKILYRQLFHVYK YHASGSLAAPIPCIMIQDYIDFLVQASLMDGYKTNDIDISFTSTTAAKDVPFPQAFDKGL VRCQLLEIMIRMCNDKYIRQGICTTMTEAITLVTKQAQDYFAKFDQAQMWRKSRLWNQKC DIILNDRLAMLKSLFKYICKLSKKDKQVCKYDFISVQDFKDWIVQSKLICDDLSERECYL IYLQSMITQKDELFSSKHYLMNFHEFIESVGRLAERLSIIRGDKPIDIDDRRSKELTSKL DGFLLYVYLVIGNDMKQALPPNDTDIRGVDKCMINDFKSLKQKLEDSFTDGDEPPYDPRV ELPHLSSQITNLLGNTIGGKKQTLRQQLKQVKREEQKFSPINFVQYFKSIQQVHVDHDD >CAK91141 pep:novel supercontig:GCA_000165425.1:CT868661:256185:257556:-1 gene:GSPATT00023869001 transcript:CAK91141 MNKKQAFWSYEISIFKNYQPDNDELIDECFEYDFQTSKINRIVRDPQELMEVKEILREYY PYIFASFKFYASTLIGASIPCISSNAFFDFIGQTTVLTDKFRPGDIDLNFISTSNVKDIQ YPNVYEKALVRYQFMEVLVRIALDKYTRTGICKSMKLSVLKLFEDDLVKARLQEIDRSQD WRDMRLWNEQSDMLIKDRLPMLKLLFKYTSKLNPKQKFYKHTWIQFKDFRDLMLRCDLYC DIFVERDAYLAYLLSMQTQVDELYSLRHFQMEFYEFIEALCRCAEKLSLIRTKDILSIDD RRQEPLHKKIDAFLLLIYLRAGDAIKQQLKEAEDFTDFDKCMTRKQKTLQQQIEEMGSEE EDKPYNPAVEASSLEEQLKQVPVQTIQTGLKLKKVLSFFSVIKQMQQQKQLKSNFLLTNV VQYFKQKEEEMLQNEMEQA >CAK91142 pep:novel supercontig:GCA_000165425.1:CT868661:257657:259896:-1 gene:GSPATT00023870001 transcript:CAK91142 MLFEKLKIYNTPAKLLEKLREVRKQQEQEEQQGSQIKVPESQKIVRQSSQRSTWTSLNQK SPIVKSQLDIVKMRMPSTKMNSCTRITEIPIRQRIQMHTARINETEELKEMQQNLRMETM YDLYQGDQKLIDQLNEEAQVLSQRLAIKEEISPVRPFNRKHHQPNQTQRPQRRGATVIAP TKLEDLKRQQPYLYEDRQDLPYDDLSDRGKFLKNYRLGNKNLQKINQKDFRSTAKNFKKL EEYAQFYKQQPNIYLQLNKSSQVSVYNIFQSGLGLINSNYTIQTAESQGMLRNESKIRVF TEALQSPQCKQITQLKLSHNQLTSPKIKSLVDNFPQQIQDLDLNNNGLDSKACETLAKYM QKSRVKKLSLENNKIGDLGANYLFVALQDNDYLTLLNLSRNNLTDNCTNDLSNYLKKSNV LFELYLHFNSINNKGGVNIWKALYKNSSVKVFDISYNRTASFECAQQMAKVIAKPYPELM HIDVSHNGFDELSSIEIMKALNVNQNIYGFHYEGNCPKFAVDATGHLRDTQQEELMRQKK IEECRKNPQTSLRLLDDDVPVQPKKIVQKKEEIHQLHRFRRIWGMKPVKQNQQLDKQRDS CWICEGWEEVKFTWSPGKSGGMNNDPIFLHVNFDGYRPVLMNPHQGEYHLYRMCPPNQRI LYFFSNPILGIQTTAKNQMIMQTPQNAPYLSRFIRIPLQWRHLNRRQQNDFCE >CAK91143 pep:novel supercontig:GCA_000165425.1:CT868661:259907:261423:1 gene:GSPATT00023871001 transcript:CAK91143 MKQIGHYTYDEINCLGQGAYGKVYEGLNTLNNETVAIKKLDLILFEKDKIIQVQEIEIMS KLNHKNIVKFVELLATKKSLFIVTEMCRSGDLKSLIASKNISEQQAIDIMLQILEGFKEL IKSGVIHRDMKPANVLNDMGTVKIADFGFAKYVENYSSQLLKSCVGSPLYMAPQVLERHH YSTKCDIWSLGVIFYEMLHFDVPWKGRDEEDLLHNIKTQPLQFKNDLSKFSKQFLTITLV IEEENRASWNQIFDLSHTSELQKSVSAEDINEESIQKLPTWIQRPSISQRQDKKVDEQKY QQIEELQSLRKQIAYQHFIMTECYTEQINNENNGELQQESIKQLLNYINENIVKESYDLI QASVDLYQKMQQKNLLWKMQEQLNAEHNYYMQMQIDAKKLFALNQYQGKPDDKQINEAKI QLQKCKSSNAASMLLDLINNSNWKK >CAK91144 pep:novel supercontig:GCA_000165425.1:CT868661:261804:262786:1 gene:GSPATT00023872001 transcript:CAK91144 MNNIIEFIKKNGNPFETLELDMTADKKEIKSQYKDLARKYHPDKNLNTKEIFIKIQKAYE FIVNNLADIHKYVEHQKFRQQENSKMSNEQKQYAEDLKRREQFAEKQRQQDEVVKQMKVN EEMTRLEEQRKFEENEKKQKQEKLIQFELQNQDLYKRLNTIKIKWAKDQLYTSDLLSLLF KNYGAIQEIKIKDNKRKATITFHTTEAASNAVIQSNSGFLKVKHFMKEEKRQQVQKQLQT EKEQINRNDQNVYNLSTDTLNRISHFYNKDSKIKEGLDELKREQERLKLINQIYEEELNK >CAK91145 pep:novel supercontig:GCA_000165425.1:CT868661:262893:266434:1 gene:GSPATT00023873001 transcript:CAK91145 MDQQRFQISYPQLQSMFDTGNLQSGQSSEILDQIGRGVEQIFRSLESDPKSGIQDVSDRV EQFGSNKLDPPALSPFYMCMYKQSKDFCIRILALATVIMFLMALFSEEPFEQIVQAFSIL IAICAVVIIGALTDYRKEKQFRQLYLEQEEQQKKLFQVVRNGVIQQLNHLDLVVGDIITI KPGDNVTIDGILIDGTETVEVDESMITGLTDSLSKQPISRGKNCFIRGGSNIFEGTAKVI VLAVGKFTYSNRMKNDNQIKEEGEEELIHDERSPLAKALETLAKFLVFIGCMSALAMFII LELYMVRELQELQEKIFSQFTLKKVLSDFIDAFLIIVLSIPEGLPLIVTLSLAFSIAKLR QQDIVIRNLHVCQVLGGIDTICFDKTGTLTYNNLKVSSVHIASEERYHNLQSKDPIFRML AEAVLSTNSAFLSEETINQIKQGEQEEGQSEHSGKSNKKSQKSKSSSSKSVKSTSVKEGT IKSDWSGNRLDVALIDYVELKLKREFVYEYLEDLKNQIRKTIPINNYGFHTEVLQIRGDK YRIILKGKSKEIIEKCSQLNISIPDNRDFELYEPGRFLLQDCCNQVMNQEFSELEKKAVL NYNSQSLKTISFAYRDVQINNLIEQDWNQLITQNNFTYIGTIAMRDDIRQEAKRLDSALK MGSMSVMILTGDTRVNAVNVAKQLGWVSSDQADLEIQRISEHLPKSSQMEQPNIIEPPFI NTDEQQAIKITSAIMECPQDEIAPLLNNKFDHQQIPSSATLKTILILNFQEYVIRIRKIL NDSKIYYTHQEVNEKNIVSKIPEILDDAIASKAVSNMNKVLQILADLNQHQKHQFLEVYS NINESTIGYVGDGNNDAIALQTAAVGITLGKTATNIARECSGVILMDDKLDGIELCMKYG RNIFLNIKRFIYFQLSFFLNSIAIMLTASIVLKQQPYTVLEVIWLSLVQDIFSAIALSTE IPQDDILNRTKPAKRGENIIDKFLLQMTCTQATFQYLATLIVLFLTPFIFDIEPSYKHDL HALGAEFVSEWAVHYTLVYHLTANFQIVNLICSRRIGQHEYNVFEGIQKNKPFTVMIIGL IIIQQVIIYAGGKYFKTAPLTILQNLFCLFLSFGAMIVFWLSKYFYSIQKK >CAK91146 pep:novel supercontig:GCA_000165425.1:CT868661:266790:267853:1 gene:GSPATT00023874001 transcript:CAK91146 MITRQQQRELQINKLIIKQKQKSSQSSTDTTPSSELQENSLLEMLEFLYVGNKQSVEKDD QESSQDPQIKRIEDYNKQYYNDNLHNLIDDQNVFSQCLANHRIREWARGKMIDWMIEVFA SNNNEYSNNDLTFFRAVSLLDAYLRSSYNLNESDMYLIGVTCILIASKIEDIYQLSIKTI IQDLSHNNFSLFQIKQQESIILETLNFDTCFPTVNDYLQYLCFQLFGQSKNQAFQIIQET ALYTLKMCQHDYGIIQYQQYLLAASVIGFTILNYVELHFQQLPINFKKQLLQTHTLLRIG QLELADYVECIQKVEELTQTFHSKYPEYLNLQRFN >CAK91147 pep:novel supercontig:GCA_000165425.1:CT868661:268226:268763:1 gene:GSPATT00023875001 transcript:CAK91147 MNKLFRFAKVIARSIYKPAQKTLYRIPQIKQVLNDDHRQKSQFNTLAFQNHLQLIQVQNQ EQIKQKFQVGLSELAKINAQLLKQTNYVRFAGDFSPCVNPEQFIHALQIYRQGALAQFYS IDDLETINSVYQSDSEFEFQDSQIL >CAK91148 pep:novel supercontig:GCA_000165425.1:CT868661:268932:269693:1 gene:GSPATT00023876001 transcript:CAK91148 MNKETVPMFKFIVVGDQSVGKSSFVKQYSESIFIETHKPTIGVEFVKKIVVVDKRRVELQ IWDTAGQEQFRSMIKSFYRGAAGVFVLYGVNQRDSFEKLNEWLQELQESAHEEIVKILVG NKSDLERDVSKKEAEKFMNDNNFSLFFETSAKTGENVEKAFVEAVKLVIMRMFTSESFKN SIKTIKKTPANSRQNTSRNEPIDDVAQSSKPIQLQVTPQNQQKQEKQCC >CAK91149 pep:novel supercontig:GCA_000165425.1:CT868661:270703:271448:-1 gene:GSPATT00023877001 transcript:CAK91149 MRIFRQAFEQLGGSRSRTKSDPRQQEDMCIVPPEEYFKQDRPQPLTRQFFTMLSTLEQKI VKECTIERVNQVVQMYQQCIEFYDSRKDDIKYYFVDKIQNALYEMQQISCLKTRLVTEVQ SPVNQKINNLSPILIEEDEHYIRNKKKEKSIKLAIQEQVIQVVKYQPEKVQGMVDEYHEK LDQHASLIKKSVNQQEHQFNERLRKRSINKKSLQRCNSSGLLDQNQ >CAK91150 pep:novel supercontig:GCA_000165425.1:CT868661:271949:272376:1 gene:GSPATT00023878001 transcript:CAK91150 MVLPNEIDNKVFSEVPSPKTAPVKENQMIENNYVLKKEKIKKYMKVPLKTKQELHQMVQQ EGKKIKEAAKVLGIKYATAKTIVFHKRQKRKEKKQLGIKMCGYTKKNKDRASLFRIISIT GIDKKHCMEYAW >CAK91151 pep:novel supercontig:GCA_000165425.1:CT868661:272740:273177:-1 gene:GSPATT00023879001 transcript:CAK91151 MSGSPVSVSSQEEYMVEAITNKRVKNGRTEYEVKWQGYSDNEKTWEPIENLQSVMTYVLD FEQSLKQKQPQEVGEGNYDDGDSADEILQIRKDNDGQNLLFQVSWKQKNNRAPKVSWINQ NTLKTHNPEILIDYLLKKIKWPNNK >CAK91152 pep:novel supercontig:GCA_000165425.1:CT868661:273490:278656:1 gene:GSPATT00023880001 transcript:CAK91152 MPPKKDEVDLNALPPLKHLCVGIRIEAGKARAGKLFNLLKECKSFQKNVTREEIINFCKE KQLYVDPATLTDKQKKDSKFMAEVATELTSEVMNKGFQMFIQDQVLSIRKAKYQAAQALA AEKAAPPKKDDKKDVKKGGKEAAAVAPIPEPEKAVPEKEYTKNFDIVFIVQGFPQVPQEQ IELLMHVKEKVINWGGVDMNLLEQGEDFQEELEKRMEEFVQPVTIKRSYSEESLHYTAAE RAVIEEIKKQRNGSQKTDPLRQLTIKELILEMDLEVEEETLKQFHEQLIEEICQFSVDQQ QFNEWIVNKKPIPLITKEAPKDPFDLEVERIKHEAHQAEEQQKAAEEAAVAEKNKKGAKK DAKKTDAELEAERLAEIEKNKQNELRKQQIEEALEQQQKMKAKLHLQYYKRQLSDVQLSK VGPCILLECLLDQLSAEAAYIQSSKVPPCLEDQEDAEQVNRLLANQKKEQHQAESAKQLI LDECDWIEVRGHFGQLHDQQCIVEREHKIFNNLCFPGIDRQGMPETAEKSEKLRKANKAK LHPFINVDVSEFERRQLLLSFEELLKENEPEQDWYLGDRIYLERHNKNTLRQQFYEALLH DPQVVLKYLPDDDALMVCCYYKNPPGRTLRKKWSAEWRVLPNLEYFIQVRNFNSEYYYDI DYQQIGAITERSKIMYPTDNSLIIATKFTVGEVERIRYRVIKENVVFGIQGGFYAQFRDL RMSAQDQIMNLTFKNGLCLRFTQKGEVVQQYLYQKPQEQTQTLLNLYDNAEINHELEIKR VITGQGTVIVYKKDGSIIVLYANGNVSMYKNDMWITTNNKGIRKSQKGIEKVPCAIKNDP ESGAKVYIRDDQTLIIYYKDGSQYTQHYDGTIMLIKGDKVIVEHPNFASVVVTIDKVKQR TGTIIGMGSAYANVGFDNIFERSNDGRVVETFYQGCKVISYIEKQELPEYKQYKTNRIHL MYTHDGSVAKVVDDGEIVIVTAEERVRLNNKGEKKPLGQDLDYWLQLFSVSDERKAGVYT IDLTRKCIFTKDEESNYFQIDEDGNVTSKISVSLNQEPSTPDYIPDGLFVDEENKVLPPP KSWVPPRLFLVKNDNTGVELFNQTQLQDYFRLKSENPFCNKLIDELPNNVKSISFITELK NQAKKFIEVKIPQTLDIVPKTVQKTTIIPHKVFTYRNFLEYLPFDKDQRALHEQSLQKYE VWQKAMQKAQNEFGVIQKSEDERDAEFIIQMKILESRRTDYSYESYDQAKSKIALPDMAS NAVEPLEQTLVRTAKVQQVVQQAFVDVQRKVQTISLQKSIKPTSEAKGFVQNYFDTKEGH EYLKTAPENPPIKKPRDRIVQPTEEQQQQQLTQNQQDAQKTLLEGIIPLEQPQLPSPQYN NTNNLTKVIIKPSVFTQAEIDDEFKQRKQLDDSIRYRQVKKKDFDVYGKERKDLKLVPCL LKTNPKSELNQKYILTDATTDNRIKISSMATRVYQQAAPISQLRNEGMHQTIIRTLDKKN NLDELIDKKNLMVTSDINDRLKKDLLIYPINVQFGELKQGGQYEVKISVKNEDIMAQRIV LKQPLNTNIKALMKQMGSISLGLVREVFVLINAERVGQFSDELQIMSKHSIYTIPITGNV MEQSMFDKLNSEQIKLTNKPLLRKYVKDLKASKQQDIIGDSQDSDLLPKMNYDKNVKIDP FQNQKKDEQSYEEEN >CAK91153 pep:novel supercontig:GCA_000165425.1:CT868661:278677:279841:-1 gene:GSPATT00023881001 transcript:CAK91153 MTTIEECPVLRPTSQEFENFYDYIEKIDKQYSTNYGMVKIVPPKNFRIRQQDYNKSLDNL IIQGPIEQNVYGKGGNYECLHILKKSMPLKDYRAKQTEIDKQHEGLNSDQLERLYWKSLA FSPPLYGADIKLSLMDVDNSWNLNQITSLLNFGLKNRIPGVNEPYIYVGSWKSFFAWHKE DLDLCSVNYLHVGKDKFWYSIPEADSHLLEKYAKQIYGDHFTKCSEYLRHKTTVINPYLL KEKIPEIRISKMAHHQGEFMFIFAGAYHQGFNCGFNVAEAVNLATLNWLPLLLEAKTCVC VKDNVKIDSFSFAENLKRSNKFKEDERVKNFLEKTKSMQSILRKNIKKIRV >CAK91154 pep:novel supercontig:GCA_000165425.1:CT868661:280070:280415:-1 gene:GSPATT00023882001 transcript:CAK91154 MVQQIPDQEDINASIDQLRQTQQSLQSLIQNVASQNFFIMNSIMHHQQQMAETSTANFVR FAQLSDLIQQVVSHFQIDAANEIESNLAHQRREAQRGVEYIIEDTEK >CAK91155 pep:novel supercontig:GCA_000165425.1:CT868661:280445:280774:-1 gene:GSPATT00023883001 transcript:CAK91155 MENGHSIPVFLTKLYTIVQGNQEQEILRFDESGRILVLADQERLQQYLLPEYFGHKNLPS FLRYYTLNMDRQLNMYGFKKRHNNGVLEFKHKYFRRDGKQGLQVNQDNF >CAK91156 pep:novel supercontig:GCA_000165425.1:CT868661:281981:282381:1 gene:GSPATT00023884001 transcript:CAK91156 MAQNDSEATKQSCDLQTVSKRITKQQGHWNQSEHNTYLNFLLENANHTKGQRLFKRMSQV VGTRTPSQCRSHHQKFNPQKTKSSIDTSFWRSKQFARSYFAQHKQSNEDSN >CAK91157 pep:novel supercontig:GCA_000165425.1:CT868661:282426:283122:-1 gene:GSPATT00023885001 transcript:CAK91157 MGTLLQRKWELLAHEEQRYYQEQFEKFEVKYQEQLSEFKKINQMLNQSKKIEKSIIKPKK PQIPQIHYVIQNRYKYKGKNYSQNQIYSELIQEFSIQRMELKEQLELEYESKQTLYDYEM IELLKQNCQKYQSLKEKLLMNLQQQAEEQEFNSIEYAQKNFAVGVNGHNQTIGSQQVSKF QSSNNLKRLSLIAVKLPLQEQNLQGKEGRNLN >CAK91158 pep:novel supercontig:GCA_000165425.1:CT868661:283773:284096:1 gene:GSPATT00023886001 transcript:CAK91158 MDYCDSCRTALEERTQLLNNIKNLRGKLEKAEMELKELKDENQYLKGKGHASQKLRKKNN MFKLELEQSYQKIEQLQLIIQHKDMIIDDSALIDNATENTPKIEKGK >CAK91159 pep:novel supercontig:GCA_000165425.1:CT868661:284820:285572:1 gene:GSPATT00023887001 transcript:CAK91159 MQNFVLNSNSISEFIVLLISLRINEFRMEQQLVTMIELIVQPFPEETILKQYSETQRSYL SVGLFHPKITSFGVKSKSVLPWTADEVAFVLSFMKLVVLCWVINKKCFCQVGIKQLFQKN QYYLLVIIYSVVRNPNKHGRQDVLAYWIELAEKLQKNHDLEGLLIVYIYGIQLLLKDYIG TMPILFRDQSRISRINAFYEEHIKSNYNEIQKNEQQFYNPFISQNYYLNQAFGIASENEQ NIV >CAK91160 pep:novel supercontig:GCA_000165425.1:CT868661:285610:285786:-1 gene:GSPATT00023888001 transcript:CAK91160 MYTKVSLSKGIQRLTSNSFSIPLIKQQSISSSLSQIQKKINRITYFCTHPLIKFVLSL >CAK91161 pep:novel supercontig:GCA_000165425.1:CT868661:285901:287453:1 gene:GSPATT00023889001 transcript:CAK91161 MRQQSPNLKQSRASSAQQNNSVAVSQIEKSKIEKQQVVSKHDKMKSYAKEQQNVSKKHET MIEPTKLIEQNVKLKEQLQELIVHLDNIVKNQKEKQKEEKQKKFAKPLEPADKKSVLNQQ EKQINDNIAQIRLLSKQLEQTYDINKIKEKEDKKRYLENEYFKLYKEYESQQKIDQKQNE GLKQMDLKEQQQIISSIKKELQDEQKTSRALIEEINRIEKDVQKLHNERVTKLKQISDLQ QKIAQKKRNKQADEEVDDNKLKQLEDEIIELQKKKDESMKNYSEKYKKLESDRNQVKNEV EQKRNLLIKLERDTKINRVFIHEANRYLRLNEKSSQRSSKPVFSAPRKPTTPRKETPSPK SDKGSIRKIPSQNKVEIIKEEQFEETVLNLDVQQQNQRQPRSREQTDKTMHQEKDIQKEQ SSKSTEQKEVKQENTQIEKTIEQPIEQQPTLHTNNEEETKSVIDQNDNSTQQNLQIQQPN IYRKPMMMVKKK >CAK91162 pep:novel supercontig:GCA_000165425.1:CT868661:287509:288212:-1 gene:GSPATT00023890001 transcript:CAK91162 MLNEDPKIQQLYSSLQFCIKSLDQILQAKMKPPQKQSTAERIIIKQEGVEISEDFQNLTK ELENIKLQHESMLLKLMKLRKKLASLQIKYSELDEEFQAQTELLKQYKITKINPRYIELP REEINLYKSLKTYINLNQSKFSLFLKSLLHENKDEKFLNLDQLLNSIQDYYRNQQMYLKP KFSLNSVERVRLPSLEIRYFTNRRSKDYNYNNSSIDQESKSLSFAI >CAK91163 pep:novel supercontig:GCA_000165425.1:CT868661:288821:290215:-1 gene:GSPATT00023891001 transcript:CAK91163 MNSNLLFSSLKFCKAAISRFHLSLYLQHTRKIRSLQRQLQLLEIRLKIFQFYANCKPYDL SMDIQFIHHLPTNNSKSSFESACSLDIVLFHSSKHKCIDCTNHPLHIQLNGTLLLDLLGY SFMIISTYYLDFFIKTIAEIDDKLQSQYQHHQIQIKTKKPKLNFHTSILTQQPFLQAYNQ IMNPFLIIYLNNIEIDTKIFILRLREIHFLYNQTAMQNSYLTQLDQIQEQAIQMAYQQPQ EGQWNKEHDKNDCIIYSKLNQVGLKMTRTELKLNVDPKKAMDLIFDMTKRAEFDEYFLEA QVVEKIDENNVIYYGAGKSPIVLVDPRDMVALTRRIVLKDGTHMVVSKSVQLDSVPNKKK YTRCEIIISAFLIKQISQDICQVIIIANVDPKGSIPKMLINSGVSMQADAVKKLMEKMRQ RTLKD >CAK91164 pep:novel supercontig:GCA_000165425.1:CT868661:290360:291505:1 gene:GSPATT00023892001 transcript:CAK91164 MEAKKIIGFGSPLLDIQAEVSAEFLEKYGLTLNNTYFAEEKHLPLYEELINIPTHSHVPG GSALNTIRLARWMAQAGQGQVKFIGCVGQKDKFANMLIEVTNQDGVTTLFDEQDQPTGKC GVLLCNKDRCLVPLIGAAAHLSEAYVDQHIEDIKTATVLFSEVYFLYPRAELTKKVYTIA SESGVNTCLTLSSVNAVSDKFNEILAVLPYVDYLFGNEEEVDQFAKNLKFEGDLPQVMQQ IAGYEKHGQRERVVVCTQGKKPTLIAKKNEIITVEVQLIDASKIVDTNSAGDSFCGGFIA ELLNGPDLVKCAKAGNYSASQTIQHEGSTIPKYAPVKTW >CAK91165 pep:novel supercontig:GCA_000165425.1:CT868661:291506:294311:1 gene:GSPATT00023893001 transcript:CAK91165 MSLSQQLKEEEGVIQPIQPVSTVHNRRKNKKRQIVNPTQNTGHWSQQEHQTYLDFLQQHK QIMESQDQKKSNKIFKQMSELIGSRSPSQCRYYEFNLQIRSHHQKFNPFIHQVKKRQKGA GRKRKDNQITQPIQHFYPFYQQPIISQHQLDFPMFQPTYPEEMVYPQPITSDRSLLYNFG FLPQFPLGFNYYNDLLVNQTIELIRRVVIFTKYCDKSIFTSLNELIPLFTLGRQYWTKIL SLVFDHERITLFYQTHNSNQQRRVIEPPFSFKNSYYPYQIEIDIYYIILQYVMQLLYILI SFLLMFIKAIHFSEQEVGRNVRSSKKKYVWKFFLDNVEQEIALFVSSLSGKKEVRHNGRS IHQESRYNFFHIIIFSFFGDFRYLHYLQKCLVTIAPRSDSYELFLDNIPFAQYYNPRNDV KGRNTQNRPLNNEDNDEYDLSPLKDPDKERSXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXGILNNKNNDEYDLSPLKDPEPPKKVSQAVWQKLKLDVHQ EQTNNTQNKTASKQQELRWKQGANEEFANFGTPSTNGTDNYFGNFGFTFDTPQKETKKSS QQIQQQNNHNQNDKINPFLEFNQNSWIGQPQPQNNSNFGCAQQTSQIKPLQSPQQQPETK PPNKTDAQYNNMITPQILNSQNQNQLFNQYNFSNQQQIQEQLIQQQQQQQLLQIQQQQQY QQQQQQYQQQQQQQQQQQQQQQQQQQQQQQQQQYQYQQQQQAFTFQSIQPQSKQSQQADL LDLFESSQPQQNQINYSTQQQEFKKSHSQASLMPIQTQSQITPLQSSIQQSQIQNNLYPN QFNYQSQSQYSNQPQATQQYYRTTQSLQPMTSSYQLQTQKHMSKELEDMFDQPAQVVQPP IQRRSTPFDDDLFA >CAK91166 pep:novel supercontig:GCA_000165425.1:CT868661:294388:307067:-1 gene:GSPATT00023894001 transcript:CAK91166 MGCCASAANEISIPENNNPRAQLKKQKQQIQKNNDQFDSEQQIINENQKQVQIDQQNSNT YVPQQLLHKTSLSMQKLLNKHSSPQSQQKSVQEEELNMSKQQNEDQVSDQDDFDDSNSSK ITFDHLEPEITSIAIRQFLKRMRSLKKQFPQCSIDQMNIVVEVEEQIYSKKLKLDSLNEI CLKHNKSLIDILSLINQHNFYLIELILLYVDDYEIIEHVLLNHSLPNKQMQQATAIRLIN KMKPLFKSKEKIEQTIKFIQSIFYSEEIVRVLNQLQFINIDSYKEMKDYTQMKLFGLISK LILQSEIFKSLNCYYKTYKEAKTNYQFQNLIVPKSIPLGQSTVTRVDKAVLIHLQFNIFH QVALKQKWDLFLQYSNDYYLSPNISQVTPMMMLFQNAPFHIINEYITLYPYYVEKSLHFS TKQGKNLIHCFCLNKSPRTNTEIQSIIDRLNEYQDLLKSLLVQPYNEQIPLVTYLDAQKE PQDFIILFLSQHLQKEFDFYHIYLEVLNSAAERNQLEWRKQRINPSTKYFYSIQNNKTPK RLRIKQYEEQNYDKFDIIYFQYIQVAKQLIKHKFKINLNWITNFDVHSTHYPYEFSGIVR PLLIQLVQLNQFDLINQQVELLRPYYKQQFENDNEINIQSQFISKLIQILKQTQDRSQLT PIIEVIKESIDLNPQLLKVPLHGYLEASSKCRLYCFDCIYNQLIDLNDKSFDQCYKAQQL QQNELECLHIIRKLFLNNKLLSVDDQFINSIYANITFVANFQTEDDPSYRKGRKNQPSET DPKRVVLVLYAFKATNCQTYNFKEFIQFLKKKEFEYTAKKQKLFDEICNLYQEKEAQLLI KLNFNDNFGKFPSGLHLLNDYFEISNNPIPWSSSLFIHANQIKSNFSFIVKEDETINFTI FNIQRDQFEKYYDWSLSGLSPNLVVYPKEYPVAQNYKEKAVFYALQNHKPFAVSLITIKE CLEDLVQSYLPRIKKFLIHKLSLKHIIQNKAFENLYHFVTRCDISFDTLASILAHEYFPT GNCCKQNDEEVEKFNADLIKLFENVNYQDQAAFNLRRDEICFLFSFINIEAFGLIKPNLE YQMKNGHLRDIILSCRSKEVKVELIKNMPDDQLEFYGASLIRICQKDNELMTHQLQRVQG AVLEKETLIFMKVLSLVAFDQRQPQNCNIIIELLKQEGLKDRIKLIQNLYAKAYLNKDEE YLNYLLGFLEQDAKKNKRKMNFMLGSQFSVDDEYINYFLALKHKPCFALNCQQYKRYLIQ NKFNIKKLYNDYLLCLREGLNEKIEILNELIGSFDNIQNKETFCKVLATILIEAKIQPYN ESLLDHQQDFLKAYLQQMNKMERYQYFSSTHPYTLERKQLKQKNSIASITKRAQEQFDNS QKVINHQQKKQEYINNLESQLMYEQDRAYSKQNQNYSCLFSSSINSQSQNYEKCFEIVQK WANQKNLIQLSPFYERMYALRYPNKQQVHQKEVLVEILIKYFLLKKNGPKILDYRSKEYI ELLKVNCTSSLNKYILDNFRNIRPKMLELFVSEFICEDRKYDPSHEDLDYIMMTMKYQNI KLVPIMRKKYKGLSTIKFNSATLFYAKNEVNILPEKNYDVYTHIFELRMEFFRKFISGVS NINNLTSNQVFLFYGKHNHILQKPKFSYKNLVLALYSGNIETINYIISLHQNPNLLVYEQ NIFQLIIYGNYNDNEIIKFYNHYIKDEVKQTNRIFVLDNQPILYYLMLMKRQRLFEEIYL PFMIQIHGENKAIKILNKELLINYVEQQDKSEVGFQSEGDNFETDILSLALMRKNYFILN YCSSIINHVHLKSILHFDINYFQSIQPQINNTPFSKFIQLYQKYLPLCCTLLEFKQNENI RQAQIQQLIQYEQACMNQIFNLDKIYYFNNKLLTIHMGLNKWNMLGNYQFNPILLQQYLE EYRQNDGSYNLNQEQCRMILEQCQKYTTFQNPIYDKLAAIYPKLFIEVADPVFFTISTVI VVNHALYQTPELINYIQFHQDQYLKSIFQQKEQQENFNRDSFSLSFEQLSYSDEDQEKHD LPDRNEFEQATNKDELEVAANKKVLEAATSKNELKVATNKNEQKIATNKNKMPQKIAYAF YLLLCIQQDQYQQLVIIKEKHLVIIKEVYLNLLKFDQKIQKKLQFLTENSKIFLFSLLNQ NYKFKDTSYFEQILEKQNSKELAILKGIMYIQQINEIINGFINRLSQEITTMTNFKKNVL LVFGQEQITYEQEGFTIPLQFEDDSFYLSEANISKAIPQLKMANYQMNIEVELTQYHEIQ ENWKNFDFSSITTVDFHEIIINSKENVLEKCLFFKNLMEQEFDKVGIYQIDDVFAPHQHE QIRQTDTQLFQSQGQPPSLIHQSHSQMGVSSQGTQLQRQSTINQQQIMKEDFQFMFELLP DNTYQVRQKKKYKNQKELIFAEFYHFIQPQVVINLLKLSEEEFINKYNGNTVISMIIQDF YRYNEFISSVIEQKQQQVWKLEFPFYIKNQHFVYQDQLFSTYNIQMIFTKLVTFIEKVKQ LLGRSEDQSKLVWRINTISFLEVIVSKLVEMQQVTNKVINVYSIIESVFSWDTLIMILHQ LLYHNLIKAQQVLKVIRGVYVEFNEQQGIEFIQNKQKKGLDRIFQFGNTTYLLYNHILII RLNIGICNQSEQIIQQDKQVAQNQQISRIVFKPDPIIQEYFHSIINEYDFYNQIFNPEQL VQYLFNKLDIDRHLLNYSNQLSKILNKTITLSVDHQSLASIFSSEIQKICQNKNSKSRLK EMEQIWYILLKLNKYFKEELYNYILKQIKAERFDEMFNIRLSCLLSALRQNQPLTNIIVS NTRQLQLKQKSKILQRLCSVTQKSDIKLSYHFGFQISKNYYVNNSKLGDVCMIVYCENQK NNLVSCQLVYNSKPGHFLFSQLDYMSEVLLTHDRITRNQAHYSGYTYSHHELLYVQIEDL VSIVLFQPIWPSDPIFNQSILNVFFTDQDGNISKCNEPKFVSLMSQIQCEQDEFNKQDML DNLFGNGLVSSYNDLSLTQLTPIFPDQINFDFAAIHQFKKQQSLVQGQTVYIRIFGDKFK FDQIEIASVSMACHFETNVVAQQLQKNLIKFLNEHTELANIFLHFDDQLNQNPNYHLDCL LVGGTIFESFQYGYQTFKDGYSYPKLNNSLQYLFDIQSIQSIKINFIKTFIEKVEFIFEK SIETQKQLIQYENRTLKFYTLIDSKENIQVPDSDQIAQFLFNLILKFQINKMDSYFTYEN LHIVEVGDIQVESIKTVVDIILLAKYLFKLLTLINPNIKLKVSILAQKEIKTEFKNTIYQ FIQDGSHLIINYETFADFNICDFFLDYLNKNQFYINNRYNDKIKFEKPEIILESLVLKPR KLLSGIDDKSQIEILVFNLDQGRIQGLEVLNPQQFNSIYLIFKVDGIHLKFQTLKSCTSK SLPGYFSQNTYQQNICNPLYLMIFDVESNKPLEPQLSKIDISQTKQKNTNLSNLIKKEKK KGQLQVSYKFITNRVLMLEFLSDKVLKMQLKALPPHTLSMVQDQLGSQITFEYTTQNTQD LSKTTHKQVTIENKVKLVEVEQEEQVAFGIGEAKMMKLLLKKSNQKMDEVLFHKECEPIT HSKDLQLSNTINMLSKQELGVASRAGQERIDYIEFKDSLGNRINNFSYSTIVFVHSLFNQ IQYIPKQEQGGSGLNLIWTPIFKGVYKLYIDDVRIKGRYVILANMPNLQESEIEIPQELQ TIPFYEDIPFTFQLRDSYSNIYGDIEYDIYLDCKCEITCNEGVTLQVNFQNLTKSGFMNA KAHFSPDDAQADERETEIKILINYQVKKVLPIKISGVCLEKRRKKFEAELEKSFKRTDYS LVIRRLSFLKDLLVLADKKMNYNFTIKFQDEPGIDAGGLKREFYDMVGNTLKDDTYKFFQ PVQGNLGKYFLHSNFNKIKNKKEYALLFGKLIANAIANGYLIGIDIISPFWKVVYDEKIV FEDLVLIWDKQTYSNYANLKSMSPETIESLYLDFTYQIGNSTIDLIPNGSVMPVTSNNVH QYLDKTAEYIIYKQFQEIYKSFIEGFRTVIFQKWLKPFEISLLTQGLLEIKPDVVLQKIQ YSGGKANHKSYFETYINQADPQTLKNMLKFITGSSTIPFDQGSYIISVEFKSNLDIRKLP LAHTCFKSIEVPLYANYGQMKQKLDIAFTIGLEGYGFG >CAK91167 pep:novel supercontig:GCA_000165425.1:CT868661:307177:310682:-1 gene:GSPATT00023895001 transcript:CAK91167 MISDIFIEFEQSYNVDQNQADQNQSHIDDSQKKSPGGPARFTKKVDPLDEGDNPIQSPSI GSQIIDNPLSSRQDKALELQKLSMSHQDAIIEENPLVLTSKLEINKESNDTKLKNTAQIN NSSQINNFLRLVIAKSSFNRFIENLLQKSYVKKSHHFSDYQRSLMDDLRYLYSHKKVKKN CLQKICKRLKFFPILDQSSNLVIGWQFLHILTTIIVFFWTPFNISFGVTYQQKVFGELTV KSVEKFFLFSILIDSFVVINTSIIEKGVIIRSRRKIFINYLNSQGIYDLLSFVALLVGIE NEIDSSHDRLGWQICPYLIYYCSRQFKLQDRVRKLEEFFHFTGLYQDFIELVKLLFMVIY VGHLFACLWHGVAYYQQGHRQTWIDTYVQDPDMFQKYNYAIYWAVQTMITVGYGDLTPQN QAERICANFSMFLACGVFAFSFNSIGLMLTNLNSRYVLYKKSVNLLNQYLIKNQIQTELQ QRIRNYYDYIFAEEQEINDEEISQIKSKLSNSLLEELNFEIRHNVMRTNTLLAKFSQKTL KLLSLVMEEVRFSPEDQIIVQDTIDDAAMYMITKGTICIQFQDLNDESNSRELSYLSKGD SFGEYAFFTGMPRTASAKSVGFARAYKISRLHLLNVLAQFPLDMERFCEVRDSILESNNY QPAKLSCYSCSKFTHLIKDCPVLHYVADQERVLKKENYPSQQERNCKYERRKASRRKYHT LKESKINHKIVKDFQTNYAFDNASYEDEEFEDSQSELAVSPQEYSSQTKSLSRLSRQQSQ NRSQSQDNHLQPIQESDSSKDSGGMQNPRVKQPKQKNQTAGFFVSDSINNKLASIKEEQL TDSIQDRQQQSSINISISNLQKLDDFRFSQMIDQHQQSNNENQKQLHQRNSQIKKVKKID SQNNIQSQTQLEHRERGQSFSNQIRKCRLSKDTREISQEYQFPDKRELFKKRSSKTNLTK TTKTLRTLKIQDNPSQTQNQEMSVPVFDCSCPSQSMELENFEQMKNFRFYFSWNNPCVVV PRAFRILKMNIDKRKNFGNNFSLYTFNNLAMNKALRIKRKLKLIDDPFQDEINTKKSKHA SKIPRQTRIGNKRNTQQSDFGGLHPEKAFHGTQISVHKTNLYEFKNN >CAK91168 pep:novel supercontig:GCA_000165425.1:CT868661:310850:313055:1 gene:GSPATT00023896001 transcript:CAK91168 MLQNRILRIIQRTPKFFFGNRKPPKGFENFERPRVEEEQGRNRLGKEAKEEQQQEQERQE SQTSNKNNKDFSSSSKWKKQQQQQNQPEDQDNQSKLLFTISGALIGYYLFDYVLSDRETR INITQFVNQIYPTTKSIEIKQSVDSEAIYAHITSEKGNYKLKLANPDTFLENLEYLQLKA NVEPENLIKISFKENSNSLLDRVLDLVPFGFMIFISYNAIRFIRLFREKGPGGMMGMFKS NHKQFQMEQNVKVKFTDVAGLDEVKVEIKEFVDFLTNSKKFKQLGAKIPRGALLTGPPGT GKTMLAKACAGEAGVPFFYVSGSEFVEMFVGLGASRVRDLFEQAKQKSPSIIFIDEIDAI GKKRQARFGNDESENTLNQLLVEMDGFATDHNVIVLAATNMADQLDSALTRPGRLDRFIE VTLPDINGRKQIFLVHLKPLNLDPSKTVEEYANRLATLTPGFSGAEIANLCNEAAILAAR QSKQHVDAHDFEMAAERVMAGIEKKRIISEEERKVVAYHESGHAAVSWFLEGGDPLLKLT IIPRSKGSLGYAQYLPNESALFTKQELLDKICCILGGRCSEKHFFKRVTTGAYDDLQKVK NLAYNIVTKYGMSEKIGNQGFRDENVNSFSDETSKVIDDEVREIIMQCTKRTEEIIEKYH QQIQKLSEQLLVKETLDLNDLITILGERPFPPKSNFKEYLDTKKREKVVA >CAK91169 pep:novel supercontig:GCA_000165425.1:CT868661:313367:314206:1 gene:GSPATT00023897001 transcript:CAK91169 MLSEVNKEIRQDQLVPQLNQAPSSTFFVYNCYIPVVQDFMNQPPTLQVRNSSESFPQILK QTDSLQMGHLGPGMSIPSFQFGASTPFINADDVMRNVQPQTTQNHFASFILNEQLKPQNM PQKNRIIEGTQILCDVEIVLISRYFDMKATLFCSPNNKYNLKEDTSISNSEWLKDRMSYR YKKPIKESFCDMIEVIQKEGETNMKGIIQPIKFQQNGFDSIERQVPKSVKLEKPFSLFIL EIQQLISQFLFNIY >CAK91170 pep:novel supercontig:GCA_000165425.1:CT868661:314418:315108:-1 gene:GSPATT00023898001 transcript:CAK91170 MRKRGITNLKNGLLISHLLHSISMFQRTYLILRMEMNWFLKAKHFMYIWLIEPINLSYWA KTQRNRGVLQDLFKSLIALFTIPEDQFEAKKENFFNQEVLWIAEKLSKVLENRQWAVGDN LTYIDFQLFEAEEILRNYNPEAFTTPVGLKKHNENFVNLPAIKKYQSSDKMIARPFYPPG MYRWG >CAK91171 pep:novel supercontig:GCA_000165425.1:CT868661:315760:315939:-1 gene:GSPATT00023899001 transcript:CAK91171 MQQNSSQTAIQTQQSKSFVRESKYVTKVDAKLVEIPDNDEFEKIVEFGKEREYGLCIIQ >CAK91172 pep:novel supercontig:GCA_000165425.1:CT868661:315941:317051:-1 gene:GSPATT00023900001 transcript:CAK91172 MEPTLKNILENPSLKWIFVGGKGGVGKTTTSSSLATLFAKSGKKTIIISTDPAHNLSDCF DQKIGGQPILIKGIDNLSAMEIDPTVDPDKLKLPTLQGFMNDQATKSLLSELISSVPGID EAMSFAELMNSVDEMKYDLIIFDTAPTGHTLRLLNFPNIMEKGLNKLVQLRYNFQNLASQ FQGLFGSQEEFDQQMNQMFSKIETMKDTVTKVNAQMKDKNKTTFIGVCIPEFLSMYETER LVQELTKFKIDIHNIVINQVLFPDDQCKMCNARAKMQKKYLDQMIDLYDDFHVVIMPLQE NEVRGIDGLKQFCELLLKPKTVPQV >CAK91173 pep:novel supercontig:GCA_000165425.1:CT868661:317199:318254:-1 gene:GSPATT00023901001 transcript:CAK91173 MKQSIDPSDSANQITQLCLVCSPEPQTKTLLSPKDFRIRKPAPLVLKPMLCDQQEDSQKA VGCEYNFKDLRLGKKIGSGAFGDVFVGFIQGKFIAVKQMDGKQLIESVDNEIIILSKLTH KNIVQYYGYMREAGMLNVYLEYMDGGSLSDRLKQFGKFNETLIRKFTIQILDGLIYLHRQ AVVHRDLKCGNILSNQRGQIKIADFGSSSWKEAINLSDTEFCYSFKWMAPELLLKEKKYG RRVDVWSLGCVIIEMATAEHPWPNIKSLAQLLEAIQNLKCPPIPTHLSKECQNFIKRCCT YNKDQRPHAEILKEDPWIKKEI >CAK91174 pep:novel supercontig:GCA_000165425.1:CT868661:318755:319804:-1 gene:GSPATT00023902001 transcript:CAK91174 MDAQFQVSSDDFDFEVNQALKSGNHMEDSGSMYEMPEKKKAYKGRGKKKEQQPNMKKPIE KKVYVKNNKKQLQNKNTASKREHTTQIKQKNIKNNFAMQFAEVFDEELLQFEKAENQGNQ NIIQQNFENKIQVENQFNQIESEKNQFNFIQGPEEEQIQANEVQQNFIPMYLDNKGNYKY LRRALSMENEHQPLFFKRFLNSLQMTQEEYDNIKQSFPQIPSEYLPQLILEQRQINNVFQ NKLPQEQQQQQQIIQNQQQQQDQGNQFFQNQQQPQGNQLNFIETEFKHNPNLSEDNLECS ICAQTYLDGDKLAILLCIHRFHLTCFTCWLKKSKQCPICHHG >CAK91175 pep:novel supercontig:GCA_000165425.1:CT868661:320502:320800:-1 gene:GSPATT00023903001 transcript:CAK91175 MQQEPTQSKQKRKKTKQPNKSVQWSEDTIDNENAGKLKFNVCRAQFTHKLDEDEKSDTCT SDDESNAIERDKKSKQRHKLKCSKLKLKDNS >CAK91176 pep:novel supercontig:GCA_000165425.1:CT868661:320895:321599:1 gene:GSPATT00023904001 transcript:CAK91176 MQSLIKNNPITGNTVCKIQNRGKRTFTTHDHIQSSCIVKNTPVNKTPNRHKIPDHSNEHF YPQDNSYQKRDLRKSLSTFQPKENIRDPLSRKISDIYGQEIKITRSPIKQQQQQSDTVSP QKRRIMDNKSSHVDQNDIYEVNLNYNKEKTLPSDVNWFYVDTIKHRHDYKIEQPNPCRSS QKDQRSVLDQNNKNVPQNNYYQKQNDKLSKKQEVALQYTYLRAVKRSELVIKKK >CAK91177 pep:novel supercontig:GCA_000165425.1:CT868661:321665:322066:-1 gene:GSPATT00023905001 transcript:CAK91177 MLSDEKLVIRRRSHQKQELTKLQRSELIQLNHYTSDELYEKQNTQGVSPVNIRINQYKLA LPKIKHFSNIKTNKYIGEYNNMLGILTSKHKIPHRNNSSNRSACYNHNHDIFIERQNFVI NQFFRPKKLGSCR >CAK91178 pep:novel supercontig:GCA_000165425.1:CT868661:322581:323016:-1 gene:GSPATT00023906001 transcript:CAK91178 MIARLSSTFLKNLRQAICYVPDTEITLNDKVFYFEQNQVQAIPVKSLLKEYLLLETEYVE EASQTEQDSNETQEQQEEYSDDENNGDDQGPSGGRMMPVIELIKGFIPRVVGAI >CAK91179 pep:novel supercontig:GCA_000165425.1:CT868661:323039:324493:-1 gene:GSPATT00023907001 transcript:CAK91179 MGNMCYRDYDEEKLLGGQEIKKPESVQGSIMKPKHYGASGDGQTNEVVNQNQTEMMDDPE LQVEKDEFYKLSNENTRQLDIASKTNESEGQGQQTQKVNIDSFRLLKVLGKGSFGKVMLV QYKSNSKYYAMKVLQKKNIKNERQKRHTETERIILATCSSIFLVRLRYAFQSQYKLYLVV DYMPGGELFYHLRKVGRFKEEVAKFYAAEILLGLQYLHENHIIYRDLKPENILLDENGHI KLTDFGLSKIMMEEDETAFSLCGTPEYLAPEILTTKTGYDKTCDWWSFGALLYEMLVGAP PHYKENKKEMIRRILTQPIPYPQYLSENARSLLEQLLVVDPKKRLGYENDGYDIMKHDFF AGIDLAEIIQHRIQPPYKFDKKELKYFDEGMTKQIAKDTPVNGTLLPNQNFSNFTYQPSM QQGANMKK >CAK91180 pep:novel supercontig:GCA_000165425.1:CT868661:324864:325313:-1 gene:GSPATT00023908001 transcript:CAK91180 MIKKRSSLYITELPTRPASGSTNPDAPSPLKFIRKLTRQASTVNGSSTKIQTCISSVPNK TQEVIQHCIVMVEQLRQQHQERQASRFFHIRSCSMPCDRVSIINSLIDTILPQSPRKPQR SLEQACVLLFLEERFNQSCNS >CAK91181 pep:novel supercontig:GCA_000165425.1:CT868661:325316:327371:-1 gene:GSPATT00023909001 transcript:CAK91181 MSLNSQFPKQVPFLPGHVFSDPFKENHHKTQQFAHINGTVQEKTNFIHEEFDPNLMDSMK FNAPPNLTYGSRQPPENDYIPRIQPPWLKYDRAVLRFYGYFQESVVENPNENFRIRRVII YYYLSDGTIHVTEPRVPNAGIPQGLFIKRQKITKKLGKQDFYKWEDFQLCTNVNFYERVF RVQDCDTYTREFYEYMGKPLNLPEHMPRDNFESIRETKDLKINPPDTKEYKEYFEVKLGG GHPNGGLNKYLQNDRKVLSFDIIWDDASIEGQLNYYTLNYYLADDTCEVKEVRKQNSGKD PYPLMLRRQKIPKAPILTHYPGMTLKKEEFYSPQDLICGNTVKIYGRECFIYGCDDFTKE YYLNVLGIQQKPATLKQERGKKFYQPVPPYNGYGYEEDSLGSVYSLQPKPPKKDVRKNFT QDQFILRFEARQISEVREENSRRFIISFYCGDDTVQVYQTSERNSGIWGGKFQERSRQKN PLTNDYYTEKDFQLGGIVQFNVYKFQLMRADEFTINYMRQKPDVFKEADISQIIAKLRLF ADGYPNFDTFLLDLMKKLDKSLKGYIDFEELSQGLLELGFNLTLQEQYFLMREFDRNGEW KLCMQSLWESLGGKRA >CAK91182 pep:novel supercontig:GCA_000165425.1:CT868661:327421:329042:1 gene:GSPATT00023910001 transcript:CAK91182 MKQTKKRVSYIKNQKPTNIEWILNLLDQIPKYQLQQSNMKKISAAQLSKLQDCDQLLKSS LYTFDNKINKFTQMQFTLYPNMLIGDNQEYLVLSSCIMNKKIIQYKEFTAQGVQLLNSQG NLFLFYESYVQQLNWEKQLKRFSKLQNFSNKYTIQEKLSIQNHYACVKNKNNRVYTVQLI RLSSLSEQLYEALMNEINILMCFKQNGLHQFHALFEDGDILYILYDYWVGDTLYKLLQQG FKLTASQIAQIIFQIIKVVRFLHQNNLYHGAILPTNIVLHRATGPQNQSGQQLKITLFNF AYRDANQYNLTWLNKRVPKQWIPPEFNNLQIKPEFQNIDGYQIGVLLYYLTFHTNVNKSQ QSFIKSLDNFKVDYQWLKSIKQEQQQEYSFSLIELLCGLLDPDFQNRITLNNALAHQWLT NQRQKQNGQNEKPKILPSLRTILELREQSSCEILSSRLGKEDQLHVTSSSEDDIEVCDDE YIHRISKENNKIPYYQNILSLIPQKHPSRNKQIIDQCQESQF >CAK91183 pep:novel supercontig:GCA_000165425.1:CT868661:329110:331583:1 gene:GSPATT00023911001 transcript:CAK91183 MLCEILLCCSWLCNTFYSFNFEQSSIYNFESCVFLLLSHCKAKIKYCMLFSPIMIISLNI IQILENFSQYSFITFVLPIVIYQQNDKEIFLKRYFMLIKVLHLLLLMLYYSLQDIQNGQP VIQFLIVTLLLLAKNYNQERELKATQNNTTIMEETCNLKLHLEHGKINDTTNGIVGVIVL NEQFETIYQNKKSIHLIKDKSINELIMQSPTLIDKQTKQLLQEQCNIKLQSIQDFRDSLI STTLKELLDSIKLNLTLPHQLDVYVLQGFEEFYFQILILKESDDKILYNVIFQPMSDYTY FIKKKHQQTTMKQLFKSFSHELNTSLNYILALAQVAQCHENVPKIVSEKYFLPILHCGRI MHSFISDIMDYNLILSKQFNVEVSNFNIPQLISEVVDLFKYQINQKHLKIQFQNNLLNYD LVSDRNRIRQILINLVSNAQKFTFSGQIKIQVGAVINNKQLCVIFHVIDTGIGMKQEEIE RLHQLLSSGIPQSARISQNTVGFGLGLYISNKIAEVLSQTRYEKGGGLRFESKYQQGFHA WFMARNQSFSPVYQQSNPTPIVRIRKKIQIDTMESQISRVNALPMRQKFSQILGIKDVKS ERGLSKELSLKLCKPASKIARELFLAAITSERKIESFSINQETNDQEFENRIKHLNENFT NTQKCNCPQILIVDDEQINIMALSMMLDQMGYASDSVFNGEECVNLIFSNQKKTKCNKCR TQQYRMIFMDINMPILDGIQTTVRIKSKYANIIVIACTAFSDTETRNTCYKNGMSYHIQK PVKKDQLIEILSYYLN >CAK91184 pep:novel supercontig:GCA_000165425.1:CT868661:332865:333656:1 gene:GSPATT00023912001 transcript:CAK91184 MQTLDSTVKWFNKTEGRDKICKVLQYGSRFLMWHLKTNSGNEQLSNQFKNLFQSTRDARK LFRLAKSLNELQTIIDKFGQNCKNPQEQVAKALNILTRVWFLLYWLFDNLSVLSSIKFTT SDPKPLQKKAMTFWFIAILTNLVDTIRQLVVNFQYIQQNKSNQSAQQQVQNKKNQNIALY LNLIKIFGDLMPAGQGSEFFPKVLKINLNDGVIGLGGLISGAIAAYQAY >CAK91185 pep:novel supercontig:GCA_000165425.1:CT868661:333947:336189:-1 gene:GSPATT00023913001 transcript:CAK91185 MNLTFEAVRKIVQQGQNVTDLKQKIDCNYTLQIVENYFPQSSKEKLDQLLCFRVSDGYAK MKALFFRTPNPDFVQLRETDPLVKYPLIKVTSFRILGRPVEGDDFPILILEFERLQILEK IIGQPMSFKSYVANGYQNPNGATSLDDYEFAGIDDLLFSNHKSQSIGYNQPKNTIQQNIQ EIPNKQEDKPKQGIKISLSKTKFQKQQQADPPQTELVQQQQKAQVQKQSYDQMAQNQQFQ QIQQNKNNQDQLNQQQNQKKQQLRVQIQPQQQQQQQQQQQQQQNNQFNNKIPNQRNNKNN PQIDFFPLYQINPSFQQPFRGRVVKVNEIKSYTTKNQKDGKMFGIQVIDEENLVSAMFFD SAAEKFHDYIVQGRCYIFSGVLVKPAKNLEQLQKIGCLPFDYTFDQNSQIIEIDDVPGIP LNTFNFVKLNSISQQKVGSIQDVVVVIKSSSGVKEFQQKNKDEKSTRIQLGVYDDSLQEI EITLYGHLAQQYPYQVGEIYMFKSLKINEYQGRKSLQNNYQTSIHFDKNQKEVKELQNWL QNFDGKLAEPVSKIKLISELINESNKCELDQNLKIYSDVRAQLVLVKNSGTLYYQSCTNC LKKVTTELDSYFCSSCNLTLKEPKYRYILNCKIADSSGNIWVSVGDQQANQLIDIPANLL KQLTDEGKEKEKNEALSKSAYKEFRFKLTSKLEEFNDVKRVKHAVQSITPISNADTQAIL NQIEFYMKNI >CAK91186 pep:novel supercontig:GCA_000165425.1:CT868661:336196:338189:1 gene:GSPATT00023914001 transcript:CAK91186 MQQQSELENFQVDWSHVSKPKLSEKRPKKQEIKQTAQTEVNVYDRISICFRYRISALITQ MGKPQYYCKFDPKLKKTVQPNNNQKKNLEEQLSKIAAQLELSCRFITRSMFQITRQPLLK SMGIDIRSVLNKAQNDKEFQKLYLDLDDQSEEQRKKEEETEKILAQIQPLSEAQVKEDIE KLRKKHNLNQNQYLYVIQGSYFDDMKTLFNQLGWVEIEDVYTQVYDFKFCYLKKFLHKPI PEQVVSRFASTKLLTTKFGLARSLKSCFILGGVDPNTFFPRCYDLSDEGDFEDFLEEYKF TFAENYLKKNPKDEEKILIAIQILDTKLMSIQNKINRITADDYPVCTPQQWKVLYNKPEP KQEIVQFTNPDIMEQVKQKLSSLAIVDPQFKISKGENIWVTKPCGLSRGRGVKYFKELEE ILAYTFGAKDVNFVAQKCLENIMTIEKRKFDIRQWMIVSDIQPLTIWMYRECYVRFCGVE YNTEDLKNRYAHLTNFSVQKHNEDNQEEKLMMSQEEFAQVLKNRGFDFESQVREQFKNII IATMKSCQKSIEIRKNTFEVFGLDFIVDEDCNPWLIEVNASPTFAFSTPVTERLNKMGLT DLGQFIVEHVYNKNKKKHYGGWELIYKQKL >CAK91187 pep:novel supercontig:GCA_000165425.1:CT868661:338296:340737:-1 gene:GSPATT00023915001 transcript:CAK91187 MKIFISLITTQSLSTIIALTEFEEPKEVIALALELAGMFVLLLLYKLKLPELFQRLILLS QAILIFFLMPNSQYKYFTGAYHIIIRLIAFQQVSKLLILEWLALLVLLFTLGTAIEIHQI FRSIFAFLCLSAIQSFNLLAQMQKDQKKPEIMVHSKIQYTGYDSIPERSPDPNFSVLYLD DQFNETSFQNQSSILKDLKISLQSILHDLIINKIDSNSKSILQWKNPGCSLQNLLDQIRA NRLPMIVQILDYSHPKLNNHFIKIIFTHDFKIQFLELEERDRYLKQKYIFVILKQLLSTM SHEFGTSLNYLLAIAQVAIEKYSNSDMLSYFMPIKSMGLIMHNFVLDMVDYNNIQGKKLE LQLEKIDIIQLLEEVINIFFHTLQQKGLKITYEIFLAEKTILTDGRRLKQILITLIQNSQ KFTSQGGIKIIVKSIEQNKYVQFEIQDTGIGMTQNEIDDLTEMLKYNYKQEKKISKNTAG FGLGSFLCNKIAMSLSNLKYEEGGGIRYYSDQENKGTNVVFKIINEPFSITYTLTAPQDN GSGILKVGKNAIIDLKQSQIDLGVSFFKNQFTNNFSTVFLPKVKSFHDELVKERFTTKDK FGALFSKQIKQTTKELNSINEETNEEDYQKRLQFQNIRQSHFPQKCKSSKQSSCDEFENV IVNCSCKQILIVDDEMINILGLQLMLKSFNQESDASYNGQEALTKLDQAHCLYNFIFMDI NMPIMDGFATTKAIIEKYQKDAPIIIACTAFNDSETKQYCYEIGMSHFISKPVNKNELQK LLSYLFS >CAK91188 pep:novel supercontig:GCA_000165425.1:CT868661:340991:342284:1 gene:GSPATT00023916001 transcript:CAK91188 MQQHQQQNPDSVPLDILLAQLKNPLIVREDHQQLLKQKHHQMPEIINNNYELLADKYLLL SNALPGCSPELIVEEFEKVVQVVEKCCNQFIEKMKKVIKDLQAFANYDTQVLKGYLTQAT DVFQRCFYLAEKDFTSFDVDFLMENFTNPENSIQNHLLTLIFERNQNLQNVMSKVYTEQL DFAASFRAQFEQLCNTNHFEPLNKLLNNQKQFWSNRVKKQIQTQKQLMEEQQAQQVLVQS IIQFAPQIQQFQQQQQYQQQQQQQQSQIVQSQIQQQPPQDQSWLTKSQMISIEAGNQQLI ETMLKLRAHTHELMQQHDKESKKDPKRHCKLEGGGSFGYCWTGGYGWKGTIYEGLELSNQ CPQCQVLNKDMHNTAKKLYDLEYRDKGTWWVRAWFLYKR >CAK91189 pep:novel supercontig:GCA_000165425.1:CT868661:342323:343978:1 gene:GSPATT00023917001 transcript:CAK91189 MTSQVLPLQTQEDENLNSDNSQSVISKNSSVRQQPTAPVPMPPNKLRKRGTVQLENEVEE EVNSIFHLSVILIAIQSGNFSLGYSLSYLSMSFTTLFSQITLKGSEIEEQGLFSAVLSIG QVVGALMTQPLLKYTTRNQSLLIADICGVLSILQVVPNREVILTFRFCYGVCLGISTIIM PLYVKELCPQKYYESFSVMAGFLVGGGLLFTNFMGLGYINDELRGVNSYYWQIVFAIPAL IHFLRSFIVTAIYKMDSPVGLVQIKMPLSAKQIIEKIYQPQFIDQVLLKCQLRVQYNEEH QEGILSLFTKKHFKTLSIGCVLVFVYTWCGLFALFSYSSQIFAIMSDGDITLNTIFTLII GIVQFTPAFISKYVYGRWGKRPLLLNGLIFLIFCQILIIGLSYSDALVATIIKFIIICLF SVQYAMTLGPITWSMTPEINSSEGTYFCFVTLYAWQLLVLYIFPFMLDGIKMSGAFIVFG ILTILSTIFFYIFVKETKGLNHKEIDRLYGKE >CAK91190 pep:novel supercontig:GCA_000165425.1:CT868661:344108:345686:1 gene:GSPATT00023918001 transcript:CAK91190 MQKYFKKIFFQQSKMANNVFQCRLKGYNQQDYNNYIHQTIDQDETLLDIFQDQEGQLGFN NYLKHEIFNNPDQLRSDLDAIYEQWETNAKIQLKRVEIFRLIMLMYLGLLERDFSQGGFY LIDMVYIKSNKSHLCEQKLKCIKHYIKTFYLNQETIKDEYLVFSKNSITKEDFNKKFEEC NNQVIDFEFSELKNEIHYNSTVVDFADENIGGLVLDTWNCAQEEIIMLLYPEAITCMIFI PKMKETEAVLIENVKKYSNYTGYEKSFISFPSEDIKENYNVLAIDAKPFYSEDQFTKENI YRELLKCYAGFELSLKNKPNCVISTGKWGCGIFRGNIYLKTLIQFVSYAIATNQVKQSNS KIIINCANDQHLFKFGTTLKQWLQQYGTQLKLINLKESILFLQNGINDGMLQNYNTELID QIFKILTKDIPQFQTLSQNSKSNDKVDEPQYDIEQEEGQNEKFNKNIESQQQDQEQNQKG YIITNQQKVALAAFAFGIFLARVYIYQS >CAK91191 pep:novel supercontig:GCA_000165425.1:CT868661:346109:347092:-1 gene:GSPATT00023919001 transcript:CAK91191 MITFNPQMQFNTFEYQLLQENSIKEPEWCFSFAFNKDSTILAVGCQSYIKLFEFTNGKAL LNQKLENTDFVRAIYFMKNSQNFISGGEDSSILVWHQDQNHQWLIFQRLTGHSKPIKHII MNKNEDLIISCGNDQTIKFWVKQIEWVCSQTISTHTSNVLSLSINESQNKLISCSEDKSI LVMELSPFTNKWIVIQRIFVHEQGKRLCFIGDNQFTFQPKNKNILELYSWNSTSKQFYLE MEIPVDSSTDTNYYFPQKFIHQKQLLINKNGNYINMLRKRADGKFIQEKAIKFNTNQIFG TMNDSGDYLVTWDQEHKQLQIRKFSEI >CAK91192 pep:novel supercontig:GCA_000165425.1:CT868661:347848:352792:1 gene:GSPATT00023920001 transcript:CAK91192 MNMSIVFILVGLIDTVYSSWRLIYLYLYNDEKFTYYNGPTAEQYSGNFLLPSTQTTANFI TCATPPTSYITLSSASPSAQNKDNRLLSKYCYLTVDLYFQGTWTSETVTIQFSSFSYTYN YIPPPNYQVSNGFCDNIPYDIRTLNFAFQLPSPVYGNLQFTSSNTNDGQVSIRNIHITDP NLCYYICETCTADNYKCVSCYYGSPTNSVCPPCPSNQYFEKKYGCRNTCDIYQQLYSNGF CNNYPVQTYSNVLISTIKNEWSIIYDPQHLDTSPTILGSGIYGIFKFNSGIYKFYYSNLA VPYQIGLKISVVTYNDIPINCGISFMINNNVIGIIYRNESGYQTHNFIIYETNSLPLSLG YTSIQKFHLIVYAGISSFPILFSARGNYNDETAGWGLEYVYIVEALCPQYCQLCEVSFKC KICKSGYFQYKNNTCIANCDQPYQKLDGSYCYDFDDETPYSQYQTYDFVNNTFDPDYKTG YTLISQTGTNFMKGSDIYYSYFNTLRIFGGPFTWAQAKFQRIHNIVEPHYSITIAFYILY GPAFPSDGQFIYTIENKPPIYKSANSFQGLNNYGGKFDKVYERIDHYANTLTISWECFGP NNEPVNAYCGFYYYYVTIHKCQPYCSSCQDLNTCIQWSLNYDPNVVKFSQAECLSNEYYD KYTVGCLVCPASCLTCTSKIDCQTCQPTYIQSKLGCICKLNQWEDQNQCVDCPIECNQCI SPTQCINCLVSNNRQLKNQQCICIDGYYPISGNPKCKRCHQFCDTCSGPTSNDCLTCNNN IANIETVGQTCQCPTGYFYKEVSKTCSQCNFTCQTCFNGTIDGCLSCDSNLNRILIGLNC RCLPGYYYLNEVCTDCPIQEVPNFWACFKFCPGTQQVWHTNTCNSCDSGFELVSGQCLPI CGDQQIIGIEQCEDNNTTMDDLCYNCQFQCPVHCLTCNASTTLPCPDICGDKMVTGIEEC EDGNNIQYDGCFNCMYSCQPQCTKCIKGECFECLTSGWQIDPTVQPWQCKEICGDLKIVG QEQCEDGNQDDKDGCYNCRYFCRYGCSSCNYTNKTCLKCELPGFIPENYYCKNICGDMLV VTDPNGFYLEECDDGNTINYDGCSDVCKFQCQIPSICITCVNNRCELCANGYQLSNQKIC LPICGDQVIVVGESCENSFILPYKGCQNCQAKCQATCLTCDNFGLGCQICKEGYYVIDNL CYSICGDKIITEDEECDDGNLVFGDGCHQCSYSCPQSCSICHKGVCLECYEDYYYSRDTC IQIKNEHQDARCDSNCLSCSIEGYGCLMCKSGFQNIDNKCWPVCGDGLVLAEEDCDDGNS IFGDGCHQCSYSCPLPCSLCSKGVCYDCYEGYQYIKNSCVKLKNEIQDARCDSNCSSCSI EGLGCLVCKSGFQNVSNKCQPICGDKLVTAHEDCDDGNLTFDDGCHQCSFSCPISCIDCH QGVCLNCGQENFYYEHQCFELLENVYLKEDTNFEFVELVISQAFIANPSLTSRIQMKIFD FYYQDYSQIGQYIEIMDSSFPYIDIEIYIKCQENKKLESQFYQQNYQNGFEDSQIIFSQS CHNTFNHIIKFSFRLQKIIMKNQLLIIKISEDQFKLSTLFTTFRQKLLEISFIDA >CAK91193 pep:novel supercontig:GCA_000165425.1:CT868661:353857:355797:1 gene:GSPATT00023921001 transcript:CAK91193 MSSQNKLVTQEIEGIIWNYSLNPPEQLKCKFQIRWTQDQKVQYILDGCILRIDSIYNTSK KAEVLTNLEQIKHLRWIGQYGQNNLKVGKWLATWKGETLKDVGGEYLNDEKKQGIWKDIV QNYQTNSQAYIVGRYENGLKLGKWQYIYNDKEIGGGNYNQQGVQVGLWQELSDGFWDQKQ VTHNGEYRIGKKVGRWNIKYERQIIGGGSYNVESNQKCGKWIELSENFSEDSKVIYEGQY QKDKKIGLWDILYKSEKDQPFKQIGGGVYLEGLKTGKWIELRDNFENYSQVTQAGQYKKN NKVGRWDTWFIEKDAQTIKLIGGGFYTNGGDGIKIGKWIELSDGFWDRSQVFYTGEYQNG KKVGRWEISYWKDYEDNNQNQQLGGGSYSKVGDGLKVGNWVEPSEGFYENSQVTYNGEYK DGKKIGRWDIRYRKDNKFEKIAGGLYSETGDGIKIGKWVELSDGFYDHSQITYDGEYIKG KKHGRWDIKQRIYKDNIQIGGGSYEEGIKIGNWIELSDKFDNWNQLIYIGEYKKEKKVGV WVQKDRNKNENHKEMRYDD >CAK77691 pep:novel supercontig:GCA_000165425.1:CT868284:3446:6704:1 gene:GSPATT00039244001 transcript:CAK77691 MKNLILICLLAMVLAQQVQFTNRCNDCGQLKSQNDCEQEVTVTGACEWVAASETTPAKCQ KKTTIDPVASFKPYCEIVDKPETNCAKTFGCAYADSKCTHFTGCPAYVKTTTTDCQAISY FCVSDGNSCIEAKECKVYKQQQCESTPSISGILKCKWDTTAGNCRDYACSEDDITLNTDA KCSSWLAGCVKKDQGCVNAPRTACASYTGDDAAYQSYIGSDGNYKLATGTTNCKAKECAN APTSLASDDDCKAYQKGCITTGKGCVLATTKPLCSTYSGDNTACVGYLGSDGVCEGDAGG SKCRARKCDNGSFNTDDLCKQYQNSSRTNGKACQLPPTCAGYIGTDGYCKGTSTTTEAAC APKVCDEAPDTTTTDDACAKYQVGCVTTGKGCVTKSNLKSCTTYEGDTTSYQSRVGTEGK CTWKSGTKFVARDCASAASNVNTNPLCANYFTNCVTAGSGCVSYTTCDFTVKQQSCEGTN NCSWQPICTIIHNAVIIRKNQFVQQIKPELKHKMEQMIKEMQNSFMQQENVVDLACSDLT GDYYNTYANCAADLSSCISNRVDACITKYDCGKRLGTQSTCLNYPGYCTNVASATDTTPC VQRKCADNTDAQDNATCATFLPGCISSGKGCVDYNTLCTSMKGTQENCNKLFSFKTGSST NFTTQQCYNSASATDSDFCKVKICKLAEIQTDGSCGSFLDGCVYNAGDTTCASYTGVAAF CESAIVGTNSTKYCFGTATSGACKMRECTDNTSATKDEDCEAFLAGCIAKNEGGCIARSA RLCPVQNGTVSTCPNFSGGLQVGSDWTKIGCTKYDTCVDRQCADKTSPQQASDCTNYKST CRFKQAGSVCIDAGLCSSYSTPDAATTNQQKFGYCTTVKDSSGYVCGWASGTKCAARTCD QFLSTFNTSLTCVTYLQQGVSSAVADTCKLAGTFCYLPNILDCTYAFPSGIDTDAKKLTQ CQKYVNSSGSGDATCTQQNTCEKVVSQTSAQTCNDILGYKKGICQKASNTGCLSTVAACT SYTLDSSSSDANKKATCESLKVVNNVSNFGAGTA >CAK64760 pep:novel supercontig:GCA_000165425.1:CT868034:939:1166:1 gene:GSPATT00034237001 transcript:CAK64760 MCSNPRLFQSIENEQSWDVLDILNKKLLNADLYFQELKTNYAALSSIGRIEKCSLKIQIH SVELKIRSIFFNNFY >CAK64761 pep:novel supercontig:GCA_000165425.1:CT868034:1487:2038:1 gene:GSPATT00034238001 transcript:CAK64761 MSDPKQSQVKTQDLPKKKRMKDINLYSWPRIINTKYRQVCFNNIVNLTFSNTSASEQICF NSIFPWKLQQLQQECSLSEAQCDTIHLDVLIIFFYQSTIDQHKTIWGAIEPDNRLIKTIL IYLQIKLRISKHIASYNKWNQRLLFCLSNCRLANIKFSVNSVVSPFHKLTQFSRSQLLIK IFG >CAK64762 pep:novel supercontig:GCA_000165425.1:CT868034:2069:2471:1 gene:GSPATT00034239001 transcript:CAK64762 MNQSLKQLRLVNQKIDKSNINVAMLQENTQIKVAAAIVSQIDTLNIKIIFIIISRNFEAM QVEHNLALLNTHRVIQSVNSTLQELDLIDSHIGDCKRKLISSKSQDQNPTILILNFTAVV QIFMQ >CAK64763 pep:novel supercontig:GCA_000165425.1:CT868034:2572:3126:1 gene:GSPATT00034240001 transcript:CAK64763 MKRNTKLQIAIYNIAHMYNIYIKYEDSTSITITTFLSKLSAQPIINKIQGKGNHLYMIYR LMSSLNQCLGFARKSYGVHLNNYELVTVQFYALHCPEESNQTLRVRKHKKGLLCCRIKVS LRTCLRLVPIIDNLQKSLILFTRYESDFAAKESGVLKSQSQVLVSQVQQLEQINSKKQLT SDTY >CAK64764 pep:novel supercontig:GCA_000165425.1:CT868034:3563:3988:1 gene:GSPATT00034241001 transcript:CAK64764 MHKEEKVEIITISNRGDIYGVYIQIVGRSSASICQHIYYRLQTNDSSKYTSLQLNYALFH SCKKRNLYIKMRSSSHLCLICIDRAFNSFPQTLNYKGEIRLGLSKRYQEHLINYNQFLEL YSRKSQGLQVFKLCGKIPLYK >CAK64765 pep:novel supercontig:GCA_000165425.1:CT868034:4221:4679:1 gene:GSPATT00034242001 transcript:CAK64765 MINCLFYNSTKFGGVYKKQCYIHNINGQWVYNRYQINIASQRDLEHYDRKTFQKNAAYQR RTQQQNYEIKLCKGRIWFLIQVEKNCNLTLSRAYHNYHDNNNKSLFVFILWSYYCNFRHN QLSSREWCKLVVLTEYEDIFIETEKQKRSVVN >CAK64766 pep:novel supercontig:GCA_000165425.1:CT868034:4818:5476:-1 gene:GSPATT00034243001 transcript:CAK64766 MDTLTVLTILNIYPYNIFSSILDICLIQSDFFIPLQVHYLKFVKLQNLFKIYFLTQPLNK IEFMKIRRMLDLQTLCNLMQNQNLSTQIIIIIFKSILLIRVTKDFSRAIQIVTHAIYVYI LSLNALLMRITQGMLLDILAACLAQQQYMEFILSYFIADKQQHCLTCAFQINANLSPVSL KSSGQLTLQTYLFTIYLHCRISQNDQNLL >CAK64767 pep:novel supercontig:GCA_000165425.1:CT868034:5625:6828:1 gene:GSPATT00034244001 transcript:CAK64767 MAQKYDHYDLRKQQCQPVFELCEQNIGSNLFRNNFQISLKGTDGIQIKKSLKKLTLLIET DICAQHIEQSQDENSTTHNIITLQLLIRRISKRIVDVLEVQQIVLASRIIQISQIIFRHT KWVEVYLFWKLVDAQSMLCISQDKKFNYYSDIQLFQAQISHRMQRSTFIVWISFQVMDSE LQDLKLILQKLHPAENKKLSPRIIFKSLKCNKCRHVNSNKVTLLLAIKCEHGCYCVAEGQ IKQDNDTRQRYSQKIQKNLKQASILPDVNFLEIIIQVVVIFQQLIRELLYKLEQLVMIQE KLHLEHHLMKNHSTQSLAYNSSEAILINITKHNYKILNWFSHTVVPLQISFIILCPSSYT HILIDIATSKLNNEYCDCVQIQQTFSECYIIGS >CAK64768 pep:novel supercontig:GCA_000165425.1:CT868034:7378:8132:1 gene:GSPATT00034245001 transcript:CAK64768 MSDSIFILLQQFCQTCFDCSQFQVLPLSMLYDQITEMTCQAAQNNAQLYVFMINKIFLKN LKSNIQCPVSLLSLEQNIQSLIFVYLRIYFRFQQCSQITTYCMTFVCNNGRSEYPTCRNS VAYPTNIIIHVYLAISFSCMQNKCNICCFSFSPDFMIISAHQIKLAKHVLLQKFSECSNC ILSIKLVQQVLKLPITCPIYFVNIRQVVILIHAICYKLSSYSKYFSNNKCLLILYLRMLT >CAK64769 pep:novel supercontig:GCA_000165425.1:CT868034:8291:9501:-1 gene:GSPATT00034246001 transcript:CAK64769 MSIVQLNYKKQPIQTYEQDNQQQVVMDTLEDILLNKGETKLCFKAKKETQINVIFVQNKI IETEIPQQRQYQEQKINRNSQLSPFYIKPAPNHNYSRQYPEYIKRINFLPKGEGTQKENN ELKKNQEQKEERNKLKDFVNEIQFESKEADINELQQIILAKEDIVKSLEEQKNMKYSGNQ VRLSDQDKIIMLDRKFTYFQGYLTNRSKYQTLQSSILEIKIFNVTNDFELSLIKQYTQEM QERYAFYINYDEGVYGNPLKMNLSTTDTRQFLSDFIWNNGSPTWKRKPYKQVKDRVGVGD LIFLTLHHVVSNQLRPLGLCTVQSIFIIKGSLIYSSVFNLEFAYFSGLGYYCDNQQFSMF KYARYPEDGEDGYQNVDIIKVTETQICGVYL >CAK64770 pep:novel supercontig:GCA_000165425.1:CT868034:9543:10145:1 gene:GSPATT00034247001 transcript:CAK64770 MKRNSVLYETYLKYWLINKMECSPCQIYFQILLIIVTSKKFIKTINTYPKVYLKLVLGQQ FLHQKEQRLFSFGGVKSNDCSDVTVEKEERNSYLSLIRNKYIQLILIEIVGLKCICSIYY YQTKVLSTQSSQIMKIILICNLLAQRKRPFNNGTCHIEVYIVFEIFWCHNSTLEVGRNRL CTINIYLVRLVFSHHRFLIL >CAK64771 pep:novel supercontig:GCA_000165425.1:CT868034:10666:11109:1 gene:GSPATT00034248001 transcript:CAK64771 MSATRGMLIQISGAACYHLSSRPLHICIQVMQNQMLQLGKYFIRELEFQYSKTVLSLSPY TKVCENGDNHMKSFKQKYLIFVILLRIPPQYKTRAILMNNFQHLYSHFLKEEFQVLKLRK PSLHQFVGLYIASYSQLI >CAK64772 pep:novel supercontig:GCA_000165425.1:CT868034:11194:11383:-1 gene:GSPATT00034249001 transcript:CAK64772 MLQVLSDIRSKMFVAPYPPDYSIAFWISSNRNAITDLQRLSLVKILHNGFKKVIT >CAK64773 pep:novel supercontig:GCA_000165425.1:CT868034:11713:12123:1 gene:GSPATT00034250001 transcript:CAK64773 MQERQILEVRPNTNYFNLIVINIPLSVVSTNASNFKFREETLCKLSMQSKTLLNHYGKYM SAQLGFNNQHIQWRKKFYIHKFLMIWASSMFSTLGARSALSGLINFAIPTRVSEGITNYS SQKCTCTRNIVKLHTL >CAK64774 pep:novel supercontig:GCA_000165425.1:CT868034:12187:13074:-1 gene:GSPATT00034251001 transcript:CAK64774 MSVIQHFSLLQPCPQCISSYFFQSTSFKSSKSGVAMLTQLLVVFYVPSYYHQEFSLKAPT CTLYSRGQIQSIAFVNIQSTLEQFYNRYYLFQFQGQTTKYTKIEYSSFVFGIGTVNTDAI GSSEGKILQFLRQNQFLQLCWVHSTINIMLLGLSEWQSSFHFARLRYYLFCLIRFSIKSH PCKLLVLKLCYVINQFLSILNLNTIYCRVCGRLLYASISDLKKFPKNKQTLASYLNLFIL PLIFQVSNVLKVMHSTSAALENLELFFVYLILALHISIANLEVMINRHAPKHKYY >CAK64775 pep:novel supercontig:GCA_000165425.1:CT868034:15206:15994:-1 gene:GSPATT00034252001 transcript:CAK64775 MENITIKIIISHKLKSSDTPILQFWEGLSILQDMSFYVYYIPKRVQYSLVLLDMEQFLLL SYFLEYLSFLKQLNYIALNLFYIYIHLIFEVKKDIRIRHNFIQINDWPFYLDILKRIIGF RWYIFAPDTSYYMQMNRNQLILSFILFTNSSYQMFDLSFSIKSSYLLQLESHFLIRSILR RYDMQNYLILKHHLISNYFLGNLFSMRNEQDRFNQLFLILKKEIDFLLLFSNIKQMSQLS IYDCIEGRLFAFITYQDQKVEL >CAK64776 pep:novel supercontig:GCA_000165425.1:CT868034:16806:17460:1 gene:GSPATT00034253001 transcript:CAK64776 MRQLSQLVYLYNQATFQIMFRLILTFNYQIVTNKKFQYQFLTLNQLKLKIKKFYQYDLIF NYFSNSTRPSIILQKNRNHVSQGNEHKINVKFILFLFLIFSQVHHKKEFNSKPKFKILSF STLLDYIIYTLDSRFYTILNRPHFQFIEMQQVLKWTIGFSTDLYYLYNSSMHFILCQCNF LSYQFSAVDYELHASYCFPFQQFQVMIRIL >CAK64777 pep:novel supercontig:GCA_000165425.1:CT868034:17903:18295:1 gene:GSPATT00034254001 transcript:CAK64777 MNLLQLSNILIKKFRSPRSISMLDPILIHLSKLETHILLSKISEKFNTQFQFSQELILLF YEYCLSNSVSQEYLLYLMINLLHNYNQSKKLPIKPFYLQFFQQKLQTTQLKLLPCISISV GLVKVPAPKN >CAK64778 pep:novel supercontig:GCA_000165425.1:CT868034:18410:18954:-1 gene:GSPATT00034255001 transcript:CAK64778 MVENVYHFLVLRFNQKQVFGLQVDVFGTKRKKVGSIQKLFIYEQSIFLAVIMTMNLRIIK INLNFQNALSNYYLHVCMEEKGFLLFKIGNIKNLPNLRISMRDICQLTGLRCKYRKELQC TSMIGGCKDTGWTSCLWAKETINGPRFYHCKQSTALGCIDQDPLDNYGDLLN >CAK64779 pep:novel supercontig:GCA_000165425.1:CT868034:19181:20271:-1 gene:GSPATT00034256001 transcript:CAK64779 MPISKVRPRLVPTVKDKEIGICNQNCKWNDAQNNLQINLIIEFQLSQINISKYQVFNIQF SNNHVFVLSHSYGVNRFLCSLFFEFFFQYHLKNEIISIGKLMIHQYNQIRFNQIWIIFNS QLTTKLSKQIFNKCTPRCCNYNFIMRDFNKIRKNKFIYLEGDSDRFQYQYLQCMMKQIFT SQICKLSLKELVKIIISLLQGQNYQFQVFTLLSTMDFLQEVSNNQANAQTDGGNQGKLQK ISFNPCFFYHQPSDNKQLSLQLHQLFRRNWNKQSPHVILMQISLHTLIYSHTIFQSLLLN TFSL >CAK64780 pep:novel supercontig:GCA_000165425.1:CT868034:20416:21190:1 gene:GSPATT00034257001 transcript:CAK64780 MPGVSFIKVLFLYPIFYYQNCMDAQSGDCFNNDWSNQVAECAGILFKGRFCCEDQISLQI NNIVGLKFRFGFTIVKIDSWDPGVDNIKVIAEDEVLDTLSFGPYEGTSMCFNTDYQDLFA SYSYMFNLPQGKNSLKITLKGYFNEKVENESWGAKRFTLEMFIPCVEFYSECNFQGQAWR ICNGYQTSQVRDIPFEIKSIIIANGIQVKMRNPKYNSGQTQTFTSSQQCLSSYQFPKYNQ NG >CAK64781 pep:novel supercontig:GCA_000165425.1:CT868034:21650:22366:1 gene:GSPATT00034258001 transcript:CAK64781 MQLGKLQIIQFEPIDLDNSRIKPLFTLMITINFIHLFDYFIYYLNIQTQSQQIFHQIVSK LELQLQILLDHLSSLKLTFSQKNIEDEDFDEKIKHLMIKSIKNMCHQIKNSKQMHINIQE QNRSIDLFMTKRQTTIKLINQACALQLNYYYVKYCKRGFPVQSIIFKEGVIKSLNLKRNG KLPKDFPVDQRKRNFKDCLFQLLTNILQKKLFYSFDKCSNDIKNLQQVQKC >CAK64782 pep:novel supercontig:GCA_000165425.1:CT868034:22534:23432:-1 gene:GSPATT00034259001 transcript:CAK64782 MKLHLFLYSYDYLTKYLYQTPSKVKTEPIFYQNATSLANNQVASRKYKQWMHEQIKSRRK SRRLFPKMLLSLLNYPVFKSTAIGLRVAQTAVLHNSTIANNINITYLFQNRQRLIQVHKH CLFELIDQSSIQNFNPSQIFIINGILSDSCLIKSNAQFIFINYLHQNLSILLFSINYKLF ILQGFQLYPKWNLILTQKIQQINFLQSINSFLLSCKIYAFFLLVQISQDDKVNLFVVYIQ IGEMVLLNHINDCIYVFPSSHQRIALLLAAYKISSLVNSPSPSQINLFAHFL >CAK64783 pep:novel supercontig:GCA_000165425.1:CT868034:23486:23964:1 gene:GSPATT00034260001 transcript:CAK64783 MLNFSFCRMLSNQSENEINYYVEIHSHIQWNIEIHYPQNSLILISQIFVIFFKRLCYDVY QLKTLQFFSQPFPSFLKQNEYLVIQDIIFFLPITFQLLLNGKTEQISKFYQIEKIFKEWL VSSLFINCGIHVLIAFCRFLNLFCVCFSC >CAK64784 pep:novel supercontig:GCA_000165425.1:CT868034:24077:24418:1 gene:GSPATT00034261001 transcript:CAK64784 MIYFQQVFLQMILFSRSLFKTESNIIWPFSSDEDSSEFGYSVFKSLVNSNFQFSLKLALQ NPAFYTVPFGLTTFFFIIFIHHLPHRLLDQAFDQFYSKFLNQSFIPCQGIMLK >CAK64785 pep:novel supercontig:GCA_000165425.1:CT868034:27386:27920:-1 gene:GSPATT00034262001 transcript:CAK64785 MFIKHNSLHDRFQGNYQQQLILIRISQTETYSDISGLLSHLFSNCPWRPICTLKGETTEL RFKVLTKFYRNQCHSLNLLLIRNRSLLSQQLQLMSIHYCTSQYHQLFLYICLWQY >CAK64786 pep:novel supercontig:GCA_000165425.1:CT868034:28881:29588:1 gene:GSPATT00034263001 transcript:CAK64786 MLNGFLLFLKLIQNIYASIKDSYGPFLFIDSLNSMLASKFFMKKVNEQYKVEDLRDGYAP FCKHLFLENFTETPNYTLEITKENEHLIRTAYKARNEKELPVLARFIPIESIQLQKAKYL DIILYSKEYFTQRNEIRGLEDVNEAIDYDYGIIGIKPQDGTDQTPMPPMTCMRNALGQNF GGSGFAMDKEEYLNCVDYWSKHIYAEHFCSPLF >CAK64787 pep:novel supercontig:GCA_000165425.1:CT868034:31234:31716:1 gene:GSPATT00034264001 transcript:CAK64787 MQYKGQKSQVLTLNIFMFNVGKMFQKNKGKENIDLFFQQGFQNQTNYQLKVREVKSLGFT ILKLSDKKWLTNAIFTLNQQRQILFTILMQQIVAQLKIMQQHIIFKKYLLKSLVTTILRE QLKAFSKKKSWVILKIKITEITWSQYEQKLSAYSKKQGQQ >CAK64788 pep:novel supercontig:GCA_000165425.1:CT868034:32315:38770:-1 gene:GSPATT00034265001 transcript:CAK64788 MCYQNHLCSCYYLSCLHCYWTGTACVDKTCTNAPATMTTNSACAGFVTGCITKSGGGCVS NGACSAANVQAACVKNTSGTDCIWDTTCKEKTCANAPTTNNTHDLCTSYLSTCTVKSGGG CQPRTCANAPTTITTNDACEAYLPANNCITKSGGGCVPNTTCAVITLEAACVKNSSGATC FWDSASSTCKDKTCANAPSTNTTNDLCVAFLSSCTVNSTNAGCVDKTCENSLAQTICDKD LNNKACIWKGKCYKRECVLASSTTATHADCQTYDVGCTLSNTGTGCVPLPLKCEAITIEA ACNIRLQVTSGVKSYPLCGWNGSSCIDKACSTAPKTTATTSDCGTYKSGCVANNPVNGSI QGCQDLPTTCAARKSTENCEITRTGFPTCLWNSATSACVEKSCSTASVTTTTGFLTVFSN TNCLAYLSNSACIANNTADGCIPKPSSCGSLTTSANCGVGSKANGDCYWNGTTCVDRTCS NISLSTHSGCNGVLNTCTVNNAKTICQSLATACTSYGSSENCKQTSAGKTCIWTGTACRN ATCLDASDTNSFDSDGECSAYPTPSDTCTVLYKTGAVGCVTRSANCSDYVSQAQCVRTLT AASDDCTWKSSHSKCFSNTYLSGACSTFQGTKTLCEAIKTGCTNAVGAVETDTCTFSCAV VTGTSLTHAGCQGYSTTCTANSGGTACLTLAAACSTYTAQGDCVRRTDGLSCFWNAGASP AACQDITSTNCNALASLTGATHASCQAYSTACTSISDGTACQAIQAACSSYSALTACTKR TDGLKCFWNTGATPAAACQDITSTNCNALASLTGATHATCQAYSTACTSISDGTACQAIQ AACSSYSALTACTQRTDGLKCFWNTGATPAAACQDITSANCNALASLTGATHASCQAYST ACTSISDGTACQALQAACSSYSAQTACTQRTDGLKCFWNTAATPAAACQDITSANCSLIT GLSSATHATCQAYSTACYLNIAGNACQAFSTCEALTGSNLTWTICQAFSTTCSVKRDGTG CVTIQSACTGYTTIANCYRSTAGYCTANSGDSACQAISASTTCEQIKLGSSFAFDDTKCN TFKTGCIALGTSGCQTKTCANKTTPFAHSDCNTWLSTCTSNAVSSPTACTTMAATCASLT TSTCVYAVEGECVVSGTSCVRKTCDTASADTSFDSHAECIAYLSTCTVARTGGCQARATC ASYKSSQQCKFNSTGGKCFWNPNNKTCVDLNCGNIEATTTYDTHAECVAVDTQLLCTVRA TNGAAVPGCMARGACSSYSIEDQCKTNPSGGVCVWNTNLTTPVCQDKSCTTAPTATATHA DCDSYFSTATIKCTVVATPDTNGGAAVLGGCQQTAACSSYIHQEQCRFNATGDLCGWNGT QCADKSCATAPATTDYDDNDKCRAYFNNKCTVASSGQGCVDIPDTCESMTQKQCVSDKTG RSCYWNGTACITRTCENAPDSTASAEDCNTYLAGCTLDSVKCKTKVCEDFAFATDALCKS ALSTCTTNGTNCVTRGTCFQAQNQAGCVTSSANVQCEWMPAVGTNQAYCTVKTCNTAPAT LTSESACASYFTNCTTKNGGGCVTKSTCSAVTIDVACTTALNGTVCAWDSAQNKCRDKDC QDFSGTTHAACQTQRAGCTAGANGKCARVQNCEQTTIRSACIEGTNGPCLWINNYVNSDG SKGACFRYTSCKSLAWNSDTSCKWISNQCTTNGSNCIGITLCSETNTDGGCVSGYDGACI QSVPALNSSDPKVCKPYTSCADAFYTTHSDCQTASKKCTTNGTTGCIALGCYFNDKGALL TSGAITSTGICTWDTTASSCRDQSCADLTGTTHATCSSQLSTCTSDGTSCLVKGACTSYT TQTACTTAVGSDGICYWELASSTNNNTAKCRLLACADIQNGTSTNVCAVALSSCVSNGTR LALQKLTTACNSGGLDGICVFTQSTATGAAAGTGTCALMTACTTANSDQVACQAAKDRCS WTAASGTGTTAVASKCATHTCATNQATNGACTRFLNWDKKTQQICTLVSGACTATDPSTL SLNDCFQVSGYTYTWNASTSKCGVCTAVVVQPNNTDNNTNNNTNNETTTDSGYILGLSTI VLGYLMF >CAK64789 pep:novel supercontig:GCA_000165425.1:CT868034:38825:39566:-1 gene:GSPATT00034266001 transcript:CAK64789 MNKQFMIFALLLTLAASQTYSITSCTCAQLLSEGDCLKNTTLGCAWDSTKKACAVSTTPV TPTVTYAAYCDTFAETDCPKAKPCTDCGSYAACAWVDKKCTFFTGCTAFAKTTDGDCQAI SNRCITDGTHCVEVDACSTYKKQLPCVKNAAGSLCYWDTTNNTCVDANTCDKLPATFATD KDCRDVISTCTTKTGGGCVDSGNNCSDQTLEIQCLHVIGMELPAKTEFVIMHQHHQQTR >CAK64790 pep:novel supercontig:GCA_000165425.1:CT868034:41829:43703:-1 gene:GSPATT00034267001 transcript:CAK64790 MTFLYIKEIQIKEINIKHSFLVQISNFQKQTIFLKDLKCKYQNAWITQEFIVENKLIYNL FQSKYRLKEIELKLGTTIDFPQEPYTNLGKIVIAGFQNQVVDLLQQLSIVENIFKNFCTV KSLPLNNQQIEQLTANSQQLQQIQEKTSSYFEFKNIISFNPEESILEKLTFEGKELCIVK GDITQIKCEAIVYQIMNDKCELGEIGLQNQQVKNILSITNNDIQKFFKEIMKGKSSLNPG ELFYYKVNTDSHVDHIFNIYPPTYRQAKTLARDKKIIEQLIQNIFQLAKEKNIKQIAFPV ISVEIFGFYMNMASQILLKEIINCLFQGDCDIKQIFILENDDLRCKKLLYHLNNLLSDNP QQKTEDYIKQQWQWFEQDHFEDYDDYEINRKMCKFYTEFEKGQDQRFNIFYPYSKYPGTH LIDLDKQRIWDKTHNNSEQSIISIDNFGERKYYINGKQVSDDLNRYLIQQEIQGKRKFDI FYKFYEIYMTKEGLFQKNLQYENIRPIQFIPYEKQNVENVLIRQSSQFKIQKLIEASYKV EYGSKVIFFEVNQQKQVKYDQVLVHTTNDDENMPECKILELLENTPKLKSKIQLLNRNKE QIFQVRSPNRIVVAAKRQPLQDKN >CAK64791 pep:novel supercontig:GCA_000165425.1:CT868034:44091:45449:-1 gene:GSPATT00034268001 transcript:CAK64791 MNNHSQNLPKLDQTPRTFQSSYHSLGRSNVNFARSFYKDENEMNGYKNFRNEQRTQTNFN NEQSSLGTEIMISLNQREWRQKNCNQQLLQFIENYYAQGKIKITVTFDSMFGENLQKIEK QKYNLLNLSIKSTEIDDEDKLYQQRIYQFKGKQENNLLPLIEMIIYSNSYFPYFQQIVQK VGGKVEINYGGCYLWIPPPSIKQTKINDFNCCFDTICQYLENTVILQIECSSNAEALIKY NILKKQEVLQQIERTLNVGCYDVVILNLERSKMEYQSYMDFNLLFKHNQLYFLSNNNGKS IVEQQNKLNEILKSKDIIKKESITDSIIFYDLPEDEDQTEIKINHLRRQYPEINIEISNC QTLQDQKLQLQITTTTWLTKDQDKTEFVKQARQIKSQIEEIQLPCKIITISQNQKIIWEN YLSEYFQNYSSCFSVHSKAMIQKQY >CAK64792 pep:novel supercontig:GCA_000165425.1:CT868034:46185:46574:1 gene:GSPATT00034269001 transcript:CAK64792 MINDEKQVVDIYVPRKCQYTNMILNSSDYSSVQINVGQVDENGVYNKKNNTVILAGYLRQ KGQSASALEAILRQRGVLPFTQ >CAK64793 pep:novel supercontig:GCA_000165425.1:CT868034:47760:49345:-1 gene:GSPATT00034270001 transcript:CAK64793 MDPQLDQYMKIKKAHFINSSIKSSDNNCTLIANIKKDYKFIKEIGAGGFGVVFETEQKST GIKRAIKAIAKDRVVDKESFKNELSILRKIDHPNILKMYEVYETEKTVYLVTEMCEGGEL FYYITKTQHLTEMQAAKIMRQIFTAVAYLHEHKIVHRDLKPENFLLKNKDDESSIKLIDF GLARFFREDEVMTQPNGSLFYIAPEIIKGQYSYEVDYWSLGVILYVMMCGQPPFPGRNPQ ETIKNIQKGIFTFSKAGFKGASEEVRDLIQKLLVMEPKRRFTAKQAYNHPWVQQQVSHEL MNLRLHDDYYQRVQRIMINAQQMKKTMLLYLATFIPENEVTSLRQLFVSLDKDGNGMISL EEMIEGLTGFKNMKHKNMDKNFVTQLFKAMDIDQSGYVDYSEFIAAFLVCPQFQNERYIE EQFKRIDQDNSGRISKNELMDIFHTDTISIKDLDIEELIKQADINKDGEIDYQEFMILLR DRFADQIKQ >CAK64794 pep:novel supercontig:GCA_000165425.1:CT868034:49499:52981:1 gene:GSPATT00034271001 transcript:CAK64794 MIIDPMRKTHSNGFTLNRRKQINGKKLENGTQQEDIVLNEGEKQVNSNPIPKFKYQLTKQ VANDKVEIVEAQDTQPGYEMIARITKENSFDLAYKAKLIDFIEKFYLNGYFQLCLYFPHR LGEKQKNELEYKYKDLQLSIKETQFEKADYLFYKQIYQFKGQEKFGITPALQMLIFDQVI LKDMADEAQQSGFDFVCNFNKLQCSIPPPTNTIQGVMDNIQYFVNNIQKKLDEYYILNIE TQTKQESNIIYNLVKQYQSKFTDILGTNFDPVIINLKELKDGNGTKYPDDFISQIKQTLK YDKFYLVIEKKDKDLSLFQQEFIKLIYKNNFVQYDSKEISIQFSECLETEILAQQLEDLQ EKFKNISITASQPKQIKQNQFEIKFSFETWLSNKEKTYNVEELYAKIHTLLYQIYNPYVQ INIEESKICIWQQYLKGEINKSLNEYIEEEDKRMKKNDNQNVFIVKISTKFTKFKEEIQK IKQQLDELSIETIEIQNDNIQLQSLIYQIDLKKILNNFQQQEKEKIAQINYLGGGGGGGQ QWKYQFAVIYYNKYTVNSIQNQIKNNFINDLKYITIQTPFIQIMKHLHLEIPRLILEKDI IPIIPQENKQQVILVGRSLDLEQVQNINEEMKELFEKYKMEIRLTINQKSLADQIKQKLQ EQQFCTSIYDPKKYMLKIEIYKQDFQNIIKSLKELEKSYEIWKVYHFDSNQIEPKSIKEI EKKEQVIIDVKNISIIDPISAEGFLINTVNTAKIKFIQGNISQIQCQAIVLEFVKNVDFS KEIIQNDQVKTLINFIEGENDKDTLFLQWRYLSKAKDLEDVTYIEFHHQKHLKYLIQVYP KFYRKAANLENYQCHVYSLIAQVFETCKFLNITQIAFPLIGSGVFGNDVKQTQEVLIQGI ISQLSLQNCISQVFVVIQNESDYKQVQEYCKSGQFSKKTTKLDFFRETMSSSKNSCCQIE MDGDFMDIEGSDANELIFNSHQQLNQGEEIDNFNVTYPYSKCPFTHSIDLKNNKMIDITT KLQQTIQFIDLFKQRIYFIDFKQVSKSLNQYLIIKHELCKQNEFEIYYTQDQVIIKNKEL YIKTNGFNDLKKVIITQRPSSSRQTNRQSSQYFQLTESPAFQPLKNDPKLQKLQIEILSD NEQKILRAYHLLKQAFIRRT >CAK64795 pep:novel supercontig:GCA_000165425.1:CT868034:53592:58291:-1 gene:GSPATT00034272001 transcript:CAK64795 MKLEMLEYLLIQLNFQENKLKSKHTLFYVILSQILSIFFLIHPFVSIRGRQNQFESIMEI PKVILLEFLEQEYVAEYSVLIMNAWIIILLISLFFENQKQKISQMKTFEAQQLQSIPIYY LMLTSKAINYMLLQPLLCLGLFNLQTNFQSNNNNIYYIIQNLVFLLFVHIFSIGYYILNN TTLLLTDKMDKKIEDDYLDFIIYILRILQSYLFILMDTHLTAYLQGAIYLSISLIRIYTI LLQMKYCDFNHCFILLFFSSSGMIMSICIFGEQNLNLAYLMLLNPLLIFCLWNSLSYQIE CIILNDPQKLSIDKLHFLVCFIFADNQFSKQKNCLLNGIKFSKHILNCQSTNCSCKNKYK VNDPLELNLIQLDIFQQFQNTIVQQFKLHNLHKKGKHFYKMCHLLSALHQLGHHQEIYYH IEDQKYKNLLTFVQQINWIVFTFIIKKQMYNKFAQQLETDNKECLELSAKITAFLHSESF NLQIRNNLISIIQLKIQILSSLLILDNEALYEYIETFALESLKREKQLKQIFLKFPSSKN QSILMFYMGEVVNDWYRAYEFNNFSSFDNKAYQQFISSDINSISNKMTYLVFVYENQKLY LSGISKKACEIFGWDQVQFSQLRDANVLLPNCIRDTHDQEIDKFLQTGKGLYFRTKGINF CQCKTGYLQPIHFFYDIQFDQQSFFQFISFIQKLETPLAILIVDQQAKIQGVSRDFFKMM NFSQLAIDSIAVQKLLYGLPSYLFFSLSQFAIESTTKSVITFPNEEQCLLMQSQSINQKI TMCKQRHQQNLFEVQGDIQIRNSYNIIRIYRMMECEPSIQTQKQIEYYNSGEFPSLINDE DAVITPYDECFQFFSPLNENQQNQSNQYFLRSTQKQITKKILLMESNLQNSLSQLVENEQ QTIKMLGNIYQNEQEDDTVSFQQDAQSSASYEELRKSHFYKKYCLYHTIINSDGIQPYIL KSTINLFLLLLIIFIFIVLFSIFKAYDLQKIILCYDQIQINHFFIEPIHKFFLARLTVQD YFYLRYTNQISQAQDQFYQPFSLANIIREYSVMRLNFQEHFQEQKFQEFLYSQYMVVKQQ TIHYTPINTSDYNITVQSAFALLLDAFYKQELIYLNQFNVNILGVTPHQTFQYINYIKFI TIFDDISNQIQSEFINQMETTEKLWVFLSFPTQLISTLFLLGSLLYMRHFKRIIDKFINL RSFTDLQSVSKDLKRLSFLINHLKSDSDMIYKYRFNLNAKESIIMENKRGKNTVKDDALY NKQTSIIKLIQIYILIFIACAGMILTLTFVVISFYQLFPKTFNYFKLFSDVGVYVPAAFS QKEILYFWSRFQFFTPQDRVQFMEQVSIGIEKINSFISTDVYFNEFQFSNDFLTYFQNIG QSNLCPLLNESNYVEFDYFCENSKNGILKRGLLPTLSDFNYQLKYELDAGLINRTIIPIE ELEAVYLCSEVISQLCDQMLQDIVNQINKLDNILNWILTSSFILLVFGCFIVYVRVYPRM KNKLKYVKKITEIFPQDTIFLNDYLERDLRKIILTEQIN >CAK64796 pep:novel supercontig:GCA_000165425.1:CT868034:58351:63075:-1 gene:GSPATT00034273001 transcript:CAK64796 MFALALELFCIQLGYFDCQSKITSLPGFYALGRLFSLLLVIYPYSYDINREFETYNYEVV ENRFYSVLQLLIDPISQILSNPSFQNYVQFVFNLAMFLGISIVLIANHITKDTIEKNIRK SNFKNIIMKLITFFFICISVAFSLPLLIIALQNLKRSSQTQDYAWLVNDIVYVTMIHLVS LFYFVLLEGTLIVKQGISKKLQVNFQDYVTFLLQMIQPYIFVLSSPTVAIYGQSIIYLLV TFLELISIYIYQQYSNKLQKLFLLIIHSCGIIIAISAITQIQQPMVYMILLSPLLLYIGM FVHNYLEFQILTSNFQKLNLFEVNNLICSSLSAQQQTFKQICILNSIYKSRHSQQCQNVK CQCQLNDHCSSNTNKMIIIPDIIEEELYCGLLGRMKRLLQNQEPQDCFYFLIHFLYAQQY HGEVYEIYSKVENKLILNNLSYLQRIKWKFFIMMIKQNLYTSLNAKLNGNHLDKTKLSIK INQFVQSESFNQSIKDGLINIIQQKLQLQQLLVKQQSKIDFTSSYYNLMENVEKQEKILK SIYDSFPSQRNQSQLMFFYAEIKYDWKKAFDQLQINAIDNSILNIVADVDFNRLANKMAY LIYSYDDRKLKIISYSKKAPAIFGFQLKQFELILTPDILIPSVVRDIHDQYIIDFLQNGK GNYFRQVGQNFCQLKSGFMQNIEFFFDLYFDSNQSFRFITFLSCHEQADPMILVDSQNKI QGINKELFKKLNFHPKIVEQLTIEKSLYNLSADLFIQQDVLNGSQDQYSFQFYFPKESFF SKEFSTNSQKTTNLKNYQKLQTYEVNCEILRRKTYKIIKLKKIQENCKNNLTSSMELTFT LNQHLPINEEELIVVPYEYSLLKELSSYQMIQTQRKTDVRILSEQEENHHYQEEIDQIKV YHYSESNAIDVDNKTIKILGNVYENDAKNQENIVLEGSQASSMAGLRKSVYYRKYTLVNQ LNELTPVIPLLNKIFGYLIFALINQVIFLSINVTFSRNDFHSLNYYYQSIQINHYFIEPM QKFFLARYMLQDYQILNLYQSISKDKLNYYLQFINPLLIGSYDEFKLNFQDHFQDETFST FIEDQYVLIQQQTYHYAPLQLQEYNVTLFNAFSILLDAFYKQEQIYIKPQTTKGTNPHNT YQYKNYILFVTIFDNISDLMYQESISKTKLVVDKWIIMVVPISIIVILSLLLLGYYYNYF NNYLEKFFDLNAHIDQIALDADQNRQQFILQSLKQGSELIHLYKFNMIGKEEMLAKVKVK ESLKEIKNVNNEVKEFRYSIKISKLPLLSTVFAFIIQYVLISGSLTLIGTDYMSKFSESI HFFKSISDIGVYVPASFSQKEILYFFSYFTYYTNDDRAFFVDQIQKAVTKIDTFLKMDIE SSKLQFSTQFLDNYEYLQQNNLCPLLNSSKYYDFDYFCPNSQNGVLKLGLTAALTNFNNI LKTELSINFPSTRKLPPKEELEAVYLCSDIISEITAKMEQDIQSETQTIEELYNIINSIS LTYTIILILVVQFTVFQLFKVKLNRTKMISLIFPLETIFLNDHFERELRRMVTSEKLI >CAK64797 pep:novel supercontig:GCA_000165425.1:CT868034:63966:64789:-1 gene:GSPATT00034274001 transcript:CAK64797 MDRYLKQFKSTELIQLTASGVIGLIICLMASAIGNAVLVEISINIVFSAYFGICFIIIGI LLLMRVNNLPENSQLQKNKKFLQYFGIMVLSSGIICFCLERDWNKTMGYYTKIPLYVVLG VSLNFTLIFGFVDTINFVIGFFQQAWQRTLVETPNQIINALFISTLIGFIYGLFFSAMDI EDIRNFNKLESRLIFEEKLCMPIVGFLGLIGGVANEYLRIRGDKFMPYTFEQVKDPFTEE I >CAK64798 pep:novel supercontig:GCA_000165425.1:CT868034:64817:65694:1 gene:GSPATT00034275001 transcript:CAK64798 MKKIIRPLVGLIQRSFQQAAQPVPFVGTQPFIISKHRNIMALYDLTPEIGSQHFIASTAT VIGDVELASQCVVWYGAVLRGDLNGIRILNRVIIGERSVLHTAASLPNGMPAVLSIGNNV MVQNDCTLYSCTIGDNCFIGYRSIILEGAKLEDGAVLAPGTVVPPGRLIPSNQLWAGNPA QYVRDIEDKDLSQLSYVIGNQFAIAREHDYEYLPYNSAYLQKENSPEDSNPELVATLRQF ENWEEGQVKL >CAK64799 pep:novel supercontig:GCA_000165425.1:CT868034:65696:67417:-1 gene:GSPATT00034276001 transcript:CAK64799 MGNQQFEANESETNITQYYQNMLNQNKENIPYQYQNTPKLLSAESRNKLRPTSKINLDMK LCSKSSEKQFNGIQQVWFCDLSQKMFQETPVVRNPLEKLHRKRSSTKIVQENDESHIQLL DLLKNMVRHQDSTMQSSQVKATEQSCQEYDKKKPMMDVDQYYRPQMEDRPLQFNNRRRSK TFEESFRTLISNEDRCQPLKLNRRESKEEEALQFILQNCTQTQSRIDQQISNSITFESLE SDWQALTKKNSKQLETPGTAQFQGLNKDDKIFELSTSNFIEQNNEEYQQGSRRPSCLEST FLIPMVLHSQNSLEFDDSKDVYQNSKPAHPYEYKRTQVPKVTMNGLLSKQQSQHHFHKLD CKINSTSRDLTQPMKKIIQTQATGMPNKAPLKHKSLHQQSQNALSLPLQMINSKGNLGFR SNLSLVQNVPQSSLSSKNGRNQYDSKTFKTKPTVTHPEEEWFDNSKLEYKKIMMILDEQQ QQIQNFSIQNKSEMISNTFNSSDINCSQRFQFEDFKIKPHLEDKNTQTQLKDLQKNFINR LSQPKSKCSSRTSESNPYWKLRELDQSRRSKRK >CAK64800 pep:novel supercontig:GCA_000165425.1:CT868034:68115:68888:1 gene:GSPATT00034277001 transcript:CAK64800 MNTLIKSIAGKFSTVALHAAVPFTGYKYDDIDTSKSYRLRSLLSEIWDNRIDEGFHMAYE QFIQALSEKDYKFISTIANASLERSLEKYNFQLLNLQNGTKDIIYSDLTLSLGDKSQQCV KMSLFDCVNLDIYGNDHERSEIENVTFRIECYILSQLMLYPTPEAHFESIYHRVMFELKL TQAAKTTPDLIKVLLLSSKKRMKYLRNHFIGKQYEWRIMEIDNLNL >CAK64801 pep:novel supercontig:GCA_000165425.1:CT868034:68922:70978:-1 gene:GSPATT00034278001 transcript:CAK64801 MIDKDIKIKSLEFELESRQTQVLQLETKVATLETRINQNADVLELQEKIKMLESQNLKLI EKNQSDVVEWKTKEREFKQLLKLHQDDLATVKAELELANQQLSNQQHKDGSTSGMEQTIR DLQLDCNDLKSINEKLVYTEHLLNLEKEKLTNQIKDLEVVQKQMQDSQEDQRAQHNKELQ TLREQLLSYQSQIFEREKMHEEQLRNLTILEQQMEGKAQSNKEEIEQANRKKDILQCEND SLKKVNEKQLQEMQYLNQQLNCYSEQVSEKEKQLQELQNQINAENAKFIHMQSSNSVLQA QLKDVGLTYEKRLQDKDAQIQNAKRDYENRISQLEIENATILEQLQLVNDQNRELLMKQR ELQIIQKESTSKQLEKDKKLIEQFDKMRKLDQEVKALMKLSKSQREQIIILEGDLSKAKE QVRMLQRDKSELKQQLDFLIAQQNKKGKLSEIELLKKQVQEQQTELVLLKQSHKAAQSSL KSTMESAGYFKEKSKRLDFENQTLLDSKTRLESLFQELLETTKAKKMQRNYSEVQIKGYQ GKLITENNSTYLEPMTERQTAKTSTNQAQKNGDVRSLFKRPIQVVKIRQSSKKRNQENQS KNGEEQQTIRDTKVENDVAEGEQQVNQGRNKQDDDEQKENSQVLDNEVL >CAK64802 pep:novel supercontig:GCA_000165425.1:CT868034:70992:71637:1 gene:GSPATT00034279001 transcript:CAK64802 MGIDIVNFYSSYGSYHSNLVNKAIHLVCIPLILLSAVQILNHYTFTIDTGCCKLNIGLIM LLVLALVYMAVDFLSGILASSFYISVTLLLNQHFANADEAQWSHHLCVAITFQVTCWILQ FIGHGVFEKRAPALLDNILQIFVAPDFVFLEILFFLGYKPQIHKACQIQIENSVKQFRND KKQK >CAK64803 pep:novel supercontig:GCA_000165425.1:CT868034:71663:72009:1 gene:GSPATT00034280001 transcript:CAK64803 MIAKEQVDVIDQYAQSIVSYVDTQQKTIVVQLKTATIQKLNEVTIVHYQKNLDKINQIRE EFEETKSICKLSNLLSRRQRINWMRIFRKSIKYRILSKEWNHKWIIWI >CAK64804 pep:novel supercontig:GCA_000165425.1:CT868034:72111:73584:1 gene:GSPATT00034281001 transcript:CAK64804 MQSDFQEEVVKSEYVQAEDQSFKFVNMPSYNPEQLVEDRLRESIRQSAAQRTVNHQDDPT SVKFHRHQSYNQLQAWMEQVGIDMQQHRQIIKDVYRLLDKRIAISNRSIQNLIHFIKTFV QHEKQNVEYLRTKNNDINKLFQDGQTFYYPKLDETIKEICNYDQGNAIKILNLLDGIDKF IKEKLDFNIEMFETGISIHRDQYRKAFSNFASSSSKLTKYRRRHQGLYLRQMQGESKGKD LYHTERKLINSFLEMAKLQKNMGQAVTRLFDEVKKQEVHRYQIVITSLRMYLDKHVQLSQ SKQQQISAQEELIYGLINQLESEQVIESFEVLNFLGPDIVRIVQKMLGKQQLTLPQLQDF LCNYPDAYIKLSSVQENHSLVKLRIKSAQIDCGNVLKTWKPCQIIATIDNNILIYLKENN EFKGKFYCDSSHIVQKTRVPLTAEIKCTKAGFIFDSTKTALLQLEAQDFDSLHGLLFKQP K >CAK64805 pep:novel supercontig:GCA_000165425.1:CT868034:73694:74809:-1 gene:GSPATT00034282001 transcript:CAK64805 MFLFLQFYIVSSMYPVSYRDERPYLTLDSDFQVQLKLDEVLSEFLQRHSQNDKCPDFELH ECYEEVQQNGQTTKVKLEKENFYLYDITSDEKIEQTIIYSDQQLLEDVKNTISFLALAPF QSHQNSFYQNGFSLCLSDTEGYIITDFNSISENYQPSNTEGISTRLKEEQYIAYSLHLQN MEIAFKVYDIASFPVYIVSEDVISLPKQIFPKKYFESKGFTLDSNGFYFRDRKDRSDDLD SLLFYNNANQANPLIVDPINYVINYENEQEVLKIYTEERYEDAIILGLPFLKNKIFNVQT EENYVFVQQLKTSLCIQDKQQSIGVLEIIFIVLQVILLPLLVYFTFKKLRKNQQQKQQQQ KLAQQFITELQ >CAK64806 pep:novel supercontig:GCA_000165425.1:CT868034:74836:75666:1 gene:GSPATT00034283001 transcript:CAK64806 MEIPTINQLDLSIDQQHINQSQPQSNKNLRVKHKNVSIGSILFKQFNICSVTFPLSIVLL LYFWILFIYQQVNEELFSCVLYNCGAKFRPDIQHRSQFYRMLISIPQFGGISHIIIGFVT IQMYGYISEYKYGRLKYSLVLFLAGYSSNLMSSLVYPYSLTVGSYGIVFGVLTLYGFIII QQKQLGQYKKLIIGIYFLVFCINFVPIISINQIDIACIFGAILSTLLMGIFFQKFDFQRG QLISYAALALQLIYLIIGTTLLFTIEVEDIQMSRFC >CAK64807 pep:novel supercontig:GCA_000165425.1:CT868034:75710:76297:1 gene:GSPATT00034284001 transcript:CAK64807 MDAIVQYDKSEFHETSSGIKISKKSIIKGTEQINVSGRCIFFNDVILRGDLAKVSIGKYL VVHEKVTLKPSYTYGYTKESPTKKIIKFLPLMISDYVEIESSSIIQATKIGTCTSIGKNC YISHRCIIGENSIILDDSILPPDTVVPANSVYGGRPAVYIAETPESTAIIQKQKLINFYK NFVPKS >CAK64808 pep:novel supercontig:GCA_000165425.1:CT868034:76329:77090:-1 gene:GSPATT00034285001 transcript:CAK64808 MSTYKYLFKFIIIGDSYVGKSCLVQQFLHERPRQEHEATVGIEFGVKDINLSGSIIRLSI WDTAGQETFRSLIRAYYRSAIGVLLCYDCSSTQSFNGVEKWVQEVNDNGNENVVIALVCN KIDLQDRQVSSVQGRQYAVDHGFLYAEVSALNGVGVQQLFRDLSLEIIRRIDSAAIVLGK HLQGIVIGEANLSPTKHIITLELDEAQQQKSQKKKTCC >CAK64809 pep:novel supercontig:GCA_000165425.1:CT868034:77550:78102:1 gene:GSPATT00034286001 transcript:CAK64809 MYPRSVTRQAMLRQIDSLNQQIEQGRQNHENAIKQIRADQLKEMEAIQDEIKKYKEIMSN GQFQSYFKMKNDNTKLFSENAMLREMLKAGQIANSTKEIEVSRLKQKLRKIEGKSLEVRK ESSNQSRQKEYSILRKCLNQRHSTQPSLDESNIEPLPKLLSIKFKLN >CAK64810 pep:novel supercontig:GCA_000165425.1:CT868034:78790:80826:1 gene:GSPATT00034287001 transcript:CAK64810 MSSDEDIPKIIKSTSSRHEQMIQSLIDNEGGRQNLQVLNDRKVLLIYASGAAAAEGEVNE SHMKVVKGRLEQRLKNISFLCDLEYTQYHSQDGCLATPISEFGRRIIYKVMELEQITNSR QTSYADIRHIAEVIKENYEKYSAFVILSGIATITYLGTNLSFMLENLQKTVVITGSLLPL SFMRNDAFQNILDSLILAGHFLIPEVLIAMDHKAYRANRCRQLKCDSLDCIESPNFPHLV EFGINVEIKWQLVLRRGEQMFTSDESTLELAPPFVTDVIIIKITPYTSVEHIRHILNTPK LRCCILECYHFGEMPHSQELYNVLQHAQQQLGIILIQISQCIKGQPIANFKKVVNGILVQ YDITPESAQAKIAYLLGKGYSNHEIYEKFPQNLQGETESVRQFEKFEVQKQHFIQTILET LQKTSGDEQISQNMDIMNKYIIPNLGCFLATTGQLELIKDLRRNEGNLNIPDFDGRTILH LAAANKQIEIIKYLVEEVHIEINPIDYLGYSPMYEVLISRDKDLLLYFYQNGGTISAPHH ILVDLILSSGLSGDLQMLELIFHGGIKNLNHFVNVDNRNIAHMAVMSMNSKIIKFLRFKA KFDFSNRDRWGNTPLENALEIKTRKIKIIQVNEVALDEIIEMLVTIGND >CAK64811 pep:novel supercontig:GCA_000165425.1:CT868034:80828:82755:-1 gene:GSPATT00034288001 transcript:CAK64811 MLIRRLSNALLKYRFSKEAAEPQQVQRVKAPFGFTQVNCLVIHPIFYPNKGPELELYLAE EAVGLSKSLNWTLEKGPFWKEEYSQKIRISQGRNPLQVKVENNEDELKEEGWELSSKAHL RNGEYIYLPFLNGTYDDGQMLVDLSSESDDDLGHEWKNKIIRNSIAKSSLVKVKRIHSST FFTKGKVAMLGEHIYDKKINAVFINHELTPLQTRNLEKVWTQYAKGEIATFRRSENPDDS DMATDAETDIEYEDAYVKVFDRFTMILQIFAKRSTQGVARQQIELSFLKFAKTKLVRSGS AFASLSSIFLGDLMMAKEVYLEVVSAKQRRALGKMSGSGESEIQIQRRQIDERIAKVRRQ IEEEGLQRGKLKQKKLIHTVPRIALIGYTNAGKSQLLNCILQKEVVESKDLLFQTLSTTS KQIRLASGQKAIMLDTIGFITDLPHDLVESFKCTLEEVADADIVLHIRDISHPCSEQQKQ VVLEVLQQLGFNEEFYSKKMIEVWNKIDLMRTPIDFKQIQQETYPIVPISALFNTNVKQL LQIMEDKSNLIMNKRPYKLRYNIDEHQQRLKWLFDNGNISGIKNEIHITPVKKGNPTEIE YEVILDEITYNRYIATFTPELRIKKNKGMPPPNW >CAK64812 pep:novel supercontig:GCA_000165425.1:CT868034:82798:83493:1 gene:GSPATT00034289001 transcript:CAK64812 MDTKDNEFFEEDAISKSTIQALNNSFDKEQLDEQIERPFTPLDAQVESIPKEQCKFCKRQ FFQGKLALHLRSCTADRPFLKKSNKQKTQLPLTQPTIQPCPHCHKKLRNPQIHALKCPAR PKALDKYRVIASINEEEEAEETLLQELSSSQPTFLPKIKQRPENLLSRSVPIVKCPSCQK TFEQKAAEKHIQLCFRMSSGNKFQRSVFCTNCGSRFANNHKYCGSCGKKRL >CAK64813 pep:novel supercontig:GCA_000165425.1:CT868034:84402:86069:1 gene:GSPATT00034290001 transcript:CAK64813 MQGLKLKQQIGLILRKDFDAMPLIKQLMDESLNQPLLLLNDEEQMWTQIQRAIETLNQKS LKKLKQIEERAAKIAGQVVEEQEEDVQTEEQEQQSEKDEDAGEEDEQQEGEEEEVNEEEE EHQEMEQEFDEEGGNFRFEKKQKQKDDFDEFEKYMQDYDDGKMGKIDLDDEQEEEEEEDE EFEENEENEEGNEEPENEGEDSVFDDMREEELEQDNNVVEAEEGEVGEEDDEIKNLEEQR LKKKDWQFVGEVHARQRPLNSLIEQDLDFDVNRKVLEETTPEQQNKLIEMIKKRVQDELF DDRQKVQINLHSLEKPVDQVDTNKSQFGLAELYERQFKQALGLPTNTSEQKLKQELFEQF RDLCYKLDSLTNLNFEPRGYVKQAEVQVLDNNVVQREEKVPITARGEQMEDVEKIKKAGF LSKEEADHQLNKKGRRMAKQRKRNRERERKRSGLVKKLESRGETKFMYNQHQQGKKQMSF IKQQKNKESVKFDKTSTFFKNLQESGVNKQGGDAKNRKGGDRPSGDIKSKSKQIKKQ >CAK64814 pep:novel supercontig:GCA_000165425.1:CT868034:86118:87611:1 gene:GSPATT00034291001 transcript:CAK64814 MFQNDGNGQSPNWCSYERQAVKVIRSNANQCPAIKNHTSIHYKKQIFIFGGYDSKKNHND IHIYKDGNWTKCKANGRIPESRNGHTATVVENKMYVIGGWLGSGTYASRDVYVLDLDCLF WTLVNTMGEVPGPCNMHSADLIGQLIYIFRGGDGKDYLNDLHSFNTKTNMWKLVQTAENQ RPPPRANHSSAVWQNKLFIFGGWDGSKRLNDLHCYDVTTNRWSELKPIQSPSARAGMCMT TIDNKIYLFGGSGPQTTCFGDLQCYDPVKNAWSIIELQDDEQFDKARAGHSMTAIGNLIY IFGGSCGSHYFKDFFIIDTDPPPNISVTDFNNISINQYFRGFFNQSKYSDIIFVVEDKQL YAHKIILSRYEMFNKMFEWEYKNQQQKVYINDCSFIIFEQLLYFIYSGDLQCDQNQQSVE FYRQLLQMADYYLIPDLKSLCEKSLSLLIDNTTLAKIKLYAEQMNANQLLKFCEWYENHN N >CAK64815 pep:novel supercontig:GCA_000165425.1:CT868034:87643:89110:-1 gene:GSPATT00034292001 transcript:CAK64815 MYLILSALTICSYAIAPHVLTQGPFTETKETFQFTQLLDHSDPANTQTWQQRYHVYSQYF NPTKGGVILYICGEWNCQGVGDNSLSFQLAKDLGAIVIALEHRFYGQSQPFGADSWSLEN LSYLNVHQALDDLAYFILQMKRLKLHNIDSTLPWYAIGGSYPGALSAWFRYKYPHLTVGN LASSGVINTILDYWQFDDQIRKSTSKSGEQCPLYLQLLNGYVDKKLKNFNTKQAFKESYN CEKMTDNEFRWFWADTIVQMIQSGQRTRFCQTLESLPSIEAMAEYIKEIALDQGDSYKQY GAYYLRDETVDQNSVIRQWYFQCCSELAYLQTPPQNKESLRSYELTLDWWRVWCNDAYSQ GEVIWPDVRATEAYFGGLNLNVDHLIMTNGGEDPWQTASLTKATKANSKVITYLIDCDDC AHCVDLGAPSAKEPAVLTSTRQAIKNTFKQWHDQFWSKTMVE >CAK64816 pep:novel supercontig:GCA_000165425.1:CT868034:89356:90066:-1 gene:GSPATT00034293001 transcript:CAK64816 MQSLSQSHKHAIIEDMLWKYSSPDLFIQGSEPIDFDSHFICYSFTEFDQEAQQITQQTDT LRTVVLFENSNSIPLALSSALRTMLKGEQAFFELSEVYALTQKEIDCLMEDLTPLKMQRR QYWLIKIENSFEYLGINSLNQQQIEQYIEEVRKYAGSIFQQSNYRSAISIYELIGRIKVG EHSKKILKEISKSFSNRAVCYIKLKEWGMADQMCDEAFSFDNENEKGRASEVKQLC >CAK64817 pep:novel supercontig:GCA_000165425.1:CT868034:90108:91247:1 gene:GSPATT00034294001 transcript:CAK64817 MSKDNKGEIDVDSIIERLLSVRGSKPGKNVNLTEAEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMTVDESLMCSFQILKP AEQGQGASQQNKAGSAKFVN >CAK64818 pep:novel supercontig:GCA_000165425.1:CT868034:91276:92208:1 gene:GSPATT00034295001 transcript:CAK64818 MQMTQIDLKTIEVLSALLLFSCFTYLWFTFISLKFTIIIALTFCSLLVLLKIRWTRIKRT GFLNNISDKLRKILLERSLFDILCDIWYFETIKRHVRVFLSPFLIKKSPEEIIESFEDIN PQLKEAILRKGTINLFPEPIKRELVENYIQEEDELQINQDKQMHQQIIQQPVKVEHWDRY GDYEEYTQRKRKNNSQTLLHVVSLLLHKPIVHKESHKVNLRKLSNKTKSLLIGSILCIGI QMYLSKSSRRIYQKAFTYLSSMSLLALASTALGVIALSNKKQKTL >CAK64819 pep:novel supercontig:GCA_000165425.1:CT868034:92210:93946:1 gene:GSPATT00034296001 transcript:CAK64819 MKENLKTDTSDTIFKREASRSLKQICSSQFYFDNRIPPTKLSLYHKTSQNQRVSHFQTQI LYRNDTIIQNEHNNTILCGKTYFTKAMEYQYLRISCENLMAPFGCQVHCSSEFQLMISQV HPFPTNQNCEQMINNRGFVVSVIKGEFIYISAITRKDSELQFNLLTNEQSEEIQRVKTVQ DTPPSLLQMFNFSHSKSFQYIVNSNKEQAGIKKNRYQDEISRSDRFLKVLSTRNFQRQSN TQAKIQKQYQIKAKKLSNQEKILTKIINDKKSQKDIFEQQWAIIINLIKMSSKIFDAFSH KKERMKKNRLVAFRIKSLLRQMKKTMMRTKGESMDTRILVDSFMSLKAKTKTIKNTLRNH QALIILPILQQRSKIYCLKVQMLKLGNKLQIIKKHLIQFEENYTKYKNDMIIKWDNLNEK IKDEEIKWKQAALRNKGLVTWFQVLKDKDLAQQMRKYFIFELMRDRIRQHMKDQQQIKKY RMDLKYYKQKLRVCRDFTEADTLRGEIFRLTNDIYAMHMKNQLFMHVDVEKYFHMLVSKY ATLIDESENSTNDSQVQQKQKFRHSRLTLRRVTKKSQI >CAK64820 pep:novel supercontig:GCA_000165425.1:CT868034:93982:94578:-1 gene:GSPATT00034297001 transcript:CAK64820 MGKKKPDSFLSQMSKLFNGEKIVKLTIKRNLKEQYPQLRKRLRRKQKQQLLNEIKTANDV NEIIIRSQTQKLTISTSSNKQQINKFADSLSNIYRLYCHDQQKQTKKKPVPLATQPNQKS KTQQRLKAKKLRNKERRQKRNELSKLKKKDLQRQKTFASKKREDQQQ >CAK64821 pep:novel supercontig:GCA_000165425.1:CT868034:95658:97247:1 gene:GSPATT00034298001 transcript:CAK64821 MLQSFAGNVSCPNHNQPISYIDTKKDTPLNNRAKCLNCTPLNDAVPLKNALEKFKKLKED EKQQIRTYRKNKIYDFLEFKKVIHQLSESISQSLNEISKQINERIEKIVGVIQQAQSVQW QFDINEYLTISGLQNVGLQLSQQGNEFSLIKAGYSVVQMKKEQQLQTTLSNYQNNIKSIQ SNMETLKESLTTNLIINEEIVGKAAQKEKCLATAFNKDNSIMISTSEELIKVWTFDNGKL DLFQTLKGHDKEVTCLCFSRKNNWFISGSRDKTLRLWKEFSNSEWGCIQILEGNSFCINC VILNQYEDQIFSSRDDNKIKVWMQESLLTSQQPQWKCYQTLQDHKKDVYSLSLNQPGTLL VSGSYDKTIIIYDLDEQQQWRLKQVIQNDYENWVHSVCVINDKLIATKLYNGIILLYQYV ELAESFEQIQELELSKSKMSYFDFFHMFYNQQLQSIFCRHLDCIYILFQQTTGRYGVQQK IADNYRGGSLTDNGEYIALWNNNTKQLEIRQQE >CAK64822 pep:novel supercontig:GCA_000165425.1:CT868034:97250:98262:-1 gene:GSPATT00034299001 transcript:CAK64822 MKALLLLAISYVVQCWWDVGHMMTAQIAKNYLKDNRPDTLAWADSLVQDLNSLTDGKSNT FAEAAVWMDDIKETGTSFMNDWHYTDRPINPDGLLIKIEDQNRNINSIYAINQAVSVLTN SKTARNRHTVFKAQMLRVLLHVIGDLHQPLHDTTFWNSSYPNGDQGGNFMKVQLENGTLV NLHSFWDAGAFAFSPNNSFLVRPLSQSDQEYLNKWSLDVIKKYQFTKYINLDMTNPSVWT YVGYRQAIQFVYPMIAGSNNYNKDYVKQAQEFCEENLAIGGYRLAQKLIDIYDQILSNEA KLSLVD >CAK64823 pep:novel supercontig:GCA_000165425.1:CT868034:98553:99440:-1 gene:GSPATT00034300001 transcript:CAK64823 MQLSFTINPSCFGLFVRPKSNAQGKQSDKQDSDDEPKVSIAIPTKQRDDQSHPNNNNQLI MKNRSITICSNTSTLPETLSTSFHNQELNQSALDQNKEDIFYKTMPTSFQQFQFKNKRRY EPQAQITQYQIKLDQIPGDQRTTLMIRNIPNKYTQPMLLENFDINHKDNYDFFYLPIDFT NKCNVGYAFINFLDSKFIPKFFLEFHGKKWKLFNSDKICEITYGRIQGVEQLQGHFQYST IMQEKVFHLIYLQDKRLKPIFKKYQSDQQFKRK >CAK64824 pep:novel supercontig:GCA_000165425.1:CT868034:99472:100166:1 gene:GSPATT00034301001 transcript:CAK64824 MSKAYLAVKNFKWSEMVNLLAYGVKHPDTLSQTQRITRLYRATIRRHYTSQLENFKGDQI RFYNEMQVAQKDFNRLQELNNNYANLNQEQKVEFTQLESKWVNWLETNYDAFLYIDECRP YSSTSTRYIVYTDKQLNFDPLGFYKSRPVNDGKFDPHLPYFRDYPYNESWWNMNESFPSD FDDTPSKNH >CAK64825 pep:novel supercontig:GCA_000165425.1:CT868034:100323:101162:1 gene:GSPATT00034302001 transcript:CAK64825 MFNKRKIQKKEDVLNGIQINEQETKEPEVQKKIKNEQTEKQIILPVLEETKFKAGFVLQH QNNFAVEKIAPEAKPYQNEIAETLQRLKSIHSIDDVKKGQVLPPKKNTSLNAPIAMPSNV KFSCTFDFNPMLCKDYHDTGYCTFGDSCIYIHDRGDYKSGWEQEKEYQDQQKTRRMGKQE KEDLEFKQKLDEFYVPETCSVCQSQLNKPVQTKCQHFFCEKCIITAKKCPECGKATDGLF HSAIKIIQELQNKRDKFIAEYRPSENKTSQRLSECDWMM >CAK64826 pep:novel supercontig:GCA_000165425.1:CT868034:102200:102556:-1 gene:GSPATT00034303001 transcript:CAK64826 MTQVASIQNLLINDDNSTQKQQQGLGVAKKIKKKEKGCHCRQQKQRKIYKFISPEESSYE CDGWEEEDLFNRQSENRRQNKEITDLKCVAWNLELFIKNAKEKLAYLEGTMQLNLARN >CAK64827 pep:novel supercontig:GCA_000165425.1:CT868034:102850:104229:-1 gene:GSPATT00034304001 transcript:CAK64827 MDRAKEEHNIKQKASTPSFLIKTYDILEVNKLCLHINQNPDYNEIISWNEEGTAFVVKNV NELAEKVLPNHFKHNNYASFVRQLNMYDFHKMKNEGGDNEFRHKYFQRGNKQSLIPMSKR HLLCEIKRKQGEQVEQFDERNQNSSQNSNNSMEVDMSKLKNDYQFFLNEMLGIKGKQHEL QKALILIMTQNDHLINENKLLWQEIKRIRETDERKIDTLSYLLATLITNMNQSQNQQTVQ QLVGVQVPPQTPIPPQEPNNHIDQQFNNLLNLQQLNQNKPGQQKDQKQLQEHNSFLEKIM KTQQQQEQQLQSIKQDISQYDNGFMKKQCNNQFQRQPQHMDSNFMVQQNSQALIQQLMSL SQQQQSQQQQQQGQQFQNNFQNYFGQNKGNENFRGFPFL >CAK64828 pep:novel supercontig:GCA_000165425.1:CT868034:104385:106093:-1 gene:GSPATT00034305001 transcript:CAK64828 MIPNSPEAEKLKELGNEQFKSSNFQRAIEFYTAAADKANGNQRLVCLSNRAFAHIKMENY GLAIIDADEILKEDSGFIKAYYRKGSAYLLLGKFDDARKEFKRADTLTQGKDADIQAKLK QIKQAIYEREFAKSIERPDEASEPIDIQDINVEQGYDGPRIDNDISEVTPEWCEKLMNHF KDQKKLHKKYAVMILQKVGEILKSQQNVVEYDVPKDLEFTVCGDTHGQFFDLVNIFKING NPSVNRPYLFNGDFVDRGSWSVEVMMTLFAWKVCNPDIMHLTRGNHESRNMNKLYGFEGE VKHKYDDKVYELFQTVFNYLPLAYTLSKQVMVVHGGLFSNDGVTISELQKLNRFREIPEG GPMADMLWSDPIKQNGRHPSKRGISISFGPDVAHKFLNENGLSLLVRSHEMKDQGYEVEA DGRVITIFSAPNYCDQMKNKGAFIIFKGGEMKPKFIQFDAVEHPKLPPMAYARNYGMFM >CAK64829 pep:novel supercontig:GCA_000165425.1:CT868034:106365:107394:1 gene:GSPATT00034306001 transcript:CAK64829 MNIYSCSQQDQIPSPDNLSQISWSKVHQSSEQILERQTNCQYSMKRSKKIHKRQPQDVQQ KWFSIQKISSCKLDISENNEINLRDQTIYEFDYLKLDQDLLSKKCPIIVNIPIDVQIEDI KSKKKYQIKPISIKSDIIEKLYSLNNKFILTPEKVYNYQAQTQKIDDLAKEIKIVHISFQ LILYQYCRKTILNFLDELTNKQIKTIFLDLIQGLISAQEHQLVLKQITLESILYFEDSKS FKLNDYTDATVGNNRSNFQDLGFCLAQILQFKANPSNPKTQPQVINQVEWLQTQTEDPFS SVALNLILNEKPIEEIMNLLQQIEC >CAK64830 pep:novel supercontig:GCA_000165425.1:CT868034:107440:109055:1 gene:GSPATT00034307001 transcript:CAK64830 MFIITQFLFVLSNEITWTNYSFTFPQFVPLVAEKTVFSRSLEEFGQILNISIEYDGDMEE SICKFDYQSITLDRQPESLIALVSDMQQIDIDTMSTEEIQQGFSHELVSYAISNRNLILL EKKGTIHHMYYNIGAKFTNQSTHQLIILQNGNENQQIFTDNENYYYVDHQQLIRFTIKDK MLEQTKIQNWKKVNGHFKMFINRGFVYLINGSKGMRILELQNNFIIQVNKFVIEDFHQDL NLVDYAIEGDWLYLLDFENGVFRFNLTSLQLDKRFFIAQKGCSIISVRHNQIMLIQQQLL HSEVYEGRIVDNGWGMTRMKTVAKQIIRNIQQFNNFALLISNPTNNMYQKHLLDNFSDPY LSKGSNLHQMEFLGMDKLDEEYVVGIYRYGAAIYFAQERTAKISCQARLSQQNRVTIHLN STNCLNKNQSDAFNYCQSRLEYVFDVHNVLMSQQEEDLYVYLCILALSIIMGLFLAIIFV FRRYQLKKEKIDYLRKSKKGLQS >CAK64831 pep:novel supercontig:GCA_000165425.1:CT868034:109129:110857:1 gene:GSPATT00034308001 transcript:CAK64831 MQGQSSQDWKTKKVDSFVVVNMKLGKGQFGTVYRGHYKDDQTKQVAIKTIPMHNSEQLQQ HIKREIAILTKIDHPNIVKLIHVTKTSSNLYMILEFCKDGDLAEYLNKKQDKRLSELEAV IFFKHVIKGFQILHQEKVIHRDIKPSNILLNDGVAKIADFGFARIIESEIDKMNLSRVGS PIYMAPQILEGTYFTSKCDIWSIGVMFYELLYGVTPWIANGQCELLEKITTQPLQFPEIP VRSQKVKNLLKCMLTVNEADRINWEDLFTNEIIVIDEKQIHYNMQQMEKEKDRLMRSISL NKIYINQNLILGYMKDVNKIEEEQFDAKQGIQQATYTDIFQQYNAESEEAKTIQKLNTIC KYERNLAFFYSFLIQGLFSMLQQKVMPISIELCFQLIFCISKNQLIKMEELYREISKSRN PEYEIYMRSQEFKQMCKHLSMDIDQAMKFFVEILRKCLSLQNQAKQIVLNEQTKEFYQQY LSILDNNLNLTDQFQQVYYGTVKLVLDKLTSETVELMKLKFYLNICLNPKMIFTDYNYDF SKFYEEIQYATVDDWKKALKKFN >CAK64832 pep:novel supercontig:GCA_000165425.1:CT868034:111901:112837:1 gene:GSPATT00034309001 transcript:CAK64832 MDSCVKQNSNQNQFCEQQNSQFKKSENELAEESIINNVKLRYGEILEQKVNLGCCSTQGC GTIDYAIFAEKYQNLQGYIPEADLGLGCGIPTEFAELKKGDVVLDLGSGAGNDCFIAKAQ VGESGRVIGVDMTPSMIRKAQLNSDKYNIRNVEFRQGDITDIPVLDNYVDVVISNCVINL VPDKRKVFSEIHRVLKQNGHFSISDVLSTGDLPLAIRKAQELHIGGVSGALRKDIYLKTL EDLGFIDIQIKKEKVIDFPDELMLKYVSQEELNEFQNSGTKILSATIFARKK >CAK64833 pep:novel supercontig:GCA_000165425.1:CT868034:114087:118257:1 gene:GSPATT00034310001 transcript:CAK64833 MKTENQQENQVLTNGNGANSQPKEEPMVPYFELLRYASPKDKLLMIIGGIAAFLNGASFP SFSIIFGDMTDSFSQTGDEMVRQAGLNAIYFIIVAAGTMLMSFIMFATWMITGENQSIEF RKRYFAAILKQEIGWFDTINPNELNSKVANETFAVQGAIGEKVPTFIMTFSMSFFGFLIG YIYGWQLALVVTATLPAISIATAIFAIIIQTSENATQKSYSDAGALAEQAINAIKTVKML DGEDFEVEKYKKHLLQATATTISYQFGVGLAFGFLWAAMLWAYALGFWYGAKLISDQTLN HNMGEVYTVGDVMIIFFAILTGGFSLGQAGPCVQNFAKGRQAAVKMFAVLDRHPRIVNPV NPKKLTSFNGTILLKNIQFCYPNRPDQKILKGLTLNIPAGKKVALVGESGCGKSTVMQLI ERFYDCEEGEVLFGGTDGINVKDLDLVDLRSRIGLVGQEPVLFATSIKENLLYGKTDATE SEMIDALKKANAWDFVSKMDKGLETYVGIGGGQLSGGQKQRIAIARAILKKPQILLLDEA TSALDRTNERLIQETLDEVSKGITTIVIAHRLSTIQNADLIYVVDKGVVIEMGSHQELMN LHGKYEILAKNQIQAQKHEDESSSSISSPSEKNIQDQKASSQRSVQIKMNMIDQQNIVVA VKQEIDRFQDLGVPELVKKVSGQGHHHHHHHHHKKIDTDIEAQPLPKTEEVEKKKEVDAQ MGRLFTYNSDEKAQFFIGIIAALANGCTFPVFSLFLAEMITVLVESNPSFADQKCSTTYD NPTPEMCQLFKDDLKDEVRTKADRLALWFFLIGVAAQILWTFQMYFLAYVGEKLTCKLRL DTYRKLLRMPIAYFDIPKNNAGTLTSRLSVDCKLINGLTSSILGINIANVGALICGIVIS FIASWQMTLIMLGLAPMSYIGGILQTQFLQGFSDLTDEAYKDSGNLIMEAVTNVRTVVSF GNEEIILGIYSKKVQLPLMKAKQRGVYAGLAFGFSQMQMFIINAIVFYVGAILCRDGVLT IEDMFKSILAITFATMSAGNNAAFAGDIGAAKNASKNIFEILDSEDEFQREQRLKKQKLT VPVQGDIHFNNLTFKYFGREKNVFENLSLTVKQGQKVAFVGPSGCGKSTLMQMLMRFYEP DQGVITINGIDITDYDIRYIRRQFGIVSQEPVLFNGTIKENIQYNLQAITMEQIENASKK ANAYDFVTKNQFEETQAEQKGTEQQRGTGFDRQVGPKGAQISGGQKQRIAIARAILRDSN LLLLDEATSALDAASEQLVQDSLNKLMEGKTTVAIAHRISTIKDSDAIYVFEDGKIVEEG NYQKLVGLKGAFYRLEQGIAK >CAK64834 pep:novel supercontig:GCA_000165425.1:CT868034:118297:119607:-1 gene:GSPATT00034311001 transcript:CAK64834 MYVLALQQLEQISFDQSEIKDESFLDQSDLQFSIPNSPKIVTPNTKFLNQIYDKNEMKLA QQQPISQQKQNQFSKPFQQETKKKDFEISTFNEELFFGNQIARPLQVSPNNESKQVITDY REPQVQIYGLNSALRVEEETEKIIEQLVNEASNSLNKIELIQQYAYQTNAGILTNLGVIN NFINLMCFAILGTQLKSKDIESNQEEFLKRMNTPYGRKPISRLRQLHGQDDFEDHHEVQP LYQIKQVMETQNQYEKIHNQAIFDAFNEALNILRPFYQDEGIPYPWCTETNISQRVCYGI EDITIILERARQKVFDWSKTLCGLLSDEIPVISVRQLNYEQLIIMQECQGNDSLAYINEE RIQSCLQIELQEGERKWSQMTQEYSEVLMEIADYLFEELTEEMVVEVFMK >CAK64835 pep:novel supercontig:GCA_000165425.1:CT868034:119668:121509:-1 gene:GSPATT00034312001 transcript:CAK64835 MNEFNDFKQLRQNYSNALEKNIQKLQGRLKALNNNIINSTSAQKITMTKNPTEVKSLRSS CLFTDNNLASPTDRFESSQVFANFNRYNSLDVPQASQGVQQGKQSPDVFENIFLKQSYIP YEQKQIKQANKTKQKNTQSNTLKITKKAEKNHYLSNQMNSQSLQNIKKKDDISQDKTLKR NPEKKKALIPAAAQQKTKNTSRSPQKQRVKQNKQQTPQQNLQKPLLNEILKKFVSSKTSL SRTVSKSKSKSKSKSKSPEKESTSFKKKHKSRSREQAIHHYNEQITSQFSPTQEKFQMGN IEYSPSLLRQVRTLSKKKGRSKQKHLQQQQQQQQITNPSPNKVSEIHTLRLKHLLRDKSN KQDKKIRTQIRTTESPIPIKNYKLDVPQIKSLEDQPKDDSKPSSVRLNTLSIQRSDEKDS SVLMNIFHELKNLSEDQIQQFQQILKQIRVERRDEELQTSISDLNQAVENLKANNQSNQK NNITETHLKMALEEFPKKSHASSPMSNSSFIFKKEFLTNSQIEEICQQREKHKIKSQQLD VGILDLVAAIENISEIVSSQQTIGLILVLKASRLIVEIQIGIAESVKTIEYFVEED >CAK64836 pep:novel supercontig:GCA_000165425.1:CT868034:122495:122935:-1 gene:GSPATT00034313001 transcript:CAK64836 MSQKKQEISTWILAHTQLAQALEDAWQEFCKQKSLEDDCNDEQEIKRQQYEKEKNIGQIV QEVWEATLDKLKQNQNQHKNSRSNLFDAECTSYNIHQEKNFGLKDITRWRLSLRDCKLEQ NKIGNGIVEIQALEFNEDPKKKKKQK >CAK64837 pep:novel supercontig:GCA_000165425.1:CT868034:123115:124142:-1 gene:GSPATT00034314001 transcript:CAK64837 MGHQNSQKIDLNDLRVSRIYPDVNRNQVLPYNIQQGNMSDYVVKKYLGDGTFAFVQSAIR MSDGLPVVLKQIKKEYTWWAKMEAQVLNTLNEESNPNIVRLVDAFFNDSSPVLVFQELQN CTTFDYRIYNYYHDLTPEDIKNLYFKLFQALATSHAKGIMHLDIKPANIIVNNDQIQLID WGVSDFYFPMKEYRTRVGTRHYRAPEQLIHYKYYDYAVDVWALGSIFATAVFKKYPFFNG RNNDDQLLKVVKVLGSKDFFKFCDKYSISIPDDLHSKLIGHEKIPLETFINDENRELVTP QAIDLLNKIFVYDHAFRITAEDILQHEYFTDL >CAK64838 pep:novel supercontig:GCA_000165425.1:CT868034:124653:127190:-1 gene:GSPATT00034315001 transcript:CAK64838 MIVKHYSIKINNLIENGKVHYQIIVTSFTNPADTKTTMNRYSELKDFHEQLIKNINLLKL QLQLPEFPKRSLFSKTNKNQEKIIQRQQELELYFNQLFSIDKILSLAPVQLYLPIETPLN QQMKINVSIESYTVYDDVVIYSMRFKNRITKEEWIYKQRYSEIKNIHDALVEQGYKGKLP PFPTRKLFGQTNENPETIEKRREDLEVYLNAIFSTQEIYDNEIIQFLIADSKKYFETNKK LEEQKKNTTHQVTSILHFVYLIFINQFQLLMQASSSVHSTNLDKQPKYSQFVNAQLFSGY RVRGKDHSKHSFNQQLSPTNDQKIANNLSASQSYVNAMKALQDKIKYLETENQNLQSLIV YPFNYYSQSSGGLIKSFEVKQRHVSAHQKPNENQQIRDVELKLAQVEVEKQQIIEESEQK IQQLQDNLMNIAQQSDQRYREQLEQIQQLQDQLELQGENNQNYRIKINSQTQAIQQEKLN NNNLEFILDQEKRETKFLIEKNEQLQEEMSKLKEQFLEIRTYMNWYTKQYDEKKFQKLQE ETMKQKNQIHELTSQVEQYKELNLKLQFGLDHKTQELEKSELKRVQKLSESNIKIDQLKQ QLNNLSSNSIMHTQSPRETFKKCKQSAEIVKKTQNLRNYRQQNNDSKQQLLEQPCNSMFN SPQESYKIQQNDSQSSVFSRLIVKTQEENFLTQQKSEDRNNIGNLIDEQIQTIQLSANKQ EKYLQIQPRIQELNDQLKILNQKYEEIESAISQLTDLKIKREKRQLLLQIIDKIQDINKE LNTLVAKQKASQQF >CAK64839 pep:novel supercontig:GCA_000165425.1:CT868034:127271:128609:1 gene:GSPATT00034316001 transcript:CAK64839 MDLFGVFETKANADGIQVENQVEEEVSMNVEAEEKVEAFKIIKNQENEGCIHEWYTPEDY QPLLDKKQNAKQYKFTLDPFQKVAVKTLESNESVLVAAHTSAGKTAVAEYAIAMAKRDKQ RVVYTSPIKALSNQKYRELQQEFGDVGLVTGDVTLNENAFCLVMTTEILRSMLYRGSEIV REVAWVIMDEVHYMRDRERGVVWEETIILLNQNVRFVFLSATIPNASEFAEWVCRIKRQP CHVVYTDYRPTPLQHYLFPSGAEGIYLVVDETGKFKEDKFQEAVAKLEENVENTRKRKAT EGSDLFKLMKMIQERELAPAIVFSFSKREVEGYAIGMQKLDLTTPKEKENIETIYKNAMN CLSEEDRQLPQIQLMLPILKKGIGIHHGGLLPIVKEIIEILFQEGYLKALFSTETFSMGL NMPSRTVVFTSVRKIRW >CAK64840 pep:novel supercontig:GCA_000165425.1:CT868034:128647:130302:1 gene:GSPATT00034317001 transcript:CAK64840 MSGRAGRRGIDDKGVCILMCDEKMDQEVAKSMLKGKSDCLNSSFRLSYNMLINSMRMEDT DPEFIIKKSFHQFQNDRQLPEMKEKLQDFKQKRDQIQIENEDKLGNYHDLISQSTHVYNK IRKIIYQPQIVLPFMHIGRIIRIKGSDGDWGWGISINFMQKKFGNKKNKDQEQSIILDVM LYVQKRKKNEPLQPQLSLDQEGEFEIVPVSIEQLYEISTIKLNLPKDLRTNESKQQIKQT MIKLLKEFKGQPPLIHPIKDMKINDDQLDKLLEQRQQLLEQVEQVKKDLNNQNLEQELQT YDEKIKLGQTIKLLNKQIEESSQMVLSGDLKRMKRILRRLQYISKDEIVQMKGKVACEIS AGDEIMLTELLVSGLFNDLASEEICAVLSVFVHDENNSEKFQLKNDKMQQLYTKVLDQAK YLYTVYTESKMNIDEKEYLATFKSQMMEVTLAWCQGQSFLQICKMTDLFEGSIIRCLRRL DELIKQLEEAAKVIGNKELENKFKESSKKLKKGIVFAASLYL >CAK64841 pep:novel supercontig:GCA_000165425.1:CT868034:130331:130796:1 gene:GSPATT00034318001 transcript:CAK64841 MSDKNCFEPICEKEDILNFKSKKRSKLQFEEEQPSQECPLNRSTYGNYTWNMLHTTAIYY PDEPTQEQQQKMRNLFDAIAEFYACKHCKAHFQQDILKNPPIVTSRKDLSIWLCQRHNDV NQLLGKAVFDCSFENLERRWRTGCQ >CAK64842 pep:novel supercontig:GCA_000165425.1:CT868034:130874:131624:1 gene:GSPATT00034319001 transcript:CAK64842 MNEQKLREMMKIYTVSKQVNKKAEKNFIKFSSQYYKLHKNDMTPDLLSEVNSLRTIIKSD RVAFSTQNSPQVSPRLTSNHMFNFKEEKPTLNSIYSDDKHQDIYNLYVDEINDLKQCQCI IYIQNSIKPIPQQNNKKVITIKRSKLEQERARVNDLTQQSNQLQKSSVSMLNCSLDQRKQ TLNSELNKEQSRELFKSEICRALEKYNNSKQDQSNK >CAK64843 pep:novel supercontig:GCA_000165425.1:CT868034:131718:134632:1 gene:GSPATT00034320001 transcript:CAK64843 MDQQQTDIKELLSLYNNAMQIKKRAEKKLIRFTNCLSELDRRNCGAEIIEQINVVRQKIK EERKNQAEQTPDGLKQFNAKTKTSRKGTMYLFHMIEQELESMDLEYKCKLQQQQQVINDL NLQIEEVKDYNQEQIFSLTQKNQQLLNLIEDLNKQIAELKQSIPLNDILVLEHYQNEEFK SQQFNNSSIRVSQNSQMLQNIKKVGSQENNQTTESLDQCQFETLASEVKYQETCENFDEE ILLGSSNQCAESKGIHSQYQIVELQSPIRIQDQQSNQNQIYELNFTIEELKEQIQNLQQE KQKLIDSLQENELKFESFKQKILINEKNLHNQINDLIQQNQDLLAKEQILQAQIEDQKNE IKNIAKLTEDLSQSQQLLNQKDLLISTLNGEQEQFKSQIQQFEQQVELIPKLKEDVDHHK NVINEKDGEIQQLKQHLENEQNLNVEKQKTNETLNEKVIETQNTLDKQIQSNSLLQNDNQ SLIQKKQLLTNQIEQLNNEKEQQKSEFTSKIQDLETEIQSLSSKRQEQDQHLNEQNLLLQ KLSQNESNLTKEVQDLTSQLKEFEIKFKVLEQENTKQSQEIKVLNEQKQQFESQIGEVNS QYQKIKQDFHDYQINKEGVESALNKELECKNYQYQLETKIQNQQLLEEIDQLKKNMEELT RISSSKDLQRDEQIKFQKQLENQYKVLEDKFKNAKQQSQEECDKIAEGYKEELKLMQEQL MRSSENIKQFSPVRELSQDDKYKEQINRLNDELRLSKLQIAQFSAVNNQLKQQQKDLVLK LQSMLKLTPAADQDLNDLILIIESNLSSCQSSPVRQSSKKTSMIDTTKMLMNKSRMSMGS NRGSVLVSQNQISDNGYRNSANLQDNGFRNSINVQKVPSSSQSNTDLQKILDDVSDQDIV QQ >CAK64844 pep:novel supercontig:GCA_000165425.1:CT868034:134677:135262:-1 gene:GSPATT00034321001 transcript:CAK64844 MSRRLSKELEQMQKSFANEFNIKLPNNEISHWIVGFEGAKGTLYEGEKFELQFKFPNSYV EPIESPEVVFLGKPPEHEHIYSNGFICLSILYDEWSAAHNVSSLCLSIQSMMSSATIKMK PPNDADFVKQATGRGPKSYKWTFHDTKC >CAK64845 pep:novel supercontig:GCA_000165425.1:CT868034:135709:136941:1 gene:GSPATT00034322001 transcript:CAK64845 MKNIQQVITMFSFKILFTIHQIVSQSPVNACFSFSQTWINLINEYYVLQGILNSISFFCF QLQYFELNYNQMYSLLTNQLIFDFQLSQQHNYWNMYQNMQHYYYSNAYAYPYVNQTQLYP QPFQQIPAQSEQAQCKEDASTTQGTRRSFFETKDAVPFESRETLEKYILMIVNEDEDVNQ VISSLKNKNQLSLASVLEILFQKQQQQHKSREELIKFCLRKALKFIFRKVQEENDKTKIN LKSAQKKFIEIIEQETKKNIILPFRKNSKNKTMNSEFLKEIFSSKTFVRYYEQYLNCLDE QIQKDSKKKIAVLCNKIQNTISDDKIFSFEVKRLPWSLSNVEKVKITAKEMLMYSTDQKY >CAK64846 pep:novel supercontig:GCA_000165425.1:CT868034:137478:138392:-1 gene:GSPATT00034323001 transcript:CAK64846 MNCIINSYMFIHSKELYGCSLKQYDQETVIALSTFGEGTQELLVLSNKDDNLQKKSQINL DSKFVIQLMLLNELIVVAGESFQIFKYSKNKIDHLVDLQSNEVTSCFDNVDNQKIISGSI NSILTIWDINTQKVLSQTQVFQNQEIYDLSLSADGNVGSLITNKNGLFRFDVRLNQIFPF QTRQSLKYSKINYNKFDNSYVMAIDQENGIIDLYDTRQIQQTCQRIRCQDIISNADWLMN SLIISACQEGNVEIIEMDTHLKQYQIRKEYTIDNMQIYDNIVGLISANHFGLIYLKK >CAK64847 pep:novel supercontig:GCA_000165425.1:CT868034:139631:142800:1 gene:GSPATT00034324001 transcript:CAK64847 MSGQPHYKQESIPIFSFLNVPNFGMLNPQNTQISQVYEKAIKEAVNSVHLQKLGKKQLQQ IAKELNIPEKKKKEEIISSIPTQLLQFLIQVDPSRPVEQLLQHQPNLQNNESIVAILKKF QGSIINRAQSFPQQPQMQRAIPQILANPYMNYMVLPQAQQQQQLLQYNPLMNMNLYQQQM RQNTPQQFYYQYNNQWNESITIPQTEIQQLLMCPCKIIPAKRVTNEEVKCINCDNKLHIS CMKLQPNDVKMFECPVCILSKIDPLNQIIKVLAKPTLMNANSSTLSFMLTAEEFHQLQDR SFQYQVELRSIRLDAKYMNEITWPDFCEISINQQRLVELKPLKSNSSLKKRKDEIQIIPF QHNNITLSIKQGYNQIIIKDGQNFQEPKAQFKLCEDGVYAQAIYLTKKRPHQELINQIKQ NKECLKTKEECIQLIQKACVAEKTDNDVQIDKITIKVSLKCQFDSQMIQTPARGKFCAHV QCFSLENTITINAGTSKKWKCPVCKKKIFDIMIDQYQLQLLEQYRNNKENIKEVVFDQNG EIVQEIKEELEESEEEQVSINKQNQLEEQQKQALQQLADPTLLRLIMMNPTTLIQMQLYQ KQMGQISVLQQQQQIQQQQMQHKEKNENVNTYQNKSQLKQLVESNLSNIEGKQNSLQQLL SQQTITKPKFIKFKKFEAWLDEVSQQELAQKNLEKQINDQINEDQQNLEQNQSQQQQDSQ QLEKQQQQQLQSEQQQSIQQQQQQQLLQQQQQQQQQQQQQQQQQQQQLQATHQENDKPTQ KSNRLKERMVRVIKKQFSEFIRKNFANNVNVSAENNVKNPQQTQQQQQSATKKYIYKLSE EKPKINTTTQFTKMLADQIIMQNPGQIEKQHEDLKSFGEEQLKSLQAFLPQGFTNPQSVF QQQIVNQKQSDSNAQIQKVLSNQNPTLVGQQPQPQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQVPQQKMKEKSLQKIKSPQPSASLEDKNGKNMDNPICID >CAK64848 pep:novel supercontig:GCA_000165425.1:CT868034:143491:144956:-1 gene:GSPATT00034325001 transcript:CAK64848 MRKVFIFEFILAAHVVFCILIEMQSVIFPIQQKLVDLDNVGPVKKNSHANHNSYFDLFGF FGLCIWLILKYFFEGFRFNSILIMAAYTFFFFDRALQFADKILCQVAAVLTILYIWTLIS YPVYEFPKTTGKYRTCYKQIKLNDSLSTDTSVYYPCADNKQEDIKYKWLPNNKFAKQLYE ISVLQTKWVPSEWVFDIGLGFLNFINFTASVEQPLLNTELDVIIFSHGFSQHRNAYTALC QQLASEGYVVFSLQHYDLVYPWDTKGTKMYNTGNYPETIEKYQKVRAAHLEWRTEQVQQL INTLNSNQIKDVFFDFKPLSINLIGHSFGGATVLSVAQEVDVNNVIAYDPWLFPFTQEQM HKQVKSKTLILSKDKIRVKQECFDPKLLKDFLDFNTSIEHHKIKGLDHAYPVDLTYLIAA EMVLIGELRTDDNVFKINSLINSLTTKFLQQKIFSIEENKLFC >CAK64849 pep:novel supercontig:GCA_000165425.1:CT868034:145201:148417:1 gene:GSPATT00034326001 transcript:CAK64849 MDFIIKIGIDGHQFLLTLQAENKRLQYLQEQIQIETKVEVKNQLLLTKKGVKLEDLNQFD VDQQLQQTVQQFPDEKKFYTIYLFDLSENPEQVVYPFPKYEPKMDLKQLLLSEQAKETLI NLNSNIINHEKIFFQHLQNLKNKYIPYQQKVKQLEKIGQDLENQIQSFDIVLKMSRTTYK NTKAKKEELTKKQKESLERNLQTVKKFDDSLEQLKKIELHAALQTNSQKYLSDIYYKPES MLKWKNSCLNTQQNLKQKIDKLNQAISKSKQKIKNEKTENMTQCLSSYKANQQQYQQFIQ ENKSLLQSVVQSTIDEYNTLRQYMIDFSQDINDEPAMYYLSQFELSQEQMKQFEDAVTHI GDHLEKTKQVFMQFTQLKQQNMSKILKVFQNLRALDKEFEQHNEKIKQYDEEVEKMEKDF SYLLNPVLLPQAYQQALIETSRRKEFRDIFDKKIKSLMSLIDQEKDKRKKFLQQYGRILP QDFIGQLKNLTPSIQIINAFADAELPNITGLHTDTFKKRQDNDLDQLKQQIEDLNQQCKQ THLFYQEKTTKKSSTYIDLLQLTAKNSMKMFIQRAENKPLSSIDINTDKSQRENDSKLIN LKNEFSEQLNNQKQEQEKLKIKLQKQEQDFESKLVEIDNKNNIITELQQKIESLQNNTVK LKDDLNKYVGKCENLEINLIQKNSEISKLQQLQKQLNDQLTQSKQIQQDLIKHKQSIQQQ CETQNDQINELDLLNKQYLQQVQDYYDQVETLQNESEKLKSKLKMVELERDNKQIELEKQ IQVYNELLEDYLEKNSQLDNLNQDQNEQVQKDLKLEEDQKALQNKIDNLDLALQQKNNDL ILMKNHDQLQLLKAQDDFKEIQLQYEDKINSLTQENLDMTIQIQSLKGQIDYLNQKQKSE NIIEVQQKLKLSDPQFQFDLSTIQIGKQSIFIPAQNDVYVPLLLKNIQVSNISDDSLSNT SIDSSIKQKLYRVQLTSIPQTLREFIMDTGLLFISEVSNITETEEYFQISVKQPNYIIGF EGQDNQIKSFTL >CAK64850 pep:novel supercontig:GCA_000165425.1:CT868034:149500:149880:1 gene:GSPATT00034327001 transcript:CAK64850 MKSYFLSNLIKHIQQKYKEVKNQVNQLQKGMENNIQELKFKNNQLENENNDLRYNIQDLK CINDTIQEEYNMIKQYNEELQHKNKTLENELQKYLIKNDVSYCLNQQKQLIQVVPFNYFK QQILKY >CAK64851 pep:novel supercontig:GCA_000165425.1:CT868034:150816:154264:1 gene:GSPATT00034328001 transcript:CAK64851 MLERINNWPEINGNTNLEKITLDTIKLKQLNGLQYENPVITNKCLHLKVCFELKEFEVQI NNNQPFVCKICNQNAQQYSDLMWDIRNHAYEEFHEFVDEIHIIYGCMVNKYQRNKKYIDL FTKFGIKEHFLKLIRQRDYQTNLKSLIEKNVFQQKAFQLYCLLDFIKINIPIRIKGCLHF ECYEFTSLLYYQQNNQQNQNIFQCIYPGCEIHLNISSLDTFFDGIVVDQELLKEIKKNNP TSIIFNIEQETKTIIQNTYFPFSEILTQYSNKYNQQFTELQQLELQTRFNQVLQSITQSN LLLDKPQLKQNLSQSTISLQLQDNLVDQITKLKMELPTRCIKCIDFNNSIDLLTYVFDFV FKKQIDNKQKYSCPLCNQTQNQIMAIDNLNKYIYIDQFLLLKLIKQKNDNNVLQSECDFF ESYFNNLSYENNVIETVIFDTYSLLNHATKVRYKNPALTRKCLHRKTCFEFKEALQQIQQ QKLYQCPHCDQQATNLDDLVQDWRVEAYKEFYEEVDEVTIIKGIMFNKCCRNKSKNLNFF TQSKYDKQFKQIFERVENDMQIVSLIRRDLQQQQIQNNNNKIIFLCFCLLDKVKINIPVR IRGCQHYECYELTSLLYFQDQNRKTIQYLECKQPFCSNRLRIAHKNYSIQSESYNSKELD EQQKILDIQTLFSGISVDLDLLNVIKKSNPSSFKFYYNKKTENIEEDINKIDGKVVDPFI IKFYEQHPELQKKMHYQEFQKIILQQIILVDQGDLLQVDRDICKKLALYKYRNFQVNMID KLTQLTIEYPVRCKLCPNLEVCMDIRSYIAQFIYFKKMFPTKGYVCPLCNQQLGQYILPM KIQNYIYLDPNILSYMFKDMSYTNGINIFEYKGEQYLWQEFNYRYKIKREDYVSDLYQRQ VVFRQLFCNFNKELRIQQPLILQQCPYRIIVDFKSFYQELTKINFDLEKQGLILCRCNYC HSNPIKVIAGQIYFHEAFYEALNKYYQIQDNNQNESHFTYTFADTERDSYIKTVQLILPL KINSQRENGSFWDMMSDEKYQSLFRGSEIQGYKFKLFAIKMQIIQIPIVLDKEGIHANIM YNFNQNINEELKKFQVCNKQELLQYYTLVKYLILLVVIQNSLQINEYLIIILYK >CAK64852 pep:novel supercontig:GCA_000165425.1:CT868034:154789:155963:-1 gene:GSPATT00034329001 transcript:CAK64852 MGNCNITDKKDFSDSSILSVHNFEFIEVLGKGGFGKVWKVKKRKNKSYFALKMMSKAKII AKKSVPSVMNERTLLCQLRHPSLINMVAAFQDRENLYLVMDLLSGGDLRYHIGKNKKFSE EETKFFCACIIIALEYLHSQGIIHRDLKPENLVFDSEGYLRLTDLGIARIWRPDNSADTS GTPGYMAPEVLCRQNHGIAVDYFALGVIVYECMLGRRPYVGRSRQEIRDSVLAKQVQIKK NEVPTSWSLDAADFANQLIQRKPAQRLGSDNPEAVKNHSWFNGFQWNKLLNKELQPPYLP RSYSNSGGSTQDTEQDTKENDIMLRRNSIQAQFEGYSHDSNEPVTAEPSKF >CAK64853 pep:novel supercontig:GCA_000165425.1:CT868034:156908:158798:1 gene:GSPATT00034330001 transcript:CAK64853 MQKRINQIKTKTKQKKQQMSRGQIIKYEVDMYESKSKRKNDKRKQNQQSPIADYENDKKK VGRWTPEEDEKLQKLIEEYGEKSWRIISDMMEGRSAIQCLHRWTKILKPGLKKGPWQDDE DEKLLEWVKNNGPCKWSLCAENIAGRSGKQCRERWFNNLNPNVKKGGWTSEEDHEIFKGY LQYSSSWSKIAKNLSGRTENSVKNRFYSTVRKLLADQEKNGISLKMLEAQGENGTSALQT FVKEHLQKYEQQMQMQMEQEEPESDKSMQDEKVEESEIKSEDDDDSKSRKKMQAETYQEQ NLLYRLLKQQGGPIKRTSCMKDYSTIYKKYKKRYNQKKKDKKLSDKVENLRKMILKKEDY KNSSEEKELDFQQNLEQQLANFSQQKIDAQSQNGDSDKMNEFQEKLLAFFNQQLNEVMKK FYVEMGDPKQQAKWQMILASDDNNKIQQQYQQQQQQQQQQQQQQQQQQQLQESKKKTKRQ IAKSAKVKEEEVEQNQMVMNTFLQKFNHLGQIGITQLGYHSNNTLELMQMRGKMKLKNQM VQDAKIDQKMMFLISQLHTLENMLGDTKKEFSRLEASLYEKINNTSFHSNNSSEKN >CAK64854 pep:novel supercontig:GCA_000165425.1:CT868034:159304:160144:-1 gene:GSPATT00034331001 transcript:CAK64854 MLYSSQIRDLLIREKSKPIIQLNEFYQNDSQGICRRDILVQWIVAQASQFRHSLKTIELA VIYIDSYLNYFKITQEYLQLLGISAYSLATKFNETETVSQINLYDSNGQNLYQKGEYDEM EEQIIKVMGFQLNYITSSDYLLAMNIEINENVQSLLIFILLDFDIYKHSHIELALAIVAY LQEHSIQFTECILKLSKHIHNKILKAQEIEIGKQENEDSKSKIRNQKINKHITKNKGLTH QRTPKQKI >CAK64855 pep:novel supercontig:GCA_000165425.1:CT868034:161241:162706:-1 gene:GSPATT00034332001 transcript:CAK64855 MRKVFILEFILAAHVVFCILIEMQSVIFPIQQKLVDLDNVGPVKKNSHANHNSYFDLFGF FGLCIWLILKYFFEGFRFNSILIMAAYTFFFFDRALQFADKILCQVAAVLTILYIWTLIS YPVYEFPKTTGKYRTCYKQIKLNDSLSTDTSVYYPCADNKQEDIKYKWLPNNKFAKQLYE ISVLQTKWVPSEWVFDIGLGLLNFINFTASVEQPLLNTELDVIIFSHGFSQHRNAYTALC QQLASEGYVVFSLQHYDLVYPWDTKGTKMYNTGNYPETIEKYQKVRAAHLEWRTEQVQQL INTLNSNQIKDVFFDFKPLSINLIGHSFGGATVLRVAQEVDVNTVIAYDPWLFPFTQEQM HKQVKSKTLILSKDKIRVKQECFDPKLLKDFLDFNTSIEHHKIKGLDHAYPVDLTYLIAA EMVLIGELRTDDNVFKINSLINSLTTKFLQQKIFSIEENKLFC >CAK64856 pep:novel supercontig:GCA_000165425.1:CT868034:162901:163722:-1 gene:GSPATT00034333001 transcript:CAK64856 MKALIFLQEKAEQEGTGFIPFKDERDELGNLKGLTKRTFSRTNNKKSEHIFDRTTSNTSK ASQRQQIDSYSDRNRKVKFLKEIYSTHGNSDWCSLTHLRQLDKKMQLNIETELQVQKPLT GLNQKLEFNDGIMRKFTTNICNSPRLGKKYKTENQTPPLGYYQPQEILKTQPMLVFMDQQ LPNENRLSQHKFSRTEAYTAQLGIRPKLSPNKGSPVTQEILEKAFKAERIITQQKYKYIP EMIDEKNLENITARINCIRQKILQQKINSLSNQ >CAK64857 pep:novel supercontig:GCA_000165425.1:CT868034:164281:165441:-1 gene:GSPATT00034334001 transcript:CAK64857 MQYQEFQKIIQQQTILVTQGGLLQEEQNISKQLNLYKYRNYQVNMIDQLTQLTIEYPVRC KLCPNLEVCMDIRSYIAHFTNFKKMFPTKGYVCPLCNQQLGQQILRMNIQNYIYLDPNIL SYMFKDMSYTNGINIFEYKGEQYLWQEFNYRYKIKREDYVSDLYQRQVVFRQLFCIVNKE LRIRQPLILQKCPDRKIVDFKSFYQELTKINFDLEKQGLILCRCNYCNRNPIKFIAGQIY FHEAFYEAFNKYYQIEHNNQNESHFTYIFADTEIDSQIINDVVEDLCQDQKFQRMFRRNT IKGYSLNFQMVQINIGIFSIYLDYDGIYVDLVSAKNHINSTINLKQRKYHFQITKIDFQL SNSIFGFISNNYRFSSDQ >CAK64858 pep:novel supercontig:GCA_000165425.1:CT868034:166815:167367:-1 gene:GSPATT00034335001 transcript:CAK64858 MLERINNWPEINGNTNLEKITLDTIKLKQLNGLQYENPVITNKCLHLKECFELKEFEVQI NNNQPFVCKICNQNAQQYSDLMWDIRNHAYEEFHEFVDEIHIIYGCMVNKYQRNNKYIDL FTKFGIKEHFLKLIRQRDYQTNLKSLIEKNVFQQKAFQLYCLLDFIKINIPIRIKG >CAK64859 pep:novel supercontig:GCA_000165425.1:CT868034:167831:169886:-1 gene:GSPATT00034336001 transcript:CAK64859 MQYFNNQALNSIIFDTFSLLNPVTKERYRNPALTKNCLHHKACFELEEALKEIQQQKLYQ CPHCNQQAFSKYDLVQDWRVEAYKEFNEEVDEVIIIKGMMFNKCCRNKYKYLNFFTQPEY YKQFEQIFERVENDMQIVSLIRRDLQQQQIQNNNNKIIYLCFCLLDKVKINIPVRIRGCQ HYECYELTSLLNFQIENRKKIQYLECKQPFCSNRLRIAHKNYSIQSVPISKELDEQQKIL DIQTLFSGISVDLDLLNVIKKSNPSSFKFYYNKKTENIEEDINKIDGKAVDPFIVKFYLQ HPDVQQKMQYQEFQKIIQQQTILATQGGLLQEEQDLSKQLTLQRYRNYQVNMIDKLTQLT IEYPVRCKLCRDLEVCMDIRSYIAQFIYFKKFFLAKGFSCPICNQQLGQQILRMNIQNYI YLDPNILSYMYQNMSNIIDTKIFEYHGEQYMLQDFKNRQKIKREDYVASLLDRIVVFRQL FCRVNFELRIRQPLILYNCPDRKIVDFKSFYQELKKINFDLEKQGLILCRCNYCYRNPIK FIAGQIYFHEAFYEALNKYYQIQDNNQNESHFTYTFADTERDSYIKTVQLILPLKINFQR ENGSFWDMMSDEKYQSLFRGSEIQGYKFKLFAINFQTLCKSQSYQINKVYMLIWNKQCRI SINVLIKNQNNMVLKCV >CAK64860 pep:novel supercontig:GCA_000165425.1:CT868034:170054:170954:-1 gene:GSPATT00034337001 transcript:CAK64860 MHNHQDAISKYMYSDDSHYKYNQNQAYRLQNQGMIQQEQQQMEFQKQKYQNIEQQEALIQ YYVYEDFQNLINLLYSYQVVKRHFKSQPRHPAIILSKNKIMLQLSISNSIHSDLYQTNKS YQDQNILKKEKENVKSMIKKMRNDIQNNDLDKESEAYLELYIKYSMGDHIEFKQFELQKM NQDYNFNQGVLDKIFPQISYVDEQIKSLRQRKYYNIPSVQQIKIKAQEYERLTENKLLIN YPLNNYNYRSPQKQDYHNFQLENPKYQFNQFTNISQSLISSSSQTQKKQQY >CAK64861 pep:novel supercontig:GCA_000165425.1:CT868034:172022:172845:1 gene:GSPATT00034338001 transcript:CAK64861 MATTIVLSQEFVTFHKGVCGCEELNEKECEAESDWMQGRCNIEKGKCVTRKCENINNINL CIYLGCFVKKNKCYKPKRCSEQTEDECSELFNRDCAYDSSQKKCLSFTEPSSDESIPKCA ERSVDDCYVAREGLCYVKDGKCQELTTCEEVKLDALCFKAYPACFMQPTISCDTNHKCES IFSTRCVPRKQKINGDQFLLCREQEDGKCVNFDPTQENEETCYQKSELFYHWDGKSCVKC KGWQISAQKITIFIFIALILNHI >CAK64862 pep:novel supercontig:GCA_000165425.1:CT868034:173344:173688:-1 gene:GSPATT00034339001 transcript:CAK64862 MQLSEVPEATNVVREFYVQYRLHVQYLLLTCILFALAPQDDSQTPKFVSINLVPIIEEQL EKLTQSELSKKLFPYNFVALAFEFVNFEHFALIYQDRVPSDKYEHIRLYAQHLA >CAK64863 pep:novel supercontig:GCA_000165425.1:CT868034:175241:182434:1 gene:GSPATT00034340001 transcript:CAK64863 MSKLFILFSLILTLVTSQEFSITQCTCAQLLSEEDCRKNVSFRCTWDRIKRVCAISTTSA LMYETYCDTFAEVDCPKAKPCTDCGNYAACAWGLGKCTFFTGCTAFSKTLMLNVKQQVID VLQMELIVLKLMPAAHTKNSSACVKNAAGRLCYWDTTNNTCVDANTCDKLPASFATDKEC RDVISTCTTKTGGGCVDSGNIVVIKHQKFNVYGINQNLLHVIGMELICNNAPATLTTDDT CKLFRTDGTCTTKANGGCVTRTTCAAATIQAACVKNSSNGDCYWTGIVCIVKTCKNAPTT MITNTACGGFVTGCITKLGGGCVTNGPCSAANVQAACVKNTSGTDCIWDTTCKEKTCVNA PTTNNTHELCTSYLSTCTVKAGGGCQPRTCANAPTTITTNDACEAYLPNNNCITKTAGGC VINTTCAVITLEAACIKNSSGATCFWDTASLACKDRKCTNAPSSNNTHDLCVAFLSTCTV NSTNAGCVDKSCENSLVQTICDKDLNNKACIWKGKCYKKECVLASSTTATHEDCQTYDSG CTLSNTGTGCVPLPLKCEAITIEAACNIKLQITNGVKSYPECGWNGSQCIDKACSTAPKT TATTADCGTYKANCVTNNPVNGSIAGCQDLPTTCAARKSTENCEITRVGFPTCLWNTATL ACVEKSCATANVVGLQVVVQAGLFTFNHCRNYLSNCISNNNQDGCIPKPSSCSKLNSQNC QMGSKINGNCYWNGHNCVDRTCKNFALITHIGCQTILDICTVNNGNTGCQILANNCNSYR QQENCIITLNKNKCIWTGVDCRNATCQDASDNNLYDSDSECSNYSIINETCTVLYKTGGQ GCVKRSTYCSDYVSAAQCYRTLAAISDDCTWKSAYSKCFSNTYLQGACSKFTGTKTQCES IKTGCTNTVGATETDICTFSCALVSETTHANCQGYSTTCTVNKAANACQIAQASCAGYGT TQSSCSYRTDGLKCHLDFTAPTPTCVDITSANCSLITVLNGGAEVEAACQAYNTACHING TPDGCQEFQATCAGYGTTQANCSYRIDGLKCHWDATAPTPTCVDITSANCYLITDLIHEA AVEGTCQVYNTACHINGTPNGCQAWHIPCTAYGTTEANCTKRTDGLKCYWDTTATTPTCV DITSANCNLLTGLSSPNNTHANCQAHSTACTINKALGACQAVQATCVGYATIEVNCSFRT DGLKCHWDATATTPTCLDITSANCNLITSLTSPNNVEATCQAYSTSCHINAGVTACFAFT TCEAITGTNITWAICQGYSTTCSVKRDGSGCVTIQSQCSGYKTIENCYRSTAGYCTANST DTICQPITESTACETIKLGLGFTFSDVKCNQLRAGCITQGTTGCQTRTCANKTTPFAHTD CNGWLNTCTANAASSPTACVTLPTTCASQTAAACVWAVEGECAVSGTSCVRKTCDTATAD TSFDTHAECISYLSTCTVVRIGGCQARAACSAYKSSQQCKFNKSGGKCFWNPTNKTCVDL NCGNIEATTSYDTHAECVAVDTNLLCTVRAANWAAVPGCTARGACSSYSIEDQCKTNASA GVCVWNTNLTTPVCQDKSCTTAPTATATHADCDAYFSTVTIKCTVVATPDTNGGAPVLGG CQQTAACSTYIHQEQCEFNATGDPCGWNGTQCADKSCATAPATADYDDNDKCRAYFNNKC TVASTGQGCVDIPDTCETMTEKQCVTDKTARSCYWNGTACITRSCENAPEATSSAEDCNT YLSGCTSDSVKCKTKVCEDFAFATDALCKSALSTCTTNGTNCVTRGTCFQALSQAGCVTS VTNQQCEWMPVVGTNPAYCTIKTCNTAPVTLTSEAACAGYFTNCTTKNGGGCVTKSTCAA VTIDAACTTALNGTVCAWDSAQMEPLMLHAKPKELDALLVPMENVQEIQNCEQTTIRSAC IEGTNGPCLWINDYVNTDGSKGACFRYTSCKSLNWNSDTSCKWISNQCTTNGSNCVGITL CSETNTDGGCVTGYDGACIQSVPALNSSDPKVCKPYTSCADAFYTTHSDCQIASKKCTTN GTTGCIALGACSSYTTQAGCYFNDKGVVLTSGAITSTGICTWDTTASSCRDQSCADLTGT THATCSSQLSTCTSDGTTCLIKGACSSYTTQTACTTAVGSDGICYWELASATNNNTAKCR LMTCADIQNGTSTNVCSVALSSCVSNGTACISKANCSTYTTKTACNSGGLDGICVFTQST AAGAAAGTGTCALMTACTIANNDQLACQAAKDRCSWTAASGTGTTAVASKCATHTCATNQ ATNGACTRFLNWDKKTQQICTLVSGTCTATDPSTLSLNDCFLVSGYTYTWNASTSKCGVC TAVVVYPNNTDNNTNNTNNETITDSGYILGLSAIVFGYLMF >CAK64864 pep:novel supercontig:GCA_000165425.1:CT868034:183155:183490:-1 gene:GSPATT00034341001 transcript:CAK64864 MRGNKKIIKLSDLIELDTVDSFQGKENDIIILSLVRSDDQLGFVTDKKRTNVALSRARYC QYVFGTYQTMSKNLLNWNKLLKVLDPKSEIIKYNQQDLSNPNFFQSILNAE >CAK64865 pep:novel supercontig:GCA_000165425.1:CT868034:183513:185598:-1 gene:GSPATT00034342001 transcript:CAK64865 MDNNYSQYSSPMHLLSNVAFKKAILYNSNGNRSNLTGYKKFQSVNEYQKRFFPLLQNEYY RSIARDKKSFLYGLQDGESFPLKLKIITCELEDNGPFKINIESELEELDPKITKKSLWKY RHYSDYLIFKESSSYIGAIYMRNLDQLNDYYTSLAIFRKKDDDQHILVPNNIFKYFPVDI LDSPFIYFQPFCPLSAYQTETDCLFLLQQCPYFDLILNPIEQLNQVQTSEFAQYVNEQRQ YYIQNEVFKRHFNEEQLLAIKMALDYHQRFTLIKGPPGTGKTQTILGIISIMADLLVQKD KENEKQGGILVLAKSNSVVNDLVRKIQKNIEEQNSIIYCFNQKPDFLKVIRFGRPGLCES DIEENSLEILSQKQFFQQFTNKVSQIENKHITEEIQNMLKTKNLMDYKEFLLIYKTQITL ISLLNFIDDLNRQCKKPKILNAYGKFYEELSQLLKTEKKIYEGIEQSQIQNCRVIVSTLN SCTKECLRSYFERVHFRMCIVDEAPTALEPSQLIPMVKYTNNSEDSVACPIVVSRESKDY GYNRSLFERLADGLNQSTVQLFSQYRQMENLAQITSQLFYDGKLINGIKNMQLPLWILQK VSNKKNRLFFSAPPNTESRDETSRKNDLECQAIIHLVKYLLQGLDIQEHKNPITVISCYA AQKKKFITKIVG >CAK64866 pep:novel supercontig:GCA_000165425.1:CT868034:186162:187556:-1 gene:GSPATT00034343001 transcript:CAK64866 MQINFKGIYNIILIFIFKDCSTIQKIFCLVLTLFFLDGCEYKWLYRGIIFLSNIIYCILQ SIFDQKQYTKMILITLQPHYKNKYIFKILLIIYRIYKQQIKKSSIQNHENQQIFIQCLKQ PIQKEFYLIFSKFTQSFRYRKTNYELIVFITYIIYIHNYIVNITFTSRQSCIIQLHQNQN NPYFFSETQPQNRLYKIKLKINYLFTLRFNRKYKSQQKMKNQFKKIINLQSQHKNQKQYI KVNLYQQFIKKVFYPILFTLQSINKEYSLIELYNNVITKEKNLILKKRKSQLRLQLRFEV FFIEEDDCQFTCQFNLLKILINVLLIIRSINISGYFSLFKQNHSCYTKTQVHDLSYHFAQ AKFLFCHIRAINLNIIPSFRVQVSKYSQMRIILNRLNSTINLNKIIHKMQLDQIIYQIKN CWKANIQSFLQQIPFKNFPPYLIQKTHLFLNNYDQKIFPSHSQL >CAK64867 pep:novel supercontig:GCA_000165425.1:CT868034:188492:189388:1 gene:GSPATT00034344001 transcript:CAK64867 MNKQFIIFALLLTLATSQTYSLTSCTCAQLLSEGDCIKNTQLGCSWDSTKKTCAVSTTPV TPTVSYAAYCDTFAEADCPKAKPCTDCGNYAACAWVSGKCTFFTGCTAFSKTTDPECQAI SNRCITDGTHCVEVDACSTYKKQLPCVKNTAGSLCYWDTTNNTCVDANTCDKLPASFATD KECRDVISTCTTKTGGGCVDSGNNCSDQTLEIQCVWNKLKSTACYWDGAACKDRICDNAP TTLTTDDTCKTFRTDGTCTTKANGGCVTRTTCAAATIQAALCQKQFKWRLLLDRNCMC >CAK64868 pep:novel supercontig:GCA_000165425.1:CT868034:189417:193262:1 gene:GSPATT00034345001 transcript:CAK64868 MTTNSACGGFVTGCITKSGGGCVSNGACSAANVQAACVKNTSGTDCIWDTTCKEKTCANA PTTNNTHELCTSYLSTCTVKVGGGCQPRTCANAPTTITTNDACEAYLPNNNCITKTGGGC VINTTCAVITLEAACIKNSSGATCFWDTASVGCKDKICANAPSTNTTHDLCVAFLSTCTV NSTNAGCVDKTCNNSLVQTICDKDLNDKACIWKGKCYKKECVLASSTTATHADCQTYHNS CTLSNTGTGCVPLPLKCEAITIEAACNIRLQVTNGVKSYPECGWNGSQCIDKACSTAPKT TATTADCGTYKTNCVANNPVNGSIAGCQDLPTTCAARKSTENCEITRVGFPTCLWNTTTL ACVEKSCSTASQTGTPGVLTAGQFTLNGCSGYLPSCISNNAQDGCIAKPSTCSGLVQQNC STGSKVNGDCYWNTTTNACVDRTCTNMPLTSHAACQVLNTCTVNNAKTACQPLAAACSSY GLADNCVINSNRKKCIWTGSACRNATCLDASNTDSFDDDSECSGYATPTETCTVLYKTSG AGCVARSANCSDYVSQAQCVKTFGASTNDCTWNSEYAKCFSSTYNQAACSTYKGTKAQCE SIRAGCTNDAAAVETDACTFSCAKIASLSSPNNTHTYCQGQSTTCTINSGGTACVALSAT CGGYTASGDCVRKTDGSRCFWVAASTTCGDFAVGNCASVTGLTGATHATCQVYHTGCTVN ADGTACQEQKATCAGYTTSATCSIKTDGSRCFWVTASTTCGDFAVTNCASVTGLTDATHA TCQVYHTGCTVNADGTACQESKATCAGYTTSATCSIKTDGSRCYWDDSVGGSEVCIDFAA THCASVTGLTGATKATCQAYHTSCFANVGGTECFQFTTCEAITGANTTWAICQGYSTTCS VKRDGSGCVTIQATCSGYGSTVANCYRSTAGLCITNSGDNSCVALTQATNCDALYLGSGN YSHSKCNSFKDSCTNLSTTGCQTKTCANKASTFTHTDCNAWLPTCTVNGAGSACITMPAT CASQTSASCIRAVEGECVVSGTACVRKTCDTASPAASFNTDTLCSNYLSTCTVARIGGCQ VRAACSTYKSSQQCKFNTSGGRCFWNPTNLNCVDFSCGNIEATSSYDSHAKCIAVDSTCT VRAANGAAVAGCMAKGACSAYSIEDQCKTNASAGVCVWNTNLTTPVCQDKSCTTAPTATA THTDCDAYFSTATIKCTVVATPDTNGGAPVLGGCQQTAACSTYIHQEIMQIQCYRRSLWM EWYLMVQINPCATAPATADYG >CAK64869 pep:novel supercontig:GCA_000165425.1:CT868034:193273:195500:1 gene:GSPATT00034346001 transcript:CAK64869 MQKLTLIINGTVASYRKQGCVDIPDTCETMTEKQYHAIGMELLVSTRSCENAPEATSSAE DCNTYLSGCTSDSVKCKTKVCEDFAFATDALCKSALSTCTTNGTNCVTRGTCFQAQSQAG CVTSVTNQQCEWMPVVGTNPAYCTIKTCNTAPVTLTSEAACAGYFTNCTTKNGGGCVTKS TCAAVTIDAACTTALNGTVCAWDSAQSKCRDKDCQDFSGTTHAACQTQRAGCTAGANGKC ARVQNCEQTTIRSACIEGTNGPCLWINDYVNTDGSKGACFRYTSCKSLNWNSDTSCKWIS NQCTTNGSNCVGITLCSETNTDGGCVTGYDGACIQSIQRLCKPYTSCADAFYTTHSDCQI ASKKCTTNGTTGCIALSACSSYTTQAGCYFNDKGVLLTSGAITSTGICTWDTTASSCRDQ SCADLTGTTHATCSSQLSTCTSDGTTCLIKGACSSYTTQTACTTAVGSDGICYWELASAT NNNTAKCRLMTCADIQNGTSTNVCSVALSSCVSNGTACISKANCSTYTTKTACNSGGLDG ICVFTQSTATGAAAGTGTCALMTACTIANNDQLACQAAKDRCSWTAASGTGTTAVASKCA THTCATNQATNGACTRFLNWDKKTQQICTLVSGTCTATDPSTLSLNDCFLVSGYTYTWNA STSKCGVCTAVVVQPNKYRQQYKQYKQ >CAK64870 pep:novel supercontig:GCA_000165425.1:CT868034:197683:198022:-1 gene:GSPATT00034347001 transcript:CAK64870 MKNNNLINDGQSMFCYQSKLIQIYLRNIALKNSFKTVHVGVNGVKKSILLIQLNAKKVQL KDFLCSKKRQILLHKVREVAFVKKRSTKRLREKIIYGLDRKKMQQL >CAK64871 pep:novel supercontig:GCA_000165425.1:CT868034:199369:200055:1 gene:GSPATT00034348001 transcript:CAK64871 MIKKQHQFRHINFIIKRIQLFSYFISFNPFLLLHIIIQFRIYIFSCINIHIRIIYFSWYI AMQSRYSYSFGFSSYAFCFFFLSYQLLPIKSLQMSQQLSQPSLCNISTSSLLQQLFQLNF QSYFKPRFLNLSLSGSSSQIFLYFKRIVVLINHFYNYPLNFPFCSYTYASLLTIYQLCFM PTSISSQYTILGFSQLGNIPYCSAYSSDNFYIYQYFFQDIYKLYIYIF >CAK64872 pep:novel supercontig:GCA_000165425.1:CT868034:200621:201377:-1 gene:GSPATT00034349001 transcript:CAK64872 MLDNDEFSYLFKIILIGDSGVGKTNLFNRLQYKDFQYDTRPTIGVEFLNRTVREDGNLIK CQIWDTVKAGQEKFRAITSAYYRGAKGVFVCYDVTKQGTFESTLRWMSEIKQYGDSNIVI MLVGNKIDLADQRIVRTDEVSQFCDQNKVGYVETSALNNVNVELAFNQMVTEIYKIVQGQ KPISQTKLGINDVYVLKKETQPDSSKKKMQCC >CAK64873 pep:novel supercontig:GCA_000165425.1:CT868034:201970:204344:-1 gene:GSPATT00034350001 transcript:CAK64873 MYNTNGGAPVLGGCQQTAACSTYIHQEQCRFNATGDPCGWNGTQCADKSCATAPATADYD DNDKCRAYFNNKCTVASTGQGCVDIPDTCETMTEKQCVTDKNGKSCYWNGTACITRSCEN APEATATADECNTYLAGCTLDSVKCKTKVCEDFAFATDALCKSALSTCTTNGTNCVTRGT CFQAQSQAGCVTSLLVQIQLIVQQRLVILPQLLQLLKQPVQGYFTNCTTKNGGGCVTKST CAAVTIDAACTTALNGTVCAWDSAQSKCRDKDCQDFSGTTHAACQTQRAGCTAGANGKCA RVQNCEQTTIRSACIEGTNGPCLWINDYVNTDGSKGACFRYTSCKSLNWNSDTSCKWISN QCTTNGSNCVGITLCSETNTDGGCVTGYDGACIQSVPALNSSDPKVCKPYTSCADAFYTT HSDCQIASKKCTTNGTTGCIALSACSSYTTQAGCYFNDKGVLLTSGAITSTGICTWDTTA SSCRDQSCADLTGTTHATCSSQLSTCTSDGTTCLIKGACSSYTTQTACTTAVGSDGICYW ELASATNNNTAKCRLMTCADIQNGTSTNVCSVALSSCVSNGTACISKANCSTYTTKTACN SGGLDGICVFTQSTATGAAAGTGTCALMTACTIANNDQIACQAAKDRCSWTAASGTGATA VASKCATHTCATNQATNGACTRFLNWDKKTQQICTLVSGTCTATDPSTLSLNDCFLVSGY TYTWNASTSKCGVCTAVVVQPNNTDNNTNNTNNETTTDSGYILGLSTIVFGYLMF >CAK64874 pep:novel supercontig:GCA_000165425.1:CT868034:204378:209120:-1 gene:GSPATT00034351001 transcript:CAK64874 MNKQFIIFALLLAYATCQTYSITSCTCAQLLSEGDCLKNASLGCSWDSTKKACAVSTTPV TPTVTYAAYCDTFAEADCPKAKPCTDCGNYAACAWVQGKCTYFTGCTAFSKTTDAECQAI SNRCITDGTHCVEVDACSTYKKQLPCVKNTAGSLCYWDTTNNTCVDANTCDKLPATFATD KECRDVISTCTTKTGGGCVDSGNNCSDQTLEIQCVWNKLKSTACYWDGAACKDRICDNAP ATLTTDDTCKTFRTDGTCTTKANGGCVTRTTCAAATIQAACVKNSTGGDCYWTGTACVDK TCTNAPTTMTTNSACAGFVTGCITKSGGGCVSNGACSAANVQAACVKNTSGTDCIWDTTC KEKTCANAPTTNNTHELCTSYLSSCTVKVGGGCQPRTCANAPTTITTNDACEAYLPNNNC ITKTAGGCVTNTTCAVITLEAACVKNSSGAICFWDTASSTCKDKICANAPSTNTTHDLCV AFLSTCTVNSTNAGCVDKSCENTLAQTICDKDLNNKVCIWKGKCYKRECVLASSTTATHA DCQTYDSGCTLSNTGTGCVPLPLKCEAITIEAACNIRLQVTNGVKSFPLCGWNGSQCIDK ACSTAPKTTATTADCGTYKTNCVANNPVNGSIAGCQDLPTTCAARKSTENCEITRVGFPT CLWNTATLACVEKSCTTASVATTTGFLTVFSSSNCSTYLSTCIANNTADGCIPKPSSCTS LTSTNCGIASKANGDCYWNGTSCVDRTCTNISQSTHLGCQGVLNTCTVNNAKTTCQNLAT ACTSYGSAENCKVTSAGKNCIWTGTACRNATCADAEDSNTRDSDSECSSYQTPSDTCTVL YKTGGLGCVTRSANCSDYASQAQCVRTLAATSDDCTWKSAYSKCYSNTYLQGACSTFTGT KTQCESIKTGCTNTVGATESDACTFSCALITLTSHANCQTQSTTCTVDQAGTTCQAVQAT CAGYGTTQASCSFRTDGLKCHWDGTNCLDITSTNCNLITGLTGPANVEATCQAYNTACHI NGTPDGCQAYVATCAAYTTTQANCTKRTDGLKCFWDGTNCQDITSANCNLITSLSSPANV EATCQAYNTACHINGTPNACQAYVATCAAYTTTEANCTKRTDGLQCFWDGTNCVDITSAN CNALTSLATPNNTHAYCQGHSTACTINKALGACQAVQATCVGYATTETSCSFRTDGLKCH WDGTNCLDITSANCNLITGLSNPNNVEATCQAYSTSCHINAGATACFAFTTCEAITGTNI TWDICQGYSTTCSVKRDGTGCVTNQAQCSGYTTIANCYRSTAGYCTANAADTTCQAITAT TTCEQIKLGAGFTFDDTKCNTFKTGCVAQGTSGCQTKTCANKTTPFAHTNCNTWLNTCTA NAASSPTACVTLPTTCASQTAAACVWAVEGECVVSGTSCVRKTCDTATADTSYDSHAECI GYLSTCTVARVGGCQARAACSAYKSSQQCKFNTSGGKCYWNPTNKNCVDLNCGNIEATTS YDTHAECVAVDTTLLCTVRATNGAAVPGCTARGACSSYSIEDQCKTNASAGYLCLEYQFN YTSMLRQIMHNCSNCNSNTY >CAK64875 pep:novel supercontig:GCA_000165425.1:CT868034:211826:212292:1 gene:GSPATT00034352001 transcript:CAK64875 MYQQFFPYIFSLLFYVLRFILVQSVFFSSSQRIQIDKCIQSLKSAIIFQKMVMLYKFNEI LSQYQEEITNKFKQYQCVFYNEYSRKYGNTNVWKYLSEFFDYLPQLQQLNYQVFCHHISL LRLTHFRIYARILWIDLDELLYGIPAKKA >CAK64876 pep:novel supercontig:GCA_000165425.1:CT868034:212698:213778:1 gene:GSPATT00034353001 transcript:CAK64876 MNLDDIYNWILGHNQNYPGKHQESQPRTIASYKPLEAKAQFHIVIKATAEYIKEKMLSGN GVNMKELGAFTMEVNSDYVKPLQHSGFNMTQDLAIQRADRKHVHQIRPCFVPDNSFKYFL ARYPGKEEITKPLSQHSIYQKGFGMNFCNAGPIAASCYLGKDVVQSIHNSLIKAIHDLTR LGHNLNIDFGFIKISVINRDLKYKYEQSFISRLNQTEYELKMRQSDLATSQHWTTTYQEK WSKSTLNNLLTRPDPVKVQGNYEKSMALKIILQQKVEIGFKFNINFQFQ >CAK64877 pep:novel supercontig:GCA_000165425.1:CT868034:213879:216869:-1 gene:GSPATT00034354001 transcript:CAK64877 MQQKEFEETIEEVKTIKAITPKEIKKIVRPQFEANPELFYPTKVFDKFGFSRCKCPKCGA YFWRHTEKKTTCGDSNCEGKYSFIGVGTGKGAKGNKITYADAWNGFKKSLTSARVPCTAI DRYPVVARWRNDVDYVAAGIYCFQPFCVTGEMDPPANPLICPQFCVRFNDLDNIGLTGRH YSGFIMLGIQTFNYPDKYVFFKEECVEFNYRWLTEELEINPDDITFIEDVWAGGGNLGPS VEYFVNGLEVGNMVFMQYKYFHDGSYEELPIKIIDTGIGLERIPWLVNGTPTSYFDVFAG AFAFLAQKLQVEYSNDVWKAFGPYSCLLNVDEVENVDKTWEFISSQIGYDVQTIKKEIEQ LKDMYIILDHTRTVMMVVTDGSLPSNVGGGSNIQKYHQKSICSVEEEQLVGQIRNGWIIN DLAKLYGPFGEYKSFDQIIKQEYERWAKTDDDKKVKLEKLLKQRNNQLSIDDWIFAMSTH GIPADTISQISKLPIPGNLYAELADRAARITKAPEAILYNTVHLQETTNLYYQTPKDGKF QAKIVTIFSNVQQQNLPNIVILNQSAFYPFGGGQDFDQGWLTIQGERHFVNNVQKVGKVV LHILEKPLPNAVDTYVGQEVQAEIDLERRSILRNHHTATHIVFAACRRVLGPHVWQNGAH KSVHNAHLDITHFAPLSKEQEQAIENEVNKIILSAKQINKGFMNKADAEKEYGFRLYQGG IVPGNELRVVNIDGIDVEACCGTHCDSTSEVGWVRILKTQKLQDGVVRLYYVAGVKTIEV LNSEGEMINSLVKLWSISKTQLVEEGSKIFQEKKHYESAYNQLKAELIKSQLKYVIDGPN QRTIIQSTEQNPTAYFSEIGKYIQQLKDSKKGLLFVADTFIYGAFGENNFNVEELSKQIE EEGQQLKMNKQNKISVKDGKKTIQVNDVLTFSILGKFNKNKASKYLKEQGFVQF >CAK64878 pep:novel supercontig:GCA_000165425.1:CT868034:218207:218804:-1 gene:GSPATT00034355001 transcript:CAK64878 MQPFQLALVAYNITFAVLLIINIFCSDSLVFQLSYAFVLFPQVIHNIRWNCKENFNLFYI FGYLSSGLLFQTYLNSYSIQTNSIDIDYLYISLFYIIYFTSLLLLYLQYKFGSRCFIPKC ILHKHISICAICLDSIAIADMEDRLQLLPVILTSCKHKFHEKCLRKWLHEKKECPFSRTN LNEMNLQIIN >CAK64879 pep:novel supercontig:GCA_000165425.1:CT868034:219290:219709:-1 gene:GSPATT00034356001 transcript:CAK64879 MWNFQMFIWVLSNIPFSQEISDNINIYNELENRQLEGIWTLQDENQEIDVLQLQGIVKFS NNSIIYKGFSHYDKQEEYFFGEIFLYNVRLFQMQYLHCIKGNGQVLETLIHKDKILQLGT NVKMNILFQYSQIIILNKE >CAK64880 pep:novel supercontig:GCA_000165425.1:CT868034:220681:221739:1 gene:GSPATT00034357001 transcript:CAK64880 MQEAKYPNFENIQYSDPPSQSEGLQQQLMDKPNQNIKFVPVLSPSQQNCQESTEYQQYAQ YQQNQVIPYAEYFNHNIVPIPAQNSNLLKDQDFQTQKLFLCGVLGVYILWSILFVLLLFP LSMVFFWDTHGGNPIPFICLIIFSFFTIFLGKLGTKKNNRNLSTSIFILLVLLFSYTFFY LSLLGSLGSQSKSQFGWDFVIAIFLIFIVVGNFIFNFIALACLTLSDNKFNTAVPVIVEI FVVVIVAIIYHPIYLICIPFLLPYAICLMNAFKQILNGRFELEKNQVIVGVMASFYCTIV CCTD >CAK64881 pep:novel supercontig:GCA_000165425.1:CT868034:221788:223062:-1 gene:GSPATT00034358001 transcript:CAK64881 MSSAFHIHIGGAGVMMGDMLWKLYEKEHNETTLKNYVYQQVDGHSHPLTLFADLDDRMIS EVQRNKQIQFKKNSFLYGKEEASNIYSRGCYTIGKEIIDKGLDQIRKQIETMDRLDQFVI TTSIMGGTGSGFSTLLLQRLEVDYKNKVKTNGFIIFPSSGMSNNILGIYNAMFSIQMTRN HFHSITMFDNQSMYNVIDQQLDLDYVDYSHLNNLVAQTISSYTGLRRFNNIDNSKFFSGM CPYPNLHYFVPSYGKMTLINDYTRKELSQNEFIKYLTKKEIKLYQSPNNPQHLSTTLLFR QKEPNHFYGKFNQTLQNLSHVYNQSPRIFQCNSSNYQVLSELAQMKQTGIFFSNDASIIS RFDQLGRNFDKIYAKRAFVWAYVSEGLEEFEFSEGREALANLTSEYSEVIKEDSPQNDLE IDYE >CAK64882 pep:novel supercontig:GCA_000165425.1:CT868034:224763:225048:-1 gene:GSPATT00034359001 transcript:CAK64882 MESINLTQICNVLIIGKLLITIVSQKMIPYFGLEKQKLKKGKLYSEIKQRYSPFYFTVQY SKNEFISVIATAQFGLTHFINPVK >CAK64883 pep:novel supercontig:GCA_000165425.1:CT868034:226950:227436:1 gene:GSPATT00034360001 transcript:CAK64883 MQNKIEKKKKYFEWLFQNNRSQHFYSGYKQITEETGQQLFQYKTQLPSDQLSIHDQESFL THDNFEIPHFNWKFLSETTFQSAYMKIDEGNQIEVYSNTREDQVIFFGRYQNHNKDGLWQ IQYCLKQQEEFLPMQQIFKLKQRRWVIQEWSQN >CAK64884 pep:novel supercontig:GCA_000165425.1:CT868034:227971:229188:1 gene:GSPATT00034361001 transcript:CAK64884 MGFDLHYGFLISFLFLDFRSMLSNLLRRIRKWLRILPMGCLLQQLDNVFESIMQRAQGIY GQVGEKIGKWVELYDNYYDLNQVIQIGIYEKGKGSKEWKILIKESYSKRMINMQLKYLNK QLVEEEHMIQKVRKLDSGLSLIIIFMSNRDIYLHRDCQIIMTGDYQLGQRIGNWEIYLRR YQYDQYKSMYPNIQLCALQRRRKLQLIGCQNWKMGRVRNNHQLIYSGIYENRNKHGRWDI KYRKQQEEFTIIGGGYFENNEKIGMWVEPIQYFNQNKQILFEGCYKKNKKHGWWNMYCKK SYENELIGGGNYEDKYGTKNGVWNEIEEISYFPKEFSAIMRQYLNGMKLYPITKQFMI >CAK64885 pep:novel supercontig:GCA_000165425.1:CT868034:230770:231153:1 gene:GSPATT00034362001 transcript:CAK64885 MDVHGYRLSFITDNLFLFQPYNKEEIWIYKFSNDLFTKANTFHAKSDCEDFGTSFPQQFI KSKNIILAKNGNYIHLIKFDIQDNFEVHQSINFEDQCIYGQLSNNGQCLITWDRKSNFIQ VRLYEKQ >CAK64886 pep:novel supercontig:GCA_000165425.1:CT868034:232113:233722:-1 gene:GSPATT00034363001 transcript:CAK64886 MKQVKNSKKINKQQKKVKNQKQQLQKASQPLVDNNICQSEEDQSAIENYEVSFLDPEDED YDNVCDQGLEIQEYDDHKGAIGHFNIAITIDPNQTYAYYLKSVSLEKLNFLEKALVHVNK ALAIDPQDEDSLKLKSEILEKLNYQGKGLKEKAKLSAKILKKGLDFMHKDQFQEAIKQFD ELIKINPHTFQAYFEKGNALFYLLDFDQALWCAKKAIDIDPNSDSAYNLQGAALSEQGNT DQAINSFQRAININPKNSEAHFHLGCLLNQTKIFDKANQHMERALELNPDSPLFYLQKGN LQYYSRYVMKSQLNVIRKHWLQILHKIYKRRQFLEKVMNLYRINHYEESLKCFENVIQIN PTNDEAYFRIGVVLQHLNGFNKSLEYFKKALSLKPDNQEYKDHISIFDIKKQIMCQFRQK KFQDVERQKLTQKPQIQPKKSKITKGLKQKIKKNSKR >CAK64887 pep:novel supercontig:GCA_000165425.1:CT868034:235601:236377:-1 gene:GSPATT00034364001 transcript:CAK64887 MAKISLLKVLFLHPILIYKSCLQPDDASCYNNNWIQQVEWCGDKLYIGRFGQSTRISKLF TNNNEGRLMKFSFTLGRFDSWDGGEFFWITLDNKLVVTTSVILSQGSNLCFNSWLDVVEQ ITCQFQLPLGKQSFVISLQSNLNDPIYDGIYFKKIIESWGIRNVILQVLDPCVTFFSECN FEGQKWNICTGNQTSPSRQLPFPIKSIKITEGIVVQLKDPNYYGGVLQSYTSDQACLTGF HVFYNYYSQKFPKYEQPV >CAK64888 pep:novel supercontig:GCA_000165425.1:CT868034:237107:238267:1 gene:GSPATT00034365001 transcript:CAK64888 MKGKQKSTIQRIYLVRLIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDDKYTCDPMTSLMKLGSKQISA MVSIKVILQMVLTNPNPQIFLICLSFHFAPLIWTTNVKEDNIVKFSLFYEFSVVYNKIRN HVVRWRNKNTIFYNNDQSTISSIENYQYKPKTPKHDRQIPFQYLNLFIENLNLLQSMTYF YDLKFTLLEVLKVQILINFIHELNSRINFKLLINLLNIVAELQTQRANFSSKLIQSWSSH PFFLKPTLSAHSLQQPKLEEQIEIKFVLQKVESQSDETQIGQHQFKKHPTQQINLMIYFQ KRKAFQLNQVIYEFLGLR >CAK64889 pep:novel supercontig:GCA_000165425.1:CT868034:239551:242584:1 gene:GSPATT00034366001 transcript:CAK64889 MFATQLNLYKRRNALNAILLITMIVNVTNRKIVYSKLSGYFPLSNNGKDSQQFQDWSLDS TLTCLYTVTTCGTQNIVFIDNYSNLDNSLLGNIFNLDPHYQVIISFKFWRIDTWNDKLFE VFADQQIKYSRSFSDSDTLTSICQDATKSDEYIDISITIPHYNPTLWIILKGQGFRWGIS DFNLLIDECAPGCVSCDWSECYDFKLAAIISSWLTSDTNIVKKECLKYAFEWTYVTFMET TLNLDTHIKITSYIRFILGNVINPTLTIKIDDQLVTASQQVQQKIIWSKPLCSHIQILEL VISNYVHTNPTIKIRADIIKPKYDALEEQPYFGVPEYSVFIIVTEKIKQIQSEVLGLPFE GCQLDIPNFVEGCAFCVRSICLHCQEGWHFTELDQKCQPTCGDSKLVQNEECDDGNLIPY DGCYQCQYSCPSFCKNCIKGKCIECKQSYQLINGYCLFICHQTDRYSVQQTGCFNQIDNF LVNGYYQHTLFHQDNLKFFVYQFLDCNPSHYGIFGYNYNQCRVNNILLCKNQFWDICYEC KEGFQLSKNMKQCVPVCNDGYKVDFELCDDGNLIQFDGCYKCQQSCQLECSFCVNQLCMR CIEGWDLIENYCLPNCGDGITVQIEQCDDGNQEADDGCYECQAQCSNCKICNYNNNCQVC DEHFDSVDMICLPICGDNYIEPGLEECDDGNQSQYDGCYNCQLECDARCRRCQYGLCQDI CKLDELEIDGKCIKAIPEEKDIINLDECPKGCQQCLYGECMYCLSNYLLYKGVCLQIECG NGILEHLEECDDGNFINNDGCSNECQIENSWNCFSKNTQVNQCFSIAQASLDYFNQTKYY QYTDIDQFPTKDSFKILGLQPDDYNIKCNPKVLISQNEFRNIQYEFQIYFNYQIDSKPVF NIQLNETILDENNMIVPPTNISIELKVPKILTRSQLTASRTLKTFMLQWPYKVAFREVFR YNFIIRHAIIIIVFKILKFLISLKCLCLF >CAK82630 pep:novel supercontig:GCA_000165425.1:CT868439:402:1036:-1 gene:GSPATT00039506001 transcript:CAK82630 MINLYLGKYSLKNQALNKSISIDLQIVEQIEVDGSDQNCLTFGIGSKYSPLGNTKLKDAY GLFDSNCFQILNSFDQVTLSLNFIQYDCVFDTTKEIKKFIQIGFSQTDQFIFSLQIDPSQ YENFWYFFQIITNIQKKTLELAIYFQINQIFKETLKLVFPNKDQQLLFTFGGSLKEQYFL FIQGDGEGTNRF >CAK87750 pep:novel supercontig:GCA_000165425.1:CT868635:335:720:-1 gene:GSPATT00039824001 transcript:CAK87750 MFLLIYLLTMVRCIKLPLKTEYDQIEALEIMSEDTMSEQQLKKAQTNQVLLFRNSRLAQK EHHFIIFWDNQSKRIIMATVLSILLIVVFCVVIQFILDLSKKCKIKLNRESVPIQA >CAK87751 pep:novel supercontig:GCA_000165425.1:CT868635:1037:2027:1 gene:GSPATT00039825001 transcript:CAK87751 MQTLNDYEIIEKLGSGSYGDVMLAKQKESGLLVAIKTLEKRLLIKEKKQYQVFIEKEVLT QVKHPGLINLIASFQSSSQIYLVLEFVEGGDFANFLKINKNISQQNIVFYSAEIVSILEQ LHSNGIAHRDVKPENIMVANNLHIKMIDFGTANFFDERGLNESVRDKLNELREISQQDER FMDEIDQYQQKHKATFVGTAEYVSPELLEDDICGPQSDLWALGCIIYKMFTGTTPFCDQT EYLVFQKVRACQYQNSNKIPQDAMDLISKLLVRDPLSRLGGGLPSINYLYQDSKNTYREL KSHPFF >CAK79917 pep:novel supercontig:GCA_000165425.1:CT868349:2:535:-1 gene:GSPATT00039367001 transcript:CAK79917 MSIKMMLKMMEKAGEHGPKEAWNKHVGVSITEASIAHTYYWTFKTFLDTLIKVQDENIRS VLSNLCCLYGLQRIIDWPIGYFEGGFLNGEQLEIILNAKEHIFTLLKPDLLGLVESFKYN DNALRSDLVDAKPL >CAK79918 pep:novel supercontig:GCA_000165425.1:CT868349:539:3077:-1 gene:GSPATT00039368001 transcript:CAK79918 MNQNYSILLELTKKQELTLAIEIAAICVPSVFLLIFTLYCYFKFEHLNNAQFKLVTRILF SDLIYESIMSVISLSYIITANGSDEQTSFRDSYPTFCYIEAYLSNFSILTSTAWTSIICH TLYMQVYRNISKMYYYQYIFVGYVIPTIISFIPFYVDGYGVTYPMESTNCFYNMRLERRS YDLYITLCYYLPIWVAFLYNLIIITLVVRRILKHITDFTNKTQVFALFLYPTILFICWVI VILLQLSCSLAQFNNLIHNLFYGNTYRSFSATYLVCWMLCVIALLRYLRRQGSMAANQNI RKIYLKVTLGPKLANNQPKQLIHKNCEYYLINNNKLLSVISFFSAFAIQYYKKRNKNISN IIELASIILRLDDMGQLLYKENYHKWIKVQELIAKDPILQNNVADYGQSRDKLFEIYCKK AYKLHKLLNYSDEMIPETVVTVLHQTMFIPTIKYLGTEKQIEKWVPPSQNYEIVGCYAQT ELGHGSDVQSLETTAVYDKNTEEFILNSPTISSTKWWIGDLGLTATHAVTHAQLFINGKH YGSETHILIYHSKGIEVGDVGPKYGYNTKDNGYLRMNNVRIPREQMLMRYSKVSKAGEFI KAQNEKIGYATMMQVRTSIIHNTYVSLAQGLAIGVKYSHFRRQFKDKNGIERPIIDYQTQ QDKLIPLIADCYAQGFGCLRIREILTENLKRITEKNDFSLMGDLHALLCCCKAVYTWNTH FGLDKIRQSLGGHGFLQSSGVVSIQTEFAPSCTYEGENTVLLLQTGRYLLKACNKAQKHQ PINENVEYLYNIQQTLSQKATFTKS >CAK79919 pep:novel supercontig:GCA_000165425.1:CT868349:3613:4628:-1 gene:GSPATT00039369001 transcript:CAK79919 MGKIKNSLNFYDCYKCINGQQYQVKILEKSQITQTKQVIREIQILRRLNHPQIQKLLEVY EDSNTVYILFDKFLGHSLKTKLPEYWNLSEKKQAEVCFKLLNALAHIHSKNIIHKDIRPE NIIQLNSQLNNLVIANFASADSKEKGKKRKVFNPGYMAPELFQNRNFDDKIDIFSLGVIF YGIFYCKYPFEGKDFRETASLNEKCEINFEYPKKLSSSAIELLQGMLKKDPTQRFNAQTA LKHHWFINARSKEQMKGNMLGAPSLSTIQEKSEIDISMQERQFSKQQSKLRAKSVDTLFI TEANEIEQIPLHQKIQKMTIVQFNPSKSNH >CAK77046 pep:novel supercontig:GCA_000165425.1:CT868255:820:1665:-1 gene:GSPATT00039180001 transcript:CAK77046 MSKEEYQKQEDAPDANAQPTLGQVQQQIKRKNKKRAILNPQQSTGHWSEEEHKTYINFLN VHRSVMESSDQKKTSKIFKLMSETIGTRSPSQCRSHHQKFNPFSHQVKKRQKGAGRKKKE SNSQVDKNNNGFMENACFPQFMFQPSATYFPQQPFDFQMMQLQQVPLMMQYLDQNNKDDE KLVLQDPSVCFHQFIMNPQQIYDEKNQFYNFGFPHPQQMQFNFNYFQQLPNEFYGANSAE IIQKDNQSEEQ >CAK77047 pep:novel supercontig:GCA_000165425.1:CT868255:1733:2392:-1 gene:GSPATT00039181001 transcript:CAK77047 MDINIGETLFIIVGAAISISVGELVSWFLVYRNADYKDLVSRIETAVTKYNKEKESFVKE TNAKNQEKRLAQIESQIKGLNYEMTFKKMISNAAVAILSIVTINSIGNYYSGIVVAKLAF EPFWILQQITHRGLNGEDLTDCSYIFIYILSALIFKSNIQKIFGLEGPKMPFGPGGPQPS LFKQ >CAK77048 pep:novel supercontig:GCA_000165425.1:CT868255:2405:3707:-1 gene:GSPATT00039182001 transcript:CAK77048 MNLLNYDFIAKENLKFLKTYKYKGTDQSLLYNYVLSPFANWCLKYVPLNVAPNTLTLLGL ICIIICHILFYFVMGDNFQGTIPDWLLWTTFILHMIYMNFDNLDGKQARRTNNSSPLGMI LDHNFDSMIIAIQGTNFVTCLQCGQSLLAFLLICVPTYPFYIIAHEEYYTHEMNLPIINA AAEGTVFVGSLFAINAIFGCDFWTQKMPQFYNLQFNTVAIILFFFVVAFGLPYVFKKITK FVPLSQALKSQRYMLFIGLVLYYVILFSPSDVGSRHMRAILYIFGFTMSKAVGIVAVYHV SNQDLPNCLNSIYLFLILLFNTIYGQVFGKCLIEEGLLLQITAVISVLVHIHFLYNITRQ ISEALKIKVFKINK >CAK77049 pep:novel supercontig:GCA_000165425.1:CT868255:3721:4485:1 gene:GSPATT00039183001 transcript:CAK77049 MYTTPPFSHNYSNPVLMKDDVGKPKPSTYNLPKQDFVYGLPLIRDKEGAKEVTMTWKFHL ESLNRIPNRDFAQLNKMSVFNGSLTAHDMYKFRQTHDARLQVKKGTNIQAIELPEEEFRY GRKNRPSTPMKLVMGNSYGIEAASITLDKYYKRAGSQESRMTSTIVKPNKASQLFHEINH KKLAVIKGIEKKEPFKMEKFKTVSARTDTNLIAKKE >CAK77050 pep:novel supercontig:GCA_000165425.1:CT868255:5299:6819:1 gene:GSPATT00039184001 transcript:CAK77050 MNQSLADPNFSCINNDGLAQYAIYDPQLSLLCQECQARERRQNCKTLTLEEAIQKVEDKL SLVETKIDQQVQKLSQLINSAKDFRSQFLTVFDLIIINLESWNESLQHMNKNSYILQELQ YLETKQTTLFEKLFTSNNYIYITKLCNRFKEYNFVYQNDQQKFSRLLTQMMKETQIPKLD SQIELIKRQQVPQNDACLNLAFNSSDSIMVSTKGINIQAGSFDKGKINLLQNLGGHEDWV NCIVYSKSKEAFFSGSDDETIRIWKLQNNLWTSSKPFKKHKVYSLLLNSKEDQLFSGGND HQIIVWKVNLEMNELIQLYQLGKHKCSVLSMSLNQSETLLVSCSKLKSEIIVWENGQDKK MKFKQRVKQGQSQHYSGKKLLFLSENNFIWVTATQEADKIFVFERKNGCFQEKSEQTIEL NQENLNDDMFLFPIIKDKRTQQIVVRHKTHIYFLKDFGQGKLKIIQQLNCITTSIYGTIT NNFLYLIYWDNKTLGYSVYEIKIVLL >CAK59480 pep:novel supercontig:GCA_000165425.1:CT868003:2795:5636:1 gene:GSPATT00030114001 transcript:CAK59480 MQQIESSYKQYPLIRYQRCLSFGYAELCNDILLAIRILNQSSFPDEFIYTKILTINQDFL SYKSIYLISNLENQNVIKVSSNFHEKFGANIQNITDMVPKGIQEHHQEMVKEFIRTGKSN FFQKATQTIYQDNLMIKPMILMNDVIIQDNSFHAISIGTLLDDEQIILITNNRSKVSSLS KGFLDQLNLESKDANQILGVDIELLIPQFRTNSQISQPQYIEVKLPNFSLLQSHQDLNGR EKNKKSHPCILGDFWLDNSRLNTFISLCLIEKSTYNYHKIRFSYIKCINKTIIFPNSMKT LQKLSINCFEEIEEQVNIVVPYDQIDQECNLGQKQDMLNTVRYDQTQTLIKYDDTRTDGM KRIGQSTQKYEENSIERFINQNVKSSTFEKDIKQNYEMKFYQKYSLFEKIRHKNNLVKTE KMLIVVLALHQMLFFLQILLSFIDCINLLNLNLNELELLRIKYDLFQPVETFIVTRYTII NYNNQFAAKQITKPQLDFYLKFPNSNLNLGFDDVYQNQEAILNRLELQSFLSEVYEIYIY VKTDNGELFNITMRQALSILINYQYTFKAAYVYDGKTVTDSPYIFYSYRNLLTLYNSFDY LNKNLYSQAILRISDDIEKELFLFYPFLIQFIVIFGVELFYDFKNNKILGKFVYLILFSH SVLILQEIRRLTCYIDYYINNPEKLFKYRFNIEEKEKELQSTKELNSSGVQQKKLQNIGK SYFKKCHITTLFLQLTFIITFGIIKLNLTSSYLTKYEKTCQFTFEVSRLGTNIPTIYAMR EVLYYRWRYPFYKEKELSEILQQIQDCLEQVHNVTNDISQLRIHDYLLSDSFGQYIQELY QTNLCDLLPEDLKERSQQLCQTTLGGSLRKGLYGALVYIYTSIQNEMQINYFLNKTENTV NELEGVFMVSQIIKEINSKMWLDIVNSTEYVQQIVTVRK >CAK59481 pep:novel supercontig:GCA_000165425.1:CT868003:5931:6898:1 gene:GSPATT00030115001 transcript:CAK59481 MKEILGLASVILIGITLYMNGQSEISSQNQFQQWQSLHSKFYTQIEEQYRRMIFEQNKKM IDEHNANPENTYTMALNQFADLTTEEFVATYLDSQLSAGLKKRSVKPKSQSIPNEAYDWR NTTSVRDMKSGCISSWAFSTVGAAESYLTVVKSQKLSLSPQQLLDCDATSFSCNGGVPYK GLNYIADNGITVESVYPYTAKFSPCKREGGPYTINGNEDVDDLKSALRQYGVSVFVDATN WQFYSKGIFSNCEDNVNFAATAIGFTETYWIVQSSYGTSWGQNGYIYLAPGDTCGIESFG VRAI >CAK59482 pep:novel supercontig:GCA_000165425.1:CT868003:6899:7614:-1 gene:GSPATT00030116001 transcript:CAK59482 MSLKLYIDWLSQPSRFVAIVLNILKVPHEVVEIRIAEGKQRTPEYAKINPYRKVPAIVDK DGFQLAESHAIVKYIIKSRNIKTPLYPDDPQQSAKIDEYLDYHHTGTRKLSQYFFNLMVA PKLGIETTVNLEQAKKEAIFALKLLDTKFSTQDYICGKEITLADLSAYCEIMQLHMVNWD FSKYPNILNWMSRVTQIKEVNAGHEVLLKIVQKIRSKPKL >CAK59483 pep:novel supercontig:GCA_000165425.1:CT868003:7989:8312:1 gene:GSPATT00030117001 transcript:CAK59483 MITNDNVNSRSYDPPVIPDPSQMLEESQFINISQKHLLFPNSPFQIVKPQDVSDYEFPFD PQPLQMMFDYPQSEQLSPKQQIRKPSKNSNLQFTTPQKVQPYRRQLF >CAK59484 pep:novel supercontig:GCA_000165425.1:CT868003:8406:9104:1 gene:GSPATT00030118001 transcript:CAK59484 MDTQSSTGLRHLSIKVKEIVFELRSTSYKDVAERLIQELSKEEGRLLDYDNSKDEQNIKR RVYDALNVMIASKVLRKEGKKVKSDVCSELSGKIKLQDRDAQREKLMIKQKIVQGKKKHL SDLIKKWKTATSLIERNKNIEKQSQQSFYFPLIIFYADQKHPKFLKDKKTLKIQMKNKIE ILSDLDIAKQLFLETVDNDKIQEECLKLQ >CAK59485 pep:novel supercontig:GCA_000165425.1:CT868003:9389:10084:1 gene:GSPATT00030119001 transcript:CAK59485 MKQRRFVQRNQATFTHFVSVPLILQPTQGKLQKLMEDIHKKYNYDQSMNQNNPFLFHITI SMLGLSNQEKINKAKQIFIENEQTIKNYLKNTCIRLKGLGCFQNRVNQQNKYYKRGPYED LNIIYLNVDETQLLPVSDFIIRQFLQAEIFDSDDLKSMNLIMDQQSKMFRAEKFHITLFR LKDCKINFQQLFDEYKDYEFGDVPIQYFDISTRWQYDKDKFYQPLARILVD >CAK59486 pep:novel supercontig:GCA_000165425.1:CT868003:10134:11823:-1 gene:GSPATT00030120001 transcript:CAK59486 MFNIQNSPNPSEIGLGQTFQLQAPCVTHQGQSITNFCKQPSCLLPLCPKCIPQHLDKHRQ QGQFPDLVTVDEMHEETLQLINTHLINLNSAIQLLQQFKDSKTDAHGQLHTKLSNAKLRV QQMVDQFFDELFNELDQVTKTDRTNLDVELQQMERSLNLKIQEFQQIDQKLRTPKYLKQI IQLQTTNFLQQTQQQRVECEAFIEEVSKHTLDIQIEEQELYFLKIQLGQYTSIKNAEYYK NQQIQPSSLIPKNTAYNHPYLTSEIQPAQIEQSPYKRLEQQFQQVGISNFTINLPDFYDP LCSQKYLHYFTDKSVFFLNLEWVQNPRWQEVRLNCQVPIKSSSARSQQGDIFVIGGYIGN QLSSQIFKLDLKQQTLISTDQLQQPRHSSAGVFLQNKLYVLGGQNQNEDLNTIEGLELQM SKARSVIETRVSLPKMNQGGANLSVCAFRQYVIKATPLEVFDTKLLKWTSFNININRGVG LVAINNSNVLIFGNGQYQLLKMVDQQNLQFTTENLPNPPQIAQVQNGSLIHLGKIYAVTN SCNFIIGTPERWIL >CAK59487 pep:novel supercontig:GCA_000165425.1:CT868003:12312:16851:-1 gene:GSPATT00030121001 transcript:CAK59487 MSYSIIPAEGQSRNNIYFQILGKIIRPHLFIEDTDPGYSIFLFVISGVIIMHYIVYFIIS KNNIEDLKSNLYLQIITFCNQIYDIWLINIILEMLLKRGDALSILTLLIYLVFLLYRLFI TKVQLFLQQNTFNLSNQSFLIYIAQTLNLVQIGIFSFNTSIDGIMTFYLGIISLLINIAT FTNAMIYFPYLNKKIFLIVFAINFNSIIYNLFFLISLESKLEMGFIELLFIFQLPMNFKI ASYFYSKKQLLTIAQQSHPSQIVNLILELKSKFSYSKQRVLIESIVSKGMKKVDIEPSRL TVLNQEDIYDFYIRLSLKHQELVQEDFISHLSLLLKLEFYFQAFLYISKWENKKGQTILS KIKFLICARKCRQKLNYHMMQKNNFDTDKDFELNIKKFMDIESKNLELCKRIILLCQKKI EYFKDFDYDQIDKLYSKSNTLVLELDTLKGFVQDLYRQYPSNRMQNILAFIYAELLNDYL SAMKVFCQAALQEDKVYKNVSNLKIDLYSTKTTYLMVNLDNMQIIRNSSNAYDFFQVDQL ILDDLIPNGIKDYHSSMIKTFIKTGKSKFFRNVQEGLIAKKGFCEGVNIVTEILFEKNQL NALILLSIQDENQIIMVVDNQHKIVGLSEEFFEIVGGNPDQIELIYGIPVDYVIPKFKLY IEDNQVQQIQLRFLTKPKLFDFVTLLIHYKQKRNITDLMDGCWLDQYNYDTYSTHCCIQN NDDKYYIVRFNYLKNGTRRGSQSASRTLTQKSYFQQHGNNISEIEMDEQIEMLIPYEESE PKYINDIVILQNPDLLLSNRESHNNDGIGFLVDDQFIGKNTSRQNESQSKTYQPLQSLQQ QQKYFIEKSKINQKYSKSNDDDSQDVQMEGQSSQVSQLSGQKKAFYYKKYILMEKMLQSP NSNQIQKVLMFFVMLQLIVFIAQIAISLTASSSTLNYYLTNIDLLQIKYYLFQPVESFIV TRYTIINYNAQYTAKSLTKSELDKYLEFPNSNLNLGFDDVQQNQAAILNRLTLQDFLAQY YDFYIYIKTDVGEVYNISMRQSLSILINYQYTFKAAYKYDGKTVSDSPYIFYSYRNLLTL YDAFDLLNQQLYVECNLRIELDLDQELTLFYPFVILILIIVSFEIFWYLKNQGRIASLLG FMTFNEAYTIQQDIDRFTLYVESISKSSDTLFKYQFQIDKKENQLKLQQKQLQIETSIKR LQYQKKLLSNKFGYCLILIQFIILISFSIAKYYVNLNYFTQFKPTAYFYQQITDLGTNIP TIYAMREVLYYRWRYPFYKDDDLEQILEQIIECLAQVQNVTAYLQNLQINQYLLSSHFSD YMQTVSSTNICNYLDDNMKEKSMALCQITLGGSFLNGLTGSLIYIYTNIQNEMQINKFLN KTENTRNELEGAFIISQVIKQINTYMKADLTNQTNNILSSIIFQYLCVFAFVFVVISILF LFYIINPFLKRQYYIAKRYLYLLPQQTLFLDDAFERLARSVMQDQEIMA >CAK59488 pep:novel supercontig:GCA_000165425.1:CT868003:17001:17327:1 gene:GSPATT00030122001 transcript:CAK59488 MSSDSFEKLMQLIHEQNTACEQHYDQNNRQIMDLLGNLDNNMKNLQMQMDQPRVEHHHNL SKVEEVENEDQEQSQFQVQQEDKEELEQQMNQQQEQEQIIIQDQINEE >CAK59489 pep:novel supercontig:GCA_000165425.1:CT868003:17356:18230:-1 gene:GSPATT00030123001 transcript:CAK59489 MFQQHNLNMKNNYAKENYQKPQDYLDGTQEELRGKIKLLMNKLQLTKKEKENLTKENQNL QHEILQMQSHLRCMVSGFSNTSIQFPMANELSNSIAEFYKCECFDIFFDVLTQELNLKGI IYFFSTSMIRIDKIIQEYFQPLFKQIMEVGCFTNIDGPILNVMRKSFQGNYKSIYEKCMR NQTSTRIELQKYLKLNNNDLIEAFFNKLAEIMFNCYISDPTLTFDIPSIGQKIQFNQQKH DPIDGFIKNKEECIILMPAVFKNQELMTKSLVLSYSYQLENN >CAK59490 pep:novel supercontig:GCA_000165425.1:CT868003:18260:20105:1 gene:GSPATT00030124001 transcript:CAK59490 MALLKDDTAFTHVIAIDFGTGASGFGLAPRILDKEGKPRIEVFNPCDDTDDQKTPTAILF DNNGQFIEFGSQALQKYASMLDDGETAYLFQNYKMHLYHMHNQARSLDNRELSLMLIIRE TLKYISNRAIQKLKEQVGKVIPTKIRWVLTVPALWSEEHKQFMRKAAVEAGIVDHLNSPN LLLCLEPEGASIQCREDAEQTLKEQMAKNSVVMVLDCGGGTVDITVHKLLCESTEKFLCN ELIPSSGGCEWGSKYVDLYFEEFLKEFLGDKLFRQYQQNAIARLDILRDFEILKRKFKGN KDEKCMIKFSYLGEDLNTNKLQQLVKDHNQKHPPEYQVKLKGLSNVEIPSALMASFFQTL FENIKNKVAQLLVQVEQKKEKVNFIFMVGGFSESPFLKQEIIKRFENNTIQILVPRRPQV SVIRGACLFGLSPRSITSRIAKKTYGINTLTTFDAERHPIKKKVIIEGEEFSEDVFDAFV RKGDAVGCDEVHTKIYCPVRSRQTIMRIIFYVTEKREVEFVDEQGVQQLGELCIDIGKPL QSVEDKTVKVTLLFGTTCIYATATNKDGTEIKNCEFKFECGQ >CAK59491 pep:novel supercontig:GCA_000165425.1:CT868003:20195:21223:1 gene:GSPATT00030125001 transcript:CAK59491 MKYFLIFLSLSISLCIKLELLEVFHKSIDWQANYQPTYEKQMKKMERQIQIMKEQRRAKN QQCDRIQRGLDFIVNEKEKNEKFTSWLTSRIEQNQNRVEKLNQIKCQSSSSFYETMNEQN RVNKLNQFFVSWISRDQKLLQLQDTQTFIQEMQVENEYQDALLILSEQIRSCKLSPFKQQ TIDRSESSRRDFEQNIFALEKLMTQNNDQLELNEVRVQDKITKFIQQLDKETEQLQIHYE SIMKIINKSPVETTSKQRLDQCQKDVLSIDKLIEATQEAIKRIQTCAPSEGLAYKQKRIL SQIAKEYSSGVAKFEQK >CAK59492 pep:novel supercontig:GCA_000165425.1:CT868003:21308:22004:1 gene:GSPATT00030126001 transcript:CAK59492 MDATQLKTKVIYEFTKKNKSLLDEWSEKTAQAYLEQCRKFTEEMYQGNLTMVDDGYNQTQ EELLILENLFKNYTKTKGDIQHKLRIGYSIARKLYMENLQQRVFKIFREQVKFQKYIRSM KVYATKHYKQRLPRQVFSKWRKLAHNQTRQVILQQVNRKTDNEIMQMQKEYEQLIGQLET VLEKKLIELKVEEEQHKELVLRYDGIVEKRTAVKH >CAK59493 pep:novel supercontig:GCA_000165425.1:CT868003:22046:23728:1 gene:GSPATT00030127001 transcript:CAK59493 MPIRYVDVLTVEQALIKAGNGHKFQIRAIVIMSLQYMVAGMFHIAITELYFHQTQYKCID QQYNFYQCNEADFCKQYYREDIDKEKITFASQLGDIIQFFQLVCGDKIIILILVTSYYLG GCIGSLYYGEQMEIRQGRYIRSIMIETLALLGFTCCLTILIPNAYLLSVALFFANFFLRG FLNSSMILFFEISSENLQKLSPSILLTTYGIGTIISPVIIESFQLNWQQSMLILFGGPAV MFSVLIKFMQESPRMLVIKKKYHEAKIVIQLISQCNGREMPKDWMLEDEVKVNELKGKIE EIALQFGVREVAKAYNFSSIFRYNSTRVRMFCLLYLYSVIIIGQFQTSKEIDAFSRLDRQ KTELLLSTVATTGYLISGYASLNYNRKDVLKIVLGVSALFNFLYAVFPIFQLSTNAIALI SFYDRLMHTIVMMILIMSRLVLSFGCAFINIYALEVFPTSLRHYGFSGMVFLTEFLFIFQ ETYVVFCHTFGLNTSIGMFFLLLFGILTLNKLRETYDQPLRENVEEMNDELLNNVYVL >CAK59494 pep:novel supercontig:GCA_000165425.1:CT868003:23806:24476:1 gene:GSPATT00030128001 transcript:CAK59494 MSERSELLAENIYLKNKVQELNIEIQQWKSHIEELSMKYYKLQQHKINPEDLLELEDLKL QQQRYQEQEFQQSVMINQQKQVILNLQSENRDLIDKVDKLEQKAKNYDCLKQQYWNLEKA SQKYFEELETIKQRPPTILVPNPDVTALYREINRLNAIIQSMCKNSIESMCVTSVDHRRN QPEEQMMMRNSAEYQKQRLNDQSNRKSNGMEQFY >CAK59495 pep:novel supercontig:GCA_000165425.1:CT868003:24528:28762:1 gene:GSPATT00030129001 transcript:CAK59495 MSFAGFGQNFNGDEMAREQQKAQDGWDIEDEDFPSDHGNQDQQQQLNNFRDNPNQNQKQN EWEIADDIDDPFQTPAETQLKQEQQTQIQQDEQKSPEQVDMWGKITSTVQQTQTIEKLIK ESAPQKIKQTFQNIFGSQNSGSNNIIISQEQTSNSKVFASVQQVIDMKKLFELEKSELED QMKLRRIQEQNELREQKQELENKIRQFQIEKEQFNQQLKEAKQLFKLTELIEPQDNHQVI ICKLTKENENLNNDITKLIDTQEKQINDYEDNIEQMQQEIEYYKNQFIKYQSKYENAKEK MRVEIPKIQNQLMTIKNIELNTLVTNKLTQFQKYYITKQQDLLQYALQTTSKQSKQIGSL KEDNIRLMQQVDELDELKDEHQSSLYIIKQLRVSEDKLMEENERIQNQNQDKENQIMELQ NQLSDLRNENEKDKFNLNQLIASLQSQLDQFKRNSVDKQTINKLENQLALIQEEKRALLS SLENKETQIIVLEQQIQELNEELTKQQNVDSNDIWKQEDKGWELDDSFQKKYDELELQMI QYRNENHELKYQLKEMEVRMLQQQHKQEAQVEQMHQLQMCLVDTEYQKNFQNAVQQERIQ ELEEQISIEKRKFSDEEEKVSEQNLQPDEDSQRAINQLRQIDYEENAVQREDGAWEFEDS LNVDIQEQEVVNEGTGEYQNIQLGQGQEIKQDDYEKQVDESSDFQDEIEQNDDYVQDDSK QNDQSDNKIEQQYDLSQEQGWEDNQNEIEEIQQNIEKDSEEHSEKQGLLQNYDEEDEENY ENLEDNYDLEKDQDHQQKEEYYDDEELPEQDSKQLSQDNQVEVQQMNMEQNHLDYENNQN EYSEQEQEQEQEDEEGQKQEEIQNEEEDSEYQNVEYESQENNHDQNKEGSEDQISSNEQI ESQNEDQEEEQKEHGSNEEFNQDQEQEQEENDYEEQQFNQNQKDYVDDKQNNDNQSQEEQ VQIDNDQDEQEIKSDEQNQKDQLNTSDKNPQGEELNSQEGDHEQNDDENEPLSEIEGEDD QLINQQQVDDNRELSKEDQVEDDNSDKEINNVNQQNVQNNLQEESPQQLVNINDELAAED FNTQENNQNNEEVEIQNQINKEQEQLEIQYQLVVEPTNNSNFENQAKEQSLGDLDAIDTH INNNAQQKVAINSSNQIENNYNIEDNSQKNAQFSQDLSHSYQDIGVQEVISKPILYSDPR SQEKSVNDQEDEIQNVQEHYEVEVKLNEQQIKNQDVEENENPDEDDGNQWNVDDDIDFDN EIENQQVQTNNEENQSNKQIIETNLNNSSQNQKENEEGQQEPLENQKVNQQFEEETPDVQ FDDDQDNQEENNNPAVKNAERVSQGQVDLEDELEEIDPWAEQQKQGENAESGWDLDDI >CAK59496 pep:novel supercontig:GCA_000165425.1:CT868003:28801:29057:-1 gene:GSPATT00030130001 transcript:CAK59496 MVYSPYQPLIDPKHYSNLSIVLTFIGFCFLSDFIIYQFTKQKEQRALTKELAIGFFTALF LSSGTVFTFLALGLYF >CAK59497 pep:novel supercontig:GCA_000165425.1:CT868003:29112:30612:1 gene:GSPATT00030131001 transcript:CAK59497 MSFVNDLDDLCDFSKLSQKWKQTKNEQIKQPSPSPSKTESDITSSKCVSNPWNKGLDCCS ILENYVPNNEEAKKNSNKIRNHAPQIIDEDTEQFKIRLEHILNVFKTEATSEFMAMKRSM LEDQKQTIKVDTEKYLQMYELKNNELSQTKEQLAEQMRICNQITERSEKIAQYCGKMKNT KRRQQVLSNVLKGLKMYAKYSLKKKNNQKKGTKHYKLYLQRWIFNLWKKQFNIQIRINQS DKLKLAHQNDVDLLLAKFQKEQQLLEQKLLEATSQLDEANKIKLSMQENLKRAFMRGVCA LNFEAMNVLNGSSQQMELDSLATNLLMNPFQQSTTTQQQQSTLHQPQQFNQLNDTLILNE SKDQWQRQHSPPKNVTYHQQNRLETNDHRWKDAPIVGMKQLSEITENQSINMSISKLVQQ DDLQFDRDDEQNEGKVIKVTFDNPSTIPTQTQSMKKQTVQTKQPQTKQPQKQTTTSSSST FKKK >CAK59498 pep:novel supercontig:GCA_000165425.1:CT868003:30649:31551:-1 gene:GSPATT00030132001 transcript:CAK59498 MLLFPESRYPIENRKKSQTYYQESKIKELLSNSVGEAIKYKPSKPNNSKQDYEENQYLAN KNKQSYLQSNIFHDTKIQIRNDKQALQYQNNKKKQSAILSSRVTTEPQYQQPSYVRGTLN AEFRKDDLKVENKQSQQSVLFNTLGKYYEEHKHPGKTNFMASDLSFLKHDSLRDKKLEHQ ERQLEAEYQKQKSYLSQYNSTQSQSRRQSKQEQEINVKLNQIEIPKKEITGYDIVIESKG KGFLPNQFRSIVKHNGFQIKQQENDHYVIQPLGGTNNIQSIVKYLKNYDNKAKIEKVPYQ >CAK59499 pep:novel supercontig:GCA_000165425.1:CT868003:31654:32905:-1 gene:GSPATT00030133001 transcript:CAK59499 MFIPYKKNKGYKIPVIFEFGQQNTRVGYAGTDGPEVVLPSDLLMDSEGNMKFIEQNSTYQ PIGFDVKNILQGGQIVDFDIWKEYVEHILNNVLFQEKQFPFFFVENGNVKKETRNKIIEM LFEEIDVSSVFFHRNTMLAQYIVGKENVLVVDCGASHTTITPILDGNVIEKGILRGDIGG EYLTNKLYEVWKQQGLPFSRFKNYSQLSPSLQMYGDLEIVREIKHQCVKLYDQPIDNLKF NPTVDNQAYELPDQTKLLISEEMYKYPEFLYEPTENFEGLDKALETSLEKIDSDYRKELV QNVILIGGASCTVNMVERFQRTINNLKIFGLSTRSKVQTLHKYFDRQHASWLGASIVASS QIYDQWTLNKSDYDEHGSSLIEKKMFY >CAK59500 pep:novel supercontig:GCA_000165425.1:CT868003:32956:36021:-1 gene:GSPATT00030134001 transcript:CAK59500 MSQRLLRKSRTNQNDQSQCQSQGHASPVLMDEHMRNFKIEFSDLSRKRLSDSGEVRDPTI YSQRKSRLSQFSQINNRQRDLSEHNPSLPQYTATTKQYYQLKWKELNGLPKQTDIQQIIK TFLQKLKRNANIVESPVSTTYFKENYLKQEVQLQPSYLLHLRQFFQYTRLISYILVPLQA GTNSVQLWVLGLILLLLLIEQIDYIFKLKLERVNITCNFVYIASTLLINFDFGNTGKLIL LLLLLTGIKYRFHWNAITFSFIFLIYINYIANLWLLLQEDEFSYIESVIWTMNNCLPFHN IESHHQSNQIYTIIVQIASYYFQLLFLTSFIKYLFSTNEMKIFSDFLQNNKVDYRMIQDT REVLKENQYSNTLATVNNLMQSLPINLQKPLFFLMKSKKLQQISFFKQYFSKQSLDEIGY ESTLKFYKTNEIIVEKGQLDEYMYVVLQGEIGIYDKNIYLQNLPANQAIGIENLLMSQGH NLTMRSKGNSILIQIKHSLFWDTIKKINSDLEMLHSIKNEVLFMNKIELLLQNCYVCGSQ CHLSSGCNKVHSVLNKNIVISRYLYSVPQKREKFERKWDWRVNSLYNFKLVRSCGRRLKR NYGFMFQEPEINNRIDYYDEEEEEDDEDEDDEEIQLGRQSYKDLTNPSRKSVEMISGQTL RDIIYHDHIDNEEQLQYYQQQWQSQQQQQEINRHQVNKQTLQTAGFQTGEDLYFGDSINI PLQHKQFTQNSNTFRSSSGQNTYQSQKSQQLKQQQYQQNQQQTQQSLYQSKTYAQSPLGT NQLLVSSSRNAQPKLTFSYDPFYHEQNSKQQVLAAPQPEYQAMSRPQYRSSTNYVPSVQN QLPIVSEESNSLLNIPIANNPYQSAMSISSVGMSSIDEAQRRDGNNPSTIVRRTTQKQTN TVSSAVSPDIKKKSISASNSRSYSALSSRQNQHYSDLDNVLYKQDLKDNFDIDYIGIYQQ FKPQNNYDCVVNQIKIQSKKLIKKKKLK >CAK59501 pep:novel supercontig:GCA_000165425.1:CT868003:36091:37580:-1 gene:GSPATT00030135001 transcript:CAK59501 MQFNYEILQELYSSGRVRVEKVRSLTDNQTYIQKTYLSNKNEGLNAIKMLAQIKNQFLLQ VIEYMENEEQQLVIIQEYCDQGDLKTFTLQHIGYKMQESIIWSLFLQMAYALLQLNELGI QHRVLIPENILLLARTEGYQIRITDFHKSSRQTLCQLPCPAIYLPHEYFFDGQYTQKSHI WQIGHILYFMVSQKLLFPQSTPENQIKEQLRRGRIQINISDFYSPGLVKLINICLIIREN QRPNILQILRMKEVKEAINRPYYEFSLEQKRHLMYWINSSETTISYPVQYSFNEFDLHSP KQISKEVVVKKNFQNSKLPEIFQQKQKTKKSSSERKLDSENLKTEIKRKEDIYLQQQLLS QTYTNKYFVGVVKPISYHKKQQQQQSQVTPARFSIPYEKQLDSIFQNLLDSKKQLCSEWI GDSEYMFLKSQIKLNKTDKLMNYMQYRYPKVNSSVILKQINDIADLEQQRSYSKTKQ >CAK59502 pep:novel supercontig:GCA_000165425.1:CT868003:37606:39152:-1 gene:GSPATT00030136001 transcript:CAK59502 MQTSVNAIEKANVLRKEFLEIEINHDELLSIEQFYRCLDKKLRRQFDRELGDQLYQRMNK SFNNKITVDEFIKVFLQAEEILKNKIEGCKKQILDYNKQRKYVSSQFDSIKDSERVNQYG IKEDSHLTVELLEGINFPAQNVSVILKLENQKQEIQQQNGPSLQWNKTLNFKISTGLEEL QLFFYTINHPNIPQLLGTVTLSLSHLTNQTKIEDCCQLFDKNGQQTQTKIKLRSQWIFND SKSLSHQIEVWNEQIKTAQDDLIDFEKDLATLYEPFPNYVQLIRSIEKEDLAINHQPPNT LVMTPINNVSSQYDYKLYTRLSILIVMGLSVFICFGKTQFLDLIICFFFIIEFEFNRLYK QRLIYYSVAVGISLLCDLVWAIKYSRGFWGSEATETDKYYAIENGPNRLALILLYVSFVF KLILCALLGALSQEMPEKLEEQLPNKNKQGKQQLLYQKNKTAQFGEDNSTFYNY >CAK59503 pep:novel supercontig:GCA_000165425.1:CT868003:39180:40906:1 gene:GSPATT00030137001 transcript:CAK59503 MKLKKQSSQKETSKKEQKKMRRGFKACKSCGFELHIHQKICKNCNHENTFVNKVSFPQSK NNTIDFFKKITENQTKVANVEKKIKKVPQKLMTRIHVFKKSFLYNNESNLDENLIKSICN NTTKQESIDLIQRNEFKLDKKEILVDSLPVESGQFHKLEKGYLLYFGCSLLNIAINKGVI LASIQMNQYDNQQFSQLHLYGKTYEGDGVLGIIQQQKIRYMHHNEGAITQIKFYPQSTTT IGTINAKGSFTMLSLINTISDKLKILCKVDVPNQILNCFDWCPCKEQKISVGDQQGNIYI IEIKGEDFVIVNFLESYHMGIIKDLQFYIHNDNNSPLLLSGGYDGNLKIINPSNSQEIFN RHITSKGISQVKWDRGGKFILCINDDPNQKALMFCFFSIIKKDSIQVLQNIEKRLIQPLT GDDETQSCAYNPFHHKIYFASQNGTIYGADIEQIRKQAIKKEKKQTYQSAVVHFGQVLLE NNTISYITSKSSGNYKKSIQYYDWSQGYHFIDVDESGNLILGNILGVLIML >CAK59504 pep:novel supercontig:GCA_000165425.1:CT868003:41030:41396:1 gene:GSPATT00030138001 transcript:CAK59504 MQKNWGFKTGCLTIASGIIIAAAGGHKPWPIEQKLIFDRGLTFHFLNGIGMIISSVQGVN ILPFSLFGTGVLLFCGPLYHKSFTGKKTLSNYLPPFGGFAMIFGWLVLALKK >CAK59505 pep:novel supercontig:GCA_000165425.1:CT868003:41486:42619:1 gene:GSPATT00030139001 transcript:CAK59505 MESRNLTQFQHYSHNGTNRYSIQRIVYPQYASGLVQEETVSQHRDSTDEEQFIEGECFIY IGGQQIFTIELKQPDFVHQQLCIDLTKYQCPLECLTAFPLPNEFQNPQRILPLSTGILVV SSGKNGQQINLFDDQSKEITMNVQITKRIIWMNSRYLQEVIILIVGFNDSSVGLFEIDIK NKQIKLLHEFIFEQLIIFNDISTHNQIYYIALTSYNGIISILSIDLFFNIQHHVHNINRI TSPPKLYFQHNKASLMIPIQNGEMLQYLEIGNYGLTKWNVIKYQNNNKSMITAFDIEPKK CDVSQNQSEEFEICMIHFDGTLSFYDYADNSIYIEISKQLNGSRFFVSNMQLQFKQFILM GSDDEVEIYQMSFYHIN >CAK59506 pep:novel supercontig:GCA_000165425.1:CT868003:42776:45996:-1 gene:GSPATT00030140001 transcript:CAK59506 MNAQNDQEKLGQDDQGLGEVFVPAQVVKNFNQMVAEPHPVFFTLQCSYSYHLIDQVKPPS ENNAQNQAPLLTDKQQAQPLIKKPDQAADESKQQPRPVQGSANHSQDDISVKSSGGGTKS PHHSCTCVINLQETQQKRIKRMNSRVKSRLSQQKQQSDISKRILKITENTIFSIVMAIVT IYALFGDDIRILSVDKQGDDVFFVLTVICMVAFIIEITLTSIAKPEYILNFYFILDVIST LTMILDLGWITDTWYSGDLTSASQIKSIGTASRAARKAARVIRVIRLVRLVKLYKHARQQ MEREQQKKLLQELLRQAQQSKSQDQQIIIIITIIIIIIIIIIQNLSIQQIIPQNDESRNN SQNDIEAAKTPDRSSNSQLKSSQVSSQAENQIKESIVGAQLSDLVMRRVITIILAILISI PVLSLDTYQETISSYDSGIYRIFQFKDNSEVMKSLLYQYSQFHIGEIYPIYSVNVNLKGG SNTDLDEWSYNTNPEIFEVPAYETKDQYRFSDLQYYVKSDGSYLQIYASADLVAYNQTNA ILSIFQTIFVCIVLAVSALMFNKDVSDLVIDPIEGMMQKIEMIAANPLEAVNIEEQEDLI MEELEKSQDVEKLKQKEIEKHMETYVLQRLIMKVGALLAVGFGEAGSEIIAENIKKGGSV DPMIPGKKILAIFGFCDIRNFTDATEVLQQGVMVFVNEIAEIVHSTVDSMSGSANKNIGD AFLLVWKYSPNDYHPDPDNPRNLKLKTDRYIKQKGDMAVMAFLKIITAISISKKLEKYKK HEGLNARMKDYSVKMGFGLHMGWGIEGAIGSGFKIDASYLSPNVNMASRLEAATKQFGAL ILVSGILKQYLTDECQKQMRMIDIVTVKGSIVPLELHTVDMSIKNLQAKIKNLRDKFDVS QMTQKDSKKFRVVNRFKRDQQMKQVMNNQISITDLFEKDDELSAAREPYTQQFYETWKQG FDQYIKGNWGEAQSIFQKTLTMIPEHKDGPSNTLLEVIHSCGGKAPKDWKGYRELTEK >CAK59507 pep:novel supercontig:GCA_000165425.1:CT868003:46354:47144:-1 gene:GSPATT00030141001 transcript:CAK59507 MNTRNQKKDISDQGSVKGQTNQNFGYSQPQQFQDGISRIQEEMMDPRNIQPDSMFLNFSG VLECGECEDEESLRIEYAVKYGGDDWAYLKTSNNADDAEPSGISQLSTSRNLHSRNIVWN FPFECNFQTKNIFGWPQVVVRLSGPDFMGRSVAKGYGSVHVPTQPGYHERVIRIFKPLPI SGFTGFLGYLLGNTAELKNFDKVISSGEGREVTRVKSVGYVKVKFHVTLVNFDKFGYL >CAK59508 pep:novel supercontig:GCA_000165425.1:CT868003:47762:49281:-1 gene:GSPATT00030142001 transcript:CAK59508 MNRNTKKHQTQLQTNSATHLHSGTIIADKFILMEKVGQGSFGYIFKTENSETKEIVATKF EKRENRSNGTASMLVREIKVLLELSGVDGFPQIQYYGRDENYNYCMITYLGHNLEYLLRR SKGFSQLSCLKLSLQLIERLESLHNKNIIHRDLKPENMVIGYLDSHIVYLIDFGLAKYFK DSNGQHIPLSDKKGIIGTARYASIAAHQGFEQSRKDDLESLAYVLIYLNLGKLPWMNLQI ADKHEKYQTILEMKKNTKLEELTEGLPQVIEYFIQCYLLLLQHAKSREFSESPNYAFLKD QFRKTINEKESEESFYMFDWEKLAEVKNKKQTLQNQLGNPPVVRSTIKRSSAVVDYPKKQ PSTHLIIPEPENLENRSRNGVSMHTQGTSKMINYQQSHKNIVEVDKYRRFMQQQLPRNQK KSQTVMQKQQSTFCKETSKHFHERMKTIEQVEQEFESFNDLDLLAQDDANLHFNNIEAFS FKHQLQH >CAK59509 pep:novel supercontig:GCA_000165425.1:CT868003:49618:50534:1 gene:GSPATT00030143001 transcript:CAK59509 MSQLSFVIANAQEEMIQKRVKSLKFQLKALGQKNTVLQQKIKDVNRANLDHTKSPVSQLQ ENLHFDRRQVIELFKPIIAKEAIYIRNERFKSEQFMMQSKLNEIQQKKIRARQIEQFDAD AIRRVAEFKEKKVTEIRQRNVTEIEINKSTVLRKLEHISVLENEEKELMNMIQQAKLNTT QLQQRFSRAITQTDESSILPNIRKFSCNQRFESPFKNRTPNHYSDKFLDHSNTSNKKQPQ YFLCRRQSKSTNKLNNAQQEETNGTPQWAIQFKQYYNMSNKENFEDKEDSQEQSTQQ >CAK59510 pep:novel supercontig:GCA_000165425.1:CT868003:50652:51165:-1 gene:GSPATT00030144001 transcript:CAK59510 MLQLGSDFTFWLGTFKQGQYVQEVIGSFSTAEDFWAYYQHIVRPEQQPEGNQIFLFKKNI TPVWEDPQNKFGGRFIFKVRKSLGNQILEELLLSFVGADCDYDEFINGIVCHTKKGFIQM ALWVKDIKHNMDAFTTIEKWLKDSILQLKEDRDIEFAFHPLT >CAK59511 pep:novel supercontig:GCA_000165425.1:CT868003:51476:55211:1 gene:GSPATT00030145001 transcript:CAK59511 MEKYLIQTQSVFLKSFYLHLRNNRLIPNILVPFLCGLILWLSYFNSLDFLKYSQTFYMPL AVCGVIRSFMMMIVNEKKERQKENQLIMGLKLSAYYTGWILSSVIWTCICASIYILMIAS FVALHWHYFAFYQYAIFYVQYMFYSYAVVGQMLMISSLFSDGRKATDVYAVIYMIIVYLY YFTDWSNRSEYSELLLSIFPQNLIVYFWFQKITFSAISMNYIYTLIIFSIQGTMGILLYV YLDQVIPDEIGTHKSPFFICQCLLRKKRNQVDQFEIDLELQQQNNLGSELLNPQDQIQPI EANENQVLKLTQLTKVYNGQAVVNNISLNLNKNTIFSLLGHNGAGKSTTVNMICGLIQKT NGQININGYDIDKSLDEIRQILGYCSQKDVLYGEMSVYDHLQFYGRLKLINQLQLSGQIE TVLNMCYLQQEKHQLAMYLSGGGKRKLCLAIALIGNSQVLLLDEPTTGLDPISRQQIWNI LKEIKQDRCVILTTHYLDEAQELSDYVGIIQQGKMTAFGTVDYIKRQFSVGYNILVECQN ELHQKQILDQIQSTLLLICKNDIQFIPQNRNLKLNIPLSNSANVASALEYLETVQNINIS FEMTTLEDAYIKIHSQSDWGNNQIETNNNALFNNMFFDFTPHFSFFKQVNGLFMRRVWIA GQNKWQYVMFLVSWILLLGCLFGFKNINPEIIISLYVLIKLIFISQQSYYPIYEKQHKIK DSYITHGAKIISYWTSVMLFDVVVIAFEQFIFIALLLIAKQIDGERQYRQYNLGVYFSIL LINTIFSIALNCQTYVATYFFKSTSSSNVQLPFLILTFQYLGLVIFTILFQWNDIIGVII PLVSLGNGYYWIFSENYDRTYLATQLPSLISIVVFFFLINYLENRLISRKSNISNFQGNV EVTQVNYNIGNRPILQNVSFSIESSEIFGLLGPNGAGKTSTFKMITREEKPSSGLVEIKN ESTQVGLVPSFSPLHEDLSLVQHLKIYGKLKGLDDWRIDNFIDSYTQYMDLKKIKDKKVK VLSGGERRKVQMGIALIGNSSILLMDEPTSGVDPVFRQQFQQLILRNCQQNKSSILITTH SMVEAQRICEVLGIIINGQLKFKGTLNQLRQQYDNRIQLSFHLKNKEDKDQLRELLVQNL TKHVFEPLGMSGDNLTVFVDDQSIKFSQLFRFCQLRAVDNLIEDFEIQQATLDQIFKHYV QQQQVNDYPQQTVEVQRRNLLGICCCQI >CAK59512 pep:novel supercontig:GCA_000165425.1:CT868003:55269:56943:1 gene:GSPATT00030146001 transcript:CAK59512 MQQEHFLKIEDWIFDCSVILGSGTYGKVMPCSKEGQQPNERYCAKIIPKQNIYLRDNELE KSMQNERNTFTLLEDVKCENVVKLIKKIENHEYMCLIMEQCDYDLAKEFESLKPNWYTPE QQVDMIQQIIKGACFLKDNNIIHRDIKPQNILVKIMRDRNNKVRKIYKLADFSLSRTLDN MYKKSNLTLVGTYNYYAPEIYNQAQFSSKCDIYSYGLLFHQILFKGQLPFNEKEGQMKHF EKIKNQDFKCQKLDRKHGDLMTYLVERMIVYSEERRINFEELKQHKIMSLNVVIPQTSIH IANFNRNTKTEIQNQESQILQQQQEQIYKILNIYYRKSLLCKHVVDHLKSKFQSPDQYLR TIEVLIGYIGFQQIKYAFSLILFTHAGLEKEIQHQFTTLIFFQQMIMEYLKEAQIAGKYL QMNQTIKQTYHQLEPQIKKEINELLKLNLKLTDSQRLKQEFQILDSKLKVIRELDEKNIC CSILFDALNDLMNIQTDPRKNIFDQYDQELINRIGNLQKMFHIENFKIIDEDQIFNPNLF >CAK59513 pep:novel supercontig:GCA_000165425.1:CT868003:56967:57982:-1 gene:GSPATT00030147001 transcript:CAK59513 MQKLMPGSNGHLNEKLKLNEIAYVGAHNCAMSKEYGWAYAQQNVTITDQFEKYGARHFKV PLHWYQEGQSPEIAVAHEPNGRSNCNLTIIQRGFKKPEKASVRLKEIVDLSFKNPYEIII IKIESKLMCKSKRNGTKFWDPLLVSIMLHNLFVQIRADQRAIRIEKDGEIPTLGWCRENQ QTLLICIEPKEQIFGELSKYTYYSYSLSAQVDWELDPLKDVKDGGVARGKTKEDTGEILS PFLEIHYCPENSLKLDSSYKKKYNSYNHGRQRFLQYYFKCSKMPNFLVADFIDQGRLSDI VDDVNLIINSNGLFQPELFQVL >CAK59514 pep:novel supercontig:GCA_000165425.1:CT868003:59652:60217:1 gene:GSPATT00030148001 transcript:CAK59514 MPKETKGQQKGARSKYETTEQTETYRQQDAKEKKILGPKTKLDLEKLEPYFTQNNNYVGM FKKDAGKTDNSTAVAYSVTFHRNCQHPLDRLGIVENEYCQKCKKDKGFCPHKKGTHNTVK DQFQFPIISSAEIGWREPIDNVNWGYGVKQSFPNFNADFRNTTKKEEKEKK >CAK59515 pep:novel supercontig:GCA_000165425.1:CT868003:60349:60879:1 gene:GSPATT00030149001 transcript:CAK59515 MQEIEAKKQLKASEGAHFFYTLIFLSASGIIETQFIDQKCNQNLALFIHLVFYGLIIWGT YILITLIPRYKNPAINLFFNFLDICFAIYITFLLIYGYRLYSSQNDCAVEAPVLYLFLEV FMLVNGIIFIILGLAFISYILKRFSKHQQTYAQGEEEYLDA >CAK59516 pep:novel supercontig:GCA_000165425.1:CT868003:60905:61655:-1 gene:GSPATT00030150001 transcript:CAK59516 MLEKTEKQIQNNNVDLQTKNEITKKSFNQKGQKQNNPAEQYEPIETILDSAFYKVDVIQL AQKLIGKIIVRTLPQGEVRALIVEAEAYKAPEDKACHAYNNKKTERTQYFWQDGGHLYIY SIYGNNYCFNITAATKNDPEAVLIRAIQPLKFDIVKDIRKIKSFKLQELSNGPGKCGECL QLDKSHNGLNLCDKNSGMYLIDNSTKYEIGISSRINIDYAEEYKDKPWRFYIKNNSFVSK KG >CAK59517 pep:novel supercontig:GCA_000165425.1:CT868003:62507:63351:1 gene:GSPATT00030151001 transcript:CAK59517 MNDPIHNKELRQKLIPLDTNSLKEDLNKSIELGRKKRKQLTLDNPEFNIKQEIEDEKQNI KVQTLIRRGIADGLKMQELLKLKVSAKDNNNPVHSLFRWCMLILYKENPEIYNWSEFKKK VLEKENGQDLRNRLGYQSVIHITQLEGEKTKYLLNLKKPILEQNSKPEELQWVLLKIFDI VEIIYKTHEHAKRINQLVDDLLMQESKIKQYQEDIDKVNQEIQVAKTSLKSLESKSLNDD EIVQE >CAK59518 pep:novel supercontig:GCA_000165425.1:CT868003:63679:65185:1 gene:GSPATT00030152001 transcript:CAK59518 MKQLVVLALMLSLALSTSITSPQLLVELQARTENVIDDGVDLLEQLLATTEQQYEQVIQE NEAEQQQLKDQVASKEQQLYDLQNTCMVMSDDLALLKQQIELHGDDTAYFRNEIIKDNRR IEVLEELRCQQSKNWLNFIKSSKYILNLIEFLRRAIQNYGSFAEIQNFSVMLETLSREVD DNELLNSLQAVSKSRAITPVRQELLQLLDQIEESIKAKINTSQTDEILSTSRIADYLHQL KQEIAWYENEVAKLNEAWGDSPEETVKQRQKELDTCESGINAKIEEAKEFRNHVSEQIIH NESRQARFLEQKDLVAQLIVEYQNAFNKIGETYKIRIDDYVEDGVFDKTVDFDKRSLTDL YGDKENNFEQYVDDLVSGVATPVEVPVVEEDPELSGVFEGGSESESEEAESAEEVEETVT EGTQESATEEVVETATEEPVETTTQPEVETTNEETNHFEQGEVQQSV >CAK59519 pep:novel supercontig:GCA_000165425.1:CT868003:66338:69693:1 gene:GSPATT00030153001 transcript:CAK59519 MGQPSLRYSKPPDDALLISLPIRNSLEAVVVRLDRSAQYPPLDTKEWGQFLYQINLPLDK KNKLNLLNDSTKPQQYIDFKAQILYQYLKSKKKNVEPAILGTEEGLEDLLVYLRSLSKQD LSKYIVEKNLIEKLTKLCQTDCEGHHDKECLILNIILLALESDNNLEIPTSSLNEFCMIL NPLHIVNFSLILEIYVLICKFQTDERMLQIALAWLEMKRQGHWNYPIEPFIQLLQSNKSV FAIANMCRFLNYFMEAHADQTYSYKLKKSFIQYGLRILLQDVKSKIQSGSYQLQDCTYAA MDQQLMEQYLMLKDNPARKRKVLPPDGKPVELSICAKLCHELFIDLNNTNASTKFQKVCE EATAQIDAFLFLTEDIDEELKGHKGPTQKDNNISQFRRQQSISNIYEVEDSQEIRIPNLD YNQLNTQVREAPTIQETVKILDDYVTQQANVGVNPVSQLIQTTAQKLLELKKNDPTHLEL VEAEKKCTQLEQQLRKIYEQKDKYFQEMKELESKLEQQSKLIEQLQSAAPASQVSTTISA PNPPQIAPPPPPPPPPPPPGGLLTAPPPPPPPPPPPPPGGSLTAPPPPPPPPPPGGRLPP PPPPPPGGMPPPPPMPGRAPPPPPGAAKPGKQKCNPTKPMKQVPWVLIKEDKIKGTIWEK LDDKKIKLDVELLEEKFSRPEPTQRSQSTIVQPLKPQKVSQLSSERCKNVELVISRLKLS AFTLKEALLAIDYDVLTEDKVGMLINAVPEKEEQELFLHYQPEDINLVAVPDQFFMDLSP IPQIKLRVEAITIAYEWKELASTITAKQEKIKVGIKMQREDEKLPILLEYSLAHGNYLNG QSAKGGASAFKLDIMSQLDDVKSNDNKTNLLIVILEKVEREIGCLYDVEFLAKIDYEFLS KISLQTIGQDLNELKRMQRVVERAQKSQGEDSNDKAAEKFKILFDQLEETCGTIDKNNKD LDLVYKDLLNHYCEDQKTQSDEFFQKIERIWTDYKNAKAQMDRTKQAQQKEQEKLMRSQS NLVQSQMLMSSSITQMSQNEPIKQEEKASPAPVTEKKDPKEVLAELKMLQSKKAELAAKR QQQK >CAK59520 pep:novel supercontig:GCA_000165425.1:CT868003:69788:70912:1 gene:GSPATT00030154001 transcript:CAK59520 MIFGIFALLSTLIWAETATSEVTRDYCSLPDPIYADGVSAADFGAAGWSYANAATWADFV VTCGGSFQSPIDIVTSGSTQESVQPQINYKSKDANTAYEEANKPYTKEYEGEYSEIEVTD ASGSVIRYYAKQFHIHTPSEHTIDGKYYDLEIHFVHQAIQDDEQDCNKVKNKLTVFGIMF QESSSATDYDVFKPWFDSNATGEVESFDLNDFFSKMSDNTYYHYNGSLTTLPCSQTVNWV VFQQALPISSTQLKQFQDFLGDSTVFPIKHNNRPIQHLNGRKILKGTAIAASVTEIPDVE ASFAQTLAMAILMTVFLIQ >CAK59521 pep:novel supercontig:GCA_000165425.1:CT868003:70989:71806:-1 gene:GSPATT00030155001 transcript:CAK59521 MIIVLLILLFDVNADWYHSTLAFTLAANNYQQHLGKQKHVNFFTPWCIYCQHMAGEFNQV FEHYKATRPDILIAKMNCEEQQNRHICHHFGVHSFPTIFYFPPGQDKPTSQFENHRRFEF FVQWIDSLAESGKQKMEKMLREQEQQEQRLKEMMELEKQKEIEFEEQEIKKEEEIKISQF IQLQITEANTFNGTIKGVEKEIQTLQQKTAELNIKLGQMRKMTRVNVNHALVFGIIGLFI GVAMTSCVCLFQKTNHVYAEKGV >CAK59522 pep:novel supercontig:GCA_000165425.1:CT868003:71820:72399:-1 gene:GSPATT00030156001 transcript:CAK59522 MGLLKIIKKTKEREKEMRLLILGLDNAGKTTILKKFNGEDISQISPTLGFNIKTLSYNGY KLNCWDVGGQQTIRSYWKNYFEQTDGLIWVVDSTDKARLDDCKKELQNLLKQEKLIGATL LIFCNKQDVPKSLSLQQIREYLELDLIQTRHWGIIACSAVTGDGLLEGIDWIVTDISSRI FMMS >CAK59523 pep:novel supercontig:GCA_000165425.1:CT868003:72459:73628:-1 gene:GSPATT00030157001 transcript:CAK59523 MNHNVFYYFLQKRLRRITIRVENEDRSRFNKVIPIDGQKYKIGNSGGNENYVFIPFGVSS SGDQFELDSSLLLIPVNNSEFQNQYNQVLRSYDVPQNIISRIGEFAIVPKQKLKLKDISG RRGYPSLINISRQCENQELIKNKVFIIGKTSKLTVKQNNQLFGDFLDSPRLFEITNNKKV VGLGSGMDALFKQSFERKITEKEFYQTLNSVQLKKEFDEFYSKSCIIIRISENNLDQSYL LVEKSKRTPSPESLNRAQLFNRRRQSLPVYLSRNALSINKSKRSICFFSIGRVQTSDIVL NDKSVSSYHGMIGYHHKTKKWIIFDGQYENARQRNWKNSSNGIWLAMEQNKNYYLDKEQI IKINDFLISMYWN >CAK59524 pep:novel supercontig:GCA_000165425.1:CT868003:74467:81400:1 gene:GSPATT00030158001 transcript:CAK59524 MRVKKAQEQNDDYNKEEKRLNGLGASFNAQYRIESINFITEFIPRFLKYYANSQKRDKYA NELPLISVTNSPIREILAKFDINLLFPERIWEFSMTPTFLWQLTKHEYLAQNLAQILYMM KLILRGHFEFRQKPSHWNQNDLSDSHMYRLIELDHKSNLHNQKIYEVCNQFKDQEKIAVQ IIPKQKYTLNSQNQLSTLQYVGTIVFICYSSYEGKSCIEQYLSSLLEDPFLSQDLVLLQK IEDRNVNQCYIKPRKYKIKNFQPNEFKFSEQLQRWIKKMDIIHYKNRIQMQNELESQQYL LKKYLFTPNFEQYRNLLKNIKSEKFSINMEQFKAISQEGDIILIGRSGTGKTTISLLKLF ITDAIFMLRQNLNLFKESSKINLQYNKELQSGIQLRTLFLTSSPLLAQQIKQKYENMVKN VEENLRQKKEVQRISEQQKDNLNDSTVQILDVLGEQNENEGQFGIEDEDEDEDENEEDVD QYEKEMGCFQTIQDIKQFPAFLTIRKLLFLIDSSLHNSFFKNKDKIHGSAQWHNEYSGVL SLNQNFINNFSQKLDSEVHDLDSKEIIYHNNKLKEVSLEIFKEFFWPKILQEFIQIEKAS HDQLDPMLIWSEIITLIKGNEKSYLFNDFHLSQEEYLKIHRPYKNSNPYSRLKTKHNYYD ILDLINHINYQQTFCFDTIQYMHYIILDELQDVPKALLILLNRMTHIQLFLAGDNAQNIV KGIGMHFSQTVSCLQQENNYKQPIRNDKYKISKIILSYNFRSSHQILQLGNTLVNALELL FPSDIDLLQKEKSCQQGPKPTIIQGCENKDLTIVEFGCNSVIIVKDQNSKLKIPIELQNA IVLTIYEAKGLEFEDVILFNFFTDTNTEENEDEDDNVISFFRYLEIVKIRMDKNKWDAKH KRINYLSCKNINQYEVELTILQKVESKKKKQQDVITKNLNKFNISLQHELKQLYVAVTRP KRKLMIFDQQLQNRKYIQKIWEQLDIVEIIYDTQLQQIQEKQFVLSFSIDNKANWKKQGY RMLRQSNYDQAYKCFMFATEIELAKKCMAYHLTTQATLNEDNKQQFIQAAQIFEETNLTK RAASCYFSAKNYSKAFQLYQQLDCKNEMAESAYFMRQYKLAGQLFSELGEIRRAIECFNK QKLWDDSLDQVNLNKEQLTTDEKLMFLSIIVPKYLKSIIEDIEKQELLQQEQENLIKNQS DSFQVENSLVNDNLIEEKNNKEDDLEIINLHDDSQSFQVVIDQSLDHLSSYDPDDEWLKI DKESLIRSISFSSVESKLSNVLLMNQFTTIPLLKSRQNIFIKNNVMLHISKRFQQFQNEF KLLLENQKSQSSLLSFKQTKEQQLDDANALLQDLENLDIKSVYFVLDILEYCKNYKLCIY VCNQFKLSQNLGRYLISLASQYTPINKNKFKLENWIIGNNLKRKHLLDQSILAQMTFNNI LESINPIYLEYKNEDTLHYQNSFGIECYKSLIGLGYWRTIIYQLNYENAVKLCQSFNNYQ DWVILIQKIKEPRLSKQLTDEEQFQLIKNNYFIQVEQYFLSNQSKNNINIDEIFEITIQS ASQKKLNKENIIQLLNNSKLHQVNLTNQDKFKQIESIILCMICCMGILKLETDSYSQIID LVNLQQYCINQLLFAHLKPNIIEALQFVFKFSFPTGDIMIDYSQFCIIHITSKLVKNLNN QMIFIDIAYEYILIPFEALCQLFKQYFCNSKPIVTLFSTIKNQEDQQETINIIYCLKQRL QYQFQNILPNITQYQQDKIDYYSKVVYGIRQRNPINVVDDHETSILHFTKSDELRTVHKW LLQTNFRIDGMDKQIRYYLKNECILLFEQGLIDLQQKHGFFVLALNLLHLQDNMPFAIFT LQSMKEKEESNYYLKYLEFLECQHYDIIEDSFDCFIAYNQYFENQIYLDEWMNHLIRIGI KLLLAQEGITQIFIPQRFQDIISSKLEFDQSLFKLSKTDIILEFIESLQQFIETCNSEHY EYQSNLLLIVFTLNILNLTFKIKEKLCQIFSNDSRYPFYIKIHQCLIQKNSNLQQELINK MDVLFIKGYFEEKILTVQIKQNVQNLNSQEVYQNCLSKWEGYFQEAQTIRNNGINLLKKW RQFRSQQKIRQQVPNKLNPKVIKFYQFNKLQLKDIQQQFNWIQHESLIIKIYNLQEELLR LRQNILNGADLQFINQVLNNSASILREIKIGNLQCEAFEELKLQYQSWRDRINTFEKNEQ ELLERNRQILKLKWQKVQAGVKVQRKFDSRLIQTVEEHPDEEAQD >CAK59525 pep:novel supercontig:GCA_000165425.1:CT868003:81901:82246:-1 gene:GSPATT00030159001 transcript:CAK59525 MSNQHTQSYNSSIIYTPIPLKLSLSASSSSWQSSNSSILEESTQQQASSLTHSRSPRKYL LTKFEQENQERPENPMTRDEKFKELQTLFLNFDPESYITEVELFD >CAK59526 pep:novel supercontig:GCA_000165425.1:CT868003:82713:83108:-1 gene:GSPATT00030160001 transcript:CAK59526 MKSMNIGPKVLLQQNQVRQQDDDEDFPDEPSPILIKQKIIKVMKQNQPRFDVKGRQIFKG TGYGIAFDNFVTVCVFNPDEEVLSIKDTISQCTNTQEQEKFHIKSSSNKKIEDYQKEIIL KSILKQTKPYQ >CAK59527 pep:novel supercontig:GCA_000165425.1:CT868003:83227:83988:-1 gene:GSPATT00030161001 transcript:CAK59527 MQSLYQMSPKSTPKNRQQFQTCFDYNESPFLSPKVESFELHKYKVRTLKPKTFLEGDLAR VSKSKNAIYTNNNECIKCKGEKGSLAIKLCNCIDTYYHIICILDYANLYPKLGLNLFRCQ ICNDYFPIEINEKMSISNLSAKEKFAFLMAFSLLCSLITLEILIFVLFELDHMNQILLII LIIIELLVLLIIISKFYHLCYLIEYDIKEYKLGQEYNQSQLESFTLKIVKQLQYKRAKRI TFNEP >CAK59528 pep:novel supercontig:GCA_000165425.1:CT868003:86003:86761:1 gene:GSPATT00030162001 transcript:CAK59528 MILGTVTKIHKVNSTVLGQDRMIRTYTFLLRFFKLHLLRKMKSGECLQMWQNKVDIICSH FSMTRRILRWSRTAFYIQILMNKLKQQSSKNELKYYRNLNDVLFYAYLILTDVNDLIFWM CQIGLCRNVKLLLITKEISPKFYFAECIGWFITLCLEYRVNQQEIYKSQVQNDPKNKIKT RQIQILQNLVKYSLDIPVSYSYVNTKAISQEKAVILGTISSFISLYQTFQQHSGGFQ >CAK59529 pep:novel supercontig:GCA_000165425.1:CT868003:86998:88797:-1 gene:GSPATT00030163001 transcript:CAK59529 MFPNISVLNEEFDEDQPRLIAVSYSDIKKLLSPPPAKIQPEIIVDKHKAKSHTYGLIESK GVESHLIKIIQNNDQVEIQNVLDEVENINIQSIIDHKKYTLLHLAAYNNNLDTVKMLINH VKKQHKHKCMSILTEWVNQQTEDGFVAFHFAAYRGNLDMIHEFEKCGANLYILNAQGMNG LHLAAQGDQPKSVVYFKKIGFDFAQKDSKGGTALHWASYYGCELAVNYLLSFTDQFLDIK DLEGLTALHLATMSGNSRIVKKLLLHGANRNIKNNEGQTAADIAKSNSFQSIYKMLTESQ NFFITYFSISQGFQKVDRSKGKMIKFVAMLIYCQAIIIYSNIYAEESYLYIIYYGVPQLI IWLLLILIWLSNPGKQIVNQEKSEQEQLKNLFEILKISDAKDICPECVCVKDQRSKHCDI CQSCVLVYDHHCPWVDNCIGQNNHFQFYIFVLLLCLDITVTLTFQFYFVIKEIQIDGSVT DSYIILFVLSIFSIIIMLLFLFPLWLLLYIQTMNLLSGQTTYEKYSQSMKKAEDKSTVSC NNCLQMCFYNKRDSRQKKKLNHQSMQLYDSNKN >CAK59530 pep:novel supercontig:GCA_000165425.1:CT868003:88798:90718:-1 gene:GSPATT00030164001 transcript:CAK59530 MDQIGVNTMRSVQKLIKSAMKTRVLTAFPNLPQNSFEIQLESISNNLNFEYKTPTVIRIY NQFKKQNLFGDLKSEVEVAQKIAATEGQDNVIQKVEAIEKGFLTIQLNNQFIEDEINSIL ANGLKFPLEKKQKVVVDYSSPNIAKEMHVGHLRSTILGESLCRILEFQGQEVVRVNHVGD WGTQFGMLINHLLEEYPDYQTNKPALKELEDLYKEAKKKFDSNEEFKLKSQQFVVKLQAL DPVCIDAWKMICDLSRQEYNKIYQRLNVKITEYGESYYNPILNEIVKECEAKGIVELDQG AKIIRVKGEKVPLMIVKKDGGYNYDTTDMAAAKTRILEWKCDRLLYLTDVGQWNHFKLVF EGAKLMGWHQPPNTSMEHMGFGLVLGPDGKKFKTRSGDTVKLIDLLDEAKERALKQIQLR VQENQQGKEFSTGTALSPEEFETAAERMGIAAIKYYDLKQNRISDYNFDYDKMLDPKGNT AVYLMYSYVRMLSILRKSGIQDFEVFKGEHKFKITHQHERHLAAQLLRFVDVLQSVTDQL AINWLCDYIYDICVKIAEAYNQYRILNDEHTQTRLLLCEAVKMVLLQSFHLVGIEPIEKI >CAK59531 pep:novel supercontig:GCA_000165425.1:CT868003:91814:92132:-1 gene:GSPATT00030165001 transcript:CAK59531 MNEKSKTYQNAFQIFKERYSKKLKKDKTLSQSDIDDLVLQKWKFLPDDQREPYKKRFEKT KVKLTQHEEEANNSDEEKQPSKKVKQ >CAK59532 pep:novel supercontig:GCA_000165425.1:CT868003:92305:93462:1 gene:GSPATT00030166001 transcript:CAK59532 MSTGLTKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLQHPFIVTFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTQHAAFYG AQVASIFEYLHSKNIIYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKS LVKHLLVADLSKRYGNLKNGAADIKNHRWFGNLDWNLLTQKKLPVPYKPVVKAPNDTSNF SSYPESDTQSPALKPADDPFLEW >CAK59533 pep:novel supercontig:GCA_000165425.1:CT868003:94179:95592:1 gene:GSPATT00030167001 transcript:CAK59533 MPKDKLHVNLVVIGHVDSGKSTTTGHLIYKLGGIDERTIKKFEDEANKLGKGSFKYAWVL DNLKAERERGITIDISLWKFETNKYYYTVIDAPGHRDFIKNMITGTSQADVALLMIASPA GEFEAGISKEGQTREHVLLAYTLGVKQMICATNKMDEKTVNYAQGRYDEIVKEMRDYLKK VGYNPDNVPFIPISGWVGDNMLEKSANFGWYKGPTLLEALDAVTPPKRPTEKPLRLPLQD VYKIGGIGTVPVGRVETGVLKPGMVVQFAPSAITTEVKSVEMHHEALPEAVPGDNVGFNV KNIAVKDLKRGFVCSDSKSDPARECQSFNAQVIIINHPGQIQNGYCPVLDCHTAHIACKF QEILSKNDRRTGKVIEEEPKFVKSGDAAMVKLIPTKPMCVEIFSEYPPLGRFAVRDMKQT VAVGVIKVVEKKELKKK >CAK59534 pep:novel supercontig:GCA_000165425.1:CT868003:96800:97048:1 gene:GSPATT00030168001 transcript:CAK59534 MGTCASRKNPGMLQRSVAQKHIEIDFEKLLKYNKEKEAKEGKEHLQQQQSFCQIFLQENK QSAPYTLTQNLGPSTQLEYKRQ >CAK59535 pep:novel supercontig:GCA_000165425.1:CT868003:97791:98558:1 gene:GSPATT00030169001 transcript:CAK59535 MPKFLLQDAESSFQRYRNPLNEFQHKSESNSFEHKQGDMQFPIQQYTFFQSTPIFPILGE PKNEFIETANQFIKNGMQNSLASMIQKQSIVQNEFKLNQTTYGCKKMCEIDCMLVERYFN QKYWIFQNSNQKYKLDQTKTNNTDWLKARMQHRYKSQIYDAFSEMIDLILKEGEIQMNSR TQLIKIQNLKGFQNLERQIQKAVKAERKFDAFLFEIQKVLADFIFSTIN >CAK59536 pep:novel supercontig:GCA_000165425.1:CT868003:98588:99881:-1 gene:GSPATT00030170001 transcript:CAK59536 MQQNQSNQEDDQPNNIMDNLEFQSVLDIQNLNDDQFQEEIPEQNNQVSDMYRQERHLNLI AHQIHKQNEQRPQPVLEGEFYKVSPHFLETNSTYYCTLQPKRFTYYNIKNKDKPLGILDF DYQKYIFSFVKSKDDQYILSFILQPEGCEKKFEFVVPTRTRDQSKKWVQLIQQYIQQSCG CQNNIASMSKYHRFWRHERILDPQILDQAQTGDLLIFRTKGTLQNLQRSITRSDYDHMVM FLKNSWEDPMLFESSNQYGVISFSYKRFVKAKSYRNYEKILYKPLLNVSENDRILMHAYA EAQIGKGYSLSMMKFFKKSTRKNFFCSELIAYIYQLMGFIPEAEKCCSFLPGQYQIITLI GNFTDEDKRLDLLKNAQLGPDYIVDFYYLQ >CAK59537 pep:novel supercontig:GCA_000165425.1:CT868003:100539:101589:-1 gene:GSPATT00030171001 transcript:CAK59537 MGPYLSQPKKDKTTTTGQGKSVIFAASEMQGWRNTMEDAHIHKPDVIQDVSIFGVFDGHG GREVAQFVEKHFIDELLKNKNFKEQKFEEALKETFLKMDELLVTPEGQKELNQYKATDTD ESYAGCTANVALIYKNTLYVANAGDSRTVLCRNNANYDMSVDHKPDNPEEKSRIERAGGF VSDGRVNGNLNLSRALGDLEYKRDSKLRVNEQLIIAIPDVKKVELGPQDKFLLLGCDGVF ETLNHMDLLKQVNSTLGQAQVTEELLRKAAEDLLDQLLAPDTSQGTGCDNMTTILVYLKK >CAK59538 pep:novel supercontig:GCA_000165425.1:CT868003:101966:102540:-1 gene:GSPATT00030172001 transcript:CAK59538 MKQIIILALLLILVLGDQHHNRNNHQRHKKGHVFKWVLITLLSIGAFLVIRKIIRKRRQM KRMKKQGHNNLSDTVAFGEPTNDNVQQPQFYAVPIEQYEQYKNWMKQQKQQQEALLQQQQ QQIQQQLLLQQQQAAQNTQIPQQPVAGYPIYQQYPQQMPTIVYPQLLSKSYVETQLPDVK GPQ >CAK59539 pep:novel supercontig:GCA_000165425.1:CT868003:102695:103561:-1 gene:GSPATT00030173001 transcript:CAK59539 MSSNIYLTQTQIHELFKICELKTAEVKKLEIPSFGEVEQSANLPEFQQEACHFSSDSKKE DNYTTNPTNNTNRHPSQDSIFEIKDDTVRISKLEYENLKRDALCYQSLIKQNKEFKTNKS IVIQPNQQKLQLSFFQDNNKKMQRHIYDLLQNVKSIEHENQKYQDDILQLRLQIQDQLKI IQQGKIDQERLKISIIQKDEAYIKLKNNYDKIAKDLERSKNCKSAQRGSYLTTNPSNLSL KGLQKINLKNYCNQSLIVEKQS >CAK59540 pep:novel supercontig:GCA_000165425.1:CT868003:103873:104947:-1 gene:GSPATT00030174001 transcript:CAK59540 MLQFICLIISLVSARNPFITAFVNSIKTTWTATNYERWNEKSDGFYSKYFNVIVDHSEPV EYKYHEKLENLPPSFSAQEKWPGCPSIELIPDQGNCGSCWAVSAASTMSDRLCIASGQTD KRQISAEDLLSCCGINCELDGNGGCDGGYPYGAWKYLRVDGIVTGGTYNDFSLCKPYSFP PCSHGNDSGKYSKCENDFFMLTEVTPSCTKKCHPQFSRTYDVDKIRSRENPYKLIKDQEQ IKNEIYLNGPVQAVFTVFDDFLNYKSGVYQQTTGQRRGKHAVKIIGWGTENGVPYWEAIN SWNDGWGINGKFKILRGFNHLDIEGEVYASII >CAK59541 pep:novel supercontig:GCA_000165425.1:CT868003:104956:106218:-1 gene:GSPATT00030175001 transcript:CAK59541 MSDSITESNSFERNANAVILDHKYFLVRLIYKGRSHNLYLGNQVNLIGSVALNKDDPNTY YLVEMKSQEQYNSFVCDERIVKKMHDLQLIPKTIQQGVYKYKNMEYRYNIKERCGPSLKL CFQHQNRKFSDQVFATLAIEAISALEKMHQASIVHCYLKPKKFVTLYRGVQLLLTDLKFA QKYKLRQILNQNTKNKFQYALALNKYSSLNLHLGIKPCPRDDLESLAYILINFYIGGRLF KTKQKKTKSDKIKEVELQKMNLIIEKAFPSLPKEIIQFYYNVKLSNLDQYKVINYDELKS YFYKMMQKNNNTTILKSYPWNQELIDPQKQSSISSLSSVVEDDENESDDSLIEEQSILSV IENLKQITKTKKLLSELDN >CAK59542 pep:novel supercontig:GCA_000165425.1:CT868003:107628:109887:1 gene:GSPATT00030176001 transcript:CAK59542 MCRNKLLKEEAKYSLNYFICLFFLGLEIELQNDLSVIQILSIIIIVISITFRVLYSFQRI SWKIDISPIFFWLLTLARINSANNLKQESNSFLFYTLGFMNGQYNNTFSIQNENPIIYKG KICVQIIIFITCLSLTNQIKLGIIFVLIMLCIMVGLNEIFYRQALSEFDIQAKENKNNRL FNELSFQQILKNQTKPSNEEQIFIKHSRGCPLESIAEDDELPESKQKQQNASNSTKSSLW GNFVFQCDDYIIKFSFNAYQNNSLLQNSVSNYSFSKFLQDNNITFVDFLSEMKVFNDIHW TQFDIQSPEFKKQQSLYDWLQFHLEQYKMIEQLQSKKSFKQLEGADPLQLSNLSNQKSML MDIIMEKSILGLSSIPYQQSSSEALNLRNSKMNLFGRIKTSKSMCDLQIKFYIFEEESDG LAIVFLMRDIEKLVQSIKQNVKNVELLDIATKFIQNQACKMTEIHKWIREIKSPSCLIET DQLTRLGTSVRKRTYSLTSNKNSVEEGQSDISEKVQIRKSNHLQQFLSKLQFDFFQVEQD NFNFFEVFQQTEKIWFDRKKIDISQTIHLLFEQFQYNQILMKYGVKLMLIDHNLYDKNIV TDARRFKQLLINLINNSIEAYQYEEYLQQPNEVEITVWNYYEEIHFTITDYGCGLTQNNL NQSRLQECKLGLAASQRLLYQLTDGKKQLTIQCLNQRTSLSFQLPRIMLEDKIIQNNEFD YEYIKFINS >CAK59543 pep:novel supercontig:GCA_000165425.1:CT868003:109942:110602:1 gene:GSPATT00030177001 transcript:CAK59543 MQNLEVQLQLSQTGTQFSPQSFEDEMLQEYLEIEVQKQGFSMLFEELLVCSNIPQEEPQR HKNLSRNTKINQFRRRSHKVVKKQQERKRNQKSTQTFLQEKKQRVFNEFKLKEFQAQGNT QETQGEQGEWQGDHQSHVQEHHAIPLSLLREDQNLVLLNLCNDNFNINDNCIEQNNYEIN SNKFEMNAYLIPKALAPKIPKL >CAK59544 pep:novel supercontig:GCA_000165425.1:CT868003:110735:111413:1 gene:GSPATT00030178001 transcript:CAK59544 MKLYIHSGNVVEIYDSIQGETIDQLQKALGSEVLLNNRTILNTSMLVNSFFNDNDDVIVQ KVKPIPVQQNAQVPAQVPAQKPIHYNNITKFAFYDADEMNVRVVVELKDIAKHPLEKFQA RFFEKSFEIKIHDYQNKNWTFGVARTQCKLDAANSKFTLKGDKILITLRKVKKEDNWFSI HKQKTIGGDDSD >CAK59545 pep:novel supercontig:GCA_000165425.1:CT868003:111495:112384:1 gene:GSPATT00030179001 transcript:CAK59545 MNQSEVNEENSPHNSDHASQNISQYLQHDYYEKFKLGQNLYEQSITLSRLSLGEKTTEDH NNITQIGLIQIQERLSDEICKNQLLESKVTQLNKDLQAAMEHTMILQKQNEKYVQMLQQT NTQLQNQIRNYEIEKKLNSQLMKKNKELIEVNNNYQKQVQSLEKKKQIQNFSDCKNIILS KCHQKSNQSICSSHRNKRNLNPGNTEESTVKDSSFSEMKSNDNYRYAESAQQFYKSQNFW SPVKQSSPKAPHNLNLEKKIESTLAQIRAIKMQIQQLNQK >CAK59546 pep:novel supercontig:GCA_000165425.1:CT868003:112589:117556:-1 gene:GSPATT00030180001 transcript:CAK59546 MQGISIFDDDKSAIDKMQNSFKNLLFEIIYYLISGENFPLFLYVFFVLIESFQVFYFAFS DEFLSLWKVKSWSESFQSFFGYFMISPYLKNVQFPSFILVLYIVMGLFFLLIVLIIFIAI KAQTTSVGKLTGPLFVLKIFFEILNYIFFMPTLHLFLTIFYCDSGTGYHRYYSDQQCYTG NYLLHAFLSAIAALILICVSGLVTMTFYESRFQPNNPLCKISGRDDMKFLTFKIILVLCF TLLNVSELRILVVIIITLFAVIQFFSFNKSSVYLNYYYSKVLNSQHAIIMWTICMIIFGI IVEDTYYEGAPYLWVFGSPLLLLIVMLRKEYRYDIMMIDSNKFDSLNQAIQQLQYLTKFL NYYHTDRNIATLLDGFVEYHRTICKREDCPCQAKNMGNKKIAKFQKNFKLQNQDEEIKEQ YVVFVYILERIFTLSLTRFPNCTELRIAHSLFLMEKMQSNQQALQELVAAEQEKPYMDEQ FIIYRLKKLIEEQMFENSKSSKNPAAGIDAVNELTTENNLRDIRAQIEKSASQHIEFWSQ LSEDTPDLGKLYDVGTRMMYVDKMLEDSWKRIIKMNMDVPPNLMMIYSKYLIDILYDKES AEEVLERLKNFYSVNMDRGKITNNINDFPNESTALISISAEDITFGRIIGLNMSASKMFG YSKSELINRKVNILMPNVFAQSHDQFMEAYLQTYESRIMNRERMIIGKSKNGYIFPFFIY VRYVPSFIHGAQFFGAMRQEKVFKNVAFMIVNGSTQEIENISATFITMFHVDLNYITKKK MKVSDIISNFQENITEYLNKTGAEAEINFKNRDQTVQGKFNITAGEIMFKDSKLQGYVIK IENNKQEKSFLNPNEQSQIKKQQQVKFYFQFDQQQHIYLGEYTQDQNFQMSAISSVKQDE TFDYSQREPVKEDEKTPTSNIGEKDEKLDLALGIRTMKYINGQLFDIDDFKNQDSDEDAE ENDQKKGGTTGMQQVQKEDEEEEAEGGHANIYKSRRTFVQFLSESRNVNQSSMVCFKWSA AFLIICLGVLGLLDYVLTQQLFQDIQEGYIMMQDSNIRVALGQRIQWQIMELCRLNKINV AGTDDKVKTQLTNMNTTISDLKDIQSKIQSSTGVSGRQDELMTTNSIKMISRDDSGSESN QLVDINQGTSQIISKAFEIMNFDITDFDPDTDSIYFIRYNLLNDYYQATLESVDLYTNQL INLAYDSNVLLILLIVACVFTFISIPWIACTFNLVSQNQEEVIKLFLEIPLAKVKQLFAK CEAFSNTLQIGEDEDANQENELSFEENEEGEGVVEEFGRRKKRKKYKYDSKDKRNFYIKF IISIGLLIAYFIAHYLIGTNLQSSMQQLIQEMNATSLAVPSITFANNVFRQMLWDPNFPV KNNVSKTISADFVKDLYNLNTNMQKDHSLNLGYHNSIYNDYFDTIMKAGACNEVIKVAGV DLATCQAFVKGIVDESLALALSRHFENLRYLLTVYDSLLNDSSATAIAGTVYNFTDNIQR NKILSLMYTDISAVELNQMQDIYIRSIFQQLLTIFNDSLQKDLDTNTTTTVTIFIVFLVV LVLVYLLFWWPIANKINNEIRRTTLLLSMIPLNLIQRIKAIREYLNRIHKVDS >CAK59547 pep:novel supercontig:GCA_000165425.1:CT868003:117591:122862:-1 gene:GSPATT00030181001 transcript:CAK59547 MNNRRVFYDESIWERFENRIKTWTYGLTHELLQNQVCSPFLFKFLMLIELIQLLYYSIHP NLEFLFKTVWLEYFRNALQYFQVNYIIFNGGVNVLLIVMYIAFGIQILMLFLLIIITFKL TSNKRKPSTFLTYCLKIFSLYGLLLNTILTIPIFNSFIATIYCNSDAPFSDGLECYAGLH FLHISLALIGLILFLINVLYFGLLYAELNPSSPIPFSSPQSKTPLVRQLIKIVLPLYVTL DFNGDISKVYISLLAAFYLLLLVQRYRSPPYYNKSVYTFIVVQEVLLFWASLVGVITAFL DLGSVDDIGLFYMILGMPLLSLVYFQLLTFRQQQLLRTPIKSFKKETDIEIYINHLMDLI ENREILQCRIVLEGVLKLHLKNCGKPSDSCVCQQLVADNLKDEETPQKLKRWYQLIKSII SDALDRYPKCPRLHLLNSYIHHEKLKNKFKALFELMITEENKPNLQEEFSIYRYKNMIEE EMIENDMRTSENKGVDVNIIVVFQNKFVNFLSSIEKSVTLHMEFWRELLEENPDIQKLQS LGSKITNTVESTSDFYKKLQEMNQNHIKCLQVYGNFLKDIVNDDVEGQRILEKAEYIQKS TAVNKLGLDQERQKYGENANTCIITCSGNYNNIGVVTNVNNEITRILGFSKSDIIGQNVN RIMPKVYADQHDQFMKNYLETSDSKVIGQERILLAQNKNNYLVPCTLMIKVLPNLDEGIQ IVGFLKDIEPGSSYLRSEQEIDQEWHFIIINVNSQAILGITQSCYTRYGIPASLVYGNST NTNEFTIDAIAPELVDIKNQDDLKSQGLVTTIDTSQLQQNFLLGRGESDDEMSLEEEENI YEPPQQASQMQSKIGDSNLGQMLNQNHQYKETAVDISQQVQNSKIEEAKSKKLQKQQRYK KYKIKAFVQDETEFGDQKIQIIRFYEVDENEEVKQQRSMEPAAEEAQQNQMKTVMKDEQQ VQEDVNASEGESNLSGGSVNDDMRQLKDFKALISEKTEPKYIRILKRTVWFIMLVLIVLS ALILGYRMTQSSDVQEGADAIYLSYLRHNIMADVNFYTRLLQMLGNSTYIADKHGYTTAT ADTYIKANLSYLVDTLKVVQFDVIKARIKMEARMTGSSNLETYQVSFLLTSGETKIYDNI FNDALFQIITSASSLRNSSAASFNGSVTTVDNTQKNFYYVMTNGLFVLRSGSESIAQRFF DFYSDEIKNYQVTFFVIMGVGIFCLVVSAMILIPIVFQVHKTNNMVMSLFGIIPIQEIKE LAAKCENYMQEFLEDKNEKKEIVDKEDKPPQPNVQPPEVEKTQNKDVFEMNNEEEDRSDK KKTQQQNGSNGSGQNGSGQNVLQTGQPNSNAIHTSITAKPSENRATPSAFTQGATKNDQK QQQQQEQDKKENEEEIENLRSQKLLNSKDNNKSVVIVQFLIFALIFISYFILDINLELIF LDNVQKVYDHLQYSSKRPISIKYNVYFTFEEVVTNKTQTENSIDMRTEFQSRLYDNERNL FQSLTQSFPSQFDSYLSKFQAFTYNNLCVNDFTINNFVTDQSGCAAVDSGLLTSGLKTAF VSVALSTNDMISYWKNTQRTRTDLISTINNQTYHDKLHRLLYYISPACDYLTDRFMSGIA DFLSYSDSIEQMKFSVYLVVMFAAFIFCWTPYLNNLSKQIWRTKGMLNMIPMDIIQKYPN LKQQFIGGEILQAVK >CAK59548 pep:novel supercontig:GCA_000165425.1:CT868003:122888:123358:1 gene:GSPATT00030182001 transcript:CAK59548 MINKQIQLPKHPNISFKQYCQQFVKESPKAKLNKTSYVLGDLPLNKLREKQKEQFKSFIQ DHISLTRKIRDDAEYDATIYMGLTSKWQRAKKDYFKQEIPLIKCELDMRHIKQNQELNFD SWRRIDQVSQKRVRGISVDSNMKKTNRKTHTTSFRF >CAK59549 pep:novel supercontig:GCA_000165425.1:CT868003:123750:125335:1 gene:GSPATT00030183001 transcript:CAK59549 MGNEPSSTNGKTDFLIHDSRILSGPTLINQMQQNQWRIGLQHPHLLQTLGGQPEGEQYRY YFEICPITLASLLSERYGTKQYFPEEDLQALLLGIVSALSFLQEKGISHGGIDSCLQQDI CTQEIFFDSNSSSFKVLDSNLINGRAYAIQEFINGKLKYLAPEIIIHPTQPMSEYQLHKN DIWSLGMVILEAGTLKSNDTLYKNGLQPKLIQDRINEIGTIYGKQFAENIKMMLNFNPNE RLDSVNLFNFLLEQQRIANESEQQIQQQQQLLLQQQQQQQQQQLLMKQQQVMYQQQQYYY EQKISHQSPVNRQQQQQSQKNLQQNQYFFQQQKQLQQQFQFNQTQQSSPYRNQIQIQPHI SNQQQRTNCHQQQPSQDFMMIQHERTVSIEKMPSRIIKKIIYPDCKVGYQDGSHSASRMG QNQYWQSKHTPQKTQKQSSPLPRQQSSPYQSQFMYQKYNVIGNKENQVPLNTNEQLRWKQ DMGQSKLVVQQYNQQKPSINEEPIRRVIVQSQALRDNFV >CAK59550 pep:novel supercontig:GCA_000165425.1:CT868003:125363:126277:1 gene:GSPATT00030184001 transcript:CAK59550 MLPKLHQSSSVQAVRTSEDFSLNEVKRRQKQLELESQTVENRIKQIRKENEKLSKKVEMA EQLALDVYASRLAQKMKKEQKQHQLSMTDPTKYQQTQYERMHLKKMKQEVKEMKHSDAQL MKNSIKFELMRTNEEQIKMAQNLRLRATLKKEEEKLSQAMIQEKLYEKQQKVRLEQERER QKIIMENEKQEYSIKQLEAQELKLVEKLQATQQRELAVKEKLMAATRLAPEDFDRTYLGG QSIICDPEKQEQKQEEQKEQQEQQEGGQQGEGGQSGEGGQGTQTE >CAK59551 pep:novel supercontig:GCA_000165425.1:CT868003:126353:128967:1 gene:GSPATT00030185001 transcript:CAK59551 MDRSTHSNAARPASGNKDKNDLGKKEHVIAQLEQIVMERLKSTIKDIEVEQERQMKQKQD QGKNDQVAVALWSKIKGWATEQPFKRIANQITDNQKIPQEARQRFAKPFMDQQKLIMNIE QDKSKVKIKLNEHYQALAKSTQDNLNSVTASLGRLAQAGCCGKGEALRSFETEFRKIELD FIQWSSLPNLENNNVDIFVVKTNLNYEDFRQFLIKSLNDYFPSAAGETKIIEKPVDKIVY VDKPVDKIVYVDKPVEKIIYVDKPVEKKVIEYVEKVKEVPVYRDQPVQDDRLRIAHEMLY GIGRPQNVTEALNLYYELAEVNQDVNAFNIIAQVFSEGKIFPKDLNKAYTYWERSAAQQN AEGLYRIGRLLLDQVIDGQLLSQGKLQSRDEQKQEAVVFFQQASEQGHLDALVDLGEIFE HGLKSEDGESYIQEPNLESAEFYYTQGKKVRYPRAINAMGLFYYSHTDFQEKVVGNNYRK ALKYFEIAKDLGYANSLYWLAQCYEYGYGVGVNLEQAKSYYKEGALKGDVACRLQYMHFV MKDCSNSGRQEDYLFAHQYLIQIMIQNPEITEVYFYLGHLYECGFGVQKDPQNAIHYYFK GAKLKNPTCMTKLGDCYHSGFGVPQNQREALKFYKEAAELKDSEALINMGLIYEQGYEGV SIDFAKAFNAYEESSKLGNSKADFHLGLMYEAGKYVKKDVNYAIQRYQKSAQMGCQEARD LLKKKQIPMLYDDSVGGSTHQELGIHAANRDAIMLNQVIPQIQNPLVINQGKKTNQSIIA YKSQHQEMGEGKMVSILAHPNATQSNYAQSQYQKPGFQH >CAK59552 pep:novel supercontig:GCA_000165425.1:CT868003:129131:129818:1 gene:GSPATT00030186001 transcript:CAK59552 MQELEEFLEKQKDLNINMLTDILQTQSLIQHNLSIGLDSLKKCIENLPTKIVPKQGLQSR ITIHRQNQHQFILSQLNHKNFISYLNLEEEIKEIYRDRMFNLSVSLRDMNGDLIQDEDCP IFLRIYTSEKFPKEVDSNIRGKPIFKGQTKILFRGKGEFQRISITEVSSHFPSGKFLLVI FSEKSYIKPYIIDNLIVKAKKLLK >CAK59553 pep:novel supercontig:GCA_000165425.1:CT868003:130749:131681:1 gene:GSPATT00030187001 transcript:CAK59553 MKSKKREKSLVKELDAIRSLKNESIDLRELEDKMVKLIEKAAQFYQDQLDNNMAQFYFEY GSILVQILEQSQDSVENSLNCVEISRNDRELKNTQLAFENLEAAKTIIRNRLDKQNIEMY KLQDQLQCNSIQILIEIRSKINETELEMSLILIRIGDLQTWREDYKDGLLNFNAALDILK NSYNYKELSAVHFKIGNAILLQNENGCEKLSLNHLEQSFRYCYQQIYNRECDLDLKEKNL SKVVLDNDLLKMLLEKMEDTYIQLNEVNACQKEIEKWKSSEPILQQEIQYLGKISRQSNA INGIQIKRKI >CAK59554 pep:novel supercontig:GCA_000165425.1:CT868003:131731:132505:1 gene:GSPATT00030188001 transcript:CAK59554 MNINGLEKICNQATNFWKQQFISSSKQDQDVSTNVGSNILEEQSIFEISAKKTEQYLFPD IERYHLNIEKCEPQFPQSVIQQPQQLELEDNYEASCSQCSDCQSKRRDKKKSKSRSCKST KQRFTISQEAFIMEQTRKGTSFTDIAQQIPGSTVNQVKHHLLKKLKICNKNTDLQGDNIT EIILKIQQLQQNENIDNAVKIKELKEHISLVENHLHQTKQIILQKYMVLFQQQ >CAK59555 pep:novel supercontig:GCA_000165425.1:CT868003:132713:136164:-1 gene:GSPATT00030189001 transcript:CAK59555 MKLRIKLILMIVFLSLYYFLFSLVLLLGEYFDEEYRVESEKLSQGIYNETSLSKIRFARY YYGRSNRPNINSLYAISNIIYYIEDYQESDDDQSLLKIDWHFSNVTSLQILERNYPAQYE LLKYYSPLSKLILIYVQNNAFDHFHFLCQNGLGISFQGEDANSSNNWYDTSISNCKAYDD CYDYITQYSTQIAFPKLDLKNDVWRMRAGKEGYECKLHAQKKRELAIINSSRPVINSFLT NGNINIISDGQLLNSTLEDALQIWPNQTSDFTDQLYTLVTVPIEFRQWRMLYDGMGEQRV VFFSTYYFAHPNYPNITLTKEIITKCSMIYLQSMSLQYYLQLAGQNDQATTVLINEIIIA CALHVVLIFYFWRKGVVIALSLEVPINQLIKLTKVDMKYLEVQDFNLESYKDLFNNKEIR KFYEVIINYLNSIKYSNNKLLQGSKDMDEAEALIILSMSKRFYKKYYNNPAVGICANNIA KIHMRNQRYLEAMNEQEESVLIANQDLQSLKEMEQYANQAAQPQDDQQLIKLYLRLKNNV LQKFQRQEEKVMKEVIKDLESKTKNGIVRRPTTQKFKTQNETTQLKSSIESEAHLQRQQT PRQTSNWLRKPIQEEKNAIREENSDLPSERLDNLGTPKKLSPISKINSNEEYSKKQVIIE HKIVFRKYQLACILYNYSMTKSQSTFLNESVKQFNDIMDDLQNLPDSFSIQYMKINIITK KAFCFARAGMLEKARLELEDAEARLKILQANKTEIQQLEISDFVDIFREIPLEILREKLT GLEASLLMIRGEYVEACYKFISILKAEGHYDPGFQKFVLKTLTKIFKRCNVDHNCLIKFS QQNQLKRLELIFLLDYSSEMTNEQFTLSHHMCQNVVQSLNDDSFVGLYAFNESLHEIFPL QLKGTYKKLLESTIEKVLIKPGGEGNIFSALEITIASLFEKDKPEQSKQLSLHLKSNQIK QQIEQEEFRCSDMEFRCKTSSPRVGKTFSGGFSQAMKQYEEQQFDNVSLNENMVRIEDNL QQNQYKIDIDNIDEEKDEHTIRYVCIFSEGVHNYNQMSIDRLKSLIEKKNIHLLVFNIAN QNMNMLYLKQLAQVSSESRFFTNENEIKDFFSKLRTNDFTKRVYLEFF >CAK59556 pep:novel supercontig:GCA_000165425.1:CT868003:136431:138117:-1 gene:GSPATT00030190001 transcript:CAK59556 MNSLFLTLFSLIQAYQYYSYQEIVDTFKKLEIDHTDVFRLYYPTKDLDNLRYLDCGDEEC QFFYAEFAQNPNAADLPTVLIAAGFHGDEVIGQNVVTELAKYLTSESKLEFLNNRRILLY PMVNPYGYYHKVREEMGKDANRDFNVDNPSSICFQTNAARGLAQVYNKYIIQLGITFHGG DNSISYSWGTCNHKQNGMATESPDDSAMEMIARIMKDIAAENNNLQIQQYSIGRMTDLVY EVNGGYEDWAYAAGWDRTNTCGNRNSEEYLKPSSNARALTYLIEAGFLKQPKSNTLGTIE TTNQMLQNWPPLHFYDQKLLTKYQQGYGNINRNLMASLSLIDLAKPYLIAKWDGEKEMIL NFGGCYSVTKLTIIRSDKKSIIQDQNTTGIWDQFNKGYVLPNITKNDLFEISYQCDVKQF KTQRNPDPKLQPQSYFVQSRINDTYEYRFDQYLVQNTNKILYRINVSELNHTKINSLFET QQVSIYVTTQSEDHQDQQISPNQNNNKKEHIWWLLAIFIVLSFVLTILLYKYCSKKQEEE VMEMYTIQNEAKL >CAK59557 pep:novel supercontig:GCA_000165425.1:CT868003:138324:139095:1 gene:GSPATT00030191001 transcript:CAK59557 MIEEEYRPEDKASFKKKYKIFQTLASMIRQRGYFESTPAKLVEDETFEIFESKYRDNLPE KIVCFKRDDESDKLLIIFYTKQAPLTHEEAKKTIQDFSNRQVKRLIMIFPTDPFATKPQV VDKKARLFIDQVNSIQTYSFELFGEDDLVYDVTKHELVPQHIILNDREKELLLKKYGLVD NQLPRILQSDPIARFLGLHHGDVVKIIRKSETAGLYVTYRIAI >CAK59558 pep:novel supercontig:GCA_000165425.1:CT868003:139114:139533:-1 gene:GSPATT00030192001 transcript:CAK59558 MSSDLDLEIQSNFDSLFENYERLGTLDIKTKADVEKATKSPPSQIIQSDSPLDQEIGSAL RIKQRDIKAVQKESKFCRQRDASINKNTSQLNISKESIERKGILKNRDSFHSSSSDEKIT PKHVKFSTESSKFVHMALK >CAK59559 pep:novel supercontig:GCA_000165425.1:CT868003:141127:142217:1 gene:GSPATT00030193001 transcript:CAK59559 MSKQYKTINDLPDWVKMITGGIAGSVAEAITIPIDTAKVRLQIQKPDANGKYRYHGLLHT TRQIYSDEGVLSLFKGLTAGIQRQLVFASIRIGLYEPTRDFFCGKDFKGDPPLSKKIYAG LATGGIGISIASPFDVIKVRFQVDGNLPVEQRRYKNLTDAYIKIYKQDGLHGFWRGVTPN IIRNAVINCAELATFDHIKESLIKTGLFHEGLTCHFASSACAGFIAAVVGQPVDLIKTRV MNQNVGVLTVVSNIIKNEGLSNLYNGFSANAGRIITWNICMFVTLGQVRLYALNNFYKSP E >CAK59560 pep:novel supercontig:GCA_000165425.1:CT868003:142434:143358:-1 gene:GSPATT00030194001 transcript:CAK59560 MNQISKKIRKTRVKKKCIVESSKVVFCKIFAFLTPKEKLMCRFVCRMFNYYSIQSIESLN FQAQNTKMKAFKPFIAKCTNLKYLIYPCLYKRVQMTSLVGKKKRPQIREITHLCFRSYED IADLMKLNTGLRALELKFDFRGTNNPQISQEVQEILLNSSIEEIIIKEQQISDLALIELM KHKITCIKLEVRNDQNQLIEKLISIELKLKKLYLKITIVDARIVETLNFFLQQQLDLEVL YLDFKKGVDLTNHKKLKTILLENAFDLKSSMKFPTVLVDKLIIKSLTITHKDIKVFYFIN >CAK59561 pep:novel supercontig:GCA_000165425.1:CT868003:143715:144483:1 gene:GSPATT00030195001 transcript:CAK59561 MDIILIIFTLGYLVQHAGAYFLIKYIYEKKNISGLAFETQVMYFIGAVMRILYISDTRLL SFSLIWIELVISIVLSAYIFYLFHKYRHTRFHQIQNPYVSYQTIIPVCLVLSFFFHPGTK NENYFTVQMFVSMSMFIEACGLLPQIYVSKKIGSIEADISKYLVAMGFSRLLRLVFWVMN YMAGEKFVYLILADVIHTVIIADIMFIWIRDKKKGAILI >CAK59562 pep:novel supercontig:GCA_000165425.1:CT868003:145117:146379:-1 gene:GSPATT00030196001 transcript:CAK59562 MQQFEGEEEDFSKGRRIIKLNEDEESFVFPLIPDILDLIFQFLTPFQHLQMRLVSHQCNV VVLQCLKRFLVTQVQKGTFQQFNNFIKQFSSLTKIKLPPISSDQHKLCDEVNPQYLTQVI FHDFLNDSQAQQEYLKEFFQKYTAINSVGINKFLSLKWLPLENIKQLDLSSVMELKDKSF LEGCRSLVSLSFHVQEINNFFSTSEFPPLEQLKVLKIKLWGPTFKEEHYQNFLKFLESIK NLEILELLFCSYESNSVKQDVFNAFVTKLPQLRIFYAFSCYDQIQNTQFRHRYDYLVIEN NTYIKLKALDIPTLETIMAFTNILDITKCKLEEDNEKQIQQYLEKLRENTAQKNETILKV SFEQKHIKAEYVKFPKVQKNSEFTTFWNSGHYSI >CAK59563 pep:novel supercontig:GCA_000165425.1:CT868003:146689:147792:1 gene:GSPATT00030197001 transcript:CAK59563 MSISLSDVKLFIVKNKEPIIINISQGLESIFHLETLAEQSPININLPLVDTRNPLKVRVN NKFAQFNPSELESFQYYKLLLQNGQLVEGKISSNDDYALAFKIRQIPNPMTNNSSMLSNS QSVKNKLYSIERGTSEYQSASSLRHSIPEVSFSQAQRFTKEYLNTTEYNYNVPGTIGSGR KASFGYGSKQIAHAYVQRNAEQNPPPNAYFLGNPILFRKENKTNLPQDERWKNQNLVTLP GPASYETSNSIGTDKPAATIGIRFKPVITFKDSVPGPNSYEVNNRLIEQSRFNKISFGYG QKIDFTKNNQTPGPGAYDQKSVFKRNTNQIIKNLTQLRHNDS >CAK59564 pep:novel supercontig:GCA_000165425.1:CT868003:148249:148737:1 gene:GSPATT00030198001 transcript:CAK59564 MDKFGTSFQSVEGPTDGPNLKNARLVGVYIGANWSVPCQRFTPSLIEFYTKINEDIQQFE IIYIGMDENEEKYKETVTDMPWLFYDFKEFIKYQVYYEYKKHIQGKNKSNAIGVPCLLIL NPNNGQVITNQGRGTVEKEGQGAFEKWLTQMSFK >CAK59565 pep:novel supercontig:GCA_000165425.1:CT868003:148740:149146:-1 gene:GSPATT00030199001 transcript:CAK59565 MASQAIAKDLYTYTNDESLQLMIYSIKGNQVCKDQRKSFNLCRSTPLGKHVEPEFCKDSA LSLIDCFLGVQRNAKCNQQFQKVFDIAKTGQYAQESLEDYLKC >CAK59566 pep:novel supercontig:GCA_000165425.1:CT868003:149320:151768:1 gene:GSPATT00030200001 transcript:CAK59566 MQNYLHEQLCQEHQDRIVAVAFNDQNGLSQGLCLKCWPQSCNKKVVLVEDLLDMTKNIKE EYQQMDTETRKNIRTLYQLIQELEKLKAFMKQQINESIKNVNDWIRYVSDQDQCARTSLS VLNIDLNASLQNAQQQVQELQKEKEKFLQFIQQKLKELKDNDHFNSCNQLLTDIDKEKLD PPQIQSPESLVDKSMITQKNLFCQQHNNQGIIMVDLNKKPKIPKRLACVYCIQYNPTSYT AIQIAEKQYRKQEAQKIENLKKHSKISSKMLLVLYPKKKRRLNQKAETHLNNLNVDWETL KYDEVSEFAEKLSYNGHEDFSKSQIYLDFLKINQEVNKKINDAFTEIQCIQNSGETQIKQ LMNKDSLNSHEAQTDLNSKHNQKKENIQINKTQKIAQVKDANSNKQKNQPENTPTNTDQN IEGKSKKKNRSKEQNSNQDAQKRKISTINQKQSEIEKPISKVQKNQKRTDIEKVFSFLLN DRSIKQEDPCRSFAFNSNCSYLIAACDKSIKLFSFNTEHLIFLQSLNDHPSMIVCCTFLK GQNRFISGCLDGSMLIWSNCKENGSVWECEQTLNGHTDYLGHIIHGKVENMIISCSNDKT IRFWKKSQNWELKQILEGHNEYICSISLNESENKLISGAYDDQILISEPKGQDKKWTVIQ LIKVEWGRRLCFITDTLFTFQPCKKQLMHVYEQKDSTIGFIKSREIRVESKGDECFWLFP QQFSKKTGILINKNCHLVNIIRWRRKKKFYTDQVIFFGTKEIYGSMTDDGEWLVTWDDKS KEIQLRHLEIKLQVQDL >CAK59567 pep:novel supercontig:GCA_000165425.1:CT868003:151841:152254:1 gene:GSPATT00030201001 transcript:CAK59567 MQNKSFKDILGLQVVKVQLVQKPTKENKDEPIHYGVIVTTTEKQEKQEKQEKYLLHNGYK FGKIQDFILTSAHNLSNNWEITQNYELETPIKLNILFQAGCCGQNMRYHSQMNNSKHAQD RIVKMVNDLINSKQNIG >CAK59568 pep:novel supercontig:GCA_000165425.1:CT868003:152572:153765:-1 gene:GSPATT00030202001 transcript:CAK59568 MKNNEQISFENVALKTSPENETVVELEFQQTQSETQLDQILEEQRFNEMLKQCEQKRIEK LQRYLINNLQKIISEYLKNFRIDYLQQEKLLIFLTQYTEQSNTLVCNDNEKLKKIKQRTQ KWNKFEQQLVYYSSINISQYYVDKSIDCRWKLLFTYYIIIIGTNITLNLIELIRYQQSFC RLQGLDHSLIYINQIAYIACKVGILNIIYQEFTNTLPIKVKVPLLNQVVFSCIFPILSMP LYIFDFVQCQYRIKIFTLDQSLKLLNLIDWLILSLFILIIIIQSCCRIQISSQALQKVMQ ELHIQQEIHEDSLLHFLNYWQSFENIMPAMIMENYYFIFSVVLMCYLSIQKYFFHKIPFQ QNSQDIENHKNPRSIKS >CAK59569 pep:novel supercontig:GCA_000165425.1:CT868003:154330:155174:1 gene:GSPATT00030203001 transcript:CAK59569 MKSLYNEMDKILPQTKTTGALWLGNIKAAQNIVRLNQENIKTVLTIANNTNLSYPQHQRV RHKVFEIKDNDNVNILDLIEITNQYIEESLQQGSVLVHCMAGISRSVSCVIAYLIHKNNW NYEQAFKFVKTKRNCSKPNEGFKKQLIQYAEQKLPNSQTHDNQEKLLNDLRRKLYLSPDD VVKKTQLVKSPQKQITSLNQNSTAEEKQQYRQQMAQKLFVSTFFKDSLHKNSYSLTQNKI RTAQPVTKRAQLSMYRSIQESDFGFLSDRLNIA >CAK59570 pep:novel supercontig:GCA_000165425.1:CT868003:155341:157073:-1 gene:GSPATT00030204001 transcript:CAK59570 MFQKFISQLGTIKNAEIPFYFRNQFKIKENFINPNSKYFDGIAQKLIQEEKQSKKRKWDK QCKMMFIWVLSKYFQQKNEKIINPNKEEWVELASILQTDETILKQRWITLINPVQKSINW DSQEDEIIRSLMNEQDEKHIWTFIALELYNKNSGLFIRTPKQVRERWMNYLNPKLNKANW SQTEDLQLLTNIVKNGKRWSQLSAALTGRTENQVKNRFKSLMQKIFKDEDDDELDELQAI QDYLKKLGVSSDQVTGDPLIQKNQSSQQFKCKPKKLHNQSKHLKKINKKKKLSKNHLKSL KNITESNKQEFDIQINQINLKENNENKLNVQNSGLSNDFHHSDQRLQPQQQIQIPEINSI QTPYALNNLFLPIFQQQQQQQQQFDLQQQNQNQLFYQSYRNQMEEFHQLQYKMMMEQYMK QFQSTYNTNTPISMINQTPMTYTPTQQFQFYQNTFTSPQWNCSSNLDNNSPKQQKFYWQA QQQNYDQFQNEQIRQIQFNNKLEFLNSNNIVDDWKRKRVNQKQHSQFQFMDSLDQ >CAK59571 pep:novel supercontig:GCA_000165425.1:CT868003:157343:158589:-1 gene:GSPATT00030205001 transcript:CAK59571 MSEEDEVICEQCQTVPTQFVILDCEHKFCLYCISHAIHRDDSGVPCLKCNAPTVLDHESL QAVQQTKESQTQVSKTQPNYNVKPFTTEKRQHVQPLQEDPTIKGHPCLEKLNLAVERSLD KIRNIQFDKQQVKDKCQTQKSDIDTEFKALHMYLDSKQEEFQNQLSNIETTYLNELTKDE EVQQLDIEEMSIIKNEIKAILKNQDSQDIYTFKQLVATVDGIVQDKQLSIKQLNLFKQMQ DEMQQLSKKQAQFYQDFRRFFNILQPLQISLVQNYASPIKIEYGGSITSDIQDKIRELKN RNSRSINSSQLNNSRSQNSPPKNTFLNEEINISRKLSREQVNVVSQRKLGTSPMDAKTVL KSSMKHNNQSNSKFNKILNSPLALAGFSYLT >CAK59572 pep:novel supercontig:GCA_000165425.1:CT868003:158656:160514:1 gene:GSPATT00030206001 transcript:CAK59572 MQNQYFVKGLGFSQKQEPQETRSYGRIKKTPYSAYNNRSYNLNYHDIRMCQHHVKKMVVI LNNAIKNQAATMFKYLRQFCQLELEEIKAQLRVIQEGLLRFQHAISLYNQRLKGTGFFYI AKVDVMSHLKKELRNQHSLQYQPLTHQIFRKWLKLTLELKLNRVLKQQQQNMIGFYKFTL CKIITKQDSLKVWSFNKLRITQRKSKLNRLIINLGLQYLRLNLYNAEDATYQYLRKPHLL QQIEAFQKIKHYKYFNSNQHYFILILVNKFNSKLHVLRTLFFSSIKRVDHHIEYVVPNEQ KQQFDIELARQLVHCKLEHQKLQQRFIKKSNLHAPIGIFESIKTIFYKSMLPCFIRIRGS LKQSQAQDIEVQDGISKNLSILASKLSSKIKTKNRKSQNLDINLRLETIFRKNYMHERKL ILNSLKKWQMITFPVQLYHDYEMRLKVLQNEKEVIMTDIEQLEILNNELMNTMNEVKKTF LSDESNLNTSKKNDQKQIEIQRFDIQDNLTSQKEIKIAFEYVDYLKEDNIDLKKQVQLNE QLMMNEIQQLEQELQELKNQLSN >CAK59573 pep:novel supercontig:GCA_000165425.1:CT868003:160593:161201:1 gene:GSPATT00030207001 transcript:CAK59573 MQIRQQQSCYYNSNSLRLKSRVMLNPIVQSTSDIKSPVNISQTSKVQDQQLQLQKGLNNV IDETIQSNSNCHSNRNQKETFFIVKTSRINDGKDQNQKVHSTSLQKSQPKGILKQKSKLT QQNFEIKSLDTNAISLLNTKRTKLQKQKPSLPSQVSFHPFFAENNSPKRVSFNNQVYFKM IDEYISIKPKRYVLADLNDKID >CAK59574 pep:novel supercontig:GCA_000165425.1:CT868003:162360:162790:-1 gene:GSPATT00030208001 transcript:CAK59574 MSCIIQLTYRAKRSLSLNPNRQLPNRIVLPKLFVERKRAISMDNCTKNQKAVIHQNKAIT QSPKIIAKSVKSDFNYLKLSQCFKQSVILEKQQNKSTTQRKLKQMAINQNDFCYAGFEST DTDNEDFSLEAYLKRQ >CAK59575 pep:novel supercontig:GCA_000165425.1:CT868003:163532:166074:-1 gene:GSPATT00030209001 transcript:CAK59575 MPAECVKVIVRMRPFNQREKENGSKPCVIVYEDTNTVELRNTQDNDVKSYTYDYVFGAET PQLSIYQKTAFNLVESVADGYNGTIFAYGQTGCGKTFTMIGDPSNETMKGIIPRTFDQII SIINNNSDTNKKFLLRCSYIEIYNEEIHDLLSKDVKQKYELKEGQQGVFIKDLNIAVVRT TQEMDRYMQLGTQNRSVGATAMNKESSRSHCIFTVYIECSVTDPKGNERITAGKLNLVDL AGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDGKTQHIPYRDSKLTRLLQDSLG GNTKTIMITAISPSDFNFDETLSSLRYASRAKMIKNQPKVNEDPKDALLKEQAEEIKRLK EMLSKQAAGQPISFDILQPAGNSGNNQELARLKEENDRLKERSKQQVSAAGGGPSEEKLK ELHEFKEKNNQLLQEKERFELEVKEKEYQAEQERQARKRLEDLLKEKEQMMVQGGKGTED EKKKYKKLRQAIDQSKKEHEALITQQEQQQQELLQIETKYQNVQEEVDKLRKLVKYLRKK LEEAQNEQKDLKQEVEYEKEDLLDTIRSQEKEIKLYSGIVKMMFNQTELESLQAACEWDD DSQEYKIPPFNFKAKKVNFPNLPYKQAIDLIEQEKADRMLEINSRQTDKNSYNDSEYNQR MTSPMPQRKESQQKQNGTSQPQLDRAQLVAEKIKMNYQMLEEKEGKQRYSNEVSNPQFNE KKPNSKVILSPIDNRGNTVPNFNSQYGFNSNTNNSNLSQATPPTNKKNVNLQPLESKPQL PLPDEQQRRKQHQRVQQI >CAK59576 pep:novel supercontig:GCA_000165425.1:CT868003:167633:170049:-1 gene:GSPATT00030210001 transcript:CAK59576 MNTANKATRVTLLLANVCCRILFFYFFWLASVDGDKSLQNRQYAFINEMWAWEQYPFSSI QAYSSSECPEDWVLLTSFDWPGTKEHQNSKGELVPKQSPETFHYWSTTEYETAFILCGQQ IKQNVIQTVTSTETCEDRNMKDCGIDQMYFCIDSDLTCPINSISLEMNDGKIQVITTNKE TNNQNMPISLIRIDSYIPCATKNETNAQPEVEYDENLDQQPQSCLELDKEYVEANSITDQ LYFQINNAGDIFIDSDGTKTLFYKQYPFWNQQCNGEMFLIAQKQQDTLLSYRQTEYANFV LVIAYLLICNYGIPMIPYIFRCVGPLSFKTLTYLDYLLRLALSCTMTYLSFVNLDYGKQL LDSLDQLWGMQCIKDEEVVIQDQYRLFMVDLQIKLKIKELWILQQQHFHLCYGRSKDHCC GCWGVRKPSNNANVGIGGQRLRRMSKMLLIHQIKVNRYDDHLFIQFYQQMFKFGQEKFTK KSLPKNFADQILNLEMEIELNDQVQIELIQDLISLYMVIILHHPIQEGVEYYESIKDRRH LYFQRKLNALMLKPTFINATNKFEESQKPKDDNQVKRAKFQEQTKRIELDLIYSQTESKE AQVKGIVDSHTNQVMKIESLIKNEIANQSDAFQMRLERRRKSKLTHSLSQPEIDLQSQKS GNSNKKKQIELHEQVGKHSVISFVVQIKQIIHEEDETAATRKDSTLQKNQEKKWEIIHSA SIIQENKKRTNSLIQRRRSVFLTTKQMTSIKRSWSCGATKPLEEEPPKKDKKE >CAK59577 pep:novel supercontig:GCA_000165425.1:CT868003:170117:171393:-1 gene:GSPATT00030211001 transcript:CAK59577 MFHQSQSLNNLRKDYRLKPLQIAGRYPESADFNNKSKFFLPQIRMIEQSSVFTEKQKQLR LPQLQNHLAASDQLQRKKIEIRKSITPKYQRRQTAIYAMRTKAGCQINKATKINQDSAII CPKVLENIGYKLFAVSDGHGLNGHHVSNFIKQTLPKHLHKYLGDNQGETKLQIARAFTIT NREIWNSDTDTNLSGSTTASVLITKDIIYTANVGDSRAILCKFDQVWQIVPLTRDHKPDD PEEIKNIIDAGGRVEQQRDYHGNPIGPFRVWLQYIQAPGLAMSRSFGDKVGAQAGVTAIP EIKEFALTNHDQFIIVASDGVWEYMSNEEVMSLVIPYFEKDNPEHAAEKVVSEAIQAWRR QETIQLALQSFYDQNKQQIQ >CAK59578 pep:novel supercontig:GCA_000165425.1:CT868003:171420:171833:-1 gene:GSPATT00030212001 transcript:CAK59578 MTEEISITFDEQNKIRVLDAEKYRETEQLKIESMDFIKKVLALDEVVQNLNETLEEYSKK IEIEKLRAIGERNKVETEQENRKKKLMELSNILNERKAELDRYQIELDSLQKVEQDQRIL IEKLSNNEA >CAK59579 pep:novel supercontig:GCA_000165425.1:CT868003:171845:172576:1 gene:GSPATT00030213001 transcript:CAK59579 MNLQCEQPFQYKKLRSQSLSPYNNSNFIKQLKKSLHYPPQKTMELQSFEYLQNQEQIETN IIGSDSMKYYCKTIKSRKNSLIFETDSYPINESAQQSVAINQFNHCTPKVIIVKNLQQKI TRRKQPPTNPINPQDCNDEETIQHSIVVQSQNNGSTIETKRENITILPQIKKSKILMGEE QPIKRSARYEQLMKKTCDDQLHELQSLIKKMQKQPIIKKEKKVTFLI >CAK59580 pep:novel supercontig:GCA_000165425.1:CT868003:172785:174007:-1 gene:GSPATT00030214001 transcript:CAK59580 MDNQISNRKCQQHDENVIATSLNLQCDEQNRYFCSKCLVLKINDRAIHTNTDAQERIITM KSEFELKTQNLTKQNIEVLKQFSENILALKNLLATTLDEINSTIENEIKRLQNVQKQIEE NIKFIDIYNFDKIEEAQKLLEQQFSSFRTAINSQITKMESNLKMDSYLKYLDELKDEVQA LIWYKSELEPSDENFIATPGLKIFCQKHKTEIIAFDLNPQRAKENRLACIYCIEQNTIPY TSLIRVIEKWKKYEELKKSKLLSIQQRHQENQSTIIQQLDLIHSTSQQKNEELTQSLKEF CNFIDGSVNKIIRSMGRNWANQSQKEILDNVDVLSKSIYNDEVILTEDNYYQKEENDINK LVSDSIEKIKETCLQCCLKIQSTIRVTNNLRDSITQQT >CAK59581 pep:novel supercontig:GCA_000165425.1:CT868003:174119:176541:1 gene:GSPATT00030215001 transcript:CAK59581 MSNYKEENICMIHHSQIVAINMDLNSDCQLRRYCSKCLLEKTYDGTIYINSEVQEHIQKI KEEYLEKKAYFLKHNVGTIESLQNAIQNIKVTFSYSLDKIFENLSTEKIRLQNQYFNIEE TLNGVDLNNFHYFKLQSNENLFQIESFKSLIKASIDQIKNNESLQFCNQQIENLQEDDEV LTFTRGFNSQESNVIATPSLKILCQMHKKQIIAFDMNINRAKENRMACIQCIEEIPNSYT SLKTVQDKWKKFEEFKKNKYQQILEQNKENKDQILEQLKIISEAHNEMNLQITQYLNDYC QSLNQEMQQIFSQMGKNWAICQQKEIFDNIEKLITPTCNQEFSKNISEHYANEEKFVNLN VFDSIKKIRETLKESFYQISKMIKINSNLQIKSKEIQICEKLQSIRPPFSMNSKQVELSL SIESPRKLQVTKDVVQMSLKIKKFKLNLVPKSVSLSLQITLPSKLKIDKQQIQISMVSKQ QKIRFENIIEGQKVTQDQNCYALIFDHTNNLLVAGCGQNIQTFKFNSGSFQSQSVFGQHY SNVTALCFIKFNQFISGDAKGQLQFWKEESNSWKQYQKLSSEHFEQINQILYSKKFNQII TCSNDKNITVLENKNQQVNIWACAQTISSHQSNVCSISLNDSETTLISSGSDKQLQIYSR QINFNLIQTIKVEDIIYRLQFIEDSSFCAQIQTGIHLNFYNFNKNQEQYILNQTAEVQNQ KSCSQGFPIGICKKHSMILCKHGTYINVLKKMNNQIYRTEQSINFDTMYLYGALTSDGNY LVTWDYRSKQFQVRQLIVN >CAK59582 pep:novel supercontig:GCA_000165425.1:CT868003:176586:177856:-1 gene:GSPATT00030216001 transcript:CAK59582 MKYHKVKWDQVPIVDEASQNYIVQLNNRNHFHNFPTNQWFKRRSDQVKPQYLYYPEERKL QMELKQIFRTLDCNNEGVLTLAHLFMLFKHYGYGVTVNDLSTIYQFIDYNKDKALSLDEF KALTHNQEALSAFRIMMLKILKTLEYDEKYVPLSVGAMLSHLSFLVQREQQLKMILSEQS ISNKFQAFRQLIQETEKKTIADEAYSLFLKAQAEFYRKLKRQNSVDLTIKLRKSFSTVNG LQPLLFKNMLMKDASELQDLAKMVKMQSKVNYETFQTVTESLEENSLSNIESSDLQLVVK QAQKIGKLQAHQEIRELLTQRELPKVVSYHKKQLSVVTEDLPPVQFNFKKCHSTKNKSLL ERQLKLQKIIPLEELSQFNFLSSSRSNKNYSSTQDHSQGGWSNSILSQKLPNLQ >CAK59583 pep:novel supercontig:GCA_000165425.1:CT868003:177865:179518:1 gene:GSPATT00030217001 transcript:CAK59583 MMKFFIVSILFTTLLSCQVYYNSDIRFDFSNAQANNLAYGNDGKSLFITLCGLLNYKCEK SKSTRILEETKSDSSNTPTTNTETTNTNTSTNENKTNTDTVIDKNQDGNIDKSDTTTSTD TNTPAIDDQKSESQVIENKTNDEKNDKDDDANELKYVNNDKTQSLIVAQKNTCTPYSEQS NVDPNQLTLLVENKPFQGLVIERRGIVEKETFKLKLKCSESDVMTIEDTDKDGYLFILTT SSACPILVYNPITQFFMDFKLLFVVILWVVGIFLLLLGYQLAQLSSMILAVLMGFGFTTI VIGEATLNSDSSYAATWVVVGSGVLVAFIYFNASMQRFFFLLFNFGFSVGVILSLMIQSL FYYSIETDLMFFPLTISIIVSGGILGLLGLRYNLQMGIVSTSLVGAYCLIRPIGFLAGGY PNELLLTKKAEYGFDIDIEYSVYAYNAVIILVAIFFGIFQRRQHLKRVALDDQLQADIRY YEMGNVEDEEKQKRQSKNSDKNVTVIFAKHLENQGEELQDKKRHSHLTGSDIND >CAK59584 pep:novel supercontig:GCA_000165425.1:CT868003:180721:182107:1 gene:GSPATT00030218001 transcript:CAK59584 MSEQILEQVLEQRLGFGKHYWRQFFIISFIDFLDGAEFLYLALLIPAIKQEWNLSIMELS IFGSSFTLGLTIGSIICGFLADRMGRKTILIIGTVFQVFYLFVFLTVFTNNIIEMILLRL IYGTVIGMNLPISSILMIEVTPKLHRGKIIVALQVLILLGRGWIMILGYIFMESISKGNW RAISLCNSVPCLLCIIGTIFWIQESPRFLILNEEIQKGIDNLNQIGQFNNSEYQMLTEDE IQSLKEWAQLQKQHHQQKENNSIKQLFNQDNLAITWMNYSINFLFMFAMMAIFNILPFIL DDENKTLLHLYILDIGEIPAIFVMLYSIDKFGRLSTLLFSTSVLTLILFSTWHWKLYIII TGLAIFKFCCKINCGTLNVLFAESYHTLYRSLGLGTTNAMGGIAGSLAPFVVFPIYFYNY YLPFLICGVFSLFTLILLIFYPIDLTKKPLDQLKQK >CAK59585 pep:novel supercontig:GCA_000165425.1:CT868003:182144:183785:1 gene:GSPATT00030219001 transcript:CAK59585 MDLKCLQCGKNQISHWCLDKFCQNNLICDQCSFRHGNPERIYKEDHPNIINTENQKFKIK TQVRKMMKQMLIIIDRMNQYYIYIEEQFIEVNSEKKIETYQNRLKLYSKFLQFNPQIQSA LFNLNNQLDNYDFPKSKKVDQNQQKQLDICKTLLSEKQYAKASEEISRLNHEESKSLQIE CQILQKNLKYALELSTKALQSPPTESTQKLNLQLQQVKILHKQQQYGQALKILQTIDNNN NNNNNRDKQKFQLQLGMKLIKFKEKLQQNVAFLTQDVRRSKISKSLNNNKYKQVKIQDRK ETLIMIMNLNWHLQRPIFSQLLKIEIQKIVPNQLMGIQVIFHLSLQTQIKKFKITKTAKI HKQLFSQKFKKLKESEDIYKEILSKEPTNQKALYGLSQILIRKREYIEARELLYQLIQLN PKYRKAEKLLLFIKLKLLDQQQDDIEDQFSSIDGCLGYLYQCCFQRIGGRVHPEL >CAK59586 pep:novel supercontig:GCA_000165425.1:CT868003:183822:185311:1 gene:GSPATT00030220001 transcript:CAK59586 MEIEQVIFSCEVIRRHLIVNKHYCLQLLNNRILIRENLEHPAKYQIELNLKGQISWKLAD DHKIKEFGVKYNNKLKWFTGISEQLHQLKHLISNKIFMTGISIFYSSHELLGTGASCKVI LIKDYATHLCYAAKCVSKDYIRKKKTSDRFDRLVNEIEILRTLKSHPNLIKLQELYEGEN SYYLIFDYLEGETLHKYVKNNGHLMTEPTVRSILQQLLLGIKMCHQQNIIHRDMKLENVV LTKTNKPENIKIIDFGLAIFNTQNHPFSICGTPGYIAPEILNYEDNKYKSEQFIYTPQID MFGIGAMLYRIMTREPLFNADKTKELLHKNQKCLFIKRTISQYSYILNQILFGLLEFNPK KRFSADQALELLQSQQINQITLKHESNRNINLSQEFQIPTDLDVIQIPYLFPNRKIKKEM GFYHSYHINEDIIQGFRKSYLPSFSIRQSLDVDHDSLKEETDRDQVLEL >CAK59587 pep:novel supercontig:GCA_000165425.1:CT868003:185403:187197:1 gene:GSPATT00030221001 transcript:CAK59587 MNELQFLQYRYQLRIREQKSAKDLWLSTYCCFLYMLLKAFNITGKMAMVQLYDFIYSTNF PLDPDPGLVSFGNIQWFCYEMAAPFSLPMIPMLLQKVQFRYIFFFSSFGSILFIFPLQFA TICKDSQVSICNIYVMWTFTIVFSFISGFSKTLLLFSMLYYLSNLAGGREKIIYYSSFYF IHQFQWLIGSLIGNLLVHFCSRGSLVETLKHVYIILIVSSLFLCMLFLTIPDEKKKSKFK KFNKIITQLLIQNGKENQKVLRLELQKMNQKNQTNQLYNKLIDGEAEAEADEKNKIKQIM DSQVQMMQTQQFFTIQSFSYEENNLQDILSEKPKSSEDLNWIYASQKTISIEEYVKNNYF GNLYLTLSVLCLDGFYNFIILIFNVGSMLSFLLLQLPNLLTINSVLDPEVRAATIYEGFL YVGIGQIFGCFYMGLFGDFSQKITQGILQQNRGLYYILGVYQLGCFLAWGIYIFQIDFLI FSMSFILGFTCSAMMSTTISFQIVYFHKFIYSVDLMYIIFSFSFSVTSILYIITNFFGKF NGLILLQISGIMGLLSIWRIHKKLKAKLNK >CAK59588 pep:novel supercontig:GCA_000165425.1:CT868003:187197:188085:-1 gene:GSPATT00030222001 transcript:CAK59588 MISKIPLRLLRTIKPMNVTRVFAPFCTQLEKVNKTGQKLVKIVEKELKYEKTNYVEDDTA IQFVEKAGFQLVDSDSNHEITLEKQVGDVKVIVQFQQRQPNTDEADEEEPEEQNNKQQNQ EEEEQQESHNDYADFTVYLQKSNGQILCYECTTSQGEVNVNLVSLIKDLEAHKKIPRFER GLQDYSGPDFITLDERLQLALVDYLKTFGINDELGAFIEHYSLDKEQRLYIQWLDSLTTF LKN >CAK59589 pep:novel supercontig:GCA_000165425.1:CT868003:188579:189789:-1 gene:GSPATT00030223001 transcript:CAK59589 MKKSMGQSYCKIQAEFRQPRPQLMEVQSMTVIANQSTFMIILQDGAVKFSYKEYLERQKG YIKEKKASQSDNNIRKIAPIKLENQKGTNSSPRFRIKFVTSPQSMNRTTLSKSPQISKFR NRKIPFESDYICETEIQIQTSRIDRAFQQTDIDESTMLSIKSPTGQENRFENSSVMKTRN VINSTRDENLLNEQIKPAKRTKKQLRQCLLRALKKLKEMNITTKMMIQKQIFSKKPYQKL YSQEFIHAVKLNQLEKVRQYLDKNQYLVFDFDFFNMSALHWSSKKGLYEMSELLIKYHAD VDSIDIMNRTPLYLAIQENNIPLIELLLRNKAYPWSTSLTDLGEVVKDNKKVRKILTLIR RLDIINMWGEKKLKEEFF >CAK59590 pep:novel supercontig:GCA_000165425.1:CT868003:190826:192682:1 gene:GSPATT00030224001 transcript:CAK59590 MNQFESNQTGGSSDSSSNKLKEQKILMTLSFLTLKNIVFPKILNHLFFSEQKGRIKIRKT DQLLKLLTKTYNVDLIEKFSIEMPEYTELELIIKILQLCLENLGSLTEEILSSKAFYAFY YKLYDYQKKKQSNNTYNNIIFEYVQQIIQQTPNIQVITEDFRYFIYFKAQMIAIMQALRL GIPLTSLLLCTKFYMNRDTQQFFIEFLITDEVQLSNFNYLMNIDQLINQNNLSLSDMNYY IEKIFPRYEDELIETNFNIFQKNLDLILEQKQYLMIDLQHLVESKKFIELYSVLAKYRHQ VDQAEIFYKGLSQIIQYCQKTEKSILDFSPVNIPSVIKKNFFRTHEKKELIQQQQIESLL IFNKIMTEMIKFRFPFPIIITYLDLNIDNISISISKELIIQCQQDKVFNLFLALVHYNFQ TLAKSQTYSKAFYHSQMAKQEMQIKEIIFKMLEIIREFQNLLKNDLEKILNEEDTKYKIH NFINQFQKTKIDQNSIDQKIASTLLELIQFDQSKVVDMNNEIQLKQQLQSIIQEIEYKTT KQPYQERRLGRPPGFYQHQQYVQKRVRQKAQYQQRLIQQPMCQYQISKVKKINKLTSEQQ LMEELESILV >CAK59591 pep:novel supercontig:GCA_000165425.1:CT868003:192897:196040:1 gene:GSPATT00030225001 transcript:CAK59591 MLISKSLHNLTKDRDQRDSHQNLQKRYIRKIFDCRTNQELMGGQTNPQNCQLKPSFYQVF PHQPNDLQSNDEMKVPTLTNVASYKNNDQQINKEQSKKVMSVQEDNSLLSPSVNVMMNSH LLSETKKQSYDNGRLRVILTQVKQRLLNSIHFSKYNDPLVTHEFKEGTTNRIINLVSPFY YYYFTLFMFLTTFISNFFTIILIPLHKVFHLQESVSQIFYYFKIFAILLDLYFQRGPYKL FRGKITQNYKNQNKVFLDLFRLIILLINYNLNLESRLLTILLIVILILVDMIRIAEPFEN LYISTQYIVMIIQLWASIIVSLTCTLKKYLTMKMINPCPYIQVTQFPSQPIMDTFVNMQC HLALTLNEDNRIVVSVFSLICYFCYVYTLVLLWIWMKPNIEIQEEKQKLLKGFIKHFQEK CKDQDLLRRCYSYLDYRIDEDLGRAKEQLMKKLSPSLQDEIDLALRTKMLERIELMHKFS PQFKQQLLYMIEQVTFNPEDNVLIEHQAEDLSLYYILKGEVKVQFQGSSLANNKSSVTKL VEGQTFGQHSFISGIPSNISIFSCGVTTLMKLKRSDFIEILSHYPKDNERFSMMRDNSLY NQSLFDCYYCKIQGDYIVECRHLQYFPQRQNVLERYLYSRKQHRKPMVRKQKRYLTLRNL NRNQERLRSIINHKQSQEAISEEFPDISQLPYSENQINSASQMSNSNPFLKASQDNYVSG SNFNGDNIEQQQQNNEEEEADEVGITIPKIQARRKMDDSHKNIHRTAGFMGNLGNGSVLD KDEKEILLQLQDTSNKDAKQFVLNQANRNSPNMLTFQYQKDTSPQIQTDYLFLELNQQQQ NQDHNQKGTLGQLSNRSRTCTNSFSRDISNNQSSNSRQNRSLKQSRDKVRKTNSINTLSD DNKLRQKSGTKQSNLGQQSAFQALATPENQELILNDVIFNQFECMMKYKIYYPHNNFNCV IQRYKNQFEMSNFKIKKFNYCGYSLKCFVASKIKRIQKLIRRQ >CAK59592 pep:novel supercontig:GCA_000165425.1:CT868003:196109:197469:1 gene:GSPATT00030226001 transcript:CAK59592 MITYLTILAGIITFLQAECTPSIMNLLNLDQNYQQISEEFDFNQEVSFSFWFKYEPKTKI QTQYFYGSSTEGEQVASGSLLFRLYEEQAKLFRIFTYLDTNNQNLQTMIINADQNISSTE TFNLNFLDGIWQYKFYKIVPVDRKIYHFIYNYMISSYTTSQTKFDIEQTKFQLSIGGQGY FSDYYQNLYFNAFPGWISSINVFQGSLDITPPSFKTNLDTCIKSVLVCDPKQQKLISGIQ TSQTQILKSNFKLENTFEFQAWYKLSINNANKIYHMVQIRDENITTFNIIDSRNLLLDLR CVVLDGGVNVEIQLTLQSYEQQLYYSKEEQVKVDSTVILVSQWHFITIMYDRELTQQTGI DVYFPSTLSKLEKRYSNLILFFTGSDLFFYFGSNNKLSQYFSGQIGEIKINSCIKNKPYT FELCNIF >CAK59593 pep:novel supercontig:GCA_000165425.1:CT868003:197581:205363:1 gene:GSPATT00030227001 transcript:CAK59593 MPLQGKLLLICFSKEMQGYLDCLQLEIKIGDFFSIQEIKQEQTQCYYGQFLVNYKDQVRC VDCPFSQIGTKVLCGDCLENQQIWQHSMKCTYKYEVNDPLTLGSTYMRAESEPQQYIMDI NSGSINICIGCSEICDDEDCQPYKYTNFGQLIKVRCKPNYYFQDGECRLCDQFCNICLNQ VCIQCQSNYYFNSIFQKCLLSTSASQQCGFNCLNCIQQENSNRCLICKENYKISDDGQNC ETYELTDCLNEHNKQGVRSSIPYLFDPLTQFITQPYCSFCTKNNFDTVANKCTDEPALPT TCSYYIDKTTCLFGTDSTLVKTTPACTSPCTMCIKDNADFTQEFCVQCQYGYYIDLLSGT CMPCPSNCKDCAYNLLPLNKDRISISLISFYQQGVLKQYLDSLLKIPQDLFELLCKDCQA GYVTNNGVCINECPQNCEECQAINNKNVCIKCVPTTQTILDANCLECPSNCLACSERTQE QILKINPFFDLSITTFKKHSNQCYKPTKTKPVMSNQIALDCKTIENCYKSFSIQINLFCW EDEFQASYDSQVNKDYYYIYNYFIDNLVSDFELNFLNQQFLEQLNQYQIQQISIDIKFIS STGECSFENDKIILNQKFIKYIFNLQRVNLKFYSEDIMSFHLPDLLQLQDYSTLIFQNII FIGNNNITVIDATSQNSHFQIQIHDCQYINFYNDWMNAAFQFKFQQVQTIIIQNFKIQNV KLFNFGIFNIKVLAPDFSLEVNQLQILDSAFTNLNIFDIQNPSNFDLNIKFEDIMIKASL YNSSFIISRNSDKLIGVLNLLKFNLVESHLYGSKYLFDLRTQTTNLVDCLLESTTLYDEA SLMYSFGFNLQNVKITKSTIYFGTFFTNYDENYYQINQDLALTWKQLSFEQISYSNYNQF IIIKNNTSTEIDIQDFSIKDSLKKSNYLKSLVYLEGKKLVINDLKIIKLTGIIELSLQFD SIEIRKSVITQKAEAQIIIQPSLSCLHNYYDLQLYTPIIQVVNTRSVLIDSLQISSLSVV DNYMIGFEENDGNKITVTIQNSQFFDNNILVESTSVNSGIIKFISNSESTIAFQYSQAAN NILYSYSSDQNSLLGTVLMISNLFGSIVINNNQFINNQAINTTFSISYFYAQQVTVKDNS FKNNNFDDLELIYNKISDSYGEEVYIEQIKENYKILSNGGNGYYRGDNILIQNCIFDSST ALYGGGLYIQTINKGRININNCQFLNLKTDLSANLEGMGGALVIDGSTAEIKLTLHDCTF DKVMAKVFGGAIYFDVSQKLNNIEIKNLKLKNVMSLMGSFIYALFSSQDISNLFVDNVAW EMDQSVILQYFGEFKGFKSDYSMQIKEKSMLVVTQNGNIKLQNLQIFSTYYFGLISMIDC IRCTVHNILINQPKRMYYNAFYFGNNNLYSSSYIILNQIYFDTLTVFPYSSTTNCKSLLD MKVESAHINCNLKRFLRRGIQSAISNTKFSDNGDCNLNLLLQYSQSSQGVSVMDIFHGYQ LDGYKMSHVQHFKSITIISSAYDYLDATFDIAMNVYSQYNKTLYFSDIFSIQNTAFQHVR ISGTPEKQRLLQQSSGSSLRYPIYLQNFINHLGNFWSYSGFLIYNYQAMVFNFIITNMTQ SVSYIMEANNAQNNYHFYINTLVTDNVLQAASLSNPLRTGGNPITPELIMSNTIILFNYR KDYTALSNIVELRSKRLAFKYDGVNYLRAVEKLKTDTKIIEEVYVKPYKAPGDSNLTKYL MLLPPSGQSFENYSYFDKLTEAYIPLNINMSITLMNSQLEKTVLYTGAQYNLTAKYRIMN QSNPMENTTFTDLSTIQVTDSTKNEKFINELVIDFDPYSDPSLYYQLSFSCNYILIFTYS DSFPYKMKNTITDYELRMNIRTYKCQVGEYIYLNQCRQCDQTQNYFQVLEGQSFCYFKDD VSTIQVQRFTVQMKPNYWRYVFNSTKIEYCYHFPTNCLGGWKYGDQTCIEGHIGALCEQC DLYNTRGDGSFSVSSQYNCGSCEDVKWNIIIIVIIALFTLILGIITVKSNADDTDSFNLQ VKALEAFGIPTSIRIMSTILIKLLTNYAQIISSVFTFQLTLPPEIQQSTNSVGNPTQSMV YSLDCFLISMSDMTIMYLEFIWMVATPFFFIFIIYFVYFFIVILKISKFNMGVISTTLIY FYIYMQPNLIQTMISQLSRRSISGVEFVQGNVAYLFQSKTHQKWLIFVIVPILMIIGFVI PLTLWYQVFKNQRRGKLKEISVRNIWGFLYNEYKINAYYWETIKLIQKQTIIIALNYYED QVSIKASLILLIVFLYHVLSNKINPYSVVKLNRLDTYCTVVCSISIILCSTIYSAQIGGQ YEIVWPFYIITAAINLLYILELVIEIILSYLAQYQELLDKLKTLIRNKCPYFVDNYPCFQ RLLTTKQEKQRKVREIWKKVRKPLMVKVARVIKAKEYTENHSPESFYRRRGGVSSPSPIF SYRGDAIRGDMIDSQSQLNSSMQRRQNMSNPTIKLVETLYQPRNEEEKLDPRTQRDEFQV AEDPLSPRIVYLSLGPNTRSVLRE >CAK59594 pep:novel supercontig:GCA_000165425.1:CT868003:206539:208726:1 gene:GSPATT00030228001 transcript:CAK59594 MIKQLLAIVAIGTLSIVPYLDNTDYNPWFEGDTFIVSLDGSMKRISRFDPNWKPQSAYED YHEQIYQEQERQRKEKMSDFLKTTANICTNGKDHTKLTLQNYMDEFIKGPCQPAVLVPGL IGTALQVKIDCETLQAKRPDIFENCGWQTCSSSKFWLKKPSEEYRMWIGALSSPFSIAMT SKKDKCFGDFVELYYDRSKKDPRDRYTAAPGIEITWAGNTPKSIDNECGTTAIQEIATDS VIKAAMCDPKGYHVFSDTLKNMGYIPGLTMQAAPYDFRKSIAASESQQYIKKSVETFYRL TGKKTYIFGHSLGSLHSTEAVYSMTQAQKDKVAGIVTIAGPLLGATKTFKPQVGGDDSFM FKVLLLDAGKWLVHLPVQWIYIHKDTFTRFRDAPWMQEILDRMEWDNEFISTGRKPTRAN PLPWFPEPSEVCGADFADRSNHCQLLMHDMSEHFLKVVDKLYYSTTESTKQAITDTITAT DAQQETTREKANNVEHPGVPMIVVYAAHEMTPFQFEYTKQPKPIVESTDDFYFPDHITKA IGDSTVLASSSMTPGIKWVYEHKHGLTTIPTKLVEYCSQYNGDAVSSIYDSKDAQGQKQF TNSGYLGLTCSCKFGQTGIDHCGHACMIQDTLFIEFAADVLTPNWKSTITSTPATESELK NIHEGCTNLH >CAK59595 pep:novel supercontig:GCA_000165425.1:CT868003:209284:210046:1 gene:GSPATT00030229001 transcript:CAK59595 MLQNQCQLVEFLVFGQSYIGKTSFLKSAKGEKFDENYYPNTGIELIIKQIKIRDEIQKLY LWEFPGFSNFKQIARRYYKRAQGLILLYDITDHESFINLENYLEEAIQHHDSLLSIILIG NKVDLDSKRQVSIEEAEDFAQKKGFPFFEISAKTNHNIDLVLEYCLSDVLEKAKIKGIEL KKIHIDNEEPESQTSNSVSLNQQKKNWFKCY >CAK59596 pep:novel supercontig:GCA_000165425.1:CT868003:210202:211744:1 gene:GSPATT00030230001 transcript:CAK59596 MDSRLIKQVRQYKLRKILGQGSFATVFLGENPKKEIFAIKEIKLEEDDGSEEVQKLHQYF EQEIEIYLKTKHANLVSMIEEFTEKQFRYCVFEYCANGDLNNFWKNNLLTEQEAKTIFTQ ILAGMKYLAEQSIVHRDLKLDNILIDEKNTIKIADFGFAKYYNSEDIFVSYCGTPATMAP EILNKQSYDFKCDIWSLGVILYQLIFKKYHWKGNVRSILDLQKQLQQYKVEFDNQVKLSD EGKDLISKMLVSDKEKRINYQQLFAHPWLEGKLDESQNLLESQWLIQSKLTTKQPGQIIG NIIKQQRRVKADFCQILDHCIKDSRKEEVKEKFKNFRKQVNQEKFNITINSNNLIDKPEF ELFDYTCELYEFLSNLYDQYEMNYRETAKKELELIQFLKENPLSNSITFNFDGLNTLEQI KVVRNSIVEEDINDFEDPQNWQIDTIEIDEFKRDVVDLVIHDRFKLNKLDQMYSYHVSQS NNILNKN >CAK59597 pep:novel supercontig:GCA_000165425.1:CT868003:212211:212883:1 gene:GSPATT00030231001 transcript:CAK59597 MSLVLVASKTCPHCIRVVLTLNHLKIPYELKYIDIENRPDWFIKASPLERVPILFVGDAV LFESLVILDYINTLTPQSLLPKDNLQIALNRARAEFSQEIIEILWSVFSAKTLEAFDLQM KELRWLFSSLETWLQQTKFISDNVLTLADFAYAPIFTIIPALKQVMNFDIFDGFPRLRAY VDNLHAIPELKMSLVENYDQLIQKKIQSREPYFWKQ >CAK59598 pep:novel supercontig:GCA_000165425.1:CT868003:213156:213829:1 gene:GSPATT00030232001 transcript:CAK59598 MSLKLVSFNICPYVLRVVSALNHLKIPYELKYIDLQNKPDWFIKASPLEKVPILFVGETV LFESLVILDYINTLATQSLLPNDNLQRALNRSRAEFSGEIIGTFWSVFLAKTEESFNSSL GDLKWYFEKLEVWLQQSKFIFSNELTLADFAYASLFTLLNALKPLLKFNPFEGFPRLQEY SDTMLSLPGVQQSRVENYDQLIQERVIKVDPFFLKL >CAK59599 pep:novel supercontig:GCA_000165425.1:CT868003:213959:217033:-1 gene:GSPATT00030233001 transcript:CAK59599 MNFERQLYETYQIDPDQLHKNMNQDNLILLCQLADQSDNEITLQIVGSLVSTYIDQNHQN TPEFGKIFEFAWNRIKRFTTHSRPVYHFFASIIANIVLHFYDQIPVQYFKEQAQIINKQV YLIIIDTVLRRLQPQDRVGEFKILDSKQKIVRQQFFKHNLDALLEIITASLIGNNSNPDL QLLDSAIDLLNLLLSSEIREDFKEKDWHKIKRAEVKNKRNLQTIMKEIKFQILDQNELEK MIQNQHLDHMDPITNHIKMVWSIVKYQQFIAKLTELLPLNIKIWESLGKICKIYQKYYSN DKQSIEIIYQITSNIMVSDISKINSISLLNFAGKTIFANHTQQWIELNLNLIKTLTTIEA IQVGLKFLRNLVRFQRQYDYSQLHSFVMPIIASFFNSWIIQNNQWINDKFLRNNIKHILK EISCFMIEQYLEFFAQIIQLNPDQNVQEFAFKYYAMGLFASIKCTTYQLDVINKLQFYKM EQFLYPINLDLFKQFHYQELYSKAFDFAFLYLKKQSPFTDRFLYKIWKVLFDQQALIKFE DIIQKMQIDRKTIFNFSRIFLFNDQELILKSLKIFINAKVKMEDEFYQINQINIPKECFS DFHEFRVIPNQKIITHYHKVKMYLLNVENESIKDQLASDQLIQKFKNDVLQFLDQIQNTG DIVFILQSMLNENVRSLYGVIMQIIDDKAYLNLFAQAINFDMLKLTRMMINTTGQIGQLK QVMIDQLYEWILLVIQKVQFHLDSINKEFNQERQLGQKSIQNIYKLYYKPLLQLLKIFQN LKYLNRLTTSKKQVRNQIYQSILLIFKYINLNDLSNYIHKMSQLFYVIQWLLEFEITRQM ITDEIFGYILDFINCALLIQEKRSLMTCLKIISDIQTYDQFSHSQYIQQRLIAENCLFGA FLYNIRISDISVLILRYFQKMPNDFNVLFSKIKQRMQPYKSQEWDQIINVKLDKLYSIIP KQQQLAKGAAQNKQIEKTFADYFYQVQLFYNYHP >CAK59600 pep:novel supercontig:GCA_000165425.1:CT868003:217301:219271:1 gene:GSPATT00030234001 transcript:CAK59600 MLVGNLEILVNFNSFRNIDLFDQGYYSLKVEMSSENAEVVQPYLMISQTGNKNDGQILDS CFCSRVFDIQYSEQYIELDNTCLFRILYQAHPNKYAAIKVNVGLLYSQTLEGECPILSMQ QVSNFECIINNACEGVQQGVDVIFDSNHLCTTRMYIYTMILDYRFTGGVNGFQEFLKTKT NDFEQQEVNQFIAEYVDSLGSIQTKYRNLLIQIINELKDKNIQMQNLMRIPIVQTAKFKA NLQTKSLGEDCLLIINQLGQSLFQLWNQFQPLLKYSRNYLVESVDQRLLQNCKKLSSESV ISNQATFEEIQTQISLPLLKQREDIWNQARKSSKNQTPSIQLLDQFYSQPNALPFFFEDI VSSQQNITQFQQHSQNRKHVLILVHGYQGTSADLQTWKSYLKIKFPNHLIIQSEINQDDT EDSISVMASRLAQEIQRQITDRTHLKQQVQISFIGHSLGGVLIRCALQHLNKYQDCMHTF ISLGSPHVGLGIQQSTLIDAGLWFMKAFKKEDQRVCLNQMTLCDEKDVQKTFFYKLSQNS KFGWFKNVILAFSLQDSYVPFSSASLTRIKEQGDRANAHNQMVEQLFQQVPSTLIKTSVF FPNMKTNIDKMIGRAAHIEFIDNSSFVRLFIDYYYEYLL >CAK59601 pep:novel supercontig:GCA_000165425.1:CT868003:219390:219745:1 gene:GSPATT00030235001 transcript:CAK59601 MSQSPIVFTNKPSKPHPLDDSVWRQRTNLPKLHIRDLQPEIKSEPHYFKNNQLEDSQIIN NYTVRSGVQQEEPDYIEVDWNAFQLISLD >CAK59602 pep:novel supercontig:GCA_000165425.1:CT868003:220690:222273:1 gene:GSPATT00030236001 transcript:CAK59602 MNTKLETIPVLQEKCKFHQNEQANLICLTASCLNQGFFCFQCKNHHEGHNVIQGMEFFDS LKQLVCFDGSSKPSNNVNQVSARIVTAMLTTIDELDALNQYFSEILGSIRLQFEKYCQIS NDVNVINNFLIFSQKEGIEPQQFKDKFEECLKRLCLKLQKLHIKSTVTCQSNSLFVPDER LFQEYLEPLISTLKGIRLQAESSFTKPLYYNKLDLNFKNGLMPQVNSFFEPVVFETDQPK EEDKVLERLWIDKNETMRKMLMMKQRTKEEQVIDDEPFSYGNGRLEKVFAVAGSKVWFLD FIYSKFKPTSKHSKIEPKQACVICKCHQKFARINEFLNCKYGDLYGPYHLEARLLDSFKM AFDKRTNKDYLIDRGGLYFHDLCVYWSSLVECDEKKGTIDFESLQEAVKISQETYCYLCK RKGPTLKCNNENCQIWIHYYCWKELEPSQQYLDNQKFRMLCYQHVPAKYRKPAWASQNLL LDDQQMEKRETKEYRQSQFVKFTYQEAGQSRYIQKLEQQATKQQNEE >CAK59603 pep:novel supercontig:GCA_000165425.1:CT868003:222298:223555:-1 gene:GSPATT00030237001 transcript:CAK59603 MRTFILSTLFLGVYVILDIILNDLMWDANTKLTVYLQNKEFKGEKEIMTFFSDWLNIFPG IALLVFMFRENKLGAILYGCMIMFIVSLNSLLKNIYHQARPFWTEEDIKGLQCNKEFGKP SGHAMQSSLMCFLLPCILFPAVWRDQENVKYPKVLRVISIAIIIIWTSVTGLSRVFFGAH TFGQVLLGWVYSGYVMINYMTYVHDKLLNYFKTCLATGGPGIGRRTIYISTFVTFIWIMI SYLFYRLNRNEILINNGEVEMWLNAMLRKCASQTNPYKFKSASVLQNNCFNNSFQILFIY FLLLGTKLCGGTYDEDKFYQNFAKLRWTLKVYRVVLLLVLLLIVEPFVFFIVTEHLSAVI LFQTIPICFFGGFVLTYFYSKSLFYLGLNIQGDFLNAESRVISTKIHANGV >CAK59604 pep:novel supercontig:GCA_000165425.1:CT868003:223602:224967:-1 gene:GSPATT00030238001 transcript:CAK59604 MYSHSQNQCPPYNHYQLMKLFIIATFALFLYIALDLIFNQEMWDANTTLTLYLQSNQFSG EKDMFLVFSYSLYVLPSIAGIAFLILDNKLGALLYGGMILFSVASNSLLKNFYHQPRPFF IVQEIEPYECNKEFGKPSGHAMSSQAMCFLLPSILFPAIWKDQPNCKYPLYLRVLFIFII TFWTFMTGFSRVFMGVHSFGQILLGWVYQGYISIIYMNYFHDRITEYLAQCLQVGHQGIS TRVIYIIGLIASSWTCVSILFLELNRYLFISPAEATLWMNAVYDKCQKQTSLYTIDSPPV LQNICFSMSLYIWFMLSFVIGIKLSKGIYLQKQFRYHYKSLSCWSKTLRIFVLILLVALL IPFFLIEFNSVYAQAFGQVMESIIQIVPVSILGGLIITVVYSKVLYYLKISVPGDFLQII ESDQSAPDGYSNLLLNRMSFELQAKNS >CAK59605 pep:novel supercontig:GCA_000165425.1:CT868003:225070:226485:1 gene:GSPATT00030239001 transcript:CAK59605 MRNLNHVSLYAFTARAIHSQVAIIGGGTAGLNVSAQLVRDGHYIPQQIRVFEPYKMHAYQ TGWTLVGGGMCKADQTMKPMEKVLPKNVCLSDCPVVKIDPEQNTIVTMDGQKYTYDQLIV ASGVQRDYASIKGALEQLNNPEAPVGSIYYYRYAQKIDKMIREFEGGKLIFSEPPTKCEG IPSNIVFLTHERLSSRNVKCDFHVYKANDSIFGIPKYSEILGELAHEKGLHCHLKKRLIE VQDHKAIFEDVETKQLSTSDYDFLHIVPPQKPAAFIAESGLGDSDGFVNVHPNTLQHVRY ANVWALGDCSSLPTSKTAAAVMAQTPILIKNLVRTWKMKLPPLPEYEGYTSCPVYTSNKK VLLAEFKYNKQLDETFPVFQSSDSRAMYLMKKHFFPFAYWQLMVRGLWGGRDGIRLFKGV >CAK59606 pep:novel supercontig:GCA_000165425.1:CT868003:226687:228020:1 gene:GSPATT00030240001 transcript:CAK59606 MVTLPYRLQFVVSSVQQHAISSWRQPNNLYLDQALYLADQIDKETDMMSRLNIQATHTVS DSTEEISSSQPLESKYAIQSQFEYGSMAKLFYGKWAEYFTVSIIVIYLYGAVVAKGVMVG NSLSSVFKGITLVEEYWFWIVIFFVLCGILSFKDVASIQIVQTIVAMARYLTILAMLVGS IQQMSSAQEIQEMQWFNIDALPQMISTAIFAFLMHHSSPGMLRPVRPTSAIRKIIFISFI FGLLTFLFVCLTAIWAFPFKDLAAASFYNQLFNQGNLKWAYFIISFYMFLNIAALPVLTI TIRKNLMKLVVPHLVPKDSLKITLPSAIFTLLIVVPCASLAILLKDEIEIIVGLTGGVCG VFILLTIPAALVLQGRKKHTVKYEDNPYISQFQHPFWTWGLIVLGCVFVPYNLYMQIKKI IDHYG >CAK59607 pep:novel supercontig:GCA_000165425.1:CT868003:228029:228956:-1 gene:GSPATT00030241001 transcript:CAK59607 MEEYIQGFVSTSIVKTLLAPLERYKLIRQTQDILTLSSKEKMTNFYQFLKKTISNEGPKG LFRSNLTAIYMWIPQVYAQYVFYQNIKQATYDKDLLSMGLCATLCGITTSIFSYPFDTIR VRQATEIQIPGQKRMYVGFEETRFNIKIESGFFKGLYSGFTVGCLQTLLFAYGIVGLNSL LDGTTPYNQQLAYLGSYALIYPIDSVRRRLQVKSILFQQEASKKQNLWEKLKFNMKEWSF SWGYGGFLAHNVRGIAMLLILEQVNFESLYKETQNQLNQALKY >CAK59608 pep:novel supercontig:GCA_000165425.1:CT868003:229362:230852:1 gene:GSPATT00030242001 transcript:CAK59608 MTSQVAQLKSMMNRSKLSVYSQAERTLQSLQKLYLLDQHYNFSINVNPEMCHTQFDDEDS FDYRYDGYCINIYDIEFEEFQQLPEQDYFSRDYNFVSLMNECIMPMDPTQIFLPDNIYFS KVEREFTAWWPQWNRIRDYHPSKRTWFIQHLQNHEQHPNQTCFKSDMYQFFADYPVMAIT TTCSLKDENNKLIAILASDQELLDKYYKHPRLNVMIVNVDGMLVISTMESQLIANSAELG YFYEQNVTGFNNEDWDQIVKYVQNHSYQSNCQYFPIPDDLLCRYNSLLKQDVIFQVQNLT NPNFLYILFFNLTDEIQWLVDQQEIIQEINIQSYYNILFLVSIALLIITIQLVCIQIIFL PLNDLIKHSRAYLKSNSHRLLFRQKEFYLYNFYIDGYASNNILLGLKLAYKQIYHRISKQ KFKKCDQCQIIQKFQYPSNQIRTKLHLIKQLDFEYSIKLTENQIRKQIVQPCLVKSFDF >CAK59609 pep:novel supercontig:GCA_000165425.1:CT868003:230980:231741:-1 gene:GSPATT00030243001 transcript:CAK59609 MKQLSRSSQQHKPKQKSEPSLLPNIDILKENVKSSFALGHLRGLLRYKQMRELPKTDIQV KRDQTFGVANKQSKNKRLTFLKFGFRTHEKKNNYYLQPLIKKVRDVFGDAANDGSMGVVD LWSDRNVNRLMDAIQMTRDNLIVRKLGKELNEADRFILTRIEEKKMRDRVFMESLKLQFQ ETDTFSLKFQLSEKDKKIVQRVAQPTVSSNLRHANPNEDIQNIVENIDQLHQENLEIYTN LYKQIKQIQKNIK >CAK59610 pep:novel supercontig:GCA_000165425.1:CT868003:231794:234132:1 gene:GSPATT00030244001 transcript:CAK59610 MLRSIINIIQEGQEESKDATTLTLNSFAAIFILISYFVNYHLTNQRLLQLMIIQIIIVLQ FEISFYDNHQYLSFSIIVYLISLQQYLNKQRNCFHYLSHIVLIYVVTRFLTQRFNDLVIW EIILVLIWQPFNHYLLHLLRRIKYQKKEELNSQIQFHTLSTPQAQNTERPEEQPTKGNLA EDFFDCIPEGLVILDEFHKIVRHNSKILHYLNITDPLQIPSALDGLFRIAQKNRSPQKEK KKPVPLKQIKQRMDIQSYYTSSLGTSLKKQFWMSDKDQYNFSLQKGDSITYPFLQSILNE FKQQNLNENMTITSECNSIVKYQISQENSVKQRHLQIKIYDIKMTSYSTTPVFLFIVENI TNKEQLKLLTNRFKFQQALLNSFSHELRTPLNCTLTLLQALKSKLKNEELNDEYLNPSIV SSQRLLYQINDILDYAQLECQDFQINITEFRVGEVFQTLKDFFEQECKQKQIELIIENES LISIRSDKDRITQVLINLINNSIKFTSQGGRIVISFKKRDNMYQFTVWDNGQGISSLTLA NIFESQKNMYASVKFLENTSNSNKLGLGLKVSRGIARNLCQNGDLIIKSQKGSYTSINFY VEDQLVKVNEDITEQESIMRFGSKNTVHKCECPQILIVDDVPFNHIALIAILSNFGIKSE SAYDGAQAIEKVNVRLKNKECCKSYRIIFMDIEMPGKNGFQTSAEIVEILKQSNSQSVIV MCSAYTGEANVEQARQCGMKEIIPKPIALNSLQLLISKYFQSLS >CAK59611 pep:novel supercontig:GCA_000165425.1:CT868003:234171:235563:-1 gene:GSPATT00030245001 transcript:CAK59611 MIKKFKKLFQGQSEFESFLTKSSLARDNFVYKQEDVEILIPFLTDEQNITDFFKVYQEED LQPYTVKMKMLITIHQVLNISEEFAQQFSKMNFAYFNKQYKSQSRPSSKPETFVFKQITN EVWLLDNLQIPFLQYLQKLALNVQEMKAYRNNHLRKPNLQTKSLVVECFKLENLVNHGLS LVPQLKTALSNFPHDFLLKKLACNLYCELRQFQRQLINSLSALLDSNSRASNIEIFEFFR EVQMIEKKTMSYYMLHKLFDPARKLMPPLQLKIDLKSAKHLEQQALHEQQRITQQRQLRS QRNSRSNSTDSQQRASQGFISQRERRFMIYSRGKKQETIQEDIEMPTEEQQSASEQKKKL QNLEVGQVDVEHFEEENHENKENQENLENQEVYEDPNELDVSNNNSQMEQDDNSKGSGIN ERSSQKETNDVGKNQESTSQADQDENQDI >CAK59612 pep:novel supercontig:GCA_000165425.1:CT868003:235699:236058:-1 gene:GSPATT00030246001 transcript:CAK59612 MARKKIKKQKSKCPRSQMTKKEYENFLDETNEYCKLLQTIHPGLVQEEKKLISHKIQEDS D >CAK59613 pep:novel supercontig:GCA_000165425.1:CT868003:236189:238874:-1 gene:GSPATT00030247001 transcript:CAK59613 MNQTLQYVESSQSDMYNESEFDEHQRGINLAFLNQKTLEDKIQFSNLNINQPLDLKAPAE TIQEYLFTDEKLEVQGKGLNNTHTSFNKYLNLKLLDLTRCKLHQIPEDIKQIYYTTRRSM VNLQKLYMSSNFLTFVPNFLESLKFLEILDLSFNQLHSLNIHIASLKQLNISSNNFEQPY PYSIDVLCIQMNPISQLPRSFDRVIKNMNQLEFDWFKYCKPPLPLKLNFVKYPSIQQKLM NALKHNKLNFLDFVKLLSVNEQNFSGTDYKERNLFCQAGLNDDIGALHGLYQIIPQDINK TDFDNNTPLTVCYLDGKLRSVSILKSFGATFSVNAIHQISQRADIQNLRFILASHNNQEV LLMHRNMDGNTPLHQLMMNFDKHENSSEFANTILQYGAEPNVENYEGSTPLDVAIKKQQI KAIQFGYQYNQIKRHNIKKGQLFDFNHHSSQNGQSLCHTAMSIGNLEIIEFLLAINSDFF AINKFNKLPRYFATQSLVFIKNTRKVEKRYIYTNILREKSLLEQQEKNVYQMKNQIEKNM VQRHQNMVQKHLDQEEIEDIEDFDEMESYNENSLQVGSECSVRETVAESAPIFQKSSCLN EHSQAIKRNVDFINEFDFQDELPEILKLLRSGNLEVTIQKLKNILQYESLPVSERIKYKN QVNFLKFKFKQKRVELKTQIANDVLLVLNEYQLLNFRSELRHKYLKELQQFLLDQSKLEI SKALQIILRQKMICSSFNLNRDLKINNLTQINDLRLRLSSNLIYDINNYASPLFQLQYQM FNWLYSYTRINQLFQFIKL >CAK59614 pep:novel supercontig:GCA_000165425.1:CT868003:239488:239913:-1 gene:GSPATT00030248001 transcript:CAK59614 MQYNIKEIIARLNDQRKTVQFRHRTLNTENSQPSQMCDVKRRLSTLDPPTMSMQYLELDV MKQKENKEFKKFTSKRCQIQNKQRLMMLYSRKPTQVQHHQSFLPLIDFSQLNNDPLPIVY PKHDKMIKLKKISSRVMEQLF >CAK59615 pep:novel supercontig:GCA_000165425.1:CT868003:240008:241768:-1 gene:GSPATT00030249001 transcript:CAK59615 MNNQKRIKVSVYSYNPDDLIGSGYKSQVYKGQNDMNGEIVAIKVCEHSKMQHEIERQLLQ QEITALQVLDSINIVKMLCYCQNTNFTYIITEYCNQGDLADLIIKRGHIPENEAVLIFYQ ILTGFKEQISKGVIHRDLKPSNILIKERIYKIADYGFSKMINSPKEKVYYNVGTTLYMPP QALTENKYSEKSDIWSLGVMFYQILNGKVPWQAGTEQEFVKVITTTPIQFSRNIQISSVA KDLIIKCLQLEENKRYNCNQLLEHDLFRYFQVRPSRKSVQFSFNNSQLANPENRAKTSYS QQRPNPSVQRIQSNKNLLETRQELVNYGDAVNNILNLLKLILRIAKIVDHFDFFLEGGLK EKVLYITIKHAIFKSKQLIFILNKNHSTIDQTKKSEFIVQSNLMNETFRTSFNKLWDSIH RDEILLNEILKDKKFAAAFDQSELEVESYYILMLPLIRNSIILLQNQLNFKLINVKDYDQ LGGVEEGGILLLTYLVVYLEICKHVAKNFDTSIFQLNQFKLKLVVEEKPTNLTKKQFLQI CQKINSLQLDYLH >CAK59616 pep:novel supercontig:GCA_000165425.1:CT868003:242921:244450:1 gene:GSPATT00030250001 transcript:CAK59616 MKSPSKDFASTQIDEKMSSCWQFKNISNLPLTISFDRFNVFSEKKKTFKEIEIAKMKNLI FKKKKNQTGFLIVDITDCIISIVENNHIGHGLQFTKASFQFLIFGSHILDWFESLKKYCY QTEFSNKYELLNLINRGSLYKLYDAINIYEQTNYTVKVYEKQLLTKQSDIDAIKKEISIF RLMNHPGVVNLIEVFENEQGFLIVYDSFEGGTLSDMIKKYQIPEQQAIKIIFRLLDSLSY IHEQSVLHRNLKPENILVKNVLAPNNVQISDFSLSDFFRRDNKYLFTRCGTPGYVAPEIL QDKSYDFKVDVYSLGVILYTMLSGGASPFPTKSYDERIFLNYNGQIDFSIIDASSDALDL LKQMLEINPQKRINSFKAIWHPVFKGLHKLKITSLDQERRNSSIKLTIKQSLPPKTLENL KLIPCPINILPPASLQFQRRQKFRIAMKNNRQSLSKASSPFMSPGRTLFTTQSQKPLFQN LFEKETTQIY >CAK59617 pep:novel supercontig:GCA_000165425.1:CT868003:244713:246301:1 gene:GSPATT00030251001 transcript:CAK59617 MKSHILLNCQSILFETDQHFWKKIDENIKIDEFTKEELYYYSKKHREYKQKTFGRKGSII VKFGNKENDIMYCNVADSLMEQTNHQKFGYGLKFIQNTYHIEVFGQIQQWNQELKKYCIQ TNLKSKYTIGKKLGTGSFADVYLIIHNVSKQEFAVKIFDKTSSKFDLTCIKQELHILRQM DHPNTSHIIEAYESSKYLYLIQEFYKYGSLYDYLLKTEIPEDDAIKTTYKLLEALISIHS KGILHRDIKPENILLRKPNLEDIVISDFGLAVYYNDNGRYKHQRAGTPGNIAPEILKDQN YDYKVDVYGLGMVLYQMLTSKQSPFYNKNYQKMLSENQEGYIDYSQIKASSQTINLLTKM LDPDPLTRYTAQQAKQDQAFKKYNRQTIIIKRKKYNKDQTVSSFSPRSNSIYFSPSQQRT PPLNVSSPVNNSQFNRRILGLPLRLKHEDRRQFFSPNSNINSGTFCNLSKNNYKISTNLT RNSIYYGMISQKAS >CAK59618 pep:novel supercontig:GCA_000165425.1:CT868003:247356:247911:1 gene:GSPATT00030252001 transcript:CAK59618 MILRIRNIYRFCSNNNSNYHKIVGSLPGQNNKDIKENLEKFSRIYQKVDFKQLQREQIDE QQKKFMLQNQEAYQFGESIQVGEKGKIGQSNYLKLMGVFFLGMFIYLGKETYKFFTNPRN VLLYDESTGKTYYTTKEEFEKIKAEQKRNKEFKEFRFKVLSKQQDQREQKGSTDSLF >CAK59619 pep:novel supercontig:GCA_000165425.1:CT868003:248133:253396:1 gene:GSPATT00030253001 transcript:CAK59619 MQFTLKLAFILAYLQETLSQTCRLQNTEYNLLTIVNGETFRLPLNDYFIGDGLSYTIQPQ NSPFAEKTVAQGQESIKETIISTTSSYLNNNPNTQGSNYYALAQLTGGGYYILSQFQTGA PYIQKNYNHNFGYIAPGPTCFSINFIQNEIMITCSAQGTNQLTYLFYTIDQNPPQLLLQS QTINSNTIQAGAYVTTQAVGQYIVTLINTGTYKTGTYFSTYSELYVFQLSLDTPQQFNFN QIQIPSPLVGTDYYTGISLQENGILFITTYQSGLAYLNLNTVPNQLQFFNPFSQGTLGVS SYSVDYSSSFFYLAIWNAEGIVAGVYNSASQVPFKTAQVIQTNQITQTQMFATQVFMNPR FLVAVNSNGISVYPSILNDINNWQLLYYIPSNVLSSAFDYYNNILVTVAGQNVITYQFNN PIVTTSNLATSAGIQNFTITATPSMFGGAKTSQPCSLILYYNVTSPIQTVDIFQAYQVNS AKETFVQWGPLFNLYLGSIQTVNINKMLIGPAIIAGFPTTNAAYSNIDIQFSNVLSTPSL NSPYRINSNLIVGQKILYSQMFYDASYIVNDKLGEQFKDTYLQLVQVSNYIVLFQCQGFE DQPCTQIVNIPNNVSLIEQFALSFSSSNLINIAYMWNDFNVKDQNSIGHITICQVGYHST VTNQNCKTQDFNSKDDGESPIKALQISLAQDSFYILFQQTADQVTTNYFSAFNVQEYFSS TSDILALQSYLNSTSAVSNTTIKLSDYTIDSFTQNIPAYGNIIFLNAWNSVTNGDITNYY YYLIAINYNGGYLNINGQYVLNVIGAVQTNKVTTSPLTNYYQFTVDGMYLLQINTKGSPT LTFYPRVDLLPSLFFSYQQTNPPQQPVNGISLQTYGLSHIVQVTSSARFLYIMGQPEGSN TYSIYVYKNTPSSQNALYYVISTDANDQIIDAPFSVTSAIQYDGILFKSRGNDGYRNSLL LPEFEFVVKCNLNSNFQQQIEYSYVLFKAQSIVKSGSKLSQTAQEYWATFESVNLVSFSK ETSTIANAGSISTSGFTQVDPRAYFQGNIQSFLLSNGTNSTTFYYFNITSPVERQPQTTN YQYPVTAVSAYMQISDSTVQLNSSNQQSQYWFTFVQTDRLVYVTPYFYYSPPEDFADNST VKIDYPLIYKLPVLDIAPNSQKCPLIFVDPGSNGIVSVCGTKVANKFAASFTLFNPLNQE VMETKLIMLDQAFPILGQTTLTNNGSYQLQTATYLDIGVVVLQFQYTYNFGSSPPTIIIL PFLYTSNLHQKIASYPSNPTLYFYQFIQPIQQVYQVPSSNLGSSISVTAFALTTIYLGNQ NEANQQTFISFGFLCVSDIKEECLIPYTQGQTQTPTSGVVIQVTPRLLNTIFSSTLLVSF SSPNNIYLATQNPFQISLLNIVSDYTQNSVQQVIQAGAPLIQNGVISEVPLIAVSQGTAY IFKLQFYLSNAQYIQSLYACTLLGLSDQNRILQISASSSNTGQYQVVAIIANPTASVNNQ VTLLNYGFINQQCSINPTAVSTTVQPTKLQDGLEVLVTAIGATTYVSAEATPVLALMQPP AINNQVIDIARTLISDNQVITLLDTSPYLTISAYPNYNLKGGVSLNSTNLFSSSVEPLIT SISAMSVQTYINNLLISGEGGSTLFEFSISQIPVNSTFPDSVWDRKLWGQFIWGSIMFII IVGIIGYLFYKSQPLPYDRF >CAK59620 pep:novel supercontig:GCA_000165425.1:CT868003:253397:255682:-1 gene:GSPATT00030254001 transcript:CAK59620 MQNRPQSAIMKLKSEASDARSRDSMSHLMFTPKPNKVTSPPTKRYADGETLYIQNLQYKQ EANVLRMQNIKLRTLVQQLQNRVQQIEKVDRYQLHTDPLGLTTANQEGSIVPMLKAKIRE QAQQIAELTDDLRRQCKSVKLTQLVELQKEIKNQQDEIMRLKQFQQVAIKVTDQDLFNDP DIGERIKNYVLTINAQESQIEVLEKQINQLKCEYQGLVEDNIKVKEEVKQVSFEKTQLKK KLEDQIITETEQYQQKKRQFLEMKLQSVLKQVDSLKGELSLYETKYKQVTKQQQDQEREF KQQLALVQKSKQNLEDALLKKELLIQDLQDRVAIQDLLKQKTTSEEPVVKHLIPPKCYRS EFTQFPEVQQAEHKATDIINTDPIDQERLKELLEDLRYKVISMCLTRTRLQYLFKGIQFI QLQQGLELFMRRPFNYSEKDALVLSRYFIGEDKGQAYRIQWEDTQSVTDMIQQIVPSKED TQFNFKSIVNKNSLIKFLNFEMKSIRLEDKKYNIDDYIIFLKNNTKWNSEHISFLKLINS LYFGDSGTIDQGFLSLYLREECEMMDQLNKLQMNNFQIYKSTPKIIVKYDDDEDIVQKHV EMKMQITIEPILTYSQLRLNDNSLKTSESRKPTTCGRENITYSETYVESIPFIKKQSDQT KVVTQKVEQIKEQQREEEQSQFQLTDSLLQPPSLLKNKVVSGEEEQQVVEEEIYYEEL >CAK59621 pep:novel supercontig:GCA_000165425.1:CT868003:256071:257018:1 gene:GSPATT00030255001 transcript:CAK59621 MEKLASTSAKNGNDLFESVGKLTSDIQQYIIYLDNTFQKVFAISKVELVRLPDEKKPLEN FIDLIFHIACQKGIKTIAFHLRKEKISNTNISIHENEKILQLLADFQNQKDSDQQGKMEK KISAFALQDSDYIGMRKKLNFLFFGKEHFQDLTIEQRKEQEQVFSIFIQIPNSNKSSSCY IQNKNQTFTVLSNFQIRQAHLYETNLSWTKQNSENKNQNSSNLYETHLQQPNQKQENKIQ PRLFLEVKVIESKIMKNNFTYETTLTMDTHQKTQNHLMFVFNSKVLAFQFPLKFTSNQ >CAK59622 pep:novel supercontig:GCA_000165425.1:CT868003:257239:259747:-1 gene:GSPATT00030256001 transcript:CAK59622 MNRLPLYNLPSSTESRNTLSRTDSHLKFSFVSHSQLQKRKAKCVFRKISRKLIHAMNFVK ELRSYAEQLKSQHMEYKYRHRNFFPLYPDDKIYIFWIVFIKGLHCIASIILPFQGVGTLL TQIISSIFAIDILFNFISCHIDEHNTLLHTFDKIIPYYIKGWFIVDLLSIIPFETYEITK MLGLMRLFRVAKYFMYERRENYHTTNDIIKKKEIVVNEDLFYRSDYNIDLRIRRIVTIFI DMIILTHIFACLWFWSARIDEFNQNTWAVRENVYDYNIGKQYVTCFYWAIQTVTVIGYGD IAAQTSFEFFLQIFWMLIGVGFYSFTIGDITCILVNVNPRQEYEDQLILLEELGDQTFMI EEILKELISFAKFNISHNPFWARNTIEMVQALPWSMRQYVIASTHKDILKIVPFIANDIN FSAMVLPYCTLAKYDEYATIYHIGQSSSDFYYLLSGDVRLSDATGESIIRVMEGTVFGEV ESIEQTLRRWHAHAVTKSVVLMCPGRFFESLIKQNSTQFFELFQMYKRRKILLSDYAEQK QRQAVRLKRSTAIIIEGKVVKTQEVRRSSENRQQFKSKIDCRNYISVQQDLMLSVVGQKQ ARKKLLREKFRLAVERIKQMLVRTKKRRSTFTIDQDYKIIRELVATRSQQTIQTQMFETN NQLKKLMHKFGQVVEQENQVQSFIIKKKQESYEKIKRKKLKDLVAKWNHNKRRKSIKREI DLYYSSFHTQIYQELLQTRIDQKQKIKQKQENIRKNSVQQLKLLNLNVNYLIQQAMKFSI HKFEIMKLEREIDLIIDECQSIVQQII >CAK59623 pep:novel supercontig:GCA_000165425.1:CT868003:260251:260776:1 gene:GSPATT00030257001 transcript:CAK59623 MSNNNKHFPLPQTFDQENIPTISKVQYPSFELQDQNQMAYNQYAQPIQQGYPIQYSPTFQ NSQISIQSNSSVVVSCYYCQKQVQTIVSYEAGAGAYLVGGVLAALGLWFGCCLIPCYLKD CQDSVHFCSQCSAQLGRKKFIF >CAK59624 pep:novel supercontig:GCA_000165425.1:CT868003:261403:262290:-1 gene:GSPATT00030258001 transcript:CAK59624 MTVLITGGCMGIGKQMCKLLAMKKCKIIVLDIRKDLVADLKNTIEQYGSTVQFYECDLSK QEQIIETINQINEPIHILINNAGVAKLKLFTEQSFQDLQLTHAVNYLAPVILCKLLIPKM EAQKFGHIVNVGSVLSIITGLKVTAYCASKHALLGFHNSLRVELKLKKSPVKCTFIAPWA INTGMFKGVKSKIDFLMPELKEERVAIEIIDAIENQKDVHSIPSFYWLLSNLIRFLPHPL ADLIAVKAQGPYISEMVGRNQ >CAK59625 pep:novel supercontig:GCA_000165425.1:CT868003:262355:263342:-1 gene:GSPATT00030259001 transcript:CAK59625 MSDQEETTPRGAKTHKGKRILESREPKLVEGPKQTLFIRGPKTSLSVRQLAHEWHLIKRD FSTTYNKNHEIQPFEDAKQLETFCERSQCSMFCFVSNSKKRPDNLIIGRTFDKKVLDMVE LHLKEYKSQEEFATSVEVPMHARPLIVFNGEVFGFNPNHMKLHNLLTDFFFENVPIQDIK SQEIHLIISITAADDSTLAITVLQKQTHEDGIKIQEIGPRSVFDIRRTKWADDEIFKKAC RQPKPKAKPHEKNIVYDEVGDKRGKVFVQQQDLSTLALKKRKKLRKGDQKLKARIESQDQ QAEVNDE >CAK59626 pep:novel supercontig:GCA_000165425.1:CT868003:263348:266105:-1 gene:GSPATT00030260001 transcript:CAK59626 MNFKEDPKNLIIPLDLAKIIYDKWDADLAILDQPDQVYGKALHGKGEVTFKNGNKYEGEF HNGMLHGSSEGTFTWASGVIYKGEFTYNKIEGQGTYYWPEGSTYTGIVVNGLRHGQGKFV TADKSAVYEGQWENGLRHGFGKITFKSGATFEGQFYQGNKSGKGKMIYPSGNYYDGDFLM DKKEGQGVMFWLNSNEKYYGEWKDNVQNGWGVHLWIEPKGEENRYEGEWENGERSGVGVF YYANGAKYMGSWRNNLKYGVALFITDTGGFILGEFKQDRLLKVYATTENESRTGILPREI APQGGEVIQQHENPKEAGDNKDRKKDNKDNKDNKDKDNKDKDKDKIKLGNTTKRPEKLAG INNPNQTQTNLNKTIETNPYSYMIDFSDLYKGLQLDSQLIDQNVQTMLLRHNYTLKTHYK FFANKFESLLYEDTFSLSLEGFWKIARDAKLLNQNYSLAELNRNFLQGSKNNYRLKYDRL ELNVEIDVLSRQGSNINLQELNDLFHQQMAFDCISTEKINYQDFQLKDVTFKKKVEDIHD PRRCILFRHFIELIVRLSYLKYGNLVDLHRAIERVIISKLTPYFERKKGKQNQSLDDTKK STHPKIIIGDFIDEVKPIFDIWSIENRETQFGFTDRTINLEQIYKLLNASEQDKLILISY VDKNFDTDEGQITQQSISQIDQSSVNQTVREDGSKRRKKQQDQTAEKDQKKTLDKATSII KYELLLFEFTDILTSFLVKKHKDLHTNKEDEFKLRMSNHLKKLKSRKPPTLSKFKQPRLD PQTQKDIQKEQLKQLRIQQEIKAKQRKLLEEERRQEERERNLMALKDNYIKSEHEDDEVE SEESDY >CAK59627 pep:novel supercontig:GCA_000165425.1:CT868003:266173:267483:1 gene:GSPATT00030261001 transcript:CAK59627 MGNCQQCDNLDPIKTQEILTSKTTKSKQSMKINKNDLKGIIKIQANFRGFITRKKYKFKS SGSTAQKYGTSINQQKSSQNDSVIADSYQITVKAQQIQQLQRRQSPIKILDQDDHRQICE ADITRNIHKDEDASSYSEVRSKHLISFQMNELCFIPIHKQDQKRVQNEEQQKLSILQMKN GCYYEGQWKKGMVHGFGKYTLSETSFYIGEWIENKANGFGTFQHSNGDIYVGSWKDGTAK GNGKYSFGDGTYYDGQWNNDLPNGQGKQTYEGGWIYEGSFQNGFKSGFGQLTYPDGTVYA GKFENDLMNGYGILRFPDGRTYNGEWKSGLKSGKGEFLWPDGRKYEGQFLNDQREGYGVL IWSNGQKYSGLWKEGLQHGNGQIIKANGATFRGRWIKGKIVSTKFTTNTPAKVIKLVKG >CAK59628 pep:novel supercontig:GCA_000165425.1:CT868003:267540:268523:1 gene:GSPATT00030262001 transcript:CAK59628 MFDCPICLISYDNNQAFTFPSCFHTFCINCLKSTFETRIKEQNVNLDTFKCPGCEIPFDQ SLIQNFISQEIFKKYCELSIEMNQIFGLEENEIMANCLNEACREKYIIWKDAEYQKCVKC KMEYCRLCFLPYHKDTCTCEEQKLLYQDKVYKDLKVLLKASRCPKCRIMVEKVAGCNFMT CKCGTYFCNLCDVQLESKDHYSHFENNSPSQDKCKIKVNGQWVSRPAVEEVKKPQIKQVI KEPENAINSIPCPNCNSTNPNITKLQLYDRVAHCCSVKCQNRAYCIYCKKMLPSQDILNH FTNTLACKFK >CAK59629 pep:novel supercontig:GCA_000165425.1:CT868003:268568:269893:1 gene:GSPATT00030263001 transcript:CAK59629 MFNIVGELWKAQQYAKNECCFEVPQTFYLQGSKISHYFTSSQCSGKILKKRKENVTLKSI LDTFNSKEKYVCSFQRLLNKKDVQFKYLTRIELEALISDITNFSELYQDVIIQQTFQNEN IIAYCRHGHITQIQQRIPLQSEPLITLKDSQFACEKVIFEARVNKVGLAIEQFISLIEGS KVNEIELYMKYVGEKLIVMWNTNLKCRTNRRALNFINSQNYLHNQEYFEQFQPKKQVTDE ITMIQCCSCRKLTKINDTFEVLKNRIWKIRMHRNLHHLNDQKLYLDVTGRIVPKFDEFQF VRVCGICYNSFIETISNNNTKTENTLRKLPQKQQDLQQLMMQNGPRRKLFKLNDNQTYLG SRSNSTQIKEKRMTPQIMNSTFDENYESIQNQRMEIDDDFDQLLNEVKNEVRSSYQSNKV VTNKARIQSAQNNQKFKLYYQ >CAK59630 pep:novel supercontig:GCA_000165425.1:CT868003:269920:271005:-1 gene:GSPATT00030264001 transcript:CAK59630 MKMDNKRFKLMLGLNQVGSTNYFASSTKSSTLKRCVNTQTNTQDFTQIDYLLQSPQIIKK VKVTAESTLHTYEDDLYCYESIQNDESVPITFQTKSSPIPIQKEIYNINPLYLQEYNEKE YNYKTRAIILNRIQDNCQNLNNPFSHKAIYLYDLFLCRLKSKTINNQTQLRFLSLAMIFV ATKMNAETPNISSLLSQSSLNMTKQQLIQYERLILSVLDWHTNPLTIQDIMYEIICRFYI AHPNLKSQSDQDSKIINQIINVIVLDHNYMSYSLEDLSFSIVFALFQKDLSDDLFEDFLK MHNKTKQSLQQSLRFVSKYIRVVNQLNDKQLDINSLEILKLVCD >CAK59631 pep:novel supercontig:GCA_000165425.1:CT868003:271147:271824:-1 gene:GSPATT00030265001 transcript:CAK59631 MSVLFAYVKRCYKCQWGKTYIMKSEKGEFTGESAPLWKNVSPAAFLVQCIMSMLMIAGVI VAYVVEINGLDDNCTVSYKPWIIGQLIAVFFSGILDFYIYRVKYASDDRWFRLIVVILCQ IALLVSVGGISFFQGLKVLLGMGDQCALPDEGDENATTQDEQEFYTPENLFANQIWIANF FISATTLLILLIKLVVLCFLTKNIYFSTSRFVAIASKPEEKKFVD >CAK59632 pep:novel supercontig:GCA_000165425.1:CT868003:271852:272773:-1 gene:GSPATT00030266001 transcript:CAK59632 MNVETSNSDKVQQILLKQEQLLKEQKYYEYEQKILTFGSRFINQQNKDGAITLYLQASKL LVKNNQDNSGFNLATEVIKISDDLDSQNIAQIMSIYSIAQFSKLKVQFINKVLKKYPNYK DQICQQVGVDLINHNKFHLAWRYLIQLSDVNTEIQMLVKWNQQLSEQERQYNLIRYLLIK LGQGLFNESVKILHELIPTTVTQEALFIHLLVKSIRIQSREAYDLVFNKFKVVIDADPIF QELYYKVGVKYFGLKEKEQSSQGIGGLLSQFFQ >CAK59633 pep:novel supercontig:GCA_000165425.1:CT868003:272802:273430:-1 gene:GSPATT00030267001 transcript:CAK59633 MIHSDEESLNSFTPESQNLIQLSIRHQENIEEFKNRDNKKYAIIDQSVRIQLLRRILSKQ STIKEAAKEFGINFSTAKAILQTYRKEGRIGKKKTRDRNRSKQDLGDYNQTRKIQSMYNL EQPQQMKSISPEIRPSPPPFQQTVIPVMSTPNLDSTQIALALCQRELAQQKLLNFQLLMM IQNFKNITQLQVKEESNIIN >CAK59634 pep:novel supercontig:GCA_000165425.1:CT868003:273765:275377:-1 gene:GSPATT00030268001 transcript:CAK59634 MSSSEESESDDSMFQGNNKQQRKHLSDVDTIIAILSIEPKNRSYSQLQLLCYKFEQYVNY FQQIRNKLNPSILIKLMSTISIEKFDAFSVVFNQGETGRKMYIILQGEAAVLIRNQDQIE VHQKKDDYKRRRATKTFDELILHRYQNFRIVAYKKQYDYFGEIAIEQRIPRTATVITKES CIFAILTFDAYQTLLSELKADNLQLRQVVIARMHPFHLLNEQQLQSILHNQEELNIQAGS LLYKELQIVDSVYLIIKGEVQVSIKEPIENAAGGKIRENKYFVNFQKQKRIKNIGLFSVG QLIGDYELYLNKTSKERLIRRTTAIVKSDSKIIKIPCQQFVDVIEYGLSSKWLLKYLIDK YEQKEKLPILQIQDDTEKQKTNNFVSPQLKKMIFRIKQYHDHNKVSSERFSKVNYQYDQD MNTDDQPYTSLKNEINLQDKSVLKYLARDQFLPKKPINNISQFSVEKFASSLKTRVQFSK ILTQLDDDMTCYRFYSSPIKYMPKQTNLKKTCSQISSPLLMQSQQMN >CAK59635 pep:novel supercontig:GCA_000165425.1:CT868003:275421:276856:-1 gene:GSPATT00030269001 transcript:CAK59635 MSFQGFLVKKTIQQFPIRQKPVYYDDFTDQNFVLFINKKLADLRFQSGSNDISAKRIMNL KIKIPKDKNTEGHRIKRKASLKQKSLSVQQEDSEKKCRYKFRSPPVKPEVRLASYLNDEL LRQHQISPLYQEYKLANLKANLVKLQAKKSYQLNELNHVELEDEEQVKYFLTEICRNHPE IDVGKLLSVDVEKKELKLDNQEQILKELVQKRIKQEELEQLMKLEQQYNQNLVNIYKETR YDQFEPEFKKQGQINMCKIKRQKEIQDRMFSEIFKVKSHHSSRNTTAKHFFHSKKKTPES KHKVTLSQQFTASNNIFISQPTTVQMSPQTSMHQELKQMTTSIGTFSDSRSPINIVNTDF SQKIKTIMDKCELFEDEHKISNQVLKKRINLMGRELNTYFETARSRYKNQDMEIDTNEGF DRFLQENQFKRKLIEFQVSQVQNLHEVLSQKAKRELTNNFMGSDLEKQKLF >CAK59636 pep:novel supercontig:GCA_000165425.1:CT868003:277391:278857:1 gene:GSPATT00030270001 transcript:CAK59636 MLNEEIQIACPIHNQEIVLLDIQEGILLGERACCKECHIRKAYSITKAIQRINALRLQQT QFINNNIEQTLADITQIEKTIKEFWKEIEVGFLEMNKSLDNQKAILKGQLNGENEIKIKS LGDLQQLGQMIFELENNKLNQQSEINQEDNNLYQSNLHNQLDQIQNIVEKYLTTLKATKI NQELQVFFRNNYQEIQSTFLERWVDPIIFLNDQLKAVLCDFAGVLELNFENNQCLVQRLI QMQNITGIYVGADLTWIVTAGQDARISIWQADNQNWKLSQQLQGHLHSINKMIINKTESQ VISGGLDSKIVIWNKKGSKLEKHASLKQHEKSITSLAWSHSGTYFASGSSDQNLIVWKSN NGAWEIFQKISDKHQAMISDIIFDNYDNIYTAAENVKVWKQDNCQKYLLSSIVKTFNEVK KMLFVYDHQYLIILQKTIEIYKVMKNDIVHKQSIDDEYNSVAVSKDGQYMIAQKYKNQQL QLLKLQAM >CAK59637 pep:novel supercontig:GCA_000165425.1:CT868003:279064:279473:1 gene:GSPATT00030271001 transcript:CAK59637 MKNHYDTLGLERNAQPNQIKKAYHKLALQWHPDKNSDFKATDQFHQINEAYTTLSKEESK TKYDKRLQTRDNLNDLLNYIKSQQEEPFLQYDTRDDFISQEDREFLRNFSNKQEIETIKK KRIRKKK >CAK59638 pep:novel supercontig:GCA_000165425.1:CT868003:280170:284870:-1 gene:GSPATT00030272001 transcript:CAK59638 MSFSKQESIIQSSLTKSHMRYLSPQLGERNGGSVIQRETIVNPQLRESQFLLSSTPRETV IPLDVQYTVTKKVDQQNFSDYEVRIQSLLHEVELWRKRYLDLMAMYDKNIANEEVVQIQY GFLQIKLQEAKIQLLYGENNDLYGQIRSLIKENDELKMRLNTYELNNSIEETKNNYGIIG FYVLENLQVQLKSRLGELDEWRDKYNKLEAELSRYQAIEIKNVQVEGQLKGLEGQANQWQ DRYWEMVDERNKLQNQIFELNERLNAVQIEAAQRNNATEIKLRDAEILKLKNLIQHLQGQ VDEWRKKTLQMETEVELKQQDVEAQKMKIVKLQEQLNNLSMTIQQKSKLINEQNLEITNL QNKLLEINVLNQEIGNLNEMLQVQLTAMTELRKEISRLENNCGELRHEKLLKIEFESENK RLIVAVEELKQIANDRKNQLDALKIKYGNLEIEKNQIQQRLEETKYLTTQIKQLNNENQT LIRRVKYLEDQIEANKIEIQDLQARIQQLKLFEIDNKKLNELIILKDNEIQNLRRKLTQF ELQPKSKDTSELEARIKSLLNVIEQLKAEFAQLQEQYDKQSKQYMLLSQQMVSIEITQET RIEDKTEVNQWALKSNQLSSELDLLSRLNQELQTNCDFLNDQMRLLQKQLIARRQEVEDW RRQAGNYYVDATEAKKQLKELEAQQALLNTENTKLLNEIKQLKSQLIDEQNNSQKISLQS VEQNLKSQGEINQMVQDLQYLKIQLQIKTEDCENQRRKYLQSEQLNHDLKGIEEKYTYAN AQLTQSKLDNERLNQLLREQSQSQPQAQNINESNEVLQQQLQQLQSRYDKLQQEFLNTAT QIPLLKQELADKDLTPLQKRIDELLKENQKLTLAFQNKVRECEELRSRCNTQESRITDLR FLEKSLPELQGQNRQLQQQIEDQLNEMTLLNTDIDKLNQKIAKYQLQLKNYQILQNEYAS LKSISNVKDQDIAKLKTSNYLIGTDLENTQKIRQEYVDKYEKAASEKEEIKATLTQLQME VDRLNNRLGKAEVKALEAERLGQKLMIVEGQLGQSQEENFALQTKNQQLSSQVKALQTQN ENQEFKINQLIPFRNQWEDLQHIVQKDQNSLANIQVQLAQATETANQYLKDLQHAQEQLK SQEQQIQERSKVIVELEQANEQHISQLNQFQKRINEIQEENFQLKVTNQNAVLRLKKLEA IETDYAKIIEDSQQLQDQLNDLKEKLKIKEAEAAQFQYWKESLESRVALLASEIERQKHM VKTKNDEIDNLKEANQRLETLVTQLSAFEGDARRSEEQIIQMKGQIDTIKQNLALKQKEC EDLKQIKENVEQKNTTLLQDIDSLKSNQLTLSVKSEDLIQEVQRLQNECNQMKQFNGIKN ELESKIQALNKQQEELQQQLQSKNRELLDLKQKLNDYDSQNQIIKQLQVEEQKLQLQIVT QNKEKEEWHAKMDLLQRQIEKLEKSKNDLENKCALLAGQLEVQIQKYSKSQGENSRMNDQ IVMLTDDNDRLTQSLQNALIECDELRKKAQPLQTSFIQNSTIIKSSSVVHS >CAK59639 pep:novel supercontig:GCA_000165425.1:CT868003:285030:285758:1 gene:GSPATT00030273001 transcript:CAK59639 MKFSRTASTHLTNLSFRSSNPSTTQTPQSNSRLSEVNNKIDDLLKKSTTSINKQEFKFPS QEKKINQTYNCTLMTEQAQKEKKQLLTILLQKDSIIRDQQNKIAQLDQQNKNLMKQLQQF TNLQPQMGQLEEQCQQIIKQNEQLKYEKNQIQTSLNYLKCQNIQVISQELKNSLQQLHQQ IKKYYICNYKEDYYNLEQSRMTLKMSDLDFYTILRECLDCILYMIKNTDHSLDQYLNRNT KI >CAK59640 pep:novel supercontig:GCA_000165425.1:CT868003:286968:289237:1 gene:GSPATT00030274001 transcript:CAK59640 MCFEKTIHSKSEYARSYKLRSIGESQFQILRVPKVWQQVMNDVQLKALDFQERKKWKIAV NYQLAQEAKATHQMLQKEREEIEQFGICISYSLCQMVQKAFNQMGSYQIIGLDVEESNQK PIQKYLKTMKGENQDLDEQRYQDALQKVKRYLSTNRDIYVSLESLFPDMQQTYSESNTHD IHSSDRRKIKQTVEFDFKKKYENLQEALQLFVNKDIPLKESFEKSVMSIESFPTSLEMIY KYKVKLYYQEPCLDPEYEELLYRIGCTPEQVLNDGEKMMKKMKIFSSNQVVEQQLLPQLE LKDLFRYKYNYIEKDCHFIQQNGKFIPLNAERFNLHSQQITKSNDLVKQYFIYYYQKFQG DFNQVSQALSSNTVTANLYLYTPNFSFHQTLNLYCLNNIRRNANLLNTCRNLNNKHLNTI IYQMIMNHQFKRNYQPKYNIQESLPQNAFKQYTKPVFKELLTKIKFDDPISVKTSGQADY SMVERLSFSNLKELVKSANNSKGHIEKRYKNIYDIARQDCYPIEQIKKEIRKNYKQQQLA NQRMFPMSTMKYNQPQTSGIPISQYQQKPTTIENMSTTQNILLSSQQTSTQQTVPIQTQQ PLQTAQPPQAPPPPVAQPYNSSGTSRPRKTKPEVPAQTPQQAPPVVQQPASAKKTNRKKD DSVAQQASVTTPANVETQEPPKQSKRTKKQTKANEKNKQQQPEDRKDDSV >CAK59641 pep:novel supercontig:GCA_000165425.1:CT868003:289311:289801:1 gene:GSPATT00030275001 transcript:CAK59641 MASVDQTQFTFIKRRHFSKKRKQEYKPRNYSYITSDAKKLFLQLFLFQSYKIHDAANKAG IKYSSAKTILFAHRKQYKQELIRDKLAANSIQTKCCGYKLKQTSQADPIQVITRVGM >CAK59642 pep:novel supercontig:GCA_000165425.1:CT868003:290039:290446:1 gene:GSPATT00030276001 transcript:CAK59642 MKFQTFIQEIAQIQLENRKLKPNFLQSIYVTKNIPRQSIKNTNYYQFSFNSNKKYPQSSY QKRIQLLEDLRQERSQKQSSNKTEVIKLQNNYPEYIVVILRIYSLFLFVNLHQRIDISIQ KQLLQNEILSKKKIF >CAK59643 pep:novel supercontig:GCA_000165425.1:CT868003:290610:291911:-1 gene:GSPATT00030277001 transcript:CAK59643 MNQNFTKPKVLIDEQIHLARILNQLDLQNEVIDAWEQGIQNNKNDFTFYHQKVCALKSQK RYDEIIKCYDQGIMFNKTDPIFYAYKCTKYPGILIVVELEKQNRLEEAIQVWEFGIQNNK TDPYFYKQKVQALIQCYGETFCQEKIVECWDFAIQNGNDTLFYIKSKVSALEKLERWDEV IKCLNHGIHMHRQVQIFYEDKGKLNRLEEIIECWDEGMKNNPNTSFFYKKKFQALEEQEK IEQIIEEANHYIDLFDDMIFYEAKSNQNNSFKARCLFKQGKFEEIIQCWDKGIKKNNTIS EFYIKKIEALEIQGRWVDSIQCCDEWISKTIWNTEAIIKLKGSFNLQFSSAFFINNQTIF EKLFSFHDQLMQISHKSSNND >CAK82857 pep:novel supercontig:GCA_000165425.1:CT868451:3:825:1 gene:GSPATT00039521001 transcript:CAK82857 IRLWDVKTGQQKAKLDGHSGTFYSVNFSPDGTTLASGGEYSIRLWDVKTGQQKAKLDGHS NFVITVNFSPDGTTLASGSYDKSIRLWDVMTGQQIAKLDGHSSYVMSVNFSPDSTTLASG SYDKSIRLWDVKTVQQKTKLDGHSSTVLSVNFSPDSTTLASGSGDKSIRLWDVKTGQEIQ QSDNRYKDILAQFSPSILKNNVLPESVNSNVTILLISQQLIFQSEGALILKGEFTNQSGI DLRTLFQQRGGCILERQIALYLQQQ >CAK82858 pep:novel supercontig:GCA_000165425.1:CT868451:1601:2333:1 gene:GSPATT00039522001 transcript:CAK82858 MGYGSKSSQPLWSEYGQFPTPYGRNTNTLSFYEMLKQDYSNLTSDNCYKDILAEFQPSLI INNVLPEDGMRSYVTIQRVSQNPILKSQGALIFKGEFVDYKGYDLRLLLQIQRQFNFREC TTIETKFIIIQKPLKDAIQYQFNFYQFVVNCRNSSQTFVIIILSYYEQKINLYHSQQQIF SHSKILLKESIYNYLLFHLQLDFNKIKFQNQCLTIHIIFQIQSLMLCVLRSSVAK >CAK68518 pep:novel supercontig:GCA_000165425.1:CT868061:2128:3459:-1 gene:GSPATT00037303001 transcript:CAK68518 MLFFVELVVLISLGQCVIYSKDQTNFYKNLLPSVDYYIETGYASVGDLTKSENRLFYSLY LKEATTRKSDVKPTDELIVWIYGGPGCSSQDSNFNENGPILVDDDQKLHARKTSWNKQAH LLYLDQPFSVGMSYWTRDQMMNSSWDAADYVIELLAQFFELNKELANARMHIWGESYAGH YIPVLAEKIKKQTKINLVGIGIGGAWSHPKVQVTPTVPQLLSYGVIDQYQYGKMMKSGIE ALEAAENKEFDRYIAIRDAEIMFNEIIGPNFRYNIQFYNTDVGVQYEDFINNHKEQFDLP TNITFNSCNQDIYAAFAEDQSISVLPSIEYLLQEKIKIFVYQGQLDTVVTLAGVEQWVNL LKWQELPTWKKQKKTQWKFINPITQEEETAGTIKSYKLLHFCVVYNAGHMTSTDQPEASF QMLKNYFDV >CAK68519 pep:novel supercontig:GCA_000165425.1:CT868061:6072:7161:1 gene:GSPATT00037304001 transcript:CAK68519 MNLQQNGNVLFSQMKVIPKLNNGIFASTETILQFQDKLKTPIFHSSSLPCNSSQQNVSEQ QETEEIDVLSTQQINQLSQIKKDNEVPIQSRIQTNCQLKRQESEECQICTMNYQQDSNEA LKTPCCFRIVHADCYKQSLQQKAIQHMNFDLITCYSCMQSLKGYNEFLKTNISISLYGEI VKRKLLAEIPLKCCKCQLPIKASKEILSKQVKLECLQCNTMLCSLCRQEYHGENQYNQSC PSLLIDIQKAFLNMPILVCPFCLLLQTKDDRCNHVKCFSCQKELCSACSVDRIPILAHGN HYHREGCPDYKQWELNDKVVKKKEFDKKKCQRCQESGKPCEYPMSLQEYKKQIQF >CAK68520 pep:novel supercontig:GCA_000165425.1:CT868061:7230:8302:-1 gene:GSPATT00037305001 transcript:CAK68520 MNKVCLIITLLILQDVKAQYSNQEKCSLIIKSIFAGLGLMYQTNEINYMENLDCDGFLAN ISKSVELSNKQHQDQLQAGWYELGNAFGKVIESIKVDLNKDLSKQSAQLQWLISYFNNLR LKLKNTIVCQIDEQWRQIIDLLFESSFFSDQFNLFQDKQYQQYGYEVGQMFFKIQMKIVN LSSIFQDMNVALQVFNGFQFSIKDQSQITKDQLKRCLEGADQIVIYFDDFSYQVQEYMYI PYTFCFHKQIWLALNHYTNALDKCSDSITNAPILSSRLKQFHKVLENNKFLIRIDLNPIF DHARQAYICWCMGYWFGFGEELGNYLTNLEEDMQKRLQNF >CAK68521 pep:novel supercontig:GCA_000165425.1:CT868061:8454:9864:1 gene:GSPATT00037306001 transcript:CAK68521 MIYKPDLLKQEKQIDRTIKNLIYEGINYQVYENNSGHLIKQYKFENVYELNELIKTLELE LYLSKQTDILYAPQYYYVEENTTIYIQYQLFNNLLKQVIQQNQSETLKQKEDQELYNELI DDDEKIYEVNPQDYAKELQIKTQNLKVTQNLINTIIKLYELELNGFMIKNIKPTNIIYNI ENLQFMDNFLQSIKFEVSLEEYLILDFVKQQYQSNNQSLKNLGRCYMQLFLLIEIEDQQE TEYLELINKYYGSKIYNLLRIMIQSDYKYFTSIMQSQEFVELITPLNILDNKQLFIQFFE KKQQKKDEIWERIKKNFEVDQQQQIWQKYQKSNLQFMIKEKEIAKYRVEYKVSNINTHKL EYDITDIVIINQQINQNYERAILLTTIQGKIIVHSNATLLNIFQCSPKSLRSAIKFKFEN NIYVAIAGDDKIIYVYNQNELQNSYPKIFCQIANETTNF >CAK68522 pep:novel supercontig:GCA_000165425.1:CT868061:11238:12089:-1 gene:GSPATT00037307001 transcript:CAK68522 MYKQQQQQQYSHPSQLIPSVCTLNTQEEDQQDYQLIQRKLQCYKDNLKIIQKNNEDDRKY LSSSSDVLQLTESQEDDCEQLRQQINFLQDELSKTKQNMMQIIEELTQQRDLLIYKIQEL EATIDNLSQQKHLRNKSIHSTLLDITYEQDQTQDYSRKRTRSKYDDQNCWKRIFEELADM LKTENVIQEVHRLQQKCIKQDKFIGCVQDLVVKLTPKDIFEHSKPQLRDCWHWIKGICTE YMNLKKMQATVIVETCSQILNVQPQDIVSQIQFLIKRIIAQKN >CAK68523 pep:novel supercontig:GCA_000165425.1:CT868061:12110:13805:-1 gene:GSPATT00037308001 transcript:CAK68523 MSRAVLQPFEVYQKARVQFVQTVAELAKRPQNIEALQSAGVMSLLRPLLLDCVPSIQQSA ALALGRLAKHSEDLAEAVVSNEILPQLVSSLGEQNRFYKKAAAFVLRCVAKHSSTLAMAV VNSGALEALVQCLEEFDPSVKEAAASALRYIAKHTADLAQAVVDAGAVPLLVLCIQEPET TLKRVSAGALSEICKHSAELAQNVVDAGAAPFLSALIPHHDAELKRSVCFCLANIAKHTI DLAEAIVDILYRLKDTDPGVRISRNATCIREIARQSQDLAKMICSAGAVVSIVDYINEAK GDARLPGIMTLGFIGAFDEALAMGIIAAKGIAPLKDALIKEPDQSVKSASAWSLGMIGGH SADHSRAMAEADVPSHLLAVYKFPDSSEDLKKKSSQALKSILQMCTYLPALEPLISEAPP DILQYVLHQFQKTLPNDNAAKKQFVLSEGLKKIQEIKANPGSKLRQYIDEINSYYPPEII QYYSAGYEQQLLKKLDDYNAD >CAK68524 pep:novel supercontig:GCA_000165425.1:CT868061:14717:15929:1 gene:GSPATT00037309001 transcript:CAK68524 MNNYLQGNRNQGINVRSQNPRQEMEEEQTAASIFKSIEKQSLENGLKSQLFQIQFQIKDF AMKLNQFDFDAKIRSLKEKIKGMQNLIENDKSLDQESLLNYVIYLKENYLSREKDFRVHI KVFEDPNYFVPDNLHMFIKKISSYEISYSECQSLLFLDQELTNLEMIYCVLKPIVQNYKE ILYYEKVDSPIKQYTKNRRTNQYPFSSQQITLLIGLLNKYYKFRALPNRQEKYVAYVKWT PDFNMNQAQYLEKLIIILEIPQEQNNITYALLNIFQQQNLKVCKSTSIPKQRNQLQQLSQ QLGCNQFTATLVPECSLDFALTEFLKIVATKIDLKQLSQFLDENDYFIKEDKSESGRQEV NYFVDAFEMERLGFSIA >CAK68525 pep:novel supercontig:GCA_000165425.1:CT868061:15932:16965:1 gene:GSPATT00037310001 transcript:CAK68525 MFFIFCVFFLVVKSQDELAEGEEMESDCDMEFDMPFCSGNGTPMQENSFLNTLKILLSFN DMKVVNKIDQSEYEVEELERGPTLYDDGYSGVFKGSQILEIPQNEQTPYFTYSMWLFFPR TSGAERPRSVCPIFQKGNEETHYPSLYYDQKHKQFIVYIDQTVTSENSGLVSVSRPLANQ WIYVSLVSTSSKLKLYINGVLDNIKIFQAAPKPNDHPLYVGNTPWFKDYCSMMFLLDKFQ YYTEEIKAYFIQAQAQFQLESASIHFGCISCNLEEATGACISGYHLCTKIEFYSGVYNVA RQLGWMDYGDFVWTYQDAIRKNEKKKGLAVCCIDSF >CAK68526 pep:novel supercontig:GCA_000165425.1:CT868061:17131:18745:1 gene:GSPATT00037311001 transcript:CAK68526 MLIDSCEYKHCKSFFQINFSTMKWLKSTTLPVPISSYSISDDVRFVNQKGDIKQKTLINV ENYLFYKTKKDKLKWIDFENAILEQFHHQRHGHAIRLIKCFDVVEIFCDAQSWFKYIKRY TIQSDFNSNYILRKRIGRGQFSEVFKAKNKSDGNEYAIKIYQKQNLFDEVDRAAIQKQIS VLRRLQSDFTIKFYEVFENTDQVFVVEELLMGGNLMDYIIRENFFSEDQAAKLIFRIVKA VNYIHSKNIIHRDIKPENLVFRFQDNVETLCIKKFQLADFYSPDVNYHYICCGTPGFIAP EILLNQNYDQKVDVFSIGVTLYILMTGQMPFEGNFEKRLEQNVEGLVEFSMINLSILGMN FIKTTLQPNPEERLSSHQCLNHQWFVQEQLAKINQMQLKKPIQLQSQFIVRRMKQAKTLT FSPSSPRSQISIQSPRNHNLQQEQQKQSPILVGSKTEKLIGPHSSSKSIHFEQIQTQPTD DDDQNQDFSNLKSARKKTQSFVIKSTSTLKNFNVLKSKFK >CAK68527 pep:novel supercontig:GCA_000165425.1:CT868061:18767:20500:-1 gene:GSPATT00037312001 transcript:CAK68527 MKNIRIPLFAYGTAIDHIGLKEIEESPQKYTQIQLDDSSFKIYICSFTELCLNILNNKNL ILLVDYRELIEFDDMLQNIFDLIQFSQAKKVLCYIVSEETIKKGQWKMEIIKKYVEASTQ KFNYPNYYQPNYNVQFIQSSQSLFNQLHFFQTTPKDIDFTKNNDALLQILSRNKNELEVS LIQGMVILYDLYYFENQTANNKPIQIIEIEGKVNYINSNEDKQVFRIKISDDTELKDQTF ISNNFIQVKQCSPQIVFTPQFISMEKQQEFYMKYRDTSNISAIIGGVQYDIKNLEFCSTN YANSLKLTLSNSKFICAQEFQTSNKNFNINSQILIINKTTDDIIAFGNVVQDNFEISFTQ KNNLNQDQKELEIFYLPLNDEDIIANVARGEQVQKGTHINKCRICMENTSNTLLIPCGHL RYCFDCQSEVQECLFCDTKIQSRKKLIVKQVDQNKNNLLKELTWRHQDLLKLSLQQNINI EQNVKINRFQYDIDFSQYYCQKCNKSKGTEFTICQQNHLLNHCLECSEQINECEFKGCKQ KIICKGKIKYNFDE >CAK68528 pep:novel supercontig:GCA_000165425.1:CT868061:20576:21500:-1 gene:GSPATT00037313001 transcript:CAK68528 MQTLVGTQKGSIYHLEINRPKSLNSMSSQLFQDFKAAVDLINKADDVRVVVLTGRGNHFC AGLDLKEAPLMFQFHEDMDQARKSIRIYDLLKDWQLAMTSLSRIKVPVIVGIQGYCLGGG VDLITSADIRYCSEDAKFSIKEIDLAMAPDIGTLQRLGLQNANTSLFRELAYTGRVFSAQ EALKLGLVSKIVKNENLNEEIFKLAELIATKSPVGCYTIKSIFTREQNLDQHLDVMARTN MAMLFTNDIPIAITSSMTKTKPEYPKL >CAK68529 pep:novel supercontig:GCA_000165425.1:CT868061:21607:23077:1 gene:GSPATT00037314001 transcript:CAK68529 MNHFDESPAPAPNVPVPIDASWVLVTSFSALLTILGFAFISSGAVRYKSVQSSVITVLLG SVLTILFFWLVGYGFAFGDDKGNKFIGLSKFAGIGYTVESPTDDYTNLIFSTVGAVIVAS LFGLGTLERSRFFSVSLCIAFITAVLYPIALHWIQPTGWLSKFGFVDFAGSTYIHVFGAI TALVVSYFLKERRDQAGNVHPGIFPHHSPINIGYGSFILTIAILIFINGANTQGKNDKYE QGLIAVNTIVAATLSALTSFILQYLKTHKTRGSVAGIVAISALANDVRIWESALTGVLAG LVYIVLILVIKRSHVDDPAYTIASHLGPGLLGTILVGFLGLTNGWVTGHGPKQLGLQLVG ILAFIGWGLLVAILIIPLKGTGAFKINPFQESQGIDVSYAEGEAIQFLDEHPEPALLSSS PKKGIFQ >CAK68530 pep:novel supercontig:GCA_000165425.1:CT868061:23086:24904:1 gene:GSPATT00037315001 transcript:CAK68530 MHNITVAIRVKPVQQTFKTIIVNGSTVTLLDPELEFNNPVDILKKNRIKDASYEFDLVFD QQADQKEVYEKSAEPLLDDLISGQNVTIFAYGATGSGKTHTMMGSQNQQGIIPRALNDLF VRLSKEQAAQASLTFLEIYNETIRDLLTGKLLDLREDGNKGLVVVNLFKAPVPTLNDINQ YIKYGNSRRAKEPTGANENSTRSHTVLQLMLKQCTFTFVDLAGSERASQTTNKGQRMVEG AMINRSLLVLGNCIKALFSKEQFVPFRGSKLTRLLKDALQGNSKTVMIANVAPNNYEDSF NTLLYAHRTRNIDPTPNNFQEMIVQLREENEDIKKTLKKQNSQSKMQLQIEQQFKEQQRQ EKMEKQQQQQQIQQIQQQSIQQQQAEKQAYDEEPNQINYFKAICIRIRVNQIIVQVRGCF DANSSRHYVQFQQKTEDLITKCTDSINNTENEQFEIAMKYKTILQQRRKIYDQCMQSQFQ SVKDEFRKQVQNIEKMKGLQSEKREEVMKKFKELEIEHLKKISNQKNGNQPQIDEETLKE IKKNRLQSLNKQIKDGKL >CAK68531 pep:novel supercontig:GCA_000165425.1:CT868061:25104:26246:-1 gene:GSPATT00037316001 transcript:CAK68531 MHYKAFQQTHSMNLFKFIRYPNIHQPQIQISKHVSSYVIFMVYSLSFSTLSVPPIHTDQT FTLLCVSINVQCNIPIQSTISNFQSKTLYLSALIQMKISTKSLNGKLPSLHNPPLNVPNC YHCFVDLHKFTTLSGSCYSKKNACFTQEQECQTYLFSYFRILFKLLSPRFLQDQQKIILD QFVSFHQIRFTSIPIMQILQVLSQDLHIISILANSQSGIISSQLQLLMLQYQIPICYSSF QTKVQSSAQNQIAFIVQSQDYKTSLIIFVEVGITVNSSLPQWNLYESQFKDLNYKGSVIS SYAAIIQLKVFRYFFFINLICYYYFNNNFFQIQSIIGQKITFISTNHQGTSPILILPCIL CNIESQLL >CAK68532 pep:novel supercontig:GCA_000165425.1:CT868061:26315:26557:1 gene:GSPATT00037317001 transcript:CAK68532 MNQEQNRAEEKTSVLKKNLNCLRYAEQEVEQLKRNYLQVQMGNETFGYLHELKRLFDCGI IVNPEKVVVIDIQQLFSIQY >CAK68533 pep:novel supercontig:GCA_000165425.1:CT868061:26735:32050:1 gene:GSPATT00037318001 transcript:CAK68533 MKHKIPTLDLLKTTRPTSRNDSRQQSHVSFRITLQTPKSTARYNHPQTSRVLEQSLFQND ISKLKSKHRKVKTETDPLVFWDQVQNYGHLRTIEPPRFNQEKQAQLYQKLQRMKIEKEEK IRKEQEERERVKLLELERKHKEQQAQEIEKKRMLDSQRYQEYLAEKYKIDRRFTIFTLVN AIMLRKLHSKPTSFKLAKLIANQYLNLLNYKAILHKITMQHSQPLQISQILQGNAEQLAQ LKNIQHRLNEGLILKRKQTRVYLNKQKTIFDQSQAPPQFSEPVLKRIRFLQACLRLKVFK LNKKNVEPKQKVKSNRVHPNRVKALKKRLDDIDFIKANSPSFNSPLSSPGQPVANPLGLF CTLYKVADTLKNYDRIKNQKMIQKTNDYLVKRVQSLYYPVIRYVSIFFKNVVVWSIQYSD ITLDRTCIVLFFKGEGRSQYFYRLDIPIGAIQKEDIGEQNCITVWPTVRDYLMSYLELKG QLALLNQTRYGCAKNYKLNFIQGLSMRSRKNITEEDINAIVETKEILNYLQTSQIVRIRK IFGSVYELLPQFQDCNLLSDATQYILNLPLVHDTILQLRILEQQILEYRRNIYKKPSDLS TRYRDFIEKNKSILNQILAFRFVHNNSVFFQDQMLEDIYELSHKSIYIQCANINIQDILN HYDFQICNQISKYCLISLHLTLKSQFHFDDLLFNAQKHSVRTGFQTITDFFDVDYTHFDW KFKLEYFKVRIEVTVFERDKSLKWNEKQLLQDIKQSRSERAFKPLKMKLDDFCKLGQALQ ETTFNNGDIQQCNFDQILKNIISEQCSYSQVADLVLKNAFTLDIKNRKIIIQPKYLHILS KTHNFIQKMDHQSLYNKIDCVFKYSVYPNEQLDIRRGYENREKENQIAQLLPFNKLFQDI NQMDMRIAVEGRKIEQVTDYAFDFQDQWSDLHKQTMVYNKYQFFEMATRKFLFIDQTNFM KIIYIKKNQSPIILYLHDYHLIHQISNCQRMNKHQKCQILTGIIRVLYSPTSFLYLKDHQ FYNYLKAQLPNYHQIKVLLVSNSKKLLQFLDRLDYIYILKLWNNQSCYVHVKVYYLQDHK QEKGSEKIYIYDGEHLFVSVELYEFNSKLKKYILLFNKFDLELQFDVEKYFDSNIIFNWC KAIISNTKFDKRFLYRVPQIMKYNQNQSPVNFNKFMLNSFFNRQQSLNVNYNEKVTRCTF NEFPKNNFDQNITDKNYLNTVHRIFRVIGHYTQKFYLVKQKNKRKIVNEQRYKDMKNIKL LKQEFVIISILAHTYLDMFQIIYYFPRSKRKLMTYINILHFQEMDFKDHVIFNLIDNSQF TDYNDLQNKEKVHHQIIKKHPQLDMNLIQKIKLMKKTEQSPFDLQSAKQKKKFQSRIGFA QVSNEILMKNKVIKQAISQNNQISEKVMKFLGFSRKLHDHEDNHNKMIEIQIWNRLLNEV FLLNMDHEKNFSNFNTLMDRLLETFEDFAEQKIKIIGKEHLMKRQSMENSRYNYKVQTEL TEKFEKLIISNHVRVTQQFKFSKSRYILNTNCFDSQIMEFICSAQFRIERLGSAYVECFL EPLNNKRTDILKPLNPMPYSSSSNYNIYFRYYCLTNYFFKDVRVNLRELLNLFIADGFFK SQTNYMNSKLSISDIINMCHYLTYKIKTQNYLNLSQLALQHQETENTLRKYIITESVDQF QTDKKKDGEGYIYSEVIKKKNKHLVLLQLQLFHTQHKVIVNMFENKTDYFQQKELTFTYI QSVVPNFQFLIKTRNFFKALQRLMEVLKYKQ >CAK68534 pep:novel supercontig:GCA_000165425.1:CT868061:32096:32296:1 gene:GSPATT00037319001 transcript:CAK68534 MSSIQSGQLFVSQAFKNCFNQLVPDMKKFNGQYTEQDQDAMTNCLVRYIDSFRTTQKVFY QTHEQE >CAK68535 pep:novel supercontig:GCA_000165425.1:CT868061:32496:34034:1 gene:GSPATT00037320001 transcript:CAK68535 MNETDLLRCKREQFHTEIRRNHLEKIFNVKRKVSNSDAQISAYYTQQMHHMGKIKLLDLL LLINEKVNKPVVEMVQNDLGLQLSKLLNLNELYSNWDLFKNILNYLHILVQLPDEHLQNY PKFNEMLISFSQLFILLEADIFRKGFCIKGNDQNYPLEAGYDDISRLSYAFIKIDQILMY SSTTFQSRGELLRNKLFVHNLCHAFHIQMDNSVLELHLQLITSLLQDLYKQDNDCRKHAE VFLKPICSALSTKNLDKLNYLLQILLNLAFVGMHNGYDQINSIFKFNGALELMQILNEGL NDQLTLRILAEIAQQSPAHIEILIKNGLLQQLKLKLCSNNKLVLNQALWVLEFCICGAKQ FYDVIFAQIDITNYVIQLLNSPDELIHVQACFTLCAYLQKGNFQQTQQLCQSKIHLKVFQ FLQLKNVELNQAILEAIIEILNKETWQSSQYSLISSQERENLRFVLERLDEDQSIFSMIN NLLSYL >CAK68536 pep:novel supercontig:GCA_000165425.1:CT868061:34060:34383:1 gene:GSPATT00037321001 transcript:CAK68536 MPKNYQIGAINYEDIKFDTEDEQFFNFQFEAPQRWLSDNPCINDFWFKIPHLVHKKVISN YPTIRRCLSVGKKSIIELKKQNQYKYFLKYVVEKKKCSDYIESTIKK >CAK68537 pep:novel supercontig:GCA_000165425.1:CT868061:34572:36188:-1 gene:GSPATT00037322001 transcript:CAK68537 MLKSSRSLSSRKIEKLTKKRNNSVSESGSYGKFLEFLQSHAGQPYSQFLYMQEFFNTNLA QFPTDDEISQIQHNLHVSSGLLSHKKKNWTIEEKKVLIWIVGKLSQAQDLDIRDLPDEFW EDVSEMVYRRDAVQCKQKWSQLQKTDLQSKPFTQEEDQALLNIINKYQECEQGQKWSLIA NELNLHSRNYRSSKQCRERWLNHLNPRIRKDPWKDDEDFQLLNYVQEQGRRWADISKLFN GTRSENNVKNRFNSLVKREKDLILKLQNGQNATIETMLGNLTGQNLNEEQIQAIEVLKNK IIWRQRQLKDNENIQTEFLRSSIVEDPEKNERIQMKRSTIVKYAVGHLQDDQITTEELTP CLVNIEKNMIYFCSKEQILQIINRQLNQIQDNLEYFQKEVRIFDSGLINQKTQLSIISEI NDSKFSSFICGVEENQSLYNKPNVSNQHSNLDNYLNLQSSQILQDQPEGSKILYLNPIEI IHYKAFQNIQKAYKSENLLNKTAQEFKNIPKSLPNVISNYTS >CAK68538 pep:novel supercontig:GCA_000165425.1:CT868061:36212:36682:-1 gene:GSPATT00037323001 transcript:CAK68538 MRRNFQDLIKNPPANAQREQKPKQPAVVQVAEWNKALEYLDAGDINKAYEEILISEDDLY LLRLMTITGPCVNKLNQKVAFQLHQKIKLLMKSEFWKVLALQMLGNLSSKQECLRIEQKQ KLQQLLYQWSKSWQPQISKETVLLYNSGVFKPDHII >CAK68539 pep:novel supercontig:GCA_000165425.1:CT868061:36703:38698:1 gene:GSPATT00037324001 transcript:CAK68539 MSLLNFNPAVRSEITTPRSKKVLATLGLVVQDLYYPTREEILQQGVQFEDVDYYVEAKLQ HMSERVDRFLDLVRQEYNNNSEEHERNSQSSQLQLSQSFMQKQEQILRTLQNKQKIEIER EIQTEMKIEEMKRKNQFKEKRIEELRKMQEEEFHQRMQEKEAKLKLREQKLKQSKLQADM QNETIMRKFQEEELKIQQKQAKYALLKQMEREERERVIMEKMNRRAQRMAEQEQQVQHEN QIRQQEYNKKLQNMEQMLFEKQQYIKQQNQLKEERAREKYQNATALKQLETYQIEQRIHS KEKDIQMRSTMQEFNRQQLLQQKRNQEQQKQYMMEQKKFTISQEQNKRNQEYFSQEKERE LKKSMLDEQRKQKREECSLVLQSHMEEVQMKQRYLDKQTELENQRIMAQKQQQFLNAEEL RQRNIQNMKRKYVQELLKLEDASQNYQIQKRKEQYKNATLLERFEETDRRLKQQQSEYQK LQDTKKQMEIQIQNEKLRILAEFDKKKKQLGEERSSQQVSQIVKPNPTERILINKPIKTK SATSFHLPPAKEQKDQKLNSEIRSTNNKSKRTLEEKSMSTTSQKKRHYFISEDTMKAVSL YANGEILKQFQIEIRPNKKKR >CAK68540 pep:novel supercontig:GCA_000165425.1:CT868061:38888:40183:-1 gene:GSPATT00037325001 transcript:CAK68540 MKIRNQSNLKTRVLKEQRTEENEEFIQRFLNYKTHNKQFEYCNLQRLENCTLKIYKESSY FGQMANGKRNGKGVLICNNGRTYEGHFENDRKHGQGYEKLPDNSIYEGMYINGKPEGMGK FNWANGESYEGGWLNGLKHGQGIWVGVNKDSYIGEWKMGSPNGYGVYVTESGDKYEGEFH NNLKHGQGIEYLSNGDIYKGQYANGKPEGQGEYSWNSGGYYNGTFMNGFRHGKGTWIKDK NAQQSDCYEGEYVYDKKCGFGIYRWETGSRYEGNFFEDMRHGFGKMFWNDGSYYSGMWQK GSQSGEGEYCKKGEQPKFGIFEKNILIKEDFDKIRKQAPQIRIRQYTTSQRSMRANSYQA NSNFVNNSSMNQVILRPTSVKQQTQTIQSQGKNTFQSKKKRQFSLHKQSIDLNF >CAK68541 pep:novel supercontig:GCA_000165425.1:CT868061:40297:41641:1 gene:GSPATT00037326001 transcript:CAK68541 MESCQKTHHQNNRIIGICLRCKNNYLSCKSCFAEFHYQHNEDFLLIDNILLVVDQVLQKI SQANDQLRSQKLFEFGTFIKSKYSQLKEIVTKFMNQNNQLNLLLVQMFNNNIQDNTLNEI LCQLIVQAQSFSEQELQNINKIFIESFQQVSLDNQIREMLDRCFLLCSIQKYPEAHKLSQ ALIKLTSKKQFLKTQLSQLNNIPNQEIWSLECLFGRNLYLLKKYNLSIDYFQKLLQQDQN EHILAESYIYLSLNLIQTKKYAEALNELAIYRTLNPQNILINFFEGFALERMNEIHDAIS KYQELALTTNDLLYNLAYGKILLQAEFYDQAEEIFERIIQSNNQNEIAQIYKCMCAFYKG LTLLIGKKVEKALKQSEKLIDTKQESVYGYVLKGTKLEILIQQELFQRYKKRMRKLLLQA IN >CAK68542 pep:novel supercontig:GCA_000165425.1:CT868061:41664:43134:1 gene:GSPATT00037327001 transcript:CAK68542 MELFILKLLLCIMIGIILIQDSDFWEANGYLQKIKDDKYIKENLKRIQVRSLLQLKKVPE AFGILNEIQFCQGYDNMLNDTFYMLKKIGMTFPGAIQFHRLYEKNNKDSEAMHFEGQCYY NDLNYDKALQCFDKAIDANPKSAKSFYYKGQILFRTFQFEQALECYLQAGKISSKYNNHY ISFHIGNLYLLLFKFEKAQEAYNLSIEQDSQIEAGAERRQVTLAMKAIIHWYNKDIQSAR DLVDTNLQVSTFMLSRLYQELKYDYQAQHNLTLFKQNNVDGYNFQKQNYDHGQMFIKYME KNSQQFEQLDDREYEIMKYAAWVLDYYAYDIEFGYKDWDYSKIFYLTIQNEQNEKITRQQ QRRLEKYPII >CAK68543 pep:novel supercontig:GCA_000165425.1:CT868061:43153:44625:-1 gene:GSPATT00037328001 transcript:CAK68543 MLQSTGETSQTQPSINYATVRYSFKCVRRHLFKDKVYYVHALPNMITIGEHINDPNPKYK LELNLKNIVYWKQEHGQNRAFVQIRKNVKYFEATSEQLQKFRQEISCLIGFHSLDDHYKI DELIGKGSFSSVYKIFRQHDKKVFAMKYVSSKQKNDKENMQLVENEIGILHSFNHDSILK IYEVYRVEEYHYGIIVEYLDGIALSTLIEQLKKNQCVLKESDIKTILQALLIALAVIHQE QVIHRDIKPQNIMISQQHYNSVKFIDFGLSIKNQLQYNRCGTPGYMAPEIVNMRKDQQKA WTSLCDIFSLGVVFFKLLSKGISCFQGQTSDQVLANNKKCQIDWSIVQQHNYSKNCIQLL KAMLAKDPEERITAYQALQHPFFGDAFSTIYTDYVGHSISLKSKQILNPTLEKHNLDSQE SMEETSVQKQQVYNRDIRPSIISRKQ >CAK68544 pep:novel supercontig:GCA_000165425.1:CT868061:44888:45767:-1 gene:GSPATT00037329001 transcript:CAK68544 MDQTVVDQLSLDAIPYYQEQLFGALSLVLELQNKIEAESEITENIVVKIQDDNKNEIYQH VLDFDEQQIDDVIQEMNEKCLSQLEEIDQETCKQDEHIFTMNQKIDELEDTICQCMTQTN KDQVVLNQLTNCIKDKQMLLQDINLEIKEQEQINKQNQQIIKRRMKQEKLKQQELDEKML LKQKKQEQKQLLKEKNAKNEELLMLQLIEEKQRLQYELDELIYGQEQKVQQLLSQMETTV MEEDDKSVVTSAVLRESSNKSHYERREIQEQPKSRTCCGECTIF >CAK68545 pep:novel supercontig:GCA_000165425.1:CT868061:45817:47282:-1 gene:GSPATT00037330001 transcript:CAK68545 MYWNHDPEEEFELLELIGEGAYATVYKGRHKEDGQIVAIKIIPMVDEVDNLVQEIKILKD CQHPNIVSFLGSYYKESNLWLIMEYCEAGSVLGLIEVMERGLTQEEISAIMYSTLLGIEY LHSNKKIHRDIKAGNILLDHKGQVKLADFGVAAQLTYSCADKGTFIGTPFWMSPEVISKS RYNQLTDIWSLGVTAIELAEGAPPYSHIHPVRAMFAIKNNPPIGLTKPELWSKEFNQFVK ACLKVEVNERPTAQQLLQYTFIKQGKQHQKKLLTLVEQYSKKLEEARLTKLQKNENSQQS IKDQVPLTIISNCEEVDDEMTHGDYGTLVIKDQMIQAKEEPDFLKWNKMDQQISDHQKKK VEHTKELSLEAQIQNMSLDERKRLKGQIEQQMHEELELVKKKYASKLDLLNAKIKEEEDK KKQTQQPLNLPFSFQVSQEVLQQLANVKTPLIKSQQVKQAKPPPFEFIPKQKL >CAK68546 pep:novel supercontig:GCA_000165425.1:CT868061:47341:47628:-1 gene:GSPATT00037331001 transcript:CAK68546 MLSEEGNGIEDLASEQYEDGEEGDGQQECYGYMMLAIQNSTLHYKIVLSFNDGQYFEILE GKSEYKIKGQFVQIARYINYAYQDLQIVDETFQVR >CAK68547 pep:novel supercontig:GCA_000165425.1:CT868061:47854:48110:-1 gene:GSPATT00037332001 transcript:CAK68547 MRFGRVGAISAITRSKRSEQYLAGKSDDIEMTEKQLNQAEKIMRGISKDQKSMVLYRVPV LQDNNASDLVFGEQEY >CAK68548 pep:novel supercontig:GCA_000165425.1:CT868061:49114:50697:1 gene:GSPATT00037333001 transcript:CAK68548 MDINTEEPEIGKKVCVEPVNPPLRLPTKVDISVIDHETPKKYFKLKTLQNLLMWLFCKNQ VNPLWLTVTNKHLIRCGVGDELEFRVKFGDVQIFIFLQSEGQDCVFQRIGVGEGKLLAVT ILHEQQQQDPQSGLQEEQIYNQYRNFILNKEQLKLNFYPIDENIYHDYVKLDGEVQTKRM NIFAMDCEMVQTENKLELARVSIVDYNYKVVLDVLVKPQTKILDYNTKYSGITEDMLSNV TVTLAEAQKMVKSILDEDSILIGHSLENDLNALQIIHHKCVDTSVLYMTESNRKLSLKNL AYKYLNLSIQKDTHDSNEDAKIALSLAKLRIEILDHFPSTLFTQQQTATPDVLIQLKKLG GLHLVELKSEVEFLLKYDVGFEDVEQITDEKKMQRMAELLRQRNANAVNPKLIFAQIHNN FEGFESSFQNMYNLAPSQTIFILSIGGEQQQFLLITK >CAK68549 pep:novel supercontig:GCA_000165425.1:CT868061:51689:52699:1 gene:GSPATT00037334001 transcript:CAK68549 MAETKEEVKAQPKVYAYADLIEFENKQAFSSIGKMPLSNKQTLPSFSFGSAERANQAKLY HNKELARIDFAGKASPGPVYNVRGGDHFYYTQDANTKFGTDARNTLGTGAKFDYYQRKDV DFEPQEADLIRKPKYPNVKIGLESRFPPEKRLKGTPGPQYDPAIKPEVPTPAQYSFGYRR DIPGASALAPTCSTPVIVGPGAYLQKPPANTSNLEDAPHWTLPKGPKLGKIFEGWDKNQT YDTKQIAVGVQVNSKKKSYPAFSVGKSTREAKVGHFTQLMVKVPSKVHIPHPKI >CAK68550 pep:novel supercontig:GCA_000165425.1:CT868061:52726:53399:1 gene:GSPATT00037335001 transcript:CAK68550 MQKYLGAIYYQFRYLMGRAFKETGLYFDQYGSRLSNDIAWLEPLSRHRKIMPLFAFYKPR ISESANIQDNASLIGQVNLGENVQIGYGAILRADDQAIRIGSNSVVGDNTSIQCSRTRLP TNVLASVTIGQSVTIGDSCIINNSIIDDNVTIGSRTLILDGVQIERGSQIAEDSVVPPGR LIPSGQLWAGNPVQFVRNLNEKQIN >CAK68551 pep:novel supercontig:GCA_000165425.1:CT868061:53424:54593:-1 gene:GSPATT00037336001 transcript:CAK68551 MGNNNVCLGEIQNNPTRQGSPDTKWRREDLKSGSCVQSLMDKENANFFKMVQCGPAIPHY KNEHSAQRWAQAIATLNYVDDIQTTINLLCNKPEFEELVLLGPPKQYRWATWNALLTNGQ IQLSYEKYLEYKPLDVKSILKDIDRTLVNHALFKHESCGQEQLKRILCAISNALPGVGYC QGMNFVCALALIVSGCDEHKTFQCFMQMLTNEKHLLCFNFCHDMPLHFFFIKLIHHLIRK KFPKLKLKDVNDSLWISKMILSLFIYVFKLDDCIRCWDYLMVRGMIRGIPELILGFIDVT YKQLEQFKEEDYGFNFQGHESSILQFNVGELIYAAKQKHTIDRQLISRLAMKMRKSKPSQ LLDLLSHFENIQTYRKHVQFYMKTIFDLY >CAK68552 pep:novel supercontig:GCA_000165425.1:CT868061:54678:55040:-1 gene:GSPATT00037337001 transcript:CAK68552 MQKQQTLAVVRVPSGITKQPFKSTGITNQAPLQRVNSAGFTSASRQSYQYQKPATPFYQT HNYRQDWKNYVHSFPRVPRIKVLAPGTGYDAKMIK >CAK68553 pep:novel supercontig:GCA_000165425.1:CT868061:55163:58354:1 gene:GSPATT00037338001 transcript:CAK68553 MIIIRAFRNTFCRASISTSTTTSFPQVYRHKSQLLEVSESCKIINQLPHELIEQSCNIIT NHFFPLHENYKRIIPKIEEVLKNPSLTKGTLFQGQDSQSIAEQIYEMVILIVDFADKLNI GFLTGDIHPLTFSIIQREIEITQDVDLLNENLRKSLFNIGKQYKLPLNGIKHPDNENPRN LFERQLIIEQVSNELALDRFQQVFDDLQKMSKAQHLFAAQKYIVEWFPKLCALVQQEQIQ CFSDPNKEQQGYHQYITAIQSEKLAIIALSELMKEFIEAAVLFHEEKTTLNCYQIRVTRL ANRIGEQIMKQHLYEEEQKNLRRKSKEDIQKMIEEGTVPQDQSEEEIQNQKELFYKQAVV KAGKLLKTQQEKLRERIRNNRVYLAKYGQAMPKDIAAQIGMVLVHFLVDSIKFRNENNFW VPVLVQGYKKVKQDIEVAIFNVNQLFLHHLSAGMHKDNSYFMHLDRALPMIYPPAKWMDT QIGGYYLKPTNLIRIQDQSLQEEAAKRANLQNLYDILDNISKVAWRVNNKVLNIAMQVWE QGGGIAEVPKRQHDKTYVFEHQIKECKSYEEKYQLLKMIQSQRDLYSLQCDFTLKLGVAL AFNGCQKIYFPHNMDFRGRLYPIPPHLNHMGPDIARGLLEFSEGKKLGKSGLRWLKIHLA NKMGKDKLSMEDREAYVDQNVDSIIKCAEDPIKYQDWAQLEDAWQSLAAMFDYVAAIKSP NPEEYESHLHVHQDGSCNGLQHYAALGRDVEGATQVNLANTSKPGDVYTHVAGMVERRVE FDAKDSLSKDHIIALKLQNQIKRKIVKQTVMTSVYGVTFIGAREQIHRQLKDKGIIGEDE HLQYQASIYLARITLDAIKDLFEGAHKIKQWLIKCATIISNENQPVSWITPLGLPVIQPY RSLNKYDEVKTLISNVSIESDAAYLPINKVKQRSAFPPNFIHSLDSTHLMYSSIECLKQG ITFAAVHDSYWTHACNVDKLNELLREQFVRLHSQPLLEDLRDSFQRRFPHLEFPPIPERG QFDLEKVKQSVYFFA >CAK68554 pep:novel supercontig:GCA_000165425.1:CT868061:58450:64636:1 gene:GSPATT00037339001 transcript:CAK68554 MIDRRHEKKKSDSSNTLRIKKRESEEVEPSNLFQNSDRFNSNHSQFKHSLNISDKSSFLG LQNPLLSQASISDRENRSKRYSQDEFQNNLEFEQPIPLIQLLEHEIRLRCRPELLKQTKC QGDQTEQIIANPKATPEIDVDQDILRKYNKHYTRNYNRDPYYIKLAELHGYQRIKHELQV VLFIQLQNYNDPYALLNVRKIIVYISFVIPILAKTIIHSIAFQLLMTVLILFNITLYAIV KTQHRSDTDQIEQIITILFFTEIGLRILSQGLFFSKHAYFVNFSNIFDFSIVMLSAINLY RPDIVIIDLSPLRIITLLQYLGDIFDGLRVMLTALRQSIRYILEALAIVGLFSLFFALAG VFLFQGLFNYRCVPINEEIGDDWIQCNVDHCPEGMECKYVDETIKLPTSFNNIIYSYGQI LRTITMDDWSWVMFFTIRIFNPWIWIYYLLIIFVCGFFSFNLVIAVLKTHYAEATEQFFQ QQEQQEINQKLLEEKQNLERDVTDVFDVAMLRQIGFHKTFQQYYKLLNQHKKLTQYKLED FQTKNSTQPRLLSAKQRLNNNTTNSCFDKLFECLREFTLKNILLTKFKILSKQQKSLVLK NYTEDEDQLKILEDLNKFEFAQLSKQVNPKQYQKYTSLEDVLPSFANIRISKQNIDEKVI DYTKYQCSFEYLVPHNQQIFRSNNIRNSFKCPIKKSYRAKKKQIFQDVESQRSHVAHTIY IRNEKKIVKGFNKQMLKSKHSIPFTIRKSNVTYLFVQGYYLSHDKIAFKMKQKIEKEKVN SLTFDLEYKKMRMNEIKKKRIIDQNWSGNEVLDTQYSTFNFQNVLVTLNKTDFIVWIKGV SGILQILRKYVNKIVSSKFTEIALDLLIFINFTFLAMYGIADASIISQVEDFTTIVLSIE TFLRYCSISFKELSNNNESILQTLIVILNFIEFTMSDYMTKLTEQNLRLIRGTKCLLFYR CLKYNSMAVTIGHIASKTFKSYIYLTFLMFIVIFLYALVGMEVYAGEFDQNDVLGQLHSY NNVLISFMTVFNIMTNDDWYGVFVLGTGIDETFGILYSYSMVIILNYLTYGLVLAILLDG FSKYLDRQIFSEIEDTEQSKMQTSNTEKQDTQNTQQLTDINQTVQTDLIKNRKSKKKTAL IQHLINSIRQINQKILDASPELYDGIQCEQSLFFFNKSNHFRMICMIIARSRLYKLINDT SLTSSIIIFIIQTYNDYEENKEIYPQKVLFYLNIILAIEYIINVIAKGLFLDPGSHFNSI WQIVDVFYIISFFFQYEKDYYVKPIFQILLYFGYFRPFNLLNRIKFLNVLSSALYQSLVD ILNVLLTLFSVWIIFGVYGIILYEGQFGFCEDKMQFRVNYEDCIQQNRTWINFKHNFDNI TMAIPTLFTVSTFDGWGEMLQIAENSDNRNVGPVPFNSYIHTYVFFITFCFIGSMFFLSL FTGVLFSNLKANQRKIEQGEFNQNQKEFMEISEIITKDIPVFSTPPDNVIRRLASIIMNN SIMQTFFLLILLLDVVNNIIFHFGMNLEYLEIINYIHHVFSVFFTIWSMLQYLALGLFRF FDNYWRQFLFILNLIAVIDLAVDLKYNWVEIYYFSSPLTQYYRLYRLCFALRSLRLILIF QGLINIQRLMRVMVYALPFLGKIFFILIDTMLVFALFGCQLYGQIDSGQVMDDQINFHNI AQAMLTLFKCASGDDWRTIMTDTMHHNPNCSTDSTYCGSTYSQIYFFLFMLLSNYVFLNL FVLGLIEQFESFFQVQNSIIQTYVENEDRIKTIWCKYSPETQGKAMHYKFLCRFLLDLGS PLGRGKEENLWDAAKQASAFKLKCDHHGYIQYNQLIYELFRTCFYNDVFKTGSKNAIKQI KQYNKEMQMKLMNYRRNLFIKRANICLVDLRTNFNILHDYLNVLIAFKAWESHSRSLIKK VNRRSKEYTENTESDEQIPEELQQSGHSFLNEISIFRGSAQISDTDRFQPVITDDNQNKH LLTFQSQDMLLNSELPQYDQQLQNHSKNTLFIYHPEK >CAK68555 pep:novel supercontig:GCA_000165425.1:CT868061:64783:66411:1 gene:GSPATT00037340001 transcript:CAK68555 MISLLNSSVHDDQYHSNHKLKKKYQVHRQYKIPDFDNTSRFNILRGSPNSSRKYITIEPS KQSLDCGSAFQGFQIRVLKPQERYETQKEEKYIPITKSAQLKRRKEKKEDNINQTQDDLL LPKFYSPIQTPRKLALTKMKESIYKPFFSKSENVDHIITINSRSQIYDQLNKGMNLKCLY FSQRQETIDKIIVINFDNLILGKRKSFWEQSSEIKLCSKLFASQCDNSFCSCVLQKDLKS TLQLLSKHFYVIFIFECGLRGSTWSSYLIDCGFVIDAIYCIQKSKLCGGGGGLKMKQIIS HFGKSQIRELIYFGLIDTDLYSDNLPIEQFYYQIPIVQQQVSVQIFLMQLQKSKSIDSKL LYEISMLHCNQKDSFNYKKWLNVIKLNLQPIISQMIQMEQEDEISNSIFKNLNLDHSQRN ISDEEEDKNSLLQWLYQTKRLLLDYFKSINSEEQNQNPITLIGDFLRRNSSIEMNFLTQD YVKQAKRFNLYNELKASTTAQATFRKQSKMECFVIQD >CAK68556 pep:novel supercontig:GCA_000165425.1:CT868061:66501:68441:-1 gene:GSPATT00037341001 transcript:CAK68556 MSQKFKILEESKTLPLIVRKPMAVMNLNLYKKYQHSQNYYYIREINEILSDASTKHVIHF KDWLTMDEEVPQLMLNNRMNTSSGIISWRNIHKKLHYLQSITKYGIRLKQFHADIARIFQ EPVCTVLNKYYDKKRKYDYFRIAKIIEEENKLNPQKPPKGIVGERPSPANSQESLPQEQE EGENEVVHTQVLKEISWLKNQKPQNKDASQTINHILNHLEYSADLSSFSIAPIPKKEKIT LDNFLLYVGTKMNKKQKTSPNPKHSQEQKIQDLIQKQKAKMHQKGLPSYSLHKKNDTKYS IGSTEKKINFVKDQCNNLSKKSNRQASTDIIESLTKLDTKPQEQIKNKSKTTVVKNQLKI NKIIRDVNQNNTPTPSLHKVSISSSHLKTQQPQVIQSNNNLKLNSLAKLLIEDTYHDHQH NKLKQGAITHRPLSGTNTLFTSYSNRNSPSINYKKSNLQNSKPEQKKGKSINSSQQSQQF GQLAKTLFKKVLNSKKSKPINHIKQSSSQNLNQFDKLKINCNSQQQLNENSDKKQHKKNK SDGKALYNKLSIPDLGCLTERHDKESPLLNFHSNNNHSSPQTQHFRRLHSEKQESISKNI KGLMIQQMLKQLAKQNQQNQQKQELRSIIKTSQKKF >CAK68557 pep:novel supercontig:GCA_000165425.1:CT868061:68636:69440:-1 gene:GSPATT00037342001 transcript:CAK68557 MKNQQVQSPQIFNTHYLRTDHKINSQPLVLNLNQSRQSSKALSVDRVKLKQANENVEQLI DLWQRHKSITQSTPTQVSQSFHYHSTTQKNESFVIEEIPETREVSPLKPEEIVIKPRQLF DINQSTSKLPSTNLQNSSHKKTSSLYVGALSKSDAFSGTKKSAKENLKLSNNGLSSSKKS QFNSSSKKLPKELSTIVLQIQSIQKSLLPHYQKQDKAKKADIEEQFNILINQIKADFTK >CAK68558 pep:novel supercontig:GCA_000165425.1:CT868061:69578:70747:-1 gene:GSPATT00037343001 transcript:CAK68558 MLVNQSKCMFCEKLRNDGSFHLILKRALYCKYSQSQNFFYEKDINDIIEDRCVKCNIRYR DQLHLSDQNEYMRRYYRFLESEDRLPALLEYYKYHINIPRNFCSTIIKKRMDRNRELQYG KIKQELGLAQNHKDIKQDSPRKVKDTSEDQSVSQMKNLLKDLKIESTQTDISNNTTILKD LVKMIGNDAQKHQPFQILNYNKIIQKQINPLVEAQKLQCKQIETNRNKENKTRIVRSPPQ TERNLRSPQLSRQSSNTKIKIENHTTKRPPQLKSRQASLGNIELTKSCQTTVRNNPQQNE LLLELAKKVFSTKIAITNNLITKKKPTPQQTNNFFVKGRTTLQFNVKNIEEIKSLTIRHK TPKQLIKSHQGTPNYKKKQQ >CAK68559 pep:novel supercontig:GCA_000165425.1:CT868061:70823:72000:1 gene:GSPATT00037344001 transcript:CAK68559 MQPNSISSPKFKVSRFNPHREHLKTQIAHTSLLTLKPRASLPKIQKNTSQISQRSEIPLL KMQSMGSPVNQNYLLEMEKVYCVWHSETGIVGGEQKKHNQDAIIVQNLNNYQLFVVCDGH GSSGHLVSNYVLNTLIQQIEQGMQRNQYMLQYNTQLHKTVIKGAFAKTSSLLEQSSLPII RSGCTCNMVMLLQQNIVPADLGDFQQEFQKESVVYCANVGDSRAMMVSKGVRGGLITNQL SMDHRLDVVEERNRIKQKGGTIAQLQHNGQSVGPFRVWLDEMQGSGLAMSRSFGDTQMRS VGVTSEPTIYESKVRQQDLFMVIASDGVWEYMTNQQVAKLVYEKYEQQDQAAQYLIQQAQ QQWKENDVVVDDISCIVVFFNQSL >CAK68560 pep:novel supercontig:GCA_000165425.1:CT868061:72024:72540:-1 gene:GSPATT00037345001 transcript:CAK68560 MQCDKIQSDVNYNDSPIKQIPAYQLLVPRQVNYVDCGAFLLEYAESFLSNPNYLLSDFES PEGIYKLKLFPRTLVNKKRFLMKQLLIELVELGKEQALVNYKQRRQEIIENTNEDEYDKI DQDLFKEFLNQKSSISVNSDQQRSMLLDFYMNPQQNYYEQ >CAK68561 pep:novel supercontig:GCA_000165425.1:CT868061:72650:73525:-1 gene:GSPATT00037346001 transcript:CAK68561 MSEQKQPNIINYFQQYKQGIQNAFKILEEVDFELPEEEVKQKIPKQIEDQKQPIEPKFIS QADTKRALENIDKLICQLQLVTPLYSDPKYLNFESNDRTKRQTQMKHQQMWDQIKLQQKE RFAKFQDQEILERILELDTQLKEDYEYNFQFSSGTQKKTIQIKYHDVLKLNPPNYLNDGI INFYLKFYRVRITR >CAK68562 pep:novel supercontig:GCA_000165425.1:CT868061:73567:79247:-1 gene:GSPATT00037347001 transcript:CAK68562 MSYSIDHFVQLLYFDNLEHLESGQNQTRQKVKEGKVCDEIIFQSLVKVQLIRIVKPGYNV HQNLKKLITITQSEEPIRNLEIFAKSYQSNKPQSRYQLLFGSPEISSNNCDILITLEQPY ITDFIVFRGQYKRLTICMYGEIMSGQSIQSYNPTYGKNIGLQELNDVIDARIQRVRSINQ PQIIKQKNQVLDHIYNQENYHLCVDNIQPQLLLEDKQFNQQESQKQIEILAKELKSIDQD YDFVDKQLVSLYHEKLEQMHRVLTKHLKHSKADIHSAAHFNDVDRIYKLLPTSLVDSVIF AIHASYSSAIRAFPIIIQLLSSKYHALLFLDKGGLNKLLEFLIEVQQSPSYFKLKVIETL YNCMNHVEFCNELITRNVEIKTQDSEKSPKKKKKVKKDKEKKKKENKEGKKKKNKKKSRS RSRSKSKSVTQEQQQFTNEQVSNFNGFQLLAYTASKSEDEKIVQACHVALNRLQIYVRFR KLKNMIDLVYQGKLAFIEDGTMEFLMNNLPYQWEFENLVGLDLFLFAVQKDFYCDRQREL MYCLFDAEKTKTANFKLVKEILQMKDLCITYANAMWFKQMQFMKYIAAMMVLNQNYSQCC QLIYRILQIKGGIVVICQETTALKLIIRVLTKNNDIQLLNLIKSSLVLINLMDQIYIKLL GSDYDYELISLLGQLQQYTEEQDEITQNCAAVTHLMNNEFFSEALLYIMSVTKLDDIIEH EVEITIMSHIFINILRYSDTNIGLFLADRLIAPNARFIKYVNTEKSRFSADFRLSQILLS GVLEPYELFLAKDTTKAIRESFHAKLKFVAINQDPDKNKLKEYFGVGEHKKGIHEELKTE FRLLGTFDQPYQSLQFLDGAIKIFKWLCSCNKFYFIPFFEHEVIAGVECFAGKLINLFTA MTSKNIQTEYMLIKLPNQQQILRHYFDLTFNTIELIQERLKFRVQLGCESSFHSNDLCKI LIDLWVSLQKVQPNGLRGCKQKVENLLNILRNCFNYVITLNSFQDEDNDQLKLHAQQGSQ EIQTLFQSLYLSAFRNQEEQKYILNLMQYLIKDNQNKPQFSNNLFNVLFKPMRKNDDEFE NYTKNGDLGFLIDTMGDQKCLQNLMQSSLRTFDSALQNEMFKVLRNLLELYDHNISQHLF KIIEDQLKNYSNKIKALAKKEDQQLYLKYRQDWVLLGLFLTQAIDICLCNCGFMYIFNYE HLNEDMIKIYKLIDAENDYCDNQPTEKAYKLLIATLRNQILQIFKKAVDHECGVSQFLQS ESQSYQYLIEDIPHITYLQDLLKSLAKNLVKHIEYTDDSKEYYHLNLISSKLIIEILECT SKNIVGQNILLYSDYTQNPYKAKPIFQLYSIIELVQQSIQNYNNLPFQSVYTSIIEQFIS LLFRLFVSIPNNVIHPGDVRKRAIQTLMFNKQENIIDFITNLSNQLTNIPYFIKHVKLLQ YLLKQIESNNKEIKDQNVTLPSEPRSHQVVFQLIQEKILQQQSKGKQQQVLSSFFKQTVL EGDKMLKYQSLTVSEVTPWKLAFKELKKEVPTQPSTFVYPEVLYKDQIFKLVPLEIPTYP KTLINDIMSLQIRQPMISKDRIGFAKISTVQPTLEPIRPAVPIPIRTPTVDAPPPLYKPE MMFPLQQPNVQPHQNPINSTPVIPIRPQNASSNYNLLDSIAPPVTFHQLEDPRLQRRQEY NMHPMQSIQQQSGIQQQQQQQQLQQQQAMQQNLHQPYPISQMPMFMPQMSNQMGSQLPSQ MAPAMQQAQPQQQVPPQQPVLQQPMMHIHAQHPVQQPPIQQQQPQPQQQQQPNELAELIA MMNEQEKAILREFLTSKDPRKLEQLEQKRMEYPRIDQFLMALSQQQKKRSQ >CAK68563 pep:novel supercontig:GCA_000165425.1:CT868061:79278:81419:1 gene:GSPATT00037348001 transcript:CAK68563 MSSFNQKQQKKLNEHKELAQKQKDANERFQEGKKIMLKENKTANDFDRAIQLFSEAITLL QNSSQTEPQYAKFYAARGNAYMQTGQYQRALFDFSTAVRFEENNAEHYGARGNCFLQLGE VNDALKEYDKAIQIKSTDGFLFLNRALVYARLDNYKKAIDDYQQALKYLKDSNAQFKAHF HMGNCYRQIKMYDQSIEHLQKACDIKKDEAPAHNNLGLSYFENQQYELALERFTRAIEQD ESKATYYNNKALALYHLGDLKGSLIEFNKALSIDDQDARALYNRGNTHLALGKRTEAHAD YDKAIKLMPKNSKFYHSKGLAYQDSEEYEMAIKMFEEALNITPNHMPSIFHLGLMYHKND NLKEALSLFTQVLNAEGKDRLVYSSRGLVYMDMKNYELAIQDFNAAIEMEPTYPETYYNR GLARIEMHELNDAIKDFEQALELNSNNPGIYSGLGQAYRLKKNYEKALFYLDKALKESPH NQEFLVQRSNIFIDKKEYPKAIIDLTSALDRKPNDAQIYYRRGLAYYKNQEFKKSIADLY KAFENKPFQSYVPDIHYHLGISFANLEMFDKAIEPLTNAIDLQKYEPAYIHERAKCFLLV GENQLALEDFDKVIEMQPHNSHAYFGRAFAHKALQSYGKAAEDFGRAKDLDPMNPKLIVN FKQIYYVKYIKLCNPGEEQR >CAK68564 pep:novel supercontig:GCA_000165425.1:CT868061:81458:85852:1 gene:GSPATT00037349001 transcript:CAK68564 MISIGFACTPKSQHLQFLYPTQDENYKLDMSELFDGADLIYVCTIGEGNTFRDRDVRRCN VSQDGIDMKNALEVEKYTINHDRLLKNINSVSGYFNYTSVIENYTNLTIYEVDYDNHIIT ELVSSRIKVNLDCKSFSSAFAQSNNIITDCYYNTDFQLILFSQGQQIVIYELFQSGFDIN LIEYTDLVYSNNYIIYGIYLSNSDSYLHTYYYNETAQQAKFITRFNRNTFTDFSLMADGK SVAILNLTNCIYISLIDDLNQITALQPFNKISNNQQFLAIQAFPSQFYGQLTTSFYVVTD NYFDNIIEFHYDGQNLSVVQIYESYQQYTFNHAQRILIYLSTSFLVIFQNDIQSYFYIGK YSNSHEEQTVAPIYQNKDFEDYVQFYLNYEGNNFLKFSRKITWYHLQQPKLVININDQNQ SGVIHIFAYENPELHYEFDIFGCNLTLTYQLLNQSNQDIYSTTNISKLSTVQDLSETFRL NDHFTGPLLQLSLIDDSQNDSNISISIPQSTAFQNLKTNLPGLFQNYSQLFFVENYKMLF YAWTEMNNSTNLYYTICRIGKNQILNCLLNKSINCDVSQLTTIQMTQSNPTELILAFDQG PQIIQVYSFQFSINLSNYSQGVNISEPSLYLYNIGYQQSINQFQILYNKLIVLNNQFEII VVSYQTNAKYYINQTTIDQAEGQNYDIVFQPIQISINQQLYQSTLFINNQNGVITLELYN QPIQNTICFTILSYLKSNQDISQIFVSQMFMVLASSTNSPPYTVSFSVYDISDISHPKFS KPLPNCTIQPGTQILADNYFFYVRQLNGGVTIYSIDLPYHSSQYFYLPLSQNESISSTNT KQQSILSYKNNLWASFQNNPYSFKVYQVTQEYKMHTLANLSVSISNPWGNKSMNYDLIVV NTYLQISMNYSQFKNNSNIRNLTFYAKANSNNQVLIPLNMTNVSMGQVLVYHLLAYDKQV LQFNKSFNITNQFINESQYFTQEIVNITQMVSFCTSLFYQNTSGLYQSSYVIFDGLQLMN YNFTECLSLSVTINGPTIYMNSICKSGDVVTVYSLFPNCAFNNSTTCNSSQPWNYQKNTS IIYSPPFTSILKSSQLNQYLFILGKIGQNPNSQKIFIYNLNQNASSNSTPNSIQYKPSRT IQCRGGIIDYNFTVLQNKSLINNTYSGRGVIFYLCQNPSELKYVSFNITNTTLLFFSKVS NRVSDVITQYVNKSNSQFLQIFIYLVKYDQIILVLTSNNVYNLVIIMSYSPLSFAETSLQ FEDVIAYIPPYGNYTQIPLGVLQNSYLALLFSPPIEQGGRNIIAMYNMEKINQTYNLANE LSYNGTIQMDAGYQVDQKRNILQIALISQPYNKGQFLLMLTNDGENLNKTNVTLLVFNLS IYFNCTNVGDLSPSYEYYLVASNSFYSYNMTISITALQLSSSIWAWILLFLTILICGFLV SQFWTKIKMQNLENKSAELLEIEM >CAK68565 pep:novel supercontig:GCA_000165425.1:CT868061:86385:87683:1 gene:GSPATT00037350001 transcript:CAK68565 MSIQVLNRQLECNFTETEKQLDVFFDYSNSFSNLLIISIIQLIKSLLWILQFLIQAIQIQ NYLSQQFLKSIQLINPTYHQTFFKKEPNNQINNINNHHYYHHNYINIKLNLSRSFIIYNS IEQKESCFSIALNKDCSYIALGCEDLIKIYEYKKANLKQIQVLYNHQNIVTTITFMKKSN QFISGDAGGSIFIWSHDQENEWYQTQKIKAHNYNIYCLILNNNEDLFISGSGDQTIKFWV KQNEWICQQIIKDHEQSVDQLSLNDEENKVLACGCDIFLIEYSKQQKKWIVGQNIKTEFQ AYSICFINNYQFTYRPIYGNLMYIYEMSNINQQFTKTKVITFNKVGGTNCGIFQQQFNKN KQILMCNHWDNVNLIRKTENNQFKVEQTIQFDSSRLFGQMSDDGKYLITWDSTSNELQIR KYKEQ >CAK68566 pep:novel supercontig:GCA_000165425.1:CT868061:88651:89525:-1 gene:GSPATT00037351001 transcript:CAK68566 MNNVQQFDRSLLTVDIVGAQPKQKSSYLDKILPQDIRQANNIKLQYTIPKQDPQYGRRRY QHDFHHFCNSCVPQGENYFDGSHKKHYNLHLGEIKQYRALENYYDQPKKSIRGQIKEPFQ GHTQARQEREHLRAQNNKALYLSQLETNVDYQSEIEKQPQRIKSYSIQPTPQHQVFESRV SEPQQPKYDYMNKPLKLPPIMERDTPVINPISNKLQLRQNYKNYLLEDYDKTISMLQQNQ PMRLFV >CAK68567 pep:novel supercontig:GCA_000165425.1:CT868061:89594:94560:-1 gene:GSPATT00037352001 transcript:CAK68567 MELKSNFGTSKSSLEADRSVLEKLQVEMKGVFFRVIQLMLKDEEQSIPFTCIGIAIQYMQ ITYILFNRQIWKVWQNEIITKQLNKIFGYKMIIQFYRYVLLTPYFEMVSFQGFVAMMYVC LGLLLFALMLMFLLSYSVSKSKSSFTWPIMLLRFLMSLFLQVLYMPIVDLLFSMLSCADN AAGVLQHQLFDVECWVNIHIVHSVVAIFGVILFYILCLLFSLLYFEPRYQPPEASSKKSG RANAVLLTYQLVMVICYTFMTGKNYDYLMILIMLIGSFIVFWKIHIEQPFNNLYIQKAWS MLVALNLWGVILICFAKFLEGVLFIGTVYAWIAGVPLMIAAIIKSEKLHYDLLLLNLNKV QDPDQIVQLTNHLLKLLYKSSLNSNSSLLIDGFLEIHKATCTREDCYLKQKKMINQRQQK PLFKEGTIIERDLDLLMVMAQIYFNQIKKFPNEINLRIRYAFFLLDNMKQRQQAINELIQ AETLSPSLDNDFIIFRYKKIIEEEMNTAQNETFGNLDVATEIAFQNNMRQFQNKIERATL MHMDFWSQLQEESPDLGKMNEIGSKINQAILQVEELWNRMQKMTQNLPKAMRLYAKFIIE VLQDKDFGEQLLEKSKSLQTQNNKMKNKQTISIFTSEEIGYESQPILLVSASQEKFAQIT NLNLGACNLFGYNKSEMINRKINIFMPNLYSKFHDAYVENFLQSNDNKNIYKDRLIYIKM KSNYIMPCYIYLKIIQSLDENVSLAAQFRVLKSFKPTCYLILDNEEIIDSISSTCIPLLN LDSKQISHKKTSISELFPNYQQQKQLFLSKIGGQILFNLNTSSAVSASQEFEEAEKKGET IQFQCFTSEIFNEHGDQVVGYIVKLEQQIQEMSMNLEINIPHQKVLTNTMQFKFNPSKFL YQGEYVSDTNSQRVDQTILWEQNDQSSMISSVQPGADLIKSQIKSEKSEDGNVLIVSKIN YAEGIKIVRLFENRVQEIDDRDDQISEEEDQQGRSVFQRQQDVDNDQEGQMQDFNNIFKS RKNLSTVVDNQITPQVIKKLTWTANFIILLLVALSFSDFFVCQAQYNEIYSTLELVEYTN LRTAELHSILTSVQNLQMLNLNIFQINTTEARTAFETVQKTKLNNSIQLVSQLNKNLMLS NIYISDQLKELQSENVVKMKFGEQYQNYDLMEATQQIVSKALNIRDKSLSQIVYEDADVQ FIIYNLLNDLVFQMRNSSNLYAEGMIVKTKEKKETFQIILGISAGALGLGLLMLTIITLS VNKIQEEILSMFLDIPDKTVKYLYNKSENFISNLQLGEDDDVLSELEELEKEEQEELNKT LKSKRKKKKFKNTNKDQRNYIFAFAFVILILQAYFIFNFFMSDTLLSNLAQLVPEINATS RAEGFYRFSDNAQSSLYINRNITIENEDSYTKVKQNIDKLYALDSSIHQEHSLNTDITNS IYQTAFKEVFMLQPCTILASYLEEIKETDCQVLADGAIYQGMAVGLARYFENLRYTMTIY DQFWNNSKANFTTIARGFTTFKNITKNSDNISNYILNLVNFNQSKEARVIQEVYNKGTFR YLMEKLQVGISIDIDDAKTQRLALFIVFEVLLFVIYFILWLPLVIKMTRDVWRTRSMIMM IPLRVIQKIRSIKQFIKDFLHTKELET >CAK68568 pep:novel supercontig:GCA_000165425.1:CT868061:94583:96877:-1 gene:GSPATT00037353001 transcript:CAK68568 MQQQLIVQNSTMSNFQFKYFAAKALYLGENQEEGNSARVDQTVIWEQSSRMSEETQVEKK EKVEVVKIERINYAEGIRTLKLFDNRIQEIEDIRMSFTDSDDVQHSSVFQSNSDNPDEFE KGQKNNVFRNRKVLSSTINDQQRPKVIIYLSSIINILMVAVLTLSFTSYFLGLYLFENIQ NSLNLISYASLRNQESIQIVMNIQNLEMLRIGIWNFTETEAIAYEEEQRNELNKSIYGLV EANKKLMLNELYINEQIEELYSKSVVNVRISKSRFSNYDLIEATQQIISKALIVRDKPLQ NLTLDDEDVAFINYNMHNGIIIEYRNETSQYSYGIRDLSENNVKIFFIFMVVSASSFFIL LVMIVILIIKINLIQEQILQLFMEIPEKTVKYLYNKSENFISNLQVGEEEELSSDFSDDE QQDENKELSRTLKSKRKKKMFKNTNSSHRTQILIITFILCTFQGYFILSYFLNQVINNNL RQQIPELNVTARSGSYYRFVDNCERQLFLNREEPILGKDSYSIVMNNIQLNYEVDSELHQ EHAKNSEIVNQDYYDTFQSIFMLSPCDIFEKEGYTTVEYCETFADGSISQGMAVAIARYF ENIRYIMTIYDMFYGHPEANFSVVARGWGQFRNITNDSDNVTNYVYNLNNFKQTTESRIM QNKFIRAAFEFLLDQYLAALKYDIEVTQTQLLAIFIVFEVLIFFVYFIIWLPAEMKMTRD IWRTKGLILMIPLRVIQKIKMIKSFIGVLIHSQDQ >CAK68569 pep:novel supercontig:GCA_000165425.1:CT868061:96969:99485:-1 gene:GSPATT00037354001 transcript:CAK68569 MEVKSNYGSTKNSVENDNILTRLQTELKSIIFRVFFLILKDKEQSIAIQIFLQVMSFFQY LTFIFHRQLYLVWKNQKVSYQFYKFFGYFMLTPYFEQLNFSSFASMMYALIGIILISIML LLLIGYTNITRLNTSYTWPIYILKQIFILFTTILYLPILDLLFQMLNCHYDDKNQLINVV FDTICWQGSHVIHAIVAILGIIIFIMITMTFNLLYFEPKYNHKDQLSKTSGRAKTLKCFI FLLLEISFTFIDLFKFDYVAIYILMIGAVITFYQFHIEQPFNNVFIQKISSMYAALMLWS AIMMCFSNYLENTIFHGTIYAWLVGIPLIIFAIYKKEKYLYDLLLMNINKTEDPNQIILL TNYIQKLLSRYHANQHFHIMLDALIEVHIKTCQKEDCVFRIKKQLNQRLLKLKDANITER DYQIHLLIGEIYQGYIRRHQNNVRLRINYAFYLLDFLKQKQQSLNEFNQIELLSPSIDYE FTIFRYKRIIEDEMNISQNEALSGNLDIATEIAFQNNMRQFQNKIERATLMHMDFWSQLQ EDSPDLGKMNEIGSKINLSILQVEELWNRMQKMTQNLPKAMRLYAKFIIEVLQDKDFGEQ LLEKSKSLQTQNNKMKNKQTISIFTSEEINFEPLPTLLISTMSSKFAQISNLNLSACNLF GYHKTELMNRKINLLIPQIYTKFHDKHIEMLFQSNDLQQIVKERLIYIKLKSGYIMPCYI CMKALSQLDEDVVIAAQFRTLRTFKGGCYLILDHDDAIESVSSSCICYLFIDSKMISHKK IYFHELFTNYNRNDYLNKTGCVISLNLQSNVVQNSNYLQYYINDLARSDLNIILNLNY >CAK68570 pep:novel supercontig:GCA_000165425.1:CT868061:99524:104447:-1 gene:GSPATT00037355001 transcript:CAK68570 MELKSNFSGKQSLEIHKSRTEKFINEIKLICFQVTQLLLKNDEPSIVLSNFAIIVQFFQV TYILFNRQIWSVWMTYDVSRQIHRILGYSLLVPYFEMISFVGLISIMYTCLGLVLFGYML VVLLNYRLQTNFSLLTEILRGLIKLFLSILYMPMMDLFFSILACTINEKGESVHLLFSET KCWQGTHIVHGIVSIFGIIMFYLFCITFALIYYEPLYLPQNPQSKKNGRFLVIFLTYELI MVICYTFMVGKQDDYIFIFILAIGSFLVFWIMHVENPHNNKHVAKAWSVLAAVNMWGVII LCFAKFLEGQLFYGTIYAWLFGLPFMIAAVLKADKLNYELLLTNLNKVSDPQEVLNLTDY LIKLYKAEDSDSQLMIDGFLEIHRATCAKEDCYLKQKRGNNQRILKSFFKDLTLSERDVD ILMVLGQVYFNQIKRFPNNITLRIRYSLFLFDLMKQRQQALNELLQADLQYPSFDNEFII YRYKQIIEIEMNVNQSENTNEKLDVVTEVAFQNNMRQFQNKIERATLMHMDFWSQLQEDS PDLGKMNEIGSKINLSILQVEELWNKIQKMTQNLPKAIRLYAKFIIEVLQDKDFGEQLLE KSKKLQLQIIKQRNQSMLSLLNNDDLCYESNATIIVSTAPEKFAQIMNINLSCCNLFGFV KSEMINRKINIFMPSIYSKFHDAYFDRFMQTNDNKLINRERLVFIKQKSNYILPCFLTLK LIQSLDDSLQLGAQFRPLKQFKPTCYLIVDANEVIDSISASCIAFLNIDYKSISNGRLKL TDIFPNFDQSKQLYLTKSGGTLHFKKSEQQLNNKSSKDENADCEIQFICYMNELVNNTNG ELIGYVVRLEINGNEKSMNQELNVHHHFQGVLSLQFKFNPRANSYCGEFLAELNSQRVDQ TIIWDQGDLSSMISSNQPEININVNQKNLMSVDDDINQKKGQIDYGEGIIMVRLFENRIQ EINDPEIISDEENEDRVSVFQRSQNEDFNGLGQEELNEKNNIFRSRKQLSQIINNYQIPK VITKVNWTANILTIILVVLSFTDFFIIYEQYDDIYNTIVLVRNQNQRNAELQTLTTSIQN LLMLNMGVWEFQSEKEQEAYELLWKNKLNQSISNVDSLNKELMLTEVSLSDVIINMMNSD VVSMKSSEGISELFDLSEAIQQLLSKSLIIRDKPISDIKLDDIDVNFVLFNSLNSLVYQL RTFSTLYANELRIKSENNKDTFLLILSISAAALGVGLFIMIMAIVSVNKTVEEMLVIFID IPDKIIKYLFNRAESFLSNLQMGEDDELVSEMDDIEKENQNELNKSLRAKRKRKKFKNSN KEQRNFTLGILIIILLAQGYFILNYLQSKSFLTDLSQMIPEFNATARAESFYRFVDISER SLFLNRNQTIMNQDAYEIVKNNINGLYSLDSSIHQEHSQNVEITSQTYLDSYKQIFMEQP CTIISNFQNEITEQECQLFCDGAVYQGMAVGIARYFENLRYVMTIYDQFWGNPNANFTYL ARGFGKFRNITKDSDNVRNYILNLNNFNQTIETREMQDRYNRGAFRFLVSQMIQGISQDM EGHKTQMLGFFIVFEGLIFIVYFFLWLPLVAKFTKDIWRTRSMLLMIPLSVIQSIKSIKS YIKMNIQINDVEV >CAK68571 pep:novel supercontig:GCA_000165425.1:CT868061:104977:106673:-1 gene:GSPATT00037356001 transcript:CAK68571 MESIIVIRDIFRKYPRKYEMILKDLCENLKSLEDPEAKASMIWIIGEYVDTIENADTLVA NTQSHVVTDEPANVQHQILVAVMKLFLQRPNDGKELIHNLLKTATIECENPDLRDRAYIY WRMLSTDPELAKKIVFTERPTISDSSYTIENELLDKLIENIGNLSSVYTKKPESFVKKLR DVLNSKIADKIDEVYDAEELMEGRPEDYSDQQAGQSNVYENSYDTSSQIQSQFLKKLIYQ NQMNHNSNKYPNKYHSNNKYPNNNNNNNNKYLLNKSNNPIKPKMLEYHLLKSQYVNTPGA QSQILGLSIEAAFQKNGDKIVLDLRITNKTQDKTFSDFGIKFNKNPFKLQPDAIEIQSQP VFPGQTQVTQSYINTNGPASEEPPQMPYKIQVAFKTNLDVFYFLIPMSLSVLFSSTASIT QQKFIELSQAQNQARKQEVLQIQIDPQRMREKLERNYFFLIGVRKDERGVELLSYAATLV NGMSLLANVIHTPTAINLQLQVPHPTLMPLLYQAIGFILTLN >CAK68572 pep:novel supercontig:GCA_000165425.1:CT868061:106729:107993:-1 gene:GSPATT00037357001 transcript:CAK68572 MSRPSASTSSSSRSSRSRYGKEVKELQDALNQNKIESKRDAIRKIIDAMTRGKDVSMLFP DVAKNMETSNLELKKLVYLYIINYAKIMPDLAVMAVNSFRKDARDKTNPFLRALAIRTMG CIRVKLITEYLLDPLKESIKDEDSYVRKTAAICISKLYDVSPELIEEQGLLKLLDNLLND GNAMVVANAVCALLIVQESKGTTMLQLNSYTSQKILTAMNECNEWGVIYCLDALAMYVPE DGKEAEAILERVSPRLNHNNPGVVLSACKIMMKFLDYLQNPETLRQNALKMTAPLISLLS LGKEPEIQYVALKNINLIIQKRPIIIEKDIKVFFCNFNDPIYIKLQKLEVLAKLANNDNI QQILHELKEYTQEVDVEFVRKAVRTIGRCAIKFRKSS >CAK68573 pep:novel supercontig:GCA_000165425.1:CT868061:109441:110412:-1 gene:GSPATT00037358001 transcript:CAK68573 MYVNEEDDNWLEINDAQPMSQDQWCYSVVYNKEGNIMISGSGKLIKVWKLQGGNLTYDKK KYLIKAHQKDINTLTYSKQQNMFVSGSDDNSIKIWTLNANNQFQKQQEIKTQSWPISSLL KQDDSQLIVGLWNGNLLIFDKNGNDYQLQKEIQAHQGQIYSISLNDSQDSLLTLGWDKKI KVYTQDGRVWKEAAQIQLNGNGFRASFISDDEIVFQPLESGSTEFYKYLKNTKVIQKQKY TLNLGVAQEDKSFFPVQWNKQKALFTMKLNKKLFVIKREQDSQYQIVKTCEFSDERIFGA VTPNFDYLIIWNKKAKGYEIKQI >CAK68574 pep:novel supercontig:GCA_000165425.1:CT868061:110440:112087:-1 gene:GSPATT00037359001 transcript:CAK68574 MNFFRPPLTSFIKTLADPQLESEQFMIGNESITSKKDVIRQLQEQILHFKEAHHFTQSEM DVIRQFELLLESKHKRRDIHFQSQTTDMTRKKKSEVFRMKRAKRRTIIKVYAKKLNAARN RFRILGQFISNEEIHIIEETFGSHVFSDNRNFSLKIGIALKNKFGYEYYDKSQVIKEIKR LKKQQDQILSHQIIKIMNELLNYALAKCFQENILNVVKVKTRAHKPLLTSGSQVIDLPQK NRSNFMSKKSHPSCDLQIDTELEYSHSKIRQKSINDVQLPHIQLDAIHRNFFDNQNINES DCPTTKRYDRYRSFSTKASKNQRKGFLGLEINNQQITLNYKKLLSKLNAEFTKFQLTLYL TQYIGSLDYVSKLLQLLNCPSKITLPSFKQLIYQIQDMQQIEIQSCIFQTFDLDCKGFIS SSDLFKMFTSNGAIQKDVDFIYKNVPIENEREKKLKRIYLCEFKEKPRPMLITTMKNSPR NKYKIKQFQGNIINFEMFCKIYNKEIPEIFKEFIRLMFYNYI >CAK68575 pep:novel supercontig:GCA_000165425.1:CT868061:112100:113200:1 gene:GSPATT00037360001 transcript:CAK68575 MQKKVIKKSSTVKQFDAASPATKLLEKRRKMYEIHEAYEHQQDEYKKQEEEFKKQEEQIR EKDKQIQEELIKFCNFLQENEAKKKRALVRFQEEKSYKEQKEKEIQDLTAQWTDLQRHQQ RLEKKVTSLKKYEDYLDSIIKQYPEQYHDLQSILDRYATLTNSNSKLVEEHQNMEKEFEK LKYESTQYEKEKNHEILQLNNDIKDLQKKLEEKASERNQIQSVYEATTNDASSKSLSLGR ILMAVDNLFTRCQEGTQRMKQDFEEYKQDKQNKEKVKDKITNNKIQNQDLQFNDEDNYEL KSQQAAWKLKQIVQFMSDFKKIIDNCKGELGKAKEQKIK >CAK68576 pep:novel supercontig:GCA_000165425.1:CT868061:113230:114295:1 gene:GSPATT00037361001 transcript:CAK68576 MNKQEKRKLPNHLQYDTVSPATKLLEKRRKMYEVHEAFEAQREEFKKQEDKFKLEEEKIR QKDMEIQESLIKFCKFLQDNEAKKKRAEGRLEEERRQKLQKEKEIQDLNGQLQELEKKQQ KLEKKVTSMKKYEEYLDSVAKQYPEQYHDMASILERHSTLSSQNQKLVEEHQLMEKTYET EKYVSTQTEKDKNHEILQFNNDIKELQKKLEEKIVERNQLQQLVEASANEASSKNLSLGR ILMAIDNLFNRCQEGTQKIKHELEEAKQDNNKKDDKKTKKDDSKKQQNKDEYKVEEEDNY EVKSQQAMQKLKIISLYLNDFKKIIQGCKEDYKKAQNK >CAK68577 pep:novel supercontig:GCA_000165425.1:CT868061:114328:115147:-1 gene:GSPATT00037362001 transcript:CAK68577 MEITNCQHPNHDSQRIKYVCVDPQCSIPKKIGCADCFLDDHITHIRKTTTQFKDFVNDSI QQINSVEFQQASNSPQKDLEKQIDTELDSCMDCIKQKFNSIKGDLKGQIDSDMIKISDNC TQYQLNMNNELEPFKSTIRNDLHILNQTELNSLVKFYQEAPKIHKHYSKAAEQVQEEKQK IKQKKQKYLAKMRSIMQILLKDFNELMTSKNVQFDDCCDYETPQKQVMSPNKMINLVETA RSSRRFPMSQTQKKYFLAAVTKKLE >CAK68578 pep:novel supercontig:GCA_000165425.1:CT868061:115419:116626:-1 gene:GSPATT00037363001 transcript:CAK68578 MSLLSRFTSIFSSSQTTQASSTPINQQLQEILTQIINSNGKLDLKQKGQVLAQFLLDRDV GEHIEFLFQFLETRSKDLSGVQVVNLLAAIHQQFQYNELIQEVAIKLRETKMSWVQLEKQ EWYSTQTEPDQQKNQQTQKILTEFEDKAQPARIYAQLCYVYLQKLAANVDLYRSVIKLNY PYITEKDYIEAKLIFLWHYKIQNLINSGSILIQFNPNLIDIQVALYFDVWRFQKFICTEI EKIIDQYATLPNSDTLSLYEIYCESQRHYEHLNQFHQFTQSITQPPPQCQINNTLLQEFF AFVTKLKVLNQFNFKKSIKVPNKQDPMMGIPTKNPNVLNIRRSSQQLDDQQSNGSEKEEE DFANNKKFRSDHNRVQSTFQYAQK >CAK68579 pep:novel supercontig:GCA_000165425.1:CT868061:116736:117442:-1 gene:GSPATT00037364001 transcript:CAK68579 MSQQAQKGGKAAQPKTDAKQQPAAQAKVAKPQETKENVMRKVRIEKLMVHICAGESGDKL TKAAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDQARDILVRGLRVKEME LKKRNFSDSGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVVLSRPGLRVAQRKSRNSKLGT QQRVTKKEAVEWFKQTFEGNVY >CAK68580 pep:novel supercontig:GCA_000165425.1:CT868061:117474:118487:-1 gene:GSPATT00037365001 transcript:CAK68580 MTQGKNKKLGKKKGQKKTIDPLARKEWFELTAPVPFAAGGFGYTCINKSAGTVVATEAIK GRVVEASLADLQGQSDQMAWRKVKLIIDDVEGTRCRTSFYGLDSTKDKIFGMIKKRQTLI ETQVEARSQDGYILRIFVIAFTKSIKNQQRKTTYAQRSQIKDIRKKIVEIVLKEVSKKSI TQLLGFFNQESLAKEIGKATRTIFPLQNITLRKVKLVKRPKVDAQKLREFYDDSNRTKTA QVRRKGQAEDQTALNLIKQGRSRQRIILSLTRMILFQYKEQNYLLYLISSF >CAK68581 pep:novel supercontig:GCA_000165425.1:CT868061:121434:122970:1 gene:GSPATT00037366001 transcript:CAK68581 MISKEEAKQQEQSKKAQKNKNKKKEAKGNNKKNQKDVDSDDDFLDQLIQQNQEAQKQQQI QQQQQQVIEDKKKQLGPDYVEGDPITNSRFVDNSGIRKLGNWEEKEWKQTQPPTIPVSKQ FTQGVYPKGQEIPYLGEKSSRISKDEMREKDLIHEYQLQSLRRAAECHRQVRQYAQAKLL KPGNKLIDICEKLENMNRYLVEENGLNAGIAFPTGCSLNYCAAHYTPNNGDNTILTYDDV CKIDFGTQVDGWIIDCAFTVAFNPVYDTLLQAAKDATDTGIRNSGIDVRLGDVGAAIQET MESYEVEIGGKVYKVKSVKNLNGHLICKYHIHGGKSVPIVKSNDNTLMKEGELYAIETFG STGKGYVNEDLECSHYMKDFYAKPTAVRVPKAKSLLTHIDNHYDTLAFCRRFLDRDGQSN YLLGLKNLCDLGIVNPYPPLCDIRGSYVSQYEHTIFLKPSCIEVLSRGDDY >CAK68582 pep:novel supercontig:GCA_000165425.1:CT868061:123044:124898:-1 gene:GSPATT00037367001 transcript:CAK68582 MKFILLLALTFAIISTEQIPEVDGILQLSRRNFQQALDEHPRLLVKFYIDSCGYCQKMKP VFIQLAQRLKEYGFVLGEVNAQESKSLAAKHDAKAYPTLKLFRNGVSYDFPNSSDSLEIL FEFALQHAYGPITKLYTQEEIDLFLKRSNIAVLKYVNDQDELSNVSLDHIQVKFGIVENN ELRQNYPHKYTLINKDIEKPIHYNGDIDGLSEFISTKGYPLVFSLNEEEFMKVENDKIPL VGIAGQKNGVLHKRFKYLAESYVNSTRFVIIDPSLELSNRRFEYLIKQSPVAENTIYYYD YETKKTTTTTFNDNSVGVLKKAVESLIEEVTAPKREAERLAKLIKGDGQVHKLTTENFKE QVFDNHRHVFVKFYAPWCGHCQSLAPTFEKLAQELNRDDIVIAEVDHTANQFDDIPIEGY PTLYLFKQEGDTKTRKEYEGDRSYQGMKSFLERNLGKVESAEKQQPQFSEIKNEGTVIQL TNENFDHVVLNSKQDVLVKFFAPWCGHCKAMAESYKELAQNLKDNQNVLIAEMDWTAHQT SAVEIKGFPTLIFFKKGQDKPEQIKYQSARTAEALAKFIEENSSFVKKEDL >CAK68583 pep:novel supercontig:GCA_000165425.1:CT868061:125025:126677:-1 gene:GSPATT00037368001 transcript:CAK68583 MNLPKGSFFDDPKSNLWIKEVIQASDPKISGTLIKNSKLGIQKESNYFLQDGKLACQHKY IDLQNATLEKIKDIGFKLTKNRKSVELLTHNEEAQGMWYKQLKQYCIQRGFNNVYSINKL IGKGNFAKVYSASKKSDHSTYAVKAFDKLKFQDIRIDKPALIKELSIMRKMEFVGVIKLC EVFENDNYIFMVCELLEGGELFNQMKGKAYDEKTVAHIMFRILQSIDYIHSVGVLHRDIK PENLILRSKRDMADVVIADFGLADFYNQEGDYMFKRCGTPGYVAPELLQDKIYDYKIDIF SAGVLMFIMLTGQSPFKAQSYDEIVMKNYHCQIEFSLIKNQPLSEEAVHLLTSLLEKNPD LRISSELALQHPWFQKQGDHKLILADTYPKHKKNSELYAKTPLMGQELNQSITSTPLSVT PQMRSKSNTTDQQKEDQLTSTSRQQSQSLKIKKQECILEENEYNVNEEDDVPHSHQIKMY QIQPKLKRNQEEQKQKQNVDNKGSLQFKI >CAK68584 pep:novel supercontig:GCA_000165425.1:CT868061:127709:127951:-1 gene:GSPATT00037369001 transcript:CAK68584 MIQNDQNFKPTVPQGSVILSYKYLWIVIKYQRTVQKYMKPLVSNFVSCSHILNLLIYAQY LFIMNINSTLFPKQYCSIIL >CAK68585 pep:novel supercontig:GCA_000165425.1:CT868061:128167:130133:-1 gene:GSPATT00037370001 transcript:CAK68585 MVIFFLGVFFSIIHADDKLYDYPVIGIDLGTTYSSVCIQRDDKVEVLANKFGSKTTPTVV ALSNDEFIVGEDAKEQAIINPNNTFYDLKRLTGRNYFDPSVQKMKKRQAYSIAEENNRTC IKVDQYGNESNKLFSIDYIQAKVLVYLKQIAHFYLEVPIKNLVITVPISFNNAQKEATID IAEIAGFNVVRIISEPKAAAIAYGIDNLTQKKIIFVFDFGGGTLDITVVKATKDKFEDLE HQAQPNLGGEDFDQTIVNYFIDYIYKSTRIDIIHNKKAIQVLKTEAQRAKETLSSQKIAH IKISNLIEGYDFNYSLTREKFEELNEEHFNDVIDVINSTFKISNLQIIDIDEVILVGGSS RIPKIQQIIETIFVNSKIIKDRIQDELVCVGAAIYANSLTKEEYQKYQFAEIMKTTISYG VETLGGMMSIIIPKMSKYPLTLSKIYTSVLDYQTRIIIQVFQGENKFTILNQQIGLFELK GIKIAKRGIPEIQVTFSLNYNGLLQVTAFDLDTKSLSQYEIVITNLMRQSKEEIQKQKDE SEKEEKIYLERMDEFLIEIQQSKYKNQISQAELSEIKLLLDSSSKWLQENQYKADKKITD FEKKQQDLKNSIDAIMNKKRDL >CAK68586 pep:novel supercontig:GCA_000165425.1:CT868061:132154:133167:-1 gene:GSPATT00037371001 transcript:CAK68586 MVKNHQLTPYGNKAQTDGQEHQLTPYGNNTQKDGQEHQLTPYENNTQTDGQEHQLTPYGN KAQTDGQEYQLTPNENNTQTDGQEHQLTPYGNNTQKDGSEHQLTPYGNNTQKDDQEPEHT QIEDLIKFQDQKQQNFTDIQEPNSQIETQILQNFSQNQSSSHSYSNQVELNINYSITPNQ LVGRIHQNLQMTNFNSFKLIYNENFEKFVNQNILQDLFYTIYNYGSDPLICIAAEDSNTI VLVACDYSTQVFQETKNLYLAQCSHTSEICWYYYINKAFGFSPYKKINLNGCDSFDPEDP KRLCISINTEHNGRRIGILNQSEQLTKYNLLIYILNI >CAK68587 pep:novel supercontig:GCA_000165425.1:CT868061:137887:140908:1 gene:GSPATT00037372001 transcript:CAK68587 MITNQLFNPQLGSKQFAVPRQENRACCFYPRRLLICYDFIKRIPIPLKAVHYFLKVQSGF LIVELKQTYSTQSYNEPIELEYLFSINKNAAVTKMIVELGDTKVYGIVKEKEEARQEYEE GIKQGKTMAYSEQDEEFPEIKRVKIGALAPKKELNITFEYIQPLDVFLNKLWKVEVQPMV DENYFSLNKQQQRTQNLYFERLSRYIQKFVQIDQFVYNFKQDISVSIDIGSPITYYKCPT HKILSGNAKNEYAKEQISQENLKKLYLMLEDTPSNFIPTKQFTLLFSSDDVNLPRAFLSH TNNDALFAQKYCATLTFIPKFNQTTLDDAYSQYLEELNIAENQAINRGTYLFFIDRSGSM SGGRIKKAKQSLILFLRSLPDNCRFNIISFGTMFRSLWSDSKQYSQDTLDEAIKHVNAME ANMQGTEIFKPFQDVIYNNQYGKSKTTTLNIFLLTDGEVDVFPIIDLVKRNNKAETRVYT LGIGEGCSQYLIKNLADVGNGKFQFVADDEDINAKVIDLLEDSMTPYLQGFNLESNISNI AQIIPNPESIVCLKKNQELTIQVLFSLEQVTDNLQLTLSCFDPQEQKPIKYSVSLNINNS QENEYFHKLASHKFITYYENSLNYGENHVNFIKINKDTIDDQDIINSSVTHQILSSKTAF VCEVCDLEDQFKQQMKQKVQITQIKQNQSVDQQLIGLQRLVPCSSNLYRPTSSKGCRQMQ SQLSSQPQIQRKILFKFNSKMAADLRTRRYEKDDKLNSLQKECNQEMSYKSKKQTQNLME EQRAFPKIVKLIKFNQFQGQIKKMEYDQLISYAKADGGFLFDEQVEKQINFEGWKNEESY PQNIWLTLLALLYLDQYCSQNRKSWQLKWSFLQVVEGIITKEYQTTNLIQHFVSQISIIF INLFNEFKINAKYSVQGDWCTQTSHLDAIPITAFVYQFFEYGFHQIPYCLKPQYQQSALL EESLPSSKRPKESKEERKSNE >CAK68588 pep:novel supercontig:GCA_000165425.1:CT868061:140934:141654:-1 gene:GSPATT00037373001 transcript:CAK68588 MKYILTEEHVPIPEKIEITTKSKQVKVKGPRGELTKNFRHAALDIQVQKKVNKKTNSSKS RVSVRMWQSYRKQRCQVNSVASSIKNMIRGVTTGYKFKMVLAYAHFPIIINLLDKGFGIE IKNFLGEKIIRTIKCLPGVTITRNEQEEKNVLTLQGNDLNNVSLTCALIHQACAVKNKDI RQFLDGIYVSEKRLEI >CAK68589 pep:novel supercontig:GCA_000165425.1:CT868061:142592:143560:1 gene:GSPATT00037374001 transcript:CAK68589 MGKNRKKNGVIRKFFQRQWNYSTVVITFNLVLSLYLMIIIEKQYYLTFDQDEKIRQEIHE SVKQNCKHQLESMLKQQETNQFNYPQGYSTLQKNDILTSSLYVQKNKYDEQTSQTNETSL NDKIIKNDSQKHKDNNKLKKEEENEKIKMNKINLSSIYSKISPENLAGKIHKNLSTANFI SFQLIYNDRVEKSLNQKIFEKIFEKIYTFGEDPLVCLAAEKQNTIVLVGCDISTQVFQDT KNVYLAQCQNNKEICWYYYQGRAFGFSPNKEVDLTYCDKFNPLDENRLCISLNEKDKERR IGILNRSEQISGFNLQIYILNI >CAK68590 pep:novel supercontig:GCA_000165425.1:CT868061:148719:150698:1 gene:GSPATT00037375001 transcript:CAK68590 MLFFFLGLFSITQATEELYDYPIIGIDLGTTYSSVCIFRDDKVEIIPNEYGFKSTPTVVA FNGTFLIGEEAKEQGIINPQNTFYDIKRLTGRTYLDPNVNRVKKGLPFTIMQENDKICIK VSQPRNKSNKIFNIDYIQAKVLTKLKNIASSYLGVPVKNAVISIPIGFNDIQKQATIDIA EIAGLKVVRLISEPNAAVIAYGRDYVTEKTNIFVFDFGGGTLDIAATIVTKQKFEEIDNS SEMNLGGEDFDFNVVKYLVDQIYNSTGMNLTDHKKANQALKIEAQKAKETLSSQEIAHIR ISNLIEGYDFQYNLTREKFEEVNQDLFDRVISTINSTFIVSETQIEDIDEVILVGGSSRI PKVQEIVEKRFVHSKIIKDRIQDELVCIGAAILANSLTKQLEKQYRFVEIKRTAISYGVE TDKEDMNIIIPRLSRYPLVLSRFYTTIEDYQTGISINIFQGESEFTEYNEKIGNFKLSGI QKATKGVPEIEITFALNQNGMLTATAFDLNTKSSSQLAIQIKNKKRLTQEDIDNLRKENE QEEKLDREKIQTISKLKKYHMQFLNDIKKSKYKYALGESQLYEIKEILNTSSKWLEDNRY KLQTTTQDFQNELQNLKNSLDKILNRQQLRDSNQDL >CAK68591 pep:novel supercontig:GCA_000165425.1:CT868061:151111:152322:-1 gene:GSPATT00037376001 transcript:CAK68591 MIIDFVQKEYNQSPGNNAQKLQKHSSFTLKPNRLPSLKMHKDYGLTEEEKGTYGDRQLIG FDKLELLGRGGFALVWLASTGNQKVALKQIAKPQQSKEAKFSYIKSDNIVQIMGVEHSNK DTWIIQELGGKPLSKVLYTMKGEFYKGERVYAISETVELLDMYEHPYKLIELMYGILNGI SCINEKHVTHFDLKPDNILVENNIPKIIDFGSAFSNQDYDQFGMITPEYMAPEALDIMHN WTKYSNQYNTQIEALTKMHGTPKIDVWSYGAIILDILHGVPNWLSYKGKIMRQGKPQIKY GLFAVKGRDLSKIIQKQQQLQLNSIIRQNCNYLSLLKQNQLFDLLKQCLAYDPSQRPEAK ELLKHDFFNQN >CAK68592 pep:novel supercontig:GCA_000165425.1:CT868061:152555:153023:1 gene:GSPATT00037377001 transcript:CAK68592 MQEWPKKLFLAIAFISCFTCYARPDYNLPLFAFAYLLWDIDRPVSQKIRLIYLFVYSWII DFVWLVYWGPFWNSSTFSHNWADGIQTFVLVLSVINFILKLGTIVVCILAEKECKDALHP ENAMGHAKNIFNSDGQHQ >CAK68593 pep:novel supercontig:GCA_000165425.1:CT868061:153054:153628:1 gene:GSPATT00037378001 transcript:CAK68593 MSQSVLWEVVKGNNAFLVKRNGLTLSTDPFNNTGVQTYSSTGFITKNAIGVVPTQAKANQ INNVNLVARKSTKFQQADRKTKNTQSVYASTLSVKHGIHTASRVIRKRFGTRRLGLQKAA LRKLVKLNRANAVRRRNEIAATKAQKK >CAK68594 pep:novel supercontig:GCA_000165425.1:CT868061:153892:154828:-1 gene:GSPATT00037379001 transcript:CAK68594 MKRITAPKSWMLSKLGGNWTTRPSQGPHKLRESIPLSVILQHKLKYALYAREVQMILADK DGNIKVDGKVRSDFGYPVGLQDVITIDKTRESYRVLYDVQGKFILKSIKPDEAKFKLVKV TQKKVGPNNVPYIVTNDGRTIRYPNPEIHVNDTLKIELETGKIVDFLKEEPGNLCYIIGG NNIGRVGLIQHRERHLGSFDIVHVKDSNGKHFSTRINNIFTIGKGKKSLISLPDDNGLYL TALEKKQAREHQEETKKQ >CAK68595 pep:novel supercontig:GCA_000165425.1:CT868061:154905:155636:1 gene:GSPATT00037380001 transcript:CAK68595 MLIFITFITLINAETCQCNTGICNAQTCYCPYPFAGEHCDYILKLGYGMNYTAEFDHYIG HNRIKEDNDCKSDCNKQGLCLQSQCYCKSPYGGQYCQFKLIFVDESENNSTQIQTLDNLF NIHMDLQTSKNIMTQIKQFDKREMYKKCPVPCRNGGYCVFGQCMCKSPYVGDYCQFQIEF EQGMPHYQVYMLMIFSCFVGIAITVIIFMILKFIEDEKKKYELISGENKDQWQKK >CAK68596 pep:novel supercontig:GCA_000165425.1:CT868061:155663:156458:1 gene:GSPATT00037381001 transcript:CAK68596 MQFQQLMPVGYVKQPALKTFVLAKIKKENTEKSIKLYKQKYHQYFYQHDYLKLIKQGQEK DHVLMLFCFPEDLEKMKGDFEIEEFLEIQLPSVAPIHKEQKSLYDGYWNILHPNYEYPHR QNKDAPLKMQQILDTKTTRNKCILYNDENTIVIEAEDETHINNVRHCVMVAMEKLAEHNL NENHQRHFLESQYYAREMTLVTYFEPCIMCAMALIHSRINEVYYYQKRVTDGGLNDQLQV NNMKQLNHKYLVFYQN >CAK68597 pep:novel supercontig:GCA_000165425.1:CT868061:156718:159382:-1 gene:GSPATT00037382001 transcript:CAK68597 MIVSQISNNEDCPEPLETNMNKSSKVLLWARRTQHQSFGIENPIWKYRAFRIILIVSRFI VLLTKQITRKDLSLFTKQEFNLIKDKAADYNFYKYQGLLPRDKPSQILLKLNNWFSCILQ HQSKFLSSNARKWLLKPDDTVIIIWNIYLIVIVTINVFYVSLRISFPEIVDFPLKSKEFF FEQLPVYSFLLEIVLKFNTCIYNKGILITNRTKIVKFYCIQGFLIDLFLVVPFFIGQQFD FRYFDFVIVLKVFQLSSLFSSLFNRLELSSRQTALFDLFKMISFMILVAHFSACIWHIIG QWGEWGHHEGKTWLKVAQLQNESWLDRYVVSFYWSIVTMTTIGYGDITPVNLTERIFVIF MTMISSATFAYTVNNIGGIFQDFSKQSVQLKNNMNQLNRYLRSQNVSDDLQIKFRRYFEY LWSKPSQKVIQFADLIPKSLKDQMIVDVNAKILNQLSFFKNFSQPLLNKLCMYLEEKYIQ SDDYLFRRNNKSSQLFILVTGEIKLVLTLNDQPRLLQKISNPCFIGQLDFFSKRSYSFDA VASKTTKVLQISRDQLHTVFKDHPSDYEILQQITDDITQNLNLKAIQIKCNTCQSDSHFT NTCPFLFGIPNRIKTLYNYRKFTPVDRTPRYIRHNHTRKMYALKHHFIVLESVLNYMMKN EDLVQIEEIRELQQQHSIHFGNQFTFNQIPTNLQTQQMNTQGKSSLSQRIQLSIDESSPR TFRFCQPQNNQYLNPAGLKNNPKLSINYGSSAELPEEEVPVYEKVLKRGGGNESLCVVNE QGYQQVLQVPSLQINQVMRRKSVKLKLDDSSEDDEEDQDKIMGQYIDIFQQFERVCEFSN YHSHNNISQVVAKV >CAK68598 pep:novel supercontig:GCA_000165425.1:CT868061:159406:160275:1 gene:GSPATT00037383001 transcript:CAK68598 MDKLDKIIAQLDELEISQKHREELLKRNQATFLLKLPKVILIQRVCGFLDDNDLYRFTAT CSTLRKVMFCPLGFKLLMLSRNANHMVVGPKQEEIELEPEKVEDIASENLQNNNNMFETE EDTLAELEVLKAVQDFLQSKLSDSQANMKKLQEMLDDAQATLKYQRSVNLKLQSKINILQ NQLSTSELQRQDIKENMAELIQKYNKLIIQMEEERVILLEDKEKLLGHKKVLIEEIYRLR RLVSQMEGNQNSYKEALRQMKTFMECVEVKSI >CAK68599 pep:novel supercontig:GCA_000165425.1:CT868061:160414:161667:1 gene:GSPATT00037384001 transcript:CAK68599 MDYQLPSMADPFTWVSYTILGILVGLSQAGGIGGGPIISPVMMVLLGCPSKKAIWNTYIM LLGGSLGNFIRLGKERTANGSAPLINYQLVQITLPLLLAGAILGVATGKWLPKLLIVIFL FGILLTVFLKTKSLYTKTRSKEMNEHLIPVELKELSIQNQSTHSKELNIIKEKDGRLYPT EPLTEISLTVLIIIVVTLLKGSGAVPSILGIDYCGLGFHFLNIVIFGIACYNVYRYRKFI SKDEEYKQSIGYDFSDGKMSAVFDITVKSSLYAGFLGGLVGLGGGVVLTPLWLETGINPP RAAASATFTVLFTSSISVFIIALSGGYQFEEFIILGLVSSFGSYLVAGVLKHIVQKYQRE SILIQVLLGVIAFGLIILPFQSIKDVYQNPLGAIQFGHLC >CAK68600 pep:novel supercontig:GCA_000165425.1:CT868061:162606:164195:-1 gene:GSPATT00037385001 transcript:CAK68600 MDEESVSLLFEYILGLSDVFEFDTDRLLQWKCKQNKDSKKLSFKPKGKFISQISTELNHS ISVKLDHLRDQSKQQTDAIMYKFNTQDHVLQQLTQQIKDKPSHEDLYDIQIEIRQLKRER QEDLTKIQAQFNNELTTIQQSLDSCISTQDFHSIFEEEISDHMSKLQAKFAPKDLTLQQL DLLDCRFHHVSEQLIELKRFTNTNINTIVNKQDFILSDLTTTVKLHEFEEFVESSKQFAT QSQLTLLQNLLLPKFQEIQDIVDKSVNDVEGFRKVIKATDYELLQKSTKMDFLILKQENE ENRLKSQNILLQIQETSKQITKFYQYFDDQKAIMAKELTEQIISKIEETIKQNVINQMEA YNLQDIAQQIDCLQDFLKTKANKIDVSDALKLKSNQKEFLNLEDQINIMNQTFKSQIRIL AEFMELFGMNTDNESMNFKKNTIQKLIFDAKALKNSFQQLHQLTGLEDQPATTRNSTHRK KFSTSPKNNQVKLLLRPLLSNTFSLQQAKRNKLNASNIS >CAK68601 pep:novel supercontig:GCA_000165425.1:CT868061:164317:164670:-1 gene:GSPATT00037386001 transcript:CAK68601 MEEPKVELDDLEVNQERLKLNTNQLKNLRVYGSLNAGMIAGILGMDGWIGIGIYVAIFLI VSACLAIKMNFRVKEYFKSSYDAYYSGIGTDMLLFLMIWVIFHNLVNIL >CAK68602 pep:novel supercontig:GCA_000165425.1:CT868061:164828:165747:1 gene:GSPATT00037387001 transcript:CAK68602 MDQRIQQLEQCILQLQFQILINNIQVPNETIPEYLRIIEVPAFVKAKLKSIRFNPQYEAK DAIFKNATNIGINRVYQGYWQNEKQNGRGQEFNDQEKKFFYGYWQNGVFVQGLIITENFL FEGDAKDEQFLEGVLVFSDKRVFKGKFVQQALNDDEGEYLSKTEKYKGGFKNGMKHGKGK LENENFTYEGAFVNDKICGQGILIEKANGWRQEGLFNDGKLNGKGVYIRSLGDYYEGDFK EGQRHGKGTLKRDGIIYQGNYIEGLLDGWVQMTQEKRIFKCFFEKGEEDKNKRSLVQ >CAK68603 pep:novel supercontig:GCA_000165425.1:CT868061:165775:166393:-1 gene:GSPATT00037388001 transcript:CAK68603 MQQLFDCPICLQTLLQPITLTCGHTFCKPCVRNKYFYQNYNSCPVCRAPIQIYLNQFKVN ILLETLIKQEFNSEQNYQLRVLNYQKRLDLRNRRKWYHTMMLIIFEYSKQIWRIIQKMLP LYLIVLVILMYMSVKSNLRFEKLQKQFTKRVKLEKLSEEIAKMVSLLKADNQDAKDLDIQ NLVFSKIVKYLFTNCVRF >CAK68604 pep:novel supercontig:GCA_000165425.1:CT868061:166406:168249:1 gene:GSPATT00037389001 transcript:CAK68604 MDSFLHTIQSIQNILNAQTDIKHIKNALKCLTDDYKLQLKINSLLLLIHLSQQDKIRNAL NKDQLLYDFILKTCQNQQNALNQISLESLKYFGTVAQIDKYREAIDILMNEGIDLPNTYV FANQLFELQRNHQNREVLTLQSFQQIVYDHQIDLQGLTTIVQSISTIEEKHICMLDSFKS TTFVERISSIEDILKSDQSILTSEQLEQLKTIQSFEQQFNKQVNKQQKDKFKNFNQFLEW IAKQLNIKVSIKENQLPPQQNQQQNSVPILVIENQPSDRTAKSVRSTIVSEPQKNPFHEG EKALRQRVQFELEKFKRRQLPDKQYSGQLVQGYLNINQEDQNQLKKFKLSSIKNKQTLFD SPEIQLGVIKKFILKEELNCNFLELTFYIGNKRQKILDNCSMVFEEPRGLQFWVPQNKMD SQILGRKQCRMSCLIAYQYSLFEPLKGQFQWIEDDKVCEAVFMVPCLLSQFMNFLPIGRR YFKYIWKEKKLFCHRSEIFKLNEKVIKSVLDFKRYIPNLCYLVDLKDFLDDGKPQLKDLK LGGEFTLQDIRVRYWIKIIIRQNLDVVVKVIGMSDAIQIVKCLVFILKA >CAK68605 pep:novel supercontig:GCA_000165425.1:CT868061:168280:169742:1 gene:GSPATT00037390001 transcript:CAK68605 MQQIGHSILSKWYSSNYFYRALFQYEVKEEGYIMGKLIESKEDILDVVIHTIRFTYREGF QAYQCQNSTLTTDSGWGCVIRVGQMMMAELLKRHLKCFYNVNLFQFPPLMQEVLQLFKDD DEMESLKVQGKPSKYGFSIQKIMRIAYEEWGKKPGEWYSPNQIVQAIYKILSDNNIIYSC GLSLLPFYESQIDLKVILQEMCVMENCICEQRVFFIEKFLQDLVRLEINKEEVIQVIHGN DSISDVYYEDLSQQNKQEIGMLLKKYVCQKCFVPIRAVAICLLSRIGCDEPNPDYIQAIR QFMKKKYFAGLLGGRPREANFIVGFVDDKFVVLDPHLVQQANMNPEEYVKSCFPGEALFM SDKEIDCSLGLVFYLKNEEDLIELIYDIQAHQQINFFSFATIQNWTYSKIQKEVELEKIK EMQNFLNEFLVQQQQQILNLDVSANLEGYEIGSSQQTLVNDIEYSYEQI >CAK68606 pep:novel supercontig:GCA_000165425.1:CT868061:169808:171089:-1 gene:GSPATT00037391001 transcript:CAK68606 MQIIGTFIIEMIMGSTLNWTILKTYALNELGENKEETHLQKVESFLLIVELNLGMLARLY LNKYFNRKVKMVVLLLQIVIYSFSLFLFGFIKDIPLILNILPNIYVFLLGLVLLDPMDSM LNENPLNFGMVDKCICQFLGGISFGWLIFGLVLQLLINPKIGDLSDSEDIHYKRGCLIYA MLIFILGGVGTYLWFPRYSTVEEEFDSKENLRGAMNSRNVIHNTFLVQEKRMYKDEHFYM IMYQFIMLFTPAIGSLNYINYQGEYIFITYCVMGVATTIGAFLPTLISQPYKINIIVSLC QIGMMIALNLLGVVYGHLILKLLCLSLQFILFGFILSFNVIILQTTYDNVVDNIPIAFIA LTLAVLSQYAVFVFLGNKKLIQSIEFSLILLSFLTNILNNYKQ >CAK68607 pep:novel supercontig:GCA_000165425.1:CT868061:171111:171600:-1 gene:GSPATT00037392001 transcript:CAK68607 MNQGDKPMLQKQQQEIMEIFNEFKSPETNLLNVSEFLEILQTTGLDRNCELLVKKLENLR GNQLDLNQFTEQFNFNYNLYENFEMIFQIMDTTNSGKISKDELKKQSEFWGLQLSERDIE IMITYSGSDEQDSVSKEKLWSLLQQYQNNKMLQ >CAK68608 pep:novel supercontig:GCA_000165425.1:CT868061:171709:172809:1 gene:GSPATT00037393001 transcript:CAK68608 MKYYKQGQLLCYIGTWMPFVILVTIFLFFYGVYMQTYLLPRIRSEYIEKPVVEINTYLIQ LVHINDYVFSNSTVVITVLLHTILSSNNIAWESTQGMAEQREHDQFSQEKDHRHQHPSLQ KQMMNNDSRLELIDKSGHRFCKNCQAFKPKRCHHCRQCKTCWLKMDHHCQWLNNCIGYNN YKMFINLLGYSWLLISFIMLTYSRCYYDTLYSYSSDSKLFLVSFTFLYCCFLWILLTAFT FFHLWAIKSNITTLEYCENKPRQPVQKSALENIVEVFGINPLIWFLPIQPNTKPILD >CAK68609 pep:novel supercontig:GCA_000165425.1:CT868061:172809:173428:1 gene:GSPATT00037394001 transcript:CAK68609 MEKIDILVKVVIIGDTTVGKTNIMTQYCDTNFKMNSLPTIGADSRVKMIQMNERETIKMM IWDTCGQERFKSITKNTFKGAQGFVLVTFEHVEGWLESIKDNIDTNTVSIVLVGNKSDLD ELRQVSRDQGQTLANKHNLNFFETSAKLGINLSEVFISLARNIRKIINSANKDTEMLTTD KTKAQKKKGCC >CAK68610 pep:novel supercontig:GCA_000165425.1:CT868061:173453:173914:-1 gene:GSPATT00037395001 transcript:CAK68610 MNQKKQQLSNSSQDKVCEIKKKIHKPISQQSLYFWGNNYIESLKKSFLTKNFMYLNLLQF PQLKPTNLKVLKEQTPKNLFDDIPILIGVDEITESEQIYYKQSRVCSICLLEINEKILIK ILQCNHYFHNDCIKEWILRKPECPTCRENILSQ >CAK68611 pep:novel supercontig:GCA_000165425.1:CT868061:174010:174509:-1 gene:GSPATT00037396001 transcript:CAK68611 MNSEIARLEELKQAEIQLMQQVYEQKINYLNTKLQLLTKEVSKKDQEILQYSQQSQGANK VKTEQSQKDLEAEKQYQKEYLNALQLEKEQEVAKLKNQYAQDIQNCQNLLRNRDKIIEQL SSELQQHRQQSLKYMDNQKIQYLSQILDLSSELQLLL >CAK68612 pep:novel supercontig:GCA_000165425.1:CT868061:174620:175603:-1 gene:GSPATT00037397001 transcript:CAK68612 MLKGVKVRKVYEDEIEEPVRQKKVRIMDDQLHKQRIKEKGLQFDDLEDDDQKSDHQKTKQ EKNKENQKKGEMLFQQRQQQKAISECEFCLSNEKLSQYYILSQSNNVMLVLPKQRFYNAY THLLIVPMEHVQCIRDVEDETYEEIRNFQKCLVGAFDKANLECIFYENAFKFKYVPHAII ECVAIPYKISKEANLNLYFKQGMDELDGFWATHKKIIEIQKNKGGIRKQIPKGFAYFYVD FSLKLGYAHVIENENNFSSNFAREILASILSVEKSNVLSPKPRTEQEAKQENDAFQLIWK SYDWTRMLKQ >CAK68613 pep:novel supercontig:GCA_000165425.1:CT868061:176138:177873:1 gene:GSPATT00037398001 transcript:CAK68613 MQPSSKQPPKEDEQCSVEDHILKKFELLEFKGKGAYGVVWKAIDRKTKQIVALKKIFDAF HNVTDSQRTFREVMFLEQLNNHENIIKLTSVIKAENNKDLYMVFDYMETDLHKVIRANIL EPVHKRYIVYQVLKGLKYLHTGELIHRDLKPSNLLINSECKVKVADFGLARSVAKPDDNT NPILTEYVATRWYRAPEILLGSQYYSKAVDMWSLGCIVGEMIVGKAIFPGTSTMNQIERI IELCDRPKPEDIEALRAPLAERVLDDIKTQKRKSFAQYFSAASEDAIDFLRKTLVYNPNK RMTVEQAFEHRYVKEFKNCEDETKRDSPFETYMDDNRKYSIKEYQNFLYNRIVQKKRNEH KSSLMFRNQNNGSVNLSVDKSPSPTKKDSSIVKKDSETSNIDNDFQHHGHGRALHQKSQS YSQGQFMQRKNTSQEDTQFQVSTHQSPTYKKHQQMFPIVDSSSPINKGSRKSSLDKKLQS TMTSALMQATLSQQQFSRKGSQGSISKTTVFNKSTINTQYNSLFQKKK >CAK68614 pep:novel supercontig:GCA_000165425.1:CT868061:177885:178964:1 gene:GSPATT00037399001 transcript:CAK68614 MKISIILGLIKLKKERRQIQKILDNIHPEEQHFASNKQEEILYYNIYMLTILPILRILEI VKHALIEKFITKIPAFHPFTKQQVEEESLHIFNDFLDSLSQKGQMNVNKYIHKVIKKYTT YQEALEMATSAKTLLVQPNDFQRQSSIQLKHINGNVKVKSKMSDFYENTADDHLQRNIAM NRTFDLLYIFIGDIHYHKLLEDPDYLYNQIQHMNPDYWKTKYTPVMHKISECIDEDLEDF IKQDDKNQNKQEQQQQKQEYCCILRAICQYAVDLLKSVNVATLYYYSVNYSFQKFVNRLD GEEDLQRILYLTQNHNRERNYKQHVKDFLRGRSNFIREMRNRCLIDQGERELIKVILNF >CAK68615 pep:novel supercontig:GCA_000165425.1:CT868061:179248:179658:1 gene:GSPATT00037400001 transcript:CAK68615 MSDQEFKKTQRLALLQSRSILGILANLPLSQEIPSFNKHMKIAYNTENSTIPTHFHTINF PVIPCSSKKVTYLYMQVHYQKEIIFSPQPKLAQKPFTQREDAEMTTLHQIQKSFRKRTKA SSQITETLEYFICTVN >CAK68616 pep:novel supercontig:GCA_000165425.1:CT868061:179702:181737:1 gene:GSPATT00037401001 transcript:CAK68616 MHIDPTQMVKISFQASIVIFISLTFAAILFGQPEKLTRGFDPDGIACGSDFGSRDYPYIY FGNPTPNTLHQTVCVKSCPKPDHKNGMPKQLDCMPNSIIQQCQAKFSIDHPETQFLIYDT FLYKGNICMPRNLAYYEAIKEISTPPSRLSNTSDVYKNKWMLLIFILVAGVASKQLLTQL KANTQYSVWGLTFGLFIFVGTLGVIFVSQARDAIANSIETNSMSAFQVDEEYILKMSNVP NPIKMVILSLIFVILTFYGAYFLYNNYDRIKDLSQLFEQVEIYMADHEYLQEASYPMILV LNFFLFLTLYTILYTFINISNRSLRACFVIDFQQIGPFEKIQGGVLYYFQILIAFFFFWG VQVIFGINNYMVSSSLVQWIQVGYNQHQDVQYNRNQATLILTRYFMQEAFYNIGKIAFAS FLLLVSPVKFVCDAIKDWAVRRQNQKFRNLLTKCCCLPFIQIYKKTRQIEEVVYIEQAIN RKYCITVNVCFKFIEDYLELRLEDSNAAELFEQLRNTVDSFLLIIKIFIALLCAIICKFI LSFNYFSNHMYETNLTSLIAAIIGYYVASLYFQIYSIVLQGLGYIYIRTMNICKKNNENY ERNQQKRRDTAFETFKNIYKDFSEIMIHFENKIRNQKTKEQLPH >CAK68617 pep:novel supercontig:GCA_000165425.1:CT868061:182014:184016:1 gene:GSPATT00037402001 transcript:CAK68617 MNSKPKSIFECLDEHFQELDQEDEDEENEIMQNENITNYDQGPGQKQDKSKHIARPKDII KWEDINKLDVQEDDLFEIQEAPEESVVSQVKDNENFNFDYEIPVLMLDDDSQISQGKKIK LAGLNNYIKSKEKERQQVEENFQRIVQQKAEELIQEQKKEELMKKIQKQSIPSKLQQKVQ SLFQQVDYEANPIKITAQQSITNSTNTPSLTKKKQNDNEQVSKLKEEILNLKNLINDYKL RYNQDQDLIKTLRVTIQKGDTNQSRTSCSTKASKTDDLINENEQLKQDMITLKQQHQKEI ESLKQQLSRVQKIQVGCQQRQQKDQVIPDKTKEEITKLQAEKAQQGSDFRNKLNEATLKI QQKDQELEKLKKQIKTMQEQKEQPDKQQIVIEDLKQQIQKLNQQIEEIKQKYLFDNQLKL LFPIQQDNVLAVLNIFYKLENTKNAVNFIKTKLNTGFTYVYSKIYNVYKWFKQMIKFKWT KSVVHHPDNQQLVKEAIQKIRTNPTVLETENQKLTKTLIQLGQENKDLQEKLSSLQIQYN QKIEIYGQLDELVRVDKIQLANQLMMRILKKEAEDRNNEQQIEYPELQIVKKYAELIMQS TLPQYQKSQSPKQDKNTKAVASLQGINNKQVSIAVTKCGHIERHQKRDQKPTKGQYYNK >CAK68618 pep:novel supercontig:GCA_000165425.1:CT868061:184492:185999:-1 gene:GSPATT00037403001 transcript:CAK68618 MIMLLKQILKVQKITIINLLFQKNCNVFQKPQNKLKLLFKKTQKIHYFIIRKQILQLNCI YYNYAIQRYPENATFYYNKAMTLEDMNSLNEALKYYNYAIERNQKNADFYNKKGIALSKL DQYQEALQQFDLAIGIDAEFSELYHNKANVLVYQNKIKEALQYYDLAIQKNPENPEYYHN KEQLILYPNRIEMRKHQNFMIQLQRRILKIQNIMKIKVRLTQIHKKLLLQQKCKGFKKHQ NFLILLFKGIQIMQNFTTIKAQSISYLLAIALAKMKLFEQSLESFDIAIQKYPECYQFYF NKAFTLHQMDLLEEALKYYDQAILINPSCSDLYFYKANTLIKLNRITEAQAQYDIAIQKN PENKNYHMHKGSFTHIFFRINNRIQSERKFKAGQI >CAK68619 pep:novel supercontig:GCA_000165425.1:CT868061:186027:186369:-1 gene:GSPATT00037404001 transcript:CAK68619 MSDYYSQKGINCHSKLFKSNYFNRLEPLILLFKLTLIILIIITKKASIIFIYYLIAFALE DLNRIDEAIIYFEKAIKKAPENSEFFSNKASALILN >CAK68620 pep:novel supercontig:GCA_000165425.1:CT868061:186426:187801:-1 gene:GSPATT00037405001 transcript:CAK68620 MIKPFREILLTQLITMKNVQIKITYYVAIALKKVNRFHEALKYNNYAIQKDPENPDYYNN KANTLIDLDRPHEALTFFDYAIKKNPQNAGYYYNKAITLHEMSRLWEALEYYDLAISKDH NNADYYNNKASVLHELNRLDEALKCYDQAIKINPKYADYYNNKANTLAQLKRFEEAQNHY DKALSIDPENSEYYNNKGITVINQQANTLFECKEYEQALKNVVAAIQRNPEKEIYFKVQA NTLQKMNRFEESLEQSECAIQKNPQNGDNYRQKGFALHLLNRYQEALEYYDQGIEKNPEN SEQYYYKAFTLCQMNRYEEALENCDYSIQKNPEFYDHYYIKASIQPIQTNLKKHQSILIQ LSKQILNIPIYIIVKLWLYLN >CAK81138 pep:novel supercontig:GCA_000165425.1:CT868396:12142:13964:1 gene:GSPATT00015979001 transcript:CAK81138 MYISKEFQKYKIASIQYCYGILGFNNKLRLIGLEKSEKIKCALLSQNKKKDVIANDENIP LYPEQNKEQNNETRQRKRKQVVEYHLKVKNNMNRLQSEIFNHMNRLEGTLENYDLGIQKN PKNSNYYNNKANVLEKMNRLEEALENYNLAIQKNPENSPYYNNKGIISYMEFVAKVLEKM NRFQEAIENYNLAIEKNPGDSRYYYNKALNKINRYEETLENYDQAIQKNPENSNYYNNKA NVLEKINRLEEALENYNLAIQKNPENSHYYNNKAKVLEKMNRLEEALKNYNLAIQKQGEN SHYYNDKASVLEKMNRLEEALENIDLAINISPENSDSYNNKAAILNKMNRLEEALENYNL AIQKNEQDQYYYYNKANNLNKMNRLEEALENYNTAIYKNPAYADFFNNKASILDKMNRLQ EALEIYNLVIEKNPEDPRGYNNKAMILNKMNRLDEALDNFNLAIQKNPEDQRYYYNKAIL LNQMNRLEESLEYYDSAIQKNPENS >CAK81139 pep:novel supercontig:GCA_000165425.1:CT868396:14032:15225:1 gene:GSPATT00015980001 transcript:CAK81139 MNRLEEALENYNLAIQKNPEDPKGYNNKGIIQYVQFLATILTKMNRFEEALENYNLAIQK SPEDSRYYYNKANVLSKMSRLEQALENYNLAIQNNPENSDYFNNKASVLEKLNRFEEALQ NYNSAISIKPEDSDYFNNKANVLNKMNRLQEALKTYDTAIQKNPENSEYFSNKAFVLNKM NRFEDALKNYDLAIQKNPENSDYFSHKANVLNSMNRLEEALQNYNQAIQKNPQNFIILRQ QSFCFKQFELIRRSLKKLQFSHLEETQKMLIIASTKLLFYFQSLIRRFQINQTDQKKLQK TMIQQFRKTQKIQDIPIIKLMFLIV >CAK81140 pep:novel supercontig:GCA_000165425.1:CT868396:15243:16003:1 gene:GSPATT00015981001 transcript:CAK81140 MKYYDFAIQKNPQISYYYDNKAFVLNSLNRLEEALENYNLAIQKNPEDADYYSNKAAILN SMNRLEEALKNYNLAIQIKPYVSHYYNQKASVLEKMNRLEEALKNYNLAIQINPEDPEYY NNKACVLQKLNRLEEALKNYNLSILKNPENSDYYYKKAQILQKMNRLEEAEKCFNLSNEL VLKAQNCHTKTNLDNSNYHSILQDFHQ >CAK81141 pep:novel supercontig:GCA_000165425.1:CT868396:18701:20399:1 gene:GSPATT00015982001 transcript:CAK81141 MLILVLLPIIYLGYTQEQCQVKNQQISFFFSTQETFEWNLKDLFTGSYLNYTLTSKQPFF TLKKPIHQEYTPKTLIEGISKIAAIQARTEQNQRVWLNQFAFIEKSVNSLSIFYAQGTQG DYKPPNFNYKIVFSQNQDIQCLNLEYLNETSFLADCYNAIKNPILNYFYIIEKSGAVRNI SNQNYDVQNIITKRITKVIPFVDSKKNNIKLLFRSTPAYATGSDLKTNSQIEIYNISTLF LVNQLTARDIGTLLKVDDPFKYKFSLIDFDIFSDGKLYILTAFDGIIILQIDQALGFTLI DRIQLYNDVREFDVGHFLSEDGSLVETIGVLFQSKAEVYENRIFKNSYSLDFASQYTTLL KISQELLIIQNKGKTYLINTQTKDLIHKEVLEGIQGILINQYMEELIYVTQIDARRFALS SGKLRFKSGDLTAARDVTTITAFDELGYQCQVQLNYRVINQYDSVLYAVQELDISNVFVD YPFWTPFQMPVSGPNVQVNSSNHKLSNTINQVRRLNQCGINIFLNSISKIIKICQIDQFR QG >CAK81142 pep:novel supercontig:GCA_000165425.1:CT868396:20884:23404:1 gene:GSPATT00015983001 transcript:CAK81142 MIKKQIFLRQLCDFSAKIELSNSNFQCYVKTEIFYVFIVENTYTVGMYSISQKDVQSQYN FKYNQFSNTITSISVVANRLYIILNNLQVDIWNIDTKQNYSVTQSIVNSLGFYGSWKIRK VVGSSKYHPTILFIINDDNILIADYHKELAIVNVLTYGKSIIDVAIGEDSFISVVHTSTI TYLTEYDFSNYCNIFKMKELPTYRYQIQDSMTMVSSSDSGLLYIAAYDPDNNNSSVILIY KPLQPLRDSLIKVLTPKKQSFFISDTQLAAAGFNHYIFYLNDGENHNVEWIDQTYYYQVA PEYQTDQWVNKFRLNLTVSNLKNNPSVQFSQAIVLYQTKSQIKFLQTNVNISNQKWTKDE ITLSMVGTIINYLIQCQQCGQGKNINAIQPLSLFKQEYGDDLNIVDQIERGSDNLRILLV STSNLQAIRIYNSTNSFFKSIVISTSTDYKCEKVQKYNNHLMVACRNSKNYQIVTIWCDN ESTCGNIIKYSDLTTSLRYIYQMSYYYKYLVIVDAHPKVLKSMDTFIRVFDASFDDSKHT ASYTYTHQINTVQSYGEYVVKTLLHYHSSSTNPCYTYILGLMSNGVLRIFNSALTYKVSL NVYQEILNAGGEIIQKEFNDFYFTDVPTYTKQTTYAHMIFTSQSLNYKFRLVYDTYNYKL ASFTYHYALSRYYDAQTLPGMWIDNYSQIAIIPYRQNDKKIFLFFELPDNSVVDKRMVYS YGGISEYHDFTDSDRVSCTVQNNVAILGVSSKFESNMFYIKHYNVNLQQKLIVDNTGGNL LNQIGSLEVSNTINSEQLQFNIKILSSEQKEENSQMDSNETDIKNQTDYLYI >CAK81143 pep:novel supercontig:GCA_000165425.1:CT868396:24230:25301:-1 gene:GSPATT00015984001 transcript:CAK81143 MQIKFEIIKCQTFHQFCFYIKQLNTIKMGCVTNKEQDIKQFNIEIPNSYNHTTIQTEADL SDFQDQFLQIGMNVCGLGEFLPKVQEVYDQLGELFQNIQGQYLLMPDQSIYFGQVINGKR QGIGKQHWPKEGNLLEGTWVDNQLTGRARMIYPNGDYFVGNFLNNIANGLGRFVNSKKQV CGFWLNNKLTGEGTEIRKNGTIYKGQFNEGKIQGYGQFEYANKCIYKGSVLKGKMHGKGE LIFNDNTRYVGEFKANCIQGSGNYEAGISITGWFHSKFENQTLYIYFFRSETPVLIDSQY CTLIEKQLEQFFD >CAK81144 pep:novel supercontig:GCA_000165425.1:CT868396:25685:26961:1 gene:GSPATT00015985001 transcript:CAK81144 MSLTRNGSKMSRHGRFTNSQILDLKKTKIFPQSSKHSDSPTGIFLSQSKAPDYGQAHLLN NEALKNKIKEKRIPKSIDTEVLLNKLVTRVESKIGQSPFKKKMMAINPTIEIPSQLKPYS LTPQSRTSTFQIQSPTSKPRSSSQNKRIEIYYLSKLQKAFDNNGSDYFSRIYREHFHQTY QGLNNRFFPQNNNDYNRSNKLTKKCQCKFKFNIIEQITLFFDLDETLVHCNENPSIPCDV ILDINVSKNQVVKAGINIRPYAKELLRNLSKSFEIIIFTASHNCYAEKVCNFLDPEQNII SHRLYRESCTLTNNSLYTKDLKIFCDNTNRPLSQVALIDNASYSYAWQVDNGIPIIPFYD NKEDKELLDLEKYLKNMIGTIDVREYNKSHLKLNQFIDQRGPYRVLESLFGKQQQPQ >CAK81145 pep:novel supercontig:GCA_000165425.1:CT868396:27058:27960:1 gene:GSPATT00015986001 transcript:CAK81145 MSQKKAQIQVEQKVPESIVKKTQRDSKLRDLVVKRRAERLGQNKVRRAQLEKNAQAYEAE YKASEKSLVDSIRKAKSEGGFYVPAEAKLMLIIRIRGINTLNPQVRQTLRLLKLRQLHNA AFVRINKATIEMIRKVEPYITYGYPSRTVIKNLIYKRGYAKVNGQRIPLTQNTIIDQQLG KLGIHGIEDLIHEITTVGPHFKEANRFLWAFKLRGPRGGFIAKRKSFINQGDWGNREDLI NDLAKRMI >CAK81146 pep:novel supercontig:GCA_000165425.1:CT868396:28046:29933:1 gene:GSPATT00015987001 transcript:CAK81146 MSEHWTTKQIDKYIIVNKKLGSGAFGTVFRGFKKNDETKQVAVKAISIASIKDSAKMVEH IKREISILQSANNPHIVKLYDVARTPHYLYLFLEYCHDGDLKKYLSTKYGRRLSEVEAVI FLKHLVEGFRTLYQLKIIHRDIKPANILLHKGVAKITDFGFARVIDTGMNDPAYFSRVGS PLYMAPQILEGQPFSSKCDVWSMGIMLFEMLYGKPPWDGDNQYNLLQNIKKTALTIPDAP VRSDKIKQLLKHMLVVSEKDRFSWEQIFHHEIIQIQEAQIKNNLEYLMKEKDELSRSESL NKLYMEMNLVVGYLDQPEQIIQEPSSPQSLQTDDGKQSLDDINNEKGLQIINQYDAEQKR RKAMLKYNTYFLFERNIAFFFNYVIQKVIKMSHQGILKLSQELYYTTIFCISKNQNVHLK RMSDQLMSKNPEKFDRETWGRYLISQEYKKILTVTKNDIKHTEDFYLEIYKKEKQIIEKE LAQPDNKRATKIKLVLDVNFDQNTFFQQLYQQVVQESLETIKTVIKQTKDTDSAYKDLLQ LGWFLVICLNPYLEFKDINMDFNQFYEEMQTLTEVQLQEKIGKRLDL >CAK81147 pep:novel supercontig:GCA_000165425.1:CT868396:29983:32012:-1 gene:GSPATT00015988001 transcript:CAK81147 MIQPQEDNRNIKLYCLTCRNNKNCYFAKRVKNSLTLAICEDCYQKLSKDKDEYINMKDYR NYQLELLKISQPLLYYFSEISSKTYDNLILTDQQKSTFKEYNEKYNQIDKMLREVENGSS ESILKLLFQFQETQDKFHYDLLILLKFEKQINLVEKIDINRFRNEQDIYFGHNFQNFLQK FLTKSMQNEINKKLQQIIGNCIDFPLFLHLVGDKFNDTSQSEFVKQNYYGYLNNENQKEG LGFWNSNNQLFYGIFYEDIFLWGIKVIIYSQTQFTLFKGFFSKCKEKDGQNYLLNGTGEM TSTDENWGWHEYQGGFRDEKRQGEGTYTWKSRDNKEAKYTGYWLNDQYHGQGTLVIPDQN ITIEGEFNFGVPIYQSCEITGKDIPRQVLSNYLEQKNKQTIENKFPLQQQPQMKLNIDDI QKTQIFSTFSQSLTPQNQQTASTFQQTQQKINQTNQKHFLIKSTQNQPNQQQQ >CAK81148 pep:novel supercontig:GCA_000165425.1:CT868396:32129:33651:-1 gene:GSPATT00015989001 transcript:CAK81148 MKGWMCPQKIPQIRFRYQKSRNGDEDCLNQIIGISFNLDRENRIISQKLGGGEMQIKELR DFLRSRINQYKFHDQFRVLKKIGKGNFASVYFIERIEDGEQFAVKVFSKQAAYGEENGKE SILNEIKIMRELNNEHLMKLNDVFESDNFIYMVLELLSGGSLLDLIGQKKLFQLQEIQQL LGGLLLGLKEMHQKEIMHRDIKLENILFKSQNELPSVVIADFGLATHVNQNKYLYYRCGT PGYVAPEVINNKDGKLKYSSICDIYSLGLVFYILLSGRPAFPAKTYRRMIKLNREAVIDF SIKQFENLPDDAMDLLKKMLEKDPKQRIDVSTCLNHSFISDVAKKMEETEINEDSDNDLG QTDERSDVGRRINQINQQYFVFEASKHVNSPNSSSDDSNENILQSATKRQKEIDSTLFLS GQSPSFKARIESIGSVHSIDIYSPQLSHHQSPVVKQSKFGLNREKSKQTILKRITNN >CAK81149 pep:novel supercontig:GCA_000165425.1:CT868396:34280:35280:-1 gene:GSPATT00015990001 transcript:CAK81149 MFSSALSQMLEIKNKEYDAKLIMQNISSMEKLNQIEMMALLEIIYQCKQCSQKDQKKEEI LNELHSFLQSQLTTERAAVTNTNNFLEYKTKCNASNTGVMILNHQGQFILSDHISRNILE INSKEELEQKNLFSLISKASELKLRQILKNNCLLQTEQTKGCFDIAIYSDRNRKKSLKYL NQMAQVPLKKKIKKNMTENVKQLQKEQMLMAKYLKPIKVTMLKVNVQIHQDFIESFADSN DIILSNLDVLAQTNANQLVICEVRELDSHLGLTVQELLSDPYISKHEIKWNRRVRKFKGQ ISDDEYEL >CAK81150 pep:novel supercontig:GCA_000165425.1:CT868396:35935:37527:-1 gene:GSPATT00015991001 transcript:CAK81150 MILNKLKNQPKWYLKKDWRIKTQILVAYLIVYLFIFSLLTTAVMVSQNYLHEMLTLFSHQ VFLKQTRNSLEYQWVYKRGLEETLFHTAQQISFVRDFNQLFDSLVANHSLKVKEHPMMCL NDPRQNDSYCYTSSVSCGIFGKPTNNFKKLGVESVLLTTSYLTSFRFSLDGKSPIYYFNN NNATQLYCITLGLQFPKTFNPLKRQYYLDHLHASANATNPKTIYIVNPYAIITNAINFPM TTNLLDKNDGILGIIVKGIELDYATLSKFNGNNTQILIIDKKGKVLLSELYNSKSQPIYF LNESQFSGFDSSDLEQILFHHYQKEFVSNCDNIISTNILCRYNSLDKDNLIIESMNISNS PFIMVMLQKTNFIIKQEAEFLEILAAVFREDIRNTIIFALVIPIFILFCSQFLINIILNQ LNGIIYDVKAFLYSNKKEYLSTHLFKKKSIIISESIIEFQAAIINLFQHQVIFKKSNECI SVETIQFPVYTKLYKFLVNQLQQIIQKKRLKNNFIPDSLTLFELKKILFK >CAK81151 pep:novel supercontig:GCA_000165425.1:CT868396:37658:39590:-1 gene:GSPATT00015992001 transcript:CAK81151 MNFKCVVAIKTKTNCKCNIKINEISKPLELPSQEAQFFDISQIPDHILFDFENIAVLTIP VPKEQTDEIELTDSFQLKDLKGKYYILFLLSKDIKFEANQSHQSPLRHYLKSYKTRTKER QQKAKTQQLINQQPNSIKQQNQNELLKQQYETVKEENKLLKMRERNDYLNELEISQAKRK QLQQFISQLSEDLTNQNESLQEKHTRLSNELIELQQMFDDLQSLSIEQQLKIEQMHEIIQ EKQSSASHLQAQIENQDQNKQMLQLYAKQKKDLEQVLQQVSSQYEKDLLDKQQQIQRLKN EEVNILKEKQQKLELENEILKQKIQSQDEQLEGLNNYINQQKVKLSLVEQKNCQNLIFEQ LNNQLEKEIINKDKQIYKLQDDIALLQRQLGFQQFHQNDEYEKEIRQLKEQIAKQLLQIN SLESENTKLKTENLKIAVLQQNLQSLKIQYSIPDFPDLKPINSKVTQFQSQIENQMKELN NLRQTIFDLSKKHDEKELHNSQLKTMMTQIVESNQAYMPAKGDDLDQQIANFINNRVDKE KYIPLLKRQSDGVYLYGHKRIFIKQEQGKILIRSGGGYLTIEEFLGLNESKMKDLTTDVI KRQAIQKKTERMKTIT >CAK81152 pep:novel supercontig:GCA_000165425.1:CT868396:39639:40434:-1 gene:GSPATT00015993001 transcript:CAK81152 MHPNAFHYKFAQYPYQPPVYLYPQYIPQPNYNYYNFQHYKPPMYHQTQIPTQQPQIIIIS DDEECVPVPKIDKPLPTKTINTTQSQKQIAKLLDLDTLEAQGKLYDYESSQSPPLPKRII KKPQKPLYRPQKRKVIKTRREPIRHSYQKIKQVQIGAERQSLHYPQSNVKAKFIRVYEKQ EDKCMYHRYIDLVSKLFESLKANFQEANDEDIAIILNMVGKDYQKAETFINENGLFLQSY LQNYKDLSEDDSTIKK >CAK81153 pep:novel supercontig:GCA_000165425.1:CT868396:40521:41259:-1 gene:GSPATT00015994001 transcript:CAK81153 MQIMQQYKHNRKDEFEVFDSQSTQEFVLFDVLFDTADYFDSAARLCHLGSTQSLENPLVL ICEGVLTFTICTDLTLKVLMEGREFFTTAWNVLDFCAFLSIILCIRLQYEVYYDEIFGIS LISMRYLSLTVRMVVLLKQSYFVQKMQQQRDILIFKRSRNQDLSILDMSSEILNDSQFVE TMKLVSE >CAK81154 pep:novel supercontig:GCA_000165425.1:CT868396:41326:43945:1 gene:GSPATT00015995001 transcript:CAK81154 MNIEQILEKQENAIIESGSLLLPAFSFWQQTNHDLEHYFYLTRSLTSLCHSPFKKDMTII TQLCERTCDKDKQPTHMYDFVDVETMHKQLEFESVCSYKYFRNHPTTFRVGTHQDIFDNL FFQKFNNATLIFEDGHDLPLKLEKAMSASFSSHTISQMIKALFSPQKPTPKWDQQMSSKL KLLTKQFQPNNNFQVCTLTQQQYDILMPIIAFGNRIVQYTQTLNNQKDEFIIESNKIASI VTQCTQKIPDSEFFSQLQQYFVKSSGTFKQSKYPSIDSSNYEQYLDDIFKIPQRHLFQQW YIFIKQTFELQDSNEQELISQQQQFNILVSTNDKVSVDKSIQATEQQNYRDYKLVLFTDA IQNSYNLNLLCLSPLCGMNKLLSNGVQNIVIITQHSYPAKLFKTEFNINFQFYPQIPVQE LKINQTLISKVQNYNIDYLNKTEQNFDAMILEIGKQFQQVNYQINDHGFITLFSSKSFMQ KCQDIWSQHSILSSLGVNKEFQWIKYQNKKSIYEIIRLFLTKCRSNAIVGDTMPSIYTVF NDLFLDQLQEAIQKQLDYQLKHPQTLKNQTQITVFLLGVPWASQFMYYQENGHEQLPQVQ LNLKLEWLKSLKNNYDRKYFSMVNAIRNISRLQHILDPLCPCNLIVLDEKFQQKLLWKDQ NFPNVLSFPDVINELKKQVSALVRSENLNENRKDTQKIENKKCQTFKLHFKQPVQIQTSK RKIMLNKTLLGDLYNPQKKSLPVEQQCEKITIEQLIGQTESKDVNKSDNQVEVEELKCVI CWSNTPDKTMCKSEKCGHVACQDCWKQWLQTKLECPLCRARVREKFLIVI >CAK81155 pep:novel supercontig:GCA_000165425.1:CT868396:44158:44905:1 gene:GSPATT00015996001 transcript:CAK81155 MKEEKRIIGWDELSNHCNRTSLWVVIEGQVFDVTTYLAEHPGGDDILLKYGGLDGTQKFL EVNHSNYARSLRNARLVGTLTSDPQPSDYIKQVKSQKQKANVNPNSQISWEELALHNKKG RLMDGYRRQNEHPGGPAILLGKAGDDATTAFHDANHSQSAYKQLEKLQVGVITGVKPNVS GSGTSTNLIFFILLILAIGAGIYVITK >CAK81156 pep:novel supercontig:GCA_000165425.1:CT868396:46467:48340:1 gene:GSPATT00015997001 transcript:CAK81156 MNEEQQIFHLILGNESNLDKSIQKSNAKIRVEELRQHLASKYSNTYVYVLEDIKTESFNL NFLLETHGGIFKYLMELIINFQEQSLDFKVYFSDDNGRIIVNQKVNSTIQFQLLRLQVSR YTLRLNSSLHVGSFFINPVYGEIGLNLATINTRKSEFFDSAQPIQDDFIVYMDSLILTAI YSIRYHYLRILFMINKINLKLFKLYEYYYEQVRYKDNQQLNWRKFPKDSEQLLLRIKKVV KNQMHLQFTEQEYLKLGPILSKQISTENSCLIEVVPKNQSELEVDERLQINEGGFCNIYS KEIIFAIKKEARQQQQGQKRTLVIKMNKGMGSDKIKQEAEIIQVLSNQGPNIQRENQPHY LQKYFQFSGCCPYIAQFYSVSDRPDVLLMERYYHSSLDYLKSKKSEVLSLSSRIFISHNV AMGLRYIHNYGIIHMDIKPANILISKTMMAKITDFGEAINTNNISDSNKPGKTIPFCAPE IQQRLENNQYTYAYDIYSLGVLIFELLFDRFPIVRLITIFQDFRKQNYKCLEDKLQKQIY QVRYNEDEDQKIGPQYLMKYLGRLCIQCLQPDPQLRPNIDKIILVLKDCLTFLDKVY >CAK81157 pep:novel supercontig:GCA_000165425.1:CT868396:48415:50399:1 gene:GSPATT00015998001 transcript:CAK81157 MIQEEELENEFTLLDLDDLEETDFDKFASKDSKVENHFMDLQFRNYDITQNKQTQQWHIT ITLEQQSIEFLYQVFKQIYSILRIDCKSYIAKSDGQLIQVYEKYIQRLLTRYFCRINHSL RFGCFCFENETGQIFLKLNSIVIQEHWVDLLCSDESNKSLSNLLSVLESTSHSAIGYHIY RLMHQINKLSKKVFPFLEQKLRDQYRKVHPSFDIYLQKINVIIEDKCTRDMSEEEFQQFE QHFRYPKQLNTQYKILNKIEFSKFEQVEKCEIISDGELSIIQKGKLAFSLVQKQSQRHPE GTENVMSKETQVQVRQKNSMNNQDQNTFQTYIKKTVVIKRNKKQKSQDQAPGRTQEQPNQ IAYEKKIIEVLSQELFDQNKKLIHNGYCPFISQYYMTDQGNVFQEDLFMDFYQHFALNNF REKFQATQSLNTRLYVLFQIAHALRYLFQYGVIHQNLTPQNVLITKNYNVKLKGFGRSIY KQGDQVILYSDERGTLPFASPESLSAERKNSIGHKSDIFSFGMLMYEFLFEKYPIDFKQS DLSGLKDRYFNKSYEIRSNVDLIKSQGPKHLMKYLRNLAVKCLHPDPNQRPKIEWIIICI REGLNYLEKMY >CAK81158 pep:novel supercontig:GCA_000165425.1:CT868396:50436:50606:1 gene:GSPATT00015999001 transcript:CAK81158 MSSEINDQIKISYLKCLTENPTQFIPNPFIYPALDSSTENQEYQFSESFQFSFQQN >CAK81159 pep:novel supercontig:GCA_000165425.1:CT868396:50678:52200:1 gene:GSPATT00016000001 transcript:CAK81159 MFQKSIKKNELIMLLLFLLVLSAHADLPVHCVRHQIVGKWQLQFTEPQIKGSGPLTCGHN VPDNERTSQFAGQNTFQQAFTHEVVLTAKNNVVLKKKLQGKWTMVYDEGFEVDFMHYKCF AFSKYKTNYSGAYSYCGETLVGWYQNTKTNERGCYRAQKIEKTHKVSEGANNGHVVQPQF FQYQNTGMNKVWSRIDALNFVEQINRAQLQWKAKAYGEIIGLTTKQLNKYAGRKKHSSTI LLEKQKKKQQILEYDLNHLPKEFSWEKYLGKKIYEQKGCGSCYTISTMTMLSARLKIKGL KADLSPQQSIDCNYYNQGCDGGYPFLVEKYLTELDGLIYEEKEYPYKGQVGECKAIEKKK KYRVLNYRFVGGAYGKSNELNIMEEIYNNGPVVLNFEPSFDFMFYVGGIFHSTTPDWIIN GLAKPEWEKVDHSVLCYGWGEENGVKYWLLQNSWGKQWGENGRFRMKRGQDESSIESMAE AADVEIIEDS >CAK81160 pep:novel supercontig:GCA_000165425.1:CT868396:52532:53024:1 gene:GSPATT00016001001 transcript:CAK81160 MNLNKFHTRHQSQPTLWSLHQPQHKPNTRAKINHKRIVLPVISQNPAVGYYSPNLQSIYS NPKIARMRSVTKIPTTNEYSLEKIKPQQSNKKSSQKLTILEMMLKEVDEEVDQDLRENPK CAKTPLQLQPLNDNFINQLKYLKLNLQRLLRKKI >CAK81161 pep:novel supercontig:GCA_000165425.1:CT868396:53070:54233:1 gene:GSPATT00016002001 transcript:CAK81161 MSNIKQMREKYEQIKALNPKRASSARSQASQSRLQPEMCHSQQRLQNSQSLLCSPCYNQK LYEQREYMKRQQSELEKVVCVINLQNLLKRQLEINLQEESYKSQKEKQQVIQRKQIEKFN LQLSSQFQLKKQEMQSQQKAQEIEFERQVIRKNQEIEEQERAQKQQKIQNLGQQLKEQIE EKKRLTQQDFHQHNGITDDPYWRHQSQGEKEVQQRKNYQQQYVNNNWTHFERERLKKINQ AKLDQEKDIQDRVRSLREYHLILQQEKQEKMNSQRQLILDLTEQVKRKRERDQQEKQLNQ RLQKEKDQQDLLQQQERELKEIKNKKNVSQQMILGLENQLSYKKSNQRKTQEDSCNNTLL LQSQINKQMVPCSKCKRCQDPQFLSKL >CAK81162 pep:novel supercontig:GCA_000165425.1:CT868396:54290:63927:-1 gene:GSPATT00016003001 transcript:CAK81162 MDFLKDEQKFKALAKDVFIAIDENENGYIEFSEMKRTVLEMYKQANVKQLSDEQISDIIN TFDQDENQILSQEELANLLRKVLEKSVQLQQEEEKKNKKKADKNEPTTFRSKKVTVKDRE QQKQELKQLKEELNSLKKLDKKSQQLQNQKLVNYYVNPTARDEEVREQQQKFDASSKSLS SQSPHSSKSSISLNPLVFNQYLRQGFIKNADDMIKAQESAKTNHLIGMAVKRSSAGEWQG LIDYFNDQTQKEFDRTPSSQQFHIIGKKLTKNVKFIMIHIQNLTYTNFRDLRINSKSELT SVDKPALFFWELNYNGQTFFKNSAKEFPNQLNECLDTVLIYNYTNPIQVILWKKQGKDSI YVGQFDITNQLFQLLQKVTDQQDQLGEQTKYNLNLQKINKQLRKLQSTDIKLFRRKRISY SNNQQEDPPLIGDLIAKFQMFEICEFDAPLNQFSFTKGSPRTLEERERRMLVEQSKNGPY KWPDDQLNKALVIQINDAGIELAIVNEEDIQSYLDGQLGALIKETFYFDTSSGGSRRTSR IKRPPLSENTLLLLKTLDENPLVVQVNALRQTIRQGRLPKKVLEEALQSFGCTGSQLLID HVDDMGMCEYDYCMDDGCYGVIIDTIQDMGFSNPDNIQQADLFAEKLVQNIKSVLMEGVR IEPLFEFLKMAEKIPDFGITINLISDQFKNGLGSKVDGDGSVQIDPGSFISYFDINSRDF DKMENYEHIMVLGKLVKLSKKHLDPDDPFLQHIQQNYEEAKTKVYFTVPVLPKKKKLREK AKLLVLQPDNVHPGLFSNLNIDKNTPLANVKQQFLKKKKEIQNNKENKEEEQDPVKLLEK PCLWNVCHELVRRKRWNMFYDGFQAEPLFYFQQGGTGNTPMMDFIEKGPFNVINQMVNQY HPNNPNEDLKDSPKLDPKKMFDMTTPKGKSFIHALALNQDINPIGQKQSLQYLLGLVEPQ QQEEVLISPMSPYDATPLVLYLTKLSSVTPAFNEEEIKSIQEILNIMKQPLKKQYSQSLF RAKCFFKIHNIDIEEDDLKDYYLNHIQVSKALSLLQPGIFALVSQEIDWIQWFSLNIENY NSVQNELSFAIYNLARSGKIEFLEQFLSNFQKQQSLMPCQINPDPDFIISSNQDEFISDD NGLITIYDKDKNNEIQNTKEEMLNDLASFYAKYPLEIFIGIQQILNETKFTSLKLNRILK ELILQTIKLEPRILAKQFYQNKKSPYEELVKLGFEEELQKLLTPEQAQAYQEQKSNFAKN LLQEGISGKLKNKENPLKLGREKEAEFLKYTSKLMTEKGLDDVLKFIDKLKQDNIEGRQE LVKKLFNQMARSLPTNFSKQQYPKIFKALRQNPNTTKQLNEKEEKFYKPRNQNKLDRIIE ISNVNSYTMKQVLNAALNSKNQQLMNNCLLYLWNKSPENLTSRNLSVLRPLYSKYGQQYR SLSHHPAVCLTQQEFDQYMADTKEIATLKDYDIAAKALTKLGLPDRLPAILKKLAANKPE LVFNLLEEIALNQLEKQLLEVPVPPLKPNQEQVQKVQPQFIIPTKRQIILNQYNLIDAGA LGCLLQNAKKLLEKSAKMPKSLNAYNHTLSKQAFQQYYSQKLRQNFYTQNPGTMYSNWSP PKPNMEKTVEIILDALKDSLDNLLQQKPELMEKLEKISLLPLITNNIAIFNKLNNKENRI FTAIENIILQNLKQKASNLELVRIDKRMKMNLQFLEQNFLPTLKSVMEQEKSRSLRIFRA LDYTLKKEQQPLQLQFATEVILIIAKNFVPQDFSGIFENNVEYLFNALAVSLMRSKTTDQ RALEFMGSQLNKYQFYDLVHSLDLGEKNPEDQGDQQIKAGGKKKPNPQTIKQRLEEARLF KKIETLPQKSEIESAIRLVVPSQNNIPYFIKLLKAKVKPFFQNYEKQQQFANGEPFAINN LSSLNLFEYLIVKCHYTLISSKEFIQFQNIIQTQINPRVLQRKTSSSGSYTLFELISDQI LNMKLPLLYKDYENKPELQIYLNLPIFYQVLMYSSESSSIKYFENFIVDGVNLNLFYNWM KFNVQEEKKDNISLYNCFVPIITKKYGLLFNKIVQFLRKLLKNDKDYYGNLAEILNNTNF SDSIQKFDEKGEIKLTLLEYSIFQGFPDFCMIYDKQITLIRNPLDKEVFEFLENSIRKCS EELKQEEKILINYCNKYEKDQGNYRLVYYKIYLMLKKYFVGNQKQNNALQSSLLLFKIML EYNPDLNLLLNINQSIKLTEDIQEIEMNKLLVDEQFFYLQLYGFDQALKYKTSIIDYVRK FHPKLKLFWVQQEVIDAFKTNFQFDYTKGLKKLEYYDSSQMKDQFDQFLPEVLLYVYYNQ PDFLERYSKDSDQQEPFKQFMIYKYMLPIIRHQSTQEILQPIEQKQIKKKDLEEMVEKQQ EFYNRVLEQMLVEDVELNFQNAAILVIYISTCNDQIDYIGEKDAVSLKIIPILNHLLERL SIQDLNQFILFMIFQIRNNDLYNIVMEWSQQSKAAINKDIFKEKSFIQGILNFLNGRRKS DNFNFNYQQNDLLRLFQFEFAKTDKMCKDKIYRGFCILSIALNFISELELFKQQIDPNDT VSINIELLENSKGKNSSLKVLSIKEIITDQELSGFNYQIEVPMIYQEKNNILNLQINPNI LKEYQELTEKQRFENISNKNLQVQLKDKQFTINSIQVQIAIKPNQLQFDNIQVISDQLYE KYPFLFGDLYNNLVHNIDLDREIFYDDENNNKIVQRKNLTIEEEVNVQAYFDVKSYQYEI TLNILNLKKPLFYQKCSRETQIKQLIDDYSKKQSQFNYIKRFLSVEGNNIGEYQSMMELS KEKINLKKQKSQLGDEELEQLKQLQEKYKKYQVPPYFVYELDWPIYIENKTIKADEYNFP PLLFKKLISIIDGIFFESVLSENENRKSSIKKVYIKDQSFQINYISFIDTLVIQFAKILE LKSKNRLMQLSIEDVAYQALEWPFLKFIMNLIKNKIQKSSLLFEMKESSKPEVQVNLPGI DECVQLSKTTYFYYCGMFVVRLGVFFNKKLLKLEKPEDQNLRYSQNFSLDYNLEPYINYI INETQLKAVLKKENNNIQ >CAK81163 pep:novel supercontig:GCA_000165425.1:CT868396:64631:67486:1 gene:GSPATT00016004001 transcript:CAK81163 MQYAATSKCKLMSPQIEQEMNSKGLDNSILEMSPMKIETSNPGISTTQQLVSIQRFGGVT MRINSIPIDTLQPPDLPESDGILSIDKQRENQVMLSKKQSPFAKLIINCHSLKFANKMIS FIRPDCKFSQKQHQILNDQASSYMKQKGKISGNFVQQNLNTIDLQIRLKLRTWKNGIVNK LSGCFTQLYNYIPLIEPNNITKLVWDFLLCLIRIYLIMWSPIIISFPFLQNDHASYFLIS CVFLAFDLVIRNFTIYFHKGLPVRDRTKLFKKQINFGLAIELGSILFGILVSLDYQLSTW FFILFYYQLRNIMKLVDIIQYNLKPTKMVSSVIDLFKLIATVLLIQHFCGCLWLKLGQYY DSQGKINWMMDVKEESWQVQFLESFYFMSVTMFTVGYGDITPTNSLEKMFCICYMFLSTL QYSYSVNTIGLILTEMKENNEMIRQKMTCINEYLHCKKLSTELSMKVREYFNFYWNQEII QKKNEQIRLITLLPEDLQKKLKLESASSLIIKCPYFSQFPKPALECLLQSIEFNIFQPGV YVNPQNYIYIIESGKVEVMQEKVVIDTLKENQCFGLQELFSQSDKITYKSADFTSLLMIP RSEVLKVVSKFQLQIIPDKFCYICHDKRHYTHQCNVVHYIPDIEKVIMRYHFNNVQERGR LKQTQKNRTKFYALNEYVLIQGSAKIYQLENDIVPENEIQEQQSQGFSIHQNGPPKINVV TFEDQQVQLNPPEWLVETSFNPVKFMQRTSLLQQTQILDSFKNKRDKKLLLSGNTNKQSD LTVISDKPGDFQEALTKLQYILPKLSNNEYERCFLLIKKLEGELGLTDIPDFEQLREFDK FDREWNIDRIITKLMRPIRKNNTELLNQLLNKYSKYLLFPYEFIRLFRNSLIEEEDIKQN KSYLEQVRHSVRHFLKRKATQVFPQK >CAK81164 pep:novel supercontig:GCA_000165425.1:CT868396:67542:69571:-1 gene:GSPATT00016005001 transcript:CAK81164 MFRKLFYGFCNQVQTISTFAQLQNIMNPPTPENYQRLLLTVQQMIQSKPINWKFVHRLQQ LREQFNLEIDIQYLLSRLKDQPIDSEKQQFVIDLMQQYFTTDEELFKDLYNQLLRMDDLH FITQLKMLQTYFSYPEFFNQDQVLPRLIQNLIASEDLEVYDLVNAFQIFTYDYPYLTEEN RQWLHDLKDEMNQQIIKIIPSLGQKQFKQLLVVLRSNDYYNEQLKEAVLQYFNENKQILG QTCLMELLNLCNLQFYFNEDLKQQILIQINQLKVKGINETKLINRFVLPSISLAEQEMMA NLITLSGIQLDKEYVNQHFTGSDSQKKEKLEQLRLKDQQVLQIVKELQMYIDGQFYFYIN VMRVIQTFGISLPELLQPMMEELKKILAKQIVNPTDLLPILNYFDDCNILFDQDDCIGMH HFKTYLNQDIYSYQKIEYLQRQLAQKKNQNEMRELLEKSLKTNWNLELCSRQALLYNYFN IPFSKDFEEKFKIIIEEHVQKKRNFFDQQLFFKQIAMAHWTKDLKQEHKAYYYEGQSIAQ RLRKEKLKNVDQSSILGQEIKQDILQYMPNTFKLVSNQFVNGAEIDFIITNQKGDKLLVQ IHGPNHYYYASTVYNYQTLLETFSMEKLGNYRAIHYYEAEKEWKQQKQQAVTKLLSCLM >CAK81165 pep:novel supercontig:GCA_000165425.1:CT868396:69947:73639:-1 gene:GSPATT00016006001 transcript:CAK81165 MIPTLQPSQQLQPKYIFGLNSNLRNNLYFMDDTRIIYPAGFHIVCYSLQDKSQSYFQGFE HYRGFSCMTLSPMKRFLAAGVKGEKPAIQIYDTMSQKKKRTLIYHDAPVKEWVSVAFGPN AEAKTLVGLSGGTSVNGSPVDSYLCYFQTDTMKCLASVKVTSGNNECLEVAFQPNDATFI TVIGKGIFKSYKMIQNEGETKSYTLKQVSASLQKAPADLSNNYCCHTWMIQHPDHLIVCT QLGEVFLCDENAEFVKYLKNPYHQNKQPFLIEVIQAMQQGFAIGGDNSTIYIYSKEYENI QMLHVKSISDIKCQIKGISFTPISEDTIIVTLSSNLIYSLKLKTEQFLEEQQQFELVSLP FHSCPPVNAGIPINGMDVCVRKPLIVTCGADKYIPWKPTDSLMKKLIVSVIHPSGFHIVV GLTDRLRLMNVCVHNNQIKHYREIGQFKQCKEIKFSNGGQYFAAVNAANTTQHIIHIYRF YVGDNPANLQFKGHSGRIRCITWSRDDTILATCGQDGLISLWKVGADTTGQRMLDIHAKA NGKNIPQSSVALTFDTRIVYVVGEDRHLREFVCQEPTENKRNVEATLSQICFSSSNKILF AGVCDENRYSGAVRCYKYPFVGPSAGTNVEYQAHDERGIEKMKITADDQYLITAGRDGAI IVFEIKDKDARGQKNKEGYQQRYADDIIVTKEDKADLKTTRDNLKTQLQDITAPQNAINI SSKEDQIKQLTDKESTLKQQYKVAYDTLVEKKRETEKHIQETRKQIMEEFEAEIQELEQN QQSKVNKEAEKYEKTKNEKEIHQTKYEKEIGKLQREHTDELKKLEKDYDARLLEERQQRE RMEKEYNKEKEKYRETIEQIRKETIGEIEALEEQNQQQILQKTDQGLKAKSEVSMTKKKI QSLLQEEEKQNENLKDYNEQKKQLDDQNHQLKIAIEEQLKQIQDKDKTIGEKERKIYELK KRSQELEKFKFVLDHKIKELKRDTGPRDEEINRMKEKTNLMDQKLKNFAYLNNNLGNVVD ALDQELKIMKTNIKKQRQLISFQNVRIKKIQRLKKLTEKAQEIFKEFHKDDVKPQQVNAD ILAEYKSQKQYLEKSVEGLKANLQADEEIHKAENIRIMRGNVKLIIEINNLRKKMKQIKS THNNQEQKGDKKMSLTANSETQKAKQRMIQDKQLIIEQQQLARNSLLNQIQELEAELSVI GE >CAK81166 pep:novel supercontig:GCA_000165425.1:CT868396:73794:75532:1 gene:GSPATT00016007001 transcript:CAK81166 MNLSKSNSQKGFRILQKSSLSPNHKLSPEKSKLSFKTRKNSELLKLMVSPKLITNQTTQE GSFAKRETTLQNSQIMDVVLVIVHVGQQQFRFTFTRNITTTQMRAALLQKLKQKIVAFTT IDHNILIDYYLTLEERPIVWPELQLECQEPVLTGQHVSLKDFQILKCIGADPKQMVHFYA MKLVDKEFIIKYKKAELLQNERDIMAFIYHPFTIQMLFSFESRNFIVFILEFCSGGELFY QLKTLKRMSEEQAQFYFVEICICMLYLHQMGIMYRDIKPENILLDLDGHIRISDFGLSKI TSPDEFAYSFCGSPEYMAPEMLLKRGHTIQVDHYCLGALLYELVTGLPPFYSKNTQKIYD SILNEQVTFPQFLSQEIKDLMSGLLAKEPNKRLGVRGGVREILQHKWFKKVNLTDILMKR VTPPIKPDIQKLNFETKNLQQGDLEVREKLIGKAGFKKDFKIFDEFYFDYRENVRIVDQQ RLLKEHMKNVDSIQQQKPRSLDKIISEDSRQSKLQKRLEQQTSLRTSPQKGSTLMSNNFM QTGGLKTECSDLTSSKRRIT >CAK81167 pep:novel supercontig:GCA_000165425.1:CT868396:76136:77444:1 gene:GSPATT00016008001 transcript:CAK81167 MDFNLSNSKLLQKIQPNREQSTKKLSNSEVNAAIQKYFQMTKRKEGNIPNPTISTTQSSK ILGQLVTKVSPSLDISVPIKPPTPSGTQSYRPLSEKKPHHRPQQSVGQSKDLILQKLQTT LFQKPKTQSHQTTPKCNGEESVSVSRYFESSKKLKGESQEYYLTRVKNAFSRPLMDDYFS RMYREHFYQTYQGIQVASYLQPVYPNDLQNKQVHLKQKECYKNKITIVFDLDETLVHCNE NLAIPSDVIFTIQVSPQEKIKAGINVRPGAVKLLELLVKDFELIVFTASHPCYAQKVIEY LDPQKTLFSHSLYRDNCIMTTGGMYTKDLRIFNRSLSQLVLVDNASYSYAWQLDNGIPII PFYDNKEDRELELLLKYLKGMQNCKDVREYNRNHLRLQYFQDPSGPGLVFERLFQQKMQI >CAK81168 pep:novel supercontig:GCA_000165425.1:CT868396:77551:80892:1 gene:GSPATT00016009001 transcript:CAK81168 MDPQLSHYTQFFIVVTNLCKTNQITPEQKSLLKSNLTRKDEKICRLLIQNSGPGKEMLLR EALLDYLAAQNKQIQRRRSHKSKTVHFMKDVAEQEKQPEVVPQQESPAQPLASAAAVMIE QLTGVLHKHIDKHSLLVPEDKEKLIQLCTLIQKLTSEQVDLDGISPFRARYSSENSKLAE ISEKDLDSSDEDVYEKMVKGIDDIYKELKSIFTGNLHTHLLLMQEDISYENLYQVLKFLL KILVDADEFTFFIHRDKEWEVYSSSNDSIKDVTPEEAQKVTDELAYIRPFCIHRIDPKQR QYPQIEDTCKTNAYSQTSIVKFQLQLKNYEVLFCLHWTDKDKKNIKRKFINYANMYGFNK DVTHLAQFLVETIITAKVQFFNPLRFADQVQDIGISFMRISRFLLVEGIKKVLSIKFEVE KEQTFSTDENMKRQKESQCVKIELKDSNPVTLRIKDMDLKNDQDQHLYQVIIQIQEKYDG YVKLCYEKSAFYKYFLRTTDSLLFDFNKQGELIFLSRPISKSLKERFNINFDPKAILYNK ISYQDIFAQNSIISNIEVNIQNIHENRRNQYLSNLENPQFEIFLKVVDNDFKGFTVIFHE NEARRLKQYFMALKIDNMTNDVQKEAEANKSLEEDIQKQILIQYNKHQTFKFLNQLDETQ DVANSMIALFIPENELSQIRHRKTDGRSPEQHSKDLQNDQWVAPPQKKKKIGSSVYIKYQ QQLEAVDANLFKVNNSDSQLDLFEFNILVLDSSQEKHRLVYSILERNGFISQYNLNKQCL AQFLSVLQKKYNKRNNSFHNYDHGISVMQSAHFMLQCGKAKQLIDDFRRMSTIISGLCHD VSHTGRTNIFMINSQSKLATRYHDSSPLEQHHAASTIFMLKDPSLNFLNSLSKEQTQQFR RVLIDNILYTDIKVHFTLLKDFESRIKDEVTKPFGTGDDDLKLLTGMIIHTADFNGGAKV FEISRIWSERVNKEFGAQYDEEGRLGIPQTPFLKDLDKLHVMAKSEMGFFKVIVRPLWFT LNTFFDGYLQQSITNLDNTIISWEKIYHANLPKEERQQQQS >CAK81169 pep:novel supercontig:GCA_000165425.1:CT868396:80905:83365:-1 gene:GSPATT00016010001 transcript:CAK81169 MKKYVNLQYLKSHFCIQDHILIKEHPKHLHTRLLHGILNPLFAKQTRFILREICRLMDKT CIMLEIHDRTLLPDIFHEFNFVRSRAFPHFLKQKWDWTLDDDQKERMGTILDDRDPYDQT QSPFSLAMLTKKQLSNVKISNNSRIVVVGASDTGLSFIESLLTIKDIHFTNIILLAPGGL ITMHVKHEFEMLKAMSTNYTLEELRALMIDARVQVVDAKMVKLDKKGNRIKIDKNAFIPF DYLIITVGLIDTELQSREKISFGLSKSPYYKNSQFINGVYSIDDPYLYSHFKRTGFKGSN IDLLTRKKKPQNITIYGNTLTTITFMNGLLNRGVHPSRINYVMPPKTFQKQTRFENNKQR LEQEDKMIFDPDQFENEEVKNKVFDIMVKLGIKIHQGFTLYELKVGKEGFGLNSEDVLQE VIFRKQADNYEELKIEIQRKEQELQELKDNSENNMSKDMYGEQEEGENQLEVLAREIEQL KASEYDYLQLDSRFFITSGLIDIDKEIFHIIHENGLVYNGRLIVKSNFQTTQENIFACGK ICEFSQRYKHHSVGKSLRLDKYNGRELGQKLSKCILEQLNLSYLTSQTYSVDELPQLYMP IGQGGIVPHKLYYYHIKKNDFSKPRQLQQLPSKPILSDNFSNGNGHFLQFDIDPNGLIES VTYFGSEAVHIPSLLQFVELSVKYLNKLEQRGKLINNVSEFLSENWAIALYHEWFSEFRH ITKSEMLKNELIDQVLEKAQEYARDGRYMDENFFEEIKKLITRDIVENIQEGTIEFVREN QNHLPMYFIPKKKLN >CAK81170 pep:novel supercontig:GCA_000165425.1:CT868396:83418:84255:-1 gene:GSPATT00016011001 transcript:CAK81170 MDPSRHIDLIEFPDVLRNKGFDIGAPMGENLAFILECFGELEVDNRIVQKIKEEKDAKKK DANLKKVGDDAPKPVDVLQKMTSYSEFIGAIQKLKNYDQMMCRLQLVRSNTLQTEVEEII QDEQNKITNLERAKNVERNQTQFDEHVSQIQSIDQLPEVPKAAQNAVVINLFCIDERFES RSLDFVERAFELFPDRDLRDYIYYHTFYKYQGRNTPLLNMFYSHLIELRKLNQSEWNQCQ FLVENLLGKENIEKDVRNVK >CAK81171 pep:novel supercontig:GCA_000165425.1:CT868396:84285:85264:-1 gene:GSPATT00016012001 transcript:CAK81171 MEIRMAREEDHDDLAAILQSSIKMYTLKEFGEFFIADLIATQNQTRRQARNYRSDGKAIV GQVGDKAVGLMSISITVYQGQCFDLEVFDNLYKSEYMEAIRNRLDQLALEDQINKQITNY KKHIELTKEAMKCHMIGQRLYLQQYCFDRDQEIKQKIDDYGQEDLAKTLTQQVVTNMING WLKDYQVFKPSDLFLEYPDSFKDLECITIKPIQVLLEALEFFGLPKGYMNGEGHWKDWAK KKEEEQKALSLKRPKRQQKKTNKKAKKEDKDEDIFKPPPYFDLGPFSQAFTTFTSVSAET RAQARSVSARQESKS >CAK81172 pep:novel supercontig:GCA_000165425.1:CT868396:85305:85944:-1 gene:GSPATT00016013001 transcript:CAK81172 MSFFEQQGQELQIRKADLDDYDEIVQLMQEEGEEDLQQLYAYPKILTLFERSYLSVTVLD SQNNIIGNAVFDDCPQGVTGQVDFKHENLWEQWIHDGWDIGFHVSSFNCLWMTFFFLDLA KKRFQLTEDQQLQITKQIFQKVYDYLNVVNGIFFLRRSEAIDATQQELDIALENLFEILP KRQDFKLKVCIKSKNNSSCKESESEL >CAK81173 pep:novel supercontig:GCA_000165425.1:CT868396:86467:88984:-1 gene:GSPATT00016014001 transcript:CAK81173 MLRINFACFLYFEAFISFELIWTSLNTEHSLLWIPIMLHYVGIVLKLALIKYSDYKVLWI AVVGNDGVVMGLLNGENQDLTYLYVYKFENLQVLILIQFELLHDTKMFYNQSILVLYCGM VSQIIQNYMEVRRLMLTLGECLMLLTFLYFINRKTKNAKSDQCVQQEMSLSAKLQILKLM PWMTDQKFIVLNDHFKCVHNQSDLYVLMECDKEEAIETFLDVRCDAFSLNLIKLLSENNT TPISSPFQIHFTKPDIRTILQSILSDVNSYYSYFSVAELDNKNLGNVKLNFFVLSDNFID YLIVQFEPQMKSNSKSIYSVPENLKQNNTNIHYNNSNHSKQTYESLSSEILGNIFQSISH EFGTFLNCILTDSSEAMDSELINEQVKAIYIEPTYINSKLLSYVLQNVRDFNTILLKQFA LRLQEFSPYEIIQEIQYLLNQQKTQRNNQIIVNCPQHLLVYNDQDRFKQVLYQLMSNSVR FTENGIITVSIDFYKDKIKVRVSDNGIGMNQFEQQKLHKLLRDNKNLLRISHNSVGCGLG LSISNAIVLKMNGKHGIQFTSEQQKGSEFFFTISNSQQLYNDSVYVASQTYVKVLNQTYY LEQQPISEGSHKEQQIQNSEFKDENGDTQRVKSLFSKKLRCLKSADSKSFQGQTPKKLQL EEDEIESRQEESNIMIPSLQASFKSEIVKFSIGSNCCSRVLIVDDEYFNIQSLQLILHRY NAHCDFTFNGQEAIKKSLQKMKEPCRQCQNNGYVLFFLDLNMPIMDGFDTVKNLKKMMHD NLIPKGICIANTGYADLESKQQAINSGMDFYMIKPIQIKELQTYLKRKFPQ >CAK81174 pep:novel supercontig:GCA_000165425.1:CT868396:89572:91079:1 gene:GSPATT00016015001 transcript:CAK81174 MLRLAFKQQKRQSFLQKMKICELLLERGLKFFELEQFGNAIDQFEICMSVFADARIPKKW EDTTYEELSQQKHPEQSQIDRLRLKLFEKMAECYYRQHEQGACTRMCDYWLQMSPQNINA MILRAKSRFLSDNITQIDCQMAFKDLKFAQQLDPHNAAVAKFYEKVKAQLLAYKELEYKN YTDLQRKQLELIHQYRKQEDDEDAQQDFMAHLAYQDYDQELSYELDNKKPIPIEVSELGR FIETRGMEMVKIYQQNGQLKEAEDLREKLKKAIAAKKQLEKISQLDFNRPKKKLYEFAQK YGINLLDPQVQNEFKRIQEQNLEDIRQWLKQNQWSYTDKATQMQEQELARQELAKLQFKR KTIPQKHNKNKFNKKVQPLISTPTSGSMPVTINNNITYNQTFNQCNNVINNSSMIGSLLF VANEQSDEQLADCNCFINLTILLLAVFAILAAIYYSFLK >CAK81175 pep:novel supercontig:GCA_000165425.1:CT868396:91149:92319:1 gene:GSPATT00016016001 transcript:CAK81175 MRTKSHNKAKSLHTVQEVSNTKTFFISRITQRSFMKSIVPPLSLPQVPQIQVPAPLTGRV VARKVIKATQLKTQESVPESEIKQQQSIFKLVASAEFKNIITEEKSKKEDDKNFTLDGPL EFKKDWRRKIFTGNPNLENSYSQVDDNLNIQMFLKKIRQIITTSHIQHNDFHTNENSFLS DKFKTQMTSPRFTFTNHLSTIQFQNQPTQLQKAGTIVSQVLEAIEEKKAEIQPLPQNNRR KLTISVCSPENQVGVFSFSIPDYGQRLQEQEEIQEYTDKPKHVLKQALQFITSQPKKMTT KFSKSILKIVGITEEENQQEDVHQFYNIQKTRRFMKMYLQNKMSRTFTLLEGEYPIAFAI DTREERFHFLFQTL >CAK81176 pep:novel supercontig:GCA_000165425.1:CT868396:92405:93742:1 gene:GSPATT00016017001 transcript:CAK81176 MEIEPNRFLLTQIYQCDYSFDNSEQESQSFMSDHQISEMLECASQIKIAYLGNHIQFIIN SLDCVDRNMMLHDSDFLNDTIIGHDQIKPPLDGQIMRNINSLMRFLKKKDQSRVAKFRFL QFNDILTGEFEDKHEIDNLTTDYGLIEVESEDQRQPRQSTIKIDIAKMFIKAAKPIQKKK SNQDQSPSSERSNQKHNSKIISSPRHQTVIQPNKPVKQLLEATSSQISVLRRRSDSPQEE NSIKENSNTNTTQSNKMKATTQTIQNTTAPISQKEDQIKPNTKKSQERRNAVMISSMSKH SLQMRTQITEARRRQSIPVMEMVKLLIEEQKLSELEEVFVNNPNLPINQKLKDGDTFLIV AAHTGNVDIVELLLRKGSAVNLQNNYGDTALHKAIAYSYFNIADILISQGAQNLRNHDGF TPWQFVQ >CAK81177 pep:novel supercontig:GCA_000165425.1:CT868396:93746:95778:1 gene:GSPATT00016018001 transcript:CAK81177 MFYKYLFYYQFKYGLEKQLAKKVEKQDAYQQVKLQETPEDDFNVANLIQTLEDQGQQIES VKTQYLRVHEKAVSLLNQTQRIVQEPETQAERDLQERKVAATVMKQDIKKWLPIVKKNRE SERLDFTKQRNVQISKISDTSNALLNNHLGVKLQNAMEEVEKVEQKQELNGQSNQQKSNL QFYNDLKMKKISSIKSKIYKQIKKKKGNKEKLKLMAQLTPAERRKEIEKLRLSRAKERIT LRHSTKNKYVFQLLRFGGDKKSLKQQQSFLNNLKHQLLAKAQLNELEDGEFDEENFREQA ITQLEQELLDIERREKEKQNNFGFLDKQKKQDLQQSKSIAQKLLTMLKSGNDDAIQLDES ENQEQNSDDNYEPNENGDKDKTKANVENNEFNGRANFVNETKQLTEAQKKKIDAKTSLSN YLNLEPEKQQQPNKIEKQMTVQEEKKQLIEGLNIKNIKQKYEKQVNQKLTNQDLKKLQSD PDELLQQNLANFNLVLDEDENENAFIDEKIKDMEEELPPEPANTKGWGSWAGFGIKERTP LTQEQILQNKIKKIREVQKKRQDAKLDNVIISEKRDNKFAKYLVPKLPSEFANSQQFDQL HTLPLGPEWNSLTSHSALTQPQIVTKAGVVINPVKIPQQVNKLI >CAK81178 pep:novel supercontig:GCA_000165425.1:CT868396:96314:97345:1 gene:GSPATT00016019001 transcript:CAK81178 MEFARLRNLFGQSENAIKGQDLSLLGLTVLTCYFLYRQDSQRKSERQKQKRFRYLYTADE CQQLQIDSKQITVQGQINSDDKFLLLKSSNYMKKRNNYEQSQQINKSFMIVDPYTKAGII CKIKNGCLFEQTLFNFHKKHTYQTYVPSNCNFIVRLYKRIVNYFFGKTIVEDIEGVDLNQ YFIFKGELKKKDSELMLEVDHVAFNSKFNIQESTKVNNLSILKQKYKHLCNQRGFLIYNS YQRMKKYFQNPLRQPIKLFEKREDEVAEDSDLCIACMESVRNVLILPCFHLICCQECLQK IKQTNNECPLCRTLINDQKQVLIDNLY >CAK81179 pep:novel supercontig:GCA_000165425.1:CT868396:97351:98185:1 gene:GSPATT00016020001 transcript:CAK81179 MNDQFIICNKCLKQISQVNAMMHQLYCERNTQQCETCGQQFDVTEEEEHIQNVHLPNTCK YCHTYIKDFNNHTCAQQPHNCEYCQKQFLFSQLQDHKNKCGQQQYKCKYCEQMIFNKVRI EHTKKCQIAILGHLEFICKYCGESLISNQLLSEHESICQFKPFECPYCYLYFDELDKEDH LYYCGSRTAECAYCKSKLILSQKVEHQLECVRRGLMAKFNLKKKESVNKVELIKQCDEFE EDYEAYMQQVEDQDYENYQDQ >CAK81180 pep:novel supercontig:GCA_000165425.1:CT868396:98231:98539:1 gene:GSPATT00016021001 transcript:CAK81180 MFRTSPYYTNVRQEVYAEINYQLSQELDQMQQQKDHIGSNSKKSASKQTFNSICRNSHLI QLQSTREQMIRNQFQKIRLSRNVRTVRSKQFPRTSTVLTVLS >CAK81181 pep:novel supercontig:GCA_000165425.1:CT868396:98681:100804:1 gene:GSPATT00016022001 transcript:CAK81181 MEFYEIVKSIGSGSFGQVYLARNKREDRLYVIKRIKIRDMTQKDRENTENEVRLLQKLRH PNIVAYKDSYLDREQYLNIVMIHCEGGDIYQKIRNKKSFPESQILDWFAQMTLALCYLHE QKILHRDLKTQNVFLKNGRVRLGDFGIAKVLDSTRDLANTCIGTPYYMSPELFKYKPYSY KSDVWALGCCLYEMCNLRHAFDAQSMNGLALKILKGSYPSISQSYSKGLRELINKMLNVN PKARPTIQEIVHKPIIKLRIIYYMLEVLSEPSSADLDDMYVDTLYEQAQQIGVLPLIQHY QKQMAEGSTISDIKNELEKGGKAEQRMLIQIQEQEALKLKQLRKEQFEKKKLEEEIKRLE QKQQSKSLTKNNDSHLNSKGGTENFPIKSESIQKTEVQTKTDYQSITQRKVSLERERRPN DLRQKQKERSLSLEKDRLRDDSNLSAKDKVIQKKQKREEEKILQGEKERQLIYRESFQNR KLAQERKQAQYRQSKGSSLKAQDEYDLSDDSEGVNAIQEIENEDEYEEDVDVDQKLDLIK TKLKEKTVKISQINQSLHQNRQVQNMQIKALETGQAIPQVEEEFDNEEVDDEEHDNEDDL LQEDIKSSHQITSRIQDRIKLLKHRCEGGLGSNLADKALALIRTKCTYFVKLESSNQELR KQLIVLLGEENIGYWQLFDQILYMEDLIAKY >CAK81182 pep:novel supercontig:GCA_000165425.1:CT868396:101233:103050:-1 gene:GSPATT00016023001 transcript:CAK81182 MFNIRRPFKLLNLSIETPQCRSHSVKISNTIQPSSKLSEEERLLFENTQIKFQIRQKEEE LKKCKIKMNQCQPKIGLNIENSQQKQNVKKLQLYIKQLELELLEKGRSIEDLKKQMSLTK YQELQIEALAFQQECSKLIKQVQKLTKLLNYKSNGVNLYELYENLENQNKNLERAHKIQE SVIQQFQEEQQKYKNQIEQLELDNKNLQRENKKVLQILNQNITPKQTKLLNKNDYLPEEL EISKLKVKITQLQQQMIKQKNQKEKYIQHLLQIIMEKEDQIQAYEKQKVIIKEVSQPDQQ TLDLKYQQCIQQIKSEHSFCEDMSFDVPPQLTLTKQISVRKKQNISEQQRSQFLELNSQI DEEANSRNLDEDELFLETEYRTKKLPKINYYDVEIIGRKLKFELQIKFIPLSYMDQIFDC TDEECQDITVEEIIQILSAEPFLIVDEKEKLLVARYLIEDNTQDYVLHNLMNSNSINIIK SVFKQLLGKYQLFSKQEKTNIEQELKGMFVKVQYKILDTLQQQSNLKNSNQKFKECTLND FETILKSCEISLQPRQMEYLSLINFQICKQIEKLRYSELLNYFCNIQSL >CAK81183 pep:novel supercontig:GCA_000165425.1:CT868396:103083:104463:-1 gene:GSPATT00016024001 transcript:CAK81183 MSIDTIFILSQNGEILAHRIFKGLKRKDTLPEFYTQFVQFFRGTNADKEYPIIRIKDALY PFVTFSDIIIGAIVTEEIPVLQLFATLFLILDVLKASFPNESSEKLKQNLHTIGIMLDSV FDYGYPQITQKHVLESIVRPGGIIEKIEEKIIGRQNVQKETVSLLEKYIDGQADVREHSQ YRLPEIKGEEEVYFDVIEFLDCVFDKNGRILIEEINGEIKVDCNLSGLPELFVFLNQTNQ FNDYTVHECLLQKIDTYERERVLAFVPPSGTTTIFYYNIKGISIRPPFEFIPKLQFINNQ VKIEFLLKNRPVRGQSYAVDEFHTKLTVPSGLTLGETEMAQGTLQQLENAILWRIGKLEI DSSIRLAVTFSSTEDQFNIIQQGNFLVQLKFVVNTFSPSQTKIDKVEVRNGAKVLTKKAR NIAKSGYYEIRLN >CAK81184 pep:novel supercontig:GCA_000165425.1:CT868396:104525:105668:1 gene:GSPATT00016025001 transcript:CAK81184 MDIQKINRWICLHPNCNLGRAVDTVPELLSHSQQQHKLIKFDKFREMIISFVDDCSLSRL SNEQHYLTIINRILQYFLRKLLNSKFCTHNNSRKFDEFYEQIHPRQMFVEVTQAIMQQNE IDKEILNIYLDNYIKKFEISQQTQQKLYTLQSQIQNFCQSQQIDLLSQFVKQLQLREPAN VIKQSQEIINPVKQIEQNIAQFDIKQSSKELVFTKNLRVATTQDYKCYAYFKGVDEVRNK IMQIKLKVLKDDDFIFNIGVVSVNHDLNDYEGCFLLTQTGTFLLERESSQSKLKVNDGSI IKVRYAKKHPKKVFFQVDNNLNDKIKLNQELIHFQFIVILKNAEVELV >CAK81185 pep:novel supercontig:GCA_000165425.1:CT868396:105819:106808:1 gene:GSPATT00016026001 transcript:CAK81185 MGTQGSDWKFKKSQKTENGVEEMIKMLDYFIIQNNLKQHHLNYSNMQIQQYHLDKYISMI LNKRAYLNQGASNSNILNYTQYSKTNDQNISISIYLEHVTDHRKILAEQWILCLKNYLVT NQQCGKFCQSENFSLCCLLTKSPLYQNFIVKQSGLKNYQLQIIIDHNGKNQNLELYKCYH LNMQQNLGIIARFHQHLNLTQEIGKQRSLSFQEQTVIPKQNNKLTRFNSEQIQTPEKKVF MYLFEEDVTFLIDETQVERVMSQNSDSDFMIQTIDDETDVTEWQVIDHKQLLSKNQKKML DDLKQVRDHFNSRSKHSHQIQIATEFISQ >CAK81186 pep:novel supercontig:GCA_000165425.1:CT868396:107224:108401:1 gene:GSPATT00016027001 transcript:CAK81186 MKSIILIALIAVAFTARVQERNMAKVQADLAKSNYGKALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQVRTNEHNALVVSLEQDIQDAVIDVNNTQDTLDNLLYPRRAQ IQDKIDSVLGYQEDNRKNYDEAILVRGQEHDAFEAQVAELNDATASVDDALALLASLTNP SLLQIRRFQNTLKNIESKIRSRSRMAPMIKALISLASNQNFSNQDVLKNIVDALNEFRNA VVDQINDLTAQEAQDVIDHEANLEQLDDEFHEFQRQIDRATVDLTATNEKIDSMVEFRDQ RAADQKQYTAELELENNTYAEETDIYTNTKNEFTRELGVSEQALALVKSVDFSNVKV >CAK81187 pep:novel supercontig:GCA_000165425.1:CT868396:108413:110176:-1 gene:GSPATT00016028001 transcript:CAK81187 MDILIHQSPGELVYLYISARGLQNLGHSNIQDFRCRVYSKEDFIGETEVSHSSLDPDFKQ PIPIMYRFNKRQKLVFQIIDADQELSSQLVSQNASASIVGICKQPLAKLMGARNSLSQLN LMKGDLVVGTIIIHVARKGPQIAGQKEQTNKVTEIKWRWGGIKLLDLDFFTKSDPFAKFY RINGQQTEMIHKTEVIKNNLNPNWMCWETTENEFFKYSKNLYVEVMDYDKLGSEEIGHVT INYDDIKIHKKTEFPLLTPKGKNAGTLKLLELVIVEPKEEHIEIIQEEPKEPTFLDYLMG GWQMSLSIGIDFTFSNQPITKPDSLHKIDPHKLNYYQQAIKVIGGGIIAFDYDKQVPVYG FGGTPKLPTYTKSTMDDCFPLNGNKDKPLCNDVAGILQAYIEAVPNIVFSGPTFISNVLN KALQFAKENAQNNTYTVAMILTDGQIEDQDDAIKVLLECQTLPMSIIIIGVGDENFKYMK QFDDPAFLKKHSKTDMNIRDIIQFVSFQDYKNDIEQMSSAVLDQLPKQFMDYMHINHIQP IKMQSVHLSKAFK >CAK81188 pep:novel supercontig:GCA_000165425.1:CT868396:110348:111338:1 gene:GSPATT00016029001 transcript:CAK81188 MLSFQLHYQLQFGDAIYISGDSDYFGNWSPLQAKRMKWNQGHLWTIDIPIHYFQYKYFVS SFNDPNSEEWEFGPNRLMRTTEPSCNHQLNLEKGIVDVWNHRKVMFQCYNPQQYPMFISG SSLDLGQNAKRVSMKQKQDISYKKILANVIEDKVVHYQFHVKTKKHYSSSILTLHLDSTI SQNQNLDFSYKNYILAFCTGMEKIKRSIYQLDNHICYGYVPRDQNDFNLLRDANLHTIIE FSNQAEQAAHSIKFGEFNYFTLNFRSNMKGTYISNLSPLIQLLITKYHVNVKSNQQVIYI CNNSLSHLRKYLQAYKSLSISK >CAK81189 pep:novel supercontig:GCA_000165425.1:CT868396:111963:112722:-1 gene:GSPATT00016030001 transcript:CAK81189 MINQKQVFKNIQIDQKDCLNNQDIYLFLLLASMKSQNSKKPNLKITLDKKSVALLSKSDR PPSSKCSQKTISSNDKTTASSKKQSFKFQDSFSTPNPSFISEGDKKCKYVEFTIVAVEPH QSQLIQFLIQENLELQKQNSDKDKIITKLLTTNKPNCLQRANTSNTERNMTKYSSTEQKI CESKKTNSPLGFTFCNTEQVTDARTIQTQPMTQSNKRLPKEFQIVPNQRRFFI >CAK81190 pep:novel supercontig:GCA_000165425.1:CT868396:112985:114086:1 gene:GSPATT00016031001 transcript:CAK81190 MTRHSQIIINHKTISSVDDLPGLTVHEKLFKGGCGTIFRGVDHTTKQEVAIKYSKFDLSN EYKIMKKLSHIPGIPKVYTFGESGRSTYLSMEYLNTDLHSLRAKMKQLSLKCICCVAIKV LEILKEVHTLNIVHRDIKPTNLMIKSVEDSQIYLIDFGIAKEADQFLVSKDVEGTQLYDP LQVHKRQPYRFIDDIEMLAYTLVYLFKGQLPWMKYPNTMLYNDEVMRHKEQYVSSKQMSR LPFAGLFGYIKWNQNNNKPDHDFLISLFKKILTENKMLENYLYDWTENITPLASSKSKTT ISFFNYSCVESDQYCTDLSDEEYNQSVFPLMQQIYKFFKKE >CAK81191 pep:novel supercontig:GCA_000165425.1:CT868396:114190:116605:1 gene:GSPATT00016032001 transcript:CAK81191 MSTSKKSILKCKLDTYIKDLRSIDLFGQNILLNLNGEDQYKTGCGGMMTLVILAIVVLFF QSNIKDFVDKVNIQSESNQVFEDQPDSIYLNDTNFMFAVAIEQPNFNSNPFFNITLSARQ YERLGNGSLSKTEALIDLVPCTIQRFQQVFSSYGQNFTQQYNEIGLDTWLCPQMNYQITL NGRYTNKFFNFLKITVTQCSNQSQTNSFYSWQPACASTEEVQHWLLQQRQYRIKVYQLKH NYRYITNQMVSPSKGDDYVQSFLDDELFFSFVPQMIGKEADVFFTKYSMKTDNNLLPTES AFDTKELFAKQSGDYRDQNNYASDTFAQVYFRRSPYTTYIQRSYQKLDKLLSILGGFANI VFVVLGFIVAIYNKQLYLIELANQIYDFNPKKENQEKAERQRLVEDITQLKSQNLALNRC SPISSLNLAKPQIEKNLRLTFRQTFSQKFDYISGIQTQKDDSYKMVLSSHRQPVGDKEVE WQSADAIDVQNNYLLNASDMVKEDQEDQRASKVKIDMSKKKSFKQIQQSIVSKLGHRNRK EFLTKQIQAMLDRSRPIVFTFKFLLQQLFCGKFFQDHNSILLNKAIKKINQDIDICVLID KVKEIELIKELLLNKDQKILFNFAPKEVISIENERSLPGRRENKRAYTKTLGVQFGDVAK MMLDKKFKKGQFVTPGMRIYYKLYSAYERVALSEERNNPFNKVLIEKLGQEVKEVFDISN YIQGDQNAKVIEKLKKKKTNYYDEEQPIGIISMEQKDQKQSMIK >CAK81192 pep:novel supercontig:GCA_000165425.1:CT868396:117128:121135:1 gene:GSPATT00016033001 transcript:CAK81192 MTKVQFLLTYMIVLAHSCSYLYNNTIFLTGTLQEVINVPLSSIFHTDYFERLTLKQTDKF LSITSPISKYSEKYYGGIKDLKIVTQKLQKSTSSRDPINHLTILGVNYDTYYAQSNQGTY LDLPQISILTNCEAKKNSICYDIVQIDRLTVIDCDDQKKNSYFILMNQDSTTYLDVDKAT SEFRKIDQVDKYMFRGTADKIFVYIEEEGQLKYLISLDETTLIALLEKDSFKLSIKDFQV HTNGQLSILNASGEIIIVQYKNDSWGLIKIIETHINDVQGYDYNIYTNTYAIISKTQIVF QNRDKEKQLAEILNEDASSKIYLTKRNILLFQNNTVTLLNESLKKIQNLKFDNELAFINT NQNAEGFMIVSNIRVAGFTINENYSLKLSLTSAIVGEGYQQASLIQGAYPQNCQITLFYK TQDLGYKQILQSQYSQGLLVGGVNIDSDIIKLVPIYQGSDLKYQFKANNLIKKIEIEKHQ AFSLQGLSETQTLGYRKILKANGQWSYYVIQQDANLQVKGYLCEGNLQLNCNLLFTKDQF KQLQNSLEQLWWSNQESLFFGTFQEQSITIYYLSTQTNNLDILTTIEMGSNVKQIVTDGS HLFVLLEQEIRIYEITILNQAKLTNTFNVFAKKIYASEHVKNLFFIEQQFSLNLYDIEYD LQTIVWYGTIQFDYQESNLAIFENHFVRFIKPDNEENYIVTVFNYANKRNIYTEKQISFT HYTDINLSTLECSFDRNLFYIHGYNKQSSSQVILVYKLTSNSLDTLFYQINSTPSTIFSV AGNNILMTDIVNKQVVNYQITGELVVTSVVNKEYEQIPYTSLIQLDFDVTNDLSNKMVAS IAINSVNRGSKIILRSDQINLNYTKHNDTVNCADLGQDWYSGQVFDIELADQQKKIQLNP SLTLQKEILEYSPQLKQFNSSRLVQLMQNKLNLVNIEEFTFQILKLDEDYQFTAILLIAG ENIYVQAKSKESIWLRVIQCKNSDMCNLLDNKFQLSMGVKRAYLHENNFFLYMGNYVNVY DTLGDATKLEQFELIQSFSQYYQLQQMEFTHLQKNVYQFISVDIWGNPEFQMREINRTAT NNYLVKFPLDSLLAGQKINKQEIQQSAGFVLTKNQITIIFKNSASYSFHYEINCSRNELC EISTFKFNGLYQQYQDWQLIDFSQNENILQLIYLTQTHYELMLYDMETPSSNSKPKNVIA HLATPLSGIKDNSQHLSFVYSLKDKLHLLASSEGQLKLQHYILLRSPQLCTSEIKFEDQV KFKLSNTDENVSIQEKVIISEYIPPSPPDNDDNGSFPIWAIILILLGVLLIGGGIFLYCR QRKNKNQNTNLLLES >CAK81193 pep:novel supercontig:GCA_000165425.1:CT868396:121438:122502:-1 gene:GSPATT00016034001 transcript:CAK81193 MFNSYSYEKQLALSKEIDKIKKGYMREREVRKELNNRLAQNYRKRVENFVINMLENPVVC AEYKQPDTQAFRQEDPTKNLGDPQFYVKGFKHEKDRIQEALDRNKNLDFLPNRQVAHYCF RERDPSKDIKRDVFRYRDKTSLERIEQFLKDHTQTQVENQKFSKKKVFNIENLSENMSSL DRKAYLSRLIAKNLLPSLHQKTHFQAASTMFNNLPLSLMDHARSAPVLKQAEPDEKKSQQ QQRDVQKTEEMEQKDNKIKQGNELETFNPIETSKSVLEKCNVIRGKNPKISTIHKGQGHL ISTLDKSIQEIYKDIYGIDLSQGKFFQK >CAK81194 pep:novel supercontig:GCA_000165425.1:CT868396:123307:125603:-1 gene:GSPATT00016035001 transcript:CAK81194 MQTFLLFGQIRSMLDDWKQIWNLIFIKIEMINLKQKTIMNKILKYMKSLDIFGQNIQLNF NGQNQYQTATGGFFSLAIIAVIAFFFQQNILNFIHRVDIHLNAQTIFDFNPDRITFNEDN YMFALAVDQTNFNTLPFFNITLKQRTYTRSSDGSLNKQDNFIDLIPCTQDRFQKIFKNQD FSVQFSQLKLEEWLCPQLNYSIQLGGSFTSDIFEFVKITVSECSNNSNSNSILSWKPQCA STQARDRYLQQERSFRIRMYMTNNVINPLQVQNVSQTFLDDETYFSFLLQTGTEADVFYQ KYNITTDDNVIPILKKIDEEIINVKRAGDFKTKIVQNNDQQFSAIYLRRSPYTQIVKREL QDISDLLSYLGGFANIVALVFGILIKSYNKSRCIRSITYLVMIDLANYVYDFPTKSISIA DSQERKEIKKVIAKARSRTIVKQQGQDQELHSQMQSPKHTQIQLQQNDTKQQELYNISKQ DEIYQVKSELQITNRQEEQLLFYQEQEKIILKLGIQDRKSYLTQQIQKILNRSKPILFNC KYILSMVFCSKLFYDRNSILLHKAIKKINQDLDICVVIDTVKEINLIKELLLTKDQLVLF DFAPKQVIDLQEDEKSIMTRSQGSNSLETMRSNSNQKEVQNQNKSIQAYYKLFQAYDHIH KQLQQDNKINEKLIEKLGQEVRDIFDVSHLIQWERKSIKCLKDDMQDDSVNSDPLSINNV EQIKINVKLST >CAK81195 pep:novel supercontig:GCA_000165425.1:CT868396:126446:134632:-1 gene:GSPATT00016036001 transcript:CAK81195 MIIFIVLFFEQLILPSKCKYLVLTDLTNESAGSLWSDETLSLPLAFCTNGLAENYIGVDT SLSSIYWKRIFTLPKTSRAYIYFEYSISGNWNNDELQVFANNQKVYSEKFKLTDSNRNCI QKLLYESQAYFVINMRFDALNFEIKVSNPNLGIYIKNLVLLQQQQRGRNEILQFIDYIPT CSPNQYFQQYYCKCKGDLVYQSNLCIATCGQNYLYDSTEKYCYQKDYCTACAGTQTTLTC DTGYYKYYESDITLSNCVKRCPNSYYQDETTKTCTSMQSYLQTNQNGGNQVDHYFFFTTI PEFMHYYNYNDLMVTVVSTPEKIKERTFFYYGGRFYVGSFDTFQSQKVQITQTIKESQHK LRFRATAHFLDFNPTKFDVTINGVKQSAAVLQVDSINRLSASKSDYIAYIDYTWQRTEQY PLTLNTFLFEIEQSQQGGDYIGVFYLDDIHIYQFECQTGCNSCYTYALCTPCLFPANKNP ADCTCLTGYVMLNNQCITCPPYCTTCVTPGVCVDCLASTKRINTPSCDQCPVSYYISSGI ADCQPCQTGCYSCTVGTACIQCANGYFRNQSNECITQCPDGQFNDSSNVNDPKCSICDNN CLKCETSATNCIGCKGLAILVSGHCYLCSEGQYLSGTTCVNCISGCQICSTATCTTCQDS YYYKSSTNECLSVCDPGYFGNTTTKTCDTCDPNCLTCLAGTNKDCLTCPAGKVLNAQSVI SGECQTNCLVGFYKTDDGCSKCWKGCSACQDQTQACLTCASKFYRLKTDNWCYETCPDGY YNNKVGWLCSPCNSQCKTCYGFSELTCLSCNAPLAYYQNQCLVECYDGYGSISNICQPCA ANCKKCFGTQPNECLACMTGFYTLNNQCYVKCPRSFVGIRPQYICKCIYDNCITCTSTEF FLDNQCYNTCPIGYFGYNSLCIKCDVSCGTCFGEGIDECSTCNNGLVLYKNACITECLGN LYQDVPANECKLCHTDCTACTGPNNNQCTACPTEKLLTILHSCQDQCTDGSYPVVNELRC YPCHATCKTCFGPSDENCLSCTDLFEANKCVSTCSLGFTINATGTACDSDFPINIAVCTY QCKTCELAPRFCKQCSGNRAPNPPNCDCEAGYFDDGINANCPKCANKCLTCKGLATLCLK CKGDRLLPLCNCPDYYYDDGESVNCVKCQDNCKTCDATGCTSCLGDRITVPSCVCPDGYF DSYQMYCTQCAKKCITCNGSAELCTACSGIRVSSPICGCPNGYFENSDLDCQQCDSTCKD CNQYGCLSCFGNRIGPINGECKCDTKGISRFSIGSVYCTDCSLGVPYFGLNEEFNGFTID FGGSVALYDSLTGDSDSLCSAIFDEDTLALLGMQPVCNDDFTIIFGENPTIQVGDTIQLK PIFLLQGCGYRFLQILPNTLQIPSTIDQSTHKPTVRFTDATSPNVCQPLTIKFEELFYNG KQKFKILSWNQILPVTVDPVIATIMSSNTLNNSDTLIFPDKLFQSGVLYKFGAQVESFAK LQNQQTFQFQASQQSTITFKQDTLIAQYNRFQNIQIPTKISYANCIEKNPPQQLLYYEII LKNTKKKLLNGTLTENLMDGFQLDVPLEFPPFYFNFSNPYVLVFHTKLTRPDYTVTSQST FEIFIVPSQPILTIVGGNRMLGFTQELFLNTTITDKDLTADEAAKMTYTCNWICEDIVNG TACQSQMNETLVFDNNCNQYIPPRTFEPYKAVNFQVEILKENVNYSTKVSIQLIELDLPA LTIQGADPLELHNYYDEFIFKLTYPGIDPDVLMYAGAVIYDQEVQATFQFYYLDFKFKIQ DYFTSFEVAKGNAGRLRLSIYDPRFIMPSLNTQMLTLNIPPQKCELSYVKKESFVEFLDY LEVNVINCNDVDTPLKYSVMLFPNQSIYQSDLENSKFYNYLLVIPQQLTSRFNLTLPYAN SDDSLIVVNIQDQEGGIANITEPLKVKQYANLSEDNIRNYQLRLQSFDERLIGYRIITDR LTQLSGLIDYKEELFNELLSIDKNDSFLSNQTETIYHSLSSILSQNITLISLNETHLLNQ LNIRIKLAATELQTLTELQHNNKLSSPQNIEKTNYVKQYYTYTNILSSYINYRVNKNETA TEIQAQLDSMINYLQDISVANEPMYKVSSNSVNMNFGFLTSKLANEATGSAIKDNSKTRL LEAEADTSNSNYSNTTNFYKFSQTRFIDNPFYSAVGFPKNSTGYQAVDPKLSIKNAMDKT KNITSSMKMKFPTTKKLPTNTSTKCIAQVDSGSWNADVCKTKKVEGETICECESLNPTSI MESLDYLLDKASQVYSLDTLLAFASFPFYKTIVFYFYLLMTGGYAYVVYWGMKVDQAMYS RIAIEKEALEQKIKEEEEKLKEQENKQEDEQKEENDGALHIEHIDTQNDANQSKAILEHQ EFNDDVPPNNEGKNTIFNRNREQQLTQIGTRDQVQTLLETNDHAKLRLPKTNSIQGSIKV ISAAENGHIAVDIQNATINSQNSPQSKVENADNPLQKEPEVTKKPEEDLVQQSVSKLKAY IEYLKYFHQILSIVYKDDEEKPRGLRASIVYTSIMGSLAVLFVFGQPNNISLTLTLALLT APVNKIYQIILEKMLAHKKKSVRIVGAFVMIVTAGFISYTMLAGLVLSGSVETANQWSYI FIGTFSADNLLYSPIQLVLQYGIHMGLINMPAIQRLLNKLLDNKAKEFLRRIFTRRR >CAK81196 pep:novel supercontig:GCA_000165425.1:CT868396:137364:144522:1 gene:GSPATT00016037001 transcript:CAK81196 MKKLLLLLLATLSFAEVCVVRVGMTYYEARDLEISRFNCYMNFDGSIEALTRWIDIIEHR EQLLNDLEVLAKMIKIIESAKQATTVIRDYERNRPSFKAFLQNEWTSCRIEDAYEYVQRL NAQRSKEQRVALANSIINYLRNAERQIYGYVDSCRNVKLTTHLKQKIAQLKALKQVCEQE LKKPSPRDVIIESDDDDVVYYFEEQVNEDVYDYVYVYEDEMEAQFPVDDSEPQVYKHSQN PKVTKSTVIKTREIYGAQKDTSKVVDAHSTYGPIEYIKSGVGEECEYYGDTVNQPEPDYV DGMEETEENPQQVTEDPVPDDEKITEEEATIEIAEETIEEITQEEKSDDKNQVKPKRKEK IQKEDKPRRINQQDAEVITKKKKTEEKTENKQKKQEDKKDEVDEQNENGEEEEEEDEELC EPQDGNKTKKVVKKVKRDGPPSQSGQRTRREAKQEKKMAKEQPLPAICYGNENYVEEVIA EEVIQSSDKGANYLAVENLLNDVVSEEKHDDHNEHDRSVKLTSVKDEFNANEPTQFQPPK HRASSGRVQEKKAIAVNTKYFNSEFDGEPTQVFEFEDRALLQDASEYGYGYWVRFSEHSI KQHAREEGQFYFLSRMTTNEEYEDFTFYGDRTLAVFLYDNSFVFSTYDITTQSKTKDTNV VLNENMDSVWYFVAYTYSSQQRKAVGYIVKYGQGAPKYRIEIEAQHVPPTYVKFIFGGKH MDYLGLNGQFANIFYDVDAPAFIDGDDSLEETINTLSNMPQQLPILIEETIISKPITISG NEKGEHFHFDPQESQLLIEEYAVAFWFRWVDDLKVDEPNAFQLINLRSNKVRTQGKGVLG DRALEVHHVYGGGIKSNVYFNTYTVYGNRGKGSVNILKSVESAEYIWTYVYFGYKEGRAY GALIKPQVTGEVKFDGVYHKQANHLSVTVGGDETISPFNGKIAFVGIYLGEGAYREGLDF QTTFNYGEGVMAVYQVGKPITYMGVDQSRYVEFDQADNVVDKIIIHDENAMKINGQSEYA FGLWTRWLTTLPKYLNKRAPVHNIARVGTQGYIIESENGRWIRANGGRPQTLKDTTLACV LTQESYEFQTLNLKDDIPFTNLEGQWNYVYFGYKRQGDTGVAKGYIQFGVDGEIEEVAFT VYHDFLVEYVEFIVGKTSAPLFNGELARVTFSFGPGAFVPNKDTLRLFTQNSLPEKAQIH PVTRQTLQLFGSAITIAEDPIQFEFDKFQGAEEYSVSGWVRWAGPLVTGKESHVITLAQK RLLDIDGNKEETLQIIRGDSLYRFITYTNNGKLIPAEQEFHEYADQWTYIYYGYSKQQLK TYGYARFAFTDAEFTQENISHFYLAVFSIIVGHGRQSYVDFIGQMKTWVVNVGFGAYREG NFEEDENIKIHFGFISGADHIKQAGQEAHHEEKVLECATKDEEPPMQIHFEQSSSLQLHG VSEYGYGFWLRYQYFGSKQKIYAQPQLMGVARLTSNKEYKDFDNPGDRVLLVVMGRKAFS FGTYDVITKSNNVGGDLEYRRESEGEWQYLYFSYKRHTQQEGHAIAFTQFRDHTEGLQMD VLHSLLTNYLYFSVGHAGKYYVNFNGQITKVRINLGPGSFIENKADLLSKIKTKDTIPEI EQDVRKLDEKHHLTKFEQEIREYGVQLWFRWFKSQKSTQLMYRLTTNGEDTLGDAQKIGD RTLVMCHTEGLEFSTYSLGDKTVQLNNAYPVQIAKQNLEVWTFAYFAYSKESQQIVYYIN SEENEQHALESALHAVSSNYWFYLAKDALLNSYDSRLAQVVLNLGSGAFRKDNFKGLMVY LQSPKLFNPNAKLQWDYEEDELVLDSQDAEKQGVTVRIAEPNQKIESIQEYSVGLWLRFL QAWPSRLWNIPSEMQIFRLTSNEELENGKIAIGDRVLSAYLVHDNFYFGAYDINEDAPNE ISTISYSSLEGRWHYIYAGYKRSIQEAVYYVYDGEHIQKAKNEQLLQRPLNEWIKLILGG EKNVAGFHGLFSQISAHLGKEAYVENEEQLLKSIESSYALPLELTVGYIQKQKKGQVELE QYKSQELTQLQGIGEYAISGWFKIAEVQAKIEGEINSPCQVLFRITNNDEEHLSDRKQQG DRTLYAQVCTSDTVKDWNEAKFLEAKAEMGNSKRAWTYFYMSYSEDKREVATLIKLFEKD VPIVFKDVQHFVANNMFVYLGKDSFSRKFQGEQHKWELLFGSGSHTYNEETMPNLRYIIK NQKNMWFSKEDKKIDTKFEQSFDKEVESVDEYAVGMWTRWLIAFPTTLTERSDTHTIFRL SQTLEYQDKAELGNRALSAFLKKGFYEFSTYDASAPNNAVDAKKENGITFMQVIKINSFM DQSFLEIGSMSRKSSQM >CAK81197 pep:novel supercontig:GCA_000165425.1:CT868396:144544:147345:1 gene:GSPATT00016038001 transcript:CAK81197 MLFWFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKDFQANHGFGVRKLDLLV GYLQPKYDLFFRHLTQNPDKSKSFQSLLSGRLVLDLVYRQQQQTYGIEAQYNLEKAKLTT LVGLTTKLNGLDVKAKVNTSTATLGLSGKGKFNEKFNWTLSTELPIDGQWPRKQGVFPVP VGFTIDTSL >CAK81198 pep:novel supercontig:GCA_000165425.1:CT868396:147983:150056:-1 gene:GSPATT00016039001 transcript:CAK81198 MSDQIPDYRDYDDEYERYIEKVVPGPFLHDITMAEAKEYIYNDVITRKLIEYTLQYIKSQ VRRNVTVEINYITDMKQVDAIHQTTANYSMAVIAIKDPLYAFLWDTVGQEVLLIDTNMQI EKAFINQKIIRIESITKDFISILAGIFIRAINHANFKLNFIECQRQALMKWVLLKQYRNQ QMKQNGESFSRILDDQLLQEVNQSRKYDQKSNSQKMSQREQNPKLDNVSFQKSNSQLSSF NLQSKQFQQSQRSGQNQISQSHVSLPSTSRVDTMKNQISVKEFVQGMETVKNQLLSDIPQ PDELKPRDYNLKPVIPFFPPEKVFHLRQQDLEELLKEQVKNKKIQEPNPADQLQQLLIYY QQHNPEQYKILLNQYQDFIHERMFEGMGLPSSTKSQMQVQPQFQQQQMRQSIQIPKSKSM LFPKPPQMQTQLYQEEIIALKYNRKINRQEFELLKQKLVLSQNIMEFYFSHLQEEFKRVF IFPIEFYNVLSQNPEKAKSFTDQFQGRNRTIFDLFDKVFVPVKISQYEYVGVYIDFQNKT MFYINTLERRDRKGPPYKELIDQPVVHFVIKFMENEYNLKVMRAFNILNWKFVEFKNDYA QHFNYSGLVLAYVIDSICNHKSAVGDNQILQEFKQKILDAIRKAGSKK >CAK81199 pep:novel supercontig:GCA_000165425.1:CT868396:150106:151592:-1 gene:GSPATT00016040001 transcript:CAK81199 MIYYQKTVSYQALCNEASIQLENHPQAKQENQYNLSSTDYKRQKSFQGDRFVTQLKKNFS IVQENKLESQDIASAQMALEMLYKQQILEQEPIFESDSMQFINQSGFQYNQQNNCNIHNS KIYNSILIDHKYFTISETLSNYYSKYVRKIQKVPFKVLDAPQLQDDFYLNLIDWSSQNTL SVALNSCVYLWYENAQSSKVTKLLDLHNDSVTSVAWSLRGPHLAVGTKIGEVQIWDAIKL QRVRTYKGHIARVGTLCFSDNVLSSGSRDKLILQRDLRLKGNYFLKQSAHKQEVCGLKWS PDGQMLASGGNDNKLYLWSSHKQDKPIFRLTEHQAAVKAIAWSPHQHGLLASGGGTADKM IRFWNALEGKPLQKEDTGSQVCNLMFSKIDNELISTHGYSQNQIVLWKCNNMKRISTLVG HTCRVLYLAMSPDGSTIVTGAGDETLRFWNLYPQINQDFKQLNGPLHIPTIR >CAK81200 pep:novel supercontig:GCA_000165425.1:CT868396:151702:152268:1 gene:GSPATT00016041001 transcript:CAK81200 MQQRLQTHATTEEGRKSSSEIISPLREMYQIRRNVMKLEKQRTDESCHRKSQEFIKHISQ SHRDAIIPQQLKFEDNLDGSRATINSINSVAQHYEIIKPNLHHIDWNKENNQNQSNKNKH HQKCDSNKHKTKFIQLDNQRQQINKTIQQMSKCNRQLANVLKAQVDKLFDTIKTDYTYA >CAK81201 pep:novel supercontig:GCA_000165425.1:CT868396:152345:152814:-1 gene:GSPATT00016042001 transcript:CAK81201 MFQKKINVMGVANDNEFEFQKKFTLAERQLKYQNVINAVGEQKALVVVEKHKKSNIQSNT QVQQPWKIFAIDKTKNLAEFLHCIKLNAAINKQTSIFLYCNNTLLMLRGMLQLYLDDQTV GQIFDSQKNKEDNILYIKYSDFETFGF >CAK81202 pep:novel supercontig:GCA_000165425.1:CT868396:152874:154628:-1 gene:GSPATT00016043001 transcript:CAK81202 MLFVTEPKFVNISNPRKLLSLIQEYELKFRPDSPITQKAMESMGLDCHHFRRKNKFELRY DFEIQKIPYTEADMQKAYLSYMRELIVDYQNLFDNRKRIKIDFEKRQREEDELRGYKWPE PVVTKKMIRNQIETLTLDQKLQLIEEERSKEQKINDCFAKEAIRQELDRRLKIQENQQKE ERLLVSRKETFDNLRKKAASENKKVYEKLQTHQQKIRKQLEKDFRTSKSIQEKMEAKELS IQQKRSKMISEIASRNRKLEDKINHFKDDFGNRLMEDLMRKQHALELSMSKRQKTAEQME KNRLEKQQCFEQKLSNINLKIKQEREEKESELFNKVGQKLSKTEKLLEEHDKLVLKMWQD KKKESQSRFSKQRERYMQSEGKQQEKIEELDEKLQSIQDRIEKFQTKKSEEWKSFKEQKI QNHKEHLEFLQYMKKKKEDKNQKQYLERQITVSTKLKKLKDDQEYVRTYQTASKQRFEQN TLAQIGELVNLKYSSSVKLLNKLKDLEDEEAFNDIQQKYKQIVKVPEKKPVE >CAK81203 pep:novel supercontig:GCA_000165425.1:CT868396:154649:157513:1 gene:GSPATT00016044001 transcript:CAK81203 MYSCDILPLPGKQCRYPKSLNLLNLDHYSNQDAQSSSRIRKPAGKFKLLSLHNLKKDEGK NFYHHTSIYNLREYNNLTKSPVFFMSDNTKQVNEMQTKVLLTDFQRKVASYLKKIPKPKN NQQYYSDIKPLGYKKEYETQQTILHTQQEEMLNRSLCLNKYNYRPELSDVGIEVMLMDST KSLTKQVIPNERIQNRLLKFMERYLNDDHIDYDLKLQKMTQQYREIVKKIKTKAFKIIVP DHYQVQMSDFNDYYQVNENKQREYLEQQFDSLADQIIQSIQQSSQSLSSQRDSQQELNQL EQEIATPKHHNQEIQQEQQNQIANEEDEDRVEGMKESKRTGKQKDPKKITKKQSIRAQRP STLQRQESDVIQQLTELSNITQQREQRQPTVQQIETIHEEELKQTIQEITEELKKPHKKH MTKAQRDEQKKRKKEIQRLHEDIERIKKEKGGDFEYEKTDSDSEDRFRRKKLQNQFDDMF KPRQLSRRQSHQFDENEGEDLDYASEQEIEDRTKIQRVEQIIQQKRDPNYQYNPQEFWQQ EVKINVKKPQVSSIASQQRQQEMFYQFQREKLEQQMQMINQKYSQSPTDPPQQQQANPLQ YQMSHGQQTQQQIKNDQQQVPSQTKGSPQQQHMATPHYDERKKQNSHYSVQKSFKTPQSI PSQQNNQDSLHGIQDHSFTIDNRQNSLAQLAQQNQDVRNNNPQTTQNPQVSQNAQINQNE NQSQNKNQQHSGQNDQKQKQQGSNTKKQEIPSSDNRGRTIPSVRNIEQEEGTQISKQIED RLQQVQSTLNKAKLGMYEKMMAAQSVYDVDIHEYKLADFPLSSTVFPKLNGEDEKQIFQY LYAWQKEVYKIIPMLNQVNRNLIVYKLLIQIRDEDEGAASQLVSSSDEDDR >CAK81204 pep:novel supercontig:GCA_000165425.1:CT868396:157532:158781:1 gene:GSPATT00016045001 transcript:CAK81204 MSLLYSKQTISKTDLIESLTLILKPRSQQDFDLACQYLGSLMKKHAKELFNRLRQKNFAN FVEPTAENLLNILFVIHSNIEEESIAKQLREPAIYWLQKVLAENKESFTLMLNEVSTPGL QSIITKSNIIPKSNQQIAEKRISQLEIQNHAIKPQFQVESYQIKPKIFCVIYYSYLQKIS INLSVYKSIKSLQYPFDEQEDTKGKFIWIYKIQNMMLMGLKLLECQSQVFKFYQDFIYQN MIQFLIFIRQEIEYLIDQYAIISNLDCLSLYEIYIEFLRAQTIVEKYCSDIDLKIRLNTR QIEDFLNFSVRIRVLNQSAFKKSLKVAGRHQANLSGNQMEDKHQKVQNFFNKENMDEPHL RDYHEQLSDETQVTEKRYENKSRENEVYLEVDSHRLTHIRGVSTFQQS >CAK81205 pep:novel supercontig:GCA_000165425.1:CT868396:159205:159797:1 gene:GSPATT00016046001 transcript:CAK81205 MEEQGERPETSKCSRMEKRRLVSQCHQRQESSQAQRINGITLQQYFRGGNFKVLEINEDD WDNEQPGQQATKAPPLQNNNFRIKTDKKMNNKKLLERSPVQNLTMSKDFNQRKINRPHIT SNEFFQNEDDLRKTKLELKYGRMREDAINRFGNPDQELLNKYADKFNINKEPPKLTLAPR ELFTKFK >CAK81206 pep:novel supercontig:GCA_000165425.1:CT868396:160063:160504:1 gene:GSPATT00016047001 transcript:CAK81206 MSNKNYTMIHYHIPQDLDDPEQPNAYTLQLNIKDITYTDILKTFPIKGQFDFKFLYQHQK ENFWLDIKSNATPLPIVNKHIHIRAERVQKPQETQPIQIVQPLQQSQPTLQQQNDLMQF >CAK81207 pep:novel supercontig:GCA_000165425.1:CT868396:160661:161973:1 gene:GSPATT00016048001 transcript:CAK81207 MIFNLVFFYYAYGVSINLFRKQQLDYANMSNFDNLLFYGIIEIGTPPQLISVAFDTGSSI LWVPSVKCKNCQQTITFSPDESETLKQTHQTITIQYANSEVFGFLVEDYVGLQGSNFLSF MPFLLVTNQENFYGNQTQGIMGLNNEISTQNIFDVASQQGLLNNSVFVMQINQEPQQSRI YYNISNQKLNNGTFWINSISKQYWSIPIDQVQVKDQVKKLIKYNCGIVDSGTSGLFLAED LFQLVMDSLLKVCKKYLLIVLCPCYPNEYEQQYIPDIVFFSNGKKFTISYQSYIYYQSME NGYCQITLRSMNMLDGLLDCMIFGDPFLLNYIIIFDKQKNRMGFQNSSLELWDVAPQISN DYPLQVVGLTWFSLTAYFLILGVLFYYNNLMFKVDQVEDQNTSEQELPNENTSLQAALVK K >CAK81208 pep:novel supercontig:GCA_000165425.1:CT868396:162203:165377:-1 gene:GSPATT00016049001 transcript:CAK81208 MSGINININLNLEKNKAAKSKGEKLYDGEPKKKKKKQGKSKQTNQSPLPWGLNQERLKNY IFAQLAKRDNLLYQQQMRKGKEEEPKEDRREILGVSFLNHLPKRKIKQMINKQKVNEEEE QTQYQPKFNEQQLQEIRMYKEWKKRQIQFEMQFKKQQEIDRQTKIQQNLQNLNEYIKYRN HTTSVHQKKSVSFHKKKRTKSSMADKKKELIMKYQNLANRYAQINGEPKDNQSCFGLVLD SDQEGISQMDSIHKSTRRQHVISEPTLDEDEEQQIQELGNLEKEMAQIVKAAIIIQKVWR GYKTRQILSYYKNYVEDDEDEIKLDQLTPNLSQRQAQLEPICQSVQIGKEQSGLKSSQKK NDKNGFNTFIDQLDWDDENEDQFNSQKVSSIKKLPQQKSNHKIIIEKQKQQWHQMLEHIS QLQRKGSKQNIKEVLQDLKAFTLQLTNEIDGEMNEDNLDKQEQQQSVEMSEIRQVMGSEN LVKLSQTSSPANKDASLFEQNPFYDFASKKFKELLNREYMNKLIQMRETAIEERQKNEMK NIHEALQQKQISPKTYEKQKFKIEKWVTKEKEDFKQQKSNIENGWKGLYETFMRTQKDLL FMSKLKNQQLTSSFSSENVSNLRLIREINSGSQSKIVKTNNFDTVTMNFSSSICESQDIR KVPKTSPSPSPRQQQQQYNQQQIYENLEWDDEMLYTSDNLDCGKIQQSQVIKVSTLIHKE PEYNDSQIQSYSILIANSIIGNEIQDMYEELKLYNKDITTLIKFQQSKGIKTNIQQVKVY LAKLESFLLQSDRKVALIQRINTPLGPTPKEILKFFHYYDEEDDYQSSEHDDQSNQPILQ TEQYAQLENQLMEEEQISSDDGFLLELEHIHNKVLYDALNEALDYFRPFGLNGYPLPWIK QPLELIQRNKKSETLQEIFQGAIKQVTDWASFLVGLIIDKPDSPFPKILMLDQEYLNQIK EERMIRMLNQEIYQNEERWLIYDEEQSEILVEISQMVQDQLMDECLKELIQFQ >CAK81209 pep:novel supercontig:GCA_000165425.1:CT868396:165864:166202:1 gene:GSPATT00016050001 transcript:CAK81209 MFFSYNFCIKEQTIRSTLVCLVMAQIVEIEPYLIQSNLFKLECIKTIFYFLTYQYDVNVH QQSKSKLRIKNGQLLSENESGLFIGNNILIKPGQWICKQDKGEVNQQQDFLD >CAK81210 pep:novel supercontig:GCA_000165425.1:CT868396:166237:168970:-1 gene:GSPATT00016051001 transcript:CAK81210 MSIKDDTKILQQFLKELPHDIAVKHIKTVKAGSKVENVQSTRVFRSTKQLGAVNAQLHNT LKQSSNSLGEEVIQDVKDEINTLIQTLTPNYQLGDKLQLVDGIEKIIFAEDNTHKTEKTT FQVEDGIVYLIDLWATWCGPCQNPMEHNQKMLEKNPDWQNKAKIIAISVDDDSESVKERV NSKKWKSIEHYRFEKGWDDQNDLIKYLQLRGIPFVLLVNKWGKIVYLGHPSKGNLEERIN KEIAAEKEEEEQKPNQENAAENNNQKELLTKEQYKQLKDKIKELQTVITDAQFDGIVEIQ LQKENHWIHDGSKQVKKRSKLELRYDVNVAEEEKINAFLQNHWNQISDDLKVVKESKIRD PQRQIKEIKAKFQSHFETKGVTISFPTTQISSFSLSQEKQEITARDRQRFTLKCENLTLD AYKTGLDELREYTESFEDDENQGIRETIESIVEGLKQNVTLGEGKQFVSIDDYKKWGSEE FTTIAHTQGQVLVLDYWAKWCGPCVREIANNTDLIKENQEKWGNSVRFVSLSLVLAEEAK EFMDSHQESQKYIEFYFPKEQRQRDATLYGVQYIPHYIIVDKFGTIRNVASVSNMKEVVE DLIKEEAPKKSLEQAEPIFLGQDCINQIKDILQSEETEALIKSIDQQKVIAFDLKINSTQ AEGDQYQIETVELNYFVRDTQVESLKTILAKLYQIVPETRWKINKTISKTITVKYPGTVC AVCQKDISKDAQQYYCYFKDENVCTECAEFDDQTKNGMERYKYQDSLIFINGPLSDPSVL TNIDEHKIGKNLKLKEGEKAEQSHPMMCNGCGEGAKGPRYISVTARPGRYRGGGYIDYCC KCFAELKNKDSDKAKEIVAKDESDGMTIDSLFTRVLFNYGGYQAF >CAK81211 pep:novel supercontig:GCA_000165425.1:CT868396:169912:170441:1 gene:GSPATT00016052001 transcript:CAK81211 MKDNDSISTLNEQLKDQLLDSSTLEPSIQNKNHQNMIQTHEVQGSMKRKSYIKKQKKKRQ GRKCRDFTIQEDQKLLGFILMFGPKFKTIQRRMSGRPLNILKNRYYRDLRYRWDEVLGEE FAHLNEIKTDLIPDEILKNPPFDPDLSKIMMNMMNQMQNVITKCLN >CAK81212 pep:novel supercontig:GCA_000165425.1:CT868396:172152:173748:1 gene:GSPATT00016053001 transcript:CAK81212 MKQNKLTSKSKNLNRTSCNFQDNYNSFNVQDQQSNIPDAEFESLSGLLRSLNTIINKIIN YFSHRLTRFVNSIFQRFSKNSNTCQITHSHKIYNMIMEKKNIKEFQIYLDKRVKILCNII HSNVLNDKLIDESKLRELVLQNRISEYIEELINKKRYFTAYKFMNAFQYETVSYQELVHE MNMNDMKLQTKIIKEQRLDIADNQKVVNHLNNEALKFFIFKAELPIEKVEELFLGDDSKL QFLVENYYKTNKEMTVEIAKRNNIKVQDPLIQREIDDCNCVSQNALLQNDAFLPSEIILQ PKKIDQYVRLSDFKIFNEDVVLIEDEEALDDEIIDQILKASQTGIDTESYQDIPQNTFSA KNQVCLFQIALPNKIYLLNTTNLVNSIKYQQFLVQYASSDCLKIGQNIKMDFLCLLVQIG KQDVDLRNFIELSQLFRQKYPDEKKTNLSFQCQRLLGKELDKVEQISHWQKRPLRSAQIH YAALDAIICLHLYNHYIQ >CAK81213 pep:novel supercontig:GCA_000165425.1:CT868396:173926:176358:-1 gene:GSPATT00016054001 transcript:CAK81213 MNNPLGRSTQKPEQQQQAQNNQKNSRAKEFEKQLQEEERMLAQSGGIVNIQQPTTQQQQQ PVYSQQQQLNQQPQSVFGQIGQMLDAPRKLFFRNTTTQPQPTQQGQRQPTDQNEPEIIQT NIVQTYTTRDIKSIGLEIVQPGEIALQELRGICSIGSQQFQGIIVATNYQIVFLPDKFPK DFRKDYFYVPYTLIIKVDKTMDRKQNDANFIEISCKDGRWFKYRFLREQNDDCNSIFNVI NKNAFTLNKQTLFAFSYYKEFSNLETEYQGWKVFNIEKDFERMGINILQENSQNQNGLFK YLNNENGIICSTYYNRLVVPAKADLDCIQKTAKFRSKERIPILSYAFPINGKVISMWRCS QCRTGIGQNRSTEDECYLKYIQYEEKETRLRIYDARPHINAIGQQVAGKGYENTLFYRRC SIDFLDIHNIHKVRDSQTKLLKAAFNENVQFLSQLEQSQWYDHIVAIIKGSAKIAITMTR EKINVLVHCSDGWDRTAQLTSLVSIMIDGQYRTIEGFMILIQKEWINSGHQFCQRSAIGN KQSNEDSRSPIFLQFLDCVYQMQQLYPLSFEFNGKLLFDLAYHHLTSLFGTFLCDSFLEI QKQKIMEQTVSIWSWIMKQKDKYINAFYKNPQSIDLDVIIPDSFTGKSVTFWKEYFLYYS LESNDAYQIHPQCQYTSDNIQEMFKALSKENHVLRQAQKDQEKLAILHQQKFNQLMQIIQ ETQNEEIIEKLQTIKLI >CAK81214 pep:novel supercontig:GCA_000165425.1:CT868396:176374:177486:1 gene:GSPATT00016055001 transcript:CAK81214 MKKGFKIEYNFETVQIWEESIEALQLYDPNYKQIQIKPQNLIEYVLNTIELMAQVRGYNQ DSFIIALINYCDRTKKKSKSFMTGFINSFKEQISDPELSYFTHLLDPNKLTEKTPSMFKF YLKVRKYIYTNYVNPRESYIKPIKFDDWVTVVSKFLDNASIPIIGNRIRDELNNNSTEKV FKNTIQPIELLIFCYEVYEKQQLEQAQRSNQEQLQKEESVVEQQQKRQTAQQLAYKMIMP NTVQKVQEDKHSDSQQKAKFIEVIKMHENLAYNYKILANNISDTQDIVGQLIQKNQNFDF DDDFIQQLEQVVNFKSFSKNDAIKELEDSNIYDFI >CAK81215 pep:novel supercontig:GCA_000165425.1:CT868396:177572:177859:-1 gene:GSPATT00016056001 transcript:CAK81215 MSKKVHAIDSLRTRSLIPPDYDQFEDSQHNQENLVISSQRSQNLKGILKKQGKNSTSKLK RFRISTNFNQVFIVENWKQYNSNYEEPAESCCQTF >CAK81216 pep:novel supercontig:GCA_000165425.1:CT868396:177915:179341:1 gene:GSPATT00016057001 transcript:CAK81216 MKGRIDSQGSKSSKRQLEMERLVQRPEIGLATLGQMFKNYSQQLGGLENGKITKLEFRKF LIFEQICFLFNSTGLALSMLEYNLEFEEERWISIWLLWMILIFTILLLVFTVLRYEQHMN WQKSRNLLTKRERLWQTDLWYPMLIELSIYLIIPYPFLRGIRVYFYNNFQEATAYYHVNE ILELFMLSRTVFLFRSLLAQTFWYSNRTQRVCALYACEGNYMFVAKSLMRTSPYTAQSIA MTSLILVFAQAVRISENPLARNDQNNNNLGKYDNAVWNIVISITTVGYGDFYTRTDLGRF VIFVVCVLGIFVISLMVVTLITSLETSSLESHAITVLERIQLREQMAKSASMVIMYSLRI VVALKKGNLNKLQFRQLILNLRYNLNEFKINRRQYRNKQDVGSMNEEITNQFSLLRSDFT GAIEKQKSIFVQNADIMNKLGLETKQFQL >CAK81217 pep:novel supercontig:GCA_000165425.1:CT868396:179389:180890:1 gene:GSPATT00016058001 transcript:CAK81217 MQNNIFEPATTDLNTIQKFQLHSKFLSKNNVPVEIMSYQNLLFKKSQKSFKMTKLNCTLR YQIKHESTSIILRLEKDDCKFKEYLFLDKSEPWELKLKSQIPQLDYQTYYELEKLIGSGS FASVYMAKRKADGIKLAIKAFLKKMLIQKDPDKWRETIDNEMKVMKSLDHTSILKCYDHF ENRAQCYIVMDLARGGTLEQGLKKLEEPLPFLTAKVIFRQIVEAIKHMHERGYMHRDLKP SNILLKRPMVLKQFSLIAQTDPNIVVSDFGVSSEIKKDMDIGKYCGSPGFMAPEVILCET NKNLTYDEKCDIFSLGCILYRLITNKPLFNGQNSLAMKQQNKECNLDWTKIHEELYQNQA LTNLLSKMLSQDPRQRPSCEEILNSKILQVQLDNDGCPLFINYKKPKSSSIQQIKTARPS IKSISNSKLPQLSPNHFTFNNHNNNNHNNNHTNKRSGNFLLPPINSRLQTEQSQY >CAK81218 pep:novel supercontig:GCA_000165425.1:CT868396:180929:182786:-1 gene:GSPATT00016059001 transcript:CAK81218 MFYIGLTGIEIFDYLGNKVQIMEASGFFKNPSVLFDDNYLTQDEKCMCLERVDKNMEIKL RFKETKIAMIRIWNYNKGRTHKNKGVRKMEILIGMDSSDSVDPIFYDEIKQANATCNSDN AEYIMFTNNQQILDKISQSDWLNTLHQSQIQQQKQILENTIKLSRPDTATFKKHNQQKQN NAILIKQPSDKLLQSNSKKPFASVLNTLPTVTVITLTIYILKNWGDKYVGLDKIEIHDKY GQSLKIKKYKVITYQSETIMNSDERWQFQNGQIRIQFSFPQSIQISRILIWNYNKEDELE KGVQLINVEGDDQTLNTIQGIFLRKGHGLSDANEPQVIDLPYQQNIKTILANYQFEKSIY LDYETAQLPQGTKITIKLISTWGDLHYIGLNGFELFDPNGDLIMPKLYAKPYSVKELPEM DMDVRTPDKLLNNCNVTLDAKQIWLAPFVNSVFDQVNYQVNKLILLFDSPQQVSAISFYN YSKTPVRGVKECEISMDDYIIYQGYLNLSTLTQTPKGIIGNNKTTVLFTRDERLIEQIGS VEIRDSILGSETTLINENQRETINSIKNKLVRHQQQKLYKELDRPTTTEVY >CAK81219 pep:novel supercontig:GCA_000165425.1:CT868396:182816:184056:-1 gene:GSPATT00016060001 transcript:CAK81219 MSVSRLQYQKPQLTRQVSATSRTTPKDINPDNTIMSFLKSMDTKQAAVLNRSIRQGEKLN VRNQQASTTQIQSSRIQLSTVERQIFNNLELRIQKLPQKEQEYVKQLLGQIENGEQKHEE LIQFFKNNKSKRIQLRILSNYGNSASVGLTGVELLNQQSKLVQITSIISDDDSNNTITNL INGHNLIINQEYMWITNYKSFPITITLHYVDESEVLTHVKIWNFNKNRKELDKCVQNIEI LQNEQLVWSGQLKRGVGNTYTEYADLLELKINNQIKEVVPQPFYQSMQSMVSKKSDDNII NQSKASSQFKHQKPKVMKNPFDDEKRIKQPESQPAKIQVNQKRLFKNPMSLFPQKQLTEE DTTITNLKRGVAHIAAGQNREQKESPFLIVRLETY >CAK81220 pep:novel supercontig:GCA_000165425.1:CT868396:184127:184833:1 gene:GSPATT00016061001 transcript:CAK81220 MGEIVPNQLFVAGYSRNKVTDERDVKEIFRKYASVKEVAYKGSYSFVTFNSETEAQEALK ETNGMTYNGQKLKVDIVDNRKSRKTGPSDSDLCFKCSKGGHWARDCPNGRSPRRSRRYSN SRSRRHRRRSDSRSYSSQSSSRSRRRNRYSRNKHRHSRSPKRQDKPKKRSISRKRSPSDS QSSKRANSDSKS >CAK81221 pep:novel supercontig:GCA_000165425.1:CT868396:184863:186332:-1 gene:GSPATT00016062001 transcript:CAK81221 MSEISSSIVSGIQSEEEDKEVNQKYLSQNKKEKINAFAQEKQNWGKNKSKYYKEDADSGD SDAELEEEMEAKALQLQAAKKIQDADVWDVHVDQEQQEFEYKTKQLSNEYNLIGLQCLSE EIGIEPEIIEKMDVKELNTVILKGWPELKGILKEITQVGKEIKILKRNCKKEKGSEIKKY LTIRIELMQSYFGLLWFYLHLRARTRLSQHHPIFKKINDLKELIEQSESYEKYAQLIQMN VDQKEKEESAKKKEVKRKEQTKKQEEGQDTLSLKRKLKNIYNNNNREDVDEKKISKIKKL LEDVDRTHHKNENKEQDSESRISVNSGDMLEAMKDVDEHLEEGGMDEIYEENLKRIKDKK KQKRDEKKVERLENFQKLNKLQKLHEVGEDDPRKITYVMLKNLSLMRRRRGNRIKNSRVK HKLKYEKALYKLKSQNKYIKNPVTSNYVGEGAIKSGVVKGVRLQ >CAK81222 pep:novel supercontig:GCA_000165425.1:CT868396:186694:187236:-1 gene:GSPATT00016063001 transcript:CAK81222 MISNDTLTPMQQTAQFYIPPGFSFHKRSLKRLTPQNDKDELQTLNVNPALNIKSNSQPNL LNLTRDKNFSFHFDSAQQPVQQNSIKKQLCLLGIQQRLLFPRITFKKQNSLDIDSINSVS SPEKSKKMLKALLMPQKKVQNVVHQNIHRSTKSQGRSFLQSRPQLNLLNRIFSSKSQHEL >CAK81223 pep:novel supercontig:GCA_000165425.1:CT868396:187307:188523:-1 gene:GSPATT00016064001 transcript:CAK81223 MDSNNDNPEISEQKKQFLKAFLRVWKLKKDQELQQKEKQQEQPQEQTEEIQQEQVQPKSQ VFSREEYAKDQFWEDRYKEHKGRFDWYVEWPQLKFYLEQTKFKISKESSILMVGCGNSAL SEQMYKDGYHNIVSIDISKTIIDRMQESAIKKNMKLQYQVMDATTMDFQDKQFDIAFDKG TLDALSCGDDIKNLLLLKEMNRVAKQLIFVSHSSHQKRINIMEQVFENRNVFETKIKLSG QAELINIIRTRLKDKPLSYVLKDKASLVQCINEYKQSQKQQKQEQQDEIYEYIIIKREQE QEQQQEELQQQEKSQQQEQQQLQEQQEIEEQQAILQQQINLQQEESQNIQQDENINQVEN NNENQGNEDNQVNYDPRRQSHCYLYKIL >CAK81224 pep:novel supercontig:GCA_000165425.1:CT868396:188771:189891:1 gene:GSPATT00016065001 transcript:CAK81224 MNKNDQRSRHSNNKETIVITDEEDEPKISKKIKNDNENDCVMSVDVIPWMSKKTLMIRHP VLRLHNEIAEFYEYISPSDQEHKRRVTAYLRVEKYLQDIAPEAQIESFGSFKTRMYLPNA DIDIVMIETSCTQKQLFKKVAARMMKQTNKFENVNLIANAKVPIIKFVEVESQYHFDLSF NQLDGLKQIEELEKAFELYPELKFLLMTLKCVLRQRDLNETYSGGVGSFLLFQMILAFLR EFRKDFFQHNKEDQIKNVTLGEYMIKFLEFYGIKFDVSRKKIVMGQGGRIENKSTQDERF SLFSPQDPDHDVGHSSYKIKEIFKIFQNRHNFLTNYNFKPGESVLRYLINPTDQKFSFLK PAQF >CAK81225 pep:novel supercontig:GCA_000165425.1:CT868396:189901:191193:-1 gene:GSPATT00016066001 transcript:CAK81225 MDINLNNIKEYINIKDKEFTNKFTNILLETFTKFSVWQSKMLNLNKKLSSGSTFNQTQLK LYIDRIFEELQLNLKQVSTYIEDVFQQLNSNLIQQINKLEQFNWQYEQLFIVDNFVNDKR LQFTYDFSESFSYYSKFIASDNQVVSKIIQIRDKIIVSSFDKSVSIWNKNLQELQRIKDV HQSWIRVLTHYKYQGQEYILSCGDDQKYAIFKVNIDNNYQILQLNQEISTLAYSRQAIFY KDCILSPGGQRQNHDILCYNLNANQYQYRFQFHKNMLINLKYIEPNTLLSTDSQGYTVRW SIAKISRVSLRKMNNLSCSDYDQNFTYFGTEQGKITICNGYGKILRSTKLSKSKILHIQQ IDSDHLIFICKPDYFCLYNIVQNELLQKVKLLEIDEVTAISINIPQSILILGDKRGRLFV YNFRLYLTII >CAK81226 pep:novel supercontig:GCA_000165425.1:CT868396:191296:191818:1 gene:GSPATT00016067001 transcript:CAK81226 MQQDSNSSFEVTTAQQQYQVPLQTNQQQGYGQSNNNYSSQPQYPPQNIKVQGSPYPGQQE LVVVNTQMGNATQSQLLCNQSRFPVPLTCPYCQRQGATKIDYQTGSGTWCCCFILALFIC CICWVPFLGEKCKDATHSCPHCGQVVGMCPYKVCG >CAK81227 pep:novel supercontig:GCA_000165425.1:CT868396:192368:194575:1 gene:GSPATT00016068001 transcript:CAK81227 MNRRKTVAKEAIIDVEDQINQKELMFGPVIQVYRDNLNLTDIQVDSITNIMQNIEIFKTW CPESSTLFEFTRLICQNLQYERIEKGNAVFHIGYKSCLFQGEQGDKFYIILTGRAGVYIR RQQQQIEAEEGAMLPKIEKILERMQIKTIEEIDQDQKLSFYEKLIKKQSKPVKQIEAELL LLHVGNFDMYFTINGICKFQQLSQVHSGLYFGDMALTTDKPRAASIIAVSDVQALSLNKA NFKKIFEKQIKTQQEKIDYFLKMFPTMTKFKMSKLIMYFTQYKYPVNYTIWKQNDIVDGF FLLKDGEIQLQQTVDFNPLLKSDQNQIALSPKKEKTNQKELVTIAHLTGGCFVGETDIYL QNEKRDYTVKTLTQCNVFTLQLDNFAIVKKSFPEFILPLQTLQQKNIALYRKRLDEIVQT KVANINLHKKEEIKNIERRYINEIEIKLHSQNSYQEQSSPILRSTLQPKMTKQQMVEQNL SIADQHTKSDIAAGKNEEFNMLKMAGENFQKCLLIRVEKQFEQFQPAKPKAKTPYFSKHQ KDIKDLFEQIRRHQLPLVQQKEPIVFNQQQEDDLQNNLPFLTMTKQQLRIINPLIQQKVE ILKQCLSRQSHTSISKSKSLCQTAGQFYKSKAQQGFILCDSLFPYKPVHSTKNSNNQHYS NLKVFQRNKTTQQSQIQKRSCQDITDTNFFSSQPTVQFM >CAK81228 pep:novel supercontig:GCA_000165425.1:CT868396:194629:198516:-1 gene:GSPATT00016069001 transcript:CAK81228 MSFRNSPALQQERTRGNSLNCKSYLRNTQNLTKADQLTYMQKQNNSKKTQDYQFQFKNQT NNQDNYLTFLKSGKNGEQFQKTHQLINPQNLRYLSPSNINTAKNDCEQNNFQQQDNSFQE IADLKIRNDKTRMFYQTQIAGLQNVQDQMKIENISLSNTIKSLSQQLSDARYIIQRLYSQ VDLNQQQDTQDNNSTQENNLVFYEYQNFILRQLNELEQQTLQSLELKEEKMLKIMKKLNK INRKQQIDEDLLSSARQIDSKTIINELKQDKQNLLQKVKNLEIQLKQEQKKPSYEQKLNQ AQQIEEQFNEFKKKHQQIIYNLEQEKSTSLEKEQLIKKEAQQKIKKLESQLIALMDELEG RDQIIESLNQTINQNKNQSQKQQDVQQLINKLKENEQIQQDLNQQIQLMKKNNNTILNEL ENSKVEVQKIRKDYSTLQGQFDYTKQENTELIKKIQYVNQQKQQSIDSLNDQWQIHNEKL SEQLAINEDKYAKLSINYNQLSKQKQDLETQLKLKEKELESKLEELTEFEQLQLRVNKQN QTIQNLAQQNQQYEATLVKNIDEKKQFEKQIQDLMEKLKDLSQDNLNQQLLSDKIVKYQQ EIDKLNKQNSRLLNEKNELQESIKNEKDKSDLLIQNLEKLEDQLALANQEIEEQTQKITK YQKENLDLLKQMDELTAKNKKLLKEQNEEWEEQNNNLLQKLALSENNLLNLQQKNTNQER IIEKLQVFEIENQKKDDYILNLKQQLDDQGQINQFNQNTQEIVDLQNQLLDVNKEKEQLL NDNIQLQNEFGNEKQILENKVDDQSKKLEQYENELQQYKTNQLQFNDKLKQAQRQLVELQ SELQEEQIKSLQLQSQLQSISENKSSLQQNQDLLDIFESQISPRQQATVQQMTETISYTE SVQQQDQLHLLKQQIQQLQEIIQEKDLEINQVIQQKNEMESSLDVAIEKMNNQENLILQL NQENGKLIEEQKSEINHQKQKISSQEMVIQSLQSQIEDLQQELQGYENKQKEQEDNIQKK ILEQNQEQQLKKLIAEKDQEIQSLREQLNKIHEQFDQLQNKSVLSNRSKRSFQVAKFDQF RDINEEENNEELEDEDDLKFENMILKEQINKKEDLIKQQEQQIKSFNEQDNEKSQQTEKE KQLLQNQNELLLQEIDKMQKEMEKLKEEQRRMLEQFELQMNKSVISNRSKRSQNQIQYDQ FQDLPDDNSQGSQDEDENEELNLFVLQKKTSDQQKQIQNLQETIQKLKEENNSLQEEINV LKNKSVPLKPNVEEIDWFD >CAK81229 pep:novel supercontig:GCA_000165425.1:CT868396:198564:199020:-1 gene:GSPATT00016070001 transcript:CAK81229 MNQKVKIECVTQGFQISNEMKFDIMDFYHKSLHQEHYAHKTDYIRHNLEEKYGRCFSIIM YQIGAFVSHSFLHADDFLLELRSPEHHILAYMLPPSFSPPPLIPEPKVIPFSRTTTQQLH VSKYYQPYNSGYYRY >CAK81230 pep:novel supercontig:GCA_000165425.1:CT868396:199054:199690:1 gene:GSPATT00016071001 transcript:CAK81230 MLNSFLNKYKFAFTYPCPRKLREIVKMSLFERESKDQVVSLWMEYHKDKQNNVAYVVSKD EHDILKRNTKESPLFLLPIKRKGGHFQLIGQAQTNSILFTFLEEYKKSGSFSSPYFILTI FEELLAQKQVSLIRGDIMDYKIDKDEATFLTNQFLKFYMTPELYEKYIYTLNHKQQEFNY DDFKNHFQI >CAK81231 pep:novel supercontig:GCA_000165425.1:CT868396:199694:202170:-1 gene:GSPATT00016072001 transcript:CAK81231 MSVRVKLDNKERGYYASLYQAVDATNTGQIGGAQAVSFFKRSGLSIEILKKIWLISSPNN QTLNKEEFYVALKLISYAQNNIDVSNDSIQRCIPSPLPQFQSDTEEVYKLRPEQERLYQN YIQQLDHSNSTVSTQMAMNLFKKTNLTQFQLQNIINLVDPNLQTKPRMTTHSYIVITHLI SLASQNVPIPQKLPNSLQEYLNQQLLVSQNLNINNPPINPQPSVVPKSNDLMDFEANFDT SKSPIDKYSAFNYIEMPNPIIETTVQKQQQPQLTTSQSFKIPAAQTQQPVLKQQKSIDLI MNDVDSQPQLQQITQPIVPQQQVASLQSTTISQQVSQQPKKTIDFQKQVLLQEQMQIQQQ QLEQNFKEANDLLNEFKESHEKILISSQSQLESLQTTNEQYKTLLKKISDENYLFQEELK QIEQQKKKLTESLSKQAIEINQKLGLNIQLKQEYSKQTTSTINAVTDYANQIIGDLRSNY EIEQERKRRQEQEIIKEMKQQKDQMSLIFEALGSLSKDIKSQRKNSTPYQPIDTHETQNT FVPFQQVAQSQQIKTNQIPQDQQEFREVMDIKFDNIEFPKLKLQEQQQEQIKQVDLDNKE QFDVFSLYKDNQHKDLSNQVVQLEQNEQKQQVEQFEQQQIKSQEVEDFVFTESQQPPQVK LEDKIEFENNMIGFDNDVGFINKNNEDQLEINQQQEQQINVQFDQSKQFEEQEEIEHKVF DLKFEDGFGAHFDNRNSIEQNPSINFDQNFVFEQGTKQVDEVQIDFDANKEFGGNDWTGF ADNFAKGSPQQKDDFQSFKW >CAK81232 pep:novel supercontig:GCA_000165425.1:CT868396:202718:203151:-1 gene:GSPATT00016073001 transcript:CAK81232 MLIKNNKKWKMESTHFFAGFIAGGLSFVTQEENVKYQFRVHLFGRAVDCIYKTQVQKSIQ TKKNYTCFSFCSHVQLNFISILFQPQILPLDTYKMYQSFPGDEMNDSLWHMCTVQVWRKK LMQLAESYFIMVKL >CAK81233 pep:novel supercontig:GCA_000165425.1:CT868396:203388:204308:1 gene:GSPATT00016074001 transcript:CAK81233 MNVYVSNDLLEDQYFCYQLQNPLIIHQFNLLNNMGNFCQGDKQYDINCQDNTHVYEATIN QSTVTIIHGNILQNNLRGICKQLYPILVNAQIDPNSFTLMQLPEMQREFLKSKIPIIQRL PPGACQSEFIINLKINYQDTRKEIIEAYEQSFELMFQHELENVGYREGKDKFKDKSEQLY NSEFLLEAIFNQIKENKMIKSFQIFSVDMQICQQYKNELKKQLHKQMSKKNFERCSTQEQ LNESYTERILTANSCDQADFVNKNYLKQQLKRSIANNQQKEL >CAK81234 pep:novel supercontig:GCA_000165425.1:CT868396:204363:206703:-1 gene:GSPATT00016075001 transcript:CAK81234 MSITNTHLLNPSPYSGTVKKQTNGNQSQTSLKNFQNVVPQINVQIKNQMQLNFNCQTQRE YKHRQSELETKRKQQSPNVQRFSQAKNNNFVSGYYSNGSAQQKSINLIKQQICYQLNSVQ DLKKGVQDEKKSLKKQSKTKSSEQLLSKSHKITTEPAQKTQNNQNLINKIKEQSNQQKNG SVSNPIEFNFKKLLQKYHLTLNKAKSTDRLKNINHPDFLKESFRQKEQQQSALQHYVNIQ LYDKEKTIEIKYDCSEKTTDQLFQYLIDSCQDNENIIGFSSVDNNIAVEYYLSLKNKDLM ILDKQTIKLQPLYPEYTQKLSLKGFHFLLCVGIGGFSRVYLVRSKRNGRFIALKLISKQF IIEHQKQQIVQNERDVMVQLNLSDQQMPKQFICQLECAFETKHWVCFGMEYCPGGELFNQ LRKVQRMNQDQAKIYFVEVCIAIAFLHSQNVLYRDVKPENILIDEYGHLKVADFGLAKPN MGQFDEAYSFCGSPEYMAPEMLQQQGHTFAVDYYCLGALLYELLTGLPPFYSKNTDEIFQ SILNDEVQFPVKVCSPEAKDLLRKLLHKDPNQRMGNREGIQDILGHQFFDDVNLIDILKR KIQPPFLPNLIKFNFDPKEFKQGEQKFNQDLQKSLQSDQETQFEPMFENFYFIGETLKTK KPKFEKQSNRPQSLIEAQQDTMMTDRMDKNLNSNNSANLGLKRGFSAKQFQIEHSQKIEE LKKRIQQQNTQVKSYIDPFDHLAVNQLIYQNKAKTSRLKNNK >CAK81235 pep:novel supercontig:GCA_000165425.1:CT868396:206739:207201:1 gene:GSPATT00016076001 transcript:CAK81235 MIRPGLGVGVFIRNGDSVLMSYRKVMDNGYLALPGGHLELFEELEDCAIREVKEETNLDI ENPKIFQMVNVVKKEIQHHFVVIFLTADYNEKSELMNVEPNKHSDWKWINAKEFQQHYNE KQLFYGLMKLVDRFGNAEKLFNLIFNK >CAK81236 pep:novel supercontig:GCA_000165425.1:CT868396:207222:207550:-1 gene:GSPATT00016077001 transcript:CAK81236 MAEENLDQIIDMPEQSDMINDARTQARYAVEHFKVESQISNYIKKFFDEKYGPNWHCVVG KHFNSYSSYESKRYMFFYEGQMAILLYKMG >CAK81237 pep:novel supercontig:GCA_000165425.1:CT868396:207593:209491:-1 gene:GSPATT00016078001 transcript:CAK81237 MLQSQQPTKIQNYPGKRVLNYTFLKELGKGAFGQVFSAKVDKTSDLVAIKCVPKAKLSEH RGIVGQLLDSEIEVLRQINSEHVIKFIDFFQSENQCYIVLEFCNSGDFEQLWIKRGKKIP ENEVIAYMKQVLAGMQALHEKNVLHRDLKLPNILIHNSTLKIADLGFCKQLKDQNQHEHL FLGSLGNMAPEIVEQKPYGMAADMFSIGSMFYQLLFGSFPFTNINEKAFLEDIRTNKPNF RKNGVSISTQLEWLLYKMLMKDPRDRLKWSELYSHPLMKQKEMRYQQLSLNLLQAEQINV EKIGKFYENKGQLETFENSNDFMKKFDGMKEQQILKQNNPINIKETELLPDLQDEQVKLE ITETEKQIEDLKKVEELIEKYMRLRDQIVYLSRTLNEINTLISEKYSALPFLFLIKKLYK LNDSLTRALLDKQNIFRVTDLLDKVYQNPHFQKFLSQMLDDQQFYESLLQFALRSAKSFW DEIQDKNWQRELTIECSAQFNQNFKEVLNDFIIRTLSEKKEKEKDPKVIEQYIELQIHLI DCCCYEKVYQNDQKINIMEQFDALYEKPLKIKNDIFSEKVQLLFL >CAK81238 pep:novel supercontig:GCA_000165425.1:CT868396:209502:209970:-1 gene:GSPATT00016079001 transcript:CAK81238 MALWKVFQKAGQRSSMPAAFKEGLFEKPGEFQYKVKNIAISYETASWMLREGIVENNPNK WGYVLSKSHRDFNDYWTRTMFTRCGLFLTACWLFSCLYTKPRFDWQDYHDPKFEQKTYGD LEEGGDEGGDDD >CAK81239 pep:novel supercontig:GCA_000165425.1:CT868396:211347:211652:-1 gene:GSPATT00016080001 transcript:CAK81239 MNDKLKFFLKLYQYQTKSEYYVCQQFKRILKEEEQIIQLNSSQQVQTIVEQLKHKYYQKP NEESNKEFLQFLNRLSFLILRKVVNIQLKKA >CAK81240 pep:novel supercontig:GCA_000165425.1:CT868396:212203:212700:1 gene:GSPATT00016081001 transcript:CAK81240 MVNLTINQIIQSMNNQDNIRNICVIGHIDHGRQTIIDQLLSKSNINLIDQSKEALIINKN TTFSLYYEFDLSSNGTKQQFLFNLIDYPRLLNFGSEAILSSLRVSDGILIVVDYLEGVAY STESILRMALQEKVKPVLMVNKLDRAILELEQDGETNLQHIGQDN >CAK81241 pep:novel supercontig:GCA_000165425.1:CT868396:212741:215191:1 gene:GSPATT00016082001 transcript:CAK81241 MGDFLLKPEQGTVAFGSGKEGWSLTCTRFAELYATKFNTESKKLQDKFWGENYFDTQTKC WIKESHTKNGPELKCAFVGFILDPICRLTKAILNGDTQIVNKMLTVLGIQLNQEEQSIIG KNLLKIVMSKWINVADILIQMIIYHLPSPKQAQKYRTSYFYEGSQNNIVAQSIKNCNPNG PLVMFISQVIQSGRENFIAFGRVFSGTIKQDQKVRIMGPNCKPSLKEDIFIRQIGRTVWI NGRRIESKKKGHHAGRPKEKREKAISNNGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXPCGNVIGLIGDSNILTISSTISDHPECHLIRSLKCSISPVTKIAISPQNPRELPRL IEGLRRLTQTNQTIEYSIEDSGKHFIAGCSELHIQKALTELEDDLNGLQLEKTDPIVVYK ETVTAPSKVVCMAKSANQHNRLYAQATSLNENLQIAIEKGFITNNSKGRANILAQEYNWN KSEALKIWTFGPDDTGPNILCDQTTAVQYINEIRESIQFAWQQSTKEGALCQENLRGVRV NILDCVLSAETIHRGDGQIIPTARRLYSACELTAQPRLQEPILLTEVNVPNQVTGGVYSC LSIRQGIIIEEEQIVGSQLTRIKSYLPVAQSFGYVAHLRSLTLGQAFPQCQFDHWAVLGE DPFEHGSKANEIVLSIRKRKGLATQLPNVDEYLNQP >CAK81242 pep:novel supercontig:GCA_000165425.1:CT868396:215293:215599:-1 gene:GSPATT00016083001 transcript:CAK81242 MKKQQLFKGFYRQIKRLVSNSNGKGKRKIIQFIDVALIQRYQMGGKLDFNQIPLVSIKES NSLCVKPAESIDLDPQGQKIDPLELLIQQC >CAK81243 pep:novel supercontig:GCA_000165425.1:CT868396:215850:216299:-1 gene:GSPATT00016084001 transcript:CAK81243 MMNQFHYLVVKKIISDQIKLCFSNYFELLRQKLARTKQYLIVLARCSNLFAVDGSNCADE YTINTYLSKYHEFLFLNIRLSQLNYITKELKYFYKLQYTSFDVKLYKFYYNNRKPSLLIS VQLRVTTQAIDKYFSQKIINNYVKIYLQF >CAK81244 pep:novel supercontig:GCA_000165425.1:CT868396:217234:217755:1 gene:GSPATT00016085001 transcript:CAK81244 MISTLEEHSRQQIGGIYKASTNKMLKEQFYEMYFNTQITTRLILSKGDFVNQLIKKANQL NMNSKLISSQSKSFYIQALCQFDVFQAKQLLYSKKLELQSKRISKDEAAGYDIFIKLLKN ILSPFECTQSELNFLVDNIFEEWMNKKKTCFLQTKKQANLKSSYKMHHNKSSD >CAK81245 pep:novel supercontig:GCA_000165425.1:CT868396:218323:218715:1 gene:GSPATT00016086001 transcript:CAK81245 MDSSQLFYQGEQQITKKLVYGTSFYEEESMQILFKATFRGGGQYDEENGNKNGKWIEIIE PLKIVLNKLIMVNIKSKCDINYKGENMQGIQITKYIVRCGGSYDERQGVKTGKWIDKSDR FQRESNYLHW >CAK81246 pep:novel supercontig:GCA_000165425.1:CT868396:218768:219280:1 gene:GSPATT00016087001 transcript:CAK81246 MEITKMKSINIYEYQIVSGGGSYDEVSDSMKILKQMINLGGSLSNFSRWKILFREKFNDQ FDQMGGGSYDNSYNCCKKGKWIVISDMFISYSQIIYDGEYQITRKMVDGNQVSGGCEVTI FMNVIRLSIIIFRGGGSYDEEGIKIDQYTEISRFWRGFISY >CAK81247 pep:novel supercontig:GCA_000165425.1:CT868396:222195:223169:-1 gene:GSPATT00016089001 transcript:CAK81247 MIIENSISNKLQFIEETINFALFHLIAQGIKIDQTEVIWNNLNPNFVRTFKIKYFFEVQQ HLKNGSLSFYKFNLMKSVNVSYYGQLLKQKQLQLKQQGRKTQFLLLNYLIYKERKVETLS SRQIKQNNATMNFLFQEQTLAFLEQCSPFLRFYRRRQQDERNLLVYETYILMIQTKQNGR NFFVKHRNYIKVELWNYKISGNHKYLVETTLCINELIGSSKVTKIFQKQLINKCNTNKSP GLYNLIDFNQNLIIPLFIIMLEDSNQVQLLLLVSLHLMQILKILNHFIIFLQMTLLVYTY KQFLVWLKY >CAK81248 pep:novel supercontig:GCA_000165425.1:CT868396:224062:226163:-1 gene:GSPATT00016090001 transcript:CAK81248 MEGDEEDFQNLRQINTQIVTKLFQIPYASEPILIRIIDSQNQNKIQVKLMCSLFVYLEYY ITCMGFDLILYEEQTNYNELFRIDTKISSDETCYELFTNENGSLSLFCLGQSTLKQYSLD FQGNVNLIFEYDVSEQIEDKCKKKQIKQVQDQQILLFYQCSKWKVILITNNEAATLIQAK MEYQTQLSGLTFIDDVVYCEMNSQTICIYLIENDFYVILYYHLLDKKIVDCIFTKLPYRI KKMLLNQKCQKIILVNELDKYDLSIIHDQIQTEVLLNQNYSINNIHLHQNLIFLQNQFEL NVLINIRINQTYQICNTSLQFFDFDNLFCQFDQKKNVLQFYKFQPLSTFIEPKQQYIYII EKGNLFKRDGIIQCFRLLSENKTQTEKQLTELMKFQYNCKNKQQIFWNSKNPNYFKNNTY NIYNKEGNLKVSIKNNYDFQDICFANLYKLYSQERFQLKKIAKGHISFINESYFYIYDCQ KKRIVMSVNQDKYYVLEYDIAYYFVNKSNNNDLTGIQFSDDSLSYFILNINELVTSFKKI HSSLIIYTNTSSLPFIIQINFDRVVRYQLQKNLYQPEPILFYFESKNLKLIQYSKIVAFE SKEVFRCFQFQESYIISILALNMQKCYSIFSIQNQTRSLILYYLIDQELHEVQNYTFQNY SFYDPVQPSNFNRIKQFAIYSHFFIQHVQLIIR >CAK81249 pep:novel supercontig:GCA_000165425.1:CT868396:227047:227424:-1 gene:GSPATT00016091001 transcript:CAK81249 MIRIYRKVKGVDNQKLFKNKTQYSHCYHETQYKSLKPILDVGLQPSGAQTKYGKIKTPNG HIQLGTTVDGIENWTGAIFTSPSPFYAAVVLQKHQQKQVDLENTNRQFQQGVLKFLMNLK CGVQN >CAK81250 pep:novel supercontig:GCA_000165425.1:CT868396:227549:227770:-1 gene:GSPATT00016092001 transcript:CAK81250 MERYGLYEAALISDIYCLKSVEYLLVLVCNIDQQKNTNSTPLYVASYDGHEKVIGLLISY GANENVKNIYGSR >CAK81251 pep:novel supercontig:GCA_000165425.1:CT868396:230136:230279:-1 gene:GSPATT00016093001 transcript:CAK81251 MRGNENLRIVVLMKEELDDQRSLPQGYGCSTDSFGELESQAVYGIWL >CAK81252 pep:novel supercontig:GCA_000165425.1:CT868396:233679:234365:1 gene:GSPATT00016094001 transcript:CAK81252 MSKQFDLQVYPNMQSLRTQPNKLEKILKNIQSQKIFNHNVNIENKSSDESFSYLTKRVLN KESPLYSLPSQRIHLQNDNIFNESNKKVLCYQLPKIQQKLKTLSKANFSQERICQTLQNS ELIPITRRKITDSEEIQYQDQLNIKKLNEQLGNKQDRQNQIRWRINKHSYPEVSELNDLS KQIDFIYKKNNINPVKIKASQNYYSSRDTKNSNQSIEFLIKLLKESRK >CAK81253 pep:novel supercontig:GCA_000165425.1:CT868396:234411:235891:1 gene:GSPATT00016095001 transcript:CAK81253 MIEDQNSTSESQEIFGSQAFEIIDKVPRQPSHKEYLIKKQSFGNKQTKRSISAIKVDDNK MNINNGQVLKKSKDDHPLTNQEQLEFKLIQVEISDFIIIEHQQNGKEYKILDQNDWKKII KQKSLTGYMMHDIHVSLLTQNLFFIERRDIWLLFCDIDSIKQKIQQKNSSFFQYSIQDNN FSSQIDKDIPRALMGNRFLNLQENQTSLKKILMAYANYDPELGYTQGMNIIAANLLICYD LKTNDEKFLEDVEIIDPSRDQNVFYLFIYIMVELNWRSVFIPGFPGLIKMMKVLDLKFKS ELPKLYEHFQESNVDLNVCFQQQYLSLLMYGISWEISRMIFDLFLFEGEQIIHTFLIGML KYCQDNLLKMRTFEEITKFCKNEMIRQFYELFSIKFVGNKDFSTILLNLGFIKVQGEIVP PPQPLASVKQVLKENKFEIWKGTFLKNFFQKKK >CAK81254 pep:novel supercontig:GCA_000165425.1:CT868396:236063:238211:1 gene:GSPATT00016096001 transcript:CAK81254 MSFEVKKRKLQTQPPEVQKLLIGDNMDYRNIEIVQSNKPLILSPDLGIIVEKFNPLYEIA FEFLMCVAEPISRSELIHEYVLTQMSMYTAMVLQYSADDIIRLLDLLSKNKVPQRMEQFI RHHTNNIGQAKFFLQDKSYYIDIGETVLNQIMMNLQDKNSLQEVIQEEQIIHNIQVNPQL KNFEQQIELKEADIEQMAKEIDTFKDWLAQDKIQTIKFKRFRIVGDYFDVAQALIRSSVP LIQEYDFTKEKQKLDINLKPSTKPRLYQLRAAKTVIMGDYAKSGLIVLPCGAGKTLVGVL CMSLIKSSTVIICDSNVSVEQWKREIEGYTTIDNRRIIRVTGFAKDKWQGEQPIVILTTY SWLIAQFRNNSSSTKTVWNQISEVSWGICIVDEVHRLPAVQFQNVLKQIKCAIKIGLTAT LLREDQKLDNLYFMIGPKLYEENLIDLMTQGFLAKPHIIEIQCDMPPIFLQEYQTKNNMT VRQLLHTGNPGKYKALQFLIKNHEMLGHKIIVFCDSLLILNYYALLLGYPVIDGDLNTDE KNKIFSIFKNSNEIKTIFVSRVGDTGIDIPSASVGIEIGYLGGSRRQKVQRLGRVMRPKQ NTNHEIQAFFYSLASKDTTESEYSYKRQKYITEQLGLNTELILEGDLPYNKNPQKYKYIK QMNEMDLLEQIMLSSNDLTNKVIDQIEEEVQVVTNTFSQEFGGVYKFQ >CAK81255 pep:novel supercontig:GCA_000165425.1:CT868396:238771:240464:1 gene:GSPATT00016097001 transcript:CAK81255 MIAVEQLFTKPIQEIQREQQNLQKEITTKNKELKDLFSSKYHELLNCADLLNGISDYTKQ IITITNKTQQNMMQINESNNNNIKQQQQDLKCKSFTYFMKKVKQSMKNGYCYNSQIALLY AQYKYQLNDSERLYPIILLEQIEQECNLNNIIDTLLIHQNNLSAFFNKLIEYGSLNCNNF KAVIAEIDQIHSFDNYTQLILLKQNYIQLTIEQIYDILINNQIPLFQIDQSQIDKFKQNV LSQTKTIEVQILEKLIQNIVQNGTFNHELYQSIQKEQGLIPQEMKNKTVQIWKDWFDSAL KNHFEQLFQIIEKEQIKQEKEFLEVYKAYQNKIKEIKDISDLIEKLPHDEIEYKHQQCIQ DCQKMVKEYSQLLNNIFEQNKDSFLICFYYNVLIYQWNEQLLKYQLDLYCEQNNQWDLKE IDQLLFSIYQLQNQEIKRETISQIIKLMLQKVDIQFSIEYRNLATAIHPEKAQEYYQNLF KTGKFNNKSLKRTSLNLEGIDLSLEQHFHYYTIIQQQKQTTKDQINAWTQKAQEVQQKQQ QEPQQQTRFGLNMFWR >CAK81256 pep:novel supercontig:GCA_000165425.1:CT868396:240512:241241:1 gene:GSPATT00016098001 transcript:CAK81256 MMEDEFSYLFKIILIGDSGVGKTNLFNRLQNKEFQYDTRPTIGVEFINRTVRQDGNLVKC QIWDTAGQEKFRAITNAYYRGAKGVFVCYDVTKQGTYESTLRWMSEIKQFGDHDIVIMLV GNKIDLAEQRIVRTDEVSQFCEQNKVGYIETSALNNINVEMAFNQMVTEIYKIVSAQKPI SQTLLGINDVYVLRKETQPEQTKQKKQCC >CAK81257 pep:novel supercontig:GCA_000165425.1:CT868396:241249:243027:-1 gene:GSPATT00016099001 transcript:CAK81257 MDQNPLPNGFKLIAFPPKQGVNGDIFTCSKDGNLYAMKIFKKNVEQYLHEVNICTTLKTH INIIKLIDNFEWEGNRVIILEYCSNDLISYLNQNPHLSELERLNIFAQIVSAFKSIIEDL NSVEMHFSHRDIKPENLMLGNDNMIKIIDFGESRIFDNDNQLPTLKNQLYGTHGYMDPIM EFGGDGFQEKSDLWSMGATLYYILKNERLQINTNQIYSAAKMIQPDKTASQNQSAKSVQK ILSDKRKQAKLDMVQEIINQSLIQLPFSDQCKDLLDKLLKVDHNKRYGWNQLFENELIKQ LLESLPQGQMQQKNDRKKPIKLSYQMNKDFLSMNFKQQAGPILTETLYVIISKGKHCAST ANEMFRYFNQMKFVNEDFMNNWQNFEKCNYLLHLRSLIILNHYNQIFTLKTPKSGQESID FKLFEDLTNGTQQNQQIYDRIQLQVYYLFEDVRKSLQGSGLETRILNLTKLSTNDINEYN ETLGFTDEEREYFQYRKDDKMNVKNRPDHFDKPYFYEICKSYLLKCIKVLINNYDKDGVS ILSDMIISYKLEEFYEKCTQSKIQNYLYNQTEQNKEVFYAKLKAIYNSLIEK >CAK81258 pep:novel supercontig:GCA_000165425.1:CT868396:243059:246571:-1 gene:GSPATT00016100001 transcript:CAK81258 MARSNKWKQFVPQQPQEQQPNDDVKPAANKKLMKFADTQAYQVSTHDNNYKDAIDIEISE DFFTTQPVYLEISVKDQAIKFIIQVTQANVVKTQNQRISVLKTITDLYKVDYDVNMRFQA YFRIVNEQEKTYQLSFVEILVKEHFLSRRDMVQINQKLLKTTCYTKKNVIIQYAGDFLKA SIQISQLMMRDINNQEYAYTGIITEETNIVYRSLESKMAILIELSQETFKDDAIGEIALE SMQKFLKVYYLRNQSLMTNHKLEIIIYARIYFPEIKTVDELYNQLINNSNQEQTYPPHAQ LDQFGNVCIDIYKKVPEKKPLRQFDQLAQTVIQTIQELTAWINVKTINNYHSLFVKDYLK KKPEFKQVQSIKGELSSSKYSNLLEAITLVTQNFRLDHTTRSLKNSGHFLLILSPGNGYY FGDLKTYKIAKKNALNNNIVCMLNCFGRIPNITGPIFYLREHNLPIERDPIPSLTQIQQK YFQNTSTHLNQEKCQYNYQDRNKIIQANDDTEVQSCLRHAYWIQIIKYNDEFLRSFFMLY DRQNQNDLLPFCFKKQKYISFSQVDFTLLSYQEQKQKLNQLEDLQKFDEILKNSIKDPKQ TDHQLKQQQMGSMLIEEAPNPYNPTVDSGQQVNIFATTYYEKKPEQQEQPLTEKKIVKNN QKKKIKSHNRIKWKLYQWGWKSHQFIFQMKDSYHKLFKNYLLNHIKEVEQNLFQMEQNWK NICYVPLCPLKSVMVYEEQKQYKTSGEQQVVDNIGIKEFEDQVMGILLSENYQYVQPGEQ TEDEYGSKLQCYKNQQKSYPMKFIKGGHIITLYYELQNYKIEEYNKNNLKAETKKHRYIS IQNDSAIIPQLYRYNLHGNNSITCREILFSEGIDTHIKGLIRIFSDLEWTSKYTEENISF MRPKKIGLAFIPNESLQADKKLRQQQYEKWKDNFNKFMEKLKQQLRCFNSDAIRIQHVSK YKDMQKDRFHQLNLKYTTSLPEYKSQLHNEKYEQLCITSQANYCPKCIYNINLLWISSTA LPIIKVIEIMEICSNGFKQVQIPGNIDSIFQQHLPFESIYKFKISTKEKMKSVKKQVRYP PYDCFYDRYDVLDGNQLLVHSKGLFFLKFDFKNLEVLFKENLLQSEETTNQRAIQQIFMK LKQLINEENDKLKM >CAK81259 pep:novel supercontig:GCA_000165425.1:CT868396:246693:247833:1 gene:GSPATT00016101001 transcript:CAK81259 MTSKITSPEANNLDNQIQHLYQCKPLPEQDVKQLCEKAKEILIEESNVQPVRAPVIICGD IHGQFHDLMELFKIGGKAPDTNYLFMGDYVDRGHHSVECVTLLVLLKIRHRDRITILRGN HESRQITQVYGFYDECSRKYGNSNVWKYFTELFDYLPLTAVVESQFFCLHGGISPSIDTL DHIRQLDRVQEVPHEGPMCDLLWSDPDDRSGWGISPRGAGYTFGQDISEQFNHNNKLKMI ARAHQLVMDGYSLAHDRNVVTIFSAPNYCYRCGNQAGIMEVDENLRQTFIQFDPAPRSEN ETVPKRVPDYFL >CAK81260 pep:novel supercontig:GCA_000165425.1:CT868396:247834:248917:1 gene:GSPATT00016102001 transcript:CAK81260 MNLDDVYNWILGHSQNYPGKHQESQPRTIASYKPLEAKAQFHIVIKATAEYIKEKMLNGN GVNMKELGAFTMEVNSDYVKPLQHSGFNMTQDLGIQRAERKHVHQIRPCFVPDNSFKYFL ARYPGKEEITKPLSQHSIYQKGFGMNFCNAGPIAASCYLGKDVVQSIHNSLIKAIHDLTR LGHNLNIDFGFIKISVINRDLKYKYEQSFINRLNQTDYELKMRQSDLATSQHWTTTYQEK WSKSTLNNLLTRPNPGQVQDNYEKSMALKIMSLDLNTAEKTDYSKKQKVQLPALNK >CAK81261 pep:novel supercontig:GCA_000165425.1:CT868396:248923:250171:-1 gene:GSPATT00016103001 transcript:CAK81261 MTHQFWKLKDADTKKSSILSLTINANTSLDWFVLSVQMSSNQITKDMESKNYPRYQYTSI QIEQQLCKIFFPLIKDENVKQMIFDFYQTEFFTIADQYQKLREICKNTIDLIQSLVNKLD VLIQQVKLKQIVFVQQTFQFHKIKDLFTDLHQVKSKDISSIIQQKVTDYFRYIRKLTPEQ VHIQIQQQKQENSSDIESLQVDDMITSYQAIITAMRDLELRKVSKIPKPVHQQGCGTLLQ TLEQIISKKHNEQLKAELVYNHKVDYEKVQFWQNLTGQPNTLVICKQKNSQYFGGYTPSQ WQYGFPGKDPDLITFLFQCHGQELRVHTINRELKQRAINCGVKQMEFGQKNLVISTDFQR VEMKIFPGFSEQPDKYHVCQTITDEQDIFKVYVLKKIS >CAK81262 pep:novel supercontig:GCA_000165425.1:CT868396:250190:253304:-1 gene:GSPATT00016104001 transcript:CAK81262 MIIIRLFKTRFLQTNHLYQISKVMQQKEFEETIEEVKTIKAITPKVEKSKRIVRPQFEAN PELFYPTKVFDKFGFSRCKCPKCGAYFWRHTDKKTTCGDSNCEGKYSFIGVGTGKGAKGN KITYADAWNGFKKSLTSARVPCTAIDRYPVVARWRNDVDYVAAGIYCFQPFCVTGEMDPP ANPLICPQFCVRFNDLDNIGLTGRHYSGFIMLGIQTFNYPDKYVFFKEECVEFNYRWLTE ELEINPDDITFIEDVWAGGGNLGPSVEYFVNGLEVGNMVFMQYKYFHDGSYEELPIKIID TGIGLELTFDVFAGAFAFLAQKLQVEYSNDVWKAFGPYSCLLNVDEVENVDKTWEFISSQ IGYDVQTIKKEIEQLKDMYIILDHTRTVMMVVTDGSLPSNVGGGSNIRNIIRRVFAVLKK NNWWDKLGMDGLLQLFQEHKNDLAKLYGPFGEYKSFDQIIKQEYERWAKTDDDKKVKLEK LLKQKNNQLSIDDWIFAMSTHGIPADTISQISKLPIPGNLYAELADRAARITKAPEAILY NTVHLQETTNLYYQTPKDGKFQAKIVTIFSNVQQQNLPNIVILNQSAFYPFGGGQDFDQG WLTIQGERHYVNNVQKVGKVVLHILEKPLPNAVDTYVGQDVLAEIDLERRSILRNHHTAT HIVFAACRRVLGPHVWQNGAHKSVHNAHLDITHFAPLSKEQEQAIENEVNKIILSAKQIN KGFMNKADAEKEYGFRLYQGGIVPGNELRVVNIDGIDVEACCGTHCDSTSEVGWVRILKT QKLQDGVVRLYYVAGVKTIEVLNSEGEMINSLVKLWSISKTQLVEEGSKIFQEKKHYESA YNQLKAELIKSQLKYVIDGPNQRTFIQSTEPNPTAYFSEIGKYIQQLKDAKKGLLFVADS FIYGAFGENNFNVEELSKQIEEEGQQLKVNKQNKISVKDGKKTIQVNDVLTFSVLGKFNK NKATKYLKEQGFAQF >CAK81263 pep:novel supercontig:GCA_000165425.1:CT868396:253425:254462:1 gene:GSPATT00016105001 transcript:CAK81263 MDQLIQIKVICSSISLFSSTTVALLFLSNPKLRTPTFRLVLQLQIADALFALASIINSND DSNGLCVFQAFLVNYSRESSIVWIGIFAYNMCATIVDNQELPGLLKFYVVAIGIPFILSA YPFAWDAYGLNYSMCWLLPDSIALMVVDYLVLCIGILFYIIYFYIKIYNFLYNVTEMKSY KLFLYPLSFLLTQIWTMIDIFQKYDQYSTVLCIQRCSSGICESLRFHKFSGLWVDSSSPR DYQGFLLINQSEGEAGNADSDSMYLSLRMKQRISTHPDNNHLLCLWDLTLEHLVTLVYRM ANSINN >CAK81264 pep:novel supercontig:GCA_000165425.1:CT868396:254738:255700:-1 gene:GSPATT00016106001 transcript:CAK81264 MLFTFLLLGFVNSSCLTRNFEECLTLNVEYQESCQLTQGECRPRPEKCTEIDATYPLNCR TNECYYSKRFNKCLSQPFTRLLNEVPERGGKHQDYQQDSHQGSNRPGPATTEGGPLPPPS QPPQYPPNATQQANQNQTTLNNQTLNQPKVEENETDDQEDESAEEEDYEEEDTDENTEDD DKPWNESEANPSTNSTNSTGISNNTNRTDDFNDSYYGFQDLIEEEIKIKLSESDDRKQIE IKSSGSFQLIMAFITMIIWI >CAK81265 pep:novel supercontig:GCA_000165425.1:CT868396:255714:256178:-1 gene:GSPATT00016107001 transcript:CAK81265 MSQIAMNPNVISMKNSQFAKQYYEISKQSQNAIQNIQHRILNHKHNGWKKNKYSKKQKQN EQIEESSQNSNSESSQNSDQISSNFNQNSDILNTNLEEQKIDYSKQDTIIFEIQELDFYQ EDFLDKKDGQDPGFFTSSSYTKLIIPIMMLQLFI >CAK81266 pep:novel supercontig:GCA_000165425.1:CT868396:256455:258557:-1 gene:GSPATT00016108001 transcript:CAK81266 MKSKIKAANKLTLGTETDLIFNDADFCSNLADHFKQPKTVRTTHKKTKTELFYTKTPNTA LYKFRQNGQLLTSSQLSLSRCPSLTKSQRRLQDRELKTKSNNVSKEYLEIKKSQTEQDIV PIQLLFESNSFLARNHEFLIDSITELSESVKVQLLSNQYEIQRWKEEKMQSNLDSKILFI FYNTLQQLDKKIIGSIFNQQQTPAENFKLKHLSDTMEMVSEMVTLLIKQVSRMDIKLATF LEYFWKFWVVLIDVAMQWMDKSCTDYIEYQISQFKQQFEEAIQQKNLTEDKLIRAEKEFK LQEQQYQRKCDSLETQILSIQQEFNEYKQAVLQMSDLKQAEIRMNAVGLKSLELENLFKQ YDRQLFDQKSDFQKDFKQLGSILVQQKKLQEDLKQPHQYAQSILHIPQYVENKTLYELFT NMHPFCLHFNKIEIHEQEVENDYDNQLIQFLEYLTNYDDLMQNVCVIFREWMNDNDRLNR IVNHLLTQKDYTQHSLHHFYCILFGLKNNQQLSWISISNLICYFKQLRQELHQQLNEPIY LKDSNYILDLILPEEFMEIIKHHKLDSITILSLLNLLAQFMQQTENKIWNRWKFHFKIDS DEFDQNKLDYFMQLKIYLNKGELSIQQRSDYFDQIRHLSLMYPCLFYKHKQMISKMQTQV RRVSQKHAAQQQQKESNTRPSQMKRQSTYNGMIKSQVLRK >CAK81267 pep:novel supercontig:GCA_000165425.1:CT868396:259308:259817:-1 gene:GSPATT00016109001 transcript:CAK81267 MKWDSFQEKEERGPPEYRYDYYFPRGCYGFGLNIKKYGDNEDWLLMNGNANEWRIMYHGT KQHCVSSIVKNNLKTGQRNHYSDDFCVDEFKNQVKVRNGIYFSNNFNVCINDGYADYTQV CNKKFAVILMSRVNPRKIRQSERMKSVHYFVVNDSKDVRPYRILIHEKK >CAK81268 pep:novel supercontig:GCA_000165425.1:CT868396:259919:260838:-1 gene:GSPATT00016110001 transcript:CAK81268 MNLLFKFFQYTKNELEKIERKKLIEKEDRRKAEQEKIREEQERIQQLEEENKRKDEQEKI RQEQERILQLEEENIRKVEQEKIRQEQEDERIRLQYQEMIEQITAIQQKVQKQPIQQQIV TLNQEIQKLDNNNELTNNQKQYYKNQYQQLLFTKLKDSGQAKSIPHVKQKIEESLLRDQN RELLATSQQFSFGFDSILGAYGSDQSCLKVRNTNLDYDSIVNDTQLLEQHLLEFKQKLSN SLNISIDQNLKFWEYQKEVLKLNFKLQEKISKRFKTRLKIILKHKNF >CAK81269 pep:novel supercontig:GCA_000165425.1:CT868396:262566:264269:-1 gene:GSPATT00016111001 transcript:CAK81269 MILLEFIGNIIVSYFIIQLFQYWIKKEENKRGQRLLEEEYIKKVEQEKIREEQERNQQLE EEKRRKVEQEKIIQEEVRKRQIEEENRRKVEQEKRIEEQERIRQIEEENKRKVEEENRRQ EQERNRYLEEENRRKIEQEKIRQQQEDRKRVQQQKERNNQLLQPIQDQVLKQQQIQKQPI QQQIVTLNQEIQKLDNNNKLTNNQKQYYKHQFQQQLFTKLKDSGQAKSIPHIKQNIEASN PELALYVLKESLLRDQNRELLATSQQYSNGFDSILGAYGSDQSCLKVRNTNLDYDSIVND TQLLEQHLLEFKQKLSNSLNISIDQIEILGVSKGSFEIKFQITGNKIDDIQQQIYNKPEA QKFLKEYCNGKIEQVAYFDKASASGAVLSSDDFNPSHNMSWDGFHEKEQRGPPYHRYDYY FPIGCYGFGLNVKKYGDNQDWILMNGNQNEWRIMYHGTKQHFVGGIVKSNLQPGTQNRCS NYTCLDEFNNQVKVGNGIYFSNNFNVCIKDGYANYTYIGDKQFAVIFMSRVNPKKIRQSS EMIPWQYFVINKSEDVRPYRILLHEKK >CAK81270 pep:novel supercontig:GCA_000165425.1:CT868396:266617:267578:-1 gene:GSPATT00016112001 transcript:CAK81270 MFQKNLYQEIKIETYYLIVNRAYGSDQSCLKVRNTNLDYDSIVNDTQLLEQHLLEFKQKL SNSLNISIDQIEILGVSKGSFEINFQITGKSINDIQQQINNKPEALKFLKEYCNGKIEQI AYFDQVSANGIRLSSDDFNPSYNKSWDIYPEKQQRGPPYHKYDYYFPIGCYGFGLNVAKY GYNQDWIQKNGNQNEWRIMYHGTKQHFVSSIVKTNLKPGSNNSYCDQLCFDEFNNQVKVG NGIYFSDKIEVCFGYTNYTQIGNKQFAVIFMSRVNPREIRQSKEMIAQRFFVINNSEDVR PYRILLHQKE >CAK81271 pep:novel supercontig:GCA_000165425.1:CT868396:268757:272369:1 gene:GSPATT00016113001 transcript:CAK81271 MQQIYQQPQLISVAPYLASYCVGYHKEYPISLHQQVFEPDFTMKPNYKAIKTFDPQQLVR YLQNHFPLIRRNIFQFEDQMRKLNQIFGQIVINGYHIDDFLKQFYFVLDKRLQRLFDDLD QHLIKLKVKQAQELIPGDYRIGDTRKHIRQKLIDMSNDFVTKFASRTNPQAYDREVIKII DQILRIYELQKYDHGWHFIINITKFNLEDVLERACNNEPTQFQKIDELIESMFIISMKQV KDATEHENTSPLFVFNKDAVLSRFHSIINDRSDPQISINDLYYISKTVCMRDRRIIYNEF YDQINKELKEIAPEYFQFATTEKSEYQKKREELHKKLIKTQSDWESLKEDEIYYLILEDR INEEVLRKVPLTSERQLQQLQNLSAFANKSSKLQSDAVTLLKEILDHKDESKVYIETKLQ EALDKAKQYYTKMTPGRTPSSNQIKQYVDSLYSELTNLLNSDISKFQGQMSQTDKSVEQQ LAKNKQNPYLQQVQKELQKQQVRDHIQKEHENEMKSRYELVDFVIRSQYQQDLSKENAST KPTQPLNKIVIMEGSSIANEHYYGRQIENNQKPEQEGKYEQWINKNKSENSSSLSKNVDV ASISKQHVLKNVGQPSDSEQFRKEYLEKNNQQTTKPDPKLIIQQEPPIKPDPLIDTQIQK QTKNPDPPIDPQQKAPSTEVDPPQKEPSTKTYPQQQQLSTNIYPFKDIHIKQPEASNPIE LNQKNIIELNQSFQSQIQSGSESILEKDSENLNEIRQSENEVWEIDFILITPEILPSINK YTKGTKYGKKILITMKEFNSIEYVIQLFEDFNLQDKAYFLDFDVALELLAIFDKLISQEE VEDDVERLDSYIKMTYQKSTGRKNFGEQKNFFPFQDNRFLFYLVEKDPDSNQLIFYFDEQ PNEDEMGEEFDQFLQMFQQIFQCEEFEISQVILPPQSKIKLDSFGADGNYIKYMMTVFTI IYEQQNIQRDIIINDDTIERAFWALNQYMELYTALQENEEDVRNTFIEMIEQAQKNNNTV IIKAIEEYYSKEGLENSSLQIQEIYDDFLQNKVIKQAFFSIDIVIQEQQQKYSLYVHLQK VAKTNFLKIFTLESYGEFNELMFNMLLINDGLFTYEIYQDFPRHKIFQEYSEVTLGAFYH LVIKKNLTPEEAMANLVFSVVPYSLILKKQE >CAK81272 pep:novel supercontig:GCA_000165425.1:CT868396:273220:273807:-1 gene:GSPATT00016114001 transcript:CAK81272 MRPNTNSKAFNNGFRQNSSNSNVGLMIDQQNSLNYSHRLAEESLQIGEDVLESFKRQNDS LKNIKQSELYNRRFGTIIRNHQAYWIKRLIRQTHYHLFNHFIVCLYRNTLLRVQMKINVL QFFKQQLYLNNVHKEVNLLKINLNQISFYSLNSKKQINQLKSNKIIFNHHYKYRSFEKNI FIILYTI >CAK81273 pep:novel supercontig:GCA_000165425.1:CT868396:273973:284192:1 gene:GSPATT00016115001 transcript:CAK81273 MRPSSRQRPGSLTTRYQTPQRTPQRFSKEVYFQRKLKHFTFDLSSSMINECIPAKKQLKP AIHIQQLGNRIKKFAYLPLHLFEDSSFDRFSNQDLFLKIQRSGPITAFVLNNQNEFVEGK IVDYNHQTQLFNYQSNDQFGEISRLYFLCDFENVKLYVQKFEKALNDREAMDNVLRYNYY IDNMQTQDIPELSVDKIQRIQKLIGKVEQGHAIQINTHYKRVQNEMQLNSYLKHNKDVLN FQLAIKGTKPVIPEFGKIQLKFKEMMIVHRDFVDYQPTSFEMVKKQFQEISLFVLPELIK SFFYINELNNYICSLELLYWDKKPYSILQLKEKQDQLVSRQFKVISKWSERIKGIMKDGL QELEQKGVILQEHRLKRIISVIRIKQLESLSKLIVDNVTNFRLNFLKKKQSVFQISVGYF GGFQYSFDVLEITNIYCNSLANQLLELSKIRDPECIMNISDLMYLQCISQKDQLIQDFVE ELTIAVQRINSKLIDHLKKYMKYQYIFEWKLEDFVKDCTTPEDVFDLQLFKQQILKLQSL QIQLTKEIAPTDWVSIFIIDNTHLLFQINQLLEKNIFQMMEYISGLANNQIKETTLQFQK LMLMIKKQNNDIIGLENHRNFLNHGVAENIHQLEINIKETLKVYEILDELSYISSSEQMK ERWNLLSYVNMCKQQITESLEDLMEKRIQQKYDFQQELLHFKYTIDDSERKINNFVKNFS VNQTNDQTFMKELLDLKQQAVLMNQYETVFNLQQSNFGKIDFIIQQLQPLENLQRLMKQW KNNTWMQMKISELNTKQIQEFTENSLIELQEILVFSNEKRMTSITQLSQSLLLEIKEFSP HLPLILALTEPGMRQRHWDQLNKLIAQQVDYASKSLQELLNLFHQDIRKQVIEISFIAKQ ELIVEQTYLQLCHDFHNLTAQIIKPRIQLQNFQFNKLVNLDQLFQKLDDSLCSLSIILQS KYHDPWKQELAQLESQMIYTQTIVQQFDQMQQLFQYIHPVFLQNDLQKQLPIEVSRFKGV EKFWKMSTNEFSGQQIHKYKSNQIEEQIHKLQMIEKSLSNYIDKKREIFQRFHFLSNQQI LLIQSKATKPNDFIDQIFLFNKVEMDEDGITQLQIQDKLGKVTERLNIETIKIYSKNVED WLHDLILVMRKTLKNQILKSSQSKQIKILMDKIEWTQHLDDQFKNYQDKPNYKYKHLSQI SPDSFEYQIQLKYYLNENKEIIIQFLNYNFSYDYEFLQESDLFIETPQTDRCFANLAFAI SSQFGSFLYGNSGKIETIKQFSNCLGKYFIVMNAEISNYQILTHLCKGVSATGSFFALTK CSEMRLDLLSIFVQLVKVLYFAIRNSLHQIELEGSTIKVEPTFSFFLIGGTKNTINSEIR YYFRPIYFQKIDLAIFIDFISQEYELYDSITQLKQFVYLYQSIKRSDISMFKIKQIVQQA ITHGMYNAIQINFQEPEFQEIIHSIWPKKEEIKPQLKDLLLNNCYVLLFGESLTGKSLQI SQLSNYHKIYLSSYPLSHFFGSFESEGVISQILNKIGNNILVIDCELDDKLIELFLPLIL FQQIRFPNGKSLQLNNQIIFETPTISNLSPNVITKISSLYLSGNAIEIPKYAQNFCCLAG MYKNPISNKQQLHIYQQILKKIKGQSTPEFETVFAATWAFGSALTEELRMLLNRHIKEIW QQEENKSVDPLTYDNLFEYILEDGQLVPNEKGTQITKLLEFIPNIILYGNSGSGKSHYLQ NPLRVNLNTKSSDLQSFLQSKLIKKRNGVYSIGKVYIDDIHLLNANSIEFLRELTDNQGY QNVKLIDLQLILTSQHISDHRYLKYFVIYFCESHSHQTIFSILQKNQIQNVEDLMSIYQN AQQFFIKHSRRLQVTCWDIWRSISNNRNDPSQFLMQSLFNRIDDDDLKITWVSQQKLFKS EDNENHNQLSMDGLIQFQANNDILKYLIKLMSNFPSNNKLILYGPLGVGKKTICKLACRI TKLEYVTDVNSDHSQNSVLILDNPNFKEISQLVNQSINVILIMTTEHLEKCISKGNFMYK FQFLHFGDWPDDAFSSNEIGNIIYKYMKQQNHYTISPQSFVKYNELQEKLYKEKDEKLKL RINQLSNGLQLLYSAQQQINLMNNKLNQIRPILEQAVKDAQDFVRVLQEEQHKSQVIRDQ VLEDEQVAEQEQQKASQLQETCKQRVSKVNVELEQTLQEVQKLKKEHLVEIKSLVQPTRA VKVILGGAVILLSDHIKYTGNQDDYFEIAKKYLLNDVKDLLDILKNYNKDAIKSIMIQQL ESRIINDADFTLERAKQCSLAVKYLYSWVRAIYDYHKVVMETQPIRDELEESYRSLKEKT VNLEQKKKEVQEINMKLEECEFQVKEKQNVKVQLEQQIEECQTKIKRSLKLIEGFKEEQK RWTNIIYQLKGEQTRNEGDSIIATTLITYGGPLVKEHRNQMYIYLYKVLRDAEVKYSEKS NLNSYFNEESDNFISENANILNFQYKPVILIDPQNLGKQYLTEKYHYKVLNDPKKIQNHL QLKQPFYIDITDNIELLHHDPKMIHFTHEQNAKFQDQIYINYQIINYTITQEALVEKLLK CLIEVENPTLELKKQHNLDLCNQEKKQLIQIENQILNTLQNQKSIDELLNNEVMINQLHN SKQLYEETTKRIDMAKRLNEDIDLSRDQYRMLAQQISLIFINISNLQRMNPIYQYSLEWF LKQLMQQSSKIQRQNDIALNIQLIKAQFYKGIINEVSLLLNEEDRLIFCFSISLDILSTK GLITQEELDAFLGCQNPQLPPQFKIGCNPCIFIDDSEWPSIKTKLYHLNKLQPFHNILET IEDYPNQFKQVYLHQTFSTEQQKLTSFQKLLLTLAFRPDKVIPMMINIIEQQLQLKYNTI KTSINEIQFDSKTPLFIFNDSKSYSKLSVTLGEGQYSKAEKILRDSLEHGHTLEFLNCQF ATPFLSVVEQILEENQAHPNFKLILQAKNCKSFPISWLNRTVKVGYTQTNNIVSLLADQI ESEDKLDHPHFFSLNLFQAINNLRHSYGYSTHQYHESDLKLALSDQLHFSKELIFQSQLN ENEMDLFNTLYNKTVCQQSLREGFIYMGGELKMIKSNKQGYLQYLKDLGQVNDFNCIGLN SNIQILIQIDMMKRVQQNIQLMQGTVQNNQILLEQLEKLERYTPLKLSDIKSESIVDLYY QVEKDQFNQLISRITEDVKAVSEYIRGESLTPRLEEIIQRLQLDEVPEEWFRLGEVKIKQ FNLWLNKIFEKGQFFSKWNSQKYYNLNYFKNPKHFLNLIKLEFALKFNCGLDEVVFKQIF LKEHHLEILYRPDAGVYIQGLKVQGAKYNDVTQKMKALGHLEFQSDLPVLHLIPIQKLDL QLGSVFHCPILRNEELVEYLYFETQDPLILLSVQIKLL >CAK81274 pep:novel supercontig:GCA_000165425.1:CT868396:284208:284577:1 gene:GSPATT00016116001 transcript:CAK81274 MINDEKQVVDIYVPRKCQYTNMILNSSDYSSVQINVGQVDENGVYNKKNNTVILAGYLRQ KGQSASALEAILRQRGVLPFTQ >CAK81275 pep:novel supercontig:GCA_000165425.1:CT868396:284583:286498:-1 gene:GSPATT00016117001 transcript:CAK81275 MNHQFQQGCPFSGRQYDFLTESDNHLDKKRVKPIQSFADYVNTLPEQRQAKIHRQHTEII KKSPTLPKLEPVIPELQKMKEHFVLSMTKNIVPQSCPGNHPMSPRCKVHMPLSITRKSSN SQKQIKLSPITSKSAFCIRLPQEEDDGIFLTKIGGEETIRGIARLFHQYSQSHPIIQKID DPQMYELKFATFLEYIMGKPVFFNIETLKQKHIPLHITNEQYNQFKSYLISSFIKCNKGP PELIFEFSALIEQYKYCIITTEQTFAQIFNKKTENNTEETPESIVNLADQTYQKIQEDQT LCEYFIGIRMDEQAKKLGKIVHQMMGWDCSVDYVLNYLRKSHQKMNLTNVHFTLFKSYLV ESMKDIGLKEDQIELITQRMDGYRSCIVNQDCLLDFYFQSSTLFKVQVKKYEVLLQKDPR FRNFPNLPALLRHAHFLLKYVTHQHQPLLTKTDLKTLHKHCVIQHEWIDAFRDNFFHLIK NYTLDRLILQDYADTWFQLRYTIMNQCTIESVVGQSVIDSVQFKIQINLQDNEIYSDHFR NADYQIKSHIKKIIAFIFKNSQIYKSNDLRVIHYPLKISEQTFNLFVQLIKQVMQEEKVP AQLILLAEQICQYYRNSICNL >CAK81276 pep:novel supercontig:GCA_000165425.1:CT868396:286527:288106:-1 gene:GSPATT00016118001 transcript:CAK81276 MDAQIDQQMKIKKAHFINSSIKTNIKKDYKFIKEIGAGGFGVVFETEQKSTGIKRAIKAI AKDRVVDKESFKNELLILRKIDHPNILKMYEVYETEKTVYLVTEMCEGGELFYYITKTQH LTEMQAAKIMRQIFTAVAYLHEHKIVHRDLKPENFLLKNKEDESSIKMIDFGLARFFRED EVMTQPNGSLFYIAPEIIKGQYSYEVDYWSLGVILYVMMCGQPPFPGRNPQETIKNIQKG IFTFSKAGFKGAKDLIQKLLVMEPKRRFTAKQAYNHPWVQQQVSHELMNLRLHDDAIKGL EKMINAQQMKKTMLLYLATFIPENEVTSLRQLFVSLDKDGNGMISLDEMIEGLTGFKNMK HKNMDRNFVTQLFKAMDIDQSGQVDYSEFIAAFLVCPQFQNERFIEEQFKRIDQDNSGRI SKNELMDIFHTDTISIKDLDIEELIKQADLNKDGEIDYQEFMILLRDRFADQIKH >CAK81277 pep:novel supercontig:GCA_000165425.1:CT868396:288993:293676:-1 gene:GSPATT00016119001 transcript:CAK81277 MKLEMLESLLIQLNFQESQLKWKNTLFYVILSQILSIFFLIYPFVSNRVKHNQFQSIMEI PKVILLEFLEQVNVAEYSVLILNVWIIILLISLCFENQKQKINQMKTFEKKQLKSIPIYY LMLTSKVINYMLLQPLLCLGLFNLSINFNSNLYFVIQNLLFLLFVHIFSISYYILNNTTL LLTDKMDKKIEDDYLDFIIYLLRILQSYVFILLDSPITDKLQSCIYLLISLIRIYTILIQ MKYCDLKHCFILIFFASSGLIISICIFSEQHLNIGYLMLLNPLLVYCIWKSLCYYIEYII LNDPQKLSIDRLHFLVCFIFADNQFSQQKNCILNGIKFSQHLKSCETVGCSCQNKYKTID PLELNLIQLDISQQFQNSIVQQFRQLNLHKKGKHFYKMCHLLSALHQLGYHQEIYYRIED QKYKHLLTFVQQINWIVFTFIIKKMMYNKFAQQLETNNKECLELSARITAFLHSESFHLQ IRNNLISIIKLKIQILSSLLMLDNEPLYEHIQTFALESAKRENQLRQIFFKFPSSKNQSI LMFFMGEVVNDWYKAYEFCNFSSHDNNAYQQFIANDINSISNKMTYLVFVYENKKLYLQS ISKKACEIFGWDQMQFSQLKDANVLLPYCIRETHDLEIDKFLQTGKGLYFRTKGINFCQC KSGYLQPIHFFYDIQFDQQSFFQFISFIQKLETPLAILVVDENAKISGVNKDFFKMMNFS QLVTDSFQIEKLLYGLPSSLFFQLTQFTTESTTKSIITFPNEEQCFVMQNQSLNQKINLC KQRQSLNLFEVQGDIQIRKTYSIIRIYRMMECESSIQTQKQIEYYNSGELPSLINDEDAV ISPYDEFFQFFSPLNENNQNQSNQYFLRSTQKQSTKKIQLIESNIQNSISQLVENEQQTI KMLGNIYQNQQEDDTVSFQQEAQSNASYEELRRSRFYKKYCLYHTVINSNGIQPHIVKST IHLFMVVIIILIFIILFSILKAYDLKKVTLYYDQIQINHFFIEPIHKFFLARFTVQDYFY LRYLNQITEAQNQFYQSFSLANIIREYSVMRVNFQEHFQEQKFQEFLFNQYMVVKQQTIH YNPINTTDYNMTVQSAFSLLLDAFYKQELIYLKQFNVNILGVAPHQTFQYLNYIEFITIF DNISHEIYHQFLNQMNTTLNLFAFLCFPTQIISTIFLIGSFLYLRYFKRIIDKFINLRSY TDLSSVSKDLKRLSFLINHLKSDSDMIYKYRFNLNAKELMIMEKMRGKNNFKDEKFYDKS SPILKLIFIYFLLFILCAGVILTLTLIITSFYQLFPKTLNYFKLFSDVGVYVPAAFSQKE LLYFWNRFQFFSSQDRVQFMDQVSIGIGKINEFISTDINMDEFQFSNDFLEYFQKIGETN LCPLLNESKYVEFDYFCENSKNGILKRGLLPTLSDFNYQLKYELDAGLTNRTLIPIEELE AVYLCSEVISSLCDQMQQDIVNQITKLDTILDWLLLCALIILVLGSFLVYFKFYPKMRNK LNYVKKITQIFPQDSMFLNDHLERDLRKILLIDQIT >CAK81278 pep:novel supercontig:GCA_000165425.1:CT868396:293733:298464:-1 gene:GSPATT00016120001 transcript:CAK81278 MIALSIELFCIQLGYFDCQLKITQLPGFYALGRLFSLLLVIYPSSYDVSSDFKALSSEVV ENRFYSLLQLLIDLINQIFTNTSLQNYLQFAFNLVIFLGIGFVIIANYNTKDTIEKNIRK QNFQNILLKMITFFFICISVVFSIPFLIIALHNLQRSSREYSYGWFINDVVYLAVIHFIS LFYFILLEGTLIVKDRICKKLQVNFQDYLIFLLQMIQPYIFILSSKEVANYGQSIIFLLI TFLEIISIYIYKEYSNNCQILLLIFIHSCGGIIALCSITQINQPMIFLILLSPLLILIGT YVHNWLQFLMLTSNHQILNLFEVNNLICSSLSSQQKQSFQQTCILNSIYQYKHSQQCQNA KCQCKIQELSSSNPNKMVVLSDIVEQELYYRLVERMKKLIQSQEPKDCFYFLIHFLFAQQ YHGEVYEIYSKVENKIKWKFFIMMIKQNLYISLNAKLDVNHSDKTKLSMKINQFVQSEGF NQSIKDGLIDIIQQKLQLQQLLVKQQNKIDFTYQYYNLLESVEKQEKILKTIYDQFPSQR NQSQLMFFYAEIKYDWKKAFDQLQINAIDNSLLSIVTDVDFNRLANKMAYLIYSYDDRKL KIISYSKKAPVVFGFQQKQFDLIVTPDPLIPAVVRDVHDQYIADFLQNGKGAYFRQVGQN FCQLKSGYLQNIEFFFDLYFDNNQSFRFITFISCQEQGDPVILVDQTNKIQGVNKELFKK LNFNPKIIDQLSIEKSLYNLTTDLFLNNDILNGAQCQYSFQFYFPKDSFFAQEFTTTTQK ITSLRNQQKLSQYEVSCEVLRRSNYKIIRLKKIQENKKMNLTQSIELQLNMEINLPINKE ESIILPYENSLLNEPSSNMIIQTQRKTDVRFLSELEEKNLEQIDEEKVKVYHYSESNIIE YDNKTVKVLGNVYDNDIRNQENFALEGSQASSMAGLRKSVYYRKYTLVNQLNEQTPVIPL LSKLFGYLIFALINQVIFLSINISFSKTDFYSLNYYYESIQINHYFIEPMQKFFLTRYML QDYQILNLYQSITKEKLAYYLQFINPLLIGSYDEFKDNFQDHFQDDTLSQFIKDEYVIIQ QQITHYAPLKLQEYNVTLFNAFSILLDAFYKQEQIYIKPQTTRGTNPHNTYQYKNYILFV TIFDKISDLMYEESIEKIELVVQRWIIMVIPISIAVLISVLLLGYYYNYYNRFIEKFFDL NKHIEQVELDTDQSRQQFILQSLKQGSELIHLYKFNLIGKEEVLSRNKIKESKNEIKNVV NEPKVVRQFIKISKSPLIFSALALLLQYLMIAGPLTYVGSDYMNKFYTTIHFFKSLSDIG VYVPASFSQKEILYFFFYFTYYTTDDRVFFVNQIQKAVNKIDTFLNLHIESSKLQFSQQF LDDYEYLEQNNLCQLLNSSKYYDLDYFCQNSQNGILKLGLRASLTNFNNILKTELDIDFP TTRKYPPKEELEAVYLGSDIISEITIKMEKDISSQTLKIEELYNIINALSLTYTIMLIMV IQFSVFKMFRQKLNRTKMISLIFPLETIYLNDHFERELRRMVTSEKLI >CAK81279 pep:novel supercontig:GCA_000165425.1:CT868396:300199:301075:1 gene:GSPATT00016121001 transcript:CAK81279 MKKIIRPLIGLIQRSFQQAAQPVPFVGTQPFIISKHRNIMALYDLTPEIGSQHFIASTAT VIGDVELASQCVVWYGAVLRGDLNGIRILNRVIIGERSVLHTAASLPNGMPAVLSIGNNV MVQNDCTLYSCTIGENCFIGYRSIILEGAKLEDGAVLAPGTVVPPGRLIPSNQLWAGNPA QYVRDIEDKDLNQLSYVIGNQFAIAREHDYEYLPYNSAYLQKENSPEDSNPELVATLRQY ENWEEGQVKL >CAK81280 pep:novel supercontig:GCA_000165425.1:CT868396:301075:302796:-1 gene:GSPATT00016122001 transcript:CAK81280 MGNQQFEANESQTNITQYYQKMLNQNKENIPHQNQSTPKLLSAESRNKLRPTSKINLDMK LCSKSSEKSLPGVSQVWFHDLNQKMFQETPVVRNPLEKLHRKRSSTKIVQENDESHIQLL DLLKNMVRHQDSTMQSSQVKVTEQSCQEYDKKKLIIDSDQFYKPQIEDRPLQFNNRRRSK TFEESFRTLISNEDRCQPLKLYRRESKEEEALQFILQNCTQTQSRIDQQISNSITFESLE SDWQALTKKNSKQLETPGTAQFQGLNKDDKMFELSTSNFIEQNNDDIQGSRRPSCIESTF LIPMVLHSQNSLDFDDSKEVYQNSKPAQPYEYKKSQIPKMNGLLSQQQSLHQLNKLDCKI NSTSRNVAQPMKKILQTLASGLPNKAPFKQKSLHQQSDHSLSLPLQMINSKMGYRSNLSF VQNVPQSSLSSKNGRNQFDSKTFKTKPPVIHPEEQWLANSKLEYKKIMLILDEQQQQQQQ IQNFSIQNKSEMISNTFNSSDINCSQRFQLDDINFKLKPHLEDKNTQTQLKDLQQNFINR LSQPKSRCSSRTAESNPYWKLREVDQSKRSKRK >CAK81281 pep:novel supercontig:GCA_000165425.1:CT868396:302965:308125:1 gene:GSPATT00016123001 transcript:CAK81281 MDYNGFSIECQSSQQLCYNTVKDMKKKNLVNTLWQIVNKMSRKKEKKDKWSESNVSEFSQ NINRGALSCFAELCTELACNNQIKEVNLSRCNINIYYAIELANLVQKNTNIQVLELAGCN LNSFSLSCIFKSMIDHTGLTRLNLSNNKGFTFSSAEDFCKYVLKGKNPLIEINLSFCNLD NVSLSLILPNLKYLRSLKRFIISLVKFNSSESILALSSAMLNYTGNKSLDYLDLSWDRIQ NHGLELLRVALFMSVRQINIRSLNLEYNQLTQDCIESLEAILLKLNIEEINLSKNKISEF QNQQLLNKKMTRIDLSHNRFEEIPSNFFLNVLSLNLSNNSIKTQGAYQISQVVSSKKVMW MELNLNNNEISTQGFVSLIYALKENQRLVSLSVANNNISGEGILVYVFNHEQLNLQHLDL SCNNLRYDLVYALISMMKECQLKTLVLSKLRQDENDICSGRDELFEIKCTNLRMLDLSEN SCMISSLLNSLSLQYNRVEYLNLNGCQINKRALIESLSCFLKRTLSLQTLLLARNNLGSL DEQSFKIFNDGLSSNQSLTHLDLSTNKLKIKILNLIYGLANCRYLKSLNISNNLIYETRN LIHDFPKLFLNPNLQSIDISKNLIGSQTLLHTRETLFKYYRPFPQMNLSKQQFTADDLHI ITQIISECQSIKKLELSDNDSLDYMNNVMTYGENVKVESLSINKILFRQDSFLNLLYTIE LNFRHIRFLEISNTLLFQEQLIQLLSSIRLIKNLVVIILDYQSFKENDEQVLEALYSCLH CFRNLKHFSVRKSTLSMPFYVFVSELLRKSQKLLELDLSGNVLNANDFQILCDGLFVNKS VTTLNFRNCKLTDESCLSLVPCLHSLSQIKNVDVSQNEITLGFLQQMEQILGGQRCSVQN LKIQNIQTYWDIEQVPSTNKFSNLFHNLNNIDISMNYKQNENFIQILDGFIQTKILQNVQ VLSVNNCGIMDDQCKILANLIEDNAIIQEVSLIGNRVTSRGFKTIFDPILRNSSFLQQLN VSKNFIKDQYFNELTSAQIIPLKHFRILIMDGNLFQGFRNSGLIPLLQKNPKLYIYNNWI NVTEGFAKQIVEQYVIFVNDYNISKGIPKYLTSLIIRDTKLSDQFYIWFGSQFFRLPYLE YIDFRGSSEQMTSLSKMHMYIDIVNENFVNYNLIEVCFDQNQDNEPNHFDDGLFKYWLQN LKDFLQNKNHISLQKMGVIVNDIEQVRWGKIGKWIVHIINTTLNLIQTQSYDFRFSSSLH SYTRKQLTRIRISMIAGTITEIIKISLGIGAILMPSQLDVVLQECRSLTHCFGNDVGAAF YGEAIIIIVLVLFQSCFGLWFSIQIRLHATPDYCIQTSDMIRYQHFKLAHKSEVWLYVLQ LIISYNYLLECKIIGTIFEMLSSINTNPSRDFGALKFDLILMLSFIISLILFKAVFQLYI TIKSLLIFLYAPVADQAKLFQACFIKVQADHMLNIESVLKNYCPQNGIYIKGKLWNYKQL FEPIQGLLDLGLLICIAVLTKLRRQFFLIFKFEELYTTTDIIILIFLCKSSIKIVKHFYC ALISRPPQLKVSDLNEALLIRRYQKMGNSLQIVQKYPKISIKKLRISSSQLISKKSSVDF KMGAFIKTRSDRSIVIQRESFNENDVDYIPESIQMPMGAIQNKSMKNIQLKHF >CAK81282 pep:novel supercontig:GCA_000165425.1:CT868396:308239:313378:1 gene:GSPATT00016124001 transcript:CAK81282 MSNHILQSTKALWPALANYVNLYHVIIAQCTTSIQLSEVNLSGCNINVYFAIELANLVQK NTNIEVLQLASCKLNSFSLSCIFKAMIDHTGLAQLNLFNNQSFTPTVVQDFIKYVLKGKN PLIEINISHCNIENAQLSMILPNLKNLKSLKRLKMGLMSLSSESLLALSSAMLHYTGKRF LDYLDLSFCQIKNHGLELLNKSLYLSVITSINIKSINLSGNHISQDGVVHLEQILLKLNI EELNLSRNKIQEFQNQQVLNKQLLRVDLSSNIFEEIPNNFFLNVLSINLSNNQINTQGAY QISQVLSYKKVQWVDLNINNNCIKTRGFISLIYALIENKSLTNLSVANNKINGEGILVYI FNHEQLNLQYLDLSHNYLRYDLVYALISMMKECKLKTLVLSQLRQDENEIHSGKNELFEI KCANLREIDFSCNPQIIMPILKSLSKQYNRLEYLNLNNCQLIKKPERESLFKKSDTQTLD IKKPYDAHVRKSDTLTIDLKKSSEAKFLIFDEPIFIDQTDQKNSQQQNNQVLECIYNLLS KTYTLQTLCLANNNLHYLNENELKQLEGCFANNFTLLSLDLSNNKLKSKIVFLVNGLKNC GSLRYLNISNNQIEEDYEVIIKLPEIFKNPSLQYIDLSLNSIHSATFQNMKKILLRQYKQ FPQMNLSQLKLTADDLVSISEIIRESYSIRKLELFGNQSIDYLNNVLVYGDNNKVESLSI NRLKLRRDIFENLFQILVQNQKWMRIIEISNTLLKNYQLKKLLEQLKQMHSLKALIMDNQ YFDNKEVIDSLKELFRSFKKLKTLSIRKSTLSVEFFEFLYQLLSHSKNLEELDLSSNQSN DYEHKCIIPKQGIELLSQGIQITQTLKNLNLSKCQLDDEVMQIFIDTLPLNTSLIQLDIS ECNLTKLSFLRFKKNFSQNPSLLQKLCCQLMIDEIPNNLVNSQSLPNLFYLDISKNKQKN RSIVKLVQCCQPNNIFQNLFFLNLEQCHLNDHHCKALSKLIVTNLKELHLSQNQITFVGF KDLFDPILEGNSKLKALNLSKNKINEEYFCQLEYKELNMRIDSLELLSLDGNFCYGKGCK GFIKLLSQNPKLYIFNHWLNINDQLAYLVIQSYIQFTQLYNLKYGQHNYIQQLIIKETDF SDDFLIWFGYNYFQLPYLQYIDFSSNTRFCTSMGKMHMYINMINNQVNYNLLQVKFDEVE QQTLTMFDDGLIRFHLLKQKFRFQNYTIMQSSYGINDQNIETIKWGFIGKFIIHIINKLL YLFQGSLTEFRMSSQLQKHLRRKMNSMKIYYILGCLVEPVFIILALLTAFMLQTPLSLED LQDHRNCRNQYCFINDVQILTLTLFIVDILVIVMCSVTNMIIAIKLRINSEPDFYILTYE QKKELKRKFPIKKELLLLMLQILLQCKYIFDTLIISSSYNMSSYIEKYEDNSFQQIKTNF LATAYMMTVMICVKFTIFCYQDVKCTIQFFKHPNKDASFLLSNMWIKNIYDTNILVENVI SNFCPQSGIKIRNSLFNLKQIYQLTQLFVIELPTFFIYLFFFNNYQLMFLKMGYQTVITV TIIFQVIGMIRNIIKGLCNAYWALVSRPPVVKWFDINEFLLLRRYQHLGEKRLITQQSIK QKKQFNSFKNIIKKKQMQNQQITQKSKSDRIIEKRKTSRRASEEHEEEADYLVKKSQITT ENMYIGTLNTKMKSD >CAK81283 pep:novel supercontig:GCA_000165425.1:CT868396:313728:314505:1 gene:GSPATT00016125001 transcript:CAK81283 MNTLLKSIAGKFSTVALHAALPFTGYKYDDIDTSRSYRLRSLLSEIWDNRIDEGFHMAYE NFIQALSEKDYKFISTIANANLERSLEKYNFQLLNLQNGTKDIVYSDLTLSIGDTSQQCV KMSVFDCVNLDIYGNDSERSEIQNVTFRVECYILSQFMLHPTPEAHFESIYHRVMFELKL TQAAKSNPDLIKVLLLNSKKRMKYLRNHFIGKQYEWRIMEIDNLNV >CAK81284 pep:novel supercontig:GCA_000165425.1:CT868396:314576:316639:-1 gene:GSPATT00016126001 transcript:CAK81284 MTDKDIKIKSLESELESRQTQVLKLEKQVAALETRINQNADVLELQEKIKMLETQNIKLV EKNQSDVVEWKTKEREFKQLLKQHQDELASIRNELDLANQQLANQQPQDGNAPGLEQTIR DLQLDRNDLKQINEKLIHNENLLNLEKEKLKNQVTDLEQDKKQMQNTLQDLKNKHNKELE TLREQIHQYQNEIFQREKMHEEQMRNLARLEQQMENKAKNNEEEIDQVKRKKDQLQCEND SLKKVNEKQIQEMQYLDQQINCYAKQVSEKDKQFQELQNKVDEEHTKVVNLQKNNGVLQD KLKDLELTYEVQLKDKEAQIQKGKKQYEDRISQIQKENATILEQLQLVNDQNRELLAKQK EQEILYKESSSKQLEKDKKLVDAFERMRKLDQEVKALMKQNQQFREQVLILEGDLSKSKE QVRMLQRDKSELKQQLDFLISQQNKKGRLSEIDLLKKQVQELQTELTLLRESHKAAQSSL KSTMESTGYLKGRLKKLDIENQALLDSKSRLETLFQELLESTKSKRMQRNQSEVQLNGYK GKMITESSSTYLEPMTERLTAKTNNNKQQKNGDIRSIFKRPIQVVRIRSSGQQKEIQGKQ ENKSNKVEQEQFVDQVKKEESEILEEESQMNQGENKQNDDEFEQIDSSFLQSDS >CAK81285 pep:novel supercontig:GCA_000165425.1:CT868396:316653:317296:1 gene:GSPATT00016127001 transcript:CAK81285 MGIDIVNFYSSYGSYHSNIVNKAIHLVCIPLILLSAVQITNHYSFTIDTGCCQLNFGLIM LFVLALVYMTVDLVSGILASSFYIAVTLFLNQRFANSDEAQWSNHLFLATTFQVACWILQ FIGHGVFEKRAPALLDNILQIFVAPDFVFLEVLFFLGYKPQIHKACQTQIENSIKQFRNS KKEI >CAK81286 pep:novel supercontig:GCA_000165425.1:CT868396:317323:317773:1 gene:GSPATT00016128001 transcript:CAK81286 MIGKEQVDVIDQYASSIVNYVDNQQKIIQLKTSTIQKLNEVTIVHYQKNLDKINQIRSEF EETKSIQNKLDENIQKINQIQNSIEGMESSVDHLDSILKRIESKLTELEKLKQ >CAK81287 pep:novel supercontig:GCA_000165425.1:CT868396:317835:319230:1 gene:GSPATT00016129001 transcript:CAK81287 MPSYNPEQLVEDRLRESIRQSAAQRPTNHQDDPTSVKFHRHQSYNQLQAWMEQVGIDMQQ HRQIIKDVYRILDKRIAISNRSIQNLIHFIKTFVQHEKQNVEYLRTKNKDINKLFQDGQT LYYPKLDETIKEICNYDQGNAIKILNLLDGIDKFIKEKLDFNIEMFETGISIHRDQYRKA FSNFASSSSKLTKYRRKHQGLYQRQMQGENKGKDLYHTERKLIDSFLEMAKLQKTMGQAV TRLFDEVKKQEVHRYQIVVTSLRMYLDKHVQLSQSKQQQISAQEELIYGLINQLEPEQVV QSFEVSNFLSQDVARIVQKMLGKQLLTLPQLQDFLCNYPDAYIKLGSVQENHSLVKLRIK NAQIDCGNVLKTWKPCQIIATIDNNVLIYLKETNEFKGKFLCDSSQIVQKTRVPLTAEIK CTKPGFIFDSTKTALLQLEAQDFDSLHGLLFKQPK >CAK81288 pep:novel supercontig:GCA_000165425.1:CT868396:319337:320452:-1 gene:GSPATT00016130001 transcript:CAK81288 MLLFLSFYIVSSMYPISYKDGQPHLSLDSDLKVQLKLDEDLSEFLHRHSQNDRCPEYEYN ECYAEVYQNGERKKVKLEKEIFYIYDIQSDEDNSQTIIYSDQSLQETKIQESFLALAPFQ NHQNTFYSNGFSLCLSETEGYIITDFNSISQNTEQLNSEGVFNKLKEEKYVTYSLHLQYM EIAFKVYDTTSYSIYIVSDDLISIPKEIFPQKYFYSRGFVFDSTGFYYRDHRDHSDDDLE PLLFYNNANQDNPLIVDPANYVFHDEESGQDILKIYSEEQYGNAIILGLPFLKNKKFNVQ TQENYVYIEQLRNGLCIQDESQSIGVWEIIFIVLQLILLPFLVYFTFKKLRQHEQQKQQQ RQTQQFITELQ >CAK81289 pep:novel supercontig:GCA_000165425.1:CT868396:321040:321626:1 gene:GSPATT00016131001 transcript:CAK81289 MDAIIQYDKSEFHETSSGIKISKKSIIKGTEQINVSGRCIFFNDVILRGDLAKVSIGKYL VVHEKVTLKPSYTYGYTKESPTKKVIKFLPLMISDYVEIESSSIIQATKIGTCTSIGKNC YISHRCVIGENSIILDDSILPPDTVVPANSVFGGRPAVYIAETPESTAIIQKQKLINFYK NFMPKS >CAK81290 pep:novel supercontig:GCA_000165425.1:CT868396:322364:323090:1 gene:GSPATT00016132001 transcript:CAK81290 MDKRTEINKSFKQYYKVSFSIVYAASVRDEWFNREFAQFLDLDDDDCDDINKYLNKRMYL RSVTRQEMQGQIDSLKLQIEQARQNHERALKQIRSDHQKEIAVLQDEIRRTQEIVSNVQF QQYFKMKNDNQKLLQENALLRDMVRACQITNSTKEMEVSRLRQKLRRIEGQSLEVRKEPS NQSRQNEYSVLRKQSNYRHPTQPSLNESNTEPSPKLLPIKFKVNQ >CAK81291 pep:novel supercontig:GCA_000165425.1:CT868396:323099:324320:-1 gene:GSPATT00016133001 transcript:CAK81291 MQKKHIQRKRLQYEEALKVAAKEDVSFFGQCTKFRERSTEPAPIDRAIQFLFLKENNVDR KLALQFGSRRLCKIDSTRQYDGPANFRVMKTSKQLLGSINGWQNGTADVRFNLGSGFTNM QDRHENSFTLKCHTDQRVMTSERDRISMQKYEFSKFNSQRLTQELCKLKAENTFKNIQYQ QIADLTKQSLFQPFEKQSTPIQFYEDSVNEHDPKTLDDSSTQRTRAVTNFHEKKQALISQ KTISEHRIGQNKQAYLKLFKQLKSDTQLIKRKLNAKKSLQNESKSRRISQLSFFFNLTNK QRLNSNTSISNSQWNYNQQQSCRQEETFEQKLENFVKQRMLLQNKQAQCSTVDYSNLHQK LNQYEQIKQQEFKRIQKVRLISQR >CAK81292 pep:novel supercontig:GCA_000165425.1:CT868396:324343:326338:1 gene:GSPATT00016134001 transcript:CAK81292 MSSGGETPKLKSQGSRHDTTNSSLANIGGAQQNLQVLNDRKVLMIYASGATAAEGEVSES HMTVLKGRLEQRLKNISFLCDLEYTQYHNQEGCLTTPISEFGRRTVYKVMELEQITNSRQ TSYVDIRHIAEIIKENYEKYSAFVILSGIATITYLGTNLSFMLENLQKTVVITGSLIPLS FMRNDAFQNILDSLILAGHFLIPEVVIVMDHKAYRANRCRQLKCDSLDCIESPNFPPLVE FGINIEIKWQLVLRRGEQMFTNDESTLELAPPFVTDIIIIKITPYTSVEHIRHILNTPKL RCCILECYHFGEMPHNQELYNVLLYAQQQLGIILIQISQCTKGQPIINFKKVVNGIIVQY DITPESAQAKIAYLLGKGYSQQEILRKFPQNLQGETESVRQFEKFEGQKQHFIQTILETL QKTSGDEQISQNMDIMNKYIIPNLGCFLATTGQLELIKDLRRNEGNLNIPDFDGRTVLHL AAANKQIEIIKYLIEEVHAEINPIDYLGYSPMYEVLISRDKELLLFFQQNGGIISAPHHV MVDLILSSGLNGDLQMLELIFHGGIKNLNEFVNVDNRNIAHMAVMSMNSKIIKFLRFKAK FDFSERDRWGSTPYENAIEIKSKKIKLQMLNEVSINEIIEMLATIGNE >CAK81293 pep:novel supercontig:GCA_000165425.1:CT868396:327365:328081:1 gene:GSPATT00016135001 transcript:CAK81293 MNKLSLPTDTIDNEFFNEDAISKSTIQALNNSFDKEQLDEQIERPFTPLDAQVDSIPKEQ CRFCKRQFFQGKLALHLRSCTADHPFLKKSNKQKTQLPLTQPVIQPCPYCHKKLRNHQIH ALKCLARPKALDKYKVIASINEEEEADETLLQELSSSQPTFLPKIKQRPENLLSRSVPIV KCPSCQKTFEQKAADKHIQLCFRMSSGNKFQRSVFCTNCGSKLANNHKYCGSCGRKRL >CAK81294 pep:novel supercontig:GCA_000165425.1:CT868396:328712:329175:1 gene:GSPATT00016136001 transcript:CAK81294 MMKKKKKLIKQSNFGLPELCERQIKQALEIGFFNKLEFETREYANKQKRRYWIIIWSIEK KMAIKGNGRRELQKLLNWRAGVRLSLYKINIDKVRNGERQILIRKAHFLKNLKERGVKKY REYENRKYGVMSSGDIKSEWKQIINQ >CAK81295 pep:novel supercontig:GCA_000165425.1:CT868396:329215:330706:1 gene:GSPATT00016137001 transcript:CAK81295 MFQNDGNGQSPNWCSSERQAVKVIRNNTNQCPAIKNHTSVHYKNQIFIFGGYDSKKNHND IHIYKDGNWTKCKANGKIPESRNGHTATVVDNKMYVIGGWLGSGIYASRDVYVLDLDCLN WTLVNTMGEVPGPCNMHSADQIGQLIFIFRGGDGKDYLNDLHSFNTKTNMWKLVQTAENQ RPPPRANHSSAVWQNKLLIFGGWDGSKRLNDLHCYDVTTNKWCELKPIQSPSARAGMCMT TIENKIYLFGGSGPQTTCFGDLQCYDPIKNAWTTIELQDDEQFDKARAGHSMTAIGNLIY IFGGSCGSYYFKDYFIIDTDPPPNISVTDFNNISLNQYFRAFFNSPKYSDIIFVVEDKHL YAHKIVLSRYEMFKKMFEWEYKNQQQKVYINDCSNQIFEQLLYFIYAGDLQCDQFQQSVE FYRQFLQLADYYLIPDLKSLCEKQLSLLIDNTTFPKIKLYAEQMNANQLLKFCEWYESHN N >CAK81296 pep:novel supercontig:GCA_000165425.1:CT868396:330737:332200:-1 gene:GSPATT00016138001 transcript:CAK81296 MYLILSALTICSYAIAPHVLTQGPFTETKETFQFTQLLDHSDPANTQTWQQRYHVYSQYF NPTKGGVILYICGEWNCQGVSDNSFSFQLAKDLGAIVIALEHRFYGQSQPFGADSWSLEN LSYLNVHQALDDLAYFILQMKRLKLHSIDSTLPWYAIGGSYPGALSAWFRYKYPHLTVGN LASSGVINTVLDFWEFDDQIRKSTSKSGEQCPLYLQLLNSFVDKNLKNFNTKQAFKESYR CGKMTDNEFRWFWVDTIVQMVQQGKRSKFCQTLESLSSVERMAEYIREIALSQGDSYKQY GAYYLRNETIDENSQHRQWYFQCCTEVAYLQTPPQNKDSLRSYEMTLDWWREWCNDAYSQ GEVVWPDVRVTEAYFGGLKLNVDHLIMTNGGEDPWQRASLPFARKDNSKVTTYLIDCDDC SHCVDLKAPTANDPAVLTQTRLDIKNKFKQWHAQFWSKTLIE >CAK81297 pep:novel supercontig:GCA_000165425.1:CT868396:332365:332895:-1 gene:GSPATT00016139001 transcript:CAK81297 MQLQYQSLKLIITESMFQTPEPISIHYQRIYKYFNQTPNDFIPKQTPSIYSFCLRIRFLS ELIIMLKEKKYFFVQLKFILKLSERDRNLYGQNGCQRHMRNMVKRIGNVPRNQWTQVKII EQSIDQISYREMLHILEVHFLEVNHLSHSDQVHFDQSILKNETCLFRSLMNIYNLI >CAK81298 pep:novel supercontig:GCA_000165425.1:CT868396:332931:334050:1 gene:GSPATT00016140001 transcript:CAK81298 MSKDNKGEIDVDSIIERLLSVRGSKPGKNVNLTEAEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMTVDESLMCSFQILKP AEQGQGASQQNKAGSAKFVN >CAK81299 pep:novel supercontig:GCA_000165425.1:CT868396:334091:334988:1 gene:GSPATT00016141001 transcript:CAK81299 MINNKQMSQIDLAAIEKVFALLLLSCVTYIWFTLVSLQFTIILALTLCSLAVLLKIKWTR IKRKGFLNNVSHKLRKILLERSLFDILCDIWYFETIKRHVRVFLSPFLIKKTPEEIIESF EDINPQLKEAILRKGTINLFPEPIKKELVQNYFQEDELQINQDKQLHQQIIQQPVKVEHW DRYADYEQYTQRNRKNNSQTLLHVISLLMHKPIVHKENHKVNLRNLSSKTQSLLVGSVIC ILVQMYFSKSSRQIYQKAFTILSSMSLLAMASTALGVMALSNKKQKTL >CAK81300 pep:novel supercontig:GCA_000165425.1:CT868396:335041:336783:1 gene:GSPATT00016142001 transcript:CAK81300 MKENLKTEMSDTTLRRDATFSLNYICSSQIFFDNRRPSTKKSLYLRTSQNQVRLPHFQTQ IIQRNTQFIKAEKNNTIISGKTYITKAMEHQYLRISCENLIAPFGCQVYCTCEFQLMISQ VHPFPTNYNCEQVINNRGFVVSVITGEFIYLSIITRKDSELQFNLQINQPLEECQRIKTL QDTPSTDFQQMVKFSHSKSFQCIINSNKEQAGIKKNRYQDEISRQDRFLKVLSTRNFQRQ SKTQAKIQKQYQIKAKKLANQEKIITKIINDKKSQKGIFEQQWAIIIYFIKMSSRIFDAF WHKKQILKKNRLVAFRIKSLFRQMKKKIICPKGEFLETRVLVDCFISIKAKTKIIRRSIR TKQIQVLLPILQQRAIIYCIKMKMLKLGNKLNIIKKHILQFEENYTKYKNDMIVKWDNLN EKIKDEEIKWKQATLRKKGLVTWFQVLKDKEFAQQMRKCFIFELMRDRIRQHLQDQQLIK KYKMDLKYYKQKLRVCRDFVEANTFRGEISRLTNDIYAMHMKNQLFMHVDVEKYFHSLVS KYIILVDEPDNLPAELQSQQKQKQRQSRLTMRRITKKSKF >CAK81301 pep:novel supercontig:GCA_000165425.1:CT868396:336820:337414:-1 gene:GSPATT00016143001 transcript:CAK81301 MGKKKPDSFLSQMSKLFNGEKIIKLTIKRNLKEQYPQLRKRLRRKQKQQLLGEIKQANDV NEIIIRSQTQKLTISTSSNKQQINKFADSLSNIYRLYCHDQQKQIKKKPVLLPTQPNAKS KTQQRLKAKKLRNKERRQKRHQLQKLKKKDLQRQKTFASKKREDQQQ >CAK81302 pep:novel supercontig:GCA_000165425.1:CT868396:337467:339684:-1 gene:GSPATT00016144001 transcript:CAK81302 MQPHQSLKNLFLRTNGLIKIKPKVRVTDNYTLSLVYTPGVGHICKVIQKDPDQLYEQTIA GNSIALLADGSKFNLPRSSKMIPNLEAISALYKAFYDVDAYPIILDRTIMTDVSDYVLVM DNLSPTYKTIVLIDIEDTLASQILTAVENAKLECTVIISNSHNLREQLYDAALVKATLDA RSTLKPSQCELIKKAITSVDFTNLPSLLTTTLNKAYALGLQEIYNHKLYHHTVANVNPEI FINKLNDLYIYGEVAYYNKWSNDHLLQNNDFNQNALELHKRYHGMITIELKFNPRSLEDL FRIYETSYQKDELAQLRQILIDDPSKLREITFKKNYAAIITNGTAILGLGNIGPSAGLPV MEGKSVLFNALGGIDLMPICLKEKDPHKLVRIIKCIAPIFSAINLEDLRAPDCFPIEEEA VQSLHIPLMHDDQHGTAVVSLAAVINYLKLTKKNVKDLKLVINGAGAAGVAIGKLLYGHG IEDIVMCDTSGIIYEGRPQNMNNFKNDLAKFTNKGKIQGTLADAVKGRDLFVGVSSAGAL TQDMVRAMNKDPFILALANPVPEIMPDEAIEAGAFIVATGRSDFNNQVNNSLAFPGIFRG AIDTRAKEINLEMKIAAAYAIANSIKDSELSTTKILPGALTAEIPANVARAVAIAAMKTG VAKVNVDPEKVFDLARKLIMEGNLAGI >CAK81303 pep:novel supercontig:GCA_000165425.1:CT868396:339751:341292:1 gene:GSPATT00016145001 transcript:CAK81303 MLQSFASNVSCPNHNQPISYIDTKKDTPINNRAKCLNCTPLNDAVPLKTALEKFKKLKED EKQQIRNYRKNKVYDFLEFKKVIHQLSESISQSLNEISKQINERIEKIVGVIQQAQSVQW QFDINEYLTISGLQNVGLQLSQQGNEFSLIKAGYSVVQMKKEQQLQTTLSNYQNNIKSIQ SNMEILKESLTTNLIINEEIVGKAVQKEKCLATAFNKDNSIMISTSEELIKVWTFDNGKL ELFQTLKGHDKEVTCLYFSRKNNWFISGSRDKTLRIWKEFSNSEWGCIQILEGNSFCINC VIINQYEDQILSSRDDNKIKVWMQESLLTSQQPQWKCYQTLQDHKKDVYSLSLNSAGTML VSGSYDKTIIIYEFDDQQQWRLKQVIQNDYENWVHSVCVINDKLIATKLYNGVVILYQYM ESVESFEQIQELELSKSKMSYFDFFHMFYNQQLQSIFCRHLDCIYILFQQTTGRYGVQQK IADNYRGGSLTDNGEYIALWNNNTKQLEIRQQE >CAK81304 pep:novel supercontig:GCA_000165425.1:CT868396:341307:342317:-1 gene:GSPATT00016146001 transcript:CAK81304 MKAFLLITISYVVQCWWDVGHMMTAQIAKNYLKDNRPDVLAWADSLVQDLNSLTDGKSNT FAEAAVWMDDIKETGTAFMNDWHYTDRPINPDGLLIKLDDQLRNINSIYAINQAVSVLTN TKTAKNRHTMFKAQMIRVLLHVIGDMHQPLHDTTFFNSSYPNGDQGGNFMKVQLENGTLV NLHSFWDAGAFAFSPNNSFLVRPLSQSDSEYLNKWSLEVISKYQITKYNNIDMTNPTVWT YVGYRQAVQFVYPMIASSNNYNKDYTQQAQQFCEENLAIGGYRLAQKLIDVFDQIVLNEA KLKFED >CAK81305 pep:novel supercontig:GCA_000165425.1:CT868396:342509:343434:-1 gene:GSPATT00016147001 transcript:CAK81305 MQPSFTINPSCFRLFVRPKPNAQGKQSAKPDSDDELKQHDDQFHPNVQNQFLMKNRSITI CSNTSTLPETLSTSFHNQELNQSGLEQNKEDIFYKTMPTSFQQFQFKNKRRYEPQAQITQ YQIKLDSIPGDERTTLMIRNIPNKYTQPMLLENFDINHKDNYDFFYLPIDFTNKCNVGYA FINFLDSKFIPKFFLEFQGRKWKLFNSDKICEITYARIQGVEQLQGHFQYSTIMQEKDNR LKPIFKKYQSDQQFKRK >CAK81306 pep:novel supercontig:GCA_000165425.1:CT868396:343464:344158:1 gene:GSPATT00016148001 transcript:CAK81306 MSKAYLAVKNFKWSEMVNLLAYGEKHPDTLSQTQRITRLYRATIRRHYTSQLENFKGDQI RFYNEMQVAQKDFNRLQELNNNYANLNQEQKVEFSKLENKWVSWLETNYDAFLYIDECRP YSSTSTRYIVYSDKQLSFDPLGFYKQRPVHDGKFDPHLPYFRDYPYNESWWNMNESFPAD FDDTPQQKE >CAK81307 pep:novel supercontig:GCA_000165425.1:CT868396:344426:345839:-1 gene:GSPATT00016149001 transcript:CAK81307 MINQTKTQLFEVFKKFDKDGNGYVESNELIEISKQMNEEITQADVDRLMKVVDSNGDGKI SFEEFWDWWQFGKNEKLEKLVFMKLKLMNMLKSINSEFTRFGVSLEQKYEPKLDHHYWAI NYGDFQSHFKFDVKFKYKGSGVQEDIQQEVGVPQSKSLQIVFIFRAIKPALAKEKLEQLW NEYVQKLRENYDKFILPTIESSLNIEFQERKDSVAIVINVSHPLIDMTFESAYLPYCEKL GKDVEVFMEFLFGIKNGITKLMKKDQIFIKYLLEGFIMEFKAAFNSDLGKKLAGVIIASF GQEAARKLVQKKGRGMQGELLWPMLFKSSKFHLQFKNMEDVNQFLTSLGLSDLADEQPTA RQLIDELKGDLQLQQIKNPKSDWHFIYRLFLILAEYFIAQGTININIPFAYLNISFNLEG LKEVFDAVFDHEKQKQQKTN >CAK81308 pep:novel supercontig:GCA_000165425.1:CT868396:346475:346831:-1 gene:GSPATT00016150001 transcript:CAK81308 MTQAAPDQNMPLDDINSTQKQSNGLGIAKKIKKKEKGCFCRKQKQKKIYTCISPDESSYE CVGWEEEDLFNRQSDQRRQNKEITDLKCLAWNLELIIKNAKQQLEYLEGTMPSDHLKN >CAK81309 pep:novel supercontig:GCA_000165425.1:CT868396:347138:348517:-1 gene:GSPATT00016151001 transcript:CAK81309 MDRAKEDHNIKQKASTPSFLIKTYDILEVNKLRLHINQNPDYNEIISWNEEGTAFVVKNV NELAEKVLPNHFKHNNYASFVRQLNMYDFHKMKNEGGDNEFRHKYFQRGNKQSLIPMSQR HLLCEIKRKQGEQVEQFDERNQNSSQNSNNSMEVDMSKLKNDYQFFLNEMLGIKGKQHEL QKALILIMTQNDHLINENKLLWQEIKRIRETDERKIDTLSYLLATLITNMNQSQNQQTVQ QLVGVQVPPQTQIPPQEPNNHIDQQFNNLLNLQQLNQNKPGQQKDQKQLQEHNSFLEKIM KTQQQQEQQLQSSIYLSAIQQVKQDISQYDNSYMKKQCQNQFQRQPQHMDSNFMVQQNSQ ALIQQLMSLSQQQQSQQQQPQGQQFQNNFQNYFGQNKGNENFRGFPYI >CAK81310 pep:novel supercontig:GCA_000165425.1:CT868396:348680:350398:-1 gene:GSPATT00016152001 transcript:CAK81310 MISNSPEAEKLKELGNEQFKLSNFPKAIELYTAAVEKAAGNQRLVCLSNRAFAHIKMENY GLAIIDADEILKEDSGFIKAYYRKGSAYLLLGKFDDARKEFKRADTLTQGKDADIQAKLK QIKQAIYEREFAKSIERPDEASEPIDIQDIHVEQGYDGPRIDNDISEVTPEWCENLMNHF KDQKKLHKKYAVILLQKVGEILKSQQNVVEYDVPKDLEFTVCGDTHGQFFDLINIFKING NPSVKRPYLFNGDFVDRGSWSVEVMMTLFAWKVCNPDIMHLTRGNHESRNMNKLYGFEGE VKHKYDDKVYELFQTVFNCLPLAYTLSKQVMVVHGGLFSNDGVTISELQKLNRFREIPEG GPMADMLWSDPIKQNGRHPSKRGISISFGPDIAHKFLNENGLSLLVRSHEMKDQGYEVEA DGRVITIFSAPNYCDQMKNKGAFIIFKGGEMKPKFIQFDAVEHPKLPPMAYARNYGMFM >CAK81311 pep:novel supercontig:GCA_000165425.1:CT868396:350645:351675:1 gene:GSPATT00016153001 transcript:CAK81311 MNIYSSSQQDQIASPDNLSQMSWNKVHQSSEQVLEKSKKIHKKRPPQDVQQKWFSIQKIS SCKLDISEQNDLDLRDQNTYQFDYLKLDQHLLSKNYPIIVNMSPYAQIEDLKSKKKYQIK PISIKSDIIEVYNLVQIIQKLFSLNNKFILTPENIFTYSAQTQKIDDLAKEIKILHISFQ LLVYQYCRKTIFHFLDELTNKQIKTIFLDLIQGLISAQENQLVLKQITLDSILYYEDTKS FKLIDYTEATIGNNRLNFQDLGFCLAQTLQIKNPTSSLKNTNQPINQIEWLQKNNEDHLS SVALYLILNEKPIEEIVNLLQQNDT >CAK81312 pep:novel supercontig:GCA_000165425.1:CT868396:351725:353349:1 gene:GSPATT00016154001 transcript:CAK81312 MLIFLQFLHVFSQEITWTNYSFAFPQFIPLIAEKTVFSRTFEEFGQILNISIEYNGEFDE PICNFDYQYMLFQRQPDTLIALVTDLQQIDIDSLKTEEIQQGFSDELISFATIDRNLILL EKNGTIHHLYYNDGPALTNYTVYQLSINQGVNEYAQILSDTQSFYYVDSDQFVKFNINNG SLEEIKIHSWNKVNDHFKIFVRQEYVYLINGISGMSIYKMQNNILLLVNKFMIQDIYQSP GFTNLNLIDYSIDKEFLYLLDHENGVKRFNLTSMHIDKNFFISQKGCKVISVQNNQIILI QQNQLFSEIYEGRIFNDSWVLIRKKTATKQIYRNIKQFDNYTLLISNPTNNMYQKNLIEN FSDPYLYNGDNFYQMEFLGLIEMDTDFVVGIYKYGVAIYYVQEKSAQISCQARLSQQNRV TIRLNSTNCLNKNQSDIFNYCQCRLDYVFDVHGVLMSPYQENLYIYFCVVAFAIVVALFV AIFLIIRRYQLKKEKIDHIRKSRRTLSY >CAK81313 pep:novel supercontig:GCA_000165425.1:CT868396:353562:362655:-1 gene:GSPATT00016155001 transcript:CAK81313 MNMSLSFVAIQSCKKGTEHIKNAFLKIGFRTLKQNTLHQGEINRSPTLIQATSQYLGNKN GQEAQTQQREDFEQETKKQKQLTFLQTKVRQSTQPQQDIMRQQEKDEILDNEISDNQSVQ KEIIKSKKKSLVQNKGPEKEIQGHRQSEHPYLKFGDVFVIKTNVAGTAIGGKQSETQIHE LIIIGNLQYSGSINCVNMTNQVINNPYSHQQKCFFTIRNPRTIMSDQSYVCYGQRIVLVH SKSEQSVMINLDVPSQERGSFQVNLQSQIGHQNILRIMPYSSANKIGDKIQYNDQLLFQQ DSNRQYYLKLEKDESRYSSNKFIDINASDRPDCFHLHKVKSHQNNIIQIINQQYMIAIGT SEKQLQQFIINKNAIINNFNNDQQQQQQETFSLRTLDLNKYLMQDYEVQSSNLLNSYTYF EIQPLFPFTEQQAGPNACKLKSLFTQQYLSVDPNDEKRLKLTAGYMQDDYSIFYFNPEST EQQESNEQIVSIQSSLGTYLMLTQNGKFQFQPLPNDQTPQLFSIKQIDPASSVILEDLNE IHMRILNFHVYLQQWSILSDKKKQNEDIFVFDYLKSLSLKGQLEQEVYHLQLQLEALNNH LSNKANQYEMNNNVQTSYQQKQKLLREQKILELLFSLLKLIDNIVFTQQKVFREKEQSRL YKTERRLPSQQTASYVAFKALASIPVHIYKIILLSIKNNQSNRKIALDNDEFLCKQIRSY GPHVSAILREAVKDQPNIDLTDWVRLLEPLEEVGNNIYDMTIYLKVISIAMVDSSNQGIF RYQNKVCKELFSQGKNGKTKFLCQFNVLLDKPTFNLYREEPLADFLLKNPSLNQLHLIRP SENNEMELFSLEELGEKILVKKSLLQFLSYLTASVNLLAQLCKGRNKKCMKKVQFLGITS NHIQNVIKSTQIPLSMKNSYLKLYEVLYIDIDPFLPIYSQTCFIWGQLQMEKDKKIAQIF NHYVEAVEVGKLTKEFEEKMLPLLLELLTQGNSFQEGLIKVKKKKMHIRLPSLSGNQVQT EKVEQKSKISQKIKFLTTLYHLVYKIIQLGYLTEEQICSIVKPMLQIFVPFLSQREKQAL EVKENWLNELIASAKSLQQQSLVDLIDELFSNAGLLMKQYFTIQLNVQIQEFLRHFQRSY QTYCLQSLEKLIAEVREIIMRMQLGQNKNLVLGYLLGFYCSNYLFQSKGFRLCQEALNQG VNQQWISQLRQAEIILDGDELELYQQLTGNSLVGQIFSPIRLEVQIQQLEDSQKKNSEGG GKQKDEKNWEIDNQLNKLINRIKEIKMDSFKLTKTQNILRNLGVHKQILRLIKAQREQSD TQQISIKFLCLFLLNNKLNCSEIQEDLFTIIQLASSNLKVSKLIGVFCQSLNDQWSVLQQ LIKSIFATMHEHKGTCQQFYKALLSILQNRQLSFDRMNALKREILSNLFKIPSYINDLQY YKQLNDVKNKKEEQLFYGMEFQIFSASNALTAQVIKDYTLGIQQIQGILFTEQINQMIHN PAISYLIKSELIKLVYITQIQQIPTFTMSDMRELLIMLLNDLLAYPKYLDGLLKQCETNT EEDKEKITKDKLQVDMIKQKMFQDTEEKKKNLLATTPGMPNQTNAAQQQVQQMNEQKRIV FDNTEYWKYFLKISNWQNIQFGVLMLLQQLFLEVQYRKWNISETQSNQQILQIEQMNQIT EDSLYEPLALIKLILENIRQTLNLIENTLQYPKYRVYLAQLQHYISKCLMQCPLESNLKN FKTIKYAQEYKTQRAIKTQIKEYKQKALPKPSQEELKQNLNQFYEKLRCYMMEKHLTINE MMDKIRGEFQFHTELLISEGQYSVNTPDKLIGKDKFCNLFNQILEEHFQQLIQIKLSKTL PDISQYLTYEDASKYYESYMQLRDSTKNLLDINKFFQKLMNYFDSQKIKYNKVELKELQK KKIQQKNYQQESLFIQQTYGQFIYQYELLINPDNPYNFYRLDKALFNDIQEQFLLKLSND DEVIYIFSRLLKEEAIQQKQLALNIIYECLQREQSIIEKDRLLHFCIKQIPFLMMYLEES DNEYTIKSIKKVKKTLFIFEQIQRMNKQQLATVIQNDCWLQFNKFISRTFNQVDRLFSLE QHIKQSASSNDNRLFISKTDLSNEKNQLLGQFFKIIASILRLIGKLIQCTDVTSQFGDSA NQSSLTEEVLYFTTNYFNYINRSQLTHICTETDILTLYTESFRCLNNFCEGNPQNQNLIF NHKPLIQIIQFVITTPKYDILADNLQDNNYRTLFKATIKLLLLVQLDLHQSYTELNFQIL FEKLLTIYDTFAPRLQIIIQGQKCTHVDNINCTYLKCQYDLIAPGEFEVFTICFDLIILF NILCEKIDKVGKGEIKRDYWQALKDRVIQDQIHDLTLNQKQNINFLRIYLSMKQFVKQQP FDDKRRELLREYLQSNDSVITSLEIKEHKQKQKINVKKKSTSVKSPIILNKQQSLLGDMA SPKSKLKESIYKITNKKLQVKQTLEEAIPFKKFEEQDMVIETHGKRGSKMEQKLEEIAIN WLEGAQQNNQRDIIKQNMCMKMAEAINFFSKYVGQIQIKRNDETKLQYFVLPFQSSFLLK QTTKKAKMLRMRRGRYEQLKTMSDYFQKEVIFRQHISMYPKLNWFSINIHLIKSFNYLLV LTLNICYLADLERQSESYSFKNQIVQIIVIILTIILVLLSLFVLIIEIINQQPYIAFRVN FYREQNYEDDSLGFKGSDNLNYIYQNLQRLKKTNQKKKIKMHQYFTQNRILAQITNVTFL YHLCYLVLAALSFLASPFISLLLFDVVPRVKGLKKVLESVFVNIGKIILILYLALVVIHC YAFLAFLVEDISVFQEDQSMELPFITIFLRFFGNGFRLQNAFSQVDVYPDQQWGNYIFLV SFFLVVFIICFALIISIITGQYSHKNLLSKNQKNKSCKICNISYYQCREKNISWQEHIEK VHKLSAYLFFIICLQQKQELNYVEREIAKKLLEKDMSWLPTN >CAK81314 pep:novel supercontig:GCA_000165425.1:CT868396:363330:367525:1 gene:GSPATT00016156001 transcript:CAK81314 MKTDGAAENQALTNGNGANAQPQQEPMVPYFELLRYASPKDKVLMFIGGFAAFCNGAAFP SFSIIFGDMTDSFSEAGDEMVRQAGLNAMQIYRKTQYRYFVIVAVGTMLMSFIMFATWMI TGENQSIEFRKRYFSAILRQEIGWFDTINPNELNSKVANETFAVQGAIGEKVPTFIMTFS MSFFGFLIGYIYGWQLALVVTATLPAISIATAIFAVIIQTSENATQKAYSDAGALAEQAI NAIKTVKMLDGEDFEVEKYKKHLLDATATTISYQFGVGLAFGFLWAAMLWAYALGFWYGA KLISDQTINDNMGAVYTVGDVMIIFFAILTGGFSLGQAGPCVQNFAKGRQAAVKMFAILD RNPKIVNPLNPIKLTSFNGTILLRNIQFSYPNRPDQKILKGLNLNIPAGKKVALVGESGC GKSTVMQLIERFYDCEEGEVLFGGADGINVKDLDLLDLRSRIGLVGQEPVLFATSIRENL LYGKTDATETEMIDALKKANAWDFVSKMDKGLETYVGIGGGQLSGGQKQRIAIARAILKR PQILLLDEATSALDRTNERLIQETLDEVSKGITTIVIAHRLSTIQNADLIYVIDKGLVVE MGTHQELMNMHGKYEILAKNQIQAQNQEKDSGSFSSNPSQKNLDDQQVGSQRSVKLKMNM TDQQNIVVAVKQEIDRFQDLGVPELVKKVSGQVHHHHHHHHHHKKNETDLETQPLPKKDE SKQEKQEVDAQMGRLFTYNQDEKPQFIIGIIAALANGCTFPVFSLFLAEMITVLVESNPS FADYQCSITYDNPTTEMCQLLKDDLKDEVKTKADRLALWFFLIGVAAQILWTFQMYFLAY VGEKLTCKLRLDTYRKLLRMPIPYFDIPKNNAGTLTSRLSVDCKLINGLTSSILGINISN VGALICGLVISFVASWQMTLIMLGLAPLSYVGGILQAKFLQGFSDLTDEAYKDSGNLIME AVTNIRTVVSFGNEEIILGIYSKKVQLPLMKAKERGIYAGLAFGFSQMQMFIINAIVFYV GAILCRDGVITIEGMFKSILAITFATMSAGNNAAFAGDIGAAKNASRNIFEILDSEDEFQ REERLKKQKITKPMQGDIHFNNLTFKYVGRDKNVFENLSLTVKQGQKVAFVGPSGCGKST LMQMLMRFYEPDQGVITINGIDITDYDIRYIRRQFGIVSQEPVLFNGTIKENIQYNLPAI TGEQIENAAKKANAYDFIIKNQFEETQVEQKGNEKQRGQGFDRQVGPKGAQISGGQKQRI AIARAILRDSNLLLLDEATSALDAASEQLVQDSLNKLMEGKTTVAIAHRISTIKDSDVIY VFEDGKIVEEGSYQTLVGLKGAFYRLEQGIAK >CAK81315 pep:novel supercontig:GCA_000165425.1:CT868396:367554:368865:-1 gene:GSPATT00016157001 transcript:CAK81315 MYVLALQQLEQISFDQSEIKDESFLDQSDLQFSIPNSPKIVTPNTKFLNQINDRNEMKIA QQQSISSQSKQNQFSKPFQQDPKRKDFEISTFNEELFFGNQIARPLQVSPNNESKQVITD YREPQVQIYGLNYALKVEEEAEKIIEHLIDEASNSLNKIELVQQYAYQTNAGILTNLGVI NNFINLMCFAVLESDQPEFLKRMNTPYGRKPLNRLRQLHAQDDCEGHQEVSPLSQIKQIL ETQNQYEKIHNQAIFDAFNEALNIQRPFYQDEGVPYPWCVETNISQRLCYGIEDIPNILE RARQKVFDWSKTLCGLLSDEIPVISVRQLNYEQLIIMQECQGNDSLAYINEERIQNCLSI ELQEGERKWFQMAQEYSEVLMEIADYVFEELTEEMVVEVLM >CAK81316 pep:novel supercontig:GCA_000165425.1:CT868396:368974:370767:-1 gene:GSPATT00016158001 transcript:CAK81316 MNEFNDFKQLRQNYSNALEKNIQKLQGRLKALNNNIINSTSAQKITMTKNPSEVKSLRSS CLFPDNNLASPTDRFENSQVFANYNRYSSLDVPQASQGAQKSKQSPDVFENIFLKQSYIP YEQKQIKQASKTKQKNTQSNTLKITKKVDKNPLSNQMNSQSLQNIKKKDDISQDKTLKRN PEKKKALIPSAAQSKTKNTSRSPQKQRVKQNKQQTPQQNQQKPLLNDILKKFVSSKTSLS RTISKSKSKSKSKSKSKSPEKESTSFKKKLKSRSREQAIHHYNEQITSQFSPIQEKFQMR NIDYSPSLLRQVRTLSKKKGISKSKQSLQQQQQQVTNPSPNKVSEIHTLRLKHLLREKSS KQDKKARNQIRTTESPIQIRDYKIDVPQIKSLEDQPKDDSKPSSVRLNTLSIQRSDEKDR SVLMNIFNELKNLSEDQIQQFQQILKQIRVERKDEELQTSISYINQVVENQKANNQANQK NNILESHLKMALEEFPKKSHASSPMSNSSFIFKKEFLTNSQIEDICQQREKLEYKIEGEQ SDVGILEFVAVVKNISEIVPSQQIIGLIVVFKAGRLIVEIQE >CAK81317 pep:novel supercontig:GCA_000165425.1:CT868396:371168:372103:1 gene:GSPATT00016159001 transcript:CAK81317 MDNQDNKNCQDNQCCDKQSCCQEEQVQQKQQCCTSNSCESEEQVKNNVKQRYGEICEQTK QINAASFCGVGGCGSSSGCGTIDYAVFAENYEKLSGYVPEADLGLGCGIPTEFAQLKKGD IVLDLGSGAGNDCFIARALVGESGKVIGVDMTPNMIRKAQLNSDKYNFRNVEFRYGDISD LPILDNFVDVAISNCVINLVPDKRKVFQEIKRVLKSNGHFSISDVLTTGDLPLSIRKASE LHVGCVSGALRKDIQLKILEELGFIDIQIKKEKVIDFPDELMLKYVSQEELKEFQNSGIK IISATIYAKKQ >CAK81318 pep:novel supercontig:GCA_000165425.1:CT868396:372160:373859:-1 gene:GSPATT00016160001 transcript:CAK81318 MLQLSMQIRNSKVETRKSYQQKRFQLKSSTIKKILFLVYFVGVFQFENKQLIVDLMNISL LMIQIWRHNTKIQISNIDRFDLTICFCALISRQTIPQLQSIILSLCFFSFKNSLSTILKS VKLLKKIKNLSITIIFIILLTSYLFTYLYHSYEQTFTLFGTFSNTCITMLEILTLDSWGS RGRILEQQVGYTGIPSIIICAYVFLMNYFYMNILIGLILETLQLEQNQQLVQVNFLDEHE IKEQKINKKLSDNTHICNLMLGQFYQQIVMVFSIVSVIFNIYNNFVIVDTMGKLFDALDD ILYSIHFIFLLFLDKPRISYERSKWERMFLHFIAGPLALFLSHDLACLCNLCKLATTPIV RGIFSGTISIFPILFPIITVLLAIILLMAVYTSRFYSSFIGFKGETYYSSLQQAFYTFVQ LLTLDDWDANVLPVFESQQIIMPYILFPCFIIISNVILLNILIACSCKYFKEIDYSINCQ SLNEAHPDNLLVKLNKVAELQIPTIICNAPITIQESHISQREGLVQICYNNKIINALLVK Q >CAK81319 pep:novel supercontig:GCA_000165425.1:CT868396:373988:374517:-1 gene:GSPATT00016161001 transcript:CAK81319 MRLFLLVGCILSFGFSNLIKSGLGIIWEPNREAVIIQMKEMQIYYRQFPNYDVAMEKQRL INAYIQLLQEKQEEIVNAHQIKSFINYFEIQFLEIHNKYTENQIPDDMYRPPEWEFDYQY YLQFIYWPIGFVLGLFLINLIYYYVADNCLKKKTE >CAK81320 pep:novel supercontig:GCA_000165425.1:CT868396:374990:375454:-1 gene:GSPATT00016162001 transcript:CAK81320 MRSSFTSAHSKGLISKKVSAPTSEITAEFLKRQKEYESINKYSQQEESNDNMKASLHKSN YHVLKDAVNDAKQKFFESTPQRKNFMNSTIPSYQQQYNSIQYIANQFRTQKKSNCFTQNE KKQQQKQWNLRLILLIVIPLICLIRVFFALFVFE >CAK81321 pep:novel supercontig:GCA_000165425.1:CT868396:375637:377402:-1 gene:GSPATT00016163001 transcript:CAK81321 MNQPFTITNHFFIDKTNKSTSFWDKDFRKLLQNERDFNLNNEHCICLYKKGKFLNQFIQR YYTIQGAYLFYGYNDLKGFRKLENVYMRTAVDSSTYPYQIQLIHNQSQVILYSNSEQNFN QIKNQLEQYCILIGFHSQYTIINQIGFGSTAQVYISKSQANGQCYAIKRIRKNSKLNPQF LMEEIQIMNELSHPNIIKLYKVFETNKHINMVLELVEGGELLKSHRYNLRDARMIFKQLA TCVDYMHQKGIMHRDLKPQNILCKTNSIEIVLADFGLATWIKDKQHIYYRCGTTGYVAPE ILRYKEGTKMYTEKCDIFSLGVILYQLIYNIHPFKDIDKTIVLQKNLNVEFKFDDSIKVP QSCKDLITLMLKQSPKQRPSAGEILRHDFFNEQLYEQSNSNLTMIIQDTYSDIKRSGPSL SFQNVDMKFSTINNGFKLFEDEVDDKIEQQQGTDFLELSPIWTKRIDLHRCTQNQQQPIS MVFQRQYSQDMKGKKSSIFRSELKQKSIQMLQPSLEKSQLDFQDYHQIPYVNHNNMLLVH MK >CAK81322 pep:novel supercontig:GCA_000165425.1:CT868396:377505:377948:-1 gene:GSPATT00016164001 transcript:CAK81322 MSQKKQEISTWILAHTQLAQALEEAWQEFCKQKSQEDDCNDEQEIKRQQYEKEKNIGQIV QEVWEANLDKLKQNQKQNKSSRNNLFDAECTSYNIHQEKNLGLKDITRWRLSLRDCKLEQ TKIGNGVVEIQALEFNEKDQKKKKNKK >CAK81323 pep:novel supercontig:GCA_000165425.1:CT868396:378179:380398:1 gene:GSPATT00016165001 transcript:CAK81323 MNPKSHEVIYDTLSLLNPITKLKYINPTLTKDCLHKNACFERDEAVKGIENNIYYCPHCS KPATSLNDLVADWRVNAYKEFNEYAEDVTVISGVVVNKYTRNKKRYLEFFTQTEYMNQFK QMFERASKDSSISSLIQKELESKQQNLNNQQKINFWSFCLQDRVKINIPVRIIGCKHYEC YEITSLLSYQDQNKLKKEFLECNQPGCSNRLKIAHRDYTKQSNTPKSPELDEQEKIFDVQ TLFSGICVDKDLLTAIKKSNPSSYKFYYNYIDKIIQEDIRIVNGKQADPFIEKIYQQHPD LQSKVSFEDFQKQIQQQAIAITQGDLLQETKELNKQVALYKYRNYTVNMKDKLTQLTIEY PIRCRLCKDLKVCMDMRSFIAQVNYKKKMFPKQGYTCPLCNSQLNQNITSMNISKQIYLD SNMLSYMFKDMSYTNGTQNFEYKGEQYMLQEFLDRQKIKRENYIAKLTDRKVLFKQLFCI VNKDKRIQKPLILQNCPVKNIVDFTSFYEELKKIDFDYEKEDLILCKCSVCSFIPIKTFV GNIYFHEAFYEALNKLSKIEDLKNCTEFSYQFEDTELESKVLNNRAATTNSKIKVVLPPT GVRDASNLQNVTGEEGFLDLMDDEEYQSIFRKTEIQGFEFRTQGLKQNIGGIEIDYQQQG IKANVKEAVEKINQNANQDLKRFQFNVVGMSVELKGDILRQNKGEFSYNIKQ >CAK81324 pep:novel supercontig:GCA_000165425.1:CT868396:380846:381472:-1 gene:GSPATT00016166001 transcript:CAK81324 MSKKPQYDLMCKFLIIGSAQVGKTNLLTRFIDDVYSESHIATVGVDFKFKEMKVNGKIMK MQIWDTAGQEKFRTLTQNYYKYAHGVLLVYAVDDIQSFKEITFWMNSLKQNGKTDLAIVL IANKCDLEEQRVISKQQGEDLANQLGIEYFETSAKIGKNVYEAFQRLADLAESQNNQKIT LPNLTEDNSYKINLTSNTRNKKKGQECC >CAK81325 pep:novel supercontig:GCA_000165425.1:CT868396:381605:386640:1 gene:GSPATT00016167001 transcript:CAK81325 MKIKQDKLKVSIPLKEQFINSILQLYYYAPMNKSVLFAFYILGLLQPLLFQNFPLNSFTQ MDGQIITPINQFWYIEVVARPDFIIQGYITKYCYLISMIFSILFIFIPLLLNISNKQSSN NKRMINRLSLYMHLSSNLFQLQPIFLQIPLQCLCFQSLSYNLSTNGIIELSYLHITLITM TLLFLYTINFLIILLCKETIDFNLNCFSTLKLSFGDFIIWILNIFQIIIYYNLTKFNEVL QGIILFAIALIMLYNIFSFLTCLKKVILTTLMVISYQSIQSLLILLNFQSDINTNINIII YILVPLLTQILYDLFVYKDQRMMQKTQKFSISNCKYILGKFFNDEFISFSQSILTYSKII SEYQHKKQYIEPQLSLPLTYINKEYLPKYLSNFYYKSLMKKYIRELQRVDSKNRNANHYV HYVSLLYRIGLNNLALKQINILLFNITMRTNSRSQVKEKISEQVKSYNTINLGRQSMSKS SKSQDVQEAGQLQINKLNKMLAFTGTHLLNFYQKVRLMILREKVRDKLKLSFQYKEMIGD TYSLQMAIDIFLKSEKRNQNLKEQVQMLVNAKLGFFLQLQQVKHIRSTQLFQVSKSLSKK IETLENKLIKLYKYFPSQRIQSLHTYFQGELLENYLYAHKITCNSSISDEKLINVHSNAQ EKHALFNKDLIYMNVKLFEDTQELQIQNITPQICKFFLKDYDDLKNNSNIDYLLPDGIIN EHQLLVQRFLQTSQSRYYLNKNLSFFKLHKIIIQPFEFFFEVNFSDITQIQFLVFLSESF QTSAYIFVDVNQQVGGITQNLLDKLGYSNYYIENIRYKLLLNTPIEYLIPCFQQLITQKD ILNLADFKFIKQPLLINPLIDDKSRNNKQDFSEWQLEENLMYCDCLMSIHIRDLYGYQYY IVEIKELKVNDSYFDNEIENNSLDQAFTPVSEQEGLANPPGILQIEREKFEPFRRNDHQI ETKQIKDKSQQFYHPDQQKYEVNLMSPVDLSANPTPLNSANPLLKQQEFYSQIGQNPEGI SYSSKNQFGRAVDMDDLEQVKKPQFENKGDDTNSSQVGWAKQSQFYKKYEMIQQILRPHI PKQLRIFTILLVVYFMISCIHYIIIITKNQSDLNQFISEIDMIELHSSFMAPHDIFVAMR VAILSYNAYVSTGALTQPQANALTKPFYDNIQIGFNEIKEVIIKQLNNQNLQPFFENKTI GMIFMGANTSDVYNQTMNIREALQQILQNLHQFKYRYENRMSAAGSAIQVFGVANQFFLH YWLEQLTLEIMDYSTNRSNKIESDWTMIWAIFVVITLLVTLCIVHYFRLFNQRQDMYLGV FKFCNLSRLQYEIDRYKLIQKQLSKNPDQIFLYKFDLSQKEQQLLIQQKILDQLNRKNKD QQRNSQLQYEPLSIIMSVSLILGVWIIFLGLSVIIFQGELSFLKKYPDTVNIYKLIQDMT YSSATLYQNRDYHFIFPNFTYLTEFDSKQIFSLIDQGIENIVKFNLLTQEFDSSKYQVNN DFVSFFNQVQKQSVCDILSEEQLGFLVDFCPISIQGNYLKGVVAALNFIKNQIQTQIVVN KFSTRVEYPIYDNEAGIIMVRVFQMMTSKLKSSMLGVTTELQTVSIVLSAIYLIFAVLSI SVTFLGLKKYLETEFNTIKRFVQLIPSSITMLDDQFERYVRALLVEEIS >CAK81326 pep:novel supercontig:GCA_000165425.1:CT868396:386716:388357:-1 gene:GSPATT00016168001 transcript:CAK81326 MKTNSVHSIIVDKQPKYSHILNAQLFSGYLVRGKDHSKKLSNQLSPSDDQKLSSNLSTSQ SYVNAMKALQDKIKSLETENQNLQTQINSHEFIKSSEIQERQVSSHGKLSENQQIRDVEQ KLAEIEIEKDSMKEEYEQKIQQLQQNLINIALQSDQRYREQQETIQQLQFIYLFTHRSDQ LELQLESNQNYRNKINSQNQAIQQEKQNNSNLEYILDQERRDGKCLIEKNERLLDEVSKL KEQLFEIRTYMNCYTTQYDEAKIQRLQEETTKYQIQIQELTGQVEQYKELNLKLKLELEH LKYELEKSEFKRVKKLSESHIQIDLLKQQLINLSSNSIIHTQSPRDTSKRSKLSTNIFNN KTQSVRNYRRINNDSNKKILDQPRNSMFNIQQELFKQPQNDLQSSAFSRQKTQEYNFLTQ QKSDDRYNKGNSADERVQTDQLSANKQQKYFQAQQRIHHLNEQLKILNMKYEDIENEISQ QIDLKIKQEKRQTLLQIINQIQEINKELNDLVTISKLAQQL >CAK81327 pep:novel supercontig:GCA_000165425.1:CT868396:388413:389262:-1 gene:GSPATT00016169001 transcript:CAK81327 MILKHYSVKINNLIQNDKVHYQIIVTNVNNPADTRTTMNRYSELKDLHEQLIKNINLLKL QLQLPEFPKRSLFSKTNKNQEKIIQRQQELELYFNQLFSIDKVLSLPPVQSYLPIETPFN QQMKITVSIESYTVYDDVVIYSMRFKNKITKEEWIYKQRYSEIKNIHDALVEQGYKGKLP PFPTRKLFGQTNENPETIEKRREDLEVYLNAIFSTQEIYDNEIIQFLISDSKKYFETNKK QEEQKKAQA >CAK81328 pep:novel supercontig:GCA_000165425.1:CT868396:389337:392368:1 gene:GSPATT00016170001 transcript:CAK81328 MDLFGVFETNANADGIQVENQVEEEVSMNVEAQEKVEAFKIIKNQENEGCIHEWYTPEDY QPIQEKKQNAKQYKFTLDPFQKVAVKTLESNESVLVAAHTSAGKTAVAEYAIAMAKRDKQ RVVYTSPIKALSNQKYRELQQEFGDVGLVTGDVTLNENAFCLVMTTEILRSMLYRGSEIV REVAWVIMDEVHYMRDRERGVVWEETIILLNQNVRFVFLSATIPNASEFAEWVCRIKRQP CHVVYTDYRPTPLQHYLFPSGAEGIYLVVDETGKFKEDKFQEAVAKLEENVENTRKRKAT EGSDLFKLMKMIQERELAPAIVFSFSKREVEGYAIGMQKLDLTTPKEKENIETIYKNAMN CLSEEDRQLPQIQLMLPILKKGIGIHHGGLLPIVKEIIEILFQEGYLKALFSTETFSMGL NMPSRTVVFTSVRKFDGEQFRWIQGGEYIQMSGRAGRRGIDDKGVCILMCDEKMDQEVAK SMLKGKSDCLNSSFRLSYNMLINSMRMEDTDPEFIIKKSFHQFQNDRQLPEMKEKLSDFK QKRDQIQIENEDKLGNYHDLISQSTHIYNKIRKIIYQPQIVLPFMHIGRIIRIKGSDGDW GWGIQINFMQKKFGNKKNKDQEQSIILDVMLYTYLDNIKNEPLQPQLSYDQEGELEIIST IKLNLPKDLRTNESKQQIKQTMIKLLKEFKGQPPLIHPIKDMKINDDQLDQLLEQRQSLL EQVEQVKKDLNNQNLEQELSIYDEKIKLGQTIKLLNKQIEESSQMVLSGDLKRMKRILRR LQYISKDEIVQMKGKVACEISAGDEIMLTELLVSGLFNDLSSEEICAVLSVFVHDENNSE KFQLKNDKMQQLYTKVLDQAKYLYTVYTESKMNIDEKEYLATFKSQMMEVTLAWCQGQSF LQVCKMTDLFEGSIIRCLRRLDELIKQLEEAAKVIGNKELENKFKESSKKLKKGIVFAAS LYL >CAK81329 pep:novel supercontig:GCA_000165425.1:CT868396:392397:392860:1 gene:GSPATT00016171001 transcript:CAK81329 MSDKNCFEPICEKEDILNLKSKKRSKLQFEEDSSTQECPLKRNIYGNYTWNMLHTTAIYY PDEPTQEQQQKMRNFFDAIAEFYACKHCKAHFQKDILKNPPQVTSRKDLSIWLCQRHNDV NQLLGKQQFDCSFENLEKRWRTGCQ >CAK81330 pep:novel supercontig:GCA_000165425.1:CT868396:392938:393327:1 gene:GSPATT00016172001 transcript:CAK81330 MNEQKLREMMKIYTLSKQVNKKAEQNFIKFSTQYYKLHKNDMTPDLLDEVNSLRTQIKSD RVAFSNQNSPLVSPRLTTDPLFNCKKGFKFIIVKEEKPKLNSIYSDDKHQQIFKLYMEEI SDLKSCNSC >CAK81331 pep:novel supercontig:GCA_000165425.1:CT868396:393484:393669:1 gene:GSPATT00016173001 transcript:CAK81331 MKSNLLQKSTVQMLNCSFDQRKQTQSSDLNNEQSRELFKTEIYRALEKYNNNKQEQSKEY K >CAK81332 pep:novel supercontig:GCA_000165425.1:CT868396:394958:395236:1 gene:GSPATT00016174001 transcript:CAK81332 MTGFQQLKQFIQFSKQSCKKHLKQGNKKLNTNGQGYIKNLNWFLYMVSPGYLELIFTKWK QFKCQLPLLNSECNSDMQKFLEDVCDQDIIQQ >CAK81333 pep:novel supercontig:GCA_000165425.1:CT868396:395280:395877:-1 gene:GSPATT00016175001 transcript:CAK81333 MQTRMAKRLQKDLEQMQKSYTDQFNVRMPNNDIKHWIVAFEGAKGTLYQGEKFELQFKFS NEYVEPIESPEVIFIGKPPEHEHIYSNGFICLSILFDEWSAALTVSSVCLSIQSMLSSAT KKMKPPNDAEFVKRAAGRGPKSFLWSYHDEKC >CAK81334 pep:novel supercontig:GCA_000165425.1:CT868396:396751:397718:1 gene:GSPATT00016176001 transcript:CAK81334 MYSFLTNQLIFDLQLTQQNNYWNMYQNMQQIYYPYVYGYPYVNQPQIYHQLQEQFPVQPE QGNKSYNNLAQLKEDASTCQGTRRSLLEMKDVVPVVSSETLEKYILLIVNEDDAVNQIIS SLKNSGQFSLSNVLEILSQKQKQQHKSREELIKFCLRKAFKFIFRKVQEENDKSKTNLKS AQKIFIEVIEQETKKSIILPFRKNSKNKTMNTDFLKEIFSSPTFVRYYEQYLSCLDEQIQ KDTRKKIAALCNKIQNKISDDKIFSFEVKRLPWTLSNIEKVKLTAKEMLLYSKNQYN >CAK81335 pep:novel supercontig:GCA_000165425.1:CT868396:398041:399362:-1 gene:GSPATT00016177001 transcript:CAK81335 MIDIDQIFLFLKYSEPRLISLNLIFLTTIYQMVDLKYNYRIAKINSKFVLLVSQLVFQHI QENNPHLFTMNTCLNIHSSCLLHTIDNIYLYDSIFALYLTVDSVYKPKYMIFYTLLTSTL YIQTKACVSIPLTCASILDVDLCTTVKDYNFNNICQLSQTTSGCENIPHTQIPCDQYYNE YSCKHQAYKCMWDGFEVGHSFYSEISESENTDGKCIDFTCQYFNNRISCGPYADVSCDWQ NDECVEVTKCEDFKTISGCIKSRLQYKCAALVDGKVVDQSKRVVPDEINLQCLIEDCKHK LKMADCKFVNGVQCIWRNNACSKCSDYLTYDSCVKNQGQCYWKMNQCKNIECQLLSNPTM CNIKKEQCRWNQQKFECELNLEKADSHCYEEYSSTQSKAFKKNIIYQ >CAK81336 pep:novel supercontig:GCA_000165425.1:CT868396:400701:403710:1 gene:GSPATT00016178001 transcript:CAK81336 MSGQPPFKQRSILIFSFLNMSNFNMLNPQNTQISQAYEKAIKDAVNSVHLQKLGKKQLQQ IAKELNIPEKKKKEEIIAQIPKQLLQYLIQVDPSRPVEQLLQHQPNLQNNESIMAILKKF QGSIINKTQSFQQQPQMQRAIPQLLQNPYLNYMVLPQAQQTQQQMLPQYPQLMNINLYQQ HLRQNPPQQFFYQYNNQWNDPLPQTEMQQLLMCPCKIIPAKRITNEEVKCINCDNKLHIS CLKLQPNDVKMFECPVCILSKIDPLNQIIKVLAKPTLMNTTQSTLNFMLTTEEYQQYFNL YKHSQLQDRSFQYQVELRSIRLDAKYINEITWPDFCEISINQQRVSEFKPLKSNSSLKKR KDEIQIIPLQQNNLAFSIKSGYNQIIIKEVQNCQEPKTQFKLCEEYNHILILSGVYAQAI YLTKKRPHQELINQIKQNKECIKTKEECIQLIQKACIAEKTDNDVQIDKITIKVSLKCQF DYQMIQTPARGRFCTHVQCFSLENTITINAGTSRKWKCPVCKKKIFEIIIDSYQFQLLDQ YRNNKENIKEVVFDQNGEIVQEIKEEFEESEEEQISANKQNQLEEQQKQPLLQKPDPSFL SRLMLFNPAATFMQIQLCKKQIDQINVLQQQQQQLSQQQIQQKEKNENVNSSQNKAQLKQ FVESNLSNIEGKQNSLQSQLSQQTITKPKFIKFKKFETWLDEVSQQEIAQKNLEKQINDQ LNEEQNLEQKQSQQQQDEQQQQQQQQQQQQQQQQALHQENDKPIQKSNRLKERMVRVIKK QFSEFIRKNFANNMNATNENNPKNPQQTQQQQLHQSATKKYIYKLSEEKPKINTSSQFTK MLADQIIMQNPGQTEKQHDDLKTFSEEQLKSLQAFQGSVFQQQLLNSKQQDQNILIQKAL SNQNPPIIVHQQQQLQQLQHYQQQQILQQKLKEKPLQKIKSPQPSSGQEGKNGKDMENPI CID >CAK81337 pep:novel supercontig:GCA_000165425.1:CT868396:403755:405291:-1 gene:GSPATT00016179001 transcript:CAK81337 MNSFTDVFNEFSQQHNQQFKLRDLLAFLDSKCQNGYFDRDVFQQLIDQIPGAQSQQQCTI NQLIYVMKKAYEVLNDKINKGQQIIDQRNIELRAYQDKLRQSQTSSLTNTNKLNIEILDA EIFYQGSGQLQVSVECMTTVNYPVDKNLNGMNALNCIQSKIFSNANESAILKFILLDTEL LQKRGLGGVAYLDINTFNDQMLHDIHVNLTDESNSVIRAKLHIKVQWIHSKNKYLIDLIN ENTVQINLLEQELNDHVIDLEIIAQPFRQELKVPNVGISTYQSQPNQQQQLLYQSQNGAQ SIDERQIDRLVQISLLLTILYLIIGLLNSIYRTIYLDYIVIFYCFLFYQKNFRLQSLLHI KIIMAMLIVALIQDIVWLAIYSTPYLGEFNPHYDHLEYGIQKYQVILSGLLLLCKIIVLI FYVHIYSTYPDKQTQIYDQQWQSIFGLKQGREINVYRNYY >CAK81338 pep:novel supercontig:GCA_000165425.1:CT868396:405325:406503:-1 gene:GSPATT00016180001 transcript:CAK81338 MNSIERAKELLQNIKSKSRSNFEKVAQIEFKQLNFQQPSLIQNKSENLNSISINDKQYPY QTIIQQQKRLASQFSDLMKQLYDVEQLFRNGLEENCLVQGLIGLQNLGTYMIKEFEILKK LQKPKQNAQTTPVNKECMELQKIYDEKMRIELIQILLFIKQKVQSEKIKHMKEAIYNNDI LIYDYGNIKKINQINQKLQIDGPIIQNQLQDRLINIKQMLKQKYQLLLREKQQLIDKSQQ QELIQHAFTLQNLQKIEQRASTVKQFIAEEYQILNQSLQQIKLIGIDFIYNTINENKNII EYEKQQTKPLTYLESNSELGQIVKSQNNIIDIEKLIKQIKTWCKHSKIIQYYDDCSSYNL VQQCFDQLKGKKTFKIKELDELRQLFMQIQKL >CAK81339 pep:novel supercontig:GCA_000165425.1:CT868396:406709:407186:-1 gene:GSPATT00016181001 transcript:CAK81339 MSYQPKGLNAIRLSQINQTILKTRKSQEHPCDLSSEYQSRNNSIKHTRAITQHNQRFMNE DEIQKMQRPQTSEGSRRNRILEKHGQNGNLNSNLKCDILECDNEEDHLDYQQQSKYNVIC NSNNTTRSTQPKAPFQTTLDQDFLSLFAND >CAK81340 pep:novel supercontig:GCA_000165425.1:CT868396:407202:409974:-1 gene:GSPATT00016182001 transcript:CAK81340 MNSELHSNRELLQGMKKSDSMRSQRQLKSCPAYFKTLQFSKYQNIQTSEQNQQFSKANPL NVFIRIIKFITLITQSIFPQDFKYLDANMFKIIGDKAADFSFYVKQDYFKYIVNIIQSQK AAPESRLQHILQITILKYYTIQSLFEYFSHSKFLFKPEQTSILIWNVYLLIMINFNFLYC SIKFSFDFDSREPENYKQSEIFFLIIPFISYLIDILVKLNSCYYEAGYLVTDRHKIIKNF YHSNECIIDSIIIVISLGYFFDSQGNNLLSLFMIIKILDVPKRVGLILDKLELTTNYWAV YDLVRLIYLIIIEAHTFCCFLYYVGKQNKDVSWIIKEDLVEANFITSYLTTFYWSVITMT TIGYGDFTPQNLNERVLIIFVAIVSCCTFGFFVSSIGQIIYSIQKKEQQIRLDLNDLKKY LRVRGFNSELQIKIRRYFEYLWNDCMGQDQFDMSKLQQLLPSNLYTEMILDLNLKSISKI PFFHDNFSSDFLQALAGDFEEEKLTPWQSIFTKGEQSQYLYILCEGEVEYYVSLPEGSGN CVSIQKMDGQDEIFGQQDFLLDQNYSINCRTTKPSRILKMHRDKFQQIAKKFGYEKYCQL KDLVKFSGRFDEFHIQCIGCNKSTHLLYNCPMLTGFPSRTKTLLKYKKKCCQERNPFHRT NLEKRISTLSVEGKIADSVLYYLLKDPKMSEEFNYQLKRNMASSQNGYLRKSQKKITNIP KRGVPLFLSQDQFQKTAETNFEENLGFQFSKGVFQQQKKMTPLDQELSLEESSKIIATVN KQFQTKGTLNLSIGKSNEVIQEVEKDYDSKEDKTLLIESKRDYQESMGRYKDIFESFEIQ RDYELYMPHMNFKLIQELFQRSREIASFHNFIDVNIVKRKWKRSKIVIVLES >CAK81341 pep:novel supercontig:GCA_000165425.1:CT868396:410728:415137:1 gene:GSPATT00016183001 transcript:CAK81341 MQQEQEYPKKTDIAPYLASNCVGYHKEYPKSLYKQVFEPDIIMKPNYKAIKIFDPQELVR HLQKHFPLIRKNIRQFDDQMKKLNQTFGHMIVNGFHIDDFLKKFFFVLDKRLHKFFDHLD QHVFKLDGKQVQVLIPGDYRIGDTRQHIRQKLIDMSNDLVTKFASRKTPQEYDREVIKKI DQILRVYELLKYDQGWHFIINITKFNLEDLLERVCNNELTQFQKIDELIESMFLISMKQI KDATEHENTSPLFVFNKDVVLSKFHQIINDRSDPQMSTDDLYYVSKTVCMRDRRIIYNEF YNQINKELKEIAPEYFQFATTEKSEYQKKREELHKKLLKTQNEWESLKEDENYYLIQEDR INEEDLRKVPLTSEQQLQQLQKLNAFANKASNLQYDAVTLFKDILDHKDESKVQIETKLQ EALEKAKQFYTKMTPGRTPSSNQIKQHVDSLYSELTNLLNSDISKFQGQMSQTDKSVEQQ LSRNKQNPYLQQVQKELQRQQHVRDHMQKEQENEMKRRSELVNAQADLMIRQQQQRELFK ENQSTRPTQPVNTGKIMEGSSITNELYYEKVVANYQKPELEGGKYETIINKNKAQKLHSL DRGVYVGSTPQHYVDEVMGQPLDPIKLREDNERRYNQQKKPDPGQQARKDPPRKPEPPRQ EPPKKTDPPPKEVQKQEPPKKPDPPPKEIQKPEPPKKSEPPPKEIQKPEPPKKSEPPPKE IQKQEPPKKPDPPPKEIQKPEPPKKPEPKQDPPKKPDPPHKEIQKPEPPKKPEPKQDPPK KPDPPQKEIQKPEPPKKPEPKQDPPKKPEPPQKEIQKPEPPKKPEPPQKEIQKPEPPKKP EPPQKEIQKPEPPKKSEPPQKEIQKPEPPKKPEPPQKEIQKPEPPKKSEPPPKEIQKPEP PKKPEPPLKDVQKSEPPKKTDPPQKKPEPHPEPQKIMPNNQKMIDPYAIDFPDDDDPYDG FDNEPITKGQQFIQQPLQRGLNQYEQPLKKPGSDINFNSEDEKENPEDMLGIQQLGDEGE EIDQILITPEILPQINKYTKGTKYGKNMPITMQEFNSFEYVIQLFEDFIIQDEAYFLDFD ETAQILFIFEKFISQEEDIQDDIEKLEQYLQGKFLEKTGRPNFGEQKNFFPFEDNPFSFY LVEKDPLSKQLILYYDEQPNEDQMGEEFGQFLGMFQQLFSCEEFEISQVILPIQSKNKLD SFGADGRYIKYMMTVFTLIYEQQTLQTEIIINDDTIARAFWALHQSTELYTLLQDNEEDV RATFFEMLEQAQKNDKTVLIATIEELYSKEGLENLQNQVQEIYDNFTQNKIIKQAFFSID IVIQEQQQKCCMYVHLQKVSQLNFLKIFTLQSYGEFNQQMLNFLLVDDGLFTQKVFIDFP RHNLFQEYSEVTLGAFYHLVVKKNLKPEEAMANLVFSLVPYNLILRKQE >CAK81342 pep:novel supercontig:GCA_000165425.1:CT868396:415792:419003:1 gene:GSPATT00016184001 transcript:CAK81342 MDFIVKIGIDGHQFLLTLTPENKRLQYLQEQIQNETKVEVKNQLLLTKKGVRLEDLNQFD VDQQLQQTVQQFPDEQKFYVINSIILEQTIYLFDLSENPEQVSFPFPKYEPKMDLKQLLL SEQAKETLINLNTNILNHEKIFFQHLQNLKNKYIPYQQKVKQLEKIGQDLENQIQSFDIV LKMSRTTYKITKAKKEELTKKQKESLERNLQTVKKFDDSLEQLKKIELHTALQTNSQKYL SDIYYKPESMLKWKNSCLNTQQNLKQKIDKLSQSISKSKQKIKNEKTENMTQCLSSYKAN QQQYQQFIQENKSLLQSVVQSTIDEYNTLRQYMIDFSQDINDEPAMYYLSQFELSQEQLK QFEDAVIHLGDHLEKTKQVFMQFNQLKQQNMSKILKVFQNLRALDKEFEQHNEKIKQYDE EVEKMEKDFSYLLNPVLLPQAYQQALIETSRRKEFRDIFDKKIKSLMSLIDQEKEKRKKF LQQYGRILPQDFIGQLKNLTPSIQIINAFVDAELPNITGLHTDTIKKRQDNDQDQLKQQI EDLNQQCKQTHLFYQEKTTKKSSTYIELLQLTAKNSMKMFIQRAENKPLSSIDVNSDKSQ RENDSKLINLKNEYSEQLNTQKQEYEKLKIKFQKQEQDFESKLVEIDTKNSLIAELQQKL ESIQNNTVKLKDDLNKFVSKCENLEINLIQKNGEISKLQQLQKQLNDQLTQSKQIQQDLL KHKQSFQQQYENQNDQINELDLLNKQYLQQVQDYYSQVETVQNENEKLRQKIKIVELERD NKQIELEKQIQVYNELLEDYIEKNSRFENLNEEQVKKSQKDQKLEEACRSLQNKIDNLDL ALQQKNNEIISLKNQDQLQLLKQQDDFNQIQLQYDDRINCLTQENLEMTVQIQSLKGQIE YLNQKQKSDNIIEVQQKLKLSDPQFQFDLSSIQIGKQSIFIPAQNDIYVPLILKNIQVSN ISDDSLSNTSIDTSIKQYRVQLASIPQTLREFIIDTGLLFISEVSNIIETEEYFQISVKQ PNYIIGFEGQDNQIKSFTL >CAK81343 pep:novel supercontig:GCA_000165425.1:CT868396:419447:420208:1 gene:GSPATT00016185001 transcript:CAK81343 MNKTLKFNRRLQQPSEQMGFCVQCFSFIPINDIDSHCLGCMENKRNIECYSDNISFQLEH INQVAQNGMEKCEQGSEKSKFLIRIQELCKQIVGIKCYNMLSVKKLEDMESEVKVLLSYP PQSMSVIMMLTRLESLLKEKLELQNKRKQVTYNSLPQNSTARLKTEQNMSENNYSIYDYR KFQTENNLKQSLEFKRNFYSKCLEMKVKLPKNHPAQQILVQDLYSFVISKNYNNEQAVNF IKKCFREYQINQI >CAK81344 pep:novel supercontig:GCA_000165425.1:CT868396:420367:421538:-1 gene:GSPATT00016186001 transcript:CAK81344 MGNCNITDKKEFSESQNLSVNNFEFIEVLGKGGFGKVWKVKRRKNKSYFALKMMSKAKII GKKSVTSVMNERALLCQLRHPSLINLVGAFQDRENLYLVMDLLSGGDLRYHIGKNKKFSE AETKFFCACIIIALEYLHSQGIIHRDLKPENLVFDSEGYLRLTDLGIARIWRPDNSADTS GTPGYMAPEVLCRQNHGIAVDYFALGVIVYECMMGRRPYVGRSRQEIRDNVLAKQVQIKK NEVPTTWSLEAADFANQLIQRKPAQRLGSDNPEAVKNHKWFDGFEWNKLLNRQLQPPYLP HNYSSSDGSTKDTEQDTKENNIMLRRNSIQAQFEGYSHDPNELISAELTKL >CAK81345 pep:novel supercontig:GCA_000165425.1:CT868396:422698:424557:1 gene:GSPATT00016187001 transcript:CAK81345 MSRGQIIKYEVDMYEGKSKRKSDKRKLNQQSPIADYENDKKKVGRWTPEEDEKLQKLIEE YGEKSWRIISDMMEGRSAIQCLHRWTKILKPGLKKGPWQDDEDEKLLEWVKNNGPCKWSL CAENIAGRSGKQCRERWFNNLNPNVKKGGWTSEEDHEIFKGYLQYSSSWSKIAKNLSGRT ENSVKNRFYSTVRKLLADQEKNGISLKMLEAQGENGTSALQTFVKEHLQKYEQQMQLQME QEEPESDKSMQEEKVEESEIKSEDDDDSKSRKKMQAETYQEQNLLYRLLKQQGGPIKRTS CMKDYSTIYKKYKKRYNQKKKDKKLSDKVENLRKMILKKDDYKNSSEEKELDFQQNLEQQ LANFSQQKIDAQSQNGDSDKMNEFQEKLLAFFNQQLNEVMKKFYVEMGDPKQAKWQMILA SDDNKIQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQENKKKTKRQIAKSVKVKEEE DEQKQMVMNTFLQKFNHLGQIGITQLGYYCQITFRANVNEGKNETENQMVQDGKIDQKMM FLISQLHTLENMLGDTKKEFSRLEASLYEKINNTSFHSNNSSEKN >CAK81346 pep:novel supercontig:GCA_000165425.1:CT868396:424991:425923:-1 gene:GSPATT00016188001 transcript:CAK81346 MPYISQIRDLIIREKSKPINKLNEFYLSDSQGICRRDILVQWIVAQSSQFRHSLKTIELA VIYIDTYLNCFNITQQYLQLLGISAYSLASKFNETEMVSQIKLYDSDGQNLYQNGEYDEM EEQIIKVMGFQLNYITSSDYLMAMNIEINENIQSLLLFILLDVDIYKHSHIELALAIVAY SQEKKIQFTNKILQLSQFIHNKILQAQEIEIDKEQKQDSESKIRNKQINKKITKNKGMNH QKAPKQSV >CAK81347 pep:novel supercontig:GCA_000165425.1:CT868396:427244:428066:-1 gene:GSPATT00016189001 transcript:CAK81347 MKALNFLQEKAEQEGTGFIPFKDERDELGNLKGLTKRSFSRANKKKSEHIFDRTTSNTSK TSSINKKVRFLKEIYSTHGNSDWCSLTNLRKLDKKFQLNIETEIQVQKPLTGLNQKLQFN DGIVRKFTTNICNSPRLGKKQKTENYIPPLGYYQPQEILKRQPMLVFMDQQISNSNRLSQ HKFSRTEASTAQPGIRQKLSPNKGSPVPQEILEKAFKTERIITQQKYKYVPQMIDEKNLE NLTARINCIRQKILHQKINSISNQ >CAK81348 pep:novel supercontig:GCA_000165425.1:CT868396:428582:430698:1 gene:GSPATT00016190001 transcript:CAK81348 MQQELAQPILFIDIDEDGKFLMSKKAVQFIQAIETNIAIISIVGQYRTGKSYLLNRFAGQ QTGFTLGSSTNPCTKGIWIWGKRVESDNLTILLLDTEGLNSYERDQNNDARLLVLACLIS SNLLYNVMQVIDEKSLETLSFTTNISKHLLGDDEFITQYLPSLTWVIRDFGLDLQGSTPN TYLEECLDEQQGFADEFKQRNQIRQAITKYFKRRNCYTLVRPVADERQLRELDKVVYSEL RYEFKIQLNQLIQNTLLDIQPKMYKNTPLNGKRLIELVTQYVTTINNGSVPNIENVWDRI LSKEFNKMMEKAKEILSSDISLPTTYELLFSNLHQISTKAQESILHYHIAPDRQIEGIVQ LSKQITEKSQVLFQENYIKSKRTNQVISNKMYDILNQLSEQGKLVNVQHIIAQVNNIKKI YFQEAKPPSNYECYVQFLELVMQIIEKVANTMQMNFQRKEEDYKQEVNVLKSQINTLQEI LKNEKENLHRQLDQVKTTLQEERIMYEKKLLELDNSGGIEFRKGELFENPDLFMKKELKN QQLQSQQTFNLTLKDINQKFMDLKEKLDDIYEARVEIERDKIYNKAMYDCKEQNQAQIDR IKLTYEGEVKILKEDRQRLQEQRELLQIQLTQKDCEFQILKERLKQIEKQKTKDIEHADM LCKISDQLVKALKKLEKKKL >CAK81349 pep:novel supercontig:GCA_000165425.1:CT868396:430771:433801:-1 gene:GSPATT00016191001 transcript:CAK81349 MQFIQENQNLRSIQVDTLKITKGLAQQYINPVQIKYCQHVRDCFELDEVVKQFNNHSNFI CEICKQSANTIADLVWDVRYHAYQEFHKSVDTIHIINGILINYYWRKDIYLKPFYNVQIR DWFLKSYQKNEIFDYSSKSYNGNIEFLGFCLLDNVQINIPVRIRGCTHLECYELTSLLHL QNNNSLNPKTFKCNHKKCGQKLDISSLDTFFSQIYIDVQLLKMIKKSNRISIKIKYNKQT QSLEPQIQSSIRELFDEFQNKYSQQRIELDELQCQNLEQFFSPILQNNLMQEDEVLKKKL IKNCQSSQSISMIDQITQLPFEIPTRCKYCTDLNKSLDLKTYVFDFFLKKKLNKNVYKYQ CPLCNHSQLQTIQVANLNKYIYIDSFLIKQMRKFFNVGVVQSQSNIKRLDSVVFDTVSLL NPYTNVRYANPALTKGCIHHNFCFEMEEALEEIWDHNFYQCPQCTSLAYSPNDLVYDWRV NAYKEFNEYTKDVTIIAGIVVNQYTRNKRRYQEFFTQTEYITQFKQMFDRVSKDSSISTL IQKDLQQQNSNKQQKINFWSFCLQDKVNINIPVRILGCKHYECYELTSLLFYQEQNRKKK EFLECKQPGCSNRLRIAHKDYTKQYNTPEMEEQEKILDVQILFSGIRVDQELLTAIKISN PSSYKFKYNYYNQIIEEDINLVQGRLVDPFIYKFYEQHPDLQNKMQFQDFQKLISQQAIQ VSQGDLLQEDQKLSKQVALYRYRNYTVNMKDKFTELTIEYPVRCKSCKNLEVCIDMRSYI AEFNYQIKMFPTKAFSCPLCKHQLSKQTLSMKIQNYIYLDSNMLSFMFKDMSYVNGTNIF EYQGEQYIFQEFLDRQKIKRETYVAGLQDKKVLFRQLFCLVNPNQKIKQPLILQKCPDRN IVDFTSFYEELKKINFDYENYGLILCKCAFCGFNPIKTFVGNIYFHEAFYEALNKFYKIE ELKNDTEFSYQFEDTEQKSYVINKQQQYKIKLIMG >CAK81350 pep:novel supercontig:GCA_000165425.1:CT868396:433906:436890:1 gene:GSPATT00016192001 transcript:CAK81350 MIITXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXILRIVFQ KQRYPCLRRRTTMCFLFSLVIHSIQITLNFKKWMLCEFDQILVFFKLNQNNQFTLDSNAM ERYLEQQVKMESFQLLGTQNQKMFKFGSIWRESNEYQTFIELFKSNEYLLFIHQFKCNLI QYTISTILAIYILLKQYQIQNNFVISFIILNVLSDLDLLILNSKYQTTLALYYFFNFIVI ITKLDIINVVPIIQIL >CAK81351 pep:novel supercontig:GCA_000165425.1:CT868396:437698:439167:-1 gene:GSPATT00016193001 transcript:CAK81351 MSICKFQNLYQFQNNIKAIKVFLLNDFYISFEIFLIYFNIILNFKQEMVLILQQNYRNKL KKRKVLRIKDNNIRSFRCVVVCQAVKTHQMNVRTPGSGQSELCQQLQKNTNSPSFEAVPE MDLDREIEIREQSIEDFQKTYNEKHKRNNQIISLIVSVKFERTDIMKRNLLSVIKYFRRF IDLIIIVVTYFDQSENLDEDKENLKKSLKFLVKNDEERIFFSQNQNQIDEIRKLLSVIEK IDQNKQQPFSLKDTIFEEIDDSQNQNILQLENDVKVQKFPFFYLQYTYSNLLFIILHQLY EFQQTLIISKKQVDNPKYLKPPSNKYPPNFNTLSKFHEHRYSPGKRNFQCKCLSFLLNAR VQDMKFLIRNQQSHLILGKSKNFDRIYYMYMQNKKKFNRIIPHNFCFIGNYRLQKFLIKF KSKYGQQDTYQSGNLKISDNPYTPKQQMLGSCNR >CAK81352 pep:novel supercontig:GCA_000165425.1:CT868396:439170:439811:-1 gene:GSPATT00016194001 transcript:CAK81352 MNLLYSLKIIQDCFPTQPDHPAIIMGKKKLTLQLNISNSIHFDLERTHMNQQALQCIQKE RNNVIGMFRTFEKELHPEQQWFLRMYKENNLSQSVNIKQLPFAQTQEFTFNENLLNQLIP QVEQIDQQIQNVKQAKYYHVPSEQEIKKNAEQFQAMTLTKVLLSYPKNSNSLPPNSRGSQ MQPNGGNTFQSCQNIANTNANKRTN >CAK81353 pep:novel supercontig:GCA_000165425.1:CT868396:440874:442965:-1 gene:GSPATT00016195001 transcript:CAK81353 MQEWNDKDLVYEVQKMMLIDVQEQEKSIEVTSSPQKKESKSCYISPLKVQALVRKGRLEK AQQCWDEWLQNCSDKKDQKNLYYSKSQFLKIARWDSKLIEIIEEGIKNSSDKIFYLEEKG NYLQQNKKFEEAVQFCKECIKLFPIYYQQQKRQNNQEETTKQLNAGMKEFQIIPITGMYI FITQKKRRLYVNRKDIKRQLKVGMKESEIINTLSDFTITKVFYLGNLAKLLSYLGKFDEL IECWDNGIQSNGEYIDYYREKVRALSILKRSNEIIQCWELGIQNNKKMIIYYENKGLDSY KNQQKYQPNKAKFKKLFYAGIMELTTIRIISSFIKKKLKCQKDTAHSKKLQIVGIQEFHI IEIIQISMNKNVSSKIQSYLQPKLQKVKGIGMKCLSFGIKELNGIKLLFNFMKKKVKFNK NYLVRILQQNGQISEINKCINKGIELNQNKPQFYQLKASILIKQRLWEEAIYVWDQGIQI NRDSYEFYHEKSILLFKIRQMSRKVRKILRSIIMFKRWKHTQYQQLIILFFIKQQDRILI VNILTKQKEWLQSIMKCDQANQVFQAKTLLFQDKKILSLKSLNLLKKTIQFYRLGDNKFA RLMFYQQL >CAK81354 pep:novel supercontig:GCA_000165425.1:CT868396:443443:446235:1 gene:GSPATT00016196001 transcript:CAK81354 MNQNIHITLIDEDSEVKQVGNSEIQINEELCNIQEKLNIENTEIYFPHKPYDVQVKYMES VVQILDKKCNGLLESPTGTGKTLSLLCSTMGWLHKHRKEQQKSGASSNLKIIYASRTHAQ LKQVAQELKRTVYAPNISMVGSRDQYCLLDFSNLKGNALIQACRRLVKRNKCQFYNKDSL PNIAAQNSKLINTLEESKQFGHNNNVCPYYFERERLTNADLILLPYNYLLDREFSNIVNT ENSIIIFDEAHNVPSAAENGQSFFINETIVQEAKKELERWLKELYEMPDFFSGFQQVLNQ KKLSSLSLREYEDIVQTIGAFSIFLQNLQGASYFDPQQTNDQCKVFDAEQIFNFLYENTS INKKNGGTIFSNGINGDNISLYISFCVAIITYMSETSPHDGSNFHSWIRFVINLYNLDEF DDYLDDDEQLQYFDFYKLAIIKNIQNQISLNMWCLDPSLAFKKLKLNNICSIIFTSGTLA PMESWQSELQMEFKIQLSNKHVIDIANNVRAFQHLSFDFSYQKRENEDQIINLGLAILNF SKVIKGGIIIVFSSYGLMQNIRRRWANQQLIQRLNEVKKCLWEEQGSAQFHNTLEVFKQN GQKGAILFAVHRGKVTEGIDLSDDLCRAVFLIGIPYPPLKDQKIKLKKQFLDQQLAKNRQ GLTSKDWYMQQAVRATNQAIGRVIRHINDYGVIFFCDRRFLWSNMKNSLSKWVQPAIQSW KNDEDVLFNLKNFFQRQTQQQNLIKQTSNQQDNQIFQEQERKNIFQETQKYVYQQFKQSS DVQPPNTIPSKQQQNQIEFKDYLITENKKQRKRIEELSDEELIQFLDEEYNQSSDQWKED FSNQEMEEQSQSSEELLEESQSLEGLQSQEGSQSLEESQSLEGSQHGNNEESEGNKIKKI KYNKSNLNEHKKK >CAK81355 pep:novel supercontig:GCA_000165425.1:CT868396:446444:447250:-1 gene:GSPATT00016197001 transcript:CAK81355 MSKQMKFKYQGNIQKMQIVCYLWGILILLCLVVMINTIKFWTKMQNNLWISQQTLDQHTD QVYCLIIGNKEDLIISGSKDQSIIFWGRQNQWLKLQSINTHKDCLYSLCLNEQSNTLISC GRDNKILVIKQENMIWVVTQTIELESFGYRVCYINNNTFSFQPNNSNKLQIYQLKDNLYH KAKEILTMDGSECDLFFPSKFIKQKSILVNKNGKYVNFLYLNQNFEFNLAHSIQYNNSQV FGTVSEDGEYLLTWDSEVKEISIKKCIN >CAK81356 pep:novel supercontig:GCA_000165425.1:CT868396:449375:450907:1 gene:GSPATT00016198001 transcript:CAK81356 MYLNNCQIHKTQPAIYNKQKNHIQQPKLCQMCIQELDSNMINFQDINQEFFNLFSQQIKG QYNINQKYIIFLIDMKERLQNLKSHFENSIEKFIGWLKNQIISITIPESPEELFQKIKII DLNSLVEGELKIKKYMENFQDIKGILLDKISSLFQNSLIRTIKDNIQKINFEFQNLQAEV KELQIEKEQKSQNAFIFEKLDDFSIKESKECYVMAFNKDCSILIVGCRYEIRVYTFLKGF MNQIQKISNKHTNNVWTLNFMKKSNRFISGSYDDTIIIWVSKKNNQYICQQKLENHISNV YCVVLNKNEDLIVSGSDDKTIRFWIEKDRWVCQQVITDHKDSVFSISLNQKQNKLISCSY DKTILVIEKSLSLQRWVAVQKINVEYFGCRLCFINDNQFTFQPQTKEYLSLYELNIINNE YRKVQDIDVQCGSDGSCLFPQQFIKQKGILLNKNGQHVNFISVQNANFRTEQSIDFGTDQ VFGQLSDDGQYLITWDNKSQEIQIRELKEI >CAK81357 pep:novel supercontig:GCA_000165425.1:CT868396:452215:458851:-1 gene:GSPATT00016199001 transcript:CAK81357 MTNCKIISNSVSKSGGGLFLQLKESDFQIEQTLITNNEALDGGGIFFNQDATILLHNFKN SFLLFNKAKQFGDNLIESPHHLTLLINNKQMQSKKQIINQTLIYHLQLQPYSIIQQGKPF IPNYLMIPSNQVINDYQIYQPSSNKYFSYISNFALILKNSLNEQLMNLLSTTCILTNKTI YEESLNQVEQEAKEKLLQFDLEKDYYNLGSLSFIFDPYNQEKHLLQIEISCKSSQHQKSL NYIIQVKSLKCQLGEFYINSGCQICSSTQGFYSVVYDATKCSIFDKNKFKNITENNIELL EGFWRPNFLSDSIEECFKNLKFCKGGWGQGNQICDQGHIGALCEECDIYNVRGEGKYFKN QQDSQCISCFGVQDSIIPFIAASIWSFISIIITLRSIEQSNQLFKNLKLQKRFSKIIFNL EQGHEGFLIKMLLNYLWIFSVIFTFNIQFSFSFTFVDSASNTSYSMTNNLDCYLSENQSI KLIYSKIITMLLLMTIQFLLIIMGYLIYNQYKKIGLSNFNLETISNSLLYLYVSNYGGLV KMYFSIVSKRVVSDQSYIQGDVSLLFGSQEHVIWISSFVIPGIVIFSVIIPLSIFIVMNI KKDQHDNIQIRKHFCYLINEYNKRNYFWEEIKLIKKTIIILILTYFETYILLKASLLGLC LLFYQLLAFNMKPYILFNFNNKDLSSAQICSITIFLGAAKYVAEQENNVFSSILLQTIIV LLCIKLCYSFIKSILEVYANKYALLILNHLIMHIEEYFRGINFHKKNLQNMRXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXEQDKKQSIPIFRFREMAIKKLKEYELDQTFELNEQKKYFQMFF DQIQYTLEEILKSIFSIYDMIDQQNQYYKNLINENTNLVESSQTDIEQLIEIIQGEIIND WINDKNYYFSILDNARIVFDQKITNVIENLQESIKGIESFPKKVLKQYSEIHPIDHSEYY YEWKQDLYEILPNMKDIDSSILERIIEILREEQISDYHIILQKQYLEKLQESQINKHQLH VKKNHIQYITNVIKNILDLDFNKIDYSTDEYKDIRTDIIKKIQKEEMIIQFFKFLVHLTA IDNKAIQCGSNSLNLLVLMKVDLSKQCFQKIKIKNTSLIGANFFKSNLSESEFDNVDISG MNLNGAQLFNCKWKNIRIIEQNKFENVIYSISISPNSFTIASGGDDNQIYLWDIKTGQQK SKLCNHTGWVRSVCFSPDGTILASGGDDQSICLWDVQTEQQQFKLIGHTSQVYSVCFSPN GQTLASGSNDKTIRFWDVKTGKKKFKQHSFSSAIYSVQFSPDGTTLAFGSLDECICLLDV KTGQQKSRLYGHEYAVKSVCFSPDGTTLASGSDDKTIRLWDTKTGQQKFILKGHANAVYS LCFSPDGSTLASGSDDMSIRLWDIKTGLQKQKLDGHKKEVLQVCFYDETIIASCSGDNSI RVWDVKTDQYASKFNYPSLSISQVCFSQYGATSAFGMCDESSRLFNRKSEQQIKPLDTSY QDILSQFNTHLYTKFNLNFPKLVMSNLPIFSAQGTLIFDGELFTSSNEDLRKLFKERGSY FLENFQKIVQK >CAK81358 pep:novel supercontig:GCA_000165425.1:CT868396:459010:464878:-1 gene:GSPATT00016200001 transcript:CAK81358 MIIFIYFIILHSTWQFEIQELDSQYQVAEQLVKIRDDDFQLTKYFSYGIWSKYTPLSAIP QTGPVGLLDSNCYHLHHILDRRSNEINLIYYDCLDYEANKITKTIKFVNNEDEQKEYIIE IEIFQYESFWYFLEVLQWPLQKRFEILIISQQQIVIHGIDEIKYPFFGDDLQFSFGNGLI VAKSRIESIQKHQKFSYFPGIIMIQKFQILDELTIIDWFDDVKSLFVKYENCRCYPNEIV DIQDMNIKSSQKGQLISENQNCDSFFLQGWVKIQEIIKEDNQFIYPFIKLSANYESSTLS SDNLSPLQIQYQISDTQNKIIVKTYSYTFPIVSIDFTNNPFLIEKSFDIVHSINLWHKIE VELISNQIDIEIKFYEQQMIHEYRLQLEVHQFQQYQMKLLYGNTQSLNSNHLYILLRNFY FSNCDQPFSDDNCHHSCQECDGPTNEDCLSCSEESNRIYLSEHKVCVCPYNNVDDYICIG YKEAELEFIDETISNNECQYGYFELDNQCIKCPSIIRKDLITCRECLQNPKSFAYSPNCV YDLYISQNQQTEQLQYWYSSQLLFDGNDFNYIRSLRTAINDFNSLYSGFLYRSSSFSLYC NPNDDICIFKTSESCKEYVTSVAGVVCKECYQKYILKDDDCISMQIVKEKDCVPPLYKSS NDKCKLCSKKNCIYCFEYQKDESSLKSTLYQNFESFNNDQMIQIGCAMCEDGFMFDFIIG ECLRQQSKIQSCLRSFINFDGIEVCTLSNQNDFSVAPEIVNCEKYYSNCLQCVLSPKSRL QCIFCRKGFVSSIVSGNCILSTKLSQNEQSTSVIQAGTQDDANIQLIQSFQMQFLPDSYY YSNNPGYIFEYDIKCKEGYMLTQSNDCQQYCSSECLNCKQNIQNFFCQRCSLNYYKQTMR VQYGGPMYSLFKFMLILRNLCIISIIFQELQANFQLEESNKLSTTKCLIPIDDSHIAINP YYNNVKYCFNKGCTNWFSYSIYFESCINIRYRPGIYENAINSQYCNQVGVDQLTIDYIIQ IFEEPKDCDGIKLVILNNLRKTIFSLKKTHMRLFSVDNNILGSSGRINITNYDSVELKNI TFKRFQGCVFINPDKKIDLTLNNLKLINTNYLGLEIFQTQIYGNILIQDVEILDSVFVDS TFFQFQKQPILIPFKIKNLTFKNCILKNSTLFNIDYFQNIATIENILIEDCSLLNSSFFS LSTILNQVTQIILQDVEIKQCKFEYSFFLKSHSNFEIKGNNLFFHNNNLDNSVIIAFNDN TDLTGIRTSYNTLILSSILSTLSQTYQSRLLCTIDGFEDNSNAFQESSLIIIQSNLQINN IIVNIKNVKVQENNLFGKVHPQNQLFKLNCHSLMIQNAHFLNLQNLAVFYLYEINQIVFD SIIFENSQQKHKVPVSQFCTDQEQLINQPFQVLGFQQVTLSNIKIINQFSINYSLMDINF SNQFIIDRIGKIILANIQFRGNVILQNKLVTSISLVNIYSQYNLNIKLDNIQFTQNIINQ QIDGTIGTQMDLLHVSSLDSVVQIQQIYFYQNALTNSSNPFITLSATVIEISNLIFMNSN VLSQKLWQQFYDFELEDQYNQQEINQIIQTTFNMQNSGIYIVASNFSCKDSLFQEIIAVK SPVFFIQTQGQGIIKISNVSIYSIYNNLKESGGSGCISVDSAGSHLFIDLKQIKFTNIFN RMAASLFTITPSLKQNVIRLNNIEIRNCLSLINTIMFVKFSAEVMQQSLVSINKLSIYQS EELWFQLFSLIGPITSLELGRIHSEENAMIFFENCKIDIRNLTIEGIVISPILKFQNAFK LKLLDCQLVFIQKLYSFDLIHITQTSATESTISIEKLKIIKSNTYKQKQNAIPNFSDLNY EIVGCRIWRNTSVTQQTSFSDIISQIQSFVQSNQMIYVKSISDQNSLVLQQIQIINNNGS DFSNGIITFEVEQFKIFKIN >CAK81359 pep:novel supercontig:GCA_000165425.1:CT868396:466956:469222:-1 gene:GSPATT00016201001 transcript:CAK81359 MNQSSDLAIKCPNPEHNKNVILVCFDECCPGQRLYCHQCVKIGVPVSHLEYQEELPFMFE HFKKVEKECDYLIDRMNKQMDMINQNYYLLVEGIRSKYQMSQQSLLNLSSEQINSFLSSS ISFQLFQSKIQNLLEQLTNKFLCQLQQLLVDLNLTDLNYYQIPKINPNKSEDLHQTRNYQ RINDKKKQDDRMIKEIIIKQQGFLEEYGKLDICYVIDFTSIMEKYIEQVRCCIQESFDVI KLQTNRDPILSSIAYYDIEQKPQNGKYHQFEFSNDIENLKQFIAEVPIKGGRDVPEDIRG ALEQMITNLKWKNKFKIAILITDAPCHGRKYHNFPWDYHPNDDITETLHRLIEKQIILIG FNLNDRTVKIQKCLRLFFYVDASGFEFNKLAQKIAESLVSVSVKATQVNSKGTKSKKQQK ESPTNTDGAIEALYKQGDFYNFEKQTRVVNNQFTVLNVTINDQVFADNLLSINKIGKNPE TDYLIKVEGQFDCIRTEFPFAFGRINDVYLMKNKNGQQDEIYVIKSPLGKKMQISLDKAD IDEALKQQNLNNCKFPDAVYSDCLILMDSQNKYWIAERFLKGEFVKYNNNYGYINECITE LNKFDQAFSYYTFFISNGLYMINDVQGVGHYFTDPAVNTSTGEFDDTDQGQEGQGMFLVN FQSKIEIATKILKLLNMLIES >CAK81360 pep:novel supercontig:GCA_000165425.1:CT868396:471828:473176:-1 gene:GSPATT00016202001 transcript:CAK81360 MKQVRKENAGQQFQENEKNTLLEANILKELDHPNIFKLHELFQDEKNYYLITEYLEGEEL FDKITNLKHLTEKMAADYMRQILGAVVHCHEKKIVHRDLKPENIIFSSKKPNSNLKIIDF GSSCKIENNQFLTKKCGVPYFIAPEILKRNYNEKCDVWSCGVILYIMLCGYPPFGGEYKE ILQKVEIGKYEFDYEDWDTISNDAKNLINKMLTMDFTKRISAQEALNDPWIQKNAPIAPC KLEAIKNLNSFFCKNKVRAALMQFISTNLMTNEEKEVLLQEFRKIDKDGNGQINKEDLIL GKHKIIIVYMQQYNDIKANQMVDQIFEKLDTNKSGIVDYTEFITAAVDEEKLLNKFRLRQ AFSMFDLNGDGYINEDDFKEFSGGNNENFWNELLALCDSNGRWLDFLK >CAK77671 pep:novel supercontig:GCA_000165425.1:CT868277:3:1265:1 gene:GSPATT00039224001 transcript:CAK77671 YIMNLSIMRIIQSLNIFYFLIMMFEILKKKLQQIILNMNLFGTLKDSIQILPNSKSQYIY WTECLNYLKKLSNQSFQSINRNLIQLLEVVSLYPKIFHFNFLKEISYPYFPGDIMYFKNC FPLSADNFINLIEDQDDIDCTCEQNLKTSIADVIIEKQNQYEFVSQKSNCQEFLLASWVK IQEIYLSDDEFDYQFIRLCGNFQHPQFIQQNLCAFQLFYKISSSKNQIIITTYSYNFPVV DIDFSSNPFLKSKAFDVTSNIKLWHYILVKKLENSISVSITFYNNFKKEEFKINLNVQQF NKMQFKLLYGNLLQSSPNYLTIKIVGLQFFNCLYFIRPQVSCHPTCQECDGPTKDDCLSC FKNSNRIYLPDYKQCICEYGSIDLNNKCVNYQVLGLILNQENPSKKECKCGFFEIEGDCQ >CAK77672 pep:novel supercontig:GCA_000165425.1:CT868277:1448:4926:1 gene:GSPATT00039225001 transcript:CAK77672 MGTDLQYCPDCDVLVTPSYDQIEKLDQFKDFCQTSESINANCYTCGGNCNLCQIFQTNLK CLSKQNILPSLNSPCELPKYQNFQQNCVDCQIKHCLYCFNYFADDPTRTTLGFLDDYSFI KEEIEDGSYSLIEEKIVEGCAQCAEEYIFDFTIGECIFKKPSQQNCLQSYINFENQEICT LSAIEDFNIALEITNCQSHILNCKQCIKTPQSTLKCLLCEDYYMVSTQTGVCSLCNLNFA KQCFEENGLDPWIFLVQGFTIQFLPNKPIFSEAVYRPRSLITECIPGYQKVKNTCRLYCD QMCSVCKISGNDFQCSKYVNLVENVFNVPHYVKFVKLDQMKKQIKQIHIFAAIPQNTIYT YRCLKKVPLEQIQIDPNLQIAQYCYQNNCNNNLEIINDGTSCNNLFNTQYYVQSQLNYQY FNNIGLKEMTLTIYLQKKDCKFLSNDQSLENAYKENIFSLQIARLKIQGMNSPVIIILPF TLNFLKYDVVVLINIQFKIYSSLDLIFQNRGNPIDLKFIDTRFQQALDNAISLSVQGSSF LNLNLKNLQIFYSSIKNSILFNIYCTDSGDTIIIDNFNLQNCNFTNSTLFQFKNAQRTIL IKNIIIDSCEFYNSSIANFALNLDQISNVIINDVQIKNSLFFNTSFIQSNERTTFTINNL LVLKNRMMNSKFITFNYESNFNDILIRDNVLISFQFISQISSIIENQEIYLNQIQIQRNT INNSQIFVTEYKQTKKQTNILLSNLYFEDNTMTSYQEQHFIIINCFNLTIQNIFIKNTLN YRFFSLISVPLIKIENLIYENSIQEQKVQLSSECLQNQISHSQLLQVSGFIEITLNLIQL KNIFSIDQSIISIQSNPFFMQNSKESIKIRNLIVKGNILIKQQLGKLISIIVLQTENPQI IELDKLYFEENIFHQYNKDPSETSASLFYIDSGQGTLMMNNIICSNNSLTNSSLSYISIF SNDIQIDNFQVQNHNYNNQEFWVKYYEIQFQDNYNQTEITYIISQSYSIETIGGALSTTV TKFKLNNGLFNFIKTQGSQIFNINLQGDGIVMISDCIINHVHNSLLSTQEQDGAFTISGK KSLLTLYLNNIIVTDVLNKLSSSIFSIYPSSSKNNLELKNIIARDCFSLVNLKY >CAK77673 pep:novel supercontig:GCA_000165425.1:CT868277:5098:6894:1 gene:GSPATT00039226001 transcript:CAK77673 MNFVGCKLTFNQINIDGIIISSIIKIMDSKQIKITNSIFTNIQIFYPLNLVDVEQINDMQ SKIHFYNITIQNLLDFKFSKLNQYQLNYNYIHLDTFQCSLKIYQLKNQIDQQDLGSTFFE EVVSNSNQNGSLIKLKSDTNQTQVLFTKIMLLNNDCQNCWNGLLYFELIDFQKVLISELS CIMNNIKNFGCVMANSDKKIDGIIQIDNSIFISNMGQLGTGIFIKNQQFLLKNSIILNNT ASQIGGGFFFSEGSQRFTINTSLICNNQAAEAGGIYLFGNSSLTKNNFINSLILLNFAAS SSNNLNELPQHLSLQINLIEMFSQQQLIENHSNQILYLKPYKIISQDHTKSTNVLFIPSG QQIQSYELYNPKQQKYSTYIYDIHILFKNSMNELLINFENSTCIIEQQIYDNAEKLIESI KISKITFNQDTKGFDLGPLLFYIDPYKQENKIQEILAYCNTSYQDDQLTYRMRVNSFMCQ LGEFYIYSGCQICQPLEGFYSVTYNTTKCSIFDKNKFDAITSNKIQLKAGFWRPNQISDN IELCFKNPTYCEGGWTFGNDLCSQGHVGGLCEECDRYDIRGAGSYFKDQKQQECKQCQE >CAK79591 pep:novel supercontig:GCA_000165425.1:CT868332:4340:5071:-1 gene:GSPATT00039332001 transcript:CAK79591 KKEIEEMTKRINQKESEVQIFQSQLDQINQEKLEKEKQSNLRLEKIQDYTKTLFFSNIYK GANCQVSQGGKVAECFGDWFYCFCEQAIPKKGKTLFAFQMIDGTQFEVGIGFRDIILKNN YRDSGVGSYLLNYLGYTYSHHNQHANNKKLSFTFTTNDVIIIEVSIEHKYIKWTRQNSPL AVLQIDTSISQELYPCVSINQSKVKILDNIQIDIGN >CAK86790 pep:novel supercontig:GCA_000165425.1:CT868589:1417:1665:1 gene:GSPATT00039778001 transcript:CAK86790 MGKAQFLNLFQLYQQVRKRKYLDVIAYNFMSSIIQKKDLKQLYLQPRKLNFHIIDKVMQF QNQRSNGGALCQNNTNQGKCQY >CAK72480 pep:novel supercontig:GCA_000165425.1:CT868120:7620:8003:-1 gene:GSPATT00038749001 transcript:CAK72480 MRQLNTFILLSNYGFIYFLLNFGGASKQQGSVGEFREINSRILKISSHLNVKRAISHSAD NLHQVSKNCLFKLISPKRSKKSYVLQIILSMDQSIIVKIIIYLKQSQLPMLNLSKPDYNK PIFLGQQ >CAK72481 pep:novel supercontig:GCA_000165425.1:CT868120:8241:8459:1 gene:GSPATT00038750001 transcript:CAK72481 MIQINTIPDIKATQASSQALLDTYLSFYRLCYNKVLQVLNEIIQVVKADWTTSKHKRMKD IRQKVIHLWIPS >CAK72482 pep:novel supercontig:GCA_000165425.1:CT868120:8580:8982:-1 gene:GSPATT00038751001 transcript:CAK72482 MIRILMKTKKVSNQLLGTNVDYAQQDQQHKPVVLKQATCDYHNSIYFTLFFRQQRGSGAN IISTYVYNVEIQLLVKTSMYASSLLRLLSNNKITYYTSQTQKMMADLIIIMLKYLLQTQH INQA >CAK87958 pep:novel supercontig:GCA_000165425.1:CT868649:3492:4785:1 gene:GSPATT00002926001 transcript:CAK87958 MIIKYLSRSFFQTSKAVRFTFDEIQDCNSNLNEKMEVAYGSKGLGLAIVSGIPNYSRMRH QLLPLAQKLASSPQEYLKTLEQPESFYTKGWSCGVEQFKGKFDKSKGSFYNNPIYDEFQP LSMEFQDLINRGKLIRIPNVWPRKHIPELEGAFKNLGRLMVDVGALLAYHIDKYIHSKCN TYEMGKLYRFIRTGDSHVGRLLHYFDGPNTEEWCGWHNDHSALTALTCPIYMDQDKTMDY QDKEGGLLQKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSKRHR EIQRSQQNSHTTSLLFWKDGMRT >CAK87959 pep:novel supercontig:GCA_000165425.1:CT868649:5184:6601:-1 gene:GSPATT00002927001 transcript:CAK87959 MREVISIHVGQGGIQVGNACWELFCLEHGIQPDGQMPSDKTIGGGDDAFNTFFSETGAGK HVPRSVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLD RIRKLADNCTGLQGFLVFHTVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQISTA VVEPYNSILSTHSLLEHTDVCVMLDNEAIYDICRRNLDIERPTYTNLNRLIAQVISSLTA SLRFDGALNVDITEFQTNLVPYPRIHFMLCSYAPIISAEKAYHEQLSVAEITNSAFEPAN MMAKCDPRHGKYMACSMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVMRAVCMISNSTAIAEVFSRLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDLAALEKDYEEVGIETAEGEGEEEA >CAK87960 pep:novel supercontig:GCA_000165425.1:CT868649:8388:9229:1 gene:GSPATT00002928001 transcript:CAK87960 MENFKLEQIEDYISVMEIKKEDSKKKGQLKQTQQLIEKIDDLNSISIIYKSIKQAEQYDA ELQMVEFQQKLQLEEFDEAWEDLYKIEQDRIKEAENTIYSLHFKEMEQLQKQLQEQSIPK IKFSSDIIQKQALYNQLFRAGHYADADLVQKKLQEQIDIENQKWEKQHVEKIENKLNQLT KKQINELQVLKQKLNSQIQQFIINRDNQKQLLINKLQIIKVEKEQKINQDISKMNQQVNK LLQKLSQQQ >CAK87961 pep:novel supercontig:GCA_000165425.1:CT868649:10105:11431:-1 gene:GSPATT00002929001 transcript:CAK87961 MDQSDETEIVLQVCDPKVQPGINKYIVYTVKGMDKNGQFDVQRRFSDFKTIRSFLLTKWP GCYIPPVPPRKAFGNMEQQFIDERRLMLEEFLKKIATLKYLWYSEEFQIFLKTPGEIEKA LLSIPKITNDEIINKYQESFSELSGKEINREIISKINDFKAYIKKIQPMVQNFKQIAKNI AEAKSAHQTSLIHFITIFVPEYENNVLLEYAEQKEKLIFANLIQNEEIKGLINQYCDLLK DPKFQQLYQEVRKEGKQLKVMNRHKLKAFLETFEEREKYEQQKANAEQRQKELQLQLQEV LAGKTTIRTLFTTGKKEDNVAKLQQQIADVGKEIESYQFICDILTVLVGYIEIDKFKGEK QYQYYCMIKNIISYEISLSQIAEQFWGKVSESENMLQQQQ >CAK87962 pep:novel supercontig:GCA_000165425.1:CT868649:11879:12279:-1 gene:GSPATT00002930001 transcript:CAK87962 MSQQQDQPSAKKRIRRCTKHDENPRPYTCGCGRGYYSYPALYTHLKIKHDGQPPTGTQIP SDKHYGSRGRPREKNTKCDEESKHQVFIDEEKREDEKKEEKIIPNQ >CAK87963 pep:novel supercontig:GCA_000165425.1:CT868649:12734:13189:-1 gene:GSPATT00002931001 transcript:CAK87963 MEIWQKDQEKSSTDRKKIPFPINCENITQEALNELSNSTYFIQGNGPVYTVKIGRVAQTP DQITQNVLAAAYEVLPHILQEKGMSLSCLRQLNVKLSSSVSLPFYTRLSIREIEAWKIK >CAK87964 pep:novel supercontig:GCA_000165425.1:CT868649:13216:13710:-1 gene:GSPATT00002932001 transcript:CAK87964 MGKNIEQEEGERKQTKEQVLTIKKTRIIKIGQSLRKLVTLDQKQIKKAINAILKYRESTH TANILDIKDDFIYLEIVLNKQPIRYSLRPVQIKLPNTIYNQEMNSKFCIISTNPQRQFKD QICEFDIPLIQKVIGYSKLNKKYPNLHRQEKTTLSI >CAK87965 pep:novel supercontig:GCA_000165425.1:CT868649:13906:14835:-1 gene:GSPATT00002933001 transcript:CAK87965 MDLAQSEERYQKLEKIGEGTYGLVYKARDNQTGDIVALKKIRMDHEDEGVPSTAIREISL LKEVQHPNIVPLKDVVYDESRLYLIFDFVDLDLKKYMESVPQLDRMQVKKFINQMIQALN YCHQNRVIHRDLKPQNILVDIKQQNTQIADFGLARAFGLPLKTYTHEVITLWYRAPEILL GQRQYSTPVDIWSLGCIFAEMAQKRPLFCGDSEIDQLFKIFKIMGTPKESTWPGVSTLPD FKSTFPRWPTPTNPAATLGKDITNLCPLGLDLLSKMITYDPYARITAEEALKHAYFDDLN N >CAK87966 pep:novel supercontig:GCA_000165425.1:CT868649:15105:16418:-1 gene:GSPATT00002934001 transcript:CAK87966 MFNSVRRYLFSTGSLFTWGSSNGSLGYAVGSQQQMKGVSMPQLVKGFNNNVAQVQMGQNH SALITSEGELYTWGTGNSGQLGHNNDKDYITPQLVEFFTKHNLKVKQVALGDYHTVALTH DGDVWTWGYGGKEQNFLMDLLFLQVGALGHGNSKNRYTPTPVKALRSLKKIKYIQSGLRF TNAINENNELYVWGKGDYGVFGDGNNKSFNIPQRNEFFEGYLKKKQNLSIVKLKSCNNYS VALMSDGNLYGWGSNDFGQIGVKIEIGVEIYETANFPTQVLKDKFGDNKIVDFVVAEDLV AVLLDNNEVYWSGSKAEYSPARFPLPDGIAKITNIGCCYRCIVVATEDGKLYYRKKFFGE GNEDLSTGIITNDVATVFQNQNAKVLELGGAYRNRYAIVQI >CAK87967 pep:novel supercontig:GCA_000165425.1:CT868649:16520:18083:1 gene:GSPATT00002935001 transcript:CAK87967 MILKGINHQLDIVKNMIKILQKFNKTLFHFSKEVQLKQSETLGKGFYQQQIFTGCLAEYA YYIESNKEAIIIDPLRDIQPYLNIAKERGAKIKYVLLTHFHADFIAGHLSLQRETDAQII MGPRATAPFISKVMNDNEFLKVGKVKIQALHTPGHTQESTCFLLYDEEGKQHSIYTGDTL FLGEVGRPDLAVKSDVTQYDLASLLYASLKQKILGLPDDVIVFPGHGAGSACGKNISAGY SCTIGNQKKNNYALQEMDQETFVQLVASDLPKPPQYFFYNAGLNKTNQFQDLNLILKKAN TKLTPAKVVSKQGIQIIDSRNSISEGFIPGSINVPLQIPFAHWVGTLLPHDREIVIVCDP GNEEQTIMRLSRIGYDNIVGYLHFDDWKQSGNTINKPTELEVQDFLKRSKLAQIVDVRTK EEWGRGILKHAQLITLSELPQNLEKLDKLRDISIYCGTGQRAKIAHTLLVANGFTRVQYC KVGYDDIIK >CAK87968 pep:novel supercontig:GCA_000165425.1:CT868649:18124:18369:-1 gene:GSPATT00002936001 transcript:CAK87968 MSSEDEVIKILYLPQRMKQLQQLPIRNYLDQTVVPILLQAMTEVAKVRPPNPIEFIASYL TQNNPEKPQARQQ >CAK87969 pep:novel supercontig:GCA_000165425.1:CT868649:18398:19639:-1 gene:GSPATT00002937001 transcript:CAK87969 MIKFLILILGVVLAGTPYNQKKVLVLSDNPAVYVSHSQFFNLLSKSYRVDYKNIQSNTFN LQLFGEWQYDHLVLFSTTNDLKVSTQELLDFYDSGRNILLLGSTDQSKYFRKFLNSFGLD MHDYGSSVVDYFNNIKGNQNVVTTNNVNILKVDKPVAHQGAGLTMTPYETFQVYGLVRGS ETAFSGPNLSHNIIYVGATQGRNNARFVATGSWEILSDEFVNDSNLGNFELAQEIIRWGF GQSKILKAENLIHRRLDSDDIKPYNYRLREDCYFSIDISEWDYQKDQWVPYNSTDNDVIL EFVMLDPYYRLPLKKGEGSKYELSVKIPDVYGVFQFKINYLKPGYTFLKIAEKITVRPFR HDEYGRYLVQAFPYYFSSFGTMAGFVVFLIAFLLNK >CAK87970 pep:novel supercontig:GCA_000165425.1:CT868649:19656:19985:-1 gene:GSPATT00002938001 transcript:CAK87970 MLSKRKVSQQNQEDSNSIEILCNKIRKVIVSEVGEKYIQNHTEKGLKKRRTKQKSKIQDN QQHVEHMENEALEVEEQLSSIQAISESQSWVFEELFDEINKLNVHQDIE >CAK87971 pep:novel supercontig:GCA_000165425.1:CT868649:20111:22690:-1 gene:GSPATT00002939001 transcript:CAK87971 MLQSSYREGLQLKNALTNIGSNQLNLKSISQDTIEADKIKEINEKTILTISISTNYMLNR MSKRFDCLIPIKKTQCYLIDEMGTKVDQLFKKFNLQINNVDYMSDHIKRLTEKVNQDIKI RHSHYNSNIKIQDYKNYSQISQDHFKNKQTFNSFSNIEQKSRCRQRTKLVDILKTSHRIE RLVTNEFQLNQYNEVILEKLFIDHHLYQVLQEFNKNKKNPLIYEKLFRTLENFETPHKVE MKLLADQFKDITQNKTHEDICEKIIRNTVTHLENDMLKQIGHIDLQNLNRKFKEYYLPYL HDNEIYQVGQFYPSGILLVLMRCGKINEAEKILQTLISNDQNFAQQFLSFFDLVNLITYQ KKVINQNDVEEKYRQQCCDIILQQCYWLLMDVSEAYDVFEETKFQKDKDFFFWMILKTAH VSENYIQYERQEYESKKSFPHWTLAELHEVIPQTSVCLRTNLFLQRYDYILNELYKIQNQ CLSQIEYFILNSVITTLNIKSQINQDIEKQTKWIIDNIVNYTQNKYPLISCLILSVAEYN TESIANLLQRSNHVSQVLSDKTTKENLESIFGLYQLLKIINEMVHQHFEKNLHSALKDLQ QQLAMASTKNQISDCQTAIFLKLDEMSNYIRLLLNQNQETLIETAIYLYHETQFVLIIAS FIVNQYYISQLGVKQDKGQHLARNLEILHPKIKSLAKTFKQYYYLHRFQLIEIFQLTSAL IRQDQISLNEINSMLVNINVRELSKCLLDNKQVHLMKMVFCQILYLIQEKKDLDKSLTIA KIKEFNETLLKYPVSQGIRICKQEVQFSQSSVDILNNYSKILQIY >CAK87972 pep:novel supercontig:GCA_000165425.1:CT868649:22778:23457:1 gene:GSPATT00002940001 transcript:CAK87972 MHSQISLSEMFRSYEQDYKAHMESVMLDLLKMSKDRRDEADKSFSKVSDELQQTEQCIKQ MELEASTLPGSYKKELMETIKQYKRDMAKVEKDFKQLQLDQQEDSSREKLFNDRQINQAL LKQEDRLIRQTLELEAAKRTAYLTEQQANQISLNLHSQSMTLDNSIRKTQYIREDLGESN NLVKIMKNRIMKRKFIVYGVFGFLGLCLAIAIMSWFI >CAK87973 pep:novel supercontig:GCA_000165425.1:CT868649:23507:25030:1 gene:GSPATT00002941001 transcript:CAK87973 MAEILGDLLKQKRDNFRVELRRKATEELFKQKRRTYTNNVQTQLTDEIINIWQMNLVNQN YEETLNEIGLYLSNSTVEYNLKLINKHEVYSLLLNIWNKQELNYHIIEQLLKIFANLHSH NVFDDLKLLQNEQLMRKLFQLLEMTSYQKIQSLIFFLLANLTGNDNGVKARQLADYEFCT LLKKMWINRQISFEIENIRQFCWFLKNFFSYDSKNVAGFTLREVKDLLPIVLECIDQNDK EIKFYSLLTLKYMCQAKEEILADIFNKLKMFNKLIDMQRKSNDEEIQLKILDIIASFAMA DDQITTQLVNEFKILDFFLQIYIQPGRSKQMEFKKIILWGLNNLCCNQKFEVLQTIVQHD LIQFMQKDENETEIIKDVLEVIISVSQLKNTDLLKYFLMRGKIADIVMNQLKLKTSKIVM LMCLKIIHNFAFQIGKHSQYDLNMAVELFISKGLDKLLNQLEFDPDNDISLFAQKLTETF LQ >CAK87974 pep:novel supercontig:GCA_000165425.1:CT868649:25032:25810:-1 gene:GSPATT00002942001 transcript:CAK87974 MTDIQNVVHSKYGYNQFEMFMMVLITGITNFVVIPAIIIIRKQRMDFQFYISIFTLITSF MYHTLESVDCKYFIIEEGGWHRLDNVGSIVCFQMLFTQLSDLQNLHLETMLNYFGLFVTF IAQAKSPWDLNYTVGPILMQLFICIFLIIKRRRLPKLNKQKMKKGLLILLAAIVFFSLSQ DEYQDYLRFYHGMWHTTVGLFSFYVWQSKCDVEFTWQNFHTIPTLKESYYKDE >CAK87975 pep:novel supercontig:GCA_000165425.1:CT868649:26048:27680:1 gene:GSPATT00002943001 transcript:CAK87975 MKNTIRSFELTFNNNQRLIKKQINFQKHDESTNQDLQQIEEVKSTTKTQRIAHHSHIKGL GLQEDGTALENASGMVGQQIAREAAGIFVDLVKSKKLAGRALLMAGPPGTGKTAIALAVA QELGSKVPFYPMVGSEVYSAEVKKTEILMENFRRAIGLRIKETKEVWEGEVTDLKTEEKD DQTGYGKVVSAVVITLKTSKGSKTLKLDPSIHENLTREKVTIGDVIYIEANSGNVKRVGR CDIYNSEYDLEAEEYVPLPKGDVHKKKEIVQDVTLHDLDVANAKPQGGHDFVSLMNQLNK KKKTDITDKLRGEINKVVSKYIDQGVAELVPGVLFIDECHMLDIEAFTFLNRALESTLAP IVILATNRGQSQIRGTDIVSPHGLPVDLLDRLLIIRTTPYNLEDIIKILAIRAQTEGIKI SEDALQDLSSIGNEASLRFAILLLTPANILAQTSGREEIGRQDIQEVHELFLHAKQSSKV LEQQADKYIS >CAK87976 pep:novel supercontig:GCA_000165425.1:CT868649:27696:29321:-1 gene:GSPATT00002944001 transcript:CAK87976 MISQNYLQTALTRFRMEANNDRVNSIQVENILRSLNYNDSQIQSIVSQIKSEIGEMINYI DINQFQQLLQPVEQEIPIEKLTSEQIYAIQGEFKQALHLQHIEKVKHILNKYQKEVDLVN RIDPQTRQISTFIAIQGSDEEVSLQLLKLLCELGANVNYKDNLKQSIIFYVCRDGKTKLF DYLISQGINISDPDSYGQTPLFYASRENRVDIIQKFIRLGADVAHLDTLSCQTALFYAAS KGHFEACRLLIEAGCPVNHQDNKKKTALYFAKQSQKKEVIDLITASMTKQKEDVHQKKEE VVKVTEQQKQIKKKQKDVPKQQYKILHTDDTGQQRELTNEDFSRFQRQYPEIAQLILNAD DIIDENMINQCKEDETWEKLAKRLIAQIWKSKGAYFFHKPVDQKEYHISDYFEIVKRPMD FGTIKNKLNVNAYKSCREFHADMLLVFDNCALYNGTQNAIGQIGVNIRNEYLSLKDQFGL SKYL >CAK87977 pep:novel supercontig:GCA_000165425.1:CT868649:29434:29987:-1 gene:GSPATT00002945001 transcript:CAK87977 MAQPTPRIIKETQNLAKDKVQGIDVTPDPQNFKHFFVIISGPPNTPYEGGVFDVELLLPD DYPMSPPKCVFNTKIYHPNIDNLGRICLDVLKDKWSPALQIRSILLSIQVLLSSPNPDDP LNNEAANLWKANEGQALMKAREFTQKYAKKH >CAK87978 pep:novel supercontig:GCA_000165425.1:CT868649:31013:31901:1 gene:GSPATT00002946001 transcript:CAK87978 MQQPNPQYGPMTTQFPQNQNRNPQQPSLAPNQKQIYPQMIPPQQPQTVPQMPNNIPPQPM NQSQQQIRPNVISNRIIPQQEPLRSIRNQVQPFQQSPQQFINNDIPQSIRNQNTQSPQGP ASQKSNVNLSGQKPAFVEYFPPVYVPHIEPMITQTVVDNPIKVVDLNKFEEMWQKRMQGI EELLAAKQQPVEPEPLELRAVQNDDSERVIELENELFRTKSQLDDRDKEIMDLKSQLQSA IEQLDFENCNYIIYKFRIKQELSFKVNI >CAK87979 pep:novel supercontig:GCA_000165425.1:CT868649:32180:32714:1 gene:GSPATT00002947001 transcript:CAK87979 MQINTLQQTISNLKNDLLLNSQLIEKLQRENGQLRSDIQSYIDKCNDKDEYIAKLEQEIS ELNQHVDSLTEEITTTQSIKTFEEEAKIWKKKFKELNDIYHACQEKLMVKEAEYESLQKQ QSSQRIVTQTTVVKSNSSRQVKNDSDYISSSLTQNDIEKLQNLQKPLQL >CAK87980 pep:novel supercontig:GCA_000165425.1:CT868649:32835:33645:-1 gene:GSPATT00002948001 transcript:CAK87980 MGNKPPPPPQPPKTVKEMVKEFSRTIRKLQREFNREIMRMEMQSKKLKTDLEKSVKAKEP KATQRMLAAAILRNQQYVQKYQRLDAQMNDVMFQLNSAATTETLVQVMSGMSKMMKQANS AIDVKNVQQAIEIFSIESEKQNFLQEQIGEIMNEDQDEYNDENADKYIQEVEAKIAGAGN GGGGLKQNNLIQPMQQQQQQQQVNPQENLDDLDAKLKAL >CAK87981 pep:novel supercontig:GCA_000165425.1:CT868649:34147:34565:-1 gene:GSPATT00002949001 transcript:CAK87981 MNQQSRLAGDFINQRTNLLAQKLRESGEDSRLEEYLRQKLIECRWRDDLKDYCKEVIRQK GLEKITIEELTDMLYVRGQATIPNKVKEDLLSRLRQFFDENQI >CAK87982 pep:novel supercontig:GCA_000165425.1:CT868649:34589:35200:1 gene:GSPATT00002950001 transcript:CAK87982 MNSVLKGEQPFFDQQRRSTISPENGKQIQNILHRKINYSQTDLITPIAIKQSHKKQAISQ GLQQLLKSSPYSKLVSQKSQQQLQYQDSFSQLHLNGYTPNQQQSQLLFKPEVRTPSLNST NSSSIKPRMRNQARDSLQKKSFDSQNAISYRDKDKQTISQLQTIIQKTSVVLQSYKEELQ KNIQEKQDLLRQIQTLEQNKTKK >CAK87983 pep:novel supercontig:GCA_000165425.1:CT868649:35442:36099:-1 gene:GSPATT00002951001 transcript:CAK87983 MENSALIIVDVQNDFCEGGSLSVQNASKIIPQINKVRKHFKNVIFTQDWHPKNHISFKTN HPNYKQLSQLEQLNLWPVHCVQNSYGAQLHKDIQIRNGDHFILKGKNSKYDSYSGFGCKE DKTNLHEILKTLKVNTIYVGGLSFDYCVLFTALDAIKLGYKVNVLRDCTQAIDPENAKTK MDSYFQEIRRQDSSILENSLQILTSYEVEE >CAK87984 pep:novel supercontig:GCA_000165425.1:CT868649:36761:37172:1 gene:GSPATT00002952001 transcript:CAK87984 MQIPNYDRDSVCSFFKKLTGDIQFYLDEMKSDFAKNPPQTNIKDRVLLWKDKYQQSLNQC IMTYIMKTEQVDVSQFQFKEFLDRVTDEYQNDQEFMDLLKQCNQSIENLKDFIRTNLAKD PSIYVIKDI >CAK87985 pep:novel supercontig:GCA_000165425.1:CT868649:37274:39153:-1 gene:GSPATT00002953001 transcript:CAK87985 MPKIENTTGMSINLIIDELKSDDIRKRIHSVKHLDLIASTIGPDRTKNELIPFIQELLDD DDEVLIELVDSLSRNFVELVGGQAQVLLPTFEALCRVEDASVREKAANQIKKCLTQLPDQ KKVEELSIGIIKRLNDSDYYMAKNAVAILIPAIFNQVSQNNQNDLINIILKMSQDQIPQV RKFTSMYFQDLVKHFPLINETFIQNILNSFIKDEQDFIRMYIVDALIQSSKTPFFQKQQN LVLNMFKQLAEDQSWRVRFYFCDKLAEIGEAVTKEGYRKNFQNYHLKFLQDAEPEMKSIA ALKIERVSGLMDPEDILNKLIPLLKTIQSDANAFVRNALASSVLALCPIIGKKNTSEQIL PIFLTLLKDQDSEVRITLFKKLSLITNVLGVDSLSQSVIPALTELAQDKNWRIRASTIEV LSFFARAIGPEFLSDKVLKLLLDWMGDKVFSVRQTAIQQTAQLISILGLAWADKNLLTKI WAFQSIQNYLHRITVLFTINQITSQLNNDYIIKTIVPILQAMAKDSVANIRSNVCRTSIQ LAKEKGGNVVDPMKKILQTLSDDQDAEVKYQAKSALESL >CAK87986 pep:novel supercontig:GCA_000165425.1:CT868649:39221:40800:1 gene:GSPATT00002954001 transcript:CAK87986 MQQGQVKAIGNYSYNLKHCLGEGAYGKVYQGLDTTSNLKVAIKKLDLRNFERDNYLKQSI IQEIEILKKFNHKNIVKFIDLISTQRSLYIITEFCKDGDLREIMSRKKYNEQDAWKIMKQ IIQGFRELVSNAIIHRDLKPANILSHEGVFKIADFGFAKYVDNFSNQLLRSCVGSPLYMA PQILARKPYSTKCDIWSLGVIFYEMVFTDVPWKGRDERDLLKNILSVPVSIKKGFLTSKS EEFLRKTLTIEENDRISWEKVFEMFEVFNLPSDQRIQTSPNLNIRVNNHQNSSNNEKERK KSIFQAPSQSPMHQASAPVQPIAVQPQTPSIKNLRNEIIFRNFVLTTELFPRYTSNKNSV VEKLMICLSKTNMAMQNSLYTLANQIMVPAIKKDHDQYVQFHSDLIETLQRNGQLQHCDR ELDVNSKDQNCTDTECDKLNITLTTLIKQLCQEMLEEHKRAPSRPIMLLLENLVDIIIIH KKVQRCVEIDYDQLNAEKAEEDDPRKIMDTVIEKLRHA >CAK87987 pep:novel supercontig:GCA_000165425.1:CT868649:41101:41868:1 gene:GSPATT00002955001 transcript:CAK87987 MGSNCSSNQQVSERSTIMRQDSFFDNGRIAKPQMDSMIGASQLTQMEIPNAQLRSPTKPN ISKQNEIKSLVRVPSEESLFQKEFIQIKESKKQTNPKSETAIQPKLQINLQVQKQRYRQQ NQQESPKFQSSTKKKRLQEKSQSHSPLQNQQVPKEKSKKNRESLKNSHRHIEKIAPKRKY SDLPEKKDKVQQVRRKISDICDDHAYIIGLDSPTKFRSLTPNSILKRKESEIETNSPQKK QVRFKDQRQKNLICT >CAK87988 pep:novel supercontig:GCA_000165425.1:CT868649:41901:44497:-1 gene:GSPATT00002956001 transcript:CAK87988 MNISSYEIELPINKLSPYQQLYRGRHQNGQVYLLHIFPRQYLTQEMIDQISFRQKQLDFV FEKIESKEAILISYLDSAFWINLEQQIKIESLKSLYQQLYQKYSILDGNLDFDPDFIFTY DGQIFVLDYGISDFVNKKYRDFEFTTKTKTYLFGLLLLNLNCPKYMTDKIYQCKDQYQID YYIKQEAENNHLSYDILESILKMVKLDPSQRPTFQEVGQWRQFYSKKQVKKHSSSVIDFT NTIIPSNNTTSFHSSQRAIKQQNYQAYNQQDSIHNESVRAAIQKQKRQIPISNYKCSSYD FASATMTKNLQNKKQSASNINKDNVTQQSFYQNGNGQRYSQQSVISNNKTQNYFFYQKSV LSQQKLQQPVLSRDSSKTQQVQKLEKNSPFMSDGSQIPDIIVNKPVMNHVANAQKIVKHS NNDISTRNQPNQQINNLSYLNVPNSNQTEKIYNTQIPNAQINQDNFKSPFINMSNSQLKE TINIDMEEQSDYQNKQQKVLKQNKLKTPAHGQLNKKEDDKIEVLLLQDQANSNQNTIQTQ QNNQQVQGKKQEFIKRPFQDYQIITQKPNLDQKDKQGNNDQYQQNAQNLEILDFQDPKFL NPSDQLNRNQDNQIQQNVKFADYIKKYFHYLSIIEYIILTVNDLNQKLMSQGKQWIVPLT LVYKRGYVIRRLLLQDVQQEKNIFDLPDFIAFKATQQYQQLQATITQQNDNVEQEFQLYL EKACSYLITMEDVSQQKLGPQLNLNIKTSTKIQTQKYLYTQLYPKIKSSLTKAQAGNLQN NQFSERDWIQSKLLCLYTILIIDLDLYQMESQNFKESTLIEYRKSKDNDLVQIHCNELEI TINKLPQFNA >CAK87989 pep:novel supercontig:GCA_000165425.1:CT868649:44534:46011:-1 gene:GSPATT00002957001 transcript:CAK87989 MLLNLIQIVFFNLFVWIIKPLLGFGLSFLYYFTYSTIEFTDFILSLFVRDCEIRNKNFIN QVRIKVNELRPYPGVYTSATDMITEKGYNLEIHQILTEDGYILTAWRLYKTINKEYQCPI VLQHGLLDSSWSWFINNTNEQTLPYILADKGYDVWLTNNRGNKYSMGHSKIPGVQYNKQY WNFSFDDIQKYDFKAIVNHVKRASQKEKVIYIGHSQGSTQAFAYLSNNIDFQENLKCFIA LGPVIYIKNSKSVFLQFAVKTWIFEFTRLIGIPYFFVFDDCFNLKIGALCDMIPWIYRKF LFSITNLICGYPLQNKIDLKKFGFMVSHEPGGTSTKTLVQWMQFYRNGTFSYFDYGRSRN ITEYGQSVPPKYNVENLCQLKIPKYFYIGSKDVIADEKDLQKTIPLFDPSTLQIKIINDY AHLDYVWAIDAHKRLYPNILENIEQNQTL >CAK87990 pep:novel supercontig:GCA_000165425.1:CT868649:46302:47076:-1 gene:GSPATT00002958001 transcript:CAK87990 MGRRPARCYRVSNGKPFPKSRYNRGVPDAKIRIYDVGRKKANVDEFPAAIHIVSDEKEQI SSEALEACRVAINKYMIKKVGKDAFHLRCRVHPWHVLRINKMLSCAGADRLQTGMRGAFG KPNGLCARVKIGSILYSLRCKDASINHAMEALRRGKNKFPGRQKIVVSAKWGFTNYFRSD YLKYKANDELQYYGTHVQKLRQHGKLDKLKIFQALRK >CAK87991 pep:novel supercontig:GCA_000165425.1:CT868649:47437:48764:-1 gene:GSPATT00002959001 transcript:CAK87991 MDQLLNMRPSPKSLYFRSSPGSKLSFQSEDDRDTALSSDFTPKPKPKPLQGMSPTNFSPE NDQTCKSSQKQESMKQVKIPKLSRFSTQRIITVNEGDKDEEPEIIDKESQQHQIQSALVI GDQTKAMEEQLKKIEEKIKVNPKLKQIKSKPISMRNFQKVGESKQDKLIDHPFRHLVFNP TISEETFDKHLRITQRGLIYSKRCLKGPSDQFIEKKKISLNRNNPQILNTIFLDLDETLV HASLSKDNSQVKINQINDDGSETEIGINIRPYTQYFLQELSQFYTVYIYTASSQQYASAI VNYLDPKRQYISGILNRTNCMETKNGFFIKDLRIITDLDLNKTLFVDNLVHSFGLQIDNG IPILEWNDNVDDLELKYLLEYLVDASEQSNLREFNVAQMQLDQLLDYIIK >CAK87992 pep:novel supercontig:GCA_000165425.1:CT868649:49265:51280:1 gene:GSPATT00002960001 transcript:CAK87992 MFKTPTYLPQHLLSQVEGARGRNEKRKAIDHYSYAIDQEIGKGFSSRVYKGRDERTLQNV AIKVIDMKQQIHTQLLKNEIRALKSFSHKNILKLFDVYQTENNTYIITEFCDSGDLEKFL RKNLKIKEPEAIRILQAIVHAINEINLKGFIHRDIKPANILLSGNEPKLADFGFAIPIWQ ARIQQRNINVGTPLYMSPQALGDYDYSEKGDVWAVGVVYFEMLFGKKTPFNAQSEAALLS NILNQQLIIPQTPSVSELSKDFIKKCLQKDDYLRFNVKDMFEHQIIQSKHFYSFLQEDPF QEITNITSSINTLVKKNKRSQTQYFKRISTKDYSTLQIDLQEQNEKCQVQKEVSSRSSSQ NQMQLQSQKMKHSSNTSYQQTNNNIRRQQNSQLSAEFKANNEILLFYLNYCRYLFKFSLH LANSKFFQFEYRDKILLILGKCIVIKICQLSQLMDKENKLDNYFSLLDYDKYKESEQFNE FCSAISEYQLKYMKHFQNTLVQALRNNFQIDPIIGSSCNDCLNESNTVYEIAMYYIDMGL NRLLLNLHQLTGQRSSDSKRNSDVKIEQFQPQQILFIVEGLNNCKELILMIQQCKQDYGK FYDRFSIDRIIGQRVDPINYKLTEQQIQNQF >CAK87993 pep:novel supercontig:GCA_000165425.1:CT868649:51327:54581:-1 gene:GSPATT00002961001 transcript:CAK87993 MDKQPLLHNFTITPNDLFQIISSQNTSYTATSLSKMLDTDLQVLNALFLFQDGTRLFKSF RSKQTKEAVKILNYNRFGTHKIHDSQTIRYQLVIQIIKNKWHQIFFALTLTKLALSMMFT ASKNERMESLFILVFSLLQLLIRIFKTDNQELLNNLRQFQNSKVKLKRKDRLINISSDQI VVGDILIFGEDQLIEVDGILIPNQSNQTLLFDTNTNKLPFVRSGSKVIQGNGQLLVLAVG VNTYHMKKCDLNLQHEYTTPLQERLNYLQQQLIKLFFVLAIIPLVIQFINYCYHISIYEY SFDLVDSIHSIIKQSQISILLLLMVMNDNLQKFVSTYLQYNQKRMKRDRIKFQRPSSCEI LGRINNICTSKSQILTNGNTRLVQIFAEEQLLMKFDIKKLRYSTIQLIQENICLNSTANP KISYRSNDITVFEKFGNEIDCALLEYCHELGQDYTTIRKSYQNQIVQYFKFNSDRKMMSV LIQLQNKYILYTKGAPDIILENCTDFINCKGEVQKLTEQYRQQIYNQIKKFGEESYRPLC LAYKTIENNLNPKQLSEKQMEKQLIFVALLALEDEIRQGVQRSIQQCKEAGVIVRMITNE SLECSISLSKKCGILPMNYQHQKDSKKVMTGKILNDLVKGITYKKQDGQIIPKIGDMDAF AQVAAELRVLVRASLDEKLAIIQGLKELNYVVGVFSHSDEPAFYRLADVGFCQNSKIIQQ YNYMVSVSILDDNFSSVIYSIDWGRNIFDAVRKFIQFKIALLLSLSILQTFSIFHYFNQT QLLWITFIVDFTAFFMYATEIPSRRLYERQQNERYHLITSNMWRNIISQIIYQLLVLFMI QLSTQKYIAMKNGLNCYHFDLKSHTLLFNTFVYLQIFNLLISHKIRKTDICISNNKRERT KLIIQLVLIFVMQYLVVQEDIYFIFREHCNFIFNLSKLTLKEYFGSLLLSSFGCVVTYLF KFINDKYFNWITLFRSQDQRNHYSSFDEFLQFKSILRQIRSYPTEKEENSEIELTEKQ >CAK87994 pep:novel supercontig:GCA_000165425.1:CT868649:54581:55170:-1 gene:GSPATT00002962001 transcript:CAK87994 MSLVLEKADSFRHIIRILNTNVDGKQRLAYGIRSIKGIGRRFAIQICKVLRLDLSKRAGE LTDDEAHKITEVIKSPEAYNIPRWFLNRQRDFKDGKNYQVTTNELETKLREDLERMKKIK CNRGLRHHWGLRVRGQHTKTTGRGGQTLGVERKKK >CAK87995 pep:novel supercontig:GCA_000165425.1:CT868649:55561:56016:-1 gene:GSPATT00002963001 transcript:CAK87995 MQIKHPVQVLKKKVEERIEYKIQWNTGSITYEPMIELTPEMLSLVNQWELEEHYKKNSKS SEKIESKTMKIVEEQLNQMMEVEKPKLTPHKERSSEKGYVKSLRKNEGRIEFWIYIHEEG IERWVSLEEVKSRIPIALCDYLLQKIKFGGK >CAK87996 pep:novel supercontig:GCA_000165425.1:CT868649:56085:57414:1 gene:GSPATT00002964001 transcript:CAK87996 MHKDFNYAIEIMEIEKIKLHEQIDYLQQQIQQLTNEYSDKILEKDEELVTAFLKMQQAEK LNNELISKLQSTKKNEEQLNNANYNFITLQRKLDHQIQENQKLEQKVKLLSEQSMQFQQK WQRVSIQLKQQTTQKVSARTIEQQLSLKQDECQQLKEKLVQYQLELTIVKQSDQEYKQSI HQKMQVLYQELREAQQKLQQNQYISQQHKQECQQCEYFRNETEQLKQIINQDQISQEQNR INSQINQQQHQQMKEYQNKIMELEYENQNLKQQIQLPESISNLKSNDCLNIKSIINHPLY IKMTKLVEQLTNQTQQELFRKSLNELGLEIEKRENKEQQLQECRTMYDRLLIKYYALQKS INKIEENSNANYYATQQITSSKQQTLQKKTNQLDSFLQEQETTKQMKQSIFSNTPKQRVN NKLNLSLDSCQFKK >CAK87997 pep:novel supercontig:GCA_000165425.1:CT868649:57487:58039:1 gene:GSPATT00002965001 transcript:CAK87997 MQYCQIHNPQFQQTQYQSTSQQQQLLPQHVILINKYKNKKKAYKESLNDLRNQMKGIFDK QLHQEQELTQLKTQYHLEKEQWQQEKKILNSMLPQKDLNFNGLQKEKKSYKEKKQKLKHE LDQYKQHCEIQDGKLQQILLENSKLKAILNGRDQECLALQEEVEYYQKRLIQLQRQ >CAK87998 pep:novel supercontig:GCA_000165425.1:CT868649:58068:61530:-1 gene:GSPATT00002966001 transcript:CAK87998 MQYRPNKPPQSVKNQMGSFFPKQPFSPTKSHGEHFVSENPTPHYKKSSQPYPSRQTSFNI SSGESLEQHHKKRQNSNFSQEKKAQNLLFNILFNNAKPNDSFCKVTKKQTRQKPINNQIQ NWYLPNQQKLSLTGQKKQSNINNSMDGQQTVDLSFENNLIKGYQDHSGFQSDTTKPLDDY NPRAIKFLEKGKQLLREKQIEDAIEQLKKCIETDFRILEGHYFLGIGYLGKQLYQKAIAE FQYVVQQDATHRKNIYLLLAISHKKINELDSAISILNKVIQLFSRYYDAFIYRGKLFTKL NQIEKAESDFNMAIQLSPQKGLGYLGKADCLRIQNQYKEAISYYQKAINCEQAVGNAALL KKAITLYESQQYDECAKDLSKLIETDPINSEAYYFKGLCKLKTKNPTEALLCFEQAIKHN NSKKAVTKSLYEIAKMKIEQRDFYAAFHTLNRSALLDTEKSYLEKFRLFTEAVIHLMKRK FQESLNNFQEIQNHHQLNDFLKPLFYAYRAYGHFCLSKHQKALEDYKYLLDIQPAEPSIH YNKFLCEGILKIQAGQFNAGMEFFQRAQKIFQKKMEPTFYQGVTLINQAFKKKSDQYKDI RKGLELLDKAQSLNDQNANLYYVRSIVKCFLGQANEALVDIESAIQKSEDNVAKYFYFRG MVYGILKEYQHSLNDFSICLTLDENFADAYLNRAKCHFLSGDSNSAFQDLQQYDPRMHVW AGNFLFANAAIEDAIKAYSNNKDFKNNPKLLQLRSECYLILSDLGLCQEDVSRLYKLTKD QTAEFDKDILSALRLVFKDDQQIYFNEESESEQMEFKTNLNKAVNNLTQLTRGKGRLFQQ FHVYIFRGAFHFHLEKYEEALKDFQMAKSQKELQITEKRLRQRGSQTDLFTDEEQFEVTE DEEDADLLEILEIKFNELICLVILKQFKKAKEICKQLIENINEAKQEGLQILLMHIEQIL MKDQHPIDYQRVICLYDEPKENIICFQVPIIFIDGLKIRLSFSLPKLSPPSLSIVFDKQL IQNIGPLSVENKPEAPWIRREQQDDMIIFTDNVQFIDDIRLETEIEDDHQQQTQAQVQAV EITQIKNNLMLDKDIEEKLQRFFQNKQQK >CAK87999 pep:novel supercontig:GCA_000165425.1:CT868649:61587:61772:1 gene:GSPATT00002967001 transcript:CAK87999 MIFSGSLRTTTPEQKSQIRTKKHFEVKHDLEDQLLSLKIFKQLEHHLKHQNQKAHFPYFQ S >CAK88000 pep:novel supercontig:GCA_000165425.1:CT868649:62481:63128:-1 gene:GSPATT00002968001 transcript:CAK88000 MKILKKTQPQEQTAVQPRANLYLNAFNKFDPQEILNKEKINKNTQQKNLLHQISQLQLQV DHQRKEIESQINQIALLNKQTNHLTNEIQEKNFQLQINAQTIQQLQTINIQQQQIIENLS LENEYKKQFNKLCHKKESESITELKSKIQNLESEINKTRATFTLFSPKNETFQSDSQSLK NKTFDPELLSEDETLTSTFEPYCNSTQQSFKKSAG >CAK88001 pep:novel supercontig:GCA_000165425.1:CT868649:63319:64655:1 gene:GSPATT00002969001 transcript:CAK88001 MLNEKVIGEYVYLVSDECKVGVGQFSHVYKGYHEKTKKQVAIKQIDKKQIKGIFEQMLRN EINILKQLNHQYILKMYAYYETSNNFYIITEFCETDVLQILKNQGSLPEEKVIAYVLQIS EALKYLNSKKIIHRDIKPSNILIHEGEVRLADFGFAVHQDKVGIEDRQFQIGSPLYMSPE TLLKNQYNHKTDLWSLGVLYFEMIFGVVPFFSIEMDDLLRKLQQYQQDYILTFKYPVSEA STEAIRNLLAYDPSHRCEIRNLELILKNYYSNRAAGDASIHSQKRTITPDKRKKDSPNQS PENRSKAQPIKKELVKVSCSAKCFDKNSTYFTKNKPNKEDDQQNSKREENLGTDRKDNEA IHQISGIRLHDLTVYNEKVTLENMSNEDFLAFLIKKLESVKRQDPSQNIQINECQLLLV >CAK88002 pep:novel supercontig:GCA_000165425.1:CT868649:64858:65453:-1 gene:GSPATT00002970001 transcript:CAK88002 MQKLIEILNGESYEDIGLVTETFRNLISISDNESIIEGAIGEYIDQLARLLIYQNQELRE IVLEFFCYLSDLKMATRLSIAKHPKILQRLVAILSTGQIKSNSQKSQEQKSNQDKINEKH VKLAAITLNNISQAPAAKQYLLIFEKELFFVAASDETVTPLLSQILFELSIAE >CAK88003 pep:novel supercontig:GCA_000165425.1:CT868649:65481:66075:-1 gene:GSPATT00002971001 transcript:CAK88003 MYSCNYSQTFLIDQYPQLLDTITTYIEDTIKQISYLNKSYSFKTCLQSVGQQQLENLGYQ QRKSMQVQVDLIKNQNALENYNSHISVIMNDQMTEMVKPSELIYQKKYIDLIQGNYEKKS ECKHLEKLRTLFVALRNLAMIRSNEACFIKQDKLLSFFYQILLSGADQELSKSALETFSV LSKRTVHQ >CAK88004 pep:novel supercontig:GCA_000165425.1:CT868649:66122:66738:-1 gene:GSPATT00002972001 transcript:CAK88004 MDEREEEKVFIQQLQKFWEQRGVSVKIPQIGGRELEVFKLYKAVTKRGGLKSCISKQIME RNCRSILVSSHINHYQKLLLAYEQIIALLWQKRMGYIYDEEMSSNRKKTKIGYEEENQQA GYVQYYYLFQSAEAEDRQHQMEFSGQPLSALLNLNYAKVEKAETIFFIKKSKIQAQASEV KKNYPCF >CAK88005 pep:novel supercontig:GCA_000165425.1:CT868649:67016:68232:1 gene:GSPATT00002973001 transcript:CAK88005 MQSPDKQIHCVKTQSQAKILTYGPSPYMQSPLLDVKYINQNNITIQPSPPQKLENSSQYK LNTKTLSQNFDVLKPSNQSDTLKQQNDVKPYYGTSKQEYHKTHGNYQSDRNKDTTTSERR SNTLNKEATIIESKENYGLTTKSPITDNHLAVGNSRLSSQNHGFLQLKPKISTEIAKISG QLRDLMSSVQKMATNGKLPDNINDKMIEILATFRKFEEISHVEPNENESKTLNMAEDYNK LKPILEQLQSKMTALVQENQKLNKNLIEQEQKVIEEQSKRQLAEDKANQTNKEFNRVFEC LQINQKENEELKMKLQQKDAFNNQKIHQSITKNEDVQDYKQKNLQLQKDLELLESRYKQL LAEKANSKELPKSPLMMKSIHSSTSKQQEQLELKLL >CAK88006 pep:novel supercontig:GCA_000165425.1:CT868649:68314:69773:1 gene:GSPATT00002974001 transcript:CAK88006 MIQKLDEKIKSIIKEKEISQEQQFQIYHNLETQNEQLKKTLLVKENEISTQLKLKNEMER ELKSQINNHAQQLKMLDDKLLTSKQDYQKLLDEFKSMDMRKNKIQEEYKINQQRLDKLNQ DIQLQSQELKKTLDNNQTLNLKNDQMNQQLSQLNKVQQQLLQQIEGQKQTETALRMECDR LNKMNQATQQDMKYQVTELKEMVQLQGRRTQEKENQLNELLEEMKDMKDQYEIQKKVSQE SISDLERRLKNSKLQNEELLQIKEQEIIDFKLTMEQQLQMLDKRNALSSYDFDQREQQLQ VEIQSKNEQIEGLKVELEKIRSQNFNAQEELLKNGISQNQNQATISNLRNELNQSKQEQQ HLNEMLKKRREETEQLHQSLEEMRKEQQNKKQQQEDTRRSILRQQDLQITNENLQRDNLT LSQKVNALQNDNNRKQRELIEKNDEFLNIKRKYDETVQNLERLEKRWGEKIDQHRRT >CAK88007 pep:novel supercontig:GCA_000165425.1:CT868649:69801:70385:-1 gene:GSPATT00002975001 transcript:CAK88007 MSSKLFKYKKQGENFILSVKDSQELVQQLRNEHTHLSKQIAECERKKQLIEDKLRELSIK FNTFYQYSDQPELPHMVFKMPNEPIQEESITNQEIFYQFNDYQEYIPEKQENQIKTKREL FDYFSYKSDVLQSFEDLKDITILKKLSEQFGIKTNNTNKLKQQLKIIQQYLQNQSFPASW NQIYNAELFEKLEI >CAK88008 pep:novel supercontig:GCA_000165425.1:CT868649:70399:70981:1 gene:GSPATT00002976001 transcript:CAK88008 MLQANLNLQELRLEKDDDQLFYDLNQVNSQNLDEEAEQSDDTEDDFLDCFRYSVIISPIR QQSKPQTLQPQFNQDYQINPLCIKLDARTTLMLKNIPLEYSLKDLIMEVNSFVKGKYNYL YMPYDQIVNFIILIKKNCNIGYAFINLITPNDVEYFYQKFDQKKWKLNPDKICTLRYAKN QLSNY >CAK88009 pep:novel supercontig:GCA_000165425.1:CT868649:71162:71645:1 gene:GSPATT00002977001 transcript:CAK88009 MEKEQTFVLIKPDGVQRRIIGRIIQRFEDKGFSMVAMKMLIPNQELLQKHYEELMFKPFF PCLICYMLSGPVIAMIWQGKDVVRQIRSMLGEGRNPVEQKPGTIRADYALELQRNLIHGS DSCESAQKEINLWFNQKEIVDWKPSDLNWVCE >CAK88010 pep:novel supercontig:GCA_000165425.1:CT868649:71682:72413:1 gene:GSPATT00002978001 transcript:CAK88010 MQKSQLIRVLSDSNKSLNPFAMSRQSSQETPTNQGNTSQSQTSEKEIARLTRLVGSLKKE NESLLLKIKQFEEIDYKGKIQTLEQKNKQLESQIDTFKKLPIDQIKTLIDDNERLTSIVE NQSTKIKTLLTQIDDLKDSSFHNEGKNEIIIAMIQVRISIKLKELENQKLYVQDEYKRQQ IMNKEKQTLYLELQMAKQQIKDLNQTIEKIKMINLESSEPCDLLHQDYLKSHEAVLAFVK NQY >CAK88011 pep:novel supercontig:GCA_000165425.1:CT868649:72525:73154:1 gene:GSPATT00002979001 transcript:CAK88011 MEKQQHLDKINQKSKKQQAVFNNPFFKQQDDQEEQENIIESKIQQLQDVQVVIPKELNLQ IQHKVKSHIIDHKLKNNYDYVRLKYIIRIWREQSIKLKISEEQVKQQLFKAAKENMIDDM IKNQKYEKLRQQQEQEKIIEAQEDEKSQMLELEERVKQKKQTGTAKPEQQMAAQSQVDSF EQKNDLFKMPDQDLIQYIPYCTDIYLGKD >CAK88012 pep:novel supercontig:GCA_000165425.1:CT868649:73213:74842:1 gene:GSPATT00002980001 transcript:CAK88012 MLQTNSNKLNNLEKLIKLLSKSNRDFNENEIILQLLLSYQYFKYFYDILIQHLPLDRIIL MMDSLKLETFKENSLIFQEKEPSNSKIYLILSGSVYLLRNKPLQQFQFNSTNSNYYNKYG FIVRELKAGDGFGDKSFLTNQPRSLSAISNEKSQLLILDNSFLKGFESAINQSQHKAKTL IFNFFPSIKLNYSNARLESIFYSFQSIYLARDEILFEEGSKGNEIYILQQGNCMILKKFQ NENISIAIQDNNCLFGEEIFFSEKYDYTIKVQSLQSCFLKIKIQDFVTFLPEECKKVLKS HYDTHKNDRFRLYQLAVERYQKQLEAQRLKLESKQSQKLQKQLKMQNYSQSETELQMRFD KDISNFRNFISVRTSSLYFNSTRGFQAQKFNNYSPSFIIDQQYKLLKEPLNPINSPLDFG KGEAEEMRKRTQVQLCVMSMEKINYLNQFKSPKVHQLKNKFLKTFKQQQRKSVSQMSIST ALTPKKRLSYFSPEASMMSLANF >CAK88013 pep:novel supercontig:GCA_000165425.1:CT868649:74874:76845:-1 gene:GSPATT00002981001 transcript:CAK88013 MSTPNHTDRLREDKKEILFENLDVRSIEWRPYRCFVILYLFNATIALPVFTLKLLYLYLG QIAKSIRFFRIIQVIFKQILFQALKAIMFFTCDLAMALSILMMYVLVALRYKQTINYEDW AISCLIFLQSQILMSSYVLTISIDRKEMDFDFLISDANMSKLGLGRLYQKLLQQNLSPEK QEELKQENLYKQIRDAMETENIDSTLYYIALDSDPGICAIDDIISLSDHSIIELIERARK ILQGQPDIPFERKQFEQPANKLKILKELRVLGFRGYRHAIDNFLWPHYDYIKVKQEKEPA SILLIYLAVLLAKGSFPLYLLSQSDETQEIEFIFFLCFLGMYLNMIILLIDLIKCNDIQG KRYILNVLQTMIIPNKAQDQIEDENDSGKDEIPDDLPYNSDYKLDFSCNLSLESWDNMRR LTLLIDNDWMDYNEAQIAFVFLYFIYLYMNLSSVFLDFDLIPVLHAFFQDPILEWNMIVD FTCLALLFLNRVYQGTLYNQTFENIIESIKKIETVYDDKKALFDFYFSSNLMENIQNETY RKITQKIYCSACDKVIYTLASKGIQEIPLLEFLMLAKSQAKQRVFQLCATMKKVKDQIEF DNDNYSHKMLGIYRSNIWTVISTFGFLGYIFFRGKIKGYLNKHKAKQL >CAK88014 pep:novel supercontig:GCA_000165425.1:CT868649:77346:80372:1 gene:GSPATT00002982001 transcript:CAK88014 MISFMVMSNILSDQMKQQYKSKSVFWRQEKEKLLQTLQPKLNNQQQQRSRTSQRNSKYRY LVESLYHQDTPENNKSIYNQFQQQRSSQFQHQQQQSSSKNKKNDELSFSTIMNKYYKQLE GSNTKQHIYDPFAEQIIESFQELKNKKSVKIKELEECASDNYQISNSGRKPNIINKINQI REFLDQQLSPKQEDPNKEGQINNQKCSNADISTRIFRYKSVESKQFLDQSQRNSIPKVNE DINKRRSSKSCTTLDVGIQVSLIGEIESKQNVQLGASDHDNKQNCNQEFKVSLKDVLKEQ IQQTFSQQSQNFQSLVSQYQLPQQQKQLSEQHSERSSRRSQFQESNRSFINNEERQNSFI KEADCSKAGTNLQIEENLKQISQRAKNNQEDHSYQQERLSHRSNINCLIINPEKFSIKQN QDHQITTSQQNQQSLGNSKFSLKNKENFEENNTITNSEVKLEQEEPKLKEIQTIKQRNLI QIPKIINSLNFQKLDTHNDFLGQLTSVDTNRFKQDLQQILEEENSTNALRVKEKQQSIDL PLTSNRSSLSFTDPMIMQQTNQLQNVEESDVAQTDQRIIDNATVFTDGNLQYSEDTPKLR VTTSLSNNNLDEGKYQGNSQLKSEIQLQVTKPESKYQQKLLSSNELNCMNSYSFEQWLQQ NEFNKKVCNQNLHSQHFQKQKCDHSTQINKVDSDSDEEIQFIKQKQQQFQLNQGITQLVR NISSILKIRKIKSFYEIREFSISESQKLQQQQQSQSSTQQQFYNFQFESPYQSQTSCNTL STQLVQKQQLNQSQQSPKYQLDLKSLNQTSREQAACEKISSLLKIIKEETGSDTLRLIQN KQSQLSKSKRKEKKSETTTFSQKCDYKTKNNTPSPKYQSVQLPVEQINDRIKVNLIQDLE LESPKQKHTEHAELNDNIPIAEPMEFQEVQVIEKQKREIRENNWSNNCSNILSNQCGESK NFYVLSNHIANQKAKIARKFQQIHYKSVGQSTSRKENIQF >CAK88015 pep:novel supercontig:GCA_000165425.1:CT868649:80561:81063:1 gene:GSPATT00002983001 transcript:CAK88015 MSKQQKKNNKKNHSGEDLNKKKIAKIYDDNLVKNDEEDQDFEMDSKIEEDDEIEQDGEQT SQHSSIDINAYLQQRNQIPDTDEDEEDVQQNQKQQSTKKNNKTQKSKKKIQQKDFEDEDD DSSSEEDEIDDEDVEEPKPKKRVQIQQIKKKQIVKKSK >CAK88016 pep:novel supercontig:GCA_000165425.1:CT868649:81432:83174:-1 gene:GSPATT00002984001 transcript:CAK88016 MYLSARTQGRLANLIITIAEGEKKTEMVRQVLAEQKMFEPYTAFRRLDQLRTGELTVSDI VEFLADNKIYPTKAQSDYLFRRLDLNRDGRITYPDFVKAILPKEDSRLRQIASLRDSYYI EVNMLLPTEVEWGLSRVFQQEIQNYNSITAAQEILTTSADFTSLDAFRCIDQVYLGYITI DTLQDFLRQNGAKLSFDELTAFFRVVDSDEDGRISYSELLEALTFVPDFFQQERQIANEL RRSRERIIQIEREREVLEDLKKSRERVEDLRRSRERLEYMQDLKRSRERIEQLDDLRRSR ERLDDLRRSRERLEDLKKSRERLSQLEELKRSRQRMEELEELKKSRERQAQIDELKKSRE RLEDLRKSRERLEQLEQERKNQEKIEELRKSRSRIEELQKQRRKVEELRTSQERLEQLKK QKEEIELRRSQERLEDLRKSRERLEQIEALRQSREQQRALEELRQSRERVEQLRKSQLRI EQLENERKVLERSRQLERVERELEYERQRSKERLERLELEAELERRARDRALEYEFTTQS NFVQSRIYDTPKRYK >CAK88017 pep:novel supercontig:GCA_000165425.1:CT868649:84424:85976:1 gene:GSPATT00002985001 transcript:CAK88017 MSSKGHDRQKILSKEQNVGLTKEQYEKKYLFHRLKERFLHSQDLSLETITPEGHENIYLP MDIQTPTQADGLSYEDEQALRMHGAQICFQACNHLKLPLTTAITSLVIFHRFFAKNSFVD FDYREISMASLYLAGKVEETLLKTWYIAGAFSSVFQKQKQAPLDIIIKQEKLILKELGFE LFRVSDHPHKFIESFYHFIKVDKQVAQKAWYYLNDSYMTDLCVHFPPQVIAAGALYLALR VCNHPMPTQPWWILLEATLAQVEQVAATIYNIYEFEKLDFRQARRILAKANRVAYVIQHS EIYGLPEKIERPLETKKQNSLQPFQQQSQQPQQIQQESSQNNKEKQEPSPNNKEKKSIKK KSKSKEKKSKDKKNKSEKKKNKDKDKEKEKKKEEKKKKKKSRSRSKSNDKKLSKKQKKKE KEKEKESQREKEREREREKENEKDKDKEIKDYIVEKDNQKQDQENTFSRSKFNKRIRSII RQRYDQIQYGD >CAK88018 pep:novel supercontig:GCA_000165425.1:CT868649:85985:86404:1 gene:GSPATT00002986001 transcript:CAK88018 MNKLKTTTITSNEQIRDDNIHQNSLANQESLLQAQQTNQDDQQQNIVPIDDQSKVQLPLQ SKQDFIAQMKALLKTQQLQKTDDVEKKDNEVENIQIEKIPKRTNNLMQLNQKVKLIINCV VLAIKKE >CAK88019 pep:novel supercontig:GCA_000165425.1:CT868649:86428:87181:-1 gene:GSPATT00002987001 transcript:CAK88019 MGKPLTAELIAQKTKNDQLFQIKNLNLWGNDIDDLKALRQLPNLEVLSLSVNKISTLKDI GCCQKLQELYLRKNCVSDIKELRYLVHLPNLRVLWLQDNPCADHPSYREIVVKYLPNLVK LDNTTITNEDRQNAQSVNILEDDFEEQTQNSNILNRPKSSLKESPKKQPFIFNQQEQQRP ATPEINSNQQNSFNNNNKNIERNENILCAVLSLIKELDDGSLEVVKKDIDRKLQKNNLKR IL >CAK88020 pep:novel supercontig:GCA_000165425.1:CT868649:87205:88485:-1 gene:GSPATT00002988001 transcript:CAK88020 MNSTQQEGSSLLKDLERIKKKTRISNKIINGQLDQIIAEIEEAKINNDFSQLKDKLQNQK PLTKIKQTYNECYAYFSKMGKNMDKLFKKNLQYGQEHVELDSRVLTELIKNHLLRDGEFE AYELLVKESGSEESHFHQFFAEIQTIVKDLKERKLESAILWAEKRHKRSPSNLLYELLKQ RVIQLVQTEGINAAVNFMRNSDSFQEQAQGRLYEICLITYSVLLWPNLENTKYYYLYDDE RNWPRILNLFLEVASKSQNILIKSEIRTVFSAGCLAMPKLIKYNQITRNRSSEVLTNDIP IDIEIGKDYKYHSFFVCPVSREVTNTDNPPVLLKCGHVISKLSAHKMIANKQKFKCPTCP VETKGADLPELIFI >CAK88021 pep:novel supercontig:GCA_000165425.1:CT868649:88836:89603:1 gene:GSPATT00002989001 transcript:CAK88021 MRTSLALRLRNSIDNTQIYRDSKYSVHVNTQDPVLSPRKSVDKTTIHQIYKITQPLQIKN KTIKFNRDVSGYNINYNSSVDVSMEELKKIKTLEKSLYYIQENRQMADNKIYIGKVLKEV LQILQLFFQNPIQNSSNLEKQPKRYSLPVLTKKQSPQHNNSDKIKVPMLPVIAENANANA KQNNFSIEKCLEDLNKIKSGGHKEHPKSTERILDLLLLNTCDLKKIFRNQNQKNKRKIIV QSKVPQDFFNILKNF >CAK88022 pep:novel supercontig:GCA_000165425.1:CT868649:89632:91100:-1 gene:GSPATT00002990001 transcript:CAK88022 MDFYQIAARNQSNSDSADYDIINIEYAGKDCPEYELDIKQVEMEGNPTNLPKEYLDNYQP QQENFIYYKVEMNDSIFGLELKFEIRQFSEFILISAIQILRLNNLSENSIYPGMTLKIPI KHALENPLEQNPSKEEPSNYSALYITQIGAIKGSFTITSSFVSFEPEKHCRQNDEIILHA QIRDIDNLHLQILLKHIVLVEQQELSQFFQKNVALNKNNVITIIAQTKKQKIVVLKLLED NRIDEFLNLINQKLQEYCNNSGMESQISQSTTDYYDQKTIIPYHFEMNGQIQIQNIEQPK QVICRDSQLTQLESKSEIITRDKFISISSHLPFLFKGQKWQCIYSSIQHGSSILTLMRRT ENKLPSVVLVRDLDSYLFGAYLSDGIKNSYGKFYGNGESFLFTFKNSSDIAVYKWTHINN YITLCDTDGLAVGCGDKYGLFVNSDISNGYSCHCETFDNEVLSKNNKFIIERLEIWSISY N >CAK88023 pep:novel supercontig:GCA_000165425.1:CT868649:91119:91371:-1 gene:GSPATT00002991001 transcript:CAK88023 MSNKIEEEKGPAQQPQQVQKIFDEDDDDFEEFEQEDLEVNHDLKIDIKQWREDWDDEDLT DEFSIQLKQELAQK >CAK88024 pep:novel supercontig:GCA_000165425.1:CT868649:91625:92858:-1 gene:GSPATT00002992001 transcript:CAK88024 MNFQLVYSQGILEILFLILNPSYKLILYRSLMLYFAYKADHQFYRSNPLQNINKKTKFNL GIQQILITFGFFIMYPQPHLILREFISILFMHVLVQQYPKMLTFCESYALSQYIVEYYQF NGQHMFEYLSELVLMLSILSFILIVLIHQVPIKELAFWFTFIAIFKINFFSIYYYLQYRG HSLAILINEIFTYEFGKYFFLQFLGLMLVIPISYLNLQKIIQRKLFHFQIFLIIFLGIYL NSNYTKLALAVFIWFFIVFEGLRQYYRNDIEILNKYSKYLLSFCDKRDSEQLIITQIYLL MGVSHSVFFSSTNKFIGIIILGVGDSFAAIIGSKFGKLKYQNQRSIEGTLSFIIGCSLAN YFLNGNIQLWIIAAAIEAYTAQIDNLILPKIQLNQIVQMIQL >CAK88025 pep:novel supercontig:GCA_000165425.1:CT868649:92877:93083:1 gene:GSPATT00002993001 transcript:CAK88025 MNINEKIERLQKEISDLKLKKKYQELPEDANSLFYNTQKYLFFKKQQIKPITQKPSNKGF SLSKAVFG >CAK88026 pep:novel supercontig:GCA_000165425.1:CT868649:93228:95786:-1 gene:GSPATT00002994001 transcript:CAK88026 MDINQKRKLKVKTISQQVFELEVPQEISIADLKKEIFTKSQVPIDRQRLIFLGKALLDNQ KLNEIIKEDDQTIHLMAITNPQQPQQQPNQNQTQQQQQRMQFMPGIQTTTQIHIGAGDGQ PFMPPDLANILQMFGPQMTRSSQQQQQQQAQPTQQTQQQQSQSSQQQQSQQQQQQTQPNQ PDPRQQPQTAQQQSQPQFQQVTLLPNNQSAVGIHLPQEQIQQLNNFLGNFYQSQFLGLQF QLPQMTEHRNNVTVLGHYLHQLCFLIQRTLPILQRVSDLLMREPFLTSENERRETMQLTR DSSTIMQAMNKMTGDLFSALLRYIDLGNAPGQFSLQVPSQLLQNPHHNHGIINIQHSHIT RNPQQQQTQQQQNQQQQQQQQQQQQQQQQQQPQQPQQQTQQNLLSSLGQLLNQFSQNPNI QQNNSQQIQVEQQGDQIIIDAQVLEIDAGSELESNQEGDQQQNNNPLNAINNLVNNLINL EQQQYQPQQQFQSPQQQQQPQANEQQHQQSQNNQPNPQQAQSMMSGLLGNLGFGGQQGGA NFFTMTLQELMMQNYANKMEDGEIEILQIFSQVKVGDVMAAQVSGNYSFLDGLQGNIKEN LHNLIGKFEGKIELIEKLANSFLSTVVPKGNQQHLVIEGFEPRITASEALVKHIKQFFMI IEKDYTNSVEKFSDAMKLHMKYQKDWFMVLMITNWLFTNVEELLRKSIGPEIAVMFGSML VMMLWSVIERSYNQYKKSLEQQQTIIQQEEVLTEEQLLKEITEASQACKQNIEINFSNEY KKGDPEYKDFD >CAK88027 pep:novel supercontig:GCA_000165425.1:CT868649:95857:96707:-1 gene:GSPATT00002995001 transcript:CAK88027 MLNLKKHSEQDVDSLIESMLRLIEYMNNNPSIQRQIFESFNQEDLQKILKNLNEKNSLLL RKVSCIFLCIVLQNEDNCENFMKLCDLLPINSKITLNGIPEKMSQYITSDLLQKLNQVQP SANSLCWFYTLQMAKDRLPNLAYFQLNTRELKKSINDFIDPQECMIGTTYRKLPIKPLQT DTQNWSNRNEHHHKMNISPVSKQMASLILPLKEINNPKYYQRYVAKSINQQQNKNILSNT ARVDTSYDKHQPRSEVLKNRYS >CAK88028 pep:novel supercontig:GCA_000165425.1:CT868649:96813:98663:-1 gene:GSPATT00002996001 transcript:CAK88028 MSAIQNASQEIRINNLQTDLKQLSTNTNLYMLYSKDEIASLNEKINHIISQQTQNTSSIN TIQEKLDQRVEEIFMEHEASLINKIKGIEEQKLLQHEEEIKKREEMFNKFMQMDQLVDKL DKKFELKANDKFIVSTIQNNLINNQLLQQTLVEKAIKLIDDLLKQKIEEIQKWTDEKVQQ QYYQIQNFSTYLRKSERVVEELQSAIMLTKNSTIEFEKQFTQQINEISQKNQIFKETVQM QTKGITTQSTQLKQQFDQLEQTIQGEFYKIQQKQENIQSQIQSGLDSMNSYIQFCLNSSE QKMLTQYRQEDQDMMEKLTSQFEKQFNLIQEKTTFLEQEINNKAEACNQTKEKLNNFLKG QQVHQRLYQKKDGMPQQNQKQSTKDQLLVIDNLFRYISQIYTQIHKSIQLTENDQYQTIQ DSRRHSQSYSQVILIAEQSNSTQQINVSPNKSPERVEKLITEKQDPKEQRTQISSVDRNY GETNKTYDKSPYATLEKTNKVEKTGDEIFSDSKLQESNRYPLLVVGKTQLLDIRPQQDLM SLQQKVKKVQGILGVDLLGQRQHGYPYQAQRCNSASKRLPKISSKNLNFSID >CAK88029 pep:novel supercontig:GCA_000165425.1:CT868649:99082:99582:-1 gene:GSPATT00002997001 transcript:CAK88029 MGSSFCFQASQKSRDELKLITNKQYKLNGPPHLFKPTPIVKILIFDEQIEKEESIEIETL EQPNNPINDQQFSYIAQQSEEIDGSQSKPWIKKTYALETINVSNIKSDENYNNAHQYKNS EKERNIYGGKAKNLKEAQIISIQQGSNISVSSDKKQLKNKTQNRIK >CAK88030 pep:novel supercontig:GCA_000165425.1:CT868649:99955:101028:-1 gene:GSPATT00002998001 transcript:CAK88030 MDSVSEIRFGNNDIFATGAWDGYIRFYSILSQHGIQTQIALDFKSVIDCQEPQVHNLISR VLSISWKRDMTMIFAALADNTVRVYDIKTGQNIILGYHDDCPARQVFWNDDLQALVSLGF DKKLRLWSLKQNTMGMKPSPIYELNLTSIPTVGEQDQNERMFAYADVDCKIKWFSWDKIR GSLNSTVSRNIFEIEDSKLGNRSQISALAISHNSKQMGYCSVDGRGAVVELTDRLEALNR IVYKCHKREEDLKTSFKTEKINTYYAVNSFQFNSRSYDWVSTSSSDSTIIFWDLKKKNKI TTIPFDAPVIASQVSPDGYFLAYATGYDWGQGLDGIDNRFANNVGAIFIEKHQLLYV >CAK88031 pep:novel supercontig:GCA_000165425.1:CT868649:101188:102864:-1 gene:GSPATT00002999001 transcript:CAK88031 MICLRKHFLKDITYFLFLFEEYLILAQELNSQQPKYIFRLNLDTKVYWQQEKSNYQQVGL EYKGEVKYFNGDNEGLKQLKILLRNKVMYRNVSDYYQLIKQLGRGGSSRVYLVTDKCTNQ QFASKNVEKRYLKEDGGFEALFNEIKLMAALKHESIVKLEEVYEGENTFYLILEYLKGSS LHDMITKGIIQLGWVEIKVIMMQILTGVEYMHSLNIMHRDLKPENIMFKNQNDIKGLRIV DFGLATSTKVTNYTFPKCGTPGYVAPEIANMKNHSIKYDKICDMFSVGCIFYKLQITSKD LFPGNDYQEILKLNKKCILNLDTLSIYQTPQTAMDLIYQMLQIDPKNRITAQQALEHPFF TNTYEDRKVKFQTQKKTLTNTSKPWQTLTFKLEKSDQLQLPETKQISKQKEEDIVEDERV TIKLPSINSPRFVQQAKTKNLALVEGSPTELPKKSVLKKFSTQEFEHLTPDTQSPDSGRM NFNNSPRFIQPDVIKKNFTCSKYNQKQQAIYEVDDEQKL >CAK88032 pep:novel supercontig:GCA_000165425.1:CT868649:103005:103665:1 gene:GSPATT00003000001 transcript:CAK88032 MNSKSLIFRFPMTYDQSKALLEQSKCDEEFFRTIIGLSLQLKQFVNEDDIQSLTNFFNQN AGMIPFLRIFIDESFEQAVLYRYEEIIRYFINNSYQVTDNKILITLLQTSRFLKHDPPIE VLELLLKDGNVKPDEGTDDKFRTPLHLACKYGIVDFIKILIENGADINAIDKKKFTPLMH LQKKMQKQEGLDAIEKYMYQKGAETYVTSIF >CAK88033 pep:novel supercontig:GCA_000165425.1:CT868649:103790:106303:1 gene:GSPATT00003001001 transcript:CAK88033 MNQEEQEIQENKIEDLRNNEEFQQFCDHVQQIQENLNEYITKQSGNQSEENQRLHLQLQE NFEIIKQKIAALRRCEVVEDQEELKKMIDEVTKIVQQTNEPFQQIQYEGDDFNGLELEFT QPKRIKGLKNAQERKQERKRARIQKSKQAFQIFKKYIIVSLIAFQKFIKDPENIAKLFVI AVSCFFVSSFLQIPDMSKRHSLYILEWLAYNRCARVVLISGSFCFVSLTWYIILLIWIGF MGLNETKGKLNTLIHECNPILQLTLMFMTDNDLINNKLDLAIWFCYLFQYSTYRVYYIHS VNIIKKILSTLTSQNFNRVYQFQQIYIFSLIILAMNFLITFFGILMLHKVGIYALSLLFY GGVQTFIEYSQLILICKKLQQKYTYFINNNIEQLTEPQFYEEIIPDILYQIVKFLNSSQL IVNYFRTINFHIFLHLWLFQIFSDLQSSLSSLKKNIDQLIKYKRIQQHLDSLFPRVLDIQ EDEICIICHEELILARSLPCQHKFHLKCLFGWLKAQQQCPICRAEVPIELEQPQQIFSKI LSFLTQFNISNFAFLFYVNSGRQQDLQLTEWEEQALLGQELLQQQQQLQQQQRDQEQMQQ LQQERQQLQQQLQYQQQFLQQQQILLQQQQYQQQQQQTQDQKQISEITENPENVIKQNPG QESQEDKQFIQDAFEKRDPIVQYNQEQEEKEKVDQEEGEQNREQQIEQEGDQDKDQEGDQ NQEQKQEGDQDKEQKQEGDQDKEQKYEGDQDKEQKQEGDQDKELKGEQNEEQNQLKENQE EQQLINQNKELEKQQEVKVFENQSQETEQIIQKEIENVDFTE >CAK88034 pep:novel supercontig:GCA_000165425.1:CT868649:106348:108102:-1 gene:GSPATT00003002001 transcript:CAK88034 MIFILLQTVLAKQLTNLTTHVLFNKISESEDVNIVVTQQLNIRDYPQAKYVIDAKLESEQ KGSSCLVKQGSIQFGDYSQYSQKIFEMPNLESNDPELLLNIPVLLNMVHIKKAFAIVTSQ NQLLLYKVNKNTSNIVGHEIQLEANHSFQHLRQWAKIIEPPQIIYTDQTQYIYLIYRNQI LGGKVNYDNLSSFVLRNITNQGFENDNNYLGQVKVFGWHVFVPIGVEGLDIYQYEENGEL TLIGNMNSTTIYNKITNIKIVDVVFGGYENEKYMYVLDQLSGITRFIVTTNSSGFAAQLD TDLGFIAISHGTTLAEHNNSFILVLQERGVISSLVEIGLYQNDWFKVKVHYLTGIYSEID ILPEFTILRGKDEHRIIRTGIFEQFEPTFVFTQKDNLYQERQNSFYEEYVFIPSLITMDF YDVDKGALNITNNFNYNITNSFLIGITSQSIVYMPYIIENPYIRCNPRSNRSIGRSFDYK LSINATHCPQKEKLTGIPPQLILCQYVDQFNLKINNGDGFIYNTESLASIMMGFIVLLIV LSILLAYLYSRYRVKKNALQNDIQGFGEPVNREGYDMGQQYQQS >CAK88035 pep:novel supercontig:GCA_000165425.1:CT868649:108330:110746:1 gene:GSPATT00003003001 transcript:CAK88035 MYSKAPQHSSAINLGNKNDQLIQSKKQQPYQQQSSKAPITIKQEPPQLAQKPIQNVVRVA QQQPQKEINFSSQRQNNKIKNEPKEEDYQNSSQKNSDSKNQSLINQLREEKEQSQQLQRE LKQTQDYLNQVNKEVEKKIKQSQQDLEKKLNDLKKEGEEEKKKTQTLTADVKKLKDQLKN AETQTQKKLDQQKKQYEIQMQELEQVILEKQQQIDEIAQEFQNYNLEEIQAKMEEMANDI NMKDQLIDQLQSSLQNGEGNLRDDQNPEKEDIVQEIQQKDQEIKKLEELIENFKQLYQHM LDEKQVIMEENEKLANENDQFREIFSQNLHLFGIDPNQLEEEGEGEGEDREEREEGEDGE DGYQQEEGIYLIQLMRQLRKMRPIRGFDIQKDHFPNITQNSSFKVNSSFVYFFLHLLNFN CNRNYIKFQMKLKSAIVFLDYSLKPRVFQLERYAIKKLEKPDGYKLIYFKEFEKAQQFAS QVQQLIELKQVQQLQQMMISDIKLIKERSEKDGEIREISVEQQQSQNDVEKNKQAENTII SNCQLDNIKVKQVNNQFQKYEIKQKDSYDILDDIDGELKCSSLSIERLVNLEILDLKFEN LLHYYPRNTLKIIPIQPDNLYTLYFDGASKSNPGPAGAGVALFDKMQQIKEITQPLGKQT NNVAEFLALFLGIRYTLNLGINYLECFGDSKLIIDGMNNKINFKQQHLEDIRVAIYDYAQ LFKMVRYTHISRNQNEIADKLASAAALQSKKYEL >CAK88036 pep:novel supercontig:GCA_000165425.1:CT868649:111160:111937:-1 gene:GSPATT00003004001 transcript:CAK88036 MKKLISLVFIIEIVSGLEYCQCSNFTQPQYCQQYQGCNWNGKNCYQPNCTDIAIQSNCIV PQCAWYLNKCHDGQQCNYYTDKQICNQIFGCTANDGYCLPYEDCNYATQDKCNGITPTGI QCFWNSQTQSCLKFQTCNRLSLDNDDCNSIPYCIQKKKQCESLSNLKCSDMESQYECKRM ASYECSWINGNCQYIACSTYTTPSSCSLNSCFWNGSSCMYCPVDNSYGNLLIALNLFISV VLF >CAK88037 pep:novel supercontig:GCA_000165425.1:CT868649:112429:113112:-1 gene:GSPATT00003005001 transcript:CAK88037 MIKNTIIHKSKTKVENESEKRIKGILDLEVVEKLQSLNTIQSRKFPNLKKELNAGCIIYN FPIRPQDYKYIYDNKQIPSKLQTIQYDNVSSLDNAKLQRQSSFDNNDQSLMDNSKPLKSK IKRFSINQSISQIQRQILVFNNKNNRFFQTSLRQENKIAQLPPFYLRKKASQSMSQNQSQ NIETKQVEPSRRGKSVSHIISYASRPQTGLPSKENRYLIE >CAK88038 pep:novel supercontig:GCA_000165425.1:CT868649:113130:113862:-1 gene:GSPATT00003006001 transcript:CAK88038 MSRQIYVGRLGQKTSREDLQKEFQRYGKIKDIDLRSTHAFIEFEGRDDAKKAISQMDGKR IGGDRITVKSRDNRHLGANGPTARDVCFNCGRKGHWANDCKEGDLRDTCYRCYQKGHLRK DCPKSRTPSVTRKSRRDTKKRRSTSSSKSSDSSSKSRRRSRNQRKPRHQRSESPKSSSES ANVSHSSRSSSQNG >CAK88039 pep:novel supercontig:GCA_000165425.1:CT868649:114546:116534:1 gene:GSPATT00003007001 transcript:CAK88039 MSNNNHSDDEEMFLVGKIQAQKVEIESLQKQLRVQEFQFKQQILELTNELERERKRTGEL ENEINTQISSIGQGEIQSFATQLEQKLSEAENKLLEYKASELKLQKEIQSLKRRPDNEEI DELKQQLVKMEETAIALIVEKEKIIQDLKSQLECSTQIEETFHDQVHSSDVDDEKDIKIQ NLKQLVQELNQKIEQDQLHFDERLMKLEHEITERVLDSISHQINESKSVEQDLLHQINLY EEKIKNQDKLLVNQEKLLQQVKDEKYKEVSFYEGRHAEMQKKLSSALQQVLKSDKKSEDA FKQFTNLQREKTILSKQRDEQVKLNVKLENNIRKQIQDMDELRQYNNSSQQQIDDLEQQV NHLEQQLQETKFYYEEQLQMQKIHIPSERLPSQQEEQGQGGNLLSELLTEEISMEKPHNT QQSEDSFHQQIPQTPQSKNGLVHMFGSSRISNTGVNTKRRYQEIEQLKIEIHTIKHENEQ LAEEIENYEHLVGVLQQEIKAQLLEIQNLRKGKLDYSQERDLRIDQEQLKQSLHKLETHF ITAKLSWAEEVNFLKSEVKRAEKQAQESTLLYNQILTEKEYFQSKLKKLETQIAKKEKKN QTTQNAPLSPLKEDDQTVKKKGFLSYFGK >CAK88040 pep:novel supercontig:GCA_000165425.1:CT868649:116924:117494:1 gene:GSPATT00003008001 transcript:CAK88040 MLNQKSSLKNILKLQHHKKHQVKKNCKLIDQIKRQQLATEFQKEIAVYEFQIAKASRQLE AQNFDREQNQELNKSIIEGIKQTDLEIENLKSVYQIEEQIKINRQHYENLSKQISSYENV SNVVKNIEVATKNIEQLKIQHKQAAELIKIKEKQLFMMLHLMQDLIEVKYEQ >CAK88041 pep:novel supercontig:GCA_000165425.1:CT868649:117575:117814:1 gene:GSPATT00003009001 transcript:CAK88041 MKFCFKYQIYEDYSIIILGQEMDKLSSKLDKFYTDCYDYENEIRNKEANSQADLNTSLEE QFKDLEIRNCQNQSSEYED >CAK88042 pep:novel supercontig:GCA_000165425.1:CT868649:118898:119596:-1 gene:GSPATT00003010001 transcript:CAK88042 MDLGMRVGKVKRQKIINGSSVVQAAFHIGNEQQIKIITYDILQFIKLQTLKPMSLIYQDN QISTVISSGQVILTRSYTLNNIHNKFLFNTRIIIDQNFYKQHCCSGFQSQFSVYDEIFSQ KKYTKWMTKNKNIQLDGHRLKKQFNKTKKYIKNKKQRSQKKTHQIKEMPQKMKKENDTSK IKPHIEIRAIFQELICIKIKLISKPISKEEKWFEVNRVKLQENKAVYRIMIL >CAK88043 pep:novel supercontig:GCA_000165425.1:CT868649:119906:122356:-1 gene:GSPATT00003011001 transcript:CAK88043 MSDQGDQQYDDEDQYGDEEGDEEEIDFENMTDAQKKLYMEMHGQYYQEEEDEEEGGNEEN EEEEGDQYQNQMNQGMGSSSQFQQDYAEESRHSPGRREQNDEYDPDEILRKVKRDLLENV NRIRREKKLNQLYIELMPAIVAERYASHLLDNDHSDAEFKKLIEFYKYNEKKLDDLKLIY IVSKFEEDTIPDAQVIYDYFMEMGYLFFEVEDDRKILLSNEMNHISIGVSCDDNQVAIVY IVSHKDLCVTKIEDSNDGSILVHGKMLEDLVGVYALQILPFTSDSGKVPDKNENNKIGPE HIEFDRQTKCFIAKIGNQGQPCTYDIRQYIDLYTRNTPESIPYKKSTREKLNLKHIELKL RIPVIFYPDPRYAHEEQEAQQSHQQEEQAQQNIQDTPIQQLDEQNSDENKDNLSQDENQK QKEDEFIHKFQMPKEQQLSNKDIKEELEIAIAEAQRQHDELYDHNVNLQSKIKQIRNKQE VYADKTGEMTMNEHKYLNTLAHVHQIRLDLQQTQDRYNQMAQELQSKLDEKSKKCNEIRQ AFMDLKREVARKAAYSRTDKPIPEVRISEWEEQESAKNKTLQELRLDTLRLKNTLAKNQK QLKKKEELAEGLHLIDFEQLKIENQTLNEKIEERNEDLHKLKNKNTKTVQMLTHTREKLG YVKAKFQDQEKINQKKKDELEALRKNLGEQKESKDKLRTENLKLKQQTGIVNRPELIQDY SNRKKNIDQLKVELEQLEQKLKHMQKVIDEYQNHLKKKEQEQQNKQKVRLI >CAK88044 pep:novel supercontig:GCA_000165425.1:CT868649:122380:123361:1 gene:GSPATT00003012001 transcript:CAK88044 MSSTGNLNDKFDTSFVYLFKYIIIGDMAVGKSCLLMQFIDKRFRSKHDVTIGVEFGARII RIQNVALKLSIWDTAGQESFRSITRSYYRSSAAAIIVYDITKRNSFENVVKWLDDARENG NKQITFLLVGNKNDLEQERQVPFEEAKQFAQDNEIGFMETSAKTNYNVDQMFTKLAEIIL FKIQKGIIDPKNESFGVRVGSEYQKYLASQQNDSKQEEKEKNQKIDPQFEGKYVQLNDPA IETKKQKNTSCC >CAK88045 pep:novel supercontig:GCA_000165425.1:CT868649:123365:124468:-1 gene:GSPATT00003013001 transcript:CAK88045 MGNHCQQQQQPEDDIKIYTPGKAIEPPVQNEPQVPKPPEEPIKPQKPIVDESKIKESEER SAVAIQCAFRNKVARQKVNEEKQMLEEDKPKDWTQFDEEFKIPTLPDVAYRKINNQISNE YRMLPTYIKDGTIYKGQWKRGVQFGYGQMLRSDSTYLEGQWRNGILEDGAIYFPNKDLYL GTEQIGVRIYHNGVQYSGDCLDRLPHGKGVEEHPDGTIYDGEFHKGQKHGKGLIKFADKS EYNGEYVHGEIEGQGTFKWPDGTHYVGQWKKSMMNGNGKLHLPDGVEYEGQFHDDLMEGY GIMTYPDKSRYEGHFRNNKREGKGSVTTCDKVVTECDWEDGKLMINPEQSHK >CAK88046 pep:novel supercontig:GCA_000165425.1:CT868649:124651:127364:1 gene:GSPATT00003014001 transcript:CAK88046 MNQREIAKEVLAVSLHIADADKFNFEDSKKRMQLLTESVLKTNLLRQKPNKNCNQTVDLE FQQIDNLKDLLPHLAECSNLRELILHGNRLHTLPEDLSTLSTVETLDISNNLFDDIIPII KALQTMPKLLHLHIGLRDNNEEKYVIQHLPNLITLNNRRLKFFDQLDSDRPSERQSARSE KSYQSEVTFNQEDVDNVENLFNQICNIENNPNQQKSHAPQLTDHVKDVMTDFSTKVQQTH SKHFLDTHILKVNLTYSTQAKYDLYEICFRELIDYFRNNNNKLEQVLKTLQQEHSNIFND LTNIIYNLRDYKKIQKENQEFDQLILNSDIVKSQIKEIQLLKEERDRLFIDKNKLQQSKD QQYELLHPLQLQVKTLQCSVQRLEQEKENLNNNISQLEYQFAQQQQIQRSNRGSSKQIQS ANQSFQDMHSFSNFQTQQVVMLVKGTQTDNQRFFEKESQTIDQEDNVIFTKSIDMQSFQQ ELEDLQCQNEQLQQENKKYLEMIIRRSKVEKSSNIMSDISYKQTESPSIIEKKRYKTLSE FHLLVQPQSFRPLTLKQLKDIIQDIYESKTKHDIRQNENKLPLETIEQHMYTYLYCKYGL KNLALEWVACIINAIQKYIAEDNDVAVFALMLKNNIDEDFRLLQSQRKQNIEYIIQTILQ AKFKTKHVLEIKEMVKQKVAGQITQQEVIEILKCCLPEEEKEIILSQLKMHQIKAVASVS PIKKVNQKEQRRPSAQKEPIKYEFSVFIKLILEYFMSLHQQYLANLCIVFKSVDHDKDGI LNQQQFFKLTESIKPNLQNEEYSNLYEILDPFKNDKITFSQIVRVFKNPQFHNKNVDLIQ QICII >CAK88047 pep:novel supercontig:GCA_000165425.1:CT868649:127530:128490:-1 gene:GSPATT00003015001 transcript:CAK88047 MLTMRELQELRQLNDDRKALTDRYGVGFSQPLSARNEYNGKQTLSAWDPITNKPQTLVMN NGSDPIVLDHNVPRQEITKNIVFQKGFPFQSNQPTNRNYQNQIQDMPTSISKIQTSISMP QNYMHEYHHRQRPYQLKSVEEILRPWKAKEIMYNREIQHLQEQLNRGNYTMTDKRTLKVD NVGKKLELENDVQKLEAILKNKDEEITRLKIRSQELEEKYIEITEENSTELNKYQKELEE WKKKFKELNNLYHECEEECTMKETEIESLKKRKMQVVKTQTVQKVGSKPQKNMDSPRAII STVSDKDSDYY >CAK88048 pep:novel supercontig:GCA_000165425.1:CT868649:129216:129374:1 gene:GSPATT00003016001 transcript:CAK88048 MSKMQSGKNGLNSRKLDKSEFQENKTQEELLQQQQKVSKVIQGAILNKQQQK >CAK88049 pep:novel supercontig:GCA_000165425.1:CT868649:129443:129646:1 gene:GSPATT00003017001 transcript:CAK88049 MQMLVGKNGEIYDDLAYIPNYQKKDNKKDNKNSQKQVQQQANEQDKKQNDQVKQVIKDAI QDKDKQK >CAK88050 pep:novel supercontig:GCA_000165425.1:CT868649:129730:136175:-1 gene:GSPATT00003018001 transcript:CAK88050 MQGWEFKKTCTDNSVQGSSIRQCQTNQLDFMRLKDDRTRMEKEFYYPHFQLRIITDAYYI RAKASSNSQFKISLIDSGGTTTIFSRLYQESNIQRYDKVCKNDCGGGSCGGLDETRVEIK TIILDAINHQKSVFDVSYCYIYQDDLMRVGLRNILIYANTCHFTCASCSGATINDCLTCY QGVLQGGICKCDESNSYVQLLTGCVQECPRDYYLADDSKYCQFDPRIKSKISYFTSTTIS NGNFLPYDPWVYVLDPFYPSNTNKLAQCGSDDVIGRFSNYEMMYLKLAQHKGLTFLRIRA SFYLFGWQTGSSLRITVDSYSQAIILKTATNYTIQNARLNKFSSSSCSGNSYDLLRIEAV LKTYTTTPTLQFQAIPILQSEYWAFKNVTIDYGLCQSNCTSCETYSRCLICDSGFNLYRG TCVDQCPIHSTLLANGTCQDYEDIITNSRYLIKAFYDMNTTFEQVSSIIDNFTDLAGTIK TSFTGSIYSFVPEKSVLGGVLVWQVGKFQKTFTSLKPHYKISSRLNVTYGDEMNGWFSYK IHSYQSSQITNPNTGTNNYIGDSKKETTAHYYYLEQTHTSSQLDIVLAGNTNQGSPEDAF IYVSDYFVVVHYCAPFCNVCHGPAISDCDSGYTGYSLTRSCNTNQYLSFNSATEVYSCQS CTQLGCQECQSDSVCTKCEFSNTNKFLLDQGECQCYPSSYLSGTNCISCNRYCETCLGSL NTQCYTCVSEYYRSIKKYQCLCIDGYYDDGYNLQCHPICGDQIVVDGEDCDDGNSNPFDG CHQCKFVCQESCNDCTNGECYDCKDHYILIESIHRCINNCGDSIIIGNEECDDGNIYPFD GCYECKFECYDHCTNCVFGICYQCDENNGWYLTGVSCEPICGDGILVPGVEQCDDSNYDP FDRCDMCQLNCSEYCKYCSNGICLQCIPGFTYVSATKQCIPICGDFSVVAYEECDDNNFV EFDGCYQCQFKCQTSCTDCRYGKCYQCNTLGWELNLSEYKCDITLDDGLIKSKTEYCDDG NNTPMDGCYQQQYECQDSCSVCYKGYCIFCKQNWQLNLSDNLCYPICGDKVIVGNEQCDD KNTLMHDSCNKCVFQCDFNCSQCDFGFCQYCEIGYTLVDNKCVEICGDGLVVGNEQCDEN EQILDSFCSNCKYNCGSSCTLCTRGGCQQCKVGYLMDNYECQPICGDRIIVDQEQCDDGN DQPFDGCHNCQFQCQEECQDCKFNHCYDCMIGYVNKDFGCIENCGNSLSTKNEKCDDGNF EAFDGCYNCDYSCDEECEICQEGMCFKCSKIGWQISKVNFRCEPICGDNIVAGNEFCDDG NDIRYDGCFECYYECEQPCTDCVQGVCQECNTLGWLLEDFKCVPVCGDSIIVDNEQCDDG NNIPYDGCFECEYQCQVECTNCNKGICEECNTNGWVLLDQRCSTQCGDGIVVKPYELCDD GNSVPFDGCFDCDFQCQEICGECIQGMCYRCDELGWVIENHLCVPYCGDGLIVGNEQCDS GKNTDSFCKDCSLVCDQYCVDCIEGICNLCDQGRQLQNNYCVSLCGDGVLVEEDCDDGNL ENRDGCSESCKVETDWVCLNTQFLTSTCIYSITPDMKLNSLNQNPESVEMVSITFNQPMK LQYNENYTADHYFEGNITNLLFEQYEVTFEFRVPPQFDQVDDIEAIATVKFYENVDDPIL IVKLNSSALVTQYYIIIPDNEKEIRLQTPIVLSQSQIDNSQNAKSFLSIVIYFLITLSAF CVITGSFEIFWNLMDLLQYLSYIKYINIQFPTNLNIYFEVFKLISIQPLMEFTGISTLFD FADGEEQYVVETSNKFKQDEINGYFYLNFQSSIFCFLGLFSGYVIAKSLTLFLYQLGPYQ LANFGYYPIKFIYIFRRLLKKSYKAFYFSAIIKMIMSNYYDISFSVFIQLNNFNTDNTVL KVNSYAALVVCALQLLFFTYMFTKQLSFTKKVTTKKIEQYSALFEGVADSSNIWITQYNT ILLLKKQVFIFLIVYMGQNGPLQTITIGLVQTVFLLYVFVQKPLENIQEYYKTLVAESLL AFNTLLFLTYAYRLQLELSIDDYIMIGWIHIASFSFILLFSLIFDIKSQATTLYKKIIKM IQGKQPEVKPGTVIFY >CAK88051 pep:novel supercontig:GCA_000165425.1:CT868649:136618:137753:-1 gene:GSPATT00003019001 transcript:CAK88051 MVRNYSVQSVPLIATTPMYQSLIQVQQPQPQIVRQRPIHVVDLEQYDDIWRKKVEDLEKQ LRELQKQKPQPVIQAPEPVLELRAGADTEDLERQISQLQLELYKTKEDYEDLLQKYNDNL SELEKLKCNIQNNVIQEQLKNHVCTDNTAELKKKIEQQDKEIERLKALLASQVPVANDDS EQLKLYLKRISDLESQLQDSILQMERYRQENSQLLSQLNFFKDQVHEKDEKIKELEGQIE ELNNEMDGMQDEQEEIIEQRVETVRKELKSWKDKFLALNAQFHDQQEKLMLTEAELDHIK KQGGAGTKGSQVITTTTTTKQSK >CAK88052 pep:novel supercontig:GCA_000165425.1:CT868649:138031:138765:1 gene:GSPATT00003020001 transcript:CAK88052 MDADIFREMFPSDYIKRFLEKNVRIDGRQFKQPRQIVIEFGEGLTNYQYADCFISVKHVI REIELKEVDLNQCIRLSIIMDNQEELSNIDKVRIEKHYLNQIYDVVLQIVDPQRLRNQNG KVQQIELYIRVAGADGSLLSHLINCVSFSLQQIKHFETQNSIVYKEIKCQTFAVFEDQIF TDPSDFEEKNSSVISVIHIQGDEQFILKKHDGKPLNYNLIQQVIQLF >CAK88053 pep:novel supercontig:GCA_000165425.1:CT868649:138870:139619:1 gene:GSPATT00003021001 transcript:CAK88053 MGNECKQCTTDVQSVGFQSQHNVITQSHQYSFGMNCIDHDLNFEYSSLDVPTVPQFGFEQ QKIKADLFPPQALRVASEDSNMSFFDLEETVEQQINSPNNCAIQLQKNSSQEENSIVQYT TKMKQTAGSNLATSLEVHIQQQQTSQRSIQKQRQLFWDSRTVNKELQQNKKANQTFISQL EYFDQLCSSRKEKTMVYIPLELNKNRDNKKQMKQSEKNLNLNQKIQDEFLQQKINEHYNI QQSKFPNCE >CAK88054 pep:novel supercontig:GCA_000165425.1:CT868649:139946:141350:1 gene:GSPATT00003022001 transcript:CAK88054 MQQQEKQQESSDEEIEVQGEEKQQQQQQQQLNVVQKQTQYNQQLLDKLNKPHQFWETQPM PNINELESLKAGPIQEGILADVRKDPYSLISKFEWCNVDLRNDEQAQQVYTLLKENYVED DDNMFRFDYSIDFLRWALLPPGQHPDWIVGVKVNQKLVGFITGIPVTLHIENQQTKVKMT EINFLCVHKKIRANRLAPVLIKEITRRVHIKNMWQAVYTAGIVVPTPISQTRYYHRSLNA KKLIEVGFSSLSARQTIARQQKLYKLPEEPKTQGLRPMKKKDVAQVTKLLNEYLKQFKLY FKYTEDEVKHWFLPRKDVISTYVVEKEQGVVTDFLSFYNLPSQVIKNPKHTHLRAAYSYY NVATQTPIVQLMYDALILAKNEGYDVFNALDIMENEKFLKELMFCPGDGQLNYYLYNWKL ESNMLKPEEIGIVLV >CAK88055 pep:novel supercontig:GCA_000165425.1:CT868649:141381:142089:1 gene:GSPATT00003023001 transcript:CAK88055 MSDAYRSNLERIQGLIQQLRSDIQKREQKFNANQPVGIIDGEIRGLISKIEREIELLKSL IERQNQNQEILQKEGETRKNKLLELQNVAAELKQTFDKSLRSKQDQLFDNRSNGGKQYKD TETLQQMDNKQLHSNQKQLQKEQEQKLDVCIDQLDTLKVQSKNIGNTVDEQNRLLGEIEK DMDKTNKEMINVNGKLKKFLNSSSYCCLYLFIALELVGLVMIILFV >CAK88056 pep:novel supercontig:GCA_000165425.1:CT868649:142237:143602:1 gene:GSPATT00003024001 transcript:CAK88056 MTRNSQNLKKRRETKVQDKQDFYKLVAMNQDKLSESSFAQTQQSGPEYMRMHNYKNIQYT ADLGIGQPGNTFKVVLDTGSANLWIDSNRCQEEGCMRHKQYNHEDSHSFLPLNQELNVEF GSGDLKGVVNADTVYFGEVTLPRQNLAEITSENGAIFRDLDFDGILGLAYPKMAPKNFNP VFDNLMDQRVLERNQFSFYFAKDANDIAHSEFTLGGYNPAHVDGDIHYHDVIDKYYWMIK ADNILVDNKDIGLCHGSCRLIVDTGTSIMSGPFDDLGTLLRTLNVKSHCHEINTLPTITF KIDDVDYTLEPEEYVKPTNFDAAQLTEVNEGDDLQALIEVNNWDCIAAFMPLDIQQPQGP AWILGDVFLRKYYSIYDRDNDQVGFAKAKK >CAK88057 pep:novel supercontig:GCA_000165425.1:CT868649:144359:146209:1 gene:GSPATT00003025001 transcript:CAK88057 MSKEEQSQQNEQPYKRQRRPRQHTNEEESNQFWKNNQYFAQGQIDEGDDEDSEEYYPQQS SASEEISKENDTGDYIDSLDQWQDCSDSKVQLKQQQESPSKSFIKLMRKNLEQFSTMRGV HTQVDIATLPRLGETSYVYFNEEQKFKTEFQDVLNRFYKSIPYSKKIKREDDQVKCKTEF HGDLFDFEDKRYFIDIEIQQLQRILKLYKTQKFDSYLAYRKLTRDQNLDFQQSIQKQLDL LRQKKRQMDAQLEEEKRCFNLSIDQQYILKLKEYTKCLTPRYFQSQSNLKYEQLLLNENP LFQIYPFDKQPQWLLQYQETYKIFNQKFQLLQAPLYFYSFQDANALKIASHLILKSIKQL EQVSKNKFIAKIFVDLINALFNIDIPEADLLFNTELLNEEIIHILTTQDKQNLLNQPEQY KPFPTPDDPGVQTKTKLRFYPNFKIEEYALYGRPVFEFSMRYLYEIYLRIQSITQISTKI TYKNIEYTIGNLIMECCYKYLEDEITYAAWLTICKSIFNEQDSIQFQSLILLVQMFRRLF YQYDEWTVELLINWIYKVLGDDSKIVNDDVQISNVSVKDILSKSKNNAELSNAKMTRVQT VDGLMIIHVFEFSQLY >CAK88058 pep:novel supercontig:GCA_000165425.1:CT868649:146320:147317:1 gene:GSPATT00003026001 transcript:CAK88058 MIYVRSINQDTKNQMIILDFSTFQVTTQMNKRELAEFRKEVRNNILHREPFVEPQEKPSL YLGKWGKPDRPTLQEILIFSEPLSQRRTPNSKIPGGLNFDEIFSKRFEPQSKAVPLSKLY QADKGPQRQYKADSFFDNSFSKPSRVNTLGSGYKESPQKSQQRLERFKSWDNILDVQLKL QNKAISNTVLGEQPGFYKYINETKQGLFDQHYAPALTVKNKGSSRDLSQFQNIYSVTPRQ NQSRHLSPQFTNKNLEKIPLTDIVRMTSHFSSLSSQEVKKVSSGYFQELINLQQSLQRMI RMGTNNY >CAK88059 pep:novel supercontig:GCA_000165425.1:CT868649:147317:148601:-1 gene:GSPATT00003027001 transcript:CAK88059 MDQAQSFEPDDKPSVNQVHSNICDNNELQKNSSQTRRAWSLKEDNQLKQAIKLHSTNWLL VAQALQNRNPSQCAQRWKRIKPYNYNIRKPWTDKEDQLLLKLVQVHKKNWVQIAKCIPNR TSKQVRERFVNKLNPEINSEPFTTEEDMIIVEGYKNFGSKWCKISKLLQGRPENIIKNRY YSYIRKRYFNIENPYYVVPKTNNELQKSIQEETTNNKQRRTAKRTIDKLKIRKRITKLPN IKQDEYKMKNDNEDENEYLDKEKVRLQMTSIEDNNKQHFSKLIPQCVGQSQVKDKLGSMQ NQYIVKEELSNHQSQVKQEADQDQVAKLDQLQESLNLSQSCYAHYPLIYSTAQPYKMMYY FPTQLPMPYIPQYYINTPFVQYGVQSQETLLSRSQEIQQKSQQL >CAK88060 pep:novel supercontig:GCA_000165425.1:CT868649:149546:150491:1 gene:GSPATT00003028001 transcript:CAK88060 MAAISTLRFIGKFIFSHSNPKDPKYGKVVHPLFCFLISSVSYMYGSIKLESQNKEGIEDF QESQNTRNLIALGILFYVLLIVIARFGQAKFTIFYELMWACNLSLISSAYAFWKNKPLIL AASMILVSIDQVLWYVDLLAYSLFKVWPIGVAKYLTWPSTTKLRLLTSFHHIFYLPLCLY FLRNQQGIPMSAWQISIGMSTILTIVSRLLTPKSIMLKGQKEEIYLNLNLSRQLWKDIPF KILTIADDKPWYLALPFLSFMWNSGNYILGYQLLNRISKYMNQ >CAK88061 pep:novel supercontig:GCA_000165425.1:CT868649:150615:151817:1 gene:GSPATT00003029001 transcript:CAK88061 MSDSDSDDYEVNNFIQSFNNKQRKEGIDHFNPYKQNGSMMDDKYGKQYHNIQDKFNPKYP LMNGKDFDHRIEKKKINSYWTIGEDVLLLQLVERFKTEDWKLISDKIKTKDHIECSRRYT MIREPEEFEDEEEEIDLTWTPKEDSLLIFAYRKHKGNWVKIQQRFPHRDRPSLQKRWSEI AFIQQQAPTIFWDIERDTRIISNFLNSAKYNDIASDLSIQEESIENRFHFMVAKLSGQLE KKGVKVELPKKVFDSVQFTEAQGQLALQTKQQTVDIPNEQHQHQQSDKFTIDNILNDQKH QETNGGINSKIENQTKFELPVQQTQILTHFNDQIKVNDEQITQNNHQDTQQTQEEQKLVE QKERLLINDTLLMMKKSLEQQNQHFDSLYDIKDLLLQNVI >CAK88062 pep:novel supercontig:GCA_000165425.1:CT868649:151943:152661:1 gene:GSPATT00003030001 transcript:CAK88062 MWNFTPASKICQVKSQMKSYDQHLNSVRNAKSIVKTNSPYKPYFLSVCSRHQNKYEQKEI NQCNSILISKIVNIDLKQGELNKSKLRQKFKLNSSRLSTRRSQNLSIDFENIKLYDRIQS AKTSYNKKQQLRHSSEYERYSKNISQNARRYSNANISNQTLSKSFESKSRRVKDRSSSNT NQHQYSDYSNSHPDNQVIQFLF >CAK88063 pep:novel supercontig:GCA_000165425.1:CT868649:152867:153833:-1 gene:GSPATT00003031001 transcript:CAK88063 MSHSSSRIVVSILTLNSLLQIYENQPFEFNDSNLMMQHPSLASIFPTNKMINLAVGILIL FLIDWKNKLNIISSLFFGISVIMQNFNYLLPKTLNIIQIYLQLLFIIKYIYQQTKKIYFR VKSLEKNNETATLISHYVQTKKKITKISKKAEKLKLKLENTTTNEIKAKIVQQQMSIEQT KEKDKQTQEQLNQFIPEQHVSEAELETENQCEINHSDLSQISQIKEEQSISLKYLELQIQ LKLNGKNEQFCCNFDTFETISQILSSSFTDNQIIQIKIHILLNFYDENQDGNCRLWCIKK LLAFQF >CAK88064 pep:novel supercontig:GCA_000165425.1:CT868649:154005:155362:-1 gene:GSPATT00003032001 transcript:CAK88064 MFFMGVMLLQCCYSLELQYVNNDQALCLDGSLGSFYFQKGYMEGKNKFLIHFEGGDLILG SSEDEYFRNAIIKQILLLLRQGTQYGSSLNRALSFDFDGLLSQNQSQNINFYNWNIIYIN SCDGTGYRQDVVNYQQKQIYFRGELIIKSVIAKYSTQLQSSEVIILSGCSIGAVAALQWS QHITQMIPISVSLLCIADSGILIDMHSIDGSELLKQSLKIMNYVVNVESEVPIDSCAKNY PNQSWKCFYFQNLLNHITKPVFIIQSLYDAAFLQDYLKIECIKDRTLSYCSTIEKDYIDF AYSQFQSTIKNKTSANRRMGSFAPSCISNWYSQILLKFVFSLFLNSASFSINWSIPEKSG KTISQTLNKWIEAQQRNKGDEDQVVLIDDVYWPNNQPCAKLASQSIANRYANILYFLFII LNF >CAK88065 pep:novel supercontig:GCA_000165425.1:CT868649:156142:156451:-1 gene:GSPATT00003033001 transcript:CAK88065 MDSTKDRVEGTRATVIEIIGRTGSRGGITQVKVQLVGQQRTLIRNVMGPVRKGDTLELME CEREARRLR >CAK88066 pep:novel supercontig:GCA_000165425.1:CT868649:156636:157527:1 gene:GSPATT00003034001 transcript:CAK88066 MSETSISPLDFYELKHVRTIKDNTQAQRIQKKKTLLYQNKEMLEKEYQILNQSCNCSDCG KGSKYFEIIKKQYPFKESRWQKRKRILKRFKAVINAIIFILTYKMEAIKKFRKRMHLLKA VRNLTTLRKPQPIVPAHLQPQPVLLIPQSHQSQRVKTASDFHDPFYPQILSHGKEPRKSQ ITQYMTKMLKDTNPKLEVYLKPLSIFNRKSFQSSNAKSITQTSFSPHTHSHFQSGLLPQS HFHKDSIKQISKDYYKLNNKDLLKLIDSMKVKHRLIKCKK >CAK88067 pep:novel supercontig:GCA_000165425.1:CT868649:157723:158672:-1 gene:GSPATT00003035001 transcript:CAK88067 MDQDGFNFQTLESILNRIGASNYQQLALFDKKLFLDTQTSDILYQEKDYLYHLITKKKNL EINQLQKPAQPIPLEFTKFKQLNDYLMPAAWTLEIIGPSLSFKSQILRDMAETMSQKNIP ILYISIHEEYSNQKFKVIKMRQPKELFILLSILIESQEQHYNLIIIDGLSSLLYQTAQMN VIENQLQELQQLLALLKEKKGVQIVISSIAKMRENYTSYNSTQDCNIIIQVGRIYKSRQN LLKNYIKQSLRMVETKYDYNENVNKNTLKFQYFCFYDGIIKPEIGILF >CAK88068 pep:novel supercontig:GCA_000165425.1:CT868649:158702:159655:1 gene:GSPATT00003036001 transcript:CAK88068 MDQVCQLLRNQTRNIQNIEGNKESLSYRRINSPGLPNSSLRSSCKGDKKRLEIGLITDRK LSESPNFDRMFSTGKFKLQQQKNSVVDIPQPQSKVPKMEPPVKRNLRMIEKLESDKLCLK IYISEEDQNQLIFTGISKEKLQSPKQNKKALIKNLQQLFQKGIKDQNKYMKLESLEKHVN LENPQYYGLLKNNNNNSSERVITQNNESKSNLDRSLSISTIQEYKSNTSSKINKDIEPLE FGTNKSKNQYQTHSNSKFQKAQPFGTYNLTINLKQKQKDCKTSSQNKKGPSPSFSQQRNS QQKCINKMKSNAKSLHV >CAK88069 pep:novel supercontig:GCA_000165425.1:CT868649:159795:160931:-1 gene:GSPATT00003037001 transcript:CAK88069 MNNQDKIGFILSIDKDWQNIVEKCSSIDNNIKNMQTRLTFTIGQMNKEIGNRHIIPAFQV EEEQIKEETRLPSQGESPLKKPTNLVKQAQILAPVYSTISERFQICKFLGKGKFSDVYQA QDKQTKVIVALKVIPKAILQKYGMEKQLSNEIKLQGYMDHPNILKLYGYFQEWSKVVLIL EYATDGELFKLLKKQPKKKFTEQTVSGYIRQIIEGIGYMHSKNIIHRDIKPENILITHSL LKIADMGLSTYNPTNQVRQSFCGTVDYMSPEIAAGRDYDHTVDIWAIGILAYELCTGETP FYEKKKEDTMNKIIYSNFEFPNYLSEEFKTFVKILVQKDPKKRPSIYQIMQHQWIQKYEK ESTIFNRDLLNSMVKLLK >CAK88070 pep:novel supercontig:GCA_000165425.1:CT868649:161440:163826:-1 gene:GSPATT00003038001 transcript:CAK88070 MDLHKFQHFILELDFNKILESSKLNQLEVPKDEQIESDYLCKIPISFGDESEYQKIWKPL FYEEVKANIVKSFQTETYPDVEYKFDKHKKDEFTKLDFQLIGQKDQLNFRDLILISCSPY KQGDQCLLGLIENNQDIIRVNVQNNFEPIAALFEKNMIINQQNPNQKSLKNFFIRKVTGL STLEREFRALHKFGELMLKSILLSLEAQPKVNSYFTIPYKLDQKLHSIYNSSQYEAIQQT LKTHGITLIQGPPGTGKTKTVLGTLSVLLQSKQERPELNLVQKTSLEIEQEFNQEYPQPW KSLDYDDWRDHIFDDVEQDISNYFTNRLSDFQKEKPQPIYKSDYSQAKIPNKILVCGPSN ASVDEIIRKVLEEGLLDDTGQRADVPIVRIGENFDPTLSKVSLECLVQQRVYEQQNQDTD KVKKEILNQAKVIFGTLSSSGSNVLALSELKFDTVIIDEAAQAVEISTLIPLQYGCRRLI LIGDPNQLPATIFSSICGKYKYDQSLFERLQKQGANVHLLKTQYRMHAKISKFISTTFYG SELNDYEYLERLIGTPKFYDYYTYSPVVVLHVKGYENFTRNSYCNEMEAKVVTELYKDMK NKFPTFNMNNLGIVSPYSQQVWLISKQLKKMNEENVEVKTVDGFQGREKDVIIFSSVRSK FISENQKNPKKGVGFLSDARRMNVSLSRCRQTLIVVCDIYKISCNERWRNLINYSIQLGS CYRVGTEDISEWFQSFDQDPLKYAIINEITFKKKEKPEQVKK >CAK88071 pep:novel supercontig:GCA_000165425.1:CT868649:164056:165661:1 gene:GSPATT00003039001 transcript:CAK88071 MGNCQCRKYFDSDQGSYNNNHQEIIINDEFHNNSKEDFAKELFIKIKTWQQESFSLFDYE SQTHLKEQNIEIRQGGYLIKNNNEVQWIDDDIDWNKCVIKQHQILFRVEKINGVFTIINK RGECKQNLEDDKQELTTKYLGEGNRDFQQSENLDQLEGEEFQQSQDWINKIDQHNYKLNQ SQRTNTKLLEKGSRLWLVVRSIQSMFSNSGIKLKQGDVIKLGRVKLKIREIQLNQRKQIC DLDSSRSSQSDAISCRVCCSSQDSVQNPLINPCKCTGSIKYIHLNCLKKWLKLKFQTKHS NHCMIYMWKNLECEICKFNYPPVFKSDQHIVDLVELSKPTEQAYVLMEIIQKRQDMRVDK TNQDSSWAQCNGVYIVTFDNNSDDKVAKTKELQIGRANETEIRINDISVSRNHGTLKLNE GEVYLTDNKSKFGSLILMQQKVIPLINELNGIEIQVGRSVLQFNFGKDEQRTPPSKQCLN SNLFDKIIGRDLEDEDLLYN >CAK88072 pep:novel supercontig:GCA_000165425.1:CT868649:165667:168256:-1 gene:GSPATT00003040001 transcript:CAK88072 MIEASDIYNSIQTNIREQQATFDLLKQAADNNDDKLSDCILLAQEHHNLNEDFLIELLSK LEEQFVDASTLLKIFQKGLDNLYYIKLGKELAAYIVKNKFQRKKGELLFEKLLVQFCFDF EEAQYFFQSYLSFENQLLEGGNEKEKIQVRNQISQIFQRVFKISMQGLDKFWLQYEQLET NKQNLEKAQKNYQSTIQKIQQLDNLEQQYKKVCNSQDEQEILNFFKQLEQVLDEKLIEFP KFINFYEKYFYLRQKSENFQFSDSLLIPLQEKYIEILRLQQNPHVLQKQLKNLIRFQAQP FQIYILYLRTLEQLNKDDEEFEQDFLFAQNYVQNEDLFKFSLEFIYRYTRQYLTLTEKLD ERQTTIRELFNQLAEKKSYSDQQKAKIYNELAKVECYLFDNKLNSRAAFEKCVQLVWDNN SYWKDYYYSQISLPILNIQQRDRLRGILKRAVELCLEDKITASEDLLNFETLFGNVEEVQ KAEEKLQGVLETIEDNDELIQQEEEEQQEQQQEERKGQKNNNRKQSKELNEEDKAPKVFT DSSKTIFIKYLPKELTANEVIALIPNCGALECRIVKDKNQVSKGFAYVDFTSDEDAQQAV NILNNKMVGGEKLYAAISKPPKLYQDDKLTLYLDNLPYSITEQQLAAKAGEGVKQIRIVK DSKGKPRGYAYIEYNEEEDKQNGLELLNQDPYIDGRKYFVKLSDSLEKIKQNHQNNSIII KNLPFKVREIEIRQIFDGMNITQIEIPKNEQGKPKGFAFIEFEKQKHLKLALDLNGIEFK GRRLEIQKKEKKMMRKKEEQIEIEEVQDFKPKSNSDFKSLFKK >CAK88073 pep:novel supercontig:GCA_000165425.1:CT868649:168768:169706:1 gene:GSPATT00003041001 transcript:CAK88073 MKSSAQPQILISPIPKQQLTEEDDELPNPMLDSIISQKSQSSYPGLGRQIKLKLNSSSNR SDKRKDPFKSNYQVRNISQECKNGTTKNQYKQIDSLFPLLKLVQLNQRQNKTECFNGEEV TIDQKVYFIKTNEILNIFQKAAEGFTIAYEEVEGYQGKSMNQSVSISEKMMKSMKAIKTF KKAKNKIKSFILVSEVSKYNEEEIKYKQQVDKINLFFNDNSIIQKSDHQLGEQIIKTKQQ SLKKEQIGQSQFLYLEKAEPSNHQAPKRFSNFIENSKTILKRTSYVVGVFLLIFVMAYIK TVNGEPNNIEDL >CAK88074 pep:novel supercontig:GCA_000165425.1:CT868649:169795:170519:1 gene:GSPATT00003042001 transcript:CAK88074 MNTLNSMTNPYNMPSTPYGGILDVDGCRMLARKIFSTYDLSNKGYIDVVDIGSMFTDAYR SQNIITKPKVNETNGFMRAINKAGQNRLTLQDIEQYCLKKFCGTPYNFKQQQSVNKQPNY IEERLEVARTLFKKLDADGSGYITEDEVYEIIRETYRQMGMKYEPTADDVRSWIHMTDSD GDGKVTLEDYENLVLKSLQQQAISYNLS >CAK88075 pep:novel supercontig:GCA_000165425.1:CT868649:170993:172700:1 gene:GSPATT00003043001 transcript:CAK88075 MRRDLNNSQNQQTLENIQPVGTTYEQAFELLSQALLSNSIYAIAVLILSFELAYNGNMLC VIYCIYSKLVLQIIHQIYFYRAFANMNIKYNQAIMRLLSHFFEGCYILALNMYVITRTSE LLLFSNIFPVINIFISLIVKINEGNQFFKESVQQINLFYVFRNICILLISIFFSLKIEGY FEIEWLYTLWMLWLLFSLSASIVLYYIFVVLALCIQVILEQSNRQKYLVITNVWILIFML SCSSMFLMIPILVLNYNYGNYNLAIQVDRIILIVNQIIFTYFTVKFKPELISALQMFLSL NDSVNPLNTLATQQVDAQLQQFNKQDFIESQVSEAQMQIPKVVKRISKTYFGFDDLNSDK KSETKLQEQNKKPTHQRALSSQIQKNTEQINEKIKLASMMNFKIIENQKMDELKGTSILH DKQDQPRSISLSSINQCCICFDNEPDALFMQCGHGGVCYHCALDMWKNKDECYLCRKKIE RVLQIQVCDNEKNIYQVVGATELNSKLKKRHKISQQHDQ >CAK88076 pep:novel supercontig:GCA_000165425.1:CT868649:172731:174490:-1 gene:GSPATT00003044001 transcript:CAK88076 MNKVAYLKRTQTAGNAFRSSQEKDLKSQTFTKITNQQEEEASLNKQLQTLHAKRDELKEQ IQMKENEIEKKRRQIYEQERGSRWGDNKNQKQNQQNSQMDKKLKMRRQPQNIQFNLAYLY QKENEMLNETVQLKNDINKMRREKEMFKKILKQLQEDWIAHHEKMLNRSQEQEKAFQSLH QEMNTIKSLRWNEAQEQRKFGKEFKSIYDKFKESKSPEHQSKSREKSRGKKETDNYKKQK HRSDSYERYSGSEEDADAEDLKYEILHINEMADKKKKKILEREQKAQQNQQEQQALVDLQ SEVQTYDQTLKQLYNQTKSEQIDEIVNIFVEQEEQNYGAFKYIYEQSDELEMLQKQNKLL LMEKQELENRDQKIMADQKYKQKLQFEEKLVKIKAKQEKLQEKLYEISKILKELMISIPI MFERIGCNLKEYSQLLGPKFSFKEMDEDKLLKVLGVIEIRTNDILQMQDIAQNPRHNFMK KDAKQSNFTKLDDGNNQGDNPNDELLQRILDKFKDTELSEQQFSKAQLRDIAEKHIRAGT GKKKRN >CAK88077 pep:novel supercontig:GCA_000165425.1:CT868649:174500:175764:-1 gene:GSPATT00003045001 transcript:CAK88077 MSKAPEQINIGQIRKAIPESLFKKSESRFLVSVAQAISSTALLAYIGYRYIPLTWYALPI WIVFDFLLGTVAMGIWVLGHECGHSAFSDNKMWNDILGYFLHEILLVPYFSWQHSHALHH AKTNHLTEGETFCPVVLNSKMGKIYQKIKDIIGVESFSIVQIFNVTVLGWPLYLLLGVTG GSSRGFTSHFIVPNKLFPSRMLVKVSLSNIGLCFVIFGLYKWAQATSFAEVMALYIGPYL VINMWLTIITFLQHTDISIPHYDSTSWNWLKGALSTIDRNYPAWIDSLHFEIGTTHVLHH VFSELPHYHANEANIYFKKAVGDLYHCDEKPLLTSLYQCASLVGVEHKGDGAWFFSKE >CAK88078 pep:novel supercontig:GCA_000165425.1:CT868649:176080:177137:1 gene:GSPATT00003046001 transcript:CAK88078 MSQRLNSIIRQFSVKPAQIKQIAKRVFLYFGCLFLVVNVLEWKILYLPSVDGQFSHNNYP YFKSPQERNLPFEDIEIQHDGVELKGWFIKQQNFQTAPTVVFFHENAGNIGWRLEYAHQY YDRVKCNFVIVAYRGYTYSTGTPHQNGIQKDANAITNYVFNNLKIDKSNVFAHGRSLGGA VATYAFHQRQENAKQTGEMQYKGLIIENSFTQIADVVSNMNSLFKILSPFIMFNTWKTID LVPKIQNPILFISSGQDEVIPHQQMFRLSQAAQNTSQKFDYHIPHGDHNSNWNYDRNEYF GTIQKFINSTSQL >CAK88079 pep:novel supercontig:GCA_000165425.1:CT868649:177142:178603:-1 gene:GSPATT00003047001 transcript:CAK88079 MNKVNQSPCRTSQEVSELSKASANQKLLTQKINDLENTIRHLEQTNQKLTDQLNDQNAEM KQEKQKSKNQQNTFTQIQNQKDQQIEKLIYENQKLVKGIQKIEIDLHKLDKIKEDNITLK QQVQEQLDEIEELKQKIPKNETKWSSDAALGVLAAKRSQEILVLKNENLEIKQKNQELMA KLNTLLTENQQLQSIIKSDDPSQRLNSQASSNKQYNSSSKKQDQTNQILNEQINQLSLEL KEAKNTIEKLKKLNVSKTQNSNTPNNKPNYSINKSNSNDKIQKAQSFNNSDNDKDKRFRD LEDQVKKLEFDKQSIAQSIRLECAQDIKQLQDKLREEYEAKAQLEREQEKHSIEETKKQS QENQKLQIALSRQKKEVQNMEKKLTELLISQEDIQQSLQKEKQFQDYLKQEKTKLQDQLK EKQKEINEYKEDILKLAKERQLLKDQLDKFKSESKINQSQISNKPGSQISEISKKQK >CAK88080 pep:novel supercontig:GCA_000165425.1:CT868649:178643:179848:-1 gene:GSPATT00003048001 transcript:CAK88080 MAINASTNLIAVQTANAIKLIDPKTSSILQTIESDIKTMCFSNQSILIFSSKNMLSCFDY QGLELWKQHIGGESSIVFAFEYHSIYGIIYANKDFRIYTQIQELKSTLDFVAKEINKVYH YQQYLLVVDDLQNNVNLFLLDDIVLLKTKPFKVFTGNNSAINDFVFKNVLATVSKDKELI IFDIATTQIIFQTQLDSEPLALDIDLDYAYVGCVNKNIYQIKYNQQSINYTIQPTTQINK RKLLIGHLSEIKSVKLFFEDGHNYITSNSDDGQIFIWQVDGNQTTLSRKIVTLQALKLYV VKRPQEHQLQSEEQILFKSKRLLQTKPLKKAKYTSNQLMICKKRIQKFKLSFNFTTQQPQ NVIDPQINQIIEENQKLKQIIHQLL >CAK88081 pep:novel supercontig:GCA_000165425.1:CT868649:179848:181282:-1 gene:GSPATT00003049001 transcript:CAK88081 MSITVEDLAANIETVLASLGDEKMVNWEVVEQTETNLMKHFDQLFERLYTLKDSLQRVFL INIKNLRQYDAKDLAQKVKDKKLEPVVLKSLTQYAFKNDKEEILLNPSLIKGQVNSIVLP WVGNNLSVTDKIKETNEWLDSKLAELEVFKPKESQEQAKTQEIQLKSEGNDKSNYTFKSD KLNEIASQIQIMHIPSTNNMISIKTYTQIVEGSVDLAEPEFIRITLENRKARREVLYSDG AKYVQLLLDYVNEIENLLMKAQEEICKKIDISQQTLEQSEMLLMERGLGQHVFMLQASAR QRIKDKLPKQKQVQMNATKEIIRYQIKLLNEKQDFLKNMIDKLPTTYESGQLVPMVLNLV MGDMIFEEHSYEEEDYISNLENPQLFQDPDMMELLKSIETGVVNLLGKTAFAQPPQGMMG NVPPQMLQKQQQQ >CAK88082 pep:novel supercontig:GCA_000165425.1:CT868649:181708:182519:1 gene:GSPATT00003050001 transcript:CAK88082 MSNYSLMLKYIIIGDTGVGKSCIVLQLIENQIRQEHDATIGVEFGAKIIKVNSMNIKLQI WDTAGQENFRSIIRSYYRSAIGALLVYDITKRDSFHNLQRWMEEIKNNGNANMVITLCGN KVDLESERAVTYEEGWQFAQQHQLIFLETSAKQGINVQSAFYQSTQRILNQIDDGTIVLG QDPGIKIGGQYKKKEKDIKSINNYEAAVQLKPVETPNGINNCC >CAK88083 pep:novel supercontig:GCA_000165425.1:CT868649:182592:185060:-1 gene:GSPATT00003051001 transcript:CAK88083 MSDLKSECQEINNWFIKNTFQYEIPHCFTASYKNDKAYLSILSTNIGLVTSRPILQNYRP IKIYHIDGPQPEYTEVLFKSVNQEIVFDYVSISRVFDYNVRLQMSWYMLSPKNIKAHTIN FHNFINGGYRNYLIVPIINDQIDYEFMQEFIKQREGLVNGLCLKDLNLDDQGIADQLFYI PKTQQVNCIYAIISQPWKQTVDEYFNLIIQNSKFTLEQLKEYYCIDETILKKTIGYFFYE HQFKAKTENINPILQLRSYQNQFNQRDSALAIFKQVTTIQRVQFYSEDQINSLENKSQNL KIHTCKPKLELNSLSTHIMPISNLYRYPFTVNQYDNFLMLPTFLLELEQQFIISEFANSI GIEPNLRVQRAISRASYNRDINYELLETLGDSILKYLATVSVSLGPQNTNENILSDQRSQ IVNNNFIGKHLFKYQYFGLFHYVRSLGHQTKWFSPVLQNIHSNHIQPEPIKQVSATMLVT FLADIFESLIATVFLDKDSLYDVYKFLLHLRHPVSYYPATDKYYPRKPIVQQYQFPIMHN YMSFKDLINPVRQLSEAEKQYFQKNQLEYLNYLQTEVIEYQFHNKYILYESFRVQGSNMD LDLPLELYYKFLLNSNTPSTRGHQMLELIGDAVLECYIMCNCFNQIIFKFTPTNLYKIKM VLLSNSFLSKIAICYNIMHNFQDTAILQFIETVKLDEKFTYYNGNFVRVPKVLSDTFEAL VGAIFMDGGWQPVCKFLKKVYLKFIPFVCTYLNDIDDHVIDRVHQYSASKQGHMDIKSFK QENGQFCYEIRIDGQIVGRFTHEHERVAKEKACESACKKLKI >CAK88084 pep:novel supercontig:GCA_000165425.1:CT868649:185375:188805:-1 gene:GSPATT00003052001 transcript:CAK88084 MLFEEVKPVENTNYDRRVRENQKNEFKKILEEDQISSQIAEQLATSLEQEAFTLSGGDHT RDYRTRVNTVKMRLKGTKGQLIRTALVEQILTPKELMSLDMSKLSEDSVQAFLQKEQRGL PQPQTNMKKNVPQRGPPTRPPASGIPPVKNQVAAPPIPQSTTQNQQQIVNQQQLEDQTKF DNQNTQIQNEPQPPNQEQELNLQAQPQDQNPQIQKQAPKQQIPPPNKTKQVAPVQFSNNQ TEEFGVQQQQRQQQDKNLNTQGNNQLSSNVPTKQQKAEPQKNENVPPPLVQQQQTNQMNF QKVENENLEEEDLTNFDSLKQNQEKLNQLAEQLRSEESEELQELQDSNIENQENFNQIEN HNNSQIDNQEEKPGMQFQNTLNQQNKEDSQENIHQTQPEKQNNNEFDQQQNGDFQQETII QQIHNFQEQNEQEFKEKEITSQINSTQSQFEAPHHQLKKEEIVTQQKSQDQQVKHQNITS QNNSHQQQQQQQQQQQQQQQQQLQQQQQQQQQQPQQTISTQKQTLNFSNEQIVKQQEELI ETLNLNTQTPKFTIQLQQQQSQQIDVAVFEQMIKQRDIYYEKQINQLIKQNKDLQHSITQ FQQDHLKTIQDLQIKHVSYQTQIQQLQNENQLLRTSLQNQEQNSFKQLNQLKEQIQNERK QFQKLQEIQQMEKQNEKHFIEKLHSNTQQRKDIIHKYRIQQTQSNLDDQITILQGLLSKL QPPQLPRVQQFQQSIQVQANAQLQVVQTQVVEAPAPHQHHVQQQNQQYWANEDEVNLQGQ IVNADSGSTQLKTGYNQIQVQDVQDAQDEQGAQDEQQQFDQQDQDQYDQEGQQDEQEENE QFDKITNQYDHAIQEQQQAIENQSHLKQQQQHQEQQQQQQQKQQQQQQQQQYQQQQQLQQ QQYQQQQQQQQQQQQQQQQQQQQQLLQQQQQQQQQQQQQQQLQQQQQQQQQQQQQYQQQQ QQKQQYQQQQQQQQQPQQQLSNSSQQHQEEQIQNNEIPHKIEKKITHDKQQNEHTDQQQP NSNIINNEIQENTYHPPPPKKQSHQKQQNQATFAHPRLAHKQENNHNQQQNQQQNNQANN PFFDYQGAEEYFETSNPTNFQQFFDKQVEIPKQPTSQQRAKQTNRRANVPGSLFD >CAK88085 pep:novel supercontig:GCA_000165425.1:CT868649:188854:190361:1 gene:GSPATT00003053001 transcript:CAK88085 MLPFFPVPGLQDLEIPLGAQQDFYPKSQIQEPQEAKKLFIKNLPQELTNDNVEKLLKECG QLVSWKRSKGTPFGYAEFENMESVLKCLRLLNVACQGWRESLDDDRWLDRRAKLEEKDKQ KEEHRALFKFTSFQEYITRDDDKIKKKLEKIISELEGTVTKTKNDKKREEEKKEHPRERE RDAKKNNTRTELERKYREKMAEWKKKEDERERERKKEKEREIDREKNFQKYLEKELAYDS EQERKQIGKIKMNERKKFRQREFEEDEIFKKKELLKTQKPPEPEPPQMVVIIQEPPEPEP QQTQQQLLQQQLQMEQAEQMQQEKLQKEIDLKEELKQIFATIPTEKEELFNTQINWQLFA QSNLLEKKIRPWLRERCIEYLSQEERVFIDAIIKRLFNREKPQTIINKVVKKVLDDDSEQ FVIRMWKMIIFELRKLERGLIS >CAK88086 pep:novel supercontig:GCA_000165425.1:CT868649:190389:191429:1 gene:GSPATT00003054001 transcript:CAK88086 MNNPSPQKLQQIDIDKIQILTDIDKTHQSTVSINLIKYESQIMVQKSILLSSLQTQQQIQ HLHNERQALQELDYPSINKIIATKKDDLNIHLFLKFEKGMPLHKLLRNVGKINQKFSTLF FIQILITFNYLHENGWLYRDLKASNVIITQEFRVKLIDFGMAKKIEKGRTSSYCGTIHSM PPEIIKNDGYEYDYSFDIYTIGILFYEMLVGKPPFGLNVQGIEQRILEGIKEDQLSVIED IKIRELLKNLLSHNPKQRPNCQQLLQEDFIIQNNYNEIKKFMENEEANLNSYKSDLYDEE IYLYCTQFAFNENDNDDFDF >CAK88087 pep:novel supercontig:GCA_000165425.1:CT868649:191753:193402:-1 gene:GSPATT00003055001 transcript:CAK88087 MSFQQKQSGLKCWDSFCDLTLKQMEVIPFPITSCSDLCSCQICNKKQQEIKEPEEQKKCQ IIINKPRQRRFSICGFKDDLLTISQMLQKQDEAVRPININILDTIILKDREFVFYAFYDN GFKCIKNKSFFHTEMEKYLLDRKIEFEINNQIKQIKAGVHPTIKFSQYHENSNSVTAKLK QQISDLLNGQSILMARYQTGETTLIGKFELHQLSVLSKTNAIFDNLLHLQLYLYNEKSAL DSIEINKRNPKDYFIHRYKYIKDNFLFDDIAYELSFLTDPSLRGQKQWNKEKEYSDSLDL KEYFKYTCHKIAVYIQSFHKLCINEMEVHFTVNNPKNISIINIIGLKMSKAEETQWIIKT ARMLSTAEKIQINNVDINENNKPQKTQRSLIVSQSLTHHTKKVIEKIKPITAQVMDNNRQ GTRTQDVFKTLNPETNESINQILENQQYQKMMKNFPKQLKRHVSIQRDSPIKPRMDELPL TSYRDVQIEDYIFKSRLKRKPQIINSPFLRSISRRFFTRRNSVGFC >CAK88088 pep:novel supercontig:GCA_000165425.1:CT868649:193548:196188:1 gene:GSPATT00003056001 transcript:CAK88088 MNIQLAQKVVNQWRLFVEKKREVRSILVTQYKQSYLKVYYSYKEFKKRKFQQYIITNASK LIYKALKINLQRVQNLKQFIQQNKIKRFYILKQQDNLFCKITNLQSQNAIIKTIRPPKYA KCEHKNLIPLIFRSVKNAVILFNFHYMQKYYGETTMDKDMIKNEFLYYFTNYVTVKRPQK LYQLTAPEYERYKHVLQKVDKFQSEEYTLLNYVELQNINVLKKIYIHNRKNKDQQLQLYF DYQLKEIGAACKIQKFFRGKKNRKRKGMTYSVIVGLINQSRAIFVIQKWFRRIRQFHRTN FFKDISFYISQIPTEHLYLDMEIYQKIEQIVQDQQYTIKFLEQYNTIVSDNEAVRLTFLS SKAQSLNQSTYQQSISQFDNAPIKVVPQWLIKQIQMVPISQQKFIDYSIIKQEMKGSKWS CRNRQYQKKQFEKLNDLTFQKQCDIYGLLHLGAKISFDHICKRSYIRFTYKSTSEARYRA LALALITYKFKYNGVSIQMLNDNLWESPYQKQEQFLQKYYYKIQKAFKIESLELASSNLQ NSPSNCLILCKNEFQAEQFKLKQNEIQLQFYNETSKIPLSFIWMSTQQIIKKVPIKIQNQ QLSQNNTLKLSSILQKSSILENRNDNEEDQQFEQSIQSKFYVSNELSPTSPKREAINKST ILALKFSILCLDNPDVQFQEPKFKRRTEQTYLEFAMTSRQRTQNNPLNNSKANGLYNFQS NNAQLQKKKNNQDLENISENTEYSHLQRRYKEFIQNENKTKGESIKSQKQNEKILLSEFS NLINKKGIKVKNNIIQTQRAKSIDRPTKNNIYSQYSQSVTKREDYKDYSLAFPKIEQKSS KKQNLDSRLSNYQKCCNFPEDQYTMAKDTIKF >CAK88089 pep:novel supercontig:GCA_000165425.1:CT868649:196210:197473:-1 gene:GSPATT00003057001 transcript:CAK88089 MGNICNSEQTDEKLSKSLKNTYYSEIEDQPYAPIKPIVFKRKYYQIQNKIDRENNLQIGV CFDKSEIVNQEICIQLWDGLVGNPDRQISGGPGDLQKALYAIHKNEPERFAEVLEYAGGG QIRWFVWKTLLYSNIKQKHKAMKIRQTERLSIVERDVKRTFPNHPFFQLESQSSQLLSQL LNLVGATFKGIGYTQGMNFILALMLMQSGGNIEETWSASFLMFYCSKWMLYAIYMTEFPL MRLICSVTKEILKEQDVQLYNHYMKLDIDDSYLLCKWIFTLYLYNIPKQACLNFWDIIFQ KGIFIMSSINCQLLISIRSSILSFKNSTQFLEYAQELQQNSICQNYKNIKTNALKTIPQK KTILLCVNSIKDQYEKNVPAQEYFQLLIDNSQKSDFEKKYQNWMENHIYHNSN >CAK88090 pep:novel supercontig:GCA_000165425.1:CT868649:197875:198222:1 gene:GSPATT00003058001 transcript:CAK88090 MGCVTTKKQHTVQIPKHVLNQNSQLIDDDDQRNYNSAPQSPALRKIVQIDAFTPDEIKDF ILERRKQNYSTNRIELMKKSGRYTPTLIYKKRKPSPSQRSQTKITIQSPIYPTQK >CAK88091 pep:novel supercontig:GCA_000165425.1:CT868649:199966:200280:-1 gene:GSPATT00003059001 transcript:CAK88091 MPKNSKHQSNNFKNSQRNNNSSFLIIFIKLKYIFHHKKQYEQQNQCKQLNDFILKKRKNK NQQPVFNLELVKRKLTLDVCRSRDESPYSTIEPRIHSKTVQYKY >CAK88092 pep:novel supercontig:GCA_000165425.1:CT868649:200361:200874:-1 gene:GSPATT00003060001 transcript:CAK88092 MISQVQEELQDSILKDKQEVINQKAKTIALQQQADSKNELILDQETIIQQLLAKLQELEK QEVLQKQNNVDQNLLLELEQEIESLTTLSNRLRLKANRLCSYFVCLRLYNSDLGLKIQQD DFDSNLLVMPKIPDHSSLISSLQEAERALKQKVEQQGQQNSRK >CAK88093 pep:novel supercontig:GCA_000165425.1:CT868649:200992:202150:1 gene:GSPATT00003061001 transcript:CAK88093 MSKKAEKKKQEKVIADRTFGLKNKNKSKQVQNFCKGVAQQVKYSGVSLSKLQTEEYEKKK LEKQLEEDEKLIQSLYKTVEQVKEDESEEEVDPKSILCEYYKQGLCQKGKKCMYSHDMSL EQKTAILDLYTDQRQQLADEWDTCQTWDEKTLKDVIEANEKTYKSQIPSAKVCDFFLDAL EKGKYGWRWVCPNGMTCHYKHCLPQGYVFKRKEELKQKYDGDIEEEIDEEIQKLQKGGTK ITKEVFEKWKLERAEKKKQEVEKQKLEEQKKKGAKQTGGNAQMTGRALFVYDPTLFVDDD EAENQYEREEQIDENDEEDEDDNENRQKLYEGDHNQQQDEEEEDQQFKQQQS >CAK88094 pep:novel supercontig:GCA_000165425.1:CT868649:202285:203685:-1 gene:GSPATT00003062001 transcript:CAK88094 MRACTPSKVKRYEINRTLMPYKVHIVAVIWPENQHKLFDFDSNSYFVKEIEVDFSCILIR YSLLALIATVDKELVALTQTEQAENSTELVNITMNEQDAYINCISEQQDHKLWAVLKLES NQFSSIEHRDLKIGDIIKLGRVRMELLEYSFMTPCDEATQYQDEQEDEVQTINDVFNCTI CFSSRATETNPLIRPCKCEGSVKYIHLECLQSWVRIELKIKYEEHSIQYLWKRLDFEICK ATFRSIYKFQDKTYSVLKLPKSSYITFKITNDDTTKEAMIYVVEIGEKTELKIGRIPDCD FKLRDISVSRTHAILKLIPTSKHTPETPDYIIRIQDNKSKFGTHVLAQDQDLLKISDEGT QPIVLFQTGRVLLQCTKKKKLNQNSSYKHPENITLTLNQTKLQFEQQEVQESFVKHDDLK ASDITLNNSQHFCNNNRARDSK >CAK88095 pep:novel supercontig:GCA_000165425.1:CT868649:204189:205335:1 gene:GSPATT00003063001 transcript:CAK88095 MRKSFQIIFGIDSRKEKREPIIQERVQQEFVFDSQKSEQHQNQFLMMNLQRKRTNQYLYA SERLRSIYQIKIQSNGFEKIPIIAYPKDNTEVIGLFQVMEKSKFEFESCQMELESLDSGS LFGEKLAQKSAIIMTCVSKEDFRPYYAQEQSQDNDNIQSQSSQQHHKKIKQPKKQQTIFG LNKSKVEFIREDKEQKSQYNNSESHFKRLGGILEDYILFYCPLFQKMKVFITKSFKIKVL RKRCSIQQQILKNIQIEFSNLNKIKFTNDLYFELNDSFQQIGLKKTNSQINSDDQTSRRK ITNTKSNQIKFCNEKNPFIIWDDDNLSLNNRFSTPWQSSNLMKVFFNEFDDYNRISENIQ FEDNGKQLENQEKR >CAK88096 pep:novel supercontig:GCA_000165425.1:CT868649:205754:206604:-1 gene:GSPATT00003064001 transcript:CAK88096 MKKLEQVNTYLHKFDETILKLLKESNINTIKRTLPKSIANSEQPTKQQEEIINNIQYTPV SNLENSHLKKSDIIENANSYRIFRSRQQIKTQTFEKNQPQQQSIVVNSPINSGVSSPNQA SSSINIVERLKTIEQHYGNKIKILEEENTQLRKTSQNDKVQIQSLESQVQRLETDLKEQQ KLFQEILSKQLNDQKQQMQFELEEQETRLKRQFERQITRKDQKIVELEQWQQKKKSHHTD SNNTNLLFTFKTHDH >CAK88097 pep:novel supercontig:GCA_000165425.1:CT868649:206604:207183:-1 gene:GSPATT00003065001 transcript:CAK88097 MRQFSGTWKRRGGNTNSRPFRGGNQNFRGNRNNNYRSNNQQGNFKDNQFRRSSNQNQSSG YNRPRNQNQSRGGRPQRGRGNQKGQGFTQQNTQRRRRFGFKQNNQNGEKKQRFIKTGRKN RINSDVYKIAKDLQRKSKMEQALDNYWRKGDQTKNEKPTQEANNEK >CAK88098 pep:novel supercontig:GCA_000165425.1:CT868649:207288:207791:-1 gene:GSPATT00003066001 transcript:CAK88098 MDQNQPKKAIKRAYCKKVKPQTVFRSESEKIRQLLQIIEDTKGVANLDKQVAMASQLKNI SEQQQSSQTQQIDKNTQNAIPTQTFVQPSTEQPNKQTFEIKIIKKAQPQELINETQKKKL KPDENYEQRFCQMKCELQSFLSYWIIKLKSSVTDTQQMVEQLEKFKL >CAK88099 pep:novel supercontig:GCA_000165425.1:CT868649:208031:209364:-1 gene:GSPATT00003067001 transcript:CAK88099 MECLICNPSRKVLYGKNQDKYRMKVSLSQRITSSLYNKYAESQNYYYTKVVNDLIINQPT KATIWFKDLQQYDEEAEHCKKLYTVSSYPKKIKILVEFYKFHKDIPRWAVNEQIVIILNE FYNQRRKLEYYKIQHIIEQENQQNPNRTPKGIVGEQPQESQSSPKSSQESGVVVGNVLDE LTTELKQRTQTQQSDINAIVSVLNANSMKKQPNLQQVFHNQQCIIKEERLQQFITHEKLK NINSLINQQKATSETPRQYKFQNLLQKKSDLIQNFQTSDNTGNSPKTHHAQILTLLQQRV KVKLELRNQMNTKPITGNTSLRSLQKHIESPKTTRNIQYQKQRFNQKREFKANTFHVNQQ NKNLIVSLLANKNTTVHVDANQKNLLLAKLNTLNTPRQILK >CAK88100 pep:novel supercontig:GCA_000165425.1:CT868649:209631:210428:1 gene:GSPATT00003068001 transcript:CAK88100 MKQSHQNRMLYKLLQSKSLRSMFSPGKESIITDPNRVKEKCLKHNLKARFSYNTQQLCEI CAIECAYDHQQLQHIKSGIILHPFQELKSGTAKTEFVQLSEKVKELKNCKQKQSILMQFT NQLELVQAKVQSLFEQVNVINTYGITRFQEIQIKQICKKLEYRLLELTRNRQSVSTYNYH QFQIKLNNYQDDILLMKKDIEDNLESIINKMEIVPLNEIIQKYQMKLDFYESECNQTRQL YQQILNMFYIELQRMSKQ >CAK88101 pep:novel supercontig:GCA_000165425.1:CT868649:210445:213955:1 gene:GSPATT00003069001 transcript:CAK88101 MNHQRQFQSLRSVPDVQETDEVEDRVFYQMVGTTQQESHLVANSDRASIEEWMKLQQSLK EEQYKMRQEDELKNKLLDELKQESKNYYQTYINVLMESNMNQEHKTLEESTSRLFRNAET NVKLIFEKLSNTKVCSAIFQHHNNTIRTTEIETLIRTFINSIYDNIACGSMWKQPILKLI SEIITTEVNRQEFPEFLWDREDTLTQFIMKEFTKRPENVKYTKVIFKNSLIQITKVDKFL DLDKRKIADKLREIMKEKDKKQKEEKQSLNSSAFIVKQVPLMLNSSQKLPQRQIPIITPI RNIEMPQQNMTLYYANLIKQYDQSKKSNIKNSRRNQNQLAEFSLKVLQFGEEQRQAKFLT MERRSVAPIQESQSESPIRKLSKVYSHSNKSDQYMNNEINSVLIACIDKFKQLVNNIMEN IFKNIYLIPQGIRIICKMIQITILKKFPDATEQQIQENIFSFLFNVWLIPQLVFPKQYSS FKYIREDHENTIQQIHTIIKKMIRNQKYNEDPQYNRLNQYMQDLQPSLKDYLQEILNLCP DSVNAIINDTNKIDGILSNCVCIALDEIKSLCQLLQDMKTFKTESSDLQKVINQLVSLAT RTLEIDRHENIFRQQHNLQAQQQIYALILNFSIPNNIVYQEQVQPLKKIKDENLRNQRKL INALMKCLIDIQDLATSTSGNQEEASLKRVLTNFVDKYTYLDDKKTVFQIKYVLEQLKLQ SQGELQSNLKNIYQILNQEFQERSMMLTEHIQQTKYQLLSAKYNIKKNYEVMRESFEQLS QEKRQKQLYEFIEKTPLALCVTSPKTREGLFSMKAHKLRNTNNEALDDQQIWVEQQSECI HNAINLEFIKQIVGGASPNDQKKNSEFSNSHCSDIKQFIENFSSLQEVKEAVISGEDKAG VKNAYFEFIQALAAFLKGKNEMKIDEIQMMDMLEKYVSKRVYDKVYPKERTYKDAGLYFR IKSLEWVGYDHLEIIKQNRVDEMWDLAVEALLNIDNCKTAVNKLEAMIQCSKIMNDVLKL TSLKEEATSADTVLPILIYILIKAAPQRLHSNLNFVSLFLDKSKTVSQQGYCLTQLQLAI QWLEEVDHKLLKMDQMNFLETITKAEIRYGIHYLKRRNRSERISMPLNKIQALIN >CAK88102 pep:novel supercontig:GCA_000165425.1:CT868649:214141:215362:-1 gene:GSPATT00003070001 transcript:CAK88102 MYYPQHFYPQVYPQYRYPPYGVLPQIPQQWRPPVQYAPQYGYSRMRYAPPYQYNPYASTI IPQAYQPQPMMSQIMASPQRTFQSPDRLKAAHSPQRNVLMQSQQVPRSPAPQLQSPQRQF LTLEQVQERLRNAQQPQVQKPQQPNKNAHPPQPNYEKQKSPPPQKKAQVPQSQPEEDLDK RYQNALKSTEEIIKKYKMDDSGSKGRQTNQANEPQAEEEDENIQELALQYEDGYIYRGQG YPPQTRNGFGILTDADGKQVYAGYWKDNLYEGQGRLTNLQTEELNEPIDWNNMTTIGNGW ASYEGNFLQGKMHGQGTLILTNQEQYFGEFEEGMVHGEGEFTTADGQVIKGKWDQGYLIQ LSEQD >CAK88103 pep:novel supercontig:GCA_000165425.1:CT868649:215385:222108:1 gene:GSPATT00003071001 transcript:CAK88103 MNYVSRSPQVPIYQQIKTFVQGLAQKRNQKVVLNEVQSLINQLGIPGEQYFLLQLLESIE IQKEKQSLTQKGQFLVQWLQQASSKSHFIDYFCGVVEAVCPQSQSITEYLCELCNALKVP ALVQMIMWLSITFSSNQNFSKEGIKMLKKKLQEPFQQKNNLQVPQHVTHTLLYQLRNVPE LSDLEQEIKNFNEYVQNTKQADLKCLYDDGKKYMKLDPVNIEIPKINECIKPVDILRELG PYTSNLSQIFTEYTDSDIMDCLLYLANNQGEDSSKDAHKDKDATKDVQQLFKSTSNTQQP DWDLLSSNDANKQPEDDFIKFFINDNNKRQWSQVFEKLDRPNLELSLSGYNNLMGFLGKI KKQQQQFQLPHRLLLDKWHNKKSQINFLTCMLKANKPEQLFWNEIQPKKLVVMEHNINYK NQQQSQYWQNLEFVQMLIELSEYGYIAEIRDFFESAIKQNQDLIILALFQISPTQGGALI DELFTQLFPNYVSQHANSSPVLEQMWKFNQNLFITGISELYKKEYGKKENSCLNLSRVLD IVQQLFQNSQQNNTSLLTMAKFDDYQFSVPLGILAGKREYLNFDIWLNERIKSQGIPFVN VLLQYIDENVIQQVKEHQIKSGLPIGQLGQMQIQQLDQILDKGQLKLEMITIIFEQLMNQ GDKLGNKIKQTTQQFYKELVQVFPQLAGQPNQKTNQEVESKTDSYFESYYNEQISLENFL NQMVQWKTQGSIQEKEVYACIITNLYNEYQFHLKYPKKELELTGQLFGGVLERGLVEGQS IQIGLRIIQVSLKNNTQRYEFAVKALEVMKNKIYEWPWFAQEVMACEQLSFKNPDLLADI IRVCEKHGIKSPLQPAPPIQTVFHKPLQLVAQKEKQEEEPIQLTLKKSESVPVQQTHPAP IVVPAVVQPIQIPTQQPQPVIVPQQQPVTQVQQHQQIHLQSVTPPPTLQKSSQLPVQHTP QPQKQKSEDSNTNINLTYKQILQVEFDEFQRCGADSEVKEYFTFTLNSISQNNVEQKAAE IRQKLENQDALFYFIKTIAYLRSPMAQQQAQGPNVMCCLLAALNKSKYFSEVAKEVSIGL TRLLTFNKTSPSADDRSTIKNMGSFLGQITVSRDKPFLFKYFDYKTLLKQNQLTIYPLCK ILEQVKSSQIFTKNNKWVNRILQELDAAKETCNTMAKYEIMNLLKSIEYTVQPINPTPTP ITIPNPVVPTITQPIVQPIMDMDPLNKLNIKNLPQYVMADSKNLNDKLNEADCKNLVATA LDHAISDIIPPVISRSVTIALITTRELVFKDFALEPNEKHMLRGMHMIASHLSGSLAMVT CREPLKVRITHYLKEGIEQIDLDNKTKETFVQTAAQENLDLGCALIRKAVIERALEDVNQ DPSILEQLEKRQRCKEKGQQYRDEITQNQLKFLPEPLQPRISGLTEEEIRIYEEFGNKKK QPKQSVLQKVSTLCQLLQDPQSNEEKIQQLIQDLNEQDQSNPEQFYKDLADKFLQVILTN NLTSEKISISLDLITILIRKINEKVGKKWSELVIEFITKNIELLEHSPLWWQAFPIMLNK RLIQMQEAEQVMTQILTKDNQMNVSSIVFILRKVIIEEDNNNSAYLNQFKPIFKELSKKK DTNPTVSKFFMELTSFVSNNPQTKVFQKKLNLIKGFYQKIDEEFSNKLSLKLKQWYQLSS EEEFNNFFRVEGESQFFVEDQLSKFCAYAIELSMTDVNKDYMDHSQIEQLGKMLTMMSKG LDPKMKPKFFEKLFDGCLMVLTKLHEATKQKFNQRQLFKLFYNLLFDLQILDEENIRLFH NKFAEFLEKVEPSLYPGFSYAWLELLCNRYFQQIITTNENAYARLIIKLLEFVRDTITEE TIQYHYVQEYLKGVTRLFMLLLTDQRKFCSKYAFSFADEVPFNHVQLLNFSLAAYPNDQT DDPQSCTNIYDIADAQQFGQVVHACFEEPTQTKIKEEIQFMLNMSDEDLKIKVSKYFDYD QKSKLPTTISGFFLLLPRHENLTIQQQRALLTRIIKHSSFAIRNNVINVILNQIRYPERA TEFYIRYILSQFIVGDNSQIQEQYTTLITKRFLSENPKTWGMLYLHEELKRTQSNNNQYK QYQIQRQ >CAK88104 pep:novel supercontig:GCA_000165425.1:CT868649:222138:223422:-1 gene:GSPATT00003072001 transcript:CAK88104 MAVNDERYVFIVEWFDTSASLIRSYNLIYFMADKTIEMFDLKNKRIFLKRCEYPSVQLKD LYVGSIVTVFSRQLKIVDYADVFTRSKFEVQRGKTFGMIKPDAYTHIGKIITAVEKNGFV IGNLKMTRMQIGDAQQFYGEHRGKPFFDELTQFICSDFIVGLELIADNSVKKWRDLIGPT KCQVARVEAPNSMRALYGTEGVRNACHGSDAPGSAQRELDFFFSDKSNLKSTAVFNNCTC AIIKPHVILEGRAGQIIDIILSEGFEISAMQMFYLDRATSEEFFEVYKGVLPEFQAMSEH LTSGPCIAMEIRQENAVKSFRDLCGPHDPEIARTLRPQTIRAKFGIDRVKNAIHCTDLQE DGILEVEYFFNILQQK >CAK88105 pep:novel supercontig:GCA_000165425.1:CT868649:225345:225959:-1 gene:GSPATT00003073001 transcript:CAK88105 MNTSGSEIVKDKENLQKQKYSHNSLVQNIENSSINEENLKKKEKRNRKFFLKRSISMVQV CKQTGQIDLQLGSDFLHIEIMQGGHFPLNQYNFKDIPSEIYHDDQECQQANINYSLNTLS NSLLKKVRTIQSSLNCKSLTQIQQQLVNEPRQSFNIKENQITHSKNQYNFDRQQIKENKL ISQQIKEAKQILLNQHQAFQLILQ >CAK88106 pep:novel supercontig:GCA_000165425.1:CT868649:226306:226832:-1 gene:GSPATT00003074001 transcript:CAK88106 MIKIQEKVENSQKPFQRKRLNIDQQVKFNLIHSVNKDHLTVYQAAKLHKLKYSTAKHIFR NYQRDVNNFFSKQRKKRQICKCQNIVIDVVTGEIKLFEQNNTFLINKKERNINALNNQIF NILSQQIYSEIKNTMSEHNISKKLSLDEQLVNIKKVLNNQYLKMLR >CAK88107 pep:novel supercontig:GCA_000165425.1:CT868649:227642:229934:1 gene:GSPATT00003075001 transcript:CAK88107 MDLRWLQDDDNLATADQCDHFNASFISSPNRSQIGKTIKNQYTAVEFTDTLGKIFQAIKE KNLKQVQNLVNTHQQRSNNLGGDPEFRWDIINDLSLNWSPLHHSIYLGCEQIFMWFLSLG GDITSITYDGYSALTLAVLSKSELMVNLLIAQPNLNVNHVSRKGSALHIAAQSNQIAILE LLLQHPNINLNIYNDQLQQPIDLATGKAKKLLQKEIQQRTDVYQEQSKSQISIKSLDNCQ INTIDTFLINRPKKPPVYKGYVEKLHFSHLYSYQRYIVVDPECGTLVRFQNKENCPLNPK ETIPLQNIYNLQIIVKDRTFGNYSIQLLIEYNEKKIYFLFQTKQTAQMWYDSIKTAAGYS KYVKYKIDQYCQKNEGQKVFNQVNSLLLDMNNQSIEIDQQINEEQQKEQNIEVKKKQKKQ LFDNLKFSDFFILEILQKGSFGTIYKAKYFKDEKMYVLKQQNKDQLRKFSQLDYAINEVK LLRKINHPFIISVNGLFQTKQNLYYQMKYYEKGDLSEYIGQGCVLSENVSKILVAQVILA IEYLHSINIIYRDLKPMNILVSKNGFLKLADLGLAKEIINDRVALSFCGSPAYLAPEIIK GDGATQSVDVYGIGVLLYEMLSGYPPHYVKNIDLMLERIQYCPIDYDRIKSKMAVKILKE LLQRDQTQRPNLAEIKNHIFFADICWNKLLTKSMLLPKLIKRNKEQIINQQKFSEAIIDE DYLDEEEKLNYIENWSMS >CAK88108 pep:novel supercontig:GCA_000165425.1:CT868649:229971:230905:-1 gene:GSPATT00003076001 transcript:CAK88108 MDIDNIFPNQKETKWVNKQRTLIVTSKNISDRIRQLFMDLHELLPHSKKETKLEKGDTSK QIKELCMQHSCRSFIYFEVHRKHELYLWIGRFPNGPSIKYYIENWHNCQDLKLTGNCMKG SRPILSFDGSFTEDPLMILQKELFIHAINVPKHHPKSAPCCDRVFSFIKESPENNNIWIR NYEIQYEKAGKEIVKNQLVEIGPRLVLKPIIILEGCIQGAQLWKDTGYVTQAKVRLEKKL EIKKEKDFASKKQQKKEKMLSKLETQVDEIENIFDRGDEQQNSDGFVTEDSDVNLDQFEE VQ >CAK88109 pep:novel supercontig:GCA_000165425.1:CT868649:230915:232555:-1 gene:GSPATT00003077001 transcript:CAK88109 MNQEDIFLKKQWFIKSCEGKIEQYYDINLKKVIGSGTYGSVVKAVLKGTKQQRAVKVIPK SKVKNPERFKREIDILRAMDHPNIIKLYETYEDQRNVYLVTELCEGGELFDRIMDKGYFN EAEAHAIFLQIIQALNYCHSNGICHRDLKPENFLFLTKADDSPLKVIDFGLSILFEDGQT KPGAQKVSMKTKAGTPYYISPEVLKGNYDELCDIWSAGVILYILLSGVPPFYGDTDPEIL ESVQKGVYTTDIPEFKFVSDGAKDLIANMITTPDKRFKASQVLQHKWMKEKNKPNKELKL NYGALKNFTGSNKLKKVALTFIASQLNEQEISHLGKLFKQLDKNGDGVLTIEEIREGLTG MSDDQSKELANIIKSIDTDGNGNINYTEFLAATMEKQLYMKEEKLYQAFKMLDLDGSGKI DKKELQQVLGKAEKIINEKYWDDMIKEADKNGDGEIDYNEFIEMMDRFSLMN >CAK88110 pep:novel supercontig:GCA_000165425.1:CT868649:233152:238214:1 gene:GSPATT00003078001 transcript:CAK88110 MNSQIIVFILLQIAFLVNCLIYEPDQLIFTSAYDEDQFKDVWDISLNRNQDFDDVQTYAY GFWLKYSFVYPKYLEQQKDWHSISRLTARQNHTDYQHPLDRALSVWLSKDFYHFTTYTTE YPEKFYNAKYEREQLDGKWTFVYFGYSYQEFLARGYILFDDDKFEEALIPAKHYRLDFLR FLIKREFNNYAFNGFYCNIFLLINEQYPITAEELKIYAYEQYPLLFNLNEIFQQQTLLEH QEETFYDKQIFKQFEFSEIDPLQYSISGWLKFNTPIEFKDHNLVLRLSHSKKLNQGIFDK IFYLISNDQGYEFESYNCIDNCKIINWNIPTENQEKQWHFVYIAYDANRNKIFQFWKFEE KAFVIHHEQIHHFQLHGIYVNLQKDEYDPGFNGYLKRWTFNYGAGAYQESGFEDDQILQA QLGLIDFNSLNKTETWKFQQSNLNIDINLNQDLQENEIDPSHFQTNSFEYGYGFWMRFLY NCPSKIDSLTNQTLGLSRFGTTQQYKQNNNLGESTLSVYIQNNRIQFESYSVLDKQQKQF YINTHLDNEWLYLYTGYKRIYKEQGVFLGCIYNIKGVNKCTNSQNDIVHYLIDNYLFFSI GSIGSRFQDIKHFNGDIQDANLYFGKDSIIPKFNKQKTFPENIASNNWIFKQVEQFSNDR LDKMPSEYKLNDVVSEYGVQLWFKSIGKTNNEFQFIFLLSTNEYRDQVSKPGDITLGVKM DQNNNLYFLSYSFDKDDYLQQQEKKIEIENEQDKKQWTYIYFGHKKHVCLYFIQTKTKRV KNSFECFHHASKYFLVYKGFDGKENDLQGDIDYFKVDFGLGSFQSQFHHLTEAFKQGLKL FPTSFEWKGQFNKIINVPSIKSGFQQVFASNSKISMDGQRNYGYGFWSRYLREFPELVKQ EDKEQYISISNLYCIQAQNKELEIISLYLSQDKKQYKVKTYDLNTHKYQIGTFQLLNQQE NVWNYVYLSYNADKQQVVGFIHHYKENRVIRVILKDISHKSINGKANFNLGRFLNYEGFN GQISNLIIRFDGNAVVDNIQQFQSLVDSSKQIESNEKLQVFKFITLKEESQFSQHNVGLK ENYFPEEYSVYGWFRPNPSELNKQLIQDNVIKEQYEFEQIVLQLSSCLEQNEEQRFRFQI RISQNGYQFVTYSINEESPAISWIQNILLDANFGTWCYFWMGYSQKLQQVNVYFKIIDSV ETKVFNYIQHHLTNKFILLLSSQVQDHAFDGDLAQIGMEVGSGSFKDKANLPEFELKLIQ KAYQFKDYEGDFDKLNRLNLIQGKNDLIGSTQYTVQLWTRWLNEISDDSFLDQNSVFRFS RNQQYENCDEKGDRTLMVIITTKSYEFLTYNVVSDQCSESKEISYQQLFEGQWNLIYFSY SNTQDFIGAKGFVYFSKTGQSKELEFNVEHLPLTSQAVLTVGQREFTYGKFFGQMHDIQV KIGYGFEEDITSILETTTIPDLETNQFNKHNLVQVESRIDQVVNKKFKSNLNLERQYSLY GWFKYDGITEYGQFSILARVSTEPLLSKKLSDQTFLILVNQHTIQFKSYNLLPQYSTCDE TFEVIKNYDFVQQEKQQWIFFYIGYNFDSNSLQIYLKYNEDLHDQQELLQNIYHYLPLQF YVFIGEDDIYSKYSGLIDDINLLTGQSSYIDSNIYQQFGSLNQKYIENVLK >CAK88111 pep:novel supercontig:GCA_000165425.1:CT868649:238264:238944:-1 gene:GSPATT00003079001 transcript:CAK88111 MSSKQEEPNQVRKSSRQKKMTENFKEMLQEIKEQKPSSKKSDQKQEKYSQKKIQEQKKGK SYDNVLVYEYGFTTDWVDCIYPHDNGQTFDLQIQFCVLKPKKPALKKQKKEQTEEGIMSL IQNLLTNLSQNPEQVKRQNDSQIQRVQTTNEGIIVYHPYFKKIEYQSKKPQQTDRIETSP ELEMLQQKFESIKKTFRPLILI >CAK88112 pep:novel supercontig:GCA_000165425.1:CT868649:240077:241644:1 gene:GSPATT00003080001 transcript:CAK88112 MNQLTTIYISVAILITKANNLIEVSMIRRNQQEQGDNSQQNKCQPNQIKIDEICQNCHFS CRQCFGLGQNKCTSCNSISNRILWNDQCICRRQYYEDEQQSVCQECHKSCQSCLGSTGND CLSCYDDYELLNGNCVCKLGYFYDYNNMECRQCHFSCEICNDFETDNCLKCPFDSQRLLI DNKCQCPEGYYEVEGNPICKKCHIKCKTCSGYENCLSCSSEKLLVNNDCVCQPNFFLYKS NIIYTCKPCSNECLTCFGQLGFECLSCVAQSTLSPNNTCSCNLGYFLINGQCQICNSNCR TCAYSSTYCLSCSTNYVVQTNNICFNNQCGCTSSYYMDSNGICQQCASSCSTCNSTSCTE CPNKLNFGPSCSTTASLPQYCTSFDINGICAQCQTNFTQNSEKVCQMQSAVICSIWEFLS KVVNRLEVIVKPAIIQDVRFVILNIIYHQEIATPVIKVV >CAK88113 pep:novel supercontig:GCA_000165425.1:CT868649:241660:241986:1 gene:GSPATT00003081001 transcript:CAK88113 MLILVIYAKVIPIKIQVVRYLVVHVFNISTWILIIIVYCVILLVNNVGHRALHAHPATSP VTREFTIMVVVFVILDIQISGLSNVINLGCGYGCADCVSGTCNFLYGK >CAK88114 pep:novel supercontig:GCA_000165425.1:CT868649:242031:245584:1 gene:GSPATT00003082001 transcript:CAK88114 MVIMITIKEFVNVHLQYKDLECPSMCSTCQLNTTLHIPVCIQCQIHRDLNNSCKCAKGFY ESGTDCLACPLNCSSCLDQVRCTQCKNSNFDHDKINGQCLCNLINFSDISNCACLDGYYL NSINKKCLPCHYNCSTCMYSATHCITCNDNHAYPPNCECSPGFYLQDIICLPCTNNCFQC KSQSECLDCSNQMQLINNKCYCQNGYFNLLNSYECQPCASQCSTCRYSIDNCTSCKFNRI SPYKCICPDGTYEVDVSTPCNQCDNKCKLCEVSSNNCLECSLNRINPPNCNCKIGYFEND QQTCSSCNSRCISCQNTSDTCIECKMSIATLPSCTCPDGYFSEFEQGCVQCHPRCKTCIG SNEYNCLSCDESKNFELLYNKCTCKQNYYFQNDVCLYCSIEIELCQTVQCGNGIIQRNEQ CDDWNNNDRDGCSNSCKFEEGYYCESISNTKIHNSILVSQCTKCLESCKICDRNKCLICQ SGYFLTPSLDCQKCDLHCKECSGPQQKDCLSCIKGVDYGLGQRCAFCEEIQGLYTGKDKC VSKCGDGMKKSDEQCDDGNSISKDGCSEKCKIEEDWDCSQQNNTLSSCFKLNVPIASLSF DRVKDFYSSQRQGSILFNKPMAIPNITIINAWHYSILNQRSSYYSMNLTAILNIDGYLEE VKIDLQLLQSMSQIVFQVDYTTFLIKDVIDSFPLKTTNSSVELTKYIKLGQDTLSTSKAS KQFASSVLYVLGGVSIIGLLVGSLEFYWNVLDNLQILSYITFINVNFPYMHNKFLEIFEF ARFEFTNDYFNFELVKNLDNQQNKDYPLIVGRQVEYNLIVNLSSIVVLWITTILLFVVAK INLFIIHDILINKFKIIQLTPFEQLNSLTYLFYKLVYLIHFMSFAYHSNFFYGVILRIYL SSLYDLNFSIFTSAYCWLWNQNPSLVDQISFAVAMILLLIQILILFILSSSLKLSNYQLK SKQFISQYSSLYEGINFSNQIIRQIQFLQPLRKLMFMGALILFYDQPIFQISCLISIQIL SSIVQITFNLYSNFLQSIKVITQEVGLSMSLTLILFYYAQDKFDIVEQDVIEKLSYVHVG IYTLMLCVSLVIDLYQQIKIIFVKYKVSRICDKKPQKEKEAKQRNNENQRNQEKQEQAQQ FNLFIDLSKFYQAQNNQYSFRNLRIN >CAK88115 pep:novel supercontig:GCA_000165425.1:CT868649:245850:247177:1 gene:GSPATT00003083001 transcript:CAK88115 MSRFQNFQQSNLNAFTANNTQQLMPVNHPSQRQYFTQCRGQTQEKKASDQPLKQMSQPQS NLTETKPSPLMKIAERSQKTSPNNFGKKKNNLQLQKVVTRMERNNDDAASNGINDKISHH QNMIQKIKCNRYYIDDLIAAFQDETLSFSKLYRDNFVNSFNFIPIIKMEQFVQVEDKEIQ EKRIKLEPYPPNLKYKKTVIFDLDETLVHCNEEDNMSSQIVLPITFPTGEKVNAGINIRP FAEKMIKLLSDICEVMIFTASHECYANEVINYLDPQSRVKRRIFRDSCVTDINSNYYVKN LEVIDRDLKDIVIVDNASYSFVHHIDNGIPIISFYDDKQDNQLIKLYRFLINKVLPQEDV RPLLKEYFKLEQIDQFQTIVEAVERMYCIE >CAK88116 pep:novel supercontig:GCA_000165425.1:CT868649:247227:248026:1 gene:GSPATT00003084001 transcript:CAK88116 MQVDSENFIDSSNNPHLIGNRYSMRSRRRKIYDDGDFREVVKNFYALISEINKSSLFMQQ NPQVANKKIQKNVPLKTKQQSNVQNKKPQQKKPISQDVKKNDLYNFKKEPIYSQVAFQTQ PYFNHQQYQSFSHNYMNPFYNNLPSQLQIISRNQLNNGQLKRNSYHIAISYFIYIQSTGQ EQYESRDPTMYAKKKLKETNSDVIKQLDNLVSNEKQSPLQERTNKQLNQEEQKEDIIEQT K >CAK88117 pep:novel supercontig:GCA_000165425.1:CT868649:248061:249722:-1 gene:GSPATT00003085001 transcript:CAK88117 MVEAGCNGFTINMAYIKNKESLQILNQNRCQLESEFKTKIPLNLILRGQVIRVGQLQDSE TLIEEGNIVYLTSDQHKVGNNQLIPIDSNEFLEKLNINDKLAIDYGHIILELIDIQMFDQ VNEKIGIDQICLLSGVKIYICKCCKTGTLKSFKPISIFVENKTSKEEEQLEQVSLTEKDN LDIDYACECNFDSITFSKVNTAFDIIQVSQVLIKEQKYPHVQIFARIAEMLKEDQLEEIV NLADGCIIARSHISMTQPVEDVVKYQAQIISCCRKLFKPVFVSTYILESMSVQLKPSFAD MGDISNIVKQYIDGILLSGETSFGKFPVLIVQTLNNICRKIEKKLLQELFDHPQQRDQYQ QLPNHVLKWLICIKYSAILMLTRRIQTTLKLSKLRASCKIISISDNQQICRCLNYINNVQ SELVNGFANQEEIIQECISRLIAKNLLQPKDYIVVITGMVVQNKDWHINQMKVLEI >CAK88118 pep:novel supercontig:GCA_000165425.1:CT868649:250049:251723:-1 gene:GSPATT00003086001 transcript:CAK88118 MSQKDAIEQQKEDLNVEKENENDDKTDIQQNQSFQNETNQDNSRIQAPSIELRNSQQEEN QEQAYLPKEVSNVATPTRTSQIDTSPVGKIDRQSLDGTEQKQDEQIEQNKELINEQEIVG TQINQHEHHEDTDQKQSEKDQNEQNHQNEQEELQKSFHSFQKSQKSGKSTTLEKITILQS ALQMKDELILTMQDEIQVLKQSQLDKQQHIETMEKIYEEKLEKLFKKVGQLEKLLKEKEN DIEQFRNGNQTLHLQDLSVISQQKNENLKSNANDKKDVTIFEVLNEKQTTADLSELGNNF WLISAERKIQKSNPLYKDYFPKDPEPDQTKNKIIINHPTKKYDKSYWFKYVDVQKPSRQN HNIQLPKLPEANHQQQHNDPTILSKQFQQQQEIQQKIIQSIQEQSYGYSQIQQNKVNPVQ QSIPFSQKLLANNQRSSSQQQKQFKNKLYASQPNIAKEKQVSFDQEEFLADQEIEKMFAQ QSPPKKQKIDWDSQPQNNYMIVQHTNSSQVIEQKEKFPILSQKLSYVNQYSHKKEMYESQ IYKQLKIN >CAK88119 pep:novel supercontig:GCA_000165425.1:CT868649:251813:252349:-1 gene:GSPATT00003087001 transcript:CAK88119 MGGTCQKQINIPEQENNKSKQPQIYIKGPPKLCKPSPIKILQFQADEVAIEKELCISLPK LLSQEKEFAITEQEMPIQNVKNSLEEELLFRQESDQLEQQNNSKEEGNSQKNEVKGSSNY ISKHKSILKNKMNNGSNPQSPKNQMKDSQNFGSQRSIKKVTFDKKQKVVYSSFRKIKT >CAK88120 pep:novel supercontig:GCA_000165425.1:CT868649:253299:253421:1 gene:GSPATT00003088001 transcript:CAK88120 MLKLQRLLVVLMMFKYNFQLFSNSISRQFLIISLQYSNKF >CAK88121 pep:novel supercontig:GCA_000165425.1:CT868649:253444:254330:-1 gene:GSPATT00003089001 transcript:CAK88121 MDKLIGHYRFNMNHLIGRGAYGSVFKGKTQQGQPVAVKVIDKRMINKENEKHLKNEVESM RTLNHPNIVKFLDYYETQNNIYIISEFCDGGDLRDLIKRGKQDDNLVISILQQILNGYRE LQNKSIIHRDLKPANILIHQNVIKIADFGFSKRINFENDLMNSVAGTPLYMAPQTVLRQP YSSKCDIWSLGMILYELIFQKPPIKAQNVLELQEQIKLPIVVPKIDNALLQDLIQNASIG MKFIITSCFVKMIIICNKYKISKRKRANN >CAK88122 pep:novel supercontig:GCA_000165425.1:CT868649:254616:256761:1 gene:GSPATT00003090001 transcript:CAK88122 MQQFSTQIEFQEDFDFKNSILLGQGTFGKVFKAKALKSLPQGYYALKIIETQRYTNLELS EQAYELAIQELNLYSAITYHQNVIKVYKVYSWKDEMPFRKFVLVFAMELGINSLRQDIEE RRKNLKEYGDMLIIDIMNQCLRAFHYLAKNKHLFHRDIKPENILVTSLQPYTVKLVDFGA GKENFHGQAMLNTLVGTPLFLSPKLYVAYSMNQPGKVKHDLEKSDVFSLGITFLQMILLL HDRDLSKLNDPIIYDDKTGDPIDTSEQFALRKDCQGWKKLQNHINIIKHPSIKACIYGMT EFSERNRFTWFQALTTLDPDFKDDEKPQIQKPIQSHEQKQQVIQSYSNENTTLKFLGTLN DENYHDKIISAGNQILSHSKEGVRLISIGGTVEWIFNQNVSFLSYLPSLEICVGLTSFGE IFGINLKNKSFICERIIHFQHDTISVMKYMRKDLCILGTKFGEIMILAFDTQPPSVIKKY KELDRAVVDLVYDDEVKYIISTHDNSAIFCKYLAYEQTAKYIYETQLNNLILISSEYFAG TTKDQPEIPIFQIRDGNKLKFTLGVINLVQIYQIHNKTVPLSLAMSDIYLVWVSQKFIGS IQVDGDLSKLNEEKGKELIVNASKPAHLITTVQNGKIIAASDGNKLHLYQIVSQNCEIF >CAK88123 pep:novel supercontig:GCA_000165425.1:CT868649:257606:259125:1 gene:GSPATT00003091001 transcript:CAK88123 MNSNWQNVFNWIHVSGALISQDNENIQIDLVPQRKDVSNELPMEINPSVVIKKQLNYDDE VGWSWHKSWNLPEIEYNINVHMHSQVYQWVPCQSQLYQATLFAQIFVVKALEKQCHFKNL GIKGESKKQIIEQEANFRCLKFTTTSYNNEQCQHKKFHLMIVLFYSPVNGEKVVLSSIIS PEIYVDSRKFARFHNIPVHQPSFTELFPYDLLYSIITKRDTKNRSVKMIKIENSIAGFIN YFTAPNIRNKIKHPIFLALRFSSLIKLFVDQQLFYQQTNIVTSIQIHLNNIINKVESQKR IKLLISTQSEDNILQKKAMELIQQLENGCYEIYTQQCYLPNNVVLIEDQQQLLLQYGELF QKSLQSNSKNENSTQIQTQSISQIEENVVQKVKVEQCPNTPNNNPVQTFREIIPTPKCEF QNSIKKEENSKIQDQIQYNIYQQYNINQSQLYLQSYLNQSYQNYVKLQNQNYLNQYYHPY PYTSPQYIVWKNI >CAK88124 pep:novel supercontig:GCA_000165425.1:CT868649:259195:260283:-1 gene:GSPATT00003092001 transcript:CAK88124 MSSEFIQDGTPFTKLFQRLEKIHKSPVFNAECVHNQDEIYIKDQSPEQVCKKLEFTPCLK SPNQYSIFSINSRFQPYSPLNHQTTKKANIFVISPARQINFDHTKTEQRLVNISSPLSSL KVPDDLLCEEEEILSDDQDIHSQFRSPPKSPHRKLERATKLKFINFVTLKKPLKFTSDQV NNRKRTSSKRRKPLTEQKPKIIVCNCKKSKCLKLYCDCFAAGVPCGKDCNCCSCHNNDDH TKERENIIQQIMERNPQAFRPKVDSRSNSEDEIDHKPRHFKGCNCKKSNCLKKYCECYQM GVKCSELCKCDDCKNCEMPVKKDSRKRVKHTHSCFKNAEIY >CAK88125 pep:novel supercontig:GCA_000165425.1:CT868649:260797:262399:1 gene:GSPATT00003093001 transcript:CAK88125 MGHLFRHQEGDAAPPPAIANIPAPQASTIDYDWILISGFIIVLTQVGFAFIEAGSVRYKN SQSIVIKVILGLFLTILIWWLFGYGFSFGYDFQTNFMGGTKLGGYNWEATQFGNDYTNFV FRASGAAIAVSVLSGAAAERMTFLAWSILAIVYAGFIYAGLAHWTLAQGWLTTLGYKDFS GAGVVFFAAGVAGLVVTVLLKPRRFRFDPNTTLQFQRHSPIYIAFGSILVFAGWLFYNGG IVAQGANSKYTLRISSSQYFGCWSHWWILCLHHQIFLIRNHKFGCLVQSAATDDMKPWTA FIYGLLAAVFYSVLAKVIPKVHIDDPVEVVPVFLGNGFLGIFLSAFFDTKAGIIYGFGAK LLGMQLLGLLIIFVWVAFFVLITLLILKGFGVLRIDSETESVGIDKAHCLGEAIVFANQV DEAPLIQKTELVQLGNSQIGSGFRPGFR >CAK88126 pep:novel supercontig:GCA_000165425.1:CT868649:262459:264108:1 gene:GSPATT00003094001 transcript:CAK88126 MNNQRIWNKVDQNYSNCTHGLRLKLLKSGKNCHIVYILCTEKQIMLFKDDDLTKPYKYLP INFEYRFQVVRTPPVLINPSLSENKGENVISLGEILAIRFIRDNVEKWSEFRGSVSDLEY LRQFLGKKMNQTGFHQYFKVYKKIGKGSFASVYLAQRIEDGTKMAVKAFCKNAVYKEENG KEGLINEIQIMRILDHPNLMKLYEVYETQNSIYMCLELLEGDQLYGLFKMKRSFSAQQIH SIMKGLLEGLNYIHSMGIMHRDLKLENILFKEPDNYDSVVIADFGLATRVDQKPFLYTKC GTPGFVAPEVINLKDEQLCYGSVCDMYSLGVILYILITGQPVFKGKSYNTIVRRNREAIV DFQTEKLNQVSDNQRSLLIKMLQADPDLRITSKQALMHEYFCAYYEEEFAQMYTDDDPHL GEMLVKLNAEYIRLDMERLKRSNDENDICKTEDLKVDQSQEKNDFLKIIMRTPVITGRTR SIENSPFNGFQSPNQDTHIPHIQQIQANWIKPLALQH >CAK88127 pep:novel supercontig:GCA_000165425.1:CT868649:264189:265456:1 gene:GSPATT00003095001 transcript:CAK88127 MQNILSQQQQFFDLLDKQVDAYKTLLDQLSQSQDKFNHLLQDYLQRFQTINNKLVQGRQD FSCSQIQIKRNDELYTHSQLHIQFNLRSLLEKQYKYKLTLADALETPLYRDRIFQLRVEL KNKSGDLVKNPNKIELVVAIYSQEQYPKEIKVNNKGEQILKGHLCVPLIKGTAFFTRVQI REVSSHYQNGSIILAILPQFKSNDEPINSRDVQPLIVENIKNATFLCMQINDQLQCFGII ISIVIAVILSFIIFIIQSLPNYHGKWTSKHIKNDVKIFRDKLYCIPHIHAKFLKEGYYQL GFVHAQDRFWQLHLRRMTSQGRMTFYWDKYIRNLGYLESCKRIVQKLSEEARIHLQSYAD GINDGVKSLSILPIEFKILGV >CAK88128 pep:novel supercontig:GCA_000165425.1:CT868649:265565:266176:1 gene:GSPATT00003096001 transcript:CAK88128 MEENLQIYLAQLDQVTNLIHLLQKSKKKNGFNLYKPIMCHNSNFRINNRILFQLYIPNSK GFKFLGHQWESCKSGKPHFANDLHVDNSLPSQWYQAEIYYQMDNQEYNNYIIGGTLPGLP ITLSGMSGRSKYLYLDLNTLNFDGSDVYEEEITKNEKGNIFYKFNNQWIPIPLQQNSRNY QNKRVKRFNIIFILIMVQNVLQN >CAK88129 pep:novel supercontig:GCA_000165425.1:CT868649:266245:266813:1 gene:GSPATT00003097001 transcript:CAK88129 MNPLMEKDLKQSMELLKHICYPSNGMVFIIHDNHIGYLATGRLVIKEGHLDDNAYVKKNN KEWIRYVPYKEIPMIIDPEKEYIVVANNKFIPDYELSWNSQPTSRARRLNDLINQNRYRR EVYYYKSYKTLIRHLIVNTKNKLMELLYKYDQKHDLSNEISILENWTYMGIRFLRCLIIW II >CAK88130 pep:novel supercontig:GCA_000165425.1:CT868649:267026:267334:1 gene:GSPATT00003098001 transcript:CAK88130 MQYVQKKFGNQPTDWKWGSLHNQLFPQALSDTPYGFIFERKIPYHTNRRSVAVSQYELDG SFNGKTGLNYKQIISMDSEESLYLQLIQEQKAIPLLNFTLIK >CAK88131 pep:novel supercontig:GCA_000165425.1:CT868649:267419:268166:-1 gene:GSPATT00003099001 transcript:CAK88131 MKWGNVKITDKKEVDGQILLTGENLPDDKDFKTTNKYTWLAKDTPIVNVDLVEYDHLIKV KTIEEHHEFDDYYNSNSKYVTEALADAGIKTLQVGSVLQFERRGFFRIDKIVGDKYELIY IPDGKTKAASIQTKLDLKSVQTGTVDEQKKEQQQQQQQEQPKQQKQQEQPNPESKKQQKK LEAEKKKAEKKAERQVAQQGEKKE >CAK88132 pep:novel supercontig:GCA_000165425.1:CT868649:268175:269317:-1 gene:GSPATT00003100001 transcript:CAK88132 MKQGKQQGQAQYDVLKGAIKGQVCTRFPPEPSGYLHIGHIKASVLNYRYSKMYEGKMLVR FDDTNPSKEKAEYQEAILEDLKTLQIEWANLSHSSDYFDLAEEKARFLIKRGLAYCDNTD KETMKKERFDGIESVNRNESVEENLEIFDQVLAGKTFDYCLRAKIDMKALNKCMRDPVLY RTNQTPHHRTGTKYEAYPTYDFVCPILDSVEGVTHAMRTNEYSDRITQYQWVQQALELRP VEIYEFSRLNFVNTCLSKRKLQEFVDQEVVEGWQDPRFPTLRGIIRRGITVEALHDFMLE QGPSKNANLQTWDKLWAINFTKIDPIAPRYTAVGKEGIAILTISDYGDQGIEVVTVPQHP KND >CAK88133 pep:novel supercontig:GCA_000165425.1:CT868649:269394:269651:-1 gene:GSPATT00003101001 transcript:CAK88133 MHDNQFGIFNFSTMIVILLLVICTSTYIRQMKPDLINSHRHGFRGFFRRSAVIGDRLSPL VSGLCFIMGAYVLLIR >CAK88134 pep:novel supercontig:GCA_000165425.1:CT868649:269820:271020:-1 gene:GSPATT00003102001 transcript:CAK88134 MLILFLLLGLSVNIELKFEEEITKLEQTQLGKTFLDTVQIAVRSKEPLDRVFQILRDQAL SYETEFLNIDEKHKAFSSKCTKDLGDFDNYAALLKARIMDMQQALDDKIPDKTKKVNTLQ NKKKEKTMLLNRIDEIQQNREKQKCIFDSRLEEHQTAISAVVAVREIFESALYENDKGET AFIQLQEDDVDKVTNLLGYASLKAGDFKHLQGYSSLFAVMEQLKTGQISKHEETVRQLVE TCNDLENYIDIARSLLRSVDDIREAYFQRLYKNLNQDLRDVNAIIEELDSDVNVLEAQIK VLTMDRDEAVQKQDNKLKQRGDRAVECEQEDNVYQFKLQENQRERETIQRILSLCLENVK ELKHYIKRRGDEFQ >CAK88135 pep:novel supercontig:GCA_000165425.1:CT868649:271046:271813:1 gene:GSPATT00003103001 transcript:CAK88135 MLIFIQLIAGVVSNEKVITQQVALQMASLSLVGTDSSPAFLEFISLPHSTSRITIDRAVK WTFNEDIVLSISPLEFYTSAEKASFHSLRIQGNFYYKNQPQWMLWWQENYWQQPVDWSDN SISTCGGISMLGGYGKFAGGEVQKTFVELPQHSKVRIVANYHFIDGWGGETGFLRASIGR MDGMEYVWTEKYDYSKVKNGISVCGSRYPEGKLTSVIDVSFPHTKDTIKLGFGSTLDNDP LENSFGISNLQIYLI >CAK88136 pep:novel supercontig:GCA_000165425.1:CT868649:271853:272566:1 gene:GSPATT00003104001 transcript:CAK88136 MIIRRCSISNSTSQRSQSQKMSENEQCSSNSHFSTCCSNTSSENDEVDDPLNYASFKDFQ VVLPLLINGVRIQKVTWDLFSAPPNLYSQWKAHCYWTVGYTYEITMKKMKQSNKIRYRLT VNAWCSLNSKSWVKNKWDRLLEHETGHYLIGCLCALEFKARADQTKFTRNYRLESTQIFQ DTFQEYLSLERRYDEETNHSQNISRQKEWNEFIIKELQKY >CAK88137 pep:novel supercontig:GCA_000165425.1:CT868649:272602:273864:1 gene:GSPATT00003105001 transcript:CAK88137 MGCCGSKKEKLTNKVQENQPLDQIKSSQVIVQTNKAGTKRDYKKGDLIGTGAFSEVYQAL DNKTGKLLAIKTVKLQGGKDEILRTIIALKAEIKLLKKLQHKNIIKYYFTEISPNQSYVD IALEYIAQGSLRKVINKVRLDEANVRIYARQILEGIQYLHQNKVIHRDIKAANILVDSDG TIKLSDFGTSKVLESEETLIIQNKSLKGTPYWMAPEVCQLKAASFESDIWSFGGVVIEMI GGLPPYADKYGADIDAYELMKKIALEEKPNYPQQTSTLATEFLDTIFVAAHLRPSASKLL QHPYVQISDPQIDSEEVGFKQSLDPVPSKSKIAGPTAGNIQDQPINSTQTQLKQLQSHKL DEQRLQKQREWELALQEELRRKSKQNL >CAK88138 pep:novel supercontig:GCA_000165425.1:CT868649:274822:278403:1 gene:GSPATT00003106001 transcript:CAK88138 MNKLILNIQFSQQVKFKIAFDASNQKTFEQLKQICVQRLESFHKISPDNVKDTLPNTFDP SQYHLTDQTNFILSDQDVVEDLCSNNELIHLVFNQSLSASILDPLKMSSSLGTQLNTQST LQPQQQQQVSSIPPPQQIQPPPQQISPPPQQQQVPNPVQPQIKPQQIDDGMLDEIGKSEL KRKFYLDTSKWNLVQQIQSDIEISIKFLQAENQTTQYNIGCSLEDTLYNLSIKICNQLQI PQEQNHAVLYNLSGLPLVSNLNQLHQKTVEDTINKLQSKVFYAIITKCSAGDKSFPKIDS DEGKDQIFIIHQGPKVIKVDIENTTVIQLKQKIYHKLNIPTNVQTLNYGGKLLTDSLLLK DYNVQNNSNLNLTFKLNQLVSHADSYNYNFYMPWLSHFVKQSDEGIRQFRCNMLVLFAKE DHLKWSHAIRQYSNNNTPLTLASVSLIRKYRLNQNARIAFEEGFLRLFFDLIIQSPQVPA AFEIGSTFEYTRQWIQFLHSETAKYKEADIKLIEYYRNLEYTCQVTLSPIETPAFLSLSK DDDITAYQIVDYNILKEKQETQEKHSNGKLFSELSLIQDPRLEEQFKIIKFLEKEDLIWL PQTDFKDGRDLNSIPAEWHKNEDLKINVLKIYSSVELKQHPTAPVLTKNSQKHLVIYTSM NKDVAKPVNLFDPISGIEQPENPDQIAYNQVLPNAKIAPGKVDDDDDEDSSIQLVTQITV EPEEAIIVLIDISGSMEEEFYNSEDLTRLGVVKAFFNAFADRTMAYNLKNVISLAYFDDR YILKCGYTELFMQFKDLVNKAKPQGMTALYVALKNAIDSLLQFKKKYPNCILRIIALTDG EDNKGRYSPEFIAQTILQNQIILDSFVVYDKCDGLKQITKAAGGQCFCPKTIQEGLKLFE YETILSAKIRKQSESLTPAKVTAIFQQDPKTIQFDVQPREFELPLELKKQSINPKQLVSK ISSDSQQLNLIAPHNYLKRVMKELMIYEQKPHPFVKVFPCSHDVSFWKIIMVGPEQTPYS NGIYILYMKFPQEFPLQPPDLRFLTSIYHCNINSQGRICHSILGRNYTPDTKVIQIFEAV YGLLMTPEPDDPLDTTIASEYMQDLKLYLEKATNHTLKFAKRPMDEVLKEILGSVGEEKD LSDVELQEKTNEILSWVSNASKPQ >CAK88139 pep:novel supercontig:GCA_000165425.1:CT868649:278534:279277:1 gene:GSPATT00003107001 transcript:CAK88139 MGVAQTCLKQRNSAPQIEYKVPTILRRKQIVKHPHKQNILIPPLSQLGEYHESLEQLELA LQENNINLSIHKTATFNSSSANRQSISINPSLNLSLNTTIPNMIQPQINQNLSIQEQPQP QHKNEQIVQRRQTYKDSQFKIRAEVQCDKNSSYARSLSSNSRAQKAQNANNEESSISLKR DSETNTKKSILKNKQQIITNQLKRGISLRQQQQIINEDTQSQRTSKSQKRVKFDPKIFRP KNTHFFQ >CAK88140 pep:novel supercontig:GCA_000165425.1:CT868649:279354:280595:1 gene:GSPATT00003108001 transcript:CAK88140 MSKTTQYSRNSKYKMKEAIQQISQCISTKSYQSANKLLEEKKRSASLANHSYQNIPKSAT KENKRCFSVSLDFLETLTIENPTVQELLKQLNIDDQNIDIKQIIRENQDYFHHLQRNLLS YQENCACFRCSCCNCKCNYANNAKLVYKSGYNTSYKKSYKFGLSTSSFYTPELNLRYYEQ RPIQTPFLEMQTQQRKAFTAHPVQQRYKRQYKKNSASRQFLSTTTYQKMYPDWKDHDPNR KIDAPQHISTHSGIPILTVTSYNKDYTKTRPKTSIPSASDVKQMQHHQTYAHITPNYFET TYNSDFQKHHQNTYINSKTEPNIEVYVPLRGLSYDTVNKKAFQNGHIITECQGTKMKKIL KLRNQSASVLNAYLNEIYQKQ >CAK88141 pep:novel supercontig:GCA_000165425.1:CT868649:280719:282669:-1 gene:GSPATT00003109001 transcript:CAK88141 MNFITLRFNNSEFEHRYSSQRKSLQKQSQTVYRLFLIILISLEILGNIVQKLWFETILNL FALLIMLLGYIFKQQLASLNNTLTLIAILIYNIQHPIKYGFLKQSSDKEILQGYFICLGT LGIISQWSYLIKTFITIVVLILNITSICQDSDHWRQVLIFTFSSIIAIYSFYTNEQQTRI SFIIMNNKDIIEENLYKQIEIQSYIVHFNLRQNQFDLIRQNRVSQITENKQQNFINLIRS MKVILFSQRRRKSIIQSSQMLNPTNKLNLEQFLFYLFMNHEKMQEITKNCTVDNQTYELI GLIGLDVHHIKIIRTEDTKPCLIILIKENRKESQNKSLKYKLKISNKLLNQVQESIQSRI RVCLIYLKQILSSEMLKNAQLMSDNKKIILFLNSQITKTYTDINNIQDFANLNSKFTKVV LNHFDLSNCIQECISIFNVLFPKTHEKKIKFISHLTDNRIYTDQKKLKQLLFNCLFFIFQ STEHITIELKDEESKDDLSQKFIKFDIIYSGSIFTAEKISKLPILNPQSLEELRHNSYQQ LNLEIPIALMIIRQIGPYKQMQFKQNAVQKQNMLSFYVFKSLEDFHLIPIISLHPSDNLI TNQKLFKKSSYVNRIEKQKYYPTEEPHLETFRQISEQMISKF >CAK88142 pep:novel supercontig:GCA_000165425.1:CT868649:282717:284145:-1 gene:GSPATT00003110001 transcript:CAK88142 MNQQNLKLCSEIIDDKYVKIASNSLNAKEKLFKLLLSQRQLPEEGFDDLTIEYLLNIFAT MDTNNFSNKVGVGERESRIFSALVQKRNYYMGHGIGRSGDLIASQPKAAGSSLIAKLTKH LAKDALKLSNYQINELLLVPVATGMAISLCLLALKSLKPQAKYVLWPRIDQKTCLKCIQT ANLIPIVIDSVIQDHVVTTNLDMLIQKVNEIGPDNIVCVLSTTSCFAPRIPDNIGEIAKI CKQFSIYHVVNNAYGLQCNKIANSINLGLAQGTVNLIVSSTDKNFMVPVGGALIYGNDKK LIKQISELYPGRASAAPILDLFITFLSMGKSGYLQLQKERVENYAYFKEKLQIMTQKISE QILNTPKNSISIGISLQNLKIDQNNQEEKNQITKLGAILYSKKVMGSRVITNKKNKVVCG IEFKNYGSHCDNYFTPYITVACAIGLTKQEIDTFVQEFEKAYTQNT >CAK88143 pep:novel supercontig:GCA_000165425.1:CT868649:284173:287546:1 gene:GSPATT00003111001 transcript:CAK88143 MKQTTLQRFLTSSQKKNQPTGLNNTKVSNDNSLIEEKKIEIQYIKPAASFQKEEGVKVIK EQVVENVQEKPQQLSRLKRNSIVDSEDEQEKKEVDQNELKIDKFTFVGSQEKNKEKKSLA VFIKQDNTKNNTKTSQKQKKSECDKSGKPLKQSAKLEDEEIDYSQENKQGNLDFRHPTDK INVYEEFDDVTPKWASIGLSRDKSGKLHGTSDADPTTLLIPQSDFNKLTKCMQQYWKYKS ENFDKIIFFKLGKFYELFYEDAYIGNKYLDLNWMGRKMHTGFPEKAVHKYKALLLEYGYK VVIVDQTETPEQMNQRVTQNKKTGQGNTDKIVQRQISEILTKGTYLYEEGESQMNLDEKV LLVIRKKIISNAIEEYGIAILERQTNTISLAFIENRDKNYESLKTLLLHMRPVETVIDQH NLPSHDPITKMIGGSVIQSVISHLTASQDNWDEKKALFRLEQYYQNEFPPAIKFYKNNQV VLQALNGLFTYLNYILILDKVLGCARFKIYNEVFSLQQCMILDSQAIYHLEILQTTNSVD KKDYSLFGVLNKTVTPGGHRLLRRWVCAPLYQVDQIRERQAMVCDISNFRKERDLFRQSI KQFPDFERRCSRIYEYSIKSESKAVFYENLSEQRLKEFKSLTSTLKLAQEEITLFGQLQI NFKSERLRKMMTFEANGGLLPNVQEQLNEFEQYIIWEKEKDKEIPKPVPGVLDTYDQSIA GVQLVQQKLDNYLQQIKKILFKNNGNIQFVHTKFRYEIEIPDELVEKSKPEDFEFTSSRQ GYKRYITKEIKDMVTELEQAEELKKQQLNAFGNFIFKHFQSNQSVWDTLIKILNELDALC SLSVFGDTSEGKMTMPTFNTEKTKLVIKEGKHPCLSNINFVSNSIDMGEKLCKFQLLTGP NMGGKSTTLRMVCVLAILAQLGCSVPCEEMELSPIDRIFTRIGAKDYLMEGKSTFYVELE ETLIPLKYGTKNSLFITDELGRGTSTYDGVAIASAVMHYLIKTIQCRVLFATHFRILVEE AKLISEVTNVHMACYIQDGKVIFLYRLKEGACEASFGINVAKVVGIENSIITRAEDMANF FENKVQKNTEQTLQKFNQIMKEYGIV >CAK88144 pep:novel supercontig:GCA_000165425.1:CT868649:288366:289907:-1 gene:GSPATT00003112001 transcript:CAK88144 MSSFFSCQDDQYSLFQGVGTINCHSSTCGQFSVCSSLAFKGQPISEIGCCREGILYPNAV SIIMYILIIPVIGVCSLGALGGGVFKRPILEAILNINSSTSGDITACLMFSAQLVNQVII FFQSHPDHPERPLVNFEIGLVYALGIPISMQIGMDLANYLPLLPLLTIQMIFFVASCPVL LYFAKSEEILENKKDKKIEVQPTQINTDDFNVETNMNDEQRISKIFKQFQEESTSRFPIL PILLAFGNFALNESIILLRTTSYQTSPYFYPNDKDFGDQFSACEPWNFYVMLLLFGVNFV FTLLVFFYMRKQELLKNTVQFNVNERYFTPRTRFFMIYGAGWATGFIAGFLGMAAGLTML VTLMEFNLVAAAAGATANYGYFIICLQVFISFIVKETQDLTFQIGYQFFFYGIGAIGVLI FTNLGFYMIKKYNIEHIVFYVDFALVLLNMIGNVAWGIEQSERFSYHSLVYNPQSCTAQI LSKSSVQ >CAK88145 pep:novel supercontig:GCA_000165425.1:CT868649:290698:292237:-1 gene:GSPATT00003113001 transcript:CAK88145 MSSLLSCQNDQYSLFQGSGTINCQSSSCGQFSACNSLMLSGKPTGQVGCCREGVLYPNAV SIIVYILIIPIIGIGSLGALGGGVVKRPFLEALLNFNSSTSGDITACLMIGAQLVNQVII FFQSHPDNPERPLVNFEIGLVYALGIPISMQIGMELANYLPLLPLLTLQMLFFLIICPVL LYFAKSEQKSENNKEVSNEMQQSSIITIEQQIKGNIIEEQRMAELFKQLQEESNSRFPLV PILLAFGNFAVNELIILLRTTTYQTSPYFYPNDQDFDNKYSACEPWNFYMMLLLFGVNLI ITLWVLFYMRKKELLKNTANFNMNERYFTPISRFFTIYGAGWATGFIAGFLGMAAGLTMF VTMMEFGLVAAAAGATANYGYFIICLQVFISFVVGEYQDLTLTVGYQFFFYGIGAIGVLI FTNLGYYVIKKYNVGHILFYIDFALVLLNMIGNVAWGIEQSERYSYHSLVYNPQSCAAQI LSKSSVQ >CAK88146 pep:novel supercontig:GCA_000165425.1:CT868649:292477:294036:-1 gene:GSPATT00003114001 transcript:CAK88146 MSRSFSCSDPKFNLFNGGLGCSIDGCGQYNKCLSILNDKFIETTGCCRMKILEPNFVTVF VYMLIVPVIGIASLGALGGGIVKRPFLQSILNFDASIAGDITACLMISAQIVNMVFIFLQ NHPDVPERPVINYDIAIIYTLAIPVSLCLGSDLANFLPLLPLLSFQILFFLAISPVLIYY ARRQNELEDIKDQNSDVVKESALLTMSQQQIQNNNDYTENQAKLYKQLYAEQCQRFPLVP ILITLGNFAINELLLLLRSSPYQYSPYFFPEGDINNQNKDKGPCEPWNFYMVLLLTGVNF MITGSVYFFMRKKELLKNTVNFYPHERFFTPPRRFFYVYAAGFLTGFVAGFVGMAAGLTM VVTMVRFKLIAAVAGATANYCYFLICIQVFTSFLVGASQSQGLPVGEQFFFYALGVLAVL TFTNYGYRLLKKYNIGHIIFYIDFAIVILNIMGNIAWGIENGYRDGFHSLEQQPSTCGNF VLDN >CAK88147 pep:novel supercontig:GCA_000165425.1:CT868649:295041:296180:-1 gene:GSPATT00003115001 transcript:CAK88147 MNDSDSQINNPLSNSHVSNSDQEQLIRQEESDCEFECSQNPMLTEEENNEKSVVFNKLIQ NSCKIDIIQESSNQRNKKSQLGSFLTSQQLESQQYKISVQCVDSNIGNPLCSGEEETQEH LRNMKEKLQQLEIKFESQENEIKEIQYNFNSTKEELQQLKIENENYKKDNIEIRRQLLNY QNEFQKVQHLLQLLIQEKQLNRYDNPSQIQNKRSKTTVELSKDLIDSQEYQSKQKQKQTN SNGSPIKSSENQLSQQQTTFLINSSKSYYNKPYIPQVQLFNANQLAALLKLPLNRKDEER KDIHHKFPGKDFNYLFQKPTNTSAINLNQVKGQGVPSSYSFINTSLGK >CAK88148 pep:novel supercontig:GCA_000165425.1:CT868649:296460:297805:-1 gene:GSPATT00003116001 transcript:CAK88148 MDKVYSFKAKGQVGHIKQVINQEQKLQFYSEEEGDSTTSKDNTPKTNQKPKAKPPIQNGY QTDDSDSGTPKNQKPQSKQPQGIADFYLAAKMSRFSNLVNTKKVEGDVEQEPDFSDQEKK QKLVQVQQQEGNYNIEEQAQRIQQKLSQQPQQQTKLSKTKQAVSMQNLNVNSDNEQSKKN SQNNAKDKLSNHPFRHLIFGPTINEQTFKKHLILTQRGLIYARKCLKGPSDKFIQSKKIQ LSEANPKKDKTLVLDLDETLIHSCSQRENPQVYVTAVGDFGEEAKIGINIRPYTTLFLQQ LSQHYTIYIYTASSSAYALAIINYLDPTKQYISGIMTRNNCMETKNGFFIKDLRLIGNKE LKDILIVDNLAHSFGFQIENGIPILEWYCDQNDQELKYLIDYLMDAVKYPDLREYNTKKL RLDDLIEFHIEE >CAK88149 pep:novel supercontig:GCA_000165425.1:CT868649:297840:299517:-1 gene:GSPATT00003117001 transcript:CAK88149 MQNFETVEVVNPTIDYLIIKCGQNLYEHYLCDKEPGYNCEVIANWQCGVVQQEFLPFDHG ESKYAILNKWIDEDEPHFKTIDNLTAKGVKIVKLQQPQTGQETYDDARSSKSQSSSRFSR NSMSKFQHSVSKMKLLNKIGGSSQQITTIQSVIQEEYKPNLIKMPETKKPPTPDAIIERL REQREYQELDKQKENERMRKIKEEQIEQEEKKKEQIKQLKNKQYTYDYDGQVVFLTTKPI DLQINEILQPETKTNQPQRIMKEVNSQPEIKPVKEAFAKGDEDNKRLEKAAAIEYPKQPP LIEAMKSKLGTNIIFDNGYIKEGGEYRIPDRMSKKDYQTIVDGLQQKNKKQYEDEEDGVV EKMDSYDQKIYDAINREGDRNIKISNEQYFKWMMEDEDIKKSYHSSSADSANAKSVYESK KQSKKYTQDKEKISPKKIKSQFDRINAYKNFDDMKKPQNLKASKLHKALNEFDSKLQNPR WGYTDEKEIAQPSSTIYKLKHKSESQPKLPPLRSKGRI >CAK88150 pep:novel supercontig:GCA_000165425.1:CT868649:300298:301050:1 gene:GSPATT00003118001 transcript:CAK88150 MSVSQEQKISSTSTLKDDQTPQKRQFQIQNLLKRKDFKSVVRILQTDKKSRTNYQKLKYQ NQEYHVGQNLCIRGDNGSEYVAKLIKVVKLIDNEDNCLPFIKVQWYYRKTELTGLPKDYL ECISENEVFKTNELDYIEIESIIGLAIILSYEEYDKIEELNENVYFMRATYFDQKLFPSF EQWNKVCLCRKPPNPDLKYVFCEICQKWFHLKCVGLSQDQASKLKKYICPDCKN >CAK88151 pep:novel supercontig:GCA_000165425.1:CT868649:301799:302607:-1 gene:GSPATT00003119001 transcript:CAK88151 MICNIIDIAFSSTPQKGRISQRNTTASPASSSDSSFDSKYMPKLQIPRPQLSSEERFEAS LCAFDFKLLMPDEGSLQNQKLLFQELIEMKFLFDCDEKLLYQKMNTLYYNLDICEENILY DDRTTLMLKNIPKYMRPSDLRNLLNKDFKSQFDFLYLPSDNNVIINQSDKNEGNLGYAFV NFISPEIVLRFFKKYNNNKWSINDKVDQDINYKSKFVN >CAK88152 pep:novel supercontig:GCA_000165425.1:CT868649:303076:306381:-1 gene:GSPATT00003120001 transcript:CAK88152 MLGFHRQATKQLLLSARTCFSSKGEKKPSHPKFVFGVPKETYPNENRVAATPESIKNLVK DGHSVLIESGAGLKANFADNVYQEVGAKVVDANSVYDQSDVILKIRPPENTKTLKENQTL TSFIYPAQNKELLNQLQEKKVTTFAMECVPRITRAQTYDALSSMANIAGYKAVVLAANEF GRFFAGQMTAAGKVPPAKVMVIGAGVAGLSAIVTAKNMGAIVRAFDTRLATKEQVKSCGA EFLEVKINGEVVDGAGIGGYAKEMGKEYLEAELALFLKQAKEVDIIITTALIPGKPAPKL LSKELVENMKQGSIIVDLAAETGGNCELCKPGELYNHEGKVKIIGYTDLPSRLPTQSSTL YANNIGKLMQYIVGTIKDPNGNMTQVDLNDDVVRQSLVTLNGELKWPPPPIITSKSELQQ ADTTKAATGHKKQEVKVDPYKQAWKNVINTSASLMAMMALGYQSPNMEFLKMLNTFALAG IVGYQVVWGVTPALHSPLMSVTNAVSGIIIVGGMELMDGKYFPGSLTGLLAAVSVAIASL NISGGFLVTQRMLNMFRRPTDPAEYNYLMALAGATGVLGYYGGLYYGIPKEPLTNLSYLA SSIACILAIGGLAQQQTARIGNSFGCLGVGLGVAATLGYKGFSPELLAQWASMVMYSCYP ELQSLIYLNQLLGFHSFVGLAAVLTSIGNYLHVFPHLAEDPAALVHKLSIFFGIFTGGIT FTGSLIAFAKLQNLLPSNPTVIPYHNQVNIALAALSVASLLIYNQTGSFAQQLQAKCQEL HQQNAIGGADMPVVITVLNSYSGWALCAEGFMLDNPLLTIVGALVGSSGAILSYIMCKAM NRNLTSVIFGGFDVNPAAIQATKVEGTHTEINVEQAVEMMVNSKSIIIVPGYGLAVAKAQ YPVADMCKTLMDQGIKVRFGIHPVAGRMPGQLNVLLAEAGIPYDIVFEMDEINDDFPDTD LAVVIGANDTVNSAAEENPNSPIAGMPVLRVWAAKQSIVMKRSMGVGYAAIDNPVFYKPN NNMLLGDAKKTCDELTSKIKDHFK >CAK88153 pep:novel supercontig:GCA_000165425.1:CT868649:306476:307977:1 gene:GSPATT00003121001 transcript:CAK88153 MRTANSNQGQSTLPDSNEINEYIREYLRYSNYSNTLECFDAEIKSKQVSNKMLNKQQVIK QTGEDMPRIFQLLKSDNNKTKREINLEKEQKQFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNENLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLFKNYQDKH VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATDKFDFVVHLLDINNQSLRH AITSLISVISSTLRGVEYLTYNGNMIIIEKIIKILKEQENGSVTQRFCLAILQKASIKDT VIPTYVQNEMIQWIINLIQKSVNSKIHVFCLDFASATLANIIHTPYTLQYLEHQPRFAHQ VMEQLLKFIKDQIQVSVLMHVLICLSYLSKENFAKQMEECRFVDRISQFVEFYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETLELNELKTEDRIREYENEQGELIFECFQDE VS >CAK88154 pep:novel supercontig:GCA_000165425.1:CT868649:308225:308811:1 gene:GSPATT00003122001 transcript:CAK88154 MQFPQSDKDCQSELPSSLKTADFKKRKPIDSDTKTNLILSVVKDHLPIYQAAIIHKVKYS SAKHILRNYYSDTANYFSLQKKRRRKILCCGVSALIEINSGNIALTYQGGSTIPFIKNGV NAQIKQKVIDHLSVSIHKNICNLNFKRLNKKARIDPIKHLNSIEKIENKVKFLIQTLEKQ HQEMIQ >CAK88155 pep:novel supercontig:GCA_000165425.1:CT868649:309323:311134:-1 gene:GSPATT00003123001 transcript:CAK88155 MKNSQNVHLLKEDEIKELKQYILQMEQEPQIYENLNQDQIFGIVVIILTKSSYHRTQYEI EILKKATKHIVYFQKLLEKDQGVLLWERCLRKMSYTSLKKGETLFREGDVGTTFYIILQG RVSIHKRMFVGDCYQEKELIQMNDGQAFGELALENNEPRSASITAVIPTHLAVLDAEDYM VIKKTVINQQRQLYFEEFAKLSIFKDWKFMSIKSLFDVMKLNKFRLNHTVYKEGDPANEV YFIQTGEFKVTTSKNLQVIKCLRTNKEHSNNYEQIDDDVEFLKQQFAYNKPSLTNQEKID KLYQKKKYGNLIASDKKLIMTLKFLGAGEMFGELEILKAPDLCRQFSLVSTFESNNVYSV SKRDFMRVLNCDPDLNKSLISLNDRKLKQILQQIRVYEKNFIDQTEKINLLERTQNKSFL KPQNLLQIQENQQRILFNSTSQILKISSRIKLDDNRLREQTLTLDNPAESEQAYLNKLLI SAQSVKHSRQKTEELIIQQSLKQKNKNRQQMKKHTSTPGALGTALENISYHIRRYPTQTS SNQRFQTSPSSKFDLDNTQKSKFYFTTCLPLVLQNNYYRLKTKQSQ >CAK88156 pep:novel supercontig:GCA_000165425.1:CT868649:311828:312340:-1 gene:GSPATT00003124001 transcript:CAK88156 MDNLQNAQNSPIATYQLFIKRSLTRQINNQKVLPVFGCKINLQSPRIQNHHFNLQHTPKM IQTTKSHSINPDLIIAKNLPKKDSSYHYHIDEITKRKSPKKFNFDNSLTNSNLFKTQSKP YKAYQNVVLPQLSNPLKMNKQFTSKINITEPTITEELQPWEQSKTSIPYF >CAK88157 pep:novel supercontig:GCA_000165425.1:CT868649:312425:314623:-1 gene:GSPATT00003125001 transcript:CAK88157 MNENQPSISFVFQILFLIIALFNEIHIQVFNIQAKTHQINCQEEMACQLDYLNGMVNYLN IGILVFDQNYKLIYRTQHMDKIESFLEFQNQTENITYNPNRSESQNIANLKLLTQKQTDN ISFLEDIKVSGYSQNESITKKILTLKQIIENAQNIDFECNYYKFKIIGPNQEKLRYYLKF SQMIKKNQTIFIFSFQLINENYVDIKKDQKFRNNLISSFSHKLKTPLHAVITYLEICLND QNLTKQLIDTYIKPCYWNSKILLYTIQDILDYVSYYSYQRQNLNVKTINIMKLISEIKDL IITQCSQKSIQFRINYNNEDFDKIQEFCFVQSDLNKLMRILVNLLNNAYRFTPQGGFIEL DVDEVRKGDSRLIQFTISDSGVGLSQQAQEEIAKQMQMHQYQQQQRSRKQSQLQTQSIDK GKCQQNLNPKNEVLGISLKITTKLIYQLNGTSPFKIESLPGQGSKFSFCIGDLDLPSGLH PQSARRIKNISMRSNRIIADFLTLQSETNCGVEDEKTVVGQIRKIDRVKPSMLKSLNSNY FRQNARVTQRNTKLIQGTTQIRQTQKRSKQNLVEESGAIVVVDDEPFNHISLEMVLNSLG YNRIVHCYNGQEVVDFVKRQKNIRIRTVLMDVDMPVMDGITATSILSNLMEQEKINPFQI IACTAHEDEETKQQCYEAGVEQIVVKPVFSSILKDALQIQH >CAK88158 pep:novel supercontig:GCA_000165425.1:CT868649:315096:316054:1 gene:GSPATT00003126001 transcript:CAK88158 MQHKQIKATTSQNIRQKLAMKDSFTNINQIYSQPHSSKGAETRKKENVQDCNTMKKKLFN MLGQNYNSSKAFSISPTNSLKQNYFVSTSTQQKSKQIIQKQNHTLDCHTSLTQNLQGNLA RNVQSQQSLNQFEKYTSFLENQNFENQNPSVNPSKKVGIAKPNSIQSKNHKTDRSSLIAL FEKLHEKDSSNMHRKQTSQNSNYIINVQKQQLPECFYTELKLRQKIKGSLSNNSSGQLCS HLNPNSSSTQIQQNLQNTIEDNLQQIIERMSYILKNYQQKLKQVDFEKEVLIQEIQYWKS KYQKCQQKSSIS >CAK88159 pep:novel supercontig:GCA_000165425.1:CT868649:316122:319824:-1 gene:GSPATT00003127001 transcript:CAK88159 MSLLMDPKVFEVKDQNSMQQLLSFLSLEIQLDGQRYIFKDDQQLKKFERFRSLIDSINEI FPNFQMKIKPKLGFNTQNQNSSFKNDQDEICQKNLSTQINSDQSLTNNKGDQSSQKYCET PTDQGEPQLQQEQIQQKIQQADPKEPDVVYKNFETESSILTEVDNTQDYSIHAFGERISQ IIDQSMNIYDDNIKIRHNEKTFTIQLKNGQILQIIEQLYLEKWNELIKQLHLTKQQMNCY KLQENTDQYYINQEIQTFIDSIQSVEIQFCFYSCYTMKEQQSMMNKYLLQRQVQIAQIGD EFYLVSREQSQQFLKELAHQIYENYRGYMFNFSSAKPYPNLISSNPILEKSRYLFYKKYL SQDVQQYCFNQYFNENFFFIEMNFSKIKEKRELVEKQTKEYIGGFLKNLLLLKFFIQTTQ DIGLKVEKLFQLGIQDLNVKILFYKNNEDFILFGINEDILKLLCLLEEKVFKYEFKKFNV EVDKQNFLFKLKGDQLKIDLDAIKDLFQKFGFQQVDNKKLQFSTLQNRDYSLQSLVRILK YLCINREQNNNRMALQVLHQKFRNAIDKNDLQKELPLLKYINSNNIQQLQQQQQQQQQQT QVISLNIRADLQKFPISQTINLEPSFLDEIIQDNLYQEFLDKLVSNNQKIQFLKISQGKY QLQCFNDYVVTQFKQYFDKFQNVKLMLDKTSLSCFELSHYLKKEFKKRGIYWKVIGPESL QLITRNNESLKYAQDFKYYQGKKISMLSLKETNVNEKVEYYKLVLARTNYFKYKIQDFLK QILNQIKEIEFREEQEISSFCIIHSNFVNQGSQLNKYIEQIQNLIESKIFLELKTKPEYG KKILEKIIDLSDLYVSQKQEYSDYYITILGNKKNFQKIDSIFQRLQLPNEQVINLQVSFY IEQFIPYKNLVQKTQNRDRFKKFLEGNGYFVDDANIKWTIEIKQQLSKTMIFKNLTEWTN QDLMPNIRNNDLLHLEMVDLSQINSAQNNYLEIENSLLNFLEKDNNQYNKVEKRDFQILQ QSGYIDNQGFQENQILPQELSQTKSISEISDQDSNLNLSSLGNQEYDILADFQKGVQQID FPVDSQLAQILRANFQNLNILAFQPYVDQNYKEYFQRLTSDIVELYVVQKEDKVYEKQFS QKMLYWMKPKLAIEKFLTNPQQILIVFKANKLCKYVNYKCKERINLQEGNQVIPVYFLKR K >CAK88160 pep:novel supercontig:GCA_000165425.1:CT868649:319855:322152:-1 gene:GSPATT00003128001 transcript:CAK88160 MDQELDLQTSISSIDIDQQGRNLVVGGREILKIIDLDQVNKKMSIKQNMRRQGTKLAIGY VEFNRKKPTLLLAASVQGQFQVWDIDKQKETVYRNHQAAIHRMNWHNENCFISGGQDYTI NYYDYRQPAAQPIFTLQRNEAIRDIKFNITRDNYFMAASDTGQLEYFDIRKQQEVGRKQD PFTNLIICLDWTKDNVLASGSNDKEIKILQVENHTDIREKGIIQHIDGSSHIKWHVQNNS LLSVSSLNRDISVTTYDIRTPFRPMHIVKGHNDIITSFVFSQDEQYVFTGSKDKYLRMQS VRQAYQVYNNCPIFPVAFNQYNDLLMKLESPFPIQCESEKTNQYQQYFNSIQKQSSNDDI FIQKSKTQNQFQNTQVQNSWQDIQKATSVSPQNSQIQKTSVRSIFYIEAIDQRFDPKIPF DEELKYFQKNYNSKKDFSYNSNVALQIDKPEIAHYWKALEHLINDFKKLSKDCGSNISMQ NIPVQLPNKGNDQSDDDQKSPLEQTIQITRNNKSHEKKQLDSQDLQKLYKYFEKYPAAFR QELDSKNIIIEDQNHLKIHIERIPYYKNLLRTMTPIGYLLTDKQHMMQVISNSILQLIQH VIDQGELPSGFWMAACAKNHVQFPDLNAKKWTQSYIELLVAFGKHTLAMKAALESPVEQF RTSNKYVTNYKCLGPCKNNQAAGPICNICKIDFICAICFKPVKGLYLWCQQCMHGGHMKE MKNWFKNNASCPLGCGHNCFDK >CAK88161 pep:novel supercontig:GCA_000165425.1:CT868649:322277:325270:1 gene:GSPATT00003129001 transcript:CAK88161 MLSDKSSELMKTQQIAYFGCQGISEDNHIEIGFQFAYLLNIDDDDQLQITQVSNCNQYNA ITIYVQKWSDYMIVQHQKDQFETSLLNNIQIVYDELIFPIFYQEGQFVTFTFKAQDNKGP IAISPNSEINILIEEAQKPEQKLDLIELSVLLSDKDQFESFIEINTELYHNNRLDKLYQI TYSSSFPKSYRNYQKYTKNLKKNKQKQNIPKTAIVQFKQNKDVNNKYCFISKFYAKHLGI REGQVILINTQEYDISKESTIRTIKRNQLIFQKIYFNFYTDSDLPLNKEFFIKLIISKIE KNLQIVLQSRVHNNQKQLYFLRIVQLIGNQPEHFLQMLSIINEHNEPKVIGEKEKGLLIK YLEEHVRILGFTSPIQKEIKLTQYQNLRQKDVPQQQNIGQKDVKQQDFQLFLQLFEGSIK QMNSILDQQSNSQCLVICKEIQAIQVLVSFLKTKFEVIKLNLDKLNQQGQNEKLQNVKKF IKHIFWQSRQVCLNDILIIVKGVESIKSIDLIDFQQSFQILISNILSKLMRNQIMEYPSV KLIVVSPSKEYLNVNLEKKFSKEQIIEFNKPKLELRQRIFEQFYHSEEIKKCAEQLAQKS ENFNFTQFHALQNRERYLKELNQQISEEWILQQINDLQDQQVQLNKKIPTFKEIGGLKEQ KQIILDLFDLPMKYEHLFAKSKIKLPKSVLIYGMPGCGKTYFSLSICNELKINVLTVKGP ELLDKYIGSSEQNVRDLFQKAQSLSPCIIFLDEIDSVVPVRTSSHSGVTDRVVNQFLCYL DGVEEGMKGVYIVAASSRPDLIDPAILRPGRIDKHVRIDLPNKEEILQILEIYKGPLEFD GIAEEDIADSLFGLSQADVVSFFKEARIYLTDRIVQLEDFSLKRKVQKIEDFKLTKELFQ DIMKNMKPSLSQKQILFYKDVYNRFEKGGIKDMSNQKQALQ >CAK88162 pep:novel supercontig:GCA_000165425.1:CT868649:325302:326710:-1 gene:GSPATT00003130001 transcript:CAK88162 MTTHSYFILTMLLLTYSRHSSQFEDLTQLYNTGNFKAIHDQFSKRAALDTSFEIFNKTLT NIYQNHGEIVYSANATSDSIQNLQLISFKKSLGAGMMNWMYNSNKMLDHFHINPYSQKSI IQFYEENPSKFYITMFQDDQLLVSTQQKDKVQIQPFNKIAILLEYSRQVSINLINPLMEV QLDNLNLFYIKQFDYHHAKFLTSLNNKQQITLNQVAEGLIQYNSNCIAEYLQSFLGKDQI DKTLQTLNIEQTSQIWHVSSHLAFANIYHQSYEDYINRIVSQSQLQFTQLQNSIHTELLQ KTQQSQVWLETAHSDLLDGKVSSIMNKYFTQSNSYDYAFLLKGMQNRQFKYLQTQAYQIF ESLMNQFILNPRLIQDVVQRGQQYEVYGSKENYNSYFSSLYFDSTKSGAQKQFGILVQKL DYEQEFKLYQSAFSIFVQLLASNNQYYADVAKRISKSKIIQ >CAK88163 pep:novel supercontig:GCA_000165425.1:CT868649:326831:327830:1 gene:GSPATT00003131001 transcript:CAK88163 MNELRSKRYTSQIQLLYDEQIYELYEENLENQIKRNKEVMEKAFFMIEKYYLPKKTCLEG ILDSILIMEPLFHHIQYDKETLNTYFYSVDQLNFRSSCYQVLQTITDQDVELKSKDEIEK MELFQEFYDKFYQNDFTQSKMYLESRAMDFRKKLEEFTELFSDDSQKILDVTKQLMLKAK QSLNNLRLLIQPETDQAIYSYNIQKQRYDLLREKEVFLKQQILYNDEAFQIEQTQHIQLR DSVDKLIAEQEMLYKELSDENRQNVLNMIRVQNWDIMKNQEEEEFRKQSQKFNPGRRHDY DL >CAK88164 pep:novel supercontig:GCA_000165425.1:CT868649:327963:329821:1 gene:GSPATT00003132001 transcript:CAK88164 MVRKYNILDMHQLTELAKELTEYQQPEYLADILDLKTQTKLKERLNDQEKDLEQTPKKET NYSQDMKSGKKENNQESKSQIKQQGQEKQWTIKQTMFVRINSKKNVSEFYTVKEMIGQGG FGKVYKVVHRQTGMVRAMKLILKSKLKKEDQEKLLEETNILMDIDHPNIVKLYEMYQDDN SYYLINEYCDGGELFEKIKFVQTLTEKEIASYMKQILTAVAYCHSKGIVHRDLKPENILF DSKTQGASLKIIDFGASAKLVNDEKLKKRIGTPFYVAPEVLNGSYDEKCDIWSLGVILYV LLCGYPPFFGHSEGEVLAKVRKGTYQFDSNDWSRVSMQAKDLIRRMLFYDPSSRISASEA QQHSWIANNKAKGAVNNISLKKLQDFDSKNKLKYAILQFITVQVVQSQEKDDLLKTFQDI DKNGDGTVSKEELLAAYMKIYKGDSIAAQQVVEELFPQLDANKSGKVDFSEFVTASINRD RSLSKKKIEQAFKLFDLDGNGFITKQEINELFGNEIDENMWQDILKDCDINKDGMISMNE FVNLLETKIKRPPQMK >CAK88165 pep:novel supercontig:GCA_000165425.1:CT868649:330116:330839:-1 gene:GSPATT00003133001 transcript:CAK88165 MNFLDFIQQQIQEKQDQTQEVPQQIKEEEPLNLNENLDISANGSSSDEEQMYEEYFKEKP SLKATYDEIYEKLKQNSPPQTELENEQLQIIAELQAKLVVQETELLILKKLHFDLKNKQS HQANKIEQLQKEKDVMTQMKIGYDKKFQKLDEKRKIIDQNQRIIKKLATSTSNDYLESAI KRVNQQVKINDKVQVLKEQPQKDNPQVRKLSSQKQQNSFKNNKK >CAK88166 pep:novel supercontig:GCA_000165425.1:CT868649:331045:331459:1 gene:GSPATT00003134001 transcript:CAK88166 MDKRLFSKRTFHFLTIIFINFDHGIIPACTQRIESDFGINEFELGLLSSSVFAGIIIGTM IGAGIYDKFKTKSILITCSLLYILSISVFLITKNIVLLHFSRFFTGLFQVCFINLIGIFS YLFSCLD >CAK88167 pep:novel supercontig:GCA_000165425.1:CT868649:331500:332808:1 gene:GSPATT00003135001 transcript:CAK88167 MQIGIPLGTMLGFWISSLSLQISLDWRQAFYFQIVGLSLCLLYYVFSEDDQFSIKIREER DQNQSFSTVLLSNCKTFFTNPLFVLSMLTLSQLFFVLTGVQFWMTDYLNSVMLMEYNLAS QSFLIISMTAPLTGVYLGSQVLNNDVGNKGFRVMKIAAIELSLASISLALIPHFDNLEMI LVLFWCLLFFGGSAIPSLMGIMITSLQREERTSGNQLRQLFFDLFGYLPAPAVYGYVQIT SGGLTSRKGMVMLSCISFLSLIFLLLAIYKKYLSIKMICIDLNESEFRNKLRSLSHHSGD NNDEYQKKDFSYIRMQATSHKFKQIIHSHYTEQIEGDQLSENESPLIIGMESQRIRNAYK SQCSYLHIFYNVSSFIGRRSLENDLFMIKDDQAQIRMKSQNTFELKLLGANHFISEERFG QQQQQQQ >CAK88168 pep:novel supercontig:GCA_000165425.1:CT868649:332839:333326:1 gene:GSPATT00003136001 transcript:CAK88168 MSLEVKNAHRQAFKEIFSMKAVEGKLDKKGLADLFIMIDYKIPQEQFDEMVQRIFGKKEQ IGFEEFLKIFNLKLTDYTFNDVRNAFKLLAKDDDRYIPLEKIKKVLLKNNVPAEEVEFLC HQLDPFTDTMKRVNYAEFLKSLSNV >CAK88169 pep:novel supercontig:GCA_000165425.1:CT868649:333382:335056:1 gene:GSPATT00003137001 transcript:CAK88169 MNFSPQLGFLAQKFQEKQQNNRITKVDLEQILETANYSQEDIQSLIQQFNEDEITYNQFL SIVGVSENSQNIQSYQQNNGYEIVSEYQQKLTSEQLQSIYDDYKIALKNQDFEKTKFILN QYKDIDLVNKIDPSQRQISTYIVVQGPDDNIAQQTLELLFDFGANLNYKDQLGQSILFYI CRDGRLKLLDFVLSTNTVNVNDQDRYGQTPLFYAARDNRYDIVVRLIQYGIDINIVDKLS SQTALFYAANGGHVEICKLLIENGSNPNHVDSSKKNALFFARKYNRKEVIDLFNYYQNKN KDDMSKNSGGNDNSKGEAPRSQQQKRKFKDLPKQSYKLIFTDNQGNQRELNSVEFVKFQQ DFPEVANLIVNADELIDDTIINQIKDDDTWEKIAKKVLGIIWKAKGAHLFHQPVDQKKYG ISDYYEIVTKPMDFGTVKNKLNSNVYSACQEFYDDVMQVFENCILYNGETSEVGQIGLNI KQEFENQLELTLLKKYL >CAK88170 pep:novel supercontig:GCA_000165425.1:CT868649:335145:336882:-1 gene:GSPATT00003138001 transcript:CAK88170 MGSVSSHSTQDENGNLSSLPMHLRKMELKFDISREVDPALKQLLYWSLSEIRAVYEQFQR RTSLPFIDKSLFCKIVPFSRPNAGYIFDNFCRKSKLLSIYELICVLTICSHTQHIHKIHF LYIVFDLDCNGNISLNELLIIIKSIIIGYCKLTNAEMPPYVQLEKFAKLMFLKSDIQVDN QLELSEIIEWLEKENDIGKDLFTLYEPKQKVQEPFEVFKSFKPYSEQEAANMLDMITKAN KNEYFMKSLNEKLNQKYQESYKATTKSVRQLQIRSKQSASHSSLPKIAKPQILDDDIDEL QILEKAIGDHSRKIQRYESPTIRFVTQRDGTSRRVIVPNKIMKNIIITKGCTLTRNEIFK LKSYFDSLSDKNQVIQVKEFTKSFQNKPHMKRVTASLYNYLDSKQRGFVTFDELMLKLYP SLTQEQLKIINQWIQQYNEVFAKSSKESLEMEFLRVEKQPAKRKRILPKQSMIRVKQLFN LIDQDGKGYISIEDMKKTFTYGFTEKEVENLFQQHDNDKDGRLSMDDLVRIILPPDYEIE EETEDNS >CAK88171 pep:novel supercontig:GCA_000165425.1:CT868649:337038:337643:-1 gene:GSPATT00003139001 transcript:CAK88171 MFNTQKIEKQRRSKERFVYEACNLVQQWRDLFKNGYTDYAGNFIKPTLKEAANLIGCSKK TLEDYYSIIRKASQITDINQCLQKKMGHLRQLLRQKEENLNVAAPIFQEVEEKNPSNDSW NNLIIEADDENQEDPNLNTDRYFIETPIECERSPIQYEREQQYDNEYSHTEINSNQYWKE IQFEEENDMAIANQEQVFFDF >CAK88172 pep:novel supercontig:GCA_000165425.1:CT868649:337801:339720:1 gene:GSPATT00003140001 transcript:CAK88172 MNPVLQPPRYLKQIAPSQFRSLNQLNVPHEEIEEKGFLTKYVQILKKNANGSVISTAKIV NILKLHQLLRNQDQLETLKKYFEDHFPYIGKFKDKLDGNKCLDIFRFMTVEKYKAFNIIF RQGEPGRKMYFLLTGEVGIFVPKSNQSQEVQNLICHDFKDIQEIQFQEFRLVAIKKFGEV FGEIAIEQRVTRTATVVAKTDVFVAQLSYEMYQDVIGKHQNELTAQKLQFINTIPLFQDW EQKQKLIALSSFEQNTINCGQSIFSTGYLDEYIYLVVTGEVEIIKFNKVQSSLSQNQIKK LQIIAIINSGQFFGDYEHINNIPNRITSAKARMETVYYKIKYNLFIDLLEMYSNVQEYKK AQMIKFNIQQSLVNNGQVFQGENQEDQLSLNLDLSQLKSNYFKNQKIEKLKNQLKKQIFK TDDQIKKDYFKEQLKTVSQTLSVFETIQLKKYSNLIPHKHDFFVNEQNCISSPNHTRSQK FFSKVFDQNQEQKEKKQQNTNKFIDNQIKYHLNKKFQGMFRKHTKSHNVKLEQSDSISYS KIIQSKVAKLNLTKSYEKMQRATSENAFKQDERTPHTHLSLHIRSYHKENLTTNRSILFR SNRLSSKKPDTQEFKFQKMIQF >CAK88173 pep:novel supercontig:GCA_000165425.1:CT868649:340035:341899:1 gene:GSPATT00003141001 transcript:CAK88173 MQQTQFLGKRIKLQQISLTGNYESPPLPSIPNVQGLFSPRLAHQKETMRSPRKKAYTKLT QSGKTNVPLISQSSRNLDGFNITQQFSISPCKSYRSSLRCLTPHEINFRKNLDHVYIVKQ PINEISQLKIMQMSRQKVNSGYKEDDIYLKRKKLLLEAQIALGATRLHTQLALFDYQQIN FFEEIPQNCKLVFLGNKTQMDFWEIVFAFHNIECQTEIQNKILNVMQYVNSIDDLLKFFQ DKEIFQEVIESNDICSPMEILITPTTAKSKQQQFQKSNTSNDLQFKLDLDLKKKMHILKT EESEEIQTIRNRLDESLKDYKMEVKSDAIQDILEKQGLNKNIKQNQKKYLKLSNFKSQCS TIAKTQSKNQTYKNQFTKFPLYVAQSQPLATYYNIPKLMEKTGLNRSEIHEIYSRYKALL SFECTQIPGMTKEMLPNGISRDSFAAGLNELSMAPAGVIDQLFNLFAIENSLDFEGFLQI INLITAKSNEKKIELILKLIDENGNGLLSYEEISQRCEMMMDSIMKYNIGEPCTNNMVDI ITKSIFDAVEMNYDEEIPIEKLRQLIESRSQASKALLMMCCGDINYID >CAK88174 pep:novel supercontig:GCA_000165425.1:CT868649:342035:343929:1 gene:GSPATT00003142001 transcript:CAK88174 MVYILTLEQAQISIRVDKPSYFPGDLIKGVIYIKVKTKDINAGIMNLKLCGQQKANQLDQ QGQFFSFKQFIYKKQKKITDFGKKMPIIACRKYFEMEVPNISPSFTINYYKMVQCRILYF LSVTIQSEDEKYLYKPPKKHRVVIHILEKPQIQSNLAIQYVGESKTTKTCCLSTGGTKVK IQLNKPQYLFGENIDIVMQVDNSSSNYHIQKFKFSISAQLVVLYKQQKRKLSNYFDIFDQ ELIFEAGDEKEIKTTFKLPQGKPRNPTSIFPQSSVKTKRIIFQYILTLQVSFGKRLFFSQ DDLIIPMPIILIQQQKREKDNVLQSMENISMIGSVFETNQKLSQSQLKRFIFGDHNCSGY GEQDGYLGLERKYHPVESLDEKAMKDVKGALEQLMFKKQYEMEDSDDEDKLIEEIDGVNP NNISLNVEQSEKQKQPKTIFQQGNKKDIFFPNQNLEEANNGIQDQLQTIKEEQDSKGTQY NLNNALNSSQIRKNQQGDDNEEQQNGQFQQQSYPIEPFCSFQKVILKMDEQDQIKDEKEN IKKPPILKQKISLNNSIDQIDQKEQKQFKFQFNDATSVDTLDGKTGERLSTQCKKK >CAK88175 pep:novel supercontig:GCA_000165425.1:CT868649:344334:345077:-1 gene:GSPATT00003143001 transcript:CAK88175 MHKPYKGLIDPSGQNYGGQSLMFFEKYNHREYLNKVKAWPLSHIKYMETDYPIQESKYQP YKNPLIDEKVTLVKPKSEIIPENKPHFFRRVQSSIEPGLQQTLYQPNQMWPKHKKYREKT SGQYLQYLNPNNDPYGYKESKRRTKNERKGLSYASNLMNFSFKEETILQEFDKIFQRKIN SGERITIEIVQSIVIQLGQQFNIKSLDTFSKLFNHISKQGQFSMKDFEQMYTNVLHHFS >CAK88176 pep:novel supercontig:GCA_000165425.1:CT868649:345653:346617:1 gene:GSPATT00003144001 transcript:CAK88176 MSIEVAKAIGYETEEVKALCTDRDAILYSLGIGYSSDPMNAEELPYTYELHEDFKVFPTY TTCLHRTDIFKALTSCPGIPNFNPMMLLHGEQRIQVLRPLKAGVEYVTTGKIANISDKGK GALIQFDLLSSEVDAQGKKTLAFVNTLSLFIRGLGGFGHKGNPVENIPATPKRPACKEVK QVTTPNQAIIYRLSGDINPLHIDPNMAALGGFDKPILHGLCTYGICAKAAIQTFTQGNGD TLKNMAARFTSHVFPGETLLISLWKEGTRVQFSAKTQERGIEVIVGFVEFNEKAKL >CAK88177 pep:novel supercontig:GCA_000165425.1:CT868649:346646:347958:-1 gene:GSPATT00003145001 transcript:CAK88177 MIVETKKKKLCCESCLQQDVKFLPPSEIQKNNKLQNDKAVKELYQNGVKHYKLGYFNLDP ISIQYDGKYERLRLDHFEVVQKLLFHLKLKEKFQSNIKNVLFKIEQNIRDNHNSLNQLLQ QEVPFRDQLEKVQKYNQAVIALCVSQESDTYFDDENQQCQINYQIAINEQERTISRLKTQ VQHQNVLIHKIQQSINEPMLGVAGLLNQVGQFKQRIEELNTEIENKNNELVQEQFQLQTV HSILDKIKNLLQKYPSLFREITANNIINIKEFQYDLEQLYLLYSQGKQYEKNMAALQNQY DSLFKELQDEKINQSKMVKQESYNKDPKLKKIIEDLSRLILSKDPNGMSNELRDLIKDLY LKNHQDVIQDQERQIQLLKNQVNQIGIEYENFRTSVRHSDKPPYFEEDQYTQSLYTQAQA LEQVLLKIK >CAK88178 pep:novel supercontig:GCA_000165425.1:CT868649:348068:348787:1 gene:GSPATT00003146001 transcript:CAK88178 MNQKESHPKDEDDDFTLNTSSAEPLELDDQYTKPKSICWGRCHPMMFRKGNPAVVIGPHW PLFICAFLLFFFIGCLFIFLRANDEPLIFYTTLIVGINQCVSYLIVSLINPGIANVERID IEKNQQSNQKTWYCKVCKLIQVKETQHCLDCDICIQEYDHHCPWTGKCIGKGNIKQFYYF IISTIVFTIFNLVLIILKFKEQEAKAKKQ >CAK88179 pep:novel supercontig:GCA_000165425.1:CT868649:349258:351110:-1 gene:GSPATT00003147001 transcript:CAK88179 MNSEDFQVSKQSLTYLIYRGQPQFQLFQELKKVISETPEIQPQIIHSSQNLNEQYKLDLA QVDRLNKFIQSKGGLYQAESYVQYFGDFVSPHVQRFFQIQLLNLRILKTITPNKELQNLL AQANTLKVSLSLLSNEQAFNGTLQVTELSTSIQFENNQATLQSKEGVYKTGNNYQGQFGT HALVTCKNQDKFYLALIQLKDNKVKEKWLGKTVSIYQNYAIQFEGTKVLKYVELNQDDLE LIEQWESHQGFEDCQIALREIMRLSDICYKYCSMRKQFRTTEDKTERPILTYQVTLTRLV NAISFAVMFYISAQEARKEFFQDVFMKKARRKVSKKFVDFFSLYITEHSVYMAEQLRDVF GGFGFLRISGVPQIQEKLIWLSSFYKNNRIQKQTDYLNTILDTEKNKQVVLYYLGQYFMG DPVSMFAELGPLSQSNVSNPFHVFGYHVAKIKEKLLQQEHLKYSYSAYQFSQLTFELFAS QKFFSLLAFRRYRASNFILDRLLLNQNFVSLSLMETNLTNINLIKQQVGQNAQELLTVLN LLIDSYEFEHYGILLGQNDQEKFYEKLIHASKYENNRNIEQVVNEIRLPVQDLFNRPAL >CAK88180 pep:novel supercontig:GCA_000165425.1:CT868649:351165:354426:-1 gene:GSPATT00003148001 transcript:CAK88180 MNFLQPFNYCLYPYRHSYYYNLYPDNIQEQNSSTATYKSEVISWLSTLEQSELYKLFQIK GQMKTFPIMKMHINDKLNNPSIYALRLKETINFESKIDDQFMMNFKGLHPKVEELYSKLS IVDDENFMDTIFVAEPHLENLNSFLDLLHDLSDKYFLSTPPKAIIEKAEDPFWFHQNSFH SCAVWIIKEFEKNISFHYNLHREKKKKQRLFRQPIYKNTSNELKEFFLQNLANNKERLTF YFQEIYSEIKQQPDRLENKFYENIFSGTAIKLLKSQVELLLTFQQKCHTDTNIINSMLIT SMNDLVDQKTYILKKFYKIVQQLFQEHLEQELFKTEISDKKQKKDKKKKKKKFQKMNTFK GLDPIELNKRLSSKNLLESNILRFQRSHSQSNLAYTYVTPPNTPSAWETSDDQNEYNKIS NTQQQSSKFQHANHPKINLTQQPLISQQFHTHLENPTNDDYVDVGQSITTNILEIATLSL NDENQFKEQYLKDKKRAKKKQKGFQKSIPESRDEQLQLQSPQSEITRFSIETQAQTIKSH CSNRTSSTSEEEESKEQSNKMKNQIKIRNNSTDKQQNIYKLINSFKMNQFENQQFQQDIN SASNESEQISQKTLEDDVVPKNRKKNQQLEVKKNLSIKQESPEKSLKEQLENVQQKGKQK LIEQINSDILDFTDNIMSEYEEMLPFRFLAFERVKSVIQKVFLGIPDGMITSRLFGSCAT GLALLDSDIDIGINGLEVYNRNMLKSHFDNLYFEFSRKKWVVKANPIFNSSVPIIKLEID PQINIFEYEGRNLDEQQIQLWKRLKQKLKSGIKVDISFNFNGNGIYSTHLGSITTDLVKK WMEEYPSLQQIVLILKSMIKKLKLSESYTGGLSSYSLIIMVYSYMREQRVASNLIGEQFV DLINFYINCFDSSSTGIGLLADIHNPNSSYFFNLQDYCLPMLPITIFNPYNRKLLTHSCV QINKIFDFFKVILKELDAKKEFYCNYVVLGKKKQQKLNKSLENFIVSILEQIK >CAK88181 pep:novel supercontig:GCA_000165425.1:CT868649:354759:357219:-1 gene:GSPATT00003149001 transcript:CAK88181 MQYYCQLHSLPYTQVCLQPDCTRKGPLCDMCTDTHVTHVIKNLDVYINEQIKYLNAEYQT NILQKLESLKAFFHTKISQLLIDVSQIDEKRKKELVKQLKSEKMSIQQYQSKLIELVQRD QLKDREYLESFYEQITDIEKQIKQSFSQLPIKLVQPSQTPQTPSQSSSIINYKSEGKEIS PELTNRSNRSKEPIFQQKQASISPIRKQLLQRDLMPVGSFNNSKLKNYFTEQDSQQSARS KQDTQNSFKITKPFDLDKSSDKAQNQESYQSKQKSQNSSFEMQRPVEPFKVNNMQTQSID ILPKSPGSKTFDRSPKTNPSSQQQSVFKYFQQEQPNQSGYFANQMPLTQNDNKGFLKDNI FYFSNASQKDEKQQQNAEYIPATSEILNQYSENQINEDNNQKNQYSIFKDNQQPYEQHFS ISNQLLFEPTDQYVIQQQPSIKQSTSSQSNLQAQLVRDNKSQLDLSYISSKSIGPPQVKL TPNLLNPLSIEYDQTLKGHDKSVKDLALMDADKIITCSKDTTIKIWDKRSRQLKTTLKEH KDQVLCVAFSKKRIIASGSVDKTVRIWKPNPVWKQVYVCEGHADRIRCLEFVGNYIASGS DDTYVKFWDFDGSLQHSLKTNARVSALAAERHNLVIASGKNIILYDTQTNNKIFEVSGHK NIILCLALSSNNSQNNGILISGSKDNCIKIWQYPKLQELRNLEEDYPVHSISFDPDSQYL FAGLMGFEQEGKISVWKLDTQPQKVQEISMNPYGCNKVISDGKSLYSAHENKRIEFYTIN >CAK88182 pep:novel supercontig:GCA_000165425.1:CT868649:357488:358241:1 gene:GSPATT00003150001 transcript:CAK88182 MFSERLVRVTLTLPKVEGNLKWESDVQTNTSRSRIISINARPQQFGNRFHKQTMTSIVSP KQLQLYTPMQESKRAKKLKNIPYELQYFTPKLPNKNFTSSHLMSDELLKPSSESIENKDK WQIKSHIPKTNNVFSLCQIKRIDKQEKKNEKSQDFIQIRKQNTQNIENEKVKRFKKYRQA TQKLIDQFAQQFNTRAKIDNFNLNL >CAK88183 pep:novel supercontig:GCA_000165425.1:CT868649:358589:359116:1 gene:GSPATT00003151001 transcript:CAK88183 MSIYSVYIFYFFFHLIENIMVIHQMGFFGKRFNHKLLKIVSHTFWTFGLITQLIYYFNRL RSAFRREQEMKSQIQNGMTNGEFLEKLKSYSNERYQYGLLILRIIGDLACAMQKAQIPEK ILHTRFNRGLVACGGLLSSTIQICIQAARINKKQNFVEV >CAK88184 pep:novel supercontig:GCA_000165425.1:CT868649:359752:360455:-1 gene:GSPATT00003152001 transcript:CAK88184 MINNENNSQHLKSKPLFSVMKLINNNTFRGQFSEPNQLSSSILQQTNPQLSFPRAERFQS HHAPQLPSPKYLCLTDTKTKRATSFGFGNKQLRPLDLEKRDQLNPAPGTYDIKQTSCRSC SFGMKLQSVRGFDVPGPGSYDLKLLNKTKAFSIYGKINSDIKLPKTASPTSYLPTDKIIQ NNRFKQITFGIGDRPLPGLKIESPGPGTYALKSVFEIKSKKKTQQ >CAK88185 pep:novel supercontig:GCA_000165425.1:CT868649:360902:361811:1 gene:GSPATT00003153001 transcript:CAK88185 MQGSRRHPELDNMPDFLFENTLILSDPREENCYSTIKDYCCPNFTLVSFTAIISLIQLSL FIVMCFLGDFNLQKTILCIFSDETLDTFGRCSAYNVKYKLEFYRLLTCLFIFNNVKDLCG ELLLQVIIVSMAEKFIDKKTTLFLYLITGVAGSITFIVFYDQNFEGNQFCVFGMVGLIFG FIIQNSQQDEARQVIMQVTIFILIIGIIGFFYKNSLIFGIYGSFLIGIIIGLIQPTQNRI FYRTKMKLVGLGVIFMYFTGFIYIFFSFRMPSHPIEQQ >CAK88186 pep:novel supercontig:GCA_000165425.1:CT868649:361898:363515:1 gene:GSPATT00003154001 transcript:CAK88186 MDKKGNFERLRQKLKSDLFKNESNQKQHAEEIINQCPQILDYVHCSSQSEFKEEPTQLID YISNQKHLEQMKHSFQNQYQIGKILGEGAHAVVRQCWQITNPDETYAVKITRNPDPEITE IMKETFLNTVSLNHPYICKTNMLYIDPNMECSYLVMEYLPYPSLQQILRERQILEFEDVR SIMRQLFEALSYIHKVGLCHRDIKPDNIVYDNDSNSIKVIDFGVSKRFLLTEKSCKDIKN NLLWTVTGTMPYQAPELWTGSGYSNKIDIWAAGVVCYQMLCGRLPLDQENQMESFSTQTE YTGHFQEEQFIKLPPLIIDFIKRILKWNPDKRITSQEALLHPWLYQPKLMPKYYKGKSKD DIFSRSSDKPLLAIVRSMQANVKIFQQSVSSVNENFLDKNNFNKNHGQIIIGFKETSQQI REKSIHFQQSIHSMNLNKCTLKPNTEDVKDFFDIISYCDSNSSFEAIEEKQQLQAQQQKQ AQQQQQQQKQSQQQQQQKIGFSQRLGIIKEEPETPLVNNFIPNIHKQVES >CAK88187 pep:novel supercontig:GCA_000165425.1:CT868649:364439:364876:1 gene:GSPATT00003155001 transcript:CAK88187 MQFVAAYALLVLGGKAQPTEADIKGLLAEVGAQGADDQIKAIVDALKGKTLADVISEGLK KVGTLQLGGGAASNAPAKAQAPAAAKQEAPKPVEKAPEPEEDVDMGGLFD >CAK88188 pep:novel supercontig:GCA_000165425.1:CT868649:364876:366194:-1 gene:GSPATT00003156001 transcript:CAK88188 MGNCTLKSTESEDQTVISISNFTQKSVIGKGGFGKVWMVENKKSKSLYAMKIMSKAKIIA KKSVQSVMNELQLLSQLKHNFIINMQAAFQDRDNLYLVMDLLTGGDLRYHLCKQRKFTEE QTKFFVVCIILSLEYLHVNGILHRDVKPENLVFDDKGYLKLTDMGIARQWKPENAQDTSG TPGYMAPEVMCRQNHGVGVDYYALGVIVYECIMGKRPYVGKSRQEIRDQVIAKQVQIKNN DIPTGWSLEAVDFANKLIQRKPANRLGVNGPDEVKAHQWFKDYAWDKLLDRQITAPYIPR DDEMIQIASENRRDSANEIDPESVLSLRRNSIQAQFGGYTFNGSNNNNNNAKPQSTNVSV PAILSTKAN >CAK88189 pep:novel supercontig:GCA_000165425.1:CT868649:366208:366729:1 gene:GSPATT00003157001 transcript:CAK88189 MTTTAELTKQLLEYHGCIYEENVVHQLNEYAKKLMKTILDGASNLADMQKRQNITTEDIK FIIHIQNPRIAYEYLQNPTISMNDLIQVASEKNSQQLNDQFKDQTNYDARLPASETNKLL KPNFQVIVKTQPDQIPLVQQQMEIEKNPNKQQQQQQAPKLGRKKMNIEMDEDQ >CAK88190 pep:novel supercontig:GCA_000165425.1:CT868649:366937:368116:1 gene:GSPATT00003158001 transcript:CAK88190 MNQIRSTYTNQFYYRLINRFVARISEAYQFMLAKRQIARSQHSKFLLSINHCQTKKFDSK QLLLTSLIQAVYQECRLQIDLILNKQQNAQNQRIHSQESNHQGEQFQNQFYSLEVTNKKY IQNEWQINIKDNKKLNYFYTKIFPKQYEVLESADKYSLQYLYRIQEEQILYFQSRQQNKD RIFKQLLSQNQHIQQSLNWAQDIDLLSYNRKIETKLKKLKLIQLTTNQQLLNQSSSVRAS QLSNKSINQNSPASPFKSINNQTKMPQIILKQRSISQNIPQSEFRFKNSIILSNNQREGL QQCTNQNQKQIYNGQDKEVLFAKLIEKVELGPKKQICSVLKSKQLIDLIPTHSSKLKPRV PTNLVQQIHSIAN >CAK88191 pep:novel supercontig:GCA_000165425.1:CT868649:368326:369985:-1 gene:GSPATT00003159001 transcript:CAK88191 MLYEFESTLFQVEHLEKFPSLEEQPFLYKYRARTLLQQLLQKTQDPLQQAIIHYQLGVNF LDCEENGESRKNMGKSLQLFSQIEDIENYLTYIQSIYNHLGFLAVNLDDNETGLAILAKA ERLGEYLIAKGDFEKRPLKIQGGFERAQEFYTITLFYIAQAYTKLGIKDKAAYYCGQTML RQFKSKTYELRDFVINCISLSEYYSGIWNFAQAFYLLLVGEAVIPEGKRKKLRATLQMAQ GKIIGDMMSYCVDCIKSNQQNDPSLPKLFNKYSITFDGVNVKQLVFQPSKTFDEIKSLFR QSNTLYKKAMTIFVLDGFVTEHIQMLSEISIMYKVIMSLEPDPNNVIAYLEKRLDLLLPV FNAINPKAYQNTYEKLLVEIAEINNELYEFLVKFNEIDDFQGGKSKKLTEKMNKACLNTI QYYEKIVEQLKLLEEKQRDQAYYSSITTAYFNIAKAQSKFFSKDNKIKVQYLVNSLNQYK QLVQFINQQNQQNEFQAVLQMCKEMIQILPSKIDRINYM >CAK88192 pep:novel supercontig:GCA_000165425.1:CT868649:369987:370751:-1 gene:GSPATT00003160001 transcript:CAK88192 MKHNQQLPNAHLRKHWTRFVKTFYNQPAAKRRRQQRRQANALRASPRPVELLRPVVRGQT IKYNGVQKLGRGFSLIELKEAGLNAQFARTVGIAVDHRRRNNNQEELAVNVKRIKAYLSK LVLFPRVAGKPKNGVVKDSGNDVVAQPVTQNTNPEVITFQRTPKREKATVISKELRAKSV YRRLRQDWYNAKFVGVKEKRKQAKETKK >CAK88193 pep:novel supercontig:GCA_000165425.1:CT868649:371164:372024:-1 gene:GSPATT00003161001 transcript:CAK88193 MNPNTPFNERFAKISEKLNSIQLQHDSSKAHRIDNICGRITGVEERMQDTITSYNRKLHS LKDEIVRLQKQIEEENNAFETQFEQRVREVAAFESRITTKLEQEIALRKDGNLKLQGYLD EKVVYLKSDTQTEGKIRQEQIENITTSLENDLPKLYDMVKTEGQDREDSDNGTLRRAGDE IKRLNEGLGNQKKLREESEAAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDACN KIYRAAKD >CAK88194 pep:novel supercontig:GCA_000165425.1:CT868649:372578:373102:1 gene:GSPATT00003162001 transcript:CAK88194 MDNVNRSEENIGLPNSFDNLKYIVNQLVNRKNNRPSQYQKVDENIRQQIIDQACNKGQPL RQISQQLGIKYSTAKAIVQVYQNEGRIGKKRNRDKRIFQEIETFMVVVHKQSGKIDKLKH KSECSDLKSNLECSKMKQQFNLNLQVLRKLTIPNQLSILKDIILKK >CAK88195 pep:novel supercontig:GCA_000165425.1:CT868649:374109:375270:-1 gene:GSPATT00003163001 transcript:CAK88195 MIKCKRTNHKQKLHQMQTAYALKIPLTYFVLYHLGVIYVWVTLIWHAYLGTGDNLRNLLI NIWQNHYKVSYPIILFNEIFSFVIALYGTFDTNLQKYTATQLLYYASAFFVCNHVYSFYS RNIVRRNDVNQLPNCDKRLFQSYEYLFYFQTLIIFVCFYYSIKFDNLQKEIKPVIKFLIN QLMFHVFEITKIYFVINLFVLIQFLQVIIDGIQLVIDYQQISYLIACLTRSSITFIYQQN IHIIEGQYYLQLRNCRDGRFFNDNPQVQVTNLREEDLEKLEVKSFNSQLEVQQKRNQAVD LAQLEEQNNNTIQCPICGDDIQKKQKIILLECQHIFHSDCLIRWLKIKNSCPYCRRSAVK CQQ >CAK88196 pep:novel supercontig:GCA_000165425.1:CT868649:375656:376285:-1 gene:GSPATT00003164001 transcript:CAK88196 MNQDCQLRARFTFWLSVSKDQNVDNFSDQLKQIATFGTAREFWSIYSYMVKPEKLPLGAQ FFLFQEQIQPVWEDPQNMNGGRLILRVKRGFENRVWEELILHYLSDENPHLQGVCGIIAH SKKNFILISIWIKDQNKYPKLLEELTKWIINCLGLQNKKEFEYIAHPHQQQQGETQ >CAK88197 pep:novel supercontig:GCA_000165425.1:CT868649:376462:377936:-1 gene:GSPATT00003165001 transcript:CAK88197 MSEIEELTKQKQVLEAQQALLENWVVLKKNLSSKFKNPSEAFKCLVKGNASELTIQDFDD YAKGINLNELFKDQHLNEETFVKIWESWEFNYKANQHKLQMIDEKLEVLVILEDKDAKMF KGDSNKKKLIVSQIQGCENLEDLHKKLGEMVQNNTNQKQKDGVAPNESDVFSQFLAKKSQ IQFSELSISKMQTKLAEIPQQGESSDSAQKQKLEQPLVESQIIVIGKVTLPKKSYLDSQN SIIHKRGNYSTNQSKTFINDSNAIKIVSPKVARPKDQLISPKNFQQPSNFDENDQIRRSQ KSKKLKGDLQHYIEDLFHGDHHQKNPYEIQTKGLQGFNYKKNLKTVQHRIELRPTESVES HNIQKRVQNIRHKLDEYQNKRTRRQSPQKPYTSGNTLTKTDITPERRYSQSRSSPYTPGN VHRLNVDRLKQEKDATQKQNSDKKPQNNEEDDIPQKGLDRQKAFISD >CAK88198 pep:novel supercontig:GCA_000165425.1:CT868649:377968:380210:-1 gene:GSPATT00003166001 transcript:CAK88198 MQQTPRAIQLIAIEPQTKKLVLCQEAVQIIKSFNDKCKNFAVIVVVGKYRTGKSYLINQL LLQQSRGFEVGSTINSCTKGLWMWSELIYFESGRNKEPIPAILIDTEGIGSVEEDMNHDV KVFLLAMLMSSYFIYNSVGTIDDMALQNLGLIVNLTKMLQKADQNTQKDLFETFPSFLWI LRDFTLRLEDEYGNKILPKDYLEAALKPLKGISESIENKNKIRRHISQFFSERDCITLVR PTENEKALQQLGSVKFEELRAEFQEQVLALRKKLSFKVQVKQYKGKAITPFTFIEMSKYF VDAINQGTLPEIATQWQMIQEQEFEYHINTQIDYYKQAIEEFFQDEDLGDLVELHQIKIK NYLEANSEKEIFIKKWKSVKKDLERLFNDSQRRYQDLQLVQLETIKNNFIDEIHHYDKED FWGIVDIANNAVSEYLSKNINTNELQKYITQVWESTVQRIQHEFHIIKNLSNLHIQIQSK QELEIRRLQTELQSYQEFQLEDNRQKDKLIEKLKLENEQIKKRNSVSETLISDLKLQLEQ LQQDHNQQLKSVDNQNKQELNKLRSIISKFEQRVIDSNVNIDKFSNLYQQQEKEHQSEQN ELKLEILKLNSQISDYQVQIKENRSDIESEKKNENYYSARKQQKHNTNDCAFINNMQKQS ENTLQEWKYQKEYIRKQLQEAYYKIQEEKKQNDALINSLKQY >CAK88199 pep:novel supercontig:GCA_000165425.1:CT868649:380528:383212:-1 gene:GSPATT00003167001 transcript:CAK88199 MKIKLKYFNAWGEMQDCFIEISSKETLQVLRLQIERVTEIDVDDQQLFIVHNREMKQIYK YEYLSQIKLLNEGVLFVKNSKDQFSVRASQIYQNDSFESTNQNTASTLKKSIHNSFSQRD KNIIYNSFLALQIEQFYEHIQKNNLEEAKILLQSHIEQKEFFLNDTTFFGWSALHVSILA GSDKLFLWLLTEGANINLQTNDGWDCLSLSVCLRQKTIFCLLLAQPKLNVNQLSKHGTAL HLAAQNDDTEFVQLLLNHPKIDMKYAQFSLINSILDKNNCRAIDIARNKAKQLLKYENEF QIVQSHRTSFISSMIGISLDNLMKDTFIINKPQRPPILKGKVFKVNYFKLRMYERYMIVD PDTDSIAKFKNIQDIPHNPNQIIPLENVYDIKVSKDEWFQEDDYFYLEIKYFSKHIFIAL KSKDAARRWYEGLKNCVGYSRYIKQNIKSPEEQRLAQRAFGLMMAKPNSIINIEDCKVED HVQSKQKQAIQKKKQNSDLNSVTEEASEIDIIIEKSNSYSIQDKINLSSFQVVEMIGKGS FGNVYKAKYKHINCAIKQQEKDKLIRNGYLKYIISELQILRTIRHPFIVKLYLSFQTQFY LYIVTELCPAGDLSKYMTRGQILNEYTAKFIIAQIILAIEYLHSQQIIYRDLKPENILID QEGYIKLTDFGLCKQFDGNDFTASSFCGSPAYLPPELVTGGVSLKATDIYQIGTILYEML TGYPPFYTQDLKSLMEKIKYDSLFIPKNISLEAQDLLKRMLKKDPEERLGYNDVSVLKKH MFFAEIDWDRLYTKQYKPPRLVFYAQHNIFRDGQQINKEDNVNQQYTSQKILDLDYQEGD EKLNFIENWDSSYV >CAK88200 pep:novel supercontig:GCA_000165425.1:CT868649:383376:385780:1 gene:GSPATT00003168001 transcript:CAK88200 MNVQSTHQSTLQDFQVIQELGSGSFSNVYRVKRIVDGQEYALKKVKIANLKQKEKQNALN EVRFLYSINHKHIVAYKEAFIDEPSQCLCIVMELLSGGDVYKKISQARGSTPFSEMDIWR ALIHITLGLKALHDQKVVHRDLKSANVFLSSDGTFKLGDLNVSKVAKGGFVYTQTGTPYY ASPEVWRDQPYDMKSDIWYLFFIFLRSLGCVIYEMCCLQTPFRAKDMDLLFQKVQRGTYD KIQSHFSKDLSQIISSLLQIQPNQRPTCDQILANPIVQKHMKNIETNIETKPINKTLLET IQFPNNFKQLKNQLPKSNYDEVNPNVSADRVQDNKSTDKSTSPFRYSQQQQQQQQQQQIP ITESRVSQSQVNAIQAHQQSPLQVKNSSIMDKNQSVVQQPQQYLRQSSLNTKTEKPQSAQ INQRQNPYERAHELREQKEREREREIKQQREKDQLLREKRERDARDAKLAKEAKEKQQQE AKLRESRDQQRLLMEKQQREQQEQQQFRRVSPISAQPRDYSYANYINQQQPRSQHSYSPI TRSMDQQNIQQGQNGQRINYGNQIQQRPIISQSINVNQNYPSQQNRIYNQETSQHRPSQT PQSAAPRQQYSPYQKPITDRYAAHPQSALPSSNVREVQKTERPQVFPIQAKVIKQRQSDQ NQKNDFSSPNQQAIRPAGKPSRPQSNNIFAQNDRIIKTDSRAQNNFITGNYNHYYPIDNY QRHASDQNLIKYQQILILLRPQINNRKY >CAK88201 pep:novel supercontig:GCA_000165425.1:CT868649:385854:386344:1 gene:GSPATT00003169001 transcript:CAK88201 MFRKYSFSEEIVENDFSREWNWDEFIQDSNSRLIKKRTAHFTQQEDDLLCQLVAQFGRKW KKIALQFPNKSDRMLRNRFIKLQQQSDITEAISSPKLDLSSHEVNVQKKRKNDEQQLLIQ MKQLKSIKQKIDIISILVQQTKIELINLLTSPTL >CAK88202 pep:novel supercontig:GCA_000165425.1:CT868649:386943:387526:-1 gene:GSPATT00003170001 transcript:CAK88202 MRNKNCQSFEPFKTYQSIKLSTLIQDIHQAGRNLYTSSEHTSNFDSTLPCLKKGEQRKFV NRIYQSYQMEKKAVQQGLLTPQHLNKYLQPRKKHFDLKKQINFLKKTKFQTCIQKLRAAN IILNGQKSQQGKNEIIQETLESKKGQQHDIEFIQKMNECQLQQRMGKLLKLKSSPFLFSN QITEG >CAK88203 pep:novel supercontig:GCA_000165425.1:CT868649:387925:389011:1 gene:GSPATT00003171001 transcript:CAK88203 MLDQTIEVPISKIMTQVSKVYVPINQNPNEKIAILYENIGRQNLKEVWRLQFLNQNVDLL MRQTYDELFYLNQNRILILKGPNIITYNLHEKIEVDSTIQIEKGEYNHFSVYNNGKEQFL FFLTKQNVTTEQQQSVSQYTLRIYDTQKLEVLQEVKFSASVRALVVSPISRTKCFMMNPN SPTNRTLQFIIYDENQVQSKIINVKETKNELRGTVVKVLNYQDELIFVYNSNGVSKQFLL FYCIENGNENIHEIDIQYPFQIRDATIKENIILISCFNSQLNSENAFFYEILEKKVKMIS SGETNHFYSKYIIVQHNRESIHLQLMATPSMMMTHYMDKIDYDQYIKEEISQF >CAK88204 pep:novel supercontig:GCA_000165425.1:CT868649:389036:392497:1 gene:GSPATT00003172001 transcript:CAK88204 MASKMQEPLLKVEALTDFGMTANELSQMFFPHNIRDGSSLDILSRFGKIEGLIQKLKTDP KRGLDGTNTNDLELRVKNFGNNKPEIKEPKTLLQYILENFEDPMLRILCLAAAVNLIIGL WTEGWKEGWMDGMAIFIAVIIIVSVTAGNNYVKDHQFRKLNAIAENRNVNVKRGGKIVST NIYDLLVGDIMIVDTGEKMPVDGLVIESSELTADESSVTGETKPIQKIIPLSYEKEDQKE DTNSFLISGSSIIYGTGEILILAVGEYSLWGITKTLMTQQTKDDKTPLQEKLTILADQIG EYGLKLAIITFIAMTLHLLHDAAFNEYPLFSAHAVKEILNFFIVSVTIIVVAVPEGLPLA VTIALAYSVDKMKDEKNLVRFLSACETMGGANNICSDKTGTLTENKMTVTNLYIEDTDFN KLDPQAIKSSTLSLLCEGICLNSIARPQIDQNGRFEHIGNKTECALLELAHKFGYDFRQI RQNMGEKIKKNFPFNSEKKQMTIALDLKGDRTQFTIFTKGAPDVLLDKCSYYINAEGRPV VITNDYKQKINAVIQKYASQSLRSILLLYREIMLQGRPTEPEDFNNIEDTIDKQYTIIGV TGLQDPLKTGIVKAVQQCKEAGVIVRMVTGDNFHTAVAISKQAGILPQNYDHNVDSLAVL EGKTFRKLVEGLVYEKVGNSVIHKVKNLQNFTLITNELRVLARSSPEDKFLLVTGLKQLE NVVAVTGDGPNDASALKKADVGFAMGIQGTVVAKEAAGIILLDDNFASIVTAMKWGRNIF DCIRKFLVFQVTVNVVAVSMAFLGGVFLKESPLTSIQMLWVNLIMDTLASLALATEPPTD ELLTRKPYGRKEHMITPGMWRSIICQAAFQLFVLLIILIKGDSMFGIESSRGHRLDEEYN PIFQEHYTIFFHIFVFLQVFNEINARKLKKTELNVFEGFFNNWLFLSVIIGTIVVQILIV EFGGKAVKVTPLDFGHHLICILIGMCSLGIGYLIKQIPDQYFQSIELFREQIPTEADPNT IEGKLKKPSTFLRKKRQIEGKQLIKTNQEIEMNSGQSNFKLK >CAK88205 pep:novel supercontig:GCA_000165425.1:CT868649:392498:393992:-1 gene:GSPATT00003173001 transcript:CAK88205 MFRLAFSYMHYNTKLIELLEEYVPNCFFAISLNQHNNSFQLEFLNQQAKTELNIEDTKSM IEILRNTYVVNKAQVPIQVDLCQIPVHKSGDISIKKAVVKRQTLEEYSFYKVKQNLSMNQ SDIQIIEQLDGIYFDNQKQINNILNIDVKQMNYGKNYLLFVINKEKPQQMMTKSEEQIKY LNKIILYVANQLSASLSQFSNAILSLNYSTFDNEKLQYLKCQNIWIMNQFQNFYYFVNAC KINNEISSYKIVNLKQFISNLETYFSFMSSYQNKKFITQFNLEDCNIKINSQFLSQIVVN IFEQCLTQADITTTITLNISTEMNLNPLRQENNNLQEKNSIQEFEFIKKVVMDSEESPMK TDNQKLIKFEFTFFTEKYIELQPTQQLILNPQTFEDYQSNNNQEFLLIYPITNFLLKKIG PYNFVQQFQSAYYDNSPTKQALNLFPSMMDLFQGQNLYQNKLSFYVYSDQTLLTQSFIKY VQQKSFLDS >CAK88206 pep:novel supercontig:GCA_000165425.1:CT868649:394102:394785:1 gene:GSPATT00003174001 transcript:CAK88206 MGTNKNANTLNRKLLKRSWTIDIDPLQKPNKQRGLKSKVKLLLFCLCGYIQADCNRYQVH KKVIISYLFVKFFGNHKDSQDKHTRNCQRNNQKNMLAINQIKDKKVKAQKHNDYFYNAKV VKSVFAHLHFIQIFKFLVGESQGPSIKLQFIHKYIYFGKNLTLNAEAFLLIFVLLSEISS EFSYFQILFTLLFLQKRVNFKPIKLLNITEQVHQDNRPYCYYVTLNV >CAK88207 pep:novel supercontig:GCA_000165425.1:CT868649:395074:395991:1 gene:GSPATT00003175001 transcript:CAK88207 MSDILIDDRIRDWVFLPIIIVMFMIQMFRGLLTKYMDNKKTTQKVTSKAQVGEMIDKNIT QQSQRLARLHGLLPDHSFKMKRAHLCDNKSGILTKASEKPAKDPMQSMSMMNPAAMADML KQNLSGIVFMAVQYQWVSYFFSGFVIGKVPFPLTQKFRSMLQRGVDVQNLDVRYISSISI YFVLLFGGLQKIQQLMFGDDNDEFVDDTQMQMQMQMMGMPTMPSFGQQNDPGKLFIAERT RLEGVKHSFELNKSQDKAIKSLKRFLIQ >CAK88208 pep:novel supercontig:GCA_000165425.1:CT868649:396536:397254:1 gene:GSPATT00003176001 transcript:CAK88208 MGYRLSDPKRFGLARTSKQRWHLWRTQMTQLVTHERIHTTWAKARALQFVAHRTFKMGQD ASKNRSNAINRLMGILTTKFAIKKLINEIVPKFKDPKEQVFKVVETKRRRSDFARMGYIE FTKNEIAKYEESQYKQLQETGKIVDISKYNKTWLIEERDFIKDKLDQAQSRFDALQADPN TPSVEIKRADQDVNFFKRKLETVEKDLWIENKNPLDMRRYFRIY >CAK88209 pep:novel supercontig:GCA_000165425.1:CT868649:397261:397867:-1 gene:GSPATT00003177001 transcript:CAK88209 MIEKSKIRQILLDKSLGQILKVDGPVESIQNEEQLVNLQAFHQHFHTTAKREHSATSRYR NRVEKLQDEVWKYIRPRTPSVSINCRQRITIFEEQRDSQLQQTINFALPWEPTKKHIPLD KQTKRKSWHTQAIIHDLNCDQPKPKTICFTNIIISHRRQEGNTNIPTFETQFLQKKYGFC QIG >CAK88210 pep:novel supercontig:GCA_000165425.1:CT868649:397999:399920:-1 gene:GSPATT00003178001 transcript:CAK88210 MQEDLLQPSAFQATLRCKDHKQGLIVKGCVEKNCKRKKILLCQQCLNEDAHMEHNNNVLG IKQFIAEFSQSIYQATQQNKTSLEQFLNNDENLKVYKYIQDNKHHLKKVKDNIDTQKTLV AQDMTFIVEQFQLYLQQVKENVFRKLDGIYSDYESLFEQTRKQFNSKFFAAANYFLSTPM ALTCKLGQQGDNSEEYLRKLKLIDNTSLDSLQDQFTKLLIANESPRIFESDQQRLYSTIQ EPLQNYFLGWCSNQDRMPQPPQLVQQYSNNSQQNYQLNSPQSKPILRENYQLSDSIVISK SGIYCKFSRALDLQKREWPHVKLNRKFTLDFTIGAVIGLSKSTFVMISQNDLKMRVFDTE KKSLLAFDGHTQQVNWIEPLSANTFITGADDGQVYHWIFQGQTAKIQDQYTFSNKPILAG LDLQNNGFAFVDCDGRLGYKNITTKLELFLTIGNSRLQGRSRKYKMALIKPGELFCVYVA GEQGATIIQVNEKEKQLRKVNANTLPCSQTMIPLSINEMLIASTSGVKVCSTNRDDILQG NDVDVVLLEFTLSQIMFALCIPVEIGQCMQYRILKKDNGQYDIQQKDLVVDGLTQLSSKL KPRIQLIKNQDKVIMVTVDQNKINFFDITMK >CAK88211 pep:novel supercontig:GCA_000165425.1:CT868649:400269:400505:1 gene:GSPATT00003179001 transcript:CAK88211 MENFDYIRTITMSIFINLLTIQLKKDFNMNYYMESQLQKMSQLLDQKQSEINSLKAQVDK YSNYESYIMPLIPDNQKN >CAK88212 pep:novel supercontig:GCA_000165425.1:CT868649:400577:401069:1 gene:GSPATT00003180001 transcript:CAK88212 MQRELQFAQVEIKNLQRTRGQNEQQQIASLLKELSEIKQENNQLKVELSKQQQKARTNSI QDNSINEIRRLSPYMRVHNCSFTNPSQNLVKERRVPSLPDVNSNRLSTNKNSLQNLQQNQ SYSKKPPLQQFNNISPIKQILFIRH >CAK88213 pep:novel supercontig:GCA_000165425.1:CT868649:401506:403193:1 gene:GSPATT00003181001 transcript:CAK88213 MRKNKPTQKIIVNRGISQLRNGQDQEETEQLPIIKPKSVVRSSKKNFEIKKVRIKVAISD DKLEINNKQNKLNPLKPDESPPLSDRNQSPQSNAKKAKEDNNRSVLKKSIHKQYEIDDTL EDNLESYVKIYHSIMSLMDAMRKNVSDQVDQINRFLEFIDGVHFCQIEKLLVENTRQFRQ ILILIKMGIGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX IVNFKNMFDIQFIELSIIGRDDITKDTSRKYFGSKKVDSNTQIRQNVELLSSLYKSLTKQ SKDLYQFLSTYLKQIPHLRMLESNLVLQNFISLYFTIQQSYHGLLGIPLICVANKPYLPK EESHEFTLILDMDETLIHFFDQTKSFLIRPYTEQFLQEMSNYFEIAVFTAGLPDYANWIL DQVDKNRHIQYRLYRQHAMQYQNHFVVVLRKLQQWIILLIISQHQPENGIFIKTWYNDQE DKELLELSVFLKKLVENGCEDVREVLKQYKSQQLPKI >CAK88214 pep:novel supercontig:GCA_000165425.1:CT868649:403261:406672:1 gene:GSPATT00003182001 transcript:CAK88214 MASFRPQSSQAISVRSPSRIIPVVSNMGHSYVIPAAQNLPTTFSHRAEKENDDEYKDELE LWKKKYFTLEAQLAKYDIENEIKDELQSRILGSQEDVKKFQIDMSKIQADMDKQRAEMND LVIENQKLLNQQVEVERLKKLVGEREDTISQLSQKCREYQEQLQELEAFQQALQDMHNQN EGKERMIEQWKLKYTENDQKKQKEIDELKQKLAEYNPSETQFLKAQLDREKQEWQNSQKK IQQDLTDALKQLDQWKIKYNNLDMQHQQLSSAQKESVARIDTLNQEQNKLTVQVHNLNDD KQKLQIELEATKGQLKLHQGLIGELDRLKKLLTDKSAELQQLQQQSQQKDIDLNNADLTI QEQNQKIKSLSLLQQENQRLQQELDQQHRQNEQLHQQNAELLKNLDKFKLLEEEKMQLEN KVSMLAAEIERLKVQLKQKNDKILQEQEELKRLQEQLNEIDELQKQNDDLVRQLEQANNT IDELEKKLQAITELEQKLQDANNKIYDLENKVAMLSAESQRLRYLNDQKTEQLKAAEQQL SDLNLLKEQLHQLQSKYDAQQQMNQNYQAELEKLRGQSTQQDTNIAELRRQLDEQKAQNI VHKQANSEQVISDLQQQLSSLQQSYKKVSESNLANEEDPTLDLQNRLTLLKQENQRLNQT IVQKNQEVLNHQQQNQQLQKDLLSSDTLKVQIQQLHESNSVLQEQLQNEKQGRKGLEEKI QQSEQEKYDLQSKCAMLSTHIEGMKYKLEKMENVDELKKIIQDQQAQLAEMDALKLEIES LNDRIAELEKELKLWKQKHEQLDQQYQQLLHVKEQMENKLAMLSSEIERLKVLNQKKQGE IDQQNQELMKMDQELNDLHNQLEDINELKTQLSSLENQLQQQIDDNQNKSAEITQLKQQV AEIEGLLVNQEDLQNQIKKLEKESQSKDEIIEYKIAELEDIKYKYEDKMALLSSEVKRHE FKAKKLEDKSNDLQAQVENLTHDLNEADAKIVELDKDLGQWKFEYSKLNSLQYKIDEYSF LLVVSFAEIEALRSQNFTLNEQLDNHQKTKVALALAQ >CAK88215 pep:novel supercontig:GCA_000165425.1:CT868649:406769:410835:-1 gene:GSPATT00003183001 transcript:CAK88215 MIFWIDSTSIYDCRTYSLIKPQQYDVAIILDYIKQDQQQNRIDLKEKLPKLLRTLALLLV IHNKKDIFRLLQILASKDFKYTKLYNDFMNNPAAQWYIEQMKVEQVQMIMNQYILGEHKF LEGFFQKLTNSYEQFIQKQQNEQGTPEFNQQIEVPQNQDLTSANKMKDKSQQKQQQENQI NQNQGSKSQILDQNYQRKKSAEEINLEKDSQSSTLKQKNEIKSAQDTKSLNQMTNKEPAQ KTTQDLGQQQQLNKERISKSTIEIEDKPQQQQQQQNEQQNNKQKIVQQQQSGTKIQKEQN NQDNQKGPYFSEQQKISLESLCKDDKPDQKMLEMAKILSEKAQKKSAYSPLQSPSKFKPN PKPLEKITEKVEKRVNIEQKIAKKFNQEPEKIFSYIDTLTEKEQQDFDFSEDTELIKLFD LLKEKCEQTYWWSKFQDKCHQAMMDKELEMIMFEKLPILMKRWAIKTGKNVTEMERNPNR RHRTPIQETKNTLLDYSDPEEMTKEIIHIPQTSETQEKKKQSFDNQKIDEKANSESNEFI NNNGINKLSQQNEQVIKYQINNEQEESIKQNQKNQIKTTSELQQFQNQKYAQDNLNKEIN NFTPRFDDDDAFNNQNQSILVEQELLLQNEIQEVTPYQIIDIDDEILCKSFPVDNQKNQQ SKVIKTVANRLNQEIPNFRQHQFEIPNKQYSQQENSFQNNTEKQKNVIFIDSANDEIIQC KKSQLPVPQQQTIQITEDCIEILNQPITKSNIFYPPIQQDFSQNNDDIDRLFQNDNDISS PDSMVSSSSILISEQSSIQFEEVQINKNQYEIKEQINPQKNKDIIKVPEKVQEKQDVREN REEEQKSREKKTSESDIEIKDKSKHKSNKRQSSKDKNENQKAKSKRKDSKNDEKDKSTAK SSSQNKEKVKEKEKNKESKIRKSSEKKNNQDILKMMQAEKDAKEKQKEELKKKEKDKLHQ ENIKKAEQRLEKLRTEEIEFQKEQNFLQQQSKLNEIEPGEIKQYQQRNQQQPFQQPQQLP QQQQNCKNFIQIHDQNQGQKSQERQIFDPQNVNTNQKYYQYNQQMIQKAQESQQNANRPQ QLNQSQEKSVPYIPDQKGQQNNWEYQKSQQANQQNLNQYDRQQKNQINPTEQVPIQQNQY QRPNSYAFPLEQYGIQEFLTKKDQAIQKSAQKSIVNPVQYDLAQAQPPIANQGNYQMQPQ QYPQNYQQAQFQTQQQQQPFQQQQFYNQQKPRDKKFRNNQPHQQDFYRQKQQYPNQQEPH VQKQRKYNPNNEYHENNQSFNYIPGQQPNMNIQPYPYHNQANDHQYANQSPFPFPNGQNY SSNQPSKQQMFEFFCQMFYDKFQQPE >CAK88216 pep:novel supercontig:GCA_000165425.1:CT868649:412041:412863:-1 gene:GSPATT00003184001 transcript:CAK88216 MNYNNTEHLNQLLTLQIQDTSEIFYINTVYTPQQLGELFCQQFSLDLANAKLITLKLLKI IESASHLPQYQYLSEYYERHCDRKCQSNIQFKQSYKLVEFFFDKPQLFDRNELFQRKTQQ NIENIRQQQDQALSIHCTFNPQLNYSNNSQDFNRYQQLYQKGLLKQLERNKSKHIHVDKL DQLDDNCTFQPAINQNYKYQKIKNENLPSQLNLMIKTHLLKQNLYYYQLFQLLDSNNDGL ISKDEIDISQLNQQEIKQPSYAIINEN >CAK88217 pep:novel supercontig:GCA_000165425.1:CT868649:412910:414458:1 gene:GSPATT00003185001 transcript:CAK88217 MGNKVYIIKSADNSIFNQNQPLEDQFDSLGGQSFPHIDRVELYKLKRENTKYATIFEKRH SNTATDDEGPLLELHEQLMLLKHSHLVKYYGLCIDPNLTTNLQMSRWFYEAVYKTLKQVC GHHFSNKSYIPERQLWKSLHEILLALHFLDARQKWHTHIQPDSVYLDKNDSIKLIPKGVL RLMSGYQVVLTGKGQALLSPQQMEGLKQKLEIPIHDIQKSDVFSLGMTFLELMTLKDSFE CYNYDLHNPYIKEQIFQERQLEVQHIGYSTDLVRIVLTMLQYEEIDRPTFLELLNSHEVT QNLEHSRNNHLQPTTHTPKQELKIFQNRFPSNSSNLELVQPSIPITNNQQQIQSSPIRIQ SQVVPPQYLPINNPKQQEADRLRQEQILREQQIQQHLQLQLRQQQQLLQQQQQQQQQQQL LIEQQSQQILLYQQQLNNLKNLAPQPKLKEQQAPQLQDYMIQPLPTPPIILDPNARNSTV DEFQESQELKNRINSALALSRQAINKYK >CAK88218 pep:novel supercontig:GCA_000165425.1:CT868649:414682:416307:1 gene:GSPATT00003186001 transcript:CAK88218 MDYKEYKQSLRYNSKNLKKIEIINIDNPNEKMIRSLTKYPLSSLEELKLETVDVADTQFK IFKEKGGLSKCFQNLIQVKLNCPSMTTLSIDYLFQGIQNIQNLDLSYTKIDNQGIYNLCQ LKWPFLNTFALQWCNNIDNLDEIGENAENFPSLRNIDARFTQTENGAYNSIFNSKISVTL NHLRLYGTFVDLDTTQWKSFYLLNLFMNTMKDYKFQEFRIQNDPVCVQSSSDYKQMKINT LITTESQKMYLSISWKITPIQGLLQFLDTAENVERKFANYGKLFEFLQKQWQLRKSLQTL SICFDSILCDTNNFDIVLKTIVDFKELKKLKFYVRNQSLLNLSSYLFYFNQIGELQQLMY LQVNLQNNEILINESFLNRIIRSIQQLSYLNLFYLNIRFNEIQNIKDLHNFNSQIINSIK NPYLNHIYLMETKEENLNYRFKQALSLFHSNASKFININEFQNIILQQDPQNFKEKIFKQ QTELFYKKKMKLIRSCVLSNCHQLNYGNRFRQQVIYQILDMIINS >CAK88219 pep:novel supercontig:GCA_000165425.1:CT868649:416610:417482:-1 gene:GSPATT00003187001 transcript:CAK88219 MNQCRIKHHDCQKVKYICLDKKCNHEQKIGTTCMLKVGCADCFLEFHVPNDLVSHQRKLI SEFEAELKGKIENLSTISIQSINNNSEQVDKQFDTCMNNLIQKLTDYKDQIKEEIRNEKL DFVTYVNEFNQKVEQKIDLQNTQISQLSTNEINKSISFYQNSNNIVLDFRKDLSDLELHK KKLNLKKQKAVQKLRNTFEQIMKELDDHSNGCNSNNNNNSNNNNNNNNNNNSNNNNNNNK KNSNNNNHNNVSCTPEKQIYPSQLSNTTQSTPTKNVVDVARSKKQRKGKN >CAK88220 pep:novel supercontig:GCA_000165425.1:CT868649:417519:420874:-1 gene:GSPATT00003188001 transcript:CAK88220 MQQQRSNQGQQIFQNDVMNQNINPNDFMMQNMMKMFQQQMMQMMQSQTSGFDLEKFYQNK VCDMNQFYNQMKPEESQLQQSSQFINTYNPNKYQEVSTNFKEETNVNTNRQLHFDDIQIT QNYRNEFHDYNLPENDYFNQYKNKQDQKQRQQRQQEQGEQKSTKQQLSNFDKSDYDDNYI KSQKQQQKKASAFDDMPIQQSNHDQQQIKSNLKKNHLFQQDECDFRQQSNPFDEMPIKPM KNNNNFDDMTFQNKKQDDYTKNQQDEMQYYQKQQKQFEDQSNKKTNYSNPFDEIPIQTQK QNQNTFDDIPRKPSKKGQDEQVIKNKKNAFDEVPIKSTKNQTFDDIPIKTSKGGFDEVPM KTNKNTFDEIPIKKNKNSAFDEVPIKTNKNLAFDEVPIKTNKNQAFDEISVKTNKNTFDD IPIKSNKNAFDDIPLKSNKNQNFDEMPPKNNFKKPLEEVNFDEQGRVKKKPFLKKGTRQF LSNAQQRSDIAKKEHAEILKENNASGTLPIQNQINQIKPQKIQQQQQKVEFKQEQKEPQQ RPKKQEVPKEQVKQQQPKQQQQQQQQQQQQQIQQKSKQKEEFDNHQDSYQEYQFDDNEDW NDEPPKQSKIANSYFGLKKEKDAREKKQEKPQPQENEEEIVRKYVQDKIDNLNAEIAKFK SENEKVKKAKVKCDDQLKQLQREREEWEKQKEIEKLELEEWKEEEKKKMLREKRIQDRQQ KTIQNLPNRKEREEIESLKQQVQKLTEEQKQKDQKNKLSYDRLKKQNEELSQRNQELQAE VKALELRLLEQKRPNSQATIQQSKSQQIQLKSNASGLSQKKEVPLQNNRGQSPTSFGEDL SIKNKQSIKEYNKQNSYRDDDYDNENEEEYQNEDNSDDDQADNDEEQDQQRRKIQQQNSK KYDLIEMQNEGIPFTIKNINSLISEQEFNYDQNRYYQNYKKNKDVPSKIISQNVGPDGKI SRQYSNGKKEVVFHNGVKREVFPDGYVIVHFTNKDLKQTLPNGTVIYYFADASTTQITIS NGPNIYRFSNQQIEIHLNDSTKEIRFGDGTEKYINASGEEQTFFSDGIIQKINSQKVKQI EYPNGNVDIIYPDGQTQRQFRN >CAK88221 pep:novel supercontig:GCA_000165425.1:CT868649:420876:422416:-1 gene:GSPATT00003189001 transcript:CAK88221 MHGQSKSKTETLSEEEIKQRAEKGQQILDSLHYFFKVRKNQVNQPEDQLAFSELMAKLCP EIATIYNYRREVLQTKFDHLGGLLKESKSIDAYKQLLKLIQSEFMLIAILLKQHPKSYTL WTHRQWMVLRSQEIDSLINSINQDDQFKLIEAIRQEYELCSKMLDRDERNFHVWNYRNWL SSISAFGKEDEFTKKKIEQNFSNFSAYHFRSKYFMKNYNQSENILERIKTEQILGLLPLP FNRLKEETELIQQAIYIQPKEHGVYLYHRWLAGVVQPYGVTKVEKVSNNSITLSFNRAVT NVENTFEIFNKENALKIINVRVEGSNAIISFEEQQVDNLKIKIHNQIYENGSLETTMSED ESSKFLIPSEIDITINNEGFTFTNTTQKEFQDATQEIYKYLDENIDFIKQVIEEEKENRF PYIQLLYLLQFKLRTQRLIDESKTSEIIKEALQHCQQLKKIQNDHQAQFLYDFWSQF >CAK88222 pep:novel supercontig:GCA_000165425.1:CT868649:422465:423379:-1 gene:GSPATT00003190001 transcript:CAK88222 MSRPESQLPPNLYYNQQESVKYTNCNRIINIQTQLSERAIQLLDLNPDESSLVLDIGCGS GISGFYLTQEGVNWVGLDISESMLNVAQQEKTEGELLLCDIGQGFKFRPGVFDAAISISV IQWLCVSFKKSENPYRRCTVFFESLRNCLKNNARAVFQFYPENNEQINMITSAALRAGFS GDIVVDYPNSAKAKKLYLVVQLGGQSSKKTMDVIQGLVEESDEEKVKVIGRHQKKIRKQK KQKKVNEKSKLWIVKRKEKQNRLGRKVKKTTKYTGRKRNNLGLFK >CAK88223 pep:novel supercontig:GCA_000165425.1:CT868649:423415:424942:-1 gene:GSPATT00003191001 transcript:CAK88223 MGSCQCKQQSKEIECDEHRQPYKDIYEGTQGCLSDYELIQPPLGEGAFGVVWKAKHKASG QFRAIKQINSKHSEEYQNIINEVNILKSLDHPNIIKIYDFFETNQKLYIITELCTGGELY DKLMEIHNFSEIDAAKIMKQILQALAYCHNQGIVHRDIKPENLLYESEKKDSQLKVIDFG TSKRTTGKNLREIIGTAFYMAPEMFSEQYNEKCDIWSAGVVLYILLSGRPPFDGETDDDI FEAIKKGTYSLSSSQWLSVSPEAKQLIRKMLEYQESKRYSAQECLNDPWILQYTKDEVKA PVLESVLTNMKTFRTTQRIQEAAYMYIIKQFTTKEEKEELLKTFQSLDKNSDGVLTKKEL IEGYIKIMPESEAVLQVEQIMQAVDKNQSGIIDYSEFVMATINRKTALTQERLEQAFKVI DKDNSGTITIEELKQMFQSGNKLPQETWETLMSEVDKNGDGLLSLKEFKDMMLRLI >CAK88224 pep:novel supercontig:GCA_000165425.1:CT868649:425031:426362:1 gene:GSPATT00003192001 transcript:CAK88224 MQEVIKNYKIIKKIGQGATGIVYVVVDENNNYYALKLQSNISNSEKAMNNQIKEIKFQNV INTYEQFEYKKDFFNYFCVVMDFCNTGDLYQYLNQNHFKLTFEQKKFMLFQIAFGIWEIH QLNIIHRDIKPSNILVQIFNNDQFLFKICDLGLSKIQENLNTINIGTPYYMAPELIDPNQ QKAEYDKSVDVWAFGALIFDFYSNQQLFFGLKISQIFDQIKSGLFLQQKLMVYVKDPLLL DIAKSCLSYFPKARPSIEQILIQLNEPWFQQKKKQFMEQNQKIFSPNQDKILAQIQDKND EKQPQNQQYQTENSVQCQKKIEDQPKQIIQEQQKYDQNQNKISDQSQQIIQNRPSQLEQN QASQIKSNSYIRNKVRLRCLLFDQNLIEQLCTMIEKGCQQDQVVQLMDEVIIKQLQFKMN QIRKINQ >CAK88225 pep:novel supercontig:GCA_000165425.1:CT868649:426515:428707:-1 gene:GSPATT00003193001 transcript:CAK88225 MKLGTCTEWLFFHIWKKNPKTGHSCDGIFVADSVIYRWAQPYFRNYYLKFQGYFTVQDGQ VIRKTKERVFIDQVESSFKQDNTAAQLITSQVQQQYSMQQMQNKQQEIITFEYLDYESFK IFLHQREKDLNLVLQKFIYPKNDQNSMIRVTWSPQFCLVMRKTNINKMNDMKKTQVERVA TFDGPEYLIQADSINSPLLSADLEQLCVNIVKHILEVSGGNIQIIRMVLYFKVDYENRIW LLFCTNIKVKDKFNDIVQQPRVLSPIFRVLRKDQEPVSFAKDQAQTVIKINNQGEMQSLL YQFKNICSNCDRFSHQLYQLKLQFIIESFKQNLVKKDFTRLPEELEKIKQKQDLQIPKVT YNRNIKMRQKQNLNEEFEIQNEYQNNNQPADILIEKKKICQNKLTEDELYTQIKELVQLL NDEQEDQKFNFNHIPPLILKVWGKINEEKYQQLQHNQSWKDLTTQVCLDCFLQYTQCCEQ TLFERKAEIMSQKLRKIVNAKEENEKEKLLLSTKNLNVNRTTFNQLPNLPSISELPLEKQ KRLSRKSQNITNQEIGTKQFEQTPQKLSQPSDSTTKKLQQTHQSKQSDQSNQQLPQNVIP STTLSNKYFQLQRQSSSQINQMKIKIPSTQRIYLNKQGLNMSTNKSMQSKSSRSTLDQSK VSFDFMINTVTQLKRKLEELEQDERQLEQEKL >CAK88226 pep:novel supercontig:GCA_000165425.1:CT868649:428738:429778:1 gene:GSPATT00003194001 transcript:CAK88226 MIQNVTINNKQYNLLFELGKGAAGKVYKAQYQNQFYAIKKQEYFGEGELEFYGQLVQQNF KKCKNLIQIYDFQQIGRFSYVVMELGDFSLYDALEQNKIDQKNIRYIIKQIASGIKELHE MGLAHRDLKPENILIQTIFSEQQSQQVYKICDFGVVKNTQKLVTKQVGTPYYLAPEVISN NKNSYSKECDIWSFGVLIYEILTKKLMFQGKTINDITNAILNITDNGIEMLINQLYIEPD YRELLKNMLKVDAQKRYNIDQVLESLKPKSRSTSRQRQNHFVQQFPPPNSFSIRGNPETR NIQTSFSPLQNIQNQNTTSQIPPIQNPQQKQFNQKQNVQQLKQLNF >CAK88227 pep:novel supercontig:GCA_000165425.1:CT868649:429828:432922:-1 gene:GSPATT00003195001 transcript:CAK88227 MSNQSPEPEKQSDELSRLKLSLMMKDNEIRALKLKVNPSDEIERLQQEAEKMKETYESEI NLLKEENYEYSELLKETQDQAEYLKSVVICKDKEIAELKSQNANDEIVKSRSRNGELQLA LAENANLKNELQILQTKLDDAYLSKQVMDEQLQSLGQMLEIEQRNTLNQANQISTLQKEA QYLRNSVNDRERFIVGKEKIIKLKDGEINRQQEIINDTLAKLAEMQHDNQVIVTQNDQYY KLLQEKQAEIDSLKDQLQFFAEDLQRVQNYEGQFNDAQAKIKQLAQYIQELEKQLQDQMN QYEKQIKELVNNAKATEDEKDQTIDQLEKDISNKANQLETQNKQISQLQKELKDADNKKD REVKDVQRKLDAELKKTATLEKNNKTLKDKNDEQAKQINDANQELDQLDEKIAELEQKVK DQQNQIKDLEKEIKDLNKEKQSLIQDNNNLHQKYNQAEEKALQQQKDLAKAQKELGDKHN DAEQLNKDLDEYEQENKELQKEINQLNDSINQLNKEINQKQKQIDQQAKDIQELQENLEK QKQDNQNNNDLDEQLNESKKQNQKLQDQINNTEQKQNKTQDQLKNQLQDAQNEIKQLKDQ IKEQEKEKKNLQNEVNNLNKECDDLDAKLQQKIKEQQENSEIKRLNDELNKAQQQLKQKE DQLTKAQNELNKLKEQKQKEQKDQKDKDQQRKDLEKQVKDLDAECDQLDQQRQAAVNEAE KLKQELQNLNDLKKQLKDTQSKLAQAEKQIAQLDPEAVKNKIQKAEQDAKNAIQAQSQAK KDLDKANSQLKQKEKENKDLDDECNSLDAQVQKLKEQAKQQEDDIKEKQKQIDQLQKENQ QLKKDDIKGEIDKLRKFIQEQKPILDNLEKESTQSDKRRSDLEKQIAKNQEDLNKLKKKK GGNENDDEQIQGLVQKLDELDNQLQQEVDKYIESVSNIEKVLTDITEKLLKLKLQINEVQ EEDGQQFNDVFQLVKNLCNDYKQGLATHNQAMEDLLKQINKQF >CAK88228 pep:novel supercontig:GCA_000165425.1:CT868649:432948:434505:1 gene:GSPATT00003196001 transcript:CAK88228 MTESQCQICIQFEAEDICILSEMLSQITSPISDHKIPIQMFPYIFKSLKFRKCLAQIFDT KYLEICEKCSHNRLQQVFLPLFSSSVTEYNEAQITLQIEEGLDKLYESIQEKQQKLKQSK EQFDKLASQLFNCEDDVEESNSLGEESSSNEEIIKEKNDDDEQQPIMQFNKNSNKNKFQK RSIDILKKWFLDHLDNPYPDNTEKQRLSKITGMHVRQIQNWFTNSRKRYLEPLKKKFEHG LMKESDSQEEDSSKEQKKALKLTQQQPPQQQQIIQPQQLNIPPQQIQQQQQFQQQQQLQQ QQLLQQQFQMQIPLQKKVKMEEGCQNKISDASYIKQEAKTGSFPTDLPQFQQPNQFQQFQ QMQQLAQLQQLQWLNPLNQLNPLQFQLPTQFLSQYYNFQDQSKAPPPINGLSQIHQVPIF PLLPQLQQAGFQSFLQQGPGQQMQQQVQIPNIQSQIPNLQALQAGFQQFSGQHQNSQNGV IYPMYQQQMQNEQKK >CAK88229 pep:novel supercontig:GCA_000165425.1:CT868649:434999:436001:-1 gene:GSPATT00003197001 transcript:CAK88229 MRKKIEKTKKKPWTDYEDTQVMQLVEQYGPHKWTFIASKLPGRIGKQCRERWHNHLNPLI KKNPWDSSEEWLLFLYHKAISNKWAEIAKHLEGRTDNAIKNHWNSGMKKRIPEFTDKLLG IKQQFLQKGLPSYFDQFEVEFERKALEIIFLNKTYVSLLTDSEDEDEEPKKQPIQQSRES TAIRIQQYVDNNLIFSQNDMRNRTIIKHPRRNRMHKKKKIIIQIKRNFLQWTHTPQKYEN DDYYYTPAAFNKQRRMSSSLKYSQLQSYVNESIQQQFNESYIEREELKQNLFL >CAK88230 pep:novel supercontig:GCA_000165425.1:CT868649:436515:437758:1 gene:GSPATT00003198001 transcript:CAK88230 MATIFINTKSYIVKDVIGKGSFGTVYKAQDQSNKKLVAIKMQQYISENELYLIRKLIGKE FKNLVNIFDFQIHQNQISIVMELGLNSLQNKIQQSKVSPKEARYVMKQIANGIHELHSLG IAHRDLKPENILIFTLEDQDKTQEVYKICDFGTTKDIQKMQTPCVGTPYYLAPEQLAQDS HFNQQVTYNQSVDIWAFGALMYELFTNSPLFNGKTIPEIHHQIKTLHIEQEIRKLNNLED KYKELLIKMLQRDPNKRITIENIKKELTEITRQNQQQTVIQRSNMPVILINQSAFQRESI RYPNGFRNQPSINQSQSRFPNSKQIEFQKITQPGILQNPFQQNNRQINLNQSIQQQTLIK NDDYVRGRDQQRSIRQMYFSPQLK >CAK88231 pep:novel supercontig:GCA_000165425.1:CT868649:438054:438445:1 gene:GSPATT00003199001 transcript:CAK88231 MNFMNTHSQFNIQRKTVPRPTISRQFTREKSQTNFNPITPSTKFRITNNIQQINRDKIQK ACGNYFNQNDDTTGSTKMSKGLSQQLLKKHEIEFKQSWFEQEDREEEIQFALLYQTLEKI L >CAK88232 pep:novel supercontig:GCA_000165425.1:CT868649:438617:440030:-1 gene:GSPATT00003200001 transcript:CAK88232 MQDAQKLQKLSQLPKNFSDWQVGDDYEIIKQIGSGSYGQVVEAMQKSTNKKVAIKRLTAI FDDEIDCKRILREICILRELKHQNLIQIIEILEPQDPKSFDTIYVVMEYAQSDLKKLFKS PIHLQFLHIQTIVYNICVGLKYLHSAKVLHRDLKPANVLLNEDCTVKICDFGLARSVQGI DATDQALEEELARQQEEPKKKDEKKGPRMLQKQNKLNAKAVKRELTGHVVTRWYRAPEVI LLEKDYTAAIDVWSVGCIFAELLNMMKENAPTFLDRAPLFPGTSCFPLSPERSAIAKKGG FPYSNTDQLTVIFSVLGTPGEKDMDFVTDKKAIEYLKSFPKKPKVAFVEIFPGAPPEALD FLDKCLQFSPKIRITLDQAIEHPMLQKVRDKKKETAAPGPIYLDFEQEGDLQIPRLRELF LREISKYKR >CAK88233 pep:novel supercontig:GCA_000165425.1:CT868649:440269:442617:1 gene:GSPATT00003201001 transcript:CAK88233 MLSDDQILQIVLTIDSMILHLQFYFTDEIKYLIMGLSSVGFHLILFIAEQLWSNSSIKIT LNIFKLAWILLISQLLYQNWMVHIGIQIVCIFKLNQTKTKLLIPIAFTIHLVSITSANLD YLPTGIIRNCLSYFLLLFLFKKQSYNLNQAQDIIKQITSNIYVILDNNLNPVYDEERFAQ IQKEQVIISQDQDVDNIITPRESLKIGICPQFIDNKNTYEFKHVLSQLKTNNKEWSMQKF ETFTDSVIIVKKVYLGNDHVYKYCNWINQKKQLFYLVVKKQKKNKLNQNQIDIADERTKK MMKTLSKVSHDMRTPLNAIINMQLCLREQIDTYLFNRYLKPSLNSCRLLLNLVNDILDSA QLQNKKIRLVFRKFNLKRLIEKTISIFDIQKEKKELKIILNYCTNQQINQFVNSDKNRIR QVIMNLLSNAIKYSEAKKIIAINCDYQKINSTFIIQVTDSGLGIKPENLQSLFQEFSRVE DLANRDVNPNGIGLGLLICNELSKLLSSNNEGISVQSEYGNGSTFSFSIFNQKPSDEDLS ESSEMAVQEIQKLPESHFLNSQSLRQTSQDFAVAVRPASCENCQGIQLQSNQDIKKYNLR VNSSNLLSSNRLLPFNTDNEKSYQRKSTTQSNLIIEQINSWSDPSQKQPPILIVDDNEFN IIVLQYILEQLFLTCDSAISGEIAIKKCHQRIKDFNQYKIIFLDIEMPVMDGMETANRLL DIDKSLKIVACTGNRQTPEQLEVYKRVGMFGAIEKPVTKANLRDLLCKILESRNDAQFSH YF >CAK88234 pep:novel supercontig:GCA_000165425.1:CT868649:443094:446625:1 gene:GSPATT00003202001 transcript:CAK88234 MNSQAKSQYQINKNMFGSQIQHKFPNYEDKNLFSQNFFRKNADLPENQNFQQFFYLNNLL QTKKKQMNRKEYQNELNSTQNKCISIQERIIDYIIEYQQQENSRKKYTYIKRKTDEPRMF TTQLTKEQTSQSVSTDCSYDNEVYEKLIEKNFVDYLKFGFENFHQGEFSQILLQNNLISP DRIIIYLNKAINEFNYQQDCKRKENLKVTYVGITSQFNFEPINQEKDFQSLQSFSLMIQN QYSQSGFQTGINDQIKQNQYYAKLNVSNKITNQIHLLFKYFLKTKIRQFKPKIIVLFIEI HDSIDFETYFFQKLITQLEKISQNSLIIIPILAQDTPYNYEKYLKYTVLITNSCLEYSYK RKNKAYYECEDMSPQLYREYSYYFAQNKKEQQFFANQFSALQVRKNKYQSCDIEMEFQQK NEVLDYKISSQQEQEIKSKNYEFEFNVIGILNLINQIVLTKVYDEKKRPIKISEYEHFIS YNSNEHYFIICIVSDSKLFYKKCYYNLKSEKQIEEQIDLNEYQFFIKHNFKSSYLLVNSQ FYQFNVLISTESSSRHKMSKNSYTGFQYYVEVYKYDLEVQAKPLKYCNKEISKQNIFSLI NFSITQLNQNKFILLGGSYQSTCQENNFDLRTCSLTIEIDEKNYFNLKVTENAGKLKCYE NSIVVNINNYSLLFFEAQTQYLATPIQSQIQRLSIFGDSSILILQNCQILNQQNFEFYQK KKKLFKSNQLNRKIIQQSNDKLVFIVIVQELVQDDLQIQNQEDSINLKMLSKQALLQVHK FLFIYDLIRTTIDIEVETNQFIIQQVEQPNKLRESCLYVNWLEDRNNQYIFNYNSVQNLY LLYFHEKPSPKTRKFIFEDPKEKDDYLDFDEVILNDFYNEQLWIVRKIIHQDHISLNLYE SDWINLQDVKDSLEASVTKVPITLIYTIASIKEDFCLIGLEVKWQYEGEKKCPYLVVCTS TTIYEFSIQMIRKIKWDNILRYKPNSWEKEPLLFSQSPIKKTTPVQFNMNMQIPSIVASL ENGELLVFYSYCNVKKEKENKYQLEIQYLILRNLNQSDNDSMELEDKTKEFQFKTIKYNS KKEVSIAKINTVMIDKNRFEFSVIVQETDQNLYKVFSGDASNKNEHITTMKECKILNNAA PQRNQCILVGPNKESVYRLQ >CAK88235 pep:novel supercontig:GCA_000165425.1:CT868649:447653:449554:-1 gene:GSPATT00003203001 transcript:CAK88235 MYQNTLQSSIKRFDKLTTSETKYSRQSQDSYYLKNKQQTQLAIPSFRTLITSRCKTQYTI DLQQDKSPTTTQRRILKNKDEVAEKLIPRSNEILEIYDEFEKNYNNVKMLNQFAYDLNYQ DPQIFSTINKQESENINKVTNYQNNYLTQCDLNYEMKLEIHRFRFQYYRIRIKDQESPIQ ITFLSQNAQINSLRSYFSLKIEFPTKFNADYQVNSKSIRIFTNNNTQFFTEDYLFMTLYS QNDFLCTVVVTFGFERKLKVNKPQKTQSLFEDFVPRTRKQKSQPKIYYQPEARLQNLLNP ETLQQIKSDRQIRQQRVLNNGKNITEEKLLEKKSKLFVKEQIIKYREIEKITKQKVSYRN AIQKAWLKCIFTTIFLHNLQYFLLCQKKQIRLEARGKLLVWQLQTKSLISVKDFGDTPTE RTIFKSSLSLNAICMALKKPIKQKANKVISSYLQSIILAISVLNKYQRWTAKIISIQRNF RNMKSKKRNFKEKLWRLIKEEFDDIIYEFAQLKGITSYYDYEERSHIHIDTVLMFSLLDE YAQRKKEIWQKYIHDTFVVNNPKNRLISLAINFKKPSLFQLPNEFEIRDIIEKYASIKRL I >CAK88236 pep:novel supercontig:GCA_000165425.1:CT868649:449577:449948:-1 gene:GSPATT00003204001 transcript:CAK88236 MGNYCITEMTIEEDNEAFAKSSVQASNLNKIFSSKYKMEADEDCLSELMNQIEPGFSAQF EIRKKRNMSGTHFESATQYKDVHQKQLKPSLKQISHHNSLQREHSNKTVRWDSQCHSSDS LKQ >CAK88237 pep:novel supercontig:GCA_000165425.1:CT868649:450109:457283:-1 gene:GSPATT00003205001 transcript:CAK88237 MIRNLRSQRGKKEQSLKKDQIILCKEETEYKMYKLLEDWIVNVEKVKVTELVKFEGIKRN KQQYKCQGHKTFDCQNKWILIEGVSIINSDETIYVIDLEIYSQAIRSLISEEKAVIERNQ KYYDDNDDDNEDQLPQKKSKKNIKKNEDFNASQVEDDDESNDSYSSAEEDPVPKKQRISR KKEEKDQKKGKQKSKKFREIYKNLKKVCAQFEGTEDHFIDRQSIKANNKELIRAAQTGNK KLMEDIFATKWKISSVQDSWGPELDITPDQILLKRQDKELLSYYLQNRKKVIQGHNQPCT LKEINTGYNNKYAYGVRLRKVALGRGNREGNNAFVYDLGDERMDYYYQKILEIETDPQLY ELYFLYCENRSYESELIENVSKAVRCGNWRSAKFLINYSVVNNQDGYGFNYLHHDALGDN FSTYKLEQIKKISITKKTSNDFLITPIHCACINPSEQFLKYFMEQTMEFNCQDEINRKPV HYAASSQTPNCLEYLLANGVDGREGDKFQMTPVMIAAKYGRAHNIKLLLNTNLKGKNKEG NSAIHLASQNGHTECVKILIENGVLINIAGKNRMTPLHFACAYNHIELVEYLLDEGARIN SKDKFGRTPLIMAARNGNLAILSKLIYYGADVTISDSSKNNAIHHAAAYGFIECIETLIE VNVDQNQFNSWKLTPLNVALAKNHFGIVKSLLKQESTDVNCIDDDGLTLIGSMVKQFNST QEQYEFIQFLITEKKADINIQDKFGKTCIQHALLCQQSQKQVQLVKLLLENGADIHIKDK DGRTCLLHILGTQQSQIQTELVKLFLQKGANINSQEKENGQSGFSLCLKQKNYSLIQELL NITEVDVNLVNNDDKTFLHIMIENQFYYDPQAIQIFEIILNKIQSSLINSYDQDGFVPLI RLVHNFVQSISSRIQFDFDQKVEKRKKEIWDQIIKEYESKEEEFKSMMENNPSATPYNTL QNQIQQRIKTARQVYHVSRYQFEKISAQVGITQEEVEEFNRQKVDDGYRHQNNLFEIMKL LISKGADTAVRVIKQKWCRDKEKETSPLQNSNVFHIAFQFFPSVSFVKELQNYFPKDLIY EHDLNGQPVHYFFQNYKLNQVILERHRKETSEIFFDYLISLGLDFTEKNSVGNTPTLMIA QNYNEQYVPLLEKLVSLGGKINDVNNSNMVPLHKFISQSDTKSVKSLVQKFKLDPNYQDK NGRTALHYATNFSNSNANASFQMEQILIKSGAKCNIRDIYNRTPLFYAFTKFDNPRGTNE IDPFESVSSLLAYDECEVNALDKYGRSPLHYAALRGSAISGRYMIKMGAKIDEPDNFNNT PLAYAFFAHSNFSTMLIDNKANVNKPISIISDTDFKAGKYQIYQEQVRNDREVDRLMKIE DQEINTKLLKLKQEERNKQLEKIQQEMQQTNNKDDQISEESDNNEQTNNKVREEQPIIFR RRRKDKIIQRKKIIEQLVQEKIKADLDIPQHLHNQLETGQYSYFSRAIKFGWQGVAYLLI SDGYEFVKAIQDAINANQFQLVLTLLMKVKNIKDVQRLDEKGQNLFHLFAKYSSNVNTDL KQEIIEEFEAKQISPYLQDNQLKTPFHYAAENQDLYLINYFLIKRKCDPNIMDSTQNNPF TILLQKSYSQNFSYFIDNGLKIDVQFQVLAISKFMKPFMFLVNYSKIINVDKLETYIKYG VDINEQNEEGETIVTMAIQNNNMNLLKFILDQPTFKKESHAIDQNGKSPIHHVVLPLEFG SYENLNMLKLLTPIFDYNTQDNKGLTPLDYALIFDAQVMKDELLSLNAHHTRSLRQQRMP TSIISTALWPDQALDFEEDAHQYQGLMNMQLEPEIDNKQIVDKSASITKGDLIVYEDPEY GLFQCLMSKVDIVNNNFHKNVFYKMQILLDKNRNNYILFTKWGQIGEEGQFQMTPFENLE VTIKEYCKIFSAKTGGNNWKLIKRGEQEFEKKPGKYQLMQFSNNVSYKTFLKPFDLSDKS PYPKCQLHQSIQSVIEQFAQVKLYQQELQNYQFDTSFVPLEKLNKNVILKAKEYLLELKD IVKELAEYMQLADKDLKKLQSFYSEINEKSARYYELIPQTQMRQNVLPLLESDNKINQQL QLVEVLLNVELSVKILLGANFKADSLHPLTYCFNALNIKMLTLTKEDLEYKMIKTYMGKT QTVKISNIFAIERKGEAKKFEQFNNGPRMLLWHGSKISNFISLMALGLKIAPAWAVNTGA LYGKGIYFADQFSKSYNYTTDSTIRQGYNNQRSQQYQNLHKYRYLLLCEVSCMNQVDLYN YHQQDISTYFKPEITLRVVGSQGPDSMSQIKLPNGCVVPIGNLVSQPFPDHLKEKLGHQN GFKTAQTEYIIYDESRVRIRYLVQIEQ >CAK88238 pep:novel supercontig:GCA_000165425.1:CT868649:457863:459945:-1 gene:GSPATT00003206001 transcript:CAK88238 MKMERSLYLNGKPLINPPLTIEEQLNERLDRTGYKIDDLNRLNEKPVLQIVLIQFMDQID LDGLTSGYLNNNPVFQMLKQEIMNWNEKVTLHRAEQIKLSDPLVSDNLYRQLKNSLLEVV STRNKIQQQAFLNKVSNWFFSQLPKSQTASLKQSLLEKKDSTLHFIPNTYSENFYASGIE DYTLKFRSVHPEFDPPEDRVKTYQRKNLLSDTSTRPGTTPGVLGISQFTRPQTSQTTYKM IDQIEKLQRPKTNQLHTIPKQQQSWMYDPNFPVFQTNDDQQQQSTRRQIQREESPLKADK PTDRDKLERMKINPQTIRRIKQKSSILTRSLTNKKGIAPTNKTYKTDIETQNAYSIGQQG RLGEFQGTFHNYDPTQIEDKMVAARLNQYYTEQRIKEVKEQREDIEMVQSMKNWATNKSR VDEMVLQSEYLTAMGSQYSNVGIKISDLYESKKMDLAEEKAYANYLKKSSLPKARMVQSA VPMAKVVQNTVIPTQVEEEAEDFQSKQADLEKQINSKRLRLLKMSRGSCLPGGLMNNPNE ETIQSNRVMSSSCFKSAQPRRQFSSILKTHSIKQEMDSQIGEIKQLKNRLASANKFVPIN ILQKSIMIPQGHANPYKVPLPKPGILLANVPVDSKQRKGKKKKTQK >CAK88239 pep:novel supercontig:GCA_000165425.1:CT868649:459968:460859:-1 gene:GSPATT00003207001 transcript:CAK88239 MNNHYEPYVRNKRDLKVPYISCQDYTNLEQAAKLIPALKQINQSDRHLADAANAHTYILR SNNDDDIHKSIKYGIWTSSKENNEKLNAKYLEAQQEGIPVYLFFSVVRSGQFVGVAKLTS GYKEESFQYWWEIKKWKGHFNVQWLYVKDVPNKHFEHLRNSDNVEVTRSRDGVCLSWETG KEMMKIFERVFRQKKHFE >CAK88240 pep:novel supercontig:GCA_000165425.1:CT868649:461293:462316:-1 gene:GSPATT00003208001 transcript:CAK88240 MEKFRAYADPHTGINLFVPAFVNQKLSPLILLIHIILGSLLVLIRIPLLVILISLLTILN TLKIGTLNSLIGKLMLLTCGFYNVENQIPIQDKGGQLILANHSSPIDWIYFLAQSSPNFA TFVECGEEIRYQILSLNQVIKNLFSITIAQTGTGSQLNEVILQNKPTLLFFEGCQTNQLG VLSPPKQMISELQNLGLPINIYILTYPDRSIFTPINTTRNGLWHFILLLTNVWNNLKVVS QEFQSSKDYQKLITNIYECEGFKIINQKYSVQTEFLSYFWRTSKGNYVKQD >CAK88241 pep:novel supercontig:GCA_000165425.1:CT868649:462895:463887:1 gene:GSPATT00003209001 transcript:CAK88241 MKQLDLRLPSAIHSRRIHTQFTTALDICRINLKSRSEVRCKTEGTILKTEHGTCTTEDEF LPIFGSVDLWSILGQRDFYFTQSPKEIKLLQQAESEITLTFLDIKTMIAEMESGYITTKG QLCFKSDQQLKQTKLLYITPKQSQQCIYICLIQGMQSNKEVQQNFKNFLLNIWKCETKKW CAGCYFEYIHILVWDQLKQLTWIISGQDLDLQHLRSCQNVSNTNSQYRGKKVRRILFSSN ENQLKVKSISLKQSFHKPKLVIEDKINFVMDFIKPIILEKDRINDIVVMKKTLLRPKSSV DRVKRKNQNLITKIFTPKANQISIINNIYK >CAK88242 pep:novel supercontig:GCA_000165425.1:CT868649:463887:465060:1 gene:GSPATT00003210001 transcript:CAK88242 MIIDTDSGGDDIHALLTAFDLAAKKNIEIIGITCINGNSQIEDGIKNITIVQKIAGVNIP IYKGCDRNLKQKITLSSKFFGDDGLSGHQEKYLKELNIQQYQLQQQHAVDFLIESALKYK EDLVIICLGALTNVACAMMKTAEFEENIGLVIGLCGNVLGLGFMNDGVAEYNVHTDPEAA HLVFKVLAKKLIVIPYEAVISVSEFTITKVFEQDTTIKGKFVKEIYEGMKTANNRYDIQD PLCILAATMPDIITEFVERPCNVILEGEGRGMVSVKWLDKDPQANQVTFILKVDENQLIQ TLHNVVG >CAK88243 pep:novel supercontig:GCA_000165425.1:CT868649:465226:466121:1 gene:GSPATT00003211001 transcript:CAK88243 MQFVSDAKLNQETEANSKITTLENNINKIINEQDGLMKQIKLFEEVHKIEEQIHLLQNRI DDYENCGKHKSSKQNNSQLSYQIKQLESRMIEMDNQILNSKTQPRRPEILQIENTFKKNM NSVKLEVQQEYKNIYKEMNGLRCDLDYVINSQVKQKITNKIKSQNINLDNNKLLFINLLE LETFIEELDLYENQNTQRFLEELINCDSEKAKSLNYQLAGTKRKYLSEIKKIEHKFKILA ELVQTQSPQEKYTIQLNCQMNQIVQRLHKNIDMLLLKISEQLR >CAK88244 pep:novel supercontig:GCA_000165425.1:CT868649:466130:467504:-1 gene:GSPATT00003212001 transcript:CAK88244 MRQYQSQPQKSPSNREKSNTVFTQMDSVENCYSNENNQHDQYFNQIIQMLQKRQEFQEQE YRIKVASLEKRIKYLIQISSQLTQQNTQLKLQYADLVKEYDIMKDRIMNYEANMKTKNSE YSISLDEVTKLKTEREKLLGLANKYHERSKIRKKQSIEQENAITILQKQVNDLQKDNLIL VSEKQNLLLQSQYNHQFANQQQNILQIGNCRKIQQIIKRFLNDYCIHLTYINNETNQLIV LLKEYHDINLDLNNQSKYNKLVKQFDSLIHKYNQMLEQHIKFQYQFNISTQDTLLLNNNN NNNNQNQYFYNNNNNANNTILSSKVNSYNNFYQSNAKQDILRTSKFIKIQSINMFLTQIV ESFIIYIFRVRNLNHQILQSDPTHNEQKTILKKTIIMIIAIIRMQRKKKYHDYKPMVIEL PIEETMYQLLEIISTQQQLIEKFQE >CAK88245 pep:novel supercontig:GCA_000165425.1:CT868649:467669:468809:1 gene:GSPATT00003213001 transcript:CAK88245 MIGKQIFRAFSSTTAPYVWVNKHTKVICQGITGNQGTFQTEQALNYFTKMVGGVSPKKAG STHLGLPVFKNCQEAKQNTGCDASVIYVPPPTAASAIIEAIEAQIGLVVVITDGIPQHDM IKVKHALRSQSVTRVIGPNCPGIIKPNECKIGIMPGYIHQNGKIGIVSRSGTLTYEAVDQ TTRAGLGQSTVVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXSIVGFIAGITAPPERRMGHAGAIVSKGKGSAPEKIRALEQAGIRVVKSPAQIGKTM LEVMKERGLA >CAK88246 pep:novel supercontig:GCA_000165425.1:CT868649:469071:469555:1 gene:GSPATT00003214001 transcript:CAK88246 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK88247 pep:novel supercontig:GCA_000165425.1:CT868649:470268:470822:1 gene:GSPATT00003215001 transcript:CAK88247 MKTHVEVSSQRRKGRRAQLGAPSNLRYKLMSAHLSKDLRKKYNVRALPVRKDDEVTVVRG THKGTKGKVSSVYRKRWTIQIEKLTRTKANGMPYQIPIRASQCIITKPYLNEDRKQLLAR KASAKVSTKGKGEKHTTESTKKAD >CAK88248 pep:novel supercontig:GCA_000165425.1:CT868649:470852:472084:-1 gene:GSPATT00003216001 transcript:CAK88248 MEIKYNRSLSGVPDNQSQQPLRRITKTYIEKRDPVRRNLTQLYNEDTQQINKSMLGSNND LRRKQERVKRSLNQDVLEDKENVPQHKVIATKIYTLPQEFSAVTIKEKFDHTIQENKNLQ KLYTDEIFFYLKEQEKKSTPLEWLKNHSVPSNLRAKMIDWMVEVLCSYKCSDQTFFVAVR TMDFYFSKSIKQLEVSDLHLCGVTSMFIAAKYEEIHPLKLSVVYDKIAHKKLSTDQIKKK ESDILQTIGFDLVGGTLYDMYNLILTNCFIEQRLQEKNYKYLKRLCLYLSKMVLYDYEIC GKTNYTLLAAASIFVAFKIVEQLDSSFNADSQIKDVAQIIQVDPDQLIETAAKVLNLAKN FEKHFPNLENLKKFNGFQLEDDEQ >CAK88249 pep:novel supercontig:GCA_000165425.1:CT868649:472413:473751:1 gene:GSPATT00003217001 transcript:CAK88249 MDSVELFELESSEYMITQIVNNATFYLTVDAYDIPRYIILEIQFTYPKDGDVNFLMSVGR NQLTKLENSNIITTYADYNGFYLHKNYHNIIIPANSFKSGDKFYLTNLIRNDRRTYQYFL KIRKLPYQPCPKNCSSDSGKCFDGICSCNENIIDLDCSKEGQAIKLDEKIENLTILGTKY FYFQQPTQLEKIVFEMGLEKDYQQEITQVTLYYMFENFIYGVPTQYYTNFTFTKDLMSNS IKFDVSKLTYNSNLLRFNRLIFGLVTEKEVRCNFYITSNSSNESNDADLNIILFVTISIV LFIIFLIIFIVIIRRRFIARQQNLRIIPDQEVNLSIQFLTRYMKKAAKRKKDQQTCSICL DDKKGDLRQTLCKHQFHVDCLYNWLIKCEAQYKCPNCREIIDLEKIKKSFKPKSCQVMDV SQDSSLDQMID >CAK88250 pep:novel supercontig:GCA_000165425.1:CT868649:473872:475510:1 gene:GSPATT00003218001 transcript:CAK88250 MNPQSTKNKDKVKQHFKISEFILEKLLNDFGQKPIDRRLITCYVNDYKSKYSSYNEQGVR QLKIELKSALLKTDSEKEQIMASLISNFNSQLLQKDQQSNVKKQKNNRNAQMATLPQEQH NQNNNLAYHEIHRQESLASENRQKSIYEIEDDNQDEWAAIIKYDTAKYQKEQLETKKKQQ IMKQKLKEDLDKQLYEKEQQKREEEMKEALFAQQNQQRKIEFEQLEKQKKESQKHKQFEE KKLRDEQVQLEKIRKKEIQMQSKLKEDEILQQLKNEINRESLELNKKRQEQKEKFQQILK ENELLRQKAQDDLKQQRDLDQKIQQLELQRQILEDEKRENQKKEREEKIKQFMSNYSFQV LSKQQEQEENEGKYLVEQLKKQEQLEKQQELDKKQKEKQKMELIKEQLQLQIQQKQQKKL TEEEEQKMLFIQQQLDILNFSQKQQEKQESIRKSRKQNQDDIKKQIEESRNKSLHEKMSK LELLQNKRLLKNIANDQEIKTKFRKVNVSLK >CAK88251 pep:novel supercontig:GCA_000165425.1:CT868649:475866:476546:1 gene:GSPATT00003219001 transcript:CAK88251 MKLGQFQSSETTFNTQECTNATEKIDISQNLNFRDVQNLYTCDQQSDNSVELLILSNQHP ENEWIKIEQEIVAYLKSLGIRMKTDPLEKQEKFFKKPKRRVNKSSTMTFNTPLNNEDNDN TESTRQTIQQMSIEMNSIKQRRTPNATPQSSPLITKNLELQEPLKKQRMSIFQKMKGQSQ AFSSDEEEIQENMKRNQYQFGNEQYDGKSDSSENNIETNYFQNICE >CAK88252 pep:novel supercontig:GCA_000165425.1:CT868649:476564:477186:-1 gene:GSPATT00003220001 transcript:CAK88252 MDAPPLNEDELNEIYNWVDTIPLSRPKRHIGRDFADGVLMAEIVQHYIPRIVDIHNYSLA HSVQQKQYNWNTLNTKVFRKMGFQITQKDIDAVISVVPEAVERILKVVQVKISMFLDNKE QNQIHQQEKPVEVQQNQNKGSNKQAPNNKSNEKDLIIQDQKETIEILELKIQKLEQLVKL KDSKIQQLMQKLQQAGIK >CAK88253 pep:novel supercontig:GCA_000165425.1:CT868649:477445:478829:-1 gene:GSPATT00003221001 transcript:CAK88253 MEVINFPHVHAVSQSNLYQTRSRLRRTNHYRENCQQSKKLKNLRITDTLKINDSTPLLNI NSSTKQLKAHQIHLLKLDIFKTKINKKIQVLRNFVQQRIDLRKSLNQEQREEDIRNIHFI KTFFDITNDYNQHIQQQQEKLKDDAQYYKKLVPCQRKLKQLLVNYDNVQPIIKHQEKHFD KFDCPSLGMLKNQMNQYSKIYLLELEQQKQIQFKSALNQERKLLEQYNIKFQSELRKSKI KSNQNSISQSPLTLKQQQDHLFQSNSKLPTDCPLDVYVASLKHHLNQYKQICKFQDSISD PRKRKQFIITKKPFYLFTKQVEGDKNPKNFKGLITNYDVKLYDYIHNRNIYTLNKQVKEM TQKFHTMIESEKEQNLNEQLETHLNQLKNRKLKYRQHGSLEAQKRIQTEKYADEISDDQS LQSIYEVNLDVLYDQSIN >CAK88254 pep:novel supercontig:GCA_000165425.1:CT868649:478950:479219:-1 gene:GSPATT00003222001 transcript:CAK88254 MQESVSAYLKTEPDSLRDHPPSKFRQNLIQSNQRILNGKKVTYLNVKKQSPQNQKDAWKL MFGNILHDINLKQKKEAFSLRPKKYLFEL >CAK88255 pep:novel supercontig:GCA_000165425.1:CT868649:479247:480995:1 gene:GSPATT00003223001 transcript:CAK88255 MNENLNLQKLLNNYLNPTIDNLQIIYSKLQQLQYFNSFIEKNLKGTQRESLLYLCGKFKM EHFKGGEQIFKEGDKSNDKLYIIFNGKVALMKARPQQIQQSIEKTPEIQKTPSVPQNQLA KPAPLIPQKTINGNATGTNFFKQLAYSKRFADKMKGNIIQGQTNERVQISQQEIQVLNEK YGETIRILKRGEGFGDKALLENVPRALTACAYTQDLFLLILKKDDFDLIRQQFIQQMKEK KSLIFTKFPVQGDYSSKQLEHLAYSFDVEQFQKNCVLTVDGLPKKSFYLIQYGDILIEKM INDQMTKICILTKGQLLGEEIVMNEDGCCEYNSIVLSNEATVMVIHKHEFLQKFPEECKL WVKEEYYKKSKFRKMFQSKNMESVTGIGKPKFTTAKQFIDIKNNQMKIKSKEVQERIDIG SLIEEKNSIYFQKYQSDPEKDATATLFGQDLYQSPKLGFIPRYDKINNIPLKAFKEQYLK KLCSKRRRLEFIRPPPLTARTLLTLQTNQSQYLTKIQHTHTHTQSTVINSFTPSLSQSPN FTNSKEIN >CAK88256 pep:novel supercontig:GCA_000165425.1:CT868649:483649:484675:-1 gene:GSPATT00003224001 transcript:CAK88256 MELTFAQKYRFTLDKKIGIGAFGQIYLGNNIKTGEEIAIKLENVTNNHSQLLHEAKIYRI LLLDGPVPGIPNLHWFGQEGDFNVLVMDILGPSLEDLFNYNGRKFSLKSVLMLADQLLTR ISYLHNKDFIHRDIKPENFLIGLNKKADNIYMIDFGLAKRYRYQRTETHIQYGENNQLVG TCRFSSINAHLGIEQSRRDDLESIGYMLIYFLRGSLPWSGIKTETKKAKYEKVGECKIAT PIDKLCEGFPEEFVKYFNYIKSLKFEEIPDYVWIKRLFKDLFIKQEYTWDNVFDWAQESK >CAK88257 pep:novel supercontig:GCA_000165425.1:CT868649:484835:485551:1 gene:GSPATT00003225001 transcript:CAK88257 MQSSNEQTKGKGLKFVCLSDTHNQHPKKLEKGDVLIHCGDFTCAGDYKEVQNFNRWLDEQ KEFKYKIIIAGNHDLSFDTRKYPQLLNYQELQQEIQYLKKNFIYLENSDVDIEGYKIWGS PHSLEYWYGAFQISPDESENIWKNIHDQTDIVLTHGPPYGHGDMSKSEVHNNVGDQQLLQ RIKQIKPKYHIFGHIHEAYGKTEEDGITFINCSYFKNGYSFGNKPFSFTLPYKEQQNR >CAK88258 pep:novel supercontig:GCA_000165425.1:CT868649:488116:492513:1 gene:GSPATT00003226001 transcript:CAK88258 MDIQTQQLNVQVAVRVRPLSNKELNAKDECCLKTEDNRIILPQSGKIFTFDHVFNQDSNQ EEIFDSCVTNLVQRCFEGYNSTILAYGQTGSGKTFTMGTSGLDQYSDQNEWGMIPRAVYL LFDEVEKRKQEQDQDIIITCSYIELYNEQIIDLLNESSMKSNLQPTIREEKDHTISIQNL TTISVMNPQDMLQILNKGGTHRTTAATQMNLNSSRSHAIFTTYFKINPESEEESLSAKFH FVDLAGSERLKKTMAIGKQMEEGININQSLLVLGNVIKTLSDQKKSAHVPYRESKLTRIL QDSLGGNSNTYMIACISPSASNYEETINTLKYASRAREIKNKPTQNRDPHAAQIIALKQQ ILGLQGQIKQFSQILQENNINTDSVKYMADQIYESISPSSGPQQSCSEHGEAILKLKNQN QQLEKQLNSLQRELQNSQKGLSESMLEGYKAKKQRDQVMKQFQDAKKILQKYNIQFNFNE DQQMDTYYNEIANLKNVVKEHEQRIFLLQSNNDQLIKEARRDQKLLRQKQHELMRLEKRK QDVDQIDDDFELDDSEIIQKDLQIEEQEYQLQELDKIKIETIQLLQDDKQNYLKQISILE EEKAKLQKQLNTKQDSAQMNQLKFKISEYETKILDMRQKELTSKQLQKKLDDQESQVKEL RTNIEQMKKQKVDLIKKMKQENEKYIKDKEQKHKELIYAKKQKIQQDTLVSKLKNDNTKK DVQLKRKEDELQKQKNEKLIIKQHNRYNPNKSLQYDTFEKQIDDLFSELILGEQAEEQIT KEYSKLEELQEELRQIEVRICSLQIKIDQLQFDMTQNTAVCSMENIQQYELELNDFQQKR ENISETIEFQLQKIDDYRVISSKANDYYTIVLTNQYFKDLPNWCTRSFRYVIDNWVKDHY QMTLYAQQIEELNQTNQELINAKPSPIKKSIRQNTPIDDLKRQINEYKRKLQALQNQYRT TSIELDYYKNFYSEQINKQQKDRSIGLGLQLQHSQSYHTFRNSNSKELKQEQQLPLALTH VKSFGQLGSSMRVRSSVSQYWIAEQLNSSQEIINYDIVKTLQGHDQPILSLYTKDNILCS GQYKQFKVWDIESQQLISTIDQSNHCRSIYYWANRDAFAVSHGSQISLYDPLTLMCKGLL KSSIEEIKCITSINGLLVAAGKSINSNALNIWDSRQNNILYEFEKGSDILSIYGSDENSE LIYGTLKHYAKRIPFNKNKYNQIQQLQPPQLDKVTGVASFGDYIVSCSLSKRMLLWNQQT GLESTTNDSIHKDLITALTIDKSLKLIYTSCKDGNVRAIKVNEEGKFQLLHEITASNQQI NALHVIQDNHLVISGGQDKLIKIWRPSKNILQLQNAIGEFIVDDQN >CAK88259 pep:novel supercontig:GCA_000165425.1:CT868649:492546:494399:-1 gene:GSPATT00003227001 transcript:CAK88259 MEYYQVKTNRLVETNITSVKSNGQGLTTRVESASNGEQDRRIQNITKQMQIFLMAIELER LSEQNYKLQQRLKNMEDQQFNTMNLEQQIIDLKQKMDYLEHQYETVVIQKEEIKYNYEEI IKYHEKSEDNQNLLKNDIEKLRIKLRDFEEQKQNEILAIIKQKDLEAQKQLQKHQQENDF NWKQQMEYMEKELQKYKELHYQIKKENTELKTMLQQEDLSKNRELETLIIQYQNDIDRLN HNLNKYQLENQDLKERIYKVDQIEEELQKLLQENQDLRENLQQLENENQEKNVDCKIFQE ELEKLRYGLSVKTQELDEYKIKSTKNKKSLDEKFAIMQQEIDRLQFIIRQKTTEIENLQK RIHDNSTEKQRMGELEYLSKLNDKKNQDLDKRNQELNQKLIDQTKKTTELEKQNNDYLSL HNKLKEKCEEFEGRYNNLLQEVDKINKQLVQKVQENEGLNKQIADSRMKLANYDSQLQDL YDQINILEQDIEKLKKYEENCRVLSYEIDRLNSLVAQQEEELKKWRLQYANEGSLNKKII EQLSIMFVLMIEIDSLRNSIVQKDKQIEDLRNQNLAQFKEKNK >CAK88260 pep:novel supercontig:GCA_000165425.1:CT868649:494424:498440:-1 gene:GSPATT00003228001 transcript:CAK88260 MRSNNQRENQEKRVRKPSRYKFQDEEEIDQIIKSCEKAQKSRKLRKLSQESSVEVDEEED EQSDSQYESDYNEDYCWKCRQKNRPLLCCDSCYRSFHMACVGIKKMPPGSWYCPQCCQYE QSYCPYCDEQSTNEKIICSKCNTFIHFECILKDIPFEAILRSPINRKQISEEQFSQLLLA DRPTKTSPLIFHCCLRCLQSFGVGQILTHLKFKEKQCYLILPEQSSYLHCHFFSQAQVQT VNQRKLKNYVEKNEGKQDTLENDNDADLQASLNYIEEDILEYLEPERIIGCKRGANDQKK TWREIYNQEMPKITQYGTKNNYKFLIKWSRMFYEESSWEDAYFIMEKNRDEFKRFLKSKI LEETYGTPEYEQFIKQFLKVPHGKSKKNQPVWITGGQLHQFQLQGLQWLQKSYETNNNVI LADEMGLGKTIQTISFLNFLQYEYKKSGPFLIIGPATILYNWLKELKKWADTFNVIVYTG NQESRDIIKAKEFYYSNNNNVCKFNVLITSYDIAIIDQAIIKKINWECLIVDEAHRLKNN DSKFFKVCSQFSSQHIILLTGTPLQNNLQELINLIEFIAPQKVKQLKKEQLNVLFNNQDL EDFQEVKKTTLTELNSLLKPHILRRTKADVKLQVPEMEEIIIKLCLTDKQKFLYKNVMLR NYEKLKVLDQKKGASKANLLNILMSLRLVCNHPYLFTYKREFPNEDIDEMINQSNKLKFV DRIIPRLLEMQHKMLIFSQFTMMLDLMQHYLQLRGYSYERLDGTTSIMDRQRIIDSFNNS TGKSKIFLLSTRAGGLGINLTSADTIIFTDSDFNPYRDLQAISRAHRMGQTNKVKVFRLV SKYTAEERIIQIATKKLLLEEIIINPINKFTKDDFQSIFKESTWELFNKNLEEKDQEFTD EQLNILLQRDIEQQSDQQYVNLKKNDINDYYLSGFKFTSFNLEQVEKEGEESHQNVNLDK YWETFLDEEAKEFAEKEQDEFGKGKRLKKQNIPNYHEDYHQFSSSQYSPSDQSQGTGSEQ ENDENKKDQKVVSERMQKLIDKDLQIMRFFQQTVLEHSELAQLSVDNDIVTYGFTAYHRL EFLDFVLSFGMDFDSIDQFYQVLQREKSQCFSAEYKPSNDEFKKYVKEFYSLLLDYEQFK EKRNIAFCKINPKDIFYRICGLMYLKKKYQFYQNRQAKFTIKHENYQKNKVQKDQEQIIY KNEEWTNFDDFTLCKYIAEKGFNAIDQIVEDQGYFQFASELQEFPFWFKLFRKIEQAQFE QIQNEEQVKKYLKYWMQQRCLMLMSLIIDSEE >CAK88261 pep:novel supercontig:GCA_000165425.1:CT868649:498486:499770:-1 gene:GSPATT00003229001 transcript:CAK88261 MQSEDNEWSKVVQTTTKIDQQIKNIKLRLQSALTQLIIQSEENNKISNPDPILPKTNVPL IIQHNSRSIEKRDKPAIRQCASKSPIRTPETADCPSPISSIAHNSLIRMQLKAAEMLSPK YQNISDRFHIGKFLGKGKFSDVFQAQEKSSKVLVALKVIQKSVISKYKMEAQLAHEIKIQ SYLNHPNILKLFGVFQEQTKIVLILEYAPDGELYKLLKKQPNRRFSENKAGNYIAQIVEG ISYMHKMKVIHRDIKPENILISLQFLKIADFGLATYSPESKPRQSFCGTIDYMSPEIASG QDYDHSVDLWSIGILAYELTTGTTPFYQSSKEDTMRKIIEGRVDFPKYVSNELQDFSKCC LRKDPSQRLRLEQMAVHKWIQMNHQAGGQYDRMLVQQLVTILK >CAK88262 pep:novel supercontig:GCA_000165425.1:CT868649:499869:501529:1 gene:GSPATT00003230001 transcript:CAK88262 MLSLLQEPERLKTDPSDFNLRWDDTTLFSHKNKLKHQTNLLEIVRNVPSKIVLNQNPQNL QAFNAKSPGIKKPFLEYATTNLIDVIRKSKKIEVKLSILYEKVEISMEKYLSIKESQMLS SQRQKQPRKSLQVQHTLEVVQTSHLEKSVNEEGMAQINQYTVLESLGQGAFGKVKKAQNF KGEILAIKIANKKKLKKKLLSKSNAYTMLEREIAIMKKISHENVVQLFEVIDDPNKDKLY LVMEYMGKGSILSKGFFKKQKTTSNILDEIEDKNPLSKLTEEQCRHYFSDFIKGLYYLHE CVNVIHRDIKPENLLVNINDQLKIADFGVSHIMEDGGDGRISNQTGTQAYLAPEVFKGRN RVYYLGQNFDGKPVDIWAGGVTLYQMVYGKLPFTSQKSMELRQQILEDNPPFSQPQGFSN SIIKLLQGLLQKSPEKRLKIDQIIMDDWVTDFGKQPIINQYIEYVDVTEMDIRFALTSLN IQMALKIVVKLLYQAKKARRKIAERKKMQK >CAK88263 pep:novel supercontig:GCA_000165425.1:CT868649:501589:502251:1 gene:GSPATT00003231001 transcript:CAK88263 MTFRYSSELTLNIYEKPPQNPEWLQKQENIDLILNKIKQHNLLKRIHYTNNEQLQENDPL LLRSHRLNKKLEEFDHFHEEQLETYRKILQSDREQITNATSQRRRMGSFVKHVRSISTTV LKPLIQVNNIVSVQGNEKRQENQVTMRQKIKSIQENRKTIENCSKRLQQLFKEDSSNQAS RVQSHLEQRSRKKKISIDMIRNLY >CAK88264 pep:novel supercontig:GCA_000165425.1:CT868649:502351:503074:1 gene:GSPATT00003232001 transcript:CAK88264 MYTSTSITNKNQSFKRVKERLVCEAIEKVERWRLMFTEGLQTNNGEIIKVTLNQAAEIVG IPKKTLEDYTQLFKKVKLLTADIKQFSNEKMGFLRSYLRKNQNKLKKKLKDLRIKELEDQ RKTQELIQEQNLLLKQKLHEDTETTDICGSHQESSNQMICDDQNYIDTEIQCLQEEDLNF QDFESHQYYFNRVGNFQQEEDIDNYFQSTQ >CAK88265 pep:novel supercontig:GCA_000165425.1:CT868649:503133:504904:1 gene:GSPATT00003233001 transcript:CAK88265 MTFRYEVFGKYNETTLKELNGTKIFFFLQNQVNVKSQQLINIILDGNQKSLITSLTKEIY SKFCQQCDFSINEEINPSNVQLAQQFSQYLSRITIELQELYFLQISINDTDTQQFQFEAT SVIDIQKEMFYQVLEQIYGHEIGNFRNPEVSISIAFVEYFRQVLIKKFRGYKMNYKKKKQ LFLLQNGDIIVSQFNNQMTIPLSTSRVIHFQKPRFCYQQSLLSEQSLIQIVSEGFLDENF DQNGQAIVLSKGNLVYQGNMQAGLLNGEGTIKNLQNQIIYQGNFVHNKKHGFGNILYNNR YYCGQFNDDMMNGQFQICNEKNGCTYYFYRNQQVNKQDFEQQNCYQIKSQEGVQTISKVL SNTQVSQSICSYKDFDITIQAYDALNIGHWLFETSLDYFLDCFKHFFTYSEQATNGQTVI FNTSETSNYINCDYEQKFYYHEKLYQELNKDIRDKKRKVFVMNSQRSHFLVMIEDNKQLY ACDSLYHVNKNLENAFYNLLKLENRSCRVLKCSQQRNGYDCGIFALFYAIQFMKYDNLNM NEMEKKLCLEDPAQIRWQLKQFVKYNHDYILTNF >CAK88266 pep:novel supercontig:GCA_000165425.1:CT868649:504920:506742:1 gene:GSPATT00003234001 transcript:CAK88266 MSKLFFQDFLSSRTRSMQDRKKMVKTQQEIERISERKISETLKSNEHIVDELRLYKMKLE KQVQDYQQKNLELVEKVSYLEVQNTTLLYELETSKLKLETIANAQQSSQTQQINILKEQV DSLIKYKTENESYKAQVVTLKNENQNYQQEIKELIETNAQIKSQYSSIMKENKRCQQQLD NSQNEFYKLSEKFNQFESMYHSKIKYYEELQKQFELEQQRANFQYQELVRELHLLENENQ QLYKQLQISQFEKDEKDKKTKDEQNQLKLELHKIQDENKILEKSHRTLKIEKKVIYDNQN QNKQKINKLEQDLNQKDQIIKQMEQELQEKVLIIHQLENIAYGLKQSAQEGKENTNQTLL QYKDLMKECDQLEQQIARLEQDNDIVKKEYEQVLYELKDTQQENRQLQERNALLIKDNQQ IQIENTGLQMEIQNLNQRVAELQSYAVEFQRELKDHIEQQSKINQQRSFQNSQYLQNSAT LSNQRNSYNSIQRGTDDSQAMGNQSPLSNQTNTVNQLKKLVKQQCVEGNPNLGQQSIENT KRHQARTDHFSNSSKLQTDRQFDDHSKENCLQILQDKYYALRNRIYEQKK >CAK88267 pep:novel supercontig:GCA_000165425.1:CT868649:506792:507850:-1 gene:GSPATT00003235001 transcript:CAK88267 MLNCQHKPNKNICKLCGMATYKDGSIAYKHISFKSHQEAKNLFDDLSNISINYERREELI DFLFQTKQSLQLTDQTVHLAIHYLDRCQHLLQSQNPKLISLMCLMASSKMIEDDAHIPGM SKLSKASDLNLNKNEYKQAECLVLKTLNWKLKVNTLYDQVQSLLSLGVFFSTDSHDGIMD YNMINFICCKMLKDHSKIVYQVNKIPQLMQINTKILAMAIILYMRKQCHLHPLPHQLQGL LEEDQNQLLIDTYGQLNNLLQNNSLSNTKSVSRALSPVTLSTCKSMPPVTRVTQNARMPL NIITNHQTFIKPKLIKSKHQEQDLNPLRVKHKNC >CAK88268 pep:novel supercontig:GCA_000165425.1:CT868649:508650:509939:1 gene:GSPATT00003236001 transcript:CAK88268 MNQQAEKLRLYAQENVVFLLQKLMEDILAQQPKNVIDWSIAWLDKQGRDIVKSRQKKNAL SSSSDEEVIELPKRPAAARKQRASISAEAYGQYNRKESFQPRVIVKTQQQKEKIGKRLEQ SFMFASLDQREKDIVIDAMEERSYNAEDWVIQQGDNGDNLYVVDQGELNCYKRFTKDGEN KFLKVYYPGESFGELALLYNAPRAASIQCKTNSILFALDRQTFNHIVKDAAMRKREKYVN VLKQIELLSMMDPYERSHVADAIRSANFQNGDYVIREGEQGDIFYMIEDGDLIATKTLVQ GQEPVKVFQYKTGDYFGELALLKDIPRQANIVAQTEVKLIYLDRHSFKRMLGPLEDILKR NTEKYQKYEQYWINQGQ >CAK88269 pep:novel supercontig:GCA_000165425.1:CT868649:510183:511526:-1 gene:GSPATT00003237001 transcript:CAK88269 MRRVKTEQSTLIELIKKKEPLTIQPQQKPKNRKLSQLGYFNSLNISNAKVKLSILMRDIV IPTHSGDKNLSYKIKNKNRSIIAEDKKPIRNNNKPSRFIDIQENQIFKKQYYANPKQVFE NITLRNNTSNQRANVQKIKKNLKLQQQTFEQQQIIHDLIVLPQVINPEQQSKSVAYIKSV RQYEKQEQLYHIEGSLEKTIEDFNIRMFGSRTVKKLINSVKPWVNQLQQRKQTKYKRIRL EMVQLLTHIHKLKLTLEEFSKGDVFPKVPYQSPRSERFFCLCKMNKGDQIQQLLELEKYL VYEYDPSFMTALHWCCVRNCRAAALILLKSGADPDAQDMIGRTPLFLALLHNNNDIAQLL LYHKADPWNKGILDYNEAITHSIEGKTILQQARRTHILLRMTPPAERQFIWQSKQFLMMC E >CAK88270 pep:novel supercontig:GCA_000165425.1:CT868649:512374:513010:1 gene:GSPATT00003238001 transcript:CAK88270 MSLSLQIHAEKRQQFVCQQVNGLQALFQKWTNTNNLSEDINQSSLIEEQVTQSKTATNNS HFQGFLTCLLSLNNDQLKCQTIKSLQQNFDYMHQVLENELKLYQQRLITRDLNQLEEKKG RQFSKKSKIILKVWLYRHFSYPYPTKEQTIQLSEKQSLQFNNQIQIWFINARVRIDNKIY EKKKFNNIVKYKYFSINKPQVKLR >CAK88271 pep:novel supercontig:GCA_000165425.1:CT868649:513237:514766:-1 gene:GSPATT00003239001 transcript:CAK88271 MDNDTSTCSIRSSSVKVQKIFDQYMAQIAPLPNLQNSTNKENSFSISNDQRSNYHTRIQT PDKTTQRSNSQIQALQALQKKNIELKTENDSLKEQLKNYQNQQSTINEINSIYQNLQQQY VVLDSDHKQLLQSYELQLNQLKQEKDQCIDQLNRQYKLQLEEMKQQMQFQNERFKNIEVC RSDQDGLLQSTLDELRKERQMLNKLKLDQRLLLEDNEQQYEKQLQYQQKLYQEMKNQNNI LMKEKQDQQEQIKELEKTYKDLVHHQKLEIQKLQKQYTDIKSFFESQMQQVQEEVSCAMQ ELRNKNSDYHQQLQEEEQIIEDLEDLNKQLKQQLLFKDQELINLKSQLEQLQIQQEQLKQ MNDELINQFNLQIQDLSQKLEGERRNYEEESELNDDDKKEIYHALHNDKTLQLTTSHSQN DLVPQQQPQTSTRDVEYKLLLSQIIEVEKEAFRLNNHYQTLFQQFSKNTQLSEQQKQNIK QEMTDLMQQIRMNNSKLYELKAKEFTYLS >CAK88272 pep:novel supercontig:GCA_000165425.1:CT868649:515943:516423:-1 gene:GSPATT00003241001 transcript:CAK88272 MNCDKSILKELIQTNIILIEEAKESNLQNFNNSKMWIKKSFNNLFNLLPKETLEMDINQL LTVSEQEELLKDPQLSIDFFKNKFQREIDDQDWEDEVETHLTPPKKLIPQTRTLQSSNMG FRKKPATIIQSSQKKLLSSAIHNKYKRKII >CAK88273 pep:novel supercontig:GCA_000165425.1:CT868649:516473:516775:1 gene:GSPATT00003242001 transcript:CAK88273 MNDTIDNELCFQEMKEIEKKITKYLCEQLKLEQLTDPLMKQLNYEDAKKKLVFSLRSLDS DTETSDNISSTPSNRCSLQMARSPLILKRLSTSISEQLQQ >CAK88274 pep:novel supercontig:GCA_000165425.1:CT868649:517531:519061:1 gene:GSPATT00003243001 transcript:CAK88274 MEVEKQYDLFVIGGGSGGLACAKQAAKLGAKVALADFVKPSPLGTKWGLGGTCVNVGCIP KKLMHFAATLGELRHDQVEAGWVDTQVDSKHNWEKMVENVNNHIRKLNFGYKNQLMRGDV KYYNKLAELIDANTIKLTDNKGQIETVTAKNILIAVGGRPSYPENIPNIQKLVITSDDLF WLQENPGKTLVVGASYVALECGGFLHGIGNEVAIMVRSILLRGFDQEIAEKIGLYMEEKG IRFIRGCVPDMIEATEDNKRKVTWIINGQKYEEIFDTVLVATGRISDTQKLNLEKVGVNM NKNGKILCSADDKTSVPNIFAIGDCVEGRPELTPTAIKCGQLLANRLFNKATELMSYEFV ATTVFTPLEYGCIGYSEEDAIKKFGEDKITVYHSIFKPLEWNYFEMHSGESCFAKLIVLN DNRRVIGFHYLGPHAGEVTQGYAVAMKMGVTKEQFDSTVGIHPTCSEELVQVTAVKGIDE AQKEGC >CAK88275 pep:novel supercontig:GCA_000165425.1:CT868649:519651:524379:-1 gene:GSPATT00003244001 transcript:CAK88275 MNSQERTNNHIYKPTEGDSQYQIIINKDQEITRQQIVIRLQGQNLKFSVPDTDEAQELSS LLQQREQLPNNQQLNYVEQIFQKYYEYEERFNQFFTCTHNNFKITFDLNQLIITIVYWST FFSVLSESLAIENSSIIQNDKIRKQIAHQLPVYAFLYTISSQICQQINKILIWILLFICL IIIPLFVLVGYHLNFFGNYDGFSQDYAGIGYCIVSFAIFINSLINRGFLIFIKKVSSAIL ATLFNCSFCVLVSYFILFKIVAFIILVQSTKLEYIVDNITSIVAPSTFLVLLFFSIFLIK GLFDLKKKTIKYDLYQLSQQKYADQEIRNGYTNYRMNQKANKFFSKLKLNYVVPILFAIS YAVIEIFCYANLIIGLQSYDFEQIITFGFLLMAIPIFIILGICLSNSRFKRQNIYLYTPL LFGAIFSVICIKVWSLTIENQYLKSISRLIGICPLLISLMWLTVGFFRSEKRNQKLFVTL FSCYSFALPFGIFLTFSEYFDDSGLEIGAFVLASIGLIPIVLLVIYYIFIVLIYLVKLPQ QAENLQFLPFEYINLNNYAVWYNAICYIISFYLICYFAWNEPATATGTKKGTIIGLLSIH TVLFILTSNALSLQVKERTQEEIDLQQNLTYTQKITNRIQDGTTVGIILPFIVLLPIGLS VESEVAKNALLANSIGVPLAVLYYKFQVSLKQESVNYQQFIQPLVVIVGWTFIIGPIGTV FPILADYFENSSPEFALFAQLAVAYSILIIIISVTVLSIFYSVLLNKEQLEMKKKEVLKM VMHEFAENGVYATEEISSLLFVRYVQHRNTLKLEKDLIQGDPINMYEHPEPSENHNIKNI LVTKQDYENKMKLDQIQENKREKIRKSLSANYTDTQSLTSADTKKQQDKEEHHGILYQLQ DCLFSCLLCRFGFYDLEQMELNETNKKLEKLELDKLKMMEEQRIKYRARIGSERRQSTLI RQDPKYSDQFSNILYGQKAERFWVALYNMFLKQGDFTRLLEILALEKDPEILKQYSSYEE KNEAFTISDEDFGLLLYDNFKDKQQSYDEILKKQAVSNFHRLIKEYPELYPSLPSFTNVQ SMIQSQNLTKTEKFNESRKCFNKIGDIHAEQDGNAEEYERLNQKYNQQLKETENKYRMKE PNGCEKRIWKVCKCIHTAIWITPKKYTQNIMNQLADLYSKITPIEPKPNPISEDWNRIAP KICNCLVDEFNKFDRKANEQEFHFQITITNCLAVFLRCYDLYGLITLAFDSQVGWFGKGS EFKPIKMVDYSAIWSEYNFFFFMALVMSIAYIVLGLKASKQIADNSFGFDDDGSIAGIKS LRFWLSKTIQVISGQFIFVMKTYIDAFICDYSEYPYILVRQPSVECMSDLHFLYITFAIV GCGIYYPLSTYLQPTFQFMDRSLDLKYKSNFVVLYIQAKLLILGSSSVFSNLQESAYLYQ MLFSFFVMSGLVYFHFKLDPCYIKWFNTVDRSLLLILCYFYFGAFIIMASKNVQVGWAIS LIFTGCTLIYLAYFLIQERRRIKRNQSTQVRPLDVQNTNSIRST >CAK88276 pep:novel supercontig:GCA_000165425.1:CT868649:524548:525904:-1 gene:GSPATT00003245001 transcript:CAK88276 MFIFKLRYYNSSILTELNARFGLLKKGMSVIELGSGSGHWTETLRKTIGSNREDPKVFAI DEVQLPKRCRDGVIFIQGDVDKKETIMKLQKQLDLKPVDLIISNLESRSQNDRDIDNFEQ IRLNRIALNIALKTLKTGGTMLIKAREGLPEEQNFKFINLFFRETIKVRPQTAKLDFLKH YYLGLGFKQRDEYLKYPNFFQKVLNFQDNEILEEEVPPSFQTQAERDLEILEFLQYASKH QIAVTQSQIDEIKQNSRYKNIDWEKYPLFIVPGPLTEYQQQMILIARYNGKLSFTPNTNS VEEDIKEGQEVIEKIEKALVSDEKSNELLEEGEILGQEKNDESEEIKLMKEQISRIEKDE MKYEMKKGDQEKLDKWLHDSTSKYLQDNYKGSSAEKIIQQEIARGNVTKAELLEYFELNE KNLIDKANREYEECNIL >CAK88277 pep:novel supercontig:GCA_000165425.1:CT868649:526088:527234:-1 gene:GSPATT00003246001 transcript:CAK88277 MRKNNQKTNDINLNYLNGGGFAARPKSLLCTICGREFGTASLEIHQKTCIKKYQNDLVNM DPGHRQYMPTTQQVLQKLDQEKQVRQQGGTKVGQKSQQVLYEQPQQVMALVGVNVLNNFI VQKMWKIRKHESVCIGPEPDIQKIKEQQQEQNKRAAKYLKPKKTGKWKQQHLEFQQALRE MRKVRQQEIAEGRGNPFGHQQYGSSYGMQNKQQTTKSGKYNQYQTSNYRPQSKQQYQKQT QSQQQQQFSLQGYSQSVKTTHKQPNFEQQQTKMIQKSPITQMPQKQYQQSTKSSQNDVGY GRLAYNGGGASYQIAHSNVSTFSLFTQDEKQKNYDNHQKNNPYAKKNGLY >CAK88278 pep:novel supercontig:GCA_000165425.1:CT868649:527369:528303:-1 gene:GSPATT00003247001 transcript:CAK88278 MQKTFAMDVRNIGGLRATADHLYKHQIAVQNAKPSINIKPPKPPVDQKQRQESKNNPDDD FNNVLKTFKAVVQTKKIIDNNQPFMKKRTPNNKNKKEKFEQYEHEMNIKAQKRRIGSAGS MQERKKNQFDPVAHPPVFFRREGQNLSNCRLDNLMQYISKEQQQSGEKINLSSSIKRWQR SKSSSKKPKIKIQQFAEEPDKTDREFVYDLPKLKGQEKKDYYELKKELIMIIFKYRIYKS EDLEALFGRLLIHNPSLHMVWIEDIFNEIREEFLDFE >CAK88279 pep:novel supercontig:GCA_000165425.1:CT868649:528379:529812:-1 gene:GSPATT00003248001 transcript:CAK88279 MQKFVGKYSFNMNHLLGRGAYGIVYKGTSSDGMPVAIKVIDRRMINQTNTQQLLNEIRSM KQLNHKNIVKFLDFYETQNNFYIISEFCNGGDLRDIIKRGKLDSQSVINILKQILNGYHS LFQNSIIHRDLKPANILLHQGIPKIADFGFAKKIDFENDLMTSIAGTPLYMAPQVILRQP YTSKCDIWSLGMILYELLFQKLPIIADNIIQLQEKICKPIIVPQLDNSQLQQLIQGCLQI NEENRINWEEIYQNPLIQEQSNSKRQYAGVLVEVEPEQTDYRKLLIINYLQIEFEANQRI YLNQLNFARSAFNFSFFIQKFEQPFYDLAQKLRCFSFNWLIQLENSKFLKEQQKFFNDER GQFCLTQLKQMKQAFVDNAPENIQQLTFNMITLIQLLEDYFTNFSVNLGSLNRHQLLATF MMKYLSRTLRTAKLNECFYVADLSDIQKCTDKEIMQRILQP >CAK88280 pep:novel supercontig:GCA_000165425.1:CT868649:529838:530556:-1 gene:GSPATT00003249001 transcript:CAK88280 MNLDPNELSKWNCILEPKTNGINTYSGLYIGDIDCAKDQYLLSKLQIRAVLSVIDFPEIN LPESYIHSKISIPDSEDQSLLDHFPLCFNFIDENRKHTNVMVHCYAGISRSATVVLGYLM QHFDWSFDRAYQILWCLRKQILPNEGFIKQLRVYEQILKQENEIGQSDLKKEISNNSQVT RQNQFLKQIEQEIEERQRNLEKLKQKYKQIQNTKLISQQSKTIL >CAK88281 pep:novel supercontig:GCA_000165425.1:CT868649:530766:533384:-1 gene:GSPATT00003250001 transcript:CAK88281 MINEFLNITYQWCGDEQKIIQGYVLCDDHIAECKKYTQQFNGPATFSDENFKIMAARLDK YKLVIIFSKTFLIDPSKCSFLISTNDKNVPYYPKLMSYKLDPQENYKIALINSKNTYNLR EQIFINSFERQVTTGSIIPILICPKQLLSNVWEYFIEQDCYIDTLEELQEALMMSQRQVQ LGNAIIANTLINGCALFQKYIQIKPKFSRKEIESDIMNLTFSDLENSISQFKGMPIMISQ NGRFVQQLMILVKNMISQFFNFIEETIDLLLENEFDPQELSYVLEGQIENQVGQFTVQMH EFALVEQADFIILFEKIKETIFDIHKDIEQFIVLTSTFVDDQMQKVNQIFQDYPALANVR TFQAIQTDASQHIVRELQNYFLIEKSNFWRPEFINHFEFTKKIIVSYLEYKQERINNPAK TTDFFTSLVRMGLDPKMPIQTMQIIVDKNDRDFVKEKDQLFIEKINIITRGKRSAFKIYL HSKEQLVLYMIKNYVIIVSFDYFNQNLWTEVEKFSNLNMKLSSGVQHILETNIEYVKNIK LYEQETCGYSLDSNGQSKLIVNFSMNMDEQLKIIKKQFCNKIDKAQKGGAPQPTDPTILA AGAIGSGIGIFIVDCLDDNISWQKKLQRAGYGTAETTAFAALSMNLPFVGFLVGQTFLFY SVHKVFSNKVLSMQNKLKNMGHLGVKTSMGIGSAVAGQILIPVPVLGALIGSVVGGVGVG LYHKFIVPSTKNSLLGIINRLEQFIQPNGQLKYEKSVIKMMKINPTHFFENQPQTLDCSD WLTLVSINLVNEVAYLYEMQWEEQRKKLLEKEDNAHKIEQIITLENEEDKLVEKLCKWEI CRHYIYKENISTFKYAKQVGIFVAGMISNFKI >CAK88282 pep:novel supercontig:GCA_000165425.1:CT868649:533413:536188:-1 gene:GSPATT00003251001 transcript:CAK88282 MSGLQKQMSASDSKTLMSRKSFCGSNSNMNQLFRPEFGSTQSLANHERLWHLMQNYLPSD KKSIQEQIVNHVEFSLARTRFDFHQVHCYQAVSHSIRDRLIESFNDTQLYFHEQDCKRVY YLSIEFLIGRCLQNAVGNLGLQDAYTEAVQELGYKLEDLYDEEVDPALGNGGLGRLAACF LDSLATLNYPAFGYGIRYSYGIFKQLIQNGQQVEAPDYWLEKGNPWEIERLDVQYPVKFY GRVVKRHENGQEKSLWEAGETIVARAYDTPIPGYMTFNTISLRLWRSVPANEFDFTSFNE GDYFKSLEAREKAEYITSVLYPNDSSYAGKELRLKQEYLLVSATLQDIIRRFKKVRRDWS LLPEKVAIQLNDTHPSLAILELLRILIDIEGMTHANAWEIISKTFGYTNHTVLPEALEKW GVDLLGSLLPRHLEIIYYVNMIFLSKVSAKFPGDAHKLSALSLIEEGPVKKIRMANLSII GSHMVNGVARIHSDLLKTDLFKDHYEMRPKKFINITNGVAPRRWLRSCNQQLAQLYDEWL GTDEWVLNMDMLKTLEDKCEDQLALIQFMKVKRNNKLRLIKWVRQYCNVEVNADTLFDIQ VKRIHEYKRQFMNILYIIYRYLLLKDTPTEGRKKFAPRTVFFGGKAAPGYLNAKRIIKLI NAVAEVVNHDLDTNHYLKVVFLPNYNVSSAEIIIPASDISQHISTAGTEASGTSNMKFVM NGGIILGTWDGANIEIAEEVGLDNIFIFGARVEEVGKLIDNMKNSDPYQYIQKPLWNVIQ AIRSGIFGHDHHGLLDSITNRNDFYLVGHDFYHYAQAQIKIDQLYQDKIQWAKKAFYNSI RSGKFSSDRTIHEYAEKIWNIKPIVVPQPTANKQDRFKIQK >CAK88283 pep:novel supercontig:GCA_000165425.1:CT868649:537274:538284:1 gene:GSPATT00003252001 transcript:CAK88283 MMNTIIDQLSKQDFQSCFTTVNKFVQENEKQKSFPKEYLKLFITILNSLKTIIIEQENKE FKFKLFQVLRLLTRSQDVSLNVQSQEFLLLIDLMQIIQKQTSQSFTMMQIENLSIQKRLI YFNNKLLQTFNSKYVHSLLDIMTSSSKDLKLSLLDYIKLAMEIDGQLIQTEISSLYYVGK MLVLLENNDLELKIQTANLITKLLDCYPFSENFRKLTGMATVIQEIEKKDNDKLEVKIPL LNLLMRISSDSKNYPDLKLYGIVEILLQNLDHQEYMIVTTVINIFGQLSFDDELSSRIAQ KGLCINIL >CAK88284 pep:novel supercontig:GCA_000165425.1:CT868649:538315:539784:1 gene:GSPATT00003253001 transcript:CAK88284 MCHPHRVKQLKFIDINKKDYPKAILELQIYTFRLLRYLASLNRHRHIFKQLFIPRLLQQF IDIENFNKTLNAYKKLVDDFNQIEPEDLLCMQETLQQSAENFYMQNTIKKIGNYEILEQI GKGAFGSVYLCRLGGNFYAIKQINDVETLQYREVQIHLELNHPNIVKLYECFIHEHSLCL VIEYIKGMNVQELIKLMNEKNQQIDEENVWKILIDLLSVLRYLHFDKNIVHRDLNPANIM VDSSYSIKICDFGLAKHFQEDIINNSFVGTLIYTCPQIVENKAYSEKADVWALGCVLYEM LQQKPAFQSANPLMLAKKIVQLEYEPINQGIYSNELINIVQLCLQKEEDKRPSVNELLEM ISLKMVILMDTIKQEKDDLKSEFQDLQSKINDQLYETKKEQQEPGLQVWNIFQKLLQLSL HDYKTLDAKNQYLIEQFRRKISKPDNDINIQTELTKLTSLSKEFISYLPKITYEQLFFII ETECKSQQI >CAK88285 pep:novel supercontig:GCA_000165425.1:CT868649:539954:540386:1 gene:GSPATT00003254001 transcript:CAK88285 MFGQNVDTQDLKILKCVHDYKVMSKKLKIRAFAQFHQCQYNCVKDINSKTVETCFKQCEL ELDNYFEEKQKRKIGNKVFYALPIYNNSDPSKQYVIPQDQQGEYQKKTIQFEFESQRKLN ELKNILDERGKIYKL >CAK88286 pep:novel supercontig:GCA_000165425.1:CT868649:540451:541812:1 gene:GSPATT00003255001 transcript:CAK88286 MATHYRLDEQHHLLSTHKTKFQLPKGHFLFTSESVSSGHPDKLCDFISDSILDACLDQDP NSKVACESACKNSLVMVFGEITTNAQVPYETIVREAIKNVGYDNINKGLDYKNASIIVSL DQQSREINQAVVGAKHEDEIGAGDQGLMIGYASDETPELMPLTHHLCNKLIARLQECREK EICPWMRPDAKYGATFFPVRIHNVLISQQHDEKITHSEIQAELHSHVLKHVLPQQYVDEH TQYHLNPSKAFTVGGPYGDAGLTGRKIIVDTYGGWGGHGGGAFSGKDPTKVDRSAAYAAR WVAKSLVASKLCKRVMIQVAYGIGISEPLSISVNSYGTHAEGYDDDDLSEIVQESFDLRP GVIIRELQLRRPIYAKTASGGHFGRTEPEFLWEKPRIIDIAAWKAHKEQQQQK >CAK88287 pep:novel supercontig:GCA_000165425.1:CT868649:541816:547172:-1 gene:GSPATT00003256001 transcript:CAK88287 MDNLVEEQNSTYNEYDNQQTEVINQKEDLTNDIQQIENNELDEQPRAHKIAEESIVKNEN DDNQQIKQLQENLQHSHLELENYIQKYKALECQFSEAQTFQQKQIESLQGQFDHQNNEHQ SQIKEYQNQLESLQSEIEKLQVSLKQSEQKQQQLQEVQLEQNNQHRIDIESLIERLQKSE STKDNLQQELQKHQNNLELIAKQKKEIESYKIQLSEQNNLLDIIKSDNKIIVQNLAEQLK KEEQKTKDANNEKLKLEQSLERQLNEKNEQLKKVQNEIHQLNQELSSQEQKNQKIIKSLD EQIEKVNSEIKDLKQKSQHINNINEQQTIKLQQQEEIISQIINENSQFKGQVEEQQNLVI KLKEQCQKEIEQKSHFDKKYLDLQEEKENAELRINQLESKILEQQNEQKQIESNTFQDIQ NFQNTIQSLNQKLIEDKQNSEDKIQEFNNLLQQQMQNNQLMSKQQDDLKSQIEQLNIQNE DQGKQIYQNSQQILDLEKQIQDLKIINQQSKDQNEQLIQQIDDNISKATQETGVQEQLKQ KLNQSEDIIEQLQKDKSQLQLELEQLKEGSNLEKVQILQELSNAKEEITSSDEKIKKQIQ EKEEMITNLRLDIEEKSQQTSQLQDESNNIQSKLQQSEQKYSELLKQVEILTLQIHEQQD TIKQLEQIKSSFQNQLLIWTETINQKMSDLEQVSQKNDSNTKLLSQEIQALTQRSKDQEL LSEAQLEMASQNESSKDKNIEQLQQELKETIASLESNKQKYEAQYIALQEKLSLEYDELL QNKLNIQKLQLSNQYDQQKCEITNEIQIQKQLFLDCQQELQQFKDQEKFNMNSYQKEIED LNELIHKQMSEKQILIEELEQIQIKLKELENLKQENETLQNSVRIEQEQKNAMIQQFQKE IDIQKSQIIEEQKNNEQSLDKINQLQQQIQDLINKQEQVNIDLEQMKISLKQVQEKELKL VSDFNYQSVLLEETQLNLDTKVQYIKQQEQQYSAEIQQLKDQILGLNNQNLQLEQQILQL QNGHVIELEQNSRDVESKINQENQNTLIQLRNDFETAAMAFQEQKKRIQDENDAFQVQIE ELVIKNLEQKQQSQEQLERKQEEYQQEVTLLKRQRMEQDEMIQSLQKSQVQLKEKENQLD QLNISLSIIQEELYQMEQKYENNLLSQKQKHESQIEDLHRLIQELKQQLNNVESEGRMMQ NSLNEMEVLDFKCKELKTENEELKIKVQELQTQPNQQKGEFQSYNHNNNNEESDQIKELN SEILKQKQEHFEIQQQLTQEKEQLNDQIAVLKGEIQEQKKLIIQQQAQIQSLTQQQQAKQ SQESSNLINFNPYLQNHSYQQAQTGDKQNNIPQKEYQILEKKSKEQQIQIQQLSNELAAL KSQQEDKAKVQNQKLSRNSTSMETIVEKCAALQQIIDEQSIINSKLNVEIGLFKQQNEQL KEDIKVCHQELKELRIISQDKFRLESELQQALALIAEQHNTQNDSIQFKQLTQELQEKNK KQSEELESLKKQQKLIEKQKQKIQEKLNDAIEELEKLKKLLQISQQEQDQKNTKIIELER LLQSQLQDFSILEGQYKDSIKNYEIIKQQLDELNIKSMREIDELNKDNQQKEQRIIQMQG DLQNLIKEVHAQKDFQARQKQVSSAPLTKKDKIVVESLANRVQELEMLNKTLKDQLSGKI IKLTNELEEKVSYIQLLAYHFSKAYPNLTEADIIEKEDVKLIQQLKKKQNIQEIIERTMI ENLQLREQIKLLGAELNKKK >CAK88288 pep:novel supercontig:GCA_000165425.1:CT868649:547417:548166:1 gene:GSPATT00003257001 transcript:CAK88288 MLLFPLNIYRNLNEQCSLRRLQIDMLDHKLNQLNDDTCEITIKMDKDNILQIQMRPKIGP YKLKNYLFLLDFRKNYPISPPIITIGSETLHPNIDRKNQKFYLRLIEQQNWKPIYGLFEI VEEMKKTLIHVDFTIIPNETICLKMAQQILNQNQLDNECENQINSEYDSDQETFDFEISR AFKMNFEIVDSSQYNIQLQSDSQHIYQNLPAIINLQNTSKHKQNDNMFFIKIKS >CAK88289 pep:novel supercontig:GCA_000165425.1:CT868649:548242:552418:-1 gene:GSPATT00003258001 transcript:CAK88289 MQKNYLPEIQQSQSIHHLDTKVKSKMHSPSSSIEWDQLINTSIPNSVKQQLNPKGQTVIN LSKLGPCSDISYLRSTKRNFAKLGTSIYKEPELQQTKYDKNLQSFYGTLYRKTNTRIPFN TNLEKERFQGHLLDFTELFQDNQRSTNLQKYFGEILKLEMQQSIQEKEMIPPNRQQAIDL RDWLFMMIAYTKQESSNMSTRELAERIQLIYASCLRELIKQVSIECTERGDLLQTVWDFY VELIGQIIKCYSEQQRESEKSYLEQMQRIQSFHDSQMEIAKVRYQEYQNQILTIKNETSD SIDELNMTKAKMLLLTSEMRLLIETNETQKNEIDSLLLQLMLLKTQDNNENKKFEDQQID VQLAKGKSIVSHLLNYKTIATEYKFNKKKVKNTNFEKFESLREQEQQLKFDLIQQQILEP DIEYVDEEVQVQQDLDQKETQTDLNSQELNQQILQLEKLQSQILQMEEQQQNKDQLIVHQ QYEIDQQNYKSVQEQLILEQRLKEAISLIQESELDCKESICETINLSIKKSQHLSSRLIL LEKEETQKKIDLMEKEDENQELESKLTQAVQDFIQIIKQKKNQIKRLMKQKSSLQGTLKQ ITTTYNIEINQLDTLEEEKDSSQFKSYDQSSDIYHESKNVQQDDKYIDEQDELDYQLTDR SRIKDQDEGSKAKKQLKRIIKGNDKNDSLYIASEDEKKLNDEDLERYSSDHVINQEQTKN STSFNQFAENQTPIIKANNNDLSISLHKARQPSLQNIQKQKKQPNTPRQTKFSLQNEQFK EENNNQIPSEQQFASRNSQQLTIKKIDKIPKQPSSSQLIDGSQQQNQQSPQQRKQQLVID NELFLNVKNQKSSKTQKHSILEQKYLSQIKSSQEFDEINNSNNQFSNSRASYQFEIKSKG QRQNQFDKNINLSQNDESQSDEFEFESSPGIINNIQGERVKSVIMQYKDQKNKIRSRYNP IQLEQKNAKRFRRVYSQNTDIANGLLTDVKMKKKPNKKIQFSILQLQKLVTQILNDICKQ AEGYKIPFHVCIYDYYKNKYGFKQVAEKKIRQVYQFILYEKGNHIKAQLLAQFCYMLGEM DEIGQKLLTESYQYFSSKNDLNYGKVDLLLTYEQASEFLTEKSSRWLYQQQIQSLLQLYR NQQQNNQRYFINHDSLLIKILELYSNNKKDQQSILESLFKAADLDGNKLIEFSEFKTLYR AIHQEKLSKSQLLSIFTNNADFQDENGDKMLTLPRFTEMSIELGIFQKEIVLKYGEGSES LMNYWERDKTGIKYRFLRAKKFQKVRHTFLELENQIKFQEKDKQLILWVSYKLLNEESQR VLLNYETRQCMFQLLPELYIIEQLNKDIEQLE >CAK88290 pep:novel supercontig:GCA_000165425.1:CT868649:552504:554213:1 gene:GSPATT00003259001 transcript:CAK88290 MGACSGKSGGDKQQAMSKEQKQLAVNKFEVGPNIFVNLKQGDITDTYIINKILGEGSYGQ VRLVQHKKTGQQRAMKQIQKKKILKEQEDAMFSEVALLKDMDHQNIVKLFELYQDSQNYY LVTEYLNGGELLDKLTKLSTFNERTAAEYMKQVLSALSYCHAQNIIHRDMKPSNIMLASP DPKSPVKVIDFGTAKKQLSGESQTQVIGTPLYIAPEVIDKNYTEKCDIWSCGVILYQILT GKFPFDVKVQSLQQLFNNIKSGKYNFNSKEFTSLSFEAQALIKSMLSLDPKKRPAASEIL NDPWIKEKAKEDKISLDVMNDLGKFHNESNMRAAILQLIAGEMMTNEEKDQLNQTFQSMD KNKDGQLSKEELIQAYTQVFNDELKAKHLVDDIFTQIDQNNSGKISYTEFLVASSKQNTI LSKTKIDQAFKMFDKDGNGQISKQELQDIMCGVDIDNAQWGQIIAQCDKNGDGIIQYDEF ANMLLQTAKK >CAK88291 pep:novel supercontig:GCA_000165425.1:CT868649:554485:559681:-1 gene:GSPATT00003260001 transcript:CAK88291 MSSDIQVDLLKRRQQHETFLKCFKDHLLELQFPSDEELKAAKEGGGQLGSKLMKLAQNRD LHHTDMSENYGIDNEQNRDRYKKKNYLNLFQVERGPCRICSQKDTKCPFYRACFNIETFR GIELFSCLNCGCPMNSHVILQSDYNFSNSICSQVNRRYINESHLQFKACVSIFFVDANTA KDFKIDIEGYITSLNEAGFNALSFYEMDIASLQKIWLEKSLYSKKSNIKAQEFQQYFQFF GTFLEMIAKFGVADKFALQDCLQNPDDIKILEFYLKRLDKVNQKLGLAYQRNKIAFIFLI SGNQLQLQVDYKKFLYAAKSQLQYLHTDYQKYLLEEIKYSDSSFQPSDRIKTNSTQDLFY TQQQQQQFQEFQSKLSQRSQDMIYYYSSSTKNKAIRDTLLFFPQFYKLSVVSFLLTQQVD EIHNDKRKMNDTFMDMLDFNNISIFKQDFGQVLQRTLNEAFKFIPNQERFLKSIQFDGSG VNIKIGYKIGTQQLNIMSQNLPNYYKISQPTQNQTLCDRLCPEIFYNQRILIIYRPIVIK SNLSGLLNNVWKLNDFIEIDSDFVRLSLQEARMLAQIEKIHPQNLNNYLTMMTEGYSQVV LYARPGAYQGAKIISDGSKTGLKVRQSKKLQELQIQHQAKTGQFVEKLPMNFHNFFEQFT SQNIFLSIKDLFNLEELVLQENTTKMNKNIFVNEDDHVFLVPNQADQTGISQQVKLQLIR DLPKENYNYYLFTSSDEIITEQLASLFIPECTQIEHIYLILKPVLQTAKDSVIDDIKQLV SRMKFAIFDCKQLTLSDEELAKLINLISPYKLNKQDLQNYVVEYKQAPLYMLSLMKQAGM KEMKNFLTGFNFQVPTYTKPQLIHEIKPYLIPCLNYEIIRFISAQFNNGFYKFDAQTFEQ DSMNEQQLYLLKYILAYSVGVNMDNECEKLVQESTIRHTNFKVSSVSHGDFEIRIRSMHD QIKYTKAFELQQDYELQSWYYMKINSLFPQRAPVVYQYRMLFPERIECGEIDQVFDCQEY PGRNFLLEIYRQVFDFGYSVFEALKSEKLKHVEQKFSKTEKEIQKKVVKTFEDQMSRVSQ YMDKRSKMIAYFWGIKLAKFTKELELINSDDEFPNGFGEIIRKENGYECKYKIKTPEYEH ISYVYKKHYKQVFENINTYISEKLESDANFHEELNQMQSSNFEFGQSQLFSQIDQKKDVS KTNVERRLTRFFNSILPNDQCCELLKVNMNEFKIIPHNLQLQQIRIQNEREQIKTQQFDN QTTFFDWNHEANEQKRKKEEGQSLITPYCNYVLSHCLPIVGSQYELAANVLYLLLVELKQ LKFYDKFIDLQILQEDIHFKMSKSKKMEFTSKTDKIKTQLSEMSKMDYFDWFKNNFQIDK QETIDQIIKGFKDSYFINENMVQQMQQIYKEQQYNKKKQMPDLLYMFLYLKCLDEMDKYI NLHRQVKERMAALSKKSLKMEQYFSQTEGHLDTQKQDNTMRYSEIEQLKNEQRQYEFCIK TILVYISSDEFQKEFYFDSEQAELKSNQVKIKELKFNLEYYSHYMQNNLTHYDSEEREAE IQDQITKYFGFKQDNNQQKEFIESKRYFQPLEQGNNKNEWGKLDQETIDERMQELEKKRR DELILKIQENDTKIPYLKDYIKDDIQSKWVEGMAAQQGKFVDARPDNQKTGIYVDTVKTV EKKLDEKIDQVIKKFMQDKMNNFNKKQ >CAK88292 pep:novel supercontig:GCA_000165425.1:CT868649:559698:561139:1 gene:GSPATT00003261001 transcript:CAK88292 MDLIDTKVIELSSKTGIPDDQLKIFISLILCTLFGFIFKLSIRGRQARLIAGSVFGVLIT YYIYRSQIINVLFQTVVVYILVKVLGKKSPWPVFVESMIFVAAHHIYRQYTDYGGWKMDV TTILMMDTPKWTAFAFCVSDGLKTQLSKEQEIRKIVKLPSFFEYFSFIFFFAGSVLGPCF DYYDFDQFINEDKEYKSIPSTIKETLRLLKNAVICMGIFLFTEKYFPLHFVTTEEFGTYN VLYQTLWFNIMVAIQRTKYYGGWQLGEMSMASCGITYQGDGKFEKIKAVDVDWDLTYNMK DKVEKWNISIQTWLRRYVYTRIYSEEEMKKSPSKQNIAYQMTYVVSSLWHGFYIGYYFSF FQWAIMNTVNKAIFRVSCNTNYLKIFETNPLAKIVRWYIANTVFNVFGITFLLLSVDPIL KFYSNIRYVPHILLYGTYAFFLITNFGQKSKKQKE >CAK88293 pep:novel supercontig:GCA_000165425.1:CT868649:562588:563729:-1 gene:GSPATT00003262001 transcript:CAK88293 MYQQQFAKPLKQIKSKAFKDRLKGHKDAIITLVSPYGNNGNYLYSASRDGNVKSWDLLNR QIASKFLISKISDDTTVQDQDENQQSMKGNIICAATFSEFNIYVGYDDGFIKSNNIKTGE PVYAYQGHKAQITSIHFLNIRQLASSSLDGTVRLWDTTSNECEVIFNIGYPINMMNVNFD KEINLLYGKNTVVQIDPYKQSILRSVQFPNFTIMSYLVHKNLLILGTIDNFIHIYELSQL DQPNPQPIKVIVGLHGWALCMLVHDPYLYVGTDDKKIRVYEMKNWEMKEDFSGHIDGVTT LALANGLLYSGSYDHLIRSWDLEEMYQRIRERAIMEKEDLNSRRYDVYLKSLPKKKKALP KKKK >CAK88294 pep:novel supercontig:GCA_000165425.1:CT868649:563765:565198:-1 gene:GSPATT00003263001 transcript:CAK88294 MQQHYLDSPNTANPPPSAPNPSAGATGGSHLDEDWLLIAGIVLAFSQLGFGFLEAGSVRY KNAQSIVIKVFLGMCLTVLIYWLFGYGFSYGDDFGTFFLGGTKLGAYNWAATEAQNDYSN FVFKATLSCIGVSIVSGGAAERLTFLAWGVLALFYSGFVSPAIVHWTNSNGWLTKLGYKD MAGSGFIFYSAGVAALVVTVVSKPRKYRFDPNSSLNFQPFTSIYVAFGTVILFATWMFIN GGQIQSGQATPYVQGLVAVNTLVAGAAGGFWSFLTRYFTKETTSLFSISRGILAGLVASS AAAHESQIWATTIVASIAGIVYTLTATALPKVKLDDPVQVVPIFLHGGFIGVLFTGLLDV NGGLFYGKGMKLLGCQLLGLLIITVWVAFFVFFILIVLKGFAVLRIDSDAEVAGIDKEKC NYEAISFSNEDQQQVNIIKSVSH >CAK88295 pep:novel supercontig:GCA_000165425.1:CT868649:565229:566228:-1 gene:GSPATT00003264001 transcript:CAK88295 MLNIKKKNLRARQGTQRWRKNIIVEMGEFENIDFTQNDAKPVDFQVDAQPVNIYENKERF KKKPIDPKNQSQQEKITIQNMAEQQKKLQQIQQQNEIQDLWSEEGNQKKVLGTEVKAVVT PHPGQSYNPQLKDYNELLDDVVKKEIRSHQRLQNEETEAEKAQKLTQREREKKKQKKMSK IQKIHGKEVVDRLIEKQKNHELLLVKKIKKELNAEAKLRQQKRKQKRKEKRLLERARKLS GRNLVPIKVGNKKVEVTQDEFVLDDQLKSHLRHVTQGDLLVKSEFDGFVRRGLIEPKSKS NKLSKAKVPLFKIKEK >CAK88296 pep:novel supercontig:GCA_000165425.1:CT868649:566468:568000:-1 gene:GSPATT00003265001 transcript:CAK88296 MLTQIESLHNQNQSMLSTNEVVKWEWQPFNNNIVHNGQTLRIEASNVIKYQNSNQGYSLD LKLWKPFPFSANIGWNWHKSWNIPELECQLKIDNDLEHHILNTLTIVANIYIVKHNYDKM EMESIGCQRNGISILRQLKFNTTSYKNEYQKFQILVVITQINSSQQFVIDAKCSPYIFVD SRKHARQQALNNNIQLIIDPFLPENLDKDFVKKERKNQPKNLLKVDNTIQGLINYFTAPN IRHKIKHPLFLAIKFDKCVKLYLKKQNLAKNSKDIILKVQSILMKSNQERQYSDKGMALL FQIDQTNELEFKKVYEITQIFENDIFMLYTKQDQIPVDYTLVEETSRLKYYYKQLYPNLI NQKGGINQQSENQEEQAIVKQVTLNEKDYENQIDTHLLIKQCQQHKQQQEEYNNNQIFNY NNQLSYYYNQYQLQQQQQQQQFQIQQQQWYNNMQQQLQQQQQYYIMQQQQYQYHRFY >CAK88297 pep:novel supercontig:GCA_000165425.1:CT868649:568403:569013:-1 gene:GSPATT00003266001 transcript:CAK88297 MEQKPKLTFGDINFKNLEQFKVITQKTLPVTYSENFYIKILTYSDFSTLGYYNDIAVGAI TARIEEKDGKKTAYIMTFGVLDAYRRLGFGTQLLNELINRVRSHEEIRTIYLHMWVSNEI GFQFYSRHGFEKTTYKKNYYTDIDPPHCYILTKRLYPDVDPPIPYTEEDAEKEHEIAQQ >CAK88298 pep:novel supercontig:GCA_000165425.1:CT868649:569411:571494:-1 gene:GSPATT00003267001 transcript:CAK88298 MDITAELKSLKNGEILLAEGFSLFEAMSATELFDKKIDVKLGLADADTPQKLLQSNQIKS GSQLTNEELIGLFDLVIQKITCWLNGNALAQTIYTLVYQYDDSLWDNPFVRALFDTLNTV GSQIFKTIKDAPFLREEDYNPSVFSFRNKRIPTIQELLTTTLNPLDTELSGKVKKSKQLT IADALSSRIKVLKGFCLIVQCLQQPFNAESQKQITDHIEFIRKRFDAVVSTKAMGKDMSN YQSATILKHLKSMISMRKIPYIENPYEYFSIMLNQLEAVLDLSAERNYFIIQQKICALIH DYPKNILIRAYLEQNLFKYDQFLFFHQTPWINIIIGTLNKMFSQNFDQLYQNVFKEYQDC LVPVTYEYIIKQLRSKFRKSRDFHKNYSHLSILVHHSNQIDQDIFKNKNPLMIFSYEIAV QSMIQQLQYGFDLELYSDVDYASIYFYLEYLTTILDRNRSNYVSQLTNSQREQLQNQNKK KPKVSPFIDQMHSEIQYWKGLNCLFRGLSRLYYTLMENGLIKKVQDMNHRYSNRMKIFDT CYYIKAVKFEEYTQKMNSYGSFQDTLKFVSSSLSEAQQLFAQAKENKILPQQMQDQSKDL VMICVVTSVNAMKLMQNQKYQISYKKTTVPFVPQIELIALQQ >CAK88299 pep:novel supercontig:GCA_000165425.1:CT868649:572229:572861:1 gene:GSPATT00003268001 transcript:CAK88299 MNFEILGLLSQLPSKKYVRKLFEYAYLFRNKLNSFQEYMETPVSASLPQDLQISEKQAHT LIIGLVRLLENAELLQWNEQAILSIFPSNFDQQLAKLLTDSILQISELCDKITNREICQA DSLIDIDWRVDIQIQTHNQQKVNMPVLFLQLETLNTQKNTKENVTFQLNQNEVNNFHNNL CKIKEQLQTLAQ >CAK88300 pep:novel supercontig:GCA_000165425.1:CT868649:572888:573580:1 gene:GSPATT00003269001 transcript:CAK88300 MSEKVKILMLGEGAVGKSSLLNRYVDEKFSENIQATLGVEYRQKILTQGEKQLIVQVWDT AGNYWVQCLGQERFRVITPIFYRNAQAVSLVYSVVDKNSFQQVQTWIDNLKDQIDCEQVS IVLVANKCDIAQREVTTLEGQQLAQKYQIKYFECSARTGAQVKEMYTELVQQILIRRGQI NSSQGGEKNQQNIQLDGGETQPQKCC >CAK88301 pep:novel supercontig:GCA_000165425.1:CT868649:573715:574359:-1 gene:GSPATT00003270001 transcript:CAK88301 MGNACLHRLDNKRSKIIKINGYPKLHCPNKLILEPCIQNITQNLDTFEEDFFKSEFNLDN SPDNQRNQTIRKVKLESTIEDIKKLNSIECPSYFDEKSDFQLENSFDFVLYLNSKDRSAT VHGQKRNHKKKNKQKVSLQKIQRPKSILKTTNQQHNSSFCSSQYVKSQKSVTFSLDTYNS RNLRSLSPMLHSIQGRLPQEMSRSMTYLQQFPYL >CAK88302 pep:novel supercontig:GCA_000165425.1:CT868649:574683:576854:1 gene:GSPATT00003271001 transcript:CAK88302 MEAKNKTKPKSTKQKAKLQQIMSISFENIQKKKNQPENQNAQIQEDQDEQAQQFQKISED EYQNQLDAYYQEQNKRFSFSQPMPDLYQVQQPQQQHQYQQLLNKQQDVQASPKNKQSQNQ NYSQQIYRQQQQQLQQEQNINESHFTNITQQSPVFIPQLPIKQTVQQQLDRSTKKSSHQH EELFQITSQLNDSQDIPQMKKMSIKSEHIKKQSRQNNSNTNNISNILDNFLSHRQKVTDQ SGLYQSELFENAQFQHFGGGQNEQSVIQQQSLLQSIHNETIEIVKDQIQQYHFDDIYQNA RNDHSLQKSLVNDKDEEDLDNLLQDLYECTTKQIPKQIIESSPKLVNQQTKEIMNNVFEN VPQDKQQQNAKPVSYLTGSKIISEQKNEDQSPAYKNKLEDEVTKFSTQEQNKKMKIEDFF SNKQQSSSNQQKDQVVKNQQNSNKETFQYQSIQDKLKNSIQTKEAENKNVQQQSSQMSFN TKPFQISSTDRNYKVKQSFGYELTFRQPQKHLLTISQYLFKYSKLIQEPNLKRLSEIKVD TVIGNNKNHQIICEQYDAQQFKDLLKEKDAEFYQLCSTNFILFQQGDSNESNSVWVKCYM LSENLLSYLFSLGFIVDDVNFQSILKETYLYQEKNKKDKYQLDLEAAYYSVYQSFKLIYA DFNKLLEVVKFFSDQETNLIEISTAKLVLSKMMNEQQMNNVFKWPDSSVKYDQLFKVLTL LPY >CAK88303 pep:novel supercontig:GCA_000165425.1:CT868649:576972:579125:1 gene:GSPATT00003272001 transcript:CAK88303 MKLFEGQEQPKLKLKINKQFAEKYQKKKERELLDKKGEILDQEESSEDQIPEDENGDLIN DKVTQKFIETLAKIRYKHPDIYQNREIFQEEDFDEPNIRNKDQKKVTYADLQGQGIENEE EEVENDEYEPNVGLTPNEEQLRLKNAFINAAKNSGKQQKDLLKVKQKTVKEIEDENKEFD KLLKKQRPEETDILQRYWGNEEELDEKDKFLRKYILTKGWIDRDDVNEIIDSSEDEERMD QFEEKYNFRFEEEGGNQLITYERDLTDTLRQEPETARHRQRLAKAQREMEQKQQLEKELK QLKAAKKNEIIQKLQKIQQIGGVKDAKLLLEEIDKKDFQPEEFDKKMEKIFDEEYFEDED ESPEELEENQEEQGQEGEKNDQGLDVDNVIKIKPTIPIIMKKNLNQEEMDALKDEDVTIW WFCDACKQTIEPNHFRWDCQICEDYTLCENCNKEHPQHKLKKSLVPKSCKPPKAEEAEQL ISQFKYCRACQIKMTEENDYYQSKKDFLCVDCFEKDKNQNRYTFVEAQVVDVNKLLDENP EQLREKLPSNMKNIIDEYYSLDFEDIIAGGIKTKFQYIDVEKQDFGLTNDDLLYADDKLL NQYVSIKKLAPYRDSSIKIKKNKAEKLLAQIRKSTKRNKKLILKGVDPKEFQKKKQEEEQ QEQKQKVQLEVEDVVENTTLQVNSKRLKTYGV >CAK88304 pep:novel supercontig:GCA_000165425.1:CT868649:579419:580420:1 gene:GSPATT00003273001 transcript:CAK88304 MSDKSSIEPPCRRFLGNDHNYINFNLIQAHQKLRDSGCKRINSIVKQHISQKFLTRINCK TDASMIKVGPPKHDVLRLTFRKSQMQRGWIFGQRQYLNETNPAFEQQLQTILTKNEQDEK LIRIDQKQIQEIQNDLTYSERYVDYLNNKYHIPQDYSQKIKKIQQLKQQVKQELVISPQT SPRKIILQKSQTFQLSPNHCVEPSLFIKDSYGARRASKLQKAEVDSIVDSLRKESKKQID LQDNDPCNVQSMKQSRSKMLGSLLKRIQKQNQMDQSNTNTNTNCVSSPISKMKKSNSSIF ATRFSRPTERSIDQINIQ >CAK88305 pep:novel supercontig:GCA_000165425.1:CT868649:580729:581937:1 gene:GSPATT00003274001 transcript:CAK88305 MQDIRQMRQKYESIKQSNPNTPKKCLTPTISAQYVKTSPGLQMTPPSNPRLKKICNNCLN RSLMKQKEFSVQQQRQEDQKLYQQVKQSIDQENQYRDQLAEEKRRKFFTYHKINGELIQL HQQRVKTEQQNEQVEVANFFKKLEKDDNLRKIKQLEKKEQMQQYYLRDLKNQIQFKEEEK SQQKLQNNKSDIIESQFWTQMENEQIHNQQIKAQQQRQMINYWKYTLGEKQKLKQQQEEM NKIEQEQLKYIQKSNEKMMKASEQAKKQQMEQFKQEIQYQVKINEQKRRDEENKKQQEYY IMIQQKQQEEQREKQKQLDKSIERLTFIKDIEQQINFKKEEKERELSENEKKLLIQENPI SLVCCDECKHNCPSKVITNILQ >CAK88306 pep:novel supercontig:GCA_000165425.1:CT868649:581954:586413:1 gene:GSPATT00003275001 transcript:CAK88306 MQLDESNKTKKNEQSILKETSKNRNIVSKLQGNPVRLQGLKDSFKYFTLLKKNQYLERKQ YRVSRDETLVCSCIMCPEDQIQNRPQGPQYSYNCGERCLNRFTCTECDVELCPCAEQCKN RRFQKHDDACVYPLRCGGKGMGLFAGERILKGQFIMQYVGEIFQINSAFGRRRVQEYSKS TCTYLMKLNNQEVIDPTSKGNLARFINHSCEPNCITEKWNVLGEVCIGIFAIRDINEDEE LTFDYQFDVFHTPLTKCLCGANKCKGYLGLKPTDVTQEEWEEHLENMVCKICQTKTPQDD EQLLLCDKCNCGFHLLCLVPPLSSVPKDAWYCQECQDEKRILAESEKEKEKIEGLLQQKV KQLNNEKKNKKKMNSSSSSSDSDSEYDNRYKMMKSLEKQTVREYLQQKQHQQQQINKLEE DVNYNKKEELDTNISDLPLPSKSIKSTGTSLNNLDQYTYNNRIVVNSRRCNPQLMQPRKN LKKQYDNNTDFIQVQNIQNIDTKKECFKINILEQKVVKKNAPLIYKIGNKISFEQIDQQY ADFFKKENNLTVIGSVQQISIFRSILIMIEQIIKELKKELGIIEGQIKVPIIYLKRLINK FNSLDKKQEVNIIYNKSLAHSEEIFPMDKATPIKIKGSKQNIESTVQEISKILKTLCVQR LYISRSETKTVQQNMYHLKQHAEIRISRDSVYNAKGEQTQRDINHPFFYIQYREKEVCLI GTLQQVQETSNAIKLLLEQETNNDKEMTYFTVLISPQYKEIIIEKDSSSTKVLLFEASHP RKNMTILILCLRNQIKQTKQMFFEMIQEDCQLKLEQFQDQMSMQMCRYVFKYLQNTMMTN DMAFMKNWDLITPYFYQFNILKYREQKFDNWFVKRCYPSLLRDYETQLYIQYCLGKEIQK NLTDQEQMQRKKNLILLTRKILTAILGFKRHLPDQTQQDGNQQQDQQFRRFNSVQQDDTP KLQNLPVIQLITQTSQISKRSDRQKDSSDDSSSDSSNNQHQKRQSSSESGKSRDMSTKQK HKSHHHRYNYNQKSPVDRYDKYKYEKSHYYDKSKQKQKYQSYKYERPRTSRRYYDDHDYS KSRGYNKRRSSSEGSQKSQDYRRKRQESVDSDKYKNNKYYSGFQFERRHPKTYKYDYEKS TKMQESQRKISIKTTKQIRQQRWLSKKIQINSQIQEILQEVLPKVFFVLGGPGAGKGTQS AKMVEKYHFVHLSAGDLLREERSKKTQNAELIEEIIRSGQIVPSHITIGLLEQAMQAKGL DKMFLIDGFPRNQENFDVWIKLMGDKVEFKKLFYFECDEETLKNRIKIRAQESGRSDDND ETLVKRLKTYNESTRPIIQYFDSLSQCIHIKADKAIDEVFQEITKKLDEIL >CAK88307 pep:novel supercontig:GCA_000165425.1:CT868649:586428:587761:1 gene:GSPATT00003276001 transcript:CAK88307 MHADSFLTTKYTSFNRSLHKTRSYSFQHHSVDNARPNLAQKKEIENNRQQHHSVNILQQI PQNISIITLFSKPIVEQLLLRQSDLYMKQFKIQLSLILDQGNLQQFQALICMTADILLKI KDFNNACYYYNQYRILNTLTKNHSEKAVSFIGLANCATEVKMNKEALLLLKKGLQYSWLC KEHEIQIYERMAINYYYLGQIEDQLYFHERGLLGVFEAEESPIKKFSCEALRDQIRKNVI EIKTLCPQLLNYLNLPILSENELNNKSQNCIRRSHTKKQIIYTAQEQLEVILRNCDEFQF QINTPRYQRLIQYESQKKQTDEFRHPLITKTSYSKEKRVYDITDSTIYKLPFEMQVNNRI QHPYIFEDINSKMYKQLRTRNPNQKFGLRNKVLLNHQNREDFHYQKNGACLYRQIQAIFK RYAHLFT >CAK88308 pep:novel supercontig:GCA_000165425.1:CT868649:587851:589257:-1 gene:GSPATT00003277001 transcript:CAK88308 MNQQEQEFFHTLKQCQKMHWIQSKQMSNVKIFYTGTNSFYQRGDIILNNLLPLNSILDER VQYFRIDKQQISDGSFIERCKFKNQIYSLKYQYHFFKINESSQGIYRKYDDAIMVQQLVF SKIEKSRTILTIIQHLKSKPNYAFFKFLRECIINYSSLENAELFEKQSILSEFRLDEEED ESNKYVCNYNDTCEYSPKETDEKYINEHYRVTQLDQEDQDIYYKLFQKVCEYKLSSNTLI RWLIARGFDIDASYAAIMNWIEWRRQHRINRLSAKQFPEFKGILEIVGESKCGRQVVYTK QSKLQPDKIDLERYKWYFIAFLEDVCRSCKGFVDSYITILDVDGFGFSNFDLQMTKSLLN MVLQFFPERQNKVFIINMSGFVMGFYKMLKPFLPTRTNDKLIFLGKDRQEIEKTLMEHLG FSINFE >CAK88309 pep:novel supercontig:GCA_000165425.1:CT868649:589519:590226:-1 gene:GSPATT00003278001 transcript:CAK88309 MSKQQQEIEMHDVQQQDTYISHLDLLKEDDSHPIMWLLHILLKACAFFSYLLLGYFIQNM FYEMIILLICHGVDFYLVKNVTGRYLIGVRWYTDLSFHGQEIYKYEFYNKGEVNAIDSTV FWYCQFGSSCLWAFFVFANIFQFGLIDIFLAGIGACLNWINLWGYYKGSQEQQKKMRNIK QFLAKKGAKYLINQK >CAK88310 pep:novel supercontig:GCA_000165425.1:CT868649:590413:592017:-1 gene:GSPATT00003279001 transcript:CAK88310 MGCAASKQDKDMADNPFFVGPSLFINLKGGDLLKHYRIEKPLGKGAQGEVNLVVHLMSEQ KRAMKKIIKSQKINEKLIANECQIMMYFDHPNIVKIYELFQDSSHFYIVYEYLSGGELLE LLNKKKELKEQQIANYIKQVLEALNHCHTCGIVHKDVKPQNILLESDEQEAQIKLIDFGA AKPVDQNDVDIAGTPLYIAPEAITDNSNEKSDVWSCGIMTLQLLTGSFPYRNETDPQRIC EMITRNEIDYALIEKIKTNKAKAFVKKMLSFDPKNRYTVEQALLDPWIQDNKNNAAIDKQ VLENLKQFHSCSKLQQAILQLIASTMMNSKDKQKLIQQFKSMDRNGDGKLSHSELKQGYM KIYNDELKAEHVVQEILKKGDFNHSDTLEYSEFLVAASQYNQLVEKDKIEKVFKLFDQDG NGQITIAELKKVMAGAAEKSTVWKDLIKDFDQNGDGQISHQEFFDTLMKKMQENQ >CAK88311 pep:novel supercontig:GCA_000165425.1:CT868649:592049:593747:-1 gene:GSPATT00003280001 transcript:CAK88311 MGICQKKLIQSSNIKQIGPTDLETQITMIKTHHFDKNTQSYLVKDGCDQKEKIMTVVQKI NDDQLKFIMNITIQNIHKIEKVYQTDSYSIIFQSKPQGLSIFEYCLKKESFDELSAKQLF KQLIQTLKMLNDLKITYYLQSPNQILVTPEGNVLLNGIYIKQKKESFTISIFNPPETEQS DIEKLNVWKCGILLYILLKGSLPNKYKYLYPYTVKDLQKQILFDPEDPTIPFLQRKLLIE MLNEQPEQRLNYNQILHNQWIIGNSTNVTPRQVISREIVQFDKYLLQCIQLDQYLKEFIE THTYLNIRHDMLLQSFSKFDLNRSKKIQRKDINYIKQVLKNEALILSILYFSESKTTFVY EECLQQWEQQIRQQKLENLKSCFPNQEVKKSQVVNYLQPKRKKYEDGLILSDNSQMITNL TKDIYQFDEFILEVQKGINEKAKDYVKNQRVFIKSEEIQQEFNQLLNMLKADKEYQSNKI QQNGIKQIQQILGKNTMLNLVLQKEIIDHLEQFEKQDQKKSYKQLLNQMINKLQ >CAK88312 pep:novel supercontig:GCA_000165425.1:CT868649:593967:595666:1 gene:GSPATT00003281001 transcript:CAK88312 MEVERNTGNDKLRQQAEEHKNKGNDYFKRGLYSNAAEEYEKAIELCTNEANYYGNRAACF LQMKKYSKCLKDCEQALSLDPNNIKFLRRKALSLQYLGLLTEAKPIFEQIANLDNSEQSL KEHKLIKELITYLQQARQKLDDNQYKEALTFIERVAKEVPDAVDIQILNCECLARTSNIN QAQEQLRLIQDKHGPRVETYYLKGLIELYGGSPDKAKSILQEGLRQDQKNKKCLAAFQMA KDQDSYKSKGNDCLNSNRFDDAIDFYTKALAVDSNNFKFNSIIYANRGLAYQKKKDHQKA VNDFDKSIELNDRYFKPYLRRGDSRQELGDLDGAQGDYQKVMELDQGSIQQMRQKINDLT RKQKQLSKKDYYKILDVEKNATDADIKKAYRKLALQWHPDKNKENEEQKKLADKKFREIA EAYSVLSDKNKRQQFDMGVDPNDPMGGAGGFETNIDPSQIFKMFFGGEGGGDFGIGNMGG GDFPGGFKTMFTTNLGGMGQNMRGGQGFPFQFGDFSQQGGAGFPGFQFPGMQFTQQQQRR K >CAK88313 pep:novel supercontig:GCA_000165425.1:CT868649:595699:596370:1 gene:GSPATT00003282001 transcript:CAK88313 MFYAYSDNLFVQYLKCLIRQINLPAAKKRRQNKKDQIMKFSIQLKKSIIYYSMNFYPIDF EEQASQQLINEPQIEQTNESLYYEYDQSAYQEPEQLNTFDGYLQEEPVLLFNYVEEAPKR KTKHVNRKSKKIRKADSETDVPSGKRIKSRITNNDVLKLQQCQRLKTIISQMEALLQQTR TSILSQYMKNHTK >CAK88314 pep:novel supercontig:GCA_000165425.1:CT868649:596994:598528:1 gene:GSPATT00003283001 transcript:CAK88314 MSEIIDQSILKKYEILTKIGKGAYGIVWKAKDIKSQKIVALKKVFDAFNNPTDAQRTYRE VSLLKKLNHPNIVSLIDTYPAENQNDLYMAFEYIETDLHVAIRAKLLQPPHRRYITYQIF KALKYIHSSGMIHRDLKPANILLNSECQIKLADFGLARMVSTWEDDILTDYVATRWFRAP EILLGSKWYSIGVDMWAMGCMMSEMIMGKVLFSGGSTINQIEKIIEVLGPPTQEDISSFG GQKQLFEKFSRNYKFTLKSILNCGNDEFDLISKLLAYNPNKRLNAIEALNHPYFREYHNP EEEITFQGQIKLELKDDKLFPIQLYRDLLYKQNKNSNRMMNLISKQKKLLQLIERKEIES IKKAKSQQQLIQQSTKSAFLQNKSTNNFEKSVNKTVALQQQNNYTKQQPNLNNLKQQQLQ NRLKQICLSSPDKIETTVQSKSPKQQILMPQRQFMKTTLKPIIINNLIDTSLSPQSLSKL QAINSITQIQL >CAK88315 pep:novel supercontig:GCA_000165425.1:CT868649:599556:600690:-1 gene:GSPATT00003284001 transcript:CAK88315 MNNKSINQQDHLPFPLFNHRFFSEFSQDSKLIGHLNQEMLHLNHKCSYKWMNQPKLEWTL DNDIKILVITWNMHGIIPGYPLKRLFNVEQVHHDLIVVGTQECSRSIAVSLLCESKGSWE SKLKEELGKNYVKVQSTTLNAMHLIVFAHVLLIPKIKNGRPYTLSQGFMGIVGNKGGIAV SLNINDKIFLFANSHLESGQNAESKRQTQFSKLETHFEKEVFSSHKNVPYDYLIWTGDFN SRIDQKITTQTIRHHSDFFMWLQNDQMYQSRNHSQDYQSYFHEGMIFFPPTYKMLEFHNQ WAVGKDFRIPGWCDRILFKENKKTKTFSSTQGQNESVTQELKLQNYDANFDILGSDHRPV FAQFTVSFQ >CAK88316 pep:novel supercontig:GCA_000165425.1:CT868649:600735:603947:1 gene:GSPATT00003285001 transcript:CAK88316 MQYSLSKKLEEFQDLDPSESFPQRRVSKTIGYQNSQSIQEEDEEQSSSNVQQPKAKLPYM NSSIQQPCEFSGSDMKVPTIYTNIQSIKSFDKNLFQPESKNKIVDISGFDSAIQSPQSDS VESYLVDNNKASTLNSQHIKAIINRFIQKMKRKVDWTQLNDFTLTDNAYEVNPQIYKWFT IFYFLFSTTINILSIIFIPLQIVEELEFKIVAYTAHCLVIFQITADIHFKRGPFHLQEGN FNTSFMDDTKLALDLFRIIFAILLILVYYYNVKYLIIPYFILQLIRQAERFENIYTSISL FFYIIVLWLTIIMSFVCLFECEQDIYYSLTVAVSILTHNGIIAVDVTPTNSFLLQTYMII GWLSMIYTATVIFIWIKPSIKLEQEKEKHLASFLQGIKNKNIDYGLQCRCYSYLEYIVDE DIMKTRDLLTKKLSPALQEELQVSIRSKMVEKIKLFKKFSSSLRQQLIYWFEIAQYNPEE NIVQEHLIEDHCLYYILKGKVKIQFQGQFQGKPKRTFHTLTEGQTFGEFSFISGIPPYIS LNSDGLTTVLRLRRSDFLEIIKSFPQDNEIFCFYKDNCNQNHNMFECHYCKVQGHMLFEC QYLQYYPQKMNVIEKYLYPHIQQRFKTERRLKSPKALPMLFVVGESAKQFQQKLSQEIMT SEDFPVSSQLPYSENQTQQSASYLSKTQSIHKNPDQLSENPDSVEDQLYDEMIIDPVHNN QFRKQQNKTTLRTAGFPFQADNLGTKVHKPMVENLHKEKLQMISEATQSKQFDSLNSKHE DSNQFSKQTSSSQAFVKKNNVYSAARSMHTKLTFRYQQQQSSQMEESIQFQQQQNSVRQT SNRSNTYSNPLSNNISNNPSIASKVHTKSARVSPTQFQSQQKKKDERASHSDQSLSEQRH TNRKKSTKTGTKVSILQSQFQVINTPDNPHFQYNEIFFNRFEKMHLFKYYNPHNNYDNVI QRTNKFHRQKKGKPSLYTLKCFVSTKIKRVKRLLEQQT >CAK88317 pep:novel supercontig:GCA_000165425.1:CT868649:603955:605548:-1 gene:GSPATT00003286001 transcript:CAK88317 MQVNSRSAVQMFYRSVYNNTHGLLKKRMRELVPVKQALLRDVRKRYGAKEVCKVTVDQAI GGMRNVFGLFYDASLLDAKTGITMRDYNIPELQEYLQKAENGHEPLPEALFWLLCTGDFP SEQEFADVQQEWKQRGQLDSETQKFILSLPKAAHPMTMLSQTLLFLQKDSQFQQVYDQGK VSKPQYWEYFYEDAMDLLAKIPRVAALIYRHKYKNGEIISADDNLDWAGNYAHMLGYNKF EVRECLRGYLSIHADHEGGNVSAHTTHLVGSALADPYLSYSAGVNGLAGPLHGLANQEVL KWLLEMREELGENISNEKIQDYVLTTIREGKVIPGYGHAVLRYTDPRFIHQKDFAARHIK DDPLVDLVRQCYHVIPPVLKTIGKIQNPWPNVDAHSGVLLYHYGMREFQYYTVVFAVSRA LGCMANLIWSRAFGLPIERPGSITMRWIEEKFGENQNIK >CAK88318 pep:novel supercontig:GCA_000165425.1:CT868649:605926:606876:-1 gene:GSPATT00003287001 transcript:CAK88318 MSSSKDAFIPQIFIDFIIGVSSGVLPKLIVSSIYELQFLIMIGYPYKKQNQPTGILDGCK QVIMDGTLLRQLRKNTRMVRFSLIQGFNFSIYNALNRQCLSKIDVNKQLIKYFGYSLLNG GIAGTVTLPIFYTLNFSRVRLANNLIKAQKEKQKLWFMLRDMYKGFGMTAICSFIYKACY FGGYDTGQYIIWGDQLAQRNELNLSNFLLAQLVVSSSELLVHPLDTVRKKIMLNCQQQRL FDHIFNSYQKEGIRGVFKGYIPINPRQLVPSTQLLIYDKLQQKLNFQAQY >CAK88319 pep:novel supercontig:GCA_000165425.1:CT868649:607506:608514:1 gene:GSPATT00003288001 transcript:CAK88319 MDQCKTYSHIRATSSCKSKHKISNKSRDLINPRKRSVTFNSDVDLIKSISCQENLLDLIG KVQKQKQDYLLSLQNSQMSLLPPLKLEEEDNKTAKQQVKKQTNKEYYEFTIPMDPYKKQL YQKLNIYGKFIEPTQYKKIDYQQIQTFESAISQIQFQECNKDKINKLQEQDTQKQMDKLM SQVDETQRFNQQYYTNYNQDYDLFQEQQKMNKIISEALKFKQQKREPINASKSVKQKRTQ MRNYLQNQYQNALNSVMQGINVNTEEDNLFIRKKYQKKIKLNTSFNNSRITSQYTKKAIS SQIIHPDRQEFVNKTLEIYDKCNTYLH >CAK88320 pep:novel supercontig:GCA_000165425.1:CT868649:608544:609293:1 gene:GSPATT00003289001 transcript:CAK88320 MQQSVIDSNIIQSTTVKTIKELKKLGDSRVNLEGDENNINDSTLSGKQTPGDETSKTQHT KITLNKKPKLAFKLQTQNTIGSMKQTIIKTSDDVDAQNYGIKRASLRQGSMKPQRPQPQL QLEQNDPVDMNNALNLILNKCKTIKEEFEQLQLTNTLINKFIKNDKHFHFSFQAFLDNLV SQGKLAQTLTNIQKPVLQRIEQFTINHVKKCMQKNQISQQYEEKARQVDLLFNRYYKELS NIEQNIKYF >CAK88321 pep:novel supercontig:GCA_000165425.1:CT868649:609866:610063:-1 gene:GSPATT00003290001 transcript:CAK88321 MGCITSKPTSKSQLPQSARTQIQIQFQSQEESPYVIKKNPIFQRRASQKSIVSPLQTTSY VQRNK >CAK88322 pep:novel supercontig:GCA_000165425.1:CT868649:610228:610425:-1 gene:GSPATT00003291001 transcript:CAK88322 MNSTSQYSKSKFEFDEIMQQIESDSPIQTVYRKNSFAIKNLNLYETPDEEMEQTEYSAKS LQESQ >CAK88323 pep:novel supercontig:GCA_000165425.1:CT868649:610595:612098:-1 gene:GSPATT00003292001 transcript:CAK88323 MQDFQAGDVIAEEYQIVTMLAQGSFGKVYLGKSQTKNMNVAIKVEKTEVSYFNSLIREIE ILKLLEGVPCIPRVIWSGSEKGMRIMIQNLLGKDLIHYQKKLKKFSYECVCNIAYQMIGI LEQIHNKNIIHRDLKPENIVGASQQDKIYLIDFGIAKNLESNKKTKENISFIGTTRYASL AAHLGKEQNKKDDLESLGYIIIYFLNGSLPWTNVGKDDKERIEKIGLLKKDMSPEELCEN LPNPILKYMKYVKGLSAKTKPYYSQLKELFTVAKVDPNMPFDWNQRAKSNHKMNSNKTIK TSKSAKVFSKSKSQFRKTQNNNSQESSQILIQQHQTPLKKQYKTFVQPESQDKSCNHSSD DGQQQNSLSDEKKSKESVKVGYSISYEPSKFSRYSQHNKSPIQQQQKEKARVSTLDANLQ DLFVMPLQSEQQLQEFENQDLAIKYNQLFYKSILYNYKNPIQDFKTIGYNFLN >CAK88324 pep:novel supercontig:GCA_000165425.1:CT868649:612581:613597:1 gene:GSPATT00003293001 transcript:CAK88324 MAKNKSKAVHAIKIKHNAPFSQKIKKKEQKGQKQRRVGGFRSLANFDVSRIKNKEKRIQI LERKQNEQKKTKKMERKEKDANPDREKLGPLTIDDKREIDENFVFDEDDQEELQNEELID EFQNYFQEGQDPKIIITTSERPSRQLFDFLKDVKDVFGPETHYWPRKQFSLKEIQEYSIN KGYTELLVFREHRKEVSQLIYVHLPAGPTYKFKLTNYTPCDEIHHHGRFTDHNPELILNQ FNTKVGRRVGRGLAALFPSKPQFRGRRVVTFHNQRDFIFFRHHRYMFQEEGQKVALQELG PRFTLQLKQLKMGLFNDDGEIEFEARDDYYVKRTAAFL >CAK88325 pep:novel supercontig:GCA_000165425.1:CT868649:613625:614877:-1 gene:GSPATT00003294001 transcript:CAK88325 MVFIKFLKNIDEEKKPLFCLFAKVIEHQTVMVFQNTLYVFDNQTIIDYFIEYRKQFQNVY SLQDTCKSCYQLHRKFDADIDVIIYNFLIEDQTADNKDIEITKKGGKKISLSVKKVSQLN QPQKSSVLIVQHPLCINFYLLLNNQFKQILEMKLKDIIISFSITNEQQLIILNEKNELEI YELSLGILHSQSILLKRIALNVYDQIFFKITCNYLHGQRNVYKVRQGDLHEFLKYHDVKD SQPTDLEQLDDRMTDIRNMKVHQLRKDCWVFAYKRYEHFVYSQNSIYKILDQMVITTMAL SKFFYQKINHVEQEEYLVQYEILVTAGLDQEKEQNADRAYIKIFQLLQSSQLKCTMLIPI LQIVDLKYEIEYLEFIGQYDLIMKDTKGQIGIIQFTVEEFGQVLLQDQD >CAK88326 pep:novel supercontig:GCA_000165425.1:CT868649:614919:619960:-1 gene:GSPATT00003295001 transcript:CAK88326 MQIQENLYNWLCQLRVLPQDGKKYADKVEINKTTLGQLENGIAFGQLLKEIVKLRNRPST PLAKLDTLKDNQQKSSILYNWKILCEEYQKVDIMIDQDTKALILGGDKEMIHSLLLEIYD KNYRGQQKSHLLDDSQLSLDGATKILATNKISQANQQVDLSKLDPKKDLNKTQNCLEFFI IALAKNLQLTIKQAASLFTNNNKYLAHILAKGVKGVFEPIIAFYKETYANSNQLNKLFNE DATKKSMIFAFQALKPGLVSKSYDVVYEAVRLFTRLGSLIPVQLIREWFLEDQGGLYTAL MGARRHPELVQFQVELILQFGRNNFVEVFTTGIRQVLSDTKDFINAIELIFIPLSENQEL VSTGILNDWVTQAIGIAENEFKNTIDTRISSLNFLSEVLLVNTELDESLINTIITLLKKG TRDKSQSLSLLCLSHLFKLLISISKQKSNLAPTIYKNLTIALVENHDNQQIREFILNNFI QIFQTIEAISINLVLENLIKQLQTSEGVTYILNIFDMHFFMFISNLNMGIKNAIQLLDFL AKIFLNNLVYQSIAFEIILNILSKNVENQTMQEFILKFIKIALAIFFASEKKKQGKDQIH AQKRNQIIQIIKTIVQFQQHQMNEKIKPLVAHTNIQVKQFNKRNSKGLMAILELFGNAER IIEQYEIEYREQQINQMQGCIDEEDQFNSHNQKSIGSIKLSKEEQYTLASLKTTRADPKV LEKLETIKKQSDEKAMQEARKVEQYKEAQQKQKNNLRKQLEKRSVEQGVSIIKDRETNLI FRDGSKNTTSLNKHGLTEYEIWDLQFEEDRERYLVEQLFRRYHKIFKYAFFKYANSGSKV GKPKDFDELKDQSDTINEAEFWAFLKDYELIFNVSKEQVRALLRSIAIQLLKCKNELTNF NYEGFKHIITQYACIIFTKMNKYVQPHFCIELMLQKMQQVTANKGQNTQLYDDPDNMYFA QKEVIKEFNKKLAEDPKYVLPEGYKSQKEEVVKFYHVFEIQDGNTFAYQILDEIVYNVCK CHIIEPLTAKEFQIICKPNLLGYVESKVKQNLEKDHYMEQKNKLLKKSVIGAQSEPVLPL IPKRNLEIEPFRQYSLGIKLELAKIPFNQGKQRSIMEQAADVLEDIIFAVENNQKTITRK WNIVNKVQKDKQDEKKKEELENKKRDEKIQKNHEETKVRLEQMKKDKLEKQKVEEIQNAI KRDLKKIKQEEKQKEQETYLKSQKEKIAQKQKEEEQERLKREVLEKKQRAEEIQKKKEEF KQFNDKKIEQYGEIFKAEQSKLKMAVEERKIQETEYQRMHEAIYKSIEKKGEQIKIKEKA TSEFIQKLFRNQIYQNIFTTCNFKLSYLYELLQLEYYKSIEMVDFKEIPLKLWMWFGDRF RMYPDIITQVEFIRVFNAITYRQNDIQASLDYVEFLEALFRISIKGYQFFNKLAQNIKRP KAQKQEDDDVQMLESPPQSVKRTQQTKEFMLNRIKEVQNEQEKRLEVAKKELEEKKKMEK EALILDNYQALNDIIGTDKIIGLNYNEQTLDALITYLALPNDKTGIELRFRYLMEIEAKK KPTKVRKQEASQKLDEDVTQWKEHRVVESSRQPIFTKRTNKYKQNPKPKETQDPILQENN DQEYQYQSDN >CAK88327 pep:novel supercontig:GCA_000165425.1:CT868649:621432:622090:-1 gene:GSPATT00003296001 transcript:CAK88327 MRIKSQPQKHQEDVQDTIELISIDLFSNEKSINEQIDECEQPLYEVESIIMKKIENQESH YLVKWKGYSELTWEPLSSLQHCQLLVDEFDEQHSSDNVIVVKKTVNKKTINKSKKKQPQS KKGGSFQNEHQIQSFQKLGRIIKIKGISQQQLKVNIKQSSKFKPKSIWINLNELHSKAPK ELLKFYESLPAIQQLFKSTTFKSKSSTQH >CAK88328 pep:novel supercontig:GCA_000165425.1:CT868649:622465:622900:1 gene:GSPATT00003297001 transcript:CAK88328 MSERLFCYFRLLQEDGIITYLFKSGSQKIMRSALLSGVHFSVKDTLKSLLLSNTEIQNNT KKLFYGTLINGGISGIVGMLTVYLLDFKMIMQMNNAGPQSNRNSTRQNQIKQVFIGLGLS IPGVFVYRALYFGQISL >CAK88329 pep:novel supercontig:GCA_000165425.1:CT868649:623415:624352:-1 gene:GSPATT00003298001 transcript:CAK88329 MDKVDLTQILKKLNDWKKSSPTAVYNLLDQIGTQNFGQNRIVHQKFLLAVQKLAQLQEIP PNSIYKSYDYEKMINKAKSILKILRQPLQMEVEKEKPEPMKKHNLFAGYQQQYTGYVDRI QNLNLISNLILHIFKNWDQTNKEQLISIDKIKAVTIGLEKEIYLRNFYKDSRKMTYEMDI KTLLQFMKRDKTGDVLIRVFNKSLSYEQAAQLKSEDWIDEKTKLNQQAAQKEELEINQIG FYKEISKREMDGVEGKQCRGCHQKKVYLVDEKQTRCADEPTTKFFECFNCGDKFRV >CAK88330 pep:novel supercontig:GCA_000165425.1:CT868649:624451:625719:-1 gene:GSPATT00003299001 transcript:CAK88330 MQQQKCYILPGFLKAVLLNGDQPIYIFILNQIQDMKEVSLMMTQYLSTINAFHPYTWVIC NQQPLPLEINEVKQILSTFCKYHFQFIERNIPLQQFQRIEKLIVISDKIQITQLVNVIPR VWQSCLTNKVHLILRYQDVICRLNQIITHNIDLTQNQVEFLSRINSRYQEEAPFYGKDIS EYPRANTGVPECLEVILQYYERHDDYLRKQGVFRISGSVQQEQRLIQQFKVRNYSVIEDY DPDVVSTVFKNLLSQLKKPIFPFEMYDILKETQTNTSVEKLLEMFQVFFAYMPEVNRKTT KRIAELLYHVADYESENLMGLRNLSIVFAPCFLRPEKTDISDFLGATTVAHHFNLLVQNV EFFLQELRANRNSAPLQVQRLT >CAK88331 pep:novel supercontig:GCA_000165425.1:CT868649:626481:626633:1 gene:GSPATT00003300001 transcript:CAK88331 MSLKQQNQTEFSDQCSVLIYDHQEGPKIVETLLDEQWRWDYVDKFLQIFK >CAK88332 pep:novel supercontig:GCA_000165425.1:CT868649:626665:627769:1 gene:GSPATT00003301001 transcript:CAK88332 MLDYAGIISLKREQFHVNIRKQRNEKQFKESRKNYIQFLFSQQTQMQEMSNSLREKLEKG ENLQGEVLQMMVDKIFDVLQKDNNLNLLDTIDAAVWMLKSVDEQTYEDELSDNLRMLKIV EKMILYSQGYEIDNEPLNSQIIIYAAKFLKYWTQMEDKSLNLKFGEMAETVYFLLSKQEQ MFIKRGADILYNMALIDNGQILIKLHELLIFGQKLVNQFALSQIQVIIQRIVLQTFLDQD KNGQQLYINFNMIENQQQISFFNLLINQVLESEQQNRKLFKNQMHLVQHILDYYQSDNEQ IYEEFKQLLITSQFSVKLKNLWLFHKWNSIATIAHEIQTHLEEQQL >CAK88333 pep:novel supercontig:GCA_000165425.1:CT868649:627772:628326:-1 gene:GSPATT00003302001 transcript:CAK88333 MFCNDPQFTLLNIDEEWSNVNKKTSNPLNVEPWIGNYDNIFKQDRKQVSPKKMQIKTPIR TATQTRRRSIETSNPSHQDSCPKIEIKSYIQSFQMSSLSPRKNSTQKYRNSCVKALFQKS QNNNNNSLTWTDYYKVIQKNRNEEILNYNVIGQNIKLLQSDEKQQVWNNFRMKLKQKTKN KTVY >CAK88334 pep:novel supercontig:GCA_000165425.1:CT868649:628340:629356:-1 gene:GSPATT00003303001 transcript:CAK88334 MFLHTCLQYDNWVLFSQYHLSCIFWTLKSISKLQVKNHELQFILLKTCQLLILVQQDSLP NIRSCFRCIFTLAFFPFHQLRGFNILIEVYIEKYYNLKEKDPINNAFDLYQRSQSQIHQK QIILSYSANGFQLICAGSRDKLFFTLNLQFLSTEPLTYLLQLPEKLQVQKSDQLYQQSSM RHLLNTIFCFKSFQIIRTKSWSIRKFNIKTVACCKNFISRLKRMNFLKILMKRPWSFQNI ISCIFEFILESKCWRFFLISPISRGGLSCSQAFLNLVKQSNCRFHTDRFRIYALGSGSQS YFRGIQRFQNLLIMEMLNCVNYLQHYFEQSFYRTFISM >CAK88335 pep:novel supercontig:GCA_000165425.1:CT868649:629579:630423:1 gene:GSPATT00003304001 transcript:CAK88335 MRIAILALVVICALCGHNMRHKKSTVGEVHDLSNNVGVISQSEVQVSPPLTKQQFQINKA AKQTTQAIEQALKHSTETTLLEVEQSFNDDVIDNIPQFEETIDQMSSVKPEDVYSNDGNS PDMGKTAENFNGSEAPMASEDNQTTPQEEYYATETLITNEDVSLIQLGEIDALADIDTSM PLFDEDFKIDEQALQETPLNVGVDDIPEVQVDSAQNEEISADLERQTNEMTEALMNDQDI MINEVQLIQVADRGFY >CAK88336 pep:novel supercontig:GCA_000165425.1:CT868649:631104:633583:-1 gene:GSPATT00003305001 transcript:CAK88336 MIPASLFQRNKKDIKKQVSESDEDETPMRRKNKLNFQNLQLVDFNEIADQEELEAAKEEE QSNRFKNNSIREEEESDQSESTTRKKNIKQLRLPRLSDRRQLNTSDQMDQVQENQQSQEE PMAEPMAEFVKTPSPNRAQSRSPNQQSQKQPTLQNQEDSGSEFDIFSPNKSMDKEQAPRN IELDEKLSKLTQEANAIERILPNCIINPYGRFKSTWSGVMILLLIYVVLILPVRLAFETN TQELLGLDLSIDCIFFLDMVFTFFTAYEDERGIVIVEFKKIAINYMKGWFWIDLVSTFPL YLILDPSVLPGASSVNGLLRLARLPRIYKLVGVLRVGNLIKHQTIQKLFFFLKLNKEYSL ILKFIILTFVVIHLAGCFWYFIGTISPDPNSNWIVVYIPDGTSAVEQYIASVYFVLTILV TVGYGNILPVNNMEYVVGIIFQFLGVAFFAYVMGTLTFTFAKLSQKLTMIKEREIFFNEL AHTYQLPKETHERLLMSIQNSIFSHSNQMLEFYNEEDIIQELPPLVQGLVCEFIFKDIIE KIRFLQNKPRGFLRRLFRSMIPVFLNKGDAIFFRGEPADFVYFVIEGRLATKCEDQNGKI RTLIHVEGSYFGEVDILVSRARGESAIAESQAEVWKISKEAFLRILNDYEDVKMEILDYA VKKEKSRKSTVKIVKNAQFFELIAKSKKDQICLNKAQLILKVKEKMINSLNEIVKNKTEN GKELFPNLSDLDLFLDKVSEYNKIKKKLQISDQDLTSLQNLRSTNYTDPEIEDSLLKGMR VQSHLQSIQEYL >CAK88337 pep:novel supercontig:GCA_000165425.1:CT868649:633637:634517:1 gene:GSPATT00003306001 transcript:CAK88337 MTIQSVSEISIPGDIYKATSDTLLSKIYQKYKQTFQKYQMIHSDESSGDHFSPNSQHINN PNSGLKDNGKKYAVIDHQIRVQLLKRILSKEATIKEAAKEFGVNFSTAKAILQTYRKEGR IGKKKTRDRNKKKKDDSDYHFTYTRKVQSMYDLEREAPQHRRTFSPDLRPADRSINQHPL IVQQQLNFLNSQSQVGSTPNLDEPNAQMALAICQRELAQQKMINMQLLMMLQTYQTQGQQ LQIDQVKVESDKQN >CAK88338 pep:novel supercontig:GCA_000165425.1:CT868649:634607:635377:1 gene:GSPATT00003307001 transcript:CAK88338 MELSENSQSISGWLQNYDDQMVHQTRKPINCTSHSQISRINYQLKTIVNEKTKNNINQVI NIIFFDLFLKIRNSNQQIVNNNNKISELKRIMEFLSVNEQSKYDAHQRYQRQPDYQEDNQ AAIQLHQAQFKIKLNSSKKQMLQPLYEIHQINAQTERQLNCRSNNLSQKGTHLKTDNHQD ILKSTSIQRLLSKRDVKPRITKQLNEMQSKQKELELLQLMIKKHRENVQQYFPKKNRYLR >CAK88339 pep:novel supercontig:GCA_000165425.1:CT868649:635908:637027:-1 gene:GSPATT00003308001 transcript:CAK88339 MIRFAKMFRGLNHRQQYWHIVSKRNVQITFKDDLLVLTFPFIMGSKMFFAHSQYSFLTLQ EQLQLEESTKVEFYLDGTDLSLSSNLFNYILNDKKNKAIKLLIQDEEFTVTPAYQLSEAK MLNQSNQLKHSPQKRYIQMVNMGMDPSHANTLSWFLNSCNIQQNLTEKDIVQVMEQGLSI LKQPTKDKLYELQHLINILEAEICKQREQLDVMIGQAEKTGYRWLTLLFALSLLQIGAFY YGIYMVDEWGWNVCEPFTYTLQCVTVLLGMIFYVRYRRQREIESIRWAFMFRKQIKERNW KDRWNHLNTLISLKEEQKRGLELRKTILYNRMNYHYYVQQQKK >CAK88340 pep:novel supercontig:GCA_000165425.1:CT868649:637027:638404:-1 gene:GSPATT00003309001 transcript:CAK88340 MEDIQLIMRRIKRSGEPRLNLSGKSMISIPPEIYQLKLTHLDLSFNKITSIESKIAGLTN LEEIDLSNNCIEEVPEELLNMSSLQSLNLSNNPLLPKFQTLQGHFHQPQLNQILQKVFQI SPGSNQQTKQEKQQPAVMERPKTQNRPMRQISELQHTNHVLEIDSNEFEVHEIISQGGFS IVHRGYLRGTEIAIKKIFNPNITQQLLDEINNEIEMLSLLRHPNIVLLMACCTKPPNLVI ATEYVQGGSLYHLLHKTNHQINEQFKYSIAIQVARVLQYMHQAGVVHRDIKSHNVLLQGQ TVKLCDFGLTKRSSELNQGYQQFSGTPTYMAPELFAKRAYDKSVDLFAYGTLLWEIFARE IPWDCLEMQEIVQKAMKNEQLPARNVPKNILQLVNDLRSKDESKRPSMDIVVKQLMNR >CAK88341 pep:novel supercontig:GCA_000165425.1:CT868649:638421:641066:-1 gene:GSPATT00003310001 transcript:CAK88341 MQQYMEEASKVIPKNLTTYFNTVVGALNIFQTMANKFYPEYMEVQNAKDFQIDDPTVYSS IYQGDPQLENNKRKSRILKTEHYKQMDKFLEKYNFDKQFNSQLIGQHFFSEAIGCCQIEL QTIIGDKSGIFYEQSGILDKTKWLNQAIDEVSLILLEYFKGQFQKQQILEEYIVSFAEIQ SKVYQKVNKRAKATQKDSYIKLKQKIRQYFKELHDVDVQLQTICSQIKSQNISILDSKKL IENQYQIQLASFQEHIQQNYGYALTNAIIHKYCQKLRRNYVSTVQNSFAPYLNFNQEERH KEYQSLVELNFLTYFHNNQFIQGITEQNLNSNLQYIIYSIDWIQSSGEADEALKLLDTLS GFNLNVSQSKSQIFYNQQFSVNVLANFKNHNKQRCEKALQWWNTEVDEDTNIQIICQIYI ILAGITIRDNKLIFGDYEPAKRNAFSLILRQLNNGKYQYFNNILMKLCEKVTDKIQFQIL NEIENRLENNKIEQLDDVMQLPFSIDLYGTQTNVSLFGQVQNQTQINFEFYKKYEYLKMI VSGKNGNNAKTQKEIQYSIIQKHFEEIKTKLMDDHNKKKNKSEESEAFMTPPSQMYFQLL SNKENNSNVITLCISGFLSGDEDKSSQWVDLLHSCSGTVIGLHWNCSETKEFFSTVAQNL VPQIFSKFNAVGVALSAVSFLTQNPYEKASKEAERVGKYLAYLIAEYKLFGNRQINIICH SLGSVIVLECIKELDKLYEKKKQQFINEIMIMGGVADIFKLQKRRWNAVAGRIYNTYSKN DQILKYVLQIAKVFDSPCGLKPIYLGYKQVVNCDFTEIVNGHSDYWNKMIKILMHSDFNN DFKFMTSSIKEIF >CAK88342 pep:novel supercontig:GCA_000165425.1:CT868649:641066:642169:-1 gene:GSPATT00003311001 transcript:CAK88342 MAHSSSSGSSNFLYDFLAGGVSGALAKTIAAPIERVKLLLQTQHTNPKLLARPYDGILDC FKRVFVEEGVLSFWRGNLANVIRYFPTQAINFSVKDALNRQFLAGVDPKKRPARFFAGSL LSGGIAGSIGLLIVYPLDFSRTRLAADIGKAANERQFKGLVDCMGQIVKTDGITGIYQGF GISVIGIFVYRALYFGGYDAGKRAIWGDDAAQRNSSILARFFFAQFVVSTSETISYPLDT VRRRLMMQAGQKTQVQYSGTIDCFAKIIAKEGPTGFFKGNLSNIWRSVGSSLVLVFYDEF QKLVAQGGKH >CAK88343 pep:novel supercontig:GCA_000165425.1:CT868649:643424:643849:-1 gene:GSPATT00003312001 transcript:CAK88343 MLYDPSTYKGIKGGTQFDETEFFEAIANKAPKSKSIQSNIRAQNQPKILYKNQKQSTLSA EKKHTSGKIQSPLKLFAQKQSGSSCSLNSDEYILKIKQWNQNMMNKIARTQQYSPPKKGI LDQCIDSYMKKQKCKQIISSI >CAK88344 pep:novel supercontig:GCA_000165425.1:CT868649:644305:645257:-1 gene:GSPATT00003313001 transcript:CAK88344 MGSCNSCYSSKYVQSNLESEDDCKVIQRTKSALKKGIVTYEKSRTDLEDNVIADENSLEN SQQLPSFIQQRKITEIVVQTRVNRFMKDIEEEVRYRIKQIQLEEKEQLDMLIQQQQQQQS QSFQECKQESADFNSAHFNQSISFQNSQDFQNMQNTPSKTYLPRQYSQFAPKKDNDTVTY NSQVSQTINSQKQKTPPKEKLKPIQTIKDEEKSILASFGSQHINPRNSDSQDAQFSDHFR EQVSSNTSKEHSVKSILKNSQNIQDLKRNYSIKSTHTNIKQKKVSFSVETNYYSSRTTKR NQTNLLYKA >CAK88345 pep:novel supercontig:GCA_000165425.1:CT868649:645726:648031:-1 gene:GSPATT00003314001 transcript:CAK88345 MKYSIQSNYILYKDQLISGYVCIEDEYIKHILQEPNELLNQYPIKYVYMNQVLMAAAIDT NVSLSIWNHIEEFTKYEVQTIRLAIVGGASLIVNKPYQLNFDLDAQETYQMQIQQLQSQS QTDFIQMAKILQNEDIDKLMKLGAFCFDCHLLPSYAGTYFKDFQQLQQALQHLPSQACLF IHTQTTVDKDLGITSPFRTKPFAERLNITQLDLVDADGVSGSCISDDENIQNDDPKYLQQ MDYLNESNSPIKDIVKKYKNSDEKRISEFDDQYDSPQSPKLQTNIFKIKSKQITEQSQIS LISRAELITYKEAPKMEQNYKFPQEDSDSPQQQQQQQQQQQQYPSFKLEQKVSTQDQESS PLGSKKDSTNQQESNPKHSPQMSIQSSDISSPESLSFRSDCRLRSRAQTAQGLLQRRQSK TGFALVLHQVEQNSNGKHGSGDMVLFNFSESRPRQNQNNNNRFNRDYLCFLAFRPATWEK FALQKVKKMIRQQFKCNIILNGFSSSFSLVEIKELINNQIFSDVGYPYLLLDSDDIGDGI TKFKSDPPIRQKYNKQLLQKGISQNNWISSISSSHLYVNGLYKFEEQGDFRKAVSGLCSI GCTFQAVWTLLFCKKNQDPNIIKKAFYNKETTSSTYKRMLLKLIQLQQLVSSGPAQYLNL KDRGCIEEGKLADLVVFDPFKAWKLNFEKINHTFTFSIDKHIFKNRLFLGSVDSVFIRGE IILNQENQIISIQNRKGSQILK >CAK88346 pep:novel supercontig:GCA_000165425.1:CT868649:648049:649533:1 gene:GSPATT00003315001 transcript:CAK88346 MITTEINSNDPIVDIDPHDQQSDDRENKVKHLIKQSPNEFIVVEVEKRQEQSELQEIYEE QLDIQQLKLKEIERQERIKKIPITLKRQLSTINELSDQSINNSCSPQIDVDHHHSKKQNK DVRINTTKQFLFQIQEENKQTEPRQVDKQKQQKKKFKKKKKKKQLTRELTQVSLPIPEMK YQQNMNFKYYYRDQRIDCSWKLLFSYFTIIILSNITLHTIEMVRYSQSVCKLEGIDNLFI YANQLFYILGKIGLLSLNYQEFTNTLPTKSKIPLFNSVIFSSIFVVLSMPLYVFDFVLCQ PRSRLITLQESLQILNFFDWGVISVLGVVILMHSCLKISMKKKTWKTLKKFLMVIYYLLL LLVHLLQVLVTVTQSFNSYLPAMIMENFYLCFSIIAMIYLSMRKFCFHSEPFQFAQQKLP NDPMILISDEKLNNWGQEEKFFDGDSIKLSKRTKLSPSSSQFNSLQITQIGFLAKKD >CAK88347 pep:novel supercontig:GCA_000165425.1:CT868649:649579:650317:1 gene:GSPATT00003316001 transcript:CAK88347 MLILAGLKLKDLQEQAQEPQQNIKHLDVSSNLLKSGKEFSMFPNLETLIIDNNYFFRLDD FPILPNLITLSANKNTFNNFDMFIQHCKEKFPKLTHLSLIKNPICPMFTQGEEEYVNYRA KFIQELPLLKNLDGTPINPQEADPNFWKQQQQIKQQQAQNYNEQKRGTIDYNAKYQKPNS KTVKTKSEGNRFVKNTHL >CAK88348 pep:novel supercontig:GCA_000165425.1:CT868649:650534:652443:-1 gene:GSPATT00003317001 transcript:CAK88348 MLGFRQLSSKYTNSFKKLVVNSFNLFGIQPRLTITKAKSVNTIFGSTFTIILLVLIITLF LHDLSEFILREKPTVIQSDSELDINKPIKEYPIDQSNFTLALAIYNKDFMPIEQMERYFT LSIQFCKKTKNNPQNRNLTFKKQNPNIDQMISCTQLETVPCQQNNFQDQDIKKYFGGANL SHYMCIKNNQFEILKPVIFEDYIQSLQGVISSDTYQYLTIKLSICRNNTDRQDCFPEENN KNQLFQTYYTYYLTDNLIQLDNSQNLTQQILRTQNFQILKNYQRQIKQQYKVVESEVDKT FIYAQKEYSGCLQLQDQKEVLIFDPSDTLINQEIDLSYKQTKFIITHTKISALLGKLGGY IFIIYIFFDILLIPINRFFYLIQFTNKIFRFKVLQNDFVICQQENEQVIQKSAYFQNIES PNSYCWANVNESLLLQNTRIYTYLQNLKASINLTWAQTISILLGCSRDKKKQLKEAEIRL NKKTNVAYLVKKLIEIEKLKYVLLNDDQLNIFNSIEKPMLFVDEKTKKNKQKQYFDEDSK ITKIQKGFKSYVSLQVKPQKGATDLKLLSLLDSDMINLYEQLYRELTFKSFFQNIALKES KTFKSKKDSKEASPDQHMHKHIKLQTNADQ >CAK88349 pep:novel supercontig:GCA_000165425.1:CT868649:652567:654987:1 gene:GSPATT00003318001 transcript:CAK88349 MSQKTIEEKLEEYTSEVCKGLRSGEFVSMPRSKYMELYDWTFQFQSTQTIQLKRTFENWL FKYLETQMIPQLINSQDFIESFIFEIGLFKSLLKYFSTLMHNYNQVLGTPQLYIVEIGLI NLDAKVMTLDTIRQRYKNSFIDLLNQIRLTNNPNQKQKLQSFIEILDLNALAQNNRLEIL CIDQQVIITVKEKPPKMNSLPSPQTTFNTLLYNTLLEGTRRIYQELSLTWISTGSSNEYV NLATQQVIIEEQLNNQFYKKFQAPNEVIRTFINEMLEQRVDKILQNPQDGMQKQLIQEKN SQDLQTISSLYQLYKNTANYIDKLLQEFQQFIRDQITQVLSESEENPQQKQISQQQTKTQ QQAGAKTIQIHLAQIDNLQNIYEYCLRLVQVCFEGSHRFRNHMELTFKEKLNQADRFMDK LSFYIHVSLKDKLKETNNDEDRQKFKKFNTNILAFILLINSKGKLFQKITDNLKSRIFKG EIKNLGYEEEFLKSLRREHPEHLPSDLLTVWKDFKYYRNLDNEVQTLMSNFKLLQGANVQ FTIFTRTNSLKEFNTMNNRDKVDPPQMIQQAINKMEQLYQVKQQAEKKSLVWNYRIGQST INYKFGPSLQQVGKLIISNLQLFIILYLKQNGGRSKGELLNDTGINYEEELTQQMENLLD FRLLVETHGKYYLQTEQANLKMQLAPNKPITLVPRKVGENTEDQIMLKKERDVKIQSCIV KLMKSNKEMYYPQIFAEVQKGLLGYYDFSAQDILTQIDELIISNYIKRDEKINNKFLYTP G >CAK88350 pep:novel supercontig:GCA_000165425.1:CT868649:654997:655601:-1 gene:GSPATT00003319001 transcript:CAK88350 MPRFYDPTKTDPTIIAINWVDQILTEKLKTLSQEDIQNYIKRFQQEKLFANYKNKEIVIS NNCSRGNMYLDYDNLQNKYFPVLNNGNQIVVCQNMILNSVQLLENLIREFELSQSQIEAQ GCKYISACSKAYKIYKFPFEKHKQLSDICAKMLMRHRGQNKDQLPLDQWIRHIETEIKYQ QCYNI >CAK88351 pep:novel supercontig:GCA_000165425.1:CT868649:655663:656415:1 gene:GSPATT00003320001 transcript:CAK88351 MDQQQGENKLSKKQQKKLAKKEKQKEYFKEKKILKKQIKKQQKQEQKANQVDDNKVHNQE KQHKKPKEQYQEEMKTGIKVVIDLQFMNQMTIQEKTSLYKQIELCHSNNFKSAKPLNLIV TSLTEDFQQLLNKSNASNWGIQLNEKPYIDLFNKEDLVYLTGDTDNVMDEFNQEEVYIIG GLVDHNRLKLITYNKAIEQGIKTKKLPINLNLKTSSILTVNQVFEILLRRNNGEDWSDSV QNTIPKRKLA >CAK88352 pep:novel supercontig:GCA_000165425.1:CT868649:656650:657123:-1 gene:GSPATT00003321001 transcript:CAK88352 MLQLKFKKQQQKQNKLENKYVAQRNLENEQKIENSEIKDYDDFLINLDMHFSNREPEESK SNQNKRTNQKQNNQNSSTIKKKPIKMNFCKLVERHRIVYIEDEKEGQTIDKSRNTNGNIK KITDALINNQNNLSDTQNKHQIQLAIIKQIILRMSSQ >CAK88353 pep:novel supercontig:GCA_000165425.1:CT868649:657478:658208:-1 gene:GSPATT00003322001 transcript:CAK88353 MINTTSKHEKKCPLFEIFEVITAQQLTVEKIQIINGDLILGFSKSEQHVKIWSVSKRQAV FEHFFDQKKVNELLIIQNQNDLVAFGFLDKQVQIWSIKTQNGFSLQYELSYEQQIRKIKL SNNAFQFGILHNNEQIRQLSKGQLSSNILYQSQQHIEDYCFFQNQTFLAIMQKGGFVLIF SIKSQKIIKLMYYRWYRQGLNHCDLGKRLFNKAMEHEKLQFVESDRFQKNVIILV >CAK88354 pep:novel supercontig:GCA_000165425.1:CT868649:658301:658897:-1 gene:GSPATT00003323001 transcript:CAK88354 MKNKKNTHETQNQTSSHKSVQNWLEQAYIQNVMKLKKFQQQDISKINTQTEESEPSKYTL SQQSKRIIIDQCQEQSNPISNPISNPISNQMSNPISNQIQDQDSIHKFSEQSSDYGDKNK QTLELGRNNQKKYQKIQRKKLKFDQLIEENNESILKSDKLKVQDELNKYIQIEKQIIQYL AKVHNKVEELTKQLQSFK >CAK88355 pep:novel supercontig:GCA_000165425.1:CT868649:658999:660125:-1 gene:GSPATT00003324001 transcript:CAK88355 MQQNIVNCTVSGHESQQIQSLCLNLQCPFRSASCQLCKQEQHKDHDKDLKELNLVEQQIA SIEAAQLNMISVLRRMEYEIQTAIKNINHSVQTLIAPIENYRKTVSNHTIEDLGQFFKKI HSFNYPEQVGRLSQILNSLYKLNSFEDQGKSNPVQTVVLPNTTKQNESKQYFDKGLELCT QGQFNEAIKLFDKSLEISSTNIEAIFYKAEALRNTGAFEKSIAEYDRCMKFDSDEKLEYN FGKGEALRALERYEDAILLFDRALKVNINHFNSLFGKADCLRMLGMLEESLKYYNFALKE NQKAFTCLKFKAVIMEEIGLDDFLEFYKIAAQIDPNDEYIQTKLSLYSS >CAK88356 pep:novel supercontig:GCA_000165425.1:CT868649:660393:660998:-1 gene:GSPATT00003325001 transcript:CAK88356 MSNVQNRQNAKTLNNLLNSQASNLRLQKILSNDNYLTKHYRSKPLRTEKSCTLSLVKSDS SLNNDHKNFFKTSTSLNKLNNIVNFQKKANDEQHKRVIKHLLTDQSYQKLNKINLEGNRV RTLLGVKPGLQDLTDQKLKMKDLQQKIKLKLQERDQEYMQKNGQQLNNIQIKLSNLQATQ LFKNFLVQNGFRQPAFLKDFQ >CAK88357 pep:novel supercontig:GCA_000165425.1:CT868649:661013:662629:1 gene:GSPATT00003326001 transcript:CAK88357 MLRRDSTLSKQSLEDENQIKQESEVLKIVQILSMESYERTIMQLNYVARRLERSFTYFKK LKSQLREDILLKLIKSLLIERFKQYETVFNQGETGRKMYFVLEGEVSILVKNQDHIDTAI NQKKYKLKKFEDVLAIRFPNFRQVATKKQFDYFGEIAIEQRIPRTATVVAKESTTLAVLT YESYQKLLRDITQEAITIRSELIAQMYPFDQLNESSLQQLLHDYEEINLNGGALLFKRMQ KADCLYLIISGEVLVQKWEAVKNKEESEEDDVVETNVLSKPSKVLVNLGVFGRGQMIGDF EQFLSLKSPTPLLRCTQGVVQNQVKVYRIWYSQFNEIIRHTLGMNWYENYLNEKYQQKQN HSIPKIKVTNRTVRTQSNITILNGMKDPSYIKISSDRYKIVKNVDEKLPTVSKQSPKRKL QLTIDYKDKTNLKYYNAVSPNLQNKPQLNTNQNFSMKYFATSLKQKILDQKNEEQTSLNF GSTKYLKTEPSTEQNIPTCNTILLSPRYSKLIKKEPSIHRFKS >CAK88358 pep:novel supercontig:GCA_000165425.1:CT868649:662851:663237:-1 gene:GSPATT00003327001 transcript:CAK88358 MIQQYEPRTPIRRFVPEIEQERQQRKRQTDRFQPKATCEDDDLQLNYLQIGKQTKKRQKS QTQKLQKYDYRKHQRNRSEQRSALPNQIQGITNEKYNEFDDLDKISDDKADLCIRNLPSN RLMKKMTI >CAK88359 pep:novel supercontig:GCA_000165425.1:CT868649:664065:666730:1 gene:GSPATT00003328001 transcript:CAK88359 MEGFIKDLLFEEFRELSFLFSSFVKEMQFNLSEQQSQLTMTQKQQEKIYLENIQTQKQQF LEKITNLELIINSRDKKINEIKENNLKIEKDISELISRNSALIQKVEQRNKIINRYDKMI KQQDSEISILRQKIQCYENKLHQSYETIQQLTLLQNNKSSNNQLNRQSTGQLNRQSTLQL NRQQTIQLIRQQTIQTHSPIILDGDDQNDSPLTDTLNEIQQQEEEQLNQLEINFERIIKQ AQKEQQVEVDLLPFYSKEIEIQTELTLIDSQFDIISQTNINNAVRYTEFIEKVGRKGSEE SLIDQIIDETYDQLDVAQNHKKNIEMNKSQFSMIKSGYSQNGQNAQQQNTQQQIPTLTRC DSNIINNQPPIKKESKSKQVLSFISFLKSRMQQQEQEIQYYNEQITQFELNAQNANTQMD ELQKENERLQIQLAQLNSKIQQSQQSHSQSDLKQQDDDVHQSQDSLVINSQKRVSVLIKQ GTFKETKKQRKAPQLGQKVVISYDFQKDQSKKIIEKLKNKQMQKFNNYMPVKLVLKYITT LYNEKLQSQKEYKQIKDQDMGSYIYNYYLQQFGFTKVTEQKYQVLLLSVKKNIKVIRINM FARFMGLLELNVNYTVEEQQKYLEAWDFMNTQQNLGQSLKDNESEMKMYVPFVRALSYIG QLIFSPQNDELVQLKHEVELLKENDPKNINKQGIIDFDLMMIKVLQVFRNTVEKTKLYVI NAFAASDLDGNGMCNLDEFLILNKYIEADKYDEDKWIEVFEDNADIVTEEERALSFERFS ILCMEYNLFSDQAQNKFLQIKHNHDSQMKFEQLRDSWPKDFINFNQKLQNAQIDEHYKEQ WSKILEVLNQKILENPEQKKPLLIAYKIFLNESKVNKAQ >CAK88360 pep:novel supercontig:GCA_000165425.1:CT868649:666758:668477:1 gene:GSPATT00003329001 transcript:CAK88360 MGNQCTSGDNDSYANTTKAIVINEQFIEGERPAICQEHGKFNDDDAIDVVITNESNYGRK SLSQNYMKQANYVLQDNVELKLSYSGLPTQGTQAVLLSVQTKNQAITIRQGIDLICLIDH SGSMSGEKMHLVKKSLKHLLKMLQPNDRLCLIEFDDQNYRLTRLMRATQENMYKFLIAID TIEANGATDIGNAMKMALSILKHRRFKNPIASIFLLSDGEDEGAAGRVWNDIQSKNIKEP FTINTFGFGRDCCPKIMSEIAHFKEGQFYYISEISKIDECFFEALGGEASVIAYNTHITI SCKKNTIKKVYGDKWALNLQEQSFSIYQPQLQFGVRKDFIVETSVPIGMMDEIITVKMHT DSVETSERFTIEQFINIVPNMVAEQIVFQEVMSHYYRVQAAETFRNALNLGYNLQYQQAQ ATITALQQQIYQMNLNNPMINLVYLDLQQALRYCDSMFFNQEGRHYLTQLYMIHMYQQPR GIEIVSNDPKMQATCVYQNELQKQYILQLRQMKINNPDQFQN >CAK88361 pep:novel supercontig:GCA_000165425.1:CT868649:668532:669260:-1 gene:GSPATT00003330001 transcript:CAK88361 MEQYCQQFLKGQPIIEFNNDKPEKKKERDPNAPKRPLTPFFLFSQKYRDKVLERNPGIDQ RDYSLEVKLTQISQMAGQKWNSMSEEEKQPYVDQYNEAKNKYDGDLKVYNDKHGLNTNEK KRKKSEKLDDKSVKSGQDYPFNDVDSESIQPAAKHQQQIKQQQTQKKVSQNINSDDDQPI QQTTQDKSQKGNSSKSKNNQDVDDDLQREIIQVINNDKSQKRQRKK >CAK88362 pep:novel supercontig:GCA_000165425.1:CT868649:669630:669884:1 gene:GSPATT00003331001 transcript:CAK88362 MGVCQSQKNSSQLKRSGAQQFIFIDFDKLKKYNEERQNLDKNNGQQQSFCQIICLDNNNN NKSSNPQTQTYNNVQTQQIEIKKE >CAK88363 pep:novel supercontig:GCA_000165425.1:CT868649:670597:671854:-1 gene:GSPATT00003332001 transcript:CAK88363 MRGHQKSSPNLKFLQPQLTARQPKRTDSINLRYDILKTQRNNQTENSMSIDKIYQVTPSR VLTLKNVSRVLKQNFIQKTEPNETFEKQNIPDNQRVLSRKQTAPKLIYRPITKQNSDAFS IKDSPRIVQNTSMHDTNSIYYISDVVTAFESKDNYFNRLFKDHFQSSFMSFKQCANSKII YRPKPVIIPKDPKDNNKKYTVLLDLDETMVHCTLDLKLPCDKKLIIKLSQDETFQVGVSV RPGLQAMLELLEPNFEIIVFTASHGSYAKRIVEYIDPKRIISRVLSREHCCFSDQGQYVK DLSIIKNRPLSKTVLVDNSAISYFFQLDNGIPIVPFYDNKLDKQLLFLAKYLNGMVGTDD IREYNQKNLKTFLLAKLQTWEQVLDMYKAHHNSRKN >CAK88364 pep:novel supercontig:GCA_000165425.1:CT868649:671900:674855:-1 gene:GSPATT00003333001 transcript:CAK88364 MVCHFSIQETFNQINIQTLILACINIFLTFIIAYCKIQNFILKKPSQKQFHFLFNLRLLT IVLYFAISNQELSLLLINHLELQHNLKLAILSKLALQAILYDHSNLITLPQNLIFYSYCF FVFLQIGMQCKFNELQSNQQLPQKLTQDAPPTFHAKSQEIKSFRQDELSKSPGMSSSDIK PLQLNSVQQVLNEDDLIMTNMSWLSNQSVLVYNIDFTIVYQTFYLGKLQKNIGDKLDCEA TFLESTILIGSKEINELLGQSGFSDSLFSDGSFLLNNLNEVHRCKIKGQFSLRDLTMFLI KDFEKWRFFTMTIFKVKNDFLDLDGIQIKLFVNQIDNHKFILFTLDHIPIAPKMQNQETS LVLQNIYLTYSHESINYVNCILTYILILIHHIDQQQQQNNEQNNESKNQISYSQKQLNNN KDEYIVNCLQNMRYSSQRFTYFLNSMKDYIFYLTNQLFFKMNAIKMEDLLDELLLNFEPV LQLKQIKLTTNIDLQDGNAIIFSDAERIKQIISCLLYYFLQSSSQSSIKLEIKSYTLQGV MITIKDTKSDFDELNKQRIINLTKILNQQLKSQKAVNELDFTNPLELQMSILLCWQLAGS FKRGLEFLIDNQGFCTFTFVIESQTSQMKYQNSAADSGPIKILGKKKYFETSLSLLLQEN MNSNNPGGESKLLSFTQLSKQLSYKPTDPIDLQSAYFSQISKIRQETSNSKAFLNSGTPY KQSREHSGSFSGTLKQQIQSDSIQQITRILNRNNLSVVGKIESPHESQQTFTLIDFGQTE QAANQVRLPEFHPKLLAHVIKYRLRTNCCSKVLLLDNDPFSVIVLQKVLQKYDIKCDYCF NGVQAMEIIENKKRQPCHCGNRFYLLYIIDLNIPILRGGEFVQQIKQMMQLGSMDKGFAI ATATVVDLNSKLECFRNGMDYFISKPFDLIEISAAVTYLDF >CAK88365 pep:novel supercontig:GCA_000165425.1:CT868649:674969:675843:1 gene:GSPATT00003334001 transcript:CAK88365 MSFLQILSDIIGWTYFAAWSISFYPQIYENWKLKNVNGLSVDYVGLNITGYICLCIYSTA GYLDQSLEVGTIHPEDLAFAYHGLLCTIIIIGQMIFYPLGDNKLSIYIIAVLVFLWTLTP IYFLLTQTFDVFHVSVSYNAYRMIGYDKLLISFIKYIPQVYWNYKRKKTLGWNIWVSLLD ITGGLLSVLQTIMDQFIQDVNNEINPVKFALGLLTIGFDSILIFQHYFLYPPKKETQVMD YVTMKDNGIDDI >CAK88366 pep:novel supercontig:GCA_000165425.1:CT868649:675854:677081:-1 gene:GSPATT00003335001 transcript:CAK88366 MNSYYTVNILTDQIRINQFKSQKTKYVCPLNFDNKVYWYTEQQRIHAFGIIVKKKVKFFK GNHKELEEMRRLIGGKIVFDGIVNLFKCSNQIGEGADSKVFRVEDTVNKSLWALKCLERK DDFYQEIRMHQNLEHSHIIQFKEYFQGEQYYYIIMELMGGQTLSKLIERNLITTHQQCKI IIQALLQALVYLKGEGIVHRDIKPANIMFAQSGKLDSLKLVDFNFAMKQDDTNAKPILYG MYTAPEALQDSPIQNDKMDVYSCGAILYKLYSGEDIHPIRYMQESNVFYNILRNGSIDFK VLEKANTPKQAIRLIRAMLEMDPCKRVNAQEALQLEYFRNESLSPNEKRSRFQQRHQNDS FKIGITADFEINADQDARCANVRKMEIHKHH >CAK88367 pep:novel supercontig:GCA_000165425.1:CT868649:677201:677616:-1 gene:GSPATT00003336001 transcript:CAK88367 MGQGKLAGAKRHKSRMNKEKKGGQHITNGDIRRLARRGGVKRISSDSYPTTRDVIVNFLS SLVKDAIIYTEHAQRNTVQAMDVVYALKKYGRNLLLNND >CAK88368 pep:novel supercontig:GCA_000165425.1:CT868649:677696:678118:-1 gene:GSPATT00003337001 transcript:CAK88368 MFLKLSGQIIYRWNSSGKICKFSQRTLPKIGYSDYLKRLLLYSDCSIQCYAIVLIYLDRF TTKNEHLWLDQASLYTLTLVLLVICIKFWDDHKYSNKYFAKLGGISLRLLNEMELEVLEL LNYDLFVSEDLIFRYMKYFS >CAK88369 pep:novel supercontig:GCA_000165425.1:CT868649:678273:678587:-1 gene:GSPATT00003338001 transcript:CAK88369 MEREERFLEICKGIRSLDGESVNSTIEFIETPCAKEQRDIVRKLLRKGYNNAQIYSEVNR VFGTHSILKVYCWDVQDPKKYLGMSIVGLSLGFGIAILRKAIRK >CAK88370 pep:novel supercontig:GCA_000165425.1:CT868649:679784:682476:1 gene:GSPATT00003339001 transcript:CAK88370 MYNSIKIFVQSNNLYWSSNKFLIWKIMNQQLTLELKKDHLDQLEEKSKELSRQLEEMNLK MSNLNQQRQQFLGRIQNLKKEIDAEETKRKQLTQQLENQQQTLDSKKSELKVQFDEAQIE RNEFQTQLGMEVQRINQLTQEYRESVKSTTSDSTKQIQSRLDQLYEQNRKLKDELELQQE SQQTNQNKEHQIQRLQEIVESKERYKRQVDQESKLIQDDIDQYESQIQMRDQNINQLSFE LKQEEKKLKTLIQQVDSMKEQIKLNDDRIRLKSQQISQLEEKALSVFKNSGNRQQLNALK QDVEHQDLMYDQELRKKQGEITQSKIALGNNKKQQKELEENQRQLKIKQGKELQMKFKES FGQLEVCFLIDSTASMEPYKRQAQLCVKGSVKAIKVLTQRDTSWSTVCYVDTDILPKLGG MYQQYGFTRDSNELERFIEQVKCTPNRDLPEDVEGGMKQMLNNIPWQTKFKLAILICDCP CHGTKFHNYRKNEDFQPDADFTPTIERMIQEEIFFIGIIFTKHTIKMYEEITKIYQRHGK EEYFILSDLSNISQDLQYEKLTEVLSFASAQATQTNVKTTRTLNQANAILKNEESKNSPA SPVEALCKVDLKQGLNADNAVRETFKVFRLQMKEESFQEKMKAIQNIGVNDFSLIEENDW DCLRSKNAFAKGAMKAAFLMIKSKNMGSKNEFYVCKTPLNMQPYPNQESAIKECILHLVS QKWLRKYYKDLDEVAASTKQQYPQISYSDILLLQDANKKFWLAERFFEGNFIKYNNNWGF VNSSTDDINKLAQCFSYYTYFKSDYQYLICDIQGVGTCLTDPAICTKNNHKIDPTDMGEE GIGRYVVSFGAVKNSCTNILKALKIEL >CAK88371 pep:novel supercontig:GCA_000165425.1:CT868649:682531:683328:-1 gene:GSPATT00003340001 transcript:CAK88371 MSQNLDQINPQITPLETVEKQKSKYCSLNLQNQSLLYNHIYNEHKFKKFIFAFFVLVIVL NFFCNLSLFIANFQTQEVIEEYFYLPFHQLDFWGAFFFALSEGCVLILTGIVTLDSYKIY LIIINIGGTLVALILFLFNPELFEPTSHWIEYSVQLLLTLTDFLFIFQQDKTSLMYKYRY SESFIIIVTFIMSLIKLLIYGDIIPTNDDGERQAHYLEYSGEMINDCFAIFFIVIQLNKE NTNILQTMQTQLDKLLELNGITIRE >CAK88372 pep:novel supercontig:GCA_000165425.1:CT868649:683477:685047:1 gene:GSPATT00003341001 transcript:CAK88372 MNIYTLKFEESTLENSYQLHKFNIWQCPILIYTYVLSIIIVGSKLIYQLVIHDYMYLIHK VVILFIVILLCIFFKHFKKFPNLTLLLINFLLLSLETETNQNNTYYQGYLFGCNQMLAHA ILFLGSDFLVGLGANVVLAVARAVITYVNEDYLTFQQYLYTILITFGVSGLLYQSELSQR RSYLYQTKDTTWEKILPFVICKPFLIFKFDKEAFSFQQIAINKSLTDSQEMCFDSVGDFL KECKYDKMTLQKYLFQKYEKFNTLINKIECEKLEIYYKKSRMKIKLLIYCADTIRFMIVI EAVDQAFIDLKYRYQNHLKEITQKYNNQLKKLAKLLHKKLKKCKMQMMSEVSISVLEFRI LQSLQLTKLTRINMSQLFQKFYQIFHSNYKYKIYFISKQDYVIHTYKPELYYFIISIIRQ SNRTSEISFIIDQNQEAQAPQLSLQGIEKLPVDHQFLYCQRILFEKHIQVLNSHFWIFKE VHSSFNQLYTFDNISNDQYNLLNQQF >CAK88373 pep:novel supercontig:GCA_000165425.1:CT868649:685098:686432:1 gene:GSPATT00003342001 transcript:CAK88373 MLFRCLYRFHYTFPQRISQTTYYLQNKQYFDSLVDQPITSQQFQDLKQSLKSIKENEAKI GIIQIALDNGIMKEKLGEIREIMNQYKHLIESNKSSNQLYQHDLGDYYEKEALLLLRECK MQESFDPFKKGLQQFIDLSQDKNAYIIRRIDQILESMIIVIEDMGSLEQAREHIKQGLQI FEQLLGKQSEKYIQYLNKLANTYLQVDNQQAINLHKEALEIIGEMNENNFQLYLKTAIEL MITQFNQKFDQNLYDTINKGLQQYGNIDSELTVYYHVVMSHLFYDNKNYQKQEFHLQEAF KVYSNEKSSLLMNIIAQKSLILKSEHRNDFYNVLITELDKEFQDVLELQYYKCKLIYEIN KQNGIIEFENMQTRLYNQKLTQYIVDFTQQLAKDGLKEEAIRLIEGYPEYDHLLKAWKQK >CAK88374 pep:novel supercontig:GCA_000165425.1:CT868649:686510:688043:1 gene:GSPATT00003343001 transcript:CAK88374 MNKYTLQFKDETIDKKYRQQSADSVQIPTFNFCAYSSILYFMVSLTIQIIDQDVTKIIVR AIEIFLLIVILIMVKRNRDKVNIGLFFVNLLIALFEFEQDDAYDRFNYYLYGSNAMLVHT IIIFSQTFNYAVASNFSMLVIRLSVTGLNTKIIYIQLIIAILATFGFNFILYQSEKFQRA SFLMTLKDNTWEIMLPSILTKPFLVFNFDFDTFSYQLKMINKLGFPFEDTNVVHSFLKEA KYGKRTLQEHIYFTIISCNPQQFQPFVQELKIVFKKKQISLLLSGCYLGQPTFIIVLQSE DKDLRQMQQQFKLQHQIYSKYFVKHIRQTCNYLKTIVERNQVHLAIKLLISHKQSLLIEH YKFENQRNISPHRIIEKLVNYFRSINYKIKLTNIKFDDIFTIKSCYLNFLYEIFKSMDRN QRYHLKSFTSNNQYIISIKGLQEYPKSNLFQFCTKCLIEQTIQIDNSFQFIFLDIPQISY YHSNMKTYDDPYE >CAK88375 pep:novel supercontig:GCA_000165425.1:CT868649:688086:689692:-1 gene:GSPATT00003344001 transcript:CAK88375 MQSQEKTRVYYGRFVQKEKLSAGSFGVVYICQDKVSREYVAIKVEKENSNMLSLEREIQI IEELRGIQGIPKLYWYGNEYNSNCMAMQLLGRDLSHYLKRYRKFSMKCVCNLAEQLLYII EEVHQRGVIHRDIKPENILMGRGTETNIVYLVDFGISKKYKVNGQHIPFQEQKPFMGTTR YASIPAHKGYELSRRDDLESLGYVFIYLLKGNLPWQNITSSSDKEKTRLVGKLKMELETK DLCKGLPIEIQRYMDYVQKLKFPSTPDYKYLLSLFQKIAQQQGFQLDKKFDWNDQFTTST KSSDGQQPRLSGKEFEFAQDDILKKPEKPEKKNKQICESNLSQQSSVMLNYVPSVIQQIG GRFGQKSTGRSRQNSRQSSFSRESSLLKQQTGQTKKKESQIHKGGQFQDFEDLEIQKIPK QKQSVQFDDFGDDDINDDEENLANKLKQFEQIQVHFKEHLSKS >CAK88376 pep:novel supercontig:GCA_000165425.1:CT868649:689721:691934:-1 gene:GSPATT00003345001 transcript:CAK88376 MNKKFECVRVVIRCRPLNDTEKKDGHVCIVNMDTKNGQVTVRNPKVADEVPKQFTFDQIF DTQSLQENVYNQTAHPIVESVLEGYNGTIFAYGQTGTGKTHTMEGKDDPPTLRGIIPRTF DHIFQRIENMAKNKQFLVKVSFLELYNEEIRDLLSKNIKNKLEIRENPETGIYIKDLSKF MIENPQEMREKLLHGRENRAVGATAMNQDSSRSHSLFQITVETNEIVQGQSHVTVGKLNL VDLAGSERQSKTHATGDRLKEAININQSLTTLGNVISALVDNKSQHIPYRDSKLTRLLQD SLGGNTKTVMIANIGPADYNFDETLSTLRYANRAKQIKNEPKINEDPKDAQIRQFQEEIL KLKQQLELSIEGGGSVMSPGQEVLVQKVVKVKNVDKIKEAENMIEREKEELKKKIEEERR KIDQQKNLGEEEKMKLLKQLQEKEEQANNARETQQKLIKTIQKMEQKLVNGHTEVEEARR KEKELEEARKLIEKEKAEAAKRALELQKKEEFNLELQTKYNSIKEELEDKTRRIKTLQQK TRQLEFENKETDEFMAKEIEDLQIRKREILQEVKLKQFILDYFIPPKFLETMQMLALYNE AAEAWTIQGLDYSGKLTKTQIQQAIQEQQQMNVSDQINSEEKLQELLNHPNVYFAYTEEG LIREEQLAPQEKKKVQKRLQSAKKPQSAKKRPSSKRIESAKKSVNLQEQNYPKAKGLTSK >CAK88377 pep:novel supercontig:GCA_000165425.1:CT868649:693089:694348:-1 gene:GSPATT00003346001 transcript:CAK88377 MIEEFHKRLHENIDLSPLLEALNQIPQLPAIDYNPQLNDDEIQLLTKILDHHEQIRQDKL SSKLIAEFKQLIDQITLKEYMFYLSCIEQFEKHVKNLDYHSQHEISNWSLQHLSIERVQW IISNCILLPELNSTMIQKFRQLILNQLELIQPEESTLDQVLFQTSNIQVFVSNGNLILKS NYHINRQSEMINILVGFLTYFDYINIDIQDFLIKTISQKYRQHFIALQNPVFDEVKMMEK YGKQIKQCLDQANLSEIIDKQYQFTQLDKLKQNLIKFKNQYVEAIEKLQFKQQKQQNWNL GFFKSKIETTQKIPNQIFDQLQTDWKTLDTFLKNNTDKNEQIYQLIFDHIRLLDVCLDYK EVQHNFQSLNFYVTIYTQIDQQLIKLLKNWKNDLLIDYIYNIKMKLRHYSFQASEFIDI >CAK88378 pep:novel supercontig:GCA_000165425.1:CT868649:694503:696097:1 gene:GSPATT00003347001 transcript:CAK88378 MNKLILLCILTIGLVQADLPVHCMKHQITGKWKMEVSQTKLKGMGAVPCGHHVPDSQQSS YQAGFDDFKAVDTFEVNLSNDYSVQDKERKTSGQWTMVYDEGFEVEHNGVKYFAFSKYAP NGSDYKSYCGETLIGWYNNLKTGEKGCYRAKKTDGDNEATDSYQLISVVQPEFIQKSTRH GDRVKLHKNFDNHKEAVDKLNSIPKTWKAKAYDHFEGMSMMELNKMAGRRKHFQGKTIRK ASFTQIKSGDVSGLPKQFSLEQYLDPPRQQKQCGSCYAISTMEMLSARLKMKGEQVTLSP QYSVDCNYFNQGCDGGYPFLVEKFASEQYLVTEQQYPYKGDVGTCKKIDFSQSSKVYGAK NYKYIGGGYGLSNERDIMMELYTNGPVIMNFEPSYDFMYYESGIYHSVAEHDWSTQERPE WEKVDHSVLCYGWGEEDGVKFWLLQNSWGSQWGENGSFRMKRGVDESAIESMAEAADPVI YSKSNSEFIEMKKEQNLRKQ >CAK88379 pep:novel supercontig:GCA_000165425.1:CT868649:696126:697288:-1 gene:GSPATT00003348001 transcript:CAK88379 MGLVCFKEGPQTVISADPTDQKDLVEDNEEVISQFPQQDEDGEKISLSHFIMLRNLGKGS YGKVMLVQHTVSLKLYAMKVLIKKSVKTLTQKKHVQTERKVLEITNHNFITKLHYAFQSQ TKLYLIMEFVPGGELFYHLKLQGRMTEKKTKFYAAEILIGLDYLHKQNIIYRDLKPENIL LDSEGHIKLCDFGLSKICYGNDMSAKTVCGTIEYIAPEVIIGQVYSKCCDWWTYGALLYD LLTGKPPFYRLSRKQIIEYATEKDIEIPQYLSEEATDLLKKLLKRNPRERLGLKRDAQEI YEHPFFKDVDFKKIGRKEIAPPVEMQNERPFKFFDQNLIRKCSVKDTPVNDFGKFQNYSN FTYDCDKLASEYNQLLITH >CAK88380 pep:novel supercontig:GCA_000165425.1:CT868649:697349:698328:1 gene:GSPATT00003349001 transcript:CAK88380 MIYSLLQQHLHKELLGQKYQLTEFELLNVLDNIYQDKQDFKYFLNIPNPLQSTLVVQSYR FEYEYNFENNTVDQLNLIIEKVNDDQANHSINLLQYQHAIPTLLIQKAFIKKQTPQPALQ SHQIHTLISQSSNYQRVDASFCNLDIVQRSQNIAYRQPTQDQSIKKRVKVEKSQPIDIDS IKLSKTQDTEDSKIKKEIKVSKQLFKQQHKKHEETNQNQYLKSLVKKLDIPEKWKQVSQE FSESHKKVWDIKYYEKLMLLTEAVSKKYFYSHIFKECTEEEYQKRFIRYALPPDLQDQSI IKKRKIYSKDVVCALFE >CAK88381 pep:novel supercontig:GCA_000165425.1:CT868649:698916:700007:1 gene:GSPATT00003350001 transcript:CAK88381 MSLNFEIKKKHVARSERVKSVELHSEYTWVLSGLYSGVITIQDYSNQVYELFNQTIVKQI DQKQNEVNRQAFEAHTDYIRCVIVHPSQPYLITSSDDTTIKLWDIDNNFTLLRTFEDHVN YVMMVAYNPRDPNTFASASMDNTVKVWTIRIANLISHLISDGDDRSIKIWDCQTKQCIHT LEAHQQNISSAKFHTDLPLIISQLLKTESLDSGIQIRTNQKHHSIIIWKEFGVQIQEKII HQPFLTMKEHQPIVSMQQGKVVDGKNFQFFTINLKAINNTSNDGQIIQTNSKELGISDIY PCGVRHSPNGHLFAIFSDSEYTIYSSQNFKNSGFGSGTDLIWSSNGDYAVRKYFLQNYLR TTL >CAK88382 pep:novel supercontig:GCA_000165425.1:CT868649:700088:700860:1 gene:GSPATT00003351001 transcript:CAK88382 MFYDWETGKLIRRIDFFPNKVILNDTNTIVALATNDEVAITNLLQQEENSDGFEDAFQPL CDTTESINSGYFIQDVFYYTTMNGKIAYSVNGKIFIVDQDKKYFIIRYIQQQNKLYLIDK KYNIISYEVNSNVVEFQTRILKKQCTKAEETLQTIPIQYYDKLSKFQTLLISKNGHINQS RTKTINLSLLFNLHLQMMLSQLLKKAKTHSNQDKLEIFLQNKEKLKQLLKPCNRQMILED SYKFIPLWD >CAK88383 pep:novel supercontig:GCA_000165425.1:CT868649:700908:701133:1 gene:GSPATT00003352001 transcript:CAK88383 MNIAFSAYFLCANLDKCFEVLIKSNRLPEADQFKQFQEFLNQDLFPQLQNNPNLDLSQIT YESGEY >CAK88384 pep:novel supercontig:GCA_000165425.1:CT868649:701480:702708:-1 gene:GSPATT00003353001 transcript:CAK88384 MIIENALYCKEIQHQKKPLIAICLNKECQAASGLCISCFPAHANHNEDLQTIDNVRQKLE EQIQTMKHNYSICEEVIQMINLIKMETTRIIQNILGIQKISNHDDITKVKLMLIYSKPSK ESTSISIKASTELKKAKEILNDLKTALEFSQSNLTDGQQQEFQECLKQANQFYVQGKFEQ ARQQFNYCIYLDPTNLYSKWRIGMCLKMQGVYDQANEIFDKILQDNPTFVDALCHKADSL RLQGKYQEALDYFDKTLSLDEKNFVGLSYKGETLRKMQRYADSLIFFDRALIINPKNAIT LFGKGDSLRCLKRYDESLITLNQGEQIDPNNALIQYSKGYCLKAKGQVQEAIECFKKCIA INPQYKNSVEKELEQLKN >CAK88385 pep:novel supercontig:GCA_000165425.1:CT868649:703424:704149:1 gene:GSPATT00003354001 transcript:CAK88385 MSIKHQQIDEPLYILKGFKIPLLNTYFQEPYLSPINVKQQLSSRSNNQPFFESSTTKTNA TSREFYRFEPLKVDKTQQNKQLQEKSPSPNKLKFLEDNKLKGLLNIVSDRNKQIHLKQYD FPVGGSTNSNTKKIKFNFKKINIKMPQKIQFSKEDLENNKKFLINTLRKSSVVQNQEDIQ RPVRSKSDFEKVRSKSNLKQASPYKDRLIEEQYKKKTVRFNELVEIKMFERIKSHLMKQL I >CAK88386 pep:novel supercontig:GCA_000165425.1:CT868649:704885:705520:1 gene:GSPATT00003355001 transcript:CAK88386 MFLQGSKIKTIEATVNQQIKFSTRQLSQELKSSHSLHRRYSTVAVIHQDAYKIEDKYSKQ LNQSLHTKYYNMHQLFKKKQYIPANFKSNNKPFEHVVTNQFSKTSSQLVQKKKKFNAKEW HKVNYDRFRFLSRQASIEKLVTNRQQSIQPCLQIQQANRQQRSVSQMNNYRTESISSLNN ERCKCQRSNSLQKENLVIFLIEENQQNQKHD >CAK88387 pep:novel supercontig:GCA_000165425.1:CT868649:706223:711499:-1 gene:GSPATT00003356001 transcript:CAK88387 MRHIQLGMKRKRCIQIQRQLKQINLIMQDDQKLYSIIFPDSNLQSVNQVPFFIRDYEINR KQIYCSAYSNELLVLGHYSNQNCISIVDVVTRNYIIQYTNLNNQGCIVTAVAIGYYNNYI LVGTQKGRLEMYQLCNSIKQYDILKQIEIIKFSETQIQNIFLTNGDLAIVIDLSSIKCFY INQLTQSVQKQPKEVSNKYQLLQQDIETITEKFVVGISASSYCHETNQLVISLGDSSMVV YTFIGEDINSNLRTAKVYVIEPFEIINKLTISNDGRLLAVAQCRMVNGLEYKISIVDLNR KGKVIKKYQFYDGAEVFDMKFFPIQNKLYVVVNTGQIFIVDIDQINDERLYLQNLSDVTK QIYPLSHYQEFPNLLQISENGLIQIISYDTQKIDHLVQMPFLYFIELKLQEMFNYKPLLQ QYFQSSFYIGFSGIDKKTQSVQQIMLNGEQYFEPLYDGILKMSEFREFYKAEFQERLRHR RKKYELRIQILAWSLNSVKQNLLPQSFGMGIPQQIDLKKNESEKQEIRKQSKQKKKKQFM KIEQFFDTAQDTDNKMFLYLSDLADAYLRQYNDLKLSDDRYLVISKLLQNLQYQNLTMLL LGNCSLLFDVKLPFKEYIIDLINKVDRLSYLDLSNNKITFEDIKKITRLTQLKYLDLSSN YLGNQTKKPMMKQKMRYQNLEMANDDEQQLIPEDDYSFYRLLFQKIPIVNLRNNQFTDEE GCKLLRTLENNEDLRVLDISGNLLFKEQTRNQLEMLIKNKNLIMKNTQTLIIEFSEELSE STMKQFTKQIIEKFTLQLSEEQKLVKNSDVEAFEQQVQEEIPMNFYLYIVNSNQNYYWDQ SLLQLYERQDEGQVDEIKTCFCAFFMIYVKMCVDLYQNIQANFVECLKQCFCSIYFVQKY LLCCYCVFLQSNYGSFITHLLGIKTADYEYNNKKDDLKKHLENSKYPLNILFWINFLAFY FICVFVPVYFVLACSGHQWTGHFIYCGYAFLVCLLEIRLAKTCIDHEITHAEFSNGPYWK RANSKDLFLSQMAKFDVYSDICFITTVMTCGDNTAIGYCAIAIMALTLSTTMFHILSLLL SRQDGDENYIDYLCNYCSFVEIHLVGSLLEKWTIQNTTNFLWMKGIPNRVYAATFRTFAE DLPQFLLQVIYLFLNPNKKSIEILMLSLASSTISIIISVTKSLTITKANRINDIIMMNEV KTKLGLHKEVTDPKLNEELIQFLHDYYQNFDGEEHVQVLQYGMTDTKYLRKPMEHTTNIR TVKGQKQSIKIIKGISMLVEQQQQTQQKPLPMIKNPTQALDYEKLDFFEPYLFLMNSNQA KLIQKLYQRGQEMHPEIAQVLLELEIQDYVDNVPSFQNEYERYDFAEGSFIESFDVQIKI LETIYKYVKKDLNKELQILDIGCGSAFTATAILKLLEKLKPKGTYKILCLDHIPQILERA KNVIEMGFEDFLQNKIIQFDIYDCRNSLMQFGQFDIVNYGFAVYQADNKLVKQDGIAIVP LITLTPQEHEYYALQRYKDQEDELINLNLEAQSGQLVAKELQFPDLYQYFEIRKGEQCNL CNKSIINQGQFYSPWLCRHYCIECGQQDDDKIELQEFKILSNLVFVPSCATDEEMNRLSS YKFGHNLFPKKNQQLNKYHPIDCHPCQREKGEEKDFDPLPRYICLNCRPGKFDGQHVDIC YECAKCFIDDEMENERDLKLYYLSGHQSSHLLLKIHFYYDYNQY >CAK88388 pep:novel supercontig:GCA_000165425.1:CT868649:713418:715018:1 gene:GSPATT00003357001 transcript:CAK88388 MQKIQDDQQYIKNTKSQLKMQGITDNLASTTPDLTKFINPEALLKPTKMQIEQQNKKSDP NDSKNKKKQIQQSTVITKNEMTAQDWILKCQELQAILEKEKMRTNQLELELKNRQERFVN REIEYRKTIEALQTELRAKTALDQGDRKIMENIYKDHNKIIDGINNIQLRTSKILVDQER DIIRFFNNKINEIKKQFEEERIKKGKNDQEYIEKENQLISELEWIKNIAQKIDNENHSLM QKYKELKIQYQTQENDREMLLKELIMKKKKNAILKSQIEQYEKLLNEAQKDEVEQDDQSF DQPSYQDIVRPGSKAKVRIRSVKNSSQSQNRQNKDDSLSKNQSQIQQQQQQGSQSIQQTL QRYEKTIKSLQSTLKKEQKRVRDLKQLYIKEMQSKSELEVILRKCIDDIKEEIIQIKGEA RIFNKNNKNKLDQLEKEDRDKLIQTLLDNEKIVTLIHDQIFYANKKKVDELNTSKQYEPK QQKLFQFPNKQILQQQSQQRQLEDEGFDDGENPEDEF >CAK88389 pep:novel supercontig:GCA_000165425.1:CT868649:715062:715666:-1 gene:GSPATT00003358001 transcript:CAK88389 MSMSQQRYQTLMGSDDISQICSGFIRFIEEILLPIAKQDTKQFQNWTKFKEIIDPFNKKI ELQRVEQFFQQFNNSKINQENKPPTPILKDQTKNTVNIQDRSYSIPQTTVVQNLTDDYEN KLKEITEKINKTFHQNNTHLDILLKMNNTTQDARNKLELNNNNNQRNTSITRRVDFKRRN TDYYSFKPINIQ >CAK88390 pep:novel supercontig:GCA_000165425.1:CT868649:715738:716302:-1 gene:GSPATT00003359001 transcript:CAK88390 MDSKKLSKIIELIFLSIAWLSVLACLIRTDFNFPFAFFCYYLWISRDDKANSLMLMVLNG ILILVDLIWLLSVGSIWTATEKNNPVWGRLHGLHVFVIFISIVNALLKVGAIVAINSYRG NQQQVAGPVGTQNPQQSGFNDMSQNRQQPYAQF >CAK88391 pep:novel supercontig:GCA_000165425.1:CT868649:716494:717447:1 gene:GSPATT00003360001 transcript:CAK88391 MNKYALEEQQILNEIIDQIFSSNIAETKIIAEEIYSVKRLVSLEQFLPNICGFYALYNTY FEINHNITLFWKFYFELIKQLNQMFEGDFENFDELQRYHLDYILNNKIIQQLQVYQDFGN KRPKEVIPFFYGCDIIQNSTEELSIISDSFNRLRQQQISNLTLICGITIHYLIIDLRWEQ TKLNIYLIDSQNDNLNKLFNKQIVMDKNLSLEKRRRRRYKIDLRNLIMILQRLLIGQDII DIYLEYAINNVRDSFDEFAQRKQLKEWIIEEYPLPVLKHMIFNVAKQFNKQLKQMSWILE YENQELSELKNQLMTFI >CAK88392 pep:novel supercontig:GCA_000165425.1:CT868649:717474:718380:1 gene:GSPATT00003361001 transcript:CAK88392 MKGYEFLRLIQEIGVILNLSTPTISHVITIYKRFTLSNKRQFKKEMVITACFYLVSKIVE DMKRIRDILVIICVVNKMYTLANQERKQKLQANNQADYDEDPIKYLGIEQYENYNIEFFD KFVPLFNNEQYAEWKREVLEAEQHILRIINYDLKNETLDSYQLLLNYLKIFQFEQGLRQM IFDIFQDTFFVDFQTDMTQVDCVKGSIYLGIQFFRMHAVDKQKLLKLKEEFCSQKWWEEF MQISYKQLLLFQIDMLQYYNAQDFVQA >CAK88393 pep:novel supercontig:GCA_000165425.1:CT868649:718602:719581:-1 gene:GSPATT00003362001 transcript:CAK88393 MSFWNRLMSLLPTFMLFGVLIFSYSIYFITNLKPNFNGYTYDIIILHCIIILFMVSMFRA MFLQPGIISTETIDETWKQWEIFKQQEKERLTEEKQRRSQRSVKTFKTENDEDRSVVNLD AEEIIEEEDNTNKDQNQKVVQKRFCKKCCIPKPPRAHHCSQCNTCWQRMDHHCQWINNCV ARDNYKMFFCMIFYASALLVWVTISQQKVFEQVIHIDVSDLKLYIIVLHFYFVCFLAILI SGFFIFHVYLTSQNKTTLEQLEDKPDKTKYDQGIWLNFQSALGSNILFWLIPI >CAK88394 pep:novel supercontig:GCA_000165425.1:CT868649:719636:720448:-1 gene:GSPATT00003363001 transcript:CAK88394 MKITIILLLYIVSQAYCQQNDEILGYMYVFAQEWPGSICKFQKCTKTYMGNYDNARWNTH GLWPNTMLETTCGMIFNCRDEDYDEDKLTVATKTLIDVTWNGMYSDTFTFRKHEWEKHGT CHPDNLTQNGYMSRVGNLNNQYNYYKILASAGIYPDDDRQLTDAEVRAAFTKVLGISTAM TYTCQKDSTTGKFYIAELRTCFTQAMKPRTCDCSKPVGAFVTCGKSFYYPTFLLSVDEQI ELEEISDLSNGFLE >CAK88395 pep:novel supercontig:GCA_000165425.1:CT868649:720592:721284:-1 gene:GSPATT00003364001 transcript:CAK88395 MDQHPNIYPAPSFYLEKCIQNRKRLLEQFKNTNFNKQYPSIQENSEQSIHTIEPPQDKFV QENIKQQYNSGCSEIKEPEIQQIKENNQSNQKKILLQFKFKTKLSQQIQSNELSNLSAEQ STVNVDTQIISKNLNTVETQTDLTCNLNLEKEKKQPKLIDDQCQTTEDIPIQTLIIQQMK EDALKPNKQWYSINLNSSIHHKLLVTDQFMSVNKAAIDYFDSLKSKLNEN >CAK88396 pep:novel supercontig:GCA_000165425.1:CT868649:721352:721922:-1 gene:GSPATT00003365001 transcript:CAK88396 MNRIANGVIGLALKNQACFSTAKKAKMELTIRTPYRTILDKFEGFSRIVAKTNEAALIIQ NRTPAAVYILPPGPLKIKFTQDVKGVTGDFLHLGGYVFVNPDNTCEINLMDVVDRKEAKV DQFDKADVKDADTVAGRYAGKIRRSAQRTFIKKATA >CAK88397 pep:novel supercontig:GCA_000165425.1:CT868649:721955:723150:1 gene:GSPATT00003366001 transcript:CAK88397 MQKEQIRNQLKKQLFENQGLRQKKSIDIENNITQQNSPQLQETFQDAYQLMEKIGEGAHS VVRKCLRLPKQKSARSLMTKSTQIYAVKCFRTDDPEIVNTIIQTFNLQRLLQDVPRICRA YDLFIDEKTKHQYQVIEYCDIPSLDQVFPLLSLKHKQETIKQLAQTIAQIHSKGICHRDL KPENILIQLNPEIQIKLIDFGVSKRFKFKDTFKDMWTATGTIVYQAPEVFLGGGYDEKVD IWSIGVILYQLLCYRLPFFADTVSETIELITSENLSFQYTEEFQNLSYIERDLIKRLLKH NPHHRLYAEDISLHPWFEDQSIHTQYVISDDTNLMSRQILEERTLSTQIGNEISDQQVQN LMDSFEWGQRIHFKK >CAK88398 pep:novel supercontig:GCA_000165425.1:CT868649:723216:724120:1 gene:GSPATT00003367001 transcript:CAK88398 MGNQGGMCCLSRQKENHVLNNELVIIREYMNVKITVKLGDIQKESGESVICLYTNPDKIP LQQNIIQSIYDNISRSINYAYPLVGYEQVYIQNLEMSAYKCITYYRVRVFESDKDLFQYY NGFRECLSQLNDEEFKDILICDCPIKQSRAFSSEVLVRSVIDFIDESKSRLNIRSITMLN CDKKSSRFLKYELIKQIEESNEPMIRKERQNKFMKFITSTKSITSEMGRQLKCDFSLQDF DLGDESKLVHALKQDQIEEQ >CAK88399 pep:novel supercontig:GCA_000165425.1:CT868649:724253:724895:1 gene:GSPATT00003368001 transcript:CAK88399 MNRKKNTTSVPTLPQSKINQSLLVPPPQIMSPNQSYSTYIPPEPKFDPNDYITGSTTKRD IILYKEIFDFLDSNNNGVIQPMDLRKAFASAGKYQPKKQIIYQMIADFDQDQSGIIEFRE FVRMMSMHPGEKDTDEDFENIFYQFDLDYKGYITIDDLREMASECNENLKDEDLENIIKA CDPEGNGTIRKQGFIRYMKSLQKKN >CAK88400 pep:novel supercontig:GCA_000165425.1:CT868649:725222:726906:-1 gene:GSPATT00003369001 transcript:CAK88400 MLPSNKSLEEYQEERMKFTNSWTFMMTALGFAAGFGSVWRFPYLVFKNGGGTFLIPYFLL VFTLAIPLFFLEVGLGQTKGMGFAHLIASEKPKLAGFGFIGIIIASYVSTYYNLIMAYSF RFLWDSFKYPLPWLESVINEEQPFSKSYFYGEILQISDSITNINEIVWSLLIAYMVSLTI VYLIIKEGVGTSGKIAIVTATSPYILLMILLIRGLMLEGSSEGIYYLFKPDFVKLFNPSV WVDAANQVIFQMNVGLAILCLYGSYRKKDDNLPNFSYAIPIITSLCGMLAGLVVFSYIGH VSVRFNIPIDELPLSGPDLAFVLYPAILAQMPMPNLWCILFFCVLVLLGVDTQFGFVDLI AGTIEDACLGEVFLFGYKLTVQQVRLGICIALGILGSIYCTDIGFYLLEFVDLYGTTISF MGGLLFEVYYFGNPKRFSKFKKDLEQCNIQIPAFIEFSIVKLCHFTVVILLIISVIQQIR GSLNYDGFFIVFGWFISLLPFLWALIIYIQQMFCLFFQEKK >CAK88401 pep:novel supercontig:GCA_000165425.1:CT868649:726984:728166:-1 gene:GSPATT00003370001 transcript:CAK88401 MRPFHVRGTDRAIMTVKINYDGDLFFTASQDGGINCWLTETGERLGNYKASGAVKTLDLT DNSELLVSGSLEGSVDFFQINGGKKRPNGLSFSFGDENLIIVYQPMTSTINYETRILKVQ EILDKIKLRQTQDVLEITDTLITPDKFKTTQASWGYLNQTIVMASTEGELLLLSYPQKQE IRRVQVHDGEIKQFTFAKDFSILATAGNDGCKIFDPATLQLLRQFKYEVPMNAVAISPLF NTEQKPKPHLIVAGGIPARETARTKFQGFDIHICNVIYEEEVGKLLNPNQIGPINALAFF PDGKGFITGEEGGYSRVYKFDQTYWENDLFK >CAK88402 pep:novel supercontig:GCA_000165425.1:CT868649:728501:729438:-1 gene:GSPATT00003371001 transcript:CAK88402 MLNQAFLFTVLEERFHKIYQGNVLALESIQYFKLKNIRTIIVIGQQNHTKFIEYATYHRL NDGIGEAINIFEKTCQLIQNEIKRSSILVCCQNGLNWSTAVMIAYLIKIKQWQYEKAFYY IKSLQSLVNPSLALKKQLILYNTKVHSMKNLNHENRIKHQSLIVPSKFQQRIIEQSQKQQ YLNDSIQSEEERHSISSNQLDKTPVFNSPKNNNVNKKLVVVAQSDIKIMKKQSITKLVRH IRNYTFQIDDTNININTNNPNEKNLINQRIIINSPKFKDEEDPVVLRHRRRRHAHRTKSA INYG >CAK88403 pep:novel supercontig:GCA_000165425.1:CT868649:730059:731045:1 gene:GSPATT00003372001 transcript:CAK88403 MSIHEQLLQMVTDVSSYKCMQICSSLKQEPAVTKVQSTQEILNALKLLIFILLELVSQNK SLEYDELEKAVQKAEAEIRSHVRVSQKAQLQLEQQMKLYTDNLQEKIEQLELEKQQIEQD RKASTLQLNFLSSKEMEKKPSNLSQQREGSPNVVKKSYIMGNQIYHRQHQSNLITYQDQQ IPNKTTSQEPINRERRSANTQHISYIPGKGLPLKNNVSHQNNSIHNPPSNRKDDRNKSQQ SQERVIDKSQSLLKSQNSYSYIKMYNIQKLIINKNQNDNIKQATQLTQQTKSKTIHGVCN NILDESHYKKK >CAK88404 pep:novel supercontig:GCA_000165425.1:CT868649:731176:732927:-1 gene:GSPATT00003373001 transcript:CAK88404 MQPSRQSLKGYQEIRMKFSSSWTFMLTSLGFAAGFGSVWRFPYLVFKNGGGTFLIPYFIL VFTLAIPLFFLEVGLGQCKGIGMAHLLDIEKPMLKGFGYVGIVICAYISTYYNLIMAYSL RYLWESFKYPIPWLESIIEQNKPFSRDYFYDSIVQISTCITDLNHIIWGLFIAYIVSLII VYFCIKEGVETSGKIAIVTATSPYILLMILLIRGLMLEGSSEGIYFLFKPDFVKLFNPSV WVDAANQVIFQMSVGQAILCLYGSYRKKDDNLSNFSFAIPLLTALCGMLAGLVVFSYIGH VSFKFNVSISQLPLSGPDLAFVLYPAILAQMPMPNLWCILFFLVLLMLGIDTQFGFVDGI AGTIEDIYLGEVIVCGYKLTVQQVRLSVCSILGVIGLIYCTDIGFYLLSFVDTFGTNVSF MLGVLFEVFYFGSKERFEKLKMDLEKYGNYVPWLIEFSLTKLCHYTVIVLLIISVISQIK SSLDYSFHVVLIGWFISFSPFIAAIKIYIENRNKIDMSNNDLDIELLTKQVQQ >CAK88405 pep:novel supercontig:GCA_000165425.1:CT868649:733301:736996:1 gene:GSPATT00003374001 transcript:CAK88405 MKTFYFLHFFSFLETIHTQYLTSVDSFFQTKETILALNGEITNDFGIVFGIWSKYNPLNK ISQGGIIGVMDSNCFHQMNFMRESFGQLELLYYDCLYPESQTIKKILAYNTAEGLQHVYK VEIDTFEYENVWYLFELLYSPSANKLEVIMVKEDQFVLHKLLDVNIIKTENLILTIGSDL IVQNSNIESIEVGSRFSYFPGQIKLLKVNQKIITKLDPQILGKAVYVLFFDTISEQQCKQ NNQYSLLDQDITWLDKKIFLSQNVNINSFTFSGWYKIKEIHQFDDKFTFQFLRIMPNFEN DQFSNPNLSPFQLFYKISSNSNKILITTYSYKFPSITLDFTNIDNAFIISKEFEILHKFT FWHYLLVKLVDDQIFISITFYDSPVIYEYSDFYKVKQFHNIQFKLLQGNLENSALNYINI QTRNQYFYNCQFQIEQQKCHYSCKECDGPTETDCLSCPEESSRIYQSQYKSCICPHQYYD DKVNQNCKSYSDLLLIINEMQIENGCKFGYFEFDDSCQACPSIISNSLTTCLECIQNVKG WKQNSYCQTTLYINSNGYTVKTISEEDYNYYVFDGSEFSICRFCDQSSLSNLDNLYQDFN FLTSKFMLFCKFNNNIFDTSFINDICFECNLPNCRICSLEITHIRCIQCKISFNLINGIC TNKFEVQKNNSCIPPLYKNSNGECKRCILANCKYCFEFNKIDLQKSTLHAGFNKFDSDEN LALGCALCEENFIYDFIIGECIQKQSSLPNCIRSFINLENQEICTLSSTDFNIAPEIINC NKFIQNCLQCLLTPQSVIKCIICQSGFTSSINLGNCYPNSFADAKVVIEGQTQNFDAWVQ RVQSFMMKFLPNQYFYLRPYDEQTLLQFQVECKEGYKLNFQQDCKKYCTSDCLECLQSIN SDYFTCAKCPLNQYYQPILSEADGQCLECAELCEVCERRSEAEIYKLNPNFKINEINRKY TNKCIRKISNPNVLIDPQLLIPKYCFVLICNQEMIIELANYFDVDTQINVQYCNQIGINN ISILFIYKNDIDYNNRVLCKTELKTKIFSLRVIKLVLTFSENNFNSVNLSGFDQIEINDA HFLFKTQDHIQFENKQQPVLLFLKKIIINQSSISNVNSVFDSDLFGDITLKDILIIDSNF NNSSFFNLKYISGIIKIEKMIIKQCNFTNSSFFQLFNNHIKNVQNRKIKRINEMATLVNS FVYHFFSTQSTSEMLI >CAK88406 pep:novel supercontig:GCA_000165425.1:CT868649:737082:740666:1 gene:GSPATT00003375001 transcript:CAK88406 MIDFIFEQNWIEMSTVIGFSSNTTLWKTQLLKNQFINSYFLAGLEIDRKRIINCQIQNQQ ILQNHFIKSNLFYVESTITNKNLYVQLKSLIISDNFRGINEKSQITLFKIHCFQLEIENI QIINSNNFLIFYLFEITKLFASNIVFKNLEINYKVSQNINCVQYNYYVQNQLLFMYGFDI IIIKNITIYQQWSIDQSLLQILSSKNNISIQSKTLQFIDLQFLGNLLIKQFQSSISSFIT IESEQISHIYQFKFLGNLLIKQFQSSISSFITIESEQISHIKLHNVVFIRNFLHSYIDSS QESRIHLIQIISLAGLIEINNLTCQNNALTNSSSSFISLKSKIIQISNCSISNLNVLPKE LWNFYYDFSIDMDKFDQEQINLIIQQIFTIKCQGVLIIASTFSCFNCFFEDIIGQKSSIF EIRSLEDGNIQFTNLTIRSLEYDLSKILDSSGCITIDSSNSLLNLQILNANFLKIFNRMA ASIFTIFPSLWKNEVSIQDILIINSISLKNTIINLQFSSQSMNYNKVILRNITIQQEEDI WFKYFEMIEMITQSEIQDLTTGSNALIQLKNSKVILQNILIKGLFGFTLIKLYNISKLFI YQFQVNDIKTFSQFSLFEIKSDLLIKQTIVIKFSQFQQFQIYEYQDEPLIYKPKIKYMSL DCNLIENNLYNSIIFNFQDFLLLMYYNSRLWSIIDIQTLSNQTVLYLENILLSKVACPIC TNGIFSLNMTQRVHIIIFHIMDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYFQCMFNINQENFIKTFL LFNSYKSYDQYLSDNLFEYPTHLSLFINSQEMQSDELQINNKTVRMLLLKPYKIIEQGVS KMSSYLMIPSDQALKEYKIYIPTLQISFNIFNDFKIQLKNSRNELMTNVNHFQCQITQTT LELSQDFLLTESQVIAILETNEDKDSFDLGSISFHQNPYQIENQSLQILIDCYDQISEKH LYYIIKALTYKCQLGEFYVDEGCQICESIFGYYSVTYNATKCSIFDKIKFANITSNSIQL LEGFWRPNLYSDYTENCFKNVKFCKGGWMVGNQLCSVGHLGGLCEECDSHNIKGEGQFFK NQQNAECFNCSTNTITPFILTSLWQQNIIIRGLSL >CAK81652 pep:novel supercontig:GCA_000165425.1:CT868415:713:3043:-1 gene:GSPATT00039471001 transcript:CAK81652 MPHRRKSIGFISCFNKDCKANRIYCHQCLQQGDHVSHPKDQYSIDYLQNQLFKITHDCDK LINELSLMIREIVTQSVRLFQGFKTKYQISIERLMHLDQEQMNEVLQQIVKYDEIKNDIL KEVKDCQQNMVNLLQEKILVLKLQEFNQCEITKWEQQDVQIINQKDHQIINADRENDNYD AVIKLLDNKLFINQNYQQSLLIKALFLRLIGNHHDAIIQIDKVLSIDPKNIEALCAKAYC LAMLGNYNDSIIYADKALSNYSGHVESLFVKGTKSQIKILAKVLGFLEKYNKAIQYIDNV SSISSKHVESICLKAYYLGILGDYNNSIKQAENALSIYAEHVESLSTKSRSLRMLEKYQE AIQWADQAISINSKHVESICSKAQSLIMLSCYDDALIWVEKTLMINPNHVKSLFIKCIRV FDIKAKCLLKLEKYQDAFQQVEKALSLNSLHIDSLSTKACILRMLKDYQEAILWADQALF LDSKHIESLFIKGLKQHKYKLALSLLRLGKYNEAIKTADKILYLNSKHIESMCIKSESLM HISNFKKAIKWADKALYINENHKESLLLKGILQVINALAQSLGLLGNYNDALKWVGKALS ISPNDLDSLYLKGIFFLTYLGNSLRFLGQFNEALQAFDQALQIQPNDEAFLRSKGYSLQK LQKDEQAIFYFVQAIQHVPNSESVYSKEDTLNKRRN >CAK78300 pep:novel supercontig:GCA_000165425.1:CT868306:2155:3745:1 gene:GSPATT00039283001 transcript:CAK78300 MSDLNQGKRLIISSELPENPIATKMTLKEDKTCGGRFRWNLVINAIMIAMAAFPFYIPLE ATLGVNCFYASLWLLFTFLAASTLTKIHSTIKKVSIGQEQPPNPSPIKTSRITFENPSKC FSTKNCQGNSYGSMYGRKNSRQGIKNKCFFFGQLIITVHPYGTPGEIPGKCSNNNYGIRS VYAHLRQSEPNFDPNKYFVTNFDVDTIFHKNFLDIQMMNILKEKERNNFVWQPVLFYNWG LDKLSVFTRITGLARNMLMMGALIPFNINIMSVYTASLQLYIEGDFCHPTYQMEDIICYI RWKTLSKRSLKIKPIYCPTISGPTSGSNMWQEFVEWVRQNKRWSVGSAEVFHYFIIKAPR IQFCSAFLWACNYLNYYASFICVQSLLLITTTIRLFAMESDPILQQYFCIPLIMVYICLF FMIFMNKLAVKYLLNDIVIEKIPIWKDFIHWILSLLVMVGYGLTVFYGFWEIFFCGKGVC THEPSKKKVLDNIVKRKDETAIEVHQLQSERQT >CAK70856 pep:novel supercontig:GCA_000165425.1:CT868095:15120:18348:-1 gene:GSPATT00038639001 transcript:CAK70856 MDSDPHSEQNDNLYKVLASVKDFDIEFYHILIEIFRREKITDTFKQLNNQKLEQIGIQNI ERFFNILKIISELDFNKKNFSNEDQEQIRKELIKKIGQERQIIEFLKFLVHLTAFDEKFI QCGSNSFNFLVEMKVDLREQNFENIRIRDTSLVGGNFVKCNFNGSELENVDISGMNLNQA QLFNCKWKNIKINELNKLNGHTANVNEVCFSPDGMSLASCSFDDSIVFWDFRTGKMQSLI RGKRKVESLCFSPNNTLAFSSRKFVYLWNLKTGKQISKLDGHSNYMVIKIASGSDDYSIL LWDVKTGQQKAKLYGHSGYVRSVNFSPDGTTLASGSDDCSIILWDVKTEQYKAKLDGHQG AIRSICFSPDGITLASGSDDNSIRLWKVLTGQQKAELGCSSNYVNSICFSPDGNTLASGG DDNSIRLWNVKTGQIKAKFDGHSDAIRSICFSPDGTTLASGSDDTSIRLWDVKAGQKKEK FDNHQDAIYSACFSPDGTILASGSKDKTIRLWDVKTGQSIAKLDGHSGDVRSVNFSPNGT TLASGSDDNSILLWDVMTGQQKAKLYGHSGYVRSVNFSPDGTTLASGSDDCSILLWDVKT EQLKAKLDGHSGTIRSICFSPDGITLASGSDDNSIRLWEVLTGQQKAELDGYDVNQICFS PDGGMLVSCSWDDSIRLWDVKSGQQTAELYCHSQGIISVNFSPDGTRLASGSSDSSIRLW DVRQDNNKPNQMVIQVRFYQSISLLIVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSHVMSVNFSPDGTT LASSTIDNCIHLWDVKTAFKSYLQIIVMKTFQHNFNLQFLPTILSKKVFHQILLFF >CAK84801 pep:novel supercontig:GCA_000165425.1:CT868528:161:355:1 gene:GSPATT00039709001 transcript:CAK84801 MDIEIFTRRNLKIYNIPKIQEGYIPKCALFLNKNHHEKAMRRTTEFEGNTPRFYNPHPNK QTSV >CAK73949 pep:novel supercontig:GCA_000165425.1:CT868174:3:866:-1 gene:GSPATT00010182001 transcript:CAK73949 MGVAFRPKNIQIDTLIRIELGFLSYDQLNCQVFQLEIDTLMPSLSFSHYSHDSQNLYFYS NKYKPDQIQYKYSVNCTRITILQTTNKIFRVIYEDMYHTIIQYFQIRQPPRLPTDTQTQQ ASLQIVKNIELISKKFNNPLVSSIISIKIVPIYGIYRVLIEFPSSYTTNIIKCVINETSS VFCDQDSLNPKRLIVYLTKYHDSELIKDPFNLTVYGMINPLELNYNSRICVILDDDNILT PLLFGNEDNLNGITQFEYLDDYVNTNIYKQLSTSDFRFSQSQARAYDQ >CAK73950 pep:novel supercontig:GCA_000165425.1:CT868174:869:1406:1 gene:GSPATT00010183001 transcript:CAK73950 MLVLQYQVYLNIVPPHLFEIMKQACLFHQLKMFLQISINQSIEYHDKFPLQRCLLQLYLL NEIRKIQVDIQFIILKVLSFNFLIKMQVQILFILMHIQQQTWLPQVLKNSILYEIQIHYL NQTHISQLRLKVIDYCYLTIFLFNVNPQSEVTHNVPDEDGITVAIGSY >CAK73951 pep:novel supercontig:GCA_000165425.1:CT868174:1732:2042:-1 gene:GSPATT00010184001 transcript:CAK73951 MSSKNYKLIHQKIPNTTRYRDDQLPINNKYYVRLTQDSTRVLDLIDKDKQIPHLSMIDRF WGQKTQRLPEPIHQEEKHVRGEERNTALNRIKN >CAK73952 pep:novel supercontig:GCA_000165425.1:CT868174:2067:2618:1 gene:GSPATT00010185001 transcript:CAK73952 MDQISEKRRQKIESRMSEQDLKEAQQMDQAETQESQYKLIQQIKAKQSQYNKGTIYVAIL VSVVTALFQLLGSKPQLGQIWITAQMILLIIHYQQTKKLDKRLNNDELSSTLRSLVGSNQ FILNILKYLQLALTFFSYFKNVVVGLAISQVVFILIISIA >CAK73953 pep:novel supercontig:GCA_000165425.1:CT868174:2644:5516:-1 gene:GSPATT00010186001 transcript:CAK73953 MESLAQQIKGLQQKNQELSQLENRLVQLKQQLKLQESNNHIIQQKVNKILETKESTYQDQ RDLLKQLEYSQSHKDANYNRIRLMKQQQVDETMKLKQQLSDDKLMRTLTQKQNSQILQYQ LKKLKDQELYNKQQQFNKISEWEFQMKQDLEYKKNEKIEKIRGEQLQYKAQLSQKLDQQQ KYYQKLYDEEQQLLSKLHNSQSIAQNLKQDLSQAQSLSIKFYNNSLTTISSSIKSYSVPK LTKKQLESPYAQIPAILKIKINKEKSYGGSENFFPCIEKPSHQEQQKYMERLYQSKNQLP LNQQHEYQVQKTGIQQFKQTSQSHFESQIQLXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXRKKQLIGKENRFIYVFEDRLIINRNQNKGDPARVISFE NIIRVKWNYSINPKTFKTFLKSFTLETNNNKELLKYYADFEMLIALKKILQKFVQQSSLE DEYETQQVLGEGSYAVVFQLKNIFTGQQYAGKCIEKKKLDKIDKGLKAVMNEIEIMRILS PHAQIVNLHEVYDGVNSINLVLDLCSGENLQAELTKRNLILEDSEIKIIMHHLLLAVDYI HSKGVMHRDLKPENILFQKPQDFTTLKIGDFGLAAIQTDTPYLYPKCGTPGFVAPEIANL VEKDKEYSRICDIFSCGAIFHLLLFGEGVFPGKGHLELLKLNKECNINPDDKRYDIXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDDKYDRCVKAFLSL KNADPEKNCMYPYRDLVTCVEASCTQRQRTRFHIPLKQYDLQQNIQQPLLKSHNIQSFLD SIMNYEIRLIYIYKLNQYGIPMRKRRQQIMSILRSLNN >CAK73954 pep:novel supercontig:GCA_000165425.1:CT868174:5606:7006:-1 gene:GSPATT00010187001 transcript:CAK73954 MKQQSIDYEQCLFQCKTKKKQLIGKENRFIYVFEDRLIINRNQNKGDPARVISFENIIRV KWNYSINPKTFKTFLKSFTLETNNNKELLKYYADFEMLIALKKILQKFVQQSSLEDEYET QQVLGEGSYAVVFQLKNIFTGQQYAGKCIEKKKLDKIDKGLKAVMNEIEIMRILSPHAQI VNLHEVYDGVNSINLVLDLCSGENLQAELTKRNLILEDSEIKIIMHHLLLAVDYIHSKGV MHRDLKPENILFQKPQDFTTLKIGDFGLAAIQTDTPYLYPKCGTPGFVAPEIANLVEKDK EYSRICDIFSCGAIFHLLLFGEGVFPGKGHLELLKLNKECNINPDDKRYDILTIEQKDLL FKMLKTNPDHRPFAKDLLNHPYFTNQKLNAVGIFSMNVIKFEDDNTLVMQDQIHSPTKIG LEKRFSFLQMSNERNTPMKII >CAK73955 pep:novel supercontig:GCA_000165425.1:CT868174:7195:9849:1 gene:GSPATT00010188001 transcript:CAK73955 MIKFRFCTFAQINNITSLKSRIGGSSKTPEYDLYRSYYNKTIEDVKEQPGVTIVRNINDA KRVIDILNKYKKQPHAWDTETIDIDVKSETPINRGRLICASAFAGPEVNFGNGPRLFIDN FAQNSDLIMLFKEYFEDEKILKIWHNYGFDKHIFGNNGINVRGFFGDTMHMARLLDPSKQ PQEYSLAKLSLAYEEEIKLVKTKRMECLLAKPNLTDEERSSLQLFGEHLLDINLKTSMKQ IFGQNKELKNGQVSKLKVYPKILQMHCLPQYINQWVEYSTLDSEITYFLCLTLKDLLNKT KIFYNLKPTDSDYQTKQNEFGINSLGDIYSKYWNSLGEILTELEREGMQVDMDHVKNIKV KAEEDMKQYEQNFIKWVQTTQEGDVSQFNCSSTQQLQQLFFAPCKKQQVKKTPQTMKEEE EEDEYLSDGRKKSVRKEVEDLPEVKGFQIDNIHQIVKENQRTPLKYTEMLIKGLGIEPLS YTPSGMPQADYNALKQLAGDVEKQQYGLIYHHFAGKGEPQKGIDACIAINDLLELKSIEV LLHTFIIPLIELTDPSGRIHTSININTETGRLSSRNPNLLNQPALEKDRYKIRKSFIAKK GNKLIVADYGQLELRVLAHMTKCKAMIDAFLKGGDFHSRTVITMFPHIQEEIDKGELLIE WDKSKGKAPAPLVKDKYAAERRKAKVLNFSIAYGKTATGFAKDWQCEVKEAQKTIDAWFA QRKEVEQWQYNVRMIAKNQFFTQTLLGRYRYLEKYFQQQTRSYINHGLRAAINTPIQGGA ADIVIAAMVKIYKNQSLKDLGYKLLLQVHDELILEGPEENAQEALKIVKELMENPFEIQL ELPLEVDAKIGNNWYECK >CAK73956 pep:novel supercontig:GCA_000165425.1:CT868174:9986:11947:1 gene:GSPATT00010189001 transcript:CAK73956 MSTGLVINQVKERILFFSPTVQGKMEREACTQDFEVIQGLGQGAFGKVFKVRHKKTKMVF ALKQIAKKQIKQQKMTQQIINEVKIMYGLEHPNIVKLYNHYEEEDYIYLILECATGGQLW QKLNRVGRFDEKTVKQFMQEAMSAIEYLHTRNPPIIHRDIKPENILLDANGHIKIADFGW SNINNHQRTTYCGTLDYLAPEMILECGHDEKIDHWSLGVLIYELLTGKAPFAPSSQIKDQ KESQKILEDNILKVKINFPNDFPSLAKSLVLGLLQRDPQKRFNIQKMREHPWFANCQIQQ EVQSEQKVKAENIKDIIKDDKAQFSKEEIAKVVNRQLNQTKDEDDVIIVVEDQKEKEKES THDFSKNTVQLLNNKITDLQKQLNESKILLQVKIDEIKQLQQQQEQPSLQMNVDTRKIKL LEEEKTKWKQAYEQIRDELHEKQAENLKLNAELEKIQQLTKSLEKQKADKQLLQEKVKKL QEEIDQKDQQILELRTEQDGRVQNSFHGSFLNQSTIEDGKSVADLNLTFQEMKNSFQIAR EQIEEFDKAQRRIIQQEAEIIALKSQLNEYKESIRIAIQEQYEDQMEELEKKQRDQIREL EAKHFNEITKYENDKMSLRDQCNELENLKSEISLLKKDQESSNLIIMDLKKCK >CAK73957 pep:novel supercontig:GCA_000165425.1:CT868174:12085:13000:-1 gene:GSPATT00010190001 transcript:CAK73957 MDIEFQIKENKVAILIIGMAGTGKTTFVQQLSKQLKNEKHTLINLDPAVYSLPYEPEEDI RKSINYKELMTKNKLGPNGAIMTALNLYSLQLNQLIEKIEKSDSNIQIIDTPGQIEVFTW SASGNLISQTLSMSMPTIIFYVIDIARCQNPNSFMSNLLFSCSIFYKFKLPMVIVFNKCD VADSKQPLEWLRNYDSFTEALKNKDTYLSTLSKQMVLTLEEFYNNFTVLEVSSLTGQGFE KINEVIATAKQEYMNITLVDIQKRMNDQKQKNYDKQINQITQKIQNL >CAK73958 pep:novel supercontig:GCA_000165425.1:CT868174:13008:13723:1 gene:GSPATT00010191001 transcript:CAK73958 MNNKLEKLVQNLFKKGVIKSEIVKKVLLSVDRQQFVDESDKIYAYEDYPLQIGYNATISA PHMHAYSLELLKDHLQNGVRALDIGSGSGYLCAAMFLMMKSQQSKVIGVEHVPELVEKSI KNLSQQFKIIIDRAYNQQLKDKQIQIIRGDGRLGFEQEGPYQAIHVGAAAETIPQQLLEQ LDKGGRMVIPVGKGNQVFQVIDKDQNGKINIQNVLGVRYVPLTDLNKQLNK >CAK73959 pep:novel supercontig:GCA_000165425.1:CT868174:13831:14847:1 gene:GSPATT00010192001 transcript:CAK73959 MQSVDHEHYTKVKKLPGGAYGKIYLCKCEKPIHNKRIFQWIPSLVEIETHPQFVVIKKFK PLKKKVGLDIDSLREVRFMNTMIHQNLNIPKHVFMKTSKKRNDDTFGSICFVYDHMVSLF EIIQSYRETGESHQESDIKLMLLQILQGFDELHSKMILHRDFKPENVLITKDGILKITDF GLARLWEDKPMTTQTCTMQYRAPELFFNSQKYGPALDVWAIGCVFAEFYLRQTLFSGESE LKILSKMVNILGNPTEKNWPGFQNLPQVIQFEKRDPVNLYKLLPKMSSEGIDLLSKMLQY DPNKRISVKDALSHHYFNQIESQNLSKKLMQIIQSKLN >CAK73960 pep:novel supercontig:GCA_000165425.1:CT868174:14876:24787:-1 gene:GSPATT00010193001 transcript:CAK73960 MTFKKKIYPKTSMITIQLPFLDFKLSTKHLFLILQLVSIWQTKGYDFYDVIESKVQKQEH KQQQQYINEDCQEQLKDYMISQLQVDMEGLQLSVTVNTQEFLEKLDKTSCHENRLFLFYF LNLSYLKEVKFYDSIQHLAIQELALQNINCHSYNLQNPLKVLAKQLLKYSDSEKEQKISS FGEDLERVMNQQSEIEQVANSKLNENKSNIKNSNNEGQSPQQQNDNDDQVQIVDEIPPDV LQEEEAPQTIFSQKKGQFQVPSPKHSFLDNNYQEVFEGELYIKEYFTDKNCQKCKEKHRL LLTFMKVQDDLGPDVYFLQTSDSQRSRVVINLKQLNLILDPDIIKKMRLIFDIGNLAAEV KKQQFTKWMRENGFWPDFNEVDKKQQSETQTWSTITSDLFQETNRIELQINQIVLMLNYE DQVVYLMNMKFIDTQISSSQLFKNINIKMQQVRLFDTAVIGGIHRTMLEDYDEQNENQIQ CQIQICNNPDLIRIRKYATYCGVRIQRAKIVFLKRNTNEIQYYLRKVLITSFSSTLTNED RNELLKDTETQNLSFTTQPQEVQVDSEFGFRFSLVALDSLGIGYRSSLSNEALYIQFKKV GYWFSGIWGQQYDNLIKTGLFDDEIEEQQNISENFVEAKYFEEPGMAEETVFEEINHEQL QDEENVDQRVRQIVYVWGLEIRCSPGTDVNDLFVKAPEDKVSLELFIDFCDETPDKLFCL QDVKPMRITIDIQKLNIYAYDLDYCTICKFFMDNLGEQPQTIVGNYDYKETNENIWMVLD ININKAIAKFFKGTREDCRRYYQQKGILQDQLDKNLLYKNPASIAIAKLQTLNYQFMMRE NGGKFMRLSVQQLTLSDFRKSSTMKHSKEILFSLIGDQFKGDEDQSMSDTKQEEFNKIQL NPVDELDVEQVELELNEKEKEKNKQVIIEQQQLTSALDIKDTQNEQEPQSQVTQQSQNKK REKKSNWQKFVTFVKKTLCCKKRDRSKNSSKGKNKNSQENLQNEKEQQQLIQAKLDQLLE YGPEPMNQRRWLNFRERQVLPITLENNNHTDDKDDYDYYFGDDNHDVTNQQYQKRPFQQQ KEDQQKQDLYFIMKTRPDGEKLIKIKLENKNIILLIDFLVEVVQFFRQPYNGSDKQPYQR NPHFNNFPPMVIEINVVNVWAIILGDLEKENFEKSKSIGILLDCNYSQTWLGDSWFGPGS CEKNIEATLKKLYIFQTNHIINLKQDEQSKKSTEKLILPPQPPLRTLLEPFKVCITMKYS VDFYRNALLNQEIFTEQGIQVKEYYTDYKSQHKGYQSKNEWEISLINSARLKNNFSLSIR DIAELQQIVNIFSARKSPEDKYAFKRKPQPPDPFIDDCINTKKINIETLKIQILKNKDGV KAAQFELKSLRMSDFKDNKKTQLNLLACISLDYFNKRKMDFEPFLEPWKFTITTLSQIEK PPENKAIDRDSNKITIANHIEKELKGEEVPYYLKDHTNSLNINITPALVEVAMEALKIYN KKMEDEEPYKIFNRCGYALEIRDIKKDQPVRIIQEDSEYTYNTQKELWAKDFKKHKSDQQ QMLLNLVVLKPNNLKEKDEDDDEIKIVDSIHSNNNRRTTLDFPSKSTQSKTLITQTQTQN QYQNMNQSSYTRSRILFKQQRSEKNISNYKTIKYVNFDFVGKKFYPLVRQHKNEQQDLHS GKKGTFDAFKTNIDKITGVFLADNKKINEERNIYIQVNVNINPQNGSKEIQIQSTVKIYN YLSTSLELGFQVNGQMKETTSVKLEPKQIYIVPLEFLENKTEVRFTPEKIQNRQQDEQLE RKYYQLDTLLCDQQSIGEFEVDKDNSIVEVLEQATQIVTSQGRSNPVIKSEFKQIHDPLN YKYDHIITSMKSNIKRNNFYFIINCVKIKNQITNQRKAMINFNEIGDQKPSEDDNDACYE TYLICYPIFKFTNATVRDINIHYGVFSSNKQQSEKQLPYLPVSPDQHYYCETLDYHDDIE VQFSIKNQPKIDGGDTQNDDLLRTKPFKIFNRGFGLGEENKFQIKFKQQKGNDQYLTVLI KKRSTKSRELVLYCPYLIFNETNKLLMYREYGLTQDILSDDWIYYQNFSKTYQKNKQKKE IEQHSQYHNLHQFATSTNMNKIQVAIGQLNDQQLQDISKWSNPVSLQNSNVLEIIGSTEI LKKDHEQLQPKDRKKRTSADAKGKFEFGMAITSGPGSFHRSKLITITPRFIVHNETQYNI AMAQVDTEYRDNNLLRLKPGDWKYFSWSNIKKPALAMISFYQESTGLISGWSGYFKLQVQ EISLKIPSIKPIINNNNSDYLQLYVLQKVNITLMDDLILLIRFILEDPIIPPYYIENLTK YEITYKQQPSSQAQKYRLNQANPIMQNNEINRGIHQVIASNPVGSQDQELIQFSAGGNEV TYLQPGEKVAFTWDHWIDDKEHVLEVEIEGQTEKYEIDKIQNFQPLTLPGQSQKRKKLVN KKYLYKQGNIFIKDLDKPRVYYCTLNVLKQKFNVYSSDKKQHESYYLQGAKVDESKDNEF VLKINPEKMLSKNLHFQTKTVDEANQWVEYLWRAILIDKPEMVELKIEPSNQTKVVTFFQ NKSNDRADSQKEGSEIQQEKEEDTQRQQFTCYKISISNCVGISIIDETPKEILQICFKNI QAEYILIEEVQKDFQLRQNYDDDDNTNVLAEKPQIKQIKEHINLSIDLIIINNQIINSQF PVLLAPNKKKIFGNQQPFFVLTTYRKDQSQIKKSNPSMNNGSRVVVKNEPNAQANQVQAN DQQQNQSKFKISYIHTLQMFTDTLEIRLDHQILDQIIQYYNLIAAIIWDSNFSDVQQSSN SQFGLGSLQKKQEEQLKKIKQHSISKIYLKNLMLEPIDICFTLKSSPGHVMNSSSIGVIA DLGLTLASIDSAKIRLNAFKTQHIFGSSNEIIGRISKHYKGQFLTQIYKLLGSFEIFGNP VSLISNLGTGVIDMFYEPIYALVTGKSGYKVGEKFFTGAVTLIHTSITGVYKTVNTIIGT IMKILDQMTLDKKYMSERSNRLNRAIKNFREGLIIGFTNFGKILFQTIIGVLERPITGIN DGGFLGFLLGIYQGIMGIIIKPTVGIYDLLITIIEGIKNTAIYEEHIMDTRYRPPRIFGD NNQLIPFNFKHAIGTDIIRRYKLKVIDGESIIFFDQLNISDGDKKKQEAQIVLTDSRIVY VLSHSSIHCDKIMIYKIKQIKYDEKKKLLKFKLHTPVRKSWFQANSTKYELKYESKIKAI KLFEQIQKSFKDKYNIKLGSLKETTNDKK >CAK73961 pep:novel supercontig:GCA_000165425.1:CT868174:24826:27331:-1 gene:GSPATT00010194001 transcript:CAK73961 MFSFKPVKWFSSLLLSKFLKPYIKNFESQNIDVQILDGEVCLKQLELRNDILMQFGIDIE VIDSSFGEVKLIIPWNNLKTKEISVEITNAKIVLSNKIEMSDFNKEQYKDHLEKLKREVL SKFDEAVQKEDAFSNGSSGNSFFADFAKKIFEKFVLKINNLDIVFIYQINNYEIVKFGFG FQSLLINQDDIKPKKDEIRKKIVADNIFLLFEVFDNVLPSQLQPESFIPDQNQSKQEEKK AIHILKKLALKIEFSLVFSEQMEIELKIATISDVLIYLKQRQIRLLLRAMQDIVNHRNDR PKEKPKYGKTAKNWWVYIIRRVIEKEYIRTKVRKQGITNYFKVQQYIELYTKKMLKQHDA KRDNKLMGDYEQKNTISQILILRSIAIDKILEMRNILRSNNPKDQKVQKEAQGWFKRFQN DFNMEHASNLKFSRNVIDSYLSFMKEKESNTRVSIILDVKKINILIQENQILQQLRNLHQ QVNIFKQELNQKKSLQTKVLDHIKNNFLQKITKVTVKSSEINKQEDWKLDELLKEKILIN LEITETKLELVKQGQFKQLVKAQIQKLILLDTNKFNSHYLKIIEISEGIKVLMSSEQLER QKKILDLDPLEKTIQVYLDIQVGPLSITLCKPLYERLISLQNLIFFDKSTVQNDNQEHSK YFDNFLILTKRVQSNTNVSFSISRINIYLPLQYQIKTQMLLIHLKQIKIFKREHENSVVN IYPAENSNGQVSQYLKDLKTRQTVNRVAQQNQNLEENQNLKPVYFSVDCLRLAFVQDYDW ENKENIFKIQYRKLRKNEQQNGDPGIETIIETTIQQKQEWNNPKR >CAK73962 pep:novel supercontig:GCA_000165425.1:CT868174:27461:28628:1 gene:GSPATT00010195001 transcript:CAK73962 MLFAFYQFEIVYNQFIKFVQYYQNSQCGISKRLINYCGVQFVASNLVSQQLQHVGILIVL IACTSHYVQCLVAHYAGFCSILQFRSYIQSSPNSFKVSVIIHTIIRNQYLPPEQNEQKQQ QINSANHILLKTKQDLFPYAFLKLQIIKITDSNLTCNDYFECFQNAEVYLQNELQKAQFK ARIDRISIMNNNIFIYAFIQEKVQHLQTTLEGFTIDSTDQFGNKEKKEIFLPVCQGKEEI EDTFTFEDDLIEMNEIRHRIKITIEKIFTKNYNLDSIEQEVYQKLHQCCLFEYLQESDPK YLMKLSYCLPTMLSISNQQKHQIISQTVLKRLKLAEQKLNKLALFRHGGMIFEVPNDHPK PPFTQLIVVIIFIILYFIFIN >CAK73963 pep:novel supercontig:GCA_000165425.1:CT868174:28653:31522:-1 gene:GSPATT00010196001 transcript:CAK73963 MQQKYCDECEETRAKINCNQCGQILCEQCDKKIHNKGKRVYHSRELIESSDSIESNKIKQ DAHVIRHEQHKERFKQINSSLPSVDVVNFNLINIQAETPMIFQNDSLLAKIEHHLFKQAN KGDLMIHLNDFQKFLKQNEIYKINQSKDVIQQLEKLKMINVTIRKFGDSDPIQFISLQLD HISLQSLYWVLLNIRKDEMTPTDKLVMSRIKECYGLKLNIQDWNHYLAGFYKLQNSNGLI QFNVKKYKQNKWIDEKCIIKMTKCPIDLVNKSENNNASLSASQQINNESLLLFTIEDNIN WKMMDAQQMSSIYKSQWRSFIQFLKDFFDTNLTLNTSQNTQSFGDLNQSDYQSQSSKQSG TQSVPTQKNQDNSKWIRSVESGLQNGQKSQEQYHNQSYSSNVTQKKRSKKSQIKPPKSIQ KAIPGGKYGCAQLLKCCGPLELRVCSLGVLCLMIQEAINRNVLIYYKTLLIKPNTNIAFD FNDFLNIFDDQFQDQFILQNILGNEENQQLSVDKQQQQHEKQNKLKAVQQAIIDILNEYT KGVSLARLPKMIQRKIQFTFDLHELGFTKLKCLIQGIDGISIINDGTTYASLILDEYYDD INDMKQEQEDDQQMQQVKIDLKYFQNSSNQQLQQQQIQQQQQQQQQQIQFLSPIHVSEYQ LKIEQALKQILNQYSNGIPASQLLQILQLHIQNTFEYTQFGCSTFEEYMVKYAENYCDVM IKMKGCIIYPKGYSSFRSAIPQQKMQLGIHHQKSQSTTLPSYAQQQSNSSSQIIKADSFL AGMQNPSQMIGDVQSQSLTFQSFQTYPQNKDFFMIQEENSHHELDANVRFIEELLKESDD VEQQKHKVQRNHQTHQDSKQFQSLGQWPNLNSNEKRCHNKYNTYQYSPQQDFYQGQKISL DLHSWDQDN >CAK73964 pep:novel supercontig:GCA_000165425.1:CT868174:31541:33569:-1 gene:GSPATT00010197001 transcript:CAK73964 MQNYEIGIPIIIEASIGLASSLFFILDISIHYTMKLYQLELGILYTNLMQSIITILLILD FSNQMCVWTLFLEQCLTLSSFLWTILILQKSCLLTRYPIQTALEYLKTNQFALQAIIAFG LPIIASSLIFVKVNICQLDTLTQVSTKLFWAIQSILTIIFIGIITYHIIRVHLNCKLVKN IEQIQAKLYLEILYCPIILIFSLPWIVYTIFNFELDDSEDVKILQGNCKKSKLKVYFFQK THKQFSVLFFLDKIQPFKWLSLRMPAQYQEKPIWQNLIQKIKTTIHRLQTSKFVILYAHN YQQNDYRFIIYQIKQLMGFFYSLLSLFGNIVIVALMLTIGILALLYFQQNSLIYLPAFQG SSQSPSQNMNGLRNPSERNLQYEDVEIGTQDRQKLKGWFIKQNDSSNAPTVIFFHENAGN IGARLQFLELYFANVKCNILIIAYRGYSDSTGKPSEQGLQIDGEAIVNYLFHRNDIDHSK IFVHGKSLGGAVACHAMIQNIAKGIRGVILENTFTSIDDMVDVIFPKLKFFKSFLLQNRW LSIQKVGQITQPILFIYSMQDEVVPAQHMASLQNAAQRAKFIEKFVIEDGDHNNNWFREP EKYFNSISSFINKAITS >CAK73965 pep:novel supercontig:GCA_000165425.1:CT868174:33771:35246:1 gene:GSPATT00010198001 transcript:CAK73965 MGNQNPQGFNPFNPNQGRGNNQDNNNNQNKDQQKKPPPPPQRVGRKKLRKGVEQASKLPA ATPITKCRLKLLKNERIKDYLLLEQEFIENQQRLKPLKEQDQAESEEQKKIEQLRGTPMI VGTLEEFVNENHAIVSSSVGPESYSGIMSFVDKDQLEPGCSVLLNQRSYAVVGIMQDEID PLLNVMKVDKAPLESYADIGGLEQQIQEIKEAVELPLTHPEIYEDMGIKPPKGVILYGEP GTGKTLLAKAVANETSATFLRVVGSELIQKYQGDGPKLVRELFRVAEEHAPSIVFIDEID AVGTKRYDSHSGGEKEIQRTMLELLNQLDGFDSRADVKVILATNKIESLDPALIRPGRID RKIEFPLPDVKNKKKIFQIHTSKMNLGEDANLDEFINAKDELSGADIKAMCTEAGLLALR ERRMKITQEDFRKAKEKILYLKKGNIPEGLYI >CAK73966 pep:novel supercontig:GCA_000165425.1:CT868174:35259:36146:-1 gene:GSPATT00010199001 transcript:CAK73966 MQGNNATEKKVIELIEVSLNQDQIRSILKQQQKEFRQYSVEKRKSLILAEELKILKKTEC LNLMEQKANKALEYFQPEFPILKFPEDTVLNTYDKTKRWLKEFDFPNKKQYWQEFKKRNF KHYPGQPFSYAPGVPTYFEEFKAYHHIEHEESQVEKYQRLQQYNILYHPGKKYYMFNQQK QLEVNLVDLFSIGLMSMQNQILFIKSNIYLILFLQDSTDYRQMSRESIKSKLNGMQRVKP FTSCSTRSQIFQQKYKPLNAEKTQETAKSSKKPNDDDFDI >CAK73967 pep:novel supercontig:GCA_000165425.1:CT868174:36322:37082:-1 gene:GSPATT00010200001 transcript:CAK73967 MKIKVDYIKNQQFQIIYKFFPLIGSILCLKKSIPKKGSFPPPPPQKGPKPFNAEDFAKPP HLTKEEVLEVKQAFDIFDNDGSGSIDPQELREAFEASGIKTYHNKFIYQVLGELDTDNSG GIDFEEFLHLATAKVSDKDTREQIQKVFNLYDWNKEGRITWDELKRVAQDLGEEMTDEEI QHMFKKADLDDDGFVTFDDFYNLMTQKEYGKQ >CAK73968 pep:novel supercontig:GCA_000165425.1:CT868174:37406:38445:1 gene:GSPATT00010201001 transcript:CAK73968 METHGSIPNYEITRVIGSGAFGYVFEAIDTKRHQKVALKRMQKVGKISSRECDILMQLKS CPNVVKLIDVFYSRSEDNKMVQNIILEFMDQNLENIIIDHRKRKEYFDAKTLKNYLHQML KGLDQIHKKHIAHRDLKPENVLIQDGTLKLCDFGSAKEMTGTAVNTPYIVSRFYRAPELL LGVTTYTESIDIWAFGCIMAELALLEPFFIGKSEGDQLFQILKIMGSFTEEDLKYFERVV PFDINLFQEFPEYKAINLNDKFSNVEDRENFVDLLKKLLKYIPEQRPSASQALQHQYFKD ILDQ >CAK73969 pep:novel supercontig:GCA_000165425.1:CT868174:38447:38939:-1 gene:GSPATT00010202001 transcript:CAK73969 MFINRRANLVCNKLKAQNLSSLTFVLKELQKSKKTPSTSLHTTASKRNQQSKQKDNVQVQ TPQTSYRSRLQLTQRNEWIDLINQKSQQNLQSDQIAITEYRTKVQMDSFFQNDWKQPYYK QEKIKQIIQKVNQYQSIDDIDSWKQVVYQRLYQRIS >CAK73970 pep:novel supercontig:GCA_000165425.1:CT868174:39037:40491:-1 gene:GSPATT00010203001 transcript:CAK73970 MQKINQFIELSTGTLVILKKIRETFHTPLTIQPILNILESSAKNILLSYSASVCEEFPME LVQDKDQIAIQKLLKFQKNSKLNSMAFQAIVMRYSQILIGRYLCSTRIIDFEHQDPVVQT TIQEQLETNDQSSSIQQQQQTSQIKSQKKSSNKDSGKDSINKKNQTGGKMPQQSQRYLTK QESLQVNQLQQWNRKAMQSGDLSNTLQQSNQQNSNLFTQTSTILITKPTQTSQQSVFRVM SSKHIDLNSSQQDMSSSLSSPQEETPQSGQVIKTPQSGQVVKTSQTQQQHVTQASNQIQS GRNLRSLDEDSQQDLCEVYNSHHLKNNANCLSMYMNSLRMRTHIKESSRRQKLEQIQQIK LAIYDHNYTEVLNQEYQFIDNIQFIPETQLDTPLQNGNTFLIMAAQCGCIEIVHELIKRG ADIDIQNDDGNTAVHLALAYGHYKIADLLMESGGSTHILNRKGQNAWGIL >CAK73971 pep:novel supercontig:GCA_000165425.1:CT868174:40531:42425:-1 gene:GSPATT00010204001 transcript:CAK73971 MNYANPFSLSSHGSFLSPKENPSFLTSFLSQNQSIRSVQSIPTKMSFISHQKNPKKSDLV SPRSKIVTLHEDRKIQKKNVERVKYVSEHSTTPYLYISKKQPQIRIPILPKLTPEPKIEV QRMPYINIMTEPTAAIEEHSDEGRTNGEKSVDDKQLILNKSHNLLHASDITQNQEDLLSI SKLSLKSRFKSAVQKSFRTPPRKSLFNLLISNEFNTYHEKERKSIQIKFEPGNQVLASKL IQMKKDANRKQCIKTKDINRQSRFINVEQFSVSTKAFQRKINLIHGTNSRNMLQLESFSL LPKSQRKSASFVSKLHTNLQLGISDKVNEKNEELQFNQKDIKESERVQINLTVTFENVDK AVYQFNKPKHIYHKNILFNEEISQLQNISPKLTPRILPISQLSSSNHLQLASTKKLDYNS TLVNVKTKRQSRLSVQQGVTQDDVSKLEQQNEFNKPGLYVRVYYQNKLYKLIQKSNYTRD NLEEIEQNFRSNFASPNSNLLMNTAFTMMSTIEQGMRQRKVTNESLTEKIQFLGLRLSKY EIESEELIFDYENETSNDNTESSDDSESDVSLDKLLESPLNPITRTIIKKQKDFQTKKIY QRNIKLAK >CAK73972 pep:novel supercontig:GCA_000165425.1:CT868174:42439:44284:1 gene:GSPATT00010205001 transcript:CAK73972 MQQLKTKIKPPFLLDGVSAARRGKVLDYKPEKDIHLEQYYFNKKVREQVIKMKQDRMSQR NLAPLQSKSFDQTIHQQKQTQALNQSSSPQHQTATKVSNTQKVPNVSRSLSKEHFVTFLD SLITTVQNVQQLVITYFPQDQMDNKLSKQQDELRKISQATEQLSRKLRTNSLGSKKEVEN VKTQKNFSKLPQIKKSEGHVSKTQRGREQQYQIHNQPTKNITTTASKTLEKNQNIEIHET DDHNFGFQNHESAQDNTIKKITTDKPVDHIQSHREPDTQQQKLLQIRSDQTTQLPSFRSS QQKPVYQYKKYHKEIQLVLTKPKQHKNEIQLVSQSPEQYKSKSVNTKDYLQKSQSRNLKK LDFKKITSNNISKTEQQKSKKILDGQEDAIKKSNTPPILIKITDIDKQPNVDQPRNEVQV EVVEEAVQNFSNDSGLFLIQQSQNQEQTQTQTLDEDKGKLNGTAFFKIVEEEERKETIGK MTESNEIQRSNVNEEGNKVKESNDQEKPQENAFIIMIQNQVIENDQKLLKQQQTNFNTIN DVENGSQVLIKAAESIDPQSDQDVVNPLEDSEDQQRDHQESFLITTLEQNNQQVIEYQKE NFEQES >CAK73973 pep:novel supercontig:GCA_000165425.1:CT868174:44460:48436:1 gene:GSPATT00010207001 transcript:CAK73973 MFTDVSGFTNLTESLSKLGNEGPELTAFVINRYMELLVKAISQSGGDILKFAGDAMIVVW PPSYKTDIVQVHEELRLTCRMALQNALDIQNKLNDTCILPDLKLSVKIGFGIGDINIIYV GGVYNRSEYLATGEPLLQAFQSEHCATKGGETIISKEVYEFVKDYFTFETINHENKQFYQ VKKLNAYSKVKLKAEATLIKNSIILNPKIQQQLAMFVPAALKPYMEIGLERWGSELRRVT TMFINLSIDLSDAKTDSGLQRIQNVIKTVQYCVYTFEGSLNKLLMDDKGSTCIAVFGLPP VSHQNDPVRAIQSAFLLESDLKKIKCKVAIGISTGIAYCGVVGTSGSRREYSVLGDCVNL AARLMQIATQPFSPCILIDQTSASDAQTKIQSIFWSERKVKGKEKGVLIYEPLTLQEDFK KIKDINTHLEFRMMNTQSMVSLSNFNSTFSSKSLQYHNNLQSVIVFDKYHSHCREYDEEL QPVGRKQEINQIKNFIQKFLDEFKKNKHVQNRLVLIKGEYGIGKSFLAKTVLKNIESLQN KEALLEIMISSLNPSSRSKLLNSIKIILRNIFLSYAQRLNKAANIELIQQIYECDSHLAN IISQVLSLTVNDIKANTIREDLTLAKSIKKFVYRLFSLYLEQEQGQIQNEMQNEDQIQEY YSQALIIMIDDMQDTDDGSLYLLKSILKNFKNILVVGCIRNKFKEFSFFDNSNNQSDSQS EFLTKMIKQQTNNLTINLKGLKQTEQLQDLFEKFFKIKSFVCMEEDQKNQDQTMFARRKR TSSIDDIYEMVELLKKVRDPLMFWESKPELEEMDFNSVCINYLYVITCGHPLKVIYLMKH LLNNHYIILDEQRNLGMASKKFRTLIKHEEWLDIDSPLMAVQINGPYIDKLTALQQLILK QACVIGDIFDIQTLNYVNPFKDFIKQKLLINELNSLYEQGLLDILNMEVENIYYRFSYSF FRETIYQRMTYAQRRQAHKNVALALQKIPQPFDYHNNKEYTRMQYHWTQAQNRNSVIVDE GTLLPKLTLYEKKQLIIKKIKQLLDQNRRNVLLKSGYINKRSEKGLQWNWRFCVISSECL IVSQEDDNPEHILTIYLKHIQLIEREFNSQYFTLTVTTSQWSRGNQVFENYRKVQFALET EDELNEWVTYLEFAKAYAIYMDFVNNFGKISFPLSSNEYDFQLKSELQHDNRRIARLGLI DSSMGSEKIQVRHTKASTSRPTMQRKHKPRKSSLFADGYRGSTSSSQQNTSLQQQKGYDQ SELVLNIKTLLLNAQLQLLALIVNKATSQKQIVLGQKLF >CAK73974 pep:novel supercontig:GCA_000165425.1:CT868174:48484:50123:1 gene:GSPATT00010208001 transcript:CAK73974 MEVSNILKMLNYAGIHFRKDGFSRFKELYGSYIDKSLLEKFVYFAKELAYEYLEKEEVEK ITEMIKQGKQSNSFDQVTILQERIRKSIIVLEYFELDRFTFQCSPKDKCNMQLNRLAHIT QLLKQDPRYVFGYQSNDEISISSIGTANGSTDKIYYILGILIQLGNHYYLSDGDDKIKIN LQNAHNKSFFKNDKVEQVDIGLANLGSVLMMVGEWNDHHGYFQVTNFTIPFFNLKKEIKS QMKNSDLKEILQLDTFGVYNKILKFSSAQEYQTIERQKSLNIFLKDDFDKMWILSNFSFE SLLSIQSFENLIKQAIEYKLPPPGAIFFLGQFSSQQIPNHESLYGNELVKIIKHFTDYLQ KTLLFFIPSYNDFPLAYSMPKTPINLTLYMKEPTQMMHSLSNPCRLSIKGFNIVITRNDI SKEIRKNHIQSFQAGHEHLCETIISQQYLGTFQSNQICYKWNYDFAMLLDPSVDLVVLCD LTADQFKYQTIINDTQVMNPGNFQKLDFGIIGFNNKKQTMSCQTANLNQK >CAK73975 pep:novel supercontig:GCA_000165425.1:CT868174:50174:50964:1 gene:GSPATT00010209001 transcript:CAK73975 MKSKQYTLISIEGNIGSGKSTLLRLMQQKYPDLRFIAEPVNEWQSINGDPSLNLLGSFYE EPSRWAYTMQVYAFYSRLKHWKEVLSDPLNPEDRHLILSERSIEADKEIFAVNGHKNGMI NNLEFALYEKFYDWLCDEVFGKKIQKQMIIYLQVDPDQENEEKNTISKEYLTQIHNRHEE WLLRETHQNTSILVLNGDKEFESDLVQQSKLFNSIDQFLQELF >CAK73976 pep:novel supercontig:GCA_000165425.1:CT868174:50965:53007:-1 gene:GSPATT00010210001 transcript:CAK73976 MGNKQLQAKKRNSNLSLNSMGRSVSTAPTNESGLIRFTTDGSLSSSMFGGVEFYFNRMPN PVIDGICKFLTINDLTNLYCTSRFVYERFYTSLPYSIACGNLLYKQYEDRRGIAQQAVQT KHASWPQVLEVFIQNKQFIQLNENCRKQILNLQINFRQALQNAYQLHIIYPPLLMDEVTK EGLNSDFQRQIMQKLSDSQECHTITEIQIMNQTRAYFKKSSLQKQQLTNSMLEIRTIKVF SVDEKLPQLFNILIILFSSIKSLLQIVALTLECAFNGTDNIGLLDFYLYNYQMYLIWMQQ LDEFATPYLKMFDIMLLEQLPSYEFPPFTLQWIMMKMWNQCIYQKCKHFLRSIFLQWLQQ VRLNPSLKYESFLLKDYIQSLIDLSTNQSNIRMAGHSKFTYIKDLETLFQVVISQSVELW NSKNFDFKTDINVLGYIFKKHILENNLLHNLLEQKYETVKVSIEAIKQSQEFQKRTSKVD DNNQILSEQADYSFQLLNINKVFQKIKQIINGESMMDENIRLTINYQKNILDKVQMYIRD YHPDIYDEISQLANLDVTSRESVQIRDAVIIHRTRSEKYDNQLVSYDSVIDAEILKQLIH QQQLSNQQSTCKIQKQKSELPKLQLKKKEQNETFFSINIVNGLNLAQSLTEQFISQQ >CAK73977 pep:novel supercontig:GCA_000165425.1:CT868174:53037:54514:1 gene:GSPATT00010211001 transcript:CAK73977 MIRYATRLVRQFSTKIVQNQYKPQDEILEIGQMSQTKGTLTICPIPIGNMSDWSSRQNLA LFGVDVIACQDINSTGFFIKNIRIKDGNIQQVIVPEDAKECDLDEDDIQIQDIIFKSTNQ LKEKLPELNKEFITSTDFKFYKQKKLEEIKEQQGRESQKQKDLPEDEEINPSEFEVYGLS APLMMYLRNKVAQAKKQKGRGLIIGCTNFNDDKKIDRLIAMMKMGLNVALVVNSGTPAIS DNGYKFVNKCIERNVQIEVLPGASAISVALSTCGFPADNFTFLGVFSLQDNNKDILSLYK KQPQTIVLFESPNRIHQTILKIEEIFGENQQVWVGFDLTKQNEKKIRGRCREIYEQLTDP QIVTPAQLKGEITIIISPYTVQFNEDLRAQQILQEDKNVGFTESKKDGQEQKLIKKVEYL HLARVFGDKFMDNDDDLNEILQKALMISKQRASQLVKQIREQQKNEENISKIRESLGVEN RFQK >CAK73978 pep:novel supercontig:GCA_000165425.1:CT868174:54936:55172:1 gene:GSPATT00010212001 transcript:CAK73978 MGCAAQKDQLKKTDFDEHAQKLDCQDHQFKGMVAFKCLKQKHMAYNIGQQQSIAYLSLTV ETCSPNHKCNQGQELEES >CAK73979 pep:novel supercontig:GCA_000165425.1:CT868174:55607:56905:1 gene:GSPATT00010213001 transcript:CAK73979 METAKKLKLKSSRFFEKEMSSKAQELIEEIKLKDRSHLRLREWYKRNHYEKCLKDLRKQY EKYFTIAKVDGRTFTAEQFVNQFEIPDIPCIITNTTDDWNVEKYWTFEKLYQLYKETSFK IGEDDKGKKLRLPFKYFLEYLVYNKDDSPLYLFERYTNQQSSHNMIGRYKQHKYFQDDFL SLVGEKHRPPYRWVLVGPKRSGTTVHIDPLMTSAWNTSLQGHKLWVLFPPDIPKSIVKAK GLAAKKEIDFEVLDESIDYFLYALPKLIEKEGADNLKIVMCVQGPGDTIFVPGGWWHAVL NLDNSVALTQNFMSINNFDKIWRQRDIERPKFSQRLMETFKEKRSDLYERALKLDEIDKN EFRVLKDANPLSSDDSSGSSSSSSSSSSSSSSSSDDDD >CAK73980 pep:novel supercontig:GCA_000165425.1:CT868174:56931:58539:-1 gene:GSPATT00010214001 transcript:CAK73980 MQNLVQSGSDEETEFIFDSNTVQINESKSKISKANVNCGISLQLQDEIQQLNDQNKELAT QLNKQNELLDLKHKQNQQLQTEVTKIKEVVDDRELKLLQSMKEKQSLQNELIGLHDILDQ TTQKNDQLNSEVFAIRLTMEQLQQTATMCKEDTNQALQRELRLKENVEVQTKTIKQQEET IRKITNELNQKQAQFRIDIQNRDNIIQDLKRKHDLQLQQNTEIISELIEFKNQNLQNSVM SKDYKPELTKMTKEIEEYKNQIKGQSHQLSIQTEKVFALQNQLAKSEQSISDLFTELQQT KLKLKEKDQELQIKQGESLITIEKLNTQISQMANQLQLLKQQDQKINLVRSISQPSDEKY LKDLQQKNDQIAMLQKENNQLVKLIETLQSQKTDIQGNEALKVRQLESELKSLQSFIYDS PLVVLFNLLEDRLSKQQQKSFENKKKIQEFWSNQLKQWVEGFEELKKAFNGLKIQFKYDL KYPMPAQQQNE >CAK73981 pep:novel supercontig:GCA_000165425.1:CT868174:59450:60963:1 gene:GSPATT00010215001 transcript:CAK73981 MQQIDTQLLDDFHQKFLNFYSKVKGVMSVRLNQMKEKFNLKIDTFPEDQEVFNIQQTNKL NLQTQPNKPKKNWTDDDKKVLIWLVGKWVTINKRDLKQLNDIDWSSIASMMPRRDAFKCK QKWLQMLKLPLQQAPWTFAEDNLLQKIIYEFQTQNKGNKWSQIATALNKANDQQVHRNGK QCRERWNNHLNPNINRNPWQLSEDLDLMCLAKELGKKWALISKKLKVARSENNVKNRFNC LLRKEKSNKSGKKDQEESQSEENSISNQASTEELSHEEIKLINFIIKKIEWRIQQSENIK QKEPNDAVKEEHQEVVKKVRIDGQGTFYKEFKQKISNFKNIEKLQLQVKESQLNDDEVAT LMPCLINKENNQIYFASPEQLYAYLNKTSDNGQVGMQQENYLSNPNSLGSIMYDPKLFRS IVMLQSNEFHQGQSGIYNQRSFYNQNHMANLQSLNSYPYSVAMSPYPSQANLTNQLQK >CAK73982 pep:novel supercontig:GCA_000165425.1:CT868174:60982:62035:1 gene:GSPATT00010216001 transcript:CAK73982 MHQNQIEIFIDQRIANEVEMLKRKKDNQNKPKPKDDKNDDDDDYYGHIQYTDIEHIKEFK QVRVGFEGKQLSLWSSAIYYFVIKYFNYPLEPVRIEFPREFRHQYIIPNTGVYCHPEWSQ KYWQRSVTLEHIINRVIQTFHEIPTYSDYPADLSFIQLSLDKAEYQNVINANKDCSTHYN NKIQEERQYFERKRQLEHQNASNKKKNEDQQQQDQKKQEQEQNNQAIKRQEEDYHNGLKL NQQQNQDYNQQLKLNDQIHELQNNKINYNQSVPQQIHQQQLNQQQISSSNPNQQQHNFNH QAQNNQQIPQFQQQQIQQEQNQANQNNQKASNNPKIKIIGNVK >CAK73983 pep:novel supercontig:GCA_000165425.1:CT868174:62399:64147:-1 gene:GSPATT00010217001 transcript:CAK73983 MEINQLISISQDEQNGFFSDDDEQISQIIEQDPTGRFCKYNEEIGKGAYKSVFRGYDNQS GCEVAWNVFQLHTVPENERRRARQEISILSSLKHNNIINFIHSWHNKKKKEIIFITEIIN GGSLKNYLRRILRPKLKVIKNWCRQILLGLEFMHKQNIIHRDLKCENILIDTNNNELKIG DLGLSIQLQSSFTSSVLGTPEFMAPEIYQEHYDTKVDIYAFGMCLLEMVTGAKPFCECKG GIGQVIKKVMEQQKPQSIDAILNDKIKSIILECLKPPEQRPSVSELLLTHFNGQTQENDN LPVAINEQYLIQLRDDSKNSSLLKCNLSNKILLTAGSSKFETNSEISNQKQPQQIQMKKK NIIIQTDEETDQVFMQCYKAYIDKKKDDTFLDLEQRQEKELQILKQFHAQQKQEYLKKTQ SFQAGNKISNQLFQNSGFMSPRSYQNFFDFQNESNTIKSIVESPCMEKIANTKISKQGQL NGDSSQGLQFQINPQSQNGILNQLTVDKQIEVNF >CAK73984 pep:novel supercontig:GCA_000165425.1:CT868174:64380:65369:1 gene:GSPATT00010218001 transcript:CAK73984 MKSRHQKYGAFILWFWAGIIHKSAIDYNCVQVDYLIVSSFGLILAGGSFYMGNLALEGHV DYKNKLDIARSGNIQVQQHAQKYEQVLIRIKQITLMHYCGLLQFGVQFMFDIFTYFKCEN SRECTSYYNATSTLSVFFLTLFKLIEFTTLPASIFWIFYEMNKAKFQEEDRNTFEMNITQ >CAK73985 pep:novel supercontig:GCA_000165425.1:CT868174:65414:66154:-1 gene:GSPATT00010219001 transcript:CAK73985 MSSSQYYFQAETGDTRPQGTLQLLAKYAFECEICFIEQQDSVQGIQQEQQQNYNEVRLVA AKVISEQPSKRSYIVICPQHFLNMEQFFEKFLEKSHVRYSVSQLEIKKAKLVKKPKLVKK PDIDTELKEARKTIKCNYCCRVLQQRYTKADQQIQILYNNNLDNLMETVYEKKKEDLEIT YEKFKQYTEENRSITDRQKFLIFHYYKRLERKSRKIILHEFENFLPNKNPSTISMSMSAQ QNPDTK >CAK73986 pep:novel supercontig:GCA_000165425.1:CT868174:66275:68003:-1 gene:GSPATT00010220001 transcript:CAK73986 MGSGQGKTRIKNCLTGLDYLQNLIPNVEVQLSIEVQGQLKQVILKMPKISKEIENQFKYF GQDHLDFEKYIPEDYEHLNMLSTFQKKLLEPPTIRSQLEEDAADQHQVQLKINDIKSLRM FDIKQLDTFKCSKLQEPGWISLIKGIKNYCTITNTLLLQCEKDQKLQWIIYVTIWEHFSQ KLSNLSKKYSFQKINQAFDEHFHTNLRPSLKVEMFGAKIWGGQVSIDKQFAINQILLGRK ERKSDLPLSRIATVLMEMSTDVYNLQFAGSDEFVIPNIIQQLQEQSKQLYEEKFKGDYHD FFQFWFLDNQYVLTIFPEWIVENYVDTILFDFVEDLFCQQILKLTQEEQQQLNNTYFKEY QIQPIFLDANELGLDFEQSLQNLLTFDQFLKSQQEPTISAIAKRVSNEKKNSELLKSNST TNYSGLLVDHQMVMQQLNQPQIGEQVSSDIQSEGRSSYFSSALMSKNSQLISLSLNEFEQ ITNQYDTIKFPIQSRIEARNQRIKMKNKNITKINYEFRSLFSFENQKGDTMKKQQDFFQS LSKQENKLESVIQQKECIKSIAEMLLKE >CAK73987 pep:novel supercontig:GCA_000165425.1:CT868174:68871:69536:-1 gene:GSPATT00010221001 transcript:CAK73987 MHIENPFLSVIQTQFVPQNNPPLPNQQRKEIGDQAIWTLSSAKTGNGVDQLRDDNLNTFW QSDGTQPHNITIQFLKKMRVQEVAVYLDFKQDESYTPNKLSIRAGTNIQDMKEVLYIELK EPYGWFVFQLKTKLLNGQEKPYVSTINIQVVVLQNQHSGKDTHIRQVRIFGPREKQNQGL SFPDFKMPEITQYASIR >CAK73988 pep:novel supercontig:GCA_000165425.1:CT868174:69578:70747:1 gene:GSPATT00010222001 transcript:CAK73988 MFKPPLPNQRMSSKALEQPLIQIRSIGSSQGNRPNRTSSGSNYRPGSSSRSQSCSKIDLH SKYGVPLVTAQNFIVMNGIDGQHLTNFNSKKRVQVASLTKLMTCYVAIQLIRDLKLNLYQ IVYVSYDVYILTFKAVQISGTSAFLHPYDRITLYDLLHGLMLPSGNDAANVIAENLSQCV KDPVSNIALHYRIQPFISLMNQYALQLEMNDTQFYNPHGLSNDQSYSCAEDLAILCKQII NDPMLMEIVNKKEHQATNKLINLTWKNTNRMLEYEGYCGFKTGFTNKAGPCLATLFKNDQ ICLIIIILNCLSKEVRWDDTIKLSNWAQRKLDVD >CAK73989 pep:novel supercontig:GCA_000165425.1:CT868174:70754:72070:-1 gene:GSPATT00010223001 transcript:CAK73989 MMEDLLQSRIRSRNAYSLHNKYQSLCHQQGGQSTLKMLSQSLQKQQYSQKLHQLNLQRTE SRFQINQPKHIQYIGAISSSNKTVNPCSPEKIIESPLKGRIKQSRILKTSLHNSRMSPEN RLIFKQRLNKIDESIQYIQDRHNQFNGVNSNNYQKMCEMINKTEYMSADHNSSVDVPLIK LIKPETIENNENSANLVQKLATQTIQWSETKKKQRSKLEQSVYYKTNKWKKAKFLILQNF SSRHNNTMYSKKYMITQLKIPYLRHNSKQMFMALKQKNYNQLLKHLSYNKSFIHEVDNSL MTPLHISCQQGLYDITKMLLRFKADVNALDKNKKTPLYYAMFNGHTEIVKMLLLHDAFPY SDTNCNYTQFFPNKAMKELFRVAKRILTLMLICPKHKRKTMKEFLEINFLNEYSLPKNLL A >CAK73990 pep:novel supercontig:GCA_000165425.1:CT868174:72099:73808:-1 gene:GSPATT00010224001 transcript:CAK73990 MSDNQLTDLLLYYAYMEQEIEVLREVLCSEAYFQPYNLFKFIDCMKDEPKGYLTAQDLSF YLSERKFPSNLPTKTYVENYNQNKDSKLVYSEFLRAILPISNQDLREKITQQTPSDQKII SERTQYLFAKLMEAEIKLTIQAENYKQQIDPNYFDKICYQNYIYHQDLQSYFKHKQITTN SIEIQQIFNRIDLLNDGKIDRNEWNLWVSARKSVLQVNSNSYKQQQKFNSTRYSSTKRKQ QDSTMSDLLSISKQGFYQSTKPPLSNYSYNTPKKYQKQKTEVRAQMHSQLLQNSNHSSKQ ELSYKTMTHKPITSFYEDDIQTLKKTQSVAKYEALEPVNYYVQLFVSLIQLVKKVERQKI LLSNHDDFSLYSSFQKLDKGFKGILIKSDLNSFCKNPQLILDRYGKDNKMRFSEYIKMIE PKDPQAVEMLLKKDQKHKGNMLTQTEISMKLLLELIEQFQQKIHQTKEYQSKQQFDISEI FYMLAYDRQQITHQDITDFLQANQFKTVHQDVDLLLAELDYDGDGQISYRDFIKIFGK >CAK73991 pep:novel supercontig:GCA_000165425.1:CT868174:74252:75695:-1 gene:GSPATT00010225001 transcript:CAK73991 MDTRQSEHSRGKSQTQIKLRNPFELLIKIIRLPNVCNKCGHITRMKEISDILEQFDTMTS QQIDTINEKLIQKSNQILAARQQLVKDAKNELQQSLQQLIQVRQSMGSSFNFEDREKCLE RVCEDIFCNVQEQIEIQNSLSELNAIIQEQYQKFHQFPNEITEMNKLEYHDNLEIRERLD GALRINQSHKDILKEILIKIGEENKSYTFDIQLQFRQQQLEMLNLLQCNQEQIKEIERLE DELNDKKLELQKYAILFSQFENPFKSNKISSEESSASNFQSIQKEIVQPPSSIQQSRNVF QDIKNIQSKRFSQPSVNENNNMCTFQSEQFYSIDQSHGNLHQESSQKSSQNNSKQIENSV VINQRYGNSISNKFNNSKATSLISQINSQQNSIPIQIIPNSTKKIRNSVDTNPMMSQESF EHQLHENDENSPKITPTSKTLLSKVPPLPIGRQLELD >CAK73992 pep:novel supercontig:GCA_000165425.1:CT868174:76328:78017:1 gene:GSPATT00010226001 transcript:CAK73992 MEININVGTYDGKLLGFSLNDEFSANNTLYSFPASTSLIKTTYQNGRYLFIGGSEELIKV YDVRRRVEVTLLEQHNGTITQIAGHSNFLFTAAEDGKVNLWRNKQWAILNTFQCGSPVIC IAIHESGKILACATKDQKLHLYNLMNLKRIALKKFHFNIDKIHFISKEDEIQYLLFQSDR KCYIVDCETNKVAHTIDFTAQITDSILNQNSLILSDANGIVYMIKLTVDNQIQSQILVKF VAHQKRIKQLQLFDLNDQTYLASISSDGDIKIWDCLLYANEQYDDIDLKNKLKPIYVIRT NQRLTCFCVSVVQRRGADQEQEQTQVQKPVVVPIAKQIKKQLVNKKPKQIQQKTGVQKVQ KKIQKKKVNVLSYIMNPILQSVLNSPQYSRSIPKKQHQFASIYRVPTKYKAKSWKPQIER EKSEDNLVDQLRPNIRIRLPSLQHQDSSGSHQQMIPRESEKEKKIEITTKVMYYLSRMTG PENASKSKKYREKEIQSRLMPGVNSYDGLYDEMYEDDDN >CAK73993 pep:novel supercontig:GCA_000165425.1:CT868174:78017:78935:1 gene:GSPATT00010227001 transcript:CAK73993 MGGHGGLNILPQKKWNVYNMENRNIVERDKRKERERVQKEKRKEHKEQAGNRIQKLKQQR RAASHTPSRSVSESQSRSRSRSQSQKKQGSSKVNAELREILEAKQHINLFKEEEQYLLQK ENNQAKIELEKEFFKDKPFDLSTKFLGQYDQTKPWYIQQRQDQKQTQLQQNVKQQLKNFK EKKDNYYQILDLSKKYQEETLVSQIDAKKEETIKDKKEEENDPAYIKEMKKKQKKYQKLG EQIRELLGSDDSEIEKIRQKQKQREREKLKKERLQREKVERSREQKLLSSIYEFKQK >CAK73994 pep:novel supercontig:GCA_000165425.1:CT868174:78961:80471:-1 gene:GSPATT00010228001 transcript:CAK73994 MNNDNNNPEQQPFGLWNRTAIEKKTTPLDQVKTMANEKIQEIMSNEVVIKVGKELENLKQ ELKEGYDKLDQKAQSVLHEQKAKMNLWIKQKIEMAIIRVLEKTKPILLESILDPYMCDCL SKLIEDVFEEVWPDIKEEILLQIRVKYADPYELVEFPKPKLCCIQYPWFWFKVWYLYVTQ PFDKSIFEQLHWYSWWLIFIVQLIPFYGIQAFIYVFVFLFIDKEDEYQLIRFILAFKSMQ FISIGLIGTMVGYFTFYSCAVVSSVDKSYKDCKDDGPAIFLSFWSDIAGFLLQLLLVWLA IILLKCSKIKGQVRFKHVQESQQQVNNKKLSKQSCCLCCSFNNRGGRLYCFMLYDLICFF GCVALFLGLSVSDGERKLWQYRSTMYLAKTVYGLLSFPFLVFRIPGLTWLITRSHGTGYD CNGNCVPLVSNLQQYHLRKKQQKQPYDPKYAVQNDDFDVMEGVELMDELVN >CAK73995 pep:novel supercontig:GCA_000165425.1:CT868174:80756:83252:-1 gene:GSPATT00010229001 transcript:CAK73995 MNQPIQDNVCLLLKSESTYSQIQPYLDKIKEKDQQVIQQSRKFYEPICQAIISVFFEQEP IQCFQAARMAKEAIEKQNLDFLTVFQNVCLKSYEQLAEDTLNVRLADKASQFFNKSGSDM NFGKSFFHLILESIENWARLYPKKNDGITPTLFYQTYQNLLNKGIKFPQANYFKEQQSIK NNYNNQKLEILKDLQQQIMAMKQLHEIELTDQQQQNNLKIFELQEQLQYFQNMQPILKGQ IEELQKKEEKYKKDFEYNQQKLKQLESELRNNKLNGQQYLNEQLEKLNLESQIQELQKQI QELEKNNSILTEKLEKQGNGRQIQNNQYCLNQLNEQQIDNMNLKKELEKKEAEIKEQKFT CLEQAQNINQLKLQLKAREKLNQDIALSRNQDQEQLISKLQQNIENLKKNIELLEGENGS YQFYQQEQQKRNQKLEEQLLNAQQKLQVSENKIKELQSQLDQLKQISPARTNSNSAKILI QERKPTQYQNFFFPEAQQNQQFFNPRFQFNEDLISLFTRPKPIEVEKVNPSDIYPHQTAE EYYSKAQNKQNKGQEVVFKNLISQLKDLSQKNQCLSQMFHINRTTYYFIDKPSIFVAIIK QVQKQGEQNQVKFGVYFKTDEQTINLSGKFLNLQKMLKYFSSSQKDFNVTLETSSQQQQK QYLLEYQINDDCEDISELPVLEIKYKGKVQQLFLPMPIQSFIEFSQITQDDFKAYWKQLS IFRSKKFCYNLLVLPSYQSITNISTKLILINEDKLKSYLQGIDEIKYGAKFKILGSQLKG LIKFELIPQNKIVIYVGIKKEQTQQYQVLATKIINYFNSMFQII >CAK73996 pep:novel supercontig:GCA_000165425.1:CT868174:83382:84169:-1 gene:GSPATT00010230001 transcript:CAK73996 MTSIKAVLIIRVTDHQLLFSKSYNQKKIDQDFQIILDHMSQINPRAEERVTLKTANGIWR YKLDNNKIAYVLLAIENYPDRQIYAMIQEIETELQKKPNYVDSQPNDIQKYAKTWMKQLH EKYDNLAGVDKVYAAQQQVDEVKIVMEENINNMIQNSSKLDNLDEKAITLKNESIKLKKS STELAKIMYWRNMKLKILFGLIILAGLLYIIVPIVINVSR >CAK73997 pep:novel supercontig:GCA_000165425.1:CT868174:84262:84980:1 gene:GSPATT00010231001 transcript:CAK73997 MLKIEEYVCNLKESKTLFNDNLRIDTHQSSESYDNTQFQNPIYNIVPPEYFQSVTENKYS NIFSSYIQSPKHEELQSTIKQAPKKRTFSFAESQQQNKDPACNISADFGLNTQIPVKGDS KNYSKFSQGSNLNYIETPNKEKWQSQDSMLVLNNSIPQSKLSSPQKKYVKVQKFQNTNYS FDAKQTQTTQVTNISLKDNREFEQIFKECQNNFEKNKARKTEEYIACNIQ >CAK73998 pep:novel supercontig:GCA_000165425.1:CT868174:85297:87601:-1 gene:GSPATT00010232001 transcript:CAK73998 MKKIIQENLSPEEAKTLWKYLDDACYLIAEHQTKQLSFQELYNHAYKLILNKFGDFAYTQ LQVSIHNIVDKLIHPLNISNDDQLLNDFVKAYEEVQYFSKLLAGILLYMEKSYIIQKSLK TIKIICNESFKTKCFEKDQNLASKLLNCFLAQIRNHRNSQVIELFNLKNALQILVIIFTL LQIDINQEQQIKSKDYSYTIEYRNNDDFYKYFLERKLIQDSTRYFKEESQLNLNKMTIEE YILFVEKRYQNEVERVQSCIPKISHQKVLDSFIKNYITSNSQYISQGLQDFIENDKTTIF IKIFQLFVKSEEFDQFIKTLTQIIIDDLQLLNQNDNTLQGFIKLYEKIFSMYDKIAQFGN NQHQYKFHKAIKDAFEQVINKDNFIMFELNKYFDYIMRMESLRDDEKRVQIEKGFLIFKL VQSKDEFEQIYRRHLCVRLLDQASSSSEVEHDLLKKLKLECGSVLTHKMETMFSDLQRSN EESQKFRQKLSQSQRELIDLDVLVLTSEQWPIADYQPIIVHNELLQWQQQFTSYYQSKNQ KRKLAFNYGLGSVSLKATFDLNCKKDFVCSVLQATILMHFNKQRIYKLDELIKLTNTDQE VMQSELENLLQFKLLIQNQEDNSLQLNYKFQHRSYKIKVQPKKQALLFNQKSKNKYQEYK IDQKEIALDRRVYLESLIVRVLKTKKQLDHKDLFKYIDKDAKTRHFPIEIPFFKECIENL IQKEYLIRQEGQLDTYIYKA >CAK73999 pep:novel supercontig:GCA_000165425.1:CT868174:87655:88395:-1 gene:GSPATT00010233001 transcript:CAK73999 MDKKFIIVSLEGNVGAGKSTLFEILRQEFPKAIFLMEPLEQWQKVHGNPNLNILEKYYSD IQRWGFTFQIYAYQSRLMAWDRQLRAVAKEQKLQQIDNQFSSPSTNADDEPILVFTERSI ESARELFFKLCYNDGTINDLEYHIYEEFYEWLMEHYKQYLVDCVIYVNTPPETCLERLTR RGRQEEACVPLDYLKKLHQRHEDWLSDNNNKFKIINIDATKNYVKDLDIKEAVRRQLIDE ISTLID >CAK74000 pep:novel supercontig:GCA_000165425.1:CT868174:88598:90568:1 gene:GSPATT00010234001 transcript:CAK74000 MLSNYCKQCGNRHEDQECAVNSTVIEWKYEGNLVTLYGSWSHFQVGYPMVKSNFLQAEIN PPLPPGYHQYKFNVDGVWKHDPNADVIYNNFGTHNNWLEVVPRKLIQVDSSDDQEPNSDE DVQFRQNYKQDLMKVKVRTYLDWNEMFVMGSWDEWKQPIKLNRKFLGFAKKYINYAYLHL APGSYQYKFLIAGQYVYDETLPTVDNNFQSKNNILHVNRKQLHYHPQNYDNVYFTQYQIQ KKYERIHGLTMTGIGNEFYIFGGRGTGHNFKNDLHILNPRTKELRVVEDTKGPIPDPRAF HNAIKYGNKIIYYGGLNSDKVFDDYYVYNTTSKTWIQSKPKGQLPSPREKASLTLLSNYQ SLIYFGGYYCSHDLEVQKTYNDIYCLDLTTMMWTHYDLDEHALKPPPRSAHSATQIKDKL YIFGGQSLPEGHYTPNFNDLWILDFSKEASWANLTPVMKGEPPSSRHGHLGSALGGHLFI YGGRGEHSSDILGDLYHFNPDTLVWTKPKIHGTIPIPRCYCAADTMGSGNELWIIGGHKG NMIFNQQQQFYSFLQKSNSCLCHVI >CAK74001 pep:novel supercontig:GCA_000165425.1:CT868174:90751:92023:-1 gene:GSPATT00010235001 transcript:CAK74001 MIEIQKIIKSTPGDNSNKTAQNKFNHSTKPNNNSEVQKDFLKLQAILESKPKSDKTSKSN PPPKAQQQKIPELKTKEHNGHKQNNNVITKKHDEQKKQTNELVVKQKENEKVKSKHEISK FENIQKQIKTNPQQIPNQKKEKESSYQPLKIHKPLIKEKVASKDEEEINENGNQIVIQKK NQQNNEKQESTKKTHSEIPKQAAPQSLGGPSNQSTQQQLPKKDLGDLKLMQLLQNSSKTN TKKKSKSKDQQEQGKSKDLKHQSQQPNQSLKKDKIKDLQNQKSKPFPIKPQIKDLSIQKI NKQKNLVNDDYDLNDSFINDSESVDVEIDPNEIVSELKKLQRKKEGRKQSDFDDDIEEAG FDIMQKEEKKSRVLGIIDDYREEKYIKKEIKKEKKHKKKLLEMKNKQENKKTDEQ >CAK74002 pep:novel supercontig:GCA_000165425.1:CT868174:92039:93014:1 gene:GSPATT00010236001 transcript:CAK74002 MAERKVLVKYYPPDFDPKLLPNNHRPKGKQDNVRNMLPMTVKCNHCGNYLYIGTKFNMRK ETVWTENYLGILIHRFYFKCTYCYAEITFKTDPRNHDYIVEGGGTRNYDPYRDAKAAEEV LKQMRANEEQGDSMKFLENKTHDSKKEMDIMDAIDDTHQLNRRQTQFTPDMLLKQLFYDL DALELQEEWEKSKEYKEQFKVKRLSDVNENEDKTMHSVTQHLKQVVVQSQPKQVPQVVKL KYIKKLICCEKEGEKVAILKEEDNENITVKQENLNKTNQTLSLVDDDYSD >CAK74003 pep:novel supercontig:GCA_000165425.1:CT868174:93619:93831:-1 gene:GSPATT00010237001 transcript:CAK74003 MQRPLKPITISQPIIQRIESTRSDCSTDDDLSYIEILRAEAQNPMIKNFSGIECLADDIH DLEEFLNSLD >CAK74004 pep:novel supercontig:GCA_000165425.1:CT868174:94058:95024:-1 gene:GSPATT00010238001 transcript:CAK74004 MPNDTFLKILKKGPFPFPLAKTLSGYLIKVLGTLHTAGIAHCDIKPENILIAADYNLKLC DFGFARLSNSNLRPAGGTPGYTAPELYINETMNLFKCDIFALGVVLFIIAMGFPPFQTND PNARDGWWALIYNKQYDLFWSKCESFRQQQFPIEFKTLIMSMLESDPNKRISLDKLLEHE FLMGGATEEEVLVEIEKRVKEQL >CAK74005 pep:novel supercontig:GCA_000165425.1:CT868174:95147:97018:-1 gene:GSPATT00010239001 transcript:CAK74005 MIKKLPQEVINKIAAGEVVQRPYSVVKEMVENSIDAHAQNITIYLNNAGLDLIRIIDNGD GIMKEDYELLCERYATSKIRAAEDLFQLFSFGFRGEALASISFVSEMTVISKRKDQVLGY KGTYNSQKLLSMSPIGCSDGTEIQIAQLFYNLEKRRQALNKSEEKKSILQLIQSLSLHHS QVQFKLFYENKCEFSSFSRLDTISSIMKINQTCIQEKSFSSDIYKYESHLIFTKLSSVKY KRELCLFINDRFVDCDTLKKKITQAYQDCYLCLRVEDGGYYVYLSIKLQPKDIDPNVHPN KKIVRFLNEDEISTEISEKLKQELSPQQTVKLVQTVLFQPKSQEEQKKNSFSFKQSLQNQ QLYQKEKVRIDPKTQTLLQQFAKKSQAQLSQSLHSMIPNTQSQKIEEESNSIIDESINIK HENPKLDDELSKNESVKIIQTNQGSKIQEEKQQIQVSRTQRFKLSVESQLELFNILQKKH HKETQEFYKNISFVGLLQDQQQLLIQNDTKLCLINIIPMIFNMVIVQIMQGELPEGVLLI ERQPDSLLRIICLNSQEYFLQINDNDIETTIQLAEYLSLLNYSNEFVRDFVLPFFKKYSV AVIQNDVQVVLRLEDLYKYFERC >CAK74006 pep:novel supercontig:GCA_000165425.1:CT868174:97649:98773:-1 gene:GSPATT00010240001 transcript:CAK74006 MSQQFQVKGTKATYIIYDKVLGKGAYGVVLLAQAVNSVNQFAAKIISKKSLSLTDIVNLR NEINIQSKLSHPNIVSMVDAFEDNEYLYMLLEYCNGGCLFTNIQLSGPLREEKAYKYFVQ IVQAVQYLHSKNVLHRDIKLSNLLLTHEDQIKLADFTWSTSISMGYVAPQICGTLEYMPP EVIKNGFQNEKLDIWSLGIVLYEMLHNDLPKNGQFFIKHGISEECKQLMKQMLEVETYKR PSTSDLLSSSWIKKFQRNNGLTINTCRSLSGITDKLGSPQKTTLLGSPMGSPLRTAFGSP FGSPMTSPLNSPLGKCTFGSKHVPTEPVSKQRVSTHKLC >CAK74007 pep:novel supercontig:GCA_000165425.1:CT868174:100006:101655:-1 gene:GSPATT00010241001 transcript:CAK74007 MNQVLPILPSQINNTILKRMPNNELLLEYQIQQNTDCKDAFEWDLNASQIHQTALAQIVS VDFRIGTITLSYEKQQLLNAAIRNNNQLNQLYILFQLLETCYELHKRFLLGRCLSTSAIE IDPNSKIKIYRYGFSHNLMNKDELIQLAPETIFEDKVQISSDIWLIGMILYELTFKKPIS QFKYPDQLSQYKNFIRTSMQNNTFENLYSNFVDPKISVILQLLLIFDAEARVESYQAVIL NLKDLLPQHKAKLDEILRFYENDITHISIKINSKSEQRDPPNRTRQKNVKSKSLDKKQIY YENLLHASRRIINKKELKYAYTQNVQQIESIYKLQIVHKENQIQQNINLCQNVNFQLYQI SFLINLRQLIASLTNGTELMILDKYLFVKIEQIIDLLINNIKNIKSILFTSELNHIQNLL GQIKNNYSDISKISDRLPAKFLKTTLQNQIQQFLQVLQNNNLTQKIAAQISLRLLIAQNI EYYLADKQSVNLFFFPMKFYLGLSEITEPQEIERYLQRSTISQQIYLQNLLMKYKQQQQI Q >CAK74008 pep:novel supercontig:GCA_000165425.1:CT868174:101722:102926:1 gene:GSPATT00010242001 transcript:CAK74008 MNRFKIKTHQFYRKSEIDIDYLMATLPDGVKIVSSEEEERNDIIKLEFDRVNCFPLSEFI SYSETIIFRLQHGQVFTLIEKLIFLIEKLNYENGKINQYHIWLIFNQENNQKLELFKRSI LDYKLFYSFPLLNKNKEDKIQIIELITQIFKFCQDIPQAFHFFESNKPYKIYEKELQQGF QQFKYKFIDYCQNIKNIDQKTQVDLNIDVSDELVQNPDDLKKVDQFIQFYIKQKHDLTSL EAILTELFKQYQLEKGFLSLGMHKKQNVSNYKLDELKKIFEFKQEQQLINLIQETFESLN QTQDILKNSIKYEEYPLNSYELKDLYESAAIIYFKHQGQFNSFDLFIQIVEQINKKQLIF ENKFFYIIKPIISEYYYSIMELQVLELISELI >CAK74009 pep:novel supercontig:GCA_000165425.1:CT868174:103131:104110:-1 gene:GSPATT00010243001 transcript:CAK74009 MNLINQCQQSNISCICYSILVGYAIIITLIAGKALIRLMKSSTSNQELNPMILCIVQSLL HVIQYAVTESNTLQIITLYFQILIFTSISQLFAKLCFQVKSGTLILRNFKMFKILQYIFY GIILIFQIFALVLSSQDSCDKYYYLSIILIIVQQITSTIVTTYFGFNLLQKLKRVSQFIQ CKTMNPKAVYDSFANMSLCNSRATIIEPRELIQTRKQITIVIVLMLITILLYISFILIAI LIFKKVTCEHQIDINYQNYLIVIYGLIQMTPCLVIPYAFGFIPQVQAQGDYNDCLIILDQ SQAKSKDSIQI >CAK74010 pep:novel supercontig:GCA_000165425.1:CT868174:104315:104976:-1 gene:GSPATT00010244001 transcript:CAK74010 MNVLNSELACQVQLVQSGELVNASSILAGERIYYVTVDAEGGKKKKKKKKNFAKPKKKKH RHRKVKLATLKLYNVDNKGVVQRSHKQCPQCPQGVYMAKHFDRHYCGTCHQTFRMDEATI KANLEAIKKQQAAKAAAAAAAAPAAGADKGAAGGKKGKKK >CAK74011 pep:novel supercontig:GCA_000165425.1:CT868174:105212:106571:-1 gene:GSPATT00010245001 transcript:CAK74011 MKIYKSFAFDQNIPQSDYEKYINPDDLLNESFEELKGEFKNYRTKKGRDIIKQEMEMRKQ SQMKRKKNQSGLVVQQKKHEDHDNQKDVLGNIGFLIRQQERKCKQIKKETCSKLWLGLYN DETENPVIYLWGQFRKTDVTKQPIFSQEDFAKLIQREDEDELIQTQIRTMHKPRECFKTR FIEKQIPLYKNMEQSSEIDSKKQVDSKILTKSNKTIKQFGLQQSRESPSNAMFDGEAKRI SKSYLYKTWFKGEDMQNKKYKKPRRTESYHKAAAQREEIKSQLKERAQVAFKRYESMGKT WEKFGVFSQDILDFRMQTLKEAKIQRLLLEQQEHDLNKVEQNEQRESDMLTRLVKVITKP NSDNKEEVKTNFEYHEQRLKDMRTRTRQIQRAGF >CAK74012 pep:novel supercontig:GCA_000165425.1:CT868174:106963:108167:-1 gene:GSPATT00010246001 transcript:CAK74012 MNRFKIKTHQFYRKSEIDIDYLMATLPDCVKIVSSEEEEMNDIIKLEFDRVNCIALSEFI SYSETIIFRLQHGQVFTLIEKLIFLIEKLNYENGKINQYHIWLIFNQENNQKLELFKRSI LDYKLFYSFPLLNKNKEDKIQIIELITQIFKFCQDIPQAFHFFESNKPYKIYEKELQQGF QQFKYKFIDYCQNIKNIDQKTQVDLNIDVSDELVQNPDDLKKVDQFIQFYVKQIHDLTPL EAILIELFKQYQLEKGLFSLCMHKKQNVSNYKFDDLKRIFEFKQEQQLINLIQETFESLN QTQDVLKNSIKYEEYPLNSQEFEDLYESAAIIYFKHQGYFNSFDLLIQIVEQINKKQLIF ENKFFYIIKPIISQHYYSIMELCCLNYANDLT >CAK74013 pep:novel supercontig:GCA_000165425.1:CT868174:108887:109789:1 gene:GSPATT00010247001 transcript:CAK74013 MQNIKQRKPYLQVHFQPLTERIERKKQTQMKNVLLRNVHTKRIKRSFSLPHNQRFLNRYE SIPKNLHPSRKETIEELQSREQSPQKRESLVTNTKYSQKYIEVKERQQRKSCDCQECGKE TNFQRDSMKEGVFIMQQIKEQEALANKYQRTQKKQHEKLYPQYLNMKSIHLDDNNDPRND FIINSFEHLEDVPTQICANKNKSKSSFFDSFLIKQQQIVVDEMRKFSNHRKILDKCRSIP FLPSDFQKVLTPRTPATMTTTMAQSPRKFKTNVKMYLQPIKRPQKQHNRLLTLQEFRQYI >CAK74014 pep:novel supercontig:GCA_000165425.1:CT868174:110199:111186:1 gene:GSPATT00010248001 transcript:CAK74014 MKTRGQKPEQNFAYQFKKDQILGQNEKGKPVFYKVVEDLQTNDHKSQLKVVRLEQQEKQK DGKVVYKESQEALLPVKERTQIVEDVEVKSTKPNPKSKSTVNSFVFSHDTLQEIAKSIRQ IPANSHKQKKQVSSGSESEDEEPPKKCIIKQGTKQVVKVETQKKEVKNNKKKKDESDESF EESSEEEPPKKQTSLKKPTPKQVKSNQKGGKQVRMEESEESYGDESEQDQKKKRVSRQQP SSRRDKLELSPIQARRSRAQRRLDNGENDKVEDNQLQSQSVEEKKKKTKASEKKSKSQPA QPPKFIKGKINHRLQRDKGNQ >CAK74015 pep:novel supercontig:GCA_000165425.1:CT868174:111236:117937:1 gene:GSPATT00010249001 transcript:CAK74015 MNNKELIRAANTGNVKLLEDIFTHSIKISNLFQKWAPENDVNAIEIIFKRQDRAMLLQFI KAINKVRLGYAPRCSLKEIQTGYNDQYAYGARTRKVALSRGGREGNNAFVYDLNQEDSLT ETQIQRLMRIESDPEFFGLMMAQLGGEHEYYSMVAMAVRSGNWATAGYLVQMAMDKGHMY GFNQVHVDVLNYTSGSRIGSIKKPSATKKTGGDYLITPIHCAAINPSHTCLQKLLDISQE YNILDEIHRKPIHYAAVSQTSACLKYLMENNIDVREGDRSKNTPLILAAQFGRTHNVQLL ASNFVDGKNRDGNAAIHVACKGGHLETVKVLLKNGSKINLTGQNKMTPLNIACAYGHFDL AKYLIDKGAKVLAKDKYGRSSLVLAARNGNVKILSLLLCHGAEYDSPDSSKNTPLHYAAA YGFPECITELMKVGADQNLPNSWKLTPLSVALQKNHLGIVKQLLSYPSTDVNCKDDEGRT LISSSLSKFTEDTFEYMKYLILEKNADVKIADLQDKTPLHYAVLLSRKDAKQYYSKWNDL TKTERRNIKNNYESLVHQMIELLINAGSDVNAQDANGFTPFILSFMNQNFKVSELLMKLS NPIVNYVDKKDRNILHKLIECKLYLNVKGFTMLENILATVDPTYVNQYDENGWNPLLYLI SEYTSQADALYNQSFSKKVSKLQEKLYEEKLKVVIEEEERINKERLLQLQIQNEEKQKKL DQEEEQENEEGGVKQAKQIVNEEQKKNEKVDEVDDDDEDDDDGYNFQNNNQVQQGILSYI NKAPQTQANLVPAKDQLHPKTFYYKSKPVIVYLNQEQVDDLYRQAKQDALNLQETVIKLY SLFINMGADSNSSIIKRKQFRETEQEQEGNPYVNEGCYTVAHFIFKSYHSVSFLQGIEKV KPIPLNQTALQNIYPIHLFANTCSANYICGRRDEKSKTFLEYVIGRINVNLQDKTLNTPT SSIAIRYNYTLDEILQVLIANGGSLNNLNDSDVVPLQFWVKQNNVKVVGILLTKFKADPN FPDKQKRTALHHAINSSNSQADASFEMEHLLIKNGANTSALDVFKRTPLFYAFTKMTYDN DFREIDPFETVSSIIADKNCEVDCVDIHQRSPLHYAAMRGSVISGRYMIKMKAPIDVPDK YGNTPLALAFLCGHSNFCTMLIDNKADVNRYANIIDYEKYRKEEKKKRRERIENGKEIEQ EGDEPLSDDEQEQNEKDQSNEGIDQNNAFGRSFQAINSTGFSGKGLFSGQSLFQTNQFQY QQQQQQQYQQYQPQKQSKYYLLQSTKFPVGTYSYFKLAIKQGWQGLAYLLISDGYDLQRA IEDAIMEEQFKLVRTLLMKVKDDKIVQKQNKNKQNLFHIFSIKGRKCTEEIALMIADELA NRQVDRNAKDDQNNTPLHYAAQYDFFVMIQYLLQYNCNPNIYNNLQNTPFSIRLQENYKV LASEPELQLWRDKNTNLDVKFKVKGKNYQMSTILYLIQEYHLEDEITLNKFTDAGCNINE KTDSGETSLMISIKINSIRLVSFIMNHPKFDKTLHSQDSQNRTPIHYVVQPLEFGSYENI QMLELLSKYFDINQPDNHGRTPLDYANDQDSGTMAEALKKLNAVESKKQKQPRLPTSVIS QAHWVEEEIDVEADAQKFLDESGEQLDDSKQDTRIIVDPSARESGKVEVLVDKESGPYSL LMTKVDIGNGIYSENVFYRMQVLHEINRNVYILLTKWGRIGTPGQHQLTPFDSAEDAIKE FNKIFHTKAGGNNWRSVQTGEEPFIKKPGKYQLINFKNIKNYKTLLAPFDFSKKSPYQQS NLEKAIKRFMLQFVQVKLYNKDLQQFHIDLDSMPIERLDRKQLEAAKAILNELTDCVEDL KLLRQKGDIDIKKFQNIFNEICDKSSRFYELIPVTELRTEPIPPLDSVEAINQKLLLIET LLNFETTSKILLGAHLMKQSINPLTYCFNALNVRVVTLPKEHPEFKLIVQYINASHQAKI SNIFAVERRGDAERFEHNKEYKRLLLWHGSKISNFMGILSQGLKVAPPWALNTGAMFGKG IYFADMFQKSYGYTEDWSLHYNQYNGLFQQSGYYRQTNVQKDEQEEIQRYRYMLLCEVAV GKSKNLYNAESISNLDKKYQSVKGCGRRGPDYKQSVILSNGCKVPVGQCIDYPPPQKKDA NGNFIHRLNLQHNEYIVYDDTKVKIRYMVQLDTKDTLDEY >CAK74016 pep:novel supercontig:GCA_000165425.1:CT868174:119262:120519:-1 gene:GSPATT00010250001 transcript:CAK74016 MQRETVFKLETHILHLSGRYQQNEYNKLIFNYFIYNFIIKWIITRFLEINRDASASEVAK AYNKLSLKWHPKLSKLDHNTTYHHFCLISEAYEVLSDPIKRTFYDKYGEEKLKEGFFANG NLKGGYSFAGNPEEIFEKFFGTSNPFAQLIDTNGSENHGTLFSHAFGGQNFPGIPGPQDL EIQVECTLHELYNGCAKTVSYQRQVLNKDGITTRQIMETKEIKIDRGIETGQKIVYKELG NEAAGFKSSDLIFQIKETPHPTFKRKGNDLLYIAKVKLANAIAADPIQIVTLDNRKLQVP VDQIISPKYVKMIENEGMPIFQQDEVKDFGKPYTFGNLYIRFDIQFPEDLTESQKNRIKD ILLEGQQ >CAK74017 pep:novel supercontig:GCA_000165425.1:CT868174:120635:121048:-1 gene:GSPATT00010251001 transcript:CAK74017 MRSLSQVCITENSENIEKYIFKPKKVITLISESPALTKRYSQQAMVIAQDSPPKKHKTCS SEIPLSNGKMFLKDGKISFLKQMLPKLELPQAKCKFDPKTEILKWIQTNKINSPQKKRIT FRAIKESNGQNRKSQIF >CAK74018 pep:novel supercontig:GCA_000165425.1:CT868174:121069:121528:-1 gene:GSPATT00010252001 transcript:CAK74018 MITILKNTLIIYVGLLGLSTIGSLFICLDQDYHQQCPSHVITILSDLSVIPIVNEARMML IPMIKELPPQWITVLIWSSLMMTTALIISVMYLTAKFTYNVLMNLVKFIVILMLISLVYA WWMNAQDIPQKLQYYLKYLQLFQQ >CAK74019 pep:novel supercontig:GCA_000165425.1:CT868174:121555:123506:1 gene:GSPATT00010253001 transcript:CAK74019 MGNNNSQESTKLAQLYSEYQPTKTRDEQFGDCTVLVNKSNPKLKLILKEYTYTDETLFKK SKKQFENKQQLIQNQYILKILDIYTTQDQQVCSQFFKVYILIEHPTSQLSATQLAPQDYW AVLFGYVRALEDLQTKSIQHEHISSKTLYLPPKICDPSLYEQSTNFQQLFQNNKLNDLYL SPQQTMALRQKEFQPHHNPYKSDVFILGMCMLQSILKTPMNDCYKQLYLVEEVLLTKLHQ LNTLVQPQLFEVIQSMLSIQETQRPDFIVLSKLLDQKYQESASITNFKYQLRSFNTFDQS QQSSIQQNSQHQSNVQQSTLPIMKQIKSSKSNTNAKKPSLRDILTEQSNTNSTMASRMQE DVVLPNPPDCCDFVQQIVHSQQISKPSKIDENTDNNEIENENNDNYTNRSQNMPLQDHTD QHVNKNFQLKLSDINVKESQQQFSLPFDLPQQQPQPHISGFSSQGEIFVNEQYQDGSKYN GYKFNGMRHGQGMFYYKDGGYYDGNWQFNHMHGYGTLYYSNGNPAYKGSWNQDKFQGYGV LYNEVTSQLNEPFNYHDFDHIEDFWTKYEGEFNDDNKEGQGTLYLSNGEKFCGQFLKDYI NGFGLYHTKNQKIVEGRWVNNKLIK >CAK74020 pep:novel supercontig:GCA_000165425.1:CT868174:123992:126643:-1 gene:GSPATT00010254001 transcript:CAK74020 MPQENCVVCGKRQDGLYELLPHFRSRQSCKYIHKMCLLLFSSDWNHRVAANERLYYNEYR KECSVCGRRSKQQVVRCAHSQCRKRFHLECLRNAVIKANSNTRDYYPRLDAYCQEHSLDQ IKTSNLDECVESIFNEHLSNQEQEPGQIQKKKHKKKHKKKRSRSRSRSHKKSGRRSSSQC RFSDSQSSKSSKSQPKNHSHPKKDSLLVIPESKITDFMIQKVKILTQGPLLEKEESIVEP LPILQPIPQPIIVQKEVEKQKIYPQIQRPIVEDPEFPYTERENKRDQSILKWWEKIEEIY FKGEEKLPITKVEEFQNPFEWSNQGMDEDLLNYADQMNIAYHTVEISMQRKHKCFNQMFK NSVLVHQLQKSFSFIRYSFTEHVGKATPKGLLRTNIQLYRYLQETVDIPTYSDKEIVGKD LIYLEGCELTKQEYQDCFELEQQIKEVYSKLESQLDIESDTIQQFDIVYHILNKELTSVV KQNNQFREQINSQITDYNFKILNTEQQLLIDLLRWSQIVKAFINGYKDKNADVLNSFFPC QLTLTSQSSQKAQQKKKKIHSKENTQPLDTDCKICFDYHYTDFNPVIYCGKCSTSFHKIC YGLTGSLDEDDVICDGCYYESSKVYQFRRGAAKCRICKKLGLPQKYIRSSFYHVSCLLLT NQVILSDGAYDVRNRKNDIKQFCKDNESSTPQCAVCGDSKGFRFMCSGGETIPCKHAFHP ICAYLHGLTIDIESEDLEQCCKELRFAQLNVHIKCVLHCNKTLQELVQQTYYRRFALNYE QTAKCGGEDVFIEEFKKTKGYKYLSLKLPISRNDNLQQFINQNGTPTQQM >CAK74021 pep:novel supercontig:GCA_000165425.1:CT868174:126659:127543:1 gene:GSPATT00010255001 transcript:CAK74021 MNNYFQQKKRTVSLSNPKSTKKHNPILDNSFNDRRQHFLQTLDPAFANCLLNGLYPTPKI PRETTKPITLSKLFLSNNHRIQYIVDDFSQSHLPRELYTECPKIKLRDRDQSLEGPQWSY ENRPKLRKDQANQANSYNKSVIDDTAKDMIMVYFPSWKTPSKDKWKTDRGFLTTVNPKSA EPQFYSDVYIEGFEALGDISRKVDKEDLNPKEYRTIIKSTLEPLASNSIRKAMQDNIYNK LQQSNLNPSDLSTNTKYPKIYNKSIRQQIISIAKRFKED >CAK74022 pep:novel supercontig:GCA_000165425.1:CT868174:128833:130121:1 gene:GSPATT00010256001 transcript:CAK74022 MNRDIIYTCDVNNTKNNFSRTLYLYDKKIVISSTKQDQTTNDAVQEQRGRSIDLSSFSTT ISWIFNKTIIQGFQVSYYCRFSSAQKSLTYRGKAEDMKQLKKLLDCKVLYTDFNNDYLFV SSLHSKEDSEIQKYVQKATSQEKLVKKVNLKMNQQVSFQQLFKSKLEKEVNILFELKQQK HKSILEIEEIYKESNEIIFIMKYCEGGTLYNYILNRKYQIEQSEIKCIMKRLLKGVKHLH KLGIIHRDLKLDNIVLEKENDIKSVKIIDFGFAVEFGYQNIMRCGTPGYMAPEILNQQDY NELVDIYSLGSVFHALSSGQKLYPEYRDKNHLVFLNKLNKYKISSKIIDTEQRQLLLLMI GHRKHRPSASFCLKHQFFRQRSEIPHPIETQLKLLNFPTLKNPFRNQTGKY >CAK74023 pep:novel supercontig:GCA_000165425.1:CT868174:130921:133133:1 gene:GSPATT00010257001 transcript:CAK74023 MGSKLSIDKHVSNLEDILELNTKLLEKIKFDSNIPESITQHLKIWKFQEEKHPLKKVRSE PINLSAIQTSGFNFLSTECYLVLLIYKKAQEEYNQFTNFPHQMWGVVESYSNLTPRGLEE PVAASESHLESFLLPQRQQNPSTSNIYEYMIFVWNGKTANPLIKASALSNAFELENLLNR GKDPLLEILFSGGIIKNKKLSKGSILTLQSSAQTSSTTNIETENQVRETVRKNSSKLADF LAKKQNTQAYAQQFIHVDLKDEQIQNSKHPTKLIQKPKLNLRESLTSRDAQPKDPFKELA FPRRNSNEVNNKIHEISDEDSPSMSKESPKPISSRSRENNRYEDISPQQQPVTNTNNAFQ FNLPAQIKSKVPALNIPKLDLNCKTHSQEVSIGVPLVEGVECNENEKVKPMKLPLASLKL QRDDTTYQDIEQEDSNGFNFDIRDTDRKKLKIQHFAEQCSSVIPNFLYVGGEQIAYNKEV LKQIGVTHVVNCAGDVCKNKFPDDFFYQTYYLKDSKTENIECIFYEVIAIIENAKKNNGK VLIHCVQGVSRSVSLCIAYLIISQQITYSQAFDIIKKNRGVASPNMGFTVQLLLFQKRLQ ASYDSIPIAPRVFAVGRGGEWTLKMLMDQLYSGKVLRTFDSRGVFLVLSEHDLYLWIGPE CNKSEKFIQYALDYTKFLKQFEKAPNIQPILMDAEK >CAK74024 pep:novel supercontig:GCA_000165425.1:CT868174:133231:133383:1 gene:GSPATT00010258001 transcript:CAK74024 MRNEFNKEKEFQCLIVQFRTELRKLMLRKKAFYIYPNSDDFLLMFDLEGS >CAK74025 pep:novel supercontig:GCA_000165425.1:CT868174:133441:133657:1 gene:GSPATT00010259001 transcript:CAK74025 MCGEDQIGVGNDDDEQYFVHEIISKNYQYISERNIYVFQEEPNDESDVFLDCFS >CAK74026 pep:novel supercontig:GCA_000165425.1:CT868174:133854:135830:-1 gene:GSPATT00010260001 transcript:CAK74026 MKQSLFAQRMKLKKDIPQSDEQLSFPQTFKVDLPPQPNRAQFHGLSEKEYQQIEEENLKA IKEMNQEQVLELQKQIRESLGDNLCKMFESGDIYQIKQQKQMQLEINQNITKNTEIFSKL IDEDQITISQIQELIKSPEMSSVKVALQKIEKVIKPQNVLQLESINLSDYLISVGKSTNI SVSLFSFDLLYSYWTKIVPNCWNPIYNLKETKEVENLYVDLPQMVNQLQHHIPYSKALDL LRIRNILSQSNSKYIIEQQSVVNIDCKLKSIILLQQFQELQQINFISQEFSDFLLSIIFY YFNYLLDSQCNIIISELSKVKNSNLKIAIFYLYQSRFSCKLIHEPLILDIKKPEINQDIQ IYEIVPFQSVLAPLFDSFNLAIFSGQDIVICLKQIVSLIFDIRYLAKQIISRKQRKVHKW SLLNILRNIFQEQFKNEANKRTSIKENNEKYQLLELIRQQLKIEFHLKDEKELVFEASLY TGLSQFLSQHEYQLEVVQQYIDCFHDIDFEIKSEFVLLKLYKLLISNNELPTGLINLYKY LLDKINIKELGALLNKNVSYFEKLLQKCQYDSYYNQTHVNILFLFISPHFNPDFHNLLFQ EFQCTPILQYLSSDIYLKHLNYFTVKPNKGLEIYRQNVLDISSQNEFIQKWQAEISQW >CAK74027 pep:novel supercontig:GCA_000165425.1:CT868174:135845:136663:1 gene:GSPATT00010261001 transcript:CAK74027 MIRYAASVAKPGQQFLVLFGAPGVGKGTFAKLLINDTKFNHISTGDEIRKILKGNTSKSF DPKLIATIKDIVAKGGLVSDEIVMNILQEKLKEPESAKGVILDGFPRTLRQLDLYEKILP TNVVVNVTLRGDILLEKLAARRTCVGCGTAFNVCDINRDGYVMEPLNPKKEGICDACGGK LVVRDDDKKEVIEQRMKEYDEKTHPLLDRYRAMGVVVDFEVKKGKKDYPRLWDLVKQKLR I >CAK74028 pep:novel supercontig:GCA_000165425.1:CT868174:136683:136924:-1 gene:GSPATT00010262001 transcript:CAK74028 MFIAFSIILFQQIFICIFHRIGCTILKQPRQQGNKCVFQKCNKQQFEITVRQPTIIRIDQ NTNKILNRL >CAK74029 pep:novel supercontig:GCA_000165425.1:CT868174:136941:137914:-1 gene:GSPATT00010263001 transcript:CAK74029 MLVYTVNIDDYQTMDDKVYYQINVYCSDGHRKICKRYSDLKALNEKIIEKNSNFKLHLNL PQFPGRKIFGRTKNSVAGIKQRGKELQNYLEEVLNIKQLQQFSSIKELLPQQQLHQETIQ LTHSDEYDKEVCWLQMDELKRSFLERQEIKTPKNLKSKCKSSLNTNGYVQRYHFSIDDYE IQQDVVLYTITLTDAKKTTNYQFTSRYSDLREYDKLLKKENLKVELPVYPKRKIISQTNE NPFFIQERQEQLQKYLNDIFAIKELVQSEPLQYFITKIKLEGKAIRVGPVQQKQQICTRS ILYESQENLPERKSSF >CAK74030 pep:novel supercontig:GCA_000165425.1:CT868174:138197:139521:-1 gene:GSPATT00010264001 transcript:CAK74030 MEEIVNGEDDVEPQFTFITHTRTTQFRISVMRKRIEIASINSLDQIQVPLSIDQTIYWLY EEGREIAFGLRQGDLTNYYYGNESELSHFRILIGCKISYGTFRQDYFVGDLLGKGTFSEV TSLRDGKEYALKKIKLRNESHLKNIEIEVAILHKLNHQGIIKLHDVYRLNEDTYGLITEL VIGQSLDSIINKQKQKKSKLEERDIQMILKQTLQIIDYLHQNNIIHRDIKPQHMILCQNK TIKILDFGLSSNESQMSCNCGTPGYLPPESFREQSLYTSKGDIFSLGVVFYKLLNRGVSC FDANTLESIMSKNRRCMIEYQINGYSPQALHLLKQMLQKDPVLRINSHDALNHTYFTVLR ESQNLKEDKGNIYVYQAHPRPSHLSRTNSGELEKTSK >CAK74031 pep:novel supercontig:GCA_000165425.1:CT868174:139541:140903:-1 gene:GSPATT00010265001 transcript:CAK74031 MKNNRQNFIDLIIHLLDLEEQIEKTKIHMTSNEYFTLYRAFQIIDEQNTNQITIDAISKL ISKSPDQCALLIDYYSEFKDNKLSFANFMNLCLPRSKPQLRAQLTQKLQKTIGSPEEQEL IKEHLSILLATEIHFLQQIKQHPIEVEGLLDYPFKQKDLNQLVGDFGYKINIEAIWRRLD FDQNGIVTYEDMKKLFREHDQSIDDYEQEMGKEQLRNKDIKLGWINNKSCPYHSYSKQFR KRIQNFQEFEKQKTILRQNEWKQIRSFLYLIKEHSQIYQQIDQLLEKLNKFDSIKLFELL SINGRLEFQYLQKQIQEIVGQEFQLDYSDVEQLMIFFGGQSYEEFKRSLECSRILERKQP INMNVLKMLFIKLILLLQLSRYLKQQYAKKALIQNKDLLNEFGEFSQSNVLLMARKAEVE LSLEEVNVFFKGQEVLEVRNVMSFLK >CAK74032 pep:novel supercontig:GCA_000165425.1:CT868174:140914:142167:-1 gene:GSPATT00010266001 transcript:CAK74032 MSYSTTDERLMDSPKRTESTDIQSDGQIFKKGLNPSFLGIQQTSKVTVSRRYLDTKTHGV QLYYQEFTPQFVDAQVIIVHGFGEHSGNYQQLTDSFLLNNFKVYLYDQRGFGYSGGIRGQ ATVEQMHMDLDIVLQLVDRSVPLFIFCHALGASMVISFCLMNPSFQFQGLICSSAQLRVP AKYGKIKMLTLKLMTKLCPDLQVNTYQNLSYASKNNHHIKKLATDHLMHPYMSIQFAYNV LLFQQFILPNATKFKIPVLLLHGKRGQSCIALRFP >CAK74033 pep:novel supercontig:GCA_000165425.1:CT868174:142865:144437:-1 gene:GSPATT00010267001 transcript:CAK74033 MGANSSQSEKFVQEFQRQCVKVRELKDLRFGDVQIYRTQQNTFIMVKSIWCNNSSEYDAS ISNCEKRSKIQHQNLVKLLGYHSNSQNQWCGDFSKITVYLEFFDYTLEKSIETRRQTNQY FTEAELWKMLFTIAKLGIYLEEQNKVLGDIRPCNIQLSDDLKMAELGILKPDQTGYQRQI NNQEIAYLSPEQLYSINNPSITAKSDVFSLGVTMLECSTLMSGKNLYKSNRIDGHFLQQL LETVKQLGYSNPWYRMVRELLKEQPDERPSYQDIYDALKQFEVQILNLQPFSLLYQQPQL PQQSISPYRPQHQQYSQSLPPPHLQQQQPQTQVPMQFQQFQQQQQQPQQQQQIVQPQQIQ SLPQQPPFQYQQQVPSQQPILASQLPSQQPQQQQPLPQNQFPPQQSYMYSYQQQQPYLNE LANAQSNYFVQQPVHHVPQQIEQSPYHTLQSPQNMTSTMYYQEEEQDDGLNEIDRKIQQA LKMTRDTEMRNKQTPNYY >CAK74034 pep:novel supercontig:GCA_000165425.1:CT868174:144462:145445:-1 gene:GSPATT00010268001 transcript:CAK74034 MNKLIPAIVSDIDGVLVRGKSIIPNSDTVVKQLLNCHYTNGIRHNIRIPFYLLTNGGGCT ELEKANSLNKIMGSNFKRNHIFLNYTPLRPIMNEYQNRLILICGAGKLTEIAKDCDLKYF YTIDEYSALFDQVAFKQYDPEMIRQYEADIKQRQMEQMKNRQIEAVFIVFDPIKWEESIQ TISNLVKVNKDIPIYVVNNDATYADQFKLPRLAFGTFTNTLISILKKQYNINPNIIYYGK PSLNTFRYVQSYIHEKHENIGNFYMIGDNPTSDIRGANLIGWPSLLVRSGVFRDGDNDPK DPGKYVVNDLMEAYNKILQLEGLKVVQ >CAK74035 pep:novel supercontig:GCA_000165425.1:CT868174:145464:146404:-1 gene:GSPATT00010269001 transcript:CAK74035 MDNLFFNVVFAVEIKPKTFLPILSNKQENKDLCDPKNQRFFMIQLLKAQKKLQKSGKDIY QSTKVEIDSLISKYDPRKFYNGKVENLFDAIIDLAEIPENNFRLFNKQQKQVQSIEEFNI EEIASIISETLLLEDLIQQLKGFFHMLQQMNLTVEETQEAYEKLRQRNLTNKQLQEVVEG KCQDPEIQKLAFKLLTFSSFQALSDLSIIASFRREGSGKFVEVGNQKLFYQYSIVDCDLK PLNKIGDYLSTIDELIKLRNYYDSLK >CAK74036 pep:novel supercontig:GCA_000165425.1:CT868174:146442:146891:-1 gene:GSPATT00010270001 transcript:CAK74036 MVEYSLRAAEQLFREGISCEVINLRSLRPLDRETILQSVKKTGRVVCVEEGWPQSGIGAE IAALIMEGGAFKYLDAPIQRVTGVEVPTPYAFNLEAISFPKTEQIVDAVLNVIKRGSLIY IQIIKWINIQFLVKVLSTLSINILVKIPL >CAK74037 pep:novel supercontig:GCA_000165425.1:CT868174:146920:147677:-1 gene:GSPATT00010271001 transcript:CAK74037 MYRNLLKLRYAYQPQPTQLTPIKMTVREAINLAMDEELAHDPNVFLIGEEVGLYQGAYKV SKGLFQKYGGERIIDTPITEAGFTGISVGAALYGLKPIVEFMTWNFAMQAIDHIINSAAK AHYMSAGDQKASIVFRGINGATAYVAAQHSQCFASWYSNVPGLIVLSPYDCDDAKSLLKA AVRNPNPVVFLENEILYSESFELSAEARDPNYIAPIGKDQDHEER >CAK74038 pep:novel supercontig:GCA_000165425.1:CT868174:147686:149175:1 gene:GSPATT00010272001 transcript:CAK74038 MIFLLCISAVLASFNTQYVTDQLEIQQTNLNSSKSYQLSLIITQLPSNNYCLPLLQVIIN NQTHNDSLAYSIKANIQKVYFNSTDNITIKVSCIMLEHFQNQKNINKTMHFNLTLTEAKQ HISPPCQFPHYGQNCSLSIQQIQKEFSVTILILNNTWFYAYTILDNDDYEIYVQNGDSLF GISIISFNKVNVTRLPSFLQNFIVLDSYSDENQILLPKTENSQESIYIIGMYNFNSTQIQ EITITITASDKNEEFPFWATILLISIVIFGLLLFLIILCHYKRQYKKLTQIKPALDRKVL KKYMPPQKVDSKMSADTCSVCLVQFELKEKYCKTPCNHYFHEQCLLDWTTKQANCPVCRQ GLLENEINELMEVKNNRNRQVQELNIQEIQEAIKSKDEPPSQTYRDLPFLQLSSSPFRTQ CKIELDCSPQAEHSPQIIQFDGSPQNLTNRNLCFQSDGIVESQN >CAK74039 pep:novel supercontig:GCA_000165425.1:CT868174:149531:150210:-1 gene:GSPATT00010273001 transcript:CAK74039 MSRTNKQVEQQPAPNKKVQAPAKPQKPKENYLTPEEINDLRETFDLFDDDKSGTIDAHEI KKVLEDLGVDARNKFVYQMVQDLENFGGSIDFDTFVNIISDRLGNNKTKEGAFKLFQIYD PEDTGFIDFTNLKRVAKELGETLNDDELHEMIHHIHILRKTESPEQISFDEFYEIITAPR RY >CAK74040 pep:novel supercontig:GCA_000165425.1:CT868174:150907:151686:1 gene:GSPATT00010274001 transcript:CAK74040 MSQFIFQIKFIQTNLNSISDSLNYFERGLWLIEYILIIYIILIKRIFKQQKKFVLLEMVL GKFVEVGRVVKINYGPQEGKLATIVEILNDKRVLIDGPTTGVQRQVIPIRRLTLTKFNLK GATRGARTGVITKAIKKSDPFAQYQNTSCCQETKLTDFDRFRVMILRKRRSALLSTQLKS LRKNNAGGKAAAQAKGGNKKK >CAK74041 pep:novel supercontig:GCA_000165425.1:CT868174:151689:153124:-1 gene:GSPATT00010275001 transcript:CAK74041 MSFQRDNILIKENRLKPIQKRFIARNLVMNEPQTQSDRNIFQEINDEFNIDKGPKYNDKG EIIKHSILGKPDWFNKTKHGKKQAQFDEFNLRQLPQKQSTKIDIDQRSMAKSDIKSQQKG GGSGKFKKEKRDQLTTKTQLLQELERIKERIEMNNDMEERKLKELQPVVRSNATKQERVM EKHNRIEQYWNDFTQKQANKLGRFPSDCQLIQAENYRTRLEAAAAFDALKTDYERFGPRV WQMTLRKPDQSNEMTVKMKDTQMIVENEMKYDFIVGSDLPNAFTESSVCGHKGGIEYYRK PNFLPDSSQLTISSRFRKYTDSDMNQSSLPFKSFRSEEYLMKKIEKQKSQFNQSHMLSID KTDGYDQLIILGKNQYEVERQMLLNDGTDNTGVYRKNIEKIPEELTKEQIYEQNFTGTQR LILPQIYKSQISRIKKQGSATSKSQDSRSSQDFQTENQTVIQ >CAK74042 pep:novel supercontig:GCA_000165425.1:CT868174:153384:155780:-1 gene:GSPATT00010276001 transcript:CAK74042 MRSNYATNTENGSATKPQQYFEGNRKGEVNELKILVKNTINEKDDKKKREVVKKVIAYMT LGRIDVSKLFPEMCMASYTNDLVQKKMIYLYLTTYAEQNKDMAFMAISTFQKDCKHNDPK IRGFALRNLCSLRFSGAIEFLMPAIKEALSDIDAYVRKTAIMGCVKVFYMQPEQLNNIED QLYKMISDNDPLVIINAIHALNEILAQEGGMALSKKMVDYLIGRLKEFNEWGQATILDEL SKYSPKDDKEMFNIMNFLEERLKHSCSAIVLGVIKVFMNFTKNKPQIYEQVITRVKLPLV TLASISEGNFEIMYTILCHIKYIASKGYNSVFASDYKSFYCRVDEPTYIKLIKLEILSLI ACDFNLGDMLNELGEYVTDVDQEISKKSIQALGSIAIRLPDLATAIVKQLSSFVTLQDYI TNEVILVFKDILRKEPKHVKDCLEIIQSDNITDSNSKIALIYILGQFGSQIPLAPYILET YIGAQESVELKHTLLTSCLKVFFVRAPEMHQVLGKLFYVIIDNENEDIDLKDRAAFYYRA LNSNPTEVKQLWQYSIKVDKFLEETIINKEALLFEFNSLSVIYEKNVNKFIKPIEYFNNL RNKELQDLNQEQQQTQTSATQEQTSDVIDNPQQQTYSQTNDLLEISEQPQSQNYLGVDSF IVNFTIDADHFENMWTNLNDGATFTRMLVRNDIANETTIEQLFKQYRLYNIAAGEEENVL KMYFYGSHQCQVFFEFEINKNLSTVILNTRSEIEDQAYLASKYVEDFLKQVHLIE >CAK74043 pep:novel supercontig:GCA_000165425.1:CT868174:156002:158082:-1 gene:GSPATT00010277001 transcript:CAK74043 MYANQYLKTIKFQNMYFECPCSFRKELEQESQIIRHIDCCQEFNSDSPLCNMYKRGNVEE IPIEQLVTFLCDLKLQVERIEIVLNRSKIKYYCFIGGYRKQILPIISDLTFDQSNKLSSS SQIKQNNVEVKQNSIVLRFRSKNKANVQQPFKIDLGDLSQNNKASSSLFSPRLLEDDKSS NNMTTRDPTSIIQEQSKINSLQQQDQKLACEACRKPFYFNQDFGKLWFLENCGHVMCKFC IHRLAREDYIENNGKIMCKEIGCNAQMRQFELKEVLGIDMFDDLDKRLALKNQNIVECIK CQFQSSFDKGSSKELDESKQSKNISGEASINYGNNRFICEQCKTEQCRECKAVPYHIGMT CQLYKTIQHTNKCILCDFPCEGKVCNKEYCQRRIQRVCQNTLDCGHSCNGVQGEECLCLL CSNQDPNDYCNICFTEPLKFAPCIKTECGHIFHEECILKKLDAKWNGPRISFEYCTCPSC KKWLNVKHQKIQLKLNEAIQFKQQIQELCIERLGIEGKKQAQELRDPESSYYQKPQEFAM DKFCFYECFKCIKPYFGGIKNSLAGAENYGRIQFNKEDLICPGCCPISFEAKCNKHGYRY VEFKCRFCCSVAVWFCLGKIHYCETCHSGRNPNMSKPCPGPKQCPLGVDHMPTGQEHVLG CALCRTKRLDVILKQK >CAK74044 pep:novel supercontig:GCA_000165425.1:CT868174:158215:158690:-1 gene:GSPATT00010278001 transcript:CAK74044 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGAAGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK74045 pep:novel supercontig:GCA_000165425.1:CT868174:158780:159014:1 gene:GSPATT00010279001 transcript:CAK74045 MNQNKQYNPYALPPDFSLAQAHAKAKQVGYQTQNEQEYCPCCNMAINKIPIGVCEDIIRL QFLGRSID >CAK74046 pep:novel supercontig:GCA_000165425.1:CT868174:159155:160921:1 gene:GSPATT00010280001 transcript:CAK74046 MDSQENEEQGCYHFIGVAYTVNKTELQLTIQAVLAFLGTFLATGGLFLIKWFQQRNLHYS INSSPSDFTILLRNYPYIHERHQIEQYFMKYFNINPASIVKIIRPLNIQDYMNDQKKKNE KLKEQQRIYALMTRPQEVREKNDILNKLMLEIQVLDKQISLFEQQVTLNNLYQRTDYVLV TLQEENLVDQILDTCNQSRWYEVMMDYLGCCDKLLYYLNNDKQQWKFINGQRIYIRRAPE PLDIIWQNFNTSHSQKRCKRLLALSLNFLVVLVGAFVVYGISYTQNQAKSSDSFYVKYVL SGLCALAILIINQILEFLIKITSKYEKHTTYTNETRSVMVTQTIMQFINTALVPFGLFIF TDFDQNNLAVSLFFIFLGNLIILPVLEIFDPLYIIKLIKQNQILKEQDKRQIILTQQQTH ELFEGPDYRIADKYSTIFKSILMSMFYVCIFPYGMMMQIVSLFLYYWACKYTLLRRCSYP PTINRNLNDSTLQLLGISPILISAGSACYSFLLIQTYSNLILHIINMIISCICVGSYLYF RFESKVTHTESALCLNYFEERKKFSTDYEKCNPITMKQAQFQQQYKLE >CAK74047 pep:novel supercontig:GCA_000165425.1:CT868174:161019:161456:-1 gene:GSPATT00010281001 transcript:CAK74047 MVEKSTMRWLCAVCTCCFWLNYYGFLNNCVRGCQICIDVFDATASAMWKGIFCIFMCCCF GFECVACVLCIYSCIELFNDPCQRYQAEDSWINAFWQLVYLKDLEIEGTVREKLKELFAK >CAK74048 pep:novel supercontig:GCA_000165425.1:CT868174:161664:162873:1 gene:GSPATT00010282001 transcript:CAK74048 MQSENQDYVRCKYCKQKIHQCQMFAHKLECNLQNHPNYQSEIKSRISENQSQFQSQNNPQ QQSRVQQSSSRNPVQYVESHIYSSEQDNTFNQNSMMNNRRRSDYPDQQINASEAQRMRQQ NRNQYTESLLKQAQKKCEYCDQEYPIQILEEHYPHCEAKMVIEQMSIEGERNENFYEQQN NNPYDNTYHLNQQQINNQNDIYSDQPQNRNQNIVTGTQIVVLPNGTRRKIITTTNLDTGQ VIEKKIIIESQSQSQSQTQQFLQMPSFPITFGRNTNQQNQYFSFLNEFLRNPFMMNQRRS RFSLIPMMTTQHHRHNQGLDNLAIIKFVPYDGLSQEYKQCSICINNYEDGEELILLPCIH RFHKKCISEWFKNQSTCPICKTDITQQEMGYEEVD >CAK74049 pep:novel supercontig:GCA_000165425.1:CT868174:162966:163586:-1 gene:GSPATT00010283001 transcript:CAK74049 MNQLIDDQQQRQCPKCQNFFVGKKILEHEKVCNQKQHGQSNNNQLESIIQNQQFLHNVRK CEYCDDDYPLEIYEQHIQQCDIRIQHQSLLQSVCPSQVFNSIDESDQQEEEGRQKLEILT KYFELMENYGEVLEKGEELVKISNFSIEPFAKTFDKKMCQSCKKNFILDEEILIMKCCFQ IYHHICFSQIINQTNNCECGKQIIID >CAK74050 pep:novel supercontig:GCA_000165425.1:CT868174:163657:165178:-1 gene:GSPATT00010284001 transcript:CAK74050 MKNELQALYDQGQSKKTDPTNIGIFIPNFLNKLIALKKMIGSAYEQGRFIAQEEDLFGNK TEFDDDFLRALTLNQWNQFLAVNIEVTLLQPDQQMLSFSKRIDIIQNFLLSFVNSKSNGL AFYSYLDQIYVKYNIYLPNAITLKMMNHSETNHLIQIIDERYRLMELQIMSYKDQLLSAL ALDQQEIQEYFPQQLDLYYNYKNDNIQIPIDQSLKYESLIARECEIFQDQIQIDGGEQRS INTLSETDQEIAKNGNNNSQILQNLNYRVKVYTVEARVGLLNQGHFRNYQEILGGELFQE FERQPITQQIQRKLEFEDSIHIPQADPRYKNVLQEQLPDVSISILRRLLILKEALLIIIE NQRQLANLKFKGKLSVLVKIRFCNVLGTRPIFIMRKIKKEKKQIDDKNHIHNYKIIKSLI YVLFFKDRYDDEIRPIHHLCCEFLHSFCQGEPDNSQDSDQETEDSRYILKNLPDIDKYLV KLEKLILLINKIYCVPF >CAK74051 pep:novel supercontig:GCA_000165425.1:CT868174:165856:167393:1 gene:GSPATT00010285001 transcript:CAK74051 MISLINFNPADQRCRITSPRSIMVLKQRGMTQEELLFINKEKLGLMLKQEKSLQDENLDD FYKHYEYRRQQKVYMLIKDREALIEKEKRKKIESNIPKGKGERPLSSSNASKFMDQVQKR KYMEMMRQRHLQELMLKREQQNQQQQEKMQLFEEQRQKQQEQRQLEFQAKQESIARQKRL KELEEQKKNRQLQQEYFQKEQQRLQQQQIEEQQRQAEAADREQQQQELKQKLEREKKMRE KQAELEYNQRKQEEEEQELRRQEFFQSQQEQKRINAQKRAQSAKIRQREAQRSQIEFQKK LREDYFKKEERIAEQQHKFQEQLQQRQMTIEEKNKLKNEKIRNTARMKEQMHEEFRREYA EREQEIQYRLQQRSESGAKDEFLKQQAERRTKYREKVRKMNIQQLEEKRQQYLRKLQDQD EKIQRVKREQESLYQQQAYEKLKKRLDQQEAIKHQRQFEEFRREQIRDKLREVDLRMQLK REDQRELDKLREQANKHELMGKVQ >CAK74052 pep:novel supercontig:GCA_000165425.1:CT868174:167404:168171:-1 gene:GSPATT00010286001 transcript:CAK74052 MINLYVFRHGQSIYQCLRVISGLHEFGLSALGKYQTYNLASRTDVDFEIAYISDTKRTIE TFEMLKLAGKMPSEENCVFTPLIREKCQGDFIMQEFKAFPDDPNKRNFTPKNGESWEDVQ VRVSKTFDFLVRRYLLQQKEAKQDDDELVKALFQQSEHIESKKNNIILVTHYGFIKEIVQ YLKKADQLQLEQPYFAQNATLFTLQLFLKENESWQAKIISLNSYTRQYRPFMD >CAK74053 pep:novel supercontig:GCA_000165425.1:CT868174:168272:169083:-1 gene:GSPATT00010287001 transcript:CAK74053 MSFYPGYPYAAPLTTSVAAPLTYAPPVQYAPQLSYAAPVQYARPVTQSVVQPVVTQPVAV QPVLQQSVIAQPVVAQPVQPTIKGESRVEYREYQRPVVEMETETVQVQVPKTKYVTDYYP VEYQTEYIPRTVYEQQTEYVPVTKTVPRVEYEAVEREVQRPQPVVVQQPVVQQVVQQPVV QQVVQQPILQSVVQQPVVQQPLSYSLVRPAPTYTAPVAYSSVAPVAAYPSYYGGYRPF >CAK74054 pep:novel supercontig:GCA_000165425.1:CT868174:169356:169704:-1 gene:GSPATT00010288001 transcript:CAK74054 MSNGSVKDILKDKKKVRFVAESAFKQVDKDGSGYLERPELEEVMNNVAADLGVEVSITSI TLQPPTSEEIDEVLKELDENGDGKLSIDEFQVLIEQVLEMMAKVEG >CAK74055 pep:novel supercontig:GCA_000165425.1:CT868174:169907:170979:1 gene:GSPATT00010289001 transcript:CAK74055 MSRSMRKGNTPALNSQIRPFSPNLTFKTDQLENQVLQPCQEKAEVQYLRFEIQQERQRSS QLEMQLQCEINQRVQNEQLFTNDINILRQQNEDYKLRIQELEIKFRENSIQYQNLLKESN DKVVTMSIEIERLHNLQLNKYNDNEQILRQNDQKQLAQELIAIQKLVEDLRNELITKQKT IYYLQEQLQMTQSTQRDNIGSNSKLREQEKNIDQLVSQINVLTQILEDKENSLQQQSKQV ENIFNELQQVTLFNRQLNEENRNQKQALDQSYKEIERLNRIIIIKNQELQDSYHSENLDW RRNFQDLNEKYHKCQEQLCFAQAELEACRATQRMAYLQSDK >CAK74056 pep:novel supercontig:GCA_000165425.1:CT868174:171163:172363:1 gene:GSPATT00010290001 transcript:CAK74056 MLQIARNLIKHTHLFKISKMPPKISIFCALNSKATDSNSNENVQKENKSKSQNLKEASKL KNEKKSNPKILKQQTLKLVDKKGQIVDLTQKKLDLGDGIFSQDKLKISSWNINGLRASSK KESAVSYLNDKNFDIICLNELKVAQEAFEKENLITKLPKDYFAYLNFCKIKAGYSGVAIL SKVKPISIKYDMGIEKHDQEGRIITAEFEKFNLVACYVPNSGDKLDRLNYRTQEWDVDFQ NYLDKLKQTKNTIICGDLNVSHTEIDLANPEGNKRSAGQLIIYDQRFTQEERDSFSKFLS KGWIDTFRHLHPKEIKYSYFSPRFNSRETNKGWRIDYFVVNQEAVGSVIQSDIKTTILGS DHVPIECDIDLTKL >CAK74057 pep:novel supercontig:GCA_000165425.1:CT868174:172509:173860:1 gene:GSPATT00010291001 transcript:CAK74057 MLKYLNYQFLGVCAQIRIHIVLIRISNIMLSTIVSPIWYQVAIYYNTNDYDLNWLVGWYF IGPILFCFPFNAIIIYNYGLSQILSSICSSIGLWILVSVKRDFQLGMLGFIFLGIGEAFC CQTPLCIKLNKKQTYQKFGLIRTNVYLLHLWDNMQIMQDVQLDMWFHFIIFLELQVDISK IRMMKLNLMHDMKILYLLMLFLLLLLSLLVSYRSSPHVIPQIRFKLEILFGSHQKRFVLI REHFWILFQYQHVFYCIISLVIGVSWCYIVLFGNQQYYVDYTPEEICLTYVTFSVGQLIA ALICSYKLNSQSQKGLQQTYDTFIKFTISLGFLFLVAENLTFEFLPFQLVIIVNLFIGAG LGGVYSILLESLMEKHYPVQELAISSLLVVVACALSYFILMISIIPQFLTYGFYISCFFM IPSFCYILVFYKTKYTRFEYES >CAK74058 pep:novel supercontig:GCA_000165425.1:CT868174:173972:175354:-1 gene:GSPATT00010292001 transcript:CAK74058 MKEDHKIQKQSEGLYQWIVLASFCLNSMSNIFLFTGLSPIWSQVAIYYGTTDYDLNWFTN MYYITLMSSAFLFNPLIIKYFGISQLLSCCFTSLGLWLLLYVKQDYLLGLVSLGLISLGE SLYFQVPLRKTYKIIIDLSKLWFAHDQRIISTFIAQYSSNVGMLISYTISSLYFQDIVDE SEFNTRYENLILFNAIFATIALVFNLSTLKTPSQHHDIVEIRLTIWESLSKLVMSEESVF DILSISSFIGLSWCYTMLLGTQQYYQGQSFLEVTQTSIYFQVGQIVAGTYCTWKLQKQSK AGIQQDYDSYIKTMISLGFWLLVFEIILFEDIPFIILVFINFFIGAGIGGIYSVLLEALM EKHFPVQELAIGTIFAAVASVIYHNQKQVVSITITMTLVIPKFLEYGFQISCYLMIIPFC YIAVFYKTQFKRFEAEA >CAK74059 pep:novel supercontig:GCA_000165425.1:CT868174:175689:177026:-1 gene:GSPATT00010293001 transcript:CAK74059 MAGLSQLLIELVNIIFKFRISNIFIYSALTPIWSSVAIYYNVTDYDLNWFPNMYFISLFT TTFGFNPFILKYFGQSQIFSCILTTSALWLLLISGNDFSMGLLCFALLGLGESLYYQVPL RKQENKFIVDLSKIWFPHEERALSTFIGSYASNIGMLLSTIVSFYYFYEVVDENEFQTKY QNLIFATAIFASIVLVCNLITLKKPINQKDSIQIRDSLWVSIKKICTAEEAIFDLLSFSV FIGVGWSYSALFNIQQYNIGYTALELFETNLWYQIGGILIAALYTWKLHSQSQHGLQQSY DKYMKQIVSIGFWALAIEIIIFDYVPFWILQILNFLVGCGFGGYYSILLESLQEKHFPAQ ELAIGSVLSAASCVRLFNIIKTASILVIMILGLPEFQRYGFQISCYLLIMPFCFIIVFYK TQFKRFEQEA >CAK74060 pep:novel supercontig:GCA_000165425.1:CT868174:177321:178601:1 gene:GSPATT00010294001 transcript:CAK74060 MKRYIVYLYQYKVRLKFIRQILQEKSQKRASKEFKFIEQLKKRQEEETEKREEREEGKDF IKQFELCEFRYCGIIKNLKIKIQIQVEIKDDPNIIKKGRGHMNSYLFGWKNEDFSQANSY LKKIDYSIRKKNQPPPTNKPQEQGQTTNDVNVNLEKKADDQQVERRNYNQGNYRRFNNSQ NRRFDKRDRRDYDRSQKNDRNDRNDRNDRNDKNEKNDRNDRNDRNDRNERNDRNERNDKN DKYERNERNDRNDRNERNDKGDRNDRNNSFNRDRYRNRFNDRDRNNRDRDQKGDDRNQRN NQYHSKWIHDQYEKNKDQSPNNNQVKPRGSPIYK >CAK74061 pep:novel supercontig:GCA_000165425.1:CT868174:178608:180701:-1 gene:GSPATT00010295001 transcript:CAK74061 MDQGVSHGFSLRKICKQRLKGVFVSLIQTNPNVTNYFLIVDQRTVKVVSAYMKLAELMEL GVSAVEKLELGRKPFPKLHAIYFISPTQESIQRLLDDFKDKKNPQYGVVHIFLSNEIDQG LMQKIAQCNQLITKIASFKIVNLDFACTSDQVFTIETPEILTKAFTQQNIQQQLKEASYK LSTLLISFNKFYSFEFLYNQAENRLSEQVAKLAAARLQELLASFVKQKNEQYDNIEKEAG KITVMIIDRSYDVATPLLHDFYYQSMIYDLLDITNDIYETEVEAAGKQIKQKVIFNENDD LFNRYKYRHIIQVLEGIPSEFREFVHNNTTAKVHQGQLNNLDLNQMSEIVKTLPQYNELL AKYTLHMKLIEKSWSIFENKGLKEIGEIEQSLITGIDGSGKSISTTKIQSAVATKLMSET LDDYDKLRLILLTSIGLEMSEKDRKILTDKIKVEHQQAILNLIYLGVNPQKGGQKKSKSS NRVNDDLKKQAKHKLASACTELSRNTPLIETLVESYIESNYKKPQKFDSIIINEDGVGSK GGGKSIRKGGQLARMIQTDDSDDTINYTPKLIIFVIGGISYSEIRSLLSNQKITSSQITL VGSTHIVKPKDFCQGLIDMKTI >CAK74062 pep:novel supercontig:GCA_000165425.1:CT868174:180754:181617:-1 gene:GSPATT00010296001 transcript:CAK74062 MSDLSQFCFNCNNNTEDLLVSQFSEESQFKFQESLQQRIKRQNQQSQVENRNTYLIQEQH QAYLPSSVDCKEIQLLKLVSHLRQLLQKQQQDFSEKELSLQQKLLTLQQKHDKMISLMKT KYQIIIEEQQSQISLSLIENTNLKQQIFQFQEQLHQSATLRIKQVSELERNKNQNCFSTQ NNQNSKLNDWNQPERNQDQLLQLYKQEIDKLKQQTTEFDRKFENERQCIKQEISTLKNQN LMIQTLISQKYGTNSSAQKSYALNSDKKINNNQPRSYSHNSRKTQNF >CAK74063 pep:novel supercontig:GCA_000165425.1:CT868174:181765:183400:-1 gene:GSPATT00010297001 transcript:CAK74063 MKSDDSAFYSVVYQDVYDPYPLSTDLPCSENEVPFKQLSGDEAIEKSFMESNCQSSVWAK RGSKASFLLTSITIMKSMVGVGILGIPNVMKNFGVILTIVIMMIVYSLGMTASRVLLKCK NLSKKSNYSTIGYFIFRHSWIIYTVNLIITLSNITTCLSELIIFGDASQLLIKFYKGDDY EVPFYLSRPFLLCMLGLVLTPLLIVKSIEKLRFVSLTAILSISTFTALAFYNFFTREGTP EGFSLLIPSTFNFKNAMTALPTLLLAYNWQFNLFPIFKGMEDPTDQKMTYAMFTGYSMAS FLYLCVGILGYATYGNNIQTNYLKSIKSQEVGSILYVILNITFVISTTLTLPVLFFGGRN NFIQIYKQLTSEKKTVQDVKNYKEFLDETNSKRQEKLIEIKLKKRSQKIRFYLLTLLLFV LLMCGAIFLDNLTLVFNIKGAVFCNSIQFVLPSLFYIKLVEKVKKYRFKSKQKQYFYYGI KVLFCMSWVFLVTCVVCGTMAAGH >CAK74064 pep:novel supercontig:GCA_000165425.1:CT868174:183815:184851:1 gene:GSPATT00010298001 transcript:CAK74064 MKQNNYIRHGCTQTPTLYQLLTYLLYFPNMLTCFLLISLLDESATHFILLGLLTLLSLFS SIKTTLSCPTDDFLIQQVQHRRMGKPFNYESQKLDSYCDICDAYVKENTKHCKHCNRCSQ EFDHHCKWVNNCVGNKNYKIFIMMITTTMLQLFYTAIVYIRIIKLYNTEHEKLLINNEIQ KFHFYDENDLDIKYTLSIIMLVDSSIFSILLFQLFIFHIYLMIKGITTYEFIVKSDIKRI NPQINVLNVKPEVLPNGTTQTNLNLQVNNKNTMYLIELNCSEQQLAQEPQEKQGNSTDIV FNNQVLA >CAK74065 pep:novel supercontig:GCA_000165425.1:CT868174:184912:186015:-1 gene:GSPATT00010299001 transcript:CAK74065 MNRQSNIKSELDQLTKHHTSLDKSKERNHTPKVKCCSNKYLLNSSTKQNLNRSYNSNQKA HSKLQSKATSDIKQKLLDEILRGSHSQFSQSYLTSIIQDKIVNKPQKYHTSDDTSSFTLP DKEFNIKAPFLQIDIFTAEECKKQQDSITLTKFQELLEQLELKEAELKVNVFSNLKALFL ILAKQIQQKLTSQMNEIVKLQNCNSELQQQQKILQDRIQEQKQITIKTEETLNSLLFTLR YTNLNNPQIMEQHGDQAETQKHQSDIKNFEENISIMSEESAPFGKFYNYYIKKQQDPQKK GALGLTLNLNSLKNPQTAPIGYQEEFMANLNEFSESWRQQAIKEQRF >CAK74066 pep:novel supercontig:GCA_000165425.1:CT868174:186966:189409:1 gene:GSPATT00010300001 transcript:CAK74066 MSRVNLIEKNSDSELVRQGEDGDFKNLFKLDNIREGASLGLVQQLGGEQGLAKIFQVDLK RGVQDEEQASTLRNRYGANLPIVKELTPLWKLIVECLGDTMLQILIVAAIVSTILGIIEG EGGWYEGLTIFLAIFLIIGITAGNNYAKERQFAKLQSKLDEGNVQVKRGGSVITISNKDI VVGDVLLFQLGDIFNVDGLYLSGSEVKIDESAMTGESDEMLKASLDVCLKDQKGKSPFLM SGTKVNEGTGVMLVLQVGEKTVQNEMKRLGESDSTPTPLQVKLEAVAETIGKVGVIVAIL TFVILLVRLFIEYAQNDEQTFWEQFWHLDCLQRILKFFMIGVTIIVVAVPEGLPLAVTIT LAFSVNKMKDEQNLVKTLASCEIMGGVNNICSDKTGTLTMNTMQVSSFFGQGSNYKDYQL PQIKELQKDYLDLLAASNLYNSNAYPKRGINGKFEQIGNKTECALIEFCDMLGYQLSSYR PSDNILRVIPLNSKRKMMITIVNHNNKIYLFSKGAPEMVLKKCTKFINSNGDEVQLTPQD AKNMLTIIEDYAGQALRTLGNAYKILNYHLEYDFESIPEEYLLNDLTLINIAGIKDPVRP DVPSAIQQCYRSGIIVRMVTGDNINTAKAIARDCKILGPDSDLHEYEAMEGSQFRQLTGV EVQEVKNLLKFQEIAVHLKVLARATPEDKFILATGLKQLDNVIAVTGDGTNDAPALRKAD VGFAMGITGTDVCKDAADIILLDDILVPQSLHANGEEISIIVFVNSSNFN >CAK74067 pep:novel supercontig:GCA_000165425.1:CT868174:189434:190198:1 gene:GSPATT00010301001 transcript:CAK74067 MSVLGAAVTKEAPLTSIQMLWVNLIMDTFASLALATEPPSDRLLNRKPYGKRESIVNSIM YRTVIGASIYQIAILCLILFIPNRVFEFDDSLDEEYEGRPIQRLTMFFQTFVLMQICNSI SCRKLDEVSLNPFSGLFNNSLFWLINLIEVGVQYLLILFGDKFAVVCELTVWQHIFCWIF ALGGMIVAIFVRTLPSKWFNGINIFAEEGIEEENLDETIASKLRRKSSIRIGSVYDENHE NKRSVQKRLSVFKE >CAK74068 pep:novel supercontig:GCA_000165425.1:CT868174:190226:192584:-1 gene:GSPATT00010302001 transcript:CAK74068 MSQEIQQFRATLPINEFKSEILKQVLENTFIIITGDTGSGKSTQLPQYLLDDEEFRLNIC ERRKKFLEEQVEEKEQTKVQGFLSKKQKPFIPEDRKNSTDLKIVVTQPRRMAAISMAKRV AFERNQNLGIEVGYSIRFDNSTTNTTQLRYVTDGILVRECLQDKDLRGYDVVILDEAHER SLYTDVLFALVKTAARRRKGSLKVIITSATLNINIFKSYFEGCPYVKVHGKSFPVEVKYS EHNITQQKRNHDAVNAAIRMHLHEGPGDILVFLPGSEDCEVCRKFCYERLAEVLNSGVEV PSVLLYTLYGSQTSEDQSQVFQRADEHTRKIIFCTNIAETSLTIDNIGFVVDTGYVKQKV YNPRTGMDSLIIQPISKTQAIQRTGRAGRTQAGKCYRLFSKQFYESLSEHTTAEIMRVNL ASVMLLLKSMGIDDVVRFEFMEQPTQEAILQSLRQLYLIQAIDEDGYITPMGYEMSRYPL EPSYAKALITSKMMECSSEMSAIVAILSTESIWQRITRVDVDGYQKLQEIQSQHADPAGD HLSLLKVFSEWKQAVFNEQFAKDTLLNLRSLKQSDNIRQQLQQLVEGTSRKKCLQFYEQD YLYKLFRKQKDSKKWNINESIKLSLCSGFYFNTARKMHNGEDTYLMVYPEGTVVDTDPQS VYTVIQQYPETVIFTELGGTSQVRGVMKLISEINIEWVKPYFSNMVKVDLFKLARIEFQQ RGRDAVHERRQKKIQEQEKQKELEEKQKLEKHQIYKARFEQRKKVKM >CAK74069 pep:novel supercontig:GCA_000165425.1:CT868174:192592:194261:1 gene:GSPATT00010303001 transcript:CAK74069 MNTKIQEKISNPNFIQNTFPLNVKELSENSPYRAMNFINGIWKCASNYLEILDPLNGDKF ILVPNNLTEEEINEYRDAAIQCPKSGLHNPLKNPDRYNLYGEIFHRVSFLLGLPQVEDYF VHLIQRVAPKSDIQARGEVKVTQRFLMNFSGDQCRFLTRSFNVSGDVQGQQSSGYRFPYG HVAIIAPFNFPLEIPALQMMGALLAGNRLTIKGDQRVSVVLEMFLRLLHLAGLPPTDCDL IHCDGPQMESLLKKSNFRLLQFTGSSGIAERLCNVMNGKIKIEDAGFDWKILGPDVIEFD YVAYQSDQDAYAFSGQKCSAQSILFAHKNWVQAGILDKIKLLAEQRSLQNLTICPILTWN NQRIQTHLDKLLAIQGAKVLFGGKPINEQHKIPECYGSYLPTAVYIPLQQIKENFELVTT EVFGPFQVVTEYENEDHVIEILENIPHNLTAGVVSNDIRFVQKILANTINGVTYAGIKAR TTGAPQNHWFGPCGDPRGTGIGSPEAIVQTWTTHREIIMDIGTTPKSLTQS >CAK74070 pep:novel supercontig:GCA_000165425.1:CT868174:195017:195692:-1 gene:GSPATT00010304001 transcript:CAK74070 MSSQITKKPSLKLQLNKKTLDTLAKEKTIIPPSSKCSQKTVSSINTTSCTSSSSKQNYPY KESKSNASKSSEEHSQLIQYLLQENIELQKQNQDKDYLINFLSKKHSPKRRSVINTERST EIKSQKLPQIQQAKSSLEIDNLNDGVCTPFCFTFCQQDIQSQQSVRNKKLPKEFQIVPNK KRYFI >CAK74071 pep:novel supercontig:GCA_000165425.1:CT868174:197220:198308:1 gene:GSPATT00010305001 transcript:CAK74071 MQMKLIKQQSASALKPREKKTTQALNQPVIYPVDGYKLLNELSIGGFGCVYKAIEMKTNR PVAIKYSKENMEKEYRILNQLQNCQGVPQVYNFGQLNNTYYIVMELLNEDMYTISSKQKC FSIKTTILIAIKIIQVLSQIHKNGIRHRDIKPQNLMTKYSDSTIYLIDFGISLLQNDPLP DKYVDGTILYDPRIVHRRQEYRFIDDIEMAGYCLVDLLKGQLPWYKYIEGRESRIMQMKQ EFLDAKSTNQLPIYPIFQFVEQNQNNDIPDHNKLITQLREVLQKQNIIEDYIYDWSSNEL IPTPQFKARTVSFQSQKSKFQPENQEQNIALEGQIQFVNDQKYKFMK >CAK74072 pep:novel supercontig:GCA_000165425.1:CT868174:198659:200393:-1 gene:GSPATT00010306001 transcript:CAK74072 MMRTRCNKHKQQLIQFICLQEDCQINKLGCISCFPDHDGHTKKIMEIQDFIEKIQQKDKD LELKYLQLINSNSVKKNKEQIIRSIQQQCKAIQSRAFSFIDRHCEALISNVQNKTLNDSN SQLKVKAYHDEFERIKNRSTYTLENKEVEFLINFVLTNDYPEMIASAMQIKDKNIQEFTN DINQQINAIDDSLSELYNQLDSQLSSQQIGNYPSFDQKSKSFQHNYSFTLGILPKSPNPN STQGKSKSPLRLTSTNQSRIKTPESSKKQTPQIGSNSRIQISKFLVSSPQKEEDDYITKN KNLESLITNVLMIHLPTKYLNDHLPFFKSNYTEFQDYNNITQQEKIQLIEDLNSQLLYVL WDNLMIEWLKPSFNFNILQIKVYEIENNFNSFLELFDKQLKLYEFVAYVIKQKVIKPKID YQTWFIIANKATNSFKMYNIKPSGKKVNKELTIEDQSFSCIDQLKSANVYAQFPKVLQDH LNGSFTIERDLKIIIKGIIDPNFQTQLLAERLQILLNLVMNPQASANLTFQNIEKHFDQV LINFKYFINYLNLSLKDTLQG >CAK74073 pep:novel supercontig:GCA_000165425.1:CT868174:201175:202301:1 gene:GSPATT00010307001 transcript:CAK74073 MNNNLLIIVFFSAWTLLFLLILFIGYLLDKSYQLASISPQTKSITPSCSLLTINPIMVPN AQKQQSIRKVDEEFNIVNNNYGQQNQQVNQENGQVNLELNTSPRRRSSDKKSPSRSPKKF QMQQTIIKKLNIGTYDGADTLQSTNRKSVRSRPSVVNSERNSVYAQSPGQRITSIPRISS VSNFQISGQFLVDSIYKIPKPLKDYYKCHQLSKIYFTHKDGISRTFMTFQIYFRQITCFE ICGLLLIYTQNLSFYIIMGATCGGYVVLKVLDYHLIKKISKGLCVQALKILNYTFWLSIF AVFVFGIIWFEINNSLCIIYYLPALIMEIIVDPLRYLIIKYCLADSKKVQKEKFNQVNVL KVMEQIK >CAK74074 pep:novel supercontig:GCA_000165425.1:CT868174:202653:203712:-1 gene:GSPATT00010308001 transcript:CAK74074 MDQIEYVNLEKKKPQANQNKQRRRQNIRPNKNETNRRNQRDNQPFKNQRKQWRNKDQQRQ NRPFQSENRIVATGKRRRQLQTRKFNQRPKAPRNIQPNVIIKGLDPKMTEAGLQEACKDF GPMNMCKLDRDNFGQVKPEGIGLIRFTRVEDAKACVEKLDGVTVQIIGENNNEKVISATF VGDSEQADNNRNRGVLNITKRSINKRNWRR >CAK74075 pep:novel supercontig:GCA_000165425.1:CT868174:203926:205815:1 gene:GSPATT00010309001 transcript:CAK74075 MNFRLQNWFSKKEQKYLFGAVGIFALYGLTNFISKYRIQKKYGKLCERKRNELKRSIQEF RESQNLSTGLTQELEKQILNSTIADIKEILFEKKATVKQVLLVFINRTLSVATSDNLNLI TDINFTEALLEAEKQDQEISQDPQIIYKYDLFGIPVSVKDTYIHKGFDNTYGLASRLFKP ATYDGIQVSLINKARGIIFVRSNLPQLAMTFESINRIFGRSLNPWNKDRAVGGSSGGEAA LQAARCSVIGIGSDIGGSIRIPAAFCGVYGIKPGIGRQTEVGEGIIEKAASGMINIRPSK GPLGRSVDDLTVMLRVLFNSKNYSELPCSVQDPYWQPQDLDFTQNAKKDKLKIGYIEQFN DLLPPNCMKRAVQEACQALVSKGHEIVQINLEKELEHELAVAFPRLVAAEGGFKSFEDNL QGEKIIEEYELMETGTKIPIFLQTYILAPLLKIFGQKTLHVMSKQTHGLDVYQFLVNSGK QKRMNFQFLQYLKSNSIDAVIVPGFGCPAVKHGASKVLPLAALYTWIWNTVDVPAGSMPI TRVQEGDDLKIEGKERTIDLVYIMMNQNMKNAQGLPVNVQVISYPNQEEMVLRVMKEIEG VVKFNENHPYPY >CAK74076 pep:novel supercontig:GCA_000165425.1:CT868174:205841:206298:-1 gene:GSPATT00010310001 transcript:CAK74076 MLKFQRICLRQFSQKKILTDEQVKEGLRPILMKEGYFEDDQYLYYIDRDSRVRKVPREYQ KRDGVYRIKFLEPIYRWWIRRSPDTQFAIIPYFLSALFFTVIYNGANFIIEREDQIVEKA KVDPNFNKENLERWRTEKQNKQ >CAK74077 pep:novel supercontig:GCA_000165425.1:CT868174:206327:206968:1 gene:GSPATT00010311001 transcript:CAK74077 MFLNGQSFEQLVQRLTTFEEKLKNRPDIVLAKYFKIQKTNDKSKCSICGKTNQKSIITPC NHIFHPKCIVEKIKNKQLKCLDCDKTYGNPPQPPGTFTKQIINQSCSGYEKTQTIEIVYD IPNGLQNGIHYRGAKHTCYIPNTKEGEELADLLKTAFDKGLVFTIGRSLQDGHKYQVQFN DLHHKTSLNGGKYGYPDATYINRMISELKQRLS >CAK74078 pep:novel supercontig:GCA_000165425.1:CT868174:207066:211983:-1 gene:GSPATT00010312001 transcript:CAK74078 MIIQYKIVAICLFLSFAQLNLLMEDFNSLGFISSGWKCKPSNSDSLIYHCPNGMDLVQLS HDCNKIEKTILNIPPHYSIQLYVDFIAYNTIDGGEIADISADNNKIYEYFNGQGGPFQYG NQCETNPSIGRFDMITSIKEFGHSSSQLTISFSTNVDESFPNEGYPFRNLQIYYQSCHYT CKTCSNKSYNSCLSCFTINTVPTLQQCKTCKDQQNLRFLLQTQGCLVECPDGHSYDQQLV CYRNLMLKNLLNLNTQQPMISQQLLLTSNLNLGARSPISCNMIDSYLPYYQDEVLYTHLI LDPSAIGIRLRATIILFDSWMPDKYLQIKINGQIVDSINFNNLIVTTTNSIILYQDLSTQ ICSTKNKFKIRIELNQIITVQAVNLSFNGYNLVDQTLSWSVSNINIEYEVCSLNCSSCSD RQNCNACLLPFLLHKGQCIQVCPSYSRMVGAQCIDLDEELIDKEKSKIKYLVKEFYDIST TKERVNELFQLVSGSPNNFAKGSDIYFSYVPNKKVFGGALVWVDAIFQLKLEMPKYYYQV RVNFQVILGDDFGVGDFKYSINNQNNVILTKSTLNPIQQSQIWGDLNPEYVLQVDQIVNK VDIQNRLTILFTCNNPTKLVNQAFCAIQNLFITAEFYCTKEYRFDLFNYEKGLNPCVPIC GDGFVVDEEQCEDGNKDPFDGCFNCQYQCQEHCQHCVQGVCLFDQEGEDDNQKFFENEVV SKEEIYYNDNQITDDSAECQVECLICKDGNCYQCMEGYYLEQITQICYLQIIDQQYTTEI QNNTQMRNIDGSDCQRECLSCNFGLCYQCMQGYYLDLITLNCYLLIIGCNNIKSYSKEIQ KYTTMSICEIDIPYSTLDSIYQDPDYVCINCLTFEYQSCNNKCSFCYQGQCFQCQSGYTL FENLDCLSICGDGLINKDQTNQELNEDCDNPFDEGCQNCVVQPGYKCIKEDSSLCWTCDS KCLKCVVDEDDQLLCQQCIDGYYGLSSLCYICDDNCITCKDDSALCTSCYRDDCQKCEAI PGLYTDYEIKKCMPQCGDGIKIQYYEQCDDGNTIDGDGCDSECNFEFAQEQYSIGIKRLN GASFNDLSIIQDSYIQLNCQKTTVSIEGFDKEQFIYNSTSSDIGCKIQFQFFKSIYKTNL IHIYIQFQEVYTRILEEQLQQYKEIQVDPIEQIILDDSQQSQADAISNAQSSLSLLILIL APLSILFGLFDYLWAVLEILSWINNFYFFNVNFPFNVQVLFLNSDWSSIVNFPTYQDLNQ PGCDYYFESPPRFSEKGVDPLFMNNAQVPAFFIFTSIILYFVGLLIEFFFKAIDKIFEKK VPLHNHTTFSMQKQQDVQLHHQNQSSYLVKSNKYTQYLVQKLKMVTQSFQSRIKQTVTLC LLDLTMAITLQLIFGKQYYHSMILINTILALLFCLLIIFQLRQSYYVIGIHKNLAEFPLF QQKYGCYYENINIENTFGLKFNFFGLIRKITYIFCLVYFYYNPLLQTTFCFISCSSGVLL LIYSNPFESKGQFYKQLISESGLSLIIFITILLSIDDLLNKMEEQTKINLGWIIIALVFL CVFCEIITLIFEICRLFYEITVETFKFLMNKHKATAQIESNNDTQQPNAPETIILNRLSF KQHRQLHQYFK >CAK74079 pep:novel supercontig:GCA_000165425.1:CT868174:212622:213884:1 gene:GSPATT00010313001 transcript:CAK74079 MESLNEYVLQFIEQQRCHRHPLERAKMIKLKCNFRDSFICTQCIEIDSHLQMMSIKRASD LLTNFIMFEWKQPQQKTQSIKDKLQQFTDVFNNLLQQLETQINERDQKSQNLQKNYFQKL NEIERLIRHLTTDSQADKKIKDVNQMERQVQLSDNLKSSLLLLLQNDELLSTCNQKEHTF FNQRLEKRLNILEDTLQDMTAQIQQQMEEFNHNIQNISMSRKLSNAEPFMGTQSILASPT MNASPSQRQLFLSTFSSQLSKTFLNPFTFKQVHSQFKLISPTRLLVPPNTGNKTNKTRLA LIGPRLQEGPLKPPKSITFSIQQQILQTFQIGVCETGSVELDPTKPHKLFLASKFPFFNR GDQLTLTVNPATGLIQLVKNKAFNQPVQAELQIDCWTNMVFVVASSDCGGSGEIHIIYDE >CAK74080 pep:novel supercontig:GCA_000165425.1:CT868174:213953:216310:1 gene:GSPATT00010314001 transcript:CAK74080 MSQINFENEGYEYQPSEHSEREQPTPTKPNQQLLQQQQQRFTQESLVYSASSQDTNRIFQ SVSSPNFQKGPLILVNNEQDQQQNQVLQPKQQVSNQNMPKVVQIIRPDSSKKQQVQPSSP NKTPVQESKLPQFVQQSQQSQMSQLSLQQQQQQSQQQSQGTVAELEQQLMQERLNSEQLR IYNHIIKMELEKKLYNQGVFITNSKNKKRNENAIDIYLELQHNRVQMQTIQNEFKQRDQI IQHLENQLKQSNQINELMKKHDNELQQQNEELSQSMEEAMKNITHANQTIQQQEQEKDAL IDHIEQQKEQIEQLQQQVEKLKFENQERKKQSETSNDQIKKMIQIQDKLGQLEEELQLKK NEVATAENSKKQLQKNISDKQKEIMSFQLQLTEANNKLLECEQANRELSRQFNQSVYQMS QLKKQTEDQQSRIENLEQLNYEIESNMTKTGRQICEADRMMNEKEQLIKKQQQELENILK QNEDMSKEFNQLVQVHQQFGALNEKHQQFQQKYELLQKEYQQRNKEFQEASQQLEISQQE IQQLKQINNELQNERQQILEELDILQQVKYESEQTIQQLKFDNNELSLLLQERQELSGKY HALEIKFQADIEYYEKTIKEMNEKLELSQNTSPNIVLEEKRLVELHKIYEKLDRLLFHLT QKITNEKPSFKVDVVISQLNGSIEQIEKYFKLSSNRPQQEKFLNSQGSMMINDNKMSTNR PSDAEIFKGNDITNIKQIQTQQTIAKRRYFL >CAK74081 pep:novel supercontig:GCA_000165425.1:CT868174:216352:217757:-1 gene:GSPATT00010315001 transcript:CAK74081 MIQQERKDDLKLKILLSSSVKVKSEADCRYFDKIIPLNENQAFIYYDGGSYELYDIESQN IIGQGQITCDVKFFSQIYPMTLSYLDPDDLKVKLLDLKTGKLNQSFTFQASNVVKQNSEK QQKETLADKFRLMILDRQADKIRYLITQDLEKQYSNNNTCHFIIRIIPQTYAADTQFDMS PVFEYNYPNKTGQTELFNWQVDDETFAVYGQDFEVGQAEIMLIKPHLAQKAFYIIQVKDA LPYTLINVTNWIHPHLIALWQNNNNGDAKPTIFTIDIRSYYDGENWLPEPEYNAVKVRDE YVGEEPVTFMDIYQFQLNSTYVLQFRLVGIDPRLSLINYANIDKPEVINEVKVDDFFHEF PANKSYLLTYNDLEVKNGQVEFTIVFPVDARHQLLQIIEKAKVIEKYGINYVEELFEFYQ >CAK74082 pep:novel supercontig:GCA_000165425.1:CT868174:217871:219632:-1 gene:GSPATT00010316001 transcript:CAK74082 MQLSESKAILFNKLFERDVCIRCILRLFKITDISTYRETQQFIQLLFDVGKMIGNENKLV EEYDFLFYKRKAEILDSDVQPLTCHSSLKDQFIKNPFHTFDKINKCLVCHGILQCVDDSK FIGILVDEIQKKQYQFEAFKFQVKTPIGCQIRQIIIVNQCISEIGQIDPLYEYIRTPNFA TDIKICVKWIMCGLLSESLKVPSDANDDRFQICLNFENIGDDVKQFEQFKKIISDIDDQQ WEEKITKKLKMNDPQLKAETHNRLLQKKNQQSQPFLPTQNNLEKISKLTNAQISSYKIVN VNYPVKLEVSTSYQNIFIKGNYIKLGRYISQTPWIIDGNKIYEDSIEDLVSAEACKIFKP SSVKFHSGGREDIDVRMLGNGRPFAIELVDPHQGVLNQTQEILSQIESKINSQNVVKVTP LTYTDTNIFGDLKNSETNKVKAYCCVVECKNAVNADLLEQANQIKDLVIKQKTPIRVLHR RTQMVRDKIIHSLLIKKINEKWLLVYVLSSAGTYIKEFIHSDLDRTVPNLCSLLQNECDI YQLDVIKLYEKINEAVIIDFKNLDIECGCKI >CAK74083 pep:novel supercontig:GCA_000165425.1:CT868174:219883:220489:-1 gene:GSPATT00010317001 transcript:CAK74083 MSNNQTFKDFPNQSHIELKFNTKDQHGLEREEALQKYRRNINLLTKIFAYDVDQPEQEKQ HEICQSEKQNGQFQIKPHLLESMRQSLVQLQNRHKETIEQFKKRNELFKQRINEDTKINN QEEFQQFINELQSEDLLCESHPFLDKKSSFYMQTNLQQPIQISQEQCLELKEQLKDDQCI LLS >CAK74084 pep:novel supercontig:GCA_000165425.1:CT868174:220535:221190:1 gene:GSPATT00010318001 transcript:CAK74084 MDLVTKQAQNNRKIPEAIFFENIDELISKNSIQRLMESLQEAYNKYKFMEAQLVKQRESM QNKLPEIERALTIVEHLEHQKEDEVVDFLITDTIYSKAVLPKENKTVALWLGANVMVEFE FNEAKGLLSSNKENASSNLRQFDEDIVFLKDQITTIEVNIARVYNANIRIQQTQQQQQQQ QQQVK >CAK74085 pep:novel supercontig:GCA_000165425.1:CT868174:221760:228213:-1 gene:GSPATT00010319001 transcript:CAK74085 MFFILLSFLPYMLKGEWIQIDSSFYDTSVSSNNWKTRQDCSCNNNCSEDFQQDQCSSNPL QYNKLKKDRERIEKTFSQQSFQVYVIFDAYFNHADSSKDSDFYVTYREDSSSSTINLYTK QYKASDLNQLANRICTGGGDYEFDLYTVVSTTITNAANKFSIKFCLNPEKDEDTIFLRNI LVYVNICYPTCKTCSTSTTCSSCYYGSVSSGSCGCDPSHQFAQTAVGCRQECERDYYIAR SDSICVPDRRIKSSVKYFDSSSISSSDNPRYQPFVFIPDIFHYQNTDLVYDDCDSDDFVG ELFFNEGMQLSLGKINAIKFIRLRVTFYCFSFQTNSKIQFFVDNIQQGELLKTSSSYSYN QITKIFTDSDSCDSKSYTLIRIEAIFRTYSDSPQLIFQGKLQQSSESWGFRNVTIDVGLC QENCKICSDFATCSQCESGYTKFQNGCVSSCPAYSSNCVDYADMISYSRYLAKGFYDLNM TISDVESFYDTVTTTGNNQKTGQKFSFFPNKFVLGGVMVWRDAKYHNSWPISKPHYAITI RFNLTYGDEYSGNFYYKIGTTTSAAFTKPGSGYNLIGKNKEERVRYFEIYKNPFTDPSLD LELQCTGGNADFREEFCAISEYFIVVHYCPPFCSACTSATACTTWDSGHTSSSCLSNQFL SFDSSTQIYSCVTCSQTGCLTCKSLEDCTSCTYTATNQFYLVNGICQCYPFLYLSGTQCT QCNKYCENCNGAGQTNCITCVSDFHRSISFNQCLCQPGFYDDGVNLPCLPICGDKLVVDG EDCDDGNYNPFDGCYNCQFSCDFGCSICVKGICEDCKSGYQLTENNCVSICQDNIIVQDE ECEDNNLTPYDGCFNCKFQCLNHCVTCTFGVCTKCDEQNGWYLEDNICQPKCDDGIVTLE VESCDDNNENPNDGCDKCEYSCILNCSQCQKGKCLYCDSGYTLISKTNVCIPTCGDGLVV SSEICDDGNIIKYDGCFKCQLSCQDSCSDCQKEGCLECNTTGWMYNPLEMRCETICGDGI KVKDYEECDDLLDENCYQCKYQCQESCKTCYQGFCLKCNKGWTLNSLEKYCYPTSGDSLI VGSEQCDDYNQMLYDGCYLSQYQCQETCLTCYKGLCQNCVIGYYLYSNKCYEISDDGIVI GKEQCDDQNLVAQDGCFQNRFDCPKYCSSCVYGQCQKCLTSSGYGKVDQLQNQCVSFCGD GIKSIEEECDDGNDMQYDGCFNCQYSCDAYCDICNQGKCDQCLNGYYLNTKTNTCYSICG DAIVVFNEQCDDGNKISDDGCSDCNYQCHKQCTTCIDGLCYQCTGIGWEINTLIRECQPV CGDGIVIGNEQCDDANDIGEDGCYQCYFECQKQCTRCIKGFCEECNTLGWQLDWNYCIPI CGDKLVIGNEECDDGNQIPYDGCFECNYQCQPECTDCQLGVCYKCGVQGWDIENHICFPV CGDGYVVKGQEQCDDGNTVEFDGCFMCQYQCEEMCTTCELGICYECDVFGWVIEQHKCTP YCGDGVVIGYEQCDDMNDDFNDGCYKCKLACDQYCTDCLEGICQKCEIGRFVDQNICIAQ CGDGVFVKSAEACDDGNFDDGDGCNSQCLIENDFVCFNNEGTFSNCFYSKQPSFTINLVT KAPEDFEDVQVKFDQKMKYASSINNDISNFVITDILNMENSEFQITQSVMKASPSDEITE IVLNFKINFFVPVDSPVFQIKFIDEPILSEFNLTLKESQGTLVLLTPSVLSQAQKAIAQS ASAFNQAVIYSLAGLSSLCLLTGSSDIFWNLMDQLQYLSYIKYINIQFPNNLNIYFDVFK LITISPLMSAFGIDKFFNSLDGDATYFIPTMNKFQKDEINAYFFTNFQSFLFCFTSAFGG YLATKYIHILLYKIGPYYVSKINLTIGKLIYSTRRSLKQKSKQFYYNGILRIIMSNSYDV CFATTIQLSYFQSQDSVLVINSYLSLFVFTTYILISLYTFHVMQSFSSTSTLKVKNKFDA LFEGVQESKSVWVTQFNAVLLIKKMVFISLIVFMQKEGQLQTLCIAINQTLFLCYLIANR PLSNQYEYYKNIITESLIIFNTITFLFYAYRVEMSLTLENSISLGWLHILTSTSILAVSF IFDFIQQSKLVLEKLRKAFQGPKVEEQGAAVTLFY >CAK74086 pep:novel supercontig:GCA_000165425.1:CT868174:229780:233159:1 gene:GSPATT00010320001 transcript:CAK74086 MTDPKIIQLELQTPHILSSQDHRETQNLFEYEYDNVEAKGNYAQKYQSKNRKITSNRPDF QLPDNSIQTSKYTLLNFFPKQLFEQFSKLANVYFVLMGALQMVPEVSISSGIPTIYLPLG FIILVSGAKDFYEDYKRRKSDIEENNQQVTAFDGTTFVKMPSFNLRVGHIVKVHQDEIIP ADMLLLRSNESKGICYVETKSLDGETNLKQKNVHADLIQTFKSDDCFGQLDKRIVLKYQA PTPYLYKFMGETTSSNHQVSSINFNNFLLRGCNLRNVKYIFGLVAYTGHDTKIMMNSFKA RTKKSKLEVLMQKFILMIFIIQFIMCIIASLVYSIYYYNNRMTLTYLYIDVNTSEYTIPY NFFVRFGNWMLIFNNFVPISLLVTLEMVKFIQGKIMSLDEKLNQPRVQTSNLNEELGQIE HIFSDKTGTLTCNIMEFKQIIIGNQNYGDVLKVSEDYITDDELQNFPQVSNVDFRDKTLI EAIQDKNHIMHDKVIECLMMITICHTVISEQRDGKLVYNATSPDELALLNFARFVGFEFI GTDENNIKRVNFQDQTIEYQLLEIFEFTSQRKRQSILVQVIKTGDIYLFSKGADSILLDQ VRLSNEELNKNEYHKLVQRLEEYGKIGLRTLVLSKRKLEKGEYQEWRKRYQQATQLLENR EEKMQILQDELEKNYEILGATAIEDKLQQDVADTIAAIKAAGIKVWVLTGDKIETAINIG YSCSLLTDQLTQHIVDEKEEALIKERLDDILNKIGSQNLNQRQALIISGDALLHALKPDI QKKVSEIGKCCEVVLCCRVSPKQKQDVVTLIRNQNQSCSTLAIGDGANDVNMITAAHVGV GIRGVEGQQAARAADYSVQEFRELRRLLFYHGRECYRRNSVLVCYTFYKNILVVLPQFWY GILSMYSAQSLYDTFIYQLFNILYGALPIMIYGIFDEEYDADQLTDNKIQNYYQQGPKEL LFNIQIVLFWIFCGFWQTAIVCFFPTYSISETFVEDNGFTHHLWAQGTMIFGLVVVTCNL KILIFSNTYTPALLGSIAFSMISYLISWIILDNLPSAEAYVVFESLFQTPYFHLGNILVN CCYFQY >CAK74087 pep:novel supercontig:GCA_000165425.1:CT868174:233212:233397:1 gene:GSPATT00010321001 transcript:CAK74087 MSVRLPYPQTNVQTQQLKPSGQVAVQKHTGFAFNCLDRDELENQDKIDLYGIENQVESQN G >CAK74088 pep:novel supercontig:GCA_000165425.1:CT868174:233399:234860:-1 gene:GSPATT00010322001 transcript:CAK74088 MFRRVFGISNKYNPTRLRYLRELQIHQLNRPKQLNSNKCQINTDCQFLNWQLYQEYFNQA NCSDPKISQILMIIKHTLKSQKLLFRQSQQSQESLAQFAQSLEFKIPEIAKLTNDARKQA AQELFIIYLEGIHYDNLVSPGYVEQLESTYRERTTKAIKQIQAEVKDPQLAAQLSQSLEQ IFQNYQFNQGRYVGLIKSFIDALQGTEIQVAQKLDQKTQEKQLFIQTVDKATKKFSAEDQ EYARNVDGHDYKLFLQELYEKEYQSGFAQNRFNEKGQKLVYESQAQEHHEYLLVTSSIYS TEVMPITPQFLAVPVVAAAFFYLAKQGQAKRAIPFVRQVLKNTDNTYEVVFEQNRIISRI ENVQSSQIKLAQDTQFANSLVLGTPTDFGYHVKVADNSFIAPYLYRGNGLDFRAFINV >CAK74089 pep:novel supercontig:GCA_000165425.1:CT868174:234922:242138:-1 gene:GSPATT00010323001 transcript:CAK74089 MSKEVAVTSTLKQRLSAIEDKEIYFEDRDSFSEDGKYGEYVQKQNREILKKVQELMHDGQ STVNQQEDQSFAVMNENQKQEILEDLEEEFGQSYTETKVKVNTYIKLIPKNELQLAYIYS DKYPFLVIRKQILIFTKLISSYAQKITTHPLFELMTLLMIIFNSAMLAIDDPTTNVQTSF QDLTDIIFLAYYTAEAVLKIVALGFILPKKAYLKDTWNILDFSVIVTAYIPYFLSSNSVN LNALRSFRVLRPLRTVSSIKALRTILLALFASIAQLRDAVVVLIFFYSIFAIAGVSLFSG YLKRRCIGEMSGITWISDEILFCADDNNCPFPEDTIYNENFICGKQIANPQNDLVNFDTF GYSFLQVFIITTLEGWTQIQTAVMLTFSQYVVLYFIIVVIVGAFFLVNLTLAIIKLNFKP EKIQEELAQIKEEIEEYDYRQLRQLKLYDPQRPIVDTDGYGISWDKHHDFDQNAIMNRRK NSRRGASQLNMIRSQNMKKLRGKNKVSFQPIKSAVYYSNPIVLKNKQLKIEGIYGMGNQS KLNQQTLGVSGQNNNNRSSVVRRSSQSSNNDERTSSKKEDKNNINENESQSQSRPTKVIP RKSIQFGDQQISSEFLNSPMMDLQSENIRPLNGGTMIVHHQGSMESSKSGGSKQDKTPTN NQLEIPRLGEIRQQSQNVKSNQKFSLVAGMKYQKPPRNSPKPDSQKSLDQNEFDSVNLSE LNTISDDDLDQRLEEMDIFVQDKNDDSESEKKKKQNQKELNRQKRMENRRLRDLNKNAQQ HLDDASLKLKSKLYNTKFYPIIIVDQEFGSVNDVLVSQMLLKLEKEKLEQEEKIKEMDIK ITYCFKNQKQSLELKKSSSGKSKSMTKSGYLKSGLKSKKNNLSLRRVQPIMDELHPFEDL QFPTDKNFENQDDQNKENENSDSDDEPDEQNNEKNNNFNGSASQKIKMKKKKKDQEQNEK LDLQQIGEKFSESSDCIVDLNRIRQVETDKQFNQQELALIPASELEQQKGVFYQEFQDIK QRDIEESKGAIVAQASIEDVLLIADYTFYDSKFAKQMNSVMKALNYSKRETFIYLQGFIG FLKVCQNHLLYFVQSGYFEAAMNLAVALNTVILALDGLLPDSSANTLQQFNLGFTILFTI ELGLKVIGMGPKNYISDTMNVFDAVIVALSLVELFFLGGGTSGKSSLSAFRSVRIFRAFR VLRVTKLMRSLQFMGFLIKVLGNAFQSFMYIMVLLLLFIFIFTLLGMAFFGGQLSKTPSR QSYDDIQSAFLVVFQVLTLENWNSILWDLLIQDVSAFITVPYLVFWIMIGNYVFLNLFLA ILLENFEEEYKNDKAGLDTNIEIGQDSIMDNTTQQVNSTSTLKSTMKTKKHTVAQQLENN GDPESKKHKQFQQIFQYFVEPGLCQFSLYLFSQENIVRRICYRIVKDDKFETLIFFMIFL TSTKLVFDTYIPDTGKLKETSLQIDIFFAVFFGVEMIMKIIAFGFVQQESSYLRESWNIL DFFIVIASFIDVSVSTINLSFVKILRLLRTLRPLRFITHNRSMKILVSALLQSINGIFNV AIVVILVWMMFAILGINLEKNKMHYCDTGDDEIWYHYGPDECAKHGGVWANRKVNFDNIL NGMLTLFILSTLEGWPDQMYWFIDADESGPIKGAQLQFSWYFIVFILIGSILLMNLFIGV ILVNYHLAEEASRDKILTQPQVDWIELQKLIVHANPNLAMFFSPENPFRAKVFIIIKHRY FDPTILMIIVCNIVTMGLSQDDSPIAYDNALQSLNTAFTFVFITEALLKIIALGPVGYMR NSWNQFDFFVVCASILDLVLQFTGNSFISFLIFRVLRVTRLFRLIKSFEGLQKLIETAIY SLPAMLNVTALLFLVFFIFSILGVFLFGSIRSGWAIDDVNNFSDFHHSFELLFRCSTGED WYKVMFDITMQDGQGYYCIFFIIFIVIQQYIMLNLFILIILDQYEINYFNSDNPLNKFQE YENMFIESWSKFAKEDKGMKMSQQLLVPLLLDMEKPIGYDLKQKLNDEISEWRRINPQLD TKENVLKQTLILKAQAKRAVSTQIMKMNVYADVAGQVKYHQILFSVMKSYMWKKVQLNLS PEGAEKILQKEDETQKRLKKKQVGVQSKEVNLVNPIVHFLFVHMAFKTLKRYGEKKKQQK ELQAQLLLEQEQEHYSEGFSSDSSFDNKIEILSRVSKDTEHPKRPDYGKTKYLTLPNTEI YKEEIYINQETPVVNDSDRSSKEEEEEPDEDVMQQYTKDFKKHLINPNGSNGNIQDDKSA SNQHQGGGATRSSNSRTSQINKQPKRLTLKPNDMIQGLGLSKKSIQTNQSPANQNPNVSQ DNPSIMNQSNGNSSSNK >CAK74090 pep:novel supercontig:GCA_000165425.1:CT868174:242619:249900:-1 gene:GSPATT00010324001 transcript:CAK74090 MQKQIVECLVEISQQISNVHQSESRLSFKRQLHKFLEKLKSGDSLKQYQSILIRYLHNAI SNPLNHKLTVQQQIITILIQFFEVDQPQVCDSQTLCDVIVDFVKFLIKQEFQDEYLKKQF ANFIKITFWKKKIFNIPNMEIKDIEIVDQHFNLNLKTSIFSNKSLQQLLKNYLLSIEELS EYLLAFQYPEVVLMVLDLSALKFDIPKFIKNGTLDFDCKSKLQILPHEQQIQLFLNLKEL DNEKLDIVTQLIFQNQVLDIASQIPKLITVIVNSQQIDFDRALIILKRISNNQKFNLNYE YLRAVLLQSKEKQLNNFIIDAIVTFEQNPENIVKQEIQKHSNPLSIIYLLIQLIKQEHFE QLFEKLLNKSISPYQYVILHVILIIQEKSITLDPLQEQCLRFQLNEICLVQMFSLYSFQN LNIKRKMKLLSQFQQLIETEQNMSFYDILVIIGQETTQSPQINQRIGQILNREWNNISNF LKQIAYNKQSQIIQGFYYIVMYFTDQNESEFLRLFDIIHKSMCTRNKAVNYNMLLNSIQQ YEQGKPETEIQLYVMLLKINYLLQDNFINRKKNGEEISERSSELGDLNEGQEQEYFKQIQ EHGKNLIEQLEKQIKRYETIYLGMQKNLYLAVQISRLLQLSDVKINIQHSLMVNERVQNM LFEQNLIESDIIWDSLKKLQKVQLATAIIQIFKNEKNNVKLIKMVVVELSKLCKFRYYAL LWKQYDILSIQQNVQQQISNITKLQMAVHKFQLILQIQDNYEFLKFGYQQVQKSQFELLA LLIAISLHCGISNNFIDGMGEQNEMCINKLIVEFSCAGLLQLVLTLVKPIKQQKKKKQSM EIEKKLEDCLNNQLQDAINLVLIGIQKNLNKNQDLKQEFINLATNVYQFGQSAEYSLIFD LFDKIPYQLIINCLKSIQNQDKFFDQKLQHILVLISKFDKIQLTNEFFELSYDIIINNHQ ITQTMIEYCLQLISIIKMNENGQSQVQSNIDVQQLKEVLIPENFVPTGCTIQNIQQQIYT CIQCSNNNKKNIQCCASCAFSCHQNHQIQYENMIESMCECNQTKKCNQQRQKQQIQPQLN RRPLFPPSTTATSGVLVEYLRREREIREYREFKDLELHPHINIREYTGRIKDNFQEFDSE VELEDSLSSSQIQYHEESNNDVVEKYESSSNSIIEIEPNQFEPKEVKNQMRPQQDSKFFS KLKINFELLFDKLIQMCNFTVQNYKNLESNQLTDVEEQEYELQSKTAHNIQKITALISSG NIIQHKTENIDVLNELRTQQEQIQSKLGIYYVAFQIRRVIDEGDNFIAVVGHSEMKIFNK AKYFSLLEKKTSGLQLPYTKISCRPPVSTILFNPYNQKQILVSALLEIHLYELKQDGTLQ SDKTVIARFTHPISKTLWINQNSFAWLAYNSIYTFNINTQNQQCYNLNIEEKKIKDFCVI NGTILCVDLQGDIYQQKLVEGQSKIPISEKVNLDDQLKGKTRDKIPQCLAKINNTSILLI SYKNGASFLCNYQDKAFVNIVRLDELLVSQQLDGLLASPQFISQNKSHLKFAVLAQKQNL TNQQVNFHSGRLLIIEINNKGNVYVQQIFDNFVEGFCLLKDSEYNFYALAVADNKQLISA CLNLKDNATQLCVDRKNENQDQLINQLKERFELNLLKQSSHLFSPLQNFCLAPSVLATPQ QLQIGPTSITVTQGYAITGIIFNKPNVDQIEIFKYRTIQKRNNFIIIPLNYIEQIYTLLT HTITFTQNNYSNLQVYIQKMTLSQAMMTKLMSILNQDNNQMKSYIFQDIKQRNQKFKSML SEQQINLVNSLDCLGMTLQAYQNPSIKFTIIPQLITIIQQNQPIITDSAKKLLKTLLKNH KALLGSEYDYRSIKDKIFIEKIKSKTATQIVQKLQKIQSTRLPQLFYVFNQVPNVVFDIL SETINYKQYSQLLLRYLQFLQNCSKDINETLSQIEDIKQLWKLSNQNMFNRIFIDELIIF QKNKKSETFEYAWDVFIKDNNQQPEKIETEYMEEKNKLEPFYDFDEIPIEYGDDYDPYEA ILLRQEIQKQQPIITQTKKCRQIAFPTPKPTQSLVVEQYDLIKAYSLWLQKGIKAQNELY QKGKREFQHLLQLSKQFYTLAKSTKNINVVVDYLQMIDFKGKGISSILLLTYINGKNVND ELWTVFNQNQLFLELQVIYDVLKNLDISDQQQGRLKQKNLPLDKLRDIMKSEIESQIQLL IGLMEKKNQLLYLQIIKYLLNATKINTQMAERLQTNQWTELICQLKINQSSKQIASTYLK QLLQQIIAKQDYYYIKDINLYKTQLRELNKNQWLYVNKQSTYQALKRILKTSKKRPQFWK EFCDENVLKTIKSLIKSYPKETLEVIEIII >CAK74091 pep:novel supercontig:GCA_000165425.1:CT868174:250393:251256:1 gene:GSPATT00010325001 transcript:CAK74091 MGNQSQKERLLRYIDQAKVEKLKQILEMSPNLINQDLASDILQTPLVRAVWRNDKALVQI ILDFGANPNQGGSASITPLMWACKRDNLQIVSLLIQSGADINIRSNQGFSALDYSILHGN YRPALYLFEFIQEILQFSSYYQFAQDNDYRYVNYEIMITHLKLKTEYQLIPNIYEKPKKQ QLLDPVIDPRETWGDFIYRQIEFQNPPLVERDELPSNLQPQNRIIGKIRQQINGLPVEKS KSQQYKSISPQNQKNDSLANQNQNQISFT >CAK74092 pep:novel supercontig:GCA_000165425.1:CT868174:252627:254108:1 gene:GSPATT00010326001 transcript:CAK74092 MHQRHSKLFESGGEAVKRNLLESYSMQNRKPNSNEDQQLREQVERLTNELTNLKNKDKDK VYIDKQIQCEDKKWELLNELIKQKDKSIEQYEKQIISFKNMILQSNQQKKELLEKLEKQD PMKKSQVLKVSQNTQTDFSKVSQTQQTDHDFGITLGVKQTESTNKVKQQESKLVQTEAKS YNEIALLNQDEMYREIEQYKQILREYDLENRRLKSLLQPSRENISKDTQTTMMMEDKSIQ MEDETVNEMGKVVQEYQRQIEDQKQLQKRCNQLQIDMNTLKKQYDQLVSDYYFVQSESKV SDKKIKELNEEVEQLKFELQSKDPSVSSAETVTSPKDSQRSFKKKGNNYKVKNNAQPTYF NPQNVDELILTQEENQKLLLKISELKKELVEAQKGLKTMQEELATKEQMLLSQGQELVEC QTELRGIKVRIDSVNQLEQRVQEQEFKYTDEIHKLSQKLAILNSDYLGMKVINQTLHSQL DKYQNRLKQQQSP >CAK74093 pep:novel supercontig:GCA_000165425.1:CT868174:254131:254577:1 gene:GSPATT00010327001 transcript:CAK74093 MQLTIPLRLTDSPGRGMPTTSSHSCKAQSMKSLNASAVSAYNMSQQAKSDSKSNQHFFES SISFAIEFNSTSPIQIQCENCHTYCSSVVIVESTIYTYISTLIMTLICLCWVPFALKNYF MKITHSCPGCDRIIGQYDFLNSQLNTIQ >CAK74094 pep:novel supercontig:GCA_000165425.1:CT868174:254634:256505:-1 gene:GSPATT00010328001 transcript:CAK74094 MFKDLGYQLLIKWKLWKVLILVFPFTFIYGIYLLFVISSKSDRNSFDGSQLIQVEVNNEL AQTLSQYSEQYMKEFGKTGDIRQLMMKTFNNMGLETFYQEKHKNVIAKLKAPRSPDYECS LISFEYNDEVPNIKIRSIAYVLALIRLFQSDYINYLSRDLIFVGYHSNHKRYGQGITAFL EEYVNPQGVSFMPRSGTIRSSININLDDKFDSVALKVFGLNGKVSDRDYYNSINKIMEKQ NFYYQFTETNYFWMQKLETYLENQIRKYWQLLELNSLYQIPQARFLYMNPYFSNQIEMFQ GDLHDAHSYIMKYGIYSLTLRGYNSQNKNDTVQLTNKLLLVGEASIKALMANDEFIHSGS TLYVPLNKNSTLTIQNYCLPYVFVILSCAIFAIKSVYSRWHFNLYNDDKKELGRCNSMVA HKAIIEIFMGFTLCFIPNMMSYLNIQEFEYFNQFFAIMLAIIVIYQIIIYSTRKWIFGQF RLPRKESVAEWLWVELVIQVCFVMMYAGIVQIPIATFIAIFLGPWFFFIQPLRFKPNFKL WDIFTYLINLLFLYGYFQLFSYFGFESILTYYKQVVINYNNVGVYLFYYLNGALIPSLIR FFELLLF >CAK74095 pep:novel supercontig:GCA_000165425.1:CT868174:256507:257252:-1 gene:GSPATT00010329001 transcript:CAK74095 MFVNNYCLPMNCIVAPLNGCGGIYLGNVDAAQDSQLLNQHKIGAVLQILDQSVPVQGAQK LWIMAEDSEDFPLHKYFDQSIRFIENQSKKTNVLIHCYAGISRSAAIVAAYMMQKYNWSV NQTMLHIQSKRRIVSPNSGFMKQLKDFERKLSNQDQQLSLNSFKLDRSNYRPSSALSNYN KISTPAKSNFDKINIPSTKSSSRLDDFSSKLDQFRHLLRVKQKAY >CAK74096 pep:novel supercontig:GCA_000165425.1:CT868174:257373:258907:-1 gene:GSPATT00010330001 transcript:CAK74096 MFFIYIIQLLQVLGQDDDPIHTSIIHGSSDLGYYFVNIYVGNPPQRQSVIIDTGSSITAF PCDACDQTKSCGIHLDQYYIRNNSSTQEELDCKSQFGECTCLRCLNQQCIFSISYSEGSH LEGFYLKDQVIFGDLLMEANSVTSVFGCTTRETNLFKTQQANGIMGLSPKTNTSLAFPNI VDDIHTQHNGMNLFFAICIGRIDGYMTIGQYDYSRHQKNSAYYTIQYMHTQNKPVYGVKI SQIKVHNKTILAGADLQSGGGSFIDSGSTLVNAHPDVTRALVNFFVCESANCPQMQFNDD LACYVYNKTLHGSFEQFISFFPTYQFIMENNFIFDWTPRDYLTKDMVQHDAYCLPVAGYS GSVRMILGQVWMRNWDIGFDKENLTLTFVRSNCSSDQLKHNFTADDWFQNELNQSNITVK TRYPPKNVDQEFLYEALKIVIVVLTSIIIIIFIVCIRKRNLSKQNKKGSKLPEAEDEAQK AVQKNVVVVQ >CAK74097 pep:novel supercontig:GCA_000165425.1:CT868174:259065:259224:-1 gene:GSPATT00010331001 transcript:CAK74097 MGMSDFILFQVPPVVNYTDAQVWPSFDAGDSDILTQQ >CAK74098 pep:novel supercontig:GCA_000165425.1:CT868174:259229:259513:-1 gene:GSPATT00010332001 transcript:CAK74098 MVKNVAQESVNQKLQLVVRSGKITMGYKQTLKAIRNGTAKLVFISNNCPTIRKTQIEYYA MLAQITIVLYQGNNVDLALPN >CAK74099 pep:novel supercontig:GCA_000165425.1:CT868174:259529:265317:-1 gene:GSPATT00010333001 transcript:CAK74099 MPPKIKPKQIRQMIQSTTILPPGTDKGSDKSFGEFCIKMSKVQWIQDSNPHSGNLVYRIS FWGQEGDGLLVQAVNQNLQYSSELKYDIRVPIIQFHKYLNEAEKVMIYLVDSEDKFQIFG YIIINFARHLNKDLSKNQVFHNISGQYPIYKYFPEMPENKEYKIGYQNIEVNSKFILLQQ QIELCAQLNQSNNIQQLQHIMIKEQQQQQQQQQQQQQQQQQQQQQNKQTQYPQINVIFPT EKEAWLQQNPDQKLANVLFANNPFQKNAEVNPNNYYQGNNYIDPESNINQHQSEQTNQNQ NQQNVNNDVSIQKMSPSKQDQNLSGQKTKVQGNVKFVDDVSTRIEQILSSVHCINCCPQK QKRSDSVSNQQNQQENSSNKKSHSISKQQEPFRNSKPNSGHYNNSPTQYRYSNKPENTKP NPPKFKSNRNQSPIEQAEKPQHKQSEIPPQQEPVQKPLQVKTTYQIETRGVQTIQEEVKT PPQNYTTQNFNYDKFFDSYRKLKLELIDFHAYSQQVQQKLNAINLNNIFIDCQFKNQQKR TFFKSQGQDLYSINEAVEFDVFINESSFQDFQVDQIKFLIQCYRKPENSDDHKYFKITLG EGQFQIVKAISNEEFYIIINTNLPFDRSNTSQNSGLQYSVVNKDLLNEYQIQQQNRLGEI RLKASLIRNQEQQNFIDQPIYYHYHSFNPTLLIRLGKIVGVDKINCRFKIPGSNDFFQSS INDSVYLNYQVITPLNFDSFQKIEISPFIVEVWKEKELLGMIRIPLKSIHEILINEAQEF NANIFKNIYPLIISDEQHPIRKIRDSLQVGSIHVLIAFGIPAQINKIKIENIYTKSIQEV GNQYEEPQQEQEKKKVDQEIQMEEIPRELTFQERYEYFNFELVDNIHQSIIEVLQYLNKN DNQFQKNIPKGQFFSVEQLQFINITEEALFAFIRIFDFKNQHSIKKEHMLNSLTAFNIFR PRISVEVNKQIKKFIQYLIDQRQDPLEYVGKILQKSDYGIMERSKLEQYIPDFDFEFFGY FLGQPQDRRLVSIKLLYELTCQFVQFNYVKLEEINEFWYCLAIQSSILQKAQIIQLDEVT KLTLNIEEVQEMFNQCLINVSFWEVYCIFRFIKVKNNNFVLQDWEIQKSLLQDWFSIKQE EVKPPVVQNKPKQFDLEDKENQNQENKNLEFYVHKFSITLKEIQNLKLSIPYGTQLCVEY KYPLCEQIIKSYPLIYSKQTQYQCPSESTYTYIQQIEQNTKFIDVVDSIEGGIYFRLKTD EQSLAQTFLSCQEIVSWMERNSDLVKDKVLVFMPVNAKLSFNINYSRQKITQQQLSSNPE LLSSHNQTHQEIHLPKRVGLNVYAEVTLNDKALKFEDKIIKVDIYSAVNFTAQISKQQGQ KTVIINEEKQLQVDNELIQALSAQQMIIQVSSNTQNLIGKCELMQTQLLRGQIEGEFALT NSFGLFVGIAKLKCQLNKYKIPLVQQQAILKEKEQEERLKQITCMISIREIIINISQLLK PAGNDTGFLYFVLKLNGKTHQTNPEQIDKKKLSCQLAQSFLAVEMQSYQELTITVYFSKK NAANQLKDVKLGDLNIKFNHLTQSQIDSRFICMNEYFTINYDCFISRVGIKLMLINEYEE MIPNFVKFYSQNQIYGCQKLNELQQNYKIDRQLYEYISKLVNNNEYAFLSDQIGYHFNKQ NFFLSEQNLTWDLIEEVYKLSNNGFMEEKKAKQLLDSFYIVNFSEKSYSLFTKPNVFDKN GYKYLNQFDFSVFLSKINLNIEVQQINEIVIQIVRAQNLHLLNQNKAPNPVIQMVLGDQS YRTPVQVRESNPTYNYCFSVGLEANCNLEFRVLNSGAEREILGSCFMNSSELIRLHEKQS SEEIKMYIHGSKAQAYLVVKVGTGSKTLQTLEEVQHYQIEDVDLERIRAIIGKK >CAK74100 pep:novel supercontig:GCA_000165425.1:CT868174:265415:267867:1 gene:GSPATT00010334001 transcript:CAK74100 MLDDDEDQVIIVEDDSPREQNNKQKSKKQQEKQQSNMDRILNANNPLIQQITICEQAVQQ RVHIDQFITRFSKDQNSALQYLIENQVIENTNEDKAKVLMNTKGLNKDAINKYFCKPDQK NQEIFEAYCHLMNLKGKSYLDSMRLLLSRFRLAGEAQMVDRVVKIFARVYHQQNPNEFKS DETPYVLAYSFIMLSTDAASTKILEKNKMTKEQFLKNNIPVFPDIPPKYFEEVYDSITRE PFQTTLDYLEQMYNRMILCNEKLQGEQITKWLQVAFDLMKGCNLVKYGRYNGGQPRKFFL SSDEKRICWRSIDNDNEPARYINMCDVHDIALGHNTTEIMIKNKIPPEFDISVDIKVNDL EIKSKWINYLRAVIINRREVEAKRAEEKQRRQENEEKRSEIWRNDILPFWRSHWDYEPNK PLSYKQYISVKKEEAVQKAQNQANSSSVLESLCRCLKKTISLNPIQNRQQIQNQHYSNQS EVNNGSNIQQEMIVQGKKNKSMLLMILWKLGLPDFTRRTLWPIIIGNNLKIREELYAYYV KDSKIIQESIRGDIERAKQQYPFITDVKAKELSNILHAFSNYRPDFGYIPDLIDIAVVLI KHLQEYDCFQALVNLLHQYHFLSVFQNDIRQIEWRLRFFEENLQRILPFVHNHLKAIKLE TKLYLMKWFLKIFLHQFKFPMLSRLWDNFLLEGEIYLFKVGICYLKYFQIELKMSNLDEV VRILTNWQPEVNEDYFFIQIDEIPIKDDDYTKFLEQQNAAVLNTQIHQTLIDS >CAK74101 pep:novel supercontig:GCA_000165425.1:CT868174:267881:268755:1 gene:GSPATT00010335001 transcript:CAK74101 MLIPIIFLLALAKCQDDTIHQDGIETIQEISPEEQLRLLQEQKLKKERDTIYYTCIILAR MHLGNYGSELVEIVDNQASKVEQQNVWIKLYTTHAISCSKQLTYDESVQVLLQVRAEEFD HSRLPALFQEIDFNQFRNGSWEREISDQENAVWKYIEDFESALAEDSNKKKQLERERDDD YDDLDLEYLKIAAQQTKGKHRILQDYQPKLQKANFSDILFLILVFLCIGAGIMWIIRKLS SADEQSKKKKTKQN >CAK74102 pep:novel supercontig:GCA_000165425.1:CT868174:268802:270646:1 gene:GSPATT00010336001 transcript:CAK74102 MSKISKEAFEYLNKLRQNPQIAIQKLQEHLKLFKGNVVYKPGEIPLQTNEGPKVVNECIS FLQNQKPVGPLTWSKGLECAARDHVKDTGPRGVTGHTGTDGSSMSDRIERYGEWDVTIGE NISYGQTTGEDVIIQLIIDDGVSSRGHRKNCFKAEFGAVGIFDGDHKQFKTQCVFDFAGS FQDQAGSDSNGNSQQGGASQNEGGQSAPMQSREQAPPAKAQSKDPDDKIAKDAFGYLNQV RQNPTLPIPKLQELMKLFKGSVLYKPGEIPLQTNEGTKVIQELIAFLQKQQPLQPLTYDQ GLEQACIDHVNDTGPKGVCGHTGTDGSSLSDRIERYGEWNGKIGENISYGQKSGEDVIIQ LLIDDGVGTRGHRKNCLSPDFLLVGIAAGDHKQYQTQCVFDFAGEFTPKGAQGQKPKAQQ SQPQNMKDQMKNMMLGGKGGNVGPLLPQDEEEEKLPPGCVSVSTSTAISIKNGQKITKIT KTYKFKDGSSKTSVQTLTEDIKKILQNVQKLTKIGYKPAQFKPSLCQSQLLRSHLKDDPK GSNSIICSLFQVVEAHIYITLWVYQNNTK >CAK74103 pep:novel supercontig:GCA_000165425.1:CT868174:270730:271501:1 gene:GSPATT00010337001 transcript:CAK74103 MRSQDNSKLNISVQIKENSFIKGRKLDSFTNTPLVVNSREHPQYGNIIKEEENDIMLGNL INRPTKIKTKKKKKKKALNTKLSAPVTKASQEKLEKSSKPKKFVNTSMNKLQKQKKVNTA PLFNWGVNQERLKNYFLNEQLKQRNVQDTMLKRVNKTDVDIKQRRDQLGVSFLNNISIDK FIKKKKINKSLTPTKKDPNKPKFQINQEEIRKYKEFKQKLIMIAKQELRKKEYERQFKIH QNLQNSQ >CAK74104 pep:novel supercontig:GCA_000165425.1:CT868174:271751:274233:1 gene:GSPATT00010338001 transcript:CAK74104 MSNSSSANIPNESDPEQDFANFDNSFYSQKLITLGEDKDEMLSSQRDWDEQDIKEIEEIR SIEQAASKYSEKRQISQTDEQAAIKIQKVWRRYKTRQILSYYQDYFKKEEEQAEFNLNDL HQSQIQELIKMGYIKVDSKGQIQLIDKDQSEKSSDSSIQNKNKKAKLIKEEVPEAQKQIF AAHSYQDYIEGFSDSIDSEEKKTTEKKQFLSAISEQQEMENCRESTEKNSLIMNQQITFK KKKRLSIDVDEIEKEYQNQQQVEIGEIRCAIGSESLIKSLSQEDQTFNQEKSIFEQTSFQ DFVSVKFKEFMSRDKMDELIVMREKVLEERHKQQIKTINDAYQNQQISPKTYDQQNRKLE KWVTKQRKDLEKKKNEIIKGQQSTYETVMKTQRDLLFMKQISQSNSQSYIKIIDSFSQES VLYSEQSLRNSIIDIQNQNLQQFQHQLPQKSSLLSEEDLSKSQNFDDEVIFKNNSSPSNH QFADYEQEPFNLHLLAQSQVLRVSDIVRDQSKMSEKQAESYSILISNMLIVQEIQLFCQE LGRQNIDIFELVTKALINRPPSQLQTSYGTNQNKGFKTGIPQVKTYLSHLTEFMLCNFKD EVLAKINVPLGPSSKDMLKFLHPISDSTILSDEDSNQNENYLQNIIMTAELFGTFERFLI NEQILKSQTSQLLELEHIHNKAIFDALNEALDYHRPYGMAGQPYAWKSDAGRLQFRKKII SDMPNIIKSSLDKVLEWCHYLVGFLIDKEDCPYPKILMFDQECLAQIKEDRMIRMLSAEI IENEDRWISYDEEQTVVAIDLSDMIFDHLLEELLQEIH >CAK74105 pep:novel supercontig:GCA_000165425.1:CT868174:274277:277081:-1 gene:GSPATT00010339001 transcript:CAK74105 MSINHKKKIFENTTSNPFQNSLEYVQVPKILEQSIIQQNLQQNSRLRNSNQLDLSKQVLN ELQILSPNDLEQFLILFRQPQQINMKLENSMSNTQSGSQQFYEQLETEGEKLRYKLKSDA RIVQSIIEKHTKMRSNKQRCIEQMNHLINEIKQSNNVSKYSELNRSIKYNFNQLESIQLE LQDIQKDASTFEKVMSVSAQQQSTFLQYNQGSNLLDQTIGHSSNETSEAEFTSLSLQIYS SIEMHAKELEQTISEKKRLMYISENYLQYKQACQGLIQLIQKYPSFEQQKSQQMLKLEKI ASIYFLDFQKELNQQILHYAQNTEQIRQSLSIIEKMKYLLEQAKGLDCITEFYQYIEKQL TGKQLIITSENNIKLVKAYQKQFKMININTYQYLHIMRYKIYNKCQREFDQLQIDIKIAQ NLQSILLNQVKIYKNYISSNYFQLFNDVLAKLKQDLKQETWIYLINLYKEQNQRKQDLKN VLQYLTNLKYENKYLSRLIQLFEKLIKKELEKIQAFYPQLHKYLEIKDFKAQKDFENFYS QYLQSYNEINPKQLSILSDFNLYLENSINQQSNEQQHQQLKSLQIFQPIIRQLSNKTISG NFNAIKVQFEGILQFEIDIVQLQSSMKSILSINFNLILDDFLDITKINNNSVLSQLMDFI QKNIKIISEIKSESLYDIKEQQLRQLQWIWIFFEQFDKFRSALSQLFNNNSTIYFSQYSQ FLTKTNFSALFYQLSKCNKKVCNKWYDLQQELAQICLRSYLSYITNLLDKIILLQMDIPL TQIILNEENCQLILQFLEQHNTSNLQRFICKHQIYKNIKQMQSNEINFNQIKQYFEQLRL GKNDEECLDLKYIEIECSESLQKDVEIFTSLQEEWILDHLEQGDTSFLQDFINQEGIFSL NLMKYNQKLQRLAKLSIEQSINWRNIKKILKQDS >CAK74106 pep:novel supercontig:GCA_000165425.1:CT868174:277312:277838:1 gene:GSPATT00010340001 transcript:CAK74106 MKKITQNGIAHKRYYLLMIQATVQQFIKSTTNGEMKCSNEFVTQVMSLARDQYYLSGKWK KTISGEFFYKAIVKLRLDGQIPFLSEMEEEIKEEVNLKFSCDYKVKNQNKARFQDEEHLK QLEEQQKELYEKAKLVNSKLIFEEEDNSKPKKALNLQFNQEQEDLQ >CAK74107 pep:novel supercontig:GCA_000165425.1:CT868174:277880:278681:-1 gene:GSPATT00010341001 transcript:CAK74107 MKRKQTQKIEFDQSIVKSSNIEIPLRPNSSKLAHIVKSPLPLKKSMLSQHQNQRKNQQEL PLPQDMKIIKIRSITPNQQRVFQYHNASPAKLIQKQPQTQSDKKILVITKRPEKQQFRIT KKINSSVERNNSPFKAGSPNQGYEQYWVNSESTEEIDFKKQKKNNIREQHYKQQKQEFKN HLPKCAQDFSMTSHKNDLHKLLKNYQQEIKETRQLYMHDNSPPLNMSEIMEINEDGLSSL AQSTYHHQLH >CAK74108 pep:novel supercontig:GCA_000165425.1:CT868174:279216:280363:1 gene:GSPATT00010342001 transcript:CAK74108 METKKVKLGEYDIMNTLGTGSFGRVRLAKQKSSNKYVALKMLKKIEILRLKQVDHIISEF NILKQIKHPFLIEMSGYTQDERYLYFVLEYIQGGELFTYLRNAGTVQNEEAQFYSAQVVL MFEYLHTKNIVYRDLKPENLLVQSDGYLKLTDFGFAKVVEDRTYTLCGTPEYLAPEILLN KGHSKPVDWWCLGIFIYEMLAGIDPFNDEDPMAIYQKILKGKVKFPRNFDNEAKSLVKHL LEQDVTKRFGNLKNGVDDIKQHKWYETLNWKDIINKKIKPQYIPVIQSDYDTSNFATYPD STELPDPVKPQDDPFKDW >CAK74109 pep:novel supercontig:GCA_000165425.1:CT868174:280430:281561:-1 gene:GSPATT00010343001 transcript:CAK74109 MKVILAILLLVSIASATSTHDQIMALLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWIGAQTIEQFTKIKSLNQKLFQQSIENRVQFEQELLDTKNYLAWNEQRQDEITR KIGVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQEKASSVAEK LKAYSNLFNEHEVKSFLNLAQTSQEEGVSRGATLAERVLGVLESLEANLQASLEALEVNE INASWELAGWVSLSEAEVTNLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDAL DQAQADLETKRADYADAKTKRDEENAILDQVIIIFKKQVASWSGR >CAK74110 pep:novel supercontig:GCA_000165425.1:CT868174:282846:283790:1 gene:GSPATT00010344001 transcript:CAK74110 MKIYYLNKSLSIVFRIQKYGFIQQVQISFTQVIHSFIVMIQYRVIQKYLNKYSIILQLAI EMELVNNINIEQGLDQQQRQQQIQEQNDYQNQQLIGRLLLFDQRNIFVQKLSFELYEKLL DKFKCLKLLNKLLVLAFFFIELFALIMLLYSHSIFIVDRLFYYLCIVYMIIVVIFGLNQY FSTFTKVFLWIVFFPVFITYETYMWFKRRKEKKLEKMKILDSFKELLIDQNKYQLENNDC AICMQSFQAMEYISMYFIRIAQQIGQNQILIVLYVEKQVIEGN >CAK74111 pep:novel supercontig:GCA_000165425.1:CT868174:283826:285364:-1 gene:GSPATT00010345001 transcript:CAK74111 MIKFIILSFSLLEVLSKTKDEWKTRTVYQLLTDRFATSTGKSSSCNLSKYCGGDYKGIIN NLDYITNMGFDAIWISPVNDNYDNGYHGYWYRNMYDVNKNFGTAQDLKNLVTACHNKGVW VMVDVVANHMGNTNQDYKQNYPFNSSAHYHDYCIISDNDFNTHNLANIQKCRLAGLADLN QDNSFVSSELINWIKWLINEYQFDGIRIDTVMMVKTDFWTKFTNAAGVYSVGEVFDGDMG FLKQFIGPINGLLNYPLFYTLRDVFLHNKGMNTLESFFNNIASSFGKANLPYMGNFNDNH DNARFLNDAVTENSNFTVTALKKLQFKAITAFTLTSVGIPMIYYGSEQYYAGGNDPNNRE VLWNHLDQTSEMYKFIKTINEARKTTKAASQDQIQRYADADVYAFTRGTLFAAFSNKYDK QVVKSITYHPYAEGTTLCNIFYTTDCVKVASGAFPLYLNYGEVKIFIPK >CAK74112 pep:novel supercontig:GCA_000165425.1:CT868174:285385:287427:-1 gene:GSPATT00010346001 transcript:CAK74112 MQQYDELLAKLSKFVDHDKGKDFSFIINSAFDIYSKINANISTLNDNEIIDIIQKRFFIK QQLKQNKENEGKYINYFKEINQKLKSLQFYQQIQIQDLLVEADAETLEQVYHYVQNQTAL QFQFQFQEQRMISDQELNNYFLTSIQISEQILSDKTVVEQFLNLEDKNQYIQNKYNEIFK FLESYQISFGDNINNQDQKFIMFKQEFINQLKQKFWIFEKELCLYCKDDQLNNEIKKTFN QYYKSICLKLPFKQQFQELIKIIIENDKMEGIVERILDFKISTINKYVLDHIAKYNIPEI DKKLEQLYQKKKQKYLIILKNTPIYLQQVDIVITYCNKISTMIALENSQAIVDFTNSDQL KIIQSYLSMFVPNYEYLNTDEQYLVQLFQETNTFCPDLSILKSTQFLAFSQKIQFRIPKQ EIQIELGKQQDKEKLDLKYLNYEKLDIKNVLSQYKDFDQLPSLLYENRIWDQYHKLLISE CVKFLDEQQKQRKNQRYNEQKKKLKMQYEMDFFIPFGWIENIFLKSYDESKEEDLMKKID VFFLEVGEDEEEQLKALRAYGDIEDNIIKNRKQQIREYFHSLNPKNYQSIEELKKDVIIE IQRNREQQFKQFYELKNLIDNIDKEYLKKCQEIFIQPPSHFVRFKVDKQTQSNDIMDINN KILSFYNEQLLNCLKYQLDQ >CAK74113 pep:novel supercontig:GCA_000165425.1:CT868174:287813:289654:-1 gene:GSPATT00010347001 transcript:CAK74113 MNKAPLLIEMDQIKEEESHKVSGIRPSKFSIVSRLSVQDLQSAWFYVQETWKSGMSQAAL NIPFVLALGANSGVSAAMGLTTAFIAAFVNGFFSGSNHSIYMPSWTITGWNYFLTQQYGI QILPLATLVSGLMIYLISLFKWHHLSDYIPQYVIEGFYLGSGFLFLILYSDYIFGITDNH TNVGQKIYSNLIEMYISYYERGDLQNVLYTVLIFMFLQFGYKFHRPFPWVLLTTSFGLLI GNLYPTEQCLRYVYGNTRLQFNLIQINELDLGFEQIFEIMQICIPIAICVTIQNLLCARG AQSLTEVKCDYDQETFCVSFANVISGLVGGIPCCASSRMYTLNIKLRDINKWSSIINACS IFIFYGIASQFFMNIPLYVIAGQILLVVYQFPPWNYLIKLYQTKRRLTLFKCILISWICY NYGAMYSTIIGCLHSLFLFAQKMSGAASEIIVNTDHDARRSSYNEKEQHFDDDLPKVDGS YTLYRFSGSINYININNHIIQIKQLAFTDYIIFSFRYVSVFDEQAIDKLAIMIENLMKSQ HEIYLTGLHKTMIEEMEYNSFFKEFFILCHDKVKTLGQ >CAK74114 pep:novel supercontig:GCA_000165425.1:CT868174:289932:290927:-1 gene:GSPATT00010348001 transcript:CAK74114 MYKIPRFNLIYQSINILQSNLYVNQNIFIIILIKLFRNDEKQKEIQWNQIHRSNPQNITS KNQYHLDQEKQYKDAITWDDEGNNIIIIDKYFLEQIVLPKYFKHAKYSSFLRQLNLYGFT SSKDQNGYLNYHHKNFARDKCDKDSIQKKLQIKDIQKSLGIFQSVQTELQSQLLTLQLEQ NRIKQSLLNSIDQQLQIRCSIKTFLEVNTSFIIEQTIRFIRLEKENQFDQFIKGIQTIFQ GLNPTACIKFEFKIDHYFQHLINLVFQQFNFEEVQSNQYLSEMDEQSNSYMISPYPLGLL ELRANLSSRNEICFNNQALTLDT >CAK74115 pep:novel supercontig:GCA_000165425.1:CT868174:290992:291877:-1 gene:GSPATT00010349001 transcript:CAK74115 MEAKSTYQAQINTMLDLTNVFVIKPMTKNGVDLKIAIDYNPQYNETLGCMQNIVPIFNND GEPTQHGFILQIDQAIHLSNSTHYRNQYEKKLAEVQEKNRNLFVPKPKGTKIFCNVCKDY IEDYLQHTESRSHKLKFRKNKVINLISSMVDEFQKTQSIPHNTLTLPSNSDSCGYYQSIL DESTEHCDTTQQQEPQTIKKIKLNDGVSAYNKLGNSLVNSSEQSFNDKFGKQCL >CAK74116 pep:novel supercontig:GCA_000165425.1:CT868174:292548:293905:-1 gene:GSPATT00010350001 transcript:CAK74116 MINLNEEEKQQQSKLINIFFQHAKQGLIILLMNNLIKEELFFLQITLYLTIIMLAFNFIA AIFLLCVRRDFPRLEYFLIYTLNLFLFLTLLVYSMKFFIELNDTNKQLSLYVKTYILILL QKEIEILTIKIFPYDIANRQVNCFTSFIFVFLILLDEDPIQCKQQFAVLLLFHLINHTFQ LILNTLFSLLILNQKKQQKELRTFSKQISIFISFQYTSNCFLHDSNNFIHFYYYKDNSIK RRGLSMFIFYDSILLMFCSNQLCGLKAHQQIRKLLIKIVKIRVLVKQQFIYFQDAASLQK NNAIQKDRQSFKSMSSVINNPMDNSECNSQAPNFGKDENKQLQKLGTSQFGASQYNQGME HSWANVYHQNPFNQQNSKDNNNNQKRESKSVDESFIRQEESQIPENLSQLNNPMDSDSQI LK >CAK74117 pep:novel supercontig:GCA_000165425.1:CT868174:293920:294732:1 gene:GSPATT00010351001 transcript:CAK74117 MKVGDIPNEVYNRRNQVHRLVEMEEMNLKDNENINQSLINEESLKQPLKQTQQEPVGCLA RIFGISKSKPQQKEEEYKPTELKDHYDLYDEDWFTDQKNIILQSQETATPDGKKEIIHII CPDDTIEGLELQYGVPACRIRTYNNLQTNDIFYLKKLIIPNPTSDYKKQDVDIEKYMQEL KIGLFLDQVCQPEDKSRKVAIFYLDMNNWNYQKAALEYIEDYKFELLQKQAKKTSLPKGY Q >CAK74118 pep:novel supercontig:GCA_000165425.1:CT868174:294746:295734:1 gene:GSPATT00010352001 transcript:CAK74118 MEFLFKKQCFYRLQYYIQLIINQCKKRKLRKTELFIHHFQNYFIKFWRLSKLIIQNQEYD NIIRWNDFGDKFMILDKPTFIQKILPKYFRHQKFSSFLRQLNLYGFLRVCTERNNSCYYN LQFNKQSPNLQMKKKQRPSNSYCELETSALRNQMNQIKMTQQILKQQIDGCLQSVDKMTS LTQYLINVKLSKFIQLLFESKIQTSNQAKSILNTTVKLYSGMLPQFFFTFQELLEITFPS SEILQLENAISYFNKSPIMPQVHIQLLQIFNYLSSYYPATQFSKYNEAFSNIEKSYQNLE DFAYQRQQLRIQD >CAK74119 pep:novel supercontig:GCA_000165425.1:CT868174:295969:296893:1 gene:GSPATT00010353001 transcript:CAK74119 MHRKNKQRTVIKFIEVTHQMLNQNEFQDIIRWDEDGVKIQILDRELLQELVLPKYFKHAK YSSFLRQLNMYGFTSSKDQYGALTYYNPCFAKQKVLMRNIVKKKHQKQIIRDSSIFGAEQ SELNNQIKALQFEQVKIQQNLLSSIEYQIKIKNSIQLFLKNKLSLAREGEKNCRLFIDSV RILVKGMKFESQNAFQVLFKQVFPQFKQEDPQQIQIISEIEEQSNQYLFSPTPFGKFDYD QEEMSFPQMPQELEFSGFGFEL >CAK74120 pep:novel supercontig:GCA_000165425.1:CT868174:297211:298944:-1 gene:GSPATT00010354001 transcript:CAK74120 MEKYQNDFTKMIYEPPQLNILYKQMEVKLQNNPMKEQILQDLGENPTEIDIKYISYVTNI PAPQIKQWLEESQKSDLSIDIGSKECLFLGSRKPKGIKSVKIKIETHHNTFDQPLNQQEE QKQINESQLKDNIYKQTQKEAKIKQKNQQIIQKQKLNQQIQEPAFIELKTTSPIIRQEQN QIKSLIENQPLQSDINQEKHAQNKINHQKNQTSITLQIEEQKKVYQKNEHQLLQDKKSIA PKLLISNIKENNQIPLVQQSEVPTLDINQGQNEKQMEQNKFDNINKKYTQQKIKQKEQKP NQLISQNQSEDQTDIARDFEKKLAYLRDQYNVRHNDVNSKGQKQHRSTQIEYTKKNLEIQ MLQNNQQEQELQPISISSSRSQQQYQQQLQDQPQNFLKYQILPTQDNKLDNTQNLQQQQQ QQHQASNLSRQQNQQFNKQNCFEYQHLQLQNVNQDQRPLGQQQCIQQDNPYLAQGPVINQ AYQPSQQKHPKTAINNAIQFGYNQSLYQIPAQTQEQNYCQQYSYYDTNILQKLQQRLDMM FLSQNTHQLTLLQKLDQIINLIQRPQNKK >CAK74121 pep:novel supercontig:GCA_000165425.1:CT868174:299131:300056:-1 gene:GSPATT00010355001 transcript:CAK74121 MQRSSINSQLEKYKFIALPLIEYVYKQRVNIVKIALLLLYLNLQYHINIILGILTKECYE VYDFFCQFISTVTEGNQEICYFTIMLSPAELVKATSYLFSFHLKLRKYIYANIINERETK VKPLNSQIILRLMGFCCFQIDGQHCHQFNWKQNWEDADEKSKDKILNNSVRPLDLLIYCQ ELQTQRLLQQPQQRQVATFLPEQQHDEEMPLIQTVQPIQQQQKNKQQIQPDLQTKMKEVV LIHENLASNYNKLAIFCFAQQAQALLYKVLTQIRNDKNWLNPKYKNYKIS >CAK74122 pep:novel supercontig:GCA_000165425.1:CT868174:300178:300951:-1 gene:GSPATT00010356001 transcript:CAK74122 MNNPQCQSCIQYIAIVTCRECKISLCFKCDEQLHQEKNDNHYRTTISFQPRSTLQNDDEK IIEMIKLKKKEFQELKEKESQLTMHYQDRMIQAKNKYELQISALENRLQKSQKLMNGVSQ DNGELDVDNLQNELENLEKNLKSEIKLVEEEQRLLDEKTQKVDALLNRVKKATDIEQQQI IKMNEVVQIFKACSEQLQKEKDLLMLDNEKLIAEVEIFAKFFDENGPLMEELNAQKNNEQ Q >CAK74123 pep:novel supercontig:GCA_000165425.1:CT868174:301362:305536:1 gene:GSPATT00010357001 transcript:CAK74123 MKIDEIIANPNLCPPKERKSTTPNSKEQINKLDQLLKGNLIENKVNAIESKKEISQYKQI DKKMPFKDNYSLLYNLSIGNKKIDQYTNQKQVQEIKEIPYVLENIGKQERQQFQIQSQFD QTEMLKAFEKKIHTLELFKEVKVSNKQEEFYEKNKGLLITSITCFENMVAFGTNRAVIYI QFNNQINQLEQNKKINHSPIICLRFLDKGTKLIGISEKQVLLYVKNEFVKAFQLKIESIP ISMTVCQKSKNFKIQLLMADSLGNVYGIRIKQTLLKYGMQMKLELKENILCHQIESINFQ DQEYILLTFKDQIQLVNLKPEYQLLMKLNYKVQENRIIQVKWQIEKKTLLIAVGNDNTLE VLSIQFEKQNDRLKSNLNLLCQYSFNNQYIQYFTWLSNDIIFVKHERKLYSLIGISEILK QKVDIIQKSLSQDIMSQKIGELLVFENSICNFQNQLFFISNEEKIYKSRIVQYKLNTWED QMQQFQLNNELKEQFGMGLYLYSGKLIKLGNLPRIGRQEYLKPHLHTLVSRFVESSVKSQ SFNSIYIAMDFLIMIESYEFLFNQIYLIYKSNRYERQFYESLESFINKGVIKQIPIDTSL KDILDYFSKGDKLKLLKFLIFNLDKSFINYSLIKEFCIQSNLQSILIYIPPKDIEDYKTP ILTIFEIYQSCLNNNSQVLQHYFKSTKLDITSLSQKQIDEQIQCLGYKCIWFMSLIFKGE SLSQEKIPEKYWPQIVIEILQWLLESEVLERFLQIDAGIFLNELLLILKDNKTYNKLQGF KDALDKQQGELSEIIIRNIYTKIKKLNIVSQFNCFILEIMKLGYQISYEDFLQVMIYNLR CPYENLKVFVDKSNFKFQKMEYKLQEDMTDQDKIKRDNLLIQYITFFTTQLLGDDQQLQS ILSEASCSILSCSRIQSEIYCLKGDWIKCIGTMLASSSLIDKEYIFNYIDRILNSNQFYN QKEEIIKFCVTIVQQLADVSVEKLKILLQYFPNYLYKQAIVKLDNHPNFKLYLLNQIIQD SRNKNEIIDKQIMISYIRLLCKHHPQDVYDELQFGDFPQEDCMIICKEYKIMKGVAFLKE RSGCLQEALDIYFDVIRTDFIALESGHSQLELQQQLLILILPCLKICRENCSNEDDNFQY WLTCVNRMLILRLEFRLQTNKFNSINKLFQEILMEMFESVHPKLIISNLSQLLKPFTHIE ELRRTTVTLQKLCFFQLITHEQFIGNQTYQNFKRLEILYGQEQKGTLLMDRCLVCSQENE KYMYAFMSCCHIFHKQCLRLIKNVKVCKICLDKGIHSDQLNVQLEILVDNDYVQPGDQLI KQPTIEEQLQLSKQEQRQLRINKLKMLDMENEIKEILY >CAK74124 pep:novel supercontig:GCA_000165425.1:CT868174:305565:306976:1 gene:GSPATT00010358001 transcript:CAK74124 MQTQPDQERLNTQANQIQEPIQNKAPFFDLESSGDALEDGRVTNLYYQRMMDLSFFVFNF IGTGLTVITLELIHYDEQPEARLVILWVIFISNIIMMGLAVIRNQVKLKWRESKGDVYSG FTKEHVELLMEIIIIAASPLPFLDSISFYFTNDFVDGDVYYYANELLCLTLAFRVIFFIR TTLLNSYWHSNRTDRVCSLYASQADYMFTIKSLMRNQPFTVNYSAMILLIFVFGYCLRIC ESPLNRIDVSSNDFSSYANSMWCVIVTSTTLGYGDYYTRTLLGRIVMSVVCILGNFVVSS MIVIITNESYLTTLENKVVILIDRLSLKKQMQQEAAMIITIFGRMHYAKRHLDLTEDEFI NMSKKMKKYAIQLKLTTRKYVAARALGSQLEEINSGFNSLKENLKQTSQLQEELIKANEN LIYKIDNK >CAK74125 pep:novel supercontig:GCA_000165425.1:CT868174:307045:321747:-1 gene:GSPATT00010359001 transcript:CAK74125 MDEIIYFYDEEEKTFQGVDALKQMGNVRQVCKGFLKNQYQILSENGCVYYLELGKSFYRI PTWNCKVKQIATNESVTLLLTYSGQLFGFGEDKLQLGLLGNEKCYISQTPIKIGNDYKSI SLSSNIGCGLDYKGQAWIWGNSPLGRSDIPTRIDGRFIKICAAKDYVIFTDQQAQVQVLS EIFKLSNEYFTCQNMWAITRAEQIDSNYLIVNEIIPNDRYSILLSNKNRMFILINNNGRY IIRRLAINIRIHHLSQTNYFIYCFTEEGRLIKIDKANLYTNENNELQQLNEQIRFQLPEF ELKYLSSIQPIFATKYAFNLPAFTVDKRRFNEGISVLRQQHIEVDVQAHLRSTETKLSII KQMSPMKSSQQLFSSRVMSKSRTSIVSPMQRFYYSPQQQDKMHHFQTPKKGVPTTPARCV QTFLTQHSPSPVGKSFIQEQRNNQGSSVKKANLIRLLIQDIQKSINKLGNLQQVPVDMRD RTHSRRSSSVHLDQVQSMIVELGNAQQRNNSQEKTNKLNNSFNSRVSQHDNKNTNASSLF QSEQDLIEELRASEPLDMIEEEYLEYDEETDQVKRVLIRRPIDQDYEYGNNLKQDMGDGK ILVSRKLVNVTDEVGWNRQENGELKKVISEQEPQKYIEEEILIENPKTGQLTRKIVRIPY NDQNVKLGEGLNEINSQGQRIIGRRIVVNEQSTEQLEQEGWVTKESKLERILGNREPNKY VEEEILEVNPYTGQIERKIIRRKYDKNRKLEEGQKLVSSRIVENIQSSKQLAQDGWECNF NLGTAQKYIQNEDEVYYVEEEILIVSQKKQDRKLIRKIYFDEQSLNKVQQEFGENLYEIQ IQGIRIIARRVIRGEKQVEKDWKYYSDKKYWEKIIKQGESCKYVQEMIAEIDFDNYEQTK KSIRYDLEVNEQNLILGDRLDELQFDGSQIISREVGDNIESTIYLREQGWIKNRSGQLEK IISIDKILNFYEEEILIQNLSAGKQQRKLIRRPILQDGEKCQLGEDLNERISDDQVVVSR KIVKNDGNLENWKKNEITRNLEKVLIQSEPIEFIEEEVLTFNQQTGTMIRQLIKRPSVNK DKIQFGHLKEVDKDGNIIVKRTLVPNLKLLGSDWTRGSMGSYRQTIAEEPEEYIEEEIIV IKNGKQERKLVRRPFLQDDLQRNYGDDINDTNENGERIVARRVVGNDQSKQQLEQQGWKL NENGELEIKQIEPTQYLIEEVLCFNKETGNYERKMIKRQLTKQDEKLKFGENLAEVDKKG NKILSRQVIQNVQSLNKQKEEGWIEQNGKYEKIISIQEPEKYIEEEVLILKNGIQERKLI RRPYNNQQVNIGENLNEDLNGMKIVSRRIVSNDQSSSQLQNENWVRNNKGQLELQISKSE PQQYVEEEVLEYDQETGLMERKLIRRQYNPNKDGRLKTGTELNETDSNGNKILSRSIVDN TKSFKSNQQDGWNSQNNGTQIKIISSQEPEAYIEEEVLVVNPKTGKQERKLIRKPYYQED VDLGESINEINDGQRVVARRIVQNDQSIYGQRNWQQQKGQEIQEIILNEEPTQYIEEEIL IKDKNGQIVRQKVRKPYDPNKKVQTGNVNEQLQDGTVVVNRKIVDNNFSQAEMKNWKFDN KGQLIQVINQNEPEHYIEQEVLIINPKTGKQERKLIRKPYTNENEAIGDDLNESIGPNMK VISRRIVSNEHCSDDWQFNNGQFEQILNSNEPVKYLEEEVLIKNNHTGLLERKLIRRPFQ KDQKLGDNLNEQRDGMTVLSRKVVDNRSKQGWEQKGQNLEKVLNDNEVEEYIEEEVIIQN KKTGKQERKLIRRNVNDPPLKEGIIISSKRVQNTETNEQLKQAGWVKQDGQLVRVIAKNE PTKMIIEELLQYNDETGLMERKLVKRPYDPNNKKQDIQNGTVLSRKIVDNLEAQDQGQQW VLKNGQYEQILCDDEPEQFVEEEIIIINQKTGKQERKLVRRPLRNDEDPDIGESLNESLG NGKKIVARRIIQNNQTTEELKLWQKNGDVMEKQLASDEPTKFIEEEILVLNPETKQLERV LVRRQYQPCEVGQVSETDKDGNKIVSRRIVDNVESSQNGWSKVKGVLKKVVAVEPVKYVE EEVLILNQKTGKQERKLIRRPLKEGEDPGDLGDSLNISSEEGYKIVSRKIVDNNQAQEAM QGWVEVDGVKVKKIGVEPIKFIEEEVLVLNPETGMMERKIIKKPYNPSVKVGNNLNIQDS QGNLVLSRKVVENNQSTTKSQWKQGQTEILIQEEPAQYIEEEILVLNPKTGQQERKIVRK PYYGEDLELGDELDEEVSKDQHVVARRIVKNDQSLDQLKQWKKQGDELVMTINSNEPAQQ IEEEVLVRNPETGMMERKIIRRDQNSQPQYDKVISRKVVDNRKSSIVLSKQGWKQSNPGA IEVVLGEEPEQYIEEEIIQVNPKTGKQERKLIRKPYYGQDIEVSDELNESIGGGQRVVAR RIVDNDAQVNWEKKNNQYELKLGNAEPTKFIEEEVVFFNPKTGMVETKIIRKPYQKNAKL GSELNEETNDGVVLSRRIVDNFESIKKQDEWQKGQDGNLVKIISTKKPDQFIEEEVIIVK NGKQERKIVRKPILYEQQVEESDDLNQDIGNGQRVLSRKIVKGEEGKEFELKNGVFEKQI SKNEPTKFIEEEILVYNEETGMMDRKLIRRPFTEGQRQFDENGNKILSSKVIDNNKSSNS MQEWNSSKNGQKEILLSAEPEQYYEEEVLVFKNGKAERKLIRKPCNGQQLKLGDELNESD GQMKVLSRKIVNNEMTTSQKKEWNQQQNGCLEKVISQSEPIKYIEEEILVCNEETGMMER KIIRRPFTQKDSQLKQGNNLTEINSQGNRVVSRKIVENALTEEKKQEWVIDKNGKMEKII SQEPLQYIEEEVIVMNKNGKQERKLIRKPYNGQDLQIGEELNEGKGNTKIVARRLIDNQQ SSDELQKWQKKGDQMELVLVKEEPTQVVVEEVLIYNSEKGVMERKFITRPINSKEIEDPN VKVLSRKVVDNLKSQQLGEQVIAEEPEQYIEEEIITINPRTGKQERKLIRRPYYGEEVEL GDDMDEAGQKGERIISRRIVENEETTEGLKEWKQQPDGSMVKILAQNEPVKYIEEEILVL NPETQQMERKLIRKPYNPNAKLGNVKETDQDGNVIVSRKIVENKQSQRRWTVKQDGKLEQ VISKAEPIQFIEEEVLILNPKTNQMERKIIRRPMQAGDSELNTGDSLNESRGNQKVVARR VVQNEQSQDQLQKEGWVIDNKSGQMELVSKEPVKYIQEEILVQTEDGQLVRKLVRKPFNP KLKIGNNLQETDNEGNRVLSRTVIENNQSLASLQADGWNVQKQEKVISQEPLQYIEEEVI IVNPKTGKQERKIIRKPYYNEDVAIGDQLNELNGGQRVLARRIVDNEQSLNQLNEEGWKM KDGNLEITMTEPSQMIEEEILVYNEESGLYERKLVRRQYDAKRDSTLQLGQLKEFDQNGN KILSRKIVENVESSQQLQKSGWVNHQGVLEKTINVQEPDKFIEEEILIINPKTGKQERRL TRRPYQPGDEKMEMGDNILDQQGGRKIVSRRIVENDSSSEFQLQQGWKQISAGMFEVQLC AAEPVKYIEEEILIVNKSTKQMQRKLIRRQFTIKDQNLKIGDDIKEKDAQGNRILARRLI ENVQSLTQLKKEGWSKLPDGTLEKVLANIAQKFIEEEILVYNPKTGKQERRLTRRPVMLE DDKFKVGEQINEQLNDGTKVVARRIVDSDFALTSWQQNDQGILIHQISEAEPLKYIEEEV LQMNPETGMMERKLIRRPYNDQKVNLGKNINESKEDGTIVLSRKLVENNESLAQWRRESD GKLVKVINSEEPEQYVEEEIITVNPKTGKQERKLIRRPFTEDDRNAIIGDQIIDEINNGQ KVISRRIISNLCSSDDWKQNEDGHFEIVVAQQEPIKFIEEEVLQYNEQTGLMERKLIRRV FSASAQIGNNLQETDEKGNIVISRRVVENLLSSEQQKKDGWKQNQGNLELLLNAQESLQL IEEQVLIRNPETGKQELVLRRRPYVEGEEPVNPNDGLLLSRKIIKNNQSQGQLFNEGWSK TNGQFEKKIHNVINPILSNKLIPDDPTSLYYLEEDHILTNPNNQEQEIHELKFPYQGNQH LKIGEGLRERDDSGNQIIARKIVTNIDPDYNPYQDVEEITEIIGSGKVNEFYALQKLVNI TEVIISKNLNEKQPNGFIIRSRVVINEYQKTQQQGWRKLSESEIPPGIRQWNQNKRSMYQ IDEDSQEHRDSQYRSVDEQNQIRILDQKLSVNGKYVEEEILIKNQHTNKYERRLQRRYTN ENTFGDDLDELILDIKYLARAEVQFQLELTKQGWMEVSNQVLQRVIAKNETLQMIEEELC NREKHVQVFILKPYKNEQVQIGELDELQENGLHLLRRSIVNNRSLAYYKNNDFIKNKDGT YIKQIKGGITMKIPKYQEQNFVRRPTQRLSQIQQIEQHNNNIIKIGITENGQDEPAIVNR TTNSDISETDKMFLKQLERGTKKDRSNIYQNILNDEQLRGRINSDKNNKSPSSPFSEYST PNRGKVLSKVLNKFVKTSLSQAMNELQLIYLAYRQKKRQTYQRNSTNLTNILANLFYRQS FDTLIEHMQKNVIEFDEDDVIKYNEMLDENKIIAMEIQPTGNEGQAAEPVELNVTPPEKK RILKIKPKGQPETKIVGSISQHDSVLPYQRKSVAHNTMKVQTPYQRSSGRASTVIKPNTL QSSQSLKPTTQLARGSLQNISVPFGLQQPRTNGKKKTTKIKEAQEKSNSQSNKHSQTPLS QSYATISQNQSQASIQKQMSQEQLAQIQKNKIASQFKRMGSVQLSGAFKKP >CAK74126 pep:novel supercontig:GCA_000165425.1:CT868174:322655:326350:1 gene:GSPATT00010360001 transcript:CAK74126 MQQQRVIFGNKQTLYITSQPYFQGTKYKLFLTSECNYVVKVYDVGQEKYLNTELQAVHLF KDNGCSNIARSYEGELSPNKKVIVFEKAEVLQNILRDSQQGLAEPQVLKILLDLSKALQN CHSLGITHRDIRPENILIGADKQAKLWNFQRCFFQQYDQIPNEYFGRIKEEIEMNTFEHV RAPEQKDFSQRFPITTKVDIYALGQLMYYMIFKVRYDQNPNWEGNAQWGVYSSKLQSLIK QMLIINPKDRISADQIEKYINSILIQYNGLPQQIHLRSQSTNEVFNRDTINQNTEIEKCH SFEFKDLQQPQSSLSTRLVKLVSKVAQKTDFWVAACLEEVDAAPCQKYFRFLHCKAWQKK QKIPKFYEKVSNRLQLNSVIITFKALQLIHNYVKKGPQEAIAVQNQAYSPNAILERIKNF QEQNQMKSSKDKFRTQFFTNLLYMYSIVLLEKVKFHSFYLKFFEGNYAMIPFFNSMNGIQ KQKVSIAILNNMMSLWKQLLNFTSNIQFQEQNLINLQLGLAITMADELYNILCTFTHIFY ALKQSTNYISGQPTNNSEVKQAFITLQEDYQNNFQQTINFFAVCKRIPQFQQLIPDPSRN IVETLKNVPMFQSKRGDFNITDFLNYSMSIDGIKLPQSYGEIMINQVVEYDEEAVEQEPK YQKSNSYPIKAVVSCQVQQEKANQFNFEFEVTPNINKNMNSQQNIIQEDQTNQFIQQQQV VEIQRESISNKNLEFKKKQTKQQQQGEYKGLDFSDDDDDDESEQLPQKPTELQQKNLRAP LSNQNIQLTQELLNDEGFLNWKPNQDNKIKSNGQSQRIQSANSQSNNIFEQFDLSQNQKQ ILFDNPVLPQSSSFQVVDYFNKQGKNVSEWMINHDQLKLETLIGTGSSCTVYKGYLRGGE VAIKKMKIQQLNENHLKEFRREISALVTIKRHQNLVQLLGISQKEDELYIVTEYCAGGTL FDLLHRKKHLEISWQLRIKMAIQIADGMLHLHKLNPPLIHRDLKSLNLLLEQSYDQNRIN IKIADFGLARVQADNGEQMTGVLGTFHWMAPEVFQNVPYTIKADVYSYAIVLWEICCRET PYKQLSTNPPAIMKLVAVDNGRPDLSLIQVGCPIFMKELMIKCWDKDPTKRPTFQEVSQY LRGFQ >CAK74127 pep:novel supercontig:GCA_000165425.1:CT868174:326424:327890:1 gene:GSPATT00010361001 transcript:CAK74127 MQIIKVFIKSYDTPSGILSSRFITKNGRKITLILSDSKLYRISDKGLKMTSLSSHLRFQI KPNKLQNYLILETDFLPKPIEYQFMDQPGMWEIFLKQKLPLMDYQKYYEIEKLIGSGGFA SVYIGKSKADGTKVAIKAFLKKMLMLSDPIQWRQQIDNEVKVMKYMNHQNILKLYDVFEN KAQIYLITQLCRGGNLEQAIKKLEEPLPFLTVKVIFRQIVEGIKYMHDIGLMHRDIKPGN ILFRKPVSLKQFGLSVQDGPLISDFGVSSLIQKQLNVYQFCGSYGYMAPEIFACEDDKQK SYNEKCDVFSLGCLLYELTTNKPLFSGNNIKLLNKECNINTNKLLEECYGNKQLISLLIK MLNPNPEQRIACSEVLSHPVMQVEYDEQGCPLFKDYKKPVILSIQKPRQQMKSKSSAILP YTSRRNETQSFKRLSQVLPPIKRLSTEMHN >CAK74128 pep:novel supercontig:GCA_000165425.1:CT868174:328192:328978:-1 gene:GSPATT00010362001 transcript:CAK74128 MSQPLRVDDFVDELRKYDRKEDFMAVMRSYEQRYYDQFEQFANNNFPPELQRQQIPKQEF LYKQQSQYQKPPVVRSSSANKLDIQDQYQVFSQQSVQPPQITQLPQRPPSRGEIQDPFKR PQDQFDYRLSPVAQQDPYRGLNKQQYNPQQRNPTQIPIQQVPFSPFQAAQAQALINNTQQ QQVGLPFGNQVGGSINNPQFQNYGVQQQFYEQQQYQQQTVSYPKAVPNQQQQMDAFLNEI KSQRVQKQQQQQYK >CAK74129 pep:novel supercontig:GCA_000165425.1:CT868174:329435:330897:-1 gene:GSPATT00010363001 transcript:CAK74129 MKNISCMTIGEILTQTAPKSSQLWGLDFKSNSKYCEFTQQMSRLFTFSDFEYNIKNPLYQ AEIATNQANVNEVFLPVCYAYSILRIFMVISVVFYVRQKMKDIFEQSQKKFTDEKQFELD CYKWTISVSLYAEIICALRDFLLFKGTILYSNVMNQSEITCYIDIYNQGNMSCGKPIQTN WRGFNYQREECNCLYFQPIHQESYMIVSIVFWIITESITQGLVGFTVLILSRLNKKESSG WNFIKPSFVRFVVTTILMMVLYSILIFACNLQIYYRFYSLSVVSYYLLQKCVIFLIIFDK LYSKVWLELKLRLPTLHSSGIAWSKTLNLLKKKILKPVEPTEQIKEIYQQKYRQQYEQTL EKYFDDAIQPNLDRYEREYNAKMKTQWYDYLNYILLINLIGIIGYLLIASYGLFYQPQEY SFLFWIGFILALVELIVFEVSNVVFQLHCFLIELYKQCEEECSALDTNQQDSGMATLFN >CAK74130 pep:novel supercontig:GCA_000165425.1:CT868174:331931:333801:-1 gene:GSPATT00010364001 transcript:CAK74130 MDKEFQCCQCQQEFNLQDKSPHVLPSCGHSICQLCVTQYLQSQLKLVCNEDNIECQVERE FKFFPINQSIIVLLKKKRNNVHRCHTYVTPEDQIPRLSTEDVSEFSSDMQQHIQLNKNMS QSHSCEQLPQKEIQGEQCSIHSKPLELVCQEDGEYICVNCALFGNHKFHNYTPIDQYVKE MEQKFNDITTMYEVVKGMSTKIEQKEYEKEFETKLLQSKEAKLQSIDMKFNELFNELNLI KEELKSRIVNNYNIQYDDNKKKIEQEFIQLKNQAEKWLSNTGNQLKDFFDEKCQNQKLKK DVKELGNSKELGNSKEQGSQLINKMQFQFSQIDSQVKLIADHQQFYVPIENIRNQFPQEN KQLDQLLLDKDDKLTDSDIIFPEIKDEKLTQSTYISQQPTQPASPQYNVSKTNYFSQTQT PGQFQRKDRSVTMLQTEPSEVSAPNTNRNKQSQSKLVKVQKFSKDPKLNDKIINTLAMFE KFEMIDFSTLGKNQTILEMNDLLIQAIDEALINKKIVKVLKMSKCKITDELFAKLLSVIE TSSVTALHLQSNTLTEKALDYILYAAKSKKNFECKQLYLNGNVSITQAKSKKKIEEIKKY GIQVTI >CAK74131 pep:novel supercontig:GCA_000165425.1:CT868174:333808:334720:-1 gene:GSPATT00010365001 transcript:CAK74131 MNQKLNRILYSQGNMTTSATQSPSQNINYNDAGELLDMKLNQVRKINRQQNDNIRLLKSR LNHIQHQDFNCQRNISRTSNEIQSIISTKSRVNEDQMRKQFLDEIRQKKLQEYSQRANLS KMERIKRSQNVENCKLQMYLIEKQIYSETHSFLNKEYKSNLSQAKLQTWNEIYGTNCKSH KQIRQAHLQHQQDKIIQLQLKQQEGSYNYKQKIIKEAQQFQERQMRVTELEEAEEQFLMK LKVTQSKNRSMSAKKEEIRRIPAEKIAISKNNLFRIN >CAK74132 pep:novel supercontig:GCA_000165425.1:CT868174:334925:336297:-1 gene:GSPATT00010366001 transcript:CAK74132 MNNNGLIVYIIGTTAAGKTNLSLNLGIDNYEIISCDSMQIYKEANIMTAKATAAEQAIKK HHGIDLLDLESEGFNRKQWKNMAIQKIEELQSRGKIPVLVGGTHYYIESILFNQENEEKQ IIQAAELNLNGKEPYEYLKEIDPLAAEKFHSQTILEEQITLQNITKIQDNCHLNKQIIIL MNVNQEVITSQYFGLNGRKVKIQRRKQLKEYMKCLIKEGQKKYQEYLKDQVIIKTRLVEY YKVLDINNLKKWLQFPNVDDKFRELLNEGAEKLINDTMAYTKKQQQWIKNRILCNSKIDI IANRLFLLEFESTQTFKQQVVNQAEKIYNLFCQLFQQGQLEDEQFKISAQNLENIAIISP EKIEKYKATQKLKQRNNWKKQECQLCNKKMNGPYEIEQHFKSRYHRINLLKEAQLQKKKQ KID >CAK74133 pep:novel supercontig:GCA_000165425.1:CT868174:336445:346827:-1 gene:GSPATT00010367001 transcript:CAK74133 MKKVFVTLLIIAVANAIEVEMKTQNLKTRERSLSFQEANDINTTKFTCQMRFDFYDYTIV ELSKWADIVEHKDQLQKDITIIDQIARLVEKAKQIDDVQQQKMFLQITGSLSNSLLDMSL AQLNHQWARGNLDQCIEYMRQFQNTQNYDERVAMANKIEDYLQNMRYQINRNLNECSQYQ QPTRLREKIRDLQMKKQECQYNHNRDDNNQYQYEYPSNQGSIPQQQIPTNSATRQESQGP LPILYNDDDVCPQGEEDDTPQNASEEQGNDKSKNTSSEEEEIDIDIDIEPTPDSNRINGE EDDDLTADSKKKKPREIIIEREFGPDSGHQNNYDEPDLCISGEETQFESDISVNDQSDPI QEEKDIQKPKVEEEEKKQQENQKNKNPPLEIPPDDDEEVVEGEIVKADGVGASTDGRVGS QEINKQTQQQQNNKQVQANQSDKVQQGVKEQDDAKKAKEQQNTQQQNNEDAGEEDVYEQI EVEEPDGNGKVRKVKKTVKRRVKKTKKISGSSGSSTSKSSQISSTSNASSSSSSSSSSSS SSSSSSSSSSSSSSQQASAQASAQGSAQGSAQGQGSGSANASAQGSAQASAQGQAQGSAQ GSAQGSVQSSQSTTSSSQSNKAVEGSSSTQSQSTQSNASASKVKTSQEGDDGEEDVYEQI EVEEPDANGKVRKVKKTVKRRVKKTKKISGSSGSSSSKSSKISSSSSGSKSSSSSSSSSS SSQASAQGSASSSQSTQSNESASKDNTSQEGDDGEEDIYEQIEVEEPDANGKVRKVKKTV KRRVKKIKKISGSSGSSSSKSSKSASSSSSSSSSSSSNSSSSSSSSSSSSGTASGSTQKQ ADQNKQNNQKVSQSVADRLTQKHSEAEQKEQGQGSSSSSKSQQVATGGQTSSSTQKGSQS SSSQSQTTKQSSSSSSGNKKSQSKSSQGQSSNKQKKKVVKTEDGEEVWEEFSVEEVTEYT IDSEGNMHVISQTSSQVPKTKAYFLNSIGDQQINLDIEENNDEDAPKVSVEDARNESFYN EESRNNQDDYLYEKDGDNQVETQYVDDVQQENDFVNQDDQTGEISQDVQLDDEQEYIYYE VEGDQVIYYEYDPKINAYHRVNMIDPEQKNSLIIQDSQFDDLLDSVMDDNKDEKQLPTEQ ISGQETTNKKDQQQKEEAPKKEVTDNSNNQEKSSADQVKSNDNVGQPTNVENKQNQQSEI QSAEDKSKLKVDQQPEQDQNNGKKEQQVDQKKENIGNSVNQIKEEKVKIDGISVEKKQEV KDENEKSLKIQTLKEEFNPNEPTQYEPPKHKVNTRPKTEKVNVPVSTKYAKSSFDGEVVE QYEFADRELLQDSDEYGYGFWMRYTSNAPKVHQRKPETYYFLSRLTSNQDYKDLTYYGDR TLAIFMIENSFIFSTYDHQEKKKVKDQIVALNEDLDSMWYFITFSYSTAKKAAVGFVVGY GDNNKILRSEIQCRHVPPQYFKLMIGGKHLTYEGLNGQFANIFYDIDAPAFIDTEAKVRE IIKTISNVPQQVTNLIDLEVITIPKLFNANTASDSVILDPQESQLIIEEYSVAAWFRWID DLKVDSENTFQIFNLRSNEKKSQGKGILGDRSLEMHYVYGGGSKSSVYFNTYTIEGNKAK GSTYLSKAVQSPNYLWHYGFMAYDNDKIKVYGALIRPGKSDEITFDPIQHKLITKLFFTL GGDDQISPFNGKIGYVGVYLGPGAYRQALNFGQQFYYGDGAMNVYQLMKPIQYKDDVTEP NVVRDCYYDAENALVDKILIHDDSKLRINGQSEYSFGMWTRWLSTMPKYLVQRGSVHNIA RFGTQPYLIEQVDGKLKRANTRPQTIKDQTLAVTLSKEAYEFYTYSAKDEVQFTKLEGWW NYIYFGYKRFGDKGTARGYVQFGVDGEIKEVSFDIFHDYIVEYVEFVVGKSQAPFFNGQM TKIQCSIGPGAFLSSADDLRLYTQNTLPEKAQIHPVSRQTQQLIGTPVSEPTNTFQFDKF QGSLEYAVSGWVKWSGLQKLGKVSHIITMAQKRLADLDGKNEETLKIRRSDLSYVYSTYH CKGEDCSQIINKEQQFGEYWDQWTYIYFGYSTPLKKAFGYIKYTFTDDKFLLEDINHFYL AVFSIIIGNEKEKFQGQMKTWVINIGEGSFREGGFESDENIKVHFGFISGTDHIKLQQAG QEAHREEQVLECSANGKEVPLHVQFEQNDKLHLQGVSEYGYGFWSRFQHFANKGVLYQQP QWMGMARLTSQKDYKDFDQPGDRVLLVLMGKNVYHFSTYNVQPASNNVNGNIPYGLESES EWTYVYFSYKRISQTLGHAVAFTSFGEVTPGIQMDVLHNLLQNYLQLTIGHAGKYYPNFN GQITTVRFNLGPGAFIENTAGIMARIKNKDPKPDILSVPKNYEVFVGKQDAQKLKIDNPL IIEQEAREYSVQIWFRWFKTPIKPNQVIYRLSSNKAENDASKIGDKVLMLSHIGTALFST YTLQDAVMNVPFECNIPKQQLEIWTFAYFAYSKKERKVQYYLKADTHENKGLEPILHAVS SKFWLYVGRDGILENFNSRVAQLTLNFGEGSFRKDNFLQLPVFIMSSKLFSQEKKNNWEN AAKVVLGQPQTVKFIDEPDKPIESMQEYSIGFWCRFLQAWPERLFRLPQEMQLVRLTSNE QIEVGKVAMGDRILASHIVQGHYQFSTYDLNDDAPNELRTIAYSKLEGQWNYIYMGYQRV SQLASYFVYDGKEVQQIKNQDLLHKPLGDFVILHIGGEPEIPGFQGLMSKIAVNFGVGSF FGLVEEVKKTIDNSFALEQQLTVDYIHKQKHGQQELIGKIETVTDTVGGTELRGDTWSSV GEYSISGWFKIAEVKGQNANDCQILFRVTNNDKEHLNDKRIQGDRTLYASVCVDSIKLST YTIHGLKDWNEAKYLDEKIELGHNKKAWIYIYMGYNEDIQEVHALLHLFDDDKPIIFKGI QHFVPNYTGIYVAKDPFTKRFQGDLQNWVAQYGLGAFVSIQKRGYEDTLPNYRALPTNQK YMWYNKEEGVIETEKAITQVFTTEVESVDEYSIGVWTRWLISFPTTLTERQDRHNIFRFS SNKEDQDKSELGDRVLTAFLSLGNYEFSTYDVLKPSNAVDGKLPYTELEGAWTYVYAAYK TGQFYGMVLFREQQKAQHIELQVQHRALTGFAKFVLGAKEFGFRGFHGWLFDPRIFLGQG SFISESQKVVDMVLKLHRKLPVQPLDAEDFKWPVQIIDTTLEDDINVKKDKFSFAFNDKP GLVEYSYGFWMQNSVLTPELTDEYRGLVRLSTNNEGSDERYIGDRTLALFTKTDEIVAST YTLKDPTFEPVSHTFKLIPYQWTFVYFGYAPGNARAYILGIKGPTEQILNVRHAIPNGFY LNVIKDQSHPLFYGKFYGLKLLFGQGSYLANPQEMIEKWPYDPKVLPPAPKQEEKILSLN SAKVDRAQNKDHEQFHE >CAK74134 pep:novel supercontig:GCA_000165425.1:CT868174:348246:349433:1 gene:GSPATT00010368001 transcript:CAK74134 MKTILLIALIAVAFTARVQERNLAKITTDLQKSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQVRTNEHNSLVVSLEQDIQDAVIDVNNTQDTLDNLLFPRRVQ LQVRIEQIQENQEANRKNYDEAILVREQEHEDFELQIAELNDATAAVDDALALLETLTNP SLLQVRRFQNSLKNIEAKIKSRSKMAPMIKALISLASNQNFSDQGIIGQIVNALNEFRNA IVDSINAQTAAEAEAQADHEEYLEQLDEEYAEFQRQINRVNVDLTATNEKIDQMTEFRDQ RDADRKQYTAELELENNTYAEETDTYTNLKNEFTRELGISEQALSVVQSADFSNIKV >CAK74135 pep:novel supercontig:GCA_000165425.1:CT868174:349667:351015:1 gene:GSPATT00010369001 transcript:CAK74135 MSEIKSALQSNIVHILENKPAKNIEGFRQQQLESRRKLIEEFKQLYIYDEKGNLRGDCKI KKFVDGSRYEGQLSNDKRSGYGIYYYNNGDIFLGEWFDDKFNGSGHYLFANGERYSGVLS DGLKHGHGKYYYLNGNTYDGDWQNDKKHGKGRYVYYSTDEYYDGDWREGERHGKGEAGYA YGDVYVGDFKKNERNGFGIMKFNDGARVEGNWVNGQLCGQAKMQYPNGDTYEGEWDRNQK NGDGVYTMCNGQSIYKGQFRNDLWNGLGILQYENGDHYKGYFRDGKKDGEGEFKYASSRD TYTGQFRKDQRTGQGVMLYANGDRYEGEWLADKKHGRGKYTHALTDDIYDGEWVQDQKHG KGQYIFGNGDQFVGDWLQDKMHGKGKFITKEGIVYAGEFKNHRYIGPIN >CAK74136 pep:novel supercontig:GCA_000165425.1:CT868174:351104:351884:1 gene:GSPATT00010370001 transcript:CAK74136 MSEVQQLEQQKVSIEQNQEMLSNWISLKKILSSKFKSAQDAFKALKKEGCQILTIEDFQE YSKGLDLSLLFKDVELNEGNFGKVWENWEYKQKQNEHKLQIINEKLKLLSMFENKDQNNE AKADVISNKSIQKLISNCESLDQLQEKLDLLVEEGQKQKNKSDVFQNETDCFSIYQSKKN EQQPEELYISKLQLKFNEGAEGNLQTIKKNIKSYIEGQHHHFRNQTELSNGTLLQSALLN KASLMTNPKPYE >CAK74137 pep:novel supercontig:GCA_000165425.1:CT868174:352157:352517:1 gene:GSPATT00010371001 transcript:CAK74137 MNFRQYLKNQQDKPQFIEPEDSNTQKRFQDIRYKLDDFQQQKLSQYNYITKTQPNFSPEK TDRTQNLNVKSNQINKVNTKYSPGNTHRLNLGFLKQEKEQNNQQIQEKQIES >CAK74138 pep:novel supercontig:GCA_000165425.1:CT868174:353245:354670:1 gene:GSPATT00010372001 transcript:CAK74138 MKICQNFQTIFKYFNRCSQADLEVSLEVSPFGGMGEEESSNSPAEVDNKGLYDLIGIPPQ STTDDVKKAFRKKAVKEHPDKGGDPEKFKKLTEAYEILSNPEKKDLYDRYGMEGVKNGGG GGDMGDIFSQFFGGGGGRREQGPKKMKAKMREQQVTLEEVFEGKMIHLNHKRKRVCDGCE GKGGANAKQCTACKGRGMVQKLQMIGPGMYSQSTGPCTDCGGDGTIFPEKDRCKKCKGNK VMDQEKVIEIPLERGVPDEHDYQFYGESDEMPGVMAGDLYVRIKIKKHDVYERKGADLYI NKKITLVEALTGTQFTLKFLDGTNLHISTKPGEIISPAQIKTLKKKGMPCYKDAMSEGDL HIRFEVEFPLSGQLKSEQIEQLKKVLPGPKQQQKLDAKKTLYLEEYDEAHVNSNPEGGKK DEEDDDDERGGHGGQRVQCAQQ >CAK74139 pep:novel supercontig:GCA_000165425.1:CT868174:354676:355964:1 gene:GSPATT00010373001 transcript:CAK74139 MKSKTIISRRLDINNDPPKQQQAPFFDPCPRFQKKYGVKADQDRIVAYMYLRENHPNIKQ CRDFSQKRICKFDTTQIKDGPPYAKIIKIQPSHSTTVNGWANVGGELKHQFNNGWKTNID DICIDKQYEDQLNTHFKAKEAQLKHDYKAKIEYMNKYFNEIEEMYKVNDTKESVKKLRVL YKKSREDLKPYVKYQGQRFFEILPMMKRRTNTVIEEFRCFKPLCNLELKEKQKYLDDLFK HLNKKTEKEKDLKSREQDSSDQQQQNEIQIDYNDLSTNLHQIKRTYSQMEDVTAEAAAFN QNHYIFEVKDVNSFGQQIRMNKMNKIMNEMFKSQRNFQQDIQNYAYKHLNTSTSCDISAA NISQMQQSIQNNKQKELKRMHKTCQNVTYRTNPSPAMKNSAFQTTATSNQFKF >CAK74140 pep:novel supercontig:GCA_000165425.1:CT868174:356149:358213:-1 gene:GSPATT00010374001 transcript:CAK74140 MSSSNQQDESYDEEQEDDEIETVQENGLKQLFKNQGIFCQINLKLEQFMQQINFLNEQAV HKIKLQKFKEAMKLLQQSEQMLEYAASCGKTIDKNLIIVVLYNQACGYQCQWVLDKCSKY LSGVIYNMEESMKEEEQDTNKLTSTQESDASLVKKKAFLARTLLQHTAILSQLGKHKLAL QSARKAASTMREVFKIAFQFCKDWLNKNGSLGSATTANSSLTMKPKQKNNKFQMKDEVEF SRLVIDASKDILQDMSKQQDLDSMSNNEQLILREAKKQLYFWRNNPENNEKHIRKELKLD QKEEEYRSILGVQNVQEWIQTFNIGFIMHMTPQIYEDFSSQGEMLYEISKRLLLEKIIYL SISYFTIATELRFIELDKAKQQGIKDINTDEFKLSELYHLKAVEIACKNITCSSPYINHL ITSYHKHYNSNLDTIQEESMMSMVSEVNYKEQKLQKLKQMQIQTQRENQNILKNLADLKL NDSSPTGKLISSFLNQQSPLKTNRNISDNVKPQTNLMEQMIINKKRQQMPSDTSPKQKIQ FFNGSSNNSCERINEKLLKAQETLPIQLQPYKSTKTTFNSLIKQTQNTQPSSNQNNNGIL KAFFFDNCRTERMNSNSNQSPKRVGRSPDKSPERSQNSLKPRNSAMTNKSPYTRPRTDTE QCKF >CAK74141 pep:novel supercontig:GCA_000165425.1:CT868174:359101:359787:-1 gene:GSPATT00010375001 transcript:CAK74141 MDLQHTKSYAALNQQNCQLFKPKALSVRQQMAQIPMQTPRVQIKGLTTPKKIEDASNPQS DQQLYSFKSYRISTEIINGSPLDQIQLILRENEKLKRTLNQKQHIIETLTTTRKVKPRFD FNDFKTNSRSLVQPQSQQESIKPKNIEVKQSISQNYNKRIKLPKIEDSIPNNEDECNFTF ANNFFNNNTCKNQKINFKQVFTQSHLKKKFFT >CAK74142 pep:novel supercontig:GCA_000165425.1:CT868174:360047:360785:1 gene:GSPATT00010376001 transcript:CAK74142 MKYHIFKYIIQQFNLEINDQQEKVLQMNKKLQMIKICKLNRPIKPKKLSEEIFNFPPFIC QINAYSKQIKEFELTIIKKINKLKIQKETPETRFTEIQIQFQVIQKEHKELLKNYPALMH LSYDELNKDNIYLSKQLYENQQLIQQQNVKFKRLEAELHQMRQQTEKEKMAQLEKKIYDL EQQLQKKDFYHNKDIDNLHLVIAILKDYPILIRERRKEAISACD >CAK74143 pep:novel supercontig:GCA_000165425.1:CT868174:361531:361787:1 gene:GSPATT00010377001 transcript:CAK74143 MAEQESVATVKFANQPKLFGKWDYDEVQVTDQCFKDYIAVQTSKSRVFVPPYCWKISKKE VQKGLMPNC >CAK74144 pep:novel supercontig:GCA_000165425.1:CT868174:361803:362277:1 gene:GSPATT00010378001 transcript:CAK74144 MFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGGAREDFTKVGTGGVAKQ QAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAASNEDGQKSYTIKKRDE LEKVAKTNR >CAK74145 pep:novel supercontig:GCA_000165425.1:CT868174:362415:364133:-1 gene:GSPATT00010379001 transcript:CAK74145 MFVIIAVKIVFKYMQLGIQLYAKPNDFVKDFNKQQRVCDNCFRDYTHYQQIIQENGLKWN TRSLLQSKWIGNQERQIKMEISISENDKEIIGKDVLAGRTDAFLFNYSLREFITQCQQGY DQSLIRASINRVLQLFVTHYPIIGYCQGMNFIVTVLLCLSDEEGAFHIMNHIFKFIIPPR FYSNSQGATLIGFQAELYFLKSIIKGLNVQNSEQLFNFLDVSGPQMLLTLLLQVLNTSSL LITWEAMFKRKSFIPIDQATIFSLLQAAKCLDMNQQGLIEEIGKIIKHSDLAKLLSKENA YFPPFERQVQIEQYYSQTSQSWVDEDKLILFRLKKITNFDTEEILQIQKEFKKYCLESGS LCIIRKDQKDAKQQAKLTESSDGDDLEIQALFIQQIKSQKYGINKEAFLGLMEQFHQHYT KYQVLDRHKYELVFSLFDENKSELLDFREFLTCLSVLLRGSFEQKLKMFFTAHTGSSLIA QEFHTLLSIIIPQELQQNEEYQQFLYRIQLPQYSYQDMLLVSQDPFVLQNEYQREKSQTS IMIAQSFNHMKNH >CAK74146 pep:novel supercontig:GCA_000165425.1:CT868174:364356:365321:-1 gene:GSPATT00010380001 transcript:CAK74146 MQTPNNLLILAKMKKCRKNMTKLQEKIDLLTSIIDQQSIHVSKDFQCNLPPSEQKPEIII SDEASVEIVLTSNEPEPAATPQDLKSTAKKLVSRFYYHISEKMKEGSLNQLQALKQMCNK QYKDNPYKQLFQGFQKLYVNSELKCKQCNKSFSNVIQSTTHVLQDHLNDLSVFICIQCKL TFQNKEKLDDHILQQHNPTFNNQVPEKQFQKQPTLQLNKVIQNVDMYSLPPTLPQPIKQK ILFQSQINQQHNHVPYQDDSRIQEELSENKNSQEQFSMISECMKQEQQQTYTNDMESHNN QKKASSIKIKFITKKNQSLNY >CAK74147 pep:novel supercontig:GCA_000165425.1:CT868174:365502:367009:1 gene:GSPATT00010381001 transcript:CAK74147 MALTKVVLLCIQNKKQITITHDQIYKHFSQYGQIEKILIFEKTQIWKVFLETKNKETAQN LIKQCTNNILNQDASLRMQLYPSNLENPTFSDMNSAGKDYFKSKEKRDSLNSTDDEQTSV GSQGEQYPNLNSTKNYPQNIQQSIYQYQQHLQQRLYEQQVLQMRLQTQSLDLQVPDYVNT LASAQWVEQFVQLGKLLQTQYLLQYQLIDGYINYIKQESVNALSEIQNQQRQQQLQQQQQ LQTQINTLNQIYHVEQPEKSRVIVAKSFDDSVTTIDMLYNLFSIYGNIDKMVYQKDKSTL LVEYHLQKCADQCMEKLNNVVFQGQTLQITYSILQEITFLDELEELGLVEKTFYEERFIG SEATNRYKPKNSFIAAAPNDTIFLMNLSNELLNIESLQPLIETDIVEYKFYEDPKQKHSC ALKFKTVEDAMYFLAKNHGKVMADRKILMTFSKKPM >CAK74148 pep:novel supercontig:GCA_000165425.1:CT868174:367370:368054:-1 gene:GSPATT00010382001 transcript:CAK74148 MAQLEDYFDRQSHVPQEVTRSLRLIKELDEKVTKIQQKLTTLRKQYKQQKNEKTKVEIDQ LYEESVNLSQEKINISDKVYEVVDSAVQQLDNDLKKFEENLKSSMAPDHKRRFLILSKYQ KEKRKKINQEIEQSKEIFQNASQSEPLYCICQKPSFGQMVMCDNQLCSKEWFHLECVKLK DFPPENEPWFCSIECRKEGKGRMKK >CAK74149 pep:novel supercontig:GCA_000165425.1:CT868174:368106:369619:-1 gene:GSPATT00010383001 transcript:CAK74149 MLNQNIKSVTSRQEVKPIKANITREQFQTLTNLYSIGKGKNIERDRNHQQPQPSNQQQTI TPRNNTPQSCRTAQEMKYFLYQKNNLKEQAKMQNNSQVLPKTKNSLHRNFSQLEKSASAL LTPIIQTTTTPQSKQKKYNLMSIDQRFPSNKFAQQIAQQLKGKKDNNKKDNLKVSLDDFI TQVSRPNKKLISPFKQTKSLSPSTRVESAKPRKQIYYITSLVEAFKHQYPQTSEQQLFRD HAVQTFNCVGFCMNLKESDQQIIQSKILNLPPKLNCKYQKTVVFDLDETLIHCNENQSLK ADVYIPITFPSGDTVSAGINIRPYAKWILQELSQICEVVVFTASHQCYASQVIQQLDPKN QLLSAQLFRDNCVLSPDGVHIKDLKIFNRDLKDIVLVDNAAYSFGVHLENGIPIIPYYEN KDDKELKTLYDFLVEQVLPAPDCRLVLQSTFRLREYLKYNEPRLAIEKLF >CAK74150 pep:novel supercontig:GCA_000165425.1:CT868174:369694:370071:1 gene:GSPATT00010384001 transcript:CAK74150 MVAENKVAMNQFSEQCKQITGQQMQFIQNYYQFLVLNKKEKEKVEDNKDENKNNEETQQV KQQDEYMSSRTRNIGENDLVYNRLSEEIEQLDQQLIYDCQFCPKLFKNNVHLYWHTCQKH YEENQ >CAK74151 pep:novel supercontig:GCA_000165425.1:CT868174:370086:372702:-1 gene:GSPATT00010385001 transcript:CAK74151 MKFRQKAQCTQSLPKIPAQKYCHYLTQKEDEEELDFSYEISPVNLPTTKSDFYKLQKTTE RMQEQNKIFNRNDNMMTTLSSSQLQPGSFGLFNRQKNDALHLNHMKYGDKYMIMLARGLQ KQNNIKEYNLNDNRMTQLSSSEVIKSTRGAISLSLSKNKIGTGCLEIGNSLVSRDCKIQL LNLEDNKLQESHVIEILDRIAYNRSLKSVNLSKNNISNNCVNALSNMLITNEDIAELYLH FNKLNGFAAVSIFNNMQKSNLKVLDLSQNSLGIGYDWSQSFNKMVQLNKELIHFDLSFNK IDYKITSQIAEGLKKNKSILGFHYTGNCGYVDPKGFLVPIEKGIVEQTQHQIAQRIQGCQ YIKQKRLRSYRDSNIKDCCWICEGWRQVEFSWNPQASGPANDPMFLHLSYLNYDDLYMGK VESGLRVQRMVPPGMCYYFFTNDNMQCVAKDQLHKRWPLPLNKVKFQDKEIDVKLHQLNY MNVAGTQIIDKYYMPIINVQPRQEDLLYIPERVDNRVLWTFPISLFKDWKKDNEELIEKC FISDWNQSRITKLIKDENDKNACYSFLLANYQQIKDTYKQKISEIQTLCLFVTSWRHLGH LIINKSIIKGTIKQQDMELKYLATISGTEKGNYRKPERGMVRFQFLEMFVRIAEDKYIKN GVAKSFEEALKWMWNDQLKDEFNKYNTQVFRDTRFWNEQCDLCMKHYKTILDSIYIRYSV KKVKPGQKPFMSLQELQEMCAHIGLNQIETFGPNIPLFAFNKAMMSQIDELNSDRIFQMS FVEFLEAFARIAEDIDIRQIGLHLKIEQLIWKCYILFSDLYALPTQSYFQEEWDQINKQQ NKQIVDDDIDDFN >CAK74152 pep:novel supercontig:GCA_000165425.1:CT868174:373171:375443:1 gene:GSPATT00010386001 transcript:CAK74152 MKRHSIGKRFRKMKGLRKTDPNAVIPETPKQLQDYIETLTAQGVQINKVKYAIFKTKNGL KYPGLVASEKILSNETLVSVPRDLLLTTRHAFESPLKQMFLDHPQYFSNQFYPSWEDHQL MAFILYEYQRGPESEWHLLISNLPRDIDYLVFWNPEEQELLDDQQLVKLARKQYQEFVIE YETLKCITDKYPQLFKPETVTFENARWVYTHLVTRCFGKYLAYVTMVPFCELFNHECTDV FYDFEYNPDNPNKSEESEQAQIKELKDDEELSVTSSEGSYHSDDEISDSEYVTDTYDQFK EFDFDDFSQQATKKFYEEFSKTFDNKQKENEQQEDQINKTSDNNEQDEEEQNKRIKELQE QQVKMKKDFNIKLNLQREVYLLSRDCKTFIFQNIDYGDNYSIFFIGQMFVKLDKSIQEYI NGGKAYFMAREEVKRIQLTCNTYKNNLYAFQKDVMKKPIYQTSYFQQKRSKSQNSTLDTP EQILSQALDPDCEYYKQVWEKDKFDRFVMKAASKDQFEKGAQVYFCYGRLSNRMMLMRYG MTLEYNKYDHAHLRIDYLKYVQNIEAVWLVHKYQLSKYKRFKLKHTTFPIDFIVFCKSIY WTFNVHSLDTFFKIQDLKLERKALQLALEILVEEISKFTDKLEDNEKLLYDNKLGYHEYF AVIYRLERQRIYHHNINLIKICIVVIDRMLDGIPFEQATEKTQFDFDQCETNRIILRKYF EQMRYALYLPK >CAK74153 pep:novel supercontig:GCA_000165425.1:CT868174:375478:377342:1 gene:GSPATT00010387001 transcript:CAK74153 MNYPKRFSIGKMYQREKGIYLKDKNCTSQSRYEQTQYEIDYTNQGVKLQDVELATFYLKN GLPYRGLKATDNIPANYLLVQVPRQLIISSRTAFQSSQKAFYFKHRDFFLDHEEGEEHTI MAFLIMNKREGIKSKYYRFITQLPIDVNMLIFWSEDKLKLLQDENLIQKVHKKKEEYEQT YQIFKAIMNATENEFQWAYSNLYTRDFGHNLKYKSMVPFCEFFNHECVDVHIALLSEDEL KEQNGQTLINIQNQKDQKDQKDQKGKKATKKQTKQQNKKKGDFYAEEELQSVQSSSDSDN SLDLDQNESDLDDFIADQIIEWASKNELEEQTFEVLKDYVLSNLKAQYTQETVQIDRNET KKIMNWIEDEDFDYFCISSQKTENFKKGAQVYFNYGRLSNRELLLRYGIAIERNKYDHVY LRINTEELLKRRGMRVFQKQYLSIKLKYTEFPFALLKFSKAITDFRSENQDYYDLQNVLK TIDIQTELRGQEFLISLGLKKSIELLKQFKGEFKEDLTKSDLLLKDKQLDYDEYFSLVYR LEKQRILQQNIILLQLAREILLDPERLDYVCSSYESSQYEYTYRIILKKYLEQILIQ >CAK74154 pep:novel supercontig:GCA_000165425.1:CT868174:377597:379124:1 gene:GSPATT00010388001 transcript:CAK74154 MNLKPRIQIEGSQFHLIYEQTNDDLLDLMERGIPENRLAFRKNSYCVTDKKTKMKYIVNY SRKHLKLSFQKSDLQEQDLEVLIDSLTLKILQQQSLMKIMFSKLVQFNESCIREIEYAPT DIVIEIVFGRMMIAKFYISYIIGNSLMSVEERYARFMLINLELLEGNQMSLEIFKQPPIN LHFLTQFVAIDKFTDLVQRTFIPIQLLNYKIFDSNVLYQLYQFYFMIYPNQQFATFVFGI RSDKFLSTLGIIPLLIQMKTYFLNDKLVIEIEQADAFAILNGYHQLADFAVFKREIHDVI QKWSFSTCQEIDEFFFKFLIIGISYILLYPKKDILFQLMKKTNWDYFQKHILTSLINKIA YIHYHLSQKQRGDSSNFDYRKFLNLHIDRYFHLYEYNWIKEPRDERENPIKYILKFYLDR IDKNFQNIVKNNFKENEVNSHLQKLSMVVFQVEKISFIFQIVEYTSQNGQIVKIEQGHET NRWRLSDFPKYELEFIISQF >CAK74155 pep:novel supercontig:GCA_000165425.1:CT868174:379212:380257:1 gene:GSPATT00010389001 transcript:CAK74155 MEQQILLQAKIAFFLGNFNKVLEIWQQNDYDDDYYYFLVSRALIAARELKPKTIRLTKKP SSKLLEITDIVSRFMGPLIEQQKSETTIDEDRKQIEDVLKKLKDIIPQQNQKIIQIICAY MSVNSCEAQYALQLEMPKDAFQQELLYLQFMIYLRGRRFDLAESTLLDLRRFDDEDILTY LAQIYLNLYNGQPEQAYKSIQETKDRFGDSSKLMNLMITCLIHQNKFEEAFELGQKVKTL IIDNEQFSDRQEIEICLSNLIVLSELLNKQQQKEEYIQVLEQINKSCHFLKRYQEKVKKI EQLS >CAK74156 pep:novel supercontig:GCA_000165425.1:CT868174:380260:381355:-1 gene:GSPATT00010390001 transcript:CAK74156 MHELKLIIKGLLSSPQYTKQGQLCLSYSQYTRYIYVCLTNFLDISALMNGIEMLSYLPNP GQSQPQLNLSSNRQEENSGRKIKNNAANPITITVEDDEPQQTTKKCYNVQCMNVGDKKIK SKRHDILFFCDKCSKLFNKGKYCDFCEQVYGSYDDEAGWVQCDQCQKWNHIACEQKYRNQ NIENEPETTPYHCLSCSKNIKKTKPLKKSDEFPPPKQVPIPEQEDCKNKEKNITFVATKD NKIQYILAYRLNLFDDEIKLDLDLLRNSIKRTKKVQLASPPHVLQQHVMSSQQQSQQLQQ QQETQEQQQLSSRSLRRRINQRMNYRDLVGEY >CAK74157 pep:novel supercontig:GCA_000165425.1:CT868174:381457:381597:-1 gene:GSPATT00010391001 transcript:CAK74157 MSQGKNNNQPFTFGNNASEFDQQFLFQRYDITSKHSILFTDIHIYI >CAK74158 pep:novel supercontig:GCA_000165425.1:CT868174:382053:384989:1 gene:GSPATT00010392001 transcript:CAK74158 MQQGSRLKEATEGTISQFTSKIGGPFKIAKPVFQEKRLKLFSEIYQRQQAELKQMQQTPI KITLKDGKQIEGKKWVTTPLSIALGISKKMAENMVAAKVVYETIFEKSFVDVDHEETSSQ ASSTIHQKPDYLIWDLTRPLEGDCSLELLSFDDKNGQEVFWHSSAHILGAALEEIYGCHL CIGPAIEGGFFYDCYIGDIKVTQNDYVKIEKAAQDLVAAKQEFQRAILTKEECLEIFGSN PFKRQLITNKIPDGSLTTAYRCGNLIDLCTGPHLPNTSYVKAFQITKNSAAYWLGKNTND DLQRVYGITFPNKKLLDEYVKIQKELAQRDHRNVGKKQNLYLFHQLSPGSAFFYPSGAHI YNTLMNFLRRQYYIRGYQEVISPNIFNAQLWKISGHYDKYKENLFFINMGEEGEYGLKPM NCPGHCLMFDMVQHSYRDLPIRFADFGVLHRNEVHGALTGLTRVRRFQQDDAHIFCRMDQ IQDEIKNCLDFLSYIYSLFGFEFKLYLSTRPEKFLGTKDVWDNAEYQLEQGLKKFGKHFE INPGDGAFYGPKIDVKLYDAYKREHQCGTIQLDFNLPERFNLQFRASEDVHEVQQDKETV HNEQIQVAQEIIDIHRKESHQSDQAEGDVLSTPPRHLQEQSEQPGELKVQSTHSLQSDKV LEQQQQQYQQLQLQQHSHSASSLLHKKQKLPEELLESGSSQALSNHELHKVLHEKKYELG YHHLKPGFARPVIIHRAILGSLERMIAVLTEQCGGKWPFWLSPKQLILCPVSAYYRDVAE KISARLRLEGYTVNTDESDQTLAKKVRNAQVQYYNFVGVIGEEEVRTGVIDIRDCEKNTR IGKLTIPQLCKFFESLKPPKSKVEMEIHEHDDTHLKLNDELQDKVFLDGDGFVVGPRDYE QFPKVKEIDPALQNLIRWQKHMTFLIKQDQQKLQQQE >CAK74159 pep:novel supercontig:GCA_000165425.1:CT868174:385032:386504:-1 gene:GSPATT00010393001 transcript:CAK74159 MQSYKLHDRHPYVEPDFKDRYDPSEVYHAVFDRSIPKLIEILLMENIEAFKYRDALITLN EMVDHQEMKDQMISQGLVGIASAYLHHKDIQIRKQAVILLGCLVSIMRGREQLGDLSFDG LSKLLFDDAREQCGWALCRIITGRDGVDILCKSNLTKKMIQSFMQSKEYPKFTVYLLEAF AKIVEFDNGIFFFLNCGTIQRFIEILSQENYYDQSYTQRITYLSLEVLSKICANHEGKEE AIRENAINVANRYLDSPLQEEAYFATILIMNCTINLEGKKQCVHVENDEIIQKLISLLNK DFSKDVKQALMNIADYPDGFIIITKLLSNSYETLDDLLGPRVVIALAKLIPRGLENYDDY KQYGRTLCKFLRDYNEAIYIALEETVKIVEILMEFFYYTDLVRDVTDSLLKLIEADVDSR EYALNYLETHNANSKEIQNISKKILELFPH >CAK74160 pep:novel supercontig:GCA_000165425.1:CT868174:386815:387147:-1 gene:GSPATT00010394001 transcript:CAK74160 MNTKLERKVLDDNKKDNKYMFLKPIQSRFEDYANLFMWIMQQQFVNTASVKNACLNICLN FKYNDQVGIIQTNNIKLFTQLKQVLLWKVQGKVSQFTVGMKLDILDTACI >CAK74161 pep:novel supercontig:GCA_000165425.1:CT868174:387605:388240:1 gene:GSPATT00010395001 transcript:CAK74161 MVNFSVDQIREIMDKQDNIRNMSVIAHVDHGKSTLTDSLLCKAGIIASKVAGDARATDTR EDEKERGITIKSTGVSLYYEYDIYDNKTLEKFLINLIDSPGHVDFSSEVTAALRVTDGAL VVVDCVEGVCVQTETVLRQAMQEKIKPVVMVNKIDRAILELKHDGETMYQNFVRVVDMVN VIINTYQQEDMGDLLVHPELGSVSFGSGKEC >CAK74162 pep:novel supercontig:GCA_000165425.1:CT868174:388243:388829:1 gene:GSPATT00010396001 transcript:CAK74162 MPTNSRLWGDNYFDAEGKCWRKDNISGSGKAMKRAFVAFIMDPICKLANAVMEGNMDVAN KMFETLGLKLTQEEAKLEGKHLLKAVMSKWINAADTLLEMIVCHLPSPRKAQKYRTSYLY EGPQDDAIAQSMRECNPKGSINYVRLQDGSNN >CAK74163 pep:novel supercontig:GCA_000165425.1:CT868174:388830:390220:1 gene:GSPATT00010397001 transcript:CAK74163 MGANYKVGKKEDLFEKAIQRTVLMMASRVEYIPDVPCGNTVGLVGVDQYLMKTGTISDHP DCHLIRSMKYSVSPVVRVAVQPKNPGDLPKLVDGLKKLSKSDPLVLCTTEESGQNVVAGC GELHVEICLNDLEKDFAGIELIKSDPIVSYKETVSATSNIVCMSKSPNKHNRIYAQATPL HENLPDAIEKGQVTPKDEPKLRAKALNEEYDWDKDDALRIWTFGPDNSGANILMDKTSGV QYMNELRESMESAWQWSTKEGPLCEENQRGIRVNILDCVLHADAIHRGGGQIIPTARRLY YACELTAQPRLQEPVFLAEITAPNDATGGVYNCLNTRRGTVIEEEQVAGTPLSVVRAHLP VAESFGFTAHLRGMTQGQAFPQCVFDHWAIVNGNPLEVGSKVNELVLSIRKRKGIKVQLP DLNEYLDKL >CAK74164 pep:novel supercontig:GCA_000165425.1:CT868174:390395:391294:1 gene:GSPATT00010398001 transcript:CAK74164 MNSRLLKIFETGFLGLIGFTLIAQQQKRFQCAAPYFSFISHSELQQFHLRFPSLYVLDKF QVEHLLSVIRNQTTHTKDFRLYSDRLIRLLMEKAISEHSKKLSPQEGSQAQTPAQEIQFE NKQFCVVVMVRSGNAFLGEALKVLPGASVGFILVQEHPQTKDPQLIYCKFPEDIDQKQVI LTDAMITTGGRISTAIKALQSNGVNQENIAAVNIVSCEKGLSKVLHQFPKVKVITAGVDY ALNTIQDHRFPGVGDFGDRYFGTVDQ >CAK74165 pep:novel supercontig:GCA_000165425.1:CT868174:392736:393664:1 gene:GSPATT00010399001 transcript:CAK74165 MSNHPASQRPPQPVQNAPHQPVAYSPPRTYAPPVQFASPSYYPVQQSVVAPVQYVPQPVA VQPLVQPVAVQPVAVQPAQQVIKGESRIEYIPYEKSVIEYEEVRQRIQVPREKYVTEYQA VEYQTEYIPQVFYDKVTEYVPVDRFQDRVEYYPVERQVVHQQQVVAQPVVQPVVQSVVQQ VPQYVAPVPQYVAPVAQPYVAQSYVQPSYVQPSYVPSRVAPVYNHAPYQGRPVSQPRRFS PPSKPVQIQKQPQEKKKTFLENIFS >CAK74166 pep:novel supercontig:GCA_000165425.1:CT868174:393670:395645:-1 gene:GSPATT00010400001 transcript:CAK74166 MLNPTNANKLSTSSQALSKSVHFSLGNSQAPVDFSDVKAVSGISKSAINHPQVGQVTGID CGIHMKQLKGFCIEQQCVHQRRKLCVGCLWAHTCVHKVQVPEFVKLLTDKLAIAEYDFFD KVLKVVKKDHLQNEIDEMFLKIKMDFDNHLNDLYSQFMYWTKHGMGDVIKKGFLADFLLD DNVIEQIMDLVLKGRAMEAEDETIQTCVELINADPQEIKLLPQQLQTTLVECEEVIRSTL HQLEQSLITFKQTPFDFKNVKEGVEIPRLRIPGTQGNIQGGGGFGFGGGFNQIPQTNFIG TTSQLPKTSVPPTTTHFQNRFASGLASQLNAKLFIDARDLHQSQVWAVCKISPQVIATGD WQGNIKIIGLTMEGQYQFMQSLNHGKKCLFFIIIRYNNSYFCWIWDLERSQLIKELKGHI NYIFSLTQPVPGTLVSCSYDETVRVWNLQNGQCIKVFKEFKTSFNDLLSWNQEEVICCSD DKAIRLLNIQTGEMKMQLFDSCFVNGIARVSQNEIAVGNFKGEILIINVTEQKIVRRMGS HKSFVWRIKMIDKDLIASASFDKTIKIWEWQSGQLVASLEGHQDIVRSIELIEEVGFLVS TSDDKSIKVWRLI >CAK74167 pep:novel supercontig:GCA_000165425.1:CT868174:396630:397007:1 gene:GSPATT00010401001 transcript:CAK74167 MIQLNKIILANTTMGLILCHNIHSKNKKLSFVQDHAEQLNRQNQREQLDLPYRHYINKSN YIASALPHAPYKLNLKRGVMLMQGIGHSSIMQRRFLEKLNLNNSYFHSQAFIIVNPQ >CAK74168 pep:novel supercontig:GCA_000165425.1:CT868174:397633:398634:-1 gene:GSPATT00010402001 transcript:CAK74168 MKQLKIQAKRSYHDHLKQKSHFRSFTLQSEQDSILEKLNTSTVKIFNEIQQVKSKVVEMD SDFQIRQKSYELEKQKKILQMKEEQLKNEQIQSKGKPKINKTQQIQEMINNYMEASKNSK FGDLLLNKEKSMQKESTSIMGQDNSSNYENNDPARIATQTLESTKMYNEQSVTRKSNSYI PIHERVKFIVQTKNDKIQEQKQQQQQEVEEYYSSLPFQPLSTKSQNIKFTPQYTDEFVNN QLKWNQQRDKWIYEQQLKKENDTPKYSYRPMISPWPVKQSSVQRKHSKSINLSFFLSTAN KTEASPKKNESFVFQTDLRIAKRKEKESKKLQK >CAK74169 pep:novel supercontig:GCA_000165425.1:CT868174:399094:400840:-1 gene:GSPATT00010403001 transcript:CAK74169 MLVDYSDDEQQIMDNKPKSILVNPEVDCSHLVKKKEEEKALELSKTYNFLGQKKNHLTGN VESIYYNDAVFEEQFHKFNVYGFAVDPNERNRRVIACNQKQEQLSSLMKEGYDVDAADPL FSKNVLAGMHKEDKLKQQELKCNRVKANDPSKGEFMGPWAGQQDEQFENIQMNEEQQQLL DQLEEQRKQKIDESKKQEENFVPYMEQHVSQTEQFGGRQFIAPPPELKYVDHTCYIPKRC IQTFHGHTKGVQVIKFFPKFGHLMLSGSLDNKIKMWDIIGNKQCVRTYYGHQGALRDLNF SNDGRTFLSAAYDKKILVWDTEYGKVTQTINLQHFPYCVRLNPDPAKQHSFLLGSSDKRI KQFDIRSGQQTLVYDEHLQAINTITYFNQNRKFVSSSDDKKLFIWEFGIPVVIKHISDPE MHAVTATAVNPSGLNWVGQQSNNLIIVYDTKAGNFRMNRKKNFKGHVSAGYACGVTFSAD GQFLASGDSEGRVFFWDWKTAKSYRTIQAHDNVCIGVEWHPIEPSKVVTCGWDGVLKLWD >CAK74170 pep:novel supercontig:GCA_000165425.1:CT868174:400954:401280:1 gene:GSPATT00010404001 transcript:CAK74170 MSQLGPFQYTPFTINHPGQPDDDYSVKDPTVKTLDRSKQCLSPYNVNHEVSFLFTFLRSP MKGFPLSKIPIAFNYCHSTQKLQRPLKHFKTIGNSKQNMQNFSKAILN >CAK74171 pep:novel supercontig:GCA_000165425.1:CT868174:401351:404113:1 gene:GSPATT00010405001 transcript:CAK74171 MSKVLVVLKPTSKLLKYWSLLYLLIMIIQIIIIPLQIEPFFVLFLILKVIDALLNSISTF AINGEIETQLTAIINHYCKSQLVFDLIFLGSLLFQQNYWSIIINLLSNIPRLIQIQKQVQ LQISILNSNSEYNIFNSFSLLSILITKAILLTHVLTCFWLFNLLIGNEDSFLEQYLHSLY YVLSIMTFNSVVIDTSDQQNIIVYSVISLFSLICFSYFLAKMLTIFRDDELDQQLSEFMT QNNLDSTLKQKIISHLLYQPKYLHDRFISQLSSNLIQEYKISQRSKLINQYFKYFNQNTI QSIIDNSYEIVCQPNQTIIQEGTLDDCSLYFILEGSVCLKSRTNIKLQILKQNNSFGEIG FYTQKPRNFTIQSDGVTRLLKIERTTFLSCLSFNDKQLFYQQRDKILFNQGLPIKCACCQ KDDHIITRCPLLTYKPNQSLILQKFIFPHKQSRKQYKRSYFKDMRALNFYQCASQYEVAF LKLFSDQLQQSQLSQSQLPYDDFAVRDSNVSARSLTKFSRDRSVVKSTSFMKQQSGYEQQ SMPNNTDNLFPNFDQDNEFQIINNNQDKKYDEFESLIRTDQQKRTFATAGFGSQSLAASN KDLTQKSLNIILENEDSDSISEPKSKSVEEENVVDSPQDKFERKNIDPKLTFNYNFETQM NELQKQNQSHPRLSRLGSGSIRQQSSRNSTYKYPDVISQRQNSSRSLTYSPIPSNSQIKS GMPSSKQHRKSTFSFPQKLVQQTRQVDTTNLDDSRSQQQRHSIRKISTKTGTKVSIIPSC FQPFSNVDLPLYANNSFGLNNFDAMYSFDYYLPHNNYEITIYKANKFRYIREIYLSKYLN DYHLSNMIQLLKQKRLKTMKTYTSQKKLG >CAK74172 pep:novel supercontig:GCA_000165425.1:CT868174:404360:404659:1 gene:GSPATT00010406001 transcript:CAK74172 MKIQPNKHIQTSQKLYESAFIVYENQGSINKKKCDIKETDDLMAQETQISRRLQGMVNDD ELQNFINRKYNIPSSPFRYIKKFTIQRKTQSLMNSPRKL >CAK74173 pep:novel supercontig:GCA_000165425.1:CT868174:405220:405414:1 gene:GSPATT00010407001 transcript:CAK74173 MGCCANKQQSKNVLETNENQFKSKTEDSKAELKQFRINKNPILQRRASQKSPLTNHESFL TRNA >CAK74174 pep:novel supercontig:GCA_000165425.1:CT868174:405926:406303:1 gene:GSPATT00010408001 transcript:CAK74174 MGCVSQKQSQAQQQNKCRFQSSSFSNVHQNKKLNIESPYIPDNNTPILQCRSIDITKDEE FILKRDKKFIVSNQESPTTTPKFNQQNLVNIGQRKNFRVM >CAK74175 pep:novel supercontig:GCA_000165425.1:CT868174:407076:408888:-1 gene:GSPATT00010409001 transcript:CAK74175 MFICCNTKPKQEKQAMCLNNLIRSQKNTSTTANSDVESIKQSKCEEQMQKEPVQETTNKS LIMDSSQKNKKLQEIENIVNRIKAYREEGKLNLSIDIEKSFVTSLIEEDLPTIYFAEFRW AVNYTTFLERRIQEVPKKINNSKLLTQENAQKELIIIKSEKDSQLLPGVQPDRHYVLLNE RSWTFIHLLYGGGPKIMIEMEKPVMSPDIINDGQTNVTSHTANTTKISIEPLIDIAKLEY NNMEDSEKSPNTNTTRRSNPQKTNSIKIQPKLYELPCVGLQNPKYYCYMNSALQFLLSIR ELNDSLLKQSKQQNKKFTMAYQDLLRIVQNSIPGSAISVEKLQTMCLNKFKYSQQQDAHE FLLYLLSEIQQELVGKNKYQKEEFQNAQEAWDVYKTRNPDIITSLFAGQIASKSHCLKCK EISEGFDPILDLNLPLSKYYIPREFKLQDCLQTYFKEEQINDAWKCDKCQFVNKSVLRKI QITQTPKYLILHLKRFTQFPKSQKITDEVTYPEILDIKEFCAENVEQTKYTLKGVISHMG QLNGGHYVAYAQRQYSWYHFDDKIVTKDKNNQHLSDKGAYIIFYEQL >CAK74176 pep:novel supercontig:GCA_000165425.1:CT868174:409162:410016:1 gene:GSPATT00010410001 transcript:CAK74176 MLPDTNEQPQSIEEYGGFPGSKSFSILGLLTLALVYAKMTVHFYVKWTLILSPMIFFFAY KFLKSIYNISQQSRKDKNLSLKQRKIIMLWNLCQLLITAPLAVSTFYLGDLIEKAIQQQD IAEPLMHLFACFAFTLLVYLLYSLSSQKETSQNQSSNKSILLNFVLSMFGNSVSFCAGGV CNSFYISTLSAFFSAFGIPITQYLHYLNYLCIILLAFSLLSLYSVKESILYAPFLMTLIG SSLIVNDMFFYKLPYALWIGNGMIIGSAFWNSKLNQFSFFKRKK >CAK74177 pep:novel supercontig:GCA_000165425.1:CT868174:410085:410448:1 gene:GSPATT00010411001 transcript:CAK74177 MARKNNKQKKKAQHAFILQKEQEEQKKRELKQQKKQEKEENKWIDDLDDLEIDSEEHVFG LKKKIKKDKKNKKTKKEKKVIKKPESRVDAARRKKRERKARKHPTSYSKDIEM >CAK74178 pep:novel supercontig:GCA_000165425.1:CT868174:410487:410955:-1 gene:GSPATT00010412001 transcript:CAK74178 MKQGFVIRIQHPDNRTEKQFKYFDEKQKSDLIMNVMNGICFSEKVSDKCDGNFISVYDTA DDRFHYYIQKLDGIEIDNPNEPLKGRIWVPYINEKKSDWDMLVENNTRISISDHLLWRLE AVKK >CAK74179 pep:novel supercontig:GCA_000165425.1:CT868174:410968:414069:1 gene:GSPATT00010413001 transcript:CAK74179 MLQIEVICFGLAIEVERLQALTQQLQQDIAQANQLNKVHLNTIDQNSIHIQHLTRKLDEL KRNKNQLDSDYNQLKSQHDYVIDIISQITGDELTLEELTELIEELKRRSLKYDEIQELLN GQDINEIISNSEQLIIQHNINQELKDQLEQYPQIVISLNQDIQKQKLEIQNQKLQINQLT QQNSQLEVQIASSQSTLDQRQNSNQLFHSTQLNQQEQNEKQLIELKNKVISLEQQNKWLN EEMQSISNLKIQIEGKLRNSLLDQNKLLSEKEKLAKEAFYLVSISNNFKTQLQNKQATIE ELTNEISSLQNQIKNKDDPNEALLKQNKDLESFKQQEINNLNQELSYKTQEIQEISLQNL RLNDEIEKLNEQLKNSLKVSQHSKEFSQQIQIIQDKLIQNKNIMQMQDNHLQLLQQQSMN DKKELQQHYVGIIDKLNGDNFKLKSQLDESQNKINKLEDQLVEVQSEFQEIKDLLDSKQV EILKLSIINKKIQESNEKYLQQNQELEEQNRQLQFKYSSSQKSNQESSRSSSDYVKSQIL DKLKSENQYYLQDKYKLELQNQELLDQIASMKSEINKYQIQITNLTVQVENAQFQYANEQ SKEISSYKQQLQQTTKQINQLQQRTEQQTLTIQQQNTKIQQFENDYFCCEEKFKLQILQI QEKEFFIRELQQELHLYSNQIKKMEKELNILQIDNENKIQENNDLTKYIDNLRTESKFKN EQINTQQLHEVNIYNSELQMQIQQLNEQISQKCQLLEQKCQLIDKFNLKIMNQNVEICQL KQQLTIQQKQIRQFENNLQTSEQIVEIEQIDNKKMNEITQLNIKLSKVIDEKEQKILQFQ QQLQVKQDKLQEMEQIHSKTITQQTEQKSLFQSRSNQFLKSKISSETLFSQNIEDDPYQK LLILQKKYNDILKENSNLIINSHLIEDYKNKIALLSLEVSRYHNSQRGLLNSRENQKQED NTTNKTKSLSQDKGNNMKTQQYIEKSNKECDLYCLIVLLFAEIESLRAQDDQQKMIDKSK VQRIIDYYRQKPQ >CAK74180 pep:novel supercontig:GCA_000165425.1:CT868174:414192:418223:-1 gene:GSPATT00010414001 transcript:CAK74180 MIIENCLIQIGNICIQCEDYAYFENADQKCNLIVAPLSFCQYQLKLSPDLYCSYCFDYCT SCNENNCIDCQNGYYLNDNYYCISLCGDGILTHDEQCEIYDQNCLSCMFDAPKFCKLYFE DQCSECEHGYYFNYYNNACESQCGDGIIVYDEDCEDDNYIEFDGCYYCKYSCSQHCINCI KGLCQQCDLNHLLRDGFCYGKQNEIDVFPECQFNLNGECLICEEDSQLNEYGDCIPRCQE SCVHCYNGQCFQCAQNYELYKDKCSLIQQCQMSLHLSQELQICQTSCGDGYVTGWEECDD QNNEKFDGCYQCRHECDVNCIQCIYRECLQCLQEFNLVENKCLSKCEDTCLNCVSGVCKL CSSGYFLNEFFICIKMDSEQDFNFPSQCGNGILEDMEQCDDQNLFNDDGCNNNCEQTCDV NCTRCIDGVCFECKEGWKLGLFLCDPICGDSIVVGNEECDDGNQTNFDGCFQCKYQCSQH CENCLNGICQSCQLNYQLDQLSNSCKPIQPLLTINEQPNCKILNNNKCIFCQHGYLDSFT NTCIIDYNMNKCPKNCKKCVLYKCLKCEFGYYGNNCMPKCGDGIIVQEEECDDGSEYQLD TCLNCKFQCPQYCKSCAYGVCTNCFSGFYLDIVSNSCNSVCGDKILASDEVCDDGNDLKY DGCYQCKYQCQMECLDCQFGKCKLCQAPLVLVQSKSICEQLTLCEGLIGLYYDNYSNDCF TQCGDGIVAGNENCDDENDVPYDGCYKCKFQCTKYCQLCNQGECFLCDNDYTLQNSQCLL NIENDDLNSSNIEETQNNHTSNNNTFNLDQNPEWLSLKEHKICCEYYCAYSKKPSMKLTY KMQFYALQYVEITFDQEVKFSDQVQKDKNLFDISINDLDSKYYKVLKINSIQDISFDLQH AQYQVQIELFVQLQTKPVLLVQLNQEIVNSNNQTLFNPNQSINLQTPKLMSEKLRQVSIQ VQKSNKAFMIGAISICVISLVSGESSLVVETLNLLQYQSFLRFINVDYPENLYIYFQAQE LLSISSYLHFFQIDDFVNKITRKEKQVDLSEKFQQYNVDADIFTNILPQLIQSLVLVTIL FFAKNLFNILYRLRKYFGILLDQKIFIQKIFIVIINVILICKSFLKLLMKLRYLQNYDKI LQLIYVNSWDLIFKVILQLHYSQIDNLRSILTNIFATLIFITFINLLLKSFSLCSNQKKS HITKLELKFIALDISRTIFFHIVLILFQEQQILQCLLISFSSITQCCIIYKYKLCSQFDR IIFLIVEGVLAVFSLSLLPYFSIFNQFSISYESKVTLGFIQMAFLILCLGIIFAKQLFLK IKWVLKLVSYKKQPKVANSKLFS >CAK74181 pep:novel supercontig:GCA_000165425.1:CT868174:418330:418997:-1 gene:GSPATT00010415001 transcript:CAK74181 MYVEMAQLQQILMDSSMSNVMMAIHLVTMVVCQPTTICTSCINDRCEECATGYYLSDQKI CKPICEDSMIVVGEECENSFILPYKGCNNCQARCQSSCLTCSTTGLGCLQCKSGYNRIDN LCYSICGDKIITQDEQCDDGNFIIGDGCHLCQFSCQDSCLYCLQGICYNCQEGYQLIQSK CYSICGDGLQKNNEQCDINSSIQIYQNCQSCKFYL >CAK74182 pep:novel supercontig:GCA_000165425.1:CT868174:419021:422339:-1 gene:GSPATT00010416001 transcript:CAK74182 MPIIIIYILFTLLDTSNCQWKIKEKYLDNDWIFTTSAEPSSSSGYFILPSSQASANFITC ATPPTSYITLSNSFPSALNYINPQFYDQDWISMDLYFQSTWSSQNVQFTLGSFSYSYIYN SPTTYLLTTGFCDATPFEVKTLNFTLQIAEGSTYARMKFTSSNTDAGLVSIRNIFVSRLK CYPSCNSCTGPKYNQCTSCYYGIQTNNQCPPCPSIQYYWKEEGCRDICDITSPLYYNGFC QFYPIVRIISSYIFDSIYSNEIFKWSLIYDPQHVDTTPTAINIYLFALGVLKYNSGVYRY FDSLSTYSSSTFLIGLKITIMLYNEIPINCGIQFKINNTYYGSIYRNASGIQTHNSKISS IYIFGTASTYSYLTVRYELISFIDIPKYAFLFSAIGNYTDGTSGWGMSHVDITSGYCSQY CELCEVSFKCKTCEIGYYFYRDGSCVSSCSYPYQRLSGSYCYDYDDETPYSQYLVQEYLD LEGDPEYYGKYTLISQSGSNLLSGSDIYYSYWYRFRVFGGPFVWAQAKFQRVHNIINPHH SVTIAFYILYGPSFPSDGKFIYTIESNTPVTKSTANQHYSNFDGSKYQIVYERINHNANT LTITWECFGPNNEPIKAYCAYYNYYIAVHNCQPYCLQCSDQSTCTQWNSTYDSNIVKFSQ VECQSNQYYDKESVRCLTCPSSCLTCTSKLDCQTCQPTYTQSKLGCFCKVNQYEDSNQCL NCPIECNQCLSSTNCIECLTINNRQLSNGQCNCIDGYYPVVSDPKCQLCHQFCKTCTGPT SDDCLTCNAIPNIEKVGSTCRCPTGMSYQFATQTCSSCHSSCLTCFRTTIDGCLTCNSTL NRLLKGLKCVCAPGYYELSNVCTNCPITEDPSLSECHKLCNNNQLIWHTITCSSCDTGFQ LVSGECQPICGDLQIKGYEQCEDNNTILNDLCYNCQFQCPAYCVTCDLLTTLPCPDVCGD GIITGIEECEDGNTIQYDGCFQVVGNQCQPACSKCIRGLCFECATGGWYIDPLITPWQCK EKCGDGLIIGSEQCEDGNTSDTDGCKDCKYFCRIGCSSCDYTTNTCLSCALPGF >CAK74183 pep:novel supercontig:GCA_000165425.1:CT868174:424019:424607:-1 gene:GSPATT00010417001 transcript:CAK74183 MGFDIQSDFVTSLLQNRQFENLIFFTCISLLLKSFSLCSNPKEKNVLATLEIKFIALDIS RATLFHIIVILFQEQQILQCLLISISSIAQCCIIYKYKLCSHIDRIIYLIIEGVLTVFSL SLFLYFDIGEVYLSYDNKIALGFIQMGFLIMSLGIVFIKQLFPKIQKIRKLVCEQKKVQV ASSQLFS >CAK74184 pep:novel supercontig:GCA_000165425.1:CT868174:424666:430316:-1 gene:GSPATT00010418001 transcript:CAK74184 MTISIIQILFALLVISKSQWHSKTQLLYYDKIFTSTPDRYNEFWQYWHFTLSSGSTPANF IDCTTPTTSYITLNNAQATAKYNESCTYDVGDYVSIDLFFHGTWLSQNVQFTIGTFSKTY TYTSPANYPMTTGFCNTIPFEVKTWKFAIPSLQSGYPQFKSLNTNSGQVSIRNFVTSSIF FICYPSCSDCTGPQFNQCTSCYEGTLINNICPSCPSNQYYEKYSGCKDICDIDQSFYSNG FCNRFTNRIIESAQIGNRYSDLQWFELYDPQNIDTSPKLILIKYLFGIFKFNSGFYRFFP QYPLLYWISLILDLKLQLLHLMTFQLIVELRSIYKNVSGIQTHKFKIYQTQLSSSYLTYS SSKTYVLITYFNIPKYPFLFSATGNYTDNTAGWAIQKIEITSGFCHEDCVFCEVSFKCKT CKSGYYFYRDGRCIEDCISPYQRLSGYYCYDYADETPYSQYLVQEYLDLAGDPEQYAKYT LISQNGLNFLRGSDIYYSYWQGFRVFGGPFVWAQAKFQRVHNIINPHHSVTIAFYILYGP SFPSDGKFIYTIESDTPVTKSTAEYYLSDYDGTKQDKVYERVKHNANTLTITWECFGPNN EPIKAYCAYYNYYIAVHNCQPYCLQCSDQSTCTQWNSTYDSNIVQFSQAECQSDQYYDKE SIRCLTCPSSCLTCTSQLDCQTCQPTYTQSKLGCFCKVNQYEDSNQCYNCPIECNQCLSS TYCIECLTTNNRKLSNAQCNCIDGYYPIISDPKCQLCHQFCKTCTGPTSDDCLTCNDIPN IEKVGSTCRCPTGMSYQFATQTCSSCHSSCLTCFRTTIDGCLTCNSTLNRLLKGLKCVCA PGYYELSNVCTNCPTTEDPSLSECYKLCNNNQLIWHTITCSSCDTGFQLVSGECQPICGD LQIKGYEQCEDNNTILNDLCYNCQFQCPAYCVTCDSSTTLPCPDVCGDGIITGIEECEDG NTIEYDGCFNCKYQCQPACTMCIKGQCFECATGGWYIDPLITPWQCKEKCGDGLIIGSEQ CEDGNTSDTDGCKDCKYFCRIGCSSCDYTTNTCLSCALPGFVPKSYYCQNVCGDGLAVVD PSGVNSEQCDDGNKLSYDGCSSSCQFQCQSITICINCVNNRCEECATGYYLSDKKICIPI CGDALIVVGEQCENSSILPYKGCNNCQARCQPSCLTCSTTGLGCLQIIDNLCYSICGDKT ITQDEQCDDGNFIIGDGCHLCQFSCQDSCLYCLQGICYNCQEGYQLIQSKCYSICGDGLQ KNNEQCDQQIKIQDSIKLNQNCDVNCISCLEGICGLCSQGNYLFKNQCNSNHITVIFVGN DDLNVCGDSKIMDNEECEDNNLNPFDGCYNCECQCDINCVDWLIWKLQGMFSWFFKCGDG IVVPFSNEQCDDDNEGCINCQFKCKPYCSKCDLQYCIQCQIGLLPLDNFCISICGDGIVI NEYEECDDSNELPFDGCYECKFQCTLHCQICNKGECLKCNNDYALSNNKCLLNTEQDDLN SFNNNTSYGQNPEWLSLNDDMICRGYECVYSKKPRMKLTYKTQSFALQYVEITFDQEVKF IDEVQKDKNLFNISIKDLDSQYYNIKINSIQDISFDLQHAQYQVQVELFLQLQTKPVLLV QLNQEIINGNNQTLFNSNQSITLQTPKIMSEQLKQVSNYAQQSNKAFMIGAILICIISLV SGESSFVVETLNLLQYQSFLRFINVEYPENLYIYFQAQELLSISSYLQLFQIDDYLNLIT RKEKQIDLNGKFQQYNVEADLFTNILPQLIQFLVLVTLLYFAENLYNILFRLIKYLRHLK HQKTLLSKILLQMINLLLIFKNASNL >CAK74185 pep:novel supercontig:GCA_000165425.1:CT868174:431374:432103:-1 gene:GSPATT00010419001 transcript:CAK74185 MQPKRNLTLQLNSKVDEVFLTLNNNRSRQQQEYRQTTLFNIGTYLKHIYQTKKVKVKVAE INVQTMQKNSKLNKIRLKTVTQQQMNGKSQNCLFKKNMNTNFKIFNMDNFSIEKEYLSRN TKLLKQRIIKEKKQTKCQQYQYIFDKKRSEKLWLTNNWNALVIYSQGIEHYYFDQFLKKI AHSDLFVVQIVSPKLRFNDDIDDKTFRNKDEQILDNHKSQCNLKQNHDKQQY >CAK74186 pep:novel supercontig:GCA_000165425.1:CT868174:432998:436043:-1 gene:GSPATT00010420001 transcript:CAK74186 MLIFLSQLQYQWTWLSLIIDNLCYSICGDKIITQDEQCDDGNFIIGDGCHLCQFNCQDSC LYCLQGICYDCQEGYQLIQSKCYSICGDGLQKNNEQCDINSSLQIYQNCQSCQLTCNLNC LLCQLGICYQCKDGYELSSNKKYCIKSLEYSFMIIEYCHIQIGNSCIQCEDYVYFENFEY MCNLIVAPQSLCQQQLKLSPYLDSFTNTCIIDYNMNKCPKTCKKCVHYKCLECEFGYYGN QCMPKCGDGIIVQEEECDDRREYELDTCLNCKFYCPQYCEFCAYGICTNCISGFYLDIVS NSCNSVCGDKILASDEACDDGNDLKYDGCYQCKYQCQTECLDCQFGQCTLCESPLILIQS KSICEQLASCEDLKGLYYDNQSNDCFTQCGDGIVAGNEYCDDKNDVPYDGCYECKFQCTL YCQICNQGECFQCQNDYTLQNNQCSFNKENDDLNLSNTEETQNNNASNNNNTSYGQNPEW LSLNENMLCRGYECVYSKKPSMKLTYKTQSFALQYVDITFDQEVKFSDEVQKDKNLFNIS INDLDSQYYNIKINSIQDISFDLQHAQYQVQIELFLELQTKPVLLVQLNQEIINGNNQSL FNSNQSITLQTPKIMSEQLKQVSNYAQQSNKAFMIGAISICVISLVSGESSFVVETLNLL QYQSFLRFINVEYPENLYIYFQAQELLSISSYLQLFQFDDYLNLITRKEKQIDLNGKFQQ YNVEADLFTNILPQLIQFLVLVTLLYFAENLYNILFRLIKYLRHLKNEKTSQSKILFVMI NLILVCKNCFKSLMKTRYLQNYDQIIQLIYVNSWDLIFKVILQLHYIQIDNLRSFLSTFS ASLIIFACISILLKSFSLCSDPQEKYFIEQLDIKFITLDISRTLFFHVVLILFQEQQILQ FLLISLSNIAQCYIIYQYKQCSYMNRIIFLTTEGVLTVFSLSQFLYFDIGQLYISYKSKI TLGFIHMGFLIMSLGIVCVKQLFPFVKNIWKLVFKGKKVKVASSQLFS >CAK74187 pep:novel supercontig:GCA_000165425.1:CT868174:436101:437844:-1 gene:GSPATT00010421001 transcript:CAK74187 MKESTIMQIHQQQLGNALVQIMNQSRHIVHTIIIILLFIIVNLIVYNVQINLHVLNGTAL MILIQLNFLKQNARVINIMIKNLLDVQLVHHLIVQTCQSTYTQSKLGCTCTIHQYEDSNQ CLNCPIECNQCLSSTNCIECLTINNRQLSNGQCNCIDGYYPIISDPKCQLCHQFCKTCTG PTSDECLTCNDIPNIEKVGSTCRCPTGMSYQFTIQTCSSCHSSCLTCFRITIDGCLTCNS TLNRLLKGLKCVCAPGYYELSNVCTNCPITEDPSLSECYKLCNNNQLIWHTITCSSCDTG FQLVFGECQPICGDLQIKGYEQCEDNNTILNDLCYNCQFQCPAHCLTCDLLTTLPCPDVC GDGIITGVEECEDGNTIQYDGCFNCKYQCQPQCTKCIKGLCFECATGGWYIDPLITPWQC KEKCGDGLIIGSEQCEDGNTSDTDGCKDCKYFCRIGCSSCDYTTKKCLSCALPGFVLKSY YCENVCGDGLAVVDPSGKSIQSNVMMAIQLIMMVAVALVNFSVSQQLFAQVVQIIDVKIC ATGYYLSDKKICIPICGDALVVGW >CAK74188 pep:novel supercontig:GCA_000165425.1:CT868174:437922:439684:-1 gene:GSPATT00010422001 transcript:CAK74188 MTISIIYYLFTLLDNSNCQWEIHKNFLDTDMIFTTSGGSSSGNFTLPSSQTSANFITCTT PPTSYITLTNVYPSAITSNQYLFYDQDWISMDLYFQSTWSSQNVQFTLGSFSYSYIYNSP TTYLLTTGFCDATPFEVKTLNFTLQIAEGSTYARMKFTSSNTDAGLVSIRNIFVSRLKCY PSCNSCTGPKYNQCTSCYYGIQTNNQCPPCPSNQYYWKEEGCRDICDIMSPLYYNGFCQF YPIGRIITSYIYDSIYSNEVFKWSLIYDPQHVDTTPTAINIYLFALGVLKYNSGVYRYFD SLSTYSSSTFLIGLKITIMLYNEIPINCGIQFKINNTYYGSIYRNASGIQTHNSKISYQY NYGISSTVTYLTKQYDLISYIDIPKQAFLFQAIGNYTDGTAGWGLRLVYITSGFCHKDCE LCEVSFKCKTCKSGYYFYRDGSCIWSCSSPYQRLSGSYCYDYDDETPYSQQFGLRISRFR QVIQNTMENILQFSQSGSNLLRGSDIYYSYWQGYRVFGGPFVWAQAKFQRVHNIINPHHS VTIAFLYFIWTFIPFRWEVYIQN >CAK74189 pep:novel supercontig:GCA_000165425.1:CT868174:439946:443790:-1 gene:GSPATT00010423001 transcript:CAK74189 MKVKPVEEQLLCKVDFYQVQFVMPKFIQEEGIIKQIVPYKSPFWEQIMYWFFCVISLGLA YLFTRWEQKFNIWLKFQKCLLDEATHLLIYSALDEIELVKIEEKQIKKNEKQLKMKIFSY RLYSYFLEDGVFKPIETSFYKLQHKEIIKQFCKGLSNPQEIAQLYGYNNTTIPDKSTVKI LIDEVLSPFYLFQAFSVTLWLIESYTYYAIVILLSSLISIIISLRETKTNFKRLREMSAQ NTTENLYRKQNGIRIENESLIIPYDLISTRVKYNSNDIVPGDLIEVQNDWTVPCDCILLN GSAIVNESMLTGESIPIIKTQLPYNSNMYNPQEDSKTFTLYAGTKCIEARHPEKSKIPVL ALATQTGFSTIKGELVRSILYPKPVTFSFYKDSLLFLVVLAIMAFVGWLIALPNTIEGIK NGQMTIFWFIINSFDLVTITVPPALPTCLSIGVSFALARLQKKKIYCISPNKVNVAGKIT IMCFDKTGTLTEDGLDLYGVRAIGYKKQKLKFDDLIINISDLNIHDKSVVLDDSNVAFNE LKRTPKQVLYDIMASCHSLATVNNNLIGDPLEIKMFEATQYKLDDINSFVYSQDGSQIKI LKRFEFSSTLQRMSVIVEKDGELKAYVKGSPEKLRELCKKQSVPSSFHKILDFYSKLGFR ILACGAKTLQKETNRDDVESNLTFIGLLIMQNKLKSATTKTIQTLQDGFIRTIMVTGDNV LTAISVARQCSIVLPNQRIFLGDIGEEKINGKNQIVWKDFDMSDNVLNPENLSPELDIQD DPDNQEDENEIIWNQLSMKDQQTLEVALNEDLDEIIQKDDLEDYHQTSYDRKSISRRSQQ LQKSKIQSYKEKEKQLILENLDHLIDDQDPWKTNEPFVIAISGKAFQLLTKQIDTNPAAR KVFGKLLERAQIFARMKPEQKAQLITHLQKISKKALCGMCGDGANDCGALKAADVGISLS EAEASIAAPFTSKIQDISCVVKLLREGRASLVTSFQCFKYMALYSMIQFITCTLLYLILA KISDFQFLYIDLVLIIPLAFTMGKTKAYKQLTQFQPGSNLLSFPVLMSVIGQTIIQLSFQ CIVYFTLRTQSWYVSNFVIHEGNTDDHYAMMINYENTSLFLYSSFQYIFQCIAFSIGKPF RREFYTNFGFTCVLIILFIINFYVFFFSQHPEFLAEDIFKLIFNFQDSQMPQKWLIAMFI LMIINMIITILFEKYAVPITTRYYRAKKRQIVKRYRFPENPYLRD >CAK74190 pep:novel supercontig:GCA_000165425.1:CT868174:444861:446147:-1 gene:GSPATT00010424001 transcript:CAK74190 MQEIKTILQTELRNHDQIQELEEYFFKQFDYIRNLKSKLDAQLYLMLFEQIKYEKYPPLT PIFEAGDIGRRMYFIIDGTVGIFKPKNADAKPHPGKYHTFQEITERRYFQYQFVNILKAG TYFGEVALHQKGPRNASVCSITKLELAVITYDTYQKILSLAGQQQNDYKFNFLKSISLFE GWKDSALLAMLMNCEEQYYSPFTYIFKQNQPIDFVYFIVSGEVKLKVFRRIEEPGESKNQ INKIDGITHLTTNQYFGDMELYYEDCPHQLRSSEAQTQSSCKLLKICARQFIDNYRLFST ISKPRALSQRQLHHRSSMQKIRDKVISQKINLQMVKVQDAPKQIEEIHFQPIIPQIPIRF KVKFGQVQSQDYLSQRSLLSTDLLNPDRLRQTFGYVPMKCVDQTFINCVKQMRISKKSPL RKRTFSIK >CAK74191 pep:novel supercontig:GCA_000165425.1:CT868174:446186:448382:1 gene:GSPATT00010425001 transcript:CAK74191 MKSQSIYKKSNPIRSTCIKSIEANQEFYLAVIEQQMCVSICAYNEQTGEVFVTQFLDCTT FNITSSTILRFAPKILISIENNEKSMFFKQLEDELNDTLIYFLPLNVLQIKFELNQFSKF VKQLNQLQLCYTALSYTVNQHLNIQITNFPVQFYNIKEVLVFNIQTTLSLDILNQLYKLF KPITNGGKRLLKANLLQPFSTLQTIHIRQQNVKEMLGKDDSTIQSLKSHMLKFKQVDTLA CQLQSKSTKLQDQLQQIFKIYNFQKDIINFTHYLNDQKLNNIMDIDINGILMSDEFQNIL KQIEQYIDVTLQLNNIEEDTLFFIMLNEKSNNLKQNRLQFIALRQEINNLFQRLMSCFCL NLKCKKLKTNDNSIKCLKSGYIFELSVNEANRNNINELDIKRIVEKENDSISFIDKNNKK YSILTEKLKDLNNKLNDCQMNILQETQLQVNNLYEQMQKFCSWIFSLNCLISQFDIALCI RDYTIQNVSNKQQMIFPQFDHNQFIIKDASYLYSVNSHDTFNKLTVSFNQFNIIYDYKEC LSEQLKLIGQLIILSQIGCTLPCSQFKQQLLSCIYTHFQKYTIQNLAERQSSFTAEIQSL NNLLVSELRDSLILCDSLAISSSHESNVSFSISFLEAIFKKTHFGLFGTQNSDIMQINDL IQCKCMISNTNQIDQIQSNELEQILDEEFNLDYKLKLLNKLQQTFDFPSTFCLIFERNFN KQYA >CAK74192 pep:novel supercontig:GCA_000165425.1:CT868174:448541:449362:-1 gene:GSPATT00010426001 transcript:CAK74192 MIFLLFIIEVYSLQFQSFVHIIPHPDKVAKGGEDAYYANENLLAVADGVGGWNNQGVDPS KYSKTLCENIKEYSHLDNPKEIMQIASELTNHILGSSTLVLMKLIDNILKVANIGDCGYT IIRNQEILHQSQEQQHSFNFPFQLGPQGDSAQLAQEFEHTLQINDIVIVGSDGLYDNLDE NQILKIINEYGVSQSSAQLLAKTSFQYSLDKSYSSPFAKRAQKSRIRFMGGKSDDITVIV ARVISSNEL >CAK74193 pep:novel supercontig:GCA_000165425.1:CT868174:450074:452807:1 gene:GSPATT00010427001 transcript:CAK74193 MQQANHVIYELEDDDDNNKQQTSKQQIRIDRKLGAVSYKKWGTLYNYYFSFNLKKGRLLC YKTQTSSSYNNYYSLNKGDFVKDESEITRFENSLKKKKNYEFVQNQKLIIYVRTAKGRIF KLLIDENENYDKIVGYLQICFSSKIQSVNSFFALLGVGLVKVNDEEFKLTTGIFVSLNDW SKTNNNKYLLMNHDQGLNLLISNIELLGGIIGSFYRTQNLLGIIFNPFTQTIDIVLFDNI NPKTLLQGVFKLVKFSKKQIQPSGRGQLLKLKTDMNEVKDNHYVEIAQSENVKIYIHNAH LNISDYDLVQGSMFTSQYIDDENDLDADKLLSNTALEFYKKEYKVINTNEDETKEFIIVN KQQKQMNFNNFASPRCNKRQVSITTEDVNDENQGQGVQIAKSIKIHMHRSDQNISQFPNI TPPKLEFITEFQTGCFNNIDSIDQVQQNLFQQEQIKQNGNQEQNIPLQQNQEIEQSKILQ CQAQEQDNDSEEIDLVKFSIVFEASEHSPYRDFNSIMNYAVNFKKIDYKDEYRVSIAHKS GGTACQDKKMIELARSVGKNMIKQVGQKLLSGNFNLTQVSFPIKAMIPKSALEKTFMQTI LFPLYMNKAASIQDPLERMKLSIVGLLSNYIQANSFLKPLNPILGETFEGGYEDGTQLFC EQISHHPPLSYFLVFGPKKSYKFYGYSLYEAKAGFNSLTILNHGKRTIQFNDQKIQCTFS SEHYSGTFLGTMKNESQGSLQFIDDANNLKCTVQLGKVKSKPTDYFEGEIKRGKTTLSKL FGSYMGFADFDGIRYWDARVIKPFAMQILKSNLDSDHTKRTDRILMIQGDMDKAQSEKER LEQLQRKDTALRKASRQND >CAK74194 pep:novel supercontig:GCA_000165425.1:CT868174:452941:453972:-1 gene:GSPATT00010428001 transcript:CAK74194 MEDPCIICLQYTLNFVIGKSCECRYCYNCVFNWFEQNPEKLLKENFNCLNYQCNKPSSIQ NFLKEIIEQSLLDRYHEIAFKNYLQSCQDIRSCPNKVCKSYGFLPSSRCKEFLECETCNY KWYDKQCLSINKIFILFLKDIKNDFLCFFQEFYYAHRCPNCDVQIMKNGGCNHMTCKSCN HEYCYVCRDKYNGHDSKLCILKENSFIIMIAYLIIQLLFTFGVHTFIIYLFTLLVWLVWT VLIYIYNLNGKLIVVSTISTLLDMKYHYKQKKRENEDVKLYRQTKKRELWKQVLEIIALL VLDSIIVLTSIYEDDITQVVPLAVYIVQPVEFLVMLLLCFGFK >CAK74195 pep:novel supercontig:GCA_000165425.1:CT868174:454457:454948:-1 gene:GSPATT00010429001 transcript:CAK74195 MFREIELAYIYALTYLEQSTSINQILLILCFLIQLFIFQMTNLINQRGELLQQRAKGLSV WIKLPSKPLGDFVNWNYTQEKYPPNVTVQYNNNYYRLQNLYNTSPPEDIKAIFIQLLFYN IQKTKQRLSIILFIVCVLAVLIDIDALMFNLEIQYTIIPDSRK >CAK74196 pep:novel supercontig:GCA_000165425.1:CT868174:455431:456157:-1 gene:GSPATT00010430001 transcript:CAK74196 MAQESIRLIAKQLNKNKFLIAKPATDVFQCVQAFILLVKGVKTKDWLLAQLLMSCSLNQM VETILERKIEYTNIIQCKKLFLLIPQQQNSLGKLVYQLISSSINFLEEDRSKFQRSKSTQ LRHEQSQYSQCFNKIVNYNKKGSLIKCKEDLNKIIQNRKILRDQKIKEDDQKKFELLKEQ MKKLNKNSYFMSPRKILQDQQEQHVLSNNNSERRLTNNKQMRSLRSFRQSFYD >CAK74197 pep:novel supercontig:GCA_000165425.1:CT868174:456603:459820:1 gene:GSPATT00010431001 transcript:CAK74197 MSRTASRQQSRASIMQPQGQATTQQTQQPVSTSAPKPLPQAPVSNERKWLGKNPEVVCFL LALENDRLVRENNDLVKYINELKQSSGGTLDQFNQLKKQYEEALQQINKQKIDIDELNKR IRSLEQELSDAKSKYGQVDQTLPQKLADAEKKWKEFESLYNNLKLKYDTDIRDWQLKYDE LNRKALDWERRYGILEQQMKQMQDDHELELRSSGDSAKQYEDQLREWELKQNDWDNKQKA LRAEQDRLNQLLKNKDDEYERLKAQLLAAQRELDDYKNKLRESDQASGSKFTEYESKIAE LRLQNQQLNQDLERLKKRYQDLEDQLNRLQSQNQSQDSKVSELQSKCGNLENEVEKLNND LRDKEQEITKLQGKQMQLEQRNKELTDENNLLRKKVADQKAEIERLELELRQKISEIEYY NLNLVNQDERNKQLLNQVDDLQFQLQDFKTNYQQLEEDFNRLQKKNEDLENKCALFSAEI DRMKNIIKLKNQDLEKLRRQIGSLEIEKKFFEQQIEDLLNKMKQMQDEIVKLNELLKERL KQLQQQNNTIISLNHQLGEMKALQTYCDQQDKRINETTNKLNEALLQLQFKDIELMNISA LQASIQEREKQAELLNNEIVRLQNDIINKLKESDDQKEQIKKLNDEIARLKTQEQNLHDI ERKLQNAVEEINRLESQKSDLENQVSVNRQSSPKHTITKEIRIEKTSVQVDTTEIDKLKQ DLRQKQFELDQLNNQLLISQNALKSAEDYIAVLLNRINILNKENEDMLQGKQQLEIQVQD TRTLQDKLQNLEKQLTQFRNDNERILREFKEKSKLYDDARQKISKLEAQATEARELQAKL REIENKLVFAQTNQERLTAQLAEKTEENNNLKQNLQIANNEITKLSEQLQQLSEQERLLK EQVNHLLQDKDALDNLKRQHEVLIADISKANQNIDQLSIERDSLDNQLKQNQQELEKLRI LQEKVRFLGGECNKLNDKLGRAENELDNFRKRGQENSDLNKQIIDKNGQIERLNKELENL RKQLDGNY >CAK74198 pep:novel supercontig:GCA_000165425.1:CT868174:459898:460801:1 gene:GSPATT00010432001 transcript:CAK74198 MFRKILFRFSTYNFINVTQKGKVALIQFNRPKAMNALCDGLIKELNQVTQEIDSNPEYGS IVITGNEKAFAAGADIKEMQDKSFPQVQNIQMLASWENLTNIKKPILAAVNGYALGGGFE LAMMCDIIYAGENAKFGLPEATLGTIPGCGGTQRLIRAVGKSKAMEITLTGEFIDAQTAL SYGLVSKVLPVANLVEETLKVAEKIASFSKPVAALIKDTINEAENIGLREGVKYERKAFY STFATQDRKEGMSAFVEKRKPSWTDN >CAK74199 pep:novel supercontig:GCA_000165425.1:CT868174:460803:461417:1 gene:GSPATT00010433001 transcript:CAK74199 MQVQKINGSGVMIFIECKQEIYVLLLSSTGRGGVFFTEAGGKLEPRLNTEENAIKELFEE TYATFKVKSLKQSKNVIVNNKYVCFTIKIQMDDLMDIEKIMKNNRKILNEQKGLNSYREM FGFQFVKVNDLLQLKQKSIQSNGQQISLHKRTINSFEELIKQKIIREDFTVDVPVSQCQV LQHQYENQNDKLHSLNKQCYLSIS >CAK74200 pep:novel supercontig:GCA_000165425.1:CT868174:461452:463055:-1 gene:GSPATT00010434001 transcript:CAK74200 MLQNQLLILNNICLQYLNQIMIVNSGNLIVNIRQDIMSRYEKICQIGQGTFGKVYKVKNQ ANELRALKIIAKKDCAIQNEIENMKKLDHPNIMAVYEIAQDEQFYYIISQLCDGVELFDE IHKRIKSNKQFTEEEVRYIFKQILSGIAYAHDKNIIHRDIKPENILIDPTDQHIKIIDWG LSKDLTNIDFIKQRIGTIDYAAPEVLLEKGYDKKCDLWSCGVILYILLSGETPFPGQNTE EIEKMIISQKFNMKQKIWKTISVQAKNLLKNLLQQNPTKRYSAQQALESEWIQRKSEVGS TEISALEMQSRLQHFSHFRCESKLVQATLHLMIQQNLTQEQQRQMRKTFQELDKNGDGKL SMEELKEYCSNGIDIKDLFSRIDTDHNGFIEFTEFLTAAVDMKKLVSADQLKQAFQLLDV NGDGFLEIEEIKKMFNGKIQVQEEQQWDQLLLEMDENKDGKISLDEYKEAITKIIDSSQN SSEIHSSNKGQEIEPLITKKVKVNESPYKLRSRKCN >CAK74201 pep:novel supercontig:GCA_000165425.1:CT868174:463873:464794:-1 gene:GSPATT00010435001 transcript:CAK74201 MDRLNPSSKDCIVPLIEENSPCLQINKPEIDPAFLLPQFSQMMQNPDFFLQTVQLEQIKQ MQSSFYSQMNNIPLITDEPRVMDLFTQQLLQQQLMLNAALLNQFQGVENINYSQTKDLGD NKIKRKHIKKSHNPQFNNGHWSAQEHQVYLTFLSQHREVMESSELKKTNKIFKLMSDIIK SRSPSQCRSHHQKFNPYSKYLVNNNIVRRKMKEQMLNESKQQKQSQLDNENIQQSQDSNQ KQSQQQQPELNLKIESQQQLLESNLREDQQQLSGQE >CAK74202 pep:novel supercontig:GCA_000165425.1:CT868174:465325:473898:1 gene:GSPATT00010436001 transcript:CAK74202 MPISIIFILVGLVEVSNSQWQSQNSFLNYDQKFTQNTGSNAYIYSGNFLLPSSQTTANFI TCTSPPTSYITLNQIYPSALNYYNYQFNDQDWISMDFYFQGTWSSEDVKFTLGSFSYPYT YKSPTTYTMSAGFCDTTRFEVKTVNFTLSLTQGTTSGQITFTSSNSNSGLVSIRNVYVSR LQCYPSCIKCTGPKYNQCTYCYYGIQTNNICPSCPQNQYYWKNIGCREICEIYSPLYQNG FCQSYPISQLDYGYITSPVTQTENLKWQQIYDPLHVDTTPTIITQYPYTYGVFKFNSGVY RYFNTLASYSFYTYLIGLKITIMLYNDIPINCGIQFKINNTYYGSIYRNTSGIQTHKFKI SQTSTYGSYSTYSSNTRYELISYVDIPKYPFLFSAVGNYTDGTAGWGMSQVQTTSGYCSQ YCTLCEVSFKCKTCQSGFYFYRDGSCISNCMSPYQRLSGSYCYDYDDETPYSEYLIQEYI NQAADPGQYAQYTLISQNGLNFLKGSDIYFSYWQGYRVFGGPFVWAQAKFQRVHNIINPH HSVTIAFYILYGPSFPSDGKFIYTIESNAPVTKSSASSTNSYSDSSKSDKVYEKILHNTN TLTITWECFGPNNEPIKAYCGIQNYYIAVHNCQPYCLQCSDQSTCTLWNSTYDSNIVKFS QAECQNVKLVHHPVQLVHPNQIVKHVNQLIPNLNQDVLAQYINMKIQINVLIVLLSVINV QLSLTVQNAQLLIIDNYPMDNAIVLMDIIQLCQILNVSYVIQFCKTCIGPTSDECLTCND ITNIEKVGSTCRCPAGSYYQDATRNCLFCHSSCLTCFRTTIDGCLTCNSTLNRLLKGLKC VCAPGYYEQSNFCTNCPITEDPSLSECYKLCNNNQLIWHTITCSSCDTGFQLVSGECQPI CGDLQIKGYEQCEDNNTLLNDLCYNCQFQCPAHCLTCDSLTTLPCPDVCGDGIISGVEEC EDGNTIQYDGCFNCKYQCQPQCTKCIKGQCFECATGGWFIDPLITPWQCKVRCGDQLISG DEQCDDGNTSDTDGCKDCKYFCRIGCSSCDYTTNTCLSCALPGFVPKSYYCQNVCGDGLV VVDPNGFFQEQCDDGNTINYDGCSSSCQFQCQPTTICTSCVNNRCEICATGYYLSDKKVC IPICGDALIVVGEQCENSSILPYKGCNNCQAKCQSSCLNCSTSGLGCQQCKSGYNRIDNL CYSICGDKIITEDEQCDDGNLIIGDGCHLCQFNCQDSCLYCLQGICYDCQEGYQLIQSKC YSICGDGLQKNNEQCEFNTSLQIYQNCQSCKYICDLNCLLCQFGICYQCNDGYELSSNKQ YCVNSLQYNLMIIENCLIQIGNICIQCEDYAYFEKAEQKCNLIVAPLSFCQYQLKLSPDL YCSYCFDYCTSCNENNCIDCQNGYYLDENFSCISFCGDGILAHDEQCEIFNKNCLSCMFD APKLCELYFEDQCFECENGYIFNQYNNACESQCGDGIIVHDEDCEDNNYIEFDGCYYCQY SCSQYCINCLKGVCQQCDRHHLLRDGFCYGKQNEIDVFPECQFNLNGECLICEEDFQLNE YGDCVPKCSESCIHCYNGQCFECAEQYELYNDTCLLIQQCQIGLHLSQELQICQSSCGDG YVTGWEECDDQNMEQFDGCYQCKYECDDNCIECIYAECFLCSQEFNLVENKCLSKCEDTC LNCVQGVCQLCSSGYFLNEYFICVKIDCEYDFSCTSHCGNGIIEDMEQCDDQNLFNDDGC NNNCEQTCDVNCTSCIDGVCFECKEGWKLGLFFCDPICGDLIVVGNEECDDGNQSNFDGC FQCKYQCSQHCEICLNGICQSCQLNYQLDQLSNSCKPIQPLLTINEQPNCKILNNNKCIF CQHGYLDSFTNTCIIDYNMNKCSKNCKKCVLSKCLECEFGYYGNNCMPKCGDGIIVQEEE CDDRSEFQLDTCLNCKFQCPQYCKSCAYGVCTNCISGFYLDIVSNSCNSVCGDKIRARDE VCDDGNELKYDGCYQCKYQCQMECLDCQFGKCTQCEPPLILVPSKSMCEERKSCKGLIGL YYDNYSNDCLPQCGDGIVVGLEQCEDQNNIPYDGCYECQYQCHKMCSNCQKGLCFECKNG YHLNGQQCITKCGDGIKIGDELCDDQNDVVRDGCTFCKVDPLYKCEEDQSLLTFCYRCQD NCEECIYNLDMVECKRCKTGYFLKDNTCNSCSEKCEECVNTPNNCTVCSTDGCTKCDNIS GFYLDRKLKSCVTKCGDNILAGSEQCDDGNKIDKDGCNSRCEIEKEFICKESSCYVPPEK QIGLQYTNSTTASDFDLIFKDLKIDGVCEKLKIWIEEFQPNEFKYEVSIKEGENSKTQAK CEIKFNFFKTIMEYNLIHLIVPLKENITRVLEEETREIIITPRRLIYYNQDQKDQAQSVV AASSTLTFLLQLIGPLTIILGGFNFFWTILDILTWINNFYFLNVDYPLNVKLLFNQFQWG DVINIPDVFTLNSPDDPYYFEAPPKFTEKDVNPLFLNNIQLFSGLISLAILGYFISICIV SFLQSKYLQNNMKIHKIEIFSVSEMNKSLEMMSPQKSQMKQINLKSQKMPYIINHVYNEI LWFKENFRAKLLQIIGLVFLDICLACVLQLQYKANEEYVIIKLNIVLAIIGVIFILIVFK MYSFVCSQHEILYESKMFQNYYSSLYEGIDTKKILARNYCYVNLMRKAFFIFFTVYFYQV PLLQTSLCCLICFLNLALILYQNPFESRSVLIQSSIPDFCIFIIIFITVLLAIHDVSNIF SFDQKYFIGWIILFFIGFSIFVQMIFLFQQFYSNLKERVIQLKDYLCKQPSKKI >CAK74203 pep:novel supercontig:GCA_000165425.1:CT868174:473955:475150:-1 gene:GSPATT00010437001 transcript:CAK74203 MATKEHSLYKLVSKDQAEAFAWSFEGPNTEAVLYPFQFPPIRPDEVRIQQTYFGLCYTDC HLVNQDWFPISYPSVPGHEILGHVVQRGDQVTQFQIGDLVGAGFIRDSCGKCKQCIVGND QLCGQVGNEHLIPIPKFGGFATHVQLPAKWAFPIPNTIPQHLAPPLLCAGITVYAPLKRH FGANKNVGILGIGGLGHLAIKFSAALGMRTTAISTSADKEEEARAYGATDFICTKNQEQM AKANGTFDMILSCASANTVDEFIAYTNLIKSGGDFVMVGIPALTKVELQLPFFALVVRQI SFVGSLVGSRQENYEMLEFVNKHKIYPTCEQFEFENFKGAYDKLLNGRPKYRCVVKVGNA PETLKQ >CAK74204 pep:novel supercontig:GCA_000165425.1:CT868174:475571:477698:1 gene:GSPATT00010438001 transcript:CAK74204 MKLGIYEVGIIPQSVKQQYLELQAFSQWQYLGKNTLSTVLISLLDYFIAYDKYQAIENLA KPKSSYQNAYNIKISQFIYSLLSKKITPKEFFTQLKLFDSSNDRGGNLLEEFCYLQGLKK IPNNLNLLIQSIANFFSISINFIRQNIIGNSSKSKILILQSADGHFLIKQSSPLIQYINP RQQICQMCSMRYDDYFITQCLHICCNKCLNDKIKKTNQQLFIVCSNTCMQKIHIKDVQQY LSKELNTQKQQQEYSINLTKSQQFTTNDNSKSNPNNPGVENQKINSVYCNNFIDSRQQQQ QKCNYCQQSNANNKFFINNSCGHKFCTDCFKRKVMTKTQQCPVKDCYRYVDLQLYQQRVQ LEQEATQNQQPNYPQNQQPNYPQNQQPIQPQNQQFARCSKCKSEYSLNSLYKDKRCIHFT CFSCIQVQVQKLLQNQPNQIIITCPSCNNIYGGEFEKFYDQSQLRLVEERIKYDEKFQKE DKEREIKEKQQQQKLQQQQQEELLLQQQSPQKKVEKYPYGGNDTQKQQSQIQSQNDKQNN SSSVKDLVEQKQPIKIKEGLNQQEQGECTMCFTQFSEFNLRQDIDCQYHAIGVCCRTNCD RCPQCEAKNANPRSIRIKPKLALQTFTQKVEFLTSSNIYNSSIKQYNYGNADDYSRLNSR FNVVQSQIKSQQRNSTMDNNLFRSQGLQSNVVSVYGAGYKY >CAK74205 pep:novel supercontig:GCA_000165425.1:CT868174:478812:480655:-1 gene:GSPATT00010439001 transcript:CAK74205 MDFPFLILIRLKFQIQYKNDVNQYLVYKSYSLLCHFTNANQKQKSEITIFMLNNFGEFLR RIDQFGASYKPSYAYGEVQYKTSLGGLLSIVLYGLSLAYLVYEMVLWRSGRILPKITSLS TEIETYQLSFDKVASFCLRRHKSIQDQIDPFDPDHVVLLPMLYEIIDDEIQQPNPLLSTK KSEKHETILIELKDIVLSNNEHESLDHPNKEYMLILQQCVQDLLPSGWNCANEDTIKKFF NQKQNQLNIQTFVNQFNTSTRQLDLVEQDYYASIDNKTTYFSQITICTSNISIDTGFLLE SIEMMEFPSSTQQYIQQMDLDYFTHTFSEDVYVVFEFELGTLQQTVFVEYPKISEVLANI GSIISFFLFFSHVAYLINEKNLELRVVRTLIEMYYPQMKQVTFIKNLFGKVIEIRYQNSR VALSFLDTYKKLLKIASDKLCLTNTLYEISRLQFIVRSLSDKEVLRNCHEIGIRLKFIQL EQDVEKKNAIKIENMNNNQELRQEIIDNSSIDDQNRNILKIMPQSMVDVPHQVVQFQQLN QSQSLFLGKNLQIPESIDDELKLTDEDFYVLMQQQPKMKGLNIVELYETVTPKAHPQNNQ NNSSN >CAK74206 pep:novel supercontig:GCA_000165425.1:CT868174:480760:483235:-1 gene:GSPATT00010440001 transcript:CAK74206 MILAILYSGVWLCNTTYEMILGVEYYIFMIESAILLWYRVGDRNVFPHSPKTIKIQAIYK FPNPNSTISSEHPKQEMNPEKVFLFLLPPFLYDNLNKDQKQKHYFNIFKSLHLIIMGIWK VLHNLNYGQPIIITIILLLFAYYHKKIIVQQIIRSDRYLDDTMNIRTSSDTDRNYELQNS LISIIKLDCKLNLMMRNSRAQELLKNINIQELLNSPLLSVDKQTKLLMQEQFSCKFPSIY DLKEAQLNYTLSELLEQLQQLQKFPYEFEVFSIRGYNDLHLKVFYVEPKSFTIIIQNMEE YNLQIKKVFTQTTMQQLFKSFSHEYNTSLNYILALAQVAECHEDVPQNVQEQFIKPILVN GKVMHSMVLDMMDYNSILGKTFSLQVGVFNIQDLILEVISLFKDQVSKKNLEIKIEFNSK ITQILSDRNRIKQILINLVSNAQKFTLQGLISLKVETSLSNKKPYVVFHVEDTGIGMTKP EQDRLTLLLQSGVPSIQKISKNTAGFGLGLFISNKIAEALSQQRFEKGGGLRFETQPGKG FHCWFFVYPQTVSPDVKPNNPKSPLIILNKKIVIDTRQTEVNAGIETLQRDNKRNRLSHA MINNEQINEGYQIRHRRPHSQIRFVQELIDAVSTDTVNNECSVEDYQARIKYIKSWHQQQ QQSFLLRSNQSFIECRCPNILIVDDEQINILALSILLEQLGLNTDQVFNGKECVDLIYSK QKKTYCGKCSDRQYQLIFMDINMPLMDGWEASRQIKKWFSIAIIACTAFTDNETKEQCYQ NGLDYYLSKPVKRESLIQVLQYYRIL >CAK74207 pep:novel supercontig:GCA_000165425.1:CT868174:483483:484689:-1 gene:GSPATT00010441001 transcript:CAK74207 MTEIINTLVYDLLKLNQRNQIQAELIYDNLKVHLQPLFLKLQLQSRSEYILVSILYNPIQ LCQRLHLLDLPPFQVISETQNENIYLFLEGKLVLDSSEQDDLDSFESNVQYVKKNKLLFQ RQLNYGYIAEKRYLQKIFSLEHSKLLYVTKQSQSLLFLKHEQFIRQKRTFFLKLFEPTNQ TEKQQIHTLADSLLLQQFEENETIITIYQPTESMFLVYLGQVNLETKRNIMCTCSIFDSF NEDCTMAPYTARVKQQAHIFEIQKSQLMQLPAKAQSIIEDRLKMKMSLKKSLLDHHIIDL QVPEIMKSVKYDKLTPKRKKQQSLTSITTTRTSFKKLHTETFLLNNTNLTSQNSIIKQDA DVLLLPLKYHRHMTSRIKLNKFLN >CAK74208 pep:novel supercontig:GCA_000165425.1:CT868174:484704:485180:1 gene:GSPATT00010442001 transcript:CAK74208 MELQKEDILKYMKMALEQAELGRQNKEVPVGCVIVNRNDGKIVEKAYNNTNKSKNATQHC EIICINRMNRDLEDCILFVTCEPCIMCGQALNYVKIHSVYYGCNNSRFGGNGTVLSLNKY PSFGGHLEYDCMKILQDFYEEGNENIEEQFRHRKKQKQ >CAK74209 pep:novel supercontig:GCA_000165425.1:CT868174:485328:491658:1 gene:GSPATT00010443001 transcript:CAK74209 MILQQVKEIWKESDLSKDDGILQILNDFKEEILDDLEDEYEPEYRAIQVQENINIDLVPM NEVQKALIYDDKYPFLKIRKRILIQAKKISMMAMQLTKHPIFEFFTLLVIIFNSIMLALD DPTTDIQTPFQDLMDIIFLAVYTFEAVLKIIAMGFVFNSNTYLRDLWNVLDFTVIVTAYI PYFVNNSGLQLSALRSLRVLRPLRTISSVKSLRSIMMTLFASFAELGNSLIILGFTYTIF AIAGLQLFSGYAKLRCFDENGIIQMSGYDTDEPFCNGSCPENYICGKMMDNPINGLLSFD DFGLAFLQVFIITTLEGWTTIMQTIMTTFSQFSVFYFLLCVIIGAFFLVNLTLAIIKINF SKAESQEPIKPDDVSYNLKQMKRLGIYKFTRDSQTPSITRKHTIRMTRLRQSINNQNNIN INVANKQIDEPKRSSIKVNFQPLNIKDFSKPHQVKYNNNRILLQGIQGVRTEQPVSKYQE ANNPQLIMKTSVQSVNAPQIRKLSKLGTQFKQSQRKMSITHQEDSPLEELDNLLKFAVRR QSVLRVDTKIMASPRIPTPSKETPLPVSSSSMLDESQSPGKPHQSNFLGIPKQQFNFLQD QKSFNENDVLSVTLSEIDSISDSELNQRFKEFEISFQIEKSDDKTANETEDRKPIQHIHQ KRRKQDAPLIYELSKEDEKLKIRLFQSKLVPRVVYKEFHSESSKDILVSLEIKKQRKDQE IRNLRIQNMKFTLKYQFQNNSTKLSLSKTSQQQQSKSSLSSNDQKLKETVKSRKIIPLTE IPSVQEITTPKLNVRKQQKRKTIVHKVFTYEQYQSGFNHSSEVDANFNEKLEEVETQQLT FKTPNETYFYTQTAFQQYHQIKNDDINLSNGNLVGQASIEDVIMIRSSLQKRFNFILQGM NFTKQDYMIYMTKDMLKMLQFKLFLIVDSRYFNMMLNLAVFINTVILCLDGLVNNSEDLN DFNLAFTILFTIEMGLKMIGFGIIQYIRDPMNIFDALIVALSLIDIFFLSGSSVFKSVRI FRAFRVLRVTKLMRSLKFMNFLVQVLSNAFQSLMYIFLLLILFIYIFTLLGMSFFGGQLT YTPSRQSYDTFYESFLVVFQVLTLENWNSILYDLLKQPVSWIITMIYLIAWIFIGSYVLL NLFLASLLDQFEAEYLREHSLENSNQEGEQIQQQQIQQTQTMNQTVVNMSVNQTLNPILA KQLLTRQDSLNKFIYFSEPGLCFYSLNIFSQNNPIRRLCYKIVKNSLFDQIILFAIFLSS LKLVIDTYVSNFLDWADWFFALFFGVEFLFKIIAYGFVMEENAYLRESWNILDFIIVVCS FIDISVASIDLSFVKILRLLRTLRPLRLISQNKSMKLLVTTLINSISGIVNVGVVVILVF LMFAILGVNLEKGKMNYCDIGSDEQSYLHKADCISEGYEWKNRDMNFDNVFWAMLTLFIV STQEGWPTQMYWFVDADESGPIKNNQMWFTSYFLIFLLIGSILLMNMFVGVILVNYKLAE DEMKDKNVSRDQEDWISIQKLIVDSNPNFALYYPPKNQYRALIFKIISNKIFDFFIMLCI IVNIAAMASNYEGSSVKYQYILETLNLVLSVIFIFESLLKIIGFGPRGYFRNNWNQFDFF VVLSSILDMILSFTDNKDNPILSAGPQLIRVFRVLRVTRLFRLVKSLHSLKKLIDTALFS LPALFNVSALMFLLYFIFSVLGVFLFSNLSDGYIINSENNFNDFHHALILLFRCTTGEDW YLLMYDVMNKASYYYCSYFIIFVVIMQRIMLNLFVLIILDQYERFYFNSDNPLQRFQEFE DDFVDGWAPLASETKGQQIHQDQLVQLMLSIKAPMGYDLKEKINTAVNDWKTYNSDAEKS SQNLEKIKVMVTTEAKKAVAKTIMNMQIESDENQMLEYHYVLFAFMKNFQTDLFKEVTQA GLHKLIQREEQTLNKIKKNRHSSQEVNPCVELLFHIMCFKAYKRYAIKLKERMNRQQNEF SQKSSDSSYLHKSSDIVQRDSISQSLESIQESKQQFCLPPDLAVYQSDVNIAIKDNSQNT KNRGSVQQLVEQKLENISEFNSEQSISFNKLNDISN >CAK74210 pep:novel supercontig:GCA_000165425.1:CT868174:491717:492506:-1 gene:GSPATT00010444001 transcript:CAK74210 MNTNQQINFYYANRQYTLLWQDLDQNILNEVLFFLFNEISTKNKLNNMAFLDSNSQPIQN SLPHFIQSQNVYITNSNNTQMMNMVEEQEFQNLYQIHPNQLVIQKYKKMQKLESVNYQMQ TIFNLNQQYREASQNVKDNTNMINQKQSIERSANTYPITKIKSTTNQTLHKRQTEFKSYT MEEVQLHNTQNDAWIVLQDNIYDVSYYIEKHPGGREQILRGVGKDATLLFLQHHPWINFH YILEKFQVGYLSK >CAK74211 pep:novel supercontig:GCA_000165425.1:CT868174:492559:494753:1 gene:GSPATT00010445001 transcript:CAK74211 MTDVVVDKLQLFKNTITKCRIGIYLYNVIEVCPLVQHIKPISLKQNVLTSMEQTAIRIGE VICMEIDEINIQDNIIGMDIDISTSKRPEKNTFNPFIITIKNSTIASNRIHGIFLNSNLS YNPIHLQISKSAFFSNCNSLSLSHQGESNDQSKSDDIKQDSRSILIVANSKTITFLTLIQ IMKSISAILTLQQENLNNLIAQQSTPSQFKVKKLSNNVNIFQLIIYCQFNYSLMLKTPSL KSFSNPSERNQSEQYIQCYTNKIIRQDQQLNSSYYEEDQFEKCQNTNDTQQFQDYMQNLI TIISNPPQSQYLSQYYVLSTENDTITFISETSPETLMDYIKFRHQNKAPMNEDEIVYLAY ALLNGLSNINILYLSPTNIIKKWKIINYMEQITHQNQRSFLESEDKLYLAPELFKYLDIE LNDCDDFNKHLSQEIKSPQSFCLFVRRYPVTMHLFRIQKRQLPLSGHSQEFQIQLVIERS HSINVKRRR >CAK74212 pep:novel supercontig:GCA_000165425.1:CT868174:494839:496618:1 gene:GSPATT00010446001 transcript:CAK74212 MSLAVFFQFVKNKFFNLDWADHFFSMEEIIFISDLKEGIYPIGELKSEINSQITQIIQCC PNNCTLEELIATLTKISNPQQQEENQVVQPKKDQFLFRKWFKKQEQKSEDMQEQVIAPQE PTYMAQLLLLKLMSQASEKAFQTFISKVIHFMGGSGLIWQNIRKAFWPRALGFESLEAQR QIVQLTLELCEYLNAQKEKDLIQLEKDLIRQKYSKRTQDAIRRTIRGFINQSVSNCYIQG MDSISYILLEAFNYDSELSTICLNEIYKKRIVNLPSGEEQVEISDYFGQKLQEKILLYNW TLQYFDPGLTDFKCETHIVSWFSTFYAREFSLENVLKIYDYFLISDESFEILLACQIMLE LKSTFEIKDSEGMLSCLKNLQNNIQLDNCLQNALRFTSQLHKTFFILTHQDEEICNQMKE ENEYLQERPWEHPLTFKQLQEQLIFSISIHDFQQLLREQKQNFNVLSLDMRSAKEYEQAC ISGSLFAFYDKKKINNIQLLNYFELRGLVEEQSIYYVVAICDTEKQNVNEIIDYLLKQRI KRLVLLKGGIQAALLDAQDIIKFKQKAVLPPWIKVQIDELKKKNMQ >CAK74213 pep:novel supercontig:GCA_000165425.1:CT868174:497353:498786:1 gene:GSPATT00010447001 transcript:CAK74213 MSDHTIEITKDVDQNKIHITFQNNKERDDHLKKSYLYQILKQIFHTISHEFGTSLNFMLA IAQVAIDKYPNQKYYHSFRNTCEIMHYFVLDMVDYNDILGNQFKLQLEELDLQTILESVT TLFQEQCRQKDLQLSFENHIPESWQIISDQRRIKQILIHLISNAQKFTLKGSILIKVLAI RDDLIQFSVTDTGIGISQNDEQNLRNMLEQDFKDEQYLSSNTAGFGLGCYLANKICLHLS NKQFKLEFKRNEVGTTFWFQVQNNILPTQSIGRILGIQQINKHSYIDLKQSQIERAVGKK LSRVASKPSLNSKSRIMSFRCLIDPIKDYTESVINEEVNSIERKARTCILNRNIKKSMKF LIVDDEIINIIGLQLILKRMNIDIDYVFNGVECLRQVEQKRYLYQGIFMDINMPLMDGYE TTQKLISRYGNQIKIIACTAYTDSETKQRCYDIGMSYFLNKPVNIVELQKILIQFQQ >CAK74214 pep:novel supercontig:GCA_000165425.1:CT868174:498939:503392:1 gene:GSPATT00010448001 transcript:CAK74214 MLLFIQASILFSVFKDPKLLAINAIYQCKMRYLIQSSNIIFNCLEYSCIPLIQLGFLVLI ALLSAKYELFDVIRTALSAFFLIGFQVKEQISLKQNQQKGIENQTDQFPIQIETDTIITS QQLTSNNPEIFLDDFLGIVQSNQKMNDILQQMNYDDVTKLLQEIQITNIDSNSAKKMGRY KDDSLWFLLKRIINKRLEIYIQVLDYYHPAFQKYLILIHYKTQFQIQFIQVNERDTYTKK KYLSQILYQLFKSFSHEFGTLLNYLLALSQVAIDQFPDQVGFFQPIKSTGQIMHHFVQDM VDYSDILNKKFQLQFAPVDVEDLLQEVIGFYKSQIEEKGLKIGYQINLGRQAKIFRWSKM QFTVQGGIKITVTEQSGFTIFSVEDTGFGMNLESFENLNHILENEFKSEQKISQHTAGFG LGCYLSNQIALKLSNLPKLAGGGLQYTRLEKGIRVSFRVKNQPFEILYTSCDISSMKSGI LFNENGYFDSRQSIIDLQLTNKHKSTETHLILNMNRKSALLSISFSPNQIKYQVEQELNQ ENEISDRLRKCQFVKRRETQKKSPTFGASTSDVKDVKNFKEQTHILIVDDEMINIISLKI LLSQFNIKCTSAFNGLEALNKLKESNERFDLIFMDVNMPIMDGFEATEQILKFDDNLLIA ACTAFADVETKAKCYSVGMKTLEIIESLKFNYIMIKHRKQAILGMYILQLIYSTLECTRV PILKLILLLISLVISIFQIVLFTKCNKWNIYTQFAINIMSIFAKQSDQFMILQSLVGFTI FMQIDQKRWHINILACSIHVTLLCIYSQNNEVQSYLMTIFTIMILSYFSWATKVQKLQKE QSKGSLLTSRVPNTLKSLVSLEDIHFIEQRAIVLENSTTLEVRTFANELRELLIRDEVLE DNLLDHMKILKVDKQTQRNLNQKIKGISMRQLINKCQILQKTAEILEYYQLKLKFERDVI MLKFEEIKEFAKYIKKNSCYALMNKLFQSFSHEFGTLLNQIALNAQNGIAQFPSMKEQFD SIYNCVVMMNNMVKDLKDFHQLRSKTFQLEIVDVKIDDIFAELSSLFKQQATQKKLSLYL VNRVKTTFKQDEQRIKQILQNLIQNAIKYTNQDGHIYVTAEKEDDKRIRFSVLDSGIGIT ESVASNIEKMLGNDLILTSKLSEGAAGLGLGLLNSNYLIKHLSSFQQAHKEHLQFKSKLG DGTKFWFNIWKYQVLDSSESQFESKSIKMIDKKVFLHQKSIIAPPLSCMAQNPKSCPSQI RRLTPSRSCQNRRQPQIFFPSNIKDENIMIMEEDDRTISDDPKPNNLPNHQPSHLPGLTA RLPSMGSPQCQYVKLLLVDDEPANLFPLKIMIKMLGFESDIALSGYQAIQQVESRLNQKC QYRLILMDINMPQMDGFETTRSIKQIQPEITIVACSAFSDIPVAWDVGLS >CAK74215 pep:novel supercontig:GCA_000165425.1:CT868174:503445:504764:-1 gene:GSPATT00010449001 transcript:CAK74215 MSDIKEIEKEKKFIEEYFTQISTSDIDTIKKYLLYVKQRKRGNENEKVPIRTFINTISEG KGKKAIHFGASRGDLEVFKFLVKKGADVSLLDDEKNNPFLIAVQHNHLSIVQYLIDVHHV DVNYQRNTITALHLAAQQSAIPMIELLLSVGANINALSNFGTPVSFAVAYQQNLSALHLI KKGADLNIVQEQMPSLLHLLIDQNNEELFNTIFEEFPEKVDVNIKDPDGWSALHLCAEKG LLKFSQTLIKQGADINYENLKQTPLDLAVQNEKWDCVQYYREFALRKEAQIPSPPVQLTD EQIKDVYNEINTEKVEANKLLQSEQYEQAIIKYSEIIEKSKQIVEQHRDEIVKIYTNLAN AQLKLSKYKDVLTTCKQARNVKKDWVKIYYFEGQAYEGLKEYGEAAASYFEALKIQQEPG MKSLFDQAIKRGKDLHKQQ >CAK74216 pep:novel supercontig:GCA_000165425.1:CT868174:504779:507515:1 gene:GSPATT00010450001 transcript:CAK74216 MNQSQFLQSLNFDPLRSPPLREIAQRHKRATMCGLLIESNDFCQCCGLGIHTHPISLKAN IINLAFLGQGIPLFYNITFLLILLTFILLLIFGLPTIIFNFLAENCIEQTNEAYNIFITQ GCSKNCPQEQDDFKLIQQLISNSDCTVICQHYQELCIATLLSEMSFTNKQLEDDLKIIQS FFVFGSVIFIKIYLVLIREKQRIIEAECDQELISPSDFTAMLNHLPEEDYDEKELATAIE QYCQKIDSSNKYEVIKINIAYDIKSFVLKSREKIKLEKILAEEQKYYIKNQKYKRNKQEI EKIKLMVEQLKKELANIEIEIENGSYRKTTSVAFITFQTKQQLQKLIQQTKLSYWEQLLM QVKRLIQNKDKRGFYFKDNLISICRAPEPDDIFWENCGIENSIKIKRKFISWIVVFVLLG ISFATLYGLEYLQNQYLSNDLDFITKTSLSLLKSLIITIVDALIYYFITLLANHERHVTK THQDTSVAQKLCCVQFINSCFLLLLIHLIGVGQKGDFMEKSKYAIQKQGGIANDLLFVCC MNALFTPITAFFNPIYFIKKLQQLFIESNKSLTQLEANKLFEGPQVLLYDQYAFICKTTW ITLFLAPLTPICIFINWIGLSMYYWIQKYLLLRRNSKPPFQSSHLDREMLTLLDLSPLLL AGMQYWIDEIYDSNYLSHSINIGTLVIAGLELVFPSYRIHQILYRKQLEDIENQRYIDVH LRFTTDYDRTNPLTSEQATQEFMKKKFQQFNKQMTYKRNSRMQSTKRSLREYVQKGIQSA NISRIQILKRKLQIAYKLKMFQNIQQETKYKESDREDSIHTFNFPAYQRAPQYNFSRVIN QFPNYCQYNSDLTSRSNSISSTIQLNTSKYQLTTKRNSQIQSFRAPLQ >CAK74217 pep:novel supercontig:GCA_000165425.1:CT868174:507596:510286:-1 gene:GSPATT00010451001 transcript:CAK74217 MNQIKIDAKNSLTFDPFRIPASIDLAKVHQKASICGENEQKSDHCPCCGQPIQQREISLC QNRLDLAFLGQGMPLFFEMTSQLILIVLILFTLLGIPSLIANLGNHDCISEENLFYKLSL NGLCNKNCPQNSTDFSSITKLLHSNDCETICAKIGDICLGTSVLQMSFANKQFDETSKLV QSILVLCSILVFKFAMIRVRISQRRTVRICDQEFVSPSDFTAMMTNLPINEYNEAELKDA LLDFCYQFDESGQYEIVKIIIAYDITSFVEFSREKMSLEKKIERIENYYKQYGRYPRSMK INQLNELKQRIGILDEKLTKIENEVENQQYAHQTQVAFVTFQTKEQLQSVLDQTKLSHWE ELWIRFKYYFINRQDQRGFYFKNRIIIICRAPEPNDVFWENCGFNFQYQLQKRILNFFIT IFILGASFTILLGLNILQSQNLSSYDDAILIIITLVISLIITIINQIIYYFIKLLGLSEK HFTKTHHDVSVATKLAIVQFFNSGIFTKVINILVYNFENVKTDNYASTYAYSRQGGVISD AFFLLIVNSFFVPILAYLDPLYLYKLYQQYFFKVDNNFNQIEANKIFEGPSVFLYEQYSY ICLSIWISLLFAPLLPISLLFCSSGLLLYYWIQKYLLLRRNSKPPFQSFHLNREMMNLFE LSPIILAVGQMWADYIFSSSSAILTVNFISLGFSCLELITPATRISKLFDKKSNISTEKD RYSDVYLKLPTDYDRTNPLTQQNAILEFIQAKTLVDSNYVQPQVMDTKTALYKYAQMGGV QFMRNTISLKLKISMMRKIKLIRKARQIAAVIQQQQDEEMSQEQEEPIYTQTPEYMIINK NISFRKNSLNISTDNDNNNKFQEAQNYNFSKILNPNKFENL >CAK74218 pep:novel supercontig:GCA_000165425.1:CT868174:510335:513261:1 gene:GSPATT00010452001 transcript:CAK74218 MQENSKEYDPFKIPADTNISIKHKKATKCGTPIAKSELCPCCGREVEQEQKEIGISPIDL IYLGQGIPLFFLFLKQMIVVILIVFGIMGIYSLITNSISDNCLDNKSDLLTLYQNGFCEQ NCPLPLDEDYLKIISILKTEDCQIVCNQFSNVCTTISSTKIALSNKQLDKDYKEVQAILV LCSIILFKIIHVLFRRHQKLTQDELDRGLLSASDFTAMLTKLPQNQYTESELRESLSKYC KQITQLQPEQEFEIVKIIIAFDIFDYINIIRTKTELEKQYQINESFKNINTQYPPQVTAE TQKELLLQIEKKEEELSKIDNDIQKKQFTQTTQVAFVTFQTKKQLDTIVEYTKLTSWQLV LTAILNVFGKTLNKGFYFKDKLIQITRAPEPDDVFWENCGYSLKYKIQQRTLSWLITLVL LVTSFFILFGLDYVQNNYLKDQNINYVLLTIISLIISLIISIINAMIYFSITILANKEKH YTKTHYDVSVATKLIYVQFVNSGLLIMATNILVQGLQNRNDLNEDIELQSLAFSRPGGVA QDAFIITLINALITPLTSFFDIFYMRKLLQQRNLEKSTTNAITQTEANTIFEGPQVLLYD NYAYVCKTVWITLFFAPLVPISLLFCICGLFLYYWLQKYLLLRRKCRPPLQSSHLNSEMC NIINFSPILLAAGQLWVDFVFESNATTKIVNFVSIGFSGLEFFFPFEKLAKKFLSWPEDP NELSKYSEKWHELPTDYDRINPITRQQAIQNYVKFKQSVDNKGNNQNNQQNDQVLQALRQ YAFEGGEYKFKSHLIHKLKAVTNLQTNKQTNNIPINTIEMQPKSTNQFQQQEDKTALLGQ QQYISQIQRMQQQIEQPNQNQNNYPVYPPQQQYYSYDQNNQQQPYYQQNQQQQYQQPYQQ NQFPNAQMPYYNQDPRMYQQQPGQNPQQPGQYPQQPTQYPQQPGQYPYQQYPNNQYHY >CAK74219 pep:novel supercontig:GCA_000165425.1:CT868174:513314:514921:1 gene:GSPATT00010453001 transcript:CAK74219 MIDQIVKGFQKIDRFGAIFRPPIVDIHPEYRSVLGGIATLVLYGSSVAYFLYQIIQWQSN QLLPKITQIQISYDEKYYNIEDQLSSFYIRKNFKNDQIDPFDPRNIILQPILSKFSNQQL VKSYSFYYDQKLEDQDIREVILANIELNLNTNKSSDNPQIEYLLSFGTCMDIYLLEGQKC ANESLVNTYMNQKSHALIMNHFVKEYNSKQKRIQNVKKQFLAILTKNTTLYFQNQIRLSK TQIDDGFLFPSNSKKEFPIDTIIISQTTVPDSFQNIFGRPTYLVMAYGLSEVIQEQYIEY PKISEVLADIGSIVSIILVLSYLFIFFNEHNLEKESIQKVIQMYYPEFCNMKFKQNWYGK IVDVKYNNIPIDKDEFLIFYNKIQKIATKKLSITNILYTLSKLQFLLQSTYNVDKIKLAH KIGIKLKQFSKASNIALIQEQLNFQEISRNESKDQIQIHDIANINPNDQNNNPNSMIRLE TINKDNSKSIFLKLKDEYHLLNDEDFQFFTIDEPILIDNDIQKSDTYFENNFIDL >CAK74220 pep:novel supercontig:GCA_000165425.1:CT868174:514956:515806:1 gene:GSPATT00010454001 transcript:CAK74220 MNTLTKIAKHFCKQIKEKKDDFLSSDRYVYARQKLQIINDPKFIQKTIKIEESAKAKNYY LTSKQFPILLCAGTLFFYHLWQVMPYSKIFKHTSISEYTKDLLYPILLSPSSHQTSQSFL TYVPALICGLYLNGYYMNYKGMIGLYLTNSLLSSAVTYYYEKYRNEQLGISMISPKTTGA CTALAYIFSFAVLSPDYMIRKKLPFAIFPLLYLIYEVEELLMDDQQNCRPAHISSIILGA TYGLIFKKYYKFL >CAK74221 pep:novel supercontig:GCA_000165425.1:CT868174:516578:517396:-1 gene:GSPATT00010455001 transcript:CAK74221 MQILEKIGDGLSSVINKCIINHKQYALKSFKECSRKLREREIKILNSLKHENIISILEFD QDYNWYTTALMKTDLHQLIITHGPLQSITIQQILLQLSNAILYMHSIDYVHRDIKLENIM LNSEAKMYIIDFGLSVCLHTSKQYPRHCGTATYMAPELNLEDKIINSNSLKKTDVFALGV VIFILAYGYPPFTVTIQSKCRFWNTIIQQKWQQFWNYFDKLIKAPTHPDFKDLIQNMLEP DPNKRFTIEQVNKHPFITNQSNLEELIDKLNI >CAK74222 pep:novel supercontig:GCA_000165425.1:CT868174:517474:518603:-1 gene:GSPATT00010456001 transcript:CAK74222 MRQQLLTSPQPPPKQFVIPQQRPTYYQPPYQPQTYRRPQPAFVIYTQPQPQQYQYQQYQS SIQPRQTQPVYTVQSQQIYQPSPARQQRNSIHQQQLPIVNSINPQYSNNQRLIYPSSSQQ QQTLPQTAPRYSVQKPQTYTLPNQTPQQIFPQQNYHQTQQQQIQQQTPQIKSISPVNTQQ EDELEKRFQDAIDRTRDLVQKYNPADQNKQQQQQLTQLALQYEDGFIYRGQGYEPAVREG FGVLTDQYENEVYSGYWRDNQYHGQGKLINFQVEQIQGPYDYRDLGNIENGWLSYEGDFY EGKMHGKGVLMLTNGEQFEGEFNDGMIDGQGIYSTSDRHDIRGVWKDGILVE >CAK74223 pep:novel supercontig:GCA_000165425.1:CT868174:518714:519315:1 gene:GSPATT00010457001 transcript:CAK74223 MNLETAGKIWECSLILGRYLIKQSYFNKIELANKNVLELGCGTGILSIILGKQGCNVLAT DLPQVEALCEQNISKNNIASQVKFKILDWNQSKHKTDCLIDKKQIDILVASDPIYNQKTF DSFFAQLKILYEVIPNKPILYLAHKYRHDEFDKILEDKIEETELWFKKVDSEHLDDLWKS QQCVVYKIGKF >CAK74224 pep:novel supercontig:GCA_000165425.1:CT868174:519350:521083:-1 gene:GSPATT00010458001 transcript:CAK74224 MISGNQVIAQSFAQNHLKYVFGIVGVPVIELGYAFQAQGMEYYGFRNEQGASYACGAIGY LTRLPAVCLVVSGPGLIHALAGAANAQVNGWPMVIVAGSSENGQQSYGAFQECDQWNMVK PYIKFGAKITNLRQAPILIEKAIRQSCVGRPGVTYIEVSGDLLRSNIPETELIKVPSFTL EQIPKSLADPKNILQAVEQLKNAKRPLVIVGKGASISLAEEQVIKFIESTKLPFLPSPMG KGVVPDSNHLNVSAARSTALGDADVILLVGARLNWILHFGLPPRFDDNCQFIQIDNFPEE FNNNRRTTTLFGDVSLVVDQLQKSFGNWQFSNKQWIDKLFDKRNKNTLTNQQLMNDKELP LEYYSAFGIIKQYLPRDCVYVGEGANTMDVGRTIIEHDLPRRKLDSGTFGTMGIGLPFAI ASKLVFRDKQVFAILGDSAFGFSGFEFETSTRYNLPLVLIIINNNGIFVGVDELSEKNNE KPVTALNPNSRYEKLCESFGGKGFLVETHDQLHNAMKEILSNPQQSYIVNVRINPYGQKK PQEHAWLTQSKL >CAK74225 pep:novel supercontig:GCA_000165425.1:CT868174:521654:530691:1 gene:GSPATT00010459001 transcript:CAK74225 MSKILKQMLWLVSMMSFTVNGIIDIQSHVKGCLDASCTKLCLLGVADCSKTVPVCEYGYF LFKEKYCVKWYGFPGFSSDMRFDCMDCLENPTSWAENRQCSYGYEITNGDALSGVFQQQN DSASSLYFIVASNQQPTNLVDRQVDLPYVAQLCEGCDEFCDDDTDEACNFFEDYNNNDVD PQVGVSCLDGYYFENDNCLKCSRYCMQCDENECQQCFKGYLLKEGGTCLECPIGCLDCNF GFDGITIECYECQQEGFGEYFLPSLFLATCETCESGCSKCEYMTYDDTIYPTYLTRGLEV PFEEDVNKYIKRCRQCRGSKSFINASGRSCSNCNIADCKQCYQDLGGGVTTLDPDFTPKD GDFDILCYMCSVGYQLSADKKSCTTQTDPNCAILNSDGTCQQCANGLMFDSTTTPGTCTT TKCSSKILNCVDCFSYDEPDADVTYDENGIPVRSQKKQIQCTKCSLGYYPDFFSGKCVRC KQNCQQCWQNTDSYNLTQINSVYQVYSQTAKSSFLNEDLNDPQCTLCYNGYDLYNNECQG CGDGCLLEQVSMCVFEFDTAYCSKCPPGQRSLVNDNSDCADCPLYCEGCRERTQSEIYNI NPLFNPSNSDFQKYSNLCYKIMKNFDVTKNLYLDTLTNMPTACNYPGTSKKCYYTSTINF ELNCDDDVTVPDALDLSSIFKGKSSTATLILKDIEKYENYLLLNKNAVQEVTLLINIHGS ECKFTKPTQFKTQLQQNVFSLLKLNVHFTSSTSATFYQIGHISFSNINNLRFENIKFAYN DDIKSKIKDFGITLKGKSSAFTLINSEISKEDSIVQGMFSFNFTGVQKLTLDQVEFLYLS PIDQFLNQNFTQESTYTLSLNKVKFTRCRFLNNQQLFDQNPVNNTKITINDFTLFKSEFI GTNIFGSLNGQTYAKLDLTITNLKIQLCTFLQSSFIISNQLIASSISNVDVYTSQFVGSY IFMLNHINLQNIFVGSSKILNNSIILSTSPIVDAMVVPKSVLQQFKISNVHFDQNECNTI NCLIYLSTPMNAYNVQADLKIESLQVIKSATLIYTQVIRYIDSAMCVFKQFQNIKIQKMK TVDSYGSIVFYFDQIKSIDVDTFQCDSVQSEFMTVTDENGDEIEVKNPYNNLIPSSDYCA EQLWSSNNYNFYCLYVNEYSHGVKMNNIIISNLLLLDFNAIVIQSYDILKMKTNRVKDNL QQFYSNYEKEVIIITNIYAFNNTLLAYDLGSQLSLLLIKSQQEQQIIINNAQFESNHLHK SSLYQMMISATVLVVQSQQAIMNISYATYDYNRATKFEYGLNYVLVKSLNMNNCWFRSTN QFEGVWFKKLNENQMNDTLQVWEFFQVKSKGANLYLVTQELNMKDCQFINSFGLDGAGIY IVTQGAGNLLINNTIFKNIKTNLDQKYLTQGGCLSIDAKASAMSLTLSNVNMEDCVSRSK GGCIWVGSSKNQQLIQISDSKFIKCQSLTSQFMDVQFFDVVNQIMSMNNLKIENNNLQEF LSHVPDLSETEIDQFKTSASVYTQVNGQLRMNHISIYNIVNQNIIYAANLYRGYFNQIQF VNNTIFSAPLMRFTLKDELGTAYLGSITFSNNNQFIPQKKFSICTNLFFVIPTEAYDEQT CDLWQENIALVDQIFSDSLLVIDDLELDDEYLGMNDKTKYGWQEIENELQPTADDLPLNF VYDQNVKDCIFYQLFSEISNRTSAYIQILNIREGNQIKIEGLELSNNDCTVCTYGLVQII QVDRAVDIITINYLLCVNNHLSYFGCLAITEDNQLKDIHFNKPLYGRRLLAQTNDQYSIQ VNNSRIINNMAAVGSGLSVISLNIVFDNCEFSNNIASLVGAGIYYESINSYIQIFNSKFS YNQAQAGSAIYLEGTQLSNQTKCKNSYVQNIGTSTYEDPVGLKITMNDQKMPTIPFSKYT NEDGDVISSTIAMIDKVSTEKVQLLNYPEITDLLIVPSGQKIGNYKFYFIDTMEQIPYDW NMKLIYLNRFDEKLAQEYSSDSCQIDARIQNFSFYDNSKEYMSNFTNYDTLNYNVEDSSF ELDSLIITFDPYMHEDLYLELRFYCPSVKKPVLQAEYPYNVLSQNKNYYLLANIRTYPCQ LGEAYYDGKCEACDINQKKFSVVPNSPSCQSISQESMIDVTPVGIKLKQGWYRPYIDNDQ YEYCLNLPANCNGGWIPGNPSCYTGHIGALCESCDLYALNNDIRYSNTEKYVCSPCQNTR DSSIGILAGNTIATVASITLSVKGTYELVNQFLMVKSLVLMGARFNQNANQLATLIKMLT NYFQIIQIVNQFQLVLPKGLTKTTDAAGNPTKSSSFSLDCLLEPMTDINMLYFRMIWALI MPCIYLIIYFVQYFFGVMIGKIPYKAPMITTAFIYMFIFTQPTLVGGFIQLGSSRIVSGV NWVQADVAYRSDTDEHQLWVAAFVAPMIVIWVALLPLIFLYRVYTYRFHLNNRHIRSRWG FFYNEYDTSAYYWEFVKIFQKELMVIFLTFYEDYVLIKGALVILVLLYYSWIQINTKPYA NNDLNHLDRYSTIVCIITLLIGLLIYTSQEYDTQYLIIIMFVLLGIFNLLFLIQIIFKIF EGYLVKLSDKIDPIRDQIVARKPNIIYQYPSLRKFLRNKQKTTQRVNELWCALRSYTKEQ IRNKRNNLPKYELIINAPYDFEAAKLPRDVAAAIIPIMDDQIPEYLISGAAKEFLEKANG FADTFKEDQNQTKQEKKADNNKDQGFLGGLKSYMPQSVKDKIEKAEKKIDDVKKMKEQTE NIVAKGKQLGGVKDQPTNEEEAKLKQNEDPQQKQNEQEKEKEQGGGGFFGSIKSFMPDSV KEQIKKAEEQQKQIQKNIDDAKKMKEKLEQAAQNKDNLLQNGVDFMPEEMKAQMKKVEEE KKKLEQQYQQAKEMAEKAQNTANNIQQGNVQGFLPGDIQNKLKDLEEQKKTLENNFQYQL NTEKSPLDIGNQSQQLLLNQDSQLEVFKNDPMRITNSNFQNFGDNLKKK >CAK74226 pep:novel supercontig:GCA_000165425.1:CT868174:530745:532196:-1 gene:GSPATT00010460001 transcript:CAK74226 MIQTQDGLFDCQLFLIGEYPALLGEQIKLYFEVSQAEINYLLSFRSFPNMIMSLIGGLII DTFGVRRSYVFFSSIVIVGQLMCFMSVILKSFIMMVIGRFIFGLFESSGFVAESYYINKW FKGKENSLAFGIDTGICRLGSIAAAIIYPYLYTSSNNDLSTCLLMCLYIASLSFLIIIIL TQIDRCSDLRDQASDQKLDSVDLRQIKQFSLEFYVTLISCVTCYAAFFIFGYNSVEMFKH IYKLDQNTANILFSIPYYLSAILSPIIGHYIDKQGRNIEILLIASICQLLTTSIFYLMPE CDTACVAFPLIGSILNGLFFGTYYAVMWPHIPLIVPSHMVGTGFGLTFACINIEITSFSF VVANMLQIENFENYQQMNKLMLFLSIVGFLPLLYLFQYHRKQYHKNIYAEIDDNSSTNQI ELIVKSKPT >CAK74227 pep:novel supercontig:GCA_000165425.1:CT868174:532328:534039:1 gene:GSPATT00010461001 transcript:CAK74227 MSVRALYQSQQDVGRNIKSSKKKYTWKFSIDDKDHTIELFVSGLSGKKKVVHNGKTLREE ASVLGSFNYSMTIQSNLLTISNNGDLYDLRINNMQFSHLYHNEKTKKEFQFEDGYNEGNN QEDYYSKLPKPSKQNKPLYKEEDQGYDFGLVDDIERMAPKKGLEEQNEFNTHSNYQQKLN IQFKPKEDPYAKFNDPKPQQRQTQSFSNKPQQPQSSFNQQQIKNDFGDFGNFPKPPTTTA NDNVFGNFGFGFNDAQQQQAKSQQQPTNQFSQDFKPNPFGDFQQPSQSHQQTQPFQQQAQ PFFQQPPQQQVIEQPKPKPLPQANLLDFGDDPIQPPQQQQLPPVQQQSQQQLLYQQQQVQ QQQQLQQQSLYKNPVNQPLQQNLQYAQAPQQQQQQQQKVLPQDLLDAFDDPEPVVPQQNQ QQQPQFNITSLYQQQANVPIQSSIATSSPPQQQQYRQSMPNQYQPQSFNHMPQQQYQNPQ VSITQLYNQPQAQVNMNVPVQINVKTQSTPFDDVF >CAK74228 pep:novel supercontig:GCA_000165425.1:CT868174:534061:536023:-1 gene:GSPATT00010462001 transcript:CAK74228 MSRFNSNAFIHISLAQSQFGPNQGALGIQQSFQQKNQHEIVNKTKNLQEMKTELEREKEN STMYQRLLEKALREIQQYINRFGSYTSTDDDENESKQEASHLRTEFTWKKLQPLLDGYDE QIAEQKHIIEIYKQDISQLEQLSKQFVEENQSLREQLEKKCEIILNIFQNGTIQDQMANL YKRLQKDDWAEKVKDLTQENHTLLKNYKEVLGKVKELQRDCDLYKKQLKEHQLKNEKLSI EISEIRDLRQEYQRKYEDLLSSNKDADSKIREVKNKNVKFEQDNMKLILQYDELEKRYQA LVNDSESQKKDYEFEAENLKQEISDKSRKIKELQAENISINKERDQFSFDTKNFQQRLHE EENESKSKQLLIDDLKRKIDLQQKRENEHIKLSQQRADKEGKLIQEIDNLNSINRQQQQQ IDQMAESHKTQVESLKKDKDNVIETLRIKHKQLIDNLESKIEELEQSSNQKEQMNEKLQK ENHRLKQEKEDYEQNNKVEKYRLEHENSNLKQKNQELEESIVRREQHYEDKIQGLRMDKE QLELQNKNINQLTNELKQQNEQLRKKANLIEVENYELKEKVNQQGRSIVQGTNSKIMRSY VPT >CAK74229 pep:novel supercontig:GCA_000165425.1:CT868174:536072:537704:1 gene:GSPATT00010463001 transcript:CAK74229 MHIEHKSNKIINEFIKQFPDEQRNSVMNFLLILGFDIARKLGQNNQAELYSTMKQLSSIK FDSPFFVEFIINDYTQNGQSELREEIKKIQQQLVQLNSLFNNSENEQMQSKSQDIYRNQP QNRPQYSHNRFYTQNDMEDQINARMREEQQLSTNSKNQFKPAKRNNKHKSPLQQNNNNLT AKITESPPPPMIFQKTVSSKFNIKPHLDDHQIKQLLYSENEKIYQEDFDSVSNRGHHYNP SPKSIKYTLNIQNLDNIGQRFQTHETNKKNKPQQTSNSSRQKKKVPAYLQNVESKIKPKL DQDKQAFKNQKNYDGDENQYYNDQISSSQKMTANFQNNKNFIRPSQESDTYYEIQQYLIN QQHQNVHLSPVQEMKTGNEINYNKKKTQNFRDNTKSSLKSSNDANNLRQHQQQNFFEQHE SLPYANSITPIQVSDFQTSKQQSDLSFDAFRKKAQFISPYAISLQQSSQPIQTAQKLQRN DEESSESFSNFTPPNKEVREFLSKQCIYQDQD >CAK74230 pep:novel supercontig:GCA_000165425.1:CT868174:537731:538272:1 gene:GSPATT00010464001 transcript:CAK74230 MFKIFRLLETLGRFFKKPPAGTGRRIAMSPFFKNILTEDEEENFKRQEIFYDPHAFYLRQ QKIQSYQDELMQKNHSRHNESGLSELGIFDLINVVITAKMPQRNQLSNTGDYIIHNQDSF LHSIQLGRKGADNHFFSLDDVESIYSSFEQDINFDIEDSLVYQH >CAK74231 pep:novel supercontig:GCA_000165425.1:CT868174:538330:540006:1 gene:GSPATT00010465001 transcript:CAK74231 MRKNNRQRIDNDSSIRTRTRQKSINQNEEKKRKQIDEEFEKQKYQAKLQVQNLLLDENSC SKADLKKEIVKGRVAVDECFIYKADYHVYQINNKIYDSLLNQTNIVQGNNNNKFYIAQIL ESDNQPFKYYFFTRWGRVGQKGQHAAKQLSLQSCIDEYENKIYEKTITGDYKILEKFLIN QNSELSNDLAHYEKSKLQKEIKDLLQLIYDVKMMDKQMMEIGYDSSRMPLGKLGNETIKK GYSILKKIAEAINETKLTKQKKKCLLEGLSSEFYSYIPHNNGYSKLKSLDTNQDIKEKLE MLASLEAIEIATSLILNESGNLFDNYYLKLNSAINLIVSDSEEYELIQKYVDNTHGSTHS TYNLKILEIFKIKRKGEKDKFKNVGNRMLLWHGSRLTNFVGIISQGLRIAPLEAPCTGYM FGKGVYFADSVSKSANYCCTSPSNPVGLILLCDVALGKWQERTQADYEASNLEPNCYSTK GIGKMAPELTVSFQDLKVPIGKLEDQQIKSDLMYNEYIVYNVDQVRIKYLVKLEFLYKQK MK >CAK74232 pep:novel supercontig:GCA_000165425.1:CT868174:542229:544462:-1 gene:GSPATT00010466001 transcript:CAK74232 MNCQNDKAGDLYEVLALSKDIDEVVFSILLKIYKRDKIQDNREIFSNDLNIRKVEQEILQ VVNQSLFDKEQMVRMTYVLKKIKDHEFNEYNYALKEYEKIKKALIIKISWNEKIIKFLNF LVHLTALDERYIQSGSNSLHLLIQMKVDLSKQQFENIRIKNTSLIGANLVRCNLSGSDFD NVNICGINLNGAKLFNCNWKNIKIDELNKLNVHSRCVNQVCFSKDSKFFASCDNSIIIWD LRTGKIKSIIKGDAEVKSQCFSPKNSTLAFSSRQFIYLWNLKSGKQISKLDGYSKKVNSI CFSPDGSTLASGSQDESISLWDMKTGQQKAKLDGHSGSVYSVNFSHDGTTLASGSYNFIN LWDFKTGQLKTKIECQLGSVYSVSFSPDGTTLATGSDDDFICFWDVNTGQQIAKLDGHLG RVYSVNFSPDGNTLASGSCDESIHLWDVKTEQLKAKLDEHINGILSVCSSPDGTTLASGS WDKSIRLWDVKTRQEKAMLDGHTSYVQSVRFSPDGSTLASGSDDKSICIWDIKTGQLKRK LLGHTSGILSVYFSHDGHTLASGSLDKSILFWDVQTGQLKNKYVGHTTGILAVCFSPDGT TLASCSSNMSIRLWDVKKGEQQAILNGHTSYVQSVCFSPDGSTLASGCDDKSIRLWNVET GQQKANLNGHSN >CAK74233 pep:novel supercontig:GCA_000165425.1:CT868174:544543:544947:-1 gene:GSPATT00010467001 transcript:CAK74233 MQNAIINSLIIAKNFIIKLESGYVPRTAILELQYQMARKDFSQTVVLEFQYQQYQTNENL FLLSKKCNIINKGIERKYFNTLYFFSIFDYQKLFSQLLPPKNGKFWKFLNSQIRGVVSTQ FLIHKSRGGTYIVF >CAK73918 pep:novel supercontig:GCA_000165425.1:CT868170:1:1186:-1 gene:GSPATT00038936001 transcript:CAK73918 MSLGVPLKIMHEAVHHIVTVELKTGEMFTGYMAEAEDTMNVRLDEVQMVTRDGRPMSLEQ VYLRGSQIRFVVIPDVFKYAPMFKKIRANAKSKNMQQIREKARQVRGMEFTSFVNNRGVG ASYQVGFGVVEEMINKYQLQFQVQIINFMSNSLKYLVIYRHETEKLIASYLIQSGSEEPL KSESSKVCYELKRNQLRIEERQKVDSANGSWFCKIDDKGLFYLILGSMVSTYPERHAYAL IQEIQNEFSKLGNSETLKDDTSLKLHIKKPLRDLGSKYNDLLSLDKIYQAQSNVDQTKIV MEDNIKNMINNGQQLDVLQIKSEDLNKNAKQFAKNSAELASIMYWRNMKLKIIIGLIILA GLL >CAK73919 pep:novel supercontig:GCA_000165425.1:CT868170:1264:1724:1 gene:GSPATT00038937001 transcript:CAK73919 MDNKKSLYERFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMGD KNTQYTGKDLVEVHKDLNITNQQFDKFKVHLKNIAQDMEVSGADLEELLDHVEKHRDQIV FSKAV >CAK73920 pep:novel supercontig:GCA_000165425.1:CT868170:1928:3705:-1 gene:GSPATT00038938001 transcript:CAK73920 MGPTQGKEVQKLYMNSVISINEGRECQSYSQDSMWMGQSRLRSRKQQNIGIYINVNGNEG RRNYLSQQTLIIVVRYQKRSGQTFGHKYYAADTQRKKQQMNQKVLNLDLRGQSSRIWKTR RVDIDHQYTNIKILYNYMLQFRRTIFDQNEDAVHVEIRQSTTSPFPLQQQGNVLMKQYDK FIPVQLEICPERLYKRLSPNQLVYCTLDKCRLRKFTFYDQVLPHHKFGIALQQRDQQETF LIDSADEFRKWFLLFKRYCVLDKFKQKFKVLNKSKLEDPLFGQCYFNCIHSNNYKLVKII DKANITNYQLQCLSKEISSLRKLSSPLVIFFNELYEDDTNIYIVYNHYQGLDMRSWLKEN SQNLEERLVAQIMFNLLTAISHMHARGVFHRDIKLDSILIQSQLPSVLLTNFCYSETYIP QTPFKKCGTPGFIAPEIFKTKLYTPKADMFSLGCLFYVLYFGKIPFSGSSQEEILSRNEA ALKKEVTLQEGVFFWDKFVEGSPDRRSRTEAVGLIGSSTSLVHQDGDQTVGKVPQEYYKG EVSFNNN >CAK73921 pep:novel supercontig:GCA_000165425.1:CT868170:3731:5961:1 gene:GSPATT00038939001 transcript:CAK73921 MSDQDSGPNFPQTLQVSNQIEIANRTYSEILMEQIVNDDDFLYQSMIDDDQYSRAKVIPT IANQTIIYRNTIDMKRQQPNIFQRCFGSKKSSNKVTMAEIIDLRQKELFNDYVYETEKDG FLKDVQKYIHKVKVLEPDSQFYILWQVLNSILVVLFFFQIPFIFAYQPLIQENKSNDYQD FETFALNIAICIFIIDIALTFNIAFYKQGYLVSGRKLIAVNYIKTYFFLDLIPLCCLIEY RIFLHKDTQFGITIFLFILKIYEVFKTSKMIQEYLQLEPQKLAKYRLLIVMLTITWLCHL FACVFFFVGRRELCKGDESVSWLSESDLITMHGGYTYLKKHIFELHLYSFYWAVTTMISV GYGDVTPKNAYEVLVTVVTQFISCIVFAYSVNAIWEMINQQNENKQKFQKYVNAIERFMR EHNVDRKLKARIEAYLYHLWESEKARDHELEQAMILKLAPALKEELIYQTLGKMLNHNQF FSYFQQDLLIELAQDIQQQYYSQEEVIFQEREEIDDFPVFFLTKGSVEIYLDSDKPIKLH IMKSGIFGNSSFYYWLQENCFSSLFNIFSYLQIVQNSIFKKTREKFFEIRHQVLFNNNNV QLKLKCYICESKKHLVINCKKTLYIPEKMPIILETYNLQNQRDHLYQRRVVKQQFKALSH IREVQVNAVAIKKYFQNITFTQNSSDEDIEYSSDVDDYEEEMEDIKKIVEQEKEKAQLRK RGQWVAG >CAK73922 pep:novel supercontig:GCA_000165425.1:CT868170:5972:6762:1 gene:GSPATT00038940001 transcript:CAK73922 MKIDESKDNHSIELSDGQRKSQQLIKHSDEEIKKKSNLTKKKKFQSFVKLEKLRNVRTSS MSSLTPIQEQVKKAVPVKKDKGMSALLPNNYLAQRKKSKLLQQNEIPNCNKSQQIPQQNE KYEKVQSPDRHIYNESKKSELLRKMKFLQIAQPKNKEIQSIIDELKNYIQNSQNLQGSVT KEPGSVLKRLRSHSDVDSQFSIDHMANYDAYFQEENPEKVIKKYKKQTKDKIKQRRTTMM RQFV >CAK73923 pep:novel supercontig:GCA_000165425.1:CT868170:6919:8330:1 gene:GSPATT00038941001 transcript:CAK73923 MSQLEPFEHHYSNQYFEVFGCSHKNRHIYSEKMICTDCGIFLNNVKFITTSQPNTKVYKT LRMKYSAFFNPIKVLQRMMVDGQPSGPTKQRQQFIEFILQVSERLNLSINTSFLAINYID EYFNKVAVAENQTYLFVSTALMLAAKAQELDERVPFISKLKRYASLTNHPEISHFSIQDF KSAELQLIQKMEWKLQRNTLLDRIEALLSFGVIDDDDSLVQQQQKENKDSINQQHIKLRD LQENQILYYVKEVESKYVEIALQIIRDDQLYFQTDQTILALSCVAYLRKRAGLLNIWSQQ LQSLTGVGAQKISSSVSQIMTLIAKSKSFKTITKMPTNPSDLYYQQINTPSNTLTTVNSN LVVNRIFQFDSKRPSLGDAMIQKVKVPLFQTKSSAHLGDPSKQLLQNNMNFTTSTNYSCL NDNTIAQNTLISSRSIHHNGELDKKYEQVHKVGGNMFRQLQ >CAK73924 pep:novel supercontig:GCA_000165425.1:CT868170:8511:8893:1 gene:GSPATT00038942001 transcript:CAK73924 MSNLHFLLIYFQQYNNDQKIHLLEQVQVKVDINIENLGKSLSRGKRDSKIQNNDKTDKTQ NQSLVEMGGFVFDTNFVVLTAKKTNLVDLKDQFIFTSPNIECRNIIL >CAK73925 pep:novel supercontig:GCA_000165425.1:CT868170:8965:9414:-1 gene:GSPATT00038943001 transcript:CAK73925 MISKSALQLVLQGNWSPSHNPPQSFTNYPGYFCIITIRLLALSLPQSNGTNTFNFVHKIM IYSISLLQNPHNLCSTLFNKITSVILKFKIQCIQSSNPNQTRFVNITLPGDISKSSEYTK IKYSFSSQSKTQYCSSIKTKVNNFCYTKS >CAK73926 pep:novel supercontig:GCA_000165425.1:CT868170:9441:10957:1 gene:GSPATT00038944001 transcript:CAK73926 MNYIILYVSQQNSSVFTCTGMLQLQIYIYWGFKTEVSFKKSSLKQNVLKLPKHMIRSLKQ KKHSRKFKNSDDITEPHAKLLLERFPYSIKDLQFREVPTLHVNQDFFQDPFKTIDDLYAK GYEKFGIVKLLLPSELIVPEKKFFSDLEQKLKGKRVETRVQTLNQQQAGEIFGSNTVGYT LQEYMSYANKFESSHKLQGVREVSNQIRQNEIEFWSIVDFPDRYSEVEVEYAADLLATKY ATGYQDGQLGNLSNINKNCNSIFQVLQEKSEMSGISVPWLYLGMKYANFCWHKEDLNLNS MNYMHAGAPKTWYAIPPSHSEKFLQYFNKKYEKERIHNPRLLYDIVCQISPIELAEQQIT ILRTEQHPGELIITLGATYHAGFSHGFNCSEAVNVAPTQWLDEYERASTEYRMDGNLKKV IHPFSFCVGQFSIGVATNQSCSYGRSSQIYQTIMDQGMLVMLILRYSTNLK >CAK86303 pep:novel supercontig:GCA_000165425.1:CT868571:36:2021:-1 gene:GSPATT00039759001 transcript:CAK86303 MSQTINQFIQVYKESNKQIKETINQVKNCFEQIQKQMDQEIIILQNMNQKLLNKEYLTFK SEINVIKQFYSKEKENLICINLINLKEQQIIEFNKILDTIKLMVPAQPKINNQENTDNEK ISQSQGVSQKCEEQDVKKKKQKKQKKKQAKKFSEQGRELIYKGKFMEALSIFDSSIKLFD QDYDAYLGKGYALHNLNQYQEAIACYDKAISINPNDGNVYYNKGNILQSQLGFTLFTLNK YQEAIESYEKAISINPNNEHTWYNKGKKLQIDFLGLTLGNLAKYQEAIECYDKALSLNPY NDNTWYNKGQALSKISKFQDAIQCYDKAISINPYDFLSLNNKVGFTLHKLNKYKEAIECY NKALSINPNYYHTLCNKGFLLTKMNKYQDAIVCYDKGLSINPNFDDAWQKKGFALTQIHK DQEAIVCYDRAITLNPNFYDAWCDKGYSLEKLNQHQQAMECYEKALSINPNLEQAWYTKG GLLTKVNKYQEAILCYEQSSFLQSKE >CAK76747 pep:novel supercontig:GCA_000165425.1:CT868245:49:2538:1 gene:GSPATT00039162001 transcript:CAK76747 MFGRSNWIIDGCCKNSNIFVCYDLTNERLIPLSFAKYSSKILPGLCIVQLELKYSTDKQN EPMDLEYVFSINENAAVTKMIVKLDKTKVYGIVKEKEEAKQEYEKGLKQGKTMAYSESDP KYPQIKRVKVGQLAPKKKLKITFEYIQPLEVFLNKFWKFELFPIFDQNYVQENKAQMIGI YDEQIYEYLDGLFRIKDFQFTFKQEIQVEIDFGSPITFWKSPTHKLESTNAKNNAKVNNQ HLVLLLEDIPNNYDPSKQFTLLFSSDEINLPRAILSHTKNDALQYQKYCATLTFIPKFNE VSLDDAYSQYLDGLIIADNQKIKRGNYLFIIDRSGSMSGSRIKKAKEALILFLKSLPQDS EYNIISFGTNFTKLWNVSQNYSQNTLETAIKHVEEMDADMGGTCIIAPLKQMIYHKNYGA SKNTTLNVFLLTDGQDTADPIIDLVQKNNLAQTRIYTLGIGRECSQYLIRRVAEVGNGKY QIVSDKEDINEKVIDLLEDSLTPYLEAFTLESNIPNITSIIPNPDSIVSLKKNQELTFQI LFPNQQKPEVLEFKIRCYDPQNNQQISYSVKLNLNESQDNEYFHKLAAYKFITYYENSIK YGQNNVNFIKLNKNKIDSQDIIALSVQNQILCSRTAFICEVCDLEDQFQQQIKQRVSVVQ PKQARQPAQLYACSGYQSRCNIQLQQASSQYKSSGCCSTGGSSKSYSNFNTSPSPQKYAL QTQPLKKQTDQSIDKSDSDKFTYETLIQFAQANGCFIINKEVKSKINFKNLQNHQNLKDD VWFTFLVLLYLENYFSQYKKSWQLVYQKGISYLKQNGMDYKAKKNEYKL >CAK76748 pep:novel supercontig:GCA_000165425.1:CT868245:3190:3552:1 gene:GSPATT00039163001 transcript:CAK76748 MQKDDNKYSKFSRNLKIYLIQTKLHQQLDVCRLTFDTSQSQSKRLLKIFLYILGTLIQQS MLNPNDYQQEEKDKGVTNISTNLHPIDINNYDYKSLNCEFDKILQFSNTLRLQLLTRVSY >CAK76749 pep:novel supercontig:GCA_000165425.1:CT868245:6269:7567:-1 gene:GSPATT00039164001 transcript:CAK76749 MKDISEQCIKLVEELEIQFSKVEQFFKQFKSEILSKYSILESKLLNLNQNQISNTLSNFV KFNEEKKELANDFIRITDNFIESLRESSKKFEISQVDDFQTFEDEVHDNSKPFSYVLMQE NRKHSSIRCCSFAFNNDSSIIVAGLESGAIQIFELVNELMKEKQICKNHKDAVYCLQFMT KSNQFVSGSEDASLIIWSINDQQEWFNSTKLIGHTGIMMCLILDNSENVIFSGNSDRSIK IWDKQCNYNCTQTLNEISGDILSLSLNSGQDMLISCIEKSDQIIVYQRSDNLWKFYQKIS VQLWGYRLCFVGNNRFAFQPNASNIMEIYELNQITKQFTQINKVPVESDSKCDALFPQQF VKQKSILVNKNGCFVNLFRIMPNKTIQSQESIKFCSEQIYGALDKKGEYLITWDEYSQQV QLRKYKEQQIII >CAK74583 pep:novel supercontig:GCA_000165425.1:CT868195:855:1031:-1 gene:GSPATT00039039001 transcript:CAK74583 MEYEGIQTETQIFGSFHQYLPEYQMLKLILRELSNSLRSFPEDQTILSEIKIEGRRSC >CAK84798 pep:novel supercontig:GCA_000165425.1:CT868525:1851:2108:-1 gene:GSPATT00039706001 transcript:CAK84798 MSHFAQLALFQDNKQKQNQLSGVIIKMHSVKIKEPQLHQKYQMVSQEVIMEVAQGILFTL YQDIHGVPQGIQQTLRYCKSMKQIP >CAK86037 pep:novel supercontig:GCA_000165425.1:CT868557:796:2238:-1 gene:GSPATT00039742001 transcript:CAK86037 FHYFFHFYKKSSLIIMQYHQVYCLSHPNSLVTHICIGVQTCQRKLCSDCQYLHQNTIEGK LIIPFSILIKQIQEKIEEFQLNDPLRLNRAKQEFKQAISKMQQTFSALIGEMNDYSEQIF KAIEEMDQQLLELLSQNILDSSNSDLQKISQILEFDQLNLWQQQKQYCYQILDENKQSLI NICEQIAVNLRRRLKTTYSQKIGLDGYESSKLFGIYHDEYICINNEVLSKQGTMKLNERR GLIEKLGFSQEKNKLRAIQIEFQQGRICYIADGKILRKESFKQLSSTNQIITNYDQLLKL KWVTEETENPIKKCQGYWEKEKVELGGFYYQKGRKQGLWMVPCSNYSIQLQVIYEGVYVD GKKYGNWKIMKKNKKQFDTIGGGNYNQSEEKQGQWTELNDEVLPQENQLILNCGKYKCGH KIGWWKNYRYKESIFTKNKLMS >CAK73533 pep:novel supercontig:GCA_000165425.1:CT868153:1192:1885:-1 gene:GSPATT00038883001 transcript:CAK73533 MNIYKYIGNDRRRSQEQNRIQQFHSNVPLQVICKLQFQGELILILYIFIIQATEQECQIS ISEQKSILKRDFKNVLQKQAGALISEARQNFFSFGNLGSCLKDDPYSIFADGVPYTNCKI GKKISITLMQKYLLNTLKLWFWDLGYIFSEDIRYYTIIVYTVLNGVQTKIYDSNLATSIV KIKFDEQQVERFDVLNVGGNTYYRNNLDIIKADAYYSFS >CAK73534 pep:novel supercontig:GCA_000165425.1:CT868153:2523:2771:-1 gene:GSPATT00038884001 transcript:CAK73534 MRLQEAATNYCCKHYLVANALIFIPNITVTKQDFAPTTPTTKSPVHHQNLILELKEQFFS IHKLLLITNCKQSIELFFYEMK >CAK73535 pep:novel supercontig:GCA_000165425.1:CT868153:3051:3332:-1 gene:GSPATT00038885001 transcript:CAK73535 MQIENHQKSMFFECLQNIPNLKTCIALINLYSKHKPNIYGQQFWMYSIQFCKKRIISLED HKYITLVFLIYLQSNQLSFDCQ >CAK73536 pep:novel supercontig:GCA_000165425.1:CT868153:5750:6241:1 gene:GSPATT00038886001 transcript:CAK73536 MFMNIIFLRLVIPAFQIQQLQFLDQEKIKLGSLFYQMAINNENDEQNFLNLNFQLLHKVQ EFDTYSNLYQNYHFLQRNINETLLLVNDKLKLKALLSFNDFLINDKLIAKISDFSIQLPE ENCEKFYFLKDDHLLAVCKYKGNYIQTFTLNKLKILGLKIAYV >CAK73537 pep:novel supercontig:GCA_000165425.1:CT868153:6554:10744:1 gene:GSPATT00038887001 transcript:CAK73537 MNSLTVVKVCILHSLIKIQVILNLSQVLQSLKANSLLVLKVINNRILTIIFFYIISMTKQ NLVSASIVVQVNEQLHFYLHQEFFKFFQISDMPYFLSVESNGKLSIFKLQNGNVYLKEMK SKKIGIYKILSQTSEIMTVEPFDIHNLQLKITPQITPIIQQNFDIQINISLNYIQETLPL TLFSIEQNGQEIEFDEGFEGFHEATVKDESIKNVWYLKLELNQNIIIQEFQTYILIKMFL DQNLIKEFKIIILQNYILSLVDAFQQSIIIVYDYFIYIYSFQNFQIINWVSYYTDEIIIA SIYNNQIWILFKSCILYEIDISKSLNQKTFHYFKVHKGCRINSFYTNSLIIQDQEIFYKP KNKITYQLKFESRIIDVIITQIWDQLINTYFLPIIQEEQGIKISLYIIDVQEIVHLYNLP FYQYKPIQPLNYKLQSRYFMIVVLDDSNENCLLIYNIKNQGKKSLIKIIKFHKEQIVYNF IENQDIIIYIKDGKIRFNKIQEINFKLNCFDQIKNKIDFYTIKNLNVKFVSLIKSLNQYI YMPLKILHLNTIQTLAIINNQVQLINQNGYLNLSNIYGRIDKIELIHNNSFIEFNPVSYT WNRIECIFYNNDVCQISKERFMINFFTKGQNIYTQKFIQNVQSAIYDKEQSKYILICFSE VTFTVTYFELYLKFTETLNAKLTKIEKLEQTFEFHYGDFHTYVKSYYFLNLMIFSNKGKQ NLILYKNNNALIRLNEIEKVIQQQILDCYQLDETTYMIAQINEHQELEFFLIQINILDNI PNQTILFKQAFGNYNQIDDFDFKSTLLIIKIISIYQLQNQNFNMQYLQCGQSLSFCVIKI LRFKQTHYIDQTVIALLRIETYNYQTELVYIDSQIVIFQQKIDEDLFLIIYDISELHFKR ELDYIQRIPIQNHTKIEKYNSSHYSMQQIIQVYNLSIKYQNQQQIYQDSIQNKKSIGKII RVNQSLLIQQKKGKKLNQFNIFVFIQIYKQLDYYQLNVSHVEQDIFQIQNLDIQRTKQQC KQSKKTLDQMLIRIYEYYSCDSGNTATGNVKQEFVLITLQPHQMLKVVLIRKVVLQRELD ALMQDHHAIHLKEIKPLVLNSQEGQGKDYVRTLELLQLQLLVQRRNAVMYLVKIIRNVVM LCHHRKVHIIPSVFLMELVNCSTFNGTEETCPKFLAKDDPCKSTTVGIFKGTCAKRVCTE APNTLAANAGYQRYHKDSYWLWMHSYQILKQFDITTHLQIKRRIHMGKLMYSFYYNMCHL QQYQLLQFPCLVRIQFNMQNQRMQRLIRNHQSFSDNFTISVDGCLIISKCASFKAQFVCL ACSTSKDVVARCCLDAAKIKCRARICGDKNGLTDVNVTPPCE >CAK73538 pep:novel supercontig:GCA_000165425.1:CT868153:10752:11200:1 gene:GSPATT00038888001 transcript:CAK73538 MDQVVLLELHVLNFLINNSVLNPIIEYSFALMDHDMIMTDARMLSKNTSRILSILIIMHS QLRFMYSNYQLTSSAVGTMEVVDGYQLENVKSSDNAQTLLLLQMMNISYMDQYVLLIELL VLPNQLVLPTRHKQLVIDLKLM >CAK73539 pep:novel supercontig:GCA_000165425.1:CT868153:11213:11647:1 gene:GSPATT00038889001 transcript:CAK73539 MSSLMLVSQKNTEMSKRVQMTDDHAKSYVKAGCFTGTDTECIFALPVGATTETKACRLKQ CEDITGGTSNANCMGIISGKDCVSNGTCCIAKAACLTYKTITSFNRGGLENNKLTICTFT PKGTDKVNGTCKNFTA >CAK87952 pep:novel supercontig:GCA_000165425.1:CT868643:1:438:-1 gene:GSPATT00039834001 transcript:CAK87952 MQNLTYATLEQTLQLKKHFTTIQKLLNKLGEQFQKCLSDSLKQIEEILNQIDNGDQNYQR LLSFYNKPSEISSIDLELIIRSIHQNKLEKWIIDKELLINPIIDMKCSIEQHFRNFQTKI SEQLKLLSSNKVLSSIIILVQAQKKT >CAK60700 pep:novel supercontig:GCA_000165425.1:CT868009:1:566:1 gene:GSPATT00030929001 transcript:CAK60700 YVLHFYCLGAALKNQNKYTEAIECYEQAISIIPSNDSAWNNKGSILNDLNKYLEALECYD KAISINPKCDIAWSNKGFALHNLKKYQDAVDCYDKALSICINPLRLKRKADSLFELQQKE EAKKLYQDALNKGSNERDYIQRQLAKL >CAK60701 pep:novel supercontig:GCA_000165425.1:CT868009:816:1610:-1 gene:GSPATT00030930001 transcript:CAK60701 MKCVQNKFQNAHLESWIDMPQFNEQLSNQEQDCLKLLDNISEQFESIFHSFEKFKQGINL KFILNKERVRMNVQQLNVAIGEMIYFQEFKVQAQYSITNRVKKLQNDLIKLIVKLRIEDL NFRLQNENNNKQLEMNGIGGKQQGILNEKEMLESTIKMLNQSQVLNAENLSALSMKSLTL KSLGQFAEAIKWADKALQIDPNHIKSLYCKAKCLYMLDKYEESEQQVDNVLKYDSNYVNA LR >CAK60702 pep:novel supercontig:GCA_000165425.1:CT868009:1974:4262:-1 gene:GSPATT00030931001 transcript:CAK60702 MIKLIIYFLLLSSALGIYSDDDVFYCYDNLTDQELSEKIKVQPLDQYIFLIVSPLAFMCT IFITYSFIKYPNTRKMPGDIVFFISLSDAILCIHWFVTACYYTTYGQNPLSSGLFCQINS MFSIFAGTGEVSYNVVFCIYIRLTLKTQFKVIPKLPTILHSLAWLAMISIPLLAKFTNNN GLSIFGTCSFKYHPGFPLAGIVLVFLYTLISLYTIWYFNKAIPDDEKYREIKDTFAKYYY RYIKGSCIIWTCEAVSFTIAGFNCSYFHEGFLLIFITIGNSAKLCTPIVLSILRYNDPTI KDQVKRLWRKVWRRDNVQSELLDDDANFYDTIHQNLKFDQVNTIIFGIRAVCKSDVKAEI SVQDEFDQINILRRYTDYKFSNDSVSNNDNAKLKSNYNLDTDDFIEEILYEIDNKGSQNE STVELKLLQSTFTVYAPAIFQKIRERDIKMINHFKSFDLVANQDQIKQFKGPDGGKGGAF FFFTHDNKLIIKTLSDQELAVIRKNLIPYFLHLSENETVISPIYGIYKLFRQNVEESINV VVMRNAMQIPSIYRVRTYDIKGSEHSRQVLKKNKKTNDIELKKITLKDIDFQNLEQQLHI PQQHRERLRKCLINDANFFSRIKLMDYSLLIIKMDWYSYSQNNSHIKEADIPNYFSCDLQ CIPCINAVEKGIFYHIAIIDYLQEWNAQKIIEKHTKKAIHVNIALDTSAQNPDDYAKRFI EKVANVII >CAK60703 pep:novel supercontig:GCA_000165425.1:CT868009:4410:5341:1 gene:GSPATT00030932001 transcript:CAK60703 MNITDGYSDKLFKFLQKSIMQNYIKSLNLIKNPQLFIIFHQIQSENKLDERIISLYKGQA GIELGNNCWELFVLEHRIQVDGYSIQVKKLGIIDDAFQNFFSETGNNKHSQRSLFIDLDR NTIDELKRSQFRELFRPQQMILGKDSAIDIYAGGYYGVGKKYYRCRKIAWVFRDLQYFIL LEEVLTLDLHIYYWKQYAIHEEFGKQSINSVATFPSPQIESSIIEPYNTYCVKVHQMIIT MSLSYQIMRPSMIFAIGIWRQKGVLIQIQMELQLRNVQLQHFHQDLMDS >CAK60704 pep:novel supercontig:GCA_000165425.1:CT868009:5354:5906:1 gene:GSPATT00030933001 transcript:CAK60704 MIVRQIQFLKFLLCFRKIINHQIKCIIICINCCNFKNRDISFFQPQNIMVKCDTNHGKYM ASPSVLQRGNNTKRSQCCTCINKIYQINWCTKGFKLDINYNLLPAYMISNKTAIEEVFAR IDYKFDLMYSKKVLIYWFIQEGMEEGEFIEARENISALGRIIFFRVKQLKETESTLKD >CAK60705 pep:novel supercontig:GCA_000165425.1:CT868009:6638:14201:1 gene:GSPATT00030934001 transcript:CAK60705 MQNILFGGAQKAANGIVDEFSKRPSRALSLRVHNQKGEKKGQKKAKFTFKPDLPLEQQFA QLEIYALQHNRRRLQIKGFKGTFKIENWINIETKLRNMQGLKAIGFIDTQLDKVHLDVLS RWLSWVDPQKLIIELQQNELDNEDVAEFLYFLFERNIDVRSIYIMGNPGIYKGVLLKELN DYLFKKFEKNMIHYYKLIKNKQALSVAIIRLLRRKNDEAKSKRIHRAEVKIDQTQYYKLQ LKNCFTDKNWKGFQDLIQTTYKEDNLEQLTYLDISNNFLGTQNAFNNMCTSMSSAKGLLV LKIANQPLIHNSQTIELLMGNRYDNLKLREFDISDNKDMLEKTFKALSDNIFKFCKIITF TGSMPQQLSTLFKMFVLTEAFADKTKESKQIYREKKYFRKDYLQILDLSSIENYNRHDLV EKLLLNTVFTEFTNIRTLHVQNFDVGRCQGYVKAKKKFLTYMEKKKQDDTFFKNYKHPLK HIVYPKQTYRMEIQTKKEFFLEYLFTEKGSIIEIETIIIQSSTFRQANRAEGLQEACALV IEKTNANADVRYSIKKISMDDSDYQMNYQTLQCFLCLASVQLEEFSYSNDSMTFEWDVWY QEMKSYCTKLQTDQFHSLKTLKLQNISDMYIEINQFIELLVFNPKIQLKELVMIDVYTSN IQENYESLKSIVDQSGKVTLEKLALGELQAGQGEEMIFEQVIFNKRVQLKSLVLKNVKLQ QFLNKIEKLVEENIHDNPLQFLTYLEIDKINIQQKEDWRKVIQIFIINQRLSLQLLKIKN TVLNQQFSEILNQLLFPFEQELFNIIKSKKETAGMVIDLNEQNMLSQIQNESIGLPFVLY ELNYENCTIQDDVLSGFLVICELKNLSFINCQDLKIGIKKSMEIIKRLELDQYYSYKIKS FSCERTEIQDVETFQSLIKEIALNSNRQLLEMLNLDSCALNDEMMQALSKQLMAIKEEQK LYNRVFLLRDLNLNNNNKISVSSWQQLWDVILNESKLEKKEEAHMEQSITEKENIINETQ KLIETSQLFLKEKILYNYKKFTPQFPKQITSLVIRMDFKTLNDNELKYEYYRLIVGFIIH PESELKTLELESLNMIVFIKACIEAFEFSKYYLESALKQSKHDHKSQIKSVTIKSLTAND AESTEKFIQIFLCSTHIELSKLSVFGCTQPILHLILKNIDRKEVYQLEELSLPDLEETLD LEDTINYCQWLVFGQRMPIKKLSSCPNLADMNQEQFNQFNLSKVKVESINLKYKQDTSSN VKQYSLLLAKLILNGLQEITIDKSDTTSIIVETFNKVNNLDNLKLKKLIFKGDFKLNKNL FEKISKLFEKLEFFQVQKLLLENEFEIYDICQLMDVHKTKNLKFDIKSVTCNNPGEFYKK FLFNPNVGITEIILKDPQLLENKFDIYELGQKIRYFNLNMGLLYNSSTQLNVNALQIISK MLIYNESSNLEELVLHQCHFKLAGIEALCKYSNSLREKIKSDGREKTAQLKLNRITLYYS LYIGDDGVQKYVNDLLYFEYINIERFEVQVTNWNDAMTNSLCLAAQNWFQFQKDKQRQYS TKYPIKYIDIGRNEFIEEQETWSNFLKTFVFTDNTPDLETLNIHFMALNDLKTRYLIAEA LQFFSKKPSNYKFNVKKVNFSQNNSLTHLGWQNLFKNFFFHPKVYLEELNMISTMLDSQI KLDVIAQVIKERALDSPNKKVPLHTFLCYNVSLKDYIADYLSEQPQNYQTPYDIPVEIEY NNSLNFGYFDGVPEAFGDILQMFQRILKTRQNIVYIKDWKLTRSKYSPYHLNMCDHYLNI LNQYYTTKKNDLIDICISLQSLDNFANYFGYITEYPGSPYPYNLLFQQNSFNYFKEKSCN IFKIKIIQTEFAELEQINQFQILNIWNHVKHSSTSIDQIQVEYDLNDDLVDEMFRQGFDE RDIISLIRLIPPSKIRIQNSLSIQAIKGIYSILYDTYYFKYAMISYSFDNFLNIGIGYSL REVFYNYKAVGPIRKFFKIAFYKFFNFFVIPTKRYIFNDEVKRLNDYLSQQKFYFFIIVI TNILFFVITLAGPYLLTYKLLDRDAVQLTKNYICANGTSKEASYLYYSFAFITLITEAIL YYKVAQIVPNHTQRIIVSKITNEKEQNEENQQQPDGQIQEKHNNKVLPSSEEDASMLKKQ KQTQNQSQNFDMGRHSILQKMATAVNKKITQYAGKFTTAVTAQAEEFTQSKWGILLSYGI TLLSSQLFKFDLYNDVVFILNAYNCEEFIVFILALITTAFSQGIYILQFFYLISVRVVQN QKTAKLLSSKFINDFYAISFLGRNAALSTLLDSSAPFNVIIIPNTRIGRYFLPHHAGKAM SNLVKSYFFQFLCEDLPQTLLQMYFVVSQAIRRTKELQVQVYISICTAILTALLSFYKFL SIRPTNLLQENFDELSSKLSSGYNQLIQNNLQQENDQIKTYIDLYNTVDYEIVNVEQSQE ERQSLLN >CAK60706 pep:novel supercontig:GCA_000165425.1:CT868009:14722:16170:-1 gene:GSPATT00030935001 transcript:CAK60706 MNFLKKADFFGVPFLQNINQQQALFKSTLGGLLSLLISVTSLAYAFWIIYLWKSNQMSPK ISNSKYVSDYKLLDYNSGRISVYYEVFEGQVDPFESRILLPLVMYTDQFAPTEPMIIENY IESSIGKQYVPNLDLGYSFIDGYLQTSKQMYLFISLCEEKYLKENETCGSAQLREQFFQQ RFNFFGIQVESTTLDSRDGSEQTTIQDIYIELELQSCYSINTFLETNFFELQDYLLFGTS KQKEYISGAKVQTQSLSAERCKLSYQNQALAVVYVAMNGTQTKTIFEYPYLGDLLANIGS IVSILFMIKYFIIYGNQYFLNQKILSDLMKIYYPEFKYIKIIKNWRFKVTKVTLNNKEVD QIEFNKFLDKIKNQMQQKLCYMNLLYEISRLYLIIRSSKSKEELLKSHQIGIKLNLSIQK EVNCLSNVMSVQRINQETDPFLLNEDDAELLSLPKRESQKYGEIIPEEIYNEGDYYLLNK II >CAK60707 pep:novel supercontig:GCA_000165425.1:CT868009:16989:23240:1 gene:GSPATT00030936001 transcript:CAK60707 MSEQHLQENKFTYSSNLVIQRESGPRTDEPTGEPESLVGKINPRMMGTKAVKDKPVKSKR EQSLNLGKQVNMEIEEGVGMPTYENLLYIPKTKDNRLHYERLLSIVYGLFQEQSQDVIKS IVDEVLAIMKNDNLKDSEKRQEVEAIIGKLTNELFSDIVLSSKAITDYNPEITQGNTDEM QLPLNLEEEQDSSDMEEIIEEEDDSQEQNEQTIKAKVQVEETRNKVVDIDGFWLQRELQK IYQDPIVAQQMEQTVLDILKLQTDIECENQLVSLFGQDRFDLIRLLHANRHKIFYCTLLS KAQSAEQVEQIHSQMRQTQEGLQILMELQKKKKNDAQFQIIQEENEKQYYESINITDQDL QGLSKKIVDLEQLQFVSQGHLMSNEKCHLPPHSFKVTKKGYEEIYIPAPKPNVHKDNLVQ IGELPEFAQQAFRGFKELNTIQSVVYQKALLSNENMLICAPTGAGKTNIALLTMLQTIGD YYQNGIVDIQKFKIIYIAPMKALVNEMVHNFQNRLEPYNIKVAEVTGDTHLTKHQLNTIQ VLIATPEKWDILTRKIQQNDFISLVRLVIIDEIHLLHDSRGPVIESIIARQLKLMEERQE VVRIVGLSATLPNYSDVATFIRVKQSGVFFFDNSYRPVPLQQQYIGINEKKPIRRMLLTN EILYEKVIERITKSQILVFVHSRKETVKTAKTLKEMAFSKDELSKFIREESSSKKILETV IAQEDIKSADLKELLASGIAIHHAGLCRGDRDLVESLFEKKNIQILISTSTLAWGVNLPA HTVIIKGTQIYSPDQGKWIELSPQDILQMMGRAGRPRYDTSGEGIILTTYQELKYYLSLL NVQLPIESQFVSQLADQLNAEVAQGNIKNLKDGVNWLGYTYLYVRMLRNPQLYNIPDYSN DQALIKYRADLIHSACLLLDKNSLVTYDKKTGNIESTILGKIASNYYIKYPSMQVYNQHL KQNMGMIDIFKVFSLSHEFKLIPIREEEKMELQKLMMSVPVPIKGSPEDSTTKINVLLQA YISRLKLEGYALNSDMVYITQSAGRIMRALYEICLQKEWAQSALQCLQLSKMIEKRMWSC MTPLRQFKGLPDDLLRRIEKKEGITWDHLYAMSSQQLGELIRYQNQNMTKLIHKYIHKFP KIEIQAFAQPITRSCLRIDLHLSCDFQWDEKIHGRQEPFHIFVLDSDGEKILYHEMFLMK QKNQEMEFTLTVALFEVMHPIYYIKVISDKWISCESEQPIPFKNLILPEPFNRCTDLLQL TLLSIDQIKHQQIENILAKKILQNRYFDQIQTQVFQQIYQSNDNIFIGSSTYQSKNILPI LAILQMINIQKGYKAVYVSSIQTNCDIKYNQFLQIFNKTMSLKVGKLTGQTQSDNKILEQ CDIIVSNAINWDIISRRWRAKKGFKDIRVFIADDLHTLGQSGSVLEVIVSRMRMISMEIP FRVVGLSLSVADYKEMSEWIGSKHTFNFQPIVRPNNIQIQIQSFDQCQRPLRIQAMIKQL KTNISNTDLNIIYVSDRKLARVCALELMINNNNSLSGFSIKEDQYLQHTLQSSVGFLYEC MDPQDESEVLRFISSGELRVVVVTYKLALYYNLKGKVFILDNQKYDGIDKRYVDYTIAEM LEMIESTTSQCHVFTYGPKKEYYKKFLYEPMPIESHLNHNLANHLNAEIVAKNIHNTQDC IDWITWTFMYRRLTQNPNYYSLHEINGVAINNYLSELIETTIDELHESKCIAVEEDNELE AINSGIIANYYYINIETVKNFSDKINANSKLRDLLFILSEAKEFEVLNIRNGEEILLAQL LQKIPFQPTNVKLNEPNTKALILLQAHFSRIKLNSDLKSDLTILELAIRLSTAMVDIASS NLWLKPAILSMQICQMIVQSLWKDDDSVLLQLPHFNKNTIEQLKSMKVSDWADFFDMEES DRTQVLGQFTQQQIDDIAQAGNRLPSVEISDIIAEEEIVQGDIFHVQVVLSRQDNIYTDY VIAPNYPKQKEEQWWVLCADRNTNRLFGNKKVSFQQSIKVDLRFQAPEAGDYDLTIYAIC DSYMGVDTTSQFQLKVNPIIEQEQEQ >CAK60708 pep:novel supercontig:GCA_000165425.1:CT868009:23544:24357:-1 gene:GSPATT00030937001 transcript:CAK60708 MSVSQLLIKLYHLVADHSDINSFQNFVKSQNLIQQLTIIIEHIQNNSIKKDYKELEKQLQ KYESEVRVHIRESYLMNQKYDDMKNQIKQLEQDRDELLKNTKKTMNKLKKENEELYRKVN ILQEELNYYRQIELCTQQSTKISNSKTIEKSTKLKDKRFHTNITPQKTANQSFDYLVNKR LSQQIVQRKQSFQKYMSSKRRSCTTDLSKNYITTFCLDKSDFNIFFDLSIFLAYSHYPQV V >CAK60709 pep:novel supercontig:GCA_000165425.1:CT868009:24385:26000:-1 gene:GSPATT00030938001 transcript:CAK60709 MNTRQPLQSYNSVERNPEKSCKSISEIETFLKEIDRLQGENQKLKDRLKQQPSISMTLEN QKVLAENQDLKNQMELLQHKNEVQDKTHADALRQLDINWKQDVATLKFQLEQQEINHRQS SRQEIMQYKSEIAKLQQSLSKISNVENNNQNLVQVLENELIQVKQINNGLEEKLEQAISQ FDLQSKDQEFTLMKQQTIINSQEQYLNELKQKLQIQKDQNLDLLSSVKKLKEVADNKTVQ ASNLSSRVKELESDFKHVSSTYQEHKAQLQQRVDELILSTGKQAEQICLLQTQQQRDQEL IKRLQINLENEQKNREMEVKEIQESKAKLIQFLQSELLQQKEQNHQLRSDNQVLDKQLKE LKQFYQYNFRDLECKTNTLEGECNRLNNIIAQKDQELVIIEMQMKSTSNYQEREEDKINE VIQIKEQEVNLLKQQNESLINDLSRQADNYRHLALQHKQYQKFAEDVKMNNITINELQSK CELQDKEIERLRMKVLEKSQQLEKVQKDNLDYQAKLKTRLK >CAK60710 pep:novel supercontig:GCA_000165425.1:CT868009:26090:26500:-1 gene:GSPATT00030939001 transcript:CAK60710 MASVDQTQFTFIKHRHFTKKRKLEQKPRNYTYISSEAKLLFLQLFLFESYKIQDAANKAG IKYSSAKTILFAHRKSFKNELIRNKLAANSIKAKCCGYKVKQSGEQDNIQIISRVGM >CAK60711 pep:novel supercontig:GCA_000165425.1:CT868009:27573:28691:1 gene:GSPATT00030940001 transcript:CAK60711 MEKSLNPVLKYHKKLKQQKQQKRKEAHEKHKKYERMKQEKLQIKQQKDAEKQQDSDGEKQ EEIIVKENEQPIINEPPINLELIKDERIKVPGYSQEFSKKAIEINRAFLDPSKRKYVPSH ETKIASQINDKLLEYQAKPNEKIYKNADGVIITDEVLKIFGGKVDPSKIKIGYKQKQLQT QFSTYDPFSKVTEQMNFDYIKNLEEQRLPQPPQQPQVEEVEPQPVKKIFLPDEEKEEKPI CPDVIVKESTVKKCKIDLTKQQVPLIPLHLQRKQNQQQQQQQQQQQQQQQQQQQQQQYQL QQQQQQQHLQQQQQQQYYHQQQQIKQEQIINNQNNFRQIVKESQIKKKPEEAFQDFMKDL EKL >CAK60712 pep:novel supercontig:GCA_000165425.1:CT868009:28817:29407:1 gene:GSPATT00030941001 transcript:CAK60712 MNQFRQEDNQKQEIQDDNLIRQIPNYSRFLPIANINRIMKKALPENAKIAKDAKETVQEC VSEFISFITSEACEKCKNEKRKTINGEDLLYAINTLGFESYVDILKLYLNKYREAVKAVE GTTGATNQKRKRQNSSDDDEDDDEEDEEDNDDDDQS >CAK60713 pep:novel supercontig:GCA_000165425.1:CT868009:29937:32220:1 gene:GSPATT00030942001 transcript:CAK60713 MNFTINEIRRSGTHTEEELNQLTLDENMGELRRAQYILQRGHPIQKYAIYSNIHKLFKVS GFSLLFPIINEDLVKQDEDTIAIATKELLMIAKQMKEQEQQMLLNQTFHFMKNYNLKLAE GWFELFEMLYCCDIHNSIRLIDELTDIEAETHWRLRGARLLQYIPQIERIQLLCMDKDPQ VRFEIVKTIQIVYCSFTPEKFSTYLQMKIFELIYDYEVQVRMAAIEMFFMVQSHLQDREK MITKQFFEFLSSQNVQIITIMSRICGKVLMEIMDVKPDIQKFLKVFISFAENTNEEYRIN FAYNFPAILQILKGDCYDQLKEPYLRLLQFDTSTQVRNLLLASIPDVISTLKIHKTQSLI PLIRKMLDHPIIQYNLYSIIYALWPSYDQQEQLILSKMLVNDILIDLVKQINCKANVMLL EQLLKLHEFFQQCDAYLLLLSPLMNLLSADSQNIRTLSAQNVAYLAYHDQSINSQMINLC MNSIKFRMRICYIEFISQTIDLCSKRYFHLNNFISILALSQDKIYDVRIKLSRIMKKIQT IILDNDETACIAYDQAIKQLSREPIIKEILKQNESLQFTFGDDLLKEQKEHLCFARISAD DIDAIEKNEHTGSISPFIIKSKNGYGHSRKRSSVTRQPINRSQPNASFTQKLTQIQTKYN PIKVSEDMSRRTSVPPVPGRKLQLALKPTNTQFKPKLNQQRLRK >CAK60714 pep:novel supercontig:GCA_000165425.1:CT868009:32239:33468:1 gene:GSPATT00030943001 transcript:CAK60714 MDPVPKKKKSVSSKQDKSQAGSVKSKKQQPPGEILEQQHSQHDLQIDDHQQHDPFIPPPI QTQVKVCIHHNLPFQFFCEACEDPICQQCTILGPHNTQLHRINRLSDSFKLRCAKIEESI KANLLGKREQLLAQIHRIEYRIEEIKYVKTIIERDARAEFGGVLERLKQSEGQKLAILSH EISELQRDLDKINEVGSQFYDLKEIPDPCPFLLKSRNIYDQIEYMVAKPFKVQIDVYPYD LPRELTELRLQLQKASAQQQLIEFKNEIIWKLLSEKQIDEETIKQELERQASEEINEWSK LVDKFSQELKRQQLVCYYCGCKMEKQYVNSVCAQNRQEQDYDKVFCNEQPPQNYHGTSRH YFADPIL >CAK60715 pep:novel supercontig:GCA_000165425.1:CT868009:33555:34450:1 gene:GSPATT00030944001 transcript:CAK60715 MNIYTIIEILKHTEQRGVELLIDALIIGIEIGRKNLQNHQKIAQIVGSLSNLKHGIDFSF SSPFLRDEIFDRVLNKNEKLEDILMNVQQQCQEKYQLQQQQTNNCNICSQSIENNSEKKF IAACQHQFHKQCVENVLAQGFNIRIGFKCPICGQSTFKEDIEYQLKANNNFKTSCCPTPQ CKKYFSYIGQEIYRCSECEKKYCLKCQSQNHPINECKTDIKTATFEMGDNYKECPKCKLW VKQLEDEKILYCLCKYTFCFGCGNKDGNCNCNKDYKILQSLTKGFFQMIQ >CAK60716 pep:novel supercontig:GCA_000165425.1:CT868009:34815:36438:1 gene:GSPATT00030945001 transcript:CAK60716 MNLSLISGEQSSDDSDDSPEQIQIHRERKHLSEIDVLIATLQIEPKKRTWQQLKFLCDTL ESNVHYFSQLRNKLTTQILIKLMATISLEQFTTFNVVFNQGETGRKMYIILKGEVAVLIK TDDQNETVLKEDNKRRRGTRTFDELIMHRFSNYRVVAYKKQYDYFGEIAIEQRIPRTATV IAKEPCTLAIITFDAYQSLLSELQADNLQLRQVVIARMYPFSILNEQQFQQILHNYEELN IQGGCLLYREQQNADALYLVIKGEVLVQIKELVDNAAAQIQEQKYFVNFQKQQRIKNIGL FGIGQIVGDYELYLNKKYKHKLIRRTISIVKSDSKIIRIPIKQFLDVIEHGLSAKWLLRY LNEKYEQKEQLPILQLKDEQENRKVQSFISPQFKKMILRLKRHHDHNKISSDRYQQVNYK QTLEYDNNEQQFTGLKKEINYQDKSVLKYLAKDQVLHKRKSNTLTGFSIEKFASTLKSRV RVTKLLTQIDEESTCYRFHSTPTKTNGFSFKQAKTLTNIQSPQYKFNQTFKLN >CAK60717 pep:novel supercontig:GCA_000165425.1:CT868009:37401:38029:1 gene:GSPATT00030946001 transcript:CAK60717 MIHSEEESVNSFTPESQEQNPEEHKNKDSKKYAIIDQSIRVQLLRRILSKQATIKDAAKE FGVNFSTAKAILQTYRKEGRIGKKKTRERNKIKQESGENSSPRKIQSMYNLEQPQQMRAT SPEQKPQIPQIQPIFPVMSSPNLDNNGAQIALALCQRELAQQKLINFQLLMMIQNFKNIS QLQVKEEPNIIN >CAK60718 pep:novel supercontig:GCA_000165425.1:CT868009:38042:38672:-1 gene:GSPATT00030947001 transcript:CAK60718 MHFPLFIQELQREFVQDDPILKVTLNYGQYEAYTQKNGIFAFYNIPNGRYFLEIHSKYFV YESAFIDVYTNNKGTNVSVSKIHPISRQKPQQQQQTKQQRIQTRDSMLFEIIQRKDYFEK EDELSLSQIYQNQYFFMIAITVAMLFFVKKMPIEELQSAERAPQQQ >CAK60719 pep:novel supercontig:GCA_000165425.1:CT868009:38798:39432:1 gene:GSPATT00030948001 transcript:CAK60719 MEQGIEQLMFSNRNRQVGQIVSNRQKKDTREEEGIKIIRLDKLRQQIMSLEIETKKPKLK LKKLNSENMLLKEKQQLEDDIKDISQRLEKMKQIKIQSKQQQSDLLQDKQDFEQRWNNIQ NEDEIQSFQERMQTLLNEDQEPPNHEIEILTKEVEDLLCFKIDEEPTKQGNTKYNLFTIE EEQQDQSFT >CAK60720 pep:novel supercontig:GCA_000165425.1:CT868009:40158:41466:1 gene:GSPATT00030949001 transcript:CAK60720 MSEHTQEFQGKCQEIIENLSIFKFHLDGCIDELIKNLQEKFQQEPNNIGQFTYDQFQSYL SGLLDFDKDKNMIDNDVIEFLKLTTNNINDAVEKLEVKASLHYQAPPEMQQKVRDLQNQG TQLFQNNNIMGAQDIMNQTLILDPNNKVSLVMKGKLLLSQTKNCEAQATFERLLKIDPNN IDAINGLGDCLRERSKHEDALKQFEKILQLDQNNKQALLGKASCLGKQRKFPEAKLIYER ILDQDKKEIEAIWGLADLLRIQGKDEEAIKQYNKVLQLNQNHLNSISGLGECYRLLGKHD EAMKYLKKALQINSRHVLTLARMGDCLRQQKKFLEAINYYSEALAIDPQDEWSQSKLSEC RINSQRIM >CAK60721 pep:novel supercontig:GCA_000165425.1:CT868009:41507:42844:-1 gene:GSPATT00030950001 transcript:CAK60721 MGNCQQCNDSAPNKTQEILTVRTTKSKQQIEKKIDKQEYNGLIKIQAHFRGYLIRKKFHS KLSRSTAQKQSSSILDQKSSHNGLIIEKTSQIKKNTIQIQQSQKRLPQKQDEQQESNVKQ FCIADITLHINKDIKDDASSFSDISRPPSTPIAISAEMNGLCYIPLQKQDQLLVSTKQQQ KFPCIQLQNGCFYEGQWKNGMMHGFGKYILSENSFYIGELFENKPYGQGTFQHSNGDLFL GTWVDGQVQGKGKYTFSNGTYYDGEWQNDLPNGHGVQTYSGGWTYEGSFIDGFKSGLGKL VYPDGSIYQGRFENDLISGFGTLIFFDGRIYTGEWKNGIKNGKGVFEWPDGRKYDGQYIN DLREGYGVLIWPNNQKYLGCWKAGLQHGNGQIIKCNGNTFKGQWINGKIANKKLGANTPA KVVKLSKD >CAK60722 pep:novel supercontig:GCA_000165425.1:CT868009:43482:44309:1 gene:GSPATT00030951001 transcript:CAK60722 MNTFYAQNLSLSQLRQLPQERMHVKMYKNEEITLTPQSNRSQLNEAIENLETQWHSIRRQ HLEQRSCSRSPAKNNLMNQLLKNKDKQQQCILKKVVPLSTINLAKIQDQQQQQQIQYNPQ QSAQRNHLKDSSHFQYIANKYCNIYRRPSPEQQYLVNSLRDNEQMNYSIGRNQVPQQQYQ RNNLKMLDERVRVNSQDKYAAQALSNSNILKKDGPQRKRVSQYYKDEENKMTSVKSPAMQ NQRIIAKKRA >CAK60723 pep:novel supercontig:GCA_000165425.1:CT868009:45261:47896:1 gene:GSPATT00030952001 transcript:CAK60723 MIDFEFSEIRKFIDEKIVLISETQQYYCQREESQLRKLINMGKLWNLIKSQLVILLMSLL LILQCIFGSDEYYYLFAVLLLQLARMAREYFGASQFQVVLIIQYLGYMTVLYFSSQKNLL SCMCVMQIGQTLIFENEFTNKWLIILFSVGADMLVLLLFMPDEISQIYLPCVSIGIKTLT QLINQPKLNGNFNFNQENLFVDSNHHSTYRMSKDRNFHSDSQPPTPKNDPYQVELLSFFP QGIALVALNDDVQFCNENMKKIFVQDGSQQSILQAIFSLEEQQVVEQAEKQSIEQPKQSM SSFSTFQPVPIQKTQFTSAARSQNKSSGTVVQQQLKERPLPRAQTQHKQNTLKLQDTFIT DCCVIEQEIQCMKKQIQRKEVQNLMKTTHVQEHIVVLGYIRSEKQKRRQIEIKLYITLIN DCPYILIVARDITHRDYIRTLKNYSKQKSKMLAFVSHEYRTPLNCIIDQLNEKTIFCEKD KEIHKHVRIALHTAKQLLNLSDDLLDLAQIREGKFTAVKQKFNLKNMMKSCLELFSATAE KQQIVLNLNYNAHVPKIVEQDSNRIKQIIMNLIGNAFKFTEKGNITLEVTQGSDRKRITI SVIDTGIGIPDDDKVKLFKAFAKGSSEESKKMNTQGVGLGLLISNQILQNLNGDIQNGLK LHSQINKGSTFYFTIQICDVQQTFSLHDLEERNQESENSEQNSLFIPDDDDKPQQLKVIT KNQNIKASTKVSIGDPKILIVDDVQLNVEMIARMIQDTAVDWALNGYQAIQKCKERIKHN QEFYKVILMDLEMPIMNGFQVSQAIITLCAELGASTTIIGCSAYDSEEQMQECLKVGMTA YLQKPVEQKALKKILFKYL >CAK60724 pep:novel supercontig:GCA_000165425.1:CT868009:48043:48261:1 gene:GSPATT00030953001 transcript:CAK60724 MQLLSFLKELRNRVAEMEAKNAEYQDQIEGLKQIIEEKDAKIKQLEDTLANLQNKPIKKT ISKKKDKKSTKK >CAK60725 pep:novel supercontig:GCA_000165425.1:CT868009:49589:50170:1 gene:GSPATT00030954001 transcript:CAK60725 MQEHPLGLLSQGTTIYLKRRLSIRFDYLLRSKRRTSKFPSKYLQGWGRQLNGASKSTFKN SNLFKWYILIDIALFIKRLRKLRNRKNLSRINVLNEKSNKQIKAALFAITLSILLLPLLY VFNIGLKSYQYINIYISCCLCYQGMLRFILFFTLPAYVILIVMAIIFAGVELIRQVPLLT SKKQSVHQILFGK >CAK60726 pep:novel supercontig:GCA_000165425.1:CT868009:50608:51531:1 gene:GSPATT00030955001 transcript:CAK60726 MTSINEEKLLGQLIQKLLLKLEYNPRAQQLITRTEQLKEEQPQITQFLMNLTNFKDTLRI SNQDTQLVQASRTSSTYRQIRNNSINTEGYRTMRSFDRSPLSPQPFEDRLSVTQSLSNQY YRPNSQGKPIIGEPSPPPPMREISYKFHSEYHGIGAQVKGKQVKQVLNTEGNLALIGPSI YGNGLIRDSNLKLLIRSCQNQNIRYPMALGISDQKRVKEKLYQKDSEGCYFLNSHGYITS ENQSKIKCGKRFYNGSYVELKFKPFQSELHITIDDGEPIIIKISLSQSKLIYFTVRLGDL HDAIEIM >CAK60727 pep:novel supercontig:GCA_000165425.1:CT868009:51637:52819:-1 gene:GSPATT00030956001 transcript:CAK60727 MHNFFDSQYYEIETGLASKNQIVFLKVETNNFLRCQLLLENTILTLKKIGEEAENLETIN IANAYLEFNDHICMGRGVSIRQQNKELLIFGLTSQLKQILKLYGIQKDFASQYKVLKQIG SGSFGKVYKVKSAQNEQLYAVKMFEKQLLLDPRDKSAILKEIQILRLMDHPNVLSIKECY ESDQCIFLVEDLFDKQMEISQENILKEEKAINLLLKLLNGLEYIHSKKIIHRDIKPNNIL FRNFEDLVIADFGLADFYRPDGCYLFKKCGTRNYVAPEIIKSQYYDYKVDIYSLGVVFHQ LLTAQFPHPWDNFKHSPDCQALLIGMLHSNPSERFSISEIRQNILVRRVYRRGQILDAMG SLSDIAKTNQNTPQVQTKPFQLYSV >CAK60728 pep:novel supercontig:GCA_000165425.1:CT868009:53881:55372:1 gene:GSPATT00030957001 transcript:CAK60728 MRMFSYNCIEQIHKNHQIDFYCFNPDCQDRKLFCLECLQSGMHLGHAHKLDDIDRHRIKI YDKCKALIDQLNDYKLHILNFLELLTKTLQQKFQTPPKSNYSFDQLESYINTLLDFETNQ SQVENKVIKYLLKSQSNIQDVIIQLEVKERLHYQAPSTSLQRVRDLQRQSTQLFQNNELQ KAQDILDQTLDLDPNNPTSLALQGNLLLSKAKYTEAYNIFSKLNKIDPNHIQGLNGLGMH TFISGDSLRAIKNCSVALEEYKKVLALDSLNVQALIGKAYCLGQKQKFQKAQQIYDQILF KEPANVQAIFGQGIFVNKITKAELLRIKEKFDEAITLYDKALSLNKNHIESLSGKGDCYR SLGKFRDAMVCLKKAEELNPLNSQTLIRIGDCLKLEEKLTEAYSYYEKALKINPLDEWSQ LKMGKHSSYLKINAKTIFDLYDCEVFHILTILYQ >CAK60729 pep:novel supercontig:GCA_000165425.1:CT868009:56089:56496:1 gene:GSPATT00030958001 transcript:CAK60729 MSDQINVAIIRLNQERKLLKERQNTFNSESSQNNRTVYQKQRLSTLDPPKLFMQIIQVPY MKNQRPIKVKTKLKVNINFRRRSLSQKTEKISNAMVGVVRKFDLSFLNYDPLPIVYYNQG KMLKQKRQGSNIISI >CAK60730 pep:novel supercontig:GCA_000165425.1:CT868009:56540:56801:-1 gene:GSPATT00030959001 transcript:CAK60730 MSGFYQLNLQSHSHFPYADTPQHIKTKPLPSPQAEEIETFSLDDSWDSNISPTNKKTFKV DKPILVIQYLDCKLRDQF >CAK60731 pep:novel supercontig:GCA_000165425.1:CT868009:57113:59803:1 gene:GSPATT00030960001 transcript:CAK60731 MNNEMLQDENDQSDMYIESQFDEHKKHLQPDCFLMEDKVQFSNLNMNEPLDMKSASETIQ EFIYTEEKLEVQGKGLVSTSLDQNKFVNIKLLDLSRCKLYQIPEDVKQMINLQKMYLSQN VLVNVPRFLESLNYLEVLDLSYNLIQNYQVHIKHLRQLNLASNCIKQPYLGELDVLCIQM NPITHLPQQFHKALANMNQLEFDWFKYCKPPLPFRLNFKKYPLIQEKLIKALTQQTLSFQ EFIKLLSQNEQNFSCTDYKERNLYCQAGMNDEIGVLYCLNSIIPQDINKTDFDNNTPLSV CYLEGKMRSVSLLKTLGGRFSLNTIHCMSQRADVQNLKFLLGVKENFHLQLRTTNIQHPI NDESLVMMRNIDGNTPLHQLMMNFDKYEQSSEFAEILLLYGADPNVENCEGYTPLDIAIR KQQIKGLKFGNYFNMSHHADFANNRFFDFSHQSSITGYGLCHIAVMVGNIEILEFLISIN ADFLPISKSNKLPRNLATQSLVALKNIRKLEKRYILNNVIKEKSLLEQQEKNVYQMRHQI EKNIVQRHHNMIQKYADQEEIEDDQSIESYNDFSLQVGSECTVRDTVAESAPIFKKQLNQ QKDSNTSVQQNFQQLSSLEFSDHYPDVLKLLRSGNIDTAIKKIENVLQYEQISVAERLKY KNQLSLLKLKFKQKNIELKSKIGQDVQLILNEYQFKSLKTDLRNKYFKNVQTILNAQIEM EISIALNIVSRQKIICSSYNLNRDLQVSDLSLINDLRTRLSVNLVYDINNYASHLFSSQN QLYQWLYSCLSKKEKILFLTSFFNLQNYEYLQMMKFKKKRVLVVKQDDLSIEKDMAELNK VPINQIISNHNQFCNSKRN >CAK60732 pep:novel supercontig:GCA_000165425.1:CT868009:59907:61077:-1 gene:GSPATT00030961001 transcript:CAK60732 MKIFIAATIIFIACLILDVIYDQQLWDFNTRITKYMQQKQTPGLQSMFNFFSNWINIFPG IALLIFIFTENKLASIIYMCLIQFTISFNSVLKNVYHQPRPYWIEPDIVALSCNKEFGKP SGHAMGSLLMCFLLPLMVLPSTFVKKPKLIKSIILCFVSIWTVMTALSRIYLGMHTIGQI LLGWMYSSYFILIYLSYLHQPIMEYLKTRLSSIDLTWKFVFMVGLSTLGWLGISFLFYFL DRKNLYLNQAKLTQWTQNIYKKCPNQTELLSIHSSQVFQSFCLNKSFEITFIFFIFLGIK LSHGKNNEEEFTQNYSKLNWKQKCFRFLLLIIPLSTVKFLDFINSDNVWVMIFSYKDYPL ECLMWTYPYIWICKTIKIFQFKY >CAK60733 pep:novel supercontig:GCA_000165425.1:CT868009:61187:62511:1 gene:GSPATT00030962001 transcript:CAK60733 MIKRFKKLFQGQSEFQSFLTKSSLTKDNFVYKQEDVEILVPFLTDDQNIIEFFQVYQEDN CQPYTIKMKMLITIHQVLNISDEFAQQFIKINFAYFNNRPSQKPETFVFKQVTNEVWLLE NLQIPFLQYLQKLALNVQEIKSYRNHHFRKPIHQSKYLNLVYLSLVVECFKLENLVNQGL SLVPQLKTSLSNFPHDFLLKKLACNLYSELRQFQRQLINSLSALLDTNSRASNIEIFEFF REVQMIEKKTMSYYMLHKLFDPGRKLMPPLQLKIDLKSAKHLEQQAFNEQLKINQQKQMR SQRNSRSNSLDQQQRVSQGFISQRERRFMMYSRAKKQDTIQEDIEMPTEEQQPSEKKKIP QVVDNQVNDIISKQFDPEDNNNDSQIQKKESGNGSEINEINSQKETNDIVKNQESTSQAD QDES >CAK60734 pep:novel supercontig:GCA_000165425.1:CT868009:62555:64932:1 gene:GSPATT00030963001 transcript:CAK60734 MSAVEEIERCLSQAIQLRTVTEIVATMGTKVLTLQNFQLLIKKFNKNIINKEVEEYYYKV LTDFDSRVGITQEIFEKLVENLPKPKRRQDVVMSLIEGIQQKKASLERIYKFFDYDGDGK MQLNEMKELLEYLYPEITEEDIKILMKNNNEVQFSFENIKTLLSFEQNMNAEIVIVKDKN ELSDSEEDDEYYDEYGMFKGNQEEFFDEQSFSQDSPFYESFINFKNLYFKLKENKTIFVD PDFKPDETSLGNVGTNFEWKRLTEIWKNAQIFQKDLYHSNNELGVHTLVSPRDIKQGSLG DCYFLSSLGSIASKHPDKIFDLFQTPILNPYGIYGVWLCIQGVWKLITLDDYVPVYDDQP AFSGSDSQEMWVILLEKAWAKLFGSYANIVSGDPREVIASITGGPTWCISSDESTFIERL KQIMNSYQNYIVCAGTYSDKPESEMMGLVRNHAYSVLNFRTIKLPSKEEVQLIQLKNPYG NDQEWNGDWSDKSPLWTEELKDNVLQNQEADGIFFMCIEDFRKHFENAYIGFCNPYFEFG QITIQCQRRKSAYILVNIKKDGEYYFSAYQKSQRMFKQQSKDLQQLYEYSEMRLILSKMN KNNSIQPLTYKTETDMSFNIFGRLQKGQYILQLKANWVMEGWDQNDVPLASYGEDTTEMK FCQKISNFQQASILFEAKEKRTEAKPLNPSHPELVVLKNKSLAYGYPYLYYQNLSKEKTF NFNLVFEGDIKLKKPHGGNLVEIELKPGQDKLIVYKFLVKGTKMKYQVHKFKCVTVQ >CAK60735 pep:novel supercontig:GCA_000165425.1:CT868009:64969:67323:-1 gene:GSPATT00030964001 transcript:CAK60735 MGQLLLKNIVNIIERGSQDEKDAVALGLNSCASVSILVCYYMIYHIGKQKLIIHLLIQTI IVIHYEIAFYERSTISAQSAIIYMMQIQLYLQRHNGLFDYLSHCALIYAILRFLIREFTN LLISEILLMFLWQPLNHYLLYLHKSKKPQKKEDITTQVHFQTISSPQAQHTQGVDEIVTK TNMTEDFFDYIPEGLVILDEYFNIIKHNSKILQYLQIKEPSTIPQSLDSLLKIAQKNKVP MKDKDRKRPLHLKSMKQNSEMHFSYSGSLASSLKKQFWTSDRQQLNYSFQKGDSMMYPSL QAIINEFKVRNTNDNITINSESCCIVKYQINQESSIKYKYISIKIYEIKMPSNLKSTLFL FIVENITNKEQLKQLTNRFIFQQQLLNSFSHELRTPLNCTLSLLQALKSKLKNDEINNDY LNPAMVTSHRLLYQINDILDYAQIECQDFKLNICEFTVGDVFQTLKDFFIQECAQKKIQM IIEIDCLIVIRNDKERITQVLINLISNSIKFTPQGGRIVVNVKKRDNLYQFTVWDNGKGI SNQTMSNIFEQQQNMILSTKVNDNQFYSNKLGIGLKVSRGIVKYLSTNGDLIIKSQSGCY TSINFFVEDKFMKIDEDISEETILRLASKNTLKKCVCPQILIVDDIPFNHIALIAILNSF NVTSESAYDGDQAIEKVSERIRNSQCCKSYRIIFMDLEMPGKNGFQTSSEIVTILKKVNA QSVIVMHSAYTGEENVEQGRLCGMKEFIPKPISLVTLQNLINKYFSQQA >CAK60736 pep:novel supercontig:GCA_000165425.1:CT868009:67359:68120:1 gene:GSPATT00030965001 transcript:CAK60736 MKQLSQTSQSYKAKQKSEPSLLPNIDILKENVKSSFALGHLRGLLTYKQVRELPKTEIQV RRDQTFGKANKKSKNKRLTFLKFGFRTHEKKNNYYLQPLIQKVKDAFGEVANDGSMGVVD LWSDRNVNRLMDAIQMTRDNLIVRKLGKELNEEEHFLLTRMEEKKMRDRIFMESLKLQFQ ETDTFSLKFQLSDKDKRIVQRVAQPTISSNLRYNNPNENIQTIVESIDQLHQENLEIYTD LYKQIKSIQKHIK >CAK60737 pep:novel supercontig:GCA_000165425.1:CT868009:68296:69363:-1 gene:GSPATT00030966001 transcript:CAK60737 MQQQYQDAEYFRKKDECRLRSFKKLKDQIENKCNIVKGRSREITVKKKLKPYKSLETKFQ EHSDTFRTRFVNSQFSNIINEVSHTCEDIVSSISRIKNEDRQRNRDIQALLKKIDNPSEL NITRWQTDSKYIEIKEELIKMQQEQGLPDYCKFCLINSKDSMKRMNRSNQEIKETRLLFQ MKDKLTPNQLKKYMEQLLKKYQRIQQNQELEPNGVGWKLKTKLQPYQSSDDWVVIREHML EKKQPSMQDKMKKAEKTFKRHLHEQKYRDAAFKQLKSQNEAILQFEKRVFKTEPEEDLKE QFQIRLQDAKWFCEENAKKIDNCKSDLKQQLYQIKREHDRIYKNENNYMNRLLSS >CAK60738 pep:novel supercontig:GCA_000165425.1:CT868009:69657:69964:-1 gene:GSPATT00030967001 transcript:CAK60738 MKRERDELLQEYQKEKTDFQAFDAQIRKMQMELDLRVAILKDKESKLANYNKMIEETDLT YNRIVETSNKLAANLEKETQFIKDRFKRQLQI >CAK60739 pep:novel supercontig:GCA_000165425.1:CT868009:70083:71511:-1 gene:GSPATT00030968001 transcript:CAK60739 MSRIFERVDRETLLRDEQLIDVLRKIREKVLSGHIVELKTLSSETECLETKNNQFPLLGR TELDGLITYSERENGAFLDQSFSKKIQGPLNTLAQLTQHQHSQSESDFSHQSFYSDEEPW NIEYITNNLDSVERGLNCDNSSSVVIALKALFEQIRLLLIKNVNKKQFLYKYTVLALRSL YILGNAMKLQGDYQHAILYYENCLTKFDINEPTFKGKLLIEAGKVCFISKNFQKCQQYFY DALLHYEQLNWRLDIAYVLTQLSRLNGWMKNPDTASKLCYESLAIYRDYLPEEDERIADT YFILAELAYLRKDYDAAFQFAEQCIQIYEMNNNNNNNNNNNNNNNNNNNNNNNKESNIVN SEFKTQLSPVYNLLGILYAHTNDYKQAQKYFHNAFQCVKQESLKAAQVLMNWGIMQALEC NSELAQKGILKAKQISQAFQNKSDLSIRLERNYQELLQ >CAK60740 pep:novel supercontig:GCA_000165425.1:CT868009:71552:72970:-1 gene:GSPATT00030969001 transcript:CAK60740 MTIVGLFDEIAMQINRLNVVGVQADQFKLLVHFLLVFPLGIILRYLKSPIIRKSYTFFWG LFFMLYLGAQWIELVMAQTLVIYFMRLLRRPFIPIASISFLVLGYLHYDRIMVNGKLSMG FNVVQMMLTCRFVYVGVAAQDRLSFTFLDYISYVFYFPNIIVGTVPFTAYIDFINLKGVY ANMGYSFKRAFISLFKALLFVAADQLIRPKFSFAYFSTQQWEEHSLLIRHLVCQLISCCE RFKYFLAFNFSQASMDAGGITFDGQEFSNYRLANYKFEIEFSPIKRTKHWNSSVQAWLQT CFYDRYKQHKSALLLTFVLSAYWHGFFIAYYVFFIEWAIFNEITKQVYRAKDKFKFIPIP IQKFICAIYGQLAVNSTATPIGLLKWDKVWKTMNDLGWVAQIVMVVVWGFFKITKFGQGK RKE >CAK60741 pep:novel supercontig:GCA_000165425.1:CT868009:73184:75336:1 gene:GSPATT00030970001 transcript:CAK60741 MKTQFTHVPFFLKDKDITDNDQTLMLRNKNINTWPNEIHTQLLILDLSGNRFQTIPHQVN LIQHLQKLILRDNSIDNIETSLNLPQLLHLDLSYNYIRKVPSSLIRLNSLHHLNLNSNLI DELPRQLFDLQLFFLGIAKNAFTMIPQDICKVIKKLEQFELDWLNYCNFSYFMDEQTCKK LMVVFAQDCTFSQFYEYFMNSQFSYNLEKIINNHSLGILKLSFEFQIEVSMKSLIFVVSQ PGTKENIKFIDYLIDLLNQNDSQLLNVIYILSARTNQTFIIQILSKYTINLHYPIKFDLI CNHKILIIKGQTPYTTLLQHSHNIEAIMDFQVQKGFNPKIKHQGENCLHIAVRLNAYEGV KWALKNCNVDQSDSIHKNTPLHILFQRQQSVDIFYLLENYRPNPFIVNRYNKIPRYYQTQ MIQLRFVKLYLKYEKCYLRFHFLTYNSNKFHGMITLGSRSNARQESEISAVNTTDTSILS GEQLLEYLLSEILKILRSSKQIPLVIDKINLLIDTSQDLHAKMCIQQILYLLNCKAKYGW NYKSTFLPQLITQINLLFKNRFYLEKRFFFSHDLKQMISENKKQIINQNNIAQNKIEQEH IVNLSQKLKFNISNILDNQTNTRFHEQYVINYMNIAYLCKNRKMLRKDNINILNQFEELQ IRKDISAEELIDLPESPRNTLLEKMKHAPVVKAKFKDFPNIRSKSQIDM >CAK60742 pep:novel supercontig:GCA_000165425.1:CT868009:75365:76856:-1 gene:GSPATT00030971001 transcript:CAK60742 MIDNSNTVKICNFLLQSNRTSLWSPSSKPVDSSISGCFHVSYKLGVFRETSLFLQGQNLY KCRNHKWKVCDIGNSQLEMVKHNVFGEGCKLGFGQYHIEIYGKIEELLDKLKKQCIQSNF TQKYSILKLMGQGTYGKVYRVKNKSNQKEFAVKTFEKSLLILPSDKIALAKELEIARLFE HPNLMQYYESFESEQFIFVVFELLLGGNLRQEIKKQRLSEKRAFSNIRQLLVALDHMHNL GVLHRDIKPENIMFRNSEELVLTDFGLADHYRKDGQYLFTNCGTPGYCAPELLQNKLYDF KVDVYSAGIVLFQMLTGQNPFDSDDYNKRVKLNKQGLIDWSIVNVSGDGLDFLQSLLSRN PFHRFTAAQALNHKIFNSDYGRFQRSGVSNTLVSTGSQLSSPNTKQLQIIPSPLQSPKIN SLHQFEFDELDNLILEQSKKDKSLVNYPMLERKQNQQFQFNYKLQHRTTKSEVLLFFQE >CAK60743 pep:novel supercontig:GCA_000165425.1:CT868009:76916:78220:1 gene:GSPATT00030972001 transcript:CAK60743 MKFFIISTFALCLYILLDILLNNQMWEANTVLTVYLQDHQFPGEKEIFLFFSYSLFAMPA IALIAFLFFDNKLGALLYACLIQAIFAENSVLKNIYHQARPYFIEDEIQPYECNKEFGKP SGHAMSSSAMCFLVPCIIFPVILKDQSNYKYPYYFRVIIMFIVTAWTFMTGLSRVFMGVH SFGQILLGWVYTAYTIIIYLRYFHTPISNYIKQCLQPGSQGVSSNVIKGVGLFAFGWTCL SILLFEFNNKVFLDESEVEEWLDALYQKCANQTTHYNINSPQVLHNICFSLSLYIWFPFS FLLGIKLSKGIYNENQFSINYKMLNFWQKLSRVLIFLLLLSTLVPIILIQYQNTYAEAFG KVFNILIKKILPTSILSGLIITYIYSQILNYFKLAIEGDFIQISPQLVSTPEGYKASELG QLNF >CAK60744 pep:novel supercontig:GCA_000165425.1:CT868009:78329:78574:-1 gene:GSPATT00030973001 transcript:CAK60744 MTILSGFGLNEVQVEKMTPVFLKNDIILDQKNAIRMAIIRIDENPPKMVVYVFYLLIFNV IDYPKISNPLITLDQQNRYQL >CAK60745 pep:novel supercontig:GCA_000165425.1:CT868009:78591:80306:1 gene:GSPATT00030974001 transcript:CAK60745 MAAFSIEQLNFVDKPMFNISIEQRSYQRDTQGNFIKQINYLQLEPCTLDHFQLVLNQPDY DFEQEYQKLQLNKWLCPQKDFQFTIQGTFTSNYFDFIRVIVSDCDDSQSGYQNWNPTCAT QSSQVEYLNTHESFKLQIYQVNNVVNPNSPQQYYQSYLDGEMYFTFTPQKLSRQANLFFR KYQFQNDDSLLPFQSINEKELIVRQSVDYREITEIGRQGDKNYGIVYLRRSQFSEYIDRR FTKIDELLSFLGGFLQIMITGFGIFIMYYNKLQLQIELSNKLFNFPEKFGKAKVKNNQVQ QTLDSSMYNDSKFIVNSEQGASKATLVIDKEKSTLTKSILKLFEQSQRLKLSLKSLINHL SFKLIFNNDETKLFQKAMDTVDQHLDIQEILYQLQELFKLKTLILKKQQITLFNFTPKPN LTLKDEEQVPNRLMFEQALSENEKQGYQKDELISELYNAWIEIKSDKTQNECQYELNQRL NQELGNEIQQYFQDYLETENNLKKQKENSQQKRLTELQNL >CAK60746 pep:novel supercontig:GCA_000165425.1:CT868009:80357:83492:-1 gene:GSPATT00030975001 transcript:CAK60746 MNQSNLLYQQHNFSPIQELQAINELEYEQEYLNTGLKGTFNSPNFVIKSQLDTRRTNEVD QTPKQALLPEWTKRAQRTGVSLMAFFFVKRFVQKIRSHRQKLQNINESHLQLIDDQGSDN QVMLTQMKFASPVFQIRNVSRLFRQHTLVKPENPVYVSIKEKYQMMRKYAYERFSKIPQF HPESPKKLMWDYFITLIRLILLVLIPLEITYNPGILFHQILPLTTLLASLLLFDVLIRLN TICYVKGHAVLDRFEIVRQQIFSVLFIDVLTLTPLFYYCIKNGEEISKYYLIILLTSLMQ FKYISEVIQKSEESQYFSKSQKGIISLLKLILTLMYILHMFSCIWYFNSNLSIEDSWIQY KFLDQQPWQAQYLEAFYFAIVTMLTIGYGDNVPKSSNEKIVAIFFIMGACLWFSYSVNTI GIIIKEINQNMVERIKKIRVINRYMHKRNIPYGLQYRIREYLNFRWKEEAEIDLQQEEQL LGELSEELKQELRQQSNSVFFSHCSFLSSNFSLEFQNALSCHIARTIIQPQNTFSIFTLG NYKQPHLCFVEQGQLQYLNRHRHSLKGIQCQGQFLQVQDFVTENENTQSYQAIGYVSLLT LSKIDFLAVLNNFPKDYQHYCQLKDSIKLSILKKQLPYGVYCAACKQHDHDLIGCPQLKI TIDREVVVKRHLYSKPQERNEWKRSQKRTKDLFQTLTDLDIIEQFTLYFQNEKQKLIKSQ LQQQLVFEQESDENPMKSDENISAPPTHDPNQQILMRKQDSILLSQNFSSSNNFPPQPIS PIHSMIHSQNHRRKQGIMDSQSSYDNNHSVKRGQNHYDFDLKPPPFNKYTTAKLSMYKKN YLSKYQQQQPQQSQLDIELNQLTDFNEMMQLQIEQLYLKMRKSAINEQLENFNSILDIEM LYLKFKSEQGLDQFEIVKNYDLYMVSHNINKVLQELRNRPIHHFNYMNKLIYYMYFPFEY VMKFLKIQQRERSLKTSRNLGRKPGLSISKIVELKNVFQRKRFTPRRSIKISQVNPDSEA >CAK60747 pep:novel supercontig:GCA_000165425.1:CT868009:83778:85677:1 gene:GSPATT00030976001 transcript:CAK60747 MQQDHQYENTYYQPKALNMHLRLTSKPFLLPQTEASTHRFSQIDYITEPTSSMVDPQGPQ TDRTQIRNNRQIVKQIKPARIEPESNILNEEKNKLQTKFNLLDSFTIRHSQHQLQIKNEI KQDVLQRKFSEQKIGFKVQQLDEEQESHLILRRNQFFYTSLRIKDRYSPLTLQIRIKQGD QNTRLRIFASPKFIQPNRFNASVEVSGRQLKYTSNSELFQEETLYVAILALNELSIGINI EFGRPTTKRVVQRERKEKSVHEFPPDLELQIEEIMMRRRLRYANQQNYVELNKCSQMSRR TLEKPQTPKHLEIKQKIRTLKVEQNLKRMAQLQVHDIAQQIHRKQLELQREIKHREYVQK SWFKIVCLINILAPGINEFIEHQRQLVAKNMKKQISLIQLLNKIKKKVEKFGPNCKLRTI LQGKYCLQLVANNSRMKAKKRAQTIITQMLQNNITCFITESKGTHVVNLIKKCVNRLVKH KISRIEFMSNLKLYLSVQYKQLYQIALRYSKQSDSAKNKRDPIHFLLYDASFLHQMTKKL LSHVFEKWNKNFITFRNATKEEREKQGKKGIALFWQPPKLFELPQDIVVRLFLFEELEKR GLITGEYKE >CAK60748 pep:novel supercontig:GCA_000165425.1:CT868009:85842:88581:-1 gene:GSPATT00030977001 transcript:CAK60748 MSNYSEQILLSLLKLGNKMDSIMIIKRFGSGVIDQQHFVLMIRVLNDQIPQKEVGNFYLE TLLQYEASAMSAYILNALLRQASQLLAKQNAKIRSPDEIFHQISKSLQIKNQDIERVFRI FDFDQDNLLNEKEFKNFLEYYVPTLSPDEYNKLKTTFPTQKVTLYNLMSWMQESNSMKNS IKAFQKPKQDPSADKKRAITPNTQERQKEITPIQKPQVPQKPQYQQNNYQSPRVETPKKD LQEQLKLQMQQQQQQQQNQQVKQQQQQQQQQQQQQQKQQQQQQQQQQQQQQQQQQQQQQQ QQQQQLIKPPLKDSPQRKINPILESILYEDPQQANILKSDIQFQKYVILDYNIPGVQDFL KKFNDLYKQKQTFTDIEFPANAYSLGSKLTQYQWKRLKDIWPTYEVFVKDMTQSRFGLGK WISPKDICQGQLGDCYFLSVLSSMACRWPDFLLDLFLTQTKNPCGIYAIRLCIDGIWKAI ILDDFIPTQGNQPAFSQSPSKEIWVLLMEKAWAKSFGSYSNIISGDSKEVIRSLTGGPTW SLKTDQPDFKEQFIGNVQRKCLMTTGSFSKTNNSEIMGLIADHAYSILKIRTIQHPSKGE VTLIKLRNPWGKKEWTGEWSDDSTSWTKEIKQQLRVSEKAEDGIFYMSYQDFIRYFKTIY VGYFQKSYIYTSQTIVSKSNRSTYFIFNIDMAGQYYFTAQQRSARHFKDKYKNYEYSSIR MILASQTKQGYVWEYAKQEQDQQVYVGELLDKGRYVLQVKVKWQFWDEEQFVISSYGPKE IKLKQIQKDQAFIKQVLLDKAMQNPKREQSGCQNLEIISDLPLQYGVGYEYYKNNGKDAI QVKSTFSKMVGLKLKKPERGNQYQITLQPNAEYLIAYTIKAEGFQTQQSRSYTTTR >CAK60749 pep:novel supercontig:GCA_000165425.1:CT868009:88711:90716:1 gene:GSPATT00030978001 transcript:CAK60749 MKKFYSTIQQLDIFGQTITLNINKDSYYKTFFGGISSIMIIAILIAFFFSNVMDFLNQTE IIFSLETKFTINPDEMILTSQNYMAAFSIEQVGYVLNPYFNISIEQRQYIRDENGKLNKS VTYIPLEACTLQHFDLLLNESNINFEEQYNQLDFSNWLCPKKDFQFKLSGTYSSQEFNFI KIVVNDCQQKKENETGWNPVCASEQIKQQHLQKEGQFKFQIYQVNSVVNPGQPKTYVSSY LDGEMYFTFVPKKMSRQANLFYRKYQFLNDLSLLPLENIQEEEVVVRENSDYRDLTELGR DTDSNYAVIYLRRSQFTEIVNRRYTQIGELLSYLGGFLQIMITGLGIFIMYYNKVQMQIE LSNKLYNFKINSGNEQNQTSIIKPSQILNAKGLSISVEDSQNQNLNESMADDKQKKNYLK KAIIKQFQQLNRVSLSIKLILNQLTFGLLFNNNDSQFLTKAINKVNNDLNIHDILYKVQE VQKLKQVLLRRSQIILFNFTPKPLITLDDDYHLPNRMDIEENLSDLINSNFGEKNENNEK SDKNLFTDLYHAYLEIKKELEDESMPFCQFSLNAQLALELGPQMQDIFKNQEQIENQEKV AYSVILKSVNE >CAK60750 pep:novel supercontig:GCA_000165425.1:CT868009:90782:93271:1 gene:GSPATT00030979001 transcript:CAK60750 MDSKNQWNQKSSVQEFGIQKSSVAKPVHQQPKPTQQVAPKNEHDPNQLVRRVTKRNIAIK KSIATNEGTIYETFDPLMKPQTPQDIGFIVKCFQSHFVFSSMNETQLVQLAKSMFYCRLV IGQTIIKQGDGASSFFVLEKGKINVLVDGISRKQLTQGNGFGELALLYNAPRSATCVAME ECFLWGIDRHTFRKSVENVMRSEQEKNRQLLEQVKFFNQLTKDQKDAIAGVLILQKFNQN EIIVNEGDQASSFYIIVEGKCGVFNKEDVQIATLNPKDSFGESALKHDNQIRMMTIKAIE KDTKVVALGKDMITQILGDKVQYIIYKNICKWALNRSKLFGKIPASVQDKLIEGVIYRKF TAGQKVINKGDKVGYLYIALEGNVLDDQKNVIANNILNEESLYDEVTNTKHSQSYTMETE GHVAVIDYDKYRTTYGSVEKLQEKDQSNLHSEEHQQSYDLVKQFQLKELIFLNKLGSGQF GSVYLCRNKNQETLFALKYVTRAHIQQYGIQKHVQQEKSVLEIMNHQFILKFYRSFKDAE NIYFLTEYIAGEELFDAIREIGLLGKYDSQFYTAQMILQMEYLHTVHQIVYRDIKPENVM VDQFGYLKLIDMGTAKSLKNVSPPKTFTIIGTPHYMAPEVISGKGYGYFADLWSVGCCLY EFLCGGLPFGEEQEDPFEIYKEIVKNPLHYPSYITDKTAKTIIEQLLNKIPECRLGGSYS SLKNNQWFSDFDWNKLMQRQLQPPLIPKKEKLMNETQIQEQLKKNILVIDQIQKDTMGQK KVFAQPKDAEWDSIF >CAK60751 pep:novel supercontig:GCA_000165425.1:CT868009:93581:93853:1 gene:GSPATT00030980001 transcript:CAK60751 MKQQPEPEIPTLQQQDKYLERKRQGQGLDVNFKLFNDFLKLRAASFSKVTIMLLVSFFHQ ALSYISQQGQFLYSDISTLQIYTIQLRALY >CAK60752 pep:novel supercontig:GCA_000165425.1:CT868009:93979:96523:1 gene:GSPATT00030981001 transcript:CAK60752 MIYILGPEYKPRKKFIGKLFVFLCDIYIWLLFFPCMITCFEFCICNQEQGCTLDTVRLIL TFLAILGLICGLLNIVVLTALFHNNWENKKDCFNGENVIYLTSYQFSRFVMAFFVVFSTK VYTFLWLFMIVSFLIYTLFLANILIGNGFLAFGTKYTRSLFIVMLIFLVSTTFSSIVDEL HRSQNSDTTIENFSVYFSFTLIFLLLTVGYNLRHTQSITIQFDIHQLTPDALQKQIYTTL AFLEVSLTDISIDTYFKGILQRHLDFECQHPVIKGEGRCFCKKKRVYDSKKRKEVRVEEW ITTKAIIMKFLIKSWIETYLGDRPNDVSVQILYARFMFSKFHNHQIALHILSGLEKRFTF LLDRYRSYQLKWKIIKFIRHRNSDSYKGKLEIENALFVEEQIDSIKNNITNILKQNCVFW NNLQQTTIDMSEMDNLLQMIFKKIEATKHLWMTITNYLEYKKKWKFYYAWFTLYILNKKI KNRILDNFQGFQVNENDIFSEELQEHNADEDVNSVKSGYLDNEKIEIKSRKIIFDKKACI IQASDDIQSSILKVNKQFTRIFGYSSEEVVRILPIINLMPDVYGKVHPQILNDYKQTGKS TSLYSQRKIYCLHKSGVMFTAWKFLKLYVDLNGLSQFVIMIRPTDFDNEKKHDYMILNND WEINGMTNNVLSGLNLDPRLFKKTSSEFILFNMLLFAPKLIQYSRIAPLINEEKDLPVFG MMKNPKKPVQKVIHHQNVLENQLSNSSKPKINVNMLGSASLKQSLGKPIQGLYSDLDFGS KVLLPQQSIDQQIPQQLVIQNERDKCLVASNEFYQ >CAK60753 pep:novel supercontig:GCA_000165425.1:CT868009:96594:99566:1 gene:GSPATT00030982001 transcript:CAK60753 MERRAEEQINQLKETQFQSNYQKIQTMGVKEKDAFSEDNASRDEMIEKIKGIKMLEGKIN SGEQIQFRMKIPENMDKIIDYYSSQKSKMYQLKKQQDDQVKQEEVIDQGKKKEKEKFFTK QGTQQREFRKKARLMFQKAAEMKRCQDDVNFYELLLEIYQKLIQEQKTRTYKVNCTIQFI KIKDERVGIIKIISINEIMKIKRVTRERDGNRKQSVFLKNIQPSRELGAKGSKLSATNLS SQFENSKFVSMASENETKQQISPVDLKVNPKFPLMKDKSFKGNLGYQMNAPIQEIDDDKD LLIDSKAFNKMINWDTFQQQFKMQQGALNFSLNAGDNIFRKEDNNKPRKFLIKIAYLTWF LRIMFVAIITLNLLTYFLKPFVEFNPMISQSNRILALSQMQTTMIETYDTLLDLLIFRED SDFNEMGMSDKLTYYNYQLSSIQESYKFLKLQIKDLDQLQTFLDDGIFYSNAILDESILG QANTTLTLDSKDYFTKFIMLEHEISMMDASVLEVIGPSDPFVKFIRYVTIPQLYNQLNNA VMELQSIVLEKSDSISDFVVVILSIEGSLLASGFFGLLVIIFWISQTYKAVLKIFILIQK NDLNKIVKQQKFIENQFKYIITKDLEISGVQPKGVYQRFNSLSSKHNFQMQQNFLLINEE EEQNMNKKREKNIIDRQLLKSLTLKLYATYIILVLLSAGASFAFFFTLKQASSDISNLIQ IGSVTISDFSDNQLLLVSVKERYYNEDNYQSNYLPKVKTLLESQIESVKQTPQIDDPGHG NYYDGFQAIYFDNLCYYLFNQSQLSSSEQTDCEQLVNGKLKDGIVAFNQYFLSNVQDYVL LNIDRFGYINNKMIWNLNSCIDYVKTAFKTLLTEIQMQLIDSNITLVLVLLIVMQLFQLF VFLAIAEMYLVSQLNKTFSFYRLVYKSYMPNDIIQKEKIIRAQLIRYNIIKK >CAK60754 pep:novel supercontig:GCA_000165425.1:CT868009:99905:101344:-1 gene:GSPATT00030983001 transcript:CAK60754 MGQKSSKEKNHNAPDGQISNFQSHIQITRDPKTGKLLGVPKEWADLNGLKLEIDQNKTVE TKNLPASVQPSELPEAILDLINEPIMSAPFNLQHKIHIEIDPTAQLGLKGLPPEWIEKLQ KADLQKADIEQNPQVMIQIISNYEEGVYRQTKLTLPTNDEFIKQVMDIKFIEQDPSILYK FTEQLGKGAMCKVYKAIHRNTNDEVAVRVMKIGNDMQRIKVEIALMKMCANQNIVKYYDS YIYQSCLFMVVEYLDGGCLTEIIYQNFKSMKEPEIAYICGEILSGLNYMHQKKKIHRDLK SDNILMNKKGEIKIADFGFATQLTAERQHRKSVVGTPAWMSPELILKQDYDEKVDIWSVG IIAIELAQGEPPYLRVPPLKAMYSITANDAPRLPNKFSKQFQEFIEKVLDKSSKTRMTAE QALQLPFFNNRNKEGVLQMILNKKNIPLNELIKQAPK >CAK60755 pep:novel supercontig:GCA_000165425.1:CT868009:102284:104258:1 gene:GSPATT00030984001 transcript:CAK60755 MSKFYFSILKNIESQFKINLSQINQYLNSSNLDGYQKYKNATHLCIGDIQDTINNKSQLQ FEVVQHILIISKLKPNLPYQSMILDVIAHAAKLSQQEIVQEIIQNYQFNQEGQMQLLDVL SNSNYNIQKLLLDNLGSIQNLRDVEDANQIFKILANLMKVNRSNSLTEESVANFSDLQYD FWLKNTENGEGQTRKEDAHLIQIMIRVLKDKVEWLIKKGQKVSSTTLIQYIQQFRQTTEI SFDDIRNQNYSKKEEMILFNILRTQEQFDIIKAINYIKQNHSSYNLQYVYKFLNNQQTVD LDLLQVVEGSIQNERVRIQNLLQFYSTIPIEPSWKIAQILRNILLKDWDNMTISQQLTIV GQLFRIERLIQKPQPIGKFFILDSILISKIEQMILQLRFQDLSQVDRLKVYFLMNSYFGK DLLSSYFNTQYALYIQAYLRNQFQTQPRIQSKLQKQVEESLVKNRVFYEREPLVENCFAV DFKLKNTIIEVNGPHHYCSIVGDTLPNDAVQHLSTNQAMYETLKTSLKTRLLQQKGYRVI NIPYFKWNGWCISNKQDKILNDMLEGISLKDSELLKMCDAINEKDERYKYASELMDKDGC KQVNLELTQCLKQYKKDWRMCKVESM >CAK60756 pep:novel supercontig:GCA_000165425.1:CT868009:104392:107773:-1 gene:GSPATT00030985001 transcript:CAK60756 MSLKIKVFLNSLVSVDGLYFVYHKVQFSKNRGFSNCNDLQNMRFPYSYSVSDYDLEVYNF EERCLDLINCTGNQFYQMLIRKQLLKQVKDQEVVDYNPSHRISQTLQYKNSESIQEEEEH SSLSQICYLKPVFLQTCPQQPIDIQSSEDYKVPTITNIASHKSSDKYHQKSESKKLVIIH NDSSLISSSFGFQSQLLSDYKKKLMIDQGRVKIIVNQIKQKLLNSIHYSKYNDPLVTQEQ DDVSPLRYYYFTMYVFISVIISNLLTIIFIPITIVFDGPEIIWQVAFGVKFWTLLQDLYF QRGPYKLLRGKLITNFKDQSRIYLDSFRTISSAAILLFKFNNEMTLMTLILLLVISDLIR TLEVFENIYRSTHYILFTVQLWIAIVVMCTCFLKMFSEEEYSLRFYIAYSISLITQTSNI SMEITEQNSLIISVFMLISYLCYVYTLILLFVWIKPGIEIQEEKQKLLKGFVEQFKEKCR NQDLLRRCYSYLDFRIDEDLGRSKDQLTKKLSPALQDEIEISLRTSMIDKIELMTRFSSQ FKQQLLYAIEQVTFNPEDNIIIVQQLQYDQEHQSEDLGLYYILKGEVKVQFQGSSLANNK RSVTRLKEGQAFGQYSFISGVPSNISIFSCGVTTLMKLKRSEFLETISNFPQDNELFCMM KDNSCYNQHLFDCYYCKIKGHYILECNHIQYFPQRQNVIEKHLYSIKQVRRTFTRKSNKY LTLKNLVQNQDKAKQVINKQSQDIMSEDLPEASQLPYSENQTYSVSYVSNSMAYQKASQD QQVSNSNVNVDSLEQLNYEQEQQEAIQPPILDSSIKRGRQNSHKTSHRTAGFVGNPQSQN YSTLDKDEKEILQQLQEGQKNDLINQKQPFIQTANRIGPNKLTFQFQKEIASQTANKQEI IRQDFIQQFSYGNLKQSLRQPTNRSHTYTNSYSKDISNYPSSNSRQNKSLRLSSQTKDNG KKTNSANTQSDENKFKQKSQRTGTKQSNLALQSQFQAITSPDNQGYFINDVIFNKFEKMK SYMIYYPHNNYDRVILRQQSFQASGSSNKRKRLFVSPYSIKCFVVSKIRRIQKLINH >CAK60757 pep:novel supercontig:GCA_000165425.1:CT868009:108312:110114:-1 gene:GSPATT00030986001 transcript:CAK60757 MTILNYITLKNIIFPKILNFLNFSDTRRIVRIKKNEQLLKHLLKIHDAQQQQSDPLEMTE QEIIIKQLELFQLHNVQLDDEILKSNSFFNFYYKMYDASKMNNFNHKVILEYVQNLIQKT PKINYITEDYRYYIYFKAQIIVIGMAIRHNIPLTSLLLCTRLYMNSKQQLFCLEFSIFKE IFKELYSKRQLSQLNYLLNVDQLINNDTLKLTEMQNYRDKVFIEYEDVLKENNFTLFQQN LDLIYQQKHYLINELKDVIEKQQFKELHSDLKKFHLQIDYADQFYQTLNKIISFCDSAEQ PFITSDKIGIPQFIKKYFYKTHERKELNSQQLVESLLTINKVLSEMIKNRFPFPPIISYL NLKDPNSLNILNITKEFVIECQENQTFLLFLSSVHYNFQTLAQSKDYSRTFYNQQLQKQD FDQWETVIQMLEIIEDNQSIIKHDVEKRISEEDTKSNIQAYLQYPKEQITNYLGQYQKIS KLLRNLISFQNKEVSVKFDVENLKNSLLEKINHIKSKVVSESSLRRKLGRPPGTKNIKIK KKIRVERLKDIDVKEFKSQKCFKNFPTSEFNSDEEVVILKKRKLYQSEQMLMQDIENFLV >CAK60758 pep:novel supercontig:GCA_000165425.1:CT868009:110479:112642:1 gene:GSPATT00030987001 transcript:CAK60758 MSQEKFKRYKLNVFLLQKNKMKSEERVITNTSTNFHALSPQQSPKITSILNRTKLSLERS RSSHRMEKIMILNKKKVSKNEIYNINKPKDFFNDSPNLIEEMKYDINIQFLKECKSNNAG ACLQLLEPTIVHQVLNISPSIPIRADLKANLNIQDANQNTALHIAVKNGNVQLVQALIYK QINLEIENSEKMTSLILASYHGNEEIFQILINAGAQVNHQDMYGNTCLHYACKFNRKEIV TLILQHPNLIFKSNNDQKYPDYYIHDSEIIQLFTQFQLEHSKQKRKAKEVQIQNIQMEYY CKSSNFSKNQCKLQNSPIQNLSLETKQGMLKHIRSYNQIKKGKTNTPSTIDSMKQSKREE KVGPSQFKVLGLLGKGSFGQVYLVQKNKKLYAMKVLLKSMILKQNICRYAITERNVLSVT SHPFIVKLRYAFQTEDKLFMILDYCPGGDLGMLLCKIKRFPEELVKLYTCEIILALEDLH KRDIIFRDLKPDNILLDADGHVLLTDFGLSKEGIPQSSKGAQSFCGSVAYLAPEMLKRQG HGKAVDWYLLGVVMYELLVGLPPYYDNDRDTLFYNIENASLKIPQHISIECRTLLKSLLE RNPTKRLGSGEGDSLEIKVHPYFADVDWELVLNRELSMPKPDYSLKIKSMGEQNVFDLQS FVEFEQSHVNGWSYVQRE >CAK60759 pep:novel supercontig:GCA_000165425.1:CT868009:112653:113051:-1 gene:GSPATT00030988001 transcript:CAK60759 MDPNKQIKYSHYQITDAVNFGGVLDPEQCKQLAQRILALYDGNSDGNIDSFEVGYMLSDC YRAMNKGFNPTPTDIASYSRILDRKGTGRVTLEDIEQLCLKFFGAKSDKQPSQY >CAK60760 pep:novel supercontig:GCA_000165425.1:CT868009:113052:113559:-1 gene:GSPATT00030989001 transcript:CAK60760 MKSIEIYGFIGWIASYIVFVIYLAWVFLPESALHSLGIHYFPQKYWALAIPSFFVATIFT VITGYAALNYCFCNHFNSYENIEDKYTRLHNLKKTELHEGLPEVYDIPINVVNNVLYWQK EMIEKYLPKHQD >CAK60761 pep:novel supercontig:GCA_000165425.1:CT868009:113594:114235:-1 gene:GSPATT00030990001 transcript:CAK60761 MIEQSYFFLIHQLEKQMQYLYNYPQFVDDLGQISCELKNELIKFLGKQSVLNLVLLQQQQ LLQKMNILNNYLVSNETQSTIQESKLDSVVVISQGEEKINDKNLIRKECLQRYRAKKKMW MNRTLYECRKQIADRRLRFNGQFLNCEEEKKIIKMHKILGNHYVRIKNNNIKINGIKKIK HLNKEQILEKIERLLPCEIKKRIQNKQILFKLL >CAK60762 pep:novel supercontig:GCA_000165425.1:CT868009:114332:115533:-1 gene:GSPATT00030991001 transcript:CAK60762 MDEYDEEQFEHSECLDENNEFMSGDLEQQGKAEGLLEQCEICSRKFHTERIGKHRQVCEK AQQKQMQREKLIKRKQQQKAEHQQKLDAKEKQVKNKTVNNWREQHRQFQEMIHCNKKEKE VQNEGEEEIAVKTLDLAENSLYVQCEYCKRSFDRYVAERHIPKCKEIKAKPKPLKKNQQQ EMKRTTQLSTASTSNQNDNVDQTKQSFRVQSLMKTAEVQKRQLPELKKAIYTGFGFMDCQ TRATALSDTECPYCLRKFNPKAALRHVPICEKLTNKEQIKLKLKNMANLPKLKKMDSVLP AIITQQPQLKKILKETDIQLKSQSKFCTQCGNKMQMGHKYCGGCGHKREIEQ >CAK60763 pep:novel supercontig:GCA_000165425.1:CT868009:115950:116864:1 gene:GSPATT00030992001 transcript:CAK60763 MLMQLYNQAEQSNFPLRSSQRNNKKLKSRSSPSQKENFISKIAPIKIETQKSKNKSPRFR NKDYHKTTLSKSWQVSPIRQNLAILQKGVINESQPNIQTNHLEGQKEETLSFSNKSPKRI DNLAVIKSKDIAKEQEILLKEDLKAPKRLLTQSLDKTQRIEHQCQNPQKQIFSKKPYQKP NSQEFIHSVKLNHLEKVRQYLEKNKYIVFDFYNLDITALHWSSKKGFDEMTEFLIKNHAD VDAIDILNRTPLYLAIQENNIPIMEVELYQLILTIIKAQGLSLVDFDDRFE >CAK60764 pep:novel supercontig:GCA_000165425.1:CT868009:117319:118199:1 gene:GSPATT00030993001 transcript:CAK60764 MTTVCAPFCTSIEKVNKAGQKLVKIVEKELKYEKTNYAPDETALEFVQKAGFQLIDSESD HQVTLEKKVGDIKVIVQFQSRQPNSEEQEEEEAEEHKNQKETEEEEENQSADYADFTVYL QKNNGQILCYECTTSQGEINVNMVSLVKDLEAHKKIPRFERGLQDYQGPEFMTLDEKLQM TLVEYLRGYGINDELGAFVEHYSLDKEERLYIQWLDQLTTFLKN >CAK60765 pep:novel supercontig:GCA_000165425.1:CT868009:119277:120783:-1 gene:GSPATT00030994001 transcript:CAK60765 MEIQNKSNQLIFQCEVIRKHLLIDKHYQLQIFENKIIIRENVQYIKLIFSQLEHPPKYEI DLSLKSQVSWKHSDDHKIKEFGIKYFNKTKWFTGQSAQMNQMKTYIQTKVFMQGISGFYS SHDIIGSGASCKVILVKDYNANKSFAAKCISKDYIKKKRTSDRFDRLVNEIQILRTLKSH PNLIKLNEIFEGENSYYLVFDYLDGENLHKFIKNQSYQIPEQNVRTILHVFYTKLCQQLL LGIRKCHQQNIIHRDMKLENVMLSKMNSIENIKIIDFGLAIFNTPDYPFAVCGTPGYIAP EILNYEENKYKNERFSYSPQIDMFGIGVMLYRIMTKQPLFAADKTKELINKNQKCMYVKH TISQYSNILNQILYGLLEYNPKKRLTADQALELLQAQQFNQITLRNESTRNINLNDEFQI PIYNDVVQAPYLFPNRKIKKEMYFMHSYHLNEDRVNINGKSYLPSFTVKPSLDFGQDSLL DKPLKAPQDFILEQ >CAK60766 pep:novel supercontig:GCA_000165425.1:CT868009:121802:124671:1 gene:GSPATT00030995001 transcript:CAK60766 MALKVKIILSMIALPLALFVASVFWILSIYQTIIPKTQLSVQQLSVKLLNETLQFESRAL FIVTKINQSMQFNSPFIIRNVIFNQFTNNTLRMLDQTEIHSLELFLAPLYKSHRLEYFTI LCKDKQEYLSIGEGSQQFTKEFINWTSLYEANLIINENQIKITVGNQKCNIQVYYGVRDF IISMLKNAEFVTNQVQSRTVLLDAHPYNVIWQENNETNLSKILDVDNEKTLQILKFNRQE DEFGCLKQEPKTISYESNTENRLIKYIQFSISDLHYKCNQQYSVKLTYMMIISLNQFHEH LGTQDLNYDRLNYATNSYACLIILLIFYFYRVAVKVALSFEVPIERITEIINEPYKFHYE NSSQRMDLRQQLHNQELLQLYDSLLLCVIMHQFHQKQQLLNNNDKGAEYLQNLCQIKSFY KFCQNKWMVSVCGNNMAMIHYKNKRYSEALNQLAESIMIGNKELTSLKQIEKLRKKIERN HFGKSILNRLQSYIINKFAGKSSNIIDQGRFKSQEEQNRACLSMSIHKSMALKNSIEDKQ QCYQSIGQQQSQEYRQNYKRDKDQLTLCLFFRKYAYIIILFKFCINSDSKLFNETIQNIK QLEEEISQKQKWKKSKYLTLMRIKAQIKLFYCYMQLKMYESAYTTNQFLLGQYDYYLGLE DDQINYHIGYNTFENIPISYMQVQLALQKIVLMKFNNQNYQAALESANLFKKLDDQNQKV QLQLLKILEEIFVQYYLDKDLITIQREVINQEQQKVIILIDYSKTMAFNQIQISQTYCKY ILQRVYKSTSVGLYLFNEILFEAFKLQNQMYTQDLYSQILDKLLLPPGGTSQLFEVIKQS VKLFRNSDETKFENYLCLFTEFQSQNIEQNFYEIRTLLHFNKIKLVVFQIQNSNRNIQIA QHMSDYCNGLLIQNEKQITNWLDSLGHTKVQHSHYFEFW >CAK60767 pep:novel supercontig:GCA_000165425.1:CT868009:124799:125742:-1 gene:GSPATT00030996001 transcript:CAK60767 MFKFGLDFLSKKSLPQDFADQILKLEMEIDSNEQTQLSQLQQLISLYMIGIEYYESIQDK RSFFFQKKLNALILFTTQRENEEFLLSKEQIINKRAKFEEESKRIELDLIYSQTESKEAQ VKEIVNNHTNQVKQIQTMIQNEMASQTDTFQMRLERRRKSKIARSLSQTEIDLESYNTTI PIKKTEFKEQGCIKIAAQTKQIILEEDDSSRKHSFFQLEKDSIENELLQETKLKQQQKHQ RYGSQIPLSNISIKQRFLSQEFNETQEVIEESSQKEIQQENP >CAK60768 pep:novel supercontig:GCA_000165425.1:CT868009:125764:128692:1 gene:GSPATT00030997001 transcript:CAK60768 MKLGTVRSLFEFRDTSTEGILDNLIASVGQLQGLGLPDICIICKRQTLGMFKSGFEQWSS FHCVLGICPTSQAEVSAYIQSLMMNQEKDTFSKIFGSDIIIFKIAYITYDIFSKILLVCQ FEQPSEGLTQFKNYGIQQNKQCIQLTKSHWEGAHISGILRILDNNFKMPNIGRFYNQTSI NNPKFLQNLIAKLLQKISCLDNSFQDLNKIKSKSDFPPMNDIIYYLNWPISLIFNYLYNT SQLDLLLEQLNNLDDNIILKLLKSLTYYKMKNYNKSLNELLKLQDSNNWFNLPKFIMANI FIKQSSFEKAFIILKDLIVECYDNQTIWITLSKIFRKQKIFQISLLFLNRAVALPSKQTV KQIWNEINLVNYRLLTQNKSIQQISQLDQIYKILHPLSADKIECYVQLCGYPCIDNLLVR PRLNNKKDQRHTIDMISKHSSKSEFKVNQQTYETLMHNDLLEIESELSNILQEVIKIQKV VGYQKLKSYLTQYFYTTAKVVQKQIDQIYDPGEFQIAIKHQRNLNLNVSQISNTNKSLCN PRLQDDIEDCDSDEEQPEFLKKQKINKSFDFTNMGPKMYQTPQHNSKIPKSPLKFTSIKK KQQQIKKANTIIIENAFESVESERQNKQFITIVNRVNIQQNEMISQAPKSGQDAQLQRSF IYGLISYLNQNLSQQESVQLNEQLKRRKEQIHNLLSGMVETINKIQTELDSLFYPSQEQN RQLNNQQSSQINSTNVQQQTNTSSPLFKSNLKKALQTKQHLGGQVELKEFYIVQPLNEDE PDKEKIYLSFIKAESMFLYKCARLAQKLKRNDLSYSILQRLSSRIISVQITALYFNIIKD NNKLLIRQIQKMLADFQDCGISQVGFVPLWLEMKIVKMTKQYGANQILALLSSSETNFTF YLIKKIILTTDNLI >CAK60769 pep:novel supercontig:GCA_000165425.1:CT868009:129045:129774:1 gene:GSPATT00030998001 transcript:CAK60769 MNCFLEQPFQYRQSRCLSFSPYNDNNLIKQLKRQLHYPPQKVMQLDTYEYMQTPQQVETN VISSDSIQHYQKLIEDRKNSLIIDSNPRTLKQEAAQSADIYQYKLNIPKVVVAKQLNQNI LRKKKKKINPINPQDCNDDDIQQQTIVLQTNNVIVQTENKKEKVSFLPLIKKQKLQLEEQ PIQRSARYQQLMKKSFGDQFVELQSLIKKMEKQPIQKREKKVTFLL >CAK60770 pep:novel supercontig:GCA_000165425.1:CT868009:130003:131917:1 gene:GSPATT00030999001 transcript:CAK60770 MKLNTSTSSAPFIPQRPLSQNSSFVQGRMQTPPRVARFADTSPHASFEFEDLNSLSLEEI NRELDQNINEVIMLLNRRKSLILNKKRRLKEEFSNKIAELKRYQYEDYVQDLQRVEDPLI QSLMQQKSLPKMQKLLGNVMRDQQDRKVMELSKSQEFWQYNKNVETEIDNLLKKLKYFDN ELEKDNNEIYEICRQLDTIDDNIRDLLITKVDDNVQRAEPLFANKQRQQSILNGKLNQRN KLKDEKMNLIYKIIEELQRLRGLRQERINKLNIVFGDEENIQNQNYQGLQNVQGSQQNLD QPQPSKLQAYMDFMEQFDNIAQMRDQISKLEQAEQALLNDLDKLYRESDQVFSELIRRIS EEAKTFNEWTENLKRRIRYIKANEYFFSQTNLPEQQNGLVNSLQLDLKEVSRKFKENMNY LKPLIEEDATIRAGLEKTGSTIQQFKNMILAIQNEKERRRELLQILENCARLRQEEDMKT EQLRNIELEINKKLQQKRNLEEDLDGVLGDADLNTFKKIESLLHDYDQELVHLNNQKRKI MKEIEEINQSVINLLARIKSDYDDILREILPKDWTNTYDLKKITDLKRMKNYLKKTFKRF GIMSD >CAK60771 pep:novel supercontig:GCA_000165425.1:CT868009:131943:133318:-1 gene:GSPATT00031000001 transcript:CAK60771 MQNQGTMTDLNEDDIRRLDQHVMRNPNIILSCIRVLKMEELRRTNEDNSRLMNFMRSHLY FKGSSSLDYLWECCQLMKFEQLKKNQIVYLRGRENQDQLVILLTGEMSVQSNEQELLCTK HFGSALIVFGNDSSPNLIVKTKSVCKAAVISKLDFKINMLTHEISKINVLLQQIYAFHLF RTLPFTTVKQFFLNSFTQHLCCQDTLYNQNQKASQVFLVISGIFELRETTETNTKSINIY TPGQLIGDFECVNFFQTRKSQALCISDEGAVLVFEGVYFLNQILPNIRKSFVSKRTNEIK QTQKSIQMKKTLQRIFPEQPIEQSISSQQQLNQTSTKHFRFAKLANPRFFKLKQTPEKQL NLQQNSLSINRSQQQLLTTKSHKKVSIVSFSTKQELFQGNIKGRLLRNELLNKFINQQSE YQDVVQKLRRTQSQLGMNK >CAK60772 pep:novel supercontig:GCA_000165425.1:CT868009:133730:133894:1 gene:GSPATT00031001001 transcript:CAK60772 MNMNQNSDECSLIKQMENLALRRKPHYIFTKQENKISINKIDLNTLLKELLRDD >CAK60773 pep:novel supercontig:GCA_000165425.1:CT868009:133961:134673:-1 gene:GSPATT00031002001 transcript:CAK60773 MSYDFLFKYIIIGDSGVGKSCLLLQLLDKRFRQKHEVTIGVEFGAKPIEVDDLNVKLQVW DTAGQEAFKSITRTYYRSAAGALIVYDVTKRESFNSVQTWIEEARQNGNQTMSMILVGNK TDLESMREVTTEEGKQLAKQQNILFIETSAKTGDHIDDAFVISAKEIIQKLKSKQIDLRD ENCGIKKGANAQNKNTLQNSDDDKKQLNSCC >CAK60774 pep:novel supercontig:GCA_000165425.1:CT868009:135636:137022:1 gene:GSPATT00031003001 transcript:CAK60774 MNNLSFDDILSTRIKFGKVQMQTFLIISLIDLLDGSEFMFLQLLNAIIYKEWSLNLGQLI VLATVFNLGQFIGAWICGQFSDYTGRKTMLIWSCLLQVLKNSMLLTAFVQDLPQLLILRF FFGLLFGIALPISSILMAEVTPLHVRGQFIVTLQMMYVVGRMWMLLLAFIYLDSIATGNW RAIAVTNSIPCFICLIGSIIYLHESPRFLITQGRIKEGVEGINFMGRLNDKDYVDLSDDE VQALLEWKKETFEQQYEEKSFKDLFNEENLPITWRAYSLSIISMLIYSGLYIIIPFLFDE EEKTLLDLLYTVLIELPAVLVVVCLIDKIGRLPIILIGTATSMISVFIIWYWRAKYLLLG LVAFKFFNRMTFLSFTPLVLESYSTIYRSLGIGTTIAFGRAAGFLSPAIILPLYQKDNYS PFLVSIFIMFVMIIIFATYPKDLTRKPLDIKYEKVD >CAK60775 pep:novel supercontig:GCA_000165425.1:CT868009:137079:137434:-1 gene:GSPATT00031004001 transcript:CAK60775 MNQAPSQNAQIPQNEEFTFFDSKGLDMLIKQMGFEEVVQFDEKPRQMIIDIGNEFVNKLL EESMRVSQKRQQQNEDLKNSKPKLAFQDVKFALENCLRMPQQNFSIEKDN >CAK60776 pep:novel supercontig:GCA_000165425.1:CT868009:138753:139136:1 gene:GSPATT00031005001 transcript:CAK60776 MINYTRTINIDDSTKHRLSTQCKDNFLQFIMLQKAGKQGDLKMLNHLKSVYPKELKAKIL KNATNIDYLKHHEQSEKILRQQAKFILTSRKHNNLTDRPRSRLDELIKKPSNLSPRCLLT TVDKFNI >CAK60777 pep:novel supercontig:GCA_000165425.1:CT868009:139150:140789:-1 gene:GSPATT00031006001 transcript:CAK60777 MGSCIAKKQKEEVHSRNTSKLKVVIPKNQMLESNPVYAEMPFDQGDCFQLNKNLFKDEYI LIDSHPFLSTEYGIYQWCYSKKTDSRKLVKTIKKTEDPKSDEPVLILKNIHMMQYLDHPN IAKMIEYFNESLHYYIVYEDYEGGNLLSKMITRGENPQQMAAIIIEQVLSTLLYLHSKNI IYRFLNHNTLLCDDKLNITFVEFGAAKKISQYIQLPVGDQHYQSPEMLNGNYSFKSDIWA VGVLLHFLLSGAMPFDGVMASSIKNSIMRGIVKLEDTFDWDKIPDAKEFVRKLLNFSQNQ RPSAADALKDRWIEKAKLKKLALKINPALTNLRKFQKCEVLVEAIIMQIVQMTLTQEQKG EILQIFQEFDQNRDGKISTQELIEGTYCIIDRGYKKYQTSTKLEDQDIEKLVKKIDSNGN GYLDYTEFLLACQDKKKLLTVEKLKLVFAQLDVDKDNALSMMEMRRIFGGNRISDKNWHN ILSKTNLKQKSVLTEQEFLEFIIKTMQNDHSFQ >CAK60778 pep:novel supercontig:GCA_000165425.1:CT868009:140814:143417:1 gene:GSPATT00031007001 transcript:CAK60778 MSKYRPQRNNESRTNQSQKQDSSLMESDSQQQPKSPQFTQEQNTLPRSTYRTPDARVNHF DGTSNEEIYKKKQTQTYSRINELQRQNDDLREKYLQVSSENQVIIQEQREEIEQYQNEVD NLKEQLIQKEIEMKQIYELNQSLKQEISDWEDGFDKLEQDYNNKLKIEDESQKILAEVQQ LNEENQNLQDELQEKDKLFNQCKEQLQSVQLDLNQLQQDSQYNQELLNQKDREIYELQQQ LERDKELLRKQQEFSNKQDLLTKEIQKLNQQLKQLQKENQELKNEKQLISSELQENRANP EYLTKINLLGQEVERLNNTLALKSREINDYKAQITRMQKEIQNLRMNEFKLQDMNMSLDN LINQYNKLKDDNQVLKQQMAQKQIKVNSELEKKIQLLQQECEKLSQQLNSSKKENDYLRE QLNAQQQFEDANRLLKQQTDKQQMQIKQLENERQSLMQEYQLLSNSYNNQKFNYSQLLQE QQENNDSVFQLKSEIQRLQKIMQDTVEKSHANNNLQEVVNQQQKELEVANRQIPLLKQTI QKLKNELDITKEFITENKIEQQQQLMIQQDPKLIDKLQKYEIRFPQLVEEIERLNKILTE RNEEILIYKKNSFQQDQVLEKVSAYEGEIERLRQTILLKQQTIDSLQQQKENPGVQDLIF KLQDENRRLTNLVSVRNNEIAELKFKISEYEQKQKLLGESNSGKYELQNLMLNQEIDQLN QKLIEAKNEISSLKLTKNDKFNEERGKVLTQELDKQIRINKDNEKELMSLRSKFAEVVDA ERKLIDCKCLLVLLYNEIERLNQEKENDNLLV >CAK60779 pep:novel supercontig:GCA_000165425.1:CT868009:143443:144592:1 gene:GSPATT00031008001 transcript:CAK60779 MENNRFYANQSCQKELEQQLKDSFLENKLLKQENKYLQFLYLDKIDHLLLKNQSLNKKKQ GNCNHQQLNWKREYQLWKKRMLSYILRLVTCKNHIKYYFRRGFSQTNEILVNQKPSYTQL EQELQDLQIYLKKFIQLQESLIEQIPNINLQLCWKEINQIFKSQFNTNQSIQNLQDELKL IETTYKELVHSKDNGLTHLQEMAKCNKNLTKLEQSIRESRVEIERIRKEVQLNQMDLKQF ETKLNGLQNEFTYISKIETRKNNVQMEAEKQLIEFKRGILSTKNSNHNVTLMRDTFKDRD TYKELIPEKLRDSSNVLPVTQSIHRFQRPQIEINDRYDDYQDIKTPIEKTIDQTRKKLSR QLSQASSLRDQKYI >CAK60780 pep:novel supercontig:GCA_000165425.1:CT868009:144612:146287:-1 gene:GSPATT00031009001 transcript:CAK60780 MMKQEQDKSIWEWVPCNYDVKRIVKDTQTSWNLSPQISLKIPAENGTIDPIINIKIDQSR PFIYSDNLGWNWHKSWNLPDIGLEMEVQFYMLSLSLDYRPLDQRAPCPSKQHICIHRNYS KCKLQIVKPIITPMKTHHLLEVGAKGNLKVDMENGKCIFSGLKFNTTSYNHDHQRFHIVI TLYLCQSKFEFPQILDSRISPPIFVDSRKSARDIVKQKIQKLQSYFDPFLPNNLEKQFLI IKPSNQEVIKNSIEGLINYFTAPNIRHKVKHPIFLLLKFSACISLYVNSAKIKFTEPDNL IQTLQHVLSTSNALQGIQKIDQKLIILYIDCKANETKSQQNMKKILEFLEPLNNDCVQVI MDINDVPKGFIQIENLDQMQQAYHRVYNSLLKYKREDDQIDQEQLEEEYNAKIPEKKKKK ELKEPEQLVNPERIRKIIHISGTLPNQSSNSMPEQESNQIKMEQQFSIPNNVQLRLPNQE QSQQYLQQSQLQQQQLQQNLAFNPMSLWLYLQKLPS >CAK60781 pep:novel supercontig:GCA_000165425.1:CT868009:147286:151410:-1 gene:GSPATT00031010001 transcript:CAK60781 MFILLLIPYLINAKSNFINIGKTYEGQLNFKTDNSLGHSYYFEISELLDNADIIIIVSQQ TPQGDPNIFLSLLNPEPYSIEQSELGYCLSQGSDLCVIDKKDIQIDKHYYIGITCFEDCK YTLKVNYDLEQKMSMSNSIFNYLDDLLRFKMNEGSSSQIVKIQIDHTLNIEELEITGAII NYFEIENPFHMYLNVGDTLPSSQQSDYVGKDFLNGLKYLILPWVEINNSSILTIIVESQI GSLIELKTKTNERIRKRSYDILISGEVDLNSFHHYQIEKKQNGQEITQNYLSIQLKPYSG LITVYFNFKQELPLSFEDYEYKYKINQTSIIQFSDLDLKNYMQIKSIDIIIFGNELSSYQ FIVIPQNKIEHIKQNIYYQGRVYKDQFLQVSFDLRSDLMSGKFSLQMESENNDVVIIIKK SRIQDEKIPEIDIKNKDQLESEDPSKLFIFTKKDKQSLSFQPDFSIQAFNVNIGLYTLGI YLNESERNFSSYSFILRSELKFKRLKDNTPYKSVVTKESYSYFQYVLNPIENFEEMQIML ISIQGSYVLLSSTKDYPNKTNYEQIGDNDVIIYRLQETLKNPQVYFISVYCQTAGIFTIT VVIKIANNQKQLGTYPWEYTQIFEGDSQFHVLNNDNNQTVGLFKIDLTQQLDIRLNSINS FNKKKQLISIHILSPLGGIVMHGFVSPSSNKNGSIWTDPIEIQVYLQQLNGEQAVFLRIE LQEHYNYSTYKIAIHSMFQSQRQVQEIIENEHYFGYIEQMGQLLLFRYNQVQDYSIIKNT EDKGNSIRVSVILKNETLTTYESTLLIPKEKLKEERCKKEDLELNYCFFYIQISTKKSGF YTLIISHENNEISLHMDQVIYHKLPKESDHYYSLIDERDSNIIVKNLNQNVQLQILVIIF QAQANQLYPYPQVETESNLFKVSKNFNGFHTSQVSIFKDEVAKQFNSSNRCMIAITIRQV PHFNYTINSNSVYSIIYSSGSRQLSLKSFLPGKISKGYTAYYRVQITDEDTELIILLQEN NLCDATIIISKDEFPNEEKHDWVYRHLYQKNITISKFNNMYESLKGIYYIGVFGESECEY FITYYLEDSKQPQDYFKDTNQLIPFQLKQNQKQLVTSSNDTIWTFSCKTVGRLLIQMQYL TQNVTIKGYDRPEQVNSIYTPLVILFQNSLQLIGYFPVQKVGIYTIQTLIENQTMHINYE VKYQIKAELIGEDSILPDFSNFTKNQITEIITEDDEHIIHADPLRIDLMNSSYQVEQIKY QLLFVDSNSDKSVYFLDTYDINDQAKQRSQKQAIDTRTTQISSKRQLDSYVSLMSQGIDY INTTYSIHAIGKGIIKFSYCYSVQSRKD >CAK60782 pep:novel supercontig:GCA_000165425.1:CT868009:151614:152933:-1 gene:GSPATT00031011001 transcript:CAK60782 MNERESRMSLGAFASNPTSASQNAYAQALKALQDKLKGVEGLKNEGLKKSIENPSEYFTD QLKKQIYDLQNENERLQYLLTKTNQESQRIHMPSQFNDLPQTERLQHVKDYQDRLSDMII KYEELKHEKQEIQMANENLLRQLSVYKQNDKGVIPKTKYKKIDDNIKQTVQDLKTKLDIK DQQLKISEKKLEYLEMEKEQVLQEFTNYKSKYPPMKLHDFEKQIHSLKQLLEEKDKQHVK TIDEIERNKSAREEQMSRRIQDLIGKCNEYQQIIEQLTQDYKDLSLKYQQVKIKLDYQER QHRYINRKYSQGELKQGYKEQKNITRMDMSPPLSSSRLEQSQLKQAIRECLEDMMNTQNY NQSSQDRRSENRKQQQILSPMSTSRQQEIESQLKELNEKYENLMSQAQKENDLNSKAVIR KQLIEIAGQIKETTKFEKS >CAK60783 pep:novel supercontig:GCA_000165425.1:CT868009:152981:153785:-1 gene:GSPATT00031012001 transcript:CAK60783 MGTTIAIMILSIMNQNIIDQINSVHHPPCIQQRTNNEMITLSQWKAKNFLASTSSRYYKI PDVPEPKPKKQQIRNVKYKFELDDDAGHKILKQVLPRNPLLPMRRQHQPNPSLLSVIQPN QSLQQINSSIEESHIGSKTNRFNKPSLKRNSSQPLLDETKQLSFLKNNSSSKDIRVSERK KSKIYFGSTVQASQTQTKFEMDFDFKVRDSSVKRRLSQKQFNLYKNRKIAVSSIANQYKH QKEEEGEARKKQVKEQIELL >CAK60784 pep:novel supercontig:GCA_000165425.1:CT868009:153928:156854:1 gene:GSPATT00031013001 transcript:CAK60784 MSKLMIPAIKDLFVAQELLAQDFHIMLDEPFQFYQINSTLNDPEIEELINHLKQFNSLIT NKITVLCENGLLAVYGDQNISDYYTLWQYQVRNCVSKDNCIVLDAYSQKWIKPEVFPDGM KFTLLVAIMGKYKCSISEMQDLEMFVSLTKSWDPQNIYRGLLLDSYLYQVNPDDALEIGN LSFLSRTYVNQTENWIESLYESLNDCGFQFKTFEYVGVDQIKYICRSTLFFVSNPIAHHC QIVDINEKKNYSILFIETFTLNFRNEAAGSLEEPDKINQYITILFISSLIIFLYTWRQGV KAGLSFVVPLRLMGNKILEQSVIDLSKQNFIVNNYDEYRNSTEIRQFIDVVIDYANSVKY QSNQFELNAEGLMLMSNSKKFFKKQNNLSAVGLCSNNIARIHAINKRFLEALNEQEESIQ IAEQELQSLLQLNELHHKMSTSRNWFKVYESFKSRLLSKFVGDDRIFERLQSQNYQPQLS KMISMEFKQRSNTMQQNVKRNSYASSNTERNKINNQLQNLNITSIALLQQIEKSNQSVIK EDSVIEEDCKNMVKLKLLNRQYQLLRILSQFSNEHDQLFLNEILQLLLQMKSEAEQQKPT SAFLNNLQFNLSCQLVYFYTKSNQISKAKGLLKHLPNLHTQQINQMTNSINIFTEVPIIL VQSKITLLKAIIDIKMNKIVQSCTKLLSILSMSMYDPYQYNYAIKLLQQIFYSYRLNTSL LEQFHITNQHIQAIILIDYTNQMTVEQIKLSHSITKYILSLFTCQDQVGLYAINSTFHQI FPLQQYQSYLQNSCNRLLLPPGGESNLLQLLQLAAGSFFLKNKSKIKEEYTQLNNSNQSI QNERSIQHSLSNAGDVLDKYLQTDYHDKIEDEEEVKSQLRIIILFAEMVKDYTQQNIEIL KQIMNKRKIHIIVVQIANQNLNKIYLEALGDLTPQSCVLKQNQIIKYFKQIRPSPYIKRE YIEFF >CAK60785 pep:novel supercontig:GCA_000165425.1:CT868009:157080:159988:-1 gene:GSPATT00031014001 transcript:CAK60785 MIGNIIQAIGELLNCKVDFLCTAQQSSEKKYFAVVASDRLLFITSKLEKVEMSLEYGKIK GIVISTRVPTMFQIHTDIIIDVYALERKQLIQALRYSWMTDFMHKNFQFKQLPMYKDDIK SSISEKQAILPNLIFTKQGKQMFRNKNYTFSLPDEYEATYQDGVYVHKESQQCKIVVQIT DPLPTQLLSQLGEKANLQYYSEMFLEIVLKGINSKDESAKQGTEQKNQDSDEEGKKQEED EQANKKEESLDYWIISSHNYKKRSNLMNDISEWFGWELHARTQIQDIFIIILRRIHIPPL LETYQEILFVLYGQEQNAKEQVLVNDRDLLKLTLEQDLEEHAFKNVLRNAIDSLQCKMFI FEKQYQVFIQLSADRLLYNRQTLNFIYNKFKIFPQFMSYALKYLKLLFQRLVLYDKERFL ILDKELSQKYGFILNNLKDYMTDGIQIEENLQALFDAFEQASFVRDKSGNEEERKFVWQQ KLSNYLGICFDGFLMGSAFSFKDLVPVLQAKVSMELKTIILELFDYCLYAVPKKECRPIK KGNFIKKLEELASNKYQYNVNIAKSLIEANFFSQEVLLQERSGLYSSLICYFLQSDNVDL IVAICRHIINFQAEIKHTTGLTEAMITAFKPISVELITLYRSHNRTIATLACASLFNLCT NSREFKYIILNDDGAGLLVSKLMTKDNFLLHFALKLIYCVMSITQNIEKLLAANLTDYLY KILEGPQIKGCQYDARCLITTCQILSVCLVSEVELRNIDHLLILLYNMVTTQADQYFLDQ EESVNLKSEALYVMSKMCHKSAEVKKKINQECVPYLLKLMEKLTYHQVQEKIIMLLCILI KENKEWALEWLQMQIKLQDILTTYHNNDIQSSNYLLSQIKFIDDEAKKVKNEEMKRSKLA PTASVIAEQMMDQLQDPNSQDRKSMASEARSSMIEDSRITASNVNNKSTINPSQFKKSTV S >CAK60786 pep:novel supercontig:GCA_000165425.1:CT868009:160338:162887:1 gene:GSPATT00031015001 transcript:CAK60786 MPTECVKVIVRMRPFNSREKENGSKPCVTVHEDTNSVELRSSQDNEVKNFSYDYVFGAET PQLQIYQKTAFNLVESVADGYNGTIFAYGQTGCGKTFTMIGDPTNENMKGIIPRTFDQII SIINNNSDSNKKFLLRCSYIEIYNEEIHDLLSKDAKQKYELKEGQQGVFIKDLNIAVVRT TQEMDKYMQLGTQNRSVGATAMNKESSRSHCIFTVYIECSITDSKGNERITAGKLNLVDL AGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDGKTQHIPYRDSKLTRLLQDSLG GNTKTIMITAISPSDFNYDETLSSLRYASRAKMIKNQPKVNEDPKDALLKEQAEEIKKLK ELLSRQAAGQPVSLEAFQQFSRPDDNSNAEIFRLKEENDRLMREKQGLSQPVNSEEKLKE LHEFKEKNNLLQQEKDKFEQEMREKEQQAEQERQARQRLEQLLKEKEQMIVQGGKGSEDD KKKYKKIRQTIEQQKKEHEALIQQQEQQQQEMFEIETKYQNVQEEVEKLRKLIKYLRKKL EEATIEQKDLQQEVEYQKEDMLETIRSQQKEIKLYAGMVKMMFSQSELESIQAASEWDED AQEYKIPPFNFKAKKVNFPSLPYKQAMDLIELEKQERVLEINTGQQNNKSYLSEQEQDNL QRIITPRIPKKESQQKQNTSSQPQLDRGQLVAEKMRQNYQVLEQQEGKQRYQSEIVNYQF PEKKQNQKIILSPIDNKDTSVYTSNSQYIFNGNASNNNLSQISLNRNKKNINLQPLEQKP LFGQNDDQHKRKQHQRVQQI >CAK60787 pep:novel supercontig:GCA_000165425.1:CT868009:162916:164044:-1 gene:GSPATT00031016001 transcript:CAK60787 MNQPQFQALPSYENLDMIRKTFPPLEHINFDHEFKNLDKSKYFIIRTQGEDNVHRAMKYG IWTSSSRKNERLNEAFSQGDVYLFFTEINSLCFSGMAKLTSGFDPKSHFKYWLIENKWFG LFQIKWLYVKDLPFKLFENIKQIQKFEGSDETVKSVYDLIDCTELTLENGIKMAEIFKNE ESKKSLFEDFSQLDKLENQSRQQRDTNPNFEKKFQELRSVFETIPYSFSAASYERRQQRK PQYGYYNYGYYQQPQYWQSNSGFYQQEQYQQYPYQQYQNKYQDQTDQQYNKKETQQPVNL EDKFEMQQNRKYKYDKKKRFNNRNNQQIEYVQKYQQETIVQQNI >CAK60788 pep:novel supercontig:GCA_000165425.1:CT868009:164399:165221:1 gene:GSPATT00031017001 transcript:CAK60788 MRNQRKSQSPFRTNQSVMRDSPNTQLDESSISTNRVPQNRESTRARMKINQSHNNALAQG GQIRKQIANERTSAKGGNPNEFNPKKFVTKDLKESDIIDIKQVFDYYDSEQAGILSPNDL EQLLTSFGYHPTKETLYEIFSELDEDELGGITFEYFLQILNQDKSKSERKDTIRRVYRKY DKNNKGFVTLQDLRQVVYKDLKEEIDEEVLAEIFKKTDSNQDGKMTFEDFYNVMTKKVYY >CAK60789 pep:novel supercontig:GCA_000165425.1:CT868009:165250:165666:1 gene:GSPATT00031018001 transcript:CAK60789 MSFFINLPQSTIRALSIGIFVNQELDFQIQEVSPKIKLIRSRAFTFNKSNLKKNSYTISP RSNLRSPKIILKPIAHDFQFFKLQSCLNDSPILDKKLPKCTPKKQFKLQPTIDFSVSGFE TTDVEMEDFLLSSYVKKQ >CAK60790 pep:novel supercontig:GCA_000165425.1:CT868009:166169:168024:-1 gene:GSPATT00031019001 transcript:CAK60790 MQNQYFVKGLGFAQRQEPQETRSYGRIKKNPYSAYNNRSYNLNYRDLRICNDRFKRLIQI VNNAIKNQAATMFKYLSIYVQNKQQEEIKAQQRVIQEGLLRFQHAISLYNQRLKCLGFFR LAKVDVVSHLKKELRKQISPTQQPITHQIFRKWMQLTFESKLNKLLKQQNLNMISFYKFT LSKILIKQETLKAWSFNKLKTVHRKQIINKLITNLGLQQLRLNLYDSEQATYQYLKQPQK LETIIAFQKLKHFQYFNSNQHYFLLIFTNTINSKLNALRTLFISAIKTLGQEANHTVPKE QLQQFDIELARQSVHSKLEHQKMLQRQQKQIDQKSHREICEVVKYLVNKQLYPIFYQIKG LKQTQDTEVQAGTVKNLSVIAARLSQKIKAKNAKSQKLEITYRIEQIFRRNYQNEQEILR KKFRQWQINITFPTSMYQDFEIRLRVLRNEKEAIMNDIEQLEILNNELLMTMNQVKKTFL SEESNFNTSHKNEQKQIEIQRFDIQENLTSQKEFKIAFEYVDYLKEDNINLKKQVQKNEQ LMIEEIQQLEQQLKELEKELEN >CAK60791 pep:novel supercontig:GCA_000165425.1:CT868009:168597:169730:1 gene:GSPATT00031020001 transcript:CAK60791 MKQEDTQLFQKPLDKQKKLLIKKIMIWVRQGFNSRNIGFHPFLLCASIKPGAQKRHTTLQ SYPNAELWRCLSKLNQQDIKTEIQQEIQSKLDDVIKNYDVSIIKEFKIRVNNNFDFAYLY KETEKKKPQQNENLEVLDFQNLNHPNQQERDESESKSLVKQILLCNTKQGSIADFENSHY HKLSQFLEKVKPFINQSSIELNQFYQGVQTFLESQQTTPLKKMKLHQIGEAEQEEEKQDQ NNIKFQKQNLQEQQQKQNLNFEQEEQFNHQSQQQRMNKFNKSPQQLLDELKLDALKTQPG LSFFLPNYDKMSPEEAEKVREIEANYYKELYFLKVLQPQDYNPKQILLIWRLYFEPQFKK PNNLLSLYQQQNIIKKE >CAK60792 pep:novel supercontig:GCA_000165425.1:CT868009:169842:170357:1 gene:GSPATT00031021001 transcript:CAK60792 MDQQTVIITVSSEYNEDIRSIISLYFSEDGSQTVESEERQENQIFDTNIVQFINDEQFEF QFLQVNQSCSNNDVHIKVQEEIKDLQQQQCNQALNDVQEFVRNNCQKAYEMIEYIQKNKN LDKQQEIIMEFYRDIYFVLEMSNSNVLPKGLIDELEKKLHATITFSLFNIE >CAK60793 pep:novel supercontig:GCA_000165425.1:CT868009:170490:171627:1 gene:GSPATT00031022001 transcript:CAK60793 MNQKERVFVDRNNEYAENEYFVGQKESRFHSNDQQINFQVESQLSTLSFIFDWITNVRLQ NLQFKDQLQELRSQVLHEMKQLDQSVKTKYVTTALTYILIKNTGGINETECIQQLLNKFN VKSRKLLKYLRMIKDDGQRDMNKLLQQIQYYQEKVRKYFYGQGQSLLLKLKKPKQTYTQL IEEILETNKQVMIRIFSLQLVKQFCVSKRPSRLVINLGYFAFQIQSIYILPGEYARIFRI SINSLRHFSKGLINLLYQFVLRITGQGMEIQLKSCERSPQISQTIMNEGEFKKSPEDTSV SEPNIEIEGYKLLIIRKLLNEFTTYQFLFIDTEEEDELFNECQEILNFSTNQKE >CAK60794 pep:novel supercontig:GCA_000165425.1:CT868009:172080:172492:-1 gene:GSPATT00031023001 transcript:CAK60794 MASQAIPKDLYTYTNDESLQLMIYAIKGNHACKDQRKSFNLCRSTPLGKYVEPEFCKDNA LALVDCFLKVQRNAKCNQSFQKVFDIAKTGQYAQESLEDYLKC >CAK60795 pep:novel supercontig:GCA_000165425.1:CT868009:172954:173913:1 gene:GSPATT00031024001 transcript:CAK60795 MCMPIGILRCVVSIEAWIVMICGIAAFIFTMIMQIHYSLMLQAYEGESWMLFGQGMLTAF WLFSSYIIINGVCGVVGGQHKKPCLLLVFNVGNIIIIIAFILLMVIGYVLADETRKLTDQ DYQQNENTCLDHRFQLNTLDWESKDLLCSIECPCYYTQTNGALAKNKTKWADAKDTTKPT RVQDCEIYQKTQNTTVKYFSNYLGDIQKETGCTGWCVPYQMQIFYDINAKVDNDQLYCQY VVISKLTEMGQLMGDIAAGVTAVMLVLITLTCCLCFHPVNKDQDFYKKMAHYEN >CAK60796 pep:novel supercontig:GCA_000165425.1:CT868009:174335:174748:1 gene:GSPATT00031025001 transcript:CAK60796 MIFSFFYSSKNRLDRKRPPLQQARSENQSPSNYPHIYQTQPRKQQIGVQIQKNDKSDETD EEDMKSSRDCFLQIGTSEASKLINHKNRIKLRSVSMQKKQIQYMQFREFDNLDQIAEEQL QLQFQNLAVHASQFQHP >CAK60797 pep:novel supercontig:GCA_000165425.1:CT868009:175710:184019:1 gene:GSPATT00031026001 transcript:CAK60797 MSFFQVSIMLGLVNLVFAITSTKLPQGGTQFQNCLHVEKGNCQQCSALHFLFTLPRDHEN LGLKAGTRVCVKWYFQQISLSPYFGYLDENNLYCGDCIDNSQTWDQNRLCTYDFKTKSST ITSIFHKVERPAKQLFYIVQSGANDFNSIMCDGCSNFCKSKSQTCFPVSQQFQYDLNNPY IQCAQGYAFNDAIQGCDSCPDNCQSCQINIVRTLDSAGKIIVTTKKKCLICNAGFSLLSI RMKSNRNIMQTLCMACKTGCSQCYFGKNQVNLNQDPWDDYNKFDSVTDPNELDLVFIDDP ENNPPIQTFFDKLWEAHQIAQRCSVCTSTSQSTFIPSLDRISCERCGTNCRRCEYVSYTL TENKYPTRVQNIVIEASGKSPEPALTEQAKAPYVFRCRECDDYTQTFVALGNACMDCSNL LNCKLCHKQGDATSGGDATFSTLTPDFTPLDKEELSIQKCLVCQDGFYYNKATSSCQAIL IVDVSVSTGCLTYDFVTKDCKKCTTGYTLYKDAGDGIWKCSFDCQQNVQDFLCQTCVKQG TKYRCLQCLDGYYVDVKLGTCKPCQNNNSYCKNCYTLSLKSIHRTDYYLYEYDGESEIYG PYCYACTAGDTQRGPKFNEDLRICEKGKDNCDDFQAKGTRGYCDKCVELVPNIFLTSKSA SLDGTDCILCPENTIGCRERDSTELMSSNKYFAPTEDGLTLFSYIAFKCDPLTSYLDANI GRCQTPKDGYAYLYEDTIIITADCVQNLPHLDSVWRLNSQYSDITQKTATLILEDAVSTT INSAKLKEYNTKSLSKLTINLNFNFNGDQNNNRCYFQKDTFISTNLRKNIFALKDLELVI SAPGIPIGQRIQWYIMNTVYFEYFTSVTIKDIEILPATDLNTLNLYNNVERYDKPFGFEF LKNEGSKFQMENVKINNGYAEAHYNSNDYTTPYSDTAQSLKKYKPFFTVLLNTYSITLKN VIFQSQNYLMGTDLSYQAKPFGLVYENNVTFQYLNIRLENVVFQDFAVEDQAIFELQDMT LVTAPEWNSKIYVKNVHFKDCYFINDGAFLSTKLSEKPTGMILIDNMYMDNIEYNNSRGI VDFQTMQKVQINGFNMINSRVNYTTLFHITTIDMSRVYMYNTTFTYFGKMVQTQFDIFTI KPNDVIYSGMALSFTDLEFNKINCLYPACIMQLSGIKNDYELPINISMSNIIVQQINSQG FNETIWEAATSAAIQIYKSHILQVQNFESIQNPDLTIFYTEQVDDTTFINLKCRQNFDLS IRNNYCVFLNNFYKKIKMVDVELINLNGIDNSFIGLSSWNNLVYNTSSEDFKEEILLENI VVQYCTITTTVLAVPSSAILIDSTQEQLVTMKNMNFYNNKHFSASYLKGTLRPSNPTFLI RSVVGTLNLQNSFWKNNQVSGYGAVLYLECGIQVIKNISMLNSNYDATSFINQNPFSQTI NSLVEGGHLFLAGYNIQLLDSSFSNSTGKVGGGIYLKAQKEGQVYFNNTSITNALTPMDG GVVSRGGCIYVDSTYSQLSMLMENMDLKGCIARADGGGIYVTASDRQQQFTIRSSIISNC YGLSGTSIKVKFDERTQAVQKTTLVGVKISGNYTNALDYFSNLTNFQIIEEFLLVKRMAA FEQDNGQVEVQNCYSEGLYYFGFISLQNPSLVRFNTIESQHSVLSYRPYIEVIEPLANPI FIDSVQFRNISSMNVTVVCNEAKLTNEQNAICKILQSRVDFPEYIINPAMMLVDQITKST PLTMRNVFINRVKCKECYGGLVQVMRVSNSEMRELVQLSVCRCSNSEAAYYGCYMVSSVQ HSSLLKKEDSQIGIGFSSSLSLDSILTKTGLKSRRRILQAQYSPSDYSYAVPLPSYMAHV IVDSLNIQDVRAIHGGGVSIYGLTANITSAYCTQSVVIGQGGCVYYEAQEKNNKVVYQRL NIGSSVFFRNNASIGGAIRVKQSGINDWTKTSNTMLQNYASLFGNDVAGYPTHLGIMVNG RLQNSSYIYDNTEWLHYPLVIKSGQEMSGFENQTVLLVFLNENNEAMKYQFESQSNVHAN LTNTIQGESLRIFNLDQQGFVYSNLQILFDPYQNVTLDVQLNSSLVNIPRYYSQYPYQLI GFDTDYVLQARIRSVECDRGEAYNPQQGSCTPCPIGQYVLAYKGICKQIDDTSMTYTRMN QISIKNQYWRPDHLNDLPEKCKNKPVNCYGGFNVGNDLCYEGMIGALCEECDIYGIYWDA KYSNSAKYECGTCQDRTRNLIMIVFLSIFTLYSTISSVKANQERMENCVLYDIFALLGWA SSQKASGNVAVLMKIFNNHTQILSILSGFQIEIPNETVDSVNTVSMPAKTIGNSLDCFLV ENTWGIDLIYFRLIWSLIMQVIYILMMLIIIFVSVAIGKMQFKVQYLYTMAIYLFVFLQP NYVMEFMTLISSRVISGNYYIMANVSYRYDTFKHDQWIAGFGVPGLLLWVLVLPTAFWYV CYQGAQQMKLNSFRFSQSWGFFYHEYRRDRYYWEFIKIFYRSLISILICYFQEEIIVKGI LSFLVVYAYYGLSTHFNPYNLRVTNDLDQLSTVVLSLSLIVGVFLYRTIDIDFYGLTYAG YVLIGLLNAVFLLLFFYNLFKGKLQEFAPKLDDVREKVKEKFPHLNNNPRLRPYLQNQTQ LNHKARLEWVELSKIVNRGIMLWRADKSKPLQFFRVTDVPKSDYNTKINQIHQEFGSESD DQNLLYGDGLHSIQMSKENIQDEGKEKYIYQ >CAK60798 pep:novel supercontig:GCA_000165425.1:CT868009:184058:186864:1 gene:GSPATT00031027001 transcript:CAK60798 MSSVGDDSDSYENKKPSSYGSVILIVLTLAGIAGFTAYAIMSSEDSNSTLLETVFNQNAV LDTYWNQKFNNTLAKRVRVKVRMDSDNELTVKIGDADEPGFELPEDSEYFPRDSNSKDTK DGKRSYRVDLKQNGSFTIYRVKDGEDIDTIFDSVGHQLIVAQDYTQFATTLNSKYLYGMG QRRKELRYKGTGNYTTWPKDQFGTNDYGSPGNQLYGYHPMWLTYEKSGNYHVGFLKSTSA LLTQIDEAQRMVFHVVGGNIVLKFFLGQQEPEKVIKSYHRYINGFGLHPFWAQGYHQCRW GYKSTTQMLDVLQNMATIEHPVESMWNDLDYMTNYQVFTLDTEKFKKEDMKKLVDRSTPQ GIHWVPLVDIGIATKTKAEEIGNEFDIFLKSSVYSKESKPSNLEGCVWPGAVVFPDFNNP KSQDYWTNCSELMRDQGMEPSGWWIDMNEMASFIPGERDTSAAVDEACYGANPPSPPPVK KEIDDRLWFPVLVTGFQPLAHKTVSLNAVHYGKEDGVLLKTPVKEQYFHSLNNLGEQIAT HKTLQKFTNKTLTFSLTRGSIYGSGRYTALWTGDNLADWEFLRLGVSELLSFQFYGIPLV GNDLCGFAGNTNPELCARWLALGAWEPFARNHNDNESISQEPYSFAEAYVKDASVAAFKN RYSLLKWMYSLFVNSFEPDLGAGTGTIIQPIWWNNPDDEFAYQFEDSEFLFGNTFLVAPV LSRSSDFASQKTTIKVYFPKGSWINCDDFKTIYTYKEKTSLEFTRAFNQQALSFQKEGTL VFRQDPKQRARLLDSKYSIYAFLNSEGMAVGQIMTLSNFDENDDNNVAAKCWTKGSNCIA VYTIKALEDNKFSISIKGQEASTIFEPIIIQKVIINNKGEKLEFTINEEVTKAGEFIIPL TSNLRVQQQ >CAK60799 pep:novel supercontig:GCA_000165425.1:CT868009:186959:188632:1 gene:GSPATT00031028001 transcript:CAK60799 MITEPRGNYIVRLDKILGQGSFGKVFTCYKKDDPNEEFCMKIIKKQDICDSDQDFKKKQL EAEMKVIKELKNTDSENLVKLIEVIDSPQELCIVMELCECDLSIEYHNLKQKKSWFSRLE QIDIIKQILKGAKVLIENKFVHRDIKPQNILVKIVNKGKINQRKIYKLADFGFAKALDDI YKKAALTRVGTFVYCAPEIIKGLNFSAKCDIFSYGVVFHQIVYEGQFPDNYSTQYQMQEF INKIEKHPYQCNKLKGEYGDMLKDLIQRMLLYNQDARISFESLLSHEIVTLNIPMIQDSL FIKMDTPFNKDQIQQQQIENQQSKYSKIQSLIDIFYRKSLLCLSVINYMKEQIIIPFIEL SIVQQLIQIIGLEEIRFAFALLHLIVSDLQSFIKNQHDVPFLMNILQNYLEDSKNDWVRQ KLHKEVRKQFHSQLRQSQDDFNNFIRKKQSTPINNQPKKIIQFLEESQQQKVSIPQCCQL LEEWLSNNIVNQQISKFESRIQQQIEKIKKIETKFEISNYFFINPNEIFEIRNYQSGI >CAK60800 pep:novel supercontig:GCA_000165425.1:CT868009:189088:190730:1 gene:GSPATT00031029001 transcript:CAK60800 MQGREIKGDYIIITDDLVGRGSYGQVFKCYKKNNQNELYCMKILKKTSNARDSATKNIVD AELKILSMLKNTPSENLVKLVDYVKNDEELCLVMELCDCDLSQLFLNFKKSNQWFKREEQ FQMIRQILKGAQLLKENNIVHRDIKPQNILVKIYNLNQEEQMKILKIADFGFSKTLINIY QQQDMTRAGTTCFMAPEIYNKEQSSAKCDIYSLAILFHQIVFEMRFPGNYQGRDQVPQFY EQIKQTPYKCQQLPDQDGQLLAALIEKMMLFDQNKRISFEDLLEYDIILLKDPLFRTMSQ IKKLRINDEDQNQSIFQGIYTILDNLYRKSLLCKNIADQVKNANSKNNAHLMKMQFTITQ IGYEEIKIGFAMIHRISSDLIPKLLQLCDVQHFISLLNLYIEQSSSKEEELHQKIKREFY SQHKKLIEDSNVIKQNMFQLQESQIIPQGIDDDLFSLDSLSKKYELKSLCIYLQNLIDIY EQDQPLNEKDNELLKKIKVITTIESRFDFFEYKLYKSDQILKL >CAK60801 pep:novel supercontig:GCA_000165425.1:CT868009:190731:191704:-1 gene:GSPATT00031030001 transcript:CAK60801 MQAKLPGGNGHLSPNLHLNEIAYLGAHNSPMSKCYGWKYTQQNVSITEQFEKHGARHFKF PLHWHVEKGVPQIVIAHEGDGGSNCKLSVLQRLFTDPESASHRLKELFNLAYKYPNEIMI VKIESKLTEHTKENGTLGQNESDDDLKLHNLLVELNAPQRAITFQDNNPPTLGWCRENQK NILITIEPRGQIGDYLLKYTHYSYDVSCQVNYNLDPLEDVKNGDLLNGKTWNPFLEIHLY AENALKQKLKQKLPFVTDKYNDYSHVKKRFKQFNTHCNKMPNFILADYVDQGNPSKAIDE VNDIINKNGCFKPELL >CAK60802 pep:novel supercontig:GCA_000165425.1:CT868009:191735:192351:-1 gene:GSPATT00031031001 transcript:CAK60802 MRKKPFKDNGIYLEKHAKALLDYQQTSEDEDVNTQSKYRIKAVKYKNNLLESQEVIKALK IENQESKKIISELLLDIEEMKEREKLLESELKEQKQLTQSAIQQLEQELQESVEDRLLQE QKQFQEKLTIQSNEAIEKLKKHFDEQLQIIAQTPKSTAPPAKKKKKKNASSSTSNLRPQS QTTGRSTATVSKKKKK >CAK60803 pep:novel supercontig:GCA_000165425.1:CT868009:192478:193978:-1 gene:GSPATT00031032001 transcript:CAK60803 MILFIISLLTLAKSDCIPYGVRLSLGHYYSALNDINNYITLTFNSQNLCLDYVVQIITTT SINNIQVNNFSLLNMTDVYKDSENVINYETYVYDVKIGQFDSLIEGNQYTFMIYWENTPM AGPFYFNVPSKSLNYSSKFIVMGDMDSNWKLNTSKQTFDWFSNQIKKTTHYDGIIYLGDM AYDLEDDNCMVGDNFLRNISLFTSHFPFMLTLGNHDSGHNDEFVYIRKSFATPRISEYDN PIKYNDFYSFQVGHAYFVQFHPYKIAYGNKDKTYFIYTLYQMEQELSRIRSHENTSWLIV YNHYPFYCSNPDDGFCEDHYKKMQLFEDLFIKYRVDLCLAGHQHTYERDEPLAYNKVAQF DKYENNTYTNPKAPIYIVEGAAGNDEIMPEDIYPPKFYTKFQAAGDGIGILEIKNKTHLY FEHRMSANDSVVDYVWIVKTNVIPDDDDDKDKESIPIWVWILVGVGVLLIIALVAYCVIK NKKPKQTHNEFI >CAK60804 pep:novel supercontig:GCA_000165425.1:CT868009:194177:194671:-1 gene:GSPATT00031033001 transcript:CAK60804 MKPNHCDTIFKDDQFQTPTRQQKRVITETTIKKPFQQKQRQCTCKKSQCLKMYCDCLAFG EYCGSECSCQNCHNDDKHPEQRSKVLEQMVEKNSSAQLKCNCRKSNCQKKYCECYNAGVK CSELCKCDDCKNSVKLGYNQFQIGNQKFILIPVQTFEQLKSQQK >CAK60805 pep:novel supercontig:GCA_000165425.1:CT868009:194751:195520:-1 gene:GSPATT00031034001 transcript:CAK60805 MKQKEIKQKDNLDQQEKPVAKKIKKNEANPAEEYEVVETMLTADFYKAGVVELAQKLIGK IIVRQLPQGEVRAIIVETEAYKAPEDKACHAYNNKKTDRTKYFWQDGGHLYVYSIYGNNY CLNITAATKDDPEAVLIRAVQPLSFDIIKEIRKTKSTKVQDLSNGPGKCGGCLLLDKSHN GLNLCDQKSGMYLIDNKKQYEIGVSARINIDYAEEWKDKPWRFYVKNNSFVSKG >CAK60806 pep:novel supercontig:GCA_000165425.1:CT868009:195670:196537:1 gene:GSPATT00031035001 transcript:CAK60806 MKIPSEIPQEKDTAERNSWGNSNSQGDQDSASQKDELNKSIELGRKKRQQLVLENPEFQI KQEIEDEKQNIKVETLIRRDVASAINIKDLLKLKISSKDIKNPIHSLFRWILLIFYKANP ELYNWPEFKDQILDKNHGQDLVNRLGYQSVVHITQLEGEKTKFLLNLKQKILEENSQPEA AKNALIKIFDIVEIVYKTHEHAKGINHLVDELIKQEDNINNYKEEIKKVNHQIQEAKSSL KSLESKSQNDENLSQNDEIVQE >CAK60807 pep:novel supercontig:GCA_000165425.1:CT868009:196568:197257:1 gene:GSPATT00031036001 transcript:CAK60807 MIDYKIKNLEERIQIQEQNVERKIFKLEERLKQINQKFDRIESLGDRLESLNNLFDQLKS NFDAKLQVFDDKISHLAGKIGKLKIDNTQQLYQIIKKSFEEANQDLSKIKVQGYKKSEAQ LRQSNIMDLQKNDRLRSITQEKNQNEEDIQKKLKSQMMDKFQFTLQTKQSDDTQILTESN KISNKKLETLTLESSSILDQTQSHDPLNSKLKHTNSTIYIREQLKNLRK >CAK60808 pep:novel supercontig:GCA_000165425.1:CT868009:197378:197804:1 gene:GSPATT00031037001 transcript:CAK60808 MDTLELTNYLCQSEQKLRDRNYQQQAIEQLGTEGFISICDLIQEVITKTTSGLSQITPFK SIYRNYNNTRNDQESPSIGIRIKLTYNPTEAEMRQSGHQMREQDPRNQKRNQNDLYQSIK KYSSELSTIKFKV >CAK60809 pep:novel supercontig:GCA_000165425.1:CT868009:198051:199447:1 gene:GSPATT00031038001 transcript:CAK60809 MQKSLISPNKLFEHLQKRRDELKQASLKSPIISANKYLSQSSMDQTLNSKQQEQQTINRY QEDQTQNTVLTQNTHVRQNSKIIDSKENSSYLSTCAMNLLKKIKSEKLVRPARDLVQYNN SDVKKQYELLLDLAKKPLIVKLLKQPRSISNPEILVPQYYIQKAHGESQAGMLYTGQTKI NQDTFKLVQKFCGQENDWYFQVSDGHGTYGHQVAQFIYEALPQLVEKELKQLQNQYEKNR SIHQILKQCFTRANQDLLKSGIDVTYSGSTTVVVVAFNNELHCANIGDSRAIIGRYDGKL SVVELSKDHKPDCFLEQTRILSRGGRVLPYSDEEGQAIGPARVWVMHEDVPGLAMSRSFG DYVASQVGVICEPEILRHSLLESDKFVIIASDGIWEFLQNDLVVQIVYEFYKKGDVNGAC VRLIQIAREAWQREDEVIDDITLIIGFFK >CAK60810 pep:novel supercontig:GCA_000165425.1:CT868009:199476:199735:-1 gene:GSPATT00031039001 transcript:CAK60810 MNNIQGYGLDKPSVRLHQAPGGNSSISFGDYTPQQQVAQPLGRKQVNQPPVQQQQQDAKT SVKVRNPPGGRSQIQFG >CAK60811 pep:novel supercontig:GCA_000165425.1:CT868009:200610:201853:1 gene:GSPATT00031040001 transcript:CAK60811 MLKGIKNRGNTCFLNSLLICLSKSLVVKKVKDDLFQQFLISTLEYLNECHDAKNLELFWI ELRKRQPTLFSQNPENQEPQDAFEILLFLLSESKLLDYCLIISQSPYCEIPEHRDCIYIH QSWVKDIVYYIWDQNVDINNLKLLADVNDNSQSIRMFKMIPGSADQYNWHLNDKMETDLF TYHVETQSNEYLYKIEIVLQYVENDITQTILRTRFLYLEQKITQHQLYYRLRQQLEQTPV NFDVYSVNGYISDQNELWQPVSPLYLVFRTKDLDEFLWCKQLDIPNQVLNYQNFFKSDKP LQVEGQLTNTLILILQRNDIVKNTNPYFIRQELKVKTRMYDLMGICCHIGDAKGGHYVSY IKNMNMWQMWDDERVEMRNIDFQCMQTAYILFYKLR >CAK60812 pep:novel supercontig:GCA_000165425.1:CT868009:202326:203485:1 gene:GSPATT00031041001 transcript:CAK60812 MILALILLICAFDSVLAQRTYCQLPDPIFNDGVKNSTWGDADPSDWNYLNSNDWKSKVVT CGASFQSPINIVTTTATKESTFPAINYILKSSTKNYFEAQRDYTKELEGEYDFITAFDLS NSQYKYYARQFHIHTPSEHQVDGKNFDLEVHFVHQAVEDGEQSCNDIRNKLTVFGLLFQQ SNTATDYEVFKPWFDDTVNRVTSFDMNDFFQRMTDKTYYHYTGSLTTIPCSQTVNWYVFT SPLPISKSQFKQFQDFLDNDDYFPNKANNRPTQNLNGRTLYKGTASFNGVTSLPVIEASW SSIIQMAMLLLVQLIITI >CAK60813 pep:novel supercontig:GCA_000165425.1:CT868009:203813:205255:-1 gene:GSPATT00031042001 transcript:CAK60813 MHIKVILFALIISCTFSRQIRSRKSNLMHIPLVQKGQRVQDAIKSTLHSDTKISFELSRE DKQQIKNQNTLLDHLDRIQYAYQITYIPLRNSYNAQYFGKIELGSPEQTFDVLFDTGSSY TWVASSDCHTCKKAGVKEFFDCEASYSCKYLQKKIKLQYGTGKAEAQFMVENLKIGSLLI RNQTMLILDELTELKKFEGDGLAGLGFDTLSDGYPTLIDNLFSQNQIEKKEFSIFLTDEH LKDEEQSKLILGGQLDSLGDQSDQWHYCYVINNKYWAIQGDHVAFVSKDGNTKRTLKSIQ PVHNAQDEKSDILYTSLFVVDSGTSLIVLYEKDHQRLVEYLQDFGIKCFDSLQFEGITQC DQADVDEYPNFESTDFMFILLVSLCGKVFTITPDKYLLCSFFECYLMIAPFDQKVSILGD LFIREYYTHFIQDEPRKVGFIKAVQN >CAK60814 pep:novel supercontig:GCA_000165425.1:CT868009:205455:205802:-1 gene:GSPATT00031043001 transcript:CAK60814 MSNQKTPQSLNSSIIYTPIPLRLQYSASRSSKQGSKSNILWDSTSKEPFLQTHSQSPQKV LYVIITNTNRLQTFETLYHERPHNPMTRDEKFKELENLISSFDSEAFIQEVELFD >CAK60815 pep:novel supercontig:GCA_000165425.1:CT868009:206269:207230:1 gene:GSPATT00031044001 transcript:CAK60815 MGSCQHLCRQQHTVEQEITMQQKLKQRKESDNNSKTNHIGSQKGEVDDLVGEEFKSPKEI KQFSKRIQNLEISGDRKNRFMHDIEEEIKYIIQKNIQEEQENLKRIQQIYEQELELQRKE QIKKQKEQLDNQFSFQNSIIQSNDHQNANPFQPKSTSQFAPKRNDNDSVSQKSQISKTPT PPCKDKSISSQSDIMRKSALMKIIQAREELASNNASKGSTKKKMMLDAYEKLFSEFFSAD EKGSSSYSKTQKSHKSILKNRSLTHRSFSGSKSIKSTHTAVKNSKKVRFSKETNFSYERK GIEKKKKKFWDW >CAK60816 pep:novel supercontig:GCA_000165425.1:CT868009:207544:207942:1 gene:GSPATT00031045001 transcript:CAK60816 MRELNSLFDQAVYSISIILTMDYNRIKFQAKRMEIHYHLSLLNNKKLYFLAQIQESVKPL VQNDLSQLTKFDSYLINDILLENIVKITSGSHKLKSLEYQNKKNDDLSKKANSLLRLIVE EFKYGVLNKLKI >CAK60817 pep:novel supercontig:GCA_000165425.1:CT868009:208470:208667:1 gene:GSPATT00031046001 transcript:CAK60817 MRNIKQKKERPIEPDQPDPDECCGSGCQRCVLDVYYEKLEQYEKDLMEWLAEQDEDQDDG NNQKG >CAK60818 pep:novel supercontig:GCA_000165425.1:CT868009:208798:209516:1 gene:GSPATT00031047001 transcript:CAK60818 MRKRLGAIHSDSAEEYIQLAQTTWNMDLFYYDGNSKKQEQNLVNFDFDERETNNTEIEEE VQIQAQMETQNVKILVMDAENEAQYIFDYCDRESHQRRDFDYVSKVEVNENKQITYHFWM NNLNSTKFTEIIDVYVKNCDVVIYMYNKSVEEHYQDFIEKISKINNKRFTIYKVKNAMNR TQSFDKLSDQNTENVIAVRSLQEAISKTINQYI >CAK60819 pep:novel supercontig:GCA_000165425.1:CT868009:209634:210860:1 gene:GSPATT00031048001 transcript:CAK60819 MQNGFIFIYYYMKLLLFVFIVYTYATSAQYRQAMEDLNDDNFGQTLLETIQMHIQSEEPV GNLVNMLQNLQSQVEKVQYKSEEQHKRISTNCYLNLGQLNDQIDNYKIKSVTLKSYIDTL NPNVKQSVAMVERKEKEKDDYKQELKLAAEKREKEHETYTTILDNLEQALFGFHQIKLAF NQFIDSVQKGQRKFESFAEMKQVLKQVKHTYKLEGYKHFVQLLQSLSKQTSEEEALKLAI QLSAILKQIESYIQTERTREDQAELAREAAYNDYKAQIADLFNESSKSLTEMTGILDSQS NELKQSENEKMEVDVRLQNKMKEFENIQAECSILDQEYQQSTKQRNQQSKLLDQAILLTT TSLGQLKSDLLKHAEVY >CAK60820 pep:novel supercontig:GCA_000165425.1:CT868009:210968:212444:1 gene:GSPATT00031049001 transcript:CAK60820 MSKIDEQQIIKNTKSMQKMQEIADRIYATTGDLTKTISSDSILKPSKLTQEQLLLQSKKI DNIQDSKQKKGKGQQSTSANKNELNSIEWVNKLQEIQQQHNEDKKKLREELIARYVQREM EFKKTIDELQQELRSRTALDQTDKKVMEMIYKDHSKIIDGINNIQLRTSKILVDQERDII RFFNNKINEIKKQFQEEREKKGQNDKEYIQKENQLISELEWIKKIAQKIDDENHQLMQKY KELKVEYQTQERDREMLMKELIIKKKENAILKSQIQQYEKLLNDVQKEDDQESNQDQILD KSGVKNQKTEKSKQSSSQQQVRFPQITNSQVSQSVDEVQKAKYQNIIKTYQDTQKKEQKR LREIKQLYIKELSAKTELYNILRQCIEDIKEEIIQVRSDERTLKKNKNQSNEEVKMEKED REKLIENLLKHERVIQVISDKIFYDKKNYDETMMQQQYEETNYNDEQY >CAK60821 pep:novel supercontig:GCA_000165425.1:CT868009:212468:212782:-1 gene:GSPATT00031050001 transcript:CAK60821 MKFLLTFVFAIVALQKPTDPDNNCVFYKCPDEWRTCIANDTCEKHLTQCVTIYQRFQEMP YALGQFYNCLVDNDNTRLLYRCVRQKCNTSISNCLIEAFTKRLT >CAK60822 pep:novel supercontig:GCA_000165425.1:CT868009:212843:213869:-1 gene:GSPATT00031051001 transcript:CAK60822 MIASLTQIEDQNNNTLYNSLRRNSKSVTFEKVPKHQRTSTCGSGSTMDEEYNESCLSSSR IDEELSNPQFISNYRKDIFRYILEEQSNYLPNSCFMEQTQKDINQKMRSILIDWIEEVHM KFKLSPNSLYLAINLIDRYLSANIVKRNKLQLVGVASLFIASKFEEIYPPNIKDFVYVCD RAYTKEEILQMEGQILNTVNFSLNYISPLRFLEFTVIENTQIEDNKVFQTQQFQLSSYIL EIALHSYESLQYMPSQLAQSALLLSNKILGIQSEMEITDESKYCATYLLQLYYNNQNNTL YPAVKRKYAREEHLQVSQITISI >CAK60823 pep:novel supercontig:GCA_000165425.1:CT868009:214782:217152:1 gene:GSPATT00031052001 transcript:CAK60823 MFISAGQHKYNLSNYLNQQTTLAVFLGVKEVEIDQIQQLTEQIYKLSFKNSKKQIRFHTK SDISQVQGNQLEKDFTIAQKLCAANMPVPKALFYCKDQSVLGFPFYATEYVEGRIFNMEQ LLNISQAKKRLLFQEVSKALAHLHSISFHYLGLGEVDQNTHHYETLNNKLHNLYKQHETK ISTNMEDLLYWLSLNTPVKSDLDNLCLIHGDFSLSKVVFHPTKPAVLAILDWQQAQIGNA FVDLASFVLPYYIPYSNGQHQVDGWFGVEEIMGQPSIQDVLSAYFTTRSSQTITDIRYQL ILSIVKSSIDQQIHYKQYKEEKYYENSLFLTKAGYEIISEMTEGDPFGIKMRATNDGQIW SHWPVSERCKSYYYRIKDFMRDEVFPIEKAILDKAREVPRSLPNKPITELEELQRKAKSV GLWNLFILDPMYGKGLTNLEYVFISEIIGLSFIGHVVFNCLAPETGNIRLLIAYGTQYQK EKYLNPLLEGKCKSFFAITEKDVSSADQDNNKFTITPTDGGFILNGGKWFVQNAADERAI FGIVVGKSSSHTNNPNETQSMILVEMNNPKIQITRQFSSQNFYDLPHSYSEIQFNNAFIP KENLLGQLGGAIKMIEDRLLEERLNHCARLNGLTRRSLDLTLSRSEKRVIFKEKLKDNAA FQEKLGDLEIAYQSCRLLCLNAGLLLDSVGNTHFNAFLAVSECKAHIPKASQYILDSCMQ IFGAEGVTEEQPLSLILRLAKALRFVDGPCEFHLRQVSKFVYGNHIFNDLNNAQGYGLAK L >CAK60824 pep:novel supercontig:GCA_000165425.1:CT868009:217311:218444:1 gene:GSPATT00031053001 transcript:CAK60824 MSTGLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLQHPFIVTFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTSHAAFYG AQVASMFEYLHSKNIIYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKS LVKHLLVADLSKRYGNLKNGAADVKNHRWFASLDWNLLTQKKLPVPYKPVVKAPNDTSNF SSYPESDTQSPALKPADDPFLEW >CAK60825 pep:novel supercontig:GCA_000165425.1:CT868009:218792:225594:-1 gene:GSPATT00031054001 transcript:CAK60825 MSECQLKEDQFNLYTENRLQYESKLLAIIEQLNQNTQKFEHPHEFVIQYLRQFKFQAEYQ DQTLKSVNINQLFPNRLWEFDLTETFISKIRTYYCIPLMLILSYLLQGRFNEENKEYTKI LYLNCGSLKLPFQYAFVASLFPLDSLQIIVLILPEKQYITNSSGQLEQIKFIHKMRFIDS INESYGNNDYLIKQSQTILDDNLHQQLKSNDVLLNIKLIKEKQRSCHISSEIKIGIKTRN RNLLISPRSFETIKLDSQLYIFDDQTKTWMTKKLYESIQKKIMKINAQTADYYLIKEYIC LPSASQISKLISKLPKFKNKITEQQLQAIVWKGITFILGRSGTGKTTCALFKVFILDALF NLRQQLKTSNAYINSQIKQETQSQQYLQKDKLTLKILFVTASPLLAWQIKQNYLQLIENF QELIKDKFQKKPEEQAESNEMEEESFYEIINELQESQAKENNSDTDEDEMDDYEKKMGRF QKLSDITEYPAFLTLRKLIFSIDASLLNPYFKFTQTQHCSAQWHNEQIGIVSLNQSSQHS CEKLQKKIKDYDDKEFIVYNNTQEVTFDLFLNWMWPKIVKKIEHQNQDIKKLDPALVWYE IMSKIKGHATSYEYPNKYMNYDNYSYYHKVLSDEYTKLLYKAFENYEMIKQNVGYYDLLD VVNHINYELQYGNDVLENVHYLILDELQDIPNAIFILLNSIADFGLICCGDNAQNIQKGT GQQFVEYRNLLNDSNLKKKYRNNEISTFKLPQNFRFHDQILQLTNSLIRMIELLFPYKID VFDKEERSCLQGPKPIVIQSEDQQILLNYLQKNFKIESNQIAFGSNQVIIVRDQESKPKV PDSLQQTLILTIYEAKGLEFDDVILFNFFTDSDCTSDDWNILKNFQIKDVDVKIKQDQNV FLVHEFIYSIEMKKLFLIPNTQQQNLSDNIDKFVNYQTLCQELKLLYVALSRAKRQIIIY DNNYTKRKTIQKLWEDLQVIEVIYTTQIEDAQEFEILFSQQFDNKNNWRNQGLNFFRVNN YEQAKRCFKFAKDYQLEKKAQAYQLATQATLTENAEHLFYEAALIFEELNIQNRAAQCYF SAKKYKNAYRLYKQLNAKMEMAEAAYFCREYEEAGQLFLEVKDLRRSIESYIQQGNYNKV VELILKHQDDLSKEEYQIYLTKYFPIVLQQILDGIEIQNEVLANEIEEQSESFQVCNSEL SQRSEKLEFKFRNSKQSRIENQSSFSESFQVINSDSFDHLSSYDPDDEWIQNDKVQLISS IASIDVQSLNENKILLLNQVELTSFIKNQNKTVTLNDSTLIQIIQLLGQISEDFKIHIQQ KFGNQLDYSKIIKTIDIDTIKLTLSLLEKFQNYKLCIYICNQYKLLHQIGEYLVILASKY TPIYKNSMRVDTQMIRNTLKRKHLLDQASIAHQALQNIFDAINPEILKFKYEDYLDCDNS FGLKCYQDLIGLGFWKQIIFQMNYQNSKDLCLSFNNHSDLISILQHMKKERGDNSLKSDQ KFQLMKSQYLLQVEQFFIDKKIKLVQIDQIFQITNSITQGDIINFKKINQIICNAKLKEQ NLDFENKLRQLESIILSYLLCCGIISSEDATLEQRTNLINILYYCINQVKSIGWNQNLID AIQFLFKFSFPQGEIMNNYSQYVLLNVQSKLLKNIKNEQIYFADSSFEYLMIPFEQLLIY ISNYFGRTNIQELKSFEYQQDLQQNCQTPLAFIIKQIKHQQLQSVLWSCIQHNINLQNQL KKQKDSTINNSIEQDEYSILSVINESGPKQFYDYLLKTNQNSVNLAFSISTSVIYQCTIL FQQAFKSFGQEQHAYLILAINLSNFSNNLPLAIYSIQSIEEKSQIKKYLKYIEFLECQNY NITEDLVACFLDYCYFCEQNLYLDEWSNHLIRIGLKLILAQDVLTTIVIPDYYLEFLDQD VENEYTVKQNTEFPLKNYDVMIEYLNCLSNYIQSSNSPSYESSGYLLLIVITLNLFTIPK ELQTIIKNIFSPYNVKPQLKNIASCLNSNLESRRAKLISEEELLIVTDYLDEKFISLQVI QNKVNDNQNITMYQDCLDKWDLHLQLAEKFRIYGKRILQSYLKHKQYIKKNSKVELISNP KIVRFIQYYQLPSSVLKCVNYDKNWKSQLIYSYKLQDELLRTRVQSRTMKDIQQIRIYLE SVINLQLELKKGHYIQDQLTNVERLFREYQESKEKEQMVQQSMLERNREMLRIKWQNVQA GIKVQNKLSNTQKKQCIRIQEQDEEEEMNII >CAK60826 pep:novel supercontig:GCA_000165425.1:CT868009:226217:226713:1 gene:GSPATT00031055001 transcript:CAK60826 MKHGIQNSLAGMIWQQIRTQDQFKTNQTVYGCKKMCQIDSMLIGRYYNQKYWIFQNSNQK YKLDHTQTENTGWLKSRLKYRYKSQIYVTFVEMIDLIQEEGEVRMNARTQAIRLQNVKGF QNLERQISQLAKSKKIFDAFLLEIQKVLAEFIFSSLN >CAK60827 pep:novel supercontig:GCA_000165425.1:CT868009:227611:228659:-1 gene:GSPATT00031056001 transcript:CAK60827 MGPYLSQPKREKVTTSGEGKSVIFAASEMQGWRNTMEDAHIHRPDIVQDVSVFGVFDGHG GREVAQFVEKHFIDELLKNKNFKEQKFEDALKETFLKMDELLMTPEGAKELNNYKATDTD ESYAGCTANVALIHKNTLYVANAGDSRSVLCRNNTNFDMSVDHKPDNNEEKSRIERAGGF VSDGRVNGNLNLSRALGDLEYKSDSKLRPNEQLIIAFPDVKKTELTPQDKFILMGCDGVF ETLNHQELLKHVNTTLGNSPVTENLLSKAAEDLLDQLLAPDTSQGTGCDNMTTILVYLKK >CAK60828 pep:novel supercontig:GCA_000165425.1:CT868009:228717:229400:-1 gene:GSPATT00031057001 transcript:CAK60828 MRTGLLKNYGPTQIFPEGKQERAQSLYDITNHYLKPQVGKNDQKSKSVNTYGVESYLERD FYDQLRLKGKEKKIQNQSSHKPIFKLRGDNVVAIKSKDRELYPADIQWNNGQIGRIKGEI SGKVDKNYAKIRRYLEGSSSVIDYSESKMYDKFNSYTNITNQERFSKDCDIMFRTSNNAQ PGNVQSIGSIQRTKYEPDWIQRGKY >CAK60829 pep:novel supercontig:GCA_000165425.1:CT868009:230037:230905:-1 gene:GSPATT00031058001 transcript:CAK60829 MSSNIYLTQTQIHDLFEPCETKKIEVKTLDIPSFGDDNSSQQSPEFQQEAYYFLSSCKKD ENYTTNPTNNTNRHLSQDSIIELKDDTVRSMQQCIFSSVSKAEYESLKRDAQNYQALMKL NLKLKAHNQMKNQANSYQCTNYCYYESAQIYVAQECNKKLQGHIYELLQTIKILETENQQ YSEDLLLLRLQIQDQLKIIQQGKIDIERLKITMIEKDESLIELQDNYKKLFKETERTKNS KSAQRSTYVSTHTSSPLFKGLSRICLNNYCNSSFVIEKQQ >CAK60830 pep:novel supercontig:GCA_000165425.1:CT868009:230949:231638:-1 gene:GSPATT00031059001 transcript:CAK60830 MILSDKFIEEVQILDGVDLNSYEKIINFAISYIVKHDTQTTLAEVLEIDENEADRYFRLA LKLVSYFGKFKFGDRQFRQNVEFLKFKEDRKALFLQKLEANSTQLHKRLSFIESDGMPYY KNLEWRYDIQIASRGYEEEFKPRIFMKFDLEYDNSRECGKIEQVFLETDYANLKNLQQEI SYLVSQLESARQQKIKKLGQML >CAK60831 pep:novel supercontig:GCA_000165425.1:CT868009:232040:232773:-1 gene:GSPATT00031060001 transcript:CAK60831 MLFRGINNYKSMNTILDQTESQGALWLGDYTAAINQQLLKQKNIKTVLTVASGLNVKYPP TSDIVHKVYNILDIESCNIKRIWGDTYQQIDEGLLKGSVLVHCAAGVSRSAATVIAYLMR KQGMSFQEAFQFARLKRSVVCPNFGFQRQLKQFERELLNGNGKVETEIAQQQQQISQSAV KPMIQQQQLTIQPHQIPISEKKTTIRRNQLTPASKLPKPVFSNNVKQVQSFKK >CAK60832 pep:novel supercontig:GCA_000165425.1:CT868009:233462:234235:1 gene:GSPATT00031061001 transcript:CAK60832 MSSNIQSAAVTNEISQMIAEYNPEEEMLELASSQKYFSDLFDEIVGINIQTLEEKQNADE QLSKIKLRIRKTGKSVRKGNKISAFQVNRSTMVEGNSFKKGDFNNDYFEMPMYQENQGEW QQEEQEAQVEQLAIPQQLISFPCQDQDLLLQDQQDIIRINKVDLKVTRNISNLNKNSKDL SSSSSSLISN >CAK60833 pep:novel supercontig:GCA_000165425.1:CT868009:234447:235333:1 gene:GSPATT00031062001 transcript:CAK60833 MNKDEENGHSSLNNTLENSQNYAYLLQQDYYEKFKMGQNLYEQSASLSRVSLGEKTSEDN NNTYTYINMIHLQERLSDQMVKNQFLENKIEQLSKELAGALNHVSLLQKQNELQSGLLKD ANVKLQKKNKEIEIEKTTNLQLKRKISNLQQINTNYLDQVETLSLKKESVILSNYKTASE FRTSNQSNNSSQRKLNSDKIHQGLTEDSTVKDSSCSEQKSHDLIRFSESVQQFYKSSNLL SPVQQVSPKAQSNDLESRIDSTLAQIRAIRVQIQQLNKK >CAK60834 pep:novel supercontig:GCA_000165425.1:CT868009:235453:236758:-1 gene:GSPATT00031063001 transcript:CAK60834 MFLYYILLAFLHFVNSSEICKSLHVSTNLKKSKDDIVSKGIPSKQWNRKAKNPMIVPATQ NIDYLYITSENPNLSLENFQLDFDDHYFADSATRLDHRPNGQHTEYAVEINYKCKQYGGT LINYEMTIFVPNCGDVQIYWKKFCGNPLTLREGFAVDMIYKNYRQEIIKNSEIINASYFD QDLDNYVFNVARDINVIKFILQMKQSNPTNDPIPEGFIIDTTTLKAIPEVNLTQPYVDVE MENVTKAWFEGDLVSGGLITEKENVLTLNFKCLNLGTSKIEITFPFQYFKDITLVIIKDC NYPFKVQNVINAKYQESDRITFVIYIIIFLVVIGLFFNLCIGRKKGLANIPMLATVINVI LCITCQQKTCVGKFIRKRLGLAGESFLPVDETDESFSSSQKQFYGSI >CAK60835 pep:novel supercontig:GCA_000165425.1:CT868009:236799:241719:-1 gene:GSPATT00031064001 transcript:CAK60835 MQGVSIFDDDKSALDKMQNSFKNLLFEIIYYLISGENFPLFLYIFFVLIESFQVFYFAFS DEFLSLWKVKSWSESFQSFFGYFMISPYLKNVEFQSFILVLYIVMGFFLLLIILIIFIAI KAQTTSVGKLSGPLVVLKIFFEILNYIFFMPALHLFLTIFYCDSGSGFHKYYSDLECYTG NYLLHAFLSAIAAFILVCISALVTMTFYESRFQPNNPLCKISGRDDMKFLIFKIILVLCF TLLNVNELRILVVIIITLFAVIQFFSFNKSSVYLNYYYSKVLNSQHAVIMWTICMIIFGI IVEDTYYEGAPYLWVFGSPLLLLIVMLRKEYRYDIMMIDSNKFDSLNQAIQQLQYLTKFL NYYHTDRNIATLLDGFVEYHRTICKREDCPCQAKNMGNKKIAKFQKNFKLQNQDDEIKEQ YVVLVYIIERIFTLSLTRFPNCTELRISHSLFLMEKMQSNQQALQELVAAEQEKPYVDEQ FIVYRLKKLIEEQMFENSKSSKNPAAGIDAVNELTTENNLREIRAQIEKSASQHIEFWSQ LSEDTPDLGKLYDVGTRMMYIDKMLEDSWKRIIKMNLDVPPNLMMIYSRYLVDILYDKES AEEVLERLKNFYSVNMDRGKITNNINDFPNESTALISISAEDVTFGRIIGLNMSASKMFG YSKSELINRKVNILMPNVFAQSHDQFMETYLQTYESRIMNRERMIIGKSKNGYIFPFFIY VRYVPSFIHGAQFFGAMRQEKVFKNVAFMIVNGQTQEIENVSATIITMSHIDLNYITKKK TKVTDIIPNFQENITEYLNKTGAEADINFKNRDQSVQGKFNITAGEIMFRDSKLQGYIIK IENNKQEKSFLNPNEQSQQKKKESQTKFYFQFDQAQHQYVGEYSGDQNFQMSALSSVKQD ETFDYSQREPLKEDEKTPSSNVGEKDEKIDLALGIRTMKYINGQLFDIDEFKNQDSDEEE ENEQKKGAGNAGMQQIQKEDDEEEAEGGHANIYKSRRTFVQFLQESRNVNQTSMICFKWS AAILIICLGVLGLLDYVLTQQLFSDIQQGYIMMQDSNIRVGLGQRIQWQIMELCRLNKIN VLATDDKVKTQLTNMNTTISDLKDIQSKIQSSTEVSGRQNELMTTNTIKMISKDTSGAES NQLVDINQGTSQIISKAFEVMNFDIKDFTPDADSIYFIRYNLLNDYYQATLESADLYTNQ LINLAYDSNVLLVLLIVACVFTVVSIPWIACSFNLVSQNQEEVIKLFLEIPLAKVKQLFA KCEAFSNTLQIGEDEDANQENDVSFEENEEGEGVVEEFGRRKKRKKYKYDSKDKRNFYIK FIISMGLLIAYFIAHYLIGANLQSSMQELIQEMNATSLAVPSITFANNVFRQMLWDSNFP VKNNVSKTISTDFVKDLYNLNTNMQKDHSLNLGYHNSLYNDYFDSIMKAGACAQVIQVAP VDLATCQAFVKGIVDESLALALSRHFENLRYLLTVYDSLLNDSSSTTISGTLYNFTDNLQ TNKILSLMYTDIAAVEINQMQDIYIRSIFQQLLVTFNSSLQKDIDTNTTTTVTIFIVFLV VLVLVYLLFWWPIANKINNEIRRTTLLLSMIPLNLIQRIKAIREYLNRIHKVDQ >CAK60836 pep:novel supercontig:GCA_000165425.1:CT868009:242611:244163:-1 gene:GSPATT00031065001 transcript:CAK60836 MKFLLCIIGIAISVHINKHGNFVDEVKGAIRIFHGFNVVYKPAPYFPVTDHFDVMTSFSD EDCQLLVDLGFNVIRLHVAFEAGMPQRGILNEEYLLHIRDIVRLAAKYNIYVILDAHQDL LNRQFCGEGFPDWAVTKTDFPAPQNIELRLDEQGYPLIEDCLKQDNFAKFYLTSDVGKNV ESILKNENGVADMFGLFWKRVAELHKGEWNVLGYEIMNEPASGNYQRSKIQYVWPGWANK HLIMPFYQIINKYIRQADTEKLVFFEPYFTDVLGAGFKRNVGGRKYQKKEVLSYHLYCGI ENVSTFLCKQLYNFMYPLKKMNINHLGTGAMLTEFGALPNEPFAKDILNSLLYKADNYLQ SWAYWQYKGYNDFTTASNMYDEGIFNQDGSLQNYKMEALVRPYAQSICGSKVYYSKFNSK KQSFNLKYDSRKGCDTVIYIPDINGFKNGFNYTCKAKKGKCQLKQLDKNRQRVDNATGRV KLVISALNINK >CAK60837 pep:novel supercontig:GCA_000165425.1:CT868009:244221:246027:1 gene:GSPATT00031066001 transcript:CAK60837 MGNEPSAPNDKIDFLRPVQKKAPEPTQLNKVQQNEWRIGLQHPHLLQTLGGQPEGDQYRY FFEFCQITLASLLQERYGTKKYFPEEDLQALLLGISSALSFLQEKGLSHGDICTTEIFFD SNSSSFKVLDSNLINGRGASIQQLLSGKLKYLAPEILQNPTQPFSELQLSKSDVWCLGMV MLEASTLKQNDNLYQNGLQFRLISDRINEVAQIYNLQYAENIALMLNFNPNERLDPVNLF NYLLEQQRNANEQDQQVVQQQQYQQLLQQQQLYQQQLCQQQQQQQQQQQQQLLYQQSQQQ QQQLTYQQAQQSQLQQQPIQYLPQQVEQQNYQQQLVQQHQLQQTQVPPQYLQQQQQQTNQ QQQYNTQQAQYAQQQQQYAQTQQPRQNHHQSRPSQDFMMTQPDMNQTMQGGVSRERVPTK IIKKLVYPDGRVEYQEKSHSASRMVQQPQQQKQQQVIPQQQQAQAMPQQQQIMAQQQQMM SQQQMMQQQYAQQPQYNPQFQQQQYQQQPQPQYQQRQNVLGNIENQMQVVTNEHNITRLK QEIEHSKTLIQQYNQQKPQVQAESIEERIRKVIAQSQALRENFA >CAK60838 pep:novel supercontig:GCA_000165425.1:CT868009:246065:247011:1 gene:GSPATT00031067001 transcript:CAK60838 MLPKLNQSSSVQQVKSQEDFSLNEAKRRQKQLELEQQNVENRIKQLTKENSKLSKKVQMA EQLALDVYASRLAQKIKKEQKFHQQSTVDPLRYQQTQYERMNLRKMKQEVKEMKHNDAQL MKKSIKYELMRTSEEQAKKAQNMKLRAALIKEDEKLSQAVIQEKLLEKQQKVRLLQELEK QKILMENEKYEYQIKQLEAQEIKLVEKLQATQQREQNVKQKLMAATRLAPEEFDRTYLGS QSIICEQGNHEKTQEEQKEQQEEPKKKDQEENKEGQQGTQTD >CAK60839 pep:novel supercontig:GCA_000165425.1:CT868009:247981:252255:1 gene:GSPATT00031068001 transcript:CAK60839 MNNFQELIIKQNQRIRNELYQNLIIVGQFFQTLIWILIAIYILGEQREDLQFKIFYYFSL PTISLQFDIEQSIIQSVTIFFSLAFFLAILFGGNQKYSKRIVDFLWDAYPNLCQIVIIQN SGHCLLTDKINFTLGVLNIFMAIGNSQLTSLYSFQQNHTQKLIKSYFERSLHPLCYSVLA LFAGLQISSFYISYLYLGAQLIFDLYFGIFYYVKPHMQYLNIQTQRLVVFGSFLRFPVVL FLFYYQGNNILYFSLFSLPFMIQIHLILWDNRFMNLIYQTNKFFQYICLDKFSERDNLIE CYIAYYFEIIDYKKPFNRVLMLSIFCNHMNMCQNLKCFCQNRNFNERVKITTQLAMDVKD IQQQINYAVVEQDIQMSFKELMLLFLDNYIKNVRYSALGEALILKLSLYEIQSFQQRLFL TKLRFQYLKIYYHKFHPQFLERWATCTQVTEKLQLYIGESNKLNFNLSMSMQYFHLLDRI QTNIFRVIEDKIRILELLKRNYQNAVPYLLHQSTENYFNQCDELEQLIQQLIELNGISFE VRGLQDEFYSEIKVDYARKNIHKKSTIPFINQNQTAFVICDYEGDLIIKDYSNNFISMID VSSQIKGSAIESIVPVTLQQYHRMAIAQMVSTGQTKLVNKEQYSLMVKSEKYILEVDALL RFDYRQLELLRFIGFIQLRDKDSILILANEKGKIDSYSRSAGVLLKLDEIDSSHLNYFQL SPCLLQEKMEFEFDGFLLIPKRHFRSDILSYMKHFLGSPDECIIFLVNGQHHKKCYTSVT IQLLKVNSSYQLKELDFKLIALDIMMRQIMILKQVSKSQYMMPTESVRKIQTFKKTFKFQ QTHTIELTEEEYNLIYEDDSEREIQVQNKNQCILPEFIPSLDSIRTQNEKLLLTFEQCRD YQYNLSKDKSFEQQQKLDTLEGSKSEQETLSNQKRNTHKLIEIELNAAEDQNIEEQLQII LATVKSRQTRKEFLEMKYQMKNKHKTSTWYGSGNQQTQKKLIRSIVQQFQNSSLSLLIYI KIASCFLVLINVGFILGFVINSIINIAGIQMDSNNQTYPLILFQDLNLVLLGIQLDDWNK SYYEIAQSFRILGAEQYQNLSIYVNDVELDYHTFLQELDRQTIIVQENGLPITYFTKYFL KNYMVEKLRTIEEAVSVPVFGEESQFLFDNYPELANQLWNLKNKTTRDVGERIDELNLMS QLLLILSILCNLIAILVYLAFLISVIQWRNQLYTTLFLFNNLQTQITQLSDSINRQIFQI SGTRGDDEEKNKKIKLIWQIYGILTFFLLLIISLGVYIPLFLYIDSVNLQSIDVNQLQTE LAMMQLKQSAILTWFAINQTENKYNTNLVHNTSIIQYVINQCTPSEQFVLYDLPFDSKYD YFPEIQQYSTISLCNCSLLQNQLKNGYYGFQSYVSQHLQRLRII >CAK60840 pep:novel supercontig:GCA_000165425.1:CT868009:253218:253975:1 gene:GSPATT00031069001 transcript:CAK60840 MMRNGLEQICNQATNFWKQQLFPQQKQFEEASTNIGSNILIDDSIFEVSAKKTEDFLFSE AQKQNQSNEKCEEEFVCHQIQNSQKLYLEENSQKERDSFSCSESQNRKKEKKKSRTRSNK SSKHLFKLQAKQRLTISQEAFILEQTRKGTNPADIPLQIPGVASNQIKNRLMKKLKNFSK NNEFSCSNIADLIERIQKLQQEQDKDNTTKIEELRQHIQMIENHLNSTKQLILQKYMILF QQEQ >CAK60841 pep:novel supercontig:GCA_000165425.1:CT868009:254376:256414:1 gene:GSPATT00031070001 transcript:CAK60841 MNQVCNSEKQESKDPFENFQNLQKIHMFDEALNEINEALKINPLYSQALNLIGRKNQYFL IAEFSIKDEELFQVEERLQGVIQPNPRIEDAHFNRSLFFRKNKIYNLTQNQLNLDFNEST NLKSFLMKKQESLLEALGIFQKILEIQYLNYPAHYYRGLIFHALGKHMDAFLEFNQAVEI RPTSTEAFFQRAELLLDMNRNLDALENYNKSIEIDPKRAQSYKRRGKLLKQMENYEEAII NFNQAIELNSGFANNYVNRGLLFKALNEKQKALEDYDLAISINPKFIKAYKNRGTILFQE INQNDKALSDLNKILELNPKDIYTHIQRANLLQLLGCTEQALQDYTKAIDINPDETENYC KRADLYKQLGQTDLAMKDYDKVLEINPKNSNGYWNKAIIFEELKQYEPAIAFLTGFIQLN PLDENAYMKRSDLYKLVNQLDKALDDQQKIIEINPKNRFKFEYFAVAFLFKEMNEIEKTF QDLNRILEIKPNNINTYFIRGNLYKDLNQDDQAIQNFSKIIEIDPTHFIVFYNRAKIYQK LQQNDLALQDLNKAVELNPQITFTLIERGGK >CAK60842 pep:novel supercontig:GCA_000165425.1:CT868009:256507:259072:1 gene:GSPATT00031071001 transcript:CAK60842 MLTLKKAYYQNLQIKNNKPLKIIIKLSRLIKIIFQLIIIELIIGIQSMRRRKQLLIMIKQ FHQTLKMHQHISKELSCSQQSTRKIKLQKISQNLYKLIPDRVQFQCIEGIYSKNKIQFLR QFKIIQMLFKFVLIKHRYIQREVFNHLQITKRLYKIIIMQSKLIQIVLRLFIREVLLFLK LAILNKCIYRNDLAIADFNICIQINPKSELAYISKGHTQIFIIGLILNEMNKPEQAVIEY NKALLINPNNFNITLKRAYAYILMKLYKQALNDFSTVIEMYPNESSYYTSRGLLIMYNIA NLLFNIGQKEEALKDYNKAIELNPKDATYYYNRAVFYNKVNLKEMSLQDYNMTIELDPKN YSAYNNRGLLLQSIGKKDEALQDFLNAIRLCPDHPLYLANLGDQYYSDHQFELANQYYKQ AQTQIECISLQKLNQLRLNIEHLNFIKTKVQLITQIEDEIKKMKKQIEQLPKTSSNNEQI KECLEKVSTIERSLSYSVLPCNQEQQSDAQQTIISFYQQMQKQLKELYVKLDQQDKVINL LVQQDQFKIEQQMKEIKLPQNKHQFTYFRSLFWHLYYYLHAMSEISTNLFQVNTNAMIES SSEKVINLVKKTFNIGTKVLDKVHIAAHAFHIINEALNIVVDHKREEKFKKRLMLLTNIL KLFAITPTELEREVQFTAIELSRTQQTGLKENQNSRFIEFIKKLAEEESISEEKSKDIFW KKGIEDTFIILRYLEKFNQKIIQEDQNKKLSEVFLEAIKFNIIEESSKKQKDPSQTQMKQ KKPKKKFQEACNIQ >CAK60843 pep:novel supercontig:GCA_000165425.1:CT868009:261714:262642:-1 gene:GSPATT00031072001 transcript:CAK60843 MYIYLFLIGAVVMLFIYVEIDEYKTIQLSHKQASQAQNQTYLEAIQKQTHYLTQGYIFKI NQANDLYLMQNYEEAIVYYNKAIHLRLDNPSAYFYKGNTLTKLEYFEDAIANYNQALKLN SQNDAIYLNKGYALTQLKLFEEAIECYDLALKINFQNDKAYYYKGKALHQVEHYLEAVEY YNKAININSNNQHYYFRKGFQFRNQLQDQLQITLKNIIKLQSLMILPFEYQEIKKYQQIK VIIQKISDNSLLNQDKFDRAQEFKNASIVGNTKMIQLILL >CAK60844 pep:novel supercontig:GCA_000165425.1:CT868009:262757:263251:-1 gene:GSPATT00031073001 transcript:CAK60844 MFNTSKALICRLHKSQICIGFCVSIKCPQNSIYCQLCLEEDHSDHMESCKEFGQIIKDLS ISIKEKKNHLDKIREKQIQLSQLNRHQIEAYEKQIEDFRNIQGNLSKQKLNSFSNEQIIM LKEEFQQDRQLDDCKFNKVFSKQVKLNGTPNLIFRINKEGSLNQ >CAK60845 pep:novel supercontig:GCA_000165425.1:CT868009:265442:267708:-1 gene:GSPATT00031074001 transcript:CAK60845 MGNCGNVKKSQQSQTYKSQSDLYQILEQITDLDEKIFCVIFGMLKKEMIKDPLQFLSERV NQRKVEQYAQQVAKLTQVDNEQMQYVGEINIQRLTAALKKIMNHDFNKQNYSKEAYEKIK QYLITKISYNKRIIEFLKFLVHLTALDKRYIQCGSNSLHLLVEMKVDLKTQSFENIKIRN TSLIGANFVRCDLSGSEFYGVIISGMNLNGAKLFNCKWENLRINEVMVLNGHLNGFNQVF FSPDGRSLASCGNHKFICLWDVKTGKIKFVLKGKSNVNSVCFSPNGTTLAFGDCEFIYLW NLKTGKQILKLISHQNKIQTVQFSPDGTTLVSCSGSGQLLDNYFILLWDVITGQQIGGLY GYLKKIMSVCFSPDGQTLASGSSDYSISLWDVKTEQLNARLFGHIDSVQTVCFSPDGTIL ASGSLDMSISLWDVKTGQQKIKLDGHRDQVNSICFSSDGTTLASGSGVLNGDDNSIRLWD IKTGQQKAKLDGHTDCVNSVYFSPDGNTLSSCSQDNSIRLWDIEIELQKVKLDYHTKTVH SVCFTPDGLTIASGSDDESISLWDVNTGQQKAKLQGHSDKVWSLCFSPDGTTLVSGSSDK SICLWDVKTGFQKGKLDGHSRQVMSVCFSPDGTTLASGSYDNSILLWDIKTGQQKAILHG HTKQVMSICFSPDGTTLASGSSDNSIYLWDVKTGELKAKLVGHTSSVLSHLVVMMHPSVC GILIRWSYQHCLFSLLLS >CAK60846 pep:novel supercontig:GCA_000165425.1:CT868009:267882:268514:-1 gene:GSPATT00031075001 transcript:CAK60846 MIYYRYFSINKHPLTIQVFPLLFQLKFSQLVPLRCLHKYFFYIIIYLDVEITKELIYSSN FKRTSFYNKMFQVSLQIDGLQKETQMSQPQDYHLKMFREPLNLMKIFFLQGELASILSLL VCNKVILDSINKLIAVSNPVSQLFFLYPKQQVKLILYEILLWLYEDKCCLSKSIDLFNYQ FKQPQHYCFTQKQIKLWKYLQQFCQQFILN >CAK60847 pep:novel supercontig:GCA_000165425.1:CT868009:268607:269100:-1 gene:GSPATT00031076001 transcript:CAK60847 MYYVNLQFLLDYSITIFKLECLIRLQLVKMLLDDNFEFRQLVEYAFRRNSQTLSKIFTVG LGQKTRKTKQINIYPQNLAAFSINILNSHNFLQLIELDVQEDFLNQSIMIYSSIKQIKFI SCLKSLMNMFTIFVRSQSQSIHKHRIYLIYIKLII >CAK60848 pep:novel supercontig:GCA_000165425.1:CT868009:269953:270409:1 gene:GSPATT00031077001 transcript:CAK60848 MNVSYGLIPMDVQALRNMSHTSSSALDLPQRYLSQIQNRDLDATNIRYLKAKTVQIQPFE LVPEDISNHLQKLISDMSEQLLRVVDKLYCPTEKSMIQALSQNINSDGFQKLLEYSRLII LTTQSFHGSFSFSQSIDSSTTLTNC >CAK60849 pep:novel supercontig:GCA_000165425.1:CT868009:272752:274886:1 gene:GSPATT00031078001 transcript:CAK60849 MIIKLVVLILCVIASDNWNQQHQYFNTTTLTDSEGWWVQGNKGQNISTCGNVQLFGGFNA FGTGAAVSNLIPLDPHFKIRVTFQFWKIDSWDNEIVYYILGDNVQQRSWFWSSGNSICGN TDYQSEWKEVIVEEKFEFLHQEPTLAVIIKTTLDESPNNESWGFRNFIVEVVLCSPGCLS CSNDTPDECLYYVPIEVNWFDSFNFDGWLLDNQQFVGISQCANMTVIGGVGLISGNKQLA KKYTSLIPHYKLKLQVQFWKFDFWNNNKFTLEIDGQKCQEAVFNQFEVIPLCGDSNGAEK LLDIRQELTHTSDSLQICMYSDLIAANAFWGLRGFRLFLAKCDATCLTCSGPNKNDCQSC QPGYRHENNECLDVKWILGLSQYFQPQDFQIQPGWTISNIYYNQSPFQICANTNLVGGYS LLSKDSEIALTMDLPKHIKVRVKVQFWKFDTWDNEWLQVYANGNRVYQEQFGLTGVQVIC GSTISNAYAKYLDFEFIHKNPSINLVMTTTLNENAVNESWGIRNFQLFYGIIQECSYSII EAFSLPSFIGTKNIQTSFYSFDQSLQNKLIITELGISLYPEFDETITVDSSIKKLTISII WKCFQTDLNLSITILQSSYPDYQTRTVICKTKQSNVLNSQVVLERTIKQESQLRLVATST SIKVNQIIQTQTIKQYEIAIN >CAK60850 pep:novel supercontig:GCA_000165425.1:CT868009:277088:280594:1 gene:GSPATT00031079001 transcript:CAK60850 MKYIFIVLCLIPHLQLVINIPCECAHIKEEQKCSNSQQCLWEVMVEKCIYQKNIGYNNEM TSQSYCSQFIEEECILSKRCAFHLGKCQDFTKCDNLLKDRCSLSSFWCISNGQSCIPKNE CQEYYNREACQNKNIHGKYCVWKTTNNQQQQGCWDIESCEDLPLSLVTDNECREQLHYCT TSIQGGCIKQKDNCNEYAFQEQCYLIKNNIKCFWEKESQQCFEKKCSNKLLKTYEECTQF LPNCTTNGIHCIERLSCYQYRFQSGCVRDNQGKSCVYYQGRCYSKTCDQAPDIVKSMIQC QLFENESQECVPKRNGGCMNKPNLCNQLETQDACQMNIQYNGGLCFWNDQNSICKVKECV DAPLNFDHDQCISWLHDYECIGSLRNGCIQNVENCNQIKNLKSCVKNKNKKKCVIENGEC FEEDCFNFKYPIYDSHQKCQDRMSVCTYNYLNKSCVNKECQNLSEKECNYDFNFNKCFLP SGCAHKRCESAQISQNSYEDCQNWDVRCTINIVVINNAQLIYGLLIIMSINPYKEYLVIG IYKHRKCEFQTCNNGSLAHSIILNQMLQIGLRYSQLENVSYKQNGGCIEQFSQCEQLTEE LQCNIGSLNSLCYWNAQLNYCEKRTCMNASITISTNLQCRQWLSSCKLNQSGFGCEIDSG VYELCTDAPETNQFNSHEECQAWNPKCTLKFGSTCANKLECQNYQTEKECKIDINNQPCK WNGNSCISIKCSDYSGTPSKYKDCFLFSNICTISYQKVECRTKQSNCNSYLVGEDCNVNL VGDKCSIINSTCVEVKNQQIFLSHTCNYEDLQKGFLYQYYEKKCIESPQSCNSLTENECK SLTTINNELCQWDGTQCLMTTSNPQVDCRKQISLTQVKCKNFSNNCELDFIQFARCTYST CLSVQEHQCNFITLNRNEQCTWNSQTCQARTCSNMNEEITSTYQCILWLDSCTYDENKKA CVNRRSCSQESGELLCAKASYITQNQQKIYCYWNVDICADITDCTQISNSTSHRMCQDKL STCTSSTSPLIAQCIEAPLFCNQIQEKSQCFRNSKYELCQWINGQCWQKRCSLGGRQKPE TYISCQRKPRSLFCVFNQQTGKCEEFTKCQFIPNLRQNERNCVKYSSLCRINEQYNTCVR NTKCTQATT >CAK85773 pep:novel supercontig:GCA_000165425.1:CT868549:1210:2250:-1 gene:GSPATT00039731001 transcript:CAK85773 AILQFQQYLKYINLQFPENLEIYFSIYDLITIQPLFDFIDFQSIFQFIELQQNQESYSEG KFNVYKQNPSLIINLSCQILQCLISLFLIMLYHLIKKIIYNWIFCSRNFYYASTLSIYIK PQLIIKCEKSFYNICLDILKLKKLMSFQGLQQALILNGWDMTFKTLLYMRNISTINCLDI IQLIMASIILILYFTILLDGFKSKQKVQKMKRFQILNFGTQFFFLFFLINIQRSQILQLG LLLLTCIFQISFLFIYRHIQHKNKYIVKMVVEISVLTFMLNSFLYVKECDEYFNEQKKII LGWIHISILSSGLISEVIFILKDSYVKWKQLYKRKKPECARNPLFI >CAK84527 pep:novel supercontig:GCA_000165425.1:CT868509:56:1206:-1 gene:GSPATT00039684001 transcript:CAK84527 MCKILTIQPLITAQVVYLPQQNASNWIIFQAKSRITILPTKAVVQCLVVANCNIRAQFIL FKSKCRMVKAVVHICCEKIGLHSLRIRVIAEFDIQQHKQYDFWNYQKNFTTASFDTKYGW SRIFKIKARGGIMHSRHLYVARVDLKKSVFVQSSQSVLGFSCARRTRRYEVRASFCEVIQ KSKNLNQNLDAVYTVKLSELIAQAGLSNSQSINFNKYHIILQDSSSNKDVEILQNNLQFK MQLSQQLIHLAAYLNRTRSHNCCWALLESQRNYECVLQVILKIVQTSFYVSINETFKFAS IINTQGNVVERGWVLGLGLGFGFGVGFGVGFGFWGWVLGLGLGLGLGLGLGLGLEKGLGL GLGLGLGL >CAK79897 pep:novel supercontig:GCA_000165425.1:CT868342:278:2121:-1 gene:GSPATT00039347001 transcript:CAK79897 MLLQLSTFMCTLLSRQMFAMFTRLFIERIKKLFHMNYLQNQYDYQNLASVITQTQLFFQQ NSFLSTISLQSFGLQSIQNMEQIKQIYFTYFQNSLQIDQQIDYFDEKGHCMIHYLKQKEN TIIYANCFESEIECQQMCVSCTILQCQLILKDKLEQACIQICGDGILAKNEECDTQVQNN LGTCANCKQNCPTNCQFCKLGICINCQIGYQLDLINNSCNSICGDQLITLSETCDDGNNI IYDGCHNCQFQCQEICSNCHYGNAFHVYKSTFMINKQENAVIRNNVMKKKDYIMMNMKIY ALQSAEITLKLVMNSVMMEMNRLMMDVINANRIQGQCIQCVEGYNIQNQICVRTCGDGRV LESEMCDNGNDNSRDGCTQCIIDIGFQCIVVEQKSFCFLCHVNCANCINIKGDIKCSSYQ KGYFLHDNECHQCSEQCEDCERSPNKCTQCKIDKCQRCHNQLGLYADYNIRKCVTQCGDH IKAGYEQCDDGNKLDQDACNSFCQIEKGSECTSNFCKKIPEKQVEVTFSNSSTTNNLELK SEIDLLTLCTLIQIKIVSFKCMSLIILQLHFQQIKHPLMHAKLTFSSIKLLQKST >CAK79898 pep:novel supercontig:GCA_000165425.1:CT868342:2127:3787:-1 gene:GSPATT00039348001 transcript:CAK79898 MSLFLEIQMHVGVSNMWKFCLDIVPQSVQHVLRNQNVLNVIRVITYQNKIAFIFVFGPQF KEDGKFILYLDDSIYEVTKSSAQKKIFKNYQHSQSTLKIDWECQGLSNEPIEAYCGLYQF YTTIHYCRPECQACINEIDCQDSTTNVLKTCSQLNEYYDWQQNECLCCPSTCETCTSLQN CLTCKNGFVNPIMGCICPPNYFYNKNQQECIQCSQKCDKCINEMVCTQCDLLKFRMLINN QCICRDGYYEQQDTCLECVQFCRRCSSQSDCQECIQGFMLNNLDTSCQMPINQYYVTSIK QFFACPTDSFNTQCICGDGIITQAEECDDANIEKNDGCYECKLEGQPQCTKCIRGICYEC MTPGWYLNLSTDLYVCQEQCSSICKNCQFYCRPDCLECDYDSGYCLMCREGLKSVSNYCT NICGDGVIANAPDVEIYEQCDDANLNDDNDGCSSNCRFKCQSLQICDNCLNNRCLHCVDI YKLNQKLHRCECRESCLICDFSGGNGCLQCRIGYELRDKQCFTVCGDQIVTPDEECDDGN LTFGDG >CAK70844 pep:novel supercontig:GCA_000165425.1:CT868091:9031:13206:1 gene:GSPATT00038626001 transcript:CAK70844 MIRKEIQFLLLILQLVDGFRRFRVDFDTTGFAVRCPGRHYHAPGMHPHPCVFYSSFCKSV TQPKVIIYFGSEKLRHPRLQVYPTMSDVYLSQVKLCQENSVIYNYSEDIDLTNSCEFVSN QCQIAQMSGNKLKCQYCSQILEGKHCWPKGGFIQVCGTYCESCVSNYFGTCKDGYSLSSS TDLKCRLGKYILDIHACQPKHSSCSYEYGIYSFYGCRKGYEMVGNKLCPLNCTQCVTVVC TVCEFQYSLKDGLCFRDINCIRFDYNYDPNTGLAIGITCQQCDFRYFYNPNQQKCTLCNE QPDLNCCLICFKATECKICQGTYVITADKKCTPFLGCSPNCQTCLYTDPDYCTTCYLSEN FKVHKLYLENVFAIIQMVISIRMVNAQNVQMGHAKLVIKIIIMHILMVIDTQYVCKQGYY ETGLENQICKKCYEYYYNCKDLRLMIVQNAEIRVSIINTLKMDNIFAKKENSQFFFHLHI CQKCTKRVDDSTNQYCTMCIQGQHREVSYLSKCVCQAGYGSDGIIDICVKCHYICTTCNG PLETDCTSCSTLAHRLLTNSHKCSCKIEFVNFLFIILVLIVLLMAKINELHVLQQGILFN LDLHSNHYSDPLFLECQPCHLTRKTCKGILETDCLTCDTTYRELVISKCDCYPGFYSTGS IQCSQCHYTCRSFFSSDEDGCITCSSEKNRVMKANKCVCMNNTMLQSNTDSMFQKCSYRC SSCAIKPQNCTTCPEYSERDLGTDYSCQCTTYFYDQPNNPICISIFQMYRMHNWIHLLCY HSFETNLDTAKFAILFSCMLTLSNNCKIEMRNYKKVYNLSAQTNAGNVLIFEYSAAAAIF LCPTLQSKSHAVPPAGSCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKREI GITLKKIATQKAQRQRD >CAK70845 pep:novel supercontig:GCA_000165425.1:CT868091:14503:21652:1 gene:GSPATT00038627001 transcript:CAK70845 MQFLLIIIVLAYIERSYCEWSSQITLLTSNTIFTEQTGPYANLKSGTFLQLSTQTTAHFI TCTTPQTSYITLNNNYPSVERNYTHYISKGYFIAFDLYFQGTWQNQSVKFQFGSFQYQYY YESPSVYPLTTAFCDNLSAAIKTVNFSMTTTLYDNIQFISYNNGDGQVSIKNFYVSAFIC FPSCHLCSGPGFQECTSCFYQTPSNGLCPTCPENQYYVKFTGCRLICPTTSPFYKKGFCQ SYPIHTFVSTYFTQNIFSSENMKWSLILDSENLDDVFLPNIYINYQYIYGVFKHNSGVYR FINELSTNYATHLIGFQITIVTFNEIPLNCGIQFKLNNTYYGSIYRNNTGIQTHKLQIYE TINQGSYQTYTVKKYLLITYFDIPKNKFLFSAVGNYTDNSSGWGIISVTITAGYCPQYCK LCEVSFKCKIQMVLVQMSVNNHIKNQMVLFVLIMINKPHTLNSQFKNIQIKKMILSNTPN MLQSIKMEPTFQKDQIFIILIGNQNESLEALQFGPKQNSKEFIRQSIHIIASLLPFISFM DQHSLQMANSYIPLKMNRQFLNQSLIIFHSIPMDQSMISTLTIYWECFGPNNEPINAYCG FYNYYIAVHKCKPYCQECSDQNTCTQWNNTYDSNVVKFSQAECMINQYYDQDSVRCIQCP LSCLTCTSKLDCQSCESTYTLSKLGCICQQNQYEESNQCFDCPMECNQCLSSTYCIECLF TNNRQLQDGQCNCIDGYYPIISNPQCLICDKFCKTLYYLQEYYQYRKCRINMQMPNRIIL LXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQQNVDL GGKFKEYNIDTDPSQIYSPDNTIPWIDITASFFQKNIKSITQITHLQKSYLPSLNQKIKD INRNYQFITLLRKEIKYLHNCDQIRELIYINSWDLIFKVILQLHHNRINNIRSILTTIFA TLIYLYYIYYLLQSLKQCSDIYNKNIKAKLQIKFITLDMCRTMLFHIILIFFQDQQLLQC ILLSISSLCQCYLLYKYKQCSKWDRITSILIELTLTVFNLSLLFYIQIEQVQVSYENRVT LGFIHMNLLILSLAIVLAKQLLPKMITIFKYICKKEKATVATEVIFI >CAK86788 pep:novel supercontig:GCA_000165425.1:CT868587:636:824:-1 gene:GSPATT00039776001 transcript:CAK86788 MDNLDIKTLLVGRFFQQRSDQSPISLRQIANLISKVIQIFKEQQSPTLIHLEFSDKSTNN NI >CAK75745 pep:novel supercontig:GCA_000165425.1:CT868215:5522:6625:-1 gene:GSPATT00039083001 transcript:CAK75745 MILCPLKLIISQIHSNNIKNIKNVNKYYKNYHLNQINNHNQFNVHPKLIHLIMKQLKIIL LIKMNGVQQLLLIKIVHVQYLVVIIKQNNLEINSSLKSTSKQCIHFSFHEKIELVYIRRL RWIYLIWSSNNNNQWNCSQIIQAHNKSIQCLILNNNEDLFISSSSDNTIKFWVKQMIGSV NKLSQIIRIGFINQVQMIKKIKLFLVEEISQYQYLNTLEKIKSGLLFKIFKLNVKDIAYA LCNLMHVYEMNDISKQFTKIKDIVVNQCNSNSQSGLFAQQFMKQKQLLVSKHGNSINLIR KTDDNQFKVEQSIQFDSRCIYGQMSDDAEYLITWDHKSKQIQIRKYTEK >CAK83119 pep:novel supercontig:GCA_000165425.1:CT868460:258:922:1 gene:GSPATT00039532001 transcript:CAK83119 MDSIRERLLQLDQQAQQFQQQNKYLEALNVFEEMLMIKKSAYGEDSEEYFKTSDKLCELC NLIAMIFLQKEKFDASLEFLKKADLLAQSSTRYKAITYNNLACFYRRNGKLRSALQYLQQ ALEIEIRQEAAPSLADTHLNMCAVLSQLNRVRNVIQQHADALEHATIDQRHLSSYKYQKG FMQNIKEGQKYLKGGSQKYYLGGDKMFEV >CAK83120 pep:novel supercontig:GCA_000165425.1:CT868460:1264:2628:-1 gene:GSPATT00039533001 transcript:CAK83120 IRLWDVKTGQYKAKLDGHSREVYSVNFSPDDNSIRLWDVKTGQQKAKLDGHSREVYSVNF SPDGTTLASGSADKSIRLWDVKTGQQKAKLDGHSREVYSVNFSPDGTTLASGSADKSIRL WDVKTGQQKAKLDGHYDRVFSVNFSPDGTTLASGSYDNSIRLWDVKTGQQKAILDGHSSY VYSVNFSPDGTTLASGSGDNSIRLWDVKTGQQKAILDGHSREVYSVNFSPDGTTLASGSA DKSIRLWDVKTGQQKAKLDGHSDYVMSVNFSPDGTTLASGSEDNSIRLWDVKTGQQKAIL DGHSNGILSVNLSPDGTTLASSSIDNSIRLWDLKTSKEILQSDRSYKDLLAQYQLPLQNS SLLPNVNPDSTILRICQNPLFESSGTLILQGQFINHQGKDLKPLFKSKGSCFLEDLKQK >CAK75415 pep:novel supercontig:GCA_000165425.1:CT868208:1:3029:1 gene:GSPATT00011047001 transcript:CAK75415 TCKYSQNREKCKIEDENFDFKSICLKLNSINYSQLCEQIADQSCKYNKTTNKCEIATGNN YDCIRGLNKMACYNLTDKSQQCKYLNYCYGPNDKILECNPNNYYDCCREALTKESCLFQK RFECQWQNGCQAYQQKIQNECNQIKDASVTVCTSIKDSFCIFDAENFNCKQITPESCDEI QTSDQCNKITEYPCIWEELNEICIYKDKDILDQCTNISDNNGNLKACTMIERIGQKCIFI DNQYGINNCINNINITSCLQQNVSPCEWAIKEVKVKKTKDITKLETIKIGECQQFTDFDN RDCNLLLSQKSCISVRKLGQFCRWKNNQCQNFSLSDYQINKYRQPELAQIVNPNVCGLYQ DQRLISYSQELASCIEVKDSSKLSCQQSKYGLNSVSCFKIQSESCKWNPIHKTCEQVTIN NTNLTISCDLPGLNSKACTLIEINDSCGFIGNGCGKIDLNVNCKHQGLNKFACLTILNYP CAWIKNEEDENYHCDDYQPYDTCNNINLQVNSMVCSSVQIDACYYNKQTQSCESPKETLD VCDIEGLNYIACSEIKGCYFENHKCQTLNEETYICLQYPKAHQDICKNAYDLCKYSPLNY GCVPAQSNEICKREGLSQSGCVKSQNQCFWNKNNCECLSIQNVFPFCDQIVDFQKCNKFK HCQYDYMKTNNNEVNQQIENQNLGTCRPKLCSDLNNQECQDFNTFNISCYLSNENQCKQA NSCKEVRNSYEQCSSLTINGMPCIQGSDQKSCKKLVCSELDRVMCLKFSNYCNYDEYCQT KQCQELDIEQVCRYYNCEWNIKTKKCKDKLKCESYETEQDCNQSTFNNIQCSWLTYNYKS FCVQNGCEQFSKSRGQSCTNYRVQNNFCVNLQDDTCLQCEEIKDSCLCIDQEYCSFNYTL SQCQSIKCNYLIEEECQQFSFCQFNSEKKNCHFNCAYYDNQPDCYNDSTNCYWNDEKKNC TQSQVQIIYPKIPDLIIVEWELLISVCNLVWVLF >CAK75416 pep:novel supercontig:GCA_000165425.1:CT868208:3180:6760:-1 gene:GSPATT00011048001 transcript:CAK75416 MARLDSVTSLVKLQTKWIGECIEALNTEASTLKCNDKLNEVACLSIQTPFQYCKFIENEC QFQDPQNFKSFLCSNIQKVNSGIFCQVNEIYACQFNSQTHSCEEVISINQIQCVEANPEQ LGYSKKACYLKSSDCTFSNGCYQKKATENIKYCSEFPGNECNKPLREGCKIDQNKCVAIN PSEYSQLECNQVSNKIGCLNITTKRQFCQFNDQNNICELINLEIRSGICKSTSQINSQVF CEKTFDVPCKYNSLEHKCENAQGDIEFQCIRGLNQIACLTHTQQSLQCKFYNYCYGPNYN ILNCDPIKIKDCCFQANTIESCLFQDKFLCQWQNEECKQYSVSNQECLTLNNVSRKVCYS INYAICIFNFDKLGCVEIQPNHCEQVQTKEQCIQFNNLPCYWDFIKAQCLTKVKNPNDGC QDIQDQWGSERTCLDVVKQGQMCIFQNQCTDYTQQQVVGCSQKVNKLSCLQQVLYECIWD QNQKQLCLDFNQQSSHQCDSNLSYLACLNINTPVTFCQWKDNQCKNVETNQILSFSSLSQ CNCNTCGLINDGSSVICDTKYFKCLNASNLKNLTCNFKGMNKQACLNIKDQQCKWDDTKK KCLKLDIQSGSCSLKNVNPASCSSLQVSLPCGSKENDCDQVDVTKISCDYPGLNEHACLQ ITNYPCGWVQDDYLQQYQCKLIQDFDACLGYNHQVNAFVCTQILDAPCFYNSIIRNCILF KAQQEINCNLPGINGIGCSKIENCVYLQGRCMRYEKTMNLNCEDALFSNHKVCTQIQRNR CKYNNLGYGCISSELQDICSTKGINQVGCDDLLDCQWNQSQCLCKAILTQYPDCSFITNS RKCQNLNYCYVAPSNPQYNVDISQEIIDQNLVKCLRRTCDYYSIDQCDGQKLDTDICYLT QDNKCNLAKTCDDIVNPQNDCLKYTLKNKQCFRDQNNKNCVTSPDCERLDFQTCQQFPQD CIFEEICKTLECYRFTIKQKCVDKKCEWLEQNKSCMNSQFCASQNKENCMLVQQNGKQCA LIEEEGEDYFCSVIGCRYLLIKYSLCNGAQIGDDVCVPLSDSTCVSCQEIMDPCICLQYE NYCEYDKFDNLCKSKQCGSFNHETCPADRCQFNEKGQICIPLCENNYNRQQCNHFESYCT WDKNSKLCTQLEKFYIYKEPSSIIVVSDIHSIIFRIIILIQILQY >CAK75417 pep:novel supercontig:GCA_000165425.1:CT868208:6831:13735:-1 gene:GSPATT00011049001 transcript:CAK75417 MNNLNKNACIAQQIDKQNNEVRCLFQSQCVRATNLHLKSLGCDPDFSKFSCLNVVNQDCI WQGECKQYPIENSDQNNEDNTCESYYNQSVTSQMCAKIKNLRCYHGGMEQDYQCLTLLEN ELSEIQCSHQGLNKNGCISITTKDQTCIYNSQQKCKFTDVSLLDSCDNILNKHACLSSKI NNLQCEWTVEGCRIFQNDNQECNQIVNVNYLVCENYDGICSYNIETQSCEEVTQSQLNNL SCSEIGLSKKACLLIKNTNCTFYRGFCENLSEEDLNTFGCDMLLNEEACINIKTQFQYCQ WDGINCQRIFLNQVVNCEIKDNHKFKYNGLYCQNISNNNAACKYDDISKQCVPSSPEDDC NSRYLNFLGCLNITKYKQTCQWTINGCIYIAILPYQTTCESLGNANPNSCSQVIENEVSG CYYNKSYQRCQKVDQLDNQTALLVSLDCENKLLGLNRILCASIIKPQTACRWYQNECVKI FNPKELSDVSCQEMIYSNSKSCAMIQFKSEPCRYKLSAKGCINSVKQQMRCDEPGLNANA CSQLEDQCYFNQSTQECQLFNSHTSTDDTPQNTSSNNDVIVVSSLDNLECIKSSPTEKIC KLISKPGQLCGWKETLDKCGEVSVSLNQKCKNFKNVNSNVCAEVALDNPDYIPPNEKQKV GYCIYNSNTFSCEVLGGNEVCETNCCTEGKFNGINQHSCSFLTKNSSSYCYFHNGRCNEL TQNQVDITNEEAVKQFFNANEVDGFKCSQMGINSCHMIEWSTSQRCYFNGFSCVNIKFEN YKNYKIFTEAPSILNRFACLAIEASLTFFNIEKYFEYDPQNHRCISRNYQTSPPYYARCE DVQGNSNICLRFTENNYCKWDKKLLKCVTISLDEFADITTCDQNQNIRACRENIYSSCFF SFDLDRCITASTYVPCNYFDSRGYVSQKACSQIDLPNQQCEWQNYKCILTNKSSNKCDVT GTNKYTCYKNTQGNCRWSIENSTCYEIGSLKQIVELGCNDNLNYVLCKQVTKEPCMWDDS LYECVTFNQTPYSDFKELNKNNLFNPLSCLEITGAGYRYDETTNRCMFIEDSNNQNSCQQ MINQYACLYLTRGYNCVYDTTKMPPCQFFTDDQSVCTTSNLINIEVCMDISKQCYFSKSK LQCLVADISQSQTCSSLGAQLNNGNHYNKLSCSSIDESITQTYSEDQCFGSEDKKQKCNY ENNCEWHNQIYGCQVKILNVLFFDKENTEKSSVYEYRYQRDNCTNYCNQFVKTPEQYQSP QNITFPGTSAPLQCGLNKTCDYQDKLCQTDSDCPTIYKDPIKINDDGTLYLGIKQNQLCS KRCQKNGDMICNQQSDQNPQEIISDALIGHQSCQGRCNTSSSEIKNCQQDYECGLNEHTF NIQYNGTTQQKTESYQNICQFHKCTHGQQNCTNSTDCPDYVEISWNTQKANCFQKTIYKV VPICKGFGYIELRCKNTFSKSLCLNGVLEECFFDLNQGGCQQLKGNENKIPNCSSISSRC KPSENALQCDPNKPICKSSTECQPKSFLNSCLSSSNQKVICKAGQMKIFPNEIQCISMER QIQICDPVTLTEDIYCKDITQDASPAYCALAIDFCRFELDSCVETAEKNEQGECICDSSF SKSLCEECKCVFDFVGYCQQYPLVPQLRPDASNKYYLCHEVNQLNILSKSVVCTLVEQAC KVNNNKCEDATHYTCEQLKGYYTTKKACTLCKGASTQYEINNHICYYLLTTSVSKCDLLN KQACLQMTKGIKCKWEDYECKQIDRITGSDSRDCSIYNEDACIQFQQDCWFDQNIGYCTQ FDPTQGSCNLLLNEDLCLFSLKESCLWDSINLKCMKNDNQITQCSDLNKFGCLNQSIMSC VWSDLYKCQLTYANNNAQSCESPLQSNENSYITHFSQKLCSQLKIQSSCFLDNYYQCRQT IITDIIKCNTQGLNKFGCINRSIGQCQFKDEQHQCIENTNDQIGCLDSLNKQACISQKQT CKFENNICSLHQVNTINDIINSTLLFVYSKSVCYAIDQQITDCLIYSEIQNRCINVSNRQ PFIDNCYKFTMNKYACLQKTLQTCEYNQNENSCILTSQQTLKTINNCFPDKDLNWVSCIS LPSYCKFNGIKCQSIDLKVDTCESLSKSKFIVKASVCATTNDQNCKLNITSNTCEIVQKT DNFQCSSPGLNRRSCLFQTKGYLCRFQDDQCIFDFGQAQCEDMINEDKCYSIKTKGQHCA FDSSKGCYSIDNFLRRITQMYSFIQNQSNYLFKKYRHTMLLQHCDKTMYKVYKSLRQN >CAK75418 pep:novel supercontig:GCA_000165425.1:CT868208:14820:16455:1 gene:GSPATT00011050001 transcript:CAK75418 MSKLNYVFKPLFIDTTEYSYMDGKTEKSFTIKNKLETKNGKGKMQQSEPLAQFKNDTMKQ SNNRAQTSRWLRQSSFAKNSTINSNTPLNNCIIKTESRMEDEIIISNSRNTSEKRNINIP PLNYVDFNISVMNGEGSYSFYKALKLINKDQLLMRKKKKSAQNSKTVSTQENEITQFEQL ENQHPKDMMEKISKMLAINERYTPVRKQSRTRETFTRNLKFLDQSFQESTSPDKKLQSVI QNYFDKKKAHEEEQNKQQEITLTQLSEQTRPNKNNNRMRISTTFLKQLVHQVDEEQKQKQ TKFQNNNFQSLKSSQFKDKIKKKQNAIFSIQVRLIFKKMFNQILNCVRKMKLMKLTLKEI FQNGVIQKKAYEREGSFQFFEGIEDDNLQLINFMLLKCRYYAFDINEEGKTPLHISSKKG FSQITERLLQSGAYIDSVDKDGKTPLYYAIQSQQKQTVLLLLYYKANPWSINNCKYQCSN TDIMHLIKISRQIHLILLLTKYSDRDRQWQESRKKLLC >CAK75419 pep:novel supercontig:GCA_000165425.1:CT868208:16848:17354:-1 gene:GSPATT00011051001 transcript:CAK75419 MHRHNQILTTIADILDEIIKQTDALEIEQDQISYFHATKAPSISIYNYLQRISKYTNCSE GCIVIALIYLDRLQEKHPYFVLNSKCIHRYPFQFIRFLLISIVIAIKFQDDEYYKNEYYA KVGGVSTKEILVLELEFLELMDHQLFISDHDYLMYEKKLLQYGEIEMP >CAK75420 pep:novel supercontig:GCA_000165425.1:CT868208:18787:19437:1 gene:GSPATT00011052001 transcript:CAK75420 MIIKVGHLNGEVRLYDLADFCTAKELMMMIQSETQCEISLVSNGENVAASALLGGESIYY VNVDAEGGKKKKKKKKNFAKPKKKKHRHRKVKLATLKLYTVDGKGLVQRSHKQCPQCPQG VYMAKHFDRHYCGTCHQTFRMDEATIKANLEAIKKQQAAKAAAAAAAAPAGGAAAGGAAG GKKGKKK >CAK75421 pep:novel supercontig:GCA_000165425.1:CT868208:19438:21119:-1 gene:GSPATT00011053001 transcript:CAK75421 MSHNAILKWIGYLVSVPEGTTLKDLSDGRILTNLLNKLNPEVYPQKVQSSPQKNFQMDML CVTNLVQNLKQSIPNLPEINSLLIAKHQDTAEIIKLISIIIKIMIESQLAQEAILKLEEE QVQNILQFINEYQEEEVGNHQPSDRKLLDKIDELEDENQQLKQLLEKQDQQQAQHFNKIK QQEQLLVQKENEISELKLCNIYAIYLELQKKHSYRRGVLSKELQQKNQNYNQQQSIKTKK KLSEQNENVFIVSKQFRLQHKLQNYSNLENINLQLQQELAQRCKQDKVIEELKTNIEILK QQMDQKDTKISKLQDQMKQTNQNQQKECSKLVELEMQNEQLKQEIEDTQRSKNKEIHQLH QKLNELKIQVDTIQTERQETQRSSVHYQQSPRCLDSEFKTLLNDNIGGYYSEQTIQEFQL QIQQKEQQLQQKEILYDQKIKTLEAKINKEKDTNIQHIQITSQLQEDNKKLKFELEKLID TNYQVSRIHAEKQQNMLLISVIECLNEKVNQLHTQSKEHLKSDKKIQPQILSRNSISLWS AKQ >CAK75422 pep:novel supercontig:GCA_000165425.1:CT868208:21658:23592:1 gene:GSPATT00011054001 transcript:CAK75422 MLSQSFVQEVLPTLFRVQSERPNPDQKQQVQKERQGKDLYSLIVDQQMIKAIEIIETFKE EDHGSIYKKHIVNYVIQIKTDYFDYTIPKRYSEFEKLHEQLHSQLKTMPKFPQKTLIQTN SRKIIAQRQALLQQFLQHLLQNLDHTMYIFLEFLNIKEQFQKGGFDQNVKLYHFSKEENA LRDLVDTSPKKIQPKNEPEQMIIHYLIKLNEKIDERGKIFQKMEKYCFGKIQQVSSTMLK VLFTGDDERELKGIIQALSIQNNDIQSHISCIMGFQFFRKVLEYDYNPNAEQALKQFGEI SIKQFKKIMIQSHICGQQKLCKQDALILLHKYINQNQLKDQMIKYLIDDNECLQEYEQFL KTHVNKNIDEVKVTIESTENLQEPLQTKSNSSENSILEQEATIEQLYEISQMNLNWKLVQ EFDNHLMYHLSGQYVKTVHPLICSIERAITIFTDLKIQWFHGMIQKDVLEEYDQFRSLIV EYYVWEDKSTFKKMAFVSEQEIQKIDDFLYQITIIPSTKPLPANYKIKCDQKGQKMSLMF LKKITDNSAEAIVYQNIMDKTFRTALTPVILKEIPWFNHTITKLKALL >CAK75423 pep:novel supercontig:GCA_000165425.1:CT868208:23774:24001:-1 gene:GSPATT00011055001 transcript:CAK75423 MTAAQPKKVLVKKPQTNIDKQELTSEQSANHEQVLDDRLKLKQQSYEEAKARILSNQTIL RNQKKYNQEFPQLSK >CAK75424 pep:novel supercontig:GCA_000165425.1:CT868208:24573:26432:-1 gene:GSPATT00011056001 transcript:CAK75424 MQANQVVEPKMDQQQFKDILRNLIQEFSQFASDRLEQEQNNQKAWIESQFKITQSMNIVF ECCVSRQKVKVPVSFTQCINNKKHFDDYFDLEQLIVYINIVNNKQAKVICPSCKDQIKIP KLANTIDILKEILRPHIFIYNLRKQVGIYPKDIIYYHKQKLIIPFYKGKYQTYFNQHYHE ENFGIEPILLQQPREENQFIAISKKLNDYTGCDFVNVCLISQVRVNIPVRGTKCVHYESY DLIALHKHLFESESKTMNCIMYGCQSVFDLKSPESIQIDFQLLQAGREGLSFSINFTYIP NIQKLSEMLYHRQRDDIILSYKVGKSLEANLEYLNKIYEIAESIFVNNENNKKQTESFLK LQNTKIPNLDDLLVICPITNYGIELPARCRNCIGLKVTDLRYLACILNQVKNEKDVEGVK KVQKECPLCNSPFSATVKPPLKFIDQIYIDVKMMKFFLQNPFYQRTVQAYQQFLKQQQNG DGQGYVHIKKINIQESKCWGVAINMYKLNLKCILTSNQIKVPVRCTKCINHYDCFDKESL EEYQMKVGNLEQICCPKCGQKFESISNFYIDSDLHYIFQKHPDGIQSKNSAYIDITSKKL TLV >CAK75425 pep:novel supercontig:GCA_000165425.1:CT868208:27017:28094:-1 gene:GSPATT00011057001 transcript:CAK75425 MSFHKLMLDRPHYTAKKILDPLFGQAKKEVIVQSPITHRTINFQRHQNVVEVERRTQHIR NDSNQSQSINLKKLRVQEAYNTLSDFNDKKQIIASLKTLNSADERIQLIDKILERKDEMM IAQLKLKAAKLEPIFNVIRRKLKQQRQVSATPKQSKKGTIIVRQKTSDELPKQSQDCSRE IKEEKQIKTLETETLAENKIMEKIQSKFTAGVAFSWLANRHENKQIQTENEVSERQFLRR STALQFGKNTLSRLKQQVSKKVSENSDQLIRQGFLKLEQKREKNNYKNIQAKVNSGIVKP QINPIQKVNTIKRQDTLGFVTSERKEISTFGLALAGNQQTLF >CAK75426 pep:novel supercontig:GCA_000165425.1:CT868208:29182:30473:1 gene:GSPATT00011058001 transcript:CAK75426 MGLFKSRPPFQRRVANSSLLSQSDDILLDDLLLLISCFENNHSEFITKAPSYYIEQYFQL TFYREFANSYIIRNKVLLTCHLMLHEFQLGIDFADHFLNQKFLILMNRQSPSLVKTNHQK QEWLSANIQLSYFQYLQKLAVNIQVFHACKVQQYPLFQEGQKFIDIQTKLLWLFKISNLK QVNSGLGQMETFKSALQMVPEDMLLKEIVLVYWSDIIVFYNFLSKEILQLLDYYRHLATH ISLQFYELYLQLFQIRNSISDLYKHRKHFDRDNVIKQPKWFDVNKPVHQQIEEFMLKQKL NMGNHTDRALQRPQMSSRGSKSQIAFDAKMDSTKFSRKENTVKTHRAGRQGESDGDDEDF EELKLILQNSNMQSKLAKKKQQQKQMDSLVSFQKQSREEGTDLPKGD >CAK75427 pep:novel supercontig:GCA_000165425.1:CT868208:30526:31099:1 gene:GSPATT00011059001 transcript:CAK75427 MQSGYINAEMSDFIIQHTTFINIQPNFDLDKLQFISGYFGPFKINQIVEVPLWVAIELKK KNKCRVIPPEWLSIERLQQKLDEETINESELARMELYYFEISSILFSYCRDDIKDDDKIK LLLEDIKTRRESKIQKKIEEFIARGSEALKINNLNQHERNKIYSNFYGDLKKLRQLKLLA EN >CAK75428 pep:novel supercontig:GCA_000165425.1:CT868208:31133:32385:1 gene:GSPATT00011060001 transcript:CAK75428 MIHSLKPKKRCQSICYEHQFILIPKSSECWIKSQITLDYCPVYNEFTGQFENIVPILNCD RQPGLPTFILEYDRTQYQFNLERYQQEHQLKIEEQRVKKTKMLVPKPGKKHRYCGVCKKN YEEYLDHIQSQEHINNFNNYRSVNLIRTLIQGFQNVINHEFSDQKQSSILFCDSNTKIPM NKENFVAYFDQERIGVRFQKQQIKDIPMLEYKIPSSKRGRPAKTKQKKETIKKAKVQEDI PIIPHYQPNTYLDYYYLQQQYMQAQQQIQNQQQQYLCYPQPQQQYFRDQMQYFNQNAQLD LQFYQSLMQQQQHFLQLKEIEERREYSDRSSLWQKLIQLTNYQQPTQNEIVQLLHTIIEQ YECNPRNNQNQNLNHNNNDQQFQ >CAK75429 pep:novel supercontig:GCA_000165425.1:CT868208:32656:33495:1 gene:GSPATT00011061001 transcript:CAK75429 MQKTQLVTFLQNGSNYYPFGDKPNNSYSPEGKFKPFICERNQVGKLVNNESYNFSSVRKN TKQRTSEQINQIEADTIMKQKFRTELESPKYDPNTKYVTLILAGLNAEDFQKPIDIKSYT LNHNQVERGQLMKKQQKGLNHKHQLISRSTKTLTSQIPAIGIYDPLPLIKHLPNIQIKSK SIQKLPEVWERNNSVSTPKQGLKHCKSEMMFAKQIAQINREVEQQMKRNPNQKFAVQQFD INENMNLEEAEQMRNRMKQYFQSVKDSLTKIKNLINVKR >CAK75430 pep:novel supercontig:GCA_000165425.1:CT868208:34612:36176:1 gene:GSPATT00011062001 transcript:CAK75430 MQSEREEFVDELTQLKIERSKRRQRKEWELKMMGKCISWLIYAYAAGIITTGLNYLMLDK SYKYEGIVEDSCSDFKTLKSVNDQIFPLLDELTYKKYFKIFRVNLENDCPFSIGEYICTS KKCVICTCNTSEIPSNWLSPASSPIKQPKDNFAFWDSERYLSPSEWIWHVEDIENDKGVY VDLKLNPEAFTGYQGQHIWDVIYRENCYQGSLNEMCKEKRALNKLVQGLHTSISTQLSEF YVDLSTNKTYPNYPMYFERVGNHPERIRNLFFLYSVLLRAVQLATPGIQKHEINSMSFDE DTRSQYLLNNILNLGNSQCSKAFDEQQFFNQITFEQKKDYQRYIHNISRIMDCVECQKCR VFGKMQTYGLGTALKILFSESPSEFSGKLKRNELVALINTFGKVSSSVKSIDLMFERRTR FNYNLIVTIGIVGGVFIIFMVAIRKIYQEMDKKIQNMFKGMPSDNHQQPSKNNKSKRD >CAK75431 pep:novel supercontig:GCA_000165425.1:CT868208:36177:36712:-1 gene:GSPATT00011063001 transcript:CAK75431 MVQVKRQDRRKVYEYLLLEGVIVIKKDMALPLHAETGVKNLEVWMLLRSLRDKKLVDLVF SWQYYYYYLKAEGVKYVRDKLGIVEDVIPATFKKADKKFEDDVPEQRRGPRGNKPFGRGG NRGPRRAEGTETAQQQE >CAK75432 pep:novel supercontig:GCA_000165425.1:CT868208:36753:38305:1 gene:GSPATT00011064001 transcript:CAK75432 MLSRKSFLFNPCLYRFCSFREEYDTFGPIKVKSDRLWGAQTQRSIQNFDICRDTDKMPLP VIKAFGILKKSAAIVNKRYALKPEISDAIAKAADEVIAGKLNDHFPLVVWQTGSGTQSNM NANEVIANRAIQILGGQIGGKLVHPNDHVNMSQSSNDTFPTAMHIATVIQTNQVLIPGLQ TLLTSLERKAKEFEKIIKIGRTHTQDATPLTLGQEFSGYAQQVRNSIERVKTALHQVQYL AQGGTAVGTGINTYVGFAEAIADQVSKETGYEFKTAPNKFEALASHDALVDFHGTLNAVS VSLMKIANDIRFLGSGPRSGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNQV AVTVGGSNGHFELNVFKPVIIHNVLHSVRLLGDASVSFTKNCVDGIQANTKKIEELMSQS LMLVTALNPYIGYEKAAKIAKHAHKHHQTLLEAALELKLLNEEQFKQWVKPESMIGPQEY TPKK >CAK75433 pep:novel supercontig:GCA_000165425.1:CT868208:38383:39800:1 gene:GSPATT00011065001 transcript:CAK75433 MENRSKSEAKKDKRRKRSRSRSQKKEKAQQKSKKSKERKSKERKKQEKKPENKSIKEKEV KVEVQKKVGENETKEQRNSRLKKERRNRSRSNSAVKHYQKQILANNPASKQNALGYDKQD KFWNGFTWVPKTNLHDKVRDDKEKVMSLTSGMRRIQICNIPTGLTNRDLYAELSRFMNRN YLNDVGNAKPILYCHLNEKDRTCTIELSSVEEANRMLKLEDIKLLDESCKIFRLGDSLYG QSVNSSQLVQQAHNMAQAQAAAYLALKSLGYARGQREDDEILAQTGIPSRIIKVGNFLNA AMAINLNRNEWNEMRDDLLEGFSQCNQFEDDFFVRPFQAGLGAEAGSLFIVYSTIEDAQK IVISMYGRTYNQRALKIIFINEQTYIRSYIPLKLKQQPETEDMLNREQDKYDDEDFEEEE EYLDKIENGQIVENINKS >CAK75434 pep:novel supercontig:GCA_000165425.1:CT868208:39810:42995:-1 gene:GSPATT00011066001 transcript:CAK75434 MQQEADYNQDEKQVYQLRQQIISYKQVQRNHHIENEKLMFPYNKDQWEAEREKIFQNSIQ YFHDKIDKNEELKSIFRDRYPKLKLDQTCDDMSLFSERMQGYLEKRRLDIESELKKTHQN DPKYVSLKIELLFINSKEFYLKVKESILNPLLQEENQAVLQSRMLERLLLDRNYFKRDKP QRRTESKLSDKFELSMIRHEQARRKKIKQKEFMSAIFAHQIEFMEFHRKKYKHARKRSVQ FKVVLEQREQQRDKQLRIEHIRRGNLETYLQVLEKLDEAKKERVVSILRQTDQFLKDIGA RVKIQKGEEITEEDEVIDNINSSNGLGYELNQANKVYYNITHKIKEVITQQPALLEGGQL KQYQLQGLDWLVSLYNNNLNGILADEMGLGKTIQTISLLCYLIETKKNFGPYFIIVPLST LSNWSNEFEKWAPSIKKIIYKGSPQIRKEISKQMRTTKWNICLTTYEYVLKDKLTLSKYE WKYIIVDEGHRMKNSRSKFAMILGQQYQSERRLLLTGTPLQNNIAELWALLNFLLPKVFS SCEDFEKWFQTPLNIMGASEKDIQLDEEEQLLIINRLHQVLRPFLLRRVKKDVEKELPRK TEYVIKIKLSAWQKKIYDQINQRGVMTFDQQSGKSGSQALQNLMMQLRKICNHPYLFMLN LDMNRVTDEIWRSSGKFELLDRIIPKLLYFKHRLLIFSQMTQLMDIMEAFFEYRGWRYLR LDGSTKSEDRESRIQLFNQENSIYNIFLLSTRAGGLGLNLQSADTVVLFDSDWNPMMDLQ AQDRAYRIGQKNEVRVLRLITATQIEGNILSKAEHKMGLDAVIIQAGLYNQRSTDQERRE RLQDFFRQKNKVDLFEAEEIPDDTQINEWIARSEEEFEMFNELDRQRYEQEKLIYKNFNE NKDDQYYNYRLIQDDEVPEWITSKQNEVQEVKEYGRGQRERKQVVYYLPEASPIQEEQQD DMNELDLKLDDQIELEQNQVFQDDLDLPKIQKKARKLKQMDNDEPNSNNIDEVEPKLKSK RKLN >CAK75435 pep:novel supercontig:GCA_000165425.1:CT868208:43482:44114:-1 gene:GSPATT00011067001 transcript:CAK75435 MILNHDQQLNQKDLETLNQKKKLASILIENVSQNVMINKKRAELSEKEYPKQVVQMKKLD QEKSGPIKEVEQYQDFLNKVDIKQNKTYEQLGCFRSLRQQEQLQKIQTQIKQKIQMEQKQ IDMQKLIDITTKENRDNSQIEKNLQIIEEIQEDKDYLINEEIQKNEQSGVVDKVQMEANL GKLKYQSKKASQGRLLKKATSLMLFKKLQQ >CAK75436 pep:novel supercontig:GCA_000165425.1:CT868208:44156:45816:-1 gene:GSPATT00011068001 transcript:CAK75436 MSTVTNESKKKESIDKALIQQQREQLKQTVLERFIKDFGKNNKNKIQIITNIVNDYFSKT RVTDVTLKNLKQQVQQAIQNAGSTTQSQAEQSIKESQVTQSHQLQQIPQQKPASSQSRKS NQQLIQSGPQQRQDVYSETSSKAPKSVYMMEGDEDDEWATLVKFDTELYKKEKELEVIRK QEFKKKIKSELDRQINEKQNKKHEEVQDEDAYVKLHHYQLNVYDQREKDKHDNLQNKIYN EKLQRDKQVRDEQQRKKVEQKREKELDSLLVRKIREELELEQREQLNRRNKERERFLRMM KENEEYRKKALEDAKLEKEAETQMQQQYISLQNQLEEQRELERKQREEKMKKVMGMFAEG VVKDQKELIKQEDEKMLRNIIQQNEREKVEEEKKKIKQLDQRQQLRSFLNSQIEEKKRRQ EEEEELNKRQAEIWKQDLDNYNDHEKKKFDYIKEVNLRHADILKSQIQEKQGKVKQKTTK MNTAELLQNKDKLKVIAQEVPDLGEKVKKIEI >CAK75437 pep:novel supercontig:GCA_000165425.1:CT868208:45893:46613:1 gene:GSPATT00011069001 transcript:CAK75437 MEKQKRKKHGAHNESKSLWNYTLSPGWSQEEVKILKLALQKFGIGKWRSIIQSECLPDKS IGQIYIQTQRMLGQQSLGDFMGLQIDLEKVWIDNNQKKGVMRKNGCVINTGDNPNKEERK QRIEENRQRYSIQQEEINKIKLPRFKNDCVAKYFTIQEIENDQFTSIEKLQHFVNLETEI EKKLKKIQLMKSNANGIGYHNGNGNGINNHNHNNHLDEQK >CAK75438 pep:novel supercontig:GCA_000165425.1:CT868208:46768:47085:1 gene:GSPATT00011070001 transcript:CAK75438 MNYQKFRQNVDFYLKPQKEKLESPKEKSFVSPIRIKTQQSNQESENKKKALPVSNMMDRI NKVILDQRVKSPMTTQRNIEQQNKENHFECFLKRQGYLANYYKHR >CAK75439 pep:novel supercontig:GCA_000165425.1:CT868208:47120:48477:-1 gene:GSPATT00011071001 transcript:CAK75439 MQDIPSKLEHSNRFQFLQVTPSTHPVQYVSMQNYNEPRQISRERDSNQIPYQQVCPKGFQ SLRIMSQPDGNQSKSPVVTAMQPQPNTRPNQNSNSLQMYIDNALKNQREEMLKLLEQQNK QIDYMHQQQQLIIQQQQQQQEKKKEPVNIESQLNQVKEQLQIQITQGCREMIHKLQKQEQ TDFKALQVQIEKLKSQLYQINLSTSKQSEKLQQSYKGKENEPEEYQYKKHIPQRNSVDNY DQYIKKYEQFNKQVNDYLINRKIPDHLSSILNSSKDSNSEIHDIEYIQSDHPLTDITNIQ NIKQNKSSQPLQQIAQPSIPQQFVSQKTTIQPSNQSNEDSPIKALNEQMMIDSLDNINVH DPNSLQITKEEFDQLKSQRMSTIHENEDEDEELMYQVDENGFVLSQDGHPLIDETGKRIQ LTKQELQFYKSKLHS >CAK75440 pep:novel supercontig:GCA_000165425.1:CT868208:48621:49515:1 gene:GSPATT00011072001 transcript:CAK75440 MRILITNSQDKKSKQYPRLIAKDSSENQQVKFQKIEFYNKLPKQVKSSVVLKSLYKSNNT IHSFHLIEIEDDLPRQRRKSDNEGLISQKILNIDIKYPRTVIQQHQFLYRVKDLRRRAIR GRMKTKFEKSVMKIDTPSASKFNPLNHNNSNKDSHFASMQDIKVLRTFTKDRTYYQRPLV LKDSDLISDSPSEPSIQLQQTRKHIIFTPKQKQYVLLQLNKYKHKPFEQIKRDSIIKFLS ETKETQNHTVPQITPLIQHRLQPYFSTHKKVNLKKSSYLSSFQRVKTII >CAK75441 pep:novel supercontig:GCA_000165425.1:CT868208:49559:50398:-1 gene:GSPATT00011073001 transcript:CAK75441 MIPQQNMLPHIEQFTLQQLAKKKNINFNVMLSLPFLLKDKALEDRKDTIAIYRKRKFEAL KPEKHKVTLINNGRIHCPEFWSDKYQSTALRDSHISRNLEKYRKNIKNNIQDYLVNFDMQ AKKQMEEAKRINFKEIFKKQPSNSDEEPAPSNNELNLSQCTQDEIINYYRDVKKKVPLPA YKINLKNLNKIDNQTCSSVKQSFIEKFHKVISTCDINSSVSYRNSVDSMNTCGQPNHKLR NKNALGSKTSRSHYN >CAK75442 pep:novel supercontig:GCA_000165425.1:CT868208:50605:51436:1 gene:GSPATT00011074001 transcript:CAK75442 MEIGVQKQTDEEKQAQPEQFNKLDDSGDKKQTGYCGFLTVEYYTPYFNVTETDVIQRIKA TFLPFRPDFLNITKENPDLWGPIWINATLIFMITAIANLRQVDSEKENQSFEVGYVPQAT ALLYIIAFGTPVILAIVMKILGVDLSFFQTICLYGYSMSTLLPITILCYFQNEMFLWMII AYGVGNSILFLIFNLKEELDKLQIQKKYIIIGIVVTMQLSLYLFYKLVFFSYVSEGKIEL SSAAQEESQPGN >CAK75443 pep:novel supercontig:GCA_000165425.1:CT868208:51785:53665:1 gene:GSPATT00011075001 transcript:CAK75443 MSGHQIITQPQSRSQYQSNNNILTAQPIQQQSQNPLNYPSNAIPAPFMPSQMNQQQYAQS QVYQPRLMENTVRIQQQPETHCPFCLTCQQHKTDLLARQESQKAKPQGNGNFPEYEYGVC PDCEGETGEGDPEDDIGIENQKLRDDIAAKAKANRKLRNDVVRLQTELDRIKHLPEENEK LKAMIGPLEDQLRDARHKNQDLLDDNNKLGNQVKDLRNNIDKANNAAKDNDNMKKEIEEL KKKTKDNDKVMEENNDLKNKLNRLKGDRDKMLADLAALEKQNGAIKDKLGKLAKENNDLQ KDLGDLKKVNKDLEKKCNDLQLDNDNLHLNLGDVKGDRDKAKDQLNDLHKNRNQLLDELG KVRDKLKDAEKDKDDLGKKLNNVQNDAKKAGDVPKLKRQLDNALLELDDLKAEREELDQH LKQVHDDYNKALKILKENGLLGLLDPSEQQPNQKPLNTNGQLGPSGQAFNPNGQPLYGSN GQPLYGPNGQPQYGTQNGQPVFGPNGQPIYGPNGQPIYGSAGQYGQPGSAYLGTPNQIPQ NKIGMNPMNQSNQWGGVSGNVGRPSYEELILDNIRMKKCIDQLNYDLKNSKR >CAK75444 pep:novel supercontig:GCA_000165425.1:CT868208:53739:54511:-1 gene:GSPATT00011076001 transcript:CAK75444 MSLGYYDWLVKVIVLGDSGVGKTNILTQFCDQKFSQNYTATIGVDFKIKILNVQDKKIKL QIWDTAGQERFRNITQTYYKGAFGIIFVYSLIDRNSFNNVEGWIKSILESTTDEVCSILV GNKLDSQDRRVQTAEGEKLAQKYNMPFIETSALENKNIQDIFDRLGQNLKIRLENEGQKV SNNTHTQSGQFKLNQEANQTEQPTSSCNSC >CAK75445 pep:novel supercontig:GCA_000165425.1:CT868208:54668:54988:1 gene:GSPATT00011077001 transcript:CAK75445 MGCTQQKVSSPARNRSNESISNGQSDTMQTNQVIVIQQLNQKQLQQKINQGRYSILQRVI LRKDICKIQNQKRSEQQHYDQEVKKSRKFTFRKTLCDQLQWLQLIK >CAK75446 pep:novel supercontig:GCA_000165425.1:CT868208:55569:55763:1 gene:GSPATT00011078001 transcript:CAK75446 MNSGLNNQNSLFQQLTPAQLEEYQQQLRYDFKPIFPLSYYQNSSIQSFGNSLLILDNRNF SVPS >CAK75447 pep:novel supercontig:GCA_000165425.1:CT868208:55994:57015:1 gene:GSPATT00011079001 transcript:CAK75447 MTNLTSLNPFTPNQLFCQASPGNQKKSNNFNNPITVEDDEPQQVQKCHNQKCNNKGDRKT KSRKGEALQFCEKCLRLYTRGNYCDFCEQLYSNGTNDQDEQEWIQCDACQKWVQIRIISK NHLNCEAKFRNQNIKEETENQVYHCLSCSKTIKKQQQQQQQQQQQLYQQQQQQQQQQQQQ QTNIQQPKKKEKSIEEYQPKQRQNIECDDNRMREKNINFVATKDNKIQFTFRFNLYEDEI KQDLDSLRSAGKKMVKKQNVVDSPILKINPTPQLQQQQQQQEEKNSEQQVNSRLRTRPNN RNKVNYRYLGGE >CAK75448 pep:novel supercontig:GCA_000165425.1:CT868208:57208:58364:1 gene:GSPATT00011080001 transcript:CAK75448 MSVQKIYYVPEPLKPSPNYREIVKKLIDSRQEDKQKIDEYLDHFQPTIDETREKQDQFLG KRYRSILQALERAKNNLIKYNLSLLELQDKIEKSVEIRKVLEDVNNYKTYSEALTENINK LQNQISEHTIRCKNLKRVIEYKHAALNKQNSKNLVLLREINAARHQLKKQKKTSDTQRTQ PQTTFYPQKPLSQSSQKQEQLTDPQIDQIKYENKQMRYQLTSYRNNKYLKMELFNECMEA YKRYFSKSQKVVKNSGLQHSLLFFIQKAQFSLQDNAANNRYNHKNIKSVMAGRQIRNLVH DTLKQMAEDKQNKKNPSFDNLQLEWEIYKDYNAMQIVALMCLKPKIFSELAQMFQQEVLH >CAK75449 pep:novel supercontig:GCA_000165425.1:CT868208:58563:60122:1 gene:GSPATT00011081001 transcript:CAK75449 MAKKKQQIEVVEFTEAEKKALEKLDHSHLLIEIQVLQDRIEELQKKIYELNENIRKSNEQ NLALTLKMESDIIKKESEYNALLQQYEDLKKQTTEEIESMIQRKDEKIRYLEKEKTELEI RHKDELQKINEELKKWSQFKEEKENLIKEIHDLKEEMIKKDYAHLDEQSKANKNFLKQTQ NLTNVNNAKIEDVQRNANKLAEEKVAAKYDGILKENEKIKSELLEYQRTLQVYQKDKETF QQENVNYKLNLSIKEEQAQEYQMMNHQQTIKIKQLKERIEYLKSYIANEVTKQTKEIEIM KHQSNTKVQELEMQLRNLRHLLDQRTKELKTVKALAQMILDQRSDIEQFFLQAIDQVKNE IKKKNKNQKNSRLPDISQKSQSLEQNKVDINDLDLEEKEKLLRILFSKMNQGVPPINWKS QLSHSKSQVDASSFRDNQYQ >CAK75450 pep:novel supercontig:GCA_000165425.1:CT868208:60189:61461:1 gene:GSPATT00011082001 transcript:CAK75450 MKFIIVVLFALAATTYASKTQDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWVGAQTIEQFTKIKSLNQKLFNQAIENRANYEEVLKQTKNYLAWNEARRDEIA RKIDALQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIINGDSFEFTQVKAQSVAE KLKQYSNLFQDHQIKSFLALANGQEEGASSGHGATLAEKVLGVLEGLESELSASLENLKQ NEINASWELAGWLKFPPQKSNMKEKQVFADRTATQIQAALAQQAKSKIILQESQDALDQA QADLESKRADYAEAKAKRQEENAILDEVIIMFKKQVASWSGR >CAK75451 pep:novel supercontig:GCA_000165425.1:CT868208:61985:62972:-1 gene:GSPATT00011083001 transcript:CAK75451 MSDYYFYKQEEKVKSTRKPTNFLSESEDSNTHPQLRNAQRFFQPQTKDYIRTNQATTTID LICFCCIYMSYIKYVPEPIPIPEAIRQIDKKIQAYRRVMELSKKQKEFQEQQRIIEESSV SKHFVDFFTNPNIQLQYQSDDDKEEKQISVQKCCDEIQTNQSPQIKKQPRQQYYSESVKN RDRIYDNMIERYFAKRQNPAMFAKLKKKPPALNITSKNKIQSGNGRMDIEEHKLRVYNRE NVPTMPELIIPTFMIKKDSISKNILAYLKDYSPIYRQQNNNDYPRCVQNILEDQEDVIRN DKK >CAK75452 pep:novel supercontig:GCA_000165425.1:CT868208:63012:63877:-1 gene:GSPATT00011084001 transcript:CAK75452 MRIAQHSKSCQILIQKKIFTFDDQELVNTAESTPDSSGNSEKPQLVTFRNQILPDSKIAR ELHEASIQSHWYGETPKEYVDKRIVKLKKQKFEKVVVLDLDETLIYHKNNQTLVRPFCLA FLERLSRICTLVLFTAAKQDHAIKMLKIIDPNKKYFKAICTSEHMIGNVKDLRIFQTDLK DIVIVENSPKKFIAQINNGIPILPYEGQQNDNQLELLLSFLEIVLLVDDVRVQLANIFKL QNFYKELNGKQAINKLYNPKKIL >CAK75453 pep:novel supercontig:GCA_000165425.1:CT868208:64754:65762:1 gene:GSPATT00011085001 transcript:CAK75453 MKMFQNKVYEKELKKAMFADQQQLKTRLISKLRKPSMKHDYNKKKLGIFELDRKFKEENL RCIICQDYFQKFTLTFCGHSFCYLCIFEHLLKSHKCPSCFTTIKGLQFIYCKIIDGFIQS QVVSSQKNNALYLSRKKDLKAWKAKKKIQTFNVGDLVDILDTEHIWCVGKILNLRQKKKE NYILVHYQGWNKIYDECISIHSQRLSPIGLFTNRKDILLYQPTLNENTVSNYVRRVENQQ NQELGLLNYLILQQQSQGQNFIMAIESADRNTLSSLLSLVVYIREMSNNQNS >CAK75454 pep:novel supercontig:GCA_000165425.1:CT868208:65791:66236:1 gene:GSPATT00011086001 transcript:CAK75454 MQSYKEALQLFQKNSMNLPMTEHEDKLNIMLEYLLHELEKDKKELQMLRQEIIHESYLQS SINDDTSTSFIEEIADFTRNFRKLADQANTEINELSNQVDTLKNEKARIKKSANLLDHRV YEMEKVLGVGINPAKSQEANN >CAK75455 pep:novel supercontig:GCA_000165425.1:CT868208:66734:67110:1 gene:GSPATT00011087001 transcript:CAK75455 MSDDSESLEFPNAPFYSFNVTVGLTLSLTKLCASKCRLFKPDLGVKLNENDVQCIQNCAA SINNNYSTFSNQLKESINFEDSLGAFEQEE >CAK75456 pep:novel supercontig:GCA_000165425.1:CT868208:67237:67776:1 gene:GSPATT00011088001 transcript:CAK75456 MFQVLRNVFEGRNNFDNYWCHLCKMEIIQRTYHSEEDKQEYCLLCESPLEQMAQGINDSE LRSFEIYISPEAQSSRTLSDWTQSLNEISDFLNFLSLLTENLFYQEDQQLGASETQISTL REHVADINDQQSTCYICQEDFKEEEVELEMSCSHNFHKDCLTQWLKINNSCPVCRAKIN >CAK75457 pep:novel supercontig:GCA_000165425.1:CT868208:67996:71203:-1 gene:GSPATT00011089001 transcript:CAK75457 MNNPINNFIPDIIAEVHDQYLDNFVESGRINIMKSDRRFLLVKNKLGFIFPIIAKVRLET DLGSDFGSSALILPTYQNYHYIMLNKYGLVEEISEKLYNEIIQPILCIDIKTIKSVDCLK LIPRLIKAWKQLNQTDVLEQELKNPYQSYMVIPKKRKKQKILSSSWGKEKTTIYEFLKAQ DQVNQNYFDNFNQMYMFRITFKIVEFHTFQETIYCIEIQTIKPVRESQRIEIFDKLIEKS DYINLSRKITKLSPDRIEIKKKKCRKLEITNQKESLGFISFQQEQQTIYNLETQKLIEDD YMIRQKEMQIMLTNPHYTLEIEEHQNQVSHPNSQDKRLQDQQTFRMKSSVAHSFGALNHF ASSNSNGISQAFGSDQNKLIVHSSAILEALNENKESVKSKNSDELIGSIDNFLLDQDQMK SISSSQISDIKIKKTQLKHNLFDEQTKQHWTIRIFNLITFSLLMIFNIYYYYVLNQENKI IEQALETYKVSNNFQVQINKFILAFNYSDTNKFNYTLYMKFCASRFQNDISKFQYYSLNI EQNAIPYLQISEFENITNINMFYSLGYFSQYLLSQSDSSNQEYYLEFIARNFITIISSNS ALNSTIIDDGQDQFVKAQQQSKISFYLTLITLCLAFSFYLLLLININKAKLKIVELFNTI SKGHINSLVEQISTVGKFLEKIDFINEETTEHQFDQLKSKIASTPGIRIKTPLRRQSIKV KFNKSKEKTAFTYIIYSTLLFLSYFIISSQYIGSLIYQNSFEEQAIYSFEQLLLYKSSQS YLLQQKSFQKLLIKYLQVGKEEMLFLNQLEEALKYMEEQFDIFQGFVQRLNNQKSFSNSI FTNAIKKNGCQAFELTISNTVEDSQFYNEVICKSFDSLTSGLTISLVAIQQNIQQFYTMQ KLNGTQFKSYLAFMDVNSTLENDIINVLYTSHVLTLLHDFMANQQQQEISLNHLVHTLRF IYGIILYLILIIISDKSIRRYLHKELIKTKQLFLLIPLEILCENSNVLQQLIIRNQK >CAK75458 pep:novel supercontig:GCA_000165425.1:CT868208:71517:73250:-1 gene:GSPATT00011090001 transcript:CAK75458 MFKCLIPIKLFYSSQFYQIYNKFSRNKSLSLLLILISQSQIYYIICHPFNNLFNMYARPL ILFNKFFQNINLDLNYDDTQIWSEIFLFLMLAMQLLYILCFVHLYCCYRVKVQKQLQRKH YEPIQYREQQLGIPFTQKEIYSIINLIGVSEFYITFGTKIFKYLFHYPIIQLALRKILTL QFQYTNLNTYQILVLIITYLVFILNIFSYFLNEAHDVQFSFKKIDYLSRFYSNMTKVQSL KIEILIIIVSILDNLEIDQIALLLVDTSLLILIIAISYRHPYYQEKLMNKIQIQSFSLYL CIILCIFIFAEGLNQDFSELILILIPLVFQISNLMFVQQENKTETLSYKNRNFDYLMMNI YKQCEEALGRNGILLNDIKYRLPKNLNLYALSTNHIISCQNVNGCFCSGYKKQNDHFITR QQFKYYIKELIRFHYELKLLSLNKNNSQKYAKSYFYYILYLSKVIKKPTKAFHEIIKLKN NMYTGLNLLDQMFTNSVELLVKDDFLRMIENKSITNQKYDCFKVFNYNKSINEWKEQFWC FAIKVKIMVCDTIKQESYITNN >CAK75459 pep:novel supercontig:GCA_000165425.1:CT868208:74577:75615:1 gene:GSPATT00011091001 transcript:CAK75459 MMEIEDWEKRSENTPLKVHMIAGCLAGLIEHISMLPLDNVKTHLQVLPDSKFSKTFVSLK KQGVKTFFNGFGAVTAGCMPAHAFYFSSYEILKTLLEVNDENIHAYAFAFIGAVSTLWHD LIMVPFDVIKQRQQIQEQCFKRTVKTVLKQEGMIAFYRSFPITYLMSAPYQAIFFAANET IKTLMFKKSEHNFFSHFSCAAMAGCAAVCVMNPLDVVKTKLQTQSWHLNSSQVKYSTFLG SIKTIYKEEGYLGFYKGLLPRLCMQTMSGATAWASYEFIKRKLLPLSVIN >CAK75460 pep:novel supercontig:GCA_000165425.1:CT868208:75616:76755:-1 gene:GSPATT00011092001 transcript:CAK75460 MIINISDLFLGFIGGIMIGVACSMYYITKGRITGISGIYYGVITFNWSEFYWKLSVLCSI VFATGLMYQVYGDERIMESSSLAFNEIPQITSLSTTLAGISGLLIGIGSKLCNGCTTSHG FCGLARISLRSFVAFSLFILFGMISSYITMSEHKEDGQEKYNYNHVSIIMIGLSLVLLSG TLLGAYLNKDQFIDTVVGIPAGLLLGIGVVFSGMIKRTTILSFLSVEQWNPQFLFVILGA NLVCYFGFKLLKKPIFAAEFLYPPTNKVTNILLIGASLFGFGWGMTGICPFVGLALFPQF TWQIGLMYLGSVSMGMRIAQCYLNKYQKVQDQVIQINSNNYNYKTENEQNDQL >CAK75461 pep:novel supercontig:GCA_000165425.1:CT868208:77393:78543:1 gene:GSPATT00011093001 transcript:CAK75461 MTSISSANGYILIVLQMLVNTASSIVFKLQSKIKIDNQEFNHPFTQTFTMFFGESICYII FLLYKSFNYSKYLYELELANKLKQETKINYLVVIIPTLCDLIASCLAFFALSLMPTSVYQ MLRGATVVITAIFQVIFLKKVPTKRQILGMSLVVLGNLIVGITIYLKSTQSDYLIGVLFL CLSFFMFSFQVILEEKYFRKYYLNPFELVGLEGLWGLVFTTILLSILEFIPCPSQMQSGQ QTIQFFQVVFSFHDKNYQPQLFLLIIAEATSILLLNSICMAVVKYLSALTKSVVQVTTIA LVWITCLIIGWEKFYWGQLIGFIILVFGSLIYNNIIKLPIKCLEQQQKVESQQSKSETEE LLN >CAK75462 pep:novel supercontig:GCA_000165425.1:CT868208:78595:80602:-1 gene:GSPATT00011094001 transcript:CAK75462 MGNTDSNASIKSLHMNYVVVRNINDDPRYGNGRIVRQKQTKQEAFQKEMNSTDEYQFKKL KVMLERMESNTYENLINFAYVELYFHKESEFCGQLFQIYILLEYIPQSLKEVTEKRLVNK QQFKERDLMQILRGCIEALFVLQSYNITHQGIRPETISYSEQQQLVKLTDPTVSGMLSSY QLVVQEDLKQINQCYLSPQLMQSLNDEVQPQHNPYKSDVYSLGMTMLYLSTLNNCNDCYD IHKLRVIVQQVQRRLQQMETSFSSQYVFLLKRMLLLNEDQRPDFIQLKYELQASQSPQFY EEEQVIQKAPIVQVQAYEQVMDTIPIQLQSVQMVSQSQERANSKIQPSIRNSQIQKSQEL RQSQYSNQSIYIDGSEVKELRPDLSDHIKILPLSEQIAEPSRIDNNIGNQIGIEHFSDIT QGPDQQQLLMPVTSFETSQQYELFLEMQKSKSNYNLSDQSQKVNYNMRLINSSNIQRYEK VIELYPNGSKYEGEKQNGVRQGYGIFLFQDNGGVYEGQWHDNKMHGIGNNFIVIKGTLFY ASGKPAYEGEWFNDKFQGKGTLYNEEPQMLFGQFDYGDFDQVGEYWTKYVGQFNDDNKEG QGTLYLSNGEKFEGTFLQDLISGFGRYFKANGQEVQGRWWRNKLQK >CAK75463 pep:novel supercontig:GCA_000165425.1:CT868208:80640:81622:-1 gene:GSPATT00011095001 transcript:CAK75463 MFIKEAYERRQKQSDGEQEILQTEQRIHKIGSIKNNKMNYDKDEQFFSKEDIPQRALQIK ETVQTFVDPDILGLRKKEWNSSVSVPKNPLSEETHERKLVKIRLGLFDHPIPKEKANKIY EGIETRDNYILAKKGSGKWNVSTETNNLEFQKYLMNQTKDALKNTKSKEEEIIKNYLKPI EHQSKLQLDLRSQKVNEKDIRSKIRQEYQMANPAASQQAIDGAVFRLAYEAKLSKNQEQI QDKNYTFKPDMARTLKHDLDYKYCHNGVWQKMPDGSEGWSCCMNSTLESKGCITIKIDKN KWDYSSFTH >CAK75464 pep:novel supercontig:GCA_000165425.1:CT868208:82062:83924:1 gene:GSPATT00011096001 transcript:CAK75464 MISKNEESFTDNVQSEKKYYESDEDIQPLKVGDIIQNRFLISQKIGEGSFGSVFKVLDRK NNNAVWAMKVEADDDENSLLEREIKVLIELRKQQGFPQIKFYGQERGYTYCIMTMLGKNL EQIFRKLGGVLNIASVLRLAIQMIDRISVMHENRFLHRDIKPDNFVLDLGPNAKTLYLID FGLSKHYINSKGEHIAYVKKAGLIGTARYASLSAHEEMEQGRKDDLESIGYVLIYLATGN LPWMNLQIDSKNAKYARIYQIKKEIKTEDLCQNLPKCFYFFMKDVKSLEFQESPNYNKLK SYFEREIENLNQLNINGSSFTYDWERLPEYSKQKKHMTIHVMQNQEKRQQVEIAFNPHKL NQQTKDSQQKSTQNQQLTFEQIMNEKRKGTKKTVYSPTGKSKKQPLMINIVENNESNKQN LLSPISPDDSRIFRAQNHSSTLLQNPQLNSSYQLESFILPSVATSRINNYFESEGVVSEG GGLPIWDLGDGSKPEFQKVTRILEGIKKPSIIILRKERKAHTYTVKLMQELFVPPIKLIG DQESNVEGLE >CAK75465 pep:novel supercontig:GCA_000165425.1:CT868208:83997:85981:-1 gene:GSPATT00011097001 transcript:CAK75465 MNQENFISFQQIDKQDTTQDGFHLNKPNLDQSANQSQENAINVQVPANSQIPQNTTLDRY LGQEANELLDAKKIIDINYNAQNDQDETCVWITTTKKYKLKSNQKFSTTQDNQSKQQKSP SKPILPTITEQSVKSNANDIDPFKDQKGIFQDIETVKSEVYIENSVKTVKSENQEIDNRL QQNFIQDDRTSFSKLDQSNNTKQPLKFVQIMDLNLKIPQESNKLFKRNTNVNHNLEVQNS PFKQTVKEDQIDQHIMNQARALMIKKLDMDMREFNDIIQGQNQQILKLRRLIYDRLQFVI NYLFRGFIHHISQLDFNSQVCLFGSCATGLALPESDIDIGITGFEMCSTCQLYVPIQKLT EFLQRMRWVNSIVAITSSTMPLIKLQVDPTISFVQSTLPIGLPYIDLILNPDENITRQIF SVDISFFQYSGPKQNQHLGLISTELTLQWLSFYSELRSIVLLFKSLLKKRGLNDQFKGGM SSFCIIQMVLAFLECFYHSNQASSIGYTTYNFLKFYGTEFDPKRTGISYKGFNENPFYGL NEYDDQSEITIVSPITNEIISSATSFVLTILQDLKALYQATENEVTFFYEKLKYNKKKKG KKEERNLFQKELNKLRPLFSTTVYNKT >CAK75466 pep:novel supercontig:GCA_000165425.1:CT868208:86914:89265:1 gene:GSPATT00011098001 transcript:CAK75466 MQQHSIRVEILGDQSEYQLFEFSSFLYSINKMSLFSKKEQKKQPTQYLEFDSLASKKYIY DSKKANEQSVKQEQYINDLRKAYDQQRLEIEPIFQKVELLQVERKQLMDEVEQYKMSQME ILKKYEGEYQDKERLAKECQKYKQQILALQKMQMPQEFQEKKCQELKESLFNTIKDIMND FLESYNDQIGYDNDDSVSASLFHDLLQQIDDSILMFVENLPAQSSRQYQSDEVQHLKDQI EKTLQIVANLQSENQDLVASLESEKQLKDKINNQKNTQQQLVSQLQNQIEQIVNEKKAID QQHQEIQIKYQDLSKEFQKLNSVKEDEKEKLKGELITLVNELQKEIQKVQQENSRLLQQI EEKNAEITNKTEAFNNKVRDLETKLNHEELKYEQKSKEFLRNERELNQSKSEAAKLFENN NILQQQLDAFKEKRKQEKEIFDDQKEKYKQMKLQLQKQEQEYEAKINQLEEQIQLLQQKT ESTVPSDLSNNPEIIMEKELQISKLEQSIIELNQKNNELKQKLTQNKIEINDLNHELEQQ KVTNQQHLDKRAFALSELNRLEQQNQLLKQKNNDQNQIIKQLQLENQQISQMVKSSKQQP IANMQKQLLNQSISKTPMVEANYLDSSMVSDAESQTSKQSKPPSMLMKGLNYFKPTPQTI QNAQQENQEIKIAATMIHKQTDELKQNLEQLYKCFLSTVLLGQNNEEGKFLKFEREINEC LKKTQDLNDNIEDFLIL >CAK75467 pep:novel supercontig:GCA_000165425.1:CT868208:89408:92019:-1 gene:GSPATT00011099001 transcript:CAK75467 MLKLDQQELIIQRIKSKKPIFKTVSEIEQFPKLIKSREAIQKSILSQIQRSVSNIDVDPL TYGQKELKDVMNQLEEQLKTVFLPTLLLDEKKKSKLLIKQESKQLDYEESNHPKKQRVDK NKCRKCKQSTIPMILNLLQQTENQDTNPHVTMKSIRQQIVQKSQKKEEQSESKVPYIAFD YSDRIIICKRCRFKFHAECVQVHDNINDWICDYCQDRIQELKSSNQWDYINNKQLKQQVC PGSEQKKIYLSLIERIQKKQKVFIIEEMEITSFKPIDQISIFLKKYPHYRGQNGKIKYPV LEKLALDYPEVLEIKQKPQPYMINSNLVEDILRIQTSYQIMFPNCQIPQNVNQDYIEKNF YEILMNLLNEYFNDFMLSDMSKFEKYCHQANFQATAFLEIMNFLYVHQENQMKDLIKKTW IECVIQIDQIINYDCESNINKINFNSLEFSDQIYILSLLTEGLYDLDKMKEQIKQKDSSM NYKQSHLKILFQHNQSAFINCGTFLGQDADEQQYFYFTHVPSSIFVETMTGWGQYTLSDL SELMNALNLQGVNESNLFENLELISQVKLFDNTTPLKPQNRLIQKQQYNLENIEVIEQFL LTEEAYTKYLQSKDLIWIENHQKQDFYQLLKNEKELQSIIQALKIFYNGLLQLKSNDGYH IKPFKLFKLDFQLANQLAIFVDNASSQHSVYISLITLNLFLDEYQQYQSQKELQNKRNQV LRKLPSKANPKEVKQIKKKSKLKPIEDESIEQELSLQYPRNLANKREKRENAGKNPNINF DQQTKNQKKISCTSCKKQFSQASQCVQCSRCNKPFHQECLVVRKDYCKNCVRNGSKKQK >CAK75468 pep:novel supercontig:GCA_000165425.1:CT868208:92294:93526:-1 gene:GSPATT00011100001 transcript:CAK75468 MDSHVNLKPKISKQIQNDQKKQFYSDDDAEITPKRPMIAKPSKFSKGEAQQYQTDDSDNT PLKLSSPKSPKGQNKLSKFKQKENHIEKDSDIQFDSSENENKEKPQNRQNHQQADNYDIQ EMSRQMELKYQTPKQAYQHPPIYKSNSTKLVITKNLNIKEHPFRHLVYGKNINQNDFLRF LQIVQSGLLYARNSLKAPSNIFLRSRYIRLKEPNQKKSKLLILDLDETLIHITITLQDDD EERFDLCFNVRPFCNEFLKEMSKYYNIHLFTASSELYANAIVNHLDPKRQYINEILCRNN CFETKNGFFIKDLRIITNRTLKDIVIVDNLPHSFGLQLENGIPILEYLDDQKDEELKLLQ HYLIKLSKEDDVRLFNKQNLKLLDLVEYKLNI >CAK75469 pep:novel supercontig:GCA_000165425.1:CT868208:94348:95510:-1 gene:GSPATT00011101001 transcript:CAK75469 MISGLLYSPNWTFVDKILRDPQLVDLEYFVGGGPGHDWLAKVVRVDQQKFLGPRAKFERQ FKEDPKTWEVFSDNFYRLTVLAGVERLLTLRQAYGGLKTRFTFGDSNTASLQQIFNQGLF KGYFRGALLNAFQFLTVWAHPLVYSRGNGYASHYLYSSLFELITYPIDTIKTIIYSDVQG RYKGAFDVIEQVYARNGFSHFYRGIVFKLAFNGTLIYHLRNVYEQDYIQQLVSTPLLAIG YGFLTIKTRLQLASTDLSFQQTNQKGRIAANLFAQKTPFSIYRGVIPFLLLTDFFHYKLY ALYSSTAQSRTLDEFLNQYKHQIGSPKDENLWQ >CAK75470 pep:novel supercontig:GCA_000165425.1:CT868208:95680:96780:1 gene:GSPATT00011102001 transcript:CAK75470 MSNRNKKSKSPQLQQPKNIDKDLMKYLIYQKIVKPQVPLELITKTQPDEDSEVEDFKLEI EMKYSRIQFNLRYKSCKINHTFKFPKENYESSSDTSLQSLKDVTIYNLNCDNLDRLTDAT QPHFMKALNERLMKLTDLIVDESQVKNKQYNYAPNLKSSRMQKLRGTNISNTSITKPTEL SLNAASQSQGRESVITKPPSNLGFRATPTISSEKKNRSKQIQQILKITQQKCMNNQNIGG TFYKKTPQVNNNYININSNINNSIVVAGKRNIQDIRIKTEQEEPEPSLHQYQVIPNSRPK MRESTMKGKKLDISIGYKNLTLDSQYLETYSRNTKSQNKNYKV >CAK75471 pep:novel supercontig:GCA_000165425.1:CT868208:96828:97363:1 gene:GSPATT00011103001 transcript:CAK75471 MILNEISRDDEQQSFVSLNNRDLTAETQTNLKQQITYLPPMYASISFIVLFAAILSQLQY PRAQTFPLFLELPFQKNQLFTIGNALMSLIGILIYYLVFQTNQKITQKLRLSHRYLSFKP FSFQILLSGVSAHLSFLAFALLPIEQKNKANYICITISYILFYYYSRH >CAK75472 pep:novel supercontig:GCA_000165425.1:CT868208:97395:97852:1 gene:GSPATT00011104001 transcript:CAK75472 MNFLYTSYYMCYKQSSQKQKFPNQLKEYSLSQQIKAALFTVICFLFVAFVSVNFISLFMG MPMAQGEPNINDGLSASEDFIANMVSEIYSTLSYSLYLMNSLFIGMFYTDFKKINLIMII DQEIVLGRNQKSV >CAK75473 pep:novel supercontig:GCA_000165425.1:CT868208:97919:99142:1 gene:GSPATT00011105001 transcript:CAK75473 MKIFIALSLLYLTLANQGILSAFGDQVHPFQQVIVALSEVASKDFNFQQLFVALDELAES FKARKNEENAFYEQEYQQYQADVQYYQNQITDFKNKIAQLEVDVKDLSDERARLQQLLTE AKQDLYDATKLFNAKEAQINSDKSIFTRQFNEYADTIAVLDQAIALLKEVKDETSLLQKS ENIKEISSKMHTHLKQLSSKRVFYQPLVKALTQIAQNNYVDQENLNKVINYMNQLRQSLI DGQTSLQNQFDAQSKLQQDILSEIQAKITGIRDVLIPLLQTEIETKDGEIKALNAILNDA RINLTEAEDNLTSTQNRWIERTASHNSLIQQYDNELLAIKDAENALKKGGIFRQ >CAK75474 pep:novel supercontig:GCA_000165425.1:CT868208:99148:99836:1 gene:GSPATT00011106001 transcript:CAK75474 MLYSIRESEAEGNISTDSYTANKSINQDTNLTKKSSSRSLPKRQKDLDEEIQNLNNVINK LRKVEQLLLNQIKDLKHQLEVQYKSSNKDQECIDELNKELNSISNKLHHEIMHNSQQQKT NIELQKSILKLEQDLITSNQKNQDISEELQIVKSKYSNEHKFVESLLHMVISCHPEHSFR EQPSLKQAWKWLKSILSDYLALKQQTRNQKEGSSQSSSSTSK >CAK75475 pep:novel supercontig:GCA_000165425.1:CT868208:99965:100325:1 gene:GSPATT00011107001 transcript:CAK75475 MNQQTQDPFQNYMNQFNQQNNNTKIVIQEQPQNIQVQQGNGGLSNLTAYQKVFKTTADGK VVCNFEDVKKYIESETRTEIIPKTNSGIKRIVEIEHKM >CAK75476 pep:novel supercontig:GCA_000165425.1:CT868208:100430:101602:-1 gene:GSPATT00011108001 transcript:CAK75476 MCNQKNSYDNLIFNDEDEDLQNLSQPKKNSHYLNRLHRRNNKQLEKPWLNTVTEEHGVDL NDKLKQTVGNSKYKFKYLFQIPQIMNNSKLQSRKKTYEIFTKNSQLEQYYLQLKRTSSNS VNTIQTKADYKDILSQISNNKVHDTYQHQNKQNNGVIYTNELWSQKLRNQISEQSRLKEL SELLELNKIKMSYDEQLQNFQEYFVKKTKLKKESISDMQQIVSKAFQSETQKEIQTSGDN QFKKPQALNIQTYFKPHLDEQTLDYSTKHSRLGSRKHSQGYRPQSQEYSIFSRRGSAVKI KSNDDVASSQFNHTDTPNDKLRFIIEDSFKENQSTKITVSKINNFIRSEKEDQESIKQKK IQFQYTKALNNAIKIKKQNAKNSHISNQIT >CAK75477 pep:novel supercontig:GCA_000165425.1:CT868208:101655:102964:-1 gene:GSPATT00011109001 transcript:CAK75477 MQIITGDETGLLKLIDLDKKEVIKKYGEQGKEFKIIQILTIKIADICFFLVLREQSLLLL DNELNEITQIAIDSSPLKGFCNSNHDIYVIYANRKINHIKYDEDQNIFFEQETINSKDFL PLTNCKDKYVTSAAISNDQQLLLVTYFGAPPSIFNLQQKKLQWQSRNVKNDELDLQVKMH DYDGLFLDDYSVGVITTHQTLRIYNILEQKSQPLSEHSLKQSKTKIKLIRVYNEKYYMIN ERGEILIYQKDFKFERMIKGTFGAVRDIAFNKEYIYTVSIDRFLRVYHIENVRIPLSINL SQRLQAINFHCAEYKDIEIEKKQEKIWTIQNGKEAKARFAGMRRHTIKLPYLDKMFENHK LNKQKKEQQKLKQEEQSIEIKQEYDEDDQQPKFKNIENRKIQKLKQKKKILGLKKQKLLV NKPNRNMK >CAK75478 pep:novel supercontig:GCA_000165425.1:CT868208:103284:104754:1 gene:GSPATT00011110001 transcript:CAK75478 MKSIKKNIENLYPQFTVVEVLRYNKFKKTIILRRVNQPYILRLYSLEGVNKDKVISLIQL INKLSKTCSPHIAKFYEASHDANNTYLGVISQYIDTQYQCPLNEQEILYILIQACSAIEL FHPKHSHGKISLSNLFCSQKTTILGDMNILYYLHQDNYQDIYLLAPEFVKQKIYDCKSDI WMLGVLVYQLMFKEQPFKANNINVLHRQILKGIKFIYHPQYSLNLNNLLRILLSYDPELR PTISSIRTFAEQALISSEKCDIFQILPKYKVDQVILQKKKKDSKRNVRDTQIYLNDQTLK YPSFKPSKILKQEKKIYQTNPSPQRQGENSNNNDSSVEIQQQFPTLQLEQQIESKKNLSF TEKYSQSSKKKLNYSQSSQKQQQISQESLYPVQLPKVLQYSFNKIKFRHELSPTKFQNET DYQEKGPSSFLQYQDKHVEQKIRRSPPKMLFHPYVESAQRSFSQRQ >CAK75479 pep:novel supercontig:GCA_000165425.1:CT868208:104952:106504:1 gene:GSPATT00011111001 transcript:CAK75479 MIQADSDSSRRVAYFYNRLIGKFHYGKEHPMKPKRIAMAHSLIVNFGQLYRSLDVYLIRE AQLEELQKFHDPEYVTYLSQYMSENKVNFVKEYCSTNNDGVIPENLLEEYRLITKWSQNK NTKNLNSEYKVGDSADNPTFSGLFSYCQFSAGASIDCAHTILTGQADIAINWSGGLHHAK KKEAAGFCYINDIVLCILELLRIYVRVLYVDIDCHHGDGVEEAFYLTNRVMTLSFHQYGD DFFPGTGQLNSVGLGVGRYYAVNVPLKPGISDEPYLDLFKKVTSRVMETFRPDCVVMQCG ADSLSLDRLGALNLSIKGHGQCISYMKQFGIPLILLGGGGYTIQNVSRCWAYETGICLGQ TIDQSIPSNDVYYKNYGGDYHLHFPIQKNVENKNKAEDLNKIVSQVYDHLHNLENAPGIH FHDVPYSFYPNMELEKDEDNKMKMDVKQEISLFELEKNLGISDEAHEISVNSGSRKLHSK ES >CAK75480 pep:novel supercontig:GCA_000165425.1:CT868208:106608:108025:-1 gene:GSPATT00011112001 transcript:CAK75480 MDPIFEDYVSASNNKDIKIQRNGDSNLCCTITIRKNTKFSEISQSLQQKWDAYFNRLRLF NQEGVEITEDDLDYVKNGTVLFASEGEEFDEIFQQAEYELLQDIGDRGFSKVLLGRHKKT GEKVAIKIMKQSSTNAQDDDVIFGDALKHDSIVKIYDAFYLQNFQMVYIMEYLEGGDLQQ YLQTKGKFEESEARHYLKQLASAISYCHQKKIIHGDLKLEKLLLTSKDSGVIKCICFGIS GLSSNGNPENADAGSLRYMAPELFKGMDKGVSPQVDVWSMGVILYKMLFGTLPFNGNTKR EIITQISEARLMIPTDFNTKLSRNCQDCLQRTLEPDPKKRITSIELQNHPFLTNENTLNT NTNSTYKSKIQTQLQQIENDEYPIVNQEQSTSNNKQNLQFTKQNLQSLRQLIQVQKNIPQ QSASIQKQS >CAK75481 pep:novel supercontig:GCA_000165425.1:CT868208:108172:108668:1 gene:GSPATT00011113001 transcript:CAK75481 MKMKMDFLTYFKGRTSKLTKREGLNGVLRSNCIPIEKAPGSNSFDQNSSIINYSDVERQS NNSSDINVIHNNPQIITNIAVLKKTRKLSQVSPLKQNNKLYNFLQSRNEQFQFPLSETGA VNLNDYQIIAIPKSVNMDSKQDVMIKFSQNKELLV >CAK75482 pep:novel supercontig:GCA_000165425.1:CT868208:108967:109384:-1 gene:GSPATT00011114001 transcript:CAK75482 MSTQQTQRPQKQRLDPTNNELHVSSKKSAKEFIFITKIFLKKFNSVELHALGEATKISVR VAENLQRQGLITITKINSFTADIDGRKRVKLVITVQLTQDGKARIDQELQA >CAK75483 pep:novel supercontig:GCA_000165425.1:CT868208:110011:110415:1 gene:GSPATT00011115001 transcript:CAK75483 MARTKEGKEMSKKSIAKTSGKKMKSKRKERKPHRFRPGTVALKEIRKFQESSRLLIRKIP FQRLIREIAGVNEKEMRFQSSAIFALQEATEAFIVNLLEDSVLYINLARRIRGDEF >CAK75484 pep:novel supercontig:GCA_000165425.1:CT868208:110417:111011:-1 gene:GSPATT00011116001 transcript:CAK75484 MLFVLDTMVSAAADTTPISASSFFGFMGVTMALVLANLGAGYGTFKAGAGIAAIGIWKPE IIMKSLIPVVMAGILGIYGMIVAVLLSQKVKNPLEYSYKSGFAHMASGLCCGCSCIAAGF AIGIVGDVGVRGNAQQERLFVGLILILIFAEALALYGLIVSLILSQS >CAK75485 pep:novel supercontig:GCA_000165425.1:CT868208:111412:113743:-1 gene:GSPATT00011117001 transcript:CAK75485 MELQLFKLYNNEFYKIKMNNSKIHEDASYCCFANRRRNSDSFFTNHIIDDEGDILSTKAV LLQLYKGGQDIEVIKKNLEKVRLNPNWLSQKREDLEYYIPQFINYLVFRRNHEELIQFLF DACQNNFYFAHLTYFQLKSLSQIVNKKVINLKGVQDFLIEYTKIMKEQYGDEYLINGKEF ISHNPNKEGIQIYGTAGYDQTTPKVNASEINLGQYLSVNVDNQTREKVCGFSSTINFWND IIRISERLHLAYPQIISLKADLQRINQNLPSSVYIPFVKEQIRNYAVLNIVAPETKVFST KERSPFYICLEIYRPDVEKDQRNDYKQSEAITLHKSMLQQKMSINGSIFLDQNKCNPNVH KISVQQPLQIIDEDIIDETPTVQFYSPGNDEQAEEKSVYQSFSKENNEDFDGQRKSMPLG QDGQSLFGESAKDQEQRIKDQSLFGNLKSWRLVHLIVKSGDNLKQEQFAMQLLSTIDQIF IIEDLPMRLSIYEVISLGPNYGLIEMVKDAITIDSLKRQLWNRQQTLSQFFDHNFSDRHR TNFMQSLVGYSLACYILQLKDRHNGNILLKRDGHLAHIDFGFFLGNAPGKGIEIENKVPF KLLSEQIEILGGVQSDLFKKFRELFYKGFMALRKHSDRILLLVKMMYCGHKNSMPCFKRG DKSITQLEERFFLYEDDNQKLNVICQNIINSSIDNWRAKWYDKYQYYVQGIFY >CAK75486 pep:novel supercontig:GCA_000165425.1:CT868208:113816:115712:-1 gene:GSPATT00011118001 transcript:CAK75486 MKNSLTKFYTLNSFSQDKTYTFVKQRKEQLQEEIVKINDETEFEDFAEFKLLNQDETIIS IKYTVDQFYDPFQQQEIKVEHYVQELIYNIRRKYPWITILEKRISQSAELLCQIQLYNQK HKVVLFNKPFTNTQHILELLKLYIERGTINIKFVCQNQDVIALGGQLNNLFEDAFITISQ KDKLQMNDKSHIPAKLITINKKLTSNFINFKDLPLNNDFIVDVQGKHFKYVHQDVNFNEN VIELERNDIIQAKYKLIHQGKLIEADKFLVNGMPINFNGEYRFWTKPQMEYIVSIEKLDF YPKQHILITKGFGEYKQEVEMIKIQKTILKITSKNIIDQSILDNVDISIDGKVVGQTNKN GTIVIQNLELRSIVIKAAKKGFLTMPLQFDISANNIGQNLLIEMFPDYFSLMNQFHILIV KPKSAKKLSLQLVDLDEQNKSNIQQKIVPYSNGSIVNYGIHIGNFDAFDKQKNIYQLFIK DSKEVLPRCRQSSQNLNKKSQHVNASPINQMKTRIINQNSDLKTERGLNQNKKSTPNLEV EHLHIYLSFGMDIVCQQIFEVEKFTSKVYATINLQRRIVELDGKAYSCRQQKSQSKTNKR RETGNILQLLTNQI >CAK75487 pep:novel supercontig:GCA_000165425.1:CT868208:115930:116388:-1 gene:GSPATT00011119001 transcript:CAK75487 MIFYLFCTIDKPTLFIIISLKLNSVEIQGAYNSYTRVYTLPQTQIYSIQGVEAPSTMKLI KVCEYSLKVLGENGFTTNRINNLHIRYDQVCKTRHKKMYAKMYIQSVIKNILIYQLNPSN TNSHFNRKINLISNYQEVFLCISLRCWKYLKA >CAK75488 pep:novel supercontig:GCA_000165425.1:CT868208:116458:117300:-1 gene:GSPATT00011120001 transcript:CAK75488 MKIACPLGDMFMTAAYAPSGRATCKGCQAKIGKDELRLSNVIDEDHYHQEHHYHADCFSL KSAFKNATYKDIFHVENLTKEDQEKVKAILEKLQKKEIKKKPQQKKVNAKSKSTKPKKSD VEDDSDSDYDYKKDKNQKDNKQSKALKSKKVEASKKSESSESESDDEEPDVFVLYDKVQK EEFRKIQQELDKKSAGQLKQMLKANDQKQTGNKGELIERIADCMIKGCLEKCPNCSGGRP KLNPVQKNFKCPGYMDDTEFRFCNKVYGPKDLKRIPWVDV >CAK75489 pep:novel supercontig:GCA_000165425.1:CT868208:117607:118261:1 gene:GSPATT00011121001 transcript:CAK75489 MEIENNRQKPNILITGTPGVGKSTLGKLLSEHVEGLQYVDVGLLINQRKLYKEWNQEFNV PEFDQDMVCDELENAMQTGGMIIDFHTSSFFPERWFDLVVLLRTNNTVLYDRLKARGYED KKITENIECEILDVSKDEVESSYKQNIIMELNNEEVGQLEQNILQIIEYLKQWKQAQLQK QQQQQQIQ >CAK75490 pep:novel supercontig:GCA_000165425.1:CT868208:118306:119152:-1 gene:GSPATT00011122001 transcript:CAK75490 MYSQGTQYPNSKYKTQLCRHFTQNGVCALAIRCQFAHGPQELRQNAQQPQSFPEQTIQPN AYNKVQGINPMIVNYKTQLCKHFNPQTGQCKNGPTCTFAHGENELNTMPYLQNQYLMMQQ QMKQINQQQMQAELTQQILVMILTNMEHIFPGQQQILALLKQGQEKAKSGDTQGASEIIK LIIHDQERSKEEKQQYQQIYNNAQRHYDQKLKDIQSSQQQQQQLY >CAK75491 pep:novel supercontig:GCA_000165425.1:CT868208:119503:119739:-1 gene:GSPATT00011123001 transcript:CAK75491 MNQKSKKVHATPQVELKSQNPLRQIRIDTYGQQILRGQKNHKIAFKEHIKTIYVVENWKL YNLDHVDKDDDSCPCQLV >CAK75492 pep:novel supercontig:GCA_000165425.1:CT868208:120105:120706:-1 gene:GSPATT00011124001 transcript:CAK75492 MGKFLKPGRLVVLLAGRQAGKKAILIKANEESTKDAKFPNGLVVGIQRYPRKVTKRMGQK QIRKRTTLKVFIKQLNLNHIMPTRYRLEESTLKEVRDRIERVKEAELKNVEKRKELRKNL RKYLAEKYRTLPAGSLADKKAQSRFLFSKLRF >CAK75493 pep:novel supercontig:GCA_000165425.1:CT868208:120784:121771:1 gene:GSPATT00011125001 transcript:CAK75493 MFLNLGWNAGIIGDQLQEQLAIENQIQDLNLVNKESDFLVKSFYYETQNGQFVPKTIFVD SDPQFQKKKIYAKQNFSSFRQMVEKCENLYGVVVINTTNQNYDNYIKEQQQLIPTVPFYF VNLIEDQISYSQQISTLKCYEMLKEYAEVCLQINGSVILENKQYTTYPPRNISQFLSYCL ASINQAYKKVFDITTFSQSLFLDYDQKFLSCGFDNTYVNSFTNNFGGINYQEGKFNKTGL IIRGEPNLEQVKLVQDITQIPVQMGILEKGYPGIAQISNHSNNVNVLANAIKKFEQIPKQ FFNIDYEVSESLKQIVAAYQ >CAK75494 pep:novel supercontig:GCA_000165425.1:CT868208:121849:122812:1 gene:GSPATT00011126001 transcript:CAK75494 MQKYILCDKSNQSQLSLNLSKSQQKLVCKSIHDQIKERTQSKETTHRSTQNNQLSQRVKQ SGSLSSVIANFFGPSEQKKRCNEVSENIEKSKILVIKELKNQLDQKDEQIKILTQQLQSS KFGQTYCGKCNNLKEKFHKLQSSIIQQQNLFLQEFKTNMENDENFENEDVIQKENLNNLE SNTFQFKQSMNTLKSFMEKLSHQYIEVDDRSNTLINAMKIEKQELQQRVVQLEQKVRELQ SNEQTMQKKLEQLQNPYQKYKNQLQQSASLTKLNPEIECQVNVDKILHVGQPYLRTRYIS QKENKNSQMSLF >CAK75495 pep:novel supercontig:GCA_000165425.1:CT868208:122826:123523:-1 gene:GSPATT00011127001 transcript:CAK75495 MPAKKRTVRIGNWYKSDDEKVHFVRKRKAPRPAQLRKIVPGQVLILLSGRFQGKRVVFLK QLKSGLLLVTGPFKVNGVPLKRVNSAYVIPTSTKVDVTGVNANQIDDDYFKRTQAQRRKN EQGFWAKRGELTTEQQTAEKTRLDGKRNTQKSVDAALITAIKKTQLLKQYLGARFTIGKT TRPHELVF >CAK75496 pep:novel supercontig:GCA_000165425.1:CT868208:123560:124495:-1 gene:GSPATT00011128001 transcript:CAK75496 MSQIFERIKRKCQFSKKRAEKRIEKEVENKQITQFCNMDNQLALMNKEQIYVYNTENGHL NYVLRQKLFTRYSKIIVYHFRFIKLHNVHLLLFVCTDRIVVFQKNRLVFKQKINEPLYRY DNFYYDKYEHYFIIQGRTMADNFSLFVVSKLFSKQANVNCMTPNFLRLALDYNSIFYQTK TIFKQLSQNYLTIDSICMLPQHKLFLYNKQEKELYLCDLNKLYQTYPTFAFLGDYEHSIF KIDKTQNCLPFQHITGVYNKVYADKIEYHRNYLLLVQEKDPISEIVWKEQYCLEDEGTLS YMTKNGKRYRA >CAK75497 pep:novel supercontig:GCA_000165425.1:CT868208:124636:126834:-1 gene:GSPATT00011129001 transcript:CAK75497 MADQEKNIDHRLEQPDQEQSLLQDVKENGQVFDIDGQHQTPKDVIVHQMRKTANNKQDEE DSKMMRRDTIRKKKRKEKEDKLISARRLKRLLRKKPIDKIKLAYQAYFFIVSITVLALSI VSYRDQTVSQEDYQQFIDNVKSDTIDDIRFTYTNKNCKTQFGSQYSSLFEYNWPGTRIGC DCSKGYNFSTLTALNIDGFFRESFMLGRICSQDLLSKNCNTVNVQQSKVFNSWNDGSFGR PFVLCARRQVGINLQSNKTNCQSQNQITCGNGDNIFCVPENMSCPITEIGFTTNADHQNL TQINNTKVGDIVSLGAGFYFYFIKNTSGLPLVQFRVTEGDKICRRNLDQNISPNRFDYPL MLSRRQLCENNDPNFQIIHSIDEEQFYLSNNVIYLSEKLPYFNIDPKFNWTLNAKTYIPW SPQCRGDFFDQVIQEGDTLHYVYTALRVQLGVTITYFLVIGLLFNVIGTMTACNFAWSCM ATRPQSQYNYIFLTEIGFKILLQVAEIIVIIVSFAIIDGKRKIIKQINDDGCVSDPVSDY LFTNLESDLTKSAWSYNLANLVIFTITLILDLIIIKHSINKGQHHGAKQLHEKEEHQELD AVQHGDSGSGSQQENKSEFRLQIKARNSTLMIIYNNYNFQALICKQNNRKYQFFTYYYKC LLSITLKQVYHCTLKKQFQMMFFCILNILTITNKFILIYNQGLGVF >CAK75498 pep:novel supercontig:GCA_000165425.1:CT868208:128071:129160:-1 gene:GSPATT00011130001 transcript:CAK75498 MKNSENLQSYAVEKRVGEGAFAIVYLASVQESGERVAIKKAPIDKKYKNRELANLKLLGE HPNIVALKDAFYVVGQNEEVYINYVMEYMPDNLSDYLRNLKKQKQQLTQIQLQIITYQLL RGLAFIHGKGMAHRDIKPQNILIDGTTVKYCDFGSAKIISGGQINTSYLCSRHYRAPELI FGATDYSTSIDIWSLGCVFAELILLEPLFPGESSVDQLVEIMKVLGTPTAADIVEFNVSN TDFKFPQVKGHPWSKVFLKYKPDPQFIDLIKKMVTYQPQQRIKPFMALMHPFFNDLRELK NEEVPNKLWQFTPEEENIFGKQPLKHLMPSWWKGGR >CAK75499 pep:novel supercontig:GCA_000165425.1:CT868208:129174:129470:1 gene:GSPATT00011131001 transcript:CAK75499 MEQENRIEIKSWQAVGVWRWNIKDDRCAIDKQSLFGQCLECEANQVQDECKIVQGLCNHG FHKHCIDRWLKQSNTCPLCNKEWSDSKIL >CAK75500 pep:novel supercontig:GCA_000165425.1:CT868208:129520:130625:1 gene:GSPATT00011132001 transcript:CAK75500 MITFFLFLPIQILAFTYEQQQQLLSDDVDFYLDYNSGNIFDYAFTEMIGQGAFSEVYLGY RVEDQEPVVLKHIKGSREKVVNREIQILKALQGLPNIVQIIDAIKGDEEDSDEFFELGLD NPNQETPQMETKKSPHKEDHIEATIIFAYQNTTRCLDDIRKSGNYTLQQVKHYFKQIFTA LNKAHELHIMHRDIKGSNILVDDNNNIILIDWGLSQFYDEGRRQSTKMGTRYYKAPELLL KYREYDYSIDVWAVGCMLADFIFKTHPLLPGKDNDDQLKKIISMLGTNDLFEYIKKYHIE LELDEIPQVQERLDFMKLVNKKNFNLVSKEGIDLLEKIFIYDHKLRINASQALEHAFFL >CAK75501 pep:novel supercontig:GCA_000165425.1:CT868208:130701:131903:1 gene:GSPATT00011133001 transcript:CAK75501 MSQVSQRQRSPSPQSPEKVAQREKAAQAMKRRNQTQPSFFEKYAYHLVIGAFGFVCVYAL FSILTRSSKKLTTAPVIDEEEIAAHNSLGSYLQGPNDFFKDWKFSDAKFIFNNHLTFKGK IQQCPESGVIIPESYNFREAQPECAQPIYFQGNCSSSYSIAAVSATSDRLCKSKNGEFQD QLSPQSPISCDDKNYKCGGGSVTRVLEVGKKQGFVSTSCLPYSGTEDAKNNCDALFSNCE KYKIHDYCVVSGEENIKREILNNGPIVAVIQVFKDFLVYKGGVYEVVEGSSKFQYGHAVK VIGWGKQDGVNYWVIENSWGDTWGLKGLAYVAVGQNQLQLEAYSVAPIVAASTEKSAE >CAK75502 pep:novel supercontig:GCA_000165425.1:CT868208:131951:134247:-1 gene:GSPATT00011134001 transcript:CAK75502 MQPQVLELQTNHYFDLISVPPSMRKQSARVDQRPIQTSKMYFESDSNIDNTQSLHSLLQQ KEAQLDQLQRKYLQIRQVKSRKPQGQHFMHGYLDDLINSFKDKVDNNIISEFINYSNSMN DIYRMQQTHLHLCQQRLQQADSLVDQLKILKEADLFNLKKIVQSLQESLQQAQVTELDSN KLYRDLQNMKLKYAKLQNQFEIVQKQNEYTEKNMQSIKQHLQHTNEETQVFKKTLRKEAS QNQFNQRRVFTLEQRLEVLSGSDYKKDKDSLRNIIEDMLKENEANKRKAFQKSQEVSKLE QAVQDLKEKNQKLQKRNMLLEKQLKLLGYSAYDLNGNTVGQKTIVESNDPDDEALDIFQQ VNLPNKLDFKLNNLKLRQRNLVQDLLDCGIKQAIDQLFQMDQQHQVDQISIFMDLFVQYK NLGESVNSLINLIKQLLKIDQIEVIMQRLSSDSQLFNCELIQLWLIDQQTATFYTYNQKN ELVNAYIDADEFLNVVKSSQPIYKQQAFVYRNINTAKFAKDCYLIPLLTDSRLIGIVTLE NLNNKKQDAKYLGLLISQLLLSIIDKVLQFKLINYQLRYKNLLFEAFTELSKQKSKYKLQ KSIESHAETLFGVANSKFFFFSQGIIYTYKDDMHTTEYSSDIGVIGYVAKTQEALLIGNI KQHHSFHQSVDMTSGLPIFTLPLAFGVLQIVLNQSAQLQQNNKSIEVRKLLQPGETLQSM ALMFTEICNFAYQILQKELQ >CAK75503 pep:novel supercontig:GCA_000165425.1:CT868208:134758:135051:1 gene:GSPATT00011135001 transcript:CAK75503 MIRIQRCTGDEEIQQEKDINQWFERMYTEISAKQQETKLRTDKYNVEIKPNLKKHKICFR DEINPETGLVDVNIVENWKEYNINERNPVDDCFCQTS >CAK75504 pep:novel supercontig:GCA_000165425.1:CT868208:137044:137790:1 gene:GSPATT00011136001 transcript:CAK75504 MGQQQSQQYNFFWPQKGEVQLWTHSQIKTISEGDSKFSEQNNESVIICPVEYSLSWITKS NQIQFTSIKMSNGAKVKFVVDQTNETEYAQLENLEKQAFDELQPQTMWKCWVEIWLGQTK KNDIVLDKQESLENVQSESGLGSINNPIVKVYRERRGQIQLLTRHLCYTNQNENQKSSKY QINMQLCKSQFWMFNWELKQKVVPDAYFLELQNKLSTESLSFYQYTLN >CAK75505 pep:novel supercontig:GCA_000165425.1:CT868208:138029:138942:-1 gene:GSPATT00011137001 transcript:CAK75505 MKKGKKQKDSHQKQEEQIESFWKAQKLDLKFLEGLNLMNEYYPQTKQIFVNEQMNIQNRT SKIRCVKKRNNNAPLIDCRTKEEPKIMIEPISNQQMEIEKISKINEPIAVDVSNNNAVIV ISSDESIICLNDIEDQILDDYLSFHDEQQSKTQAQPQIYSRARQPPQMPRYMEYEPEKSS SSSINFSQPLSASLVAKFMNDEQDFQRNFDEWSLSSLESKTPPIKNNQHYNTLFELQKYF PTITDNQYEGLLLAYNNDYKLVLRILEVDQKQIQRLLDKNKNRKKVRI >CAK75506 pep:novel supercontig:GCA_000165425.1:CT868208:138965:140025:-1 gene:GSPATT00011138001 transcript:CAK75506 MINRIMTWSRLAFKPKYFNAQAPATQSEKLLQFTDALTKQQLKDTKQQVSQGRSWKVEEL RLKSTEDLTKLWYVMLKEKNLLLSDGIFFKKVVGVKGRMGKLVQLKISMARLKTVVQERD RIRQKYRKQLEDEYVKQKTEQYLAQQQEISKSEIEVPEITPNLLRAKVRDLKLGKDDVSY IEEALRIRHKKEDYKQYLREKYDYKNKPIVKLGENLPEGKTEDQVIRQFKSSVQEQIETA KPIPQDEILRSHVKNWFMLGPKQKRVIVNFLQARRARESKSLFLRELDLLGQKIRHDLQQ YQQQKQAQQQ >CAK75507 pep:novel supercontig:GCA_000165425.1:CT868208:140430:140813:-1 gene:GSPATT00011139001 transcript:CAK75507 MKFSYKIKNSYEQRTQQSFNLIEKHGQMIPVIIELVLKMRYHKEIPNKLQQFQKMMVRET ISVQELFNILKQQYSPYMTPQDGIFIFVGGNKLLTNSFSKSFKEVYQQNKDSDGWLYLEV RSKDHSG >CAK75508 pep:novel supercontig:GCA_000165425.1:CT868208:141104:142366:1 gene:GSPATT00011140001 transcript:CAK75508 MIQYQWSFSNSYFTQPLQQYLQVAQSQLALIIYMTKSKQIDQKYNTAQNLDSLCTKNTFD LFNIVSFRSYLYLGYLRNLLINLWQNHYKVSYPIIHFNELVFLVSLLLYMEHSIQTYKNT LLPNYYIMHQHFLSAIIFIYSIRKIQSEGTMCISFPLVTEDYSYHMNTFLFLNTDNFCVQ IFIFEILGFYYSIKFDNLQREIKPFIKFLINQLMFHVFEITKIYLVINLFVQFNSYKSSQ MVSNWLLTMTSIVIAFIHNLHILGQYYLQLRNCRDGRFFNDNPQVQVTNLREEDLEKLEI KSFNSQLEVQQKRNQAVDLA >CAK75509 pep:novel supercontig:GCA_000165425.1:CT868208:143456:145200:1 gene:GSPATT00011141001 transcript:CAK75509 MQNMDLDFEQFQRYIQSENTQQQKHDQKGNEKDRDRGHDKNKDKHYKDNNRERERERERD KDKDRERDKSAEKKKKKKDEKKDKKKRSRSASRSSKKKKSKKDKEREKDKDKDNQQQVIT SQLLMTSDIQKKREIAKQRKIEIMEAEIRELERKIVEVERELEEAHRQDLTVLMYCLPLK AKEKHIYQFFQTFNCGKIRDIRIIRDQKSGRSRGVAYVEFYQEESIPMALALNDRLFIMD GQQVGTIPVKIQLSQAEKNRAARDQKNMQIKQNKLQNIQDLQNMNGPARVQITGMAEQLQ RINEEDIREAFVPFGTIETVEIPKDESGRMTGVLYVTYEKAESARNMIEVINNQPFLLNG KPIKVQLVSGANNYMDLQLDDDLVQNPVMRITLMKKLMDDSLIDNINLPPLMTQLGLTVQ RILNIIQSPNPTLGCISGWMPLKHNPPACPCTTKVIVLTNMWTDLEISNQAAIVELKEEV ENECKKYGDVEMVWVDKKNEGNVIIVFKQWEAAKQVNVLMNNRKFGNKIVQSYFITESQF MSIIK >CAK75510 pep:novel supercontig:GCA_000165425.1:CT868208:145244:146449:-1 gene:GSPATT00011142001 transcript:CAK75510 MKDTTTRRIFEKENQAEVSNNIEKRNNQKSLTQLVSLTLLNHQPNFEFKSIDVKQQYSQQ NYLTQRNPNVQSLHYRPEQKQKTTICTTIQIYSPIKVRQTTQISQSIHDHSTKEPKNQQN RFLYNYGDIILTNYQIYDGIQKFEFLKKHSINSSLRAKMIDWMIEVLTSYKCKDQTFFLA VRLMDQYLNLSLKSHAPQDMHLIGVTCMFIACKFEEVQPVKLQTVHEKIAHKKLSKEEIR EKENQIGQALDFKFFGATLYEIITITLQMINQYQKLHQIVVYLAKMIIYDYSIISQFNYS LLSAACIIIGCNLSCQDQSDEIIAQVLQLLNVDKDATLDLSSRILNLAKNFDKQFQNLEN LKKFNKNSILDIVKLQK >CAK75511 pep:novel supercontig:GCA_000165425.1:CT868208:147910:148642:1 gene:GSPATT00011143001 transcript:CAK75511 MLKRSDIENKQVGDNQNKKDKENYLKEWEESLKRKEHLLESKHQELKIYSKNLQIQTEGL LKDKLNLGEKIEKYNRLLEQIIDQQISDQQLPKSNSQTSQTYRKPRKNGINECKERVGNL LKTIRLATKPCLKTQQGSYFSNRTEFEEILFSKRNNHSSSLTGIINLSNKEELISKQQQE QQRNSKDTKERFSQQYATQDELINHQKSLQQLEENQKYFYSKVYEELNKLKQNQ >CAK75512 pep:novel supercontig:GCA_000165425.1:CT868208:148845:149741:1 gene:GSPATT00011144001 transcript:CAK75512 MLQEYYNRKVLFITGCTGFVGKVLLEKTLRCLPNVRCIYVLIRQKKGSSLMERFKREILD SQCFDRLRQIYGGGFEKFINEKIIPIEGDMLKEGLGMAENDKRIIIDNVNIIINCAASVD FNARLDDAIQINVRGPQRFIALAQQIKNLENFIHISTAYVNSDKGGYIEEKIYDPNQENL EQLVSQLLKTPVSILEKNVKDIIGDFPNTYTFTKCIAEKLLVQNRAPNFPLTFVRPSIVG ASWKDPTPGWIDSLVASSAIFFFDRFRINKNFKW >CAK75513 pep:novel supercontig:GCA_000165425.1:CT868208:149871:150314:1 gene:GSPATT00011145001 transcript:CAK75513 MTWQLAKEVNALFWTRSPSSQQFSKPNLTFYKNENIYKVMSKVKNAPALIYYQVANKIGN KEMKIQAKRLKKIIDRAESINDTFKPFVINEWIFDSSNSNVLIKFLNDFDKQHFNIDIEK LNWRQYLERVQLGNSKIYLERLNKRIK >CAK75514 pep:novel supercontig:GCA_000165425.1:CT868208:150436:152383:1 gene:GSPATT00011146001 transcript:CAK75514 MNSQRVQSVIKQLVEEKSKKYQQTTLNLDKLHQNIEKQGIDICEVMFANYNMGVIRIFAW FITKVFRQIYEKVQINEPALLELQNYDQKTGGPLIFMPTHRSYIDFIMCSYVFFSYKIKC PHIAAAEDFLSMALIPTILRASGAFFLKRKQLEDSILYKTIFYEYVQRILIEECYLEFFI EGTRSRTGKTLNPKFGLLSIVCDAVFDKKIPDATILPITINYEKVLEADTYPYELLGEEK VKESLLRVIKAIKILSSNFGRIHVGFGKMISVKEWSKQQGLDSFEDIRDRKKGVESLGYE VAYQLIEEMVVMPTGIVSTLLLMNRRGITEDQLIKRFEWVLRQITKRGAKTSMTNNGSSD VAVRNSIGFLQDLVDKKKKNVFELTLIPKQEYKSILLLSYYRNQLAHIFFSEGIVCCALN GFGHLLSHKEGVSIERLWEESDFLLKLLKREYVIRNRITSKEQMINFIQYMIDKNVLEKV QDKIRVNQQFGEQASQFTCSLIWPLVECYWATIVYLYQLKRVSDTSTTFELSELITQIQG FAEQMYGEHIMEHYESCSIETIKNAINTYQTMRCINISKKDDSDQVSIVFTDQELLEVEA QVKKYLKNNYSKSISNPIDIARSLLDYTIIPKL >CAK75515 pep:novel supercontig:GCA_000165425.1:CT868208:152436:152823:-1 gene:GSPATT00011147001 transcript:CAK75515 MLQKCIKLAKSAQFSQMVKSYTSLAQHKWLDYDESHKRSLQTHKSRAEEFIHKIPVIEVD SDVVRCLGGTHINAGHPQVYIKLDTRTEGTPQTCKYCGLQYVKKGHGSHHH >CAK75516 pep:novel supercontig:GCA_000165425.1:CT868208:152882:153719:-1 gene:GSPATT00011148001 transcript:CAK75516 MRQQISAPAFGIEYKSPFSLPQQGSVKFISQPSFQNQVQPIVQQKYQYQQQSYPQQFNRQ ICPINRNSNATRGMWEQQGVQRIQSSHGDANLQSPLQVVDLQQVEEPWRLRVQELQNRIK ELEAQKQNKIDNDDEDEEVKFNNQLTQQKFYKMKFNNYKRKQSRDKMLQMAMIGRYKKKD KEIEEANQYIQELHGQLEEQTTNVEEKHKYLFDEVNTWKKKFIEQNREFHQKQEELMILQ AELDNLRNAQIIIGKSSDYKQQK >CAK75517 pep:novel supercontig:GCA_000165425.1:CT868208:156204:158304:1 gene:GSPATT00011149001 transcript:CAK75517 MYQKVVNLIQVADMFGADITLNIQNKGKFTSIFGGFMSLVVWFVMLYVFISQGVDLINRV DQQAVTRTINDISPNARVLNISNFMIGIKLDDPLQTLLNTTNQTMFNITVYQNNITTFPN KTRIKSLNTNLILEQCTSQHFQSLDLISDSDQVETFTNYYCLPLNYSFVLEGTYNSQHFQ YTQIKVSTCKNSNQCFTADQLNLLSERQTFKLSTLMFTTIINPFSNDAIISQQLFQDFYQ QSTKLQEQVSDIFLEPNTLEIDNSVFPFQEDSFFGEFWSFRLGNIRSFINDYAEPTSYFT VNLRISSEYYSSFISFKKFGDFISFIGGTLKVITSLIGIIVIKYNIIGFKLMLANTLYQC KLDDVSKSQKKAEQNDIFKFIQKEKEKAEAMLQKFRGSTKKLFQFNKVTGIFKSQRFSQI YKSDADLLKDVQQQHNPKEIDSVKLEPTTHEYKSIDHLKDAFIQSMVEQLLMANEKIKLG LNFIVYYLSCFRHFKRYKRIYIVLQKSTININNDLDIVLILQKLQEINKIKQILLDEHQL QLFNYIPQPIITWDGHQVQNSQQSKNSIAKLNVIGKVSKYDTRSKMLKLFRAYQEVQIDE NEKQINSRLVDYLGPVVQKVFQQSHQITENYNKMKQEEQNHIKSTKRPTLNTINLTQNDQ TRIYGPNYLLSKSDAIEMEDITYE >CAK75518 pep:novel supercontig:GCA_000165425.1:CT868208:158357:158764:-1 gene:GSPATT00011150001 transcript:CAK75518 MSFQTTDSKKEEFRKYLEKAGVIDQLTRVLVGLYEEPEKPNNAIDYVKKYLGSPVDIDVD KLKLEYEKLKDENIKLKREVAELKKELQAAQQEQN >CAK75519 pep:novel supercontig:GCA_000165425.1:CT868208:159055:159846:1 gene:GSPATT00011151001 transcript:CAK75519 MDKLQTEILLVNKDIYNQLYDTQSYTKLDQLKKMSLQPSPIHVAKDLLSARENPKDYVKQ HKLDFKFRQTQFWQPSITDTISYQQSIQQQQSDQQQDKQQLVDTTNAISFIETSKNEESQ MQQSSQSTRRKIMFKSRISKQPSDSSIWKKPLKQINKIVLQLMQDSKAEYFAIIKYEDAS NQLEQKSIDVNKKIQWDCQVKFEITEEQNLYIIIVQQQQGKIGQQNVLQQAINIQNVEKQ FQQEVQLKYLKNIINANLLVDFN >CAK75520 pep:novel supercontig:GCA_000165425.1:CT868208:161349:162307:1 gene:GSPATT00011152001 transcript:CAK75520 MISQQEKERLLQDKRNKIAAYKKKLEQSQQTSSNTQPQQVIQAQDNDQINQSPQKEQPPS NQAVIALPQQSDLISLYLQTKRKKLRFQTIEMYSNSPAPPQAEVMTIEFGVQCEFEMSSS QQENEDQVIPLIDNVRRDSRKKRKVLQSAEEPVEKRQIPFFNPNHFKGFSRSLKTIEKAI HGVGVYVLEDLMNTQQVAQEIGKDNLTKLLSFSDKLYTENRVVTSIQWSPNLPYSFLASY SQNEEGSITDQVGVVLLWSLQLKSRPEFYCFASSPVTSACFIPSVQVYCLEDYTMAKQLF GT >CAK75521 pep:novel supercontig:GCA_000165425.1:CT868208:162480:164863:1 gene:GSPATT00011153001 transcript:CAK75521 MKKRNQKLSDLCVTSKVLCARFGTYTKKLIAAGDEKNCVQIWQIGNQKPIATLSSQNNSN AQVEVASVNFSFCETELFSGSNRGIINIWDVESQKQIQTLKGHTTCVNTLCIYPTEENKH LLLSGAYDTSIKLWDLRTKTAVNQFKGHSMQINALAVSPNCKLLASGSNDGQVKVWDIAQ AKIIASFTQHDSQITCLSFNPVEKALASGGGDRCVRYWDLDRLNQISSTRTDTTPIQCIL FEQNGKALYSATYDSLKVWDVEHDCQLLDNVESSWRGVLDLIVVPERDQLLGLASNVQTG FTLHGVNLKSICQDPKSIDVRSSGGGAPIKRGRTPDKRSEIQTANPKPSDSESKVKSKPS LQMQAQNILNQDYQYDRQKSNEQLKEIQQQQQQQQQPYYQQQQMVGSPFLNNNAYQQLQQ YPQQQILYQYQIQQPQSFQQQTPFLYQQQPNPIYQQQPNQLYQQQQQQNQLYLQQNLLAQ QPQQQFQNHDSNPYFSNQVHTPQHNPQKPLSYLQVPQVILPNIEEEHQPQDESEIMNSSD LTLSQFMMGDQNKEKFKQVDLIHEIVKDHNKVQSVLTQRMNYMKPILHWWSNNNLKSAMN AITQVTEPSILQDALSLYSQSSKFGQVPIDSIPMLMEKARILIESKYTNHIRGGLDFAWT TLNQFRDEILNIKLFNQLSKADLTREERIQKYDRVIEQFKIIVQTPKLQKIIDRNKEDLS DLAKKFQIEVVGFLKKVNQNQMQN >CAK75522 pep:novel supercontig:GCA_000165425.1:CT868208:164903:166436:1 gene:GSPATT00011154001 transcript:CAK75522 MNISKSKNSVPQDKPLKSPQPDILSMPMQPLRIDMLLNSKNKLETQQSTKALDIVKQMHQ SSTGNLKLDTSINKSKEHLLKKTPTKTTASFHYDKTPDNSQINQSYSPHTHACLKPQLKQ SEEQLNIKNISSQKSLLQKDQSDRIEQLNLLLREQKQQIMQKEQENQKLQEANIRLNQDN QKLYTQTEEMLKDLEYMRSNFEQQNKDFNLLKQQNQQLLEDMKLIRSERNKMINNNNEQL LIQKNKEISQLQSELNEHKQLLLAKDSSIQHLKHQFENLEQQQYDIMNRLEQKQDELQTL KQQQQLNILNQKKSNQFESLSRRSSVQNDVLLYQAQLEQLQKEKEICEQKLVEMQTVINQ FLLKEKKVNQPPNNSVVQQKEFNKMKQIIQIQKRQIESQQKTIMNQHYEIQNLQTLLYCS NEQDSQFNKKTFDSNDADKYSLQSADIYHHIQEKENDRNSLSEHLGAHHLTFQQQQGSKF IMEDTFRNLDNELNSHNTITD >CAK75523 pep:novel supercontig:GCA_000165425.1:CT868208:166511:169160:-1 gene:GSPATT00011155001 transcript:CAK75523 MAQNQIVGAIKIKDGLFIGDEFASQDREFIITNKVTHIINCAGTEVQNKWTLIGAKYLTF NWLEQDNEVLFDERGENVNKIFTFIEECFQQGESCLVHSVRGQSRACCVLAAYFMKKYSW TLYKTLEFLNSRRPDLEIRASFFYQLNALENRMNKLGPKRTASWNELTTDEQNPQHVQEE LIIRNTFLNSHNGPVDEIYTNLQAKQGVLGKPTNQKLKWRDQMNKENIQLATLVYSGSPD FVPASEVNLKRDSETSILKGAQKNQSLIQLPKQPQIQTSFPKSTQNVTQVRQESSKSQQQ DQTDITINSNLNNANLINNPSFQNMLMNCAAKSRTPQQQQQNITQQQQQNVIELNKKHQL INNFIKTSENTTQQQPPAGTILSSSNQIYQNCQNPIRSNSLQQNEDKKLSTDISNQTRPS SQKQKDNQNSILTNFQEFKNQVQQSLNYFNKQAEALLNYDKSSQQSQATQLINQTNNTIQ QKSKLDQLISPTSIQTMNSTKHSEIQKTLTQSISQLQSSYQKFQQLQQKNQIKQSQSQSC IQKQHLQNTEFSQYNQPTMLNQTNIQDRSSSLTKNQETLDSKLRPSSTEVKDSQKSNGIQ KKESLSPFSKDPSKKVSQQGPPLPQSSSQIYLRNVQCRRQAASTLRNQQKPNNSFQDKYL NQSANQVQNNSFNNNSNSAIDAEQKQQAKGIVTDLNQFKKLISPQNLTKSQASFIKNQPI RVLQELTEKTQSQKQVKAKDNVSSTSFTLVQKPSSVNTRTFSPAIKNESKSKATNVSNVR HKVRNSSPGISKDQDQSNSFQNISSTLQGKNSWKML >CAK75524 pep:novel supercontig:GCA_000165425.1:CT868208:169188:169722:1 gene:GSPATT00011156001 transcript:CAK75524 MLTLLKRSIYFVPRFSYHPNVIDHYEHPRNVGSLDKSNPKVGTGLVGAPACGDVMKLQIQ VGEDGKTIEQAVFKTFGCGSAIASSSYATEILKGMTLEDAYNIKNSDIASYLKLPPVKLH CSMLAEDAIKKAIEDLQSKNNQSTQKQDK >CAK75525 pep:novel supercontig:GCA_000165425.1:CT868208:170341:174038:1 gene:GSPATT00011157001 transcript:CAK75525 MIVKFHKTTERIKGLSFHPKQPWLLVGLHSGAIQMIDYRLGRTIEEFVQHEGPVRSVQFH QSLCLFISGSDDFTVRVWNYKTKKCQFVLRGHLDFIRCVHFHPELPWCVSASDDQTSRVW NYQSRQMLAIVTGHSHYVMHCEFHPTKDFLITCSLDQTIRLWSIAQLKKRFTQKNLQNDQ QNELELIQILEGHNQGVNWCTFSPTENLILSASDDKKVKVWKFSDSRGFEIDSYQGHINN VSSAMFHPFGDYFISNSEDNTIRLWDMKKKVEIDCFTNYELDRFWVSAVHQNNNYFAGGS DSALYIFTLFRNRPAFDLIDNKILFVGSKKQIKIIDLQNNKEIVIKNFQEVATLISDNLL QDNIEFIQQNIYETSKNMLLIRLKQSSHNKQRGICKYMIFECQTNLSQIFLGKTAIFIGK YKILKSKENSEIEIYNFEVDSHKALGHKADKLFPYQGGKAIFYSDEMINVLDPEANQLVY QIPCSNEFNNMKKVLTNDTYVMIQTKKAIHLFTKSFQRVTQIQESINIKSVLFLSKTQNI IIYSTKVHIKYLLINGDSGIFGTMETVPYLIQLHEKYKLIYMNNVGTLLNMALDCSEMLF KQALIDKNIKYIQNFLQSHKKLGVLITSYLYQKGFPMIAYQLVEDKRAKFQLALSSNNLE LSYRTCDDLKNPVCYQKLSEEAMRQGNHNIVEVCQQKLRSSQQLSFLYTITGQIDKLNVL SNIAKEQNEYNTRFQTLLHLGNYSQRVQFLQDCKLNHIANLSKLVHGLEYDQKLIIPEDL EWVQSLQPELLQPPVQIIKSKQHPLFSMNWPHNSVDQDDQYNILIVEDQENKERNQKSQE KPSQNQEKKNVNNCQIKKEDQTKENQDNEEAFEDCQWEINESELLEMQLNQATLDYKSLQ YGYPDYKKVLSPVEQIITEQFQQCQQSLKSTKNVTNINLCKDQMKQLCLSSIIEISQIPF LQPAPQMISAVSDKQHFSRISQDLLKQGYKQTTDGKFQDALTTFKTLLRKALFYDKNTDI IPICLNYIIAMNCEIKKKDQSVSRQIELACYMAMCDLQPIHRSLTLRAAMSLAYKHKNHL TGAYVAKYLLKLLEKAPQGSAYAKVEVIENVKKILRNCEQSLRNEYEIDFEEDYLNQGTK ILFADSLTIIKHQNFYQCLFDKAIYSQKGKLCQICDLCQMN >CAK75526 pep:novel supercontig:GCA_000165425.1:CT868208:174197:175674:-1 gene:GSPATT00011158001 transcript:CAK75526 MQRQPTKKSFSSNKRSESGNERKRSVHNDSTYNHKSDEELRDVVSKLQFKILKKNDHLAK MKEEIIELQVQWQEEKRNWQNEKCALERQADFYKENVHAERLNNQKLQIQIDKLTHMLTD QSKVKQHFDTNTTLEKVSGQLNSEIDVLQKEIGTLRTQITLLDNKLLTKEGTISEQQIRI QKLKKKIDQQLQVQLEEKMVDVDKQKKRSGEIKERVSELELKLKNLSLESERIKKDNKFL QQENDQLRIQYEKSSRLIPQLEDQNKRLELEINDYEQQFEKMSKQIRDINNQYANEKQSG QSHNEELKKKNAQIDNLEQQINEQIRLLHAEQRQSTFLKEEMEKYKEQLERLQRQSQQLT DAQHKDMDVLEAKIEKISKEMIKLREENSILKSQLSNQKKEHAQYIDTIDQLQKQVRDLK GKNKILVQQHDDLDARINQLALMKQQKTAKVRIQDSDFRKKMSKLERSDSSSSFSD >CAK75527 pep:novel supercontig:GCA_000165425.1:CT868208:175685:176423:1 gene:GSPATT00011159001 transcript:CAK75527 MSKQIVHHQSQSLWNYTLSPGWTMKEVEILNLALMKFGIGKWRKIINSECLPGKSIGQIY MQTQRLLGQQSLGEFMGLSVDLKKVYLHNMQKKNVFRKNNSIINTGDNMTQEERKKRIAE NKKNFGISPADIALIKLPRYHVNSQTSFLSHDEILEGNFTTVEKINNLCALKKDILRKLK KIENGELEEAFDDEVEQKRRYKKVMTDSDSSSA >CAK75528 pep:novel supercontig:GCA_000165425.1:CT868208:176497:177571:-1 gene:GSPATT00011160001 transcript:CAK75528 MNWDSRIYVMMIFLSIHLGLLVFSFIFKKYIKRFSLTMPKAPKTSKAKKIQKKVADRKKN PLFVKEAKNFRIGNDVQPKRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSKTLDK NQSSKVYALLKKYAPETKTEKKQRLVKAAESKAQNQKTDSKKVTVLKFGLNHVTTLVETK KAKLVLIAYDVDPIELVVWLPQLCRRQEVPFAFVKNKARLGALVHQKTATCVALTDVRKE DQAEFDNLARDLRQHYNENHELLRTIGGGQVGIKSRHQQEAIKKAFELEELKKTSQ >CAK75529 pep:novel supercontig:GCA_000165425.1:CT868208:178086:178961:-1 gene:GSPATT00011161001 transcript:CAK75529 MQQTRSPLSPIDSNQNTYRSQASKTQDLNYQLQFQISKQKLSTVPDSRQDSNTAYMSPRN TKLKTQTFFSPNCRSPLTTRKEINAIQSPYNFSIHSAKSPVKIGNNVSQNIKSELDYFKQ NNSNLQKQILNLTSEIQRGQSNTLIKELKQKIQLLTQMNDKLNQENKELQQKGNLNQLKQ NIEQQIKLKKENEKKLNEIKENQKKYEISQFELVKNTTTDYITQLILDLEERVHILIIEN ANLNKVFNQKIRLNERFNTLELQLKQAQVQFQQIKVEEKLIKTKYNQIKKK >CAK75530 pep:novel supercontig:GCA_000165425.1:CT868208:179292:179668:-1 gene:GSPATT00011162001 transcript:CAK75530 MTFIFYVLLLAPLFLLIGIYKLCFQRKFGNRRGQFGTLHERTKKKLFFGYAELINTMSNE DLKVLQQTYENTIKNAQSIKVQGIKKVKNLSKRLKKVLFVDELDQTTTEQSTLI >CAK75531 pep:novel supercontig:GCA_000165425.1:CT868208:180269:180667:-1 gene:GSPATT00011163001 transcript:CAK75531 MANQATAVAYAALLLAGAQTPFTVENVEKLTKKAGVNVPSQLATQFVRAFEGKDIISLLS VGGGQGSAPAAQPAQAAAKPTEAPKAAEKPKDPEPEEDVDMGGLFD >CAK75532 pep:novel supercontig:GCA_000165425.1:CT868208:182009:182293:-1 gene:GSPATT00011164001 transcript:CAK75532 MKILQVQRYRFILNLEFLYAFEKPAICNKNIASCRNNSARLSAFDLMNVSSNKANEKKTF SIALNYFKNEERKTITKRQSENLYGFSKVSSKIK >CAK75533 pep:novel supercontig:GCA_000165425.1:CT868208:183192:185144:1 gene:GSPATT00011165001 transcript:CAK75533 MTEKVTHLTYEALKSMVEYQDNTTQYCVQILNIVFQDDMKSKNGILYQCICSDGFAKMKM HILNLSPSILANISKLNPIIRILELKLSQPFFIVIKHEVLYLDKCQVGQPLDYEEFKRMN YTNPNGNNEIQFPRAPHVLHINSNKKQSMLPQQQQKQINDFMNQDQQQTKSGVKLSIQQK QSQIKQAPQFVENQIYQPPSDINLLKISELYPGMRGFKIKGRITSKTDITQFKNGKGYLF TIEIIDSDKQTIQGVFFNKLCDKFYDFIDIGKVYYFENASVKTNRYSSKNQNQSDYQIHF EDFSKISIAQDDEEIDTFAYQIKTIEDVDNLSLDEKCDVLGVIIDIKPTTQIMTKSNENR SKKNITLYDQTQRGIDIVLWGQQAEKWQFQKDEIVAFRGLKISDYQMVRNLTVTNSTIYE KNLSNLKKINGFQEFYEFYSKNKDFLETKPKESRKKFPLSYIEQIKKDFEGIRNIKFVKF YEIKAYITNIFTKLLYYEGCENCKRKVVYIQQTKLYHCQSCNQNFDQPSYKYMFNAKIAD TTGNLSVSVANDQGQQILQLSCDEFQKKSQVDKDNYVKRANFQQFRFLIIGKVETYNDEI RPRYYISTFIQDDIVSDNEELYNQIKQMLSQNY >CAK75534 pep:novel supercontig:GCA_000165425.1:CT868208:185522:189344:1 gene:GSPATT00011166001 transcript:CAK75534 MYQDPQNNEEIILLQTIEKELSNDQQLQQICKIIGQQNQNPRLLVFLQELFKILFNTYTQ KDYLQKTLKVLNTILNKYKFRNRPIQYSDLSHYINQKYNEVLAHFYEKAFLKGPDEAYKH MNFIYYKKIARDYMQSPTCQNSELTKSILTKIDQTNQSFTGNTPQEFEMAVFECLNTLEQ ELLTQIVSADQSKAPKQINSLQEKFLLNLPPDLQDKYRSQNFTDIYAFKDYLVQEKQTKG IEKILGYIFVDKLNFYLNSYYFVNSAKKIKENKSDLQRQLHELEKDIMDSQKDYYSFIDI PSVKYFYKSLKSKRVRNLNGQCFSFKKKLTDFIKSEINSCEVPKKFNIAQSEKWNEIYFT LYSEMQRNTTIEEANVAFKIIQNIQQLILQFLRFRWNYLFLFCALIVEAKLQQDELDSVL DVAYNMMQQTNKGNLNRSFDQILAELNAKASKQITSNYFDKIGYNMGYISLQVLESLLPF INNKLIKVMLQVNVQSNQKIRLINDLKTFEFHLIHDCSQNLKTYLKIFDKLVDSILEGEK EEKDQKSLFLRSYTVYFNTKLKTLIGERSNKQLGSQFFNTYFYNISKLKLDYQRKTLGQY QEIFQREEKISQICEQFILKEAERQYPADICKDLIPVYIDTFFKDNPFIIFLPALLRGLS FFFRPQKYIIFEKLERVKFAAVAFRDKDQNEINKFIEFMNVQKDLYTFEQQFQIAKNIYN FYITIPQFVGESSACKPITDSFPQFSQMKSINDYNSTAYNAICFFTSKFFCQDPKAVIKG EDQLRNSDILKKLSSLMSEKSQFEPIQQDNFLIQFIELKNYKAQLIKEVHQSNDQNFQHK CIEVFQKQTSRQTIMSEIIEYKKQNKIPQNEIEQYYWAKSFWIQTGNQEFRQNIDNTIKK KVEDYFKYLISIPTLSQLNEKLSKDVNTLQDPYIKELIKKQYPKYKDEFEKNFNKIVSQS PVSKQPILQMGAIQKKLSNIRQDSKFREFSTEDQSVLTFINEQFSLYMKQLLGKVFQVAL TQKPGYEFKVFQNKPISSNDTLMMKYKQNQELQGKFGPQKNSYLDITLLTANENKNQLYY ELCDYTPTQRQIEFRNFLIEIEPLQEEKLKNKIRKNKWKEEHPELEEVLKKRIKRGAELW NQVQDFLCKRENNENAMDSDEESDKYKGQQQQTIDIVEDDQLDQKIQDVQRSFERQLLTG RHYIKTRLNNMDNDNPLLTQKEITVKTVQFVLEQHPYFKKSQILYKSYIL >CAK75535 pep:novel supercontig:GCA_000165425.1:CT868208:189717:191340:1 gene:GSPATT00011167001 transcript:CAK75535 MKKQIYREILMQQFNNMMDSKFYIEDVFVETQNEKLEIPNADKNKIMHTLEENLKQKNDF LNSEDYNNFQQLLVISLITINFSNDDPFFRHITMVVKAIRKAIKKRLTQEIIDLQTKQEF KIQQSSLFRIYYQDINIGFITSTQQIKSTAFIFVNKNIFNEFVNKRMSIEQKDQQVRLTL YFFDINIQKEQQIQQDFKNQFLRNNKFKNEFIVLNEEIDQQKQTTTYHVYKPMDDQIYFV KRIQLFNIPLDVTFQDIFNTKSSIQDFFKIQEARTLQRISHPNIIRLYEWWLEIRNYQLF LYTQIEYCIYPGYISQSKNLLSYSYFYMNPMPQEQKSKLINEILCQIISGLECLQKKQIV HGDLKPESIMVTKSITGDIQVMLADLNHSYSCYQDLQKLQTKDYMEYKQQCLYALGVLLM HLILTFPGEPTLRNNYVTKFQNYDIDDSLSEFDKWAQKSVKNKHKEFSFFLYKRYMELAK SLLKKQQFHELHEVRTFIQNNKQFDHLLV >CAK75536 pep:novel supercontig:GCA_000165425.1:CT868208:191764:194151:-1 gene:GSPATT00011168001 transcript:CAK75536 MEIKKKPAIELPTVETLSVLDQNEPQNGIEVICRIRPKFVGENYVNYIITDKKLDIYETN NENEISNQKTFAFSQIYDQNSTQRNLHQEYVIPMIKDIFEKCTNNTHTLQKREDWFLRKT YTIIGTQEAPGILPLTLQTLDRIKTAFLLNKTTIEIDGQVIKIGESPYDDYVLKEVNYTF QSFEIYNEEIYDLLASNKSKLQIKEIYDKKCYVKDAVEKEINNNQQFKDLLQKALTNRQM GETTLNNQSSRSHTIFKIQVSFLYQQEQFTILKKQTICIVDLAGSERAKRAETQGSQLTE ACNINKSLLVLGKCLKQMRCQNESDQNSRIPFRECKLTRLLCEYFTEENRILMIVNVRLT SDDIEETLKVLHYGALSVNVNLLKSKIYDSTISYNQRLQINQSQQVANDSKSKKIPKRNA KDIIRRAKMLVQNTTQHMLFQQTIQDSFVKDLISTVKQQQAKLKQFSGLNDELFYPPIFE SDSRLQKSLDKIQSDKSRQPSLDILENKGVHQPPPVSNFLEEVPQRKSYRYEEQSNQNQD AIIDERPLVESQRLSQIQEEKQDIHSIIEQHFNCYEEQEDLMNGLRQLDLEQIDEQTDES KQQSKCHLVQLNSNQENDMNISNQCSFKVDLKQYSNKKQDQNHFDQATNTTPYDEMKMKL FGQLFDQELQKHQEEKDKFKQEVMKKLFNDDDLQNLIDKENQEELINLEDSAKKQQQRNI EKLISSNHKSDLKVINESDQEHDSSGDKKHHQTKNHPKKKKQTKKKGKSKKR >CAK75537 pep:novel supercontig:GCA_000165425.1:CT868208:194185:194540:1 gene:GSPATT00011169001 transcript:CAK75537 MNNRSYYSVDEIIEENSQKSSYIIEELNLMRENLNYEIDQMTNLIEIESKISIKMLALKV SSRYVNPFSYESMVCISQYPADIVFFFIIAQQNLNKKIENVHYSTTCNKK >CAK75538 pep:novel supercontig:GCA_000165425.1:CT868208:195024:195614:1 gene:GSPATT00011170001 transcript:CAK75538 MLDYPQFKLPEFVKLCFHNQLLDKTYLEDVICTALNLIFFHRALGPVKLNHMRCERLPKI TQLRCGEPQVDKDIKKLIDNINNDPNMINCSSLQISLKFMEEQPTTLLQFFKPKLKVFEE WLLLFQFGLSSIDKTQDEFTQLLNKIIDIATANYDHFDEQFDQSQQKPLKYPYELTFNFQ >CAK75539 pep:novel supercontig:GCA_000165425.1:CT868208:196067:197535:1 gene:GSPATT00011171001 transcript:CAK75539 MKVFAMMALLLAAAYCQSNEIDVVLKMLGDLKNETSKQLQQLESDWETTRYQKQDIVNDL MRSASTQRGECNRRDQEWANKERDIRITLSYINWLEKRIKENNERLSRLDVNRCESNANF INDIKNSKKTLNLIAFLRRAVKNAKETDLPTLLQSAQFIQLKSFLAEDGEEPEVTSEDNE PPHVYDEPEAVDSTEDVSENQVDAAPDVETTEESATEAEAPTEEVEQQSLGDAHEEPDAP VPEHPLEAEEKATNEQLAKEAAAFNKIIEKGQKAPAEAGSGKDYSQFTVAQQELLNFLDI LEDQVRGSFGKKQDDQVNSAMGYSDFKGLIQKENETFKGHLVAEDVNLEKLQNQLITILQ ATAACKDRLKKIQNSIDLANEDLASSEAHFKSVTETLQEEQNTFDDVYRIYSSQVGSQNT SYKRDVQAKITN >CAK75540 pep:novel supercontig:GCA_000165425.1:CT868208:197677:198991:1 gene:GSPATT00011172001 transcript:CAK75540 MNKNQIQNANIRKPSNTYLKTEFNNTSQIKDNRFTTEPSPKKCNKENIVKASYLKATLSN HLIKQDRNITPNLPKSSVISLFLKQQQEEQQVESKGSICQAHKKKYKYEVNEQLMCSRCV VDYAILSGLPIRYYEIEKKMLTFGQEEDHDEFYQFTQNTTKELQYIQNIQTQANGIIKKK ELKDFVLKVGDVISQNMQFLKLIQQHQIQFDNPKQKTHKILEELQMQSKQIIDDILDKQK AKSQGLLINDAPQQINLASFKSVVSQQINDLQNIKKDILENVENIITQMDVAPFKIIINK YNEKLRSFENTLFEIQEQYKQFPKQNEDQGYTKKMRELNFQKKLQKIFTELMENPIQKSL LLADQINNNTKFIQLLQKVNTNQNTNTIFYQSILKDINSDEKPKQIRPKLRQQE >CAK75541 pep:novel supercontig:GCA_000165425.1:CT868208:199254:200016:-1 gene:GSPATT00011173001 transcript:CAK75541 MSWGEPAEVNIQTQDKANEAPQSNWGNTDQGWGNNDGQQQNVQQGQDDAQDERKGKGKKG KKREASPPPVKRVRPNPIVIVVDENEDSETQNIIINDCPKLQNKNKGLIQNENKQICYEG NLKIEDCVVNTRFVSFDLEQQVKIDCIGEYTVENAKDLLKTLNDSVIIGIVEGLDEENQK VINKLADNLLDKQQSIKFKDLSLFSIKQLYKIENKSRLAFIKQITQ >CAK75542 pep:novel supercontig:GCA_000165425.1:CT868208:200317:200810:-1 gene:GSPATT00011174001 transcript:CAK75542 MGCSSMKASRKGSLSIPKNGILKKPSPNQSCQLDLVIKKKTLSFQKDPTYETDNLRMIGE DYTLIIFCLYMYCVPTQFYMLKIGTQSQDRLQINYEDPLKFRFLNKKGAKIYLWPEQYEN TNFLYLINVLAIPQMHEPFLQSTQICTNKMQQV >CAK75543 pep:novel supercontig:GCA_000165425.1:CT868208:203327:203961:1 gene:GSPATT00011175001 transcript:CAK75543 MVKYSKALRQRSRKYDKITQEERVQIVQMKENGSTCREISEIMNKNIKTIQSIKSIEKKS EYADLKKALIDFGFQKIMEMQQLDKRNSKIIGKQASKLIKEQLEEKTSDFWNLIPEQKKF SNKRRIIQQIVLNIVEKVQNVTHKTNDNHYQQTTIITKEEFEEKKDEVPNILIEQPIPLL IAKAYYRLMSLFIPTKNFYTCLQ >CAK75544 pep:novel supercontig:GCA_000165425.1:CT868208:204229:204908:1 gene:GSPATT00011176001 transcript:CAK75544 MTQKQWNSDHKDQRGMIKLYCWLRIFHTDNKIILQRVDISVLLVFDITNPDSFHNVQKWY SEVSENTGTNSTFILVRNKTDLQSQLKITTTQAKAFADEYQIQYFETSAKTNQNIDLIFE NTVHQIFEKIDKQLIDFANEVLLSDNCLRIKGSFWESFITKRNKGLRGKIKIKIKIKIAS VEDFFKYKQFYKF >CAK75545 pep:novel supercontig:GCA_000165425.1:CT868208:205075:205886:1 gene:GSPATT00011177001 transcript:CAK75545 MPIKKRLSHRNRKYEKLTDEERSFIMDFKSKGMGCQEIARRLNKNLKTVQSVQNSERKSE YKSLKEAITQYGLNQLLQITSITFMDERKLKKLATKTVKCVMPPNNNTMFAHLIPAQKAN SNKRRIIDQIVNNILNNIQKILTAKEMISLFEPNNLSQGDTMGMETSEYSQYSSNMLQND SEDPNEYVEDNSERVPNTEYQFHNLPGIFRQFEGQSINFLNHRQFEKTIEQSSDCYFDL >CAK75546 pep:novel supercontig:GCA_000165425.1:CT868208:206815:207387:-1 gene:GSPATT00011178001 transcript:CAK75546 MLKNKLTTKWQSMNNICQSGIAKSAKGGKGGKGGKGGKFGQGKNKKAPQSRSLKAGLQFP VGRIHRYLKQRVSAKNRVGTTSAVYTSAILEYLTAEVLELAGNASKDFKVRRITPRHLQL AIRGDEELDILIRATIAGGGVIPHIHKALLGKQTPEGGLPKE >CAK75547 pep:novel supercontig:GCA_000165425.1:CT868208:207986:209017:-1 gene:GSPATT00011179001 transcript:CAK75547 MQTLDQIVEDLFNKFTFQKPIPCQPTPIKPQRTQSAFRRKPQNPQQILQQATQIVERKQV MLNTIQSMKFQRSYTVCKTEESSKPVKFERYQITSKLAVGAFATVFKAYDRMTDSQVAIK KYNSNLLQSEQKKLLFKETEILRKLDHENIVKFLGTYKSTIVLEFIDGQTLTNYLKNQPN RRLQENEAKQIYTQIREGLRYLHSRNIYHRDLKADNIMIINKQVKLIDFGLAQENSLCQD YCGTPAYMAPEIFQKKPYDGRKADIWALGVLLYQIICGKVPFPGKNDEEIMLAQIPKFVL PKTISREFQYQLLRLLDKDHTKRKL >CAK75548 pep:novel supercontig:GCA_000165425.1:CT868208:209046:210742:-1 gene:GSPATT00011180001 transcript:CAK75548 MSLQFSPNVNQVLGAFQRVGLNQGQAYQPDQIYQALDQLTNQAYDRDVANQIYEQCTPNQ RGQVQIEEFSQILISADAMLKKKIRDTEQQVLQLVEESRDCQRQVKEIKYTQQQNQFGID TDSSLNLMIKSASGITNSDFIQSYVSISVDNNEQVAQQTGGDKFHPIFNEQFGFQIKTGR ENITLSLLIQDRNQKKSLVGQAKIDISQLQDQQVHSLQLQLQSDRQQYATPTIQFDVQWI YNKLKYYQEMISKLEVDIQQHQNDIEDYKRDLFVVQQPFMDNNQRQNLQSSLKPQNSSKV QTNSALMYQQQQNQPQIYKPTPPQQSYRQNQDNIQPQYQGEATDELSDEIYYGFILYLLM IVLSMFQCFARPAYVDILLGTMYLLIICRDCFNPEYLKILGAITVFTVVLDIFWIAIYKN WWNGTDKTLPTWGEAGDPIVRITIVFCIFNMILKTALCYIIFHYYKEAQSNQVLKFKVWQ LEFQIGILKQNLFTLDKRLLS >CAK75549 pep:novel supercontig:GCA_000165425.1:CT868208:211229:212758:1 gene:GSPATT00011181001 transcript:CAK75549 MNHLLIQKQYPSWHMNHTTKIKKLPVTVLCGFLGSGKTTLLNYILKQRHDCRIAVIVNDM GEINVDSNLIKEGKFSVKKTKEKLVEMSNGCICCTLREDLIKHISKIAKSGKFDYMLIES TGIAEPLPIAQAFYFENEEMRKKGQMLKKFARLDTMVTMIDALNFLDQLQSDKLGEEMNV DNKQMDQIPLSQLLLDQVEFANVIVLNKTDLVDEERKEFILNLLKKLNPNAKIIESIQGQ IDLKEIINTKLFNFEEASTTGKWIAELQKPIHKSEIEEYGIQSCAFKSRRAFHPDRLFQL IERNNTEELSFWNNIIRCKGYMWVASWERLNIHMHKAGTQLTYHPGSFWWISIPKKVWAD TKEEAIWDGVKDNWLPEPIGDRRQELVFIGQNLPKQEILEAFESCLLSEQEMVQFLQNGW DNTNDPFPKDWGKLVKEFEDLGELRGNEGEWEDVWDEEGEMQEEHQEDGPMQIEDQQQQL ID >CAK75550 pep:novel supercontig:GCA_000165425.1:CT868208:212868:214337:1 gene:GSPATT00011182001 transcript:CAK75550 MNNPEVIYANLTGDSEPFVTDSLCVNCELQGKTTILLTKIPMFSNIIIVSFDCEHCGYKN NEVQFGGEIKEKGIKLHLKVSEPKDLQRQIIRSEFCKALIPELEFEMPSNKKSSINTLEG FLQNIIDDLSHDQPIRKFTQVEVYDRIEYIVGKLKEFKDGQGLPFHWILEDPSGNSFIQD PNELQEDPQLKIQHYIRTIEELEAMGYKVQQIQQQQEVIANPIHQGTEQIQAGGQNFAQS IDDCVKDESINIPTPCNVCEEMGENKMCTVTIPHFKEILIMSFNCGFCGFKDTEVKATGE ISKQGKIIELKFENENDLCRDVFKSDTAKLIIPDIELELGTGTLGGVYSNVEGLLEQILS RLRDNNPFVGDSADEDYKKKMEQIFQKLEEFKSGKSKFTLIIRDLVENSFIQNPYYPNED NQVKITLFDRNPEDNDELGIDTMKTENYQN >CAK75551 pep:novel supercontig:GCA_000165425.1:CT868208:214753:215779:-1 gene:GSPATT00011183001 transcript:CAK75551 MNQEQQRKLYWHHFIAGLVGGFISVTVCHPLEVARSRLNLQNATKSMNKYHGFIDTLCVI YKEEGLAGYYKGKKCSHQKGYRATAVANPISHSLFFPLYKWNKETLEFQYGISGFQNHLL ATIITGFVCDLITNPLWLIRTRMQTQYLHDHSHPKYTSVFRGLVTLYREEGFLALYKGLG ATVLGLSHVAVQFPIYESLKQNYTDKNGQLLPVDILKASILSKSIAVLVTYPHVVIRTRL HDNKTVYKSGLRSRVRIIDICRVIYEQDSIGGFYKGLIPDLIRVLPTNSITFLVYELFSQ YLGKHF >CAK75552 pep:novel supercontig:GCA_000165425.1:CT868208:215811:216563:1 gene:GSPATT00011184001 transcript:CAK75552 MNMNDSFFDNILVGTQNVNTSNKPQTICKSLERTFAVCSQPIKKTTQHHHTQQQEDSKSQ RHSTQQLDYYLKQQNKTNTLNNQSKSYRSIKQSDSNHQTQIPQKSYLKQQMRLKINLENE AFQSNLRHKTQSSHNTTNPYQGSIVNLMKQQMQKQQQSFLNICKTMNDDSKSRISSTHRQ SLIPSKLTQRQDSQNIRKSNSVYMQEFRKVFSKTKYSIHNKV >CAK75553 pep:novel supercontig:GCA_000165425.1:CT868208:216578:217270:-1 gene:GSPATT00011185001 transcript:CAK75553 MQLIAVLIAAYNAVEKKVIMLTRYYELNSIGIFYRNTVKDSMKFICRESVIGLDKGTWQF MQLILRHSVMHEDKYCHIQMGYGSDKLEVYAFCDQDYPKRIAFAFLNVVLQEFQKKVGDA WKKYKEDESIEVPEIKQLFQEYQDPKNVDKVLLAQTKVDETNIIIHENIKKLLERQGDLD QLVAKSNSLSAGAKMFYKQSKDMNKKSCCEIF >CAK75554 pep:novel supercontig:GCA_000165425.1:CT868208:217319:219068:-1 gene:GSPATT00011186001 transcript:CAK75554 MLNKYTLEFLFKDQAEQYENYSKSSKLREVTYFTIYSVSFSILNTAKFIQRDSLPYSILS GISIFALLMLWKILQQKPHLINLIVTIYQLYLLFAFKMPQLFNGTTNPTLDQSWYYGFQC GYLHFSVYLLGANFIYQTFLLIIVIGVHLDQDNIDIKDGIINIFLFVFLAMSMIAIKYNY EKTKKEQYVQSCQQERWEQLLSKVLSSSILLVSHDKKQDQLQLEKENKFSSILFRLKDSE NLRQLLRKLIVSSSRHPDDSVSNLVHINMEHTLRRFLIHTQEFADSFKTYKYTVQHYQTQ KLYNVKILRCVFNNKLQCLLIIDPKKKYFYNQTQVLWSILQNITETANEQSRFLIKLLTK FNQISIKQRFPDQKFYFNHNFIQNIQIIFQNKSNRLKLQSITQNSLQTALDPLIGIDSQK VLLAKPFMHDISIIQQLLISCFYIIQQIFKDLKILKIQIENLNSEIFFQIKIKERDKVIQ TKILEILQTNWVKSPKGFFHKTSVHQSLLERMSYLTQQFQIPINLLLNISITQLILSEFG VYNHLDIIQANNEFTFTFSLVPQTQ >CAK75555 pep:novel supercontig:GCA_000165425.1:CT868208:219211:220570:-1 gene:GSPATT00011187001 transcript:CAK75555 MQCFRALRYFSVWSQVPMGPADPILGVAAQFKADPSSTKVNLSIGAYRDNDGKPVVLDSV KRAEQIIKEKKLDNEYLPVEGLQSFIDASIKLGYGDAYYAQNGKSIAGCQVLSGTGAVRL GFEFAKKFLPQGTKVYMPNPTWPNHHNIARMAGLEILEYRYFDPKTRGVDFSGLVEDLNK AQNGSVILFHACAHNPTGCDLTTAQWTQLLDLTKKKNFLPFFDMAYQGFTSGDVNKDAEA VRLFTAQGVPIVLGQSFAKNMGLYGQRTGCLSFVCANQQEREKVVSQLKLLARPLWSSPP LHGARVADIILNTPELNQLWLQEVKMMANRIQLMRVSLANNLKNLGSPHDWSHISKQIGM FAFTGVGPEHVKELIAKYHIYLLSSGRISIAGLNEGNVKYVAEAFHDVTKNTKL >CAK75556 pep:novel supercontig:GCA_000165425.1:CT868208:221363:222095:-1 gene:GSPATT00011188001 transcript:CAK75556 MGIGPILYCDIDHRILGNHDGLCKLEFLIQIFIYPEEKEILLLILHHLLLLAVIYSFYKT STTKPGSPTQTDSEDDPLTTQRKTCKFCQNNKPMRCHHCRQCNKCILRMDHHCPWVNNCI GQNNYKYFFCLVFYATLTSVVYFCIYFNKILKNPPIGKIDTYFIIFAATLSFTLMIVLFL FLAFHTKLISNNQTTLEYFEKQREHYNKDLVSNCNEFLGPGCWLIPN >CAK75557 pep:novel supercontig:GCA_000165425.1:CT868208:222687:223282:-1 gene:GSPATT00011189001 transcript:CAK75557 MGSQLFKKGVDKLQPPTKTFFEFELNNIDGQKTQLSQFQGKKAYICVNVACSCGLTSSNY SELVELYKSYSAQGLEILGFPCNQFMGQESQPEPEIKEFVISKYGVSFPLFQKIEVNGQN THEIYRYLRLNSTLKVNSNEAKEVPWNFGKFLLNSQGQVVKFYHPDIKPKEMLQEIEKLL NQ >CAK75558 pep:novel supercontig:GCA_000165425.1:CT868208:223387:224748:-1 gene:GSPATT00011190001 transcript:CAK75558 MDRENAGHVPININQTENKKGQCCNHTQDHQNQHKHKHSHPHQHQHHHHSSYNPFANVPQ EMRVFIWYAIISGDYAMQIFMQLPMMAQGYYFYMMIQQALMMPIYKLNGWLNQIMPGNML KSIFGGFAIYYFMGHGLLLYFNYETYPELVSIPNKLELHYLHYLCYLYYLFLGSIMPTRL VQISRFQRTFRYQTRTTHTLQNEQKHLHYLLNPQSCQMLTLCILSKMHQKMGISFYAIQC MHWSIQLSTPHSLSYFLDFVLFFHTQRTQEKSYFDLLIILINIWQFYYFAQQYILCLLRI SYNITPSELVQWRRYSYLWANDRGMFGNPFDKGFINNWISFIKPFLTSKGEENWATNKYI NVTDIPNHPLGAQAQLIIDQQIRQLRKMEKDMIKTQVANEESEGLLNE >CAK75559 pep:novel supercontig:GCA_000165425.1:CT868208:224793:226126:1 gene:GSPATT00011191001 transcript:CAK75559 MDLCVFGVDDGYAEAIIRGLRASFLTEAQYQQMKNCASIPELKSFLEETDYQNCLQADNP QIPTSILRQRLKKKLADEFEYIEAQSTGTLTKYLFHLRCRFMIDNVVNMIEGLKNKIDIE ILLSNIDPLGWFPEIKNIKVLEGDDYSSLYRDVLIDTPIGVYFMKFLEESIENLHENRTL NDIQNLFREMKPEYIRTSLKKMWLEDFYLFCEQELMPTSQEALLELLKFEADFKTVQVIY NSIGNRDLNTAAKIITTRKQLCPTIGNLYPDCEKLYLQAMTLDALREAVKGCDNYRDLLK DAPDPLKREEFNVQTKTLDDIMYDDECRRYALAFDGQGSYGVFYSYLKLKEQEIRNIIWL AEMISRKLAKNHPGWKKIIIPFSHLGK >CAK75560 pep:novel supercontig:GCA_000165425.1:CT868208:226198:229009:-1 gene:GSPATT00011192001 transcript:CAK75560 MNFFRSQTMGYYKLIIPRESAWNVMNELAELDCIHFVDYDPTLPMINRPFANYIKRCDDL LVKLSLIEHEMKKYQKKITYCKDVNFLIKNFKQLIKERSKASHTYLDEIENDIDKKHQQL IEQSTNMENLHERRNKLIEHKSVLLKGEALLGQSFFQPANYVAEGYVNLQGKELDDIKIL QGSVKFNYLVGVINKEDQIRFKRIIFRITKGNAWMNTMDIESDQIVDTKNDDAKIIKSVF VVVYPGGGGSNVITNKLNKICESFQVAKYTFPENNMVFQEKLRQIETELVETRNVHILIY ILQLKPTLMISKEFIKTPIVHKLEELKLFLVKEKYLYTQLNYLRVQGSVLYGSIWLPQGA DIKVDQALREVQTNYEGLPTGQLQISPPEGTRPPPTYFETNEITWGFQEIVNTYGMPRYK EINPGLFTVMTFPFLFGVMFADIGHGFCLLLLGIYLCVYNKEIKESDSLMKHALIVRHMV LMMGFWAFYNGWIYNDFMSVPINLFGSCYEPGTVDDPIHKDEQVWVQKDESCVYPFGIDP VWMCVPNELTFMNSYKMKLAVIIGVIHMTFGIILKGINAIYFKNWIDFIFEFIPQIIFFT CTFGWMDFLIIYKWFVNWTGKTDQAPSVITLMINMILAPAKTVDPPLWGDGQSEASTQTA MLLIALFCIPIILLPKPLILNSQNKKHQAQGPNGLADEKKELYQKINEDSEGTQENSEIH TEQSGGGGHHEEFGDIFVHQVIETIEFVLGSISNTASYLRLWALSLAHGQLAEVFFQMCL NGGYSYAINFQLLIGYTIFSMATFGVLMMMDVMECFLHALRLHWVEFQNKFFKADGYAFE KCSYAKVMQDNAVPKEE >CAK75561 pep:novel supercontig:GCA_000165425.1:CT868208:229191:230264:1 gene:GSPATT00011193001 transcript:CAK75561 MFQPSQKIREATHAGSWYIGDRKQLDAQLNDFLSKAKAETIPNIKAIIGPHAGFSYSGPT AAFAYQHLVQKEGMKVFLLGPCHHTYIKGIGLSELEIYETPLGNIELDQPTIKQLSAELK KNFIFTNKEVEEEEHSLEMHLPFIYKVFPKCKLIPIMVGATTEQQDAQVASVLVKYFVDP NTVFVISSDFCHWGKSFRYTPYNKEHGEIHQSITQLDGQAIKLIESHNIPEFYKYLEDTK NTICGRHPICVLLNIINLSKLQLRTQLTKYNQSNQVTKPNDSSVSYAALVTSLI >CAK75562 pep:novel supercontig:GCA_000165425.1:CT868208:230267:230824:-1 gene:GSPATT00011194001 transcript:CAK75562 MYDKSVPSNSNHLDVRINISKLLQSKFSSQSPIMCRSNQVFGDDEKNHRRVKPLIIYDDI NQFQMSQHSNADRLKTASSASSSSCQKRMTLKQTQSTESDNHIDNSTSFYSIISQYYDYY NGNQREKSQNQQILEIKQVKKIERLQTEYDEQVQSQNVKSKSSEKYEKRGAQKKISNLSF KQKNM >CAK75563 pep:novel supercontig:GCA_000165425.1:CT868208:230848:232266:-1 gene:GSPATT00011195001 transcript:CAK75563 MIIGESDLYQLIQSINKRSMFKTKFDLEFIDVCLKDVPFFHDLRKQVGETQYQQILRELQ YECHIPYEPQVNIGDMSTKFYFILSGKFLVLTRSYITYQDIVMNAELQEMHITIWQTKSA DSEILGFDSLRLPVPRVAFWRISDDVRSVSYSNNIALGEVSSHSDKEGCLQKNQRYLVIQ IVDIQSRKQDAEKFALLSTVPMFSKWSAKTLRQLLCDISEINFIPNQLIYQQGDPVDAVY IIVDGEVQLFRQYNKNIHPISILGCKECFGDDEILSQFRSHSAKSINCVRLYKIFRNKFL DHIPIHCEGNSLSNHQSFATQSSILNRPFLSSNQTLNKFSLYQAQNEELRIYRSESLKSF NSPAKVWQKVMNKKKEKSRTYQTISSTQQVHDLLRRNYRHSDESLQAQKVYNSQSPKVKF ANTPTCIDELTNEGKYRIHANGKYDKTNYLMGQIKLRSITQSVWKKQLDKIK >CAK75564 pep:novel supercontig:GCA_000165425.1:CT868208:232434:236086:-1 gene:GSPATT00011196001 transcript:CAK75564 MFLLLLILQLVTSYFINKDELKIFDHSLPQAADHDLLNGTYAEYLAGQIADGDQIIDVAS LSSTFNQTIHVAILTLNRQHTYTVYSLYYDKLQLKLFTINSQNPKYINSCNSIAFDQVQY VLACGQHYQFQNGTSITLNHSELQNTIYFQSHLNGFITITQNQIYYFDFNFELISQQQHE AQAFLVTDLYLYLAQLQNIIIININDQDDIETISFECTQAIKTFAIFEDYIFAQCEKLKQ IDQSGYLLEFNQPNSSSLTQTRKFMIIDNNIAYNIKYHSQIYSSPNKIYPLNYDDHLVTF RNNNVYVIQLLDQSVISARNTTDSFKIGELEFIVLDQGLKTLKPFQSPNNQLQKPQTKTF YYNDYVIGKDLIVGGDGIDSGFLVKNLNTQQLNTENLISVIKYQFDKLVFFFLEDQTIYA DICQFNELNIDYHCIDSYIFTRVKSPINNFSTIYDQLTDQFVVAYCTEDTPGLHIFIDDN LYFENEEFVKYFLGQEFLILVKRKQGKQWIEIFRLQFEIYDLEAQLFINENVIQIVFGND ELYILDDKNQVSIMVDSIYGWQLVFIQKFNQQIQSINYSDDQLIVITDKEIFVYSQHKIR GSVKYNLPQPKVVYSTDKYLYITNTTHIIQFTFAQHQALSNSVLRIIPQALSQKLFIISY PQLELLFFNSKAIGLQDQKQFTISTLSSREQYFNLLTKKLLFSNSFNESVEIETTVVGTQ LALRVVPYTMQNLLKPLNRINVKEIFDGPISNVNIKETDQASFKQMISKSKSMSSYLTEN KIIDILYLQKDETLLFHSQLLQLCNLNQECKTLKLVQDQKCNQLAQSQQYAFLICQNYLL YFNKQTPQDIRKLSTNFTKIQKLSFDQNLIAIYGQKNSTTNIAIYENFNQTYFKQTNDLQ DVLIVNKILFLLRSNELIIVDSSFNSSSIKLLEEFCKYNESKLFIDTEFRQIKYLSNNNY LMTTNNGPTFQVEFTADRNIKVIRRYSSIPGYFPLEVTQINSQIFSIVFFNYQQVYAVFY QIQMQNLIPYFQSIQLEKKISQSYVRRSQENRLVIRDRVNKTQLTEFDVNQYAQIISKKK TEESLRLTFIAENFDFQSINETIKLQIKIIDEGEVDNQGDLDLMEIFGYSFLGLFLLLIL LLVARQIRRYWLLRNFNQREKKRFDEEKVEYN >CAK75565 pep:novel supercontig:GCA_000165425.1:CT868208:236161:239377:1 gene:GSPATT00011197001 transcript:CAK75565 MQTQDLGQLVNALQLTYGSSQESVSAGEALLKQASMQPLYAISLLKIVDDQTQQDLVRQS AVVNLKTFLERHWGQKKEPGHFIVNPDEKALIRAAIIDALARCIQVKKLRSQYEDLIYKL VAIDFPKDWPQLVQQLVIKLQNYTSYEDLWSALLTLRRTCEVHQFLLDNDRKPLEPLVAS TFPILETLIQKFLENYNEQSGQLVKVILKIFHHATHLVMPIYMRDFNAVAKWMLFFKTII SAPTPPELASFTQDSEEETRREKTYIWSNKKWASRIILRFIQKFANKKMVDPDMADFAEH IKSTYAIGFMELFYKILTDNSQFQGPRTCLFALKYLYYSLKLDNTKELLKAHYDKLIYHV AIPKMQLTPRDDELWKSDPEEYIKRLDDFSLSTYNIKNPANDLLQEICQQTDANGNLMLI QFLNYCQNAFNSNVDPLTNQPLNLLKKEALLWGIECLVHQIQKIDAIKEGLEQILEKHIL PEFQNPVGFLRARACHVFNEYGTIEFKNKQNIQLAVQGISKCILDKELPVKVAAAISFSQ ILQNKEAQDLIRPQLSQVLEIYIKLMDLIDNERIVRSLEEIVKNFTNEITPYAHQLAAHI ATIFQKYCNKQNQGEGDSDDDGEAELAASGCLEAIKRILNAPLQQESYVQLEPVIFPIIN FALTESGCDFINEALEILNIMLYKKKQLTPGLWFYYPVLCYIIIGLPQETNVYALQGLTE EQYILLEGCKKDWGSEFVTQMLGSFRNYIQKGGSTFLTQTDFFGNSFISLIFRFIQKTYT IAENGSDETDQNQVTTILIALIENFPGQIDNLIPQIVDFTLLNISKEKKTNKFKMVNIGV LNMCIWYNPQLVQNYLNSKAITDQILQTLLSMEKHYKYEWDINRLIFALCQLYSLPQIPN YLLTASSEIGKLFVRLSTKILELREEEESCEQEDQAEEEEDDQKNKADKIQDLEQDEEDD EDDDYDDEEDDYAELYDSPLEDYDAILLMEKLILTLQQSCPQLYTGLFSQLTQQEQEQMT KNIKEAKEQYDEWMKQKQQQQLNK >CAK75566 pep:novel supercontig:GCA_000165425.1:CT868208:239409:240974:-1 gene:GSPATT00011198001 transcript:CAK75566 MIFLSTVIKIGVSFLILSGLTTCYYYYSSTNNTQILDQSVSAYQREQVVSHRDEIPVEAT LHHERSILKKHAAGNWGPLRTQVDYSNVEPYVKKNQLAFIKDNLMTPALAFLQTTLKVFP RMSNTFVSQKCGGLSVQNLQKSGQVFDLGILMAASNDPTGTWWLRGVSCELDSVTNRPIL GTLQVNLAIFNQVNVEKNNNEEWEYWLQQTLHEMIHLIGFNSVLYPYYVNAADNKVLGID NVIRTFKNRQYVILTPVLDRVKRYFSCNAAVGALLEENGGQDIAGFHWERITFGNEIMTG DPFPDQVISEFTLALLEGTGWYLPNYTYAQIFGWGKDDGCTLTTGACSVVYEEFCKVKDQ SGCSNNFNSVSGCYAGDQLSQGCNYWREQSDCRYKTDYNDKLAKLTGGSIGINSQCFITT LAQNAYATARSSCYSSQCVNGKVIVNVDGTAVTCTTSGQVISVKLGQQYGTITCPDITKF CAQLQFCPNNCSNRGVCISNSTCRCWVGYFGNDCSQRQSSA >CAK75567 pep:novel supercontig:GCA_000165425.1:CT868208:241043:241469:-1 gene:GSPATT00011199001 transcript:CAK75567 MKIIEEIKNQVEQEMKKMIGKCIEKRYAKLTDKLKQEFIIKVVRNGQTIRQASKDLCINY SSAKAIMSNYRKSFCSGKQNINLVPRQVKVNDKYDWKKFKIQTYCEEAQTNEYTMETFAK HIKQSNQDKVLIDL >CAK75568 pep:novel supercontig:GCA_000165425.1:CT868208:242035:242325:-1 gene:GSPATT00011200001 transcript:CAK75568 MQTKAIQQFVQKQIQQQRTKEEKPKVVQEQTTERRPHKLMRQIKKKILKKPKQIVKQQRQ QPKKHKPVKAQENQVDQNYVVYKPNEKIMSRLKHYL >CAK75569 pep:novel supercontig:GCA_000165425.1:CT868208:242358:243107:-1 gene:GSPATT00011201001 transcript:CAK75569 MGNQCLNCIRKLVQRQNIKNMSELPQTDIHQQDETYTKVDNVDESENYHEHSEEQILAIS DNIQETIDQSIDIFLYRIKTTIEFEEIFRDDQLAILFKSFKHAERYTIIQIKYEFKMKKT SVNDFLNYTYLTHIDQFTTLQTTSEFQLIYASFKKIQFVDSREYVFIKYQKQLSQHKYIQ VLRSINSDQSQQTTRGIIYISGFLLEEMPNQEIRVQAYAEVDFKIKLPPGMIKKALSIEL KRALQQFIY >CAK75570 pep:novel supercontig:GCA_000165425.1:CT868208:244829:245188:1 gene:GSPATT00011202001 transcript:CAK75570 MIRDQIKNKQSGQTQTSLQQYLQYLQFEIETKSIMLTFKLPNKKNKIRIKATSKDEIMRH FQNELIIYIEIYQGALFIPIFKHYNKTKVTKPIKGKQRSGACIFLVMETLDVSLPRVFK >CAK75571 pep:novel supercontig:GCA_000165425.1:CT868208:245536:246680:1 gene:GSPATT00011203001 transcript:CAK75571 MFQEFDSMSNKMMQHMDHQLANLGFGSVFRGFDDLENEMMEFSNLHRHMTGLNQRDVNQH SKDGVFQVYSSSYVQSSKMGPDGRVIQEKYFDNNAVARGVNGHTISERQQGYKNSDGVDR FGHERMMNDKGRKHVRERDRTGQISTTNHYLNMDENQVEQFENEWLGMGRNLGINGPSGG LRALQGQQNMRDLNNHPFPREQLTYETPSYPRKNDISPIMLGNNSNSYNNNNNPTRLALP QQQQPITRSAIQQPGIQSRVPPQQQPVRALPQTKQEFTGVYQNKGARYQHPQAG >CAK75572 pep:novel supercontig:GCA_000165425.1:CT868208:246822:256750:-1 gene:GSPATT00011204001 transcript:CAK75572 MKIPIYLLEQPKEIELRMLKIIQEYSSCFIDDFMLIALDHTHPIHKLSQGSLSLILNKLD YQIQLAVDQIKLNPSFYCQSLLSLINQMLELECYRKLFNSLDQLFDMLECSDNLLVYEQI MLILLKLYKQPQNTNNPQQKDLSNQQLKEYLPRTIYFTRIMLDHYNNLSSTKIELIDYYC QDPNYQSLCEAPIEEFPKLVIEYMEPNLLNEAYQDLCKNGIHKIELNQPILIRNIEITDV NSNTSLSLSKTYLNASNQNLCPLVDAMKYKILIDREFKKNQIPTISIVISLHIQSLLMYM LLYQFCLINNCGQILDQVFEEQLDYEYHFKMYMKLFQLKTVDPLVLSIILQTLTEIIALE KQSENQVAYTIAKKYDEAFLQLIYDVLSMNPNSIEIEQTYPLQYHPTILNESCAKNEKLA QSIFEIFSTEPWIKILSRSNIALGSSSALIRALQMHDNKLQLPSKSFQNALIFLSVLSRK DNIQRDLRIFNDLIKIAENQINIDIEILQPTLMGYCKISAEEQDQIIATCIESINDHFRD DQGRRYNRANAPTFARQISESKIIKKLQHLLESSPQFYETIQQTLILVSHLANEVPTLIG RLIDSHLPQTLNSIIQQMEAAIIDNKMMTAIFSFYFNISLNEEGFEQFNKFGMTFMKFIM HNVLVDQIHSSREQLSSLALCITKYTQKIDKVMPQVCSIVNQVIEELYLRLIENRQNLSD WQANDQYFDIINQIQDLSIFIFKMFHYPHYTYLASLNSRGFFESLLMFFQFPSFEFQNDL LKVFRWLTQFDEYDNPNKILKKTLLAITQWETQIGDILENTQHAVTEKYYKFSLQFLKCN EKQIQQNLQMASCYSYVEYLLEILKLYLINSPQIDWDQQDLITLHNKCCCLFRIILRSIK FDNFNDQTFKKSVFYPYIQNLKHIIVNSCNYVVRKKNEFNQIISLFIFMSQNLENENLWG VIMYISTIEEFLTNLLESKVLPENRYLNLEFINQLLNSEFTKHFQKALHSYAKLTVSNQC ANEQLKIMAKHFSNVIKALYINKFDKLNQEFAQSLLPQQISDIQIMLEITQISTSDDIYN LQTVLIEKVMMILSPTFLQDLIKKERDRQNFFSFSSLRDDFGEFNFIQRNDSTQAREQLR CMGFQDDAITMAFQNIRVPDVGMAATWLSDNSDKVEQNRLEIKESQKNEHSKGSIVDVIQ NKQRELKSYIQTNILYFSSFEETLFKVFDGQMMPSLLMCLKQAVQEQFKLQLKFKDCELK LKKPFNNNNIKLIITILHYIYKQQNLVQEYDYIVIEMMKLIQELLKYEDEQTIRAVNHAL AILTIFITDDYRQQKHQHDILNDILLGVLNILKGKVQNHTTSKICIEILIRTFQINKENV CKFISQMRGLDYLLKVKGDSKNNLYSLTRLMLSVVHDFNIVIAQIEGKIKKILYDQESNE EIERAHKQISQQQSQHLMQISHQNHNPSCFQPIHYNIQIQNQIKLPKNNQALRAIQNQVF YKKQIQFVMNSLFDIQNNYYILKKGILLYTFDCIEPSSFYKHKDSVNSRRSNKTQYKNKK SEDKQQLQSNFQHTQETSILLKLLIEQIIVSYFDKTESYQFQWSTICQALQALIRLYPIL IPKLVRINCSKFLKPYQKQLGFDIKGAEFPRKISFLSLITKIMKPIKNLLFELCLDNIIL NQTSNNSIAPFSIEIRRKIINQIYDGIDQRIKSLDQNFCHLLDALVFLIQIRSVAKICFK NVNDPQNSFNFIKLLIDCIKNFDLKQYYIHENQLQILNQTLAVLFNVATYLLLYKQTQIV KYRQHQVQHIDDFQLQGMIGQLIPENINNQLIPNQGISYKDPAKYFIKWPLPPLSFHQEI NQNLRQDQMDLQHLWSPFRRRNRYLDTEIFDNSQDEEGEFELDNEDNDDEDDDHEIQSVE SRYDSSNIQESIEEEFDQEVNSWVAIGNNQVEAEDPQNINLSQTDDDDQPGEIDQDVEES SDSIDESASYSHLQIQNQQQIDQEVIEQNNQELNYQKDFGQNNQNTLFFQPNKEIMNHYH PLTNKEELAFMKMTKSIIQFTGVKFSPQFTKISSKFSLLLPENKQVENYQLVNWWTELTQ VTQQRVIQEDRDLPQQDLRIQPSNIFRDRVRMDFQRMDDDGSLSQVQEQLSFISKRLFRQ TISLWCQIRLTKTISGINYKYLDEIFKLELMPVQQNQSQYQTTQDALNEQVQQQEQFSAP RFNLFQSYNLDQANQDIAAFCPIWTISDFQNVGQQDQTNNEQQVINQLEIIEQQQPVQQQ QQQQQQQQQQQNNQNYQQLYPKSYNLLKTQGKTIEDLLKNDIDPAVFEVLNEDMMMEVIL KFPQEIDGIDHQFLASLSQQIRNEIIQLSIPINQQPTPEELNEPQRPFLNVRSHFQQFTG GGNIIPASQSIPDDASNHPVRFNFSPMHHNGQNIFNLESREMDFLQQIQQKKPHLKSQAL KDLLSTQRHIIQKLGTVKHEFPESLLSLLYVESHSFINFPINLFISLCNIQNIEYKLIDT LFLILKTNKYKESQIKSFPPQFLVKRNGLVRDFSKIYNVVSLKVLYLFSNLQTSSINYFF QSKKQNQSPENSLTIPLLELIYLLPEFQGEHQELLILAITNISTKQKEINQFKVKLDQRS VECICKTLLSNASKSVRNFSNIIQSLCNNKENQLLIVQYIQEYIEKAIKEINQKFQKSDE IFNGDKALINIFQFVRDINAKVGEKNSLSINFKELLESKELIELWRNLIKFLQQLPQSQV IKITPKISPYLECFFIIYQIVNPIKQQNSNHKESQKIALMEGQYQELEIQEQKLHDQLFQ QICESGKPLLNMMIKERLQEQKEKGRIKNDSLGIIVTKNPRIVDFENKQKYFKIELKQLK IQNNRHHNCNVTVRCRRKDIFMDSYHRFSKLRPEDLKGKLNVEFDGEEGIDQGGVTREWF LMLSKEIFNPNYALFSPSLNGQMFQPSNKSHVNHDHIKYFKFIGRVVGKALYDGQLLDTY FTRSFYKHILGQKLTINDMEDIDLNEYKSMKKILEENVNDWGIYWTYSVDNFGKWEEREL VEGGRSKQVTEDNKVEYVQTYCYQKMAKEIKDQIEAFLNGFHELIPQHLINIFEWKEMEL MLCGLPYIDLEDMKENVEYHGYIKEEKVIQWLWELLESFDESKRAAFLQFVTGTSKVPLG GFKELKGINGSQKIQIHKKHYINFELPTSHTCFNQLDLPCYPTRQVLKEKLELAILEGKE GFGFA >CAK75573 pep:novel supercontig:GCA_000165425.1:CT868208:257029:258527:1 gene:GSPATT00011205001 transcript:CAK75573 MGICTSQNVSEKLDDKEEDYLKLDFNRFSTYQKLENIANNIASCNKSHTYLEQQIDKIFS SLIQRMIASRQTHILKILDNIENQKKQQFMTKLERIDFEFIDSVQKLNYNFQLFHHCYKE NQTDAFYSNVIQSTNCLDQNFDLIKEQKVGIVLLCGGRSSRLPDKLLSDIGLPSKKCALQ IMMERLKKILMLCNTYYLNVQASKNKDIAHYPIAIVLSDRNSEKIQMYLKYQGDFEFQSI YYIIEKQLPVIDQKGQVVFEQENQAIMTPEGTGSIFLQLNSFINKFPNMEYLHFLGLDNL VGLPLDPQMLNLICKQKADALCKVIETNSILDDRIFYSNKQFKTMEEWDSTITENSYNMT QMLLNDLYLSVSFLNKMKSNHEKALKLNQRYHCIKRGSNIQFEKHIQDIIEVTDITILHQ TEDYALLIDDPRRAVIQLSNVHKRYLKLDGTQEEDLVEITPQMSYCGEDLKKIENATYPL II >CAK75574 pep:novel supercontig:GCA_000165425.1:CT868208:259245:259501:1 gene:GSPATT00011206001 transcript:CAK75574 MNYSNYSEKHKRSISPILEKTSEGSKMIHHNRGNYIRHLESQLERAATIDYEGCEQRMRG IEQLIEVMMRVEDLIKL >CAK75575 pep:novel supercontig:GCA_000165425.1:CT868208:259933:260259:1 gene:GSPATT00011207001 transcript:CAK75575 MRRLMEVLLKDQDILKNNVQNLHIGFNQYKVPALTQIQNQVRKSVVQQPEGESIIQPKIL AKDDSKTSKNNKKQNSQSRSQSNKKLGDKINFKLNRTKTSKQILHQKK >CAK75576 pep:novel supercontig:GCA_000165425.1:CT868208:260750:261618:1 gene:GSPATT00011208001 transcript:CAK75576 MASIEQLSKVEDHEQIPQVDSSTPSHESDSSDSSYDFAQDLNQKPSFKRSPEEEKELLYK YRGKHGLKYAKISNEQRQKLIKQVTTTGCTIKSAAKELNINFSTAKAIMQIYRKEGRTSK KIKRDNKKTLSTKQEILNQTTSDKPNYDEQRQTKVDVVQDNLETNLYQQAEETNRNQALL IQQLNTQNMLLSGRVQQLQQEKQQLNQNFSYLTYQYNQLQQMMSQMVPSYYRPYP >CAK75577 pep:novel supercontig:GCA_000165425.1:CT868208:262807:264031:1 gene:GSPATT00011209001 transcript:CAK75577 MEIDQTCSQHPKQKIGWVCLEKQCMQRVMCSPCAVKHHEKSHKLEELSNLLDNGVLQMYQ SNSKNVSPGLKYLINGEPELIQEENRCGNENNYFQSVIERNLGGVQKKMDAFKKEFQDKQ KEIKQSNQEERNEIITTLEQFNEKIKVSEDVGNDIEEAFKKIQSYVNHKEYEFKNNKEGE IVNKKQKFCNIKFRIYLLNLMKDNFVKMIKTYFSNAFTIEFCKLFDMQMETLNSKEVQDV NGFLDYVDSKWKQDYKNLQTSIEKFVQKLHEGLKYIIQLPLQEEKTLKQQIVVPQFTSPS RNPVQFQQQPQFVPLTPYNQVPPQYQFHSQVQLTGQRIYPNQNQFNSAQVPLADVENDYF AQSQIIYEEPQTRNNTRPDFVSQSLQQYK >CAK75578 pep:novel supercontig:GCA_000165425.1:CT868208:266740:267493:-1 gene:GSPATT00011210001 transcript:CAK75578 MTPTQEYVIFQVLIKINHYLYFFKYGLIVYGVFQVLIILLLRFNLKINWDKLYSKYNSNE QEKIISICEHRQNYRSYSKQYNRLVHSQQGPTKSTNFQQHSISEKLKVYLRQKLNGAELS VEIELISNPISETIIQSFFECLFIQISQSITHLNVLSKKYLSFLQSPNIVKYEKLGEILL NTIYAMWGHSFFHLKLYLKEFLSIEVINNLQIIKWLKTLLKQKQVQIILFF >CAK75579 pep:novel supercontig:GCA_000165425.1:CT868208:267548:267784:-1 gene:GSPATT00011211001 transcript:CAK75579 MNAGLLNTVSFVKCLYNLKRGAENETSSFYLQILLTNCPHAMIKAMQKNQIQFKNIIQNV GFFMAKRKTKDRLYHQWQ >CAK75580 pep:novel supercontig:GCA_000165425.1:CT868208:267852:271258:1 gene:GSPATT00011212001 transcript:CAK75580 MLQLSKYDLISQAQVACYDIYLQFFWWTKEPDCRINSRFKRQKFCTLNYKLNHSNFHFLF NLLIIFVQNINYHQQILFKIVCSIITYSTYLLLISKYANDLILVFQVVLYVKSAAYIKSI FNCINNLIFHIYIYVKHAIIIQAIQQSISIRMQYPFKLFTQSILHDSAIESNKDSKSIVF NRIKEITLEAFSKQFTDEIHRLQAELRQEQALNDQIKREFLEREETIIQEFESKQREFQL QQIREVQELQDLLEASETQLQKYQQQNDKLNKQIKELQQKEQQLLKENLNAKENLQQCDQ LQNLLNSELNDMRSRNESLNQLNQQLDRQNRDFKNECELTLKELTEVKRKSQQQMDLNLQ LDEEIEQYKVEIEQIKTKKHQEISKQRELLDQLKEKSNQKINELKNKLKEAQNIEQYQQE QLDELQELIKQSENQLKQLQINHKQDLKQMEQQYTKQIQDLEQQFLDEKLNLEENLTTSF EQVIIDKDRQIQDLMKEVKTNKDKLYQQNLEFENMQRQQQNLEMEINHLNEELNQQNYDQ EELENRNRSLELDITKKDQKLKVLLSELDDIKVFQEQTLNTIRENQDYITEIEKENQQHQ QFLQHIQNLLEIQIKGSFSFQRLGQEVEQKLKQHKDDIRRQNEEIKKKEELHSLELQDKD SKLMLLQDNFNDENNKIQSQLQEQQVENRRQRNEFKQKIDEQNKIIIELQKDQIESNNQI EFLQIQNQQIIQDLESNNDQLECAKLEIQEMMKKDKIQNEEIGKKISHLNNENTQLQIQL EEQKFKQKTLKQRIIRAFGRYKELTSIQLIELRNFLINKQKQLESDCKLILHNLYKKQLL ITENKIQMIEDERQYEMEQMNLEMEKKLDNFKKQFKQSEQLIYEEGQLKLKQKQQQIEQL MTSKANDFEFKNQISLLNKENEELQKQLLLQEQIFLEQKQNFELEFMNLNKLIKEQQEEL ISQQQFSEYTMSRERQFFEQRYQDFKLKQEKKVDLIQQDYQLQISQLENIIQQPNKLKSQ SPNKNIQKSPIQNQQPKLLNNKSQSFGSPSLNLSTKTPNKAVNSIDNTDKTIEELRLEIQ QQKQKLSRMKLTFTESQKKQYKRN >CAK75581 pep:novel supercontig:GCA_000165425.1:CT868208:271506:273114:-1 gene:GSPATT00011213001 transcript:CAK75581 MKQIVLFAFLACVVLAHNDIKHVQELLLELKDDIHEQIVTLDAEWVLTQKMKQASIQALK QTKTDQEADCDRRDENVINKKTEIQEMSDLISWIEHRIHVNKNRIQTIEDLQCRQSLNFV ETVRDDKISLTVSVFVKEQLEKIVSEGTSYAQKSQAMKNILSFLQDIKEQKYEFLELTRT MEIEREENPNMIQTHMGPIEMKKFQEVRNEILVVLDELEAHINSHIPVAQADMIRVGLAY LEWKQRILKENEFFEQKIVELGKQLENLGDQLLALQYSAQQCRERVTDIDRAIEVAKNDG VLAYNDYVEEHQRLLKQLAIFSQLYELYDKEIDNKTTDEEKEALTQERNDHIRVGGDKNS LPPQNRDEPEEPHILGADESSLPPQYRDEPEEPLIVGGDSSSLPPEHTEESEEEIHIVGG DSSSLPPEYQQPVDVPQLEETEDQEQLLIKKGPLKLREIETAPSYKRSDFILLQMKQKKT EQDEVIL >CAK75582 pep:novel supercontig:GCA_000165425.1:CT868208:274160:275895:-1 gene:GSPATT00011214001 transcript:CAK75582 MIKNGQKQLNLFVTSDFWPEQVNPTGILWQNHQISIKCLEKNIQKMQVLMLVLASNLGSV QIQEQIDKTLKSLRKNGSQLQKLNDNNIRVHLSLLLMQREKSFEPIQELMNEIIDKICQQ ELGDRLELNKVLLKNMHLLPLEEQMEILEQEASILQNLQQKIDLPDQLQEVIMELEDDLI KVQDKNTKQLNNLIGHLEEINRYLLYQYNIKQTGQFALRISRFRIQHHQFELENLEKLII HYQDYQVADSIGKSIYNSETNLASITFDKYLSKTPIDFKFDQFLNFQRLRETELELSVSQ QNIQEESQTIVSIQTEMCHSCRQMIEITDLQQCKYNHANMKLQQFNEDLLIQQRYAISKR QIQQFYTDLYSANYIIENNQIQCQKYFCFKCLQYEFKDYDVSGLNWICPQCKGLCFCIRC QRNDSIYKLKRTFLEIGGNLESLYQQSTFEILVENKRKLIKNIPLDFFNIQKIHSENKET LTSKGLFKKKNKNTINKKIKKQKSSETTIIGKTSSNLIDTSSSSIKIKKVRSSKQNISKE SIVFVQ >CAK75583 pep:novel supercontig:GCA_000165425.1:CT868208:278135:278556:1 gene:GSPATT00011215001 transcript:CAK75583 MNLSDIKRSSSVGKSINTQSTYSSKGSNKFTYFTETKSKKIDKSINVPLNSWRELSLFEL VDEAIILLKENKSAINDQQKFKRLITQYQNFKQTNQIKQMLFQQRSRIIKTNIENIILSQ NDFQNYLQGVQK >CAK75584 pep:novel supercontig:GCA_000165425.1:CT868208:278965:279774:-1 gene:GSPATT00011216001 transcript:CAK75584 MKQVGQYLNAEINEIYNCIPRQKEPDEYIEISRLIRTNNIQNEILLKYEQFENIEIEMST YSNQLQIISNFLLIILKTLSCQRISEINNRDNNTITFDVIQYIKSKKNQQFSRLILDQVR CQLGNSQKHSSQIVLIKVRKFINSLVKQPFKFIIKMTCINILRQSVLKQIKFRKIYQFRD VNIQTQIISQFNLQIQTLNIIIIVLLCIQYVINASFLYHIIQYLFKLSKFHGNFNILNLN KPFQFQLYIMKIIVNLLYHSKISKINSIQ >CAK75585 pep:novel supercontig:GCA_000165425.1:CT868208:279957:282481:1 gene:GSPATT00011217001 transcript:CAK75585 MRTKHLVLLGVIIMQVCFVQAVHYDLTTLLDQQNELDCDNTDFVQMLEDFDSWSEIIELL QGGQLDAEMKQLQELSDFTNEMISANPDDLMEDQQYDEQLEQMDGQLQTIQNQYNGPQRK FLFEQSRQISDQIRRVIQSRSFEQKRSQLAMLYGLIEYLLKQISRVQNQYQQTTQPQPRA QPQQAQPQPQQRIKEQKERFIVQKDKCSDRQIQRKVSKQRVVPGNYRQNDHPVPEEVGCD IPYPQQRQPKCVVGSDIVLTSSISADQPTVSTEVKKLKDVNEYGFGFWMRFLTLYPEQLQ NGLTDQSYFVAKLTKNQQDGDDKIGDRLLTVFQTQEQYIFSAQHDKPERKEATAAIVFGD IEAVWTYVYYSYSAFSQQAIGFYKQSNSQLVKQVTLPASQGTPQYLRFVLGGQYFNFPGF NGQISRPVLAIGFGTYLINEQEFLQYAISCNPQPYVAPQKLIPYQFVRDSKYVDIEDNNA PPTQEFIDLLLPDEYAVQGWFKWEETDLQENWHTMFRLSNTPIRKQQILLGERVLSAWLG KPKGGQIHFSTYSYANMKGSGNPNAHQYVQHQDQHLHWHFVYFGYSRDQRKAYAQVLFKH VHAKSLSFVNVNHFVSPKHYFYFGRERQFPVYSGFMAYLEVFFCKGSYLTNVKPALRPVP TPPPPKKRCVEGPNRIINAKYDKGPVVHVELHKDDLKDTTQYGYGFWFRYTGLAGGQYEG ARPDWSLIARLTNKKETPKDIRDGLLTIFQGKVGFFYITANNKAKKLVELAQPFGDIEGV WIYTYFSYTRYKAIAFYQIENQAPITLEAKVTHP >CAK75586 pep:novel supercontig:GCA_000165425.1:CT868208:282494:283590:1 gene:GSPATT00011218001 transcript:CAK75586 MVNSIDQSQDQDQDHSLIHQKNTIHSVQDCSSKGLIRAVSAKASQFNGNPVNSGDRFGTT EQYSVQGWFKWNGKTSGKDQLLFRLTSTLAGEDALNFDTLSCYFDTRDQTLNFYTYTYTD QLGSGNPEVRQIVEGKTFVKDWFHIYFAYSRKSRQADVIVEHSQGKGALSFKNVNHYVAP SLILYYGKDQLTDAFEGFIQGLNLFACDITYQPTPKPVEDCTPMKDPICLAGDQYENVSL TNIENVVQELEKRKQLMQDKQFVLPTTQCFCFPQQGKKVLPQRQEGLLEIYDDDEEPQQQ DVVEV >CAK75587 pep:novel supercontig:GCA_000165425.1:CT868208:283926:284967:1 gene:GSPATT00011219001 transcript:CAK75587 MQQINFTQNEISHQNQFTLDEQSKKYQVKESNELQQFNPLGLSLYLEANCQQDVIAKQLF SQILKMIEDKLYEIEQRLDNREYLNAQINLLKLPDQYAAQEYNDDLQSDQIKQSIEKNYL GKFSDLSNLDAISPQIEQKFDIDQKSSKKPFRSRSSADRAKTVTIRTDIKNTSNTDELCQ KMEQFQNKLWLLTEQMNQISQQESQFEQLINDEIKDSQDRLIQNEQVIKQLYSTNQEITE NQREFLTHLSQARQEINYFSSELTRFQQENKMIMQQLEQNYLEIQKQIKENKNEITLQKS CLECIDNDFLVLLKKFKDLYHELAKKKFDSSQQRKPLQ >CAK75588 pep:novel supercontig:GCA_000165425.1:CT868208:285354:288083:1 gene:GSPATT00011220001 transcript:CAK75588 MQSRVKKRDDSNKEIMNVLIAEDDLFQSTCIFYTFIGVAIVDLLQLCGFQVIACKNGLEA RDELLKGENEFDLILLDLLMPEMGGLDLLKIIKSIDKLKETPVIMMSGDGETDIVAACLG AGALNYLVKPVNFKQFQSLQELVKKKPKNRNVIYYSKQNNSNEKGFYEVVRDLGRGAFGC VQLVRKSTDQELYAMKVIPTSFMNEQEKKNAENEVSLLRVLTAPTIIKYYESFAENDSLN IIMEYAEGGSLNEKISEHIRVGQKIPKDQILAWMAQLVIAIHFMHSKNILHRDIKTQNMF LNKEQVIKLGDFGISKALGTHGNFAQTFLGTPYFMPPEVIRGEPYGKKADIWALGCALYE LVMLKRPFQHDVMQIVFDMIQNKPYDMDPSVDSDLQQLIEKTLQKDPNKRPFVEDLANIP CIEEKINQFYIDHPNETNQIFFKKYQLGQNNDDGGNSQNPEDNCLMVVAAMIDKVQLRKI TVGVVNQIEQIGVLGSDILSYLKQNFDKKNETELQQFVSTLLEQHLIIPLDNNNQQLIST SYYSFPMFLEYIPANNYQVFTSNSQDMMFILKKLIRKFKEFQRKITEKKQLSFEAFNKYF NDFFQLVHQTLELQKATMIDYSPQKKLAVYVNIYQLMRLHQSLQQYYVQNLQKKKELINQ PCNLLQQIISTVIVPHPLQSEFAYCIGGQITTLGQIKHGILRGNKNTENTHLLPKDDPRI LQQEIKGIFILFIEEYQDQQSQITQELIFLDEGQVTQYIYKQIQQFISKNVILDLAEQEI VIHPLLQKYMSDFGSQRKLIEWLLENIEERQDSKKILNQFDNKEFFILFKDPNVLTKY >CAK75589 pep:novel supercontig:GCA_000165425.1:CT868208:288734:291417:1 gene:GSPATT00011221001 transcript:CAK75589 MQRVKRDEQAKEVINVLLAEDAPIQRIALIDLLQLCNYQVVACETGIQARDELLKTENEF DLILLDLGLPEMTGLELLQIIKAIDKLKDVPVIMMSGDDETETVAACLNAGAEDYMVKPV NFKKLQGLQTFVKKKPKQRNNNQNEKGYYTIVRNIGKGASGSVELVRKSTDQELYAMKVI PTFFMNEQERKNAENEVSLLRVLTAPTIIKYYESFTENESLNIIMEYAEGGSLTEKISEY SRYGSQVPKDQILAWMAQLVVAIHFMHSKNILHRDIKTQNMFLNKEQVIKLGDFGISKAL GTHANFAQTFLGTPYFMSPEVIRGEPYGKKSDIWALGCALYELVMLKRPFQHDNIQIIFE MIQNKPYDMDPSVDLDLQQLIEKTLQKDPNNRPTVEDLAAIPCIEEKINQFYKDHPNETN LISVKRLQLGPQLQPETTEQVQDENYIAISADMIEKIQLRKVVYGFVNQIEYIGVLGQDI LNYLQKACKNQLEIQQVSQQLLESQLIIPLESQKDITPNQYYSFPIFLQFIAANNYQKYT GSSIDLMEISEKLIKKFREFQKKFVDKNTIKEEILEQGFKEYFQLVQETTQLQKSTIIDY SQEKQLAAYVNLFQIMRFHQSLNQYYVNKLQQKGVIEEPKSILKTIIGSILPPHPKIVDF AYSINKLITTLPQIKHGILRKNKPAPNFHSLPNNDPRILTVDTRGVIFIFTDEYQDANVS LITELQFLDEKSVQQFIQNHIKQFILRNVCLDIIEQEIIIHPLCQTYLSDFGSEKQLFEW LLVRMDDGYNKEKLLHQYDQQDFYIRFKNPNEQKKQPKN >CAK75590 pep:novel supercontig:GCA_000165425.1:CT868208:291580:297033:-1 gene:GSPATT00011222001 transcript:CAK75590 MKLSLLQLERSYLDALTEFGKNAPNTIQSCVTLIEALNQTGQQQILQNQQGEKYFQRAIQ LASKLDMEELLNVKYSTYMLYAEYHEFWHKNKSAYNLLIQLLPLQKTNQRSIIMTQIQIL NHIIENGRLSKIQNIVLLNEKLLNLMQEIGLTFYLYKQFPEKFQLILLQALSFQAKIYHN QNKDREAAQLYFQCYHLSEELLGMNEKRTQEYKRLYEILNDKISVNIEIQSLDEEEEEQP QPIQITAREEILQSFRPKVTAHNNYIIDVDSARAPKLTKKVDKADKLTTPSSISKPTLLS KDAPIQSLFIIKNQSKRPTSSQCTSKLTSPTQGVSILSKKNTERFHTKILSLDQSTLKNL EDTQIPNVINELLIARPQYEVKQFKRVEFVKQEISNQTTSTYQVQLTIPSRLQIREPSRN NITTKVDIKKLPGYPSTQKIERISQRKILAPEIQTTTLFTKPIISTLTNDNDEDITKQEE NKIVSSESEEPIKTYSPHDSIIAKYLETHTMDILLEAVEKIKGKLKTYVQVSKKQKNEFL ERKHQHSPNRVMINNKQQQFLSRSNTFQDLVEQKLLENEANKIIYKLLQSADTMEWYPIH FYDKLFTDFETSKWILENPRIRGQFLQKSSKNEEYHQINLEQIKNNLFNLKRQSAFQMIG SIEISNHTRKIQFRFIIDTLFEHYREIKAYDDMMNLFDQLLTIYLTQEDLLSEWIENRKE QTLYRVSKGNTTVLDQKRQNVNNDQTKKRRIQQQEDIQYYQQLLSKVLFLIKRKSYIKTM NGYKFKSVSQNHQVSIQQHNNSIYKKKLERINQYFQYQDYEILNQERKPILSKKRHRKRN KDKHLFIAQDRKQRTIREAVSPSQDSESQVLNQRDSPYVSLKDTGIIIGNELQSRQLILG PETPIIQPLLKHTLKSNWKNLGPQEAPPQLIDIFTLETQDYLQRLFPEFKLNTPPVDYYP APAPIIKKILTESHYHFRQELSTNKFDQLEYKPIYTEDYLILIQIVKIDKQFYYLTLSNK LQLKQVFGKDLWEDELDIQLKNFLNFSVGRTGYMIDLIELQNILQQKLQITNCRIQIKQN ETDNTYQKKLNKVYRVNKRLYHIIQSLEFVEEGLKEVSEVSNSQISDDVELIEEYKRQPL IDIVFILGVLNNNSYVFYQNYLPKCYLLRNENGKFMLQDREQPRKPKFPYRLIMSHEDMN KHISRTNEQTINAKDITLFPHFNSVFLIRKNQSIYKPIGAKLSILSDERKIHQDFRNAQA KAHLLVYYNSSKKISFFLKSEQTEKWAHLFQIERSMRQHILNYKMKLKKTLVGFQLYQNE NRSSQSKDPRFLFMQDKFAQAKQYFIQTKIKSIGCVFVSAKIYSNIMLMRIMPTGNKSKS HLFIYQINQEDPIKLIYTLCKQFVLKATQTYSKLELMPITQNQIRKQFLLSNQYHDNNLV IGQKSHSRVVYKQVRRIDKHYFIIIVSLIKNYFQIYLYNQNTCRRFYFTIHRSDFLIMNQ YFLDSIFPEQPFEVIDQFFRPWKLNEIHKIYSLIIKAPDTFRNRTKLYIKQINESKKVLK RSVTSNFSGLSVIQRQSTLQLNQSMDESQGDDINKQCWLYDKLLLSKSNSVFEKKLWMEI IKQMNINENLIILDNFKTVVTELVYCNDRTCNFLCYIPCLEIQQSFRWQPIRLRIHSYET CKTIDVPLNIRGKQVQVYKQCHSLYLHYQIDQCIPSNQEGMKVNKFNDLKYIKYQLLYKG AFMKHKMLFIAIYLYNDVFQVRIFSQTSSISRKLDVNQVELKIPYIRQLLVLNPQEAGRR LSLIYRNNFIHASFLKL >CAK75591 pep:novel supercontig:GCA_000165425.1:CT868208:298341:299735:-1 gene:GSPATT00011223001 transcript:CAK75591 MIKQSHSTYAVICLVAFSQGVINLSELAISYLLKEDYGMNPTEMTYIQGIISIPWVIKPI WGLCTDLLPICGYRRKSYLFIFGLMGFVLFYALSIYGTKDAFAGVSILLSIQICIAFCNV VAEALLVEISTGNDSSTNNVSLFFGFKAFGTLMTSYLSGYSLKYLQKQQIFRITSIFPLI IAGASLFLNEGQHKDMDIKKQLQELHRFLNIKAIYKPIVFIFCFMLQPSTSTAMFYFQTV YLHYTAEFLGKIKFLFALANITAVSIFNRYLKNYSFKSVFFVTTLTYSVVNALQILQVTR RNVELGIKDEAFSLCDTLLMQLVAELNMLPILVLACKICPSKIEGTMYALLMSTINLGQL VARQIGGILMYFMNINEASFENLWIMITMTSVYILILLPFLSTIKEKEMIESKDVYNKQD LDEQDIIGKGYEKLSLSFVEN >CAK75592 pep:novel supercontig:GCA_000165425.1:CT868208:299781:301069:-1 gene:GSPATT00011224001 transcript:CAK75592 MFKYKSFWRLYAQSVVLSIPQIVTGYVCMQYLDFPLLDYEWSYIGLACSWLFSPLMVQVT RFKLMGINTLMLAVGFIGLSTEDYSSQLIYKIFTCIIGIIVGCDWLLTIRFIREQVGWEE ERSVYLNNNPLICFFFGTLLRVSYIRNQNLEDEQIVISSLVILTNSIRLLSFLTIYGKQT LEYYYRKFKELQGRVIIKNQFSGNIKEIEYFYISNNETYSQLNRRTSALFSKQYRKRFFG CSILALLSWFQFNNHNYFPQPQVIFEHPLRYFIPAILTIAVLSQILYRKFIVRNVMIFFN LMLLTLSILQVMKSRVKLFKDEEIFISFSMLLSYITYFIGLNLLITQQLPYKGITYALNL TFVSLCGAQQISHLTMEQIKANENCWLRYFQIMANILCFPVLLKLKNVKQLRECEIQQVY D >CAK75593 pep:novel supercontig:GCA_000165425.1:CT868208:301083:301550:-1 gene:GSPATT00011225001 transcript:CAK75593 MGSSIAKGMKENQKEMQKEMQKKQLDMMLKQRQTQMAMQFASGKEFFHWYASFYALIFPF CIMGALKKKSPLPIIPLVPLGFVCGYQYDMYYGDKLQRIREEAERLIDREPQLFYFPKNA HIVSQEEYEEILGINKNNK >CAK75594 pep:novel supercontig:GCA_000165425.1:CT868208:301719:301937:1 gene:GSPATT00011226001 transcript:CAK75594 MGATCCKSNLQTQDGINNYRLALIELSVQSAAFGDNDQGSQKRVVKQTTASKRQAYIPAQ TFGLGLKQKLIR >CAK75595 pep:novel supercontig:GCA_000165425.1:CT868208:301975:303553:1 gene:GSPATT00011227001 transcript:CAK75595 MKVSKELEQLLERQNSDTDRKKILEQQSGQKMDQKLHINVDVFVQLKKGQISDHYITGKV LGEGAFGKVWKVTHKKTKLDRAMKQLKKTSILKEDKEKLFSEMNILKNLDHPHIVKLYEL FEDDKNYYLVTEYCSGGELFDRIKSLNFFSEKKAAELMRQILSAVWYCHNQKIVHRDLKP ENLLFVSDSPDADLKVIDFGTSRKFENGKRMTKRLGTPYYIAPEVLLENYNEKCDVWSCG IILYILLCGYPPFSGRRKSEILKRVKAAQLKFDHEDWAHISQDAQNLIKNMLNPNPAKRL SAEEAYNDKWIQNNAPSNVINQRALQNLQQFHAKSKFKQAVLTFMATQIITQQEQDELNK TFKAIDKNGDGKLSRQELIDGYTQVTNNQELAIIQVDHIMELVDINRSGEVDFTEFLIAA MNQEKFLSVQKMEQAFKVIDLDGDNYISKAELQNVMGDIDDEIWIQILKECDNDNDGKIS LEEFSSLLQSKVL >CAK75596 pep:novel supercontig:GCA_000165425.1:CT868208:303617:303936:-1 gene:GSPATT00011228001 transcript:CAK75596 MEVKYRPPLNDVNECNWLEKNFVSCLKEKSVKDDLPKRVCKVENVRINDIKIKILWFFLE CPERSGPYEDANQLRNIYIKQKLADLNPTVPEPRKRK >CAK75597 pep:novel supercontig:GCA_000165425.1:CT868208:304114:304764:-1 gene:GSPATT00011229001 transcript:CAK75597 MQNNNFKHINLSGFGEWGKTERNPSALLIQQLSQQQKQELNIQSIQVLKVTKEACDEYLS QIDNKNAINIHIGLFNELQNFQLEICAYNKLDYELSDFNGCKIRRVKIDQEIDMDEQIKS TLNITQIISQLQQLGYQVNKSYNPGRFLCNYLYYQSLKQNPQTIFIHVPQYQTISEEQQM KFLLDLIQILLKISNTNDIQKSNWNQTENYYSQKCD >CAK75598 pep:novel supercontig:GCA_000165425.1:CT868208:304886:306476:-1 gene:GSPATT00011230001 transcript:CAK75598 MKDTTSNQNPIRLRQKKSILEVVFGFPFKIIKFFLQKHIDVLIFILNILYRLYRLKSNKK KITNSEIKKGTLVEEDIQKQKPQKYQQLLNKKFQDKQQSQYSKSKQQEQQQTNESDQNQD HQNKNHIQENNDESKILQIDLKQQFIPQVNQVKEENYQAERQVETSQQNSINQQKQEIQE QQQEEIVKVNVEVQEQQQEEIVKVQEQQQEEIVKVNVEVQLEDSSSNKLDQQQQQEEPAQ MEQQEIIGDQNVENVVNVPEFIERYQYLKEDDVDLLNQIANKSYKGRQVGNQFKYFIGEV ENIQGTIKQYFGRNQNKFSKQIKQLKKEIIGHINIRGDGNCFYTSFLYQYLSILLRDEQR KNQFINEVEQLSAKIKYQNIEIKENKKIVQEFIWQFQQLKTKEELLKQMRDPDYLFYLLT ILVFRRYFAHIFHNSQEFKELGDLNITKDLLTWEQECNNNETLIRTIVEHFKLHIILYYI DLQNSSYERKEYLPKNNEGVKVEKIFLLLCPGHYQIALPA >CAK75599 pep:novel supercontig:GCA_000165425.1:CT868208:306929:307355:-1 gene:GSPATT00011231001 transcript:CAK75599 MTDNQFDNQNEFDEMVNFNFVIHIHLKKRSARKTQTIIVGIPDEFDLNKIIRFWKKQFNC TGGIISKDEDYGDQVTIRLTGDNRQQIAKFLVEEGIALQDNIKVHGI >CAK75600 pep:novel supercontig:GCA_000165425.1:CT868208:307682:308933:-1 gene:GSPATT00011232001 transcript:CAK75600 MGIHQLMQFLKEKAPNCFRTLMLDYFAGRTIGCDASMAMYQFLIQTQSAGQTQIIELTDK DGNRTGHLVGLFNRTLQFLENGIKPVWVFDGKPPLLKSGELARRKKLKEEAQVKTELALE QGDMQQALLQHQRTTTISSVMKEDAIKMLKLMGCPVIIAPCEAEAQCAELCRAGKIYATA TEDMDALTFRTPVLLRGFNTKKEPIYEIIYDDMMKELEITYEQFVDLCILCGCDYTEKIE GIGPGTAYKLIKEFKSIEGILEHVQKVNAEREKNKQNPKYTVPTKFLYQDSRELFITPLV QKGEEIQLTWNKPDVENLKKFLVEEKGFAESRIDNGLKRIAKKDTTGFQSRLENFFGKTT KIIHPNNSKAKGKANKKNEQTQKSGGKKKI >CAK75601 pep:novel supercontig:GCA_000165425.1:CT868208:308956:309906:-1 gene:GSPATT00011233001 transcript:CAK75601 MQQESIYNLIPKEYVPPPKEPMYRSAYPSGLTPTGSTFNNHTTSRPKVNNINGEFDLVRG PHSHKGQSNSLGRPKGSYKPDSTMFRLKNTGTMGSNQLPEVKQYKYPPSVRPPVPKKDEK PIHGLKSNKNYIVTNAVENILSAPKQIVDEKPWTEKKDFGKVPDYLTKIQQSISSEYEII RNMHISEAEEMDKQKYLMTQEEVEQLKKGLKKKWESVNKEYQSITHIRMIDTVGLKRKKE QCEKELAQLEKDIEKLNKNYVFVDTQK >CAK75602 pep:novel supercontig:GCA_000165425.1:CT868208:309949:311445:-1 gene:GSPATT00011234001 transcript:CAK75602 MDPRKRVKLQQQDIEEDMEYDDDRNDEQQDMQFREQNVELFDERQLREMIFQLENNYKLN SDQRMRYPNQPEKFIDSEVDLDEDLRKLLILPAYPQLYPIFYSSNALPIVIQLLLHNNES ISNDVIQFLKELVAPESEVEPKIIIQLYDCLIRNYLIESLGELIVKNEEKSQEEVNLLHD CFEVIENMVDIKSSISKEIGLRSKIIQYLVKRVEDNSQTLDDNRLYASELLVVLTQQTKE NLEIIGRSGGIESLLMVLNQYRKKDPETAEEKEILNNVYDLLTLLLIQEENQEYFQSYEG LQLMIKIIQGKTSQSIRAYSLMLLAINNHKENCQKLISIGGLSIIFPILERKGLKHKDKN KQFEIDETSVQIIKQLLRRNTDAAFKQRVFDKCVEKLESLKKLRMEYVEVIPLIDPEEYD NFEEKQLEKIYFDLLGKGLIVIESIDVILLELIYKDLDVQIDQQEIISSVKQMLKYTGEK EDIDYYNPLLQKFEVNLQ >CAK75603 pep:novel supercontig:GCA_000165425.1:CT868208:311754:313106:1 gene:GSPATT00011235001 transcript:CAK75603 MGSCNQCLQKQEPLKSGRIQNIFFKQSPQNHRMAIKIQQAYRSYIIRSKLNLKQAEKKAR NQIKCNSTEDIIVEYHTNSYSPKIHQSEVSNTVSSFQNNQKEKAHQIFNRQLSLKLDPKE NDQQEFEELIFNDQTAFQQYLQSSINCIGALKNQKEKINSFKFCCVLTLETLESKDQIIL KQKSHKRTKLDTIKLIGGNSYNGEWLDSLPDGKGKYTFSDSSYYQGDFRRGLFHGKGEFK SKQGSYYRGQWQQNKMHGQGTYNYNNGCKYEGSWERDLPNGQGIEWYANGSVYVGTFLNG LKHGRGKLTFNVGEIYEGEFQFDNFNGQGVYRWQDGRVYEGEWQNGKMSGKGLLTWPDGR FYKGQYLNDLKHGFGIFSYSDGRKYIGQWKHGLQHGQGEFQKGEKTSVVTKGIWKQGQLV KLL >CAK75604 pep:novel supercontig:GCA_000165425.1:CT868208:313147:316724:1 gene:GSPATT00011236001 transcript:CAK75604 MSSDLLIQQSQVFYLLREGLWKSTQVLCHKFYQRTQDPFFQFWRAFCYFKSGSLNEAINE LTLIRNKREFQFATSAALIYYSQQQRGVDRVQVRVQFYRKSLKLADRCRQKVERLRMIEH FRVPFISIYFVDEGRKAKEILELLSEGQPISQITLGWYKLLQKEEEISPDKILDYFQSFG QFNQKPIEYLLGLAKASEINKKYPITLDALNELMIVWRDFPFTDVEKLRFCIFIQDWEQF QDLANKLLYDDPTNIFGLKAIAFYNLARKGDVRESLEKIEELFNAIQKQEEDNVSLILNC CQLLSRVSGRNQQILQLTMSQIQKTRKIAPLLGDLCLELAQETLMLEEYDKAYGFFQEAA ALDEGRMESLAGMIQCKILQGVIDDAEKQLEFVQEVQVSVGRTTEIAFLQALLESKKSEG TDSPIAQQFIEETLKLHLIQSKLLLPGYEFYIKFNPDFTFTIAQMYLRNLSTNLMLAGKE LPTSGIGKGTKLLESIARQAPGLTNVQLLLSTGKMALGDPQEALKTINRVLELDPKNEDG YILHALISIKTKQINLAANSLNQAISNNFAIRENPLFMLVKGEVEYRTEDYKNAQITLEA AYELVAGKYKNKNKVKSKIVQFTEKDKCQVFVLLAKVYAINKKETEAKKIMQKAIQEYAG SPHESTIMMANSEIAIESGDIKKAINILKAVQGGQPNFVNSRIILADVYLKYLKDRRNYT RCYAEIIEAEPTAENYKLIGEAFMKINEPQEAVLSYQKAAELNPEDEEITRIIGNALTMT YDYQKAVNYYEAALQKTPGRQDLLVDLGRLYLRMNNIKKAEQVLVWEKFVSDDYAAPTLT TLRANAQGFLLIARMVTKLQQATFNQAQNAEKEKIQQQLMAESQKLIEKIRKAFEFAVQT QKDVIEKSKQEAANVNKEKEQLGLIFLEQARYFFYNERNYKATLDCIDDGVKFIPTNESL IQLQAETFYQSGDKISCEQKLKILQKLNPKNDYASMMLSELVLQQDDSEKSIQQFVQTLQ EKPNSFGTLSKVIDWYRKQNRLDEVQTIIDNCAKATQNQNEPGLCFCRGLYYKYKNLPKE ALIQFNYAKKHQQYAEDSLTYMIDLYLNPDQDLYYSITDEQPKPVAVENLKACDQLLKEL QNRGSMSRYLVMYSKIY >CAK75605 pep:novel supercontig:GCA_000165425.1:CT868208:316726:317287:1 gene:GSPATT00011237001 transcript:CAK75605 MASTILAQILKSNKEWVPAMLSLAINKFLSKKQTEGKTILKLLWAKQADTCGWERDELER AWLLHADAFISIQKYDQSEEILRKCLKQNKCCAKAEELMGLIKEKEQSYIDASNSYEKAF KLTNQRNPIMGYRLAFNYLKAKRFVDAINICKLILQINPAFPKLQSEILNKAIQALKS >CAK75606 pep:novel supercontig:GCA_000165425.1:CT868208:317325:318693:-1 gene:GSPATT00011238001 transcript:CAK75606 MYSEERDGSQYSENNADYNEDYQGDGQEVQMEEQQYYDDEDQDQDLQQQEEYQEYQPEEQ QEDQQSFKYQPFDQSRSGNGQNRSVLMGVPPCARGGHSATLSGASIILFGGHYYANKDEG YKYLNDTYQMDVNANRWFKAKVQGTPPAPRYAHSAVLAGQRIIIFGGKGEKCVFRDLHAL DPLTLTWYQGPEGSGSPSARFAHSATLYASTKMIIFGGWNGIDYFNDLYVLDLEVMAWSQ PPCTGPSPTPRQGHTAIQVGANLIIQGGFYYQEDKNLKNLPKTANPRHGSHLRGCYLNDI RILDTEHFAWSRLRVSGTPPAPRYGHSANVSGADIVVFGGWSLNSGARSENNFVTPPDID YLIVLNTEKMCWEKAKYEGNAPRNRYGHTATSIGPHILIFGGWEYNRATNQVVVLRDLNV GQQQQQEKKK >CAK75607 pep:novel supercontig:GCA_000165425.1:CT868208:318747:320095:-1 gene:GSPATT00011239001 transcript:CAK75607 MIIEENEFDKQIDDLLNKEKTARLANNLVETLKVTKQIANLCFVTKQYSKFNELIVSLSK KRGQPKKAQIELVQMAMIELKTLPINQKLEMIDAIMKVCEKKIYLEVEYARCVLMLTQYK EDDNQIADAAKILQEVQVETYGSMDKREKLEFILYQMKIMIKKLDYVRLFIISKKIEPKN IEDDNIADLKIIYYSFLVIYYRHENNYQETAHAYSKILESLHKNRQLEATKVDFNFKIDY NTVLENYALYTILSQYSEEKQKQLQSIATTYKYGLEALPNLQQLIQAFLGTELISTEPQT HNIQAAEIFDESIENNQQRYKDFRRQLIHHNLRIFQIYYDSVYLNRITELIAISTEELEE EICIMMDQKLLKCKIDRIKGIVDYQLKKNENDVLQEWGDNVNKVLNLIDLTSNLIKREEE LFL >CAK75608 pep:novel supercontig:GCA_000165425.1:CT868208:320144:323250:1 gene:GSPATT00011240001 transcript:CAK75608 MIYEGKQSIFSHQDQYALIRLVNSEDDATTKKHEEGKTTFTLKMAKYLSTSGIGDYLDGI NVLLSFLLTVLHLIDCSFWVQGGTYDVGEAQTFITIPELICYIYFLLDFAINFYLSENKL FFTFQTTSLIEYVSIFPSLLARLNIITGYKVLRFLLCYKLDKVLQRQSMEGWHTNPIVTV MAIIMINSSVLYVVEQNYSIVEYIYFMVVTISTVGFGDVYPTTIYGRFSIIIAILIMFLV LPPQVEMLSRVYSLRSQYARNKYISKKESEHVLLLGSSQVEGFKTFLNELYHTDHGMNDT NTVILQPSAPTEEMTLQLKQPALQSRVIYLEGHPLQNKDLERCSSKDCNCVIVLANKTSR TPKRDDYRNIIHAFAVKQFAKKQKSKKGARVCLQVLQPSSKDLYFNSLGGHEADQVICVD ELKLYLLGKTCLCPGINTLISFLIQSSKPTYDITKYDKNKSEWIDDYLCGMQNEIYRVPL ESEAFVGLTFSQISQAIYKELNIILFALEVELESGASVFVNPAEYLFEDYLHYGYVIANE MPNIDQIQQTKFPEYIQRNYCFPNQQRQQTNKNALQQEAEYLKELLSEGLKDDSAKNPAY YQVKPQTITTGLPKVGDQEKFENHFIVCGVVSDMKYLMMPLRARSLKNIQPIVILNQDLI PTEIQLQINKFPKVYFQQGSPLNTEDLKKACIQKASALVILQKSADLEDGLSNIVDADTI FIYKTVKLLNQNINIITELASISTISFLQISRNNYVQKYDWSVSEPFASGEIYISTMLDT LICQAYYNPFITSIFDQMILGSASVNKKNKKIYQANKLQQSNLFLINIPPKYQEKTFGEL FEILLTEQKMIPIGLYRGEKVKNNSKPYVFLKPPMDVIVSSKDRVYVLSAKQPKEQETIV DSQINLGNEAASQYFNIKSKLQGEEGRVDVEYSRELMKFNEKMKTFGTQLKDINSSVFNQ GLIQHDFIEKVRSLLKNELASLNPTT >CAK75609 pep:novel supercontig:GCA_000165425.1:CT868208:323300:324867:-1 gene:GSPATT00011241001 transcript:CAK75609 MNQLKKHISVKCINFNTQSTNTRTRSISNQVSKNSTDRSRSVSKQCPAIKLPAPTKNSES VSMSNSKPVSKLGKVKIDLGNKATSRSQQTTQKSRSNSQISKEESFPKMLPDFGRMETEL LDCQDFMLQLPDIQFPTTEDIMKERIILANRIAYTVKTKKRIPITTPDFYKLCYVIGKGA FAKVCLGIQILTGAKVAMKIIEKSTLKTESAKKRLLLEITLMKILQQYQQFASLFEVFET KKQIYIIMEYVEGGDLMKWTKEKPIPEGQAKNLFSQLILALQILQSHNILHRDIKLDNIL LQGEQIKICDFGVSRQIIKGQKILEQCGTPAYLAPEVISNKTGYEGFASDIWSSGVLLYI LLVGKVPFKGNNMNELNHNIQNGLLNFMEMKKLNLSNDAVDLIKSILNVNPKLRMTSTEI LNHPWLKELNLKSNKVSTQNSNLDLRVISQIEQFGYSREFIIKSLQKQTLCHINAMYSIL TKVQK >CAK75610 pep:novel supercontig:GCA_000165425.1:CT868208:325105:326643:-1 gene:GSPATT00011242001 transcript:CAK75610 MELLDFLMECAKIERTIEISRQFLTKFPTFHPYQAFKQIDQLNDGYITAQTIQVFLHRFG YLHTLKECGILFYKPEFKYVDFLRFLLPTNQLLRDYISHSDQQSYQSNECLKEIAKHISL EIVLMNNLLPPQSIDKLTDGTREDIESLFKKERLFVYQDEIDAMVKRLDFYGDGQIDLLL LKNWVLVLNKQTKNKQENQSCSAFVTPKKQIQVQNSIKTTDKKESLKKTARFMNVSPQKR NLMQRQKSKKKVATPQTQKQGVRGKVTSKKQEGESEKKKIIEVQTNKKTANTQSKIKSKQ PQEIIEYLMDKEIKIEKIKKQLALQKDFNALDAFRTLDVYSKGSIGKEEIDTLLNQKAGQ SMHIFNRFNSDQLTYHDFLILLQPQSANFAEILNTRQPSEDGYKKKMSELFSNQTIELLI ELLTLLQLIPVKLNADIQLFNKIDGITRDNFIGVSDLQQYLYESGLRYTHHEAMLLINAY DKDKDGRLSLQEFLDI >CAK75611 pep:novel supercontig:GCA_000165425.1:CT868208:326943:328338:1 gene:GSPATT00011243001 transcript:CAK75611 MKRNNSSFGQQEAVYHISKPNSMQEINSSICISQGYQQNSSLNQLYANRFLGEWNEDMYT VTRKYVPTYTNGLNLYTTYCSPQNPIATIVIIHGYGDHSGRYFHVADEYAKLGFQVILYD QRGFGNSGGIRSHGHIKQMHQDLECILLTIERSQPIFLQCQSLGAAVGLSFCISNPSLIL QGVIVVNPYLKFAQKYGILKKMLLTLMNKMIPGLMVNSYIDFGHCSKNNNVIKTVAEDSL VQPFMSIGMAYNILQLEQYILPNVQSFAQPLLILHGKEDKVASHMNSVELYRLAGSKDKT LKLFDKGFHELQNDIEFERVKNLITTWCQKQINKDKRISYFRELNHGLVAKHTNSTKMIL VQWILIYFMLRNKMKNLGGLARFVCILALMIASLIVHIRW >CAK75612 pep:novel supercontig:GCA_000165425.1:CT868208:328602:329024:1 gene:GSPATT00011244001 transcript:CAK75612 MSNLENLINNQEEQYEYNNEDDFDFDFENLEMPQLVRRNGAIQQENVQGYIILGNMIDKM FKEEACPICLSEDEELYPLFCGHTFCQNDINNLLTDSQSKQNVVCCPICRQYQFIESFKK LQELKIASINTIQKDNNVTC >CAK75613 pep:novel supercontig:GCA_000165425.1:CT868208:329741:330133:1 gene:GSPATT00011245001 transcript:CAK75613 MSQLFSNTTNNENIIQEDEDLSDYDDVELPRMQRMNALIVIEQKIEQQIQNFVPKKYTKI YYSENCPICLEKKQLIPLECGHTLCFEDFQELITQARKENRNISCCICRTELNPCVQSNN QLQDSLVIVR >CAK75614 pep:novel supercontig:GCA_000165425.1:CT868208:330189:332427:1 gene:GSPATT00011246001 transcript:CAK75614 MFHQKQSNRPIKEINQEKCLNCNKYINSTLLRNHQAICAVTISHKDQEMLDEHLAMLIQQ NKIESKIFMQPNPPDTLQQFTNQHEFQVEKREVPAQIKNVFQSNKPIAQCRDVNYRQDQI VYNGDLKFQQNELKNKHNISIQEYVENTTQKTDQNPQQTQQNVVFNTAQSQAQAFKNLQK CAIQQVQQQDLAQRDPNYQKFNQIQTNLENHPAGNPQINIQQSRNDHIINNNQNSRNFQV QKQQQPRALLQTNRIYLNEEFNTNRQISEEKKIENSQFDPDNQFNQFNQFNDLYINQMNQ DYPQNSHYEKQSIKDKYSNQDAHQYHQYQDVQSNQKVIPSDYYQLRKPRIFQPIPNEQQY QKPPQQQQQYYQQQQQYQQQQQQYQQQSQQQQQQQQQLQQQQLQQLQQQKQQLQQQQQKQ HQQQKQQSQQQQQQQQQQQQQQQQQQQQQQQQQQQNQQQQKQQSQNQQQQNNQQFQQQQQ QQQPQKQAQIQQGQKEQHQNPQLQHDRYSKQHVQNKINSEESKNIDFQNQQQIIVKQIIL EERPPIQKVSIDYKNIQNVRRNEPYTYQKYQNKQSQKQPPIRQEQNKKKANSSNKNRTIN EDIVYKQFEYIPGMTLTDDLVNQMSPEEIYELFTQLDLDNQVGLTSQIDLLDYKRVQINI TDSCTICMDDIQPQKEAFDVRLDCNHQFHYDCIRQWLMKQKFCPVCKEFVDFTNYQNLE >CAK75615 pep:novel supercontig:GCA_000165425.1:CT868208:332534:333714:1 gene:GSPATT00011247001 transcript:CAK75615 MQQISPEVEHYLQEAVKPLLEKIEELKQKDQQNEDQIKFLIGEIGQLKTLVSTLKPVEDK KQGKQQQKQESNGNNNDHKKPDRPQTAIQQKPEQNKQKEQKPQTGNKNLSQSVVVDKSTK NEAENTTSKSPRQSVEKQTKTTQQQQQQPQQPKPKPAKQPEQKDQKETKTSNQDQPQKQA NNQKEDKKDDKNKPNKQPQAPQTDKTKEPPKKNEKQPEQKSNGAQKTTNPKQPEKKVEAK QDDKKIVKKGSKVVSQGGNQHQTDEQFQQQQQKASVPQEQHSAEKSSKETHSEQNEQQQE NHQELVNEQQEVVQHQEEQNQFQNNNHQEHKEDEDLLADDHQNEIQKSEPIQENQQQDMF >CAK75616 pep:novel supercontig:GCA_000165425.1:CT868208:333787:335206:1 gene:GSPATT00011248001 transcript:CAK75616 MQSDYQLSRFRDNDGMMDFKSDFLQRIQKNNLRMQVSERKMKDGTIKQKVRFTPMSTADY IGAWAIAMLKSLILIFFLFIAYQIYQDIQANIEISKTKTMIEMKNCGVEYEQNQCSEHFF IDSMAQRCKELEICINQDIEMKVRTKQLWLKVLGNSFENIFEDLSYKTCVMLTLIAITLS VFFNICSQFQYHQMFRGNFNRQSLKPQVVTFQYVLDQINVLDHRALSLLQKMVNPSQMNI FATAALVSLFAGLIREIPCELLDEKKLNWSLLNMFLNDVEKFLENLRKFKDFINKNQITS KNMQIANYWIQKYNEIQQDNQYSVSYAFIQITQMVIKLDKQINQRLPSSPARSLSASPSP KMNDNDDIDEIDELKSQAERISVKDPVKPKGLKLQLVPNKPIQSTVGHKHTQSQQIKQIQ SIHAPVVPKKK >CAK75617 pep:novel supercontig:GCA_000165425.1:CT868208:335839:340459:-1 gene:GSPATT00011249001 transcript:CAK75617 MSNYFFNSGLKRDGQLQLVPEHIRFNITQLTPSEVGIVQFQLILAEQEQNNIELIDIQDN LGKPLIKITLKQTELVIQYLDGTYKFPIQQIILYKLYPIFISFHNQINLITNSNVQSQNL QQKFTYTSNKVGILLGSKNNQNAFTGITRNLQIRFVKEADLKMQIDGEIKLQKSILNDLN DIGQIKYKLPFSKPLKSIEELDNWKPNEEYTILPIQPLKKITRTNNQPLLVCHDMQGGYK EDIWCFGNPLRQNSYRFYYMTHCDIFVYFSHTFITIPSVPYINICHQFGTKILGTIITEG DDNTLTKQILDLKYVDKLVQICQFYKFDGYLLNIETNVDNVDLFLQFIKQLSDKLQQIGA LLMYYDSHNAEGVLKWQSELNQDNMKYFQACNYFFSDYHWNLNKLANTGKNAGNQRNNVF VGIDIWGRGQYGGGQFDSYIALQEIKRAQLATAIFGQAWTYETSNDSRSQFIQNDNSLWQ GKNTVSLFDKHKNQWAITFNGGQGWKIVQDNGEETAIASFDWCIRTYTVQLNLVSQNKGG IIQFNAKVKGTGPKFEDLYLIGIELYDRQNRCIASIDSFSTKVNKGEIQFNADHVNVADD KWREHTLSIKTTDDTEYIKFIEAGKDVEYWAGNYGTQFTGESMVYISYDYYKDLLRMIKP KTYQEFPLQSYFNNAVGEAYYIDGQKNTHYKGYYDNLNDFDYSLCYPTKKIISQDIQDNW ESNINFSDSWNGSSCIKIKGDLQVHKSFLIKLFKTRIDPQGNINASINMKNFDKKIFQLS LVFKFADKGLQTFKPTKILANKGWDISQYTILNQGKTLKSVYLKIENISQQQQAVDGLIG GLAIYDDRYTEQMKAANVDFKDPTKYIKNIQLKESITNLYDLYIQLDLGELGPLLKTIRI FNDKQWIGNVRSNYAYFPELPFDGKEMFVRLQIVLNNGNHIKAELLDCHEIYIIMHLIPK LTKLNKSQEDISAIQDHLSQLPQFQAPYEVLKDLCKTIGYVYFETGQVVQQNNKFAFYVI KGLLQTEDNIQIQPEMWYEGDLGFEVKQDTHCFALPIDLYKKFSSSHGVLYQQKKKSLIA NLPIVEKIAPKIQEQLIRLFQEVKFAHTDFIQKMDEPGNALFILQYGVLSLSKNYQKALS HYEKQVLPRKFWFNEIVICELNDQGILGEEFTQQEKALYNVQVISKTASLLMISIQQLKN VSPQVFQSVCKMFKDRTIIRDEIYKQKCNELEKNWQNTNKDQMLNQDIKKTISFKVLSKQ PSACQDQQSQTNDDQFGALLGNLSYKKMPTFIQQYFRQKHLKVKKRQDQSQLNNSNSMNH MDSTFDPYILLQKSQQKMLVQQQNSSKQQQNALRQTKTRQMDRKSEQTKSQQIRPNTSQI MVQQQFSLGLAAQQLQSNNQLGSGSRQELTLQNNILQNFHTPKPIIRQLKRMYRSSSVHK IDDPPFSLIPQLKYNKTPRDSMFYLNLQQNYSGQRIKVNQLLHNSID >CAK75618 pep:novel supercontig:GCA_000165425.1:CT868208:340983:343698:1 gene:GSPATT00011250001 transcript:CAK75618 MNIRQLDNIVSWLLQKFKYAPYRWNEQQVHYFCEFVGLTWAIPIVTIQTIPKQRSQNYQQ EVPTDGQKFQIPLLDICTKQYEQILEVCTSQGLFKMISHSIDINLYYQIQKLKIWSLILL KYQNYREILKKLYGSKLFFQQKCKTCTAEISCSCSVLSNIIYEIEIYIKSIYEMKSLQNH NRKSSMFISSDIINLLLKSISHLPQIDQSPLFWDMDDIKALLYVIKFQDYYQLLYQNQID GFVLLLLVNPPFKGEDLFLKCLLLSQEGSQKTQNNLVRNRSSMVRNTMQTASKYHQINQL VNLLHYLLLILSFTAYKNQESYNEYRNIGQRKVSEIKWLSLYYFSKAARTLQLNRSISLL SESRSSSKSLEHRFQQSNMQRHKTEMIDIKMMVIEENLDANKKKQFSHPNVTQSAKSSPQ SQRNNQWMQLKNYNTKKSIIGNQVNNDLKESILIQDQDQDYIDEQDRDLQYTETNVQIEE DIDSIENVNQSQEEQIQQLPNKEEDIGQDQQMQQSVLMIQSYLQQQQQQQQQQQQQQQQQ QSQIMQESVFMGQSAMLQQSTILQQSQLLQQSQILNKSIQINQIIEEQDHKRIQQPQSFK EEILQRLSVLSLTPIFDPFQLFQSTHLKLKCDEQQKLKIVNIQGAYFGRSDECTFAFTDH SKISSKHCQILYKEKAFYLLDVGSKGGTFIKINHNFVIEKNMSFYIGNRFAFKILEINTE TGFLEVRYEHEGAAKHKQIYLKKGDKFLIGREKSKNNFTFMHSQCNKLMSARHLEINYEY NFKIGSVKLMINDLESKNGTWLRLSEKQLNSSPFQLQQGSKFNLSFEIIFDVVELVCDV >CAK75619 pep:novel supercontig:GCA_000165425.1:CT868208:343816:345421:-1 gene:GSPATT00011251001 transcript:CAK75619 MGACSGKQKPVRKGKQQLSEIKRFAKQNIRQFYRFGKVLGSGSFGTVKVGYSVRDSKAFA IKTIHKYRMREQFYLVLRELDILTQLDHPNIIKVFEEYEDDMYYHFVMEYCSGGELLERI VEEGNIGESDSKEIMQQLFSAINYLHSMGIAHRDLKPENILFASKEKDAPIKVIDFGLSK KFRNINQKLQRMNSKVGTPIYVAPEIIAGDYSFQCDEWSLGCILHVLLCGNPPFSAKKLD MLEAKIKHSDVDFSLSVFEHISLEAKDLIKNLLVKQPKKRITCGKALEHQWFKNMKQSSH QQKLSNNQHQKIIRLLHTYANSSKLKKETLKILINQLTENQISQLKDVFVSYDKDCNGTI SIQELLQIMSNLGFKESEEELVQMIKKFNNINPSNDDITQDTQITYTSFLSALVNCKSYL NKERLWNLFKYFDSDNKNFITIEDVRKAFEREGRQLSESKLVSLFSEILQNEKQKIIDFD QFCQMMQDNLYNSNNDSKNLEKELDCFEN >CAK75620 pep:novel supercontig:GCA_000165425.1:CT868208:345909:351773:-1 gene:GSPATT00011252001 transcript:CAK75620 MQNNRKQNGEGKNYKKKGKGQQQQQQQQQQQYQPQQQHPQQQYQQSQQSQRNTKGREFRR IRQEQKERAILRRQEQQKQEEFFNWFSQISQNNHTIHTISQYFQSVDNLEEAFSKLRINA NQCQSYITLMRILGESRQQNYINMILRSQSFNTQITERCTLLLIYQNSIQYMNQELEIMY KLFWTLKLNDYITLKQSSISSLYNLLKIIELYNPQLQKQVGKILTDFDNFLKQIYKTANV LEWREIPIYPVALEFFSYNKLPNQLFGKLEILPKENITFDRYIDHHFNLIREDYILELRN AIISLNESGFEFIDKEKTSNIGLYQNIELISAGMNNNDLSWKIQVEQFNMDGRKQKNINW DKSKKLSSGSLICLTNIECFPLIFGVITRRDDRQFQYKKNGKIQLEFRFLDPNTSIMQLL NLFSQKTILMQYEGLVEPILCYLEALKQKVSIPFQNIIIENKKEVSYPQYLNKNYHFDID LVYGNQYKGVKFNIFQQPWPQLNTSLDASQLSAVKMMLQKEVALIQGPPGTGKTYCGALA VRLLYQNLQKNDFPILIVCYTNHALDQFLEHILKFVPKEHVARLGGASKNPILQECQIRC RQKVDFEFREFNKLKKEISDIIQRMLNYDYKIKPQDISKYWRELYDSIYSNFLSDNELNE DDFLIEDSEETLVKYWINQQKPEENTIVNGYLNGMHKYFRMKQFYEDVQQFQNLQKTPGL NQQQHGDSDDDLDEYLDSEDEAVYNNNYKEMKFDSLGIDSAIQKFNENHQNQNLLHYQYQ TIENIKGYLSLQNSNPWFLSPADIREILIYLECLKYQNDSLKFGNLYKQFMEKSSQLKDL YDENEIQILNGMKIVGATVTGVAKQTNKLQKLNSKIMVIEEAAEVLESHVASVLTSNLQH LILIGDHQQLKPSIKNYFLQEKLKANVSLFERLFLNEIPSVTLTSQRRMKSKIADFIRLI YGDAYQDHQDIQKQNDIEIVGLEKDLVFFNHKWLEAQDERSKENKQEAQMIFEMVQYLLK CGYKETQISVLTLYLKQAQVIKRKFFNVNQPKVKVQTVDNYQGEENDIVILSLVRNNNRN NLGFIKIDNRINVALSRARIGLYVFGNFDFIFNSSAMGSTWQKMILLAKAKNCLNDYINT KCIPHGNLQTIRQPDDWNRIKPKLCNKKCLKTFANCNHLCNKDCHISDCHPAQSCSFLCD RIIDCGHKCKLYCRDKCKCNEMVDLSLPCNHKIRALCGTDPLSQKCREKQQISFSKCNHK AEFLCFEREQYIHKCQSMCQKKLPCGHICDKLCSVDCGICVQKCQKALPCGHENKCKSLC FQDCSPCEVPVLTNLKCGHSTQFSCSQQKQQILTHQCQQQCIKKRQCGHDDQICTNKCSQ YCSPCQKLVQRTLSCGHKIEVRCHDADHIVQNSKCFSQCQKPRKCGHQSACKSYCYEPCS PCLFMIKKKLGCGHTIEVECNKENQQHQCKAKCEKKRPCKHDQECKNLCFDVCSPCKEVI NHTFKCGHTHKFECHSSEKSIKSFSCTEPCILKRQCGHTDPCLAKCYQKQCSPCQESVIK QHNCGHKIQIKCFQQNQNQQCQEPCEKIRECGHIYPCKQLCYEKCEPCLQDVIKPLDCGH HHLIKCHLSNQRIVCKEECMKTRQCGHQYQCTNLCYQECTPCKQIVKLKLNCGHMIDIEC CQSKLVQSNYDCKQQCIKRRTCGHEFPCYNMCFKKDECTPCQFKTNRALDCGHYLQIPCF QQNQRIKALCDQPCNKVRPCGHKVTCLKKCYEPCSPCNEKCEINLPCGHTRLIYCYQKVD LNFLKELKCMFPCNKKPLCGHNQSCLQKCYQQCDPCQAEVKIILKCGHLQKAKCSEDLAN IVCVQNCKLMLNCGHPCKSQCGKPCSNCEEPFLKIFPACHHQRIVKCYEQTQQLVCIHQV QFIYSCKKHTTQLPCFQATLLKPQCEKCKDCIIF >CAK75621 pep:novel supercontig:GCA_000165425.1:CT868208:351897:352904:1 gene:GSPATT00011253001 transcript:CAK75621 MLMCCNSKRLKTGESPNRSKSKPKQRNSEICPMFTKERWIEIMKRSFEDQGYSELSYNLR HNFPLELRCQVWFWLTEKARIKSCLYQKLQFESPSEQQILKDLHRSFRSKRNTKRISGSS DSSQPDKNQEQGKVDQQKLDKLKRILIAYSNLDKEVKYVQGMNFIASSILEQLEQEELCF IILNHILNIQNYRKVFLMDMTILEIIDKRIQFEVPLIYNKMQELKVKPQYCFDTYIFSLF QLVRKELAHRIIDIFLFEKESILQTVIIQLLKMQQVEILKCQDQDEMIIYIRNDLIEDAL DKLDDGKDYLSQLLSIHL >CAK75622 pep:novel supercontig:GCA_000165425.1:CT868208:353166:354056:-1 gene:GSPATT00011254001 transcript:CAK75622 MHQKRKFERELKFFITRQQYLKKAENNDSHLFLEGLEKVNFEQAEQYYQNLSENTFEIIK QGFISDLSYLFISNYNQIMKYFNLLIPGLVILCRESHSQFIQQEQMKAVPFFFEVIRLQD IELIDYALNGLTMLSKYKFANFYTQEFMLYLIELINLQDSNLNVEQICQLISKLPEETLT NRLIDQLFSSRFQASIKYYLSLDSCTILNFLFKLLEKHLDLCFIVDNQFVQIISKLCDQS NYERSVQVFFYIENRYFISQNIFYSLRIKVFHNILIIVLQFQELKLFNNQTVRQTF >CAK75623 pep:novel supercontig:GCA_000165425.1:CT868208:354351:355301:-1 gene:GSPATT00011255001 transcript:CAK75623 MKQNIINLIQNKQSMLQQQTTNKRIKGHSPQRSPGKFCSNLLNISNKFAGTGGMSSSLAP KKSQAPQKVPKSGIFQALPMIEQTLFKKYYDRGDLPIAVNFSGAVRKIVWKCEPECLDYH LYLPIFFEGLRETEDPYKFLAVNGCEELLQKGETKILSVLPQLIIPIKKALATKNHDIMC ITLKKIQKLVKSGQMIGEALVPYYRQILPVMNMYKNKRLNIGDKIDYSQRKNENLSDLIQ ETLETLEKNGGEDAYINIKYMIPTYESCMF >CAK75624 pep:novel supercontig:GCA_000165425.1:CT868208:355667:357185:1 gene:GSPATT00011256001 transcript:CAK75624 MEVNFNQLDILLRLIQERSEDIRVMTTINQQVQANQKTIDIEFNQVQQSLRQMGFKVIAM KKHDKPRQDEENKILEYLSKINTLNLPERMYIYKFCQTIIKLFKPEMQIVRFNQKDTKDL LLMFKQYFMAENNIEILQQIQQLMMDQEFKMTSALVLIKILVNKSREDFHNLIQSTSAIV ISKIKAKLSEQQQQQKHIMNEQQKSTELQDPGSNESPKTKLWIQRKGSKQREQSSSLVYQ KPTIILRSVSMVDKLLVAQSQQQSYQQQLMQQQQQMYINPERELKLQLRAQQKKLKKKLH SNYKAILDVDFDNYWAMHIILKKKFIKHSDKYCNLKLYIVLQRGGFYIWSQLKLFNQSIR QTINNHQFKRVESIQADKLTNEQVQEIHRTYNTTCQSPRKLPQLPNVQLKSQSPQIIKQN KFVQNLSFLPQLQFRNQQLIQQQQQAYSQVSQKPISFYDPNKDFTTSAQRSNKSLNLSQD QIRHKKSEHNSEIILYK >CAK75625 pep:novel supercontig:GCA_000165425.1:CT868208:357246:357791:1 gene:GSPATT00011257001 transcript:CAK75625 MRQQQAHIQTLQTMAARLSSTISHRRSVMNDSQQIYNKQQNLSVNQSFNSPKIISNHQSF IISQRANQSIRDNVQSVQCASPSLRIKTEIIEKEDKKQQQFIDATMKLLAQNEKLEKLIC EQNEMLAYYKQDKQELEFQIKRLSQEIIFLKDTNQKRVMALERELKQVKGKSQHQPKKFL R >CAK75626 pep:novel supercontig:GCA_000165425.1:CT868208:358529:359240:-1 gene:GSPATT00011258001 transcript:CAK75626 MPILADLKYQVELQLKKQQSNRVGPSPKEKVMFLTRVLYEKKSLKEACQELQMSYSWGKA IWSEHISQYNKSKKLYKKNDSPKTPPSETEQMTKKVAGVKILPKGQHITKQFHIQVLIHG NPIKTNATD >CAK75627 pep:novel supercontig:GCA_000165425.1:CT868208:359666:361585:-1 gene:GSPATT00011259001 transcript:CAK75627 MLRTNMSIVNLNHQQSSSSLLQALVNQRPKSSQKSHSQKRVPSAKSNKTNQNGQPSYKLV TSSLCQPIANIKQEASQRTNETLKPTDNSTTEPLQSQRIQDQQSEKKTNKKASLIQRTIF GQSAASLGIKQKTVKLTRESRSNSKKEVQQIIIEESTQQQQVIKEIENAEATQKLEQLIS KYKNTSFFNQGSQQNQQQTQQITPQIVLSVSQPKENQSLSTTQQTLLSQASQAVLQEQDQ NVNVVQSNTLQVNQTSINKYVTRISRGANFMPQKQNSNSVNTSVNTKPNRKRMNSPLHGQ KRSKENSIVSEQLVSHRESKSKLRNLSGDRKFTQMQKVSIGPQAPFQEFQYYMSFARFFH KDKKFETQIKQLFNNSLKTQQYFCEIYLDHIQQSFHALQFCKDAQKPKLEEIKQKIVNLP PNKFSKSIVFDLDETLIHCQESNDDPSDIVLTIKFPTGETVEAGINIRPYCKDMLQLLSQ KYEIIVFTASHECYAQKVLNYLDPDKKFIHHRFFRDSCVVIQDGLHVKDLRVIGNRNLKD MVLIDNASYSFCFQLENGIPIIPFYDNALDKELLYLTTYLMDLMQDQDIPQKNSNNFKTS LFQQDIAFESLITKL >CAK75628 pep:novel supercontig:GCA_000165425.1:CT868208:361912:362817:1 gene:GSPATT00011260001 transcript:CAK75628 MLQQQTTNKRIKGHSPQRSPGKFCSNLLNISNKFAGTGGMSSSLAPKKSQAPQKVPKSGI FQALPMIEQTLFKKYYDRGDLPIAVNFSGAVRKIVWKCEPECLDYHLYLPIFFEGLRETE DPYKFLAVNGCEELLQKGETKILSVLPQLIIPIKKALATKNHDIMCITLKKIQKLVKSGQ MIGEALVPYYRQILPVMNMYKNKRLNIGDKIDYSQRKNENLSDLIQETLETLEKNGGEDA YINIKYMIPTYESCMF >CAK75629 pep:novel supercontig:GCA_000165425.1:CT868208:362851:363650:1 gene:GSPATT00011261001 transcript:CAK75629 MIYTGKKQRATEKETKENKLGQLQKSQAKQVEQLTLIYDVFQKNLHQFAGKYRDEISKNP DFREKFNELCDKMDVNPMMSKKTIWSDLGFGDFYNELAIKILDICAKKYAIYGGITKITD IIDEFRKVYGMKVSKSDIERAINTVSSLGGCHIHNKYVYTVPIEMSPDFNLLLEVAEEER FVNEKIMQVKKGWTKERFYQKIQVLQREGLVWADKKTQTKIVDYYFPSLLNTYFDPMRSQ MIMQQLKQ >CAK75630 pep:novel supercontig:GCA_000165425.1:CT868208:363996:366906:1 gene:GSPATT00011262001 transcript:CAK75630 MLTNNSTDSILESILKEILSEMLQLLEQNIRSQEELQIIQDHLQDYENLQQLAETMKFII NLLRKKLKGTNNQEKYFKCESKSENYQKLEQAIQKQEQDIRIHIRAQHEMKIYIDTMQQQ LEESEQIRKEYLQETTKLIQKLKKENHNLIQQLKHYTQSQKQQTAQNSRRDSTQTINVIF QKQPSKSNHLGNNQEKQEPWNNSQIIKWQLTQNNSQIQLLKNKDSQRSLTQRYIKAKNKS YSIGISKQNSINGSFIQKLMIRIGIEKKEFTCRKSSIQRIQRFHRSTEIIIKMKCYSQIL LFLMEQIIQNDNLVMLQQKILSYPKTNEDLKTMASIIKVTQIFNKLNELYHQQLSQEGQI KLCNYLELKSYELGQQVQTSQNFAVQLILKGQVEVYFLEEGYFYNHEKYLQTLDPLFYIE EDFIFDKIKYQQNQLIYKASFNNSVLLMINKRECDEIYKYYGEIFLYKHRTLCKIIPGLG ELNSKRILESLANQFENIILPNCTQITEENQIGEYLFFLAQGHVSFQKNKEHIFDMEESG IIGDELLVDPDQDQNSLQTYYYTVIAKSAQVLLYKIKLKIFSRLFPNAIIRQIITQHKLI RLLVGSKPIEKQKCNTSPTNEIKKSKSTLIFTKDTIKAYDKVDIVFQQYQVPKHSRVLKF NNNLMDKFHKKLKNTGKKITINEFVQQTKQSDEPQMKLLEKVENPYNFASNVNTEGFLKK YYSNLIRIGLVKPPLRVAPQNYEAIQRSRVISAMKLIEHTHNQLKPKRATSAAFGKADPL LSINALVSTKESEINVSTQKLIEKNKTMTTVYPTPRTQSQPQLGYHTNVTPRYSSVMETP KCDTPQSRSTMAKSSQQVFRPYSGFMDHTSRLSQQSEINKRLKRPNQHFF >CAK75631 pep:novel supercontig:GCA_000165425.1:CT868208:366958:368501:-1 gene:GSPATT00011263001 transcript:CAK75631 MTEVCVPGQTGFFHTCGQFSQCELNAATLVYSCQHDSPFTPKAGIIISYIITPILIGVGI LGGMGGGVLKGPLLEMILNYSQSEATHIAYCLMFGGTLLNTVLLMFEKNPEDDRRPIINY RIAIIFNLAVPFATNLGSSLASFLPQLYTLILQELFLFGVAPILWQKAKSAKEAELKISD SAKESTTNLNDSNLHPKIELQNMEGMQEQQEQEDSMKERRKISIISNASLNQSLYSQFKQ ESENILPPMPVLFILGSFGLNQIFIQMRSTNPKKPSYVGIEDCTWQNDFMIFILIVANVL YDYLIWQFGTSQEKQFNQINFLPKERYFTPISRFFKIYAGGFMAGFVSGFLGMGAGFVMV PTLLYSGLIPRCASATSAFIYLMISLNNLITLLTNHYLDWQTIILFTGLAIIGGSVFAKI GYILLGKYKIGYFVILIVFCLDIANIISQIYYGVVFGKRYGLEYLTHANKQCQ >CAK75632 pep:novel supercontig:GCA_000165425.1:CT868208:368640:370488:1 gene:GSPATT00011264001 transcript:CAK75632 MFKPKSQKDQQQQPFYLSWFANQGENLKRGGTAPHQSRKEKMPLKSPYQQPLITVVHNVP NPYLKTISQPKSQQNNIQQISKIYAGQFQSTVKRRSLEKRYDDGPKSGRGSFKPSRTFTQ QISRPFRFSEQQQSRPQTQQINTLEPKLNCENLQVDNSDSEQYTPREQECIEIVDMDNND DYSNKSNEADSDDNQNLQLPTQNQEEKEIQKNVSYVHKQTEEPIASMRKATVGLNRPQTS EGVRRKRFMNSDKNDSKHAFETKQSDFGLLDYEPHVIQEECLENQEIIPINLNQLNSPKE QLSIRSGQRKKQTEQVQIDNFDKNERPPSRHKTPPKATGLELPIQSGNQNFDRDGIEIPI KNMYAQIDEISNQNNNVAIIKDNDEFDDFDLGFFKMNNQVNLNKFHQRDGYRTDDGKKQA SGQKHPQSANLKYNGLGFQNISYSPINNQITSAHGFRANEEQIVIKYNSRSALNKNQSNQ PVKVPFQTSLGQDFLRLFAND >CAK75633 pep:novel supercontig:GCA_000165425.1:CT868208:370533:372647:1 gene:GSPATT00011265001 transcript:CAK75633 MSTAGYLNKYNNKKQNDTKSIVSQQSSKKSTTTDVKTKEDILNKMQQNEEKHRQLMIENN KRRKEQEKKLKLQEEEKQKQAAALLDQRKKTKEQAYINYLRNNTKQILADYQPRQTDYPK QNPKPPLEKKVQTNYNNFEALDLEVSQVAKNKKLPQEFQKQWEDFEVMRKSELLDSLDLS MAQKQKPTPNQKKNDLQQLLGLPEECSDDEEDLWKNTMKNGQLAKQNAKPPLSKPAQWAE QAMTQADDVLSKMSQKQGTERDKREQSSQKIQQQEPLNTKQKQTSSSVPKKTPPNKNQEH MKILQQKEQQLLSQQMQIQQQIQQNTVDTNQNNTNNPSHQNPPRKPPQNIRITNKQIHKQ ASSVQDEQNHIQEFLQEDLQNINDMEMQIQLELLNLNKENKQKIESVQQKYGVRNLQSSN QVNRVVFVQNQYPIKSQEDQIEASLLKLDMMLQQPPQKPIEQQQFNNQQQQQQISYTIPL QQNIQQNTYQQQQTQQQPINQQNYQQQLNPQQYQQLLEQQNFLQQQLIRAQQQIQQMQQQ TQQTTQTTNQQQGDFPQFPSYVLHQDHQSFVQTFSNGIPQQQQHQQQQQQQTFNSKPRNV ISSENQSVSIVSSQQNDKYTFDLDFGVQKNPQNTYTQQALKKMTQKQSSVKSNIPIEEEE EPEEGKIEVRKDLRNLLFD >CAK75634 pep:novel supercontig:GCA_000165425.1:CT868208:372691:379130:1 gene:GSPATT00011266001 transcript:CAK75634 MNNTLINLSAEILQALDIKKYQQKDLNRTTQRLRQSELGYNDGRPICLNKIYQEREDEEV YNEIRMRKAKPMYKLMFGTEFHIKDLSNRLKVLLKELGVHVAAEDTRGLSSQITLAFVDI LEEIYIIYKQTAMQGEDGQQYFMKSVMNVLTTYRGYDFIIIEPNYNEVQLSVLYSTMYEV DSQIDRIMAQTLHQENDQSKQIIEYGTFNEDFKNYQDAVNMEPLNFEYNWEIEYKEEEQQ EMEQIEVIEDAGIINYLPEFDKQFHIYIVEAQPEKNWQQLIYELLKDLCDKNLSNLVLEQ KFYGILKNIYAAQYLLIHRKDIVKFLSNKKFLEQYNYQQKQNMKNKETASSNYQLLAKIG IDIDQEELLVLRKLELKSLQKISSTLQEGLIEFDPEQYTRMEQQTLLRYFPTSTRTDKLE YLYIRIDPLPKKPIKEDSLIPIYDLPEWARKAFEGTKRLNVIQSQIYPKAFLTEENLLVC APTGAGKTNIALLAILQELSKRVDQKEKRLKDQDFKIVYISPMKALASEIVEKFQTKLRY LGVKVKEFTGDMQLSKKELQETHIILTTPEKWDVITRKTNQISEQLKLLIIDEVHLLNDD RGPILECVVARTLQQVQRAQSYIRLVGLSATLPNYWDVAIFLECHKDSVFFFDHTFRPVP LCQKFIGCKEPVQAPAKGQRRRTKRDIQNEQAYELMKEVVKHNKQVLIFVHSRKETVNYA KWIVERATRLGDKYVIGTTKINCTKVNDNELKKLLPYGLAFHHAGMLRADRNSVERFFLS GDARVLIATATLAWGVNLPAFAVIIKGTDIFDVTRADMQNLCVLDVQQMFGRAGRPQFDD KGEATLITDFDNVGHYMGMLNNASYIESKLLTFLREALNAEIVLGNITNYNEAYNWMCHT FLSIRLRRNPMYYGVERAYHDLELDCDALVQEKINEALKQLDTLKLVRFDSRNHLVTSTD LGRIASHYYIKCETMKVLCDEMGLSFDSQENQKFHFQTQYQLLKIVAKAKEFEMIRVRPE ETKELQKIYDEAWVFEDEPDIRGESSDSIIETQEKVIALISAYLIKMNFENYALTMDTNI IIQNAIRLLRCMLDMAIKKNQACMALELLKLCKMIENRICPQQNPLFQFTKSQDAYMPRA WLGAVAECELDAYQMKLENDAVLANLLSIPENLISQFKAYLNMIPDFEIEYTVKPISQTI LQLIVFITPYFTFSNKWHLKNEPFWIFVDDSEELLHSEEFLMDMDTIIHQKTMQVSFYVP FNSKGKKYHLTIQSDRWIMLNDDQTSMQIELQNVLQDNDEMDFTELFDLQPLPIKVLNNI EFEQLYEQYKYFNPIQTQVFFGLYNTDDNILIGAPTGSGKTIMAEFAMLRVFKQSPQFKI VYIAPLKAIAKERLLDWTKRLKNINKNVLELTGDYTPDLQALLKAHVLITTPEKWDGISR SWNNREYVKQTCLLIFDEIHLLGQERGQVLEVIVSRMNSLSYDTNKKTRMIGLSTAMANG LDVSNWFGVKKGRFYNFKPSCRPVPVTIHFNGFPERAYCPRMATMNKPAYQDIKRYSDGK PTIIFVSSRRQTRLTALDIIALAMQEGNEKQYIQTTEQELAQVCNKVDDAQLKQVLLYGV GIHHSGLDKNDRNIVENLFVQGKIQLLIATSTLAWGVNFPARLVIVKGTEFFDPKLKKYV DFPVTDLLQMIGRAGRPQYDTFATACVYVEQSKKNFYRKYLNSPFPIESSLLQGISDHIN AEISSGVVKNNQTFIDWITWTYFFRRLIKNPTFYDCPTTNTKEIQLYLNKLIANTISELV SSNCITQQDGQYESTFLGNLAAFYYLKHTTLKHFDDRIQKQSNFEDLLHTLAYSSEFNEV PVRHNEEHLNEALSKLCKLKYDKHKMNHPNEKAYLLLQAHIFRLKCPLKDFETDQKLILD QCIRIIGCMIEVSASKGYLQTTLNIIYMLQTIVQGFVKNEEQILMNLPHLHKLKHEQCIN RVRNIKDLLQFYNLREFDSFLQNNVHHKEGISEIMKAINALPDIQLAFTKTENQLKVNLK NESTPDNKVYIQKLNKQREASWWLILGEDDRIVQMKKVYLKSTASKDLDVEGWTRHYKLY LMSDSYLGLDQIIDIK >CAK75635 pep:novel supercontig:GCA_000165425.1:CT868208:379179:379920:-1 gene:GSPATT00011267001 transcript:CAK75635 MSGIFEYNGGSIVGMKGKNCIAMACDRRFGQQMSTITTNYQKVFKIQDNILLALSGLNSD IHTFYALMEKEINLYNLKENRKMKPTTFANLVASALYERRFGPFFVTPIVAGLENGKPIL ATYDSIGCKSDLDDFQVGGTGGNYIYGACEAFFKPDLSPEELEEVIGQSLVSGCDRDSLS GWGGVVYVLTEQKLTVKILKTKQT >CAK75636 pep:novel supercontig:GCA_000165425.1:CT868208:380220:380975:1 gene:GSPATT00011268001 transcript:CAK75636 MFARQMGANNDYINQEQIIPINIRMMKQIKVSPCEKKAMLNKTNITYLNVIGRKQNCFQQ LNKGIITINDDTECINLVINLISEFDQNLFNKINQDCPKLYYYNFILRARVYKREIIFDI QTIQQVNQSAMIIYQMIKILTWARLHEGQKQQTQSRIENEEDQQILIEVSNLNEDILTYL GQNSRQGKTLNEIIEYFRILNNIEPQDIKKSIAFLLKDGKIENQFDVFSLVIHR >CAK75637 pep:novel supercontig:GCA_000165425.1:CT868208:381164:383083:-1 gene:GSPATT00011269001 transcript:CAK75637 MYSKEIFSASPRNKTERLASSQVVALRSIQKAIKKQDLSVILGSLNNYNGSPQQQKESFQ LFQMEEREVHSKLGELRREYDAYHTQSLRTDKEIQQFKDLIQQLSLEEAELITNATELNK ELESSKCDYILSKQRFEDSQMNEKSYNHVISRLKHDILCFKKKLNEMAEMFHRIKAEASQ ADAKQWESIQLNQMTRKLCDEMMNNIEIEKNNRKRQIAQYNQQIKVQLAAKEKRNERMMK QKEIAEHASNDKGANEKKWRKLLLTHKVVHSLLKNKMEKEMKKFLIVEGAFQEIKTATGI QEAQEIVQKFLTKESTYGSLLGTIAGSEQKIDKLKQTNEDLKTKLQQLKDEVQDMEMNAK PSKKGVDSEFYKQFYSVDEKAKKAEIMKQKLYTWSIKMLSKLEKSKLSYEDDRINLHTIY PRGKDVELFQHLQKIITEDIDSTQPEEILQILGEVNRSQIRNDTLNEEYLKKNVRVKYKK PTQRENLKKTKSMDSKADLSNRSYSQFGQSSESSPEQSFYESESDGNTIDENSEKNHFNQ LIDEAKQIKKPKKKDEGSFGKM >CAK75638 pep:novel supercontig:GCA_000165425.1:CT868208:383374:384369:1 gene:GSPATT00011270001 transcript:CAK75638 MLYQDSRRGIYQNYQDQAKLNRPRSISNNAISYSIQNQQQKYKTDIASNYQTSISEVRQV NQNQRNHSLIQGGENNPKILNQQQPCDYNNFHAIKYTNEILKERLKTATQCRNNHIDQIK EARSQEKQQKIANSSQTRQIKQSDNDLQNLLRLTKTEAVNHNKTDHSKVTSSDIRQRMKN YPQKSSSPSRKTHTRPRSSMEDKSVTIMSFQLKDMKENIQSKDLQKICYDMGYHVVKFDR DYDKINNRLNGQGQLQIRGTSNDSKFQNLQANLNKKGIYLGDQNLNLKDIISNKYLLKKQ EHSQVEPNLNQDEKLFNNFLKFQRRQRGQFI >CAK75639 pep:novel supercontig:GCA_000165425.1:CT868208:384584:385138:1 gene:GSPATT00011271001 transcript:CAK75639 MFKELIAKQKCEGQQTQKMDHQKFDILEQLKAFKSNDSNNQQKQLLYFRQNKQIKIKQLD LPKLMKRIYSEKKLKVNSQQEGKQCEKAESSLSKSKLPPRLLTSDRIPLGKPKLTNVAST DRISTECKERKDYLNYTFGTQFRLRTTNISHSNSKSMMGQKRSTSQTSRIMTTSQQRVIN KIFQ >CAK75640 pep:novel supercontig:GCA_000165425.1:CT868208:385168:386156:1 gene:GSPATT00011272001 transcript:CAK75640 MNQQSFVQVNQEHDQIKKRVNSLKFQLKDIDQKNFILHNKLKDLRQLSPNGRKSPIAQLQ EQLGLDRKLIREQFKPIIEREGIYVRNERLKTESQLQNSRNIEIQQKKKRVKQIEDQDSE VIRRKAEYYEKKINEVRQRQLQEMEMNKLIVHKKIVEINNLKKQEQQLLGEISLAKQKEQ SLNGRFCNVVLSTDNSIMLPTIQKTNSRMSQQSHHKQRLVLGSHSVQRSDKFVERLQRND TKNNHSSFVDYDENRRLRIEQRKCSQSIDQLNIGCQTSFIMSDKIYESVQYSENFDSYCS NNQEDSSEIVQTQQSKQKSKK >CAK75641 pep:novel supercontig:GCA_000165425.1:CT868208:386351:387030:1 gene:GSPATT00011273001 transcript:CAK75641 MGKQYAREPAVAKNSAKAKASDLRTHFKNTYEVARALKGQTVAQALKYLQDVLQHKRCVP FTRFNGGVGRTSQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIEIWAAQKDENVKKEANNKVVARQSRKQVAKNRL AIGA >CAK75642 pep:novel supercontig:GCA_000165425.1:CT868208:387208:388477:-1 gene:GSPATT00011274001 transcript:CAK75642 MIATEILSDKPEDQYQRLEKAMIELFKYDNLLNNEYLVRKFETDIMKPEWYLLQYVVVQR ENSIKSQYVQNELNTRKKYHLILTDILNKYNKQLWNFDHQMLIIRPYFPTERKRIQIKIP AIQVSIIKDTLQKSGFVKEELVYEEEIYQADKSFVKLILTSQTEGYAVEIFDFLMSKKVE LQIDSVIMQNVDYLDEFHKQINSKKCQMMTKKIEQQEYDPQEYKRNDQHDEQEKDHHYQK SRARGNRGRGNYGYYRNDKDDDRHEEKYQNKDKSDRNDRPDRGDRNDRGGRTHDRRGGQR DRNNQYVQKSDVHQQQQQQTQQQQQYQLIPKAVLQKTPKQNIKPNTLNAHDFPALDSEQK S >CAK75643 pep:novel supercontig:GCA_000165425.1:CT868208:388701:393385:-1 gene:GSPATT00011275001 transcript:CAK75643 MSDSESQTYSEQSDQEDQLCYWITNCFRYQYHVDKIYNRLKNEPRLEDKLEYLSPIHQLF DSYYYLIKQLNQCKLQFDDIDLYLTYILRSQSFQNEISGRCLQIITQTDSIDNLKDKIQL VIQIFDLFKDVDKEVLIQSNVSIIFTMQKIIEIHYPHFDLTQLFQKFDDFLQFLQKSATM FSYQNTPTYPQPLEFIQFSGQSNQIFGKFYELSNKENSLKTYLNYHFNILREDYIYDMRQ QISYLSEKGFDEYTDNTGLYQNIRLKSFEINNYYLLWKISIQKFNLDRRRLKTIDWGRSK KLSRGSLICITNVECHPLLFGVITQRSTNQDEYQNFDRINLEFRFLNPKSQILEFLTLLN QKTILFEYQTQIETQIYFLEALKKIEKLPFENLILKNQKQVSIPKYLQNNCCLDIDLAGD YVYSGIKVDPSQSMWHNMKSTLDESQLNAIKLILTKEVSLIQGPPGTGKTYCGLLATKIL YENFFKINSPILIVTQTNHALEYFLEGLVKLVSIRNVAKLGGVPKSDAIKDCHFQCKSDI QFSWNDFKDLKKQLITIFQRLSSYDYFISAKDINRYWPELSQKLISEFQSDNVLNSQQID LQAENLILDSWLDGKCPKVSMLKYRSDLYGMINYFKDSKITNLEQTNNILERNQKEYYYE NESDQDIDEFLDSSDEYELDYQFEQKHNEIQVNQNKFNQFYDFQGIEKIQELIQDDSINP WELNYYDIKKIIKYLEYLKSNEDCILFEKTYQQFQEMSQDLKNLYDKEEIKKLSKYKIIG VTVASAARHIQKLQELNIKVLVMEEAAEVLESHTACILMKNLQHLILIGDHLQLSPLLKC YDLKKKQNISVSLFERFYNNQIPTVKLTTQRRMKTKFADFIRLIYGEQYLDDSYVQLNRN NLKIVGLNEDLVFFNHSWLEGEGKKSKINITEAEMITGMVQYLTEVAYQQQQITVLSFYL RQAQLIQKNLIRNKLSKVKVQTVDNYQGEENDIVIISLVRNNSQKKLGFILNNNRINVAL SRARIGLYIFGNFDFIKKAAKSDSLWQKIIDMAQAKNCLSKFITLKCLKHGTLRKVYQPN DWLKYQAGCCDKICDYNFEGCYHRCKKECHLSNHQLEKCPEQCGRSLECGHICEQQCIQP CSCTKKILVKLPNCSHEALICCSQDPLQILCQQDLQLTQQNCSHIYNYKCSEKESVDPQC QYECKRVLQCGHICKKKCWQECQPCEDYCETVKYCGHKCYSLCQQPFSSCDQEICIKLDC GRHIIKKSCFQMQNLLMINLNLSPQFFRSQIGQKYLKDLFQRLAIGQRDALQIFNNKAEF ECRAPCQKPRECGHIFQCKNLCTEVCTPCGLQIPVTLECGHQYQIFCKNKDAFLNNFQCK KQCTRQYACGHQQKCKQLCFEQCTPCQELVLKHSNCGHSIRTQCFNNYICKKKCTRRRNC GHQDPCLNKCGYECSPCQEIVNWLLVCGHFKEMKCFSTNFECTMPCQRQRTCMHNFPCYN QCSEQCTACQQVILWTLSCGHQIYVQCWVFQAYQQQGLYGDTFQCKICQQNF >CAK75644 pep:novel supercontig:GCA_000165425.1:CT868208:393567:395044:1 gene:GSPATT00011276001 transcript:CAK75644 MIIRKVLQKGFSTLYNLKQFRHQEIPQNVSVDREFGDTILPNGIRVCTEFWPSELAHITI YIKCGSRNETEATSGTAHFLEHLHFKGTGRRSRDRLECDVENFGGQLNAYTSRENTSYTI NAQKNKAENAVEILGDMLTNSIYAKSDVERERHTIYRELFETRKMQFETLIEISHRSAYK NHQMSLPILGKIQNMYSITRDMIAEYHQNNYYGENLIICGVGNIQQEQLCEYVTKHFSKI HKKKQQLKKEIPVNFQSEVFLMQSELTEDINVGLFYQGPEWTDPHYYHFLILQRLLGDKP SNFLEAAIFEQSTLNSFQKLLLDYPEITTQKAVYTPYKDTALFGNYFVVNPNQLDSCIEI SKKIFEEYGNKVSAEELQRSKRRLFIELCQHETGNDISQAIANQILYFDRRVYRQEIAQN LANVTEVDIQNCVKNWILGKQPSLTIWGNIDPVLKKYKFDASQVGTH >CAK75645 pep:novel supercontig:GCA_000165425.1:CT868208:395060:395911:-1 gene:GSPATT00011277001 transcript:CAK75645 MKDDKGAQYVYYNLVTSSHKYRKALGDNIEFAQLKQIDKQANKNEITVVMRFLPENFNSD KLEALQNIRIEELFIYQGLKYGIFKTSDYEIAGNIKRKIISYFQNKKYNDLHITIKAKKK SAKEKIKAFLEEESYNPRSQLEQKIGMPLSPPKVLPLVVKEIEQPKIKPTILQPTICAIQ ITVPQVQLKLNLQSQNKVNRK >CAK75646 pep:novel supercontig:GCA_000165425.1:CT868208:395997:396485:-1 gene:GSPATT00011278001 transcript:CAK75646 MYQLTKQLVDFRRNQFLNQGLQTEFTIQQENANKLVSVGSQLKSLNNIEKQRYSRPDSSY DESSGESSVMCEQIRQKRLRVEDVLSMVIDSECNQIIKLEPKPFQKNNRVDQEWESQKTI IILDVDEEAVDNLDLNDFFDEQYTIL >CAK75647 pep:novel supercontig:GCA_000165425.1:CT868208:396942:397868:-1 gene:GSPATT00011279001 transcript:CAK75647 MQFLIAIPFFLIGYTFSIELETLEYYSEKYSLGTKLTLKGDATQFCYVQQQQIVENILSK TEFQTDAIAFTKAEDQSLIILNSKGQILNEEQNILLTLPKFEFKDCRMLSNSQSVAVYCF DSQHLYVIQNLKNVTEYELQDIKDIQIIQERYIVLQGKQLFFNFQEVIDSLNINSIEVFR IINNKTLVFVATQNQTSAIYIYKFILDQFVYKRKVILKRLYQNLTSILMTENEDIYIATL TQLIKYDGSETVYEIKNTQKLYYLNKQDVVIAISNFGVFEQEDDEIKLQFQGY >CAK75648 pep:novel supercontig:GCA_000165425.1:CT868208:397928:398993:1 gene:GSPATT00011280001 transcript:CAK75648 MKQRKRSHIKYFPTPIIEGQYGVVPARAVPSHIQRPSYLSETKPIYGIYEGAPVVHNYDM IQSKLHDLKIELRKAAQIAAQTAYVAQKSVKQGMTTDDLDKIVHEFIISQNAYPSPIGFM GFPKSVCTSVNEVCCHGIPNLRPLDGGDSLNIDVTIFYDGVHGDTSVMAQVPDMNPEITK LIDTTQKALYEAIKICKPGQKFSKIGDIVEEVAADEGFTVCELFTGHGIGELMHMPPTII HNFNDYPGVMVPGNVFTIEPILLMRHGQYSMWKDNFTVVSPDNPSGIVNLSTVAQWEHMV LITENGYEVLTKREDETGI >CAK75649 pep:novel supercontig:GCA_000165425.1:CT868208:399017:401265:1 gene:GSPATT00011281001 transcript:CAK75649 MKVSLQDFGFHQPNAPEVNTPRSLEACRREGISPSEIVKVSFEEYQKKYRLSNLDAKGIE TYYKHFEEKREEKYRDLIKQRHIVLEDEKTGLWSQDGLGKSKMISKYSQQDASSLIEKEK QQIEKIKKKQQKEIENMLEFELKLQEIKEQNEKKMQDERTKQKQRELELEKKRAQQEEIK KQKELQKQQKKEQEEQALKDRMKLLESKEQERIKQEELKQRLREEETKRKEDEKKAQQEK LRKLNEENIKLQLEALEKRKQEMDEKTEQRKKMMEEQKEKKKQEAEKARIENEERIRQAK ERNEQEVLRVKEDVEKKLQISEQKRLQFEEEKRKKLEQQKIEAEQHAEMIRKVIEQNEQM ELEKKKEYLKKIDEAEERRKQLEKEQEREKEKKRQEEHEKEQQRHQVLLQNEQRQKERIE EFLKKFQDKDDNLQKIQFERSIQITDKRNQDTLKRIDKRDNVERIMKKQEYDRMKLQEKL NEKMERADKIQEDLEQLLLQRQNMRREIDKQKRDLLLKLEKIREGKIPPSEIQKQFGITT TDLKSQTQEEQQNYARKSQSQSINKSNKKLMTLTKPFSTVRSSKGQDKLPEINSSSPQLQ ELQNNQLKTIEQAQLQQQPDQQQCKQFIIMIKNKKNLQKELKNKKQSNQNQLSHQVQKQN QEIMRVFMEEQANENRRDDKLRNCKSENRAKLEKLQSLERQKAQQRIQRLQEQHQYEQQQ FKLAHNVID >CAK75650 pep:novel supercontig:GCA_000165425.1:CT868208:401818:402294:1 gene:GSPATT00011282001 transcript:CAK75650 MGCACQCLSIASKQKQNNCDEESECNSIKKPIFEHPRPILDSVSSISSYSDEYSSRPLQT VGQIQTQDWIYLNQSDNKYMERRVNRRLNENSLSPLQKEHLNTFSDLSVELIAEDQVKLI IPTKIKRCLNRRRVTSKVDLLNKVKQMKYQEKFKRRKT >CAK75651 pep:novel supercontig:GCA_000165425.1:CT868208:402322:402647:-1 gene:GSPATT00011283001 transcript:CAK75651 MGYFDLINQPLNEFGEELTRLKTDIVTNLKPESRFNLLVGLSVVNLAIGVAVKGIKSRPL QTGFISFFGFGLIMYPELYFGLLRQKKVQQQITHHFIKRD >CAK75652 pep:novel supercontig:GCA_000165425.1:CT868208:402844:405144:1 gene:GSPATT00011284001 transcript:CAK75652 MNKTRSSFATLRTKTQINMASQGTLPCPSHPSFNISHVCLCTTCTQSISFCSECLFETQH QQHLAKHKEHIVGWNDLMILCRRQSVSGRLGDEPQKELNQIVLSTQAHQASFKTYIKNQE QLINNDFDGLITKFTEMINKVKAEFMAPYKNYLQDLMDMGTILEKLVSDYYLEKNKDYNN DYDNFLSEVSKLQEQQSSNKKTDQNQFNLRKLMQNIIPLVSKANGQFQRALQQQNLNVEE GESQKSGYSLFSNMALKQSDNQVLNDLVNDYKVLLNSRPCYKNQGLAQQTYNGMQELIDK CYHSLTQVDFMSPGYKSQMIKMNKENISLKQQLASPKGTKYLETIIKEQSTKTLKQLTKL EEDEDEVREMQLKHRLRQTTQLIISDPLASISFVKTIKTTHKQGINCMVQFDDDFIATGS NDRTVKIYRYRVYELFKEIQFKSDVTSLAVQVRKDGSSFLIVGLFKDICILNQGFEVLKS IDRIHNGQINSIVCLEDATTIFSCAQGDNRLLQWNTQNDQQKKYFEHRDSITCMTLLGDQ YIASGGKDRNIKVYKQTYTNNVFEKLQLSMSLSDAHPTEVTSLAYGGGNILYSSSASGEL KVWDFMDGTMIKQVKNYAGWCFRIINFQLEEEQNPQQKTQLQTSIPLCGSPRRKKQPSNE YECIKNSFIGTVSFDGTFKIFNGKALLNDNPQPMITTQLKSLHDCHPFGGVLAIRDSITD KIDVLSSGNKNDCNIQLYSLAQS >CAK75653 pep:novel supercontig:GCA_000165425.1:CT868208:405148:407089:1 gene:GSPATT00011285001 transcript:CAK75653 MRKILSSAIAITLRRTPLVVPQRTQRIAATLISLPLIRYGFQTLNLNDAYEVSIEDNLNE GEMREVQVGPKKEDAVLVCKVDGQIYCVSNSCPHVGAPLSAGFLVGDKVKCPFHNASFSV KDGVHEEGPMFRGLQTFPVKQENGQLVIRVEKQLLNAPRTLNMVTKGDDPTHVVIVGGGV SGQSAAETLRQAGFRGKITLITAEDSLPYDRTPMSKMTFFGQIIGPQQFYEQYGIDVLTN TTVESIDINNQDVVVGKEKIHYDKLLLATGGTARRPQLDGVNLGNVHTLRQFNDLESIRD KAKTAKNIVVVGASFIGMETASAIKKEFKDQVNITVVDSTTVPFERVLGKEVGGSLQKLH EANGVEFELNAGVKRIGGVGQVQRVDLLNGKSLQADLVILGTGIQPNNKLVKDQLKISPN GGIETDVFLKAAKNVYASGDISSYPYWATGEHVRIEHQNEAVRQGYVAALNILGKPTPLT DVPFFWTRQWDRTLAYSGVGQGFDEVIVDGDLTQQKFVAYYARKGRVVASASMNTPNAQM IISEALRLNVMPSAEDLKEKKVSLDDIKKIVLSKGSSCHCKRAGQCQAQL >CAK75654 pep:novel supercontig:GCA_000165425.1:CT868208:407089:409581:1 gene:GSPATT00011286001 transcript:CAK75654 MRHIILMLITQCFAANIDVQISYIDAPLINIHWCSDTVLALTSKGSVYRSDDRGRQWIKM SEIFHRKALIQLENSDERIGIVNNLVASPVDKQLILFTGTDQIAWISLDCGKTITAVNAG KQLREYQFHPLEKDWIIASAWKQCGSDELLAGTPCVSYKELLLSQDTGITWHSIATYVNQ FTWGIKNKEMAKYTPKERILASFEPQGKGHQSISSWNMDYNLYYSDDFFQTRTLSVPAGS RFMLTESFLFVAKVTSQATQEVSLYVSGTELGQYKYSVIDADSKLLEHSYSILDTSENQV FMVVNHLKPSSPLGVIYISDSTGTRYSRSLENVSRLENSAEFYRVQGLEGIYLANVYAED QAKIYTNQVFESMEEGFYAQQNGFKDEDLKKYKQTRITFDKGGQWVPLKPPTVDADGKPI NCNKCQLHLHLSQAFYQFAPIYTETNSIGIIVATGSIGKYLSYRQDQVNTYLSRDGGLTW IEIKKGSYIYEISNHGGLIVMAKDQETTNQIVYSWNGGMEWTPFNILDQKAEIQNIITEP QNKGSRFIAYGAIQLESNGIKTEQGLLATIDLDELHQRNCIGQDKAGEIGSDYELWTPSG LVNPECLFGKKVTYMRKRREAACYNPEQLERIMSVTPCQCSQEDYECDLGFVMTNQQCTP INGTLNIDPPAQCDEYYTVSSGYRKIAGDICEGGVEHISMRFTCPNNKNWIFDWLVIGGI LFGLYWLYNNQEKVKEFFARTEMREIPQSKQEMLIQQIQQRQPKQQQQQQQQQQYQPIDL EQNEQQDQKFDEDLIERDDDVQIEDETAHELI >CAK75655 pep:novel supercontig:GCA_000165425.1:CT868208:409605:410136:1 gene:GSPATT00011287001 transcript:CAK75655 MTINGLLIPSKFILVSCHFITSLMAYYGAKENILANFQLKTYDTNSDAYTTAYNSIVSCI IIGMIGLGIEMIFIITGITMFYDTSNFLIICLHAVGIIVYSEFIIGAWPYYELWYYWAAF ILLPVLLEIVATCFGNILYGTAFKRRLSRKGN >CAK75656 pep:novel supercontig:GCA_000165425.1:CT868208:410200:414489:1 gene:GSPATT00011288001 transcript:CAK75656 MQNQQHLIREIYLKDKSNAFLRQQWVQVFDSLLPLPQTTLIVDTLQKIDTLKHIQELLSK TKAITVISDTSEANYNWCNLVNKNFEVIVADLRAETKLNSYQYIGIKWLLTTKAQLVIIS NDIICEHLINCFNIAYDNIIEIPYLQTQQDELFLSIPYDQKLTYVLQQIKNSNSEIDRDI LVYCESLYQMDQIYQAIYFHNQLSESEEFEVHQIIPFQSYYEQFGQQLLEMVYPQQKGKR KVFLTLNYQQLQKYLQNVQQKISLIIHMGYQTTVKKFLEEINAIVYKQYNLSRHDIIIRN RISYFPKIIYLFGFDEFKDLKEHKLIFDEEFTFNPQNIKFYAQQFNVQIDQLQNVVESEY SSLQNYIPLIYYEPLLEAENETFHYYSSVVSTLLTDGEILSEGNVESKKNPFKSFQIHQQ RESIIRSNHYWSKMGDITSWIQLINKFIIFIEDINSKDDEKEQENSKNELIKWCRLQNIN IPKMILILAYNEYIIDLTQKYVSEYSVINKCCSLDQLKLNRTVSLKKQQSMPEHSEDIQA CLLRLFYKGLSIFSGHKSAGYYNYVANQKFKLCYNNLMAIIGDFPLTCIVMALLQKNQQY EIKYSTKIDDIMIPQLAPKAFVKEHKLQFIQRQSLHLNEITFNGIGQVLFNELWKHQSGP QLSQDCILQPNIEMNCITVLYDPNVAKKEIIEQELNSRIKELQNFYRNQVKEIPYENDSK FIMQAGGSMKDWIEKSAYKTFFIEGLPLDITQELLQEFLQEVIISEMKLYKEGDKITARI SLQDHDDLLFCLENIKEYKDNQLKVYTQQDQFEHNEEKLLFNEHYKIIITWNLHLNKGIA IVKLNSPHLFNTEEFETIQHLTYKMNLKSVYEDEQTVLADLKQQHKDIDIKSVTIDKQLR YENNGDLQLEIQKLLSNIPQDKYKIDSITKQNCKRILTLNVYDKNIMEQFILLHNQIKQF RGLKVQLNVKPLYYHDYEGPIEMKQIVEEIFDEKKLKLGQYTITSDDELKRVKVTIECWN KQDHEQLNKEIQDAFTPKIIKSSQDFDITVIFSQSGTRFLKFQEESLQILIRQDFINNQL FVNSSQIKYNQLTKAIKEFVSDCSKSIIQIPKNCIRLIMGNDSQGLNEIKKNFDLKSVKF NSISGELQLFGDQKNLDAAIISISEIISSQQQQDKPINALTCNYCFDNMKNGYMLQGCGH KFCLQCIMFSIQNSLGDMTQLPIKCPQCNQGILLADLHILIDEPSWEKLIKLSINKYLQD HAAQIAFCLTPNCPIIHFQKIPRYTCKKCQKQYCNSCRTAYHYGQTCREFKAGNEDSINI YMKKNDVRRCPHCKILIQRIDGCYRVTCTGCKKSICWKNKADGTPCMAIFETSSECYSHL TKEHGGYW >CAK75657 pep:novel supercontig:GCA_000165425.1:CT868208:415194:416492:1 gene:GSPATT00011289001 transcript:CAK75657 MSEDIKSRVMNYFNCPLGDIHEGEQLNFVCLEANCKEMGLICPVCRTQKHSKHKVIPLKI FLADIYTNINAKQNQNSLDSLLVQLDQSRLKMLSSLKDIVQKMVLQIKLLEEQINLSYKN TKQRLQEQNQTQMNFPLLFQQILNTQYKNVELLKQDVRKIIDNVSQLQPGKIEIDFKPQK LFDSYQKAVNILQLSFQSQEAVNQLQMLFTSFKQSTQKIVKPIEKFTLPVVSHNQINFIF SQTLKSATINVQDLKIATQQVQQNTENRFILIEPSIQDSSKIAFKIVNLTNFIGLGIGLK NVLQTKNMRFDQQSIGHGSYMASSNDLVFSHHKQEENMISKSFPFATGDIVILDVNFENQ IIKFKNKNSQNEPISLTFDIPENDEIVFCVNMGSVGEKVEILDDWD >CAK75658 pep:novel supercontig:GCA_000165425.1:CT868208:416664:417710:-1 gene:GSPATT00011290001 transcript:CAK75658 MCQTSMEEEYSVISKIGCGISADVQLVSRSGELMALKVFKAQKDQLYENEIRIMNKLSHI SGVIRIRESQLRGCIMMDYAKYGNLLQYLQQSRLEENIARNFFKQMIFIIDKIHKMGVAH RDLKLENILLDDQYKLRICDFGFAVQYLDEQGRRIKVTDYVGTPQTAAPEIYLRQPYHPV EADLFQLGVILFQICAGSSPFHNANIKCDPNYQFIYRQQIGKFWEKHQFEFSAELKDIIV KLLAFNPNRRLSIPEIQSHPWMQGEEADSSQIIDEMEYRWSLLSLQI >CAK75659 pep:novel supercontig:GCA_000165425.1:CT868208:418978:420077:-1 gene:GSPATT00011291001 transcript:CAK75659 MLVRLLSTICYRQKLRLQVNRNIKKYGDRLNCAFKWTLEPEDDFQHILTSIIAKILLSYP VILIKSWQKIAEYLNIKLRSMTLENLHIGNAKFKFSIILEEKMFLSYNPLLLLSMIIQQN YYYQYLLQEEHHHEKQQWWYITIDQINLLKNLNQQLLQLQPKQTFTRMTEISNVSLIIYF QDCIIVDDMIDTASTLSEVSKVLKEQGAIRVFAFATHALFSGKEFINLSSPSLDQIIVII YLPFNYYINIIPSNPKKMRSQEKESADCLLISYLVNISSSVCRGYLQKESVSTLFNIVSL QFINQYQIYETLIYQTISDINFHFQIRFLTFRTQQVFFRS >CAK75660 pep:novel supercontig:GCA_000165425.1:CT868208:420332:421073:1 gene:GSPATT00011292001 transcript:CAK75660 MIDDLDKQQEDDCMNQYCRNEQTQVWPAKFSNQTLFFCQECLNLYNEKKCCYFCAQVYSD DNQNFLDGQKWIGCDQDGCEKWFRLIYNVNQKMVFPKLTPWWKILNINIFDQKQRFSKAP CRIMNKKALKYLEKMNRRQNNPNEQTQHNIQANVQQFYYCPSASSKQSYLEELLKKNGGF CQQATQEEIQVDLQKMMSLMKQ >CAK75661 pep:novel supercontig:GCA_000165425.1:CT868208:421075:422136:-1 gene:GSPATT00011293001 transcript:CAK75661 MILSNDLVLGNQPKQIKCPFCSNSTVSAITYKNGYLSYIACFLILLIFGFMASILLIPII MLITRTLIHKCSACHQVIGGDGKVFHVLGVKDSMMSFKIGELGIILTKRIVIGISLLILT LGVLWYRIMEIPTSQWMDYHQHYSPNITIADTWTDYLEKCSKQKVFQNVQFQQYCEQKYV DRTIVDWKGFAIRVEDNQQSLLKFLHHSVNILVKMDPAESEFHPDLLLTADSEVATELSL EIEKLERGSEFRFAAIIKSIGDFQNIRHFHLLNITKLDGYIEIPPHLHQQSRYGNSQQFL ASSTN >CAK75662 pep:novel supercontig:GCA_000165425.1:CT868208:422458:424308:-1 gene:GSPATT00011294001 transcript:CAK75662 MDIQQKIAHYYETVLSKTHLRTLLDNDERNKHLVTEFDGILLDYSHEKVDAELISQFQQL ADNTNLFATLKDIQSGIKFNSTENRAVLHTALRTPEAQQVIVDGQNVIPDVYQILNRVKT FTESVRSGTFLGYTKKQLLNTVVIGIGGSYLGIEFIYEALRTHHEGQLKSKGRQLRFLAN VDPVDTIRALQGLNVEETIFVINSKTFTTAETIMNAKVCRNWILEQYKLLGHENAKEILE SHLTAVSTNLAETGKFGINEQRVFGFWDWVGGRYSVTSAIGVLPLSLQFGYDYIAQVLNG AHSIDQHLVNEKQVSRNLPVLLGLLGWYRASIQKYQALALIPYAQCLLRFPAHVQQVHME SNGKTALVYPDKHEQYLKSACPFIFGEPGTNSQHSFFQLIHQGSQVIPCEFIGYAKSQAE TGASNPAAVRDQHDELMSNYFAQVDALARGKTKEEVVAEGVKEELQHHKVFPGDRCSLQI LFQNEANPYNVGQLLALYEHRVLVEGILWGINPFDQWGVELGKVLAKNVRSVLLKNVENH QNLDFAGFNANSATKNLISHYRAFQGKQ >CAK75663 pep:novel supercontig:GCA_000165425.1:CT868208:424436:426604:-1 gene:GSPATT00011295001 transcript:CAK75663 MSQGKIYCYGGSIDIEGTILLDEFLEIDVVQLKFRQIKSAPTGRVEHSMCLYRGQVLIIG GRTQKKIFNDCKTYTIGGDKQKKQMYCIRWNQIEFEPAHRFGHQCTVYEDTIIVTGGSDG QIILDDVWLLVDLRTWIRLEIKNPLSIFRHQAALAMKEYLIIFGGCTFDGKRCNDNFYAL NIVTLKWIELPKVSRHPYPRVQHTMLCLLNQSREDILVIGGLNYQDLSILNFSQMANLVD LQPQSSLVSYRSHTVEREEFLQDIPFEVQNIEEGTSFLELGKYYEWKIETNQEIKFTPRT GHSVVQCQENLYLFCGSDDTTIVNDMHCYNLFKKQWEQIPPKGIFPSPRSGCKGVAHQHD IYYFGGYTNRRGEYFNDLYVFDTKLRQWNQIRTTREIQPRVDMSLVINNEKLYVFGGADG SNRFNDLHCFDIQNNHWVKLQTHGQIPSPRFGHTAEVYKNQMYVFGGWDGFKTLDELYTY SFASNYWYSEKVRNKPPSRYRHSSTIIGYSIYIFGGVDAAMTRYNDLYEFNCELKEWKFI ETAGNTPSARTFHQLCSYETSIYLIGGNDGTKKNNDMYSIQVFDHRFSDLSSISQLEIQS NLIPKENGLINILKNQVSELEQRLKEEQEFNLCQICQSKDINSVFLECGHRFCCYDCSNK LELCKICLKKPNRIIKIYVV >CAK75664 pep:novel supercontig:GCA_000165425.1:CT868208:426644:426844:-1 gene:GSPATT00011296001 transcript:CAK75664 MSQIAVKWEIQRLQGTLPNKITNPCTFYEDNLYLIVDNYKQNDLYSLSLIDFKWRKLCNG IPFKAR >CAK75665 pep:novel supercontig:GCA_000165425.1:CT868208:428557:429588:-1 gene:GSPATT00011297001 transcript:CAK75665 MRKNYIIIDDRERSRSKEKQVKPAQIIDLEESCYSLDKGDIIGGKYKYLERINEGTFGRV IKVRDVQSNNQRALKVSYFFISRSLKTHFTIYEKEYQAGQFLNLFPKLIDHPGRHHILKV YDFFYHKQCQCILMEALGPSLYESLIKKRFTQQQIKSIMQQLLNAIDYMHELQYLHTDIK LENILFKSQFDEVNVKIIDFGNVVYGRQFYKGLVNTEEYRAPEVILGLEWNSKQDIWCLG CLMHEMFFQKELFGKLKNNNQKHLLMIEHLNGPFPQWMIKDSKFFTKGRVISDQFMRQGI SFSHLQDLNIHSSFIELLRWMLKTDPKERPSAKELLKHNYFKI >CAK75666 pep:novel supercontig:GCA_000165425.1:CT868208:429672:430063:1 gene:GSPATT00011298001 transcript:CAK75666 MNQLNDQSHNPFFSILGSAISQCPNYDSKMNYLLESRKIFLFNQVLQQQIEFIKDQNSQL DKQCIQPQKKQRRKATQISKLYKCTQCEKSYGSEASLNLHSKLKHPTIESESKTQIEQSF QI >CAK75667 pep:novel supercontig:GCA_000165425.1:CT868208:430336:431570:1 gene:GSPATT00011299001 transcript:CAK75667 MADINSQRKSVQKQAYIDDLFTNDNEMEDDKDIIKIYNTAKRERKTIEAHKQLLDNRVAL LKQEEIRTLKKIEETRKKALEIYYLKKKNEEKLKKREEEKESLQKKQEQQQQIRKQQEKE HKLLIQKHRNEKAQKAFIIKDQSKKIELKKQNIQKQSLTSLKEKNQIMRESRDINRVMER IQMEKREAIKVQLGRKLDSEQKLKEIKQKEIEQIETYEMDLLQKLQNTQQMQKTAFEELE SALTMTAKEFAEKYLQPKQKDDQNKEISNFNSDDDEANKDKERPHISEPSQDQSSKISIQ QINEIHDQHQQHDSKQDEDNDQNENQNQNSKEENNQQNQNNLQLDEQNKSQNGDQNEIPN LLESPKNKIEQDQQEEIQNE >CAK75668 pep:novel supercontig:GCA_000165425.1:CT868208:432567:435349:1 gene:GSPATT00011300001 transcript:CAK75668 MNNQKLHVKQSLVKLTLQRISKERRETFLNQIIQVIVKYDFPGKFQDLENYFISTLQQIS QFDNVVSHPIYDFVRTLKSVQKEVSKNRLKFQWPLKSCKKSLAKRFYPCLHIYGNMQPNY NFKIYQKVLKLLVMYCFKRLPKNQIKYQAIQLCHFIKRKTCKKINIFRQTISDIFKVLLQ KATEFLKVHQNSEIIKAHLKTLISSLASLQQLYPISIGYSLQDYLTILQIILHQVEPENR LINIGLASLTKILQTHFYYCSNEQFKATIPQPKSQVYIQLREVFFNTHIIFFLERLIVIN SSKRNSNIKEILEIEHDSKSKTNDDKDEVSESTQILCAECQEQLISRFPQQILSYIIENT QQLISTNYSVIKTELLDSFFSLFGKAIKIQEKQKLQTFSFMPILNYLFQTENPRNYYRYI MLGKEFISKFSQSEFEQFFEQTTLIILKSDDIAIKIAGLDCINQAFAIKVNRIDQTTLIK LGQIVSVLPNVLKEDEISHYIQIINDFLYHFMYGSLVISTDFVINQLLQNDCLIILFNIK TNLLVVNFCELFKKLLISFPFGNVPDQLIQLAIIFITQNMQSNHQDILDLYLKLLYEYNK GVNHNTTGILLQELFLKNENMLLQNLENQSIKCFILLMINEMILLDLLPISNNLYQMLAS LMIKAQTISDIEQSVEMKNNCLNVLETIILQQIGKIDVTCYGTLIIYLIKEFLGFQQIQL KSFQFVELKTRVTNILNIFFFKEEAYFLEFIASNFQNYDQYFIQWQENSINIINKGNKKL NTITTLYFLKYISQPTLQSFIEPILKESLVEIQYFIDYQNEEFREQQIKNRLQYHSFEKV RLSKNRESLRKEELFERNLHYNKLNIQQLVLATINQVMTQFKLQGMQQIIQDQYLLGQLN LLVDEC >CAK75669 pep:novel supercontig:GCA_000165425.1:CT868208:435355:435823:-1 gene:GSPATT00011301001 transcript:CAK75669 MVVKSLAHKRIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKAGY RGDKKTRYLDQTGFRKLLITNEKDLELLLTNNRTFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKVRAEEKKE >CAK75670 pep:novel supercontig:GCA_000165425.1:CT868208:436244:437008:-1 gene:GSPATT00011302001 transcript:CAK75670 MDIDCNDYLRMRDIKISEPISHQKIVQLEILIHWIFMISEKNNLNTKTIELAAILIKIYA SQNSMQPDNLKLLGIASLMIAVKFNECQTQINMNIDDCASQCNFEFSSKQILEMEMSILT LVDYDVNITTITDYYNQQAVHSDLILFVTLDSDFLYYQKYELFEAITNFYSEDTENIPIQ IKNVIQKINQKIQKLTSTESQQIPSIRRKRIFKRKFRGAKQQVTSNNMQ >CAK75671 pep:novel supercontig:GCA_000165425.1:CT868208:437183:438317:1 gene:GSPATT00011303001 transcript:CAK75671 MDNPDNYIVNIFKNEIQIKRIRKELKQLEVLDSKEFKINLKQLSNNLQIIVEMQPVVPLN QGKPIKFCLYLDNKFPFVFPRVHLLSHLTKPTFADGRDYIEDVLHQQWSPSILLNEIIQK FPKFLDQVRHYKDDRDHLLSLGKYNLDQEYEGSLGLEDVEYFQCKEILSGRSYQKILQLS NSYILILEYQNKVLILQSFQPTKDLVKVEKIENSAQLTWRSDDNFRLQTVTPSNIDQFMD SILLYKTGASGKKIQEEEVTLQKFENFQIQKLLDSVSYYEKNLEQELNPQTLNSLMDSYQ QAIEYFSAVSDEDFKEYVMRLQNLLGREDVQKILSNKL >CAK75672 pep:novel supercontig:GCA_000165425.1:CT868208:438518:439073:-1 gene:GSPATT00011304001 transcript:CAK75672 MKQDIQKKKCQQQQQKPQELIIESFGQPKKQDQQQGDKKIAKTKDVPVEIYLPFMSQIEQ KQQQLNSAKEKLNEQLVQSQQQNTKKQNPKLGYERAEKIRSALERELGLNEFLTIYNTFK SLREKNSLEDIAKQYGPNYTELIPNMQQELIQAFLPSLFILLEFDLDE >CAK75673 pep:novel supercontig:GCA_000165425.1:CT868208:439120:440123:-1 gene:GSPATT00011305001 transcript:CAK75673 MDDDSHILIDDITSMSHEMQIDETIIKQYKKQRLLGVGAYGKAYLVTSDDENQIKYVMKV LPQSPSAKQEALILQNLRHENIIQYVETFVDSKNRLCIIMEYANNGTLGQYIKSRQQPLP ETQIVDWFTQLCLAIQCVHSQRIIHRDIKAENVFLQDDKLKLGDFGIARSVEQTLATTFI GTPYYLSPEIIQNQPYSYKSDIWALGVLLYEMCTFKYPFQAESLPGLATKIIKGKIQPIS AQVYSQNMKNLIQSLLQIDQNKRPSIEQILCIIQSLLRKSNNLKQDQIIKYQIVTNNKAS SITDVKEIVTLGYYKKG >CAK75674 pep:novel supercontig:GCA_000165425.1:CT868208:440173:440665:-1 gene:GSPATT00011306001 transcript:CAK75674 MKEAANINDEKRPLASIPLQTFLYFDYIFTYIYFIIEIIVFIYKGYGLFYPPNKIGIEIF LQFLLAFCQFPRLILGSIGNKTESPSQILWFVLLIFPCIFFYIFFIVLQTYVVILEIIIN VIALIFIVFELLFSLSAFLTFKNYEKQQ >CAK75675 pep:novel supercontig:GCA_000165425.1:CT868208:440847:442704:-1 gene:GSPATT00011307001 transcript:CAK75675 MIKLGHMLAQEQAKLKSELKAAQKQRTTRNTTSSTFYPNISKTSTLLYKSQVSPSINTEF ISENCSPINSPKMHTHPSDPDPVFRLNKNIHPSYRNKLETVQLPPNKPPLRRVNKISNLR NLNNNSIAQSNPLKGDESKEKLLQQTHFLCAIMQQSHSATGKIVANFLNGQLEKNSYESI IQMEKSAQALQQSAKEMSKALFISDDEGEFDNLQNKFIDQIRFSKYRKPNQEKNIVFKRN YGQKHEMMIDQVASQRQKTDENQDFDVFIPKSQMDQFFQIIQDKIDGRMKEQTEQLIKDE QKLINGMIANVKKFRDDIREVKYTMNHVRKNKKALQPSQQQPSAYRTLKTLRTLKSQSSA RIQSPKTQRLDEEAKADLRLRVMKALRNFMDKLKRFNITLNDVVNNQVFPSQAYERPNSV EFFRQVKADNLREIESMLRDCRFHVYDRDNQQKTPLHHAVSNNSIEVIKLLVENGADLDA RDMMGRTPLHIAAKNNNCDTVRVLLVYQANPSIKTVAGKTAQDLTEMPVIKALVKNAKKL HFMMNLQPSKKKKDFWVERAIVYFQEDDPEKILKLQLYKNQAKLFLS >CAK75676 pep:novel supercontig:GCA_000165425.1:CT868208:442748:444950:-1 gene:GSPATT00011308001 transcript:CAK75676 MIIDFLGGTNSFDVKNDLVAFEQGNSLLLLDTTNNSKVQMHGHVNQIMAICFCGQNLIAS VSMGFKNHLQISEFDSFNRTFDDYLPDTDKKTVNIFMENINNQLILIEVNTGHRILVYDI QQYKLVFITDLDNLNPCNGFSYLLTKPKTKLCFMCNGQVTLWNLVSNSVQITNRITLKNI KHMDTNKSLNLIILILVTGDLYILNSEGDLISQLKAPDQEFAASTSFEQYLYLSTRSGKI FIYEISTLKFVTEIHANDTCYCVQLKHSNQILVFSLNDSTLVTMDINKRIILNQQNGHGG RINQVSWDPVQSNQFYSCSNDGSFGVSNSRGDKFSMSKYYIGQFQTKIKCCVIDNYRDDI YLGDNKGQLFVFSLNNVQFRIKEMQFSPAYQYLGVAFKNGFTIILDCENQLKPVLKLEDK LENISYVGIKLFQLEFDIVKVNTQAYSTSYIPRNDKSFNSLTIYNHNSVRRHQIDKANSQ LSALALSIYTIEGTIFGFDLHPSKDYILILSNYGFVYIYKIQSSEKRAKITVPPFSRHLK IDKSGLYFAIAHLPLVNASDKQFIEIPSVEYLTKNAKVNKKMLPTNIRIYECGTGQVAEQ INRIFSIVSLDFSNDGQYLLVGGKKGKISLWGLSQDLVQSAEDVIKDMKLNPFFWRDFQI YIDDEEIQEQDILDLDLLAKKLPNKPIVQSQKYQQIVNPHTILQTPGSASSRYELY >CAK75677 pep:novel supercontig:GCA_000165425.1:CT868208:444950:450247:-1 gene:GSPATT00011309001 transcript:CAK75677 MNPLRPIRVQEAYRFSQLGVSQTNFKFGQIFFESDKYITIRETAPNGDTQLLQFNFETKQ LISQKNIIALRAAGEQPNSTVIQIFNLDEKQRIKNVELNETIVFWRWVNPQKLAYVTPTA VYHINITNPNEQQVKVMDRYPLSSENPVQIIGYGLEGNEKWCALYGISTPDGGRTINGHI QLFLIEQARQQILEGHCCCFGDALIHTDDYKSSLFCFVERKSGETTSRLHISEIGVPPNG FQKYKRQVEVQTDPNYPTDFPVLMHINQRYGLIYVISKYSFLTVYELSTASLIFRERICN ENVFVGAPNINQGQYHIIGKEGIVYTISVNDDQLVSYLINTCRHIPEVIQLGFRLASRYK LPGADNMFVDQFNKAILSGDALSAAKIAASAPGTVLRNPESIAKFKQMQQQPGQPQPLIV YFQTLLEKGTLNKFEAIELCGPILQQGRKQFVEQWIAQNKLEPSDELGDLLKKYDVNLAI QIYKLSKSYQKLAQCYMETGQIELAMQLQQQFGVSTDYMAMLRNMMMQSPEQALAMAKSL YQRDQSINVHQIAELFEQFQRLPEMTAFLVECMKNNRAEDGPLQTKVLCLNLQAAAQVAD AILSMNIWTQFDRIRVAQLCEQKGLYQRALENYSDPKDVKRVILNTHALPPEFLINFLSR VDPALTLQCLSDLLRHNRQNLQVVVNVAVQNNQRLTIPACIKVFESVSAFEGVYLFLGSL INTTSDKDIYYKYIEAAAKCNQIKELERVITEKGDCYDADKVKNYLKEQKLSDPRPLIFL CDMNGFVDELTRYLYKNGFTRYIEIYIFKVNPNAAPGVFGTLIDLECDEVYLKQLLYNIR GMCPIEPTVDEFEKRNKLRVLEQWLEARVTEGNQIPAIHNALAKIKIDTSQDPDGFLINN QFYDAKAVGKFCEERDPQLAVLAYKRAWGQCDQELINLTNKNEMYRVQAKYLVERQDVDL WAGVLQETNPHRKNLIDYVIQALQDSKNVDEVQAAVKAFVLAKIPYELLGLLEKLVLHNP EFMQYKQLQNLLIITAIQSDTSKVLDYINRLDKFDPQIIAQHCLNEEYNLFEEAYAVFKK FNLHIDAVNVLLRNLGSIPRAHEYAQYASSPEVWSLLAEAYLNQGQTNESIDCYIKANDS SAFLNIINVAEQEEKYELLVKYLLMCRQTVKDVNIDNSLIYCYAKLDKNLDIESLIQSSN SADVIKVGERCYDQQLYEAAKILFTALKNNARIASCLVRLKQFNKAIEAAQKANTSKTWK ELCFACVEASEFKYASIAAQNIIIVPDMLESLIKQYEEYNAQEEMMILLENALGMQRAHV GIFTELAVLYCHYKQKKVMEHCRQYFQKMNILKVLRTCEKMCLWSEAVYLHQHYDQPDNA INIMIEHSPTAFSHDVLVMLLQKITNTDLYYKCILFYLEEQPEQINDLLRSIQSKIDLSK FVKLMKNTGYLALTLPFLQQIQNANNKDVNDALNQLYLDIEDYENLRESVKNYENFDQLA LAQKTQSHELVEFRRIAAYLYRKIQKYDLSMNLSKQDQMYRDSVETAQESQNVKLVYELL EFFIQNKESEFFTVCLYTCYDLLKPDQVMELTWRSGLMEFAMPYFIQITWELTHKIEYVQ KKHEDREKKEIQTAQQQQSQALPIAQDFLLNQGQLMLGPPSQMNNSNISFGQPSGFTNSQ MNQAPMGYGGQQFGSYQPPGF >CAK75678 pep:novel supercontig:GCA_000165425.1:CT868208:450756:452031:1 gene:GSPATT00011310001 transcript:CAK75678 MNKSFKSYPSFAAFQIEQAKSNRLLYVYHNSVYDLTDFIDKHPGGRGPLNTYKGRDLENI FFNPAIHKHSQSAFNTFERYKCGIIENKPIQQQQQSMLGSKSTNVSQSIITNKSQKNTPQ KNDSDKKLQQKSQSVKPKAQQQEDRLNQSMSKLNQSMTSSVKSQFLLIPTQQELLMIQKQ KQQKLIKQWKQSEVEELKRQQAEVEKLRVEKNEDRKTQSEILVSTRKKSTNPYYSAWLEK QQQQEQQQKEESKISNILPAYLTQSSDQQVEDPRFQPFTFDAEKKRQHDSKLSQSVIVQK TQKKVNPYYEEWKRKQSLKSQESQKELTFQENNQLSKQQSIILEENESQISGQPSSINNS FQRSYSMSQRTIVQENPQLSVPIFAPKFISPIKAKFVISSSMQKL >CAK75679 pep:novel supercontig:GCA_000165425.1:CT868208:452112:453330:1 gene:GSPATT00011311001 transcript:CAK75679 MNNPIFNTARIFLQNKYHFPTPLELFKHLITNSSYFQTLSLKNDSNDELLYKLIFIAGFH ERRNCGSIVKEFSQNCLIQIRDRIEDMSLDIPTLIQAFLSMSNVLLDDEKIVSTILKQLK DQEDQITLKQFHSIQHCLYKLGRQNNYWSSKACDAMTNNLTTIIIQDYVQYLHIASNLYQ FGLFQEEHLIKLLDILYYKLGKQQKHIYSSKFTFQFAQMMFNIYPEIINQPLNQLSCKPH TPFEMYFQFLKRDYQICRQILQRKNLGVTIDYFENENFPFLLRLRQDSISRTQTLLETEI IEILNKLQLKFLKFQKVLIYDVDFKVMDNYAINCNGPLHFISTLDGKIKQKSFNTMMQYR HLKALETPNVIDFDFFDWKQEDSMEFKISKIKTLLNLI >CAK75680 pep:novel supercontig:GCA_000165425.1:CT868208:453439:454025:-1 gene:GSPATT00011312001 transcript:CAK75680 MNRGKNKKMVNVSVSNDYDLKQSYSILKLQMEDDGKVSISTNRRLIRTFSGKIIKSSQQQ QQQQQQQQSFINSAQYFFHPPFKIPTPLHQITLKKSIKSIIVKIPDKTIPKKQTATSELI RKKILNAQRKSSILTKYIPTKISIEPKISYQKLFQSSNQSNYHPNHNKSQHNPNHNNSIT FDSHYI >CAK75681 pep:novel supercontig:GCA_000165425.1:CT868208:454493:455120:-1 gene:GSPATT00011313001 transcript:CAK75681 MKSLFRYHFINKVSISSINQNTLIPLYKSSISDQKLNNFSQSYVPFKEYFQLVPIFLERY LFDHGKHFVVGMQYTKESNLLQLKCLAHSGIYTKYVVPQNIIPATFGDYNQSARFNLFEH TIRVDYDMIYYNNQQNEFYLFDREGKWEEVEIPELSIEKLFDEKKYLNEVFR >CAK75682 pep:novel supercontig:GCA_000165425.1:CT868208:455158:456601:-1 gene:GSPATT00011314001 transcript:CAK75682 MKFAFFCLRCAKIEQAVTLVEQALSFDGHNQQNQLLLAGLYQKREKISEAKFILELLLDQ DPNNLIYHLFYNLIIQQQDQELADFMMQKAERIWLRQLNLIKQEGMHHEDPQLFDLPWIK IPIPVPDKPEKDKAILEQREQQRIAWENAHNQPQLTEQQQDQMILQLVEFFENACLFELA EQSLSLLNDQSHNKITMLRAKIYLYKSEYAQCLDLIENMLEFNPEDLDIRIEYIKVLFKS QDFDKCEKQIKFVLPNPQLREDPIIYFSMYLMLGSIYINEKQFGNARAVFTKACQIKDNS SLSWMGLGISSHECGKYKEAEDALRMANLYEPTNDTVWGYIALNCLKDGKRFRDANSALK EMNKTDAGQVNLMVRLSKEFYNIEEYLTAKELLQKAINWAKIQDMLTEEINQFEIQLAET NEKLGQLQQALDIYQEILNGECGSLEEHLKQQINRLHFQINIKY >CAK75683 pep:novel supercontig:GCA_000165425.1:CT868208:456723:458098:-1 gene:GSPATT00011315001 transcript:CAK75683 MNELKLLERLPARKTNIKMNFVLNGVFSFPEEWRVTDESNPNLFQYSVAFLDQRLSGGKV LPRELTEKEKKEIEEAEAAKKAKKQPKKDAKIEPPKLTPEEEKEKLLREQMELEEQQRLK AEWDALTEEEQFYKTQEDQFKSPSIKFDQNQFSQELTGQKLVIQQERVRVDQGEWIFLYK SPIPGDEEVAKLKKTKPKTLNINDLNIIVFKAWIDYLPFQTPGQTETIQRIKFTQYMDEQ SPPETPKPNIETMYMYLKINLSQPITPVRFEPQPTFQDLIPLAPEPRPIPLSQQCIEELR SDLQVIIESLAMEYSNMFSKDLNKYQNEKQSNMLMTHKKQSLQQRKEHFLYDFNISGKYK ILKERIKKSIVKLCRDKFNKSGSVTGISTTQSDQFYSELYVFLMEEMRQVLINMVRENKE ALQEDFSHLLSKKKTIQDVGFQEIIVEKTN >CAK75684 pep:novel supercontig:GCA_000165425.1:CT868208:458686:459272:1 gene:GSPATT00011316001 transcript:CAK75684 MNRGKNKKMVNVSVSNDYDLKQSYSILKLQMEDDGKVSISTNRRLIRTFSGKIIKSSQQQ QQQQQQQQSFINSAQYFFHPPFKIPTPLHQITLKKSIKSIIVKIPDKTIPKKQTATSELI RKKILNAQRKSSILTKYIPTKISIEPKISYQKLFQSSNQSNYHPNHNKSQHNPNHNNSIT FDSHYI >CAK75685 pep:novel supercontig:GCA_000165425.1:CT868208:459437:460495:1 gene:GSPATT00011317001 transcript:CAK75685 MIINKFFSAFSQLISKASNAVKQPQLKQNLIQPEPMRLSKYLAQTSVCSRREAEKMIESG MILVDGKKVESNIPVTSENKIQVFTKNGEKMPTKQSTKVWIFYKPVGMICSHNDPFKRPS FYDFAKLRLKTDQHIISVGRLDFNSEGLIVVTNDGELARCMELPETKLQRIYRVRVYGTF TEEKLKKIRNGITIAGVNYGPYWVNVENRQSRNTWLIMRLEQGKNREIRKVMQKFDLRVN RLIRQSYGPYKLMGLKPGDFYEAQIEPEIKRKLYLHTRKKLQNVQEQIQLEQSKLNIKAL DEQGKNDKLLEKQENDKIDKKNLIVNQSKKVNSKKTV >CAK75686 pep:novel supercontig:GCA_000165425.1:CT868208:460559:461860:-1 gene:GSPATT00011318001 transcript:CAK75686 MEMHNQSQQLKQFYQATLNGLNDELKHLQRELDTSNRETEKTRKKCHQLEMDQFELKTQI VDANAQKDQAQKELVRMNNLYQRIKIDMDEMRTQQEIMKKRVVNEQELEKLKEIINLREN EIDDLKTRNTQLEILSIDVRKLDTYNHELQNQLEILQSQISIYETKIIEQRNEIENLNSI NKHLNNQLQEKKNHDKLSYTIYEQDMLNQINKVKDLSKEKDNQIKSQNIQFSQLQLKYDQ LQQQMLSIRENYEQELNQAKAKQGKFEQEFQDDITQKFQLMSKEIQQFQQERQQLLNDLS QQSQQKIRLDQKLQQQQKEIDNFKYQSDLRNKEQIDNQETINQLTKQVAMLSKEKKDLQE QIHKLHYQYQDFNKLNYQYEKVLCSIEIDALRERLENALSEIETIKTNQLSMYKFS >CAK75687 pep:novel supercontig:GCA_000165425.1:CT868208:461970:462350:-1 gene:GSPATT00011319001 transcript:CAK75687 MRSVSPNAYQPNKNSFSSEPQQQKPALNAIVHQAVPVPVNEQIVYANKIRQNMSPVNVAP KSGQTVYRTQQSPNNYIPQQTIQQIQTFPQQKELKLPTSAVELQHPQQQFSEIYSAKSDD RLYRGS >CAK75688 pep:novel supercontig:GCA_000165425.1:CT868208:463243:465634:1 gene:GSPATT00011320001 transcript:CAK75688 MLQSPKYAISTAKSQQKVLTLETNTSQFDATSTIIQSMYLQIDELIETIKKRNPKYIKQQ KTEENSMTYQDQLNMFKADEESTNLQQYYLAIESEEISNALYAQPNDVLLQQAQMKKTLQ ALQTKINQTKQTLSITENEYRELESKAQAIQEKQQNYASILLKLKEKQQINDSVNKLLDQ VGQQQWLKLRQLFDVDMIKLSIPFEKLIRLQQLLLKESENLIQVGKHQCVLEEKKQFLIE IQLQSPLINLVLSFDQILLNIIHQPKDQLISKQGLLVQTVKCLNILHQNFCCIIQSLTKQ NYSQKQFEESQKELMQVTAQAKAFSLNKTQLLIEIDKMKKQLKEVQQQLQNSQMTTDRNI ENEVQNLLAMYLKDKEILMREIKFKYGKQYHDHMILDVKQEFKKICLGQQFEQTKKIEQA YNRMDEIQKQIIKNKDKIIELLKQLKEYKNTFQQSKTEESQIDKKEFLLQLQNLKIILLQ LENKIQKKTQHNSEQLLQDLQVEINKLKEFIDQNFVVQKQRSDSIDTIKKTQKFEHGRSQ SQIPIISTLGSEISGPIQSPKNIQYPVQNAQEIFDSSDDPIQIVKKPFSEWNSYQLSFNQ NQLKSISGISGQEQSFITQKESSLHGSILEAMSSNRNKKPEIHLIVFLQLNESTKTYDPT KNEDPTKYGYRLYRIDNQFNIFSSEENENMNSYQKFERRLDVKQLILDDCSQNALKFRMI YEPSMRGIVQDSLALRHLNMQHLPFKLQGNTLIVNGLCLNEQDLIKLQLLFKQ >CAK75689 pep:novel supercontig:GCA_000165425.1:CT868208:465740:467394:1 gene:GSPATT00011321001 transcript:CAK75689 MVLAELGKSINAALQKLNKAPVVDEALVDQILGEIAMALLKADVNAKFIKKLREDVKMEF KLCEEENINHQKLIQKAVVDGLTRMLESDRKPFQPKKGKQNVIMFVGLQGSGKTTTCTKY AYYYQKKGWKVALVCADTFRAGAFDQLKQNATKVRVPFYGSYTEADPVQISQEGVNVFKK EAFEIIIVDTSGRHKQENDLFEEMKQVEAAVKPDDIVFVMDSSIGQACYDQALAFKKAVN VGSVIITKLDGHAKGGGALSAVAATESPIVFIGEGEHFDDLESFEASSFVRRLLGLGDIN KLFQSVKDVVNMRDQPQLIQKLKEGKFSIRDLQTQFNSVLKLGSLNQFMSAIPGMGSSVL SKGNEKESIKRIQRFLCIMNSMTADELDGEGNLNFCRIVRIAKGSGTSIEEVHILLDEHK KLSKVVGNLAKTNLGGKRGNEFEQLKRNPQQMMQKMKGAIDPSMLQKLGGMDNVMNMMKQ MGQMDGIQDLMKQMGGMGGMPGKAKRK >CAK75690 pep:novel supercontig:GCA_000165425.1:CT868208:467683:468312:-1 gene:GSPATT00011322001 transcript:CAK75690 MNLITILNDQKQLKKLKKEDNKKKLKNQRNQTKSNNQIDVNLQNIDLQNYTISQKIKEYN GLEIPPNNLQSNSTIYLSTSTQTYDIVIEEDKEEKEPQIPQSDYQKLEQSYKKLLRLEEL KKLDKSCVEINQSDAIEEINAQEFEINRLMRELDQLPQIDYEQNLKQQERELKTLQNHYE ELQKIHEELQKRNQMLQQNLNQKLGQKNQ >CAK75691 pep:novel supercontig:GCA_000165425.1:CT868208:468504:469061:-1 gene:GSPATT00011323001 transcript:CAK75691 MDLDQQQQPVNLKELNLSQISYQNINVDQQEDYYGGYSGRSLELNIRECQEDNELKKKNL QIELSIVDYLSSLNIKMKTDPKAKQDRFFSNLRNQCKRRASTQLEIERDFSDNTPDMIIK NSQVSIKINSLLEKRKSQLSFFSTNQSIQSKQVISLIQQDQEKLQKQQTYECEEIESIIS NESFY >CAK75692 pep:novel supercontig:GCA_000165425.1:CT868208:469626:470180:1 gene:GSPATT00011324001 transcript:CAK75692 MTQSRTSNQLAMNFNKHFKFCQQFIIGNSSNQQDNNYQILSLQELQYSNIFPLYILHFFQ ISSIIILTQKSQISHLLIFTYIEFQNELILNNILLLMQRIMIENFSQLKLQKILLQFLPF QFTGVNSSKLNLDFDRGLLRLSLQLVLPKPSLNFQKADMNKNFQLNFHFCFNNNSLCEQE LIAY >CAK75693 pep:novel supercontig:GCA_000165425.1:CT868208:470829:473584:1 gene:GSPATT00011325001 transcript:CAK75693 MQEFVELIQAAFFAKENNQRSQAEQQLVNLKHQLPNEFFQKCSSAFISPQLDSQTRVAAG TLLQRCITYEQGWLAIGLDVKRKIKDELLSQLISSDQNIKKSAASCLSGICAIELPRQEW PEIISVLVQNTRHDSIEVKKAATITLGYICEALKNQKQSIEKTESEKVLYGICMGLQGEK QIKLISIRALKDSLQFMDQVLAQQQVRDHVTKLLVEQAVSQDAEIRLAALECLIDYTKAI FDYLEQYILGLWNCTQESIYHQDFAIVTMEIWQSIASEINERSAVSNRTNLGFRKTQKEA LQIISQQLIQAVLQNLLISDEDEEDDEEQGIQEAAYKAASSISEALGSLSYQLYLRFIEN TLQALEWQNRKASLLAFSSMVETADVLELFQFSNSAIGEFIKKLADSHKQVRYAAGRVIT RIAENYPLVILKHQYADDYINQFSQFLQGNTKLTKYLLWTLVNLTEAFRDDAQNQFGKYY DFLISQFAAVVGRQDFQNGTLLDIAWVGIINCLQCVKEPQKIKTYLEAFGQQMLAVYSQS GWQKEANISGLLSAIHICFLRLVVLGDQCDIQLMNNFYQLIVDYFKKIQTVTQDGFYAIS AIAQYSKINFKSLLEDFMQNYLEIGLQKKLEIETFKGAILCLADIARSLQFKEFSKYLRI LEYLITCVNDQQVNRVAKIALFVCIADIVLIAEQDAEPYFQAIWQLVKSGFGASIYFTQN KDMTQLEYYENLNDALLNCYLCMLHAFNLNKVPYLPIYQTIQELCMFIQATSDKSLTPTV EYIRLCVTCLLDCVAYYKQVKGQENMSQKILTSPLLISLLDMLKQYSNQQENQQLIMYAN DLCKSFQLPQYLN >CAK75694 pep:novel supercontig:GCA_000165425.1:CT868208:473584:474886:1 gene:GSPATT00011326001 transcript:CAK75694 MCCVHSDRVECSINLQYNLQAHQYSLPNFKYFSKKYIMKGGKQQKNKSIWDQVKNEEKDF YFNFLAKKYRNLQKKLKDIADLEELQKTKELKQEQIQKIQGKDENNERIKELEGTLSNWL NAKKEAEQQGSIITIEAYIIILQNLAQDPQLVALLAQDHESTHELAKKLQSKIVGQGKTD QPWKGLKLNVQVQEQVQEPKQTQDVTETEPIQQQVQQVIQQQEQHTHSKQKPSEEVQHQS KQPEQPEVQESQEQQQFNEQQPSNEQPQEDTQNQDQEPKQDQQPQQRHHQHHDDRRNYKK NYNNNNYDRRNNYDRPYRGNKQHYQNRDRNYQQEQIWEEKVDKQAPQNQEDQQQEEDDYI TVRSKKPQKPQRGGQRNYDNRNNNKNYEGNQQRRQKNNEEGDQ >CAK75695 pep:novel supercontig:GCA_000165425.1:CT868208:475586:475951:-1 gene:GSPATT00011327001 transcript:CAK75695 MYFYNQSTNIGNFSQLVDDNKYLHLEPYNTPKEIEHFKKCLRRYSDQKWQLITSFMQVPF YVVVDFIVVLTSLCIYGSKQEKQDKLESKEQSQNYIEIIRVIRKGGVPQEKLD >CAK75696 pep:novel supercontig:GCA_000165425.1:CT868208:476300:476709:-1 gene:GSPATT00011328001 transcript:CAK75696 MNPNSFKLYKSICNAVTGAGLVFTNFTNKSLVGRSVQYLDHLIYTKAPRLSYIEHYAPVY TPKRVTYAAPICLTLAGTVLLAEIAWTNNAKEQANNYFVATRE >CAK75697 pep:novel supercontig:GCA_000165425.1:CT868208:476902:478102:1 gene:GSPATT00011329001 transcript:CAK75697 MEYKRLLDICEQLLKHSVIGSVAFGYAGKILFTQRKDTLQCYSQSISKSKCLGCEDHPII CVMFQLDAYTKRYQYNQYRNNNQPFISNIIFDVIKDTYNANDLRSEFSTTFQVYIAQLNP QLLLQINSDRKELDATVTFLQNEIRKIKLELNMSNSRLDQQKKLTNSEDKERSLKLQRQI DELKDELQTKIEEHEEQVHQLQFKYDEQIKALMKKNKETFQSESKYQQLEKEQKQYEEFF DKFAEMSLPYLEKYERDFEKQQAKSKRYSEQNISENEILLDFLFYLLQKYVPLQEDLREV RKSSAKKSNQASPKVSRESNKGESSATRDLHFEQYKVQNNNQIDDTVNKLKQELEQSRKT LEKYELSRNKLQNQIS >CAK75698 pep:novel supercontig:GCA_000165425.1:CT868208:478145:481409:1 gene:GSPATT00011330001 transcript:CAK75698 MSLPQGIPMNAVYKQVVMEHKEDERVEQLEAQIYNMEKDHSMWRKKYFELESLYDKCRYA AEKSVQLEDRINLLRQDNSQISALLREKATECEKMRVRLTQLENENFELNTVRLQVTKFN DLLQQKTQDIELLRKKNSEIYARVIELEGNELVIRDYKSQVNSLTKDLDVWKKLYEDQQQ ERVKLLEIIRQFEQNNPLSGSIKSSQQQVSEVIERRVVTTSETTNNTQQLQSSLLQNQAT ISDLEDRLGFSEKQYDKLKQELEARIKMNNELKIKITQQECELKNINYLKLDNQKLKDQL DQTKRELDQFRKQYYTTLSKLQIAEQQLNELYNLENRLQLLQQERDTLNKSLKQKNEDIG QLQDKVSSLLSQIEQLRLNDQARNAAENEVIQLKTELSKLQANLKQKEQTISDLHGRIND LQKQLTNSQSDFKRLQAKDYSGLQDQAKLVNELQQKLLQLSQDNSKFQSQAFNLESKNNS QVQDIQRLNSLLSTKTQELSDANKKIYDLENDKTIPDLKFQNSQLIAELREQETENKGLQ EKLQILENQIIQLNTKLTTAEAQANCNLNEKNKVDQLLTSYLLQLSQLEKEVDQLRVIAQ QVAQQSAINKQQEQLVQALQKQLKDQTSQFNELSKENEKLYVDNSQLSTRISQLSELPNK IQILDEGLQKLQQDYNNELQANETLNKQLNDALKVKNDLENKIAMLSTEVERFQYKLNSR QNEAEQLKKQIVDLETQIAQLKQLESENQVLADKIAHLEQQIINEANKNLEHLLRVSQDE TKSYVLDNNKLKQQLDQREQENKQKDESYKQLEQKYQQLQSSNKNLEAQNTQIAELQNVI AGQENDIQKFQDLIEELRDKNNDLVAESQKLSIELTQQQVQIADLKNQIEKLNTQVNNNQ NYDQLIEELKKKIEKLQEEKYQLENKVALLSSEIERMRVKYDAQGNKLNISEEQKQGLTK ELEQVHKLFEESQDEIDKLQEQLSKQKELEQKEQALSLTLVNAFNEIDALRQQLSRVFNE ANEQKKANIALATQQQ >CAK75699 pep:novel supercontig:GCA_000165425.1:CT868208:481443:482168:1 gene:GSPATT00011331001 transcript:CAK75699 MLQKATIRFYLSQARKIPTIWDVNPFVYQRLSNALIGAYSNRQFGVLIRENLEQITDHQV AYAFQRINELNLDADQDFYEYVVPALKEFVSNLTRDHSVSFATIIGTCGKINVKDASLWQ LFEKKIVADRIYRYIPLNDLVSMTQQVALSDYGSEGFFNTMEKQIGRHRLALSDEQIKLT QEAFERKRLAAPIIKQLKQSAQKQLA >CAK75700 pep:novel supercontig:GCA_000165425.1:CT868208:482187:483439:-1 gene:GSPATT00011332001 transcript:CAK75700 MNTMMNNPEVQEFMFRIADEETNQIQEVRSLSINYKASRIGREFIIQREEQLQQKQAETQ MLTQNIDICEDQQIQFYENNIYPLQSKEEQSLIQSPPSKKYIEIAQDELSELINRSKYEF DQTRIDQAYQYAKLAQDKFKSDINYLPHAEYVDQIILQYNYMIENVKELDSDGWIFDGLS NGISVKYKFPENTSTASMLMETKIPVNAIRVLALVNEMNLNYLWVPFCKRTYVNKVLNRA CKVCTSEMYFPLIPDRECVFVGEGYDRLQVNGTITLLSRSVDQDKEFLEKNGIFIPEKSK FVRMYIKYYIFEITPIDKDSCSIRACTNVNPKISMVPNSVLAYVGRKFAHILIQKIVNFA KTFEKSPFYPYYLEHVEFYNWLEEKLKHHLEY >CAK75701 pep:novel supercontig:GCA_000165425.1:CT868208:484096:484461:-1 gene:GSPATT00011333001 transcript:CAK75701 MNKKVRQSQLSSRIQGEEKNQWEEILMLLNKLSSDQNNKDIQKKIEKSIECYKDQFENKE KLPQLKLKERPKVSEHLIDTLMKELQDNKKVALQELVEQFQSILTVNKLNKSRDIYNKIT I >CAK75702 pep:novel supercontig:GCA_000165425.1:CT868208:484735:486177:-1 gene:GSPATT00011334001 transcript:CAK75702 MKLSPIIQSIKDNVKKGLDIENSLYMLMMNAVIYTLSDLDLKYITFPNKNYKQSCVRFLD EVKKQPSNIDVSQIYQRMNFDLTGDDCFENSDEEKQNFFNKEQTIVQKGRKPTAYEDSLI QSLLNHLNEKEELLKKRFNNKKQHPENFKDYLDQMSPYELWKIIQDMKGGYYFFEVFENQ RPEYGINYSYIDKMMMCSKSFEREYTQFKTHLRQQQDLHYQALKQEMNKLGSMELNTISE PICLIIKEDPEIECNCNQRGARQALRQWAYVNQVIKLFKANSDQEIQQFNYKGYFRNVIL NLEQYTNQVNQQFDQLIKINEILKSKVASLRILHQQLIQESQNLTKAQRLQDEEIIRLKQ QLQKLQLEKAQINTRLINTENSLLKIREFSEQNNIINKTRLVAESLEQLEFENNQAIKMY KFQQVCQLTQTENSFEYQLCTICRGENAIFQNIQQLKIPQICI >CAK75703 pep:novel supercontig:GCA_000165425.1:CT868208:486344:487192:1 gene:GSPATT00011335001 transcript:CAK75703 MLSPEQIYSIVYKTDSGIEGYAIPRFYHDPAEMMRNRDLLKQIEKKQAHKRYETKKGSYL DDYTKMFKSFPSPLQYQSYNIEKIPEKKVKYPNRLTLFDQLQKEQKRINNPSVGAYNIEK TQEQIEKELKVLKTRKVKELQRPNPYEDAMAHSIMVPGPGNYNPHSFLPKIKVNNTKPED WRKKHNIEKNKSLAQLPPVGTYTPILSDSFAKIQCTAPVKRSYWGSEKRFKGLFGSSSIG PGPGTYAQLSKLLAKGVEKSIYQE >CAK75704 pep:novel supercontig:GCA_000165425.1:CT868208:487604:488933:1 gene:GSPATT00011336001 transcript:CAK75704 MAISKMEKLAILNLKTSIQDVDASQRISNCQQAQQYDEPIQKVAICLSNLKVQNESTNSS NHNKKFISFLNVFEGLQNIFDLPIISKQLYFVSPHDHFLTAYYPTRQKNETKELVEYEWF INYITEIQKNSNPSFFKLIPYINLPNYDYLLAGLTMLMIDKNYQINGIAVQILNFPQLTK ILFIETLNIIMVYDDGKIMYTQTYVYNGLEKQIRYIYNETISGFNYSDWELIKSSLNFKY PIYIYNSLLNQNVYLKAKQIPNTPLISLILTNLTYENEISKLLNEQIDSILNWQLTLITY TSSISILIILLSLIPLRSLFKPTQVVIEMMMKYLLGRFDYKLKDQVIRENKISQLNNAIN QLYQAYQRLDITLNQSQYVKNEHCILIEKFYYEQKKGKMQNFSFENIINQKEQLSLSEFK KFIAMQHDVQVETII >CAK75705 pep:novel supercontig:GCA_000165425.1:CT868208:489670:490324:-1 gene:GSPATT00011337001 transcript:CAK75705 MKPSKQHQQLQQSFATSKQNFISKNQSIEKQSIQNSQLKEQRPSLAYNKPQNTQNASYIR IIENFENDNKENLYQKLVKKMDVQRQNDDAKKCQKQKKKINIEDEQHQKQRSYSALPILK RWSPSENLNQTQSNQFWQPNSIENEQSTSTKKGDKKQLYFAYFWIDQNFNSFMPTFCPLN QENLDKCYNQLLHLLKYNLD >CAK75706 pep:novel supercontig:GCA_000165425.1:CT868208:490433:491619:-1 gene:GSPATT00011338001 transcript:CAK75706 MEYRRLGSTGLKVSAIGFGNWLNADDNETLERNIKIIQKAWELGINFFDTAEGYGKGKAE IQLGNALKTLNVQRQDYVVSTKIYFGTAQDDFPNSRFLSRKHIIEGLRNSLKRLDLEYVD IVFAHRYDPQTPLEEVCRAFDWVVRHGWAHYWGTSEWTAQQILEAIGICDRLKLIKPVAE QPQYNMLVRDKFEWGYNNVFAQGYGSTIWSPLYQGILTGKYNDDLFADGRFKNTDNPYLK GFYERTLGNPEYAAKVQSQLKKLGELAKELNISQAQLSLAWALKNKDVSTALTSASRVEQ LEETIKSIQAIKLITPEVEAKIEAILQNKPETQVNFKLLKPFPTRRDLHV >CAK75707 pep:novel supercontig:GCA_000165425.1:CT868208:491717:500709:-1 gene:GSPATT00011339001 transcript:CAK75707 MHAFTSKLSIQCFQQLIPEITQKTRRQKKLDQIEDYVKIYEIYLKMLLGQDIGRADEKRF YALNNIPQIDENTIMEFDLPEAVISLPNPDLIPDEYDYSIKQFEIFEQIVKSLQIDDKSI YDKLFIKALENAFTHLADRKIYSLFELKTRNIDSNQQQQRNTLVQFGTSQQLFQKSPDRS PNSLILVENIVNQLNRTSDFPVYKKEDPMSKLVKKFRNYLKDNISHYAGSLHNITDCLQI DMHKLEYVQKKAYSQGEYLKRQGQSWFRTRNETQDILTLIRNALIIQLSRKGFNVKSFIS KDGQTIYLCLYMTEKMLEIAAENFALPKKLSFCFTDLLSLEPVDKQYRPLRLNGRLWRPD EYDNSPYLEYLRPLIIDQIRQINFKRLAREVGQSGLNIELFEYGKSDLYGDQDGPTDEEW TAYYKYLVHLNKHIQIERSKHQIDSDIALCVDGQKTVEQLYGIRTNRKEQINQFTDLSDD ASDKISEMYEKVRELIDLSYNVPLIADLPKLKSIKLIKQQQLAHNYLNIFQEALKVANCS QHYIKTIWDRYHQKPFELYVPFKINFIGESLKNIAKYKLKWCRYIKNEQNQITLFPNNER LKLASSVITQTINLNTLINLQFVKQLFSLHDQYELFGQCKSIQKAVSEEKHNYKKKPFDI ASEWNLDYLHPWIIPTDNICSYFGEKIGLYFEFTSYFIKFSTPMAALGLLFSVLMYISQN YNNEVYTATVSVFSILIVHWNSFQTDCWHQQQLTFNLKFGQNRNVKESIIRSSFKGQNVR SIESDELNSIGVIHSKFIQRLVISIFILIFLIGSDIGRIIGLYFFNLYLKSEFIDLGNYF QYFEIIVTASLNYVIQLLISSFYEQIATILTDFENFQTSYQYETSYIIKKYTLHCSSQVV PLLMVSFLNSPLQLYCKEENCRHNVEYYFGTTMMLMFLMQVIKFLMTFQNDMVKNPQKLY PNNQQSLCDFIEIQEQRIPFQKSVEKYGNIDEHMDFFLQLTLINIFGCLFPFSVTLFWIW TILQVQISKLRLLYISQRPWPKGDGSLGIWDDFFQLINYMTLLSNTGLICMYYYETMKDQ VIILFLALLFYNFFIKYLTNSIFGNSPIILDQIVKRSQYIFKSNIQSKSKLNRLSQQDNK YKLQRCPLFKVFGSSIYRKYERFETISSEDEIADYYTKNSKQISKRLVYEEEMFNFKMNQ LNLKNKSQQQQQFLTTNQNTQKKIQADSFDQSSNQIIVPVNEFVKQETYTRRNMKSKIKD SMKKIKQVQKLNYDFLFKYYSNRSIHWAFRIQSSSKGEKQLIQVRTKIWRFFFRLNLLSN YNMFWTDYRLFIAQSYIQRKSHLFHNLDYKRYLILKKSFDKQHEYYRNQAMLKFRKQFQQ FGNTLTSEEVKEYNELVYKYQNFIEKRSWLNCRKVQIFRYKGLFFRGFRKQTLRKQSIQY ALEYYEASKKLEEIQFESDIHKKFGTIVQYSCIQRYNFTQFIDLFNKLEYEQKKQFVFSS TSSRTIQKTYYLESLKSEVYKQVIDKAKDTYIFEQHSLKVEEYAMQYFLDEINQIPNIKM KKHLHDILWIVSVEDKEYLMQFFQVRHGQQLQFQQYHNDGLGVYLGESQNYIKQLNILDQ IDDFFIKGYCISLYQCSECKSLYQVLQFRKKYALYYTLDELRQFIFANLKIMTKHHIMNL SIYNYILIQNEYMILNSVNQDNNQVWQLVQVVIEMIYLQPIEDFEQALQNLDHPLKYFLI EIIHADKTPIQILDMMLDQKSFWEIDFQLAYAQKEQSYQIYMENMKHQINFHLRIKQFDK CLILIKEVEEYLRITQYETTQELLPFFVNSFSKNLSHYILRSNEIKKVLDTLLIYYFKIC ALFAVRKDFENEISQLIDGLKRCSAQIRVMFRQLSLNVKLENLSEIEQCIVLKRKLKQTS EKQSSISSFERLNLINTLRKNQDYILVIVQYQTQLQRYLNQFQTIKAIDYYFNQNYIMAG IQYLDVISNQEKLVLREPAPQCLNVPLDHSPGLINLEQTSPVEEEQIALDNTDTRTGCLI NGDQLYCTQMLYLKFLYLINLYDSKNEQFQYYYNDFQELNSIYEPVFKFYQNQLKLLRKE DIPQQDLQQEMKCEIGKYLCVSIMKWQEISSHKYLHLFNSNDDEFIKRQIFIFSNLQRTL ENRRFLLSKINFKLPITLDSYNCLVQIKLIQQLLHLDNSQPISHSIPKQIKTKSIRFSNK ISYVRLLQEYHNQFKIRKDSWFFQQCLEELQMFYFLSICFAYSPKHIENLDQGESEIANY AVQQIKKFLSKPKFYCQILKCTQINNKQAGQFLIDSSLPKYQQYQIFCQFLNCSLKQHTS LKKSIQMIEDSITDEIQPSIIILGLLHSFLYLQQVEIVDLIYSFTSRLLESQAFIFHRTH PGFEQDLQIIESLYKDSNQYIPYPQRYLYEYTSDFKYFDDPKFHSQYLLFNILMNQDYFL IQEIVSESISQLKNQTSLIRYFDLYKCYLEVVSSMTGQMEPKQQFILKLNDYREGTLIYA ILAHFQCKYYINLIDFENALKYSHKVLQYINTFLKQEKIIVSIVNDALHREYPINSTLKQ LKIMEYEYLIDESEDSDYIHLFNNDFMNEAILNHIRILINLEQNLPKINLLFQLQLGLDN RLHVSQLQMIFAEYFKFLQSVEDWTLIQQLIDDPANQIEDIKQKIQNEEKKQQVYYSLKL NILADVTEIDYKLKILDFQLSVFQACKSGLNKKLINNWISQSAQKAIDGYLKILPIKQHM VHPIVSVMYLIQCESYQFLNQIQKAQNMMDLAEISLKNWFEDNKHPLKGLFFFSQGNHCK WLYKQYLRCVQEIIGLNQFDAVEVKAIIQGLISQDPQIIKMFDYYHSSVIKSIVGHLTNY VYQQLGKHVKQNIFYKYTISDAQDILDDIIFISSIKELNGISQYLDALAIFNHFETEHKC IDIIKCAIIAEN >CAK75708 pep:novel supercontig:GCA_000165425.1:CT868208:501185:503083:-1 gene:GSPATT00011340001 transcript:CAK75708 MGSQCCKNNNIRCQEEVILQNEQTANASPNPLQKVSLSQQGSKKSQIEEDQQMNVAQQPG YCLESENNQDAEQQEEMPKKEIELIKKEESVPLTKIDSSDASHIITAKEEIKKKNEPQGP GVRIKLGHDIFVKLKEGSIGSHYNFGKVLGQGAFGKVWKVTHKTTGLVRAIKQLKKSSLI KEDEQRMFSEMNILKNLDHPHIVKLFELYQDENNYYLVTEYLSGGELFDRIKKMSSFSEN IAADYIRQILLATMHCHQQNIVHRDLKPENVIFINEDPNSQLKVIDFGTSRKFDNTKAMS KRLGTPYYIAPEVLNHQYNEKCDIWSCGIILYILLCGYPPFSGKSENQILDRVKAGKFNF DPEDWDQISKEAKEFITKLLRMDPNKRLSAKQALDDPWLVKYAPTSQVNKRVLDNIRQFR AQTILKQALMSYMITQMSTQKEISELQNEFKRLDINNDGYLSKEELIKGYQQLKLDYKYA QEEVDRMIDMIDINRSGMIDFSEFCMAAINQEKLLSVQRVEQAFKIFDLNGDGFISKQEL ESIMGDLGDDVWSQILSDCDGNNDGKISYEEFVRMLKNKKL >CAK75709 pep:novel supercontig:GCA_000165425.1:CT868208:503574:504398:1 gene:GSPATT00011341001 transcript:CAK75709 MQKAINTGDQDYNIVKADNHHDSDEELQGRQEKSSKLVIEDDEELKEVPQNQSNNTNIKQ EVQSQKQVQKQQEIQQSTNEQPKKVVAQVYPKFINFDYQDINIKFKPEWKDKRKIPEPNK IEKKSMIEIKEPILYTLNKQKTKHGRSIFTSLFSTDYRYIYLTDGYMYILQLKNEKMFVK SVNSLQRLFKIILKGDQIQLCFINANLDKTKPPNIKSYEFLKGKEQKEKLLMRMRGEINK LGYDFDLMK >CAK75710 pep:novel supercontig:GCA_000165425.1:CT868208:504418:505218:1 gene:GSPATT00011342001 transcript:CAK75710 MKKRESISEPPLDKLEQIRQKFSKQLIKVKSKGFVTCSIGKSIQNDAGSRISYGKSSFLE KLQSINSFEDDEKTLLKSIQFDVQLAIKRYQQRIEQIGQLQVERSSLRSCQQDIMQYFTT PQQEKTDAHLDSLLSSKRSTCKSTQQNTIINPNSNKLMPFNKNVFGNKNSNKTFKIISEK QNKVKQNKKSSNQSLTEISMHKKKQSKDGTLSQKSVSTRQPSVKEIAINLEQRRSTGMIL RNQSRQSRNSINSFDNKQPAKKLQVF >CAK75711 pep:novel supercontig:GCA_000165425.1:CT868208:505642:506699:1 gene:GSPATT00011343001 transcript:CAK75711 MNTLHEYQLQVPLTKQHEKTNEQSNLEGSLTLSRERFIQKQKKLQINTTRLIPITSEKLP RLSQSRSPIQQKMARAITEYQAPSKQQAMKFFKANTNTENEGIIYTDDERAKLKLRREEK KPKTTNYKAGLYENTQNKKFAEFAEQIRKLKDQLDSLQKYLNNSRKLFLVVRNIVEKRKN LRKILLKNGKDELVELSDIEKLCSVKEFVNINGEQKLIDKLNISLLDDIIYANEVLENLK IQNEILDIKEMADQVQKNQINRLNKILKINQEEDLKLSFTSIAMSRYNLHEQIETFEQRL GPLQSIKKNLKQTSQAITTFVKLLCDK >CAK75712 pep:novel supercontig:GCA_000165425.1:CT868208:506932:510195:1 gene:GSPATT00011344001 transcript:CAK75712 MQLEIAIQVICSYPFSDVTIEMLLDECFEQHKSDPKFIMAFHKALQEVAILQEFRQFLGT RSLFNKQNRQLSHNNNITSILREQLKQGSIPFSREVIERLIIAKRFSVKFIIPIFTLSKE YKFDLEQMASIFKLFLMHTKNEEFQLQIIDIYEELKLSVLKDLEWIEEHNQELEDYFIQN KIKVPQKLIQSKLMHIKNKNKKLLHQHFIKKCNMKSETESDSLSVSPEQPKIIDYKTKIQ QWLCTKETPVIDLDNYVYFTNSENLNSLLSFILNPCKIDLIADWELTFTDLEATFKKKHF KNLPIKLDKLQIIRSYKTVLLFQNQNNIRQIDKLLTTFVEISFRRIYDSLINDEINLNIN HLINFLEQLILLNPQKSAYQILEYNLLFTFSLYIYNHQMTTFIGDMLSLWIDKFKFGFYL QEQIWKYLDYTNWFNFLFQILLKQPQRNEQCYQEYKTLKIDGILAFVKLLKQPEKTQQTQ NDFQNSVTSRQEPLTPIEFSEEQQILNSSQWMLDNNSTANQIMEDMSQKDIDNLKYFSIE KNKSIMTELQESSPLRIRILQSSYNKGFYGQSSDITNKAILSPKGKMNHSSVSLPSIDNK AHKSESVDSQNCQNYASRQRNKTYIISQDGFSTTKLISIYPRRNIDLQFTHFETAQSFFI YNKHTLKSCTRVFKILNDSIFNSLYYQDNLVKDSFQKQQFLNLAQRNFINERTILTLFQI YLYGIFDEESKVLQKSSIECGLIINEIYKNSLSLQEKSLNNLLKNCFSQVADFVSKKLIE LNNFTQLTDFKFKQFLLFSTLVNGLQYFDLYDPNRDEKNIYKYLNETVMHILIIWFFNSH QNNIFQSNFVKLIAILFSRAPQYQLQNLLFHVGLLTSLFNGNGCKNIDYVESLYMNIMYL IYIINANVYSRQLAQLISNLESSASWKGIQQTICEVQNNYLNQLNQLMKLDRSYSVKKIK SSQKILLQPNSKDSIRPKNDSKNDNSQLNELLKQKSITKESSPVKQQMQIKKLIRYRTKN SIKA >CAK75713 pep:novel supercontig:GCA_000165425.1:CT868208:510437:511240:-1 gene:GSPATT00011345001 transcript:CAK75713 MLKQLSNKKQIKKLKKTIQKSLNNEVPIPELEVQEKLNKEIVTFILDGAVLQLGQLKSSK VLLNFEDHSHFISKRLGRNPEDFRPDIVHQSLLTLLDSPLNKAGLIKVLIRTEDNRLIEI NPVTKIPRTFKRFSGMIAKLLETAKIQSEDQVLLQIHNDTVQEYFSNEAYIVATSHKAKL VDLKEYIQKKHNLVFVIGAVAKGNPGLECKFSNDCISISRYQLSTSNCLSKIIDTFEQYY QVI >CAK75714 pep:novel supercontig:GCA_000165425.1:CT868208:511283:511600:-1 gene:GSPATT00011346001 transcript:CAK75714 MQNQQFGSDAKGYKEQAQQRKQLKIEKLWNEIEKNPELRPQFILLKKKIKNSHTKREETS GMILSMKEEYSQLKADIKEFLKKQNKIKSVNNPANNK >CAK75715 pep:novel supercontig:GCA_000165425.1:CT868208:511786:513103:1 gene:GSPATT00011347001 transcript:CAK75715 MSHFDDIDFGQNSNSSNVHFEQEADSGKKKPKQNTKNTYIKKISSLIKMTNQEILDENQE KKQTVHKVQSQQFPGNNLGDKEDKLAKNRESARNSRRRKKIYLELLENKVTKLSEQLDVF KNVNERTQQLAINLQNKITQKREQDSTKMILFSNLQNSINGNAGEMNIDAIIDSLNKKFG SGSQERHQLIDHYARQIYENCLAPYINYIIGVAKTDQDIFSNQEQTDNTILRNLKLTDKQ KQILQKKQQKLIRHQNELANTLSSIQEVKNQIQAELSSFDQTLEQLRRELKPSQVARFLL SIEKKDMQHHFKEQFEKCFGSEIDEDDSLELYQFMTEHNQCNSLGIDIQQTYEIYRASND FLNGKAESEDQQQQQLQQQTKVE >CAK75716 pep:novel supercontig:GCA_000165425.1:CT868208:513377:515394:-1 gene:GSPATT00011348001 transcript:CAK75716 MKQLCKHLYLKTFGPNQNISVNRTTYIILSGRVLVTQELDSTKPKTFTDISDIQQYSQVS EMTFGQSFNEFGLQDVQKSTAVTLRQTDVACLDFKFIIELNSNIKSSENNEKIAFLKQLT YIQQFSDNEIKYFAYKLEVIKPQKNETIYQEGDQECDWAYFVFKGEFSLTKRDENQTEHQ LTRLAEGEVFGEESFLNYDKRYFNIKCLCDSAMLFRISNVEFEKKVWQRESRNILFLLIG EKWIFRLKRFVELSKQPINTEELKAHLAYLEEISTCKLNFQLQETEFNPKNISNQLKSNK GIKQQKSKILPNSLIIQNTQQFNQFFQHQTCKAQHSNKNLSFEKTHTNAQTKLADNYPKN SEQQPKRRVLQKIINPNVKSTKISSHFNFELTTNEQPEVQLDNFVKTQNVVNDEVINWGG NSSRRNQELKEQFYNAIKSYASHVKYQNLNFMEASSNYSKQLNSEYELLMKKQQENIGNF FELEPRSKTDNQLRKEYFLKQLKKMQKRLQVLQMIAKGYITCKYNQYVNEEGEIVDIIEK VSKDDAYEIKDGKKQLKFNFVTSINYKPVEKPKFKDFDQQIVEQEEQIRVISDIVSGKLD PEQVPYVNEEYTKQMSMRKKASEVFQTPTLVKSFSSKLRISSATYKKDLSKNIFSNAIKI RKMT >CAK75717 pep:novel supercontig:GCA_000165425.1:CT868208:515613:516223:-1 gene:GSPATT00011349001 transcript:CAK75717 MYSYMDWDGLKPQKWRDKGRKKFEQQALEIITPRESDTFFGQVQPKVQKSLIQNQFQILN TPTVKQSLNNQESSRLEKFYSEPNFRKQFEPMDRQIYFEKKHLRQEKDGHLEDVDYGIKT FEHANKETYQGYILEDEMQRKVRVNDNRNGIRTHFLGDKAYHIPEYSSNFYKHPDGAIPG SNIQYRHQNKQLSK >CAK75718 pep:novel supercontig:GCA_000165425.1:CT868208:516240:517021:1 gene:GSPATT00011350001 transcript:CAK75718 MQIESDNQVTNQSYSFFRQENTILDDKKFMEILQKWGLQHSIKVSTFLFDIKFDHLNPNQ FLLDLFNSKDVRGSLHYVSFKQNVLLSQIKFQPLTCKSIKLDLFDKLTEDKIVVKGHIKQ CFEEQFENIQIADELRKALVLEDSEQYCVFNEADRQELLFKLFQILVLGGQLCQYEDEIQ AYLDWTKYLYKNTVNARKYADKDEIYIDSYAYDIRKLENSYSSDHPQNVMYVVVNPSLRI VNIIENQWLKVW >CAK75719 pep:novel supercontig:GCA_000165425.1:CT868208:517078:518251:1 gene:GSPATT00011351001 transcript:CAK75719 MNRNTTQGKSFNIKPLVFKVKGTNYASQKTSFTSLSPTSKIGSPRFADNLRKPLNPILSP KINNKPYDNTHIFRSTRFHSEFKKPTLEKQTENVTLKFSIMKELHLQKQHTHHSVHCSTQ VVKKDNINLFVLCEGHGKFGKECSQYVNQKMIEKLTNNNLNNVVQKKIDQINLLFEDLFS EINKEMQYCQDLDSSQSGSQALCILISEMKLICSNLGESKVMYFFLQKNNLVFKQLNTVH SIEKMSEQQRILNHGGEIEQEYINYVKSGPLKIWLKQNKSQGIQLTRCIGYNHWQQIGIT CQPDTSEQVLTQQGYIIIGNSQLFDLIDTIDISKVLEKHMVPQSQQEVSNICEQLLHLAK SRISSNLHIEQILMIILFIGI >CAK75720 pep:novel supercontig:GCA_000165425.1:CT868208:518446:518912:1 gene:GSPATT00011352001 transcript:CAK75720 MKSNQNSNLAETSNLNEDNQQMKSLVNQIMQQNSKIHKQKSNIKRVKWNQESSELFNKLY HMFLGDLNMIHSYFQQHTDYKFTKKQIKKQFTQQVHTLTKSNSKSMDEELYAKIFDSQQQ SLSNSNYCEDPEQSAISDIKSFQSSSF >CAK75721 pep:novel supercontig:GCA_000165425.1:CT868208:518970:519759:-1 gene:GSPATT00011353001 transcript:CAK75721 MDSKVYDEEQNDETVQLSDQKPKLTFVKLLLLELLMISPVIWVLLFLWVLKMPLGCQLAF QIITLLAIPLISLQIQKKHFSKLIKTDLYISEIGYGLLFLIGSFLATVIFGYVVFQYYDI LDYEAIKTLKGNQLSLILALILLSITNPFLEEFFWRVYLPDQYTTHEEIKYNEQLKWYLA LHYALYHFFVIYFLTKLMIISLIGFIMIAIGGRIFFITRDKFGLLTTSLFHMGVDLGVVA MAIYKIPLNGE >CAK75722 pep:novel supercontig:GCA_000165425.1:CT868208:520465:521313:-1 gene:GSPATT00011354001 transcript:CAK75722 MGNLENTCLSRENSIKIQDNKDRPSQFQRQHASEKTRNSFLHPISIKVVQYYSKMYDNNF DPFSASLTAQIIQLSKHNHCLDLSLCRYLLVSQNYNFEKINLLIRENQEFRQSIRPIEYE PLRQAIQIIGIDFKKGLVLSVKLDKINTEYVQQYFLYFLEYLFYEMATNNKLNNHIIIVY DLQQESANPIFLNFIANLTYKHYFLNVSKLILINISLEKISSEVIGMLSQGSYSYLILPL SDISYLQRYVKKSELSKDYGGMFQKQRNPIPLNYNQAVHYKL >CAK75723 pep:novel supercontig:GCA_000165425.1:CT868208:521420:530691:1 gene:GSPATT00011355001 transcript:CAK75723 MSNKGESLKFGQVISISPLANQSLFVYSDGLVKTDAKVIVEKQDQLVEISPDIMAAGSLY YYSLYRIYPDFITTKLQMAINFKEETMQDDNSKKMQKLKDLQTKVQIQTQQQIIADYKSN IDVSTKYKDKPLSFNNLIQFMHVATNRFLSLSSKEAKDEKENFRLELVEYPSSKTVFQLQ PAFVHQKESNSEVYAGDSVYIMCDHIYLGIKPKLRATLKYGVMDTYEYLQDRSIYAVPNL KLLKKMTDQLAQQQDVLEITPTIQKFQKVASLAKGQSIRRQTTLKQEEEVKPVGQTSEVN ISLEHQSPWVLTVFCNQNDNEQIISFNDVIWIHHIEENSLLTLGRNQDNELIITFQKQSN EQQSEFNGDSNGMFQIENEDLNKGGLVEWGQSLRLRHFILGKYLAINYEPIKSGQLLLYL SDQPTPNTLFQFLAVPTMGSNTVSQKYVTKDAFMKMKAVGKSSQWVHLEQKKILQANSKY KDTVVEEDKSVIVIRLTDNTEDDDVLKLYKANYSDVLETNFLITGFPVLRRGLTKLKSNK DMTKEAQKQQMIKTYKKLTTTIEKLEKFCKNSLINTQLDIKNTKVAILQRQKILREQYFI DLLIEIMKQITNEDELRQYSEYQLAQHKAALEKQTKTTLAVSQRSHIGSDISPLRAKDSQ INSLNQIAVGRSAASQLVSSHQIQSQQRTQARKKKMEMAFLSEKMSVLKVIYRLLRSICQ DNPENQFYIYKLFPNFLYQIKYFKEATDCIITVLSGNEQILINLCDHIKLNEKLKARQQV DSDESQLSDEMDIQFNSSADEKKNILLYYKNLTEDSQGQRNIQYLQFFRCICRLNDQSIS VNQEMIFKFIKQNENFKGSLFMPIIIESTKMKICVDQTQIAYITSIKDPLIQNYLIEQLF LYADLAYQRNFLWKSYLERIFPTQFVFNQIFDEDKDQSKQSLGNSDQVFDKSMQINYKSA FCNMAITIFVDHEPFNKAIVPNLCRLYTETKPISKSVQSTNKLTKLASTYTPLVDKTFKF LNDLYDQINLDLDYKKEQARTKGQLINQDPDDKKRQARTKDQQNDQDSFINPLVLDITKL ISIMIRFDMLKIMKKEELYVNIVPKLISLLEYDKNNMLYSYILKSVRESKIKKATEGINL NVIGKVTAQSQFTKLAKDLASSILGIPPKQQGSLEDEFSDYDTTVIARNPIVSSLISIIT YMDTLGVQETNSKNQSQQQIQNNFEIEIQKEVCGILLYFQDQRQDFYVSNFIQFYKNFTN KFQQFSWNNTLLKDKFLKDLESELVQALPPFLKTGIEAIDKQAQTSIEAIYKSNDMNELI GVGNLLAQQKKFQIQNPQLTETMDLDKLTCGESQAELQLLPTLIVTFFVTNDFGLQNELI ELMRRSFSQVSEMMYHLNRIELLFDKVEIGCYQFLEVSIQELKVITECSEVWLVDFLASP QGEVKEIQKILKILADLQLLLKQGTSIDHKSRVINGTDQISVSRQKIFNFLNAYEPLLNF IRDTQQQLVKVLDDPFYSMETKSYVIQLLKALFALLTDFCDKNDENQKIMHKNASLFMDE LQHDYGQMNLLSAIYRDNKQLCQKIDEILLKKIKSFIYAYGRQARFLHLYKNIQTYKNNP IIEVQTKVLQLFIPQHISTEDYTDINEFYLYGEFKAIDGRKVLEFTFERSLETKLSDQPF LYHSEILQVLLATIIGEESFKINSPKLKKLFKLHYLLELLLQPDDFLSEEANILEGLVDV NLGMVNGIKHIKPQIIEMANLLYVNNKVTDLYLFIKEQHKFTEFFAQEKGRLVKIQSLQG DFDQYLQYFFDYVIVLMKYYSKKVITKDSGSEYRERSDYMELKDIMNIILGMCNTLIQHK LKVNQYNNLVEFVHSLDEQTLTDNAGQISQSLQQQEFAKPQVEEENQTIFTQKKKDSPLI HNDKDEKLKRTNNKTDIDQLNQEIVDWNTKKAWEKFLEECQSLQSTKKTQSIEMRILSQT FQEVHKFIPDAMIKELGLQNFCFKDFLKKLIRFIEVGIINKANKSNLIYTLQLLEDILDS KEDLEKIQNEFDSCQATRMFLNLLADFQVYPFDDDLLIQLFKFALKLSKNGNQRIQTTVF NYFSNFEKSEMIFLKMNQIIYEVINENKKTQQVLMQQKSQKDKDQHQKQLYLSSIQEQQN EDESTSKEAQDARRSKMSILIYLLKYFQLICEGHNLQIQNYLRQQYNSRNNYNIVQAMVE LLCAFENDLQRDSFEVIMNTLETLTELVQGPCSQNQQDIIDSLFLDVASNYLNMHIESKK KKDQDGVQEKEEHSIRERSNSLQSKSTAKAGAKVKTIQIDRWMLERLKYKCMVLVSSLLE LNSDTNAIKRILRSLPINVLKKNLIIIYKKHQKMYKKLGYNKESLGHLEENPHDSTKPPE YHELILETGFLIYFLINHYANLDTKEIDPATRDELEEIKESQKNSDKEDPWAQLQNSLIG QLASLVFSLVGGILEMINEWREYAQQQLMKQFKGKESAEEEEKRKAAKKLAAQQLFNDSI TFFQKNSATVEVIREQQIEKIIFYKLSFCNYLPQETKTEFHETVDRDSTNSKVSALVENM PNFMDVAKHEEELTQFFNQNKFVALFAKYVILWKDLCFLLTLVLNVFIVLSYYEGDSDDP DQRFYDRLNSPKFLKMLSVEETMSIFRYCGIGMIVCSCFVVSFFILKKGPLYVKEAWNQS KDLIKEDQIWIIRFFLKLYMVGFCLVKVLLNIDMIYYLAYGVLAFIATLVHPFFFAFHLS EVVLRYPTLRNIIKSFWEPKVALGLTFILVLLMNYYFTLMAYLLFYDIYTNGKCDSLLVC FLSTFDYAFKNNGGIGGWFDGNFPQDPANYSYGRFFFDQLNNILIQMISIQIFSGIIIDT FGELREQQLAKEDDKENICFICGLDREIFDMKSDDGFNKHIKQSHYMWNYVFYMAYMDNK NKSEYNGIETYIANKRKNQDNSWFPIGQSLELQEELEDQQMIEERKIQSLQLRIDGIKQQ SEKVLQILNDIQEQVGQ >CAK75724 pep:novel supercontig:GCA_000165425.1:CT868208:530789:533517:-1 gene:GSPATT00011356001 transcript:CAK75724 MNDQLNDWDFCNPNNFVDKLPEPFRFINKIIQKDILLEVYSKVFLIEKYRSDPNYEGPLR TLPPQGIFDISNITSISSNSSNLQIAAGDLQGNIFILDMSKKTKICKKEVSPKRINKVCL GIRDGANDEQKNICIIGVISHLDPVVQIFKYKPGENKISQIHFIQVTKNATGIGELPMDI EISKYSQYILITQYNGNVVIYKIPELKVESQQPTQATSQQNQNTLLPPLQNQKQQATKEQ LKQQIPLNPQTDVAVTEITELFYQIKFQGLKKEQKFNEIINSFKEQNLPKEVEVKEDKKK QQPNAQPQKKQEVIQQVKEESGLEENNNQLIIKHQIKDGYDISEEYPIQKFKPYFAFIHE TVNVQNGQKAFDKFKQFEVVVGIVVGWSNTTKLELHYFNQPKRNNLPQYLIAQFTQEQEM KFQLPIINKKNETRLIEIPLIYPLSCLAVSKNFSLLGLGLQQGSILIYDLVFQQERFYLD KHQTIVSHIEFCENERIVSCSYDGSIHIYNTIEGITLCKRTNQFRKGTKINYDEQKQGLW RIMSLAVSSSGIAVVQDAESEVRLYDVWRGEKIAKLSPVQVMDDQKRKWSDQKVIIKCFR NEILVSAQVFTQEQQQNSSDQILTTVQIFKIFDSLVNLFPSLSNVYRKGVEKEKILNLFE KIPKQELWNAQFEVPNLSVSHQNVALKVPGQDSKTQKGSQILHNQSQYSQPKLNQSKTSQ QKLKDGVKESQVVSQQQDIYENRSASLVGSLHKSVHSDKFDYNSSFRSTNSPQNNLNLHK TQKGNQSQIGLTKEMLHPEEHLLEKSEQQPAQLIFENSSMVEHCRLRNSEKQLRSEKVSQ SLLKLGQRLAIEDEKMKLQIRYRQLQKVK >CAK75725 pep:novel supercontig:GCA_000165425.1:CT868208:533546:534786:-1 gene:GSPATT00011357001 transcript:CAK75725 MYQRILNLIYPQSQNDTQQQAETEVPKRSQKLSQMTSLINMNEFFQYPEKLIQDATFIIN EFCNDNIDIYIQDESILRISGFVNILIKQKSFQIVMNFSFFLNYPQQIFDICIENKSLDD KDIKLSSFFHSSQGEKWISLNTYLTEAKLWFNHQNLQRVFQEAKELLTNHFPYHKQKKQQ QYFSNDPIQMDKQRQTDQKDNNEEITDKVEIDKQVKDVVNKNIVTSPIPLNSQAVLGPSG LQLKQENQIQMQVSKFAIQLMKEHRNDIANLKEQLQNLKLYKQQQEGIQNQLIWLRQKLD FDIDLAASLFYMQQQQMSLIDKTQQKPLDEIMDFDDLSQQIIELVAKDKACSDCYQYISK KFKRQLLDYTTLQHTSWQASSLNQNY >CAK78569 pep:novel supercontig:GCA_000165425.1:CT868313:3:2339:1 gene:GSPATT00039301001 transcript:CAK78569 YPQYLSLQFNLIEMFSQQNLIENHQYQVLYLKPYKIIAQDRIKSTNVLFIPSGQEIQSYQ LYNPKQQKYQTYIYDIKILFKNSMNEQLINFENSICNIELQIYDNSEKLIESNKISKINF NQEIKGFALGSLQFDIDPYKQENKIQEILAYCNTSYQDDQLAYRIRVNSFMCQLGEFYIY SGCHICQPLQGFYSVTYNATKCSIFDKNKFDAITSNKIQLKAGFWRPNQISDIIELCFKN LTHCEGGWTFGNDLCSQGHVGGLCEECDRYDIRGAGSYFKDQKQQECKQCQEISKLLLTF FLISIWAILSTLLTIRSIEKSNQLFAQLKVRQKFVDILFKLNQDHESILLKLFLNYLWIF SLIFTFNIRFSFSLNFVKQSSDTSYFMANYFECILAEIQGIELIYSRILVMFLLMLAQIL IIYIGYKVVSIMTKTKFRLTIISITILYLYIQNYASLINQFFSILAARKISNLDYISGDV SLIYGSDNHISWIQGFAIPGSILIGLIIPLLLFLILYLNRENHNKIMFRRHLGYLFNEYT QQNYFWEMIKLWKKTIIIIILIYFETDIFLKASLLGLCLLFYQLIAWNSKPFILLKLNLL DVQSSQCCSIAIFFATVKYICEQSEQYHFSALIQGLIFITSIILSYPFIINILKLYYKKY RLLVLALVFQGFKSFKPNFKFTKFFGEKIAKLRQKEDQTLRNIQKLKSILFRNKSNGQLQ QIQSYFREINNFIKSSMLKKKQISVIQDCNINNKV >CAK72499 pep:novel supercontig:GCA_000165425.1:CT868125:3:1478:-1 gene:GSPATT00038768001 transcript:CAK72499 MDPTPQPEVQKEEKKPNQSRGENRKREPKQKQQQVQYVVKGEEGAATEAKKETQEQQGEQ GEKQQKKKQREDRKRPEFDWDKTKITLESKVPELPAHPPQKPSKDDHYKQLDKINEDIRK QWNHFNDFVKNVKEQQNLARQGNQDKFQNEVKPLGQVIGEKIKLATEKSSELQKMKSEND EIKIQINKLFNQSQEYRQKMKSLDDPDRIIDELARLKESLQQDKLSANEEKRIVQQISAL ERSLPYIEPLQKLEKEQKVLRTKSAAIGKAMSVLYQSIQELNGEIGKYKSDYDKLKDSTK DSVVAKQNPLIDKERQIIETKVQELKDRKEKLKKDYNNAWDKYEDFEDLERYREWFLKQL NRIKKDSERKKREEERKKREEARAKREADREAEEKAEAEAKAKELENVNPFQYQVDLCDT LITYLNKLKPTAAQQQAQQQKQIDVEQVLKSDDWKKEK >CAK72500 pep:novel supercontig:GCA_000165425.1:CT868125:2070:2719:1 gene:GSPATT00038769001 transcript:CAK72500 MSDFLILKKFLKRYKNFEQAQYNFSFNLQFTQVKQVVNKKIRQIKSMPEFLTKQDIIFFN SYMFSFLQLILFFLNNFGQIEQNKLESYHGFFVLLLIMQSLGYKFNCSSFIYYVLDLEIE LLSIFQSPKQIINQNRYSLKQGNFSVIGIELQTMIQHSITELNIIQEYIQFSLLLIIFTQ VSKGLLYFKHMSIAFMMILICNLN >CAK72501 pep:novel supercontig:GCA_000165425.1:CT868125:2899:3599:1 gene:GSPATT00038770001 transcript:CAK72501 MNQPQTRQVQKLTIDDKQKFELQKEIFITIRLIDMVEKCAQLADDKITPEKHSQEITKLI ERYKNFTSKIDKYDLNQFIKEYGLEDCKFGIDRINKGPPQIKQGNRIQLVVDLMQRFYLM QDIILENKDNPKTQVFKPIVDQLIMLLIRAKTELPPYKSYLEDLQQLQYQFLIVFSSKQH LENQIIGVIPEEEFQKFESIIDLAQQSFMTSHSQQ >CAK72502 pep:novel supercontig:GCA_000165425.1:CT868125:3646:5164:-1 gene:GSPATT00038771001 transcript:CAK72502 MATTKVKKSKRVEEVEQVQDEDVIRTSHQGPKIDSSNWPLLLKNYERMNILTSHYTPIPS GSTPLKRPLIEHLKYGVINLDKPSNPSSHEVVSWIKRILKVDKTGHSGTLDPKVTGALIV CLNRATRLVKAQQSAGKEYVGIIRLHDAISSAAKLEKAIQDLTGAVFQKPPAISAVKREL RVRTIYESKLFEYDPEKRLGIFWMSCEAGTYVRTLCVHLGLLLGVGGHMEELRRVRSGIL DENKYMVSMHDVLDAQYRYEKFKDESYLRHVIIPLEVLLTNYKRVVVKDSTVNAICYGAK LMVPGVLRFDDKIDIGDEIVLITTKGEAIAVAIAQMTTGEIASCDHGVVCKSKRVIMDRE TYPRKWGTGPRALRKKALIKEGLLDPHGKPNANTPQDWQQFYVTEENNNILKDEQQPKVS KEEEVEVPEVVEKPKKKKKQVVQEEEE >CAK72503 pep:novel supercontig:GCA_000165425.1:CT868125:5436:6632:1 gene:GSPATT00038772001 transcript:CAK72503 MDQSQRFVLVKAILPQKDMHYVSLINEQLKLQKKYPQYILAINGYDFFEDKTLLQITYNC SKYAKSLTEVQFKTQEEKFRIFDQLIEIAEFLEKNKILHNNIKKNNLIIDHNKLYLTDFG YIAGQPDLNIFRNKLNFINLNLNQKKQIYPYLTKGLMEAIITYNERQLKQKLEKREPIEI MQYDQHVLTSLMVEIFSPLEWNNCDLSYLKDFSFSQKIGYIKINNLDLQDYAIVIIEQIL NFRFQKENQLTLEFPRRLEPMLKKIDKQRVLNNKNLELVEDYFKNKDFEFDLGLLYCFTT CKLLELETQVEQSGKEFDCKLRKEISRILAILNMIDNQIFQKKDFEKLESLDDKLFCLAY LDEQFETFEISKNRDQTQENREIYQIQLFFKILINRIL >CAK72504 pep:novel supercontig:GCA_000165425.1:CT868125:6664:7488:1 gene:GSPATT00038773001 transcript:CAK72504 MVNQAFQKQYRNQLYIHPETFEQNEILKEYINIHIKIEIQFDINQDETIKKDTIIIKQRN KGDQTILHIKKNNEDEKIIHEVCVDHINYIKHLKVVKLNRHFQPTPTVVFKLIKKFKYYT PLVLLKKQKQLAELIDYNKKIKYKGECDFDEDNRDIIFQGKGIREILESDQTTLKIGDVY KVSGTFKNNILEGDQIKTFYYHFIENKRTFSIGKYKNEQLSGRHEHYLINQPNEYVKTIH HCSCCFCSPLQSCYYWRAWCAWCPCLFRYYWQII >CAK72505 pep:novel supercontig:GCA_000165425.1:CT868125:7687:8904:-1 gene:GSPATT00038774001 transcript:CAK72505 MQKIEFNCTICLNHLSDPTCLSCGHSFCEKCINHHLKLNHSCPLCRKPTLPEWPVNEMLK EVLLILFEQGPEGNFYAPIRNLVLQTEFPQIVLCLDCGLTPINPVVLPCQHLFCSQCFQN DLVEQYCPVCLQQCMITDPNINLLFNQFLQWYCETEEKFVLQDQQVHSMPIFLFDKQICY KRNFSLRIVEDRYKQLIKLASHGSGKFPVVPFTDQLPVCADMVEIVSITDQIQIIGSDRL IIDAIYCFINNEKIPFTRNTFKALPNQLWLCNYTVIKDNQDKSPIWNKIKQFIQYTFTEL TEEMKSLFEKPYHLMSIFGTKFHQVNSSLIMIQLLKINNQQFQKLYYSNDEQLRNLFIWN FINQIQQKNYLNYWHPKSKCQTETANHRLGNTITQFAVPQHLRRR >CAK72506 pep:novel supercontig:GCA_000165425.1:CT868125:9198:10867:1 gene:GSPATT00038775001 transcript:CAK72506 MICCSSSKKKDDNKKPIEILEQIAPENQQGDKSCRTNQQQEVELEMEERPKKNSVGYQVQ DENVSASICPSFVVGKTPFTLFVMSLLEKQQNMKKESQQQEEMKIDFQEDLSVIVSYPQI EAEVKNNEKDKSQLVDIPDQPEMTIERCSEEEAKNAAIFKWAKEIHDYNTDNTEELISKA VSNFKPLQSKEESRSTLAHKDGGKVCKNKKLIRTLRAIGKELIKQIGKHNFIWKFKFNNS LEKVLMSSCLFPLYINRAVLEKDPIERMKLIICATLGNFYLNCSFLKPLNPILGETISGL YQDGTTAYAEQISHHPSHYYKFYGYYNYEAKAGVNSLSLRNKGKRFLQFADGELIEYNFA GEEYSGSFWGPMKVECKGKITFLDKKNDISACVELDSVRWKASDYLSGEIKQKGKKVCKI YGSYMGYIEFGEVRYWDVNYIRPYECKVFKPNQFLLSDASFRPDLRQLKRNKVQEAQKEK DDLEMQQRQDAKLRKPKK >CAK72507 pep:novel supercontig:GCA_000165425.1:CT868125:11169:11900:-1 gene:GSPATT00038776001 transcript:CAK72507 MKVLQALKSTKFPFASFTNRDVKSDFQQLKPHFETLVPDLYLQKRLKLPSDKIMRQRRMM NYQIEFDKDGNMTRFHEEYRLDNYDETKCLQYANVYEELTKEMKHDQIYKDFLYNTLQIT WQLKQYKKAQISVHAVRTISYADQPAQSTPEGPNRDGNDLEIIGVVNKINCQGADSQIYS PDKTKLLFEMSLEPGEGYIIDDKSLWNYSTSHKPVDQSQVGIRDILGFVLKIDE >CAK72508 pep:novel supercontig:GCA_000165425.1:CT868125:11960:13666:-1 gene:GSPATT00038777001 transcript:CAK72508 MSYQQQRIQNIQEYKYTSETKTVRSNTPQTRETRYQIHETSIDNIRSTPESRQQKSSPQI CQFLMACEIERLGSENEKLRFRIKEMQDNTQDRHHLEQQIIDLTNKLNEMINVVETHKIE KSELIIQITTLQEEIQEQKELQTNYYESRVVNLSNQIDNLTLQLREFETIKLDEMQVLRN QLESEMKTEIEKALQTQELNSSYQREFLEGELKKWKDLCNQKQKENDDLKNVILQKEINK QRELENQLLSYKNETERVNKLLVSKSEETESWKQKYLKLQLINDDYKRIQQENQQLNDTI LALEGQLKQRQEQLNQVKSQVENANNQFLTEKSKVNQLLTELQDVQKKKSELEGRYQNLL LEVDKLNQLIKQKEQITITQTTKIEEFQSTVVSYRNTIEDKSQEIENLRRLLTKLQQQIL QLEDQVEQLKKFQENCRVLSAEIDRLNDEIKVQDDELRQWRMQYADEGSIIKRLQDQLSI IVVLASEVESLRMRLHDKEQEVEEVRRSSLAPYKI >CAK72509 pep:novel supercontig:GCA_000165425.1:CT868125:13808:14614:-1 gene:GSPATT00038778001 transcript:CAK72509 MEHVKKGSINSKQYWKSEGVNIDWDEGEKPPKITCEKIRKYLRHFLLGLDYCINTQIVHR DIKPDNLLIDEFDNLKIADFGVAQMYDSSSADLIQGDVGTKAFLPPEAFKTSQVKGKPAD IWAAGITFFMLTQGGHPFPAKNVQQLKEAVSYNEINFSKDTDPDLADFLSCCLKKDARQR HTLEQLMDHPFITMNGEEPLIEQEFSDENFFISENEISKALSKVTIRATVRVFAKLKQKL NQSRQRIKKQQ >CAK74564 pep:novel supercontig:GCA_000165425.1:CT868190:58:5480:1 gene:GSPATT00039019001 transcript:CAK74564 MIFELKQNLTYYQVIQKQQHYNIILSTILQQNTMINLLSIKYIIASRLEWRFYIYVISCQ KLFNRLKLRNMTLKILILDKANFQLVLIVKYHPLITRIKYLILINIQMTLIQLELQKLKD LNMFYYLLFYQLCVILSSEISKKCVCGHVRNEKECKNSDFCIWEVDKCILKPGQTYNKEM NNLNQCKRYAQEDCREQEKCGFYFGQCIDFVDCMVFDKDNCQESSYKCVSDGSKCVQIQE CGDYKTENGCANKNKYKKYCFWIGGMERKCIDVITCEDLPIYLTNHQMCKSGLDGCTISE NGYGCIKQMDLCPQYLNEFQCFESKKNNCFWDAINEKCVEKVCENLLFTQDYECKEILSN CTTNGVHCVKRKQCSDAQNLYGCLTDSEGKKCEYHQNQCKIKSCSTAPDSLRNYQQCQDY DNLLDCVTSENQGCKIRPETCNGYAQEMDCYSIEQQDCVWYKNKCEQRQCYHAPVFFTHA DCHQYGNCIGKLKGGCEMTPQLCEEILVKQFLHLAWRQVRIVTMQKIEIANLQKSSTDCT QMITKNQCQLNLQDGTNCFWTGTKCKKQQCLDAPKVNYTNNVECNTWLNICIFDHYYGGC KDRPSPLACSSSPNNIMYNNHQECFAWNPKCTVISSFNAEGCEPKKANCHEFIRQRNCKT NINGQFCYWDDKLQMCMNEDEENNGIADCDKRLYGDLTHQDCEEFMPKCTINNIGKFCSD LQWVCEYYKYQQQCVIDKDYSPCKWDNQNQICKSVVCTDNTTAQTEAECIRFRFQYDCQL KIKSNGTYGPGCEKRPNNCWDVTDPIVCKLTLTYQQTRCYYLNSQCFQIQSSQCELITDS QSNELCQYYNPQCVLQPSGQGCYSINSCNDLSNKVCNSSLMRKNQRCIYQDKCNEDICSS RYVSQSSCSGQKTQFGISCLYTQCPSSSSCGYQCINQTQQTNLTFPSTATLQDKRVQCQS FSSLYRYDTSCNCCILLTQCSLQVGPQSLCNLSINQSGVKCGYNLSNNTCQERICSHLNS SYALSQLICYNWGYNCVYDVTGCKTFSGDFSTISLIQQCYSNPCFWYDGKCVNYVNCELN TTAVTNRECLLNNSSLCRLNHALGKGCAFTYCEIIYHIFIMEKDVSGSPAVAGEKHVQVI QYNSDCENSYGYIYPFATKCYWCSLQNTKCSNNKYCSLASMTTPQTHEDCNSNNVAQTIQ LTMSSKCTLKQQQCSNYTYKEACVKTIDNIECYWNSNACINYCDAAAQIISTWTHSSCQS WKDTCMSLNNLGCQLLDCSLLTTIEDCTIFNPKCFWDGSTCQTISDCSRYSDTLCLNTSN SLGIPCFWDGTQCLEKTCSNKPTSSIDQTECDDWLTNCQWNSNNNQCVEDCSQANISNNT HQLCESYYLNKSCTVKVDRIQCVDLPFSCLLAKKTQCYKDQFDNECYYQDSSNQCVNLLC SNLEASFTTHEKCNQRLNSCTVNITLNGCQELNNCSSYSIVEQQCEWIISSNTCTIKECS TAQLNDYSANSCQQYFGDSCTVNDTLNGCEIGQIQCLDYTYNQCNSEGQMNLSGVNCFWN ENKSICMERICKNGPPLAQSHGDCIVFLSTCQKSSCRRKECFDYNYAIDSACASIFEDKR CVTNGYQCILRGGCEDIIVSDGCTFDIKLNACVWIDEKCYTKSCETASVSIIEYQECNAY FPSCTAKQGGGCTKKQKCQNYQIKEACYTDSENLECIWDDYLNQCFSNQCIDFCGDGIIS SREEECDDGNYLPYDGCYKCQIQCPLGYL >CAK64358 pep:novel supercontig:GCA_000165425.1:CT868031:10:497:-1 gene:GSPATT00033834001 transcript:CAK64358 MNCNKKVELYGVLALSKVVDDLIFPNLIKILKREKIQDCLEFLSQDLNLRQVGQEIQQVE IQSLFGQKYMVNVGDNNIKRIINVLKKTKDHEFNEQNYSMDEYEEIKKNLKRKLQNFQNF QFILQPLMRDTYNVWVWVWVWVWV >CAK64359 pep:novel supercontig:GCA_000165425.1:CT868031:1111:1554:-1 gene:GSPATT00033835001 transcript:CAK64359 MIIEQFSRFGYHLNITQLITLYIFQNIQILKYLLIVLFQIFQFALNLFFQIKYLQNSNTQ FLSLKPKFFFRLESFHQYFKVIPHYLSVIVKFIQIYQQSYFLVIIEIYQFNFSYFSYLFI LKSENILSYYFLERFHYQLIFSIVLPF >CAK64360 pep:novel supercontig:GCA_000165425.1:CT868031:1556:2065:1 gene:GSPATT00033836001 transcript:CAK64360 MNQRQYKSKLRITNLEQDLDELINSQNIFIVKRVYQMNQFRNFKNTLQKYKLWVAKCNKS QIINLLQTQTLKQKIIKIHLKKNFQQFMNFCEIQKVEKNFETLQQDYKKLQLENKQYQES IEKDYQLEIQKQNEMTEELKQLIKDTEFKLKQKESNCQMKS >CAK64361 pep:novel supercontig:GCA_000165425.1:CT868031:2549:3328:1 gene:GSPATT00033837001 transcript:CAK64361 MNSLTFKCWSISHSCDSMYLPFINAAQIWNGGGLCRKKKYSNKIILLMNSDLIIQYLQLD QQQLITTSTAFKIKNNFYPIILTVKLKQISIIKFIQQENSIFNLCHPQQSYTSFQLMIWD VLNMLFIMIFQPSRLLYYICQLLYSLIDKIIQYMAYLPVCNNLLYIISQILLFYQLSKCS ISKISTKVPDPLPIHCIFYCNSSANLYNPDNNSVLDDQQIWISTILFGQRSEVKGKTFPY LLICMKYLQIFYNFICPIQ >CAK64362 pep:novel supercontig:GCA_000165425.1:CT868031:6215:7207:-1 gene:GSPATT00033838001 transcript:CAK64362 MQFCHKNRVFVKQQSLDQSENNGQQHNTLEQDLIELGFQTGQILFVPRIESQKMLMQTIV VKDTQNKSIHVNLNPQTVKKVKMYLNNQAQKGQLHLQHAEINTDKLICRICLEDGQMNAF IKPCECKGSIQYVHEDCLKTWLLRNHKIDEIAANRVFCELCKKSFDCEVQFEQKYEFSQL LRIPKNQKYCLLSFLILSLFLYGLGITLGVIIYENENFIIACIIGIVLSFFIGLIIQILL LALVMEIISITKVLRWNINEFKVAQKTLKLKLDKKRIYKSNSAPVLTVKEDFVIKILTKK QTNLDLFS >CAK64363 pep:novel supercontig:GCA_000165425.1:CT868031:7256:8805:-1 gene:GSPATT00033839001 transcript:CAK64363 MLNLSNLWFAWSKQNKLKDRYEIDGRKSLGPSKYGLVIKYKEKKKDGQIRTVRVVPKTKV INHSRFKQEINQMRYLNHENITRLIELFEDDKNLYFVLEYCDGGSLFEKILLRYYLKQSE ARYLFQQIINSLNIAHRSAICQRDLRPESFHFINRDINNLDIKLIDLGFQLIYVDDYLKK SNNQIIETSRQGKLYFTAPEIFNGKLTEKSEIWASGVILHVLLTGELPFDGKDENEIYKN IQDNKIQIKTKGIVSDLIEKILKPQDKRYEIFQIQKHLWMNQQFSEEEETLDLNFSKLKL LTQNNFLQKIMRGYVADQVKQGFADNLRIIFDQLDTTGSGFIKIDLIQKSLEKHQEYEEI LKLLKYIQTEDGTINYLDFMNQILEKRVFVDEERIYKTFKMFDLNNKGKISNENLWEILS KLENYKYITKEYCSLLISEVDRDYDGEIEYLEFIDMFFKRV >CAK64364 pep:novel supercontig:GCA_000165425.1:CT868031:8817:9428:-1 gene:GSPATT00033840001 transcript:CAK64364 MKQQEAFFQEESCQIQKEETNGILEVENDQPFKPHFGNTFMFKFSDGQPQITIGPHWPLS LCVMVSIIVGTYFISTTIYLKSGIWASLASILSGLILEVSFLRVFLKNPGVNFTLTEVTR TEKSCQPCKLKKELGTHHCYECDICVRGYDHHCPWVGKCIGEGNIIEFQLFLLSFLLFFV CNIFLVLM >CAK64365 pep:novel supercontig:GCA_000165425.1:CT868031:9469:9844:-1 gene:GSPATT00033841001 transcript:CAK64365 MAEAPLEKKIKVLMAASKRIQKEYLAYKNEIQLFENAIQGIEDNEENALKLKKQNELLVE SKSMLPNTFQRLEEINQKLIDQVVELGMMNEELTEQAQQCIDQTQLFLNQINPAMI >CAK64366 pep:novel supercontig:GCA_000165425.1:CT868031:9992:12866:1 gene:GSPATT00033842001 transcript:CAK64366 MKRKYNKLFKTEVESDAFGDLETFQDFESKLKTFIDNSSKFTKGKQKVQIKESISQTDLD FQSILLDKIIRKSMTKKDLKTKYIDVCSEFGIDYYQLLKLETLELKQNYAIQCATKSISK SLETLLAKYKQEKNEPSVPNSSKQLDLYGWMKVKSQYKKLKKILQYALVELVQQNSNQDK IKMFFAYINHFIQKRHKTTESLNFSTAHTSRIRTQQNFEEKLYLDPPQFQFSKPDLFFEW NQAIEQGFFLNDNNIHRVGYELMKKQNSKGVNYQKREKLLDQCQEGNYLTAEELKYLAST SFLINKCLDKQFIQRAINFLDSQVIHQIEMNIAEQILQTFYYLKKNLTKYRKEWLEKVNI EKRKNIKINSELNQKKNQLLSNSNQIRNIKKKIINQLRDVAKKKQLQSEAERKKEKYLRI QRRMGNPLANIYVQKFKEIVLQVISKIREKKVSDKERQKEFLERNEAKPPPKAIYTIGNE IYDVTKSKRAFHPPSKIMTTLSEDKFKVQKPDIQKQEVRRYKLGIRNYTVTQDQFKNYYA GGPQLPRKFQSPELQHLIKHDDSDTNFPRKNNWITSEAEVQAANKIKFAIKRYIQKKALN KLIVKSEVKKNRDIEIKIRKCMQAKKFFEELHKELDKRQSERLKDISSHQPPKLPIDKLE KVPSSGALSIGVKSSGQISQTQRTTKRLQSHRLSLYKYMPPQEKVDENSLDTARRAELEI KEIARKKKLMKFSKQKEMSEAKLKNRKLIVAAKMKNLQVAMTSGFQYNQRDTQLCDRFGN TPLYYCAKNGDELFCRYLLNLGANVNQQCENLNTPLHMAFQSESKQIIIDFINKGGNLNM VNADKCTPLAFGSEELLKSLNLCNLVATTKHQNLESDNNNVFSRKEAKWMDQQFEDALIY DRYKYKTII >CAK64367 pep:novel supercontig:GCA_000165425.1:CT868031:12930:14307:1 gene:GSPATT00033843001 transcript:CAK64367 MKRYANLGKVLYMNIVLTFHLSQCLALASLLPQIVDQNNYSYLGGLGLICIYTVNLIFNL VAPLYLTKMKYRYGFAFQAGLVLPSFIPAYIISRCDGNDVQDLICNPIILIPLTLIGCVF LGAGLGGYFVLQNTYVSHCSTDKNKQLFFGVTYMLLGSSYLLNGVTSNQLLSYIGRENYF LYSGLFECILSLLFIFVQQPDKGNQNQISEANQCLIQNDSVDKPEEQMANINKKFAKIGF KAQIKQIKQKFQLKEMKFLFSLFISTGVVVGFEFGIFHKFISASLPGEDEITVNVKTARI FLFVGVAQILSGICNGVSRYFFGIIQNSIFYGNVFQCLNIISIISAFQQNYNINIILGFL IGFTDNSGQFNSAVIISDIWNEDMAIFGLYLFCQNFGVMFTNLVAIFLDGQSLFYYLILL IALQCATSFSQQQFKRSLEKE >CAK64368 pep:novel supercontig:GCA_000165425.1:CT868031:14335:16095:-1 gene:GSPATT00033844001 transcript:CAK64368 MGSVSSNPNADETGTISNLPMHLRRMELKFDITKEVNPNLKQLLHWSLMEIRQVYEQFQR RSTSPFIDKNIFCKIVPFSRSNASFIFDQFCVGNKVLSIYELICILTITAYTQYIHKVHF LYIVFDLDCSGNISLNELLIIFKSIILGYCKLTEAELPSYIQLEKFAKLMFLKSDIQVDN SLELSEIIEWLDNNPTGLQLFQMYEPKQKVQEPFEAFRSFRAYTEQEAENMLEMITKSNK NEYYMKSLNDQVGKRNKFSYMANTKSQQQQQIYSIQQQRNQSLPKIQAQKQLNMEEELEE LNILEKALDQHQKKYDLSTEKPSQSLHHPAQNPISLGKRIKSQGRIMKNIIITKGCTLTR NEIFRVKNYFDSLSDNNKVIGVKDFTKAFQNKPHMKRVTASLYNYLDSKQKGFVTFDQLM LKLYPSLTKLQLEIINNWIKQYNEVFSKSSKESIELEVLKNNDTKQLKRKRVLPKSSMIR IKQIYDLIDQDNKGYISLEDLKKTFTYGFTGKEVEDLFRLHDLDKDGKLGMEDFIRIILP PDYVIEDEAEEQ >CAK64369 pep:novel supercontig:GCA_000165425.1:CT868031:16269:16631:1 gene:GSPATT00033845001 transcript:CAK64369 MLYVQESSGYRKSVRNSLSSSRNQSKKKKASQQCEDILLNINSMMNRLDYLQQAIENRNQ ETRAQIKKYIPPNYYIQEAYFTEDKENNYEKLNCYHLMKKFETEKNHPRSEFSRRRSFAN >CAK64370 pep:novel supercontig:GCA_000165425.1:CT868031:16696:17454:-1 gene:GSPATT00033846001 transcript:CAK64370 MASQNRPPQTRQLTKPKFDKLSDVKPGIHGYNTFVKVEDIKKEQIKRYDGSLLVVAEGLA GDDTGVIRFRVVGEYANILEKGKCYAWRNGLSEVIQERHRLSLDQFGRITPEKDDLVVVN QNGKKYSDIEYVRKDARPNTNRRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRD NRGQRRPQGNRTRGGGNQKRD >CAK64371 pep:novel supercontig:GCA_000165425.1:CT868031:18084:19400:1 gene:GSPATT00033847001 transcript:CAK64371 MMNYISTILIICLSLQITYSTHTLNLVQDEQALCLDGSRGSFYFDRGSGSGAKSWIIYFQ GGGWIGGSTLEATKNSALSRSKTDIGSSKNKAQSVNIGGIFSRDSKVNPVLYNWNSIYIN YCDGTGYAKDPIVVSGTNIYFRGNSITRSIINQFLDELKQADKVIVSGCSAGGLASFTWV QTIRDLLPPSVTVLNVPDSGVFQDLSTYDGSITYKNTYHTNFMQLSNKEISPPNTQCVQS NPNEQWKCLFAQYLIEYIDTPIFFVQSPYDSWCIPNILKLSCANDGTLQNCNQSQVNFIE SHAISMEVMMKSRFSTHFNTGGFGPACLQHCFLEGSNYYGTKFQVPTGSGNTIAKTLSAW VLDQSVSSNYLDNVSWPDNVGCNNLSIEM >CAK64372 pep:novel supercontig:GCA_000165425.1:CT868031:19691:21121:-1 gene:GSPATT00033848001 transcript:CAK64372 MSSLFSKFVSFVMDDKPPPQNSIMNAFINKPQKEKSESENSDEDSSNSEHEAQNSQEEED EEDEEDDNENEEEVEYYEESQEEEEEENSQNECNSKSEKSSNDCNQCPEEEDEVDNDLEL ESWYWNKLQQDNQQKEIQKQLLDITLNQLLHSETQIQSHHQQKYNEVIDSIDDPQFQLDY INFIWHDISESQILVKHVELLCKHKPEQVPNFLRSGKYPIFDSIYLTKAYNIYEGTAILL EKMNKVDESIQIYLEFMDDLLKRYLREVVRLPVQISKRKKPYLIINNLSQLISTLQQLLN NMKILLDNNKLHNNIDILYFQVFNQIIDWQQMLYQAYKLDNTKIEILTIINHFRTNTPEI LIKLLYSTDVELFIDELINNYSKLNLGFQFYCFRAVFLDFHCYQHILRLGNIEINKTNTL IKDILHKNRNQGKRVEIICQQCQLLGNMIIDQIGGYKNSYLQRQKMQ >CAK64373 pep:novel supercontig:GCA_000165425.1:CT868031:21155:22374:1 gene:GSPATT00033849001 transcript:CAK64373 MSLMTKRILKELEQLRNDPPSSFVAQCINNNLYEWHFTIRGPEESDYEEGIYHGKIELPV DYPIKPPNIYFLTPNGRFEVKTKICLSITKFHPENWNPSWTIRTMIEGIIQHFHVSDFAV GSIKYTSTQIKSLAQASHKWECELCGPIAKQIAPKIKQEKKEQQPQPKKDEQIQNRNEDK KPENTNILNSKNSEQDQQKSPQAKEQSQVKEQPQAKEQVQQQQKQVIKQAEQKQKRESER KREMQENDYSDDEQEIPMTKKQTVELERLLWKAINPDFLQNHFSLRTQNQQQDHFHPQQL QYFDQIYKMMEEEDKVQKSLVLNKQRDQEVNKREAAEEVEKPQVVNINKYQSQIKLINII LWALIFGIVFVNFGEQIEQKFKEWLLIE >CAK64374 pep:novel supercontig:GCA_000165425.1:CT868031:22408:22830:-1 gene:GSPATT00033850001 transcript:CAK64374 MFQQKEFDYSQTPEVQQLYQEFVDAFEEKLIVEDFIPVVEAACGEQCSLLLKKLQRRKNQ KLDQDEFSQQFNFELSEPENFDCIYEVLEQGKGKITFEGLKAANQKYKFGLTDQDMKIMI QYTNVKDQPITKSVFRQMIS >CAK64375 pep:novel supercontig:GCA_000165425.1:CT868031:22860:24300:1 gene:GSPATT00033851001 transcript:CAK64375 MNKYSPDGLKCLLGAVLVKAGQALLMTWGKSNVYYYSYFKMNDPDLSVAYNTLPIALMGI PLAAASVYSLKIADRIGYEKLIKITTSLQFLSFLLALHANSYLEFIIFYLGFIGLGYALM AFPLLKCLWSHFSEEEGMVTGILFGVFGFATFFYLLLVTYIVNPNNEQATLSMQVGSQQY LYFSEHVAVNTHEAIKYAGFIAGTLSVCGSLLINQRKLEGAEEQELQILTSEIQNIKIAS NDPLLQVVRTPQFKIILGSYFTVFFFEVTLGLNYKTYVLQKINNDQLITWVDTLGIILGS IANFVFGKMADSITFTVLLHKLLIMMSIIAVMIPISLNISKDLFIIDYLLLSIVSKGIIV ILGPGLLQIFGKKTGADILPIVNFSGLLGFIISALSILLIVPMLRFDGTFIFQGLLIGLA AYSTKKLNQ >CAK64376 pep:novel supercontig:GCA_000165425.1:CT868031:24303:25054:1 gene:GSPATT00033852001 transcript:CAK64376 MSNYVISAADQLIIQSGTPFNCEIVHPGKSCEMNILSNLPRIMRSNSKVYLPVHLIPFLL YKRKQFMKKQQQYPQPNSPLSTLGRALVSYFKSICFLSFMVQILRYNWCKQKNLLKKVDP FVPLSGGFISSFALLLESNTRAMEICLSIVPRFCETIINLLKSRGKMIDIPRGDVIVFSF VIAIIHYYYQHDVIQLVQQQPKSLKNTYYKVFEKIWGIN >CAK64377 pep:novel supercontig:GCA_000165425.1:CT868031:25276:27086:-1 gene:GSPATT00033853001 transcript:CAK64377 MYVDFVKSNFRPTSKELELLQSQELIELYENIQQKGGHHIQYYSEQYNVFQSQEKQEFLN LFCKYLLNFELNKTNVDGQYLQRCAYVEIDKALDCFTESPEKWLKKDQAILWSMPQDVID KLNKDLFTFSDLVKHHKNQKIDIRAQNPFTDTIEAKEGDFYQAFTMSIQQYKDYVEDPIA FLLNNTNYRKDKVYQAVNVDMENWKDEIQRLFDFFPKFFLLNNGLKYLRQNCKGANVPEI YMRAANCWIGGQQDFQGMSQININHGPGDCLWTIIDACHVDQLLKIIPDLYKKEGRWYVN ITYFLQNKIPVKQVIQKQGDILIIGAGSFFQAKNIGNSIHTSFNFLMMDDFSMQQIFLRQ IRNDNFKLWSVIAIRNLFLDIFIHEKLPILREYLTYFIDQELERQLSKSNPTQFKLFISS KDVLICTKCYKEIFIFFQFIKDWVIYCPDCYIDDEDIVYMKYHIAQLRCLIQADRVQCSK LLCSNYVGETTCELKQQLKLKVQINPEPNNRIVDSRQLLDEFIVGLNIESSNSHNQQNGS TVDYVYNGKKQHKKIQEKIAMMKLQHEKLKKHKKCEKLQDLKVIKYIQKTKQRILN >CAK64378 pep:novel supercontig:GCA_000165425.1:CT868031:27291:29101:-1 gene:GSPATT00033854001 transcript:CAK64378 MDVLKDPVNDRQVKTLKPPPHRPLSKNLMFPDKLKNKPDWKLLKDHLQREGRIAKEELFK LVADCNKLLKNEGNVLYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPETTKYLFLGDFVD RGSYSIEVVILLYAIKLNYPNTIYFLRGNHECRQLTAFFNFKDECLYKYDQETYEMLMDS FDLFPLACIINSKFIAIHGGISPDLKSIEDIKKLDRYHEPPRSGLFCDLLWSDPVDHDQG NLDGQWKSNEVRGCSWFFGIDASMKFLQRNSLISIIRAHEAQLDGYKMHRWNGGQDFPVV ITIFSAPNYCDVYNNRGAVIKFENNTLNIQQFQYTPHPYLLPNFMDIFTWSIPFVAEKIT EMLYNLIQAGDQGDDDDDVNQEDIEQFKQMTGQNKQFNKQQSGGSTGKSTEKLKNKLKFV ATMMKLQKTLREESESVMKLKGACPDKRLPKGILSAGKTAISDALADFNVAKTADIVNEK MPSQAQVPQQSIAIKKPSNNTQQPKKK >CAK64379 pep:novel supercontig:GCA_000165425.1:CT868031:29101:29872:-1 gene:GSPATT00033855001 transcript:CAK64379 MFKIIQRACFRYSVAPTKAEAEIKSVPGHKPPTFEDTVQGKYAGVLFSIASQREALHLVL QDMKYLKELADKSPVFAGFLLNSAYKRNQQRNVIQALTKEGFHEVTQNLLNSMIDSQRIF YLTKTADKFIEYYRIFNKEENITIISAENLSEEQRSQVIQALKESSPNMQFSVQYKVDAS ILGGLQMYSGNKFLDCSLLSRVNKLRSELQKLSI >CAK64380 pep:novel supercontig:GCA_000165425.1:CT868031:29883:31251:1 gene:GSPATT00033856001 transcript:CAK64380 MILSTRILQCFSSEKKILRFIKTCSSPKEIYQTYHKNQEHFTPLLFLEALKHMNTNPVRK RFDLNDDAAFYDELTEVFKQVPMQEKWRFYVQVAKIGRNKQLIKQYGSDLTCIRVKQIAI LLWGYHKNGIKKPRLVIHLIDQLQQNLDSLIPVSEKPEELTTPKENSKPESLEENEINQS DEDEDFLEQQLEEDLQSEKRVLRDYRFKFKDLVLIIWAIQDIRLDASAILIYTFKLAINN FNKKELITNRSLILLLQATLNIKENKQINAYQKLVIQNLAEQDLSNEGILQLLLLLRTLG QLKLSPEFAQKLAYIMLKNQEKSNQPFSSKFASIMIWNLNKLGITDTDIYNKLGLHLTKS NEFKAMDISQAILIYSLQQTKSPQYQQYQFILRSLISKGNMIKLDPRSQSIIKESLEQYQ PHLIKLLKK >CAK64381 pep:novel supercontig:GCA_000165425.1:CT868031:31572:32619:-1 gene:GSPATT00033857001 transcript:CAK64381 MKQQTQQKLLLSTSRYQIVHGIRLISPRQAFQLKYTKSISKETKNRLKTARYNDLERFLI KNQSQNETKKCNTSCNCGQCGKMSQFQSKIWSQISTFESAYTRRKRVFKRFRIAGYAAQF LVFYKSEQIRKHKKMRYLQQFLRNPQTNVKRGTMIIQSAHSHRSPKSPNASDNIIRRNAT DCFEVDSIKEKLSFNNEHFSTIINSQNSSTKKRQVSLYMEKMLRKITNKKQPVMYLTPLS IIVRYLFLNQDKKMKKNNTLPSISQQISPKNSLDNLKLDKISNPFNLIQNRQKKIRNANT FHNEYSQNNQGVMKLIDEMKIKHRQLKRRC >CAK64382 pep:novel supercontig:GCA_000165425.1:CT868031:32866:33501:-1 gene:GSPATT00033858001 transcript:CAK64382 MPEGIYVSILLPAFQVRHENQIDKLLKQTLCISSQSYELFEIPSKIGKELIGSSQAFNLQ DLEKLMEDNRSLQASYQKCKESLQALNCELEKIMNDPQALSETDKLKHQKLTTKYKQVND ENKRLRQHLKQLNEDFCKQRVETQTTFDSLREEIDLLVKELAPFQQQKKQNDILISN >CAK64383 pep:novel supercontig:GCA_000165425.1:CT868031:33549:35472:-1 gene:GSPATT00033859001 transcript:CAK64383 MENNEQEEYIQLKKNYALRENEWKKDRALYEQKIQLLEMQLEDYKTREMNQKKLNDTITQ AIDISGKSQVSFKIYFIKQKSYSEFQKSIEVQMVNNKKHQESITKLEEKLRCLNEQLNEK EIQIKDLELQMQKQQITYDHKIQSLESEKQYLNQEITKFKDQIQKTEENFKSREQVFKLQ CEQEIQKIKDNFFKDMQEKQSDYDQRYQQLAQLYEKEKDQLQQRLIRSQNTIKKYQTHIE SNQEVQQLQQKYDEQIAALKQEMHEQQVQFQYEKKMLNKQIDDQRINTNNYDSTSKKKSV DMMKIRNSVVSQDSPVQCKSFHISQPDFKQAFQQPKNSITSLQKQSLQPPKNNQSFEKVK ANNHDTLPMPIEDFNLMMSKKAKSQSTNSLPLNNLNLNSYLQDASIMKEETEAGDEYIRF KLSNQQTTRFNNDVDQRRSYSQQGTKAHSINSTTNLSKILGQTDQSYQNQNTSISTQQKT HNTSFSHFKVPSFTQGQLNNLKYSLYQQQPQTTLRMNDNHINHIQQIKARYINQDESTQN DSNSFKYNKENQQPSKSVHINNEIKFLIGKLLQAKGKLTTELENTQKSCRYKT >CAK64384 pep:novel supercontig:GCA_000165425.1:CT868031:36538:37267:1 gene:GSPATT00033860001 transcript:CAK64384 MDYTNQKHPQNYSQMEDLKTLDLEESVSETIMRDLRMIAYKLKYVLIPKEQEDNGKELRN WDLWGPLIFCLTLAMTLSFKADSTTSSSKSDVFAIIFVLIWVGAFVVTLNAQLLGGKVSF FQSVCLLGYCVFPINIEAIIIAFVGSYLPFVVKLIPVIICFAWSAYSSVGFMASLVPPHK KKLAVYPVFLFYLFLSWFSLIV >CAK64385 pep:novel supercontig:GCA_000165425.1:CT868031:37295:39328:-1 gene:GSPATT00033861001 transcript:CAK64385 MAQSQRLQPLETSRNSNTPPLDFNRLSPEQQFLIKTWSQKQQEELLGLSVNTLPIHQSQS FFTTIQQPQQNQFLLQQQQLIDNYNQQFQTIVPDQQQQDPQLEQQAQLLQQQQLEQQQLL LQQQQQYLQQQQQLKTQYDSNYLKMKYEESCLQMLKTMPSHQSLNETQMPLLYKYFDVDS ELQNDPLNLQHYTLTHKLNPSYKLSLRVFIFDKYSVYEQQEKLLQLQKQQLTHANLVNIH LIHTKKYVCLCFGTFRVCTLLDYIHSDCESTIKHKHYQEHEIWLILQNMVEALYSLHLKG IVHGNVTLNHIVLTHLIDSSQLYKLIYINQEANVLQKKFQNQQSKILLSNELYNAFLLND QSTLPTWKSDVFQLGLCILQLCLGPHKHETVYEIYQNVFDEYRLNQLIKEVDTNYSYKLV QYLQFMLTTNQEIRPDWIRLEAKISELQPIQPINIIYNFEVQQRIETKISKSRANSKNVS YSHVNSFRVSPIGEPQSKPQMEDIKEITTKNNLIVENRNKRKMNCNDGGSYYGEVLNGLR DGLGQYVSNEFSYDGCWKNDKYHGKGTLYQNGKLIYEGSFKFGEYDGYGKATNPKPKYFN NLFDYKDFTKLDQQWIHYMGDFKEGEFCGFGQLELSNGEKVTCVFMNGMPNGVGVFETLD KRRLNAQWDMGLLIRRI >CAK64386 pep:novel supercontig:GCA_000165425.1:CT868031:39392:40587:1 gene:GSPATT00033862001 transcript:CAK64386 MGNCQTHCYQKSASQVNSVDCTKLIIHVKTWQKEAFSLFDYENAANVQEQTFQINSDGYL VGRNQVEWTENESIIKDQLCKIKKKNENYYLVNSFVMNEDQKSVIQENEECHTIGNKTVS GKIGNKIWKVIHENGIPLKEGDVIKLGRVKFTIRSIVLDVQHVQSILEFESQQSSVSDQQ MCRICCSSQQTAKNPLLNPCKCSGSIKYIHLECLKTWLRMKLENRQSENCTVYLWKNLEC ELCKFNFPPKFKSDDAYYDLIELSKPNDYPYLMMEFTNKQGQQIEWNNSSGVYIVKFSNS RDLRLGRSNDTDVRVNDISVSRNHARLFVSDNQVYLFDNHSKFGTLQQIREEKLQIQRGL EVQIGRSLISFQ >CAK64387 pep:novel supercontig:GCA_000165425.1:CT868031:40795:41925:1 gene:GSPATT00033863001 transcript:CAK64387 MYLQNSNYKILSTLGAGSGHQVLKVKHNQTSQILALKMEKNPNLGQLEGEIKKLKELAGT FGIPQLIDYGKTQDQKSYLITPLLKKNLHEITKDHLLSQRQIIAIGLSLINILQQIHKKD ILHLDIKPENIMISQPFINVPIDEILKPGFMYLIDFGLSQKIGLRPLSNKVFVGSLRYAS RQAHKGNALGYKDDLESLLYVLVNLRNCNYYVLFLENLPWQSLKQQQTQQMEIKKIGEMK DAIFNTLVLSQKFPPQFQLFKSYIETLTQKTMPDYDYIKNLFKQMLSIGDQSTSAKLSNS VTLSQSIRGTKQNKNNDQIMMLLANIPNNDSIHIPEDQVDTETSIVYISDLISSYPTFSI KSITDIKY >CAK64388 pep:novel supercontig:GCA_000165425.1:CT868031:41963:43188:-1 gene:GSPATT00033864001 transcript:CAK64388 MARKRADPDRFLEYEEFKDHSGFTIYEAGDYIDFYYDQYRGWFDEFGNYYNSNGEPTKPN QNSLKFWDSIKYKYAQDDIDDLLNQYDGGTESDDDNDDDFEREYHNKAHMDKLIKRLKHY GDQEEIKIRFSHNKWNTKDKDIIQLMGAQNVKSVQFDFKHGTNYKTGTGTIIAFNKKSAE QIIQQHNIEINGQTISLDIDALDINDSDDEVIGVQKEDPLLTKPQQQVQQVQQVEQQQQH QQQQQQQQQQHQQQQQQQQPQQQQQQQQQQQQKKAEVWEKEFLIVEGFPNNETKESIKLW LNQKIFNNEQIQDDQIEIKLREKQIGKQVDKFFAAKLDLGSKKRIEDAQSLIEKSFNMYQ GKRKIYCSVYKPQK >CAK64389 pep:novel supercontig:GCA_000165425.1:CT868031:43885:44542:1 gene:GSPATT00033865001 transcript:CAK64389 MGNLFEKLKNLFSTQKLEVCMVGLENVGKTTMLNQLSMGDPSFTVPTVGLNVRTVKKGGV TMKIWDIGGQVQYRPEWGNYAQGCDALIFLVDTSNQATLGTSKKELHNLLDNKSLRNIPI LIIGNKIDVNPHLNEKQLIEGLNLDYITSNGWAVAMCSALTGNNITQVVDWLITKSKKQ >CAK64390 pep:novel supercontig:GCA_000165425.1:CT868031:44729:46485:1 gene:GSPATT00033866001 transcript:CAK64390 MKKNITSNEMRLKSAVQFKFQEFVNSKPAPSVTTRLQTGTTTSRNIEDFAKPLFSEPKFL GVLRRVSSQVSLDKPVEDVYQSQNQSLKAVSSERTASQKIIVTSENMQTQEIKRRMKFDP SIRQQVSILKIKILKNVPLKKFLLYLVGKEKILFELLRLKQMPQAIDIMQSIIEMAIGTK SVVIFIEILLFIAETLENSNQIDLAIHFYNQVRIGSTYGKQTLDIYKMRSLVGLASCCME FQCYESGVKFLKKCLQYAWINNNQEYENIVYQKLGMLYFYLGNIEKATFYHERSINYDYE LETSPLRQLSCDTLKNYLNKNFPKNSAENMNNLFLSKLSLKFHVDIAQLSEELIKGSELT PSPRIFLSSQDCSRRMSISTESRELANLKINGQKLITQILTQQEFDFEVYTPKHSFRNES SCFNFLKNKKVHPQDVFHDLKYNNNPFLLNGIGVEPNKNQLNFAEYLSKYKLSLQKKVDL RLQQKFDINIDQKLQYAVNSNYKAFERKNKILLTHKNSENTRKNKLLDETKKFNSITTLY QQLINKLLSVQ >CAK64391 pep:novel supercontig:GCA_000165425.1:CT868031:46903:48934:1 gene:GSPATT00033867001 transcript:CAK64391 MQYENRKVYENAMDQFNEADIKEFQLKDFKNSNNLELYSLLLLDIQNSYYQDNNLNVSEF YNSPQLINAFNIVQYKMNNLKLLFESRDTNLFGVWLWKQGEQLLIYADDLIPCKKNGDNY QLATVISKYRWPIILEKAIGKLLGFEYESFNIIQNDSIEFYLQMMTGSEVYEQEFQSIEE LQEKIKANQEIYYVKYTQDSKTIAAVISFNKNNQQENVNLIQLIAPNEQSVYKKGRQKHE SSCYLTWEEFKQNFQSIFVLVWMDDYKYRVNSIILKNPIERKSDFIEHTYCYKFKISEVG NYQLTLRQNDILINNGKIDIKDQKLKNQLGLIRMLLFQELDQTQYKFIDGICDFKNGISI NSTLQKGEYCIVCQGYFNYFSNYTEKQQLEQVKLNLSMAGLNLNFNIDPDHLDEQKQIKL ITSLIKVKSQQEKIRYFNTIGQPQIKVTTSQNYGFLYFYYENRGTIDIQEEIEFIQLGYL LNYQQLQKQNKDLVVVKVNNFQILLYTLNPKIILSQDPKIFEFQYKHRIINDIQQSEVIN QNGQNQQQKGINEIIQLQNTEVIQCDSVVAYINQHDQGMIIQFENISEKNVEVTLQFSVL ENLDVVENTNFNKDGMKYQFSVQPQSIMVMLFDVIEPSNPYHYKMKLDCFNN >CAK64392 pep:novel supercontig:GCA_000165425.1:CT868031:48992:50379:-1 gene:GSPATT00033868001 transcript:CAK64392 MFDGNYHFIFRKMKGVYIYLIITLLDCLFITSFLVLEQQEYHLYIYSNAFLFIAIIVDSY SQYSNRDILESLKTLKRTLAIVRLYILICSIFVSLKLDKLINWDWSAVLWNLWLGLFCSI GIGVACSIVTFNKIVQYLFDKSSYKKSKIIFYIWLTQLIIYVSICIGLISFGYLDFLSKT VNYTKKFLILQYFLLAELVIIMFYSIYFHKEIKEYIFSTLQDDEPLTNQQQQSANTQGNN QTLQILNTLSSRTRVPQVMQKISKAYFSIPKRQHSIAQDSIYGSPVLKKLSKGHKRAFSS QGVGSQMLQEIDFKIFEKQSCTINQEFANIVKSQVDKKKSNSQLISADRDESIQNYKPDL SQNSNICIVCYERGPNAVFMNCGHGGVCYQCAIDIWKQKTECYLCRDKIIYIVKVDLDER VGDLFKVVSTTQMIDQFKK >CAK64393 pep:novel supercontig:GCA_000165425.1:CT868031:51206:52219:1 gene:GSPATT00033869001 transcript:CAK64393 MIQIGTNQEGEFVFDSKEQDYVLLDLNSLQEQLESGRKNEIFDLLQQVMKSNEYQSTGEK VKSQIEWALQTVNEHPSEYYELEQQLENQRYPDVHSANKIIDMNIGKVKARVQFMSQQER RNLMKTKSLERSIQQIYSCKQNKYYKEQLQQKYKLMQKDIIDRRRNEISQQRQRHFHIMQ STKGKLGQMLQIKVEENKQLKESIQLGLYQTMTELRSDNVKAYRRVKVMEQEQQEKLQLF WQTKQVMAKTNYKRKVDDAYIQNMQAQDRLLQLEQQEMELMHRLRQTEQQYQMSSIKLEK MKSKSYKDLGMMWS >CAK64394 pep:novel supercontig:GCA_000165425.1:CT868031:52295:52734:1 gene:GSPATT00033870001 transcript:CAK64394 MGVRVTYRRRTSYNTRSNKIRKVKTPGGNVVVQYPNKKTTASTCADSNLNVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK64395 pep:novel supercontig:GCA_000165425.1:CT868031:53904:55751:1 gene:GSPATT00033871001 transcript:CAK64395 MNNNKLNLLEVLRKKRIEQQSEQIPKTPPLQKQKSKEEIRKYLLQNPKTNLISLFKKKRE DDNTPCTRQNLLKFYDSQGRSSILSPICAANHVPQKSVSDESTNALQITIPKRMSLVSPR LQHLNSQTSILESPNEIESFIVGGSNASKLDLDEHYLRIENVDDNPRIMRSQSQCQDEAQ MAILPNTLSVPMGKGPRRLQSFSVGDPFRNGINAAEQRKSVRLMRGLSGIHQPKETQILE RSRDEAGRKKMNNFVILHELGRGAFGKVRLVYNEIDQQYYAMKIADKNKLKRKLLTKETS AYSLLEQEVAILKKVDHQNVVRLVEVIDDPEERKLYLIMEYVKKGSINSKQYWKSEGVNI DWDEGEKPPKITCEKIRKYLRHFLLGLDYLHNFARIVHRDIKPDNLLIDEFDNLKIADFG VAQMYDSSSADLIQGDVGTKAFLPPEAFKTSQVKGKPADIWAAGITFFMLTQGGHPFPAK NVQQLKEAVFNNEIRFSKDTDPDLADFLNCCLKKDARQRHTLEQLMDHPFITRNGEEPLI EQEFSDENFFISENEISKALSKVTIRATVRVFAKLKQKLNQSRQRIKRQQ >CAK64396 pep:novel supercontig:GCA_000165425.1:CT868031:56185:56960:1 gene:GSPATT00033872001 transcript:CAK64396 MKVLQALKSTKFPFASFTNRDVKSDFQMLKPHFETLIPDLYLQKRLKLPADKTMRQRRMM NYHIEFDKDGNMTRFHEEYRLDNYDETKCLQYANVYEELTKEMKQDQIYKDFLYNTLQIT WQLKQYKKAQISVHAVRTISYPDQPAQSTPEGPNRDGNDLEIIGVVNKINCQGADSQIYS PDKTKLLFEMSLEPGEGYIIDDKSLWNYATSHKPVDQSQVGIRDILGFVLKIDE >CAK64397 pep:novel supercontig:GCA_000165425.1:CT868031:57020:57436:-1 gene:GSPATT00033873001 transcript:CAK64397 MASILNGIPTTQSYFIQALPRQKSSFQSIKQKILTKVMQNEKQSTLHKILQNYKKQAQQY VTPAKKPTEPIGKTKTTKKEKKQQSVDPLPRTASNQNRKFSQVSTITIKITSFDSDSEIV STVEKEPKVYEVQLDQFD >CAK64398 pep:novel supercontig:GCA_000165425.1:CT868031:57679:58446:-1 gene:GSPATT00033874001 transcript:CAK64398 MKAQNLLDVLAIGVSIYALYQIYQEKVKKLNNNQSFQTLKYILVGPPGVGKTKLFKKIVN TLKNSNQLEQGIQECLIRKDIDLVYTPALDFENIEQREINITIFQQYMQKNHIAHFFFLV NFERTDLMRKKLLELYKYFKKFKEKITIVITDFQLSDNEEQDKQNLKQAFSIYLNSNKSI MFVKNDFDVEEFRNQLLAEAQAFSLRDTIFEPINEDEQKQLLIQFQNKFIEGQKMIQDSH FIHMDDSLNIVENQP >CAK64399 pep:novel supercontig:GCA_000165425.1:CT868031:58634:60299:-1 gene:GSPATT00033875001 transcript:CAK64399 MFCCSSSKKKDENKKPVEISEQLAPENQQVDRSCRTNQQQEVELEIEERPKKNSVGYQVQ DENVSASICPSFVVGKTPFTLFVMSLLEKQQNMKKESQQQEEIKIDFQEDLSVIVSYPQI QAEVKNNQNDKSQLEEIPDQPEVIQEEDEEDEEREISEEEAKNAAIYKWAREIHDYNTDN TEELILKAVSNFKPLQSKEESRSTLAHKDGGKVCKNKKLIRTLRAIGKELIKQIGKQILS GNLNLTTVSFPIKAMIPKSALEKVLMSSCLFPLYINRAVLEKDPIERMKLIICATLGNFY LNCSFLKPLNPILGETISGFYQDGTTAYVEQISHHPPVSYFLTIGPKNSYKFYGYYNYEA KAGVNSLSLKNKGKRFLQFADGELIEYNFAGEEYSGSFWGPMKVECKGKITFLDKKNDIS AQVELDSVRWKASDYLSGEIKKRGKKVCKVYGTYMGYIEFGEVRYWDVNYIRPYECKVFK PNPFLLSDASFRPDLRQLKRNKVQEAQKEKDDLEMQQRQDAKLRKPKK >CAK64400 pep:novel supercontig:GCA_000165425.1:CT868031:60590:61912:1 gene:GSPATT00033876001 transcript:CAK64400 MQKIQFNCTICLNHLSDPTCLSCGHTFCEKCINHHLKLNHSCPLCRKPTLSEWPVNEMLK EVLLILFEQGPEGKLYLPIRHLVLQTEFPQIVLCLDCGLTPINPVVLPCQHLFCLQCYQN DLVQQYCPVCLQQCMITQPNINLLFNQFLQWYCETDEKFLLQDQQVHSMPIFLFDKQICY RRNFSLRIVEDRYKQLIKLASHGSGKFPVVPLTDQLPVYADMVEIVSITDQIEIVGCDRL LIDAIYCYINDEKIPFTPNTFKALPNQLWLCNYTLVKDNQDKSQVSNKIKQFIQVTFAEL TEEMKSLFEKPYHLMGIFGTKFHQVNPSLIMIQLLKITNQQFQKLYYSNDEQLRNQFIWN FINQIQQKIIQIIGNQTQNAKLKQLNMDEEIQLPNLQFHNIYEEEEEGFSQDLNESFSNM TITQQIILNLFHLHKVPFFQ >CAK64401 pep:novel supercontig:GCA_000165425.1:CT868031:62722:64241:1 gene:GSPATT00033877001 transcript:CAK64401 MATTKVKKSKKVEEVEQVQDEDVIKSTHQGPKIDSSNWPLLLKNYEKMNILTSHYTPIPS GSTPLKRPLIEHLKYGVINLDKPSNPSSHEVVSWIKRILKVDKTGHSGTLDPKVTGALIV CLNRATRLVKAQQSAGKEYVGIIRLHDAISSAAKLEKAIQDLTGAVFQKPPAISAVKREL RVRTIYESKLFEYDPEKRLGIFWMSCEAGTYVRTLCVHLGLLLGVGGHMEELRRVRSGIL DENKYMVSMHDVLDAQYRYEKFKDESYLRHVIIPLEVLLTNYKRRQVVKDSTVNAICYGA KLMVPGVLRFDDKIDIGDEIVLITTKGEAIAVAIAQMTTGEIASCDHGVVCKSKRVIMDR ETYPRKWGTGPRALRKKALIKEGLLDPHGKPNANTPQDWQQFYVTEENNNILKEEQQPKV QKEEEVEVPEVVEKPKKKKKQVVQEEEE >CAK64402 pep:novel supercontig:GCA_000165425.1:CT868031:64288:64987:-1 gene:GSPATT00033878001 transcript:CAK64402 MNQPQTRQVQKLTIDDKQKFELQKEIFITIRLIDMVEKCAQLADDKITPEKHSQEITKLI ERYKNFTSKIDKYDLNSFIKEYGLEDCKFGIDRINKGPPQIKQGNRIQLVVDIMQRFYLM QDIILENKDNPKTQIFKPIVDQLIMFLNRAKTELPPYKSYLEELQQFSKQHLENQIIGVI PEDEFQKFESIIDLAQQSFMTSHSQQ >CAK64403 pep:novel supercontig:GCA_000165425.1:CT868031:65045:67516:1 gene:GSPATT00033879001 transcript:CAK64403 MQYLISLQKNLQTENVFLSATLNFRDQNVEMSYKNFQKKFNEGTLILMESFKLFLEFAIT ISALISDDQSIYSSILFLFPISSLLQIWIIRKNQKCNDYWKIGHILALFLFLAANKQPYL MRGSVSLYCIYMTQSLNDKKYVIFYFSIMQVTFQYFDQFFDWVVLPFQWAFTLIITLSIY ARQRRELVHYYHKFKSNTDLSYQEVVLNHSLQQNLFIVRLSQDQSKRLVDYFEVLFANQA CKKEFAQIDNIFHRTTLINIINCNESNLSYYNLKVNFSYEQICNNYKGSTGEQINMVDKP IYLNQILYQYIVNYQNKELQESLPLKLTGLQNNQTFDILVSPCIWKHERCFIISLIDLTD RMKISQLEKLDQYKDSVLATVSHDLKNPICVIQQMITLNVAIQQKVQSCCNYLQMCQTNV QTLQSFVNDLQDFAQIKQQKLKLVVSQFEISNLVQEIKNVFQIQIQKKNLELEIQVNLKP NQTYYNDPLRIKQVLFNLISNAIKFTSKGKIMVKFSDDIKEILKFCKAIKEQIDSNKSIV DVGILFKNKSSIFICTVTDNGSGMSLEIQRGLFRNFATYDNDSNINKNGVGLGLIICKQL CGYIGPLQYMYLRSQSGVGSTFQFAIYKNYDKQHRYDYSGDQSSIDSIDYDISVQSPYKN IFSTRHITPLCKQQRIKELLQVLIVDDETFNCILLKLQLTKLGIHNLDVAYNGREAIEMS QRKIYDIVFLDVNMPGLNGFQCIQDIKNTNSEIKIYMLTAFNDLQTHQMCLAAGADKLLS KPLSQKQLELLLN >CAK64404 pep:novel supercontig:GCA_000165425.1:CT868031:68085:70035:1 gene:GSPATT00033880001 transcript:CAK64404 MDPTPQPEVQKEEKKPNQPRGENRKRGEPKQKQQQQVQYVVKGEEGAATEPKNETQEKQG DQGEKQEKKKKQREERKRPEFDWDKTKITLESKVPELAAHPPQKPNKEDHYKQLDKIDED IRKQWNHFNDFVKNVKEQQTLARQGNQEKYQNEVKPLGQVIKEKIKLVNEKSSELKKMKG ENDEIKDQINKLFNQSQEYRQKMKSLDDPDRIIEELARLKESLQQDKLSANEEKRIVQQI GALERSLPYIEPLQKLEKEQKVLRTKSAAIVKAMNALYQSIQELNGEINQYKADYDELKD STKGSVVTKENPLIDKEKQIIETKVQELKDRKEKLKKDYNNAWDKYEDYEDLQRYREWFL KQINRIKKDSERKKREEEKKKREEARAKREADREAEEQAEAEAKAKELENVNPFQYQVDL CDTLITYLNKLKPSAAQQQAQQQKQIDVEQVLKSDDWKKEKCTVIKKEAEDNLYNFSQLK SKKKNKQPQQQKEAKDEKKDDKPSILQHDLQTLQFFDTVKVATPFYITELDNVVKSIQER KEYYLNRENWGKKEEQETHTEQSKAKKQQKAQNQDEFPALQ >CAK64405 pep:novel supercontig:GCA_000165425.1:CT868031:70809:73118:1 gene:GSPATT00033881001 transcript:CAK64405 MSNQKQTKSKQSIIISKKEKQFIAGSDVVYNSLKKLVEQQKEYGNKHSNYVLGIQSIIED LSTKTFTVTYNCDESAIPLSKIQYSSPKHKIQIFKYLYLIYGFLNKEKILHRNLKPNNII FYNQQLLLTDFGFKIGDWQIDKINFLDENDGTRKDNMQPYLSPELANIIVSTKSFGHKRD ELLARSKLSVQQQDQFAIAIMMLEVFIPLKNRNSATPNERKQQINDMNLSDEFSNDVEKF IKETISNLLYSKDFNEQEFEKRLKMFIEIEQQAENYKINKQELMTQEYDEQVKNVLENKD TQFNLKLLYHFGKTNLNWLLSNIAHNQQNQELITEMRRFLRLYSQIDNFSLDQKDEKFTD EDLFVSLVYLDEKIENLQNSQNQKPHLIMSEEEQVCRNLKQFLKNEYKRLNNLNKNQFKF NKIQDNDVQILKFDEGDFQKNSEVIKTETDERQNDNVQNDQQPNEIEQQNDQQHNQFEQQ NEQQPSIQNRQQDNQNVLPNMWQQSYILIPIYSINEQTNQQILLETVKSNFNSSSLQNYF EINKCICSKQIVCRLFKYKDDLNVICLGEIKGNDFEGEVMQLEVKQINQNNSIKTIFHYV NVKTTLEYWNIQNIEQKSSIFQYLEINSQLKFKKCKDYQGQILNGKKNGKGIEKNFIENM IYDGSWKDGYITDGTKKLINTDQILQNAPYKWIGNFEKNELHGDNIKTYLLNYNKVVWLE GRYQGGLKKGVHTCYMKRKNRQNDEIVKIYSAMFLIISNQEHEFKLTCN >CAK64406 pep:novel supercontig:GCA_000165425.1:CT868031:74123:74485:-1 gene:GSPATT00033882001 transcript:CAK64406 MKISAQNKIIEIFNKQPGIVEELYVKPDDIITIESVLYTLTYQTQDVQIVQIQKANPIKK YPKIRINIIPQHQYKESTEKEDPKQEKQTLENHFNNQSSNPNIEFQNENPSQIVTQLIIL >CAK64407 pep:novel supercontig:GCA_000165425.1:CT868031:74812:75825:-1 gene:GSPATT00033883001 transcript:CAK64407 MKQTDIQYDSKCSSTKSANKDLNDQWFRTPKANQRIITLQSDNKSQTYRTLDGKQTTQPC TSFKEEFQKVSSKIGSFASPVSDFQNKMHYVQEQQMQELKVLDMQNLNVSLQQENLIFKK QIQLQQDQIQQKDIVIKKLKLTIQQLTTYNQQLQQKIDKQSNQDKQDYKKQIKLLETELA DKNSKITQFSKIQDEIKSLEDFYVRILSKMKVDLLSQNRELVKLLNHVQCLNQIAIFNLQ QDDLPIDLLFKYKQYALFEDEMIMDQKAEMFELTKENNNILSKIHEEIKKTFDKISYNLV DGFSVLNT >CAK64408 pep:novel supercontig:GCA_000165425.1:CT868031:76348:77821:1 gene:GSPATT00033884001 transcript:CAK64408 MNSSQRQPRSRIFDVDSQRPSDRSNTPTRALSPHQTSQHVQPQQQQQQPIPTFQPQKLKH ILYNAQQSTPIVSCHYHPDQFIQNFCKNSDCLLPLCPMCVTIHQDDHIGQEYAPHFDLLG YCLGEQYNKIVDICNLLGEDIEEVVELKNLVKNHREVQKKKFLEAKEQFYKAIDTFMQNL ENNLNSQSLKQTDQLLNEINQFHRLAYDRWFNMQGRLQKLNSEKCLKTLIKSYRQSRPED IYQRQHEMTLSFVDSVRNDLDQVEIRPNQLQVILDQLQSYIFITRDQVKQPKQASPIKPQ QQQPIIRRVVHERPNSQQHHHNSNSPIPTRVLQGFPILQKFNPVTAIETMNMPQLPPIPT GFPQVLPFPNQQFNFQPQSMMLPNSQFIPQPLLNMPLPFQTHHPYQNPPQLLKQTQLPQP LQQYVQPLQSTAAHQMQQQSQPLAQINMNQQEKPGEVIDLKTNNNPLRMAEQYHNKLFNS GE >CAK64409 pep:novel supercontig:GCA_000165425.1:CT868031:77978:79479:1 gene:GSPATT00033885001 transcript:CAK64409 MHKLKLQFLNQDVEDNYIKEHQFPKRRFYLKVSTIAFQILLFFKLIVSLIEQNYTTVYPL LISWGITLPFVLFPIKTDFFIRMSISLLNILYIGYLLFFDPQEEAEIMYFKGSTQMAANV VNIFVLEFIDSSILSVSLLIMRVTHLIYYSPKLDLPTIVFAIGIHVLLILMIYVYQKALR SQYLLTKTDQRWENILKQIIHDQKFILLNFDKEKIQFKNISSTFSKNIQTQDEVISFIRN SKIKNLTLEQYLYQNVNDFQSNCIDSLNNIIMVTYDRQFLKLNFSIFFGNQPTILIQKDI HQYESNLKSNQKVNKLYLNIITSLIKIIKQNKKYDEIKFQIVAKKLFLKNLISKIETHNF SSQLISFKKILHKAYVLTNKKCQFLICQDFQITTIPKIFDLFLALLMDCSHNEIISIKDL RSENQQIAIKFGGALNIKRLKAYLDKLEYYFLLIFQNIKYDDAYVTVKLNEEIFVPFKNL PSHHTSQEILHP >CAK64410 pep:novel supercontig:GCA_000165425.1:CT868031:79769:80218:1 gene:GSPATT00033886001 transcript:CAK64410 MATTRNQKRTKTYTYVRNIDENQRSLRTQSKLDILESDYYDSPNKLAEEELSDASANRKK SKMLRIAKKKQNNTLRKNVNLKKMLKNTPLDSEFLNFQNITPKAKPQQTKQCSICRSQAK YTCPRCLERYCSLDCHQTHKEIQCLRMDY >CAK64411 pep:novel supercontig:GCA_000165425.1:CT868031:80262:80977:1 gene:GSPATT00033887001 transcript:CAK64411 MLSQHNLQSSRKNIGNISSQQLQRFQENGLYQFILSKNRAKEKMVKKQKQITPLRQMRPS TAFVDSKGKQKFQMNFGLQLESFSTLQPKHQIFEEHQKNNSQHQASTTPHQKLYVNDQKI LRAMSALRKIKNQRAFRPCPFTLGFNIEQCSIKPKTIKQRSISQLQQIKSLNRTEIMKYK RHESPQTVQKPSLQSQFTKWEQYDDSNLFDLNFLNNNLFKYEL >CAK64412 pep:novel supercontig:GCA_000165425.1:CT868031:81027:81768:1 gene:GSPATT00033888001 transcript:CAK64412 MGAYKYLQELWKKKQSDVLSFIMRIRTWEYRQLPVIHRATRPSRPDKARRLGYKAKQGYV IYRVRVRRGGRKLLIRKGLVKGKPKSQGVNQLKPTRNLRSVAEERVGRKIGALRVLNSYW VAQDGTYKYYEVITVDPFHPAIRGDSRINWITKPVHKHRELRGLTSAGRKSRGLRVKGHR NNQTRPSRRANYARRNRISLRRYR >CAK64413 pep:novel supercontig:GCA_000165425.1:CT868031:81812:84918:1 gene:GSPATT00033889001 transcript:CAK64413 MNQIFYPLTQVYRTSARQINKVIYYGNPLPEIKLKSNDLKQSIFDWLRQLNQEQLDDVLS FTSYFRVYSYLKMFKIDQNGVHEYQELLCSPFEVNVAEELSRYYSIQNCKRLNKIDLNAH KYILDHIYVSDYEWLFDTITLRGDVEQIITSFEEVSNGTIFTSWGELESHEDEGYYEINL KKQKVYQTFSELLVEEFEIAILLKYRQACGGGDNYTYDKNLLNLNHVRFNYLSLNLNQSF LEFKQLFAQQSNQKQSISELNLIQSWTDFIKSNPLQLQTLCQEVQYYFNQPKELFSVPLK QVLNEYVLFCKFLISRQLLKEFPLNQAQEIKRVKQKQDKQTQQKLQELNILFNNPLKSQI LPANDQRLFSTNDEEIENIFYDFTTSFMKKLMEDTFLELENQKKFKKQKQKKAKSQKKQY MSECTSNCTEYQQLQQQQEIQFDEEWINDTQSKNQKKKQRRKENQEKKKLKKLPEIQSVE SSESVQNQQFEPSVKIENQKQVEIQEQVQETFLCTTTSTHDDDGEFIEVTKKKFNGKKKK QNNKKAKEQKEVQVEKQVILIKKSNSSQQNYLSDDYKMENSTPTKTLSYKKEQENTPQKP GLVKSLSREQKTYKYDLDQNTLNHICSNILEHKLSRDIQSIYQKEQDNLFKFRTAREVAI LRVQHIIKAHFFQFQVEPVIFGSSRTGLALHDSDVDMVVFGLPVFTKPQLFEPMRKLLEV FCQMKWAISYKHIFQASIPLIKITIDPSIGFLEFVGNPLYYVMNHRNIEQMNLKIGEQSQ NIQIDITFELQQPYPMYGYQGYHVGLLSTDYQRQVGISVKGFTEVAIVLKKILKQRGLND SYTGGVSSFCLTIMLAAIGENVSMGDKLLSFLQRYGWNFDPEQQCISLHSDETFMPIQEQ GDRLPLNIISPINDEKIQIYVSKIQEILQVFKELYLELKENMNQIAIGLQKKLDQKELLQ LHQTNIEKLVDLIQWQNTNLLDSQF >CAK64414 pep:novel supercontig:GCA_000165425.1:CT868031:85021:85799:-1 gene:GSPATT00033890001 transcript:CAK64414 MKSKLQNGNYFLNKDFSYYQRPQIPVTIQSKSALEIKSIPQPTSIQKKQKTIHYYDQQKF EQYTSAKTKLYDLLSLRQTNRTPIQRRRQPESSLIVKRNPEIYTSIIEINDVKQKVKTVS QECPRTSQKITMVQHSSQLVSTQKRNHNHSKSTNNNNTSTPLLNKTVSQFQCFTPLTNQK NNAQSDLMQRIKYQKLESLINLIINNQLNNIVNGFTLIKNTAPLLPYIRGLERRKAEFKQ RRFQIKLIRIK >CAK64415 pep:novel supercontig:GCA_000165425.1:CT868031:85893:86456:1 gene:GSPATT00033891001 transcript:CAK64415 MFSAQNKLTFAYNRNTLQVQTEFKMKNISENILEIQIELSNPQNYKIYPNNFYIQKQETK VVEIIQINYVPMRLDERAKIMYRTIMENSTTSRKNTQTIYHFYKYFFFELSCHKQSSFVD DNSRMTQVRQQDHRQHQVGVEVKDSLNYWQLVTLTVSIIVIIIAVCNWLYIKIIKNDQ >CAK64416 pep:novel supercontig:GCA_000165425.1:CT868031:86495:87893:1 gene:GSPATT00033892001 transcript:CAK64416 MFLGLRRVRFNFGAVLEQYQYLVRQEEIAQDERQVNTIVQFDRVNDQLVQSHQQWLQYSK FLDEFIRRNHTPQQSQVQQPSAKQSSFFSSLFGGSQTVSISQTKLQSTQEDHNRPFVGQI QGLYVFGSPGCGKTYIMDLFYEQCQIPQKKRIHFNEFMLDIQKDLHKCSSKEDPVNKVGT AKAKDIRLLCLDEFQVTDIGDALILKRLFETMISNHMVLVATSNRPPEDLYKGGLQRHLF LPFIPFLKQSCVIHNMDSQVDYRYSYSEAQSERLLTYISPLDESAEQTMKGIFKRISKTD KFYEKEIEVIEGRNFKVKRQANGVALFDYEELCEDVVGASDFIALCRNYHTICLKGVKQI SMSNRNAARRFILLIDEMYNHKTKLFCSAERDLMNLFIVKNKGDQYDEEFALERCRSRLK EMQSKEYLETPSYFDQQKQ >CAK64417 pep:novel supercontig:GCA_000165425.1:CT868031:87937:88833:-1 gene:GSPATT00033893001 transcript:CAK64417 MKSREDSVSIRSDEQSLDLDCRIMKPKFKQQDYDTYQTEITTSVYKRIMEIQSSSSEYKF KACHETIFSTNKSHCKRKSSDFQFQESNEEQLKNEKYVIQNCNNLLGQEKKRKKKCKKGS LYSVKSQSQSPIQNQNQQIQLLETQSKKSQRSVYKSSTVNKSKKGMSNSQDRQYGYSLDY QPIRIQQRTNHFLTNQFDQFKDPIQEKLSLNQLNMHLLNENKLGSMYRNNNNCLLNASQP KSILKSKSVCSESSNGENSSRYKSMKSSIFKKVTFESIKGQNNMKQSLRNQQQIIIIQ >CAK64418 pep:novel supercontig:GCA_000165425.1:CT868031:88882:89364:-1 gene:GSPATT00033894001 transcript:CAK64418 MQQSDVVEFSFSLQYPYEIKVDQQINFKRNSSADISSEEENDLELKIQQLYSKTKQALQS IDQKETLKEGRNTQNQSDMCSYLVCSKSLPYAQLLISNNVFARNHQIKGFTTISPSKHKQ KISMEESAKKQNKFQGKQKIRFNSYLSIPNRNICFTYVSN >CAK64419 pep:novel supercontig:GCA_000165425.1:CT868031:89454:90574:-1 gene:GSPATT00033895001 transcript:CAK64419 MSNIKYIYLKKIHKVPANVTNYYNLVETIRNTYKQLQNIYLFAIINPQNPEALTEINSDV TFQQLKLTYHQLGWPSIKLLVTENQNYEEVLKDSWNLLNQSIVMTEKKNHDASTLINSSK QDHGEQIAPFFDNMGTQVKVQQIDNAQNTNKFDFQNNVQLQQFIDEIIDQKLNELGLLYD ENKFNPRDYKFYNFTNIPIFTAIPQKKINIDLKLKNTGIKKWINPKIINKELNVSQKFID LAPGSTFSVKIQIPYMVQHFVNNVEHYYNFEICVQNEQGEYNTINGQILIKVKAPAKNQL SPQDEKIFKLLELFPQKGDEYIVKFVAEHGKNKNAEQLVEELLQQNL >CAK64420 pep:novel supercontig:GCA_000165425.1:CT868031:90765:91097:1 gene:GSPATT00033896001 transcript:CAK64420 MGNQIQKINRKIDQMLFKAYDYLIKDEEMSDKTVDVLSTDDNLIENFEEQRETQTEEKCE SLNLYRNKSKVITKTTEEELGSKRKSKSQLLSDRKSNKKICENKENYLYS >CAK64421 pep:novel supercontig:GCA_000165425.1:CT868031:91253:92070:-1 gene:GSPATT00033897001 transcript:CAK64421 MSKPRSTSTIEQRIKEVRMQKSRNYSHLDGTCVCSECLCGQCKCQVYQQPYDYPKLLQSN YKREYLWKYSSPPKPVQKVEYQSSFEQVFRSSTYQRNFQSFSIQKTENFKPSPQDWKNDS PVVEMTSYRANFKQQPNNITPMLRPIEVDHSVNLPISQKTTYNKHFRACSSQLENDHYVR EAHYKRHTNQSPNPYLKTSYQHFYNVVSQSQTNAILPQQNQTFMPALSGSQNRQSRYQSD YKKKQRLKCQARQFLEEYIIIHQE >CAK64422 pep:novel supercontig:GCA_000165425.1:CT868031:92288:92596:1 gene:GSPATT00033898001 transcript:CAK64422 MIGPKQVFLIPLTLYQNQEDQSVQCLDDFEVKIQSKEEFSTTHIIQTQYPPKKREKNNKK VKFNPTIIRYNFCESEPAITISKQMKKLISMQPNLKWINPTV >CAK64423 pep:novel supercontig:GCA_000165425.1:CT868031:92898:94160:1 gene:GSPATT00033899001 transcript:CAK64423 MDNSNFQDQQQEIIKIDALSQYTYKNKQVSWKESSTQNNRPQKNNQLKEQTQTECQAKLE AQSQNQENDTEQFYPSQKINKNSFENELKQEIQSQLYQTNDQHQHQILSQARKNSQLKIQ PAFNVNIQITRIYHKKSNSQNPAQRQQNRIQKEKNELQPTQQIQSNTIQSQYSEMTNKSE VSPTINSEVSGTQNQESFEIRLKFSDDPDLQAKQQLLLEIHDILKQIKIRSNCKRHSILE DALQLCLMYIPQIRVNRHSFISEQFYQQAKEIYQLERNRQAFARRFYHLLLFSNSWTLQN ITNIHKIIMKYQQTQLESYHLFYDIKTKTLQFPTSIVFRQMPEKPKVIQQIIQNEQNKRI VLSLTTKTLFQYVNLPAKEIDEDIEEFGDELQFIDMILNLIPLAL >CAK64424 pep:novel supercontig:GCA_000165425.1:CT868031:94398:95571:1 gene:GSPATT00033900001 transcript:CAK64424 MVIFLWCNGYLINNEFRVFLVRRRDELKLSYVKFIIKEYIIQESNLFQLKGNQEFLRIFS SLKLLNLLQGSFQLCRRLNTHLLQLINNYVSKRENNQCKYKIYTIIHINFNTESKARKIY SNESWNIVDDSFQSFMLIQYLDQQNRIAKKKLKQKEGNFVVWNYSQMYIQIRQQNPAYLI GLMINHYNCYLNIIDRILNQQFETKIIVTGKANIIIDKLYIQLQFRNSNLRIYSVLLTNY KYQYSYNFVYIQNVLKEQQYNKGNRIILTSKEEEYQMGQHSIIIINLIFNDFQLLLQYGQ HHIVIVVYLDQFFIENDCQHKNMKKEFKFLRVSQTQKSNSNYKIEKDNQDFQLFLLLLRI LEVLIDLSFGTETILYKTESQK >CAK64425 pep:novel supercontig:GCA_000165425.1:CT868031:96177:98932:-1 gene:GSPATT00033901001 transcript:CAK64425 MQPQQFLDLVQCAFFDSNNQRGQAEQVLLKYKDECTDEFLVYCASAFANRSIQNRLRIAC STLVKRLVGLVHPSNQIMWLACSQNTKNEVKIKFMDQLIDPEHEIRRSAANTISEICAIE LPRQEWPDLIERLTTNSKHSNVLVKVSAIMTLGYICESLKNHQSSGISEKDAKVILMGIC VGMDTNEQNLEIRITAIKALQDSLYFMNNLFTQQEIFTFVKKLILQNAISNIQEIKLKAL QCLIDYVKQLFVFLPVFITELYQTTQTAFENQGEITIAAIEIWNTICAEIKETMSENTNQ QTPETNSVDCCVSFFKKNYEGFIIPFMRNLLLDDGDADDEYQQLSVPNSSSKGLAYIIEF AGSNTYDSVKNFIQNTISHQQWEYRKASVMAFGALAEVQTEEIGLLIKSALTSLFGCLVD SNFKVKKATTQTLSRIAENYPQCFHEHDQANQMLATLLDQLSNKVSIVQNLVWVFVYLTE GLTNFQNSVFTREKFTILKHLASTSIRSDIKNQEISLIDTAFMAILNIIYSVTETKLCND YLQQFYQQIQLLEGSNQYPVEIKYHLEMGLMSAMHGCVVRLDDSTTPEKQFEDIMKTLSK VDSRVKNDYFYVLSGIAYAFKKKLTKYSTQIISELNKPLSEPDDMDSFKTALFCLSDIAR AMEEEFVPYMNILNYFFGLIKNVNFNRELKIQVYNAIADIILGLKDKSAPFMNDLKEILK LGFAASIDLTKSNLSVDQDYAERLKETMTAFYTCILHAYCDPKTPIFELGDTVQWFIIFC QEMCNLKLKPTIEYVRLTLCCIFDCSHFFQNIPDMKQKIKEFITSDFVIELIRKLSQFND KDYQDCVSFAQQLLNDVYGYQLRLY >CAK64426 pep:novel supercontig:GCA_000165425.1:CT868031:99885:100130:-1 gene:GSPATT00033902001 transcript:CAK64426 MGCTITSEKVSQQKTMMMESTFNKEQREKEQRIKAHLILDEYRQTKTVRKQVKLQSDVKD ALQEQLCRNKTATPQKSSIAN >CAK64427 pep:novel supercontig:GCA_000165425.1:CT868031:100269:101889:-1 gene:GSPATT00033903001 transcript:CAK64427 MLTLLVINEVLTNYWMNNRYLKNYLNNTIITALIGIAAGLFLLFIDKSSLLQEYRQGFSQ FFLIVLLPPILFESSINMEKALFFQNFGSIVMYAVIGTLIATFVTAFSLQLVGLLISVIL YYNYKLSLTSSYAFGSLISSTDPVAVLAIFKQLDADKHVHILLYGESILNDAISLLLYEI SQRMWNQEVVGYGGAIKEFLTMFVVSIAIGVIIGVLCAYVLKEEVSYETEHIEVSVTVII PWVCYLISQAVGYSGIVSIVFCGIVMAKYALPNLSESGKELLNKFYHILSYNFENLVFLF IGIGVVGYDLAWNEMGIVLAISSIVIVIVARFLNITLVSFVLNRYRHDNFIKPNHQRAMW FCGLRGAMAYALALDAADTFKEEGEIILTMTVVIIALNIFVQGSALQYVLEKCDIQEKPK EISSEINVKDCQSDSGIRVVYQKGWAHRMKDCLEKCDEKVFQEFLVKKRELTPVRQAESH >CAK64428 pep:novel supercontig:GCA_000165425.1:CT868031:101978:103482:-1 gene:GSPATT00033904001 transcript:CAK64428 MKQTPKMSQASSPNNKLINTLLLKSKSPSQYTIQSPAVSPTQVSLPKAFYPHKNSSIDLT KLATLMKQQKVKQSSSEGSKDSMTQLIAKARAIAMSAKTPSGPIHIRNDSAQVRKVNKES EVISPKATDAKQINKAAFTFEYVIGIGGFGKVWRVKRTGQQFAMKEMSKALVITKKSVNS VMNERMLLSQLKHTFLINMYYAFQDRENLYLVMDYMCGGDLRFHIGRMRRFNEEQTKFFV ASIFIGLEYLHINNIIHRDIKPENLVLDEKGFVHITDLGIARVMKQENSSDTSGTPGYMA PEVMCRQNHTFAVDYYALGVIAYEFMLGRRPYVGRSRQEIRDQILARQVQIKASEVPPNW SVEAVDFVNRLLQRKPASRLGFNGGHEIKLHPWFKNFPWSKLQNRELKAPFVPNPSDDNF DQRQIVIEDEENAELIAQNIQLLRDPNAQQQFAGYEYQSNNEKIFVFDQSKQS >CAK64429 pep:novel supercontig:GCA_000165425.1:CT868031:104309:106385:1 gene:GSPATT00033905001 transcript:CAK64429 MSDQVKELIEEMIPKIREEIIRELKPQYEAQYFEQMNQAKQEIAGSQFISNQLAEKRREL AQQIMNQIEQEFEQEKQSLIHNVSVSLSKSRSMQVLEQQYQLMRINRLRQKIQKEYNGYV EEEVRKKIHLIKLNCQKTYEEDRVQMKDQMTNEFTEKLQIHLEQLEQTKSQLTVDYQNQR EKLLRIEAETKQFQSQKETQENTYGSQIQEIHEQIKLLQDQLSQIKALKHQAVKQKPQKK EVIQPQQNDTQSQLSPYQSAIKNQVLQECLIYDGLNIANFDELPDLFHLEIKQNVNENKQ SAQNMIPQYESNHIQKSEIAQTINTQINTHDSQIISFNISQPSIVKNTVNHTQNNISSTQ QKQQFSQQQQIQKQSQIQQEKENDPSIYGISNFQKRSSQSPNQQRNSQQDEQTKKSSFIN QSTIIEKLENLCKEKIRQSQTSNNQRQSKILLPQDHFEELFQIDSKDNQTTRNIKLLRQE LQTKFLEDIQLEDYYFQQITFFKLNFVQQYPKNQQSQIAEQIQELISIWNKGHLSFSERI DYIKRIINSSNSTEELESILEECLIYYQQNELLIEKLQQRQSFRSQILKENYLCQKNKKV FAALKSLTYEIKGLLKYKFFWREVDVDQIIMIDQFEQQLIEREEMKEEIKRKVEKKFK >CAK64430 pep:novel supercontig:GCA_000165425.1:CT868031:106712:107562:1 gene:GSPATT00033906001 transcript:CAK64430 MATFQERFKGKTTVMGRNGLESVLNTCQQPIKKELDYQNKPPLIYQPLQQQTNIQISPIK IQYNKQTNNQTLYQHNPYIGEQQIQDSLQYLSQQQYFQPIANYQVVSEPQKKEDTRSKSA KQQLDSADESHRYKPYTLKDYEIMKKTANAKLGGLGPNLSGDEWAKEKEKVLKRQEFAEQ VRQFNSTNIITTKIREPKQSEPNARTKAMDFARNIPKPQIRKKDEQPIKSIPNRPSENNN ISRDPFDDEIARLEKEHLKYLNQLDKMK >CAK64431 pep:novel supercontig:GCA_000165425.1:CT868031:107597:109254:1 gene:GSPATT00033907001 transcript:CAK64431 MSLEEFDAFTSLKALRDLKKQIESKAQVDQKSRAALQYIQKAQPLSQYDLRIQFQHWLAK LGDLSTKQIAYTNIFSLVQQNQSQPNLQLLITCLQTPINGKGSESIIKTINIIVGIYGDT ITVTDIYKFIEIMLKYFNDSNNNVQMAISECWSNTYIKNISKKPVQQRVLLMYSTLSQLI GSGGSRQTQETATIVLSQLFETLTTAQDLAFIKDVVKDYLGIYLKQQIDHSGFYTIMFYI IKTLQIQSFTSQQIQVIDKTLQGINNQKLNYRTRVGALNLMKLIATQYQNSNFKIALGNL HEQVIIVLEDSTRDRVIAVQNAARTALKEWMRLKLSVDCELMPNPVSLDLSPGFLKKQSG SGGGQVYVNQKIQNQQQFKQDIKQALIEDQFENTVDYSNIVHEPNLNVWQKAMRLQQDGL LEDAVELLLHEGDDLYLIRFLMSYKNYSQLFDLLSNSLALRLIDTLILILESDFLNILCL DFIQQFIDCGLGDWFKDSEFTDYLEYNTEQNLPSTSLCKKVRDQLK >CAK64432 pep:novel supercontig:GCA_000165425.1:CT868031:109289:109617:1 gene:GSPATT00033908001 transcript:CAK64432 MESQDRTSQKSSTAEIQEVFQSFLQKPLSIDSSDDCIELKPQEYKVSILKQKLKNKQQTY SLTKILFGFRFDYQKAACLICKKEGHVNCCQINFQIDNIYKK >CAK64433 pep:novel supercontig:GCA_000165425.1:CT868031:109885:110990:1 gene:GSPATT00033909001 transcript:CAK64433 MEVQQTLTVCVTGAAGQIAYSFIPLLLSGQVFGQVKINLRLLDIPKMETALKGVVMEIED CAYPLIGDIQTGSNPKELFKNCDLIVFLGGFPRLPGMERKDLLQKNANIFKEQGEALQEV GKDDVKCVVVANPANTNCLILSRYATKIPRQNFTCLTRLDQNRAYAQVALALNKPLNSLK NIIIWGNHSTTQYPSIEHATADGQSFVLPDQGQFIERIQKRGAEVLNARGNSSVFSAANA VKDHIKDWFNGREDTLLSLGVLSNGEYGVQSGLCFSYPIRCLGAFKYEIVGNLELSDFSK QKLKVTEEELIQEQGLAESI >CAK64434 pep:novel supercontig:GCA_000165425.1:CT868031:111010:111417:-1 gene:GSPATT00033910001 transcript:CAK64434 MGTACALNQSSIEQSPQLLLDHFDIRAYPFQCNAKLYTHCPTKQDISKILIQKSKEFIDD EIPSDSNGSFFEGSHRRTASQNTQRNSLDFSINKTETYKKGILRRPQSQKTISQKSCQQS QQKKVHFQKSKFVHM >CAK64435 pep:novel supercontig:GCA_000165425.1:CT868031:111684:112430:-1 gene:GSPATT00033911001 transcript:CAK64435 MLSVILRRSAARNIATRGGHGWDRPDVPLTVAYQHKRRMDVFDTNLWFYCGVMPEYFINQ NEGYLNSAQNAIKYLILDSKYIVAAFAAIMFTYEVFYEARFWNIQPDYLKNPIVNYPRKV QQERPFMVKHFLDLSITGDMGLQRMVPGEKSFATELLETYEEQNRHIQLMNLEGKTYIER VEAESRQAVARRHGQGEAHHHHH >CAK64436 pep:novel supercontig:GCA_000165425.1:CT868031:112523:116650:-1 gene:GSPATT00033912001 transcript:CAK64436 MNTNFQITLQTFKSLHEQIQPIQRTSLFTKLFKSKDNKIIKRRVFETKKEWLTWKEKITQ ELLNQRILSSKYPGKVLTILNYTVETINHQRNLFVAYDCEDDIEPLFQYLNNNTVSFQEQ AQIFEQLLQIACILFKAQFEHTNLKPNNILYYKKQVLITDFGSARTHYQNFLKEYTGQAE KEWQNNLIFFYPEEYQKIMEEENFYFRVSSWNEFDVSDQKFRKYIDIWALSMMMIQVFEN NQTLPKNLSDYYGLNNKQLFQKIENLKQYQNGIFSEVIFTLLIQKKDPELVYQTFLQQKK LNQTESQSQMESTFTIKQIFDHADEDENTYRSFKPQNYFLANQAIQELQDQFDDDDSSSV ISSNYQIDILGFTEIKQRNNLTQIPNQIPQRQNQQSPTRIKPESQQYDFSLNPLQFFQIQ DNPQNLNNVTQIKPSLAVVNYEFNQQQFEGVQVEDTKTQNDHIILVPMQSQLQVLTDRND KGTPINLEQVQDVIQIGQTQGGKSKNDNQQLGCLQIIQLDKIDDNKQGETSNNFISMFNQ TQNFQFLEDQKIVQVNQNNSNMSEIVTYIDNQNFQFNNSNNYDLLNLNDEEKPIGQLNSF QGQKEIQDKVKQINILAIDDFESEDQQVPKENQVQKQIIQSGQQEFNLLELKDSKTEIQT QQQNSQQTNLLIIIPSKQKLIPQTDDPNQSKNGIQSQVEKSNISIMKNSLQVLQPFNDEN YEANQIDDGSVIIVQNQQQDDYSLLIDITENNQQQFPDKKKTNRQNNQEIINKDNSFQQI QQFEDPKETIDQESQENPVSLKIIKNQLNEGVIQLKDKDQNEILKQLKLIKRIFNHLEQH PNDPTNIFEDEQGKKNQKQIQQLFDEIVIQKEKEQLQLIDDVNDGLDVIQKKQEADHFFD DNNIQNYPHLLTYLNEDQLNVLKSEIQSNKFVTDLIQKLIEVKEDLKKLKQNPILQNILK KQQVELLNKEELKIYLRLLDKVPKDRKQGDRLIASQSAVENKLKEIKLKEQEELNKQKII EFLRNPSFDNLLPDALIRKLRAEERKKYKAALKDLKLKSTQRQKKIIQEQIDIINEIKRK HDRNKIQINKIDEVSNEGSTKQSSKNTNYLTFQAAYLKAIKQLVDDKDSELLSQNKYIRE FQLIQDAYQITDLVPSYCYNFSQQFKNRKLQFYDFQGSKYKGETLDDYPDGMGILRKRGV SSIYKGFFKKGKFYWGQVLEMNDKGLLTQYVGYYNQEFEVKHGKARLKWFKPYREKLFLE AYKFNVYEIYEGDFIMGYIHGQGKKVYADQSEYEGEWKKNQRVGFGRLIIRQGGQKSITY EGEFQDNVQHGKNVKAIHHHQTGLDLIVEGEYKKGKPIGSHLLIFNQIQQKVIHY >CAK64437 pep:novel supercontig:GCA_000165425.1:CT868031:117336:119244:1 gene:GSPATT00033913001 transcript:CAK64437 MIQQNRVPQKKFTLNLHNSATDYIDRILSEVSGMKCLILDQETIGIISLIYSQSQILKKD VYLMEKIDAAASTKQKLQHMKVIFLIRPTQENQTLLLQEIKDKRFCEYYIFFTNTLSNFY IEQLAEADDSDLIKQLQEIYLDYYIVQPDTFTLNIPSTIALTKSVSQWNAKDEQLFQRVL EGLSATVYSLRRIPMIRYQGSSEICAKLAQKLSQTMREEYEQSQSQFMLSNCLLLILDRR EDPATLLLNQWTYQGMLHELIGIQNNRIDIRQGQKALNQAASIGKTDSENEFVISSSLDD FFAENQYSNFGELAQNIKDFIDKVTQQKKETVQINSLEDMQKAVDKIPEIRKMSGNLSKH VALSCELSKLVEERQLLKVSKVEQDIVCNEAKSEHQKAVFQMLEDRTIQTYEKLKLVMLY ALRYENCDKISRMKDVLRDLGVKNNSLNLINHLLDYSGKARRQGDLFSDKNLLSKAQQKF KSVFKDVPNIYTQHQPYFLTIIEQILSNKIKDNEFPATNLHYFRERPAEIIIFYVGGTSF EEVKEIGLLNKQPNSPNILLGGTYIHNSRTFLAEICQLAYENNIDIVVDKSNPRSDNKFH KF >CAK64438 pep:novel supercontig:GCA_000165425.1:CT868031:119287:121437:-1 gene:GSPATT00033914001 transcript:CAK64438 MHQMQQATVHQQKIVDLTNQGIRTVIDSQDYMFNWRNLFERIKQDKQVIRKPFQNAYRTQ EIVKITFNVYNKFQSIYVLTLRQSIDFNYKDNQGNTAIVYAARTAKISILNEIIKYKERI ETKQIKFALDIAIQSEQDNWDIVETLLQITSLDKPQHLIKSLQKGNYKTASKIIEKYGAS GQDENGDTALHVASRKGELNIIKQICQREFLFQRKNKQNQTPLDVAANEKIKDLLIMEET LFTKSPNRKKKQEEQQENIQKIGKQEEGEPDILPKMNKIVQKREQETQTYMKERKEIEVQ IPETIAIDQSFYNQLICESKNTLPQHKISIDDIVKQLTFEINTFTQELSKYLDEQKPIID KIVQLVDETVQNVQFKSRAFLYGSCQTGLNLLDSDIDIVIETVESEEIILLFKLAEQFKT TSFIKDVKVIENAKKPVLKMQCSKEFQDKLIDITISRNDHSGRKTANSMIEFQKEFKQFR SLALMLKFYFKSINLLNSYQGGLNSYCILTMILALLQIKRIRDNENEEIGKNFLDFFDLY GQDLDYYNKIINIVPSQSENMQIDEPNIYQQQYFQLQAALLQLVIKDVRNWLYWIFIIKI TILLVVHSRLRTLRYTYRISTQQNALSFGYSAILNAKKCEQPCFFSGYNKPVCCILKQMI QQSKNNHLNSLSKSKQPFYFFNNTY >CAK64439 pep:novel supercontig:GCA_000165425.1:CT868031:121526:122195:-1 gene:GSPATT00033915001 transcript:CAK64439 MKQVVATNEYSILRKVQMLHSHAQPKDSNFTLGRTTSYESSQFCRSLDIMVYRRASTKDE INYHSQSKNPSYRDDKLVIGNIAWINPTLYIEMDELQTKVWRSLKRQQLESVYSVDIIVL KNQSEFEFSFDISMLSCFLRVRELSVLINENYANRSNRAKLQVPYLSILIGRVKTQKLDG NMRLFELAHILLNGQRTLILQESCLQF >CAK64440 pep:novel supercontig:GCA_000165425.1:CT868031:122682:123132:1 gene:GSPATT00033916001 transcript:CAK64440 MFINSSRLDQTQYSQLNQTRIKIEEIKQKVDYAKDEKLKEQVKKILDEKLKEYGLVKNKI IITEITIPDNYFQEKKNVYNKGCLNQQFLLITQVGKKNLRDYQTLTNFNLKFDRITIQLF IIFNNYILTFNIIKDIVYSID >CAK64441 pep:novel supercontig:GCA_000165425.1:CT868031:124804:127010:1 gene:GSPATT00033917001 transcript:CAK64441 MNNQQKFHQQTKHNKHIKTNQLLIRIKLKRKCQKELAQLQEERGKKYGNYVLGIQTIRDN QKTKTFTVTYGCDKSAIPLSNIRFSSPKHKIQIFKHLYLIYGFLNKEQMLHRNLKPNNII LYNRQLLLTDFGFKLGNWMIDKINFLDKIDSIQQDNMYPYLSPEIVNILVSQNSFGHKRD ALKNRHQLSVKKQDQFAIAIMMLEVFIPLKDRKLATAKERQEQINDMNLSDDFSNEDEKF IKATILSLIYYKDFNENDFQNTLKVINEIEQQSENYKINKQELMTQEYDEQVKKVLANKD TQFNLKLLYHFNIKNLIDCQSIANNQENKELIEEITRFQLLYSQIDDLSLDTTEEHINQF TNEDLFILLVYLDEKIENLQDSQNKLPHLIMSEEEQRYRNLKQSLKKQYQRLNSLSKNQF TFNKILEKEEIKVNRNSVENNSHLNTDDIQHGVVIHQPIEYEQDQCFTPIYQIDDQSSKQ NLLETVRSTFNNSTLQIYFEINKCLQQRQKKAWIYMHIDHPNVIYFGEKKDNVFEGEVMQ FQEVKKIKMISHYVHVKIQLDSWNIQDIEKKSSIYYYIENRTPQKFQKVKEYSGQIKNGK NDGEGKEKDFIENMVYIGQWRDGQMFNQGIKQLINTDQILQNAPYKWEGNFEKNKLHGQN IKTYLLNYNNKEVWLEGSYQDGQKSGLHVCYMKRGINQQVQPFTLYRSLFFVFLNKEREL KLTCY >CAK64442 pep:novel supercontig:GCA_000165425.1:CT868031:127342:131295:1 gene:GSPATT00033918001 transcript:CAK64442 MILNDFFMIKTNQEEQIEILDKLYTNFYYIAQNIMPNFIFPLLYRNRTLQSHIVENVIGN DDILVTAGQNGELVIWKQSLNPRIVIYPNLSNAYGKLLTMNLVRLPFLNILDRAEQCVLA IHEDRRIRIFDCHDGRCVGISAHDSAGPVQYCVPLSSNNCRFLILGMQNGLSLFDLWKMK SVKQYECKVRSLCQLDINTVCVLDQENYLHLINLSPLNNERYLNFKEFKRKREQIKQDFK ELKLCDGLKKLKIEGISSNRIRHMSFNQEYQHLTILMHRQLYLVFDIFESPWILCVSQSK NNQKFDKVYYSKECLYITTRNGSVLGLEYKDIIYLLSILKEQSDFVYGFKEFQFTKIADS QFSRLQVNFQELITGSEDQKVQNGIFILKTQVYQYKNQCLCKYNLKDVNLDMSLIRINQP DSDIKFNGFKWSFNTFSDLIKIETLKQGCKQVEAFNFKEFGQDDLLWNLGQMTTKEFQTK YLPYINIDTQITCAFISVNEDSQIYWFGCSNGIILGFPTIYSSKSYFIYRIKMDEKAVTF IYQKGKYLIASSESGQFIIMDYSLVFNERMGEQQVEFLDLEPTQRIYFPSYAKKTIRIHK LENESYEEVQSNNQQQKFQKIAILMENNVIIIINFISWRSIIEIAWNQSIGFGVWFVVVQ GGESLVFDYKGRYLRTLMIEQYHSLFQVEKKLTKVKDKVISHHYISEFKREFNKSISKVY RLLEFQQRNNYIKMQSPYPSLYEVGGQFKHWQFEAEQAAKMLYLIDHNSVKSNMRMCKFV ENSIGEMEWWDLKKQGDNNNQNRLFLMLHPWEADGSIKYIKPTFSPLIGVQSMGYCVSFA FSRGWDVSPYFTSQRAMSLMHFYLSCLSKEAQVFNQLMIQTVELLTKKKQLLDQNFKPMD LFLLAQYTIDESNEVMNAACNLILSQIRKSDQDMQEQHKLIRELLELNKEQQGHTFSNVE VILLMLESYLTGMCQTLQQSEDLKRRVIQGLNLKNCIVNPHLCAPLLKVFVDVQQHFRNT YNPSDIFRNLFFIFFQYNTVIEYSEILTQGGQQIQSVNLLKEISKQSDDMKKRMRQLTAK SIIQVAIAAPVDFTKILNKDIVNLETHLFYPSSIIEIIRNYIKSQHNNIHKQLPILMDII LKSLDPNNPNLRKVCHRSATYALQTICKTFKCVCFHQQTQKIAIGDTQIIIYDLRTAVKW RVLDGHSGQVNCLEFDHTGKQLASFSDTDWKIKIWKVGSTGFFGAIIGIQGKPAKDMTVR QQPLDHPQIQWSQDDSKVYLMSNGQSVGQCQL >CAK64443 pep:novel supercontig:GCA_000165425.1:CT868031:131348:132704:-1 gene:GSPATT00033919001 transcript:CAK64443 MIPEHIIQKLKTKQGHYSIDVKESFIDKQRRLSEIERQTTLQKKHKEMQLFRQTFSSSSS TLSKPGKRIMIRTKGSSNQAIPLEQYQQSIPTPIPTPTSNIARKHHQSQSQQLPRLESES NQTLRKQPEHHMNTQHDMLNQLEPQDQNMLTEQIDPMQMQLSEENNNDHIQCMVEQHLSD YQAFVMHLAGKCICVQCPCGKCKCKFEYQPIKPNISWKSHYNQEFKQAPIKDQELKMNLD SIGRYQSLDLNEFKTTMASDYKQFDAFPNTIKEKQKYQATYGSTPMTSYNKFYMDYGDLH HEQFKQSHYKTVIPELKFNSSTTYGSEFRSPKQADTTSQKPPNGRPFPTIDLFLGQSQNK LAHDLKMTEKCYQVKNFQEPIQTIPAFEKQFVSTTKKDFVMKEVPCIRNQYSQQIQQQY >CAK64444 pep:novel supercontig:GCA_000165425.1:CT868031:132731:133258:1 gene:GSPATT00033920001 transcript:CAK64444 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK64445 pep:novel supercontig:GCA_000165425.1:CT868031:134153:137451:1 gene:GSPATT00033921001 transcript:CAK64445 MLRIILTLFLEVSLSYQQEQKRYCHNDENFYLGQQFIPFSFENGTKFENKLEQDQEILQL IAKVSISNLNDKRELVLKQFQQNQLELIFRDEDHYLCKQIAFVFNLQNNRLTHYEEIIIG QITFQLPLCTKFIRMYEINQQIFIFCEEELYIQLFVLERDKENNSQHLSITSTSIMNKKQ NCDYQLQYQSNIYLAIINCQDWIIYVVQNNNFEIFIQSDNLHNKTLKSFSVQEEILLQFE FDIYKIHGQSKRWLYTSQSQQIQSFFSQNKQYVIFFEGENKIELKQGNFDYILLITNEQI PKQVLHLGDKIIINYGAYLQVLERIDASYILHLSIDNLINDLLNYPFFYAFDKNEIILLK KGIPNPTLSCKEMIKSDSIFFIINDLFSPSKVFKFQITNENKFIQNLQINTYLRNSTFSF QLYKPLIAKRYFTSKIYTFDETKLNCQQQQIEEQFNCKDIFISNINWYKIKYYKELYILV QDFNQKLYIKNCNQNLLMELGRFENFEINNVYEIRNQFFIIFSNQSKILVINCQFNSFNL TIIESKIQITNIIQENLEVYLISNTCVKYVLINKQLSLYQFNQYVPLDGTCIKTQFFNDF LGYVHNGVFILRKNYITNIIKFENVSIVNIIKISTLQYPFIIHFKNQDGEYFSKYIFIHS QFIKLYDIPKLNYQYSSPLIYKIYKFFIIVAAYHNLGNHVLLVYYIDTDYLNILIDVIQV DNFNFYMSEEDILIYNFEKQIKMIDIKFDQFICEQSLFPEFTKKIEFSLNLTSSFNESKY QISTAYLNLYNLNKNLSPKRSKAHSLQLEMKESEFPLDPNLYIVGPIDKIVSFDTDLIII DPIQKLDQLSAQQCQELRNQICINSNRKQLILNPFSTQQILTLALENNYEIKHVIKFQDL IFILQKYQVLQFNILVYNTRDNTYFNFQIPGQCLEFINMQIHLNLYIILCPFHIFFYKIH EDSLEPFSSLKTECNNCIIMEITNSEQAFLYLENRNVVDSISLITFYDDIYHDYLNRTKE QIYKFVTEEYSTYTIQNMTLVNDSYYELLVMKQDIQGFLQYYIAVLDDIDGIVKLKNEYV LIRHFQL >CAK64446 pep:novel supercontig:GCA_000165425.1:CT868031:138133:139644:1 gene:GSPATT00033922001 transcript:CAK64446 MSSQEEDNQFDQEYEQNNQQIDEFEQRYKQNQTSSEDEEHYQQRSLQQNSKVEQLPRPQN KIEAIQKQLEFYKKQPPKTLAEECEDVINSKNETLKDRKIRELVQKNRQLLLSYEKEKQS RKKLEEQVNKALKETDEGIKALDKSIPKAPIPKADPLAKALKGSESQKEDLGVADDFKNK FKEADKKVQEQRVKLQQVKTELNKAMRIIQREVGENANLDQLLMDENGWKGRAQQIEILK SKVKDLNSKLGSSSQYSDVSQMSKQSKSEAKNNSDKQQYELLKSQYEAVRVENENLQQKI KAVSSRRQILEDQIKDVKVEYEKNKKILLEKSENDDKYIFALKSELDKLKKNQPQSETKI VYKPADDEETRKLRQELKYCKEEIGRNEQMIKELIAEKVNRSKQELPQQQQQEKAQTKPV QTDERVKKLEEEIKALKRERDEFFKTLTKDVETQKMIKDLTMQNVKLRNKIDDLTKK >CAK64447 pep:novel supercontig:GCA_000165425.1:CT868031:139671:143221:-1 gene:GSPATT00033923001 transcript:CAK64447 MDPSSLLSKAQNPVVVDSEIEGTTKITYIEPIRLQKRRVVLGVLLSVITSFLFTLACSWS KKLVRKFFFKESQMEMATHMWIINNDNSNNISKLMNKQNEIYFINRKLNYIYNTSMNAFK ALEYDLKTKRELLQAPGIQQPILFQEKYGKCLIDIPKPNLFVYLLKELTAPFYILQYLSC FLWVLEDLAILSIIMISVSLIFTTINFLLLQNSAKKLRNMAKSLAQVQVYRGLQPCNQQA IQFRKIDSKDLVPGDVIAIENKMTLPCDCVLVSGDLLMNEASLTGESIPIPKIPVEDLDQ PVSFMTDKRHCLYEGTKVLLARPKYQHVVAIVGRTGFSSFKGQIFRSVLYPKVQPFAFYS QGIKYLICLAICVLIVYFALLHRMITVGFSFMIIFLRFWDALTWIVPPALPIFVSMCQTY SLIRLRQKGIFGIDPTKSLVSGKINTVCFDKTGTLTTIGIDMFGYQLRNQQKFAKFILKN QVKSKNSLEFKLFATCNGTYEIEGDLMGDSLDVELFKFTDFKIDKNPPQNAKSRVVNREG IVLDVLKLYEFESALQRMSVIVKDTDDYYVFVKGSPEKMAELSMQNTIPTDFKKNLNVLT MKGLRILGFGYKQITQEECERLMNASRQECESDIQFLGLLAMENKLKHDTPQVINLLNNA CVDLKIISGDNPLTTVQCARECGIIPLGKPVLLLDYNEKEQQLSLDEIGVFEDNLNNNQS KLNSEIDILFDEVEDVNESSLDHQQIMNKLVQHLLTAQNIKRSIISENDNLSAGHCFAMS GKAFDYFWDQLPHEEIKKHKRQSFAGFEQPIDNVEQIKIQGLTDEELHNKLFASICLKAK VFARMRPEQKSMVIEKLQQLNKMVLMIGDGANDCAAIKQANVGVSFAQSDAAFSAPYSSA DDSIDCVRQVLLDGRCALQNALEVFQYYVGASVIKYIAAMINMSFGQNFADLQYIAINYI GSLPYLKSISLSKPSILLTKDLPNESMMAISNAAVLSFQIIVASFGLIINFLYWNSFEWD NEPSVVNGKFSKEGTIQTTLFKSIQIYFIMAVIAIYTSRPFKQKLVTHKIMLIFICISLS FTLWIFFTYQEWQYTVFKLYNTNTQHGRSYNFIQFLLTIIVGLVMLIGDEYFIKRVFPNN QNQIKKSRVRPSMQSYVS >CAK64448 pep:novel supercontig:GCA_000165425.1:CT868031:143375:143910:1 gene:GSPATT00033924001 transcript:CAK64448 MYISLSQGNKTWWTHTSLVPAETQNKVASLVDGVGSFQNKASLISTYLSLEAVNRIPVAK KLAIYFKAGIVGAVFLGSRIAAASIYQRNVQGEIGKVLDGAPVWENKFDVPELDKKFFFI DDDNNFEPSLWHHGINSIEKPKVFYKHE >CAK64449 pep:novel supercontig:GCA_000165425.1:CT868031:143910:144518:1 gene:GSPATT00033925001 transcript:CAK64449 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSIVDQIVNLAKKGLNGSQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDITSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK64450 pep:novel supercontig:GCA_000165425.1:CT868031:144531:145720:-1 gene:GSPATT00033926001 transcript:CAK64450 MYKFIALALIVSCAMGVQKDTKTVLAEIDADNFGNTILSTVQMYLQAKGNAEEILVLLNQ VLAGLVDDQYKHDNVIRVDRSACTRIVTDLENSIAYHTAQVAANAQMREDNEKALAEAET DVRQTIQDIESNERTFAQEEANRNKAHETWVRKNGEHDDAIAAVDEATKLVQHLSLGATF AELKPKFEAVQKRLIENESHGALFLTNSTKVDQKAHLKNSSIAFITQITIILEDTENRQA QRWAEFSTHLSNEHNRLVDRKNQLEQSIQTFKTNIDTATHFYEVHQLELEQAQETLDAEH EWCALQESTYETQTTERQRQQEVVDRILEHLTEKLTATSQYLGGRF >CAK64451 pep:novel supercontig:GCA_000165425.1:CT868031:145720:146314:-1 gene:GSPATT00033927001 transcript:CAK64451 MSYYKPFYQSAYDRTAPVYPPSQYGPAYYGPYDRPYSYQSRAPTRGEQWSEYIPVEQRYT DYVPETKVEYRPVEKSYTDYIEVKHETDYVPVPRLEKRVEYIPVDRYDEHVDYVPVQNSH VVKGPQSRAGYGYQSQYLPPPPPAPTSYSNYRYSPSRVSGYRPGATGYGYRYL >CAK64452 pep:novel supercontig:GCA_000165425.1:CT868031:147173:148279:1 gene:GSPATT00033928001 transcript:CAK64452 MILLFIIIGITKSNAPGTLSCLTFLSEFLCEEPGYCIWDGTKCLDYTQNQDCYRINEIGA CRDNGIYSSVGGSSLCEPLSKLENEYKNVCGITNIVDYNYVRYPIITTGFSTHSLSGLTV AQLKISAPQQNYIYQVLSVNIQIAKNPDLQLILDLYKTYEGELVKPYIHCPYQIEKALVQ TLQNLRDDTTSLSSGDKQATMMKFWSIVDVYLKRLQIHKKNYQSYNYFLNFLQCSFSRLF MTLKGQGHTITISWSKYKKNGLIQLISYSPKMFGITTALSDVIYVNILGEDAMAYTDIEN MKISYIQESGTLTNVVRKLKFITDKIQIPHQVMTYTINSAACDSTERECEFSFPSPLTNS TFTFYVEQ >CAK64453 pep:novel supercontig:GCA_000165425.1:CT868031:148624:149121:1 gene:GSPATT00033929001 transcript:CAK64453 MKHLSSLIYNPIMFMWLKVMVNANLLLFFAIKLQRVQYFQKVTLHLHFPFVSAKARQSAA NFEIKVSSNIGQTHFNLQSIDWQIALFRFVKFSPGYYQTNYYFLNFANSPYSRRELKDYR QLHKVGYNLATYSDGFMQVYSYAAKQFGALKTSLLRDQPTSIITA >CAK64454 pep:novel supercontig:GCA_000165425.1:CT868031:149524:149959:1 gene:GSPATT00033930001 transcript:CAK64454 MISFQDRFKGKMSYISKYGINQSPDIKQNSQILQQDQSNNKSLQKIINLNSKSPIKNSYF YKLPPLKNKIVDRTLNFNQQKQQIQTKKIYSADHSKISLKKYDSDVEQIQMKHLQYLNKI EQLYMLQKN >CAK64455 pep:novel supercontig:GCA_000165425.1:CT868031:151179:152333:1 gene:GSPATT00033931001 transcript:CAK64455 MKLQNIRTIDFSKANKQIKDLSHKFLQSKMLRKNKENFNPNTSHQDAAAKLLLEALQKPI LRHLRDLIRIKESVVCERNIFDEVCSMGVSENSDIGEGFKISFANLRIKQESIVDCESIQ PTNAPILLFMVIQNIRKKKMIISFQEIKFFILQRSLSSVNKRLEYAKHEQLRKIIVNKTK QEQLLLQVYLKYWSQQTQLLIFFDHFGSKIRKIYKNKLNEYLIALKQQIAKREAAQHLVK IINGKLFEYGQNLNRVKNNLHLQNCLKRVWIRQLRSSFQQLQSKRHRSPVIFELMNRFQN NLLRQSLKIFQLHVLRQKRRIKSFSYGFEDLNKIMNKLDYKLGFVKLQNKNQKQQRMLPT KYLIMILKRIQDRQKHWVLRKLNQ >CAK64456 pep:novel supercontig:GCA_000165425.1:CT868031:152483:153114:1 gene:GSPATT00033932001 transcript:CAK64456 MVRLEKLVYEQLVNIRALKRERIIGSPRKWYSEPRTPAMTLQAVKLFTSGWTGLIYKFVE PLFARFLYRWMRNIGLDRGVAMEDLVLFQDRELRRDPLFEHIQREGFHPYTWILFNKRRA RFSKVERGVRGSTAPEWLQAEARERTLADSVENIYEWDNYVYQNYMSDMTSNCKRNYIVE VITIGMVPLFRSFES >CAK64457 pep:novel supercontig:GCA_000165425.1:CT868031:153126:153611:1 gene:GSPATT00033933001 transcript:CAK64457 MYKGLEYSKEQLANIPKPFKAQLTTEEGRRQFEVNVNRFIDLYPGAIVREGEKILISKDS MLLKQSIIIETSANLIHL >CAK64458 pep:novel supercontig:GCA_000165425.1:CT868031:153688:155505:1 gene:GSPATT00033934001 transcript:CAK64458 MKKCEAKQHQKLAQFHSLLEIDSVKDQMLVSYQQDFQQLKRFYANFDPNYIQWLNEVYRM SFEPIYNTEEILRNVKNGLRNFEDTLKQNIRKNLIQLLYLQRPVPAIFVFLKISSTSFEI VYKEDFLVILHTMLQKANFDSQSDLYQKLYNSLIETNDEEIILQSIKLLNKKFELASQLN QKQFEVFDKISNQFNKIDFSESKEVIIQIVKNFQEFQEKLKNKRASLDQVKSEKFPQFVL KVVLMLLKEQEIVVPELLLFLCFKESQKKKKSIAIKAQVKEILRFFALPRLKPCLDHLKI DDSIFTKGASGYDRLYQLCEKEYPIFLNIKLAGALVSYIEYLHQTTHINTQQFLNVFDNP EFNNFLQQIWNICGKEIQQLLQNILIEDLEYTKYGSNILRIGTFLYRTKFKLEKRKVNIM EKGYDVEEQSLEKRTYGVTERSMNPLFKTFITDLVHRRKPFPLLLKTLKMDLYNLKVGYR VTEMRELVGDPKFKKHMKEKHGLVWNREDPEENLDHIIARQQPIKVSNNGKKYELNWPRL IKKQAKLIYDSREQLKAQLLDVYGNQNFNKVYNELIKLNDNNKKKMQTKEILMNLVAYIK SIKVE >CAK64459 pep:novel supercontig:GCA_000165425.1:CT868031:156071:159961:1 gene:GSPATT00033935001 transcript:CAK64459 MHEIKFGVDRMQPQNQRAINHLFDRCTIAVNLNLFSINQSIGPYLVIDIIINRAGMTNYN NEDVVTYYKMVNFINNSRIDMYSINVTSKELTTFTIIDKLIFKLQSLNIYNFLIYNSAIY VLSKELGVCYIRNQPYNYCWKFNDVFNVFSVYIQQNGFHNILLGSTTTSDIFKAYLLDDD TYKINQIYKLSKNMTFSQIFHNDKYSFIIGHKNNNTRRYFIEIYSQMDDFTSNLYKTIEI ASSKELFYFDSLNNNAYAINNKSIFIITPQVSYLTIDDGQCFDETIEIKAQVPSMELDTI CNFIFHVIKLEEGSQDIYDKSELEHSLIVLNQSINNFYLNNFVIGPNVNYYLYNTSLENS NENDFEKIKFKEQFTISYAQTMEITSIIYNRAFKSVIGDQYIQIIQWRDLTLQIFNCVIP GSEKDINCVYKQNFKLLDSVLQIVSGLQCSTECFVIRQQKRADLYIEYNNKFIFTNCFIE SQYNIQTIKLHLEKYLVELENNKIQIFLPTYRQTMTCNFEKVFEIDSLQLEELINTTRTI NIFNVKTNINIYNIYISTNLGLIIIDPGLLRFQQVQLIAFVQFNQQFDTVLQVIRDRIIT LQYKQITIINLVQQNFYYTEKTLPNFNFTITNITNSQVAFSQNYLYLQAKDANYTDVIIV YKVDEPEISSFHTYFIKNNNLDFSLIQKQDDEVFLIQLFEAQKLYSQVNLQFKSSQSKII KVVFQGFKSYAKLMDINVNVKTAEKQYNLSLLINETQSIIYDDSISDFIKLDGYNDFIDG SVSEWSIKCFSCQKELELINNINYNQYLTQIPNATQIKHTVDYVLIQQEQSIIAIDNFGM VKFYIPLPVSEYSTKCTSITANTWENKTKLVVSVCNTKSQAQFYITSFLSSLPVPMGPFY SPLVINQITHIRMLNEILFILDVVTEYVYLFNLTIDENQADSLRVMNRLNAREFTADKGQ VFINLPTIFAHKFIMQSIQITIEFIIYTYPQDFAYTLDLIFFLSEQGFTDLPSNIVPQSL IVAETAQKDELQYYRIIVANQNFHHYELEISIGYPDNSIKFKFVRAYMQYGYFYANGKIR VSEETQGFFGLIYQNPLDEKQKLLIVYDRFSKSQEKLRKILGGYKICSNNQILFDFLHFK KRNDEFSYGIILQDGPVLSSLTLSRYLAIKVNFKEFQTQNITFNASNDFGKWVSFKADIY NRAGQANLLLIMLSLAIVVFIVIFSSWLYVMNKLKKLQVKELDAIMEEEEIVKESQ >CAK64460 pep:novel supercontig:GCA_000165425.1:CT868031:159978:161046:-1 gene:GSPATT00033936001 transcript:CAK64460 MPTWFELISPFLIGGMSGCTATTFVQPMDTVKVRIQVRSELKGQGQAVNVNTLEIIKDIV KTEGPFGFYKGIGAALLRQVTYATTRLGLYRAIDDHYKRTHGRSMIFWERCLASSFSGFV GSIVGNPADLCLVRFQADTLLPEAQRRNYKNVFDALYRIVSEEGLITLWRGSLPTVIRAI AMNLSMLTTYDQIKDIIVSLHGKGKEDYSDKLLSSAAAGIGCAIASLPPDNLKTKLQRMK KDPTTGQFPYKNIGDCFLKTISREGVTGLWVGLPVFYTRVGPHAMITLLVQDTLTQMWNP PKPKN >CAK64461 pep:novel supercontig:GCA_000165425.1:CT868031:161498:162687:-1 gene:GSPATT00033937001 transcript:CAK64461 MKSTLVVLLIVVASASTVADITQRLSNYADHPFGSSMINLVSVNMKTGGSLNELKQLLQQ IKDELIALTQLQDQENATFTRRSQVDLAKLQATLEQAQSDLDNQRQEQASLTNELTTLQI RVKEDQAALDRNGRGSNDAQARLDSENADFATKFQDYSDAILACKEAQRLLLNLRGEGAS LIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLESNHKTQVDNLSRLGDDLRNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQK LSE >CAK64462 pep:novel supercontig:GCA_000165425.1:CT868031:163766:165149:-1 gene:GSPATT00033938001 transcript:CAK64462 MQSERIKQKKKVKHYEFLYDAKIGSGAYANVYMARDTTNDQTVAIKIISNNLIKSDYTSQ QINQEVEIMKSLNHQNIVKLLDVFHSTNNIYIVTEYCNGGDLKAYLIPRQLSEERAMEIF KQILNGLQELLRNGIVHRDLKPANILLQDGIFKITDFGFAKRVQVDSTMSSLVGTPLYMA PQILRRLPYTSKSDIWSLGLILYEMIYRTTPWHSSNVVELLNRLDNESLKFPLLPKIDIR TKQILIGCLGKEEKDRWGWDQLFQTILGCQQQKSDLKGIPISVKKTQMQKQYSQQNLAEH CKTTSTQASPIRSISTNRLKTGTNESNVMTELIKKKQFLYKIFDLMERQLEKLESLKLDQ CKQYLQGQRSRLVCANIEQIYNKEDERELIQQQKILQQYSINFGVQIMILLFKTYRKIMI SNYQSGSLVFDNADKQAIENCSVLIQNQFNVK >CAK64463 pep:novel supercontig:GCA_000165425.1:CT868031:165660:166105:-1 gene:GSPATT00033939001 transcript:CAK64463 MQSFYKLAFQTLSNFHSLGLVSDEEKSYLKEVILNWSNPQLDTSQDQMSILVLQKIQAFR NQAQRTQQTKKFLCLIEEETDEDENF >CAK64464 pep:novel supercontig:GCA_000165425.1:CT868031:166219:168750:-1 gene:GSPATT00033940001 transcript:CAK64464 MQSEDNEAQLISSSQYYTNNSNQQINNSNQLETPLVEENICQWNQNMPYNIADIPNPSLD NILKVLKYSYSKKWSYIGLGHNNIILLNLFQDISQTNNKNNHDFISTHQNIDNQNPLPHI LKLAEKAIQACLSQNNIQKTSSIIIQGISGSGKTQACYNILKYITIKSNSELLKYNTPSI EKSILSTRVILDAFGNAKTINNPDSSRYGFNLQIHFDNQKKIRGAKVITILFQGSRVIQK RKMERNFHIFYQLYIAYKRIQDLKSFAQQNKDYFPDIVKIVEEIEKLQLNSDFAILESHR DSDSDQQIEKDFENFKKLLLAFRDLNFSLQHILDIIQCVAGLIHLYEYNFTQAQELLKIQ VLETTINQKIQDSIQSKKLQLESIVSNIIVDFYFNLFQWIQNKINQNLSSGFDQNQQYTL NIFDSFGLDIVENELGMQENKLEQLMQNYVYEKLLNTFYNQIQYNAYNLFQSENLNQQHD TIKKNDEIISLFENVIFYCLRNFDRKQGKSIKDMIKEQAQNAGVENVFIAEPKMGENLTQ SQWSCYQKQSVIGIKHTGNEIHYEIEAVIKNNQTESDIIQKINTFSQNSIIKSFILQPKD QKITDETQQAINRLIGLFGVQYTFFVKCFSTNYEQAISSDNNVINTELMQSGIEQSALLL CQSYFVSIPRQEFVARYVNIFQTIKTEEQLKYYIHYYNIDKELYYFGSNSVLIKEHLKNS LADKYNNDLMCLHNSQNYDYYVQKVKEINEDQNRKCLQIKSDMQKRDKEIDELKQREKNL EQSNIRLKAILQKNFQNNDRLMSDLKKLQAN >CAK64465 pep:novel supercontig:GCA_000165425.1:CT868031:168806:172946:-1 gene:GSPATT00033941001 transcript:CAK64465 MEQSFLTKQVKSDNWLFSEITPLINMINGMDGQIQNSDLRNFVIKESDCEQILQELKEQI SSRLLVFYNLSLKIYTEKSFDIFSWLESASCLEYRQPRTLLCQEIYQCQIMPQIPQQIQI ENCCINLYWQFAVLFVSLFSSIQRILLLRTYLNAKTDQFVNLFVLRLRLISEYLIYDKAL RVQCISSKGESDSHSANINTLLTADLDQIMLINFTIRDTWEAILLITGCLIFLYHLDSHA GTIVVVTMLCAQVFNLIVTAVMMRSEKKMLEYKDMRVGLSTDVIEGMKQIKYLSWEETFS KKIMGFRNWEFFMLSLLKGFDGLCSIFWNNVSYVLLCTYIISSVNNGKSLSDLNIFSLIA VFNMMIFPLGILPWCINSGLMAYVSFSRISKFLQQQEIDPDDRFQLPYAKEGDVILIEGL LCEWPIEKNEQQNRALKKNQISDDVIVFDQVNENSQFLLRIDELKIERNTLNFVIGKIGS GKSALFNAILNELQKYNQYEGMYNSISIRSDSLISGERMLTISDQIIIKGNIGYCSQTSW VQNMSIRDNILFGLPFNQKLYNEVIDVCEIRKDIMTFEKQDFHEIGPDGKNLSGGQKQRI TLARALYQNCDIYLFDDIFSSLDIHIADQIFQKTVLDFLIKNGKTVILITSHYRYLNQVP PTVKSRIIYLENGQIINDRNIINEYLEKEQQPEEDKPNIEEEIEEALPGLIKQKSSKKSE KEQFQHKEEDDDSKNQEQREQGKINMNTWITYFSSMSWFLLIGWVIVNFFMQGSMSLIDF WLKSELKGDSDSWLHQITIHFNNSFTDTLLYLTLFALSITFVRAALYVSTALRSAWVLFV KLNKVLMESVMKFYDKTNAGRIINRIQDDTQMIDDDLSWTFHCFLENVSRVTGLSVGLVI LEPIFVLILIGVVALYYQVSKTYIKSNREIKRLKSVNQGSLLQTVNETLTGIKVIRAFDK NSLFCQTFQNKLQNWVITDQCGERSKLWFGVRLNLMSNLILICVSSFAILTIILDFNDDY SVQALAITYSMVVLDSFYDLFSLYLRMESAIVSVERVKQYFSNETENIDKVQILPKVELE IWKDVKEFTDYRIENAVTFKNIFLTYENVSAEAKFALKNFSLTIKKGQKIAFVGRTGSGK TSILNILFGLYHHQYGQIFINGMDSSKLTLRELRSQLSVVPQFGFLYNASVKENLDPQNK TTKEAIEKLFVDTGFQLRGINNTNNNSCNADFVISQNGSNLSNGEKQVLNFLRIVLMNKE IICLDEATSNMDPKTDQLLHDLLFKFAENRTLLVITHRLENIKIYDKIVVMEQGEIVEEG EYQELIKIEGGFFNKLMSHHQKQQQD >CAK64466 pep:novel supercontig:GCA_000165425.1:CT868031:173219:176229:-1 gene:GSPATT00033942001 transcript:CAK64466 MNDFIIGDNLELESFFKQNTNAIHEQNPTLRKTAITQIYQKVTDPNIAQSDSLSQQILLK YGKVLLKAFSDTNEKVREMSIKSMIELITNSEDNEPILSYVFSVLIERSNCQDLEGISHL PEVMRPPPSQLPTRLMKFIEESEEIRLLLIQFLYSIMCKNDSDNLHEYIDDIVDIHRAFL MDPFGEVQKEACKSLSKFCKVNHQMLLHFTEKMGRAILHPLVNKKSPVRIAALEALSAVL LCGVWKNNAFVFEILTGFKDPNSVPIKAFFEPMHHINYFATLIIDPKQQVRQAFIKEIGF WLRGLADRWDHHPRLVPYLLSGLFDIDPSIQEAALQIIEDVGKEWEIEKEKDIKNVRQLG IEPAWILDGKLSQLPLPPPFKERPKLGMRGFIKNQVRKMWPAIYKEIVDNNEENRLRASH LMTLGVLFSEDYMTQFLDNFIPNTIMAIKPGQTKIEKNVQLNLQKTYQLLGRFCDYTSYS FIIFSSMRGKYIRDEQYMRSATQALSWLCQGAIEATFEGIGTKMELLSNIVDLLCENSMI ESISTINHLEIQDLLNTVKKSIEKMGTQDEVMAFNEKYQYQLNLLDNVINYIQNFNAILL NQQIQQTQKLQYSERVRLLKVDNLKNDFLFGDSGWKNVISELIHQLHHGSTQAYQLTDLL YDFILPNSLPEPCDFILKLTQLLLQSFEQQHSQLYIQIILKLSAIAGQWLNSLQQKQFTQ KLLDNLLVTFKTISGYLGKKRDIGKAMSKDQLSLDYYTRTVIALCSCYQKFYRKQYNMIV VCVSEFCNLFLPYPSSKHLLDSKNQKFLLPNLQLFCFDAIDQNGGEQNQETRKHLFSILS YINQFIPVQETYLGDAHVIENYQKYLQQLLRLCIEEKDLQFQDLYKIMIKELCNKTRNNF LQELEIAKHNNQLSRVELLYVIINQ >CAK64467 pep:novel supercontig:GCA_000165425.1:CT868031:176420:177368:1 gene:GSPATT00033943001 transcript:CAK64467 MLNKITQVYRLSNLKLHYPKGFAKIFKIPHHATTSDIVQLIKQEEQSFQSVKITMGDKDM TDQQLPFVDLVLHNTPSINIDDVNFSFELDLNGCAPYYMNSELETAFGQLEIPYFDALVI ARFHELMVQQLNPNKQSHSPVEIESAIQKALAKFAEENATSSQFWQLQSTVLQNLDQVIV YYEKIVAEVTDEATKQGHKLLKQLIVFALIQYFFLLYLTYGIYDWGVSEPISYLMAQVME TVALFYFIKKGRAFKQTHLFKNKFDKTFYELINKKTNSTYFMKDLEFAKLKADLIQAKVV YGTTSV >CAK64468 pep:novel supercontig:GCA_000165425.1:CT868031:177509:178867:1 gene:GSPATT00033944001 transcript:CAK64468 MNSTTPQHSKISREISHSNRNTFLTFRQQYLGMEVLPNEPENIQQIQPLTYSETRAIFYF KHAINGSYIGLRNKDSTIYALQKNCTLVFISLENDSRSQEFKPNCLQLLIDKDQSHLAIL YPDSIILYNTESDQVETVEYNYTDAVTDIRLTQKYILISKGLQGMDMLSFQGELILNNFM ANKNILQASIHANRLVLLFDGGLLIFNFKLSPRLINEIQIDECVRFEHNNKLFIIQTKTK ILEYFWKNLLVNQEIITNSEVTSISLHQEYLQFISNGRLYQKLVGIIKDDFNLSKINVTA YETKSTRIVGYQEKNQLILQNDQQFEIVFWHFQPAFLIFKPKTTGLLTCLVDLYQKDTRS FNSTVEIVHYKIYIEVIEEEITTFEILIIMVVILSLIILFYIIRRIVLIQILKKKTQKEK KDDPENESKTDSEFKVVSESQPQEEVQALNTK >CAK64469 pep:novel supercontig:GCA_000165425.1:CT868031:178980:180629:1 gene:GSPATT00033945001 transcript:CAK64469 MSYQEKKDVTDYLVNVLRWTRDQVEDFGPQCQWNKEKMIQTYNNFYVPNIQQGRPQAQKL YAQDIQQEMLNQNDIDDQEQLKIGLMASQFQQDNTPIRDRLKHDYYPVGIQNLGNTCYFN CLLQIIFYNPNLVESILQYKQQENNQPGDTLQQLSQNFMTQLQHVFAQQMLWNQKYLKPS EFYDAVMKFEMQKQNYKIVQNNEFRDLSEYFNCFMELIDQTLSRNFNKLNDQINFFYNED LEKQYIIKQDKQPQLQWHIGIQNKQIYNFLYKEIIENKNFIKQLPHNLIFTIGRTDHLNK KIEEEFHFPQELHLDFVLRDQQATEHVQKYINQQLQTYKDYQQLKKQVDAFEKVVKHYES LPTFDTFILNSLKFEHKQKIQEMKQYFPLNYHLLNQELQKNSKHLYQLAQIVAHTGSINQ GHYYLYQYNFHMKKWFKYNDTVVVIQQEQEVISDSIKQGNILIYINQEQKKKVEQYQKQL YDIETIKKARGVQLLQYDNHPYEYLSKIPLKIRKEVITSNETFQNRYNEQHK >CAK64470 pep:novel supercontig:GCA_000165425.1:CT868031:180707:181650:-1 gene:GSPATT00033946001 transcript:CAK64470 MGKRIRAQRKGKSNSVFKAHQNQRVGSPQYRHLDYAERHGYVRGVISEIIHDPGRGAPLA KVEFNDPYKYKKVTKLFIAPEGSYTGQYIYCGAKAQLATGNVLPIGQIPEGTVVCNLEEH PGDKGALGRATGCYATIIGHSDDGTTTRVRLPSGTRKTLSALCRATVGLIAGGGRTEKPI LKAGRQFHKYRRLRKCWPKVRGVAMNPVDHPHGGGNQQHIGHPSTLSRYAPPGQKVGLVA ARRSGLLRGGAQLKQMDEDLAAQQAKK >CAK64471 pep:novel supercontig:GCA_000165425.1:CT868031:181776:183356:-1 gene:GSPATT00033947001 transcript:CAK64471 MYLVHQSSFHWFFQRSPTFDLHFNNEDLQLTTLLDDDNLITEAQSQNEKILDLQYNQQIN SVQEDQVLELIKYITEMPEDDSNSKRAYRYPYYSSALLCFIVKGKPQYFIENDKRLLYKL LNFFSQDQDVNSVLAGFVINVLQNIQEELLVGECFQNPQIQEGMIRQLQSRSVSDFLLYL LQVQSQQYQNEKVNLLQSILKRIDDSTNFEISSNVSYIIQELIKVEDYQQWYMDIIFGEF INKLCQTILFKDNFISLSSSTILFNLLQFLKNTYQKQYGSKVKGPEIDVNMVFSRIEPYC TDWIEYLLNQKSTILGQQKLKLLEIIGVGVSLNNQQFINRLYQCNIFKVYNQLFLTYEQH DILHFQYFNLISQTIENQIDILIKNLFEENQFIQLLIQCTNKVDNQEKQRKGQLGFITLL GIFIVEKAENYEYLQQYLQNESWQQFKTQYIEKAQKINNFELGQDGIDQLYKWKEFQLEG DTKIELIEQNKEQSGNSNQETI >CAK64472 pep:novel supercontig:GCA_000165425.1:CT868031:183387:184423:1 gene:GSPATT00033948001 transcript:CAK64472 MEQLADQLINQNQYEEAFDLYQQCEQTSPVLIKQAQLATKIGLFKQAINFYQLAYQKGEK KNELLIKIGKLQTQIANYNEAIQTYNHILNVDENNPIAMGELGYTLYCMEDHIQAISQFT KCSKYISIIPKFLSKLGDELKSEYKYHTAKLYYLTAYQIKADFKDSIFGLIETCIQLEEY EQSLQYCQILLQMDPNCIQANLRKLKVKILTNKIEEAEQIVKQLDGISLNYQTEYKLIDL KSLILFKKQQYIDCIIYLKDFIEQDQYQIKLIVTVAKCYYKLESYEEALNQLEMVFDVYQ HYYPALQLQCNYTLLVQMKY >CAK64473 pep:novel supercontig:GCA_000165425.1:CT868031:184476:186465:-1 gene:GSPATT00033949001 transcript:CAK64473 MNGIAYPFDLLQNEEKLHLFQNIKAKIYGQNDAQISLEPIPGSLFITNIRICWFNFPKKM EELSPQERQLVEAIQVKHGQKKELWQSLNLNGKSFMFIYPRLLSHGLQGNKLRCFIGELE AEEDVYIQQSKSTGLEDFLEQDNPVNIVDLERGKYQIEFDLQLLDKETQDEIFDLVTEYS INQQDSSDSEQIYINKLINQQLENKSKMIDEGINIQAKIYGRPSQIRKPLFKIIKRVHPD QQCKRLLLRPNGNIIINNVDQGEFVILRDSKLFVNCQNFISIPKDFEYSDWTVYEGGIDG FHFLPYGKGVFKNKADRFEGYFADGFASELCTLERTQNSSKIKCYYSWGQKHGKYEEENN NLIIEGFFENDLKQGLFTEKQKSTDKQERKYYKNGVKQIVDESNYNNQQRKLGTTTRYLF NHQDYSINNFTFKGLNYKEWLNQLIIDYYLQLVIDYYKDFLAESIYHLNTIECQDIFSSY ITQKDQKPLILPKLLEINCLISDIKKLIFIMNVDRGHFLVLVCQNQQLFLLNSLNNDQDQ IILQKVVKIIPTINKAQNHSEKLKIVEVTQQQNSFDCGIHAVYNTLLQYKYCDKNVNEID YQTTKKMMENLRIHIKNVLINDYAHLLPQISDSKQNHRY >CAK64474 pep:novel supercontig:GCA_000165425.1:CT868031:186499:187998:1 gene:GSPATT00033950001 transcript:CAK64474 MFCLCGSKQENKEQEHAQSTNRTTLQSKMRLNNSASPNSISIAQDCNRVSQEYTDFLCEY EKSQQEKSYFFDEYECSPYQKLEESKTDYEESMLMHQGDGLLVKSIKVNQQSIAKLKDTF TAFIMRILYDIKSFFDITPLQEVKFVKSLVPNILTELTYRRVKNDKLLIAHDPTGSFLLK HLSSSQQIYFIEETQVFRPILEKGIEVLNCQNKLHFIAKSNITAKLDTAIVNLLFLHDIP IYSVIWEYLDLAHDLILILSPKLEYHEMISQLRQGIKNSAQSHIYCSVEIQSVYEYNKLI AFIVYYGDISEIKQNQQLHFLYKCISKTVQKTFKYKEFLKNLRSQIGVMKLVDLFSLYGL LFDSSDENFKRFYKQVKKEYFPTIKSQKFIQVDSIKSSTESESEGIQEISSVNSLETEFK SYSKSVSIHKSNYVYVHFHSQNSQKSTQQEEQIQISQ >CAK64475 pep:novel supercontig:GCA_000165425.1:CT868031:188163:188932:1 gene:GSPATT00033951001 transcript:CAK64475 MKTKPKMNQSTSAKKLNTQLPSDSLRSSQIKEEIRDDLTSWDSRFESTAIDYPFSKYMNP NKLTKKKGIPDTSREQSARLKKSNTNSTLISSPRLMSPKEQVEVIHYLELKNKTLLEENK RKEHLIAKLLKNKSPPKENIKIALQYPPKSAELCSPATRFPQLATPQPNEFQVPMFQKNP QVKVIQNDQNYSFGKLQDEERDNKTKSQFAKLVNGGGNQKSIIKTNFSKPLLKLPKEFHL QSWNSIKK >CAK64476 pep:novel supercontig:GCA_000165425.1:CT868031:189302:190943:1 gene:GSPATT00033952001 transcript:CAK64476 MDQNKSIPEERYQLEIFVKAKNLKDMDTFSKSDPFCRLVHTIQGEKEKCEGDTEFLKNNL NPCWEKSFVIDYIFELNQKLVFQVWDKNQSAGSDDQLMGEIQTTVADIVGAKDMKCEFEL KGKKGQNVGTLVVNIDKQPEDNQFLQLTWIGKDLMNTDGFFGKSDPFLKFYKRVGDDWLP VHKTEMIKDNLNPEWKQFQISFRRLCGGKLEQKFKVECWDVHEKGTNNQYMGHFETSIHE LINDNKSEFNLEYQANKSGGKIILKEKKVIKRPTFMDFLRDGEQINLIIGVDYTAQNGNP NFPDSLHAFKTQNGNQYETAIRACAQILLNYDYDKKVAMYGFGAVPHLPNYNVNETEQCF PLTGDFQKPEVYGLEGLINLYKQTLPNLQFSGPGCLGEILNYSKQIAEFNAKKKIYTVLL ILTCGQIHDLHQSTEILAQCANIPMSVILVGVGDFDFKKLEFLDQDQKQLQKEVLTRNVV QFVPFAPFSHSLPILQRELLAELPHQLTDYKSLLGLVPSK >CAK64477 pep:novel supercontig:GCA_000165425.1:CT868031:190985:191969:1 gene:GSPATT00033953001 transcript:CAK64477 MSNLSQQQQSSLPVIKNKLHVGENLMYQIHKRALQEYLKSGNIDQRIVSMNLLNVSQLKN EELNVLHQSLSTKNQKLEGDAGKILSKFRPKVKSNGLPLYFKKPDYSHEDQELNQLEEHL KIVLKSKLNTCKVFNGTYLVDPSIQDLQRTNQKSKPHQQFVYFPAIKRQPSQGNLRDTQQ IKQVVNQRLHDSQLTLQELRERYKESKLSDFQKGMSANCNLYSNKRKYPKTSLIVQIIKV TTLFTWLSDKRILNYQTDLSVLTRKKKSIKQLLEIYYNDDIYELINGKKKGKLQL >CAK64478 pep:novel supercontig:GCA_000165425.1:CT868031:191997:192955:1 gene:GSPATT00033954001 transcript:CAK64478 MYFSDITNLEKSFRNIQTKSVISQNAIPATPMKKHQVNYMDTQNLQFEWIQLDDYEQEIM NESDSNPFQYSYYEQTQWFQNYHLHFSYPLKLDHMNTQESLKHHSICEFKRNKLLCLIGY VLSSYDNTSNETYFLTISIFDRFLQKYPYNLSNEELLTIGISCLSLASKQKDIYCLTSQQ LVQLSACKMSILNLKNYTILNRNAKLFQKL >CAK64479 pep:novel supercontig:GCA_000165425.1:CT868031:193102:194098:-1 gene:GSPATT00033955001 transcript:CAK64479 MDYEDNSINLYNYIVNRKPEHPQSINVTARPLNKRTRTFSFELQKPSPFDVQKKQLRFGS RQGTRLCIKKEQQIRRRSCNCTNCGHDNTKIVHLMYKGAISHQQLEYANQQNKKKQFIDD AVLFSKRLSRRLNLFKIKFSSTNTNFRKRCNSCDTHIPYEQLMQAKQIHQQLLQRKDTHT KTQLRHNFLVKRQQTINDKLLLIPIKTLKTVQDTQVQIKSIPTQPTNTPSKAPKKKLNNK IFIPVTRSILPQLTPTSKFTLSTNKSIDFQLKYQLTQSIKQLQRSTLVSKKNSLSNITNP YRNISSLDYLLKVRRRQVNNY >CAK64480 pep:novel supercontig:GCA_000165425.1:CT868031:194245:195595:1 gene:GSPATT00033956001 transcript:CAK64480 MTEINKYESIITILKNLNNPKLASQYEQQLNRLPFFSFYKQVLKTDTFTQSAFKYSQLLY LKKGQVYKHQADSVESVLILLEGSMSLIKTDQKKQKLVTKLQPPEHLFQAEIYKKNAFSK YKIMALTDCTAISLSFISIHEISVYGFQFAKYKEEVDYFRIQFPDIKPIEFIPFIKKLKK ENFLNNVKIYSANQSANKVYFIISGEVEIYAENEDGKLQRVTIACDNDSFGEEAFSSSVP RLYKYTAKTQYFKKTTAFVFEVKIKLYQIDDYCHTYAPNLIKQLEDKMKLKENLILQKIK QTQTQTSTLPTIIHGSLKKPFEQFLHIASPRSKASSIKINRAISLNDGGLFNFMELNNPG KNHLRLFEQHVNRQLIKERHEEHGSLSRDRTKSVEFQKLWSLNQDSKKLPQIKQH >CAK64481 pep:novel supercontig:GCA_000165425.1:CT868031:195816:198641:1 gene:GSPATT00033957001 transcript:CAK64481 MQVRLQGKVILPCNIHLIPRKQIILIQSYYRMHSCKIKYQKRKKWARYRKCVIQELVDSE FTYLKDLELIIVKFFDPLQTILTDAQSKLLFRNLKQIYLLNKQFLFEISERFSNFTQHTC FGKIFDKYVQFFKIYFEYTSGFSIEVVSSLRKEIPKLNDFISELEEADIFKGGNLESYLI KPVQRLPKYVLLLKDLIKHTWQSHPDYESLQVSVNKFIEVNCKIDILMDNVLKNQMLFEL QKQFFDSINVSIVESTRRYVQSETINVLVSKQQKSVIVYICNDMIILTQRNQNNLVKQHE KLYEYLYLNEKSYCKNKPETQYCKFLFTVSSQEQSITFICQDAEQKQKLLSLFESLINDI KTKYQKLEILQECEVQSIQVFAKGTELRKSLLQSYTVYVMFISAGSQNLTLLTRYSSLIK LENMIRKQFPDISIPHLSKNQWASNKKTQLIEARKIIIENFLEAVLNSPLIKQNPEQVLK YLELPPSFYEAIASSPDKSSVERESLRKTMKSSSFNTDDISLSETLKFVRNTNTIRQTMH HKSLKISNQTINIKIMFPDEFYIFLPISPELRVADLIEMAAEAIKLQSYEDFKFFLINDQ EVRILDDEDCIPQIPLNKPSNESVMSKFTHLFKEREKTNTKLLLKKYLYLTPKQEKKDYQ SDLVRLNLICHQAFDDIKNLRYQLTNSQYQMYSIYYLIIKYYENFRHIFTKQSLPINLVK QLIPDKVYKQVKEQTWVQEIYVAIGIVKQEIEQIIKQHDKNLQGIKKLEQQFQYITELIF MESLQQNPFYGLQNFNIEIPNASKEILQRQFKCQLDTYCTLGVKYDRFIIIHNMEKKLEI FLSDIVNLAPFPFYFQFQIKQIQDEKFIFKTSNGLEIQKLQELYSNISNNL >CAK64482 pep:novel supercontig:GCA_000165425.1:CT868031:198678:199552:-1 gene:GSPATT00033958001 transcript:CAK64482 MKQFKVSCGFKDAMQNQSKDAKSCSMKFGKVQGIHSGIDENNLQQVNQHLINHFSINPIV NAIEQPNNPLQKIRNRSKNKVQSQVVHSYQQVESFQNSYQSIELLDEKNKEVNYYKNHIQ QLTEKLQKLTEYFETVKNENQSLKNQVDLSQNYQLIISNQQQQILKLQQDNVELEMILKN ALLQQERQKFQQQSTKQTMITKKNQLDGQKLKLFNYQSFLSEQMNNSNRNARLISCPDEQ RQKSHNEPKTNNQSILTLPDYHNYSTDQQQMLIQGKENRKTKY >CAK64483 pep:novel supercontig:GCA_000165425.1:CT868031:199768:203289:-1 gene:GSPATT00033959001 transcript:CAK64483 MLSFIWILIVQQVNAQYCECNQQQADICLINQDCQLLISHLNSTISNLNIIQIENCNVFI CLQKLVNKAVLEVNQLIINNARIEQNDSCDIILHQQIVISGNIINITSSRISFFGYMQLS FSSQNMTLNSSKILSNTMNICAFYLTINNSSLTSLMQNKTQCSCLNNLNCSDQAYVKNLF SFVGFITQNYTNLTAQFIEEQWLAQFQCKYFTLKNLTYYTMLISVSNETYLTQHSKIKGF YVGFYSYSLQIDKTSQILTDGMGYSTNEGFGCGYTDKIIGLRQQCGGTGGSHGGMGGFST SPKSNYNQLCLQLQSKQIYDYSFNPVLPGSGGGGVTYGDWFEKIEVQGQGGGVIHLEVLN LQNDGIISSNGTAYIEEPFFGSGSGGSIQLRIIYFSGNGYVTADGGGSQYEADFNTYEFA RQKFYQTYQVYGGFGGGGRIRLFFFNISEMINRNQGCSNTIQTRPGGLTNQQNSVLNMSN QIITKFHGSITPTGCPQGTFGNYCQQCIPGYYKMLYGQAPCQPCFNTINNQNKTQYLNVG EITPFCAVICTDGKSPKEDQCLSGLAEFSQKLGGQNVIFALFVIIMLLLINIAIVWASRD KNQQKSRQFYGSYDDSTLQQMTSSLSELNNKTYLISQDIHFHVRRIYLAGNNTYQNPWQI YQETLVDSDLNQGDNKRLYNLFETFNQRALFTVFEKYILLFFKFYYYPLYIWILQLIQVH KFKTLSKLFVQQEYLFNEYDPERDKIKLKFSCSKDKTLAFIDRLNLALKIVEQSNTLELP IYLVLSGYGTFPYPFQINIHDALIKRLWQQFSFNTNEESINLFEKFVAEFNYYAVKIDFR QSQSSFTKRFSDLLNYTNQYNYEVFRLHHAIQVDICIHIIANELSAQSQAYLLGNANQRE LEFLLKQIHVMKNLPEEFTIKLSIIFNNYNKDRVVNLEAFNNLVYNINEQLQIIITQDKD DLRNQIQQEKKKMQSKINRFKIDGMKQYKLKGIHVVKRFFTWLLSQITRYRDSRVNQQFL IAGICIVLAIQWCFVIAYPVLLLIVYKMENDQIFLAEFITQSVIFPAAQIISLIILSAWL LKSRRNYGKMFLLFNLCALASSLIEFIFGCYDLFNFNSSAEYNLFKLFPFFFILLSSHLV CLQMNFNELNKIKYFEI >CAK64484 pep:novel supercontig:GCA_000165425.1:CT868031:203327:205487:-1 gene:GSPATT00033960001 transcript:CAK64484 MKQYQTPNSGHSRQGSLRVQRQPLAVISNCPEFSQCANYLQQKVQGLEQRVKNLNSQHAE RPENRSTTTQKNESSLSPFVRQKKQCSSNQKVDSQSYYETIINKRLENISKIDQSPVHVK TDNTVAQQDKYSIHSQFSNLGPYSGKLHTNSIVRIQQLTKKLRKFKMIKDQNQDECAPSL AKIKCFCQEYYNDCFDFYRCTDLIALKYFIYLLVQEINTLFSEDAFKMADNSIQYQQQIE MLKEQLDNQKSDQVSFQNQLKLQKMVVDTQKLIQDIINVLQTKHNQSTLINQVEVLNNQM KNIKENMSTNQLQYQNATSFGQQLAKCGIDVNYQQRVTNDTSVINQSNFKTQTADERNLT QFSSKSKSPYSRNQKNLNFDLEKQIDMNKKLLDEQVVTNRQLMEKLFKLQTEKQQNDQLL KQVQEELKYRNQIIVDLENNSQKDNIILNEMNQNLEKAVQQNKELQKENFNYKESIDQCK KIESKYYDLLQQNNNQLEQLNQVVKENGALQKIQQQIEGEYKGYQQQILKLQEKCQAQYA QLQDQSDTKYLQQQINELLLQQQEQSKIITLITDEALYLGQMTLYASDILSRQPTDGIPT TLVMLQKDLNGKRSTIQQKMSILQQFGNNMKNQHLIAQSSSSSQFNDNVDLLENLHSEAS SIRQKNVSSYEETASTIHNNNIQSNDLMVMLLVQCNTLEKMMEL >CAK64485 pep:novel supercontig:GCA_000165425.1:CT868031:206698:207114:1 gene:GSPATT00033961001 transcript:CAK64485 MGNSCKQKKDTEYEDTQQLQIITVENGIQGINTTIKPDSQQLKLSKESPQEGVQISNIQV IMIKPRNEEEGAQILRDLIQFGNKIIVIPKVTEDSPNVSAKSLSKKGILKNKGFQLTKLS LHSCNQQKRDRFLRYENQ >CAK64486 pep:novel supercontig:GCA_000165425.1:CT868031:207615:208046:-1 gene:GSPATT00033962001 transcript:CAK64486 MQDRSNIIEMKQMLKLLQQINQLQIQNDEPEKQNTKDSNDDQIINDIEIILNLDEDQAEQ TEKDDKLSENETISNEHLIQTSKQNLIEQRKAACRVVFNPKNNSYGCSICQTTYKVLKNL LNHQQRFHNKPKKGKHRHQIPIS >CAK64487 pep:novel supercontig:GCA_000165425.1:CT868031:208268:210381:-1 gene:GSPATT00033963001 transcript:CAK64487 MGNPVQNSRDQYQQVLKLLLHDLGDQHDKDLVEGEAVDFIWAHGKTEANQTVSNHGNVNR GSVVLNFTDDGGSNDVIIVDGDNTYYFHKWTNFVCWGIASDVAIIVGRYYKTWGYRTYLH GFLFILIVTSSITTALMMLSTDWTVLEWINFKEQSIKNKFHIIIFMLVAILMIAQSIGGI IYNYMLSSLKVNQQVSVKPSIHAIMGSVVYTLGKLQIIAGLFMDNDVRLMLILGAVFTTR LILEILYQKGSLVNVIMTGKDSNSSKVYDDGYNPLLEINNSNSDDNFEKNSSKLWCIYKN QVVDLSQMIHPGGNYIWKLIQGQDVTRYILGAYTLDSLKIKTHKHSIYTLKILEQYTTGI YVNSDLEFFVNTANHRVVKQLRETWKLNTICPYTDQIAYFGFINEKYQFKNTLPGLQTFG QYFVIKSIEDDEISTRQYTMVLSMTYQRTKFRKDLSDLFKKILSLQSIQKEIPKEEEYCS ELPLIIKRYQTKSGLSSFIHEDNRNGQYQIEGPYGNNITIENGNHLVFIAGGTGLFPFLD ILEYQLKLTYHKILLKQFGLEAAQIINTGVVKNFKITLFLAVNSAEDLIGKDIYFSLLSL QSQLDIPNFTMVVKGNFKLKECEIITQRFNAQVFKSSIGDLNSVTKYFICGPPTMNFTTE KILKEAGLKNITVL >CAK64488 pep:novel supercontig:GCA_000165425.1:CT868031:210475:210791:-1 gene:GSPATT00033964001 transcript:CAK64488 MINLFILGLIEVILCTNKFYLQDPSNDITKPRTHAKISDSDTHFDFYFEFSEDKKEVIMF IEIDKISYFSLGLGKSMSDADLWVFEVYENVITVK >CAK64489 pep:novel supercontig:GCA_000165425.1:CT868031:210960:211925:1 gene:GSPATT00033965001 transcript:CAK64489 MYQKIKKNKQNPIQYQNLNPFKEAEKKYKFYAGLQTDFSELIDVNNLNEQQMEQQGIHKN GSVYQFDYPQGVIQVKGFLNLDDQIRISKLCMNEYINQPYRTNLFIYKEDENFDKFIVHD DKRYHFNNKIRWANVGYQYDWNNRQYPQEKTQVPDPIQEISQRANNFLQLQNQYQSESVI INFYQSHDYMTGHLDDAELDQDSPIYSFSFGLSSVFVIGGPTKDEKPIAIKLDSGDLLVM SGHARKCYHGVPRVLADSFNPKQYCLIKHIRNPFQQQQLDGNVNADFHVFNYLSEHRINI NTRQVYKPQLEQ >CAK64490 pep:novel supercontig:GCA_000165425.1:CT868031:212089:212962:1 gene:GSPATT00033966001 transcript:CAK64490 MTDYLKAVIETQLIGAFRVAVTLPLEHVLDRIKTYKQSRQGITYLQSFKDIKGARGYIGL YDGFYPNFLRSMFKQYYRWPMMIFIPSFLKNYIHDQSLNKILTGASIGLFESCIITPFER LKILKMTNMAVGFGYLKYITFDQIYVGFRILTSRQIVSWTNYLYWDHKIRYALKTDASQK LSIWNVLVASTLTSVLNIMAGNSQQVQLVHPFDTIKTLVQMEGNQIYSKISVIESFKLVF NKYGLSGLYAGWQARMIGYFCQATLTTPTIDYLERNYGIAKQ >CAK64491 pep:novel supercontig:GCA_000165425.1:CT868031:212997:215985:-1 gene:GSPATT00033967001 transcript:CAK64491 MDDMNNYDEFGNYIGPEIDSEEEDNALRMTKNMQQQIECTYLINKLQSFLMKTNNTIQMH NKQEDAQPITQPMIAPLKSKEFDIQETQIPQTTFDYEFLCRISKNPALVRNVAIVGGLHH GKTSMMDVFVKQTHLKQFSLQKDIRYTDTRQDEQQRLISIKAIPMSLLLPNSKDKSYLIN LYDTPGHVNFMDEVCCALRASDAMLLIIDVIEGVMMTTEMLIKAAVKEKMPIVVVINKID RLIIELKLPPSDAYLKIKNILDEVNIIISDNGGNQIISPLNHNVVFGSGLFQFVFTIQSF ARRYNNFLNPEQFTRLLWGDIYYDNKEKKFVRKMSPYATQRTFVEFILEPIYKLFGQVVS KDKEQLEPFLLSQGISLKKSEFKMDTRPLLKLVCSIYFGNTSSLVDVLVEQVPNSQEGSK KKMELYYQGDKSKQSYIQAAQGSHKGPLCINVVKLYSRPDCMSFDALGRVVSGTIKKGQN VKLLGEKYNIDDEEDMAIRNIKNIYIYQGRYRVEVNKVPAGNWVLIEGIDQFISKSATIT EDSQQMDILRPIQHNILATMKIAIEPLVPSELPKMLEGLRKVTKSYPILTTKVEESGEHI LLGTGELYLDCVMHDLRKMYSEIEIKVSDPSVRFCETVIETSSKTCYADTPNKKNRIKAL ATPLDKGLTPQDKGLAERIENEEIDLSWPKNKLTDYFKSNFNLGYNLEQICKTGPNVFID DTLPSETNKQLLTEVKDYMIQGFQWATREGPLCDEPIRNVKFKIIEANIANEPIYRGGGQ IIPTTRRVCYSSFLMATPKIMEPMLLTEIMCFQDCIPAIHNVLLRRRGHILSEQAKPGTP FSVVRAHIPTIDHFGFETDLRVHTSGQAFCLSVFDHWSLLPGDPLDKTIVLKPLEPAPSN HLAREFMIKTRRRKGLNEDVSILKFFDDQFLIDSLKQDKDYQQYI >CAK64492 pep:novel supercontig:GCA_000165425.1:CT868031:216001:216600:-1 gene:GSPATT00033968001 transcript:CAK64492 MADVQNQRAFQKQEGIFQNSKKLLAKKTSKGVRYWKEVGLGFKVPKDAIEGNYIDKKCPF TGNVSVRGAILKGIVISTKMTRTIIIRRDYLHYVAKYNRYEKRHRNVPVHISPAFGPVKE GDIVVCGQCRPLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK64493 pep:novel supercontig:GCA_000165425.1:CT868031:216730:217609:1 gene:GSPATT00033969001 transcript:CAK64493 MQNQIVLLQLKKQLEETIKTQQLLKKLPTKKLVQFNSVMYLEAKLPKQDKCIISLEADYA AEVSLDSAQQILQRRRIRLEENFNNLREKESYEEMQHDIGNKIDEDKDQSKKRVKKLILF KNQREEEKLQLKQKQEKERLEKERLEREKIEKEKQKQNQSMGQVTQLADGLVEIEEKYVQ EDDCNEAQINEEKQQLLKLISDAFYKEKYDDYDRYQLQLKELNEKLSSIKPKTEIPQQKE AVQAKQQLPTIQENEPNDDTQQEEQPKRISKFKQDRLKQKKG >CAK64494 pep:novel supercontig:GCA_000165425.1:CT868031:217643:218053:1 gene:GSPATT00033970001 transcript:CAK64494 MDLSAINSNFKNFLEEVGSYYSVVDDQEVQILRKKQDECYKNFLHVQFEYTKCVQQIDDK YTDLNKTFKFKTEKAAKSYKSCLQHKKVEECHDRTWKHLHENMKQYISLLRRIDTQTIKY >CAK64495 pep:novel supercontig:GCA_000165425.1:CT868031:218063:218638:1 gene:GSPATT00033971001 transcript:CAK64495 MLNLDSTQELTYEQQHELYNQQIADNKQMSSALAYLLMDLCEEGDNDANNIQELESFNSP KVPSISIYDYICRILKQAQCSQECLIMGLIFMDKLSKKWGRIIVKSINVHRLYVVAVMLS AKFYDDRFFQNSYYAKVAGITHEEFNHLERVLVFLLDFKLIIDPLLFFTYRQRIMTNYFD NQE >CAK64496 pep:novel supercontig:GCA_000165425.1:CT868031:218973:219799:1 gene:GSPATT00033972001 transcript:CAK64496 MGNNLCYQTGERSSQFPQLLLKYEMGNCEIALTAGPVENEDVECLVIPTDSEYSNIKQSD KPTFAQLNGKCVFVAARKTQKNQILAVVIDKETILSSDKSDDEKQTIYEAVQEALKIAEQ KQMKSIGFPVFASKDHTTSATIMLMAIKLSVTEQKIKSLKRIVITLDHVEQVSSFKWVFQ QVFKGNQQIANSRTYSSSIMEQMESISVDIVKTKQKCATKEEKA >CAK64497 pep:novel supercontig:GCA_000165425.1:CT868031:220751:221923:1 gene:GSPATT00033973001 transcript:CAK64497 MKYLVLFALVLLTIAVNDNQTKQKSIEEQHPSLFRALKNMALLQEQSQQLDYSRLINAIN DLESEIAQNKEDENASFNKDFLSNNADQEFYENQITQYQVEVAQHEVDLADLTEARNSLQ SLLNDSIKEQQDVTLLRNQLDQQIKTDAANTAAQLQEFDDSAAAIDEALNLLTSLKNADS LAQVNDKLKTHLSKQKVFFQPLIVALTDIAQSNFANQELISKVTNLLNQLRTSLLESRLQ LVVSSQGLEALNKELLGTYDDKLNVLSTVVLPDLRENIEIKDAEIKAKSNLLNDAKSNLQ DAQDNLKATQDAWIVRANLNSKLVQQYDNDLSLIQQVKQSFDRAGIKQ >CAK64498 pep:novel supercontig:GCA_000165425.1:CT868031:221984:222858:1 gene:GSPATT00033974001 transcript:CAK64498 MINFIVIYLYCQQVMHIKSQPFFFVSQQFQSPDCYPSRLDSRSSQVDVLKKFYLEQLVSD LRKDNQQLFDQMEEIRVKNFNREHEYINQIKDLQEQLLDIQALNKNQEYQIQFLQTKISF LEQNPKPLDQQLTTSTDLFNSKFQSESGESNQLTRSLNTILEQKNIIIKEYDEIKNKFKL TQLEQSNKIEQLHTEIHNLNKQIKKLELQLINQQKQVTLYQNETQQWRGKFLQLNKTYNK SSEQLVLTCVELDTAKKEIQHMNDLRSKRRSVGSII >CAK64499 pep:novel supercontig:GCA_000165425.1:CT868031:222902:225882:1 gene:GSPATT00033975001 transcript:CAK64499 MDIKLQVDNLTKPIKEVLQNIDQVKAQILLSHSQQFALSIIEFIFLGNCCPCTLTPVEYK TIIIQYVQQLRKYYQNNKESTACQIVNKLIKQRQDHGDREQEGFMNSDEEETNLVKQITF QQNTQDYSNDAGLEKHKLVLQQKDYEIANLKAKLQVKEQKLLDLASGYLKDLQNMREQMY KKSDDFDYYEVSYCDVTDIEDPRYRDLVNNKIANLKSQYEKKTREIYLFVQKQKTEIQNL RQTVGTYEQKLQLMDNIEYLMNRVFALEQDPYKIWKYIQDIKGNEYFHDVFEKQKPASGI RYREVNKLLMVTKAYDRELEYFKKGIEDQMYVYLERACGIINIQNQDQLDEIQELKKEVA RVQQFESRINQQIMRQFDQFKKQLKQHQEEYLDVKSKELQQLQKIQLKYATRLWYLVSQV KNGKDIKELILYAYQNDYEKLTSQQFLELENNYLQGIITTKIKQEEIAELSNKLEQMQLS NDELKIQNSQMKVTILDLKAKVKNNQKCLQQTVKHIDAKINHEQLNLDDNNRETTISFKD RLKQLRQQHNGLKFIQEVQLQYEKNDMALEFLSTSYENRQTQTMIGNVSKSLAEAIQIHE MNRISDETNEDYDYMQNKQRDIECQTDLINVDELLKQITALKQQIKHLSQDIDDMEGEGV IQQHFQLINQRNQSFDVNSSQKSSNLKRRYVQVNESKDSYSNYQDDLRASNDKSNGYQLG QTNQLIILHPQSSVEKIAQTQTFYTSKLQQKGVFNRLFEDSKRKCERIQQIKNNLELLEK EHWQQVAELVKEDQEIVNAFKDSLSSSKHFYQKQLKRNMEMEQKYFPQKHNRAQFYFKKF KDFHVHQQELNEIFFDPNSSDEKTQEIQRLNIKSVVDNRKLNGQIKFNGLKREEYQFFKQ LEKRKQQSRTFRSTQQSPKQKRVQYVSFTKPFIGSLTSYEMNDFNNQQITKHLQKVYDLG >CAK64500 pep:novel supercontig:GCA_000165425.1:CT868031:226315:227133:1 gene:GSPATT00033976001 transcript:CAK64500 MQEQQIQEGLEQKKPKLTRDEKKVLKYQKAKLRAKIMRKKIAKKVKQKVNIMNQEAKEKY SEIKHLAKNNLQLGYESGIPIYIDLEYDILMTDREIRSLALQIAHIQGINKEIKFPIQLH LTNVKGIQKNELEKQGLQKWPVHHYELGLQDLIQESLEKGIQKEFIYLSPDADTYLESVD PEQCIYIVGGFVDRQVQKFMSLNKANTLQICSKKLPIESFIKGAKRPLNIDRVVAILSLF YQHKDWKVAYEQSDNFNISLAERITREHQKQE >CAK64501 pep:novel supercontig:GCA_000165425.1:CT868031:227841:231428:1 gene:GSPATT00033977001 transcript:CAK64501 MRMKTIIEYLIYDKSLKVKCITKTEGDSHTANIYTLLTADVELILKIISVIKDIWQAILI LSGCLFLLYSAEPDAGTVIVIAMISYQVINFIMTFIMAKAEAQHLIYKDKRVSLQSDLIE GMKQIKFLSWEGIFYKKIMKIRKCEFKMLSIYKALDGFGAVFWNNISYILLCIFVIYSVN NGKDLQELNIFSLIAIFNTMIFPLCVLPWSLSIGYTSLISFNRFSQFLNQDEINFEDRIQ PESFQSQYAIIMNNSNYFWPNAEQIQEDVKQNQIIDEELIIANQNCQKFKIKIANLKFEK KTLNFIIGKIGSGKSAFFQAILNELQIQNLFNDLSDTQQNLDLSFDLGVNETVKQIQMTG RIGYCSQVSWIQNLSIKDNILFGEPFNQELYDKVIDVCQLHQDLKSFENQDQHLIGPDGK NISGGQKQRIALARALYQQRDIYLFDDILSSLDHNIADLIFQNVIVDYLIKNGKTVLLIT SNYSYIKIAQQQIKTKVILVDNGEITEDQEVIGQYLQNKSYQQETPSYIEKELDSVLKQE NNTLNSDKGEQNEQVVIDDSNEDTRQIGSINWQIWKTYFNSMKFPLFLLLFFVNFYMQGS MSLIDFWLKSEVQQEDKWLHQITKYFDNSFPKTLFYLTTLAVLITVIRQALEVICALLAS WSIFKKLNTVLMCTVMKFYDRTNAGQIINRIQDDTYVVDMDIGSGIHCFLENLSRISGLS IGLLILEPRYFLMLVIFLVAFYHIKKACLISNREIKRLQSANQSRLLQIVNETLTGVKII RAFQKEKYFTQIFESSFSNLMLSNQCGERIKLWFQVRLNLMNNFIVMCTSFMVICSLVFE WSEDFGIQALAITYSMIVLDSFYDCFIQYSRVETGMISVERIQEYLNNETEDINQEQFME QELQSTHLRETTYAIEFKNVDFYYDNNHKALKNFTLTISKGQKIAIIGRTGSGKTSILNV LQGLYPFQSGDLYINGTNVKQQSLKQWRSQLSIIPQFGFLFSANVKENLDPEGMFTDQQI EKFIQNTQFYQQRNSNINNLMYLDYKFDISQNGANLSCGEKQVLNFLRIVLQNKDIICLD EATSNMDPYTNQLLNESLIEFSKDKTLLVITHRLENIQQYDQIVVMEQGEIVEQGSYQDL MLSTIGYFRKLINNHTKTVNQI >CAK64502 pep:novel supercontig:GCA_000165425.1:CT868031:231501:232645:-1 gene:GSPATT00033978001 transcript:CAK64502 MKQPEYRCTEKLWKPIVRPPRHNYRLKDMGNEIFMVHDTVVKRIDFEMANSRGLTLQCSL FEPVRIQDKPHACMIYLHGNSSSRVESLTILEYLLPQNIAVCGIDLSGSGQSQGEYISLG FYESRDVNDLYNYLRSNKPFITQIGLWGRSMGSVTAILAASLNTNFKMLVCDSPFSNLTH LCQELASNNYNIPGCCFNCFWCFVKSKIRKEAKFNIDDLNIVQIIQTLQTDVAIVFLSAK GDTLIGEKHPKILSEKFRGIKELIQFEGTHNSKRPIDVMKGTVQFIIAQMEKYEQHSSLR DTKVSLNCKDQIPIPDIGAPLLHSEKPYISKTEK >CAK64503 pep:novel supercontig:GCA_000165425.1:CT868031:233629:236288:-1 gene:GSPATT00033979001 transcript:CAK64503 MNNQNVSRFETKQDYIIDTQSIVAQGKRGQILKCQHKDKDEILCVKVISKSHLYVNQKNE IQVLETLKNAKTKNLNVLNIQNIMEDSKKYLIFSELCDGSLEQLFQQKQRNNKWFSAEEV QDFLSQIVRGYFYLKSHKIILRDLTPRSILFKILTNNRIVLKISDFGVSRITQDGYAVTR TGIPYYSAPEVYRNSSDQQKYTDTCDIYSLGIILYMLCYQGEKPVNVQDFKDLNEFHERL KKDQFLACPHNPRHSKEFLSLIEKMIVYNPEKRITWEQLDLNVVQHFLILEDNYFIDFDK TIDSGLQGLSHEAYHLKKCEELVCKTFQNNMIGITRTLENLDKLKQKNHKNVLKVLAIIK YSEQYTYLILEKWDISLQGYKEDLQLKNQNLKPYEIFEILYQIVEGYCFLKDLQIAHIEL KPSNILLKKNEKGKYIVKIFDLEINKTIGRGITHSINELKIFSAPEMLQQGFSNDQQSDM FSLGMILYYIAFQKMFKNFQHKAELLEFHKSLATTPFISPNHENPLISELINQMIVNDPK KRISWQQLQSHYVFNEFKIQQPQNDIVSNQYIETQLNKKKNIQIIQCQQQQQNQVQQQQQ QQQLQQQQQQLLQQQQQLHQQQQYQQKSSSQSSCFTEIYEYIHSLHTLAIKVLRACEEYQ KEDQKVQDEMLLFKQFLIRFCISCLICFDNLKTQHFIYLDNEKYYVKSNSNFDDWLQRKN IEQMRKDTSEIFESLKTAQTTTPTQIIAKGNELLKDLTNQFQQSVNKSNIVMLHQYFNSF FKEVKLSIFNSNIQTKLKFYLLKFSNVFIEYPIQNFELFQETKVAQKICLLDEMEKYVIY HLNQK >CAK64504 pep:novel supercontig:GCA_000165425.1:CT868031:236937:238217:-1 gene:GSPATT00033980001 transcript:CAK64504 MKCYDNKPASQSYQFLDKLGEGSFGYIWKVKQISTGQIYACKLVKNSMKKEKTLLQREIK ILHLLKGKKGFTQLITSGQDHKNTYFIMNLLGDNLEQVRIKCGKLDTTTILNMGQQMILL LKELHSSNIIHRDIKPENFVVHQEKLHLIDFGLSKLFIQDGKHLEFRENKGMIGTARYAS INALKGNEQSRRDDLESVGYLLIYLFMGTLPWNNIMAEDKNIKYYKIQRMKEAFKPETYI NLPPELPKYMEYVKRLKFDQKPDYDCLENMFKKGEDFNRSPKLQIQQSHLQIKSNLLHLK SVERAQCLTSRKSLNQLENTSRRITFEDLSSAGADIIEELDKDEGITLKNLSVGIKQPQV INRINKVRTGSDQIFEIENKLKSNQLPISLKQLE >CAK64505 pep:novel supercontig:GCA_000165425.1:CT868031:238461:238805:-1 gene:GSPATT00033981001 transcript:CAK64505 MQQLASGGMPQQYIPLMYAPQAFYDQYLQMSQMGQQMQQNNLLKEMFQQTPQQQMQPMNY PMPMMQQFGDQFYQQKNVNQGFQQKFPNYSQVKQELEDQQQKNNK >CAK64506 pep:novel supercontig:GCA_000165425.1:CT868031:238833:240132:-1 gene:GSPATT00033982001 transcript:CAK64506 MKNHRTENTLKFQKSQRIKDIQLLDKKQIENELQKHQINQLNKIEELGQQEISFILFYLN ELAQKLKEQNVNQSDNINENDQKKQQNQADVNNIQKKKLKAVQKKSDSHASNIVEKVLKK YQQDQILDYNKIKDHYQDVVVQKGETLEFNEEPISNSNELSDVQFAIMNKDSQNIHVFPK TQLKAVMEIMKQKKESKKDCKKEIVQPQTKQQKPQEGQHGGKQKQISKQKDNIPKQAQQQ QQINQPTMFSQAYESQISQQQNMLQQLQQQQFQQYQQQQQQQLQQQQQQQQQQQQQQQQQ LQQQQQQQQQQQQQQQQQQQPFYQLLYPNLLYPQMQMTQMPIMGQLPGQMMGPMQGLNDD TNAITNDGIGARINAGINTRLKYANNLNTSRVNVINSLTYELINGRVISKYDFPQPLKQL AIKTNVS >CAK64507 pep:novel supercontig:GCA_000165425.1:CT868031:240180:240701:-1 gene:GSPATT00033983001 transcript:CAK64507 MQIEFDQYLSLLTNLDEEVCKKIKEQFLLVQNNQPEALKWNGYQSKLLKSLIQTYCSNQN KIHTQIPDNVWNIISKLLNKSVMDCRSQLENQKKQIFHQQQWSKNEDEALKEICNQYISE NKQFNWSDIAKELSQKFHKSTIKLTKFVRDRWINKLNPQIQKGPWDLLKNINL >CAK64508 pep:novel supercontig:GCA_000165425.1:CT868031:241513:242892:-1 gene:GSPATT00033984001 transcript:CAK64508 MKYVQMADIFGAQLKQEIHSEEKLQKSFLGGVLSIIVTAVSLGYFIYVMDQWRNSNILPK STNTIKAENYSSIQFSNENLVELCYWRYSNESIDPFRTTDNILMPVGIYIISGIPQEPFS LLSNTTTLSTYNSKLSYVEDLNIIQNSEFNPKLNQTKEFIIIITTCKQQLLLGNQTCAKQ DVIDNFFAQQTNILSFWINFKYFNSKNQNFDVVKKQYYISFEKERAQQIQVILKQQKTLI DTGILFGNFNEQNFIFDAQFLMSTISIDFFQQLFYMESFLTFTIRLDPFSYEIQIVYPKL GEILAQVGSIVSIIMMVQYLASYYNEYLLQNVLVEAILKNLIQNYDSLKKSQDKKSKTTL TKLQKFAKQKLVFSNMINELSKIELFLLSLFDNTQVSKITQMKFLINNDPKQESIEGIFS IVSNNTKNIINEEEFQELFKAFIMYPLIEKKTLNVEVIE >CAK64509 pep:novel supercontig:GCA_000165425.1:CT868031:243312:245004:-1 gene:GSPATT00033985001 transcript:CAK64509 MRTNQGSSNDLLTRNFQGRRDIDLLTSSSPNGWKDLTVQNNSGYVSREKYNKLKDLNSKL KAALREYIQDTKEKDRLLQTKDEQLLKFEKEKAESQNKGNEDLKTQLNELKIKLQKKKTK IRLLKDSSKTIDSRLDDQKATFQVDLDRVQRINDSLQAEIKEYDKRYLILKDENNILKQA LQQKEDQCKYFEMGAQDDRQNIQLLESKIKEIQEEKKILQIAIDNQISKIDEAEKFIKLN DQRHQQDINKIELEKQQLQSEYLIQINRKKEKTKSLLNQIQQLESQITQLQKEELSYHKV IQDQKHDYLRLQSQLEDQRNKTDQTLKEANFQVQEVMEFKQKLESQKSLLQAYEDKLAQY DVQYQLDQNEKSQMLFDIEQFHQENKKFQHLLYESDKEIAYLKQQHEEDLYQIESRVESL IRQLNESKDETQEQKKIISELKKQLISSDEQVNAYKNKYLKVKQNQKTLQSEQKYLEEKV KLMESERVFEEKEALKTKDYVIQQKQAQQSKIKVLDEIQNMIKQHKKITS >CAK64510 pep:novel supercontig:GCA_000165425.1:CT868031:245037:245761:-1 gene:GSPATT00033986001 transcript:CAK64510 METKCKRNGCKKAYNQNENNDQACKHHPGKPIFSDLKKGWTCCNKIVYDWDEFMKIEPCA VGKHTNVEDTEGGEFYQSKTVQNAQKGIDNFADSAPQPVRKIDDYNREEAEKKKLLEQQQ AQVQKQIFVTPTGKYKCTNKGCLKEYDPKENTECFYHPGEPCFHDLKKFWTCCKVEKYDW DEFMKIPTCAKGSHTPKVI >CAK64511 pep:novel supercontig:GCA_000165425.1:CT868031:245807:247460:1 gene:GSPATT00033987001 transcript:CAK64511 MIQSIPHDRSVYVTQYKAETTLEQLTQAFSEFGKIEQLQQNAKSNGVFIVFDSHDAVKRA TSKTVTIGGKNLDVNEYLDMDKLDEEANIFIDGLSPTTTQLQLKDKLQKYGKILNIKVQM SDKAGVAYIQFEERKSAEKCLADQANLGLHITRAIKKGKQQQIKRDQLFISNIKSQSPLD QIKTSLDNYFSEFGKVELVQVNVNPKNQTYFAFVRFENSDDAYKAVKATKPFQNQIINIQ WAVNSNDNIEANLYTKNLKPTVTEQQLREAYESIITIDDLQLLPPNKSNKLSAYIYLKSA EDGKKVIDLAFKTKKIFDLYEKLTVQISPMLSPADIIQFKKIQSKPTYQPYPNAMPFPPY QQIQGFPNQPNPPYGAYQYPGNYPPQQPPYQQGGYYQQQGNNYNQHRQKKNQYPNQYQNQ QRQQPRLPDLQFLQKLLAEGNQKRVTDTIGVLLFPLVNQQVEQKLAPQVTGILLDFENYG LEDQLKMLQNAPYLTEQINQAVDLIRKSY >CAK64512 pep:novel supercontig:GCA_000165425.1:CT868031:247977:248261:-1 gene:GSPATT00033988001 transcript:CAK64512 MFQQLNNTIQACRFNLVINYTPKRLVLASPSGIGNSNALKKKEEFRIYIELYKQRETHYQ NIIFSKDQEISMMKSHIQQIEERKSYKQYPKQYK >CAK64513 pep:novel supercontig:GCA_000165425.1:CT868031:248563:249483:-1 gene:GSPATT00033989001 transcript:CAK64513 MYNSRSQVSYPQASPSSGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDAFNEFQ EQIFTKLNGLRDQLGKLQKQVEEDKLAKEQANETKNREVQALEKKFENAIQNETQTRKEG ETKVLRLLEDKTALLRTEVQKETAARVDAIEGIHQGLQNDLPKIQEAIRNEANERDESDQ NVMKSITDELVKLSNLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKKTREQSEEHL LSLLEDTCNKLSIAANL >CAK64514 pep:novel supercontig:GCA_000165425.1:CT868031:249567:250917:-1 gene:GSPATT00033990001 transcript:CAK64514 MSQREETPYFRECQKLGGIQQKEIYLSPTKAATYLYLLNHCEKGVAMQFAKRRVCKWDTT IEQDGPATCRILVPVQKREKCINGWQNVGCEVFYQNKDTIADQKQLNLDEENEEIQQQLE NNEKMLTNDFKYQMKNLDKFYKELNQKYRLNVQDPDFKERVQKTKEQLQSQRNKPLQYFK KHGVKFVELLHELNQADKRQHTLLDRFRAFNQLKASQFRIKEKLPEKLYNKTTNDEDSTP MDKNKILKRSCKLLGQTNRNVTDTLKMKFQLKDKSYHMSKQFDNYTPTILKLQSQSSISF HKKNKTENEEYLDQQLEKFANRMIQPQSNVQEINTQNINRLIENKSNLKQLCLKKIKSKT QTAQSEHFRKTERQESECNPIFTSRSRDKDSTKASIIFKRSQLLTSFTNKSDCIK >CAK64515 pep:novel supercontig:GCA_000165425.1:CT868031:251028:252161:1 gene:GSPATT00033991001 transcript:CAK64515 MKYIKMTDIFGVQYRQQISLDEKVQKSALSGICSLIVLGASFGYFLYIMNLWWSSKILPN STSIMKVENYSQILYNEDDLFEFSYWKYTNGQIDPFRLQRNILTPIGIYFINGIPQKPFS LLDEKPTISPYNTSLQRVDNLSLIQNSGYDTTLNQTTELMILITACNSTLLKSQYECASD SEIQDFFEQSVNYISFWLNLKQYDPHSQKFQVVKKQYYLTLDSQISHQGQLILTQTQATI DTGILFGNYEQKSYIYNAQLITSATNIHFFSTLLVESAYLSLLIRLDPISNDTQIVYPKL GAILAQVGSIMSILMTIQYVLRYYNEQLLDSDFIDKVLGFYFQDYSEVKQSKDQADSKIC KDLIEKAKKKLVQITHL >CAK79899 pep:novel supercontig:GCA_000165425.1:CT868343:12:427:1 gene:GSPATT00039349001 transcript:CAK79899 MKGRKLKRKRKRQSQLDQRQQLRSFLNSQIEEKKRRQEEEEELNKRQAEIWKQDLNNYND HEKKKFDYIKEVNLRHADILKSQIEEKQSKIKKKTTKMNTAELLQNKDKLKVIAQEVPEL GEKVKKIEI >CAK79900 pep:novel supercontig:GCA_000165425.1:CT868343:641:2153:-1 gene:GSPATT00039350001 transcript:CAK79900 MESRSSSEDKKEKKRKRSRSQKKDKKKQKSKKSKERKSKERKKSEKKKSNKKSEKKKKKD KEREKEKDKEKDKEKEKEKDKEKDNNKVDAQKQGEEVETKEQRIARLKQERRKRSRSNSA VKHYQKQILANNPVAKSNALGYDKQDCFWDGFTWVPKTNLHDKVREDKEKVMSLTSRMRR IQICNIPTGLTNRDLYAELSRFMNRNYLNDVGNAKPILYCHLNEKDRTCTLELSSVEESN RMLKLEEIKLLDESCKIFRLGDSLYGQSVNQSQLVQQAHNMAQAQAAAYLALKSLGYARG QREEDEILAQAGIPSRIIKVGNFLNVAMAINLNKNEWNEMREDLLEGFSQYGHIEDDFFV KPFQAGLGAEAGSLFLVYTTIEDAQRTVISMTGITYNQRALKIIFINEQTYIRSYIPLKL KQQPEIEEPQINQENDNYDNEPFEDQEDYLDQMDNKVLENNNNKDN >CAK79901 pep:novel supercontig:GCA_000165425.1:CT868343:2218:4161:-1 gene:GSPATT00039351001 transcript:CAK79901 MDENNNQDGEQIIIFEEKITKVNGEVAIKRYQRGKFLGKGGFAKCYEATNLENKKVLAAK IIAKSSLTRNRARQKLVSEIKIHKSLQNSNVVQFEHVFEDHENVYILLELCCNQTLNELI KRRKRLTEIEVQCYVAQMINALKYLHNNNVIHRDLKLGNLFLNKNMELKLGDFGKRELFA ELPNYIAPEVLDGKVGHSFEVDVWSLGVIIYAMLIGKPPFETPDVKSTYKKIKLNQYSFP DQVQISDNAKQLIQKILVLEPNKRPTLDEIMAHSFMNSGGTIPKVLPLSTLACPPSIQYN KQFQQPSRASETAQSNPKMATVRPNISSERQDQFGATSGLNTGYNGGYNSSQRPSSQKPQ DFKQSVSTKSLNQFYTSGTLQNQQSIKQKYEIFVRRWVDYSSKYGLGYLLSNGATGVFFN DSTKIILDPKTQEFEYLERKGTDKQDAIEKFSINSYPKELQKKVTLLQHFKSYLDADSKT ITSSDTNDYDPFQSVYVKKWMKTRHAIMFRLSNKIVQVNFTDKTEIILSSENKLVTYVNK LGERSHYPLATALDSQNQEMAKRLKYTKEILTHMLNGNTNVDIRNPE >CAK79902 pep:novel supercontig:GCA_000165425.1:CT868343:4359:4566:-1 gene:GSPATT00039352001 transcript:CAK79902 MKSKDITLLDGCNNVIVIQQQSEYFEQNQMKMIEIDKCQQQMSQGRKQELGDWEKFKKQK EQ >CAK82618 pep:novel supercontig:GCA_000165425.1:CT868432:2:2287:-1 gene:GSPATT00039493001 transcript:CAK82618 MVDGPQIGLRLTRAFFAIRFVLVSTIGSFCLGIIRVGRQAVMAGHLLMPMNQSRTISLIQ LHSFAYVNDPQILPFFALLKHQAAMHQDTQLQRLISFFGSYYLQILTFDFFYQNCRYIIR RNALKSNIPIQSPFYDENSLGIILQKVIENIIISIFIFLFTNMQGHSGLQEQVNICLQGL DQELEKSYIKIDDAIASFEDLLHLQPLQIMHSQIHEQPLKTNPFTVQLHLQDIIIHEVNQ IQQHKKLETQEQQVKEEEQEIIQAYVRKQDMFEILTQITNISDESYLNEILEKLRQDKIT DSLEYLCSQSNKQQSQLKYILDLIKNISELDFYKQNYSKEEYWLKEGDLQFLKFLVHLTA IDERFIQCGSNCFNLLVEMKVDLREQSFEGVRIKDTSLVGGNFVRCNFNGSEFDNVDISG MNLNQAQLFNCKWKNIKIHELNKLDGHSSCVNSVNFSPDGTTLASGSYDNSIRLWDVKTG QQKAKLDGHSSSVNSVNFSPDGTTLASGSADYSIRLWDVKTGQQKAKLDGHSYGILSVNF SPDGTTLASCSYDMSIRQWDVKTGQYKAKLDGHSKEVYSVNFSPDGNRLASDSWDESIRL WNVITSNEILQSNNSYKVLLTQFKIPLQNSSLLPNINSDRTIHRICQNPQLEASGTLILK GQFINHQGIDLKPLLKSKGSCFIEVLKQK >CAK82619 pep:novel supercontig:GCA_000165425.1:CT868432:2445:2921:-1 gene:GSPATT00039494001 transcript:CAK82619 ASGSYDKSIRLWDVKTGQQKAKLDGHSSYVYSVNFSPDGTTLTSGSYDKSIRLWDVKTGQ QISTSDNRYQNILAQFQPSIIKNNVLSDNVNSNISILLISQQLIFQSQGALILQGQFHNP QGIDLRTLFQQRGSLILENLIQQQKHQD >CAK87951 pep:novel supercontig:GCA_000165425.1:CT868642:267:2017:1 gene:GSPATT00039833001 transcript:CAK87951 MVGKIILQWPQGKIKWIAAWKWETLKDVGGWYLNNGEKHGQWIDIIKNYQTKAQIYESGR IYEQKEVRQMEKCHHKQSLRSGGGYYEDGSDSVKIGKWIELIDNYQQFSQVSYNGEYNNG KKVGLWDIFHQVQKRIGGGSYDKRGIKVGKWIELSDGSYKYSQVSYIGEYYNGKKVGRWN ICQWDPYTNTNQQIGGGSYDQEGDSIKIGRWIELSDGFCRDSQVTYIGVHKNGKKVGRWD LWYAERERPLIKNELIGGRSYNEIGDEIKFGMWTEQSDGYLDRSQVTYNGEYKNGQKVGQ WDIFVKNRRTGSDEKIGGGQYDQKGSGIKTGKWVELSDDYHDCSQVTQVGIYENGKKIGR WDILYKNRDTNINELIGGGSYNKLNDGYKIGTWIELNDWFSDSSQVTNNGEYKDGLKFGR WDIWYKNRDTKLYQLMQDTIKHLCCSGGGFYQDDVNQIKLGIWIELNDGFWDYSQVTQHG KYQNGKKVGRWVFKFEGSQIGGGLYNEEGEGLHQL >CAK91786 pep:novel supercontig:GCA_000165425.1:CT868665:65:2136:-1 gene:GSPATT00024517001 transcript:CAK91786 MQCAFHIGTEVSIICIDPHNCERQRKLCVDCIYEHGVDIKKNTVTAKKFQELIRQRFKES EDNELSNLSTQRMNFKSLLSSSQILLKQICDEIEVSYKQIDDMMTGLEDISFLNIANKNV NPLELSNTELEKLFQIFLGKTLYKQNDSKKLYLKNLHEKNKCCYKEISSFCEKFNIEMKR ILSLIQMTNNYQGKIQSITEIVNRNADLYQVLTYTKNIDRSFLNKIIILIKNNKITNFLE FISEQNIDKSYETDFYKKNYSTEVYEQIRNDLIKQISQDDKEIIEFLKFLIQLTAIDEKY IQCGSNSLNLLVEMKADLKEQCFKNIRIRDTSLIGGNFARCNFDGSNFDNVNVNGMNLNG AQLINCKWKNIKIHELNKLNGHSNSVRSICFSPDCMTLSSGSDDNSIRLWNVKSGQQKVK LDGHTCGVNSVCFSPNGTKLASGSIDKSIRLWDVKRGLQTAKLDGHSNSVQSVCFSSDGA TLASGSIDKSIRLWDLKTGLQAARLDGHTNGVNSVCFSPNGTNLASGSGESNGNDNSVRL WDIRKKVQIAKFDGHSSKVNSVCFSHDGSKIASGSYDSSICLWDVETRSLKAKLDGHSNG VNSVCFSPNSTQLASGSSDKSIRLWDVKTGQQLAKFNSHNRQYISIKWLRQIYRLMECRS NRIQKQI >CAK91787 pep:novel supercontig:GCA_000165425.1:CT868665:5302:5553:1 gene:GSPATT00024518001 transcript:CAK91787 MNQQEQAKKYGLWQNVKSGTYLGGMVTGKGSVLTKSRLAIYGFSSIGTVAGSLLYPLMEG QQQDVHLLQLREQGNRSYCFTSF >CAK91788 pep:novel supercontig:GCA_000165425.1:CT868665:5986:6225:-1 gene:GSPATT00024519001 transcript:CAK91788 MGNHFPVADSVTLCVKQIILQYFDFQPRLLIEILPSVTLSFDSLCLLNQSQILLNTQETK FHLILSDSNLLTLFELAKG >CAK91789 pep:novel supercontig:GCA_000165425.1:CT868665:7336:8838:-1 gene:GSPATT00024520001 transcript:CAK91789 MIKIVQVCRGMRLVSQQYFQYSKSVQLKSSETIGEGLYTQQLFTGCLAEYAYYIESNKEA IIIDPLRDIQPYIDLAKERGATIKYVLLTHFHADFVAGHVSLKNQTGAQVIMGPNAEAPF INKTMKDGEMLHFGQIKIQALHTPGHTQESTCFLLHDRTGKKHSLFTGDTLFLGEVGRPD LAVKTDLSQYDLAIMLFKSLREKLMPLPDDVFVYPGHGQGSACGKNISSGYYCTMGNQKK NNYALQPMDYETFVTEVVRDLPKPPQYFFYNAGLNKNTFTSDLNEILKKSNKKLSPQDAK LTKTAQIIDSRNSIAEGFIPGSINVPLQIPFAHWVGTLLPHNKEVIIVCEKGTEEQTIMR LSRIGYDNILGYMYFEEWQQAGEIITKPTELEKQALLNISQQGSEQIIDVRSFEEWRKGK LDTAKFFPLNELLSNMERLNKNQQINIYCGTGQRAKIACTLLIANGFKNVQYCKVGYDEI IKP >CAK91790 pep:novel supercontig:GCA_000165425.1:CT868665:9198:10581:1 gene:GSPATT00024521001 transcript:CAK91790 MNRTPSFRQAANKENLFIQIANKKSQSIGSKVIKSETSKYADEHYEDLIKKIYQLDQENN KLRELLKKSNYLQFKFVDLSKSDIYLHSFTDIKNRSLDLSLDELKGINQGRSNEMLSQKK SSTPRQSLTAKQIQIQKQRNNLINSYTQDLIQDQTTFDYLIPDEVQEQENCFQEFYKALL INVVNKDMRIFKHIDQVVEQELKQNFQANCQNYDKQKTLLSNLLQLLSNMQNYCCGEHPD NQFIMRAYKQMQEIIGSMFRMFEKMKLHILPLNHIQLNFQERISEIDKLQEQTEYAQQQL RKASIIIEHLSKENQQLTIQNKEYQDFFTQISYKLQINEDTSQLKQSLDSLMNKCIDGII KLQNFEFQKCRKIEKISPVQNDQTDLQQKLKIIQDKYEKLKQKNNKNKEKFKFCLNKIYD CFEQNVKQDYFQQLTIEEQVQFLCQTICQIKTQ >CAK91791 pep:novel supercontig:GCA_000165425.1:CT868665:10590:12591:-1 gene:GSPATT00024522001 transcript:CAK91791 MSKSNDTAIGIDLGTTYSCVGVFINDKVEIIANDQGNRTTPSYVAFSDNERLIGDAAKNQ VARNPQNTVFDAKRLIGRKFNDPTVQKDIKLWPFKVEAGSDDKPLIVVKFKGETKKFHPE EISSMVLTKMKEIAETYLGKQVQNAVITVPAYFNDSQRQATKDAGQISGLKVLRIINEPT AASIAYGLDSKQKGEKNVLIFDLGGGTFDVSLLTIDDGIFEVKATSGDTHLGGEDFDNRL VEYCCLEFQKKKGIDLRQNARALRRLRTQCERAKRILSSANQTSIEIDALADNEDFNCQI TRAKFEELCLDQFKKCIPPVEQVLKDSGMSKSQINEVVLVGGSTRIPKVQELLKDFFNGK ELNKSINPDEAVAYGAAVQAAILTGTGSQKCENIVLLDVTPLSLGIETAGGVMSVLIPRN TTIPTKKSQICTTYADNQQGVLIQVYEGERQMTKDCHKLGQFQLDGIAPAPRGVPQIEVT FDIDENGIMNISAEDKATKKQNKITITNDKGRLSKQDIDRLVSEAEKFKADDEKIRQRIE AKNSLESTTYHVKNTMNEEQFKDKFTVDEKRQLTDLIESTQKWIDSHQNEEADVYKEKLK EIESKFHPIMQRIYAQSGAAAGAGPQMPNMNRGNDFQHNPTIDQVD >CAK91792 pep:novel supercontig:GCA_000165425.1:CT868665:13164:16413:1 gene:GSPATT00024523001 transcript:CAK91792 MQIGKFKLDHLVEKNNECIIYRGSDQEDKFIIIQLNRQPLLKVELFNKHFQEELKMLFKY CQKPKIIPTDFPFQIPESETLDSELIEFPSIIEIHQTETHYYFILANCLQGQILNQDVDH PKTFLQIYTLYDYYYQEYLEGKIKDRDFALMRIFRSQDKLTLIDFGFACLQKQLPKKPWQ VKLGELFNSLTQFKAKLKGKNLINTLLAGKIKWEEIRDFISISFGLNEIDQSLQENQSDR NSKITRQNSIHTKQQTQTLSMFPQEIITLNQNSSRKASPYNHSQKQQSRNSTMMQTRIIS YGNQQKEHFQKIRQSLSPGFSATNRYPCRLFQIEQNTQQPSPQARSVSRKAQHLSNNQKQ PITKPLENQFEYRNRSTPREEIQYEQNQIQQLIGDQYLPIQEQQKQIQRKLISITTNQSQ YEASSYAPTLIQRGQSLNEKQDGQFQQNNHELRPKSSRTQDQKQELKTLLRTSTRELQQP GQINKKSTQEYPANRTINYSDTQSLFDNLEIKQVRKPQSRRNSIRKSSTNSIENIELSNG STANQQRLIINNNLQNNRQMFKTPRDQRQMQYSFQNSPIENPHIKKQNPIMAQQYQDEVQ NFQQKSKKNSAEEDNDEVCSYQPSFEQHQNKSKNQENVVLIKNNKQKDIEIEKYIDNVEQ IQQNKQYQFQMSEKNEIPSFCQEKQKTNNQEIISQQQRTQNEAQIIKSPNQDQRITVESV DEYYKQPKIKKQNSFKLPPTPKTDKATKEQFKIELQQNNYLQDNQQKQKSIQQNENVQKL QKIEDSPKSIKRINLNDIEVAQLNIQEIKVKLDENEARRIAQIYENQLKKYDIIGKMVGG NVKYFQALKNFWIVPLFLCFKRMVSFRKLYEEGLKEKVNFFDLDMEKVNQVDKIKNLETK LTNDNKIVASELESLYLQCQKTAQKFEPKELAKIQKFLNMDLQQDIKDIYFVYLYTQIFR TLQNLRIKCESDNQKTKENLVLQASCLASLIISEMPFSSDKKFFDLDEFEKVTESQQYNQ QLLEQYIKTKDEVIGHLKKRLITKGIKI >CAK91793 pep:novel supercontig:GCA_000165425.1:CT868665:16585:19034:1 gene:GSPATT00024524001 transcript:CAK91793 MSYQYGQQMGQYPYDPNMNMMGFDPQMYQEYAYYYLGGPPTPPPPKGPYPGITHEDYESF DINKQILFQRFLGETAAYYAKHLPKYQKEMEEFLNTNTAYQMNESEKQLMQSYLDFKKKE KEYESFLKQLEQQALNPELEQQKKLEQQKLEQQKIEQQKLEEQKKQQQLEQQKQQQQQQQ QQPQQEQPKEGATTAVRPKKKLNLNAKPLEIALNPPKMPNFPKHPDFLDFDKFWNNYSTY ISLYNPSCEDEYKNYPKPEQLKKKEADEEAKRKKKQEEIERAIKKRQDAQERAKDKPAQS VNLVEQVVKLEGEVDLQKYVDPDETRQPVNLVFIGHVDAGKSTLCGRLLLELGEVSEADI KKYEQEAVQNNRDSWWLAYVMDQNEEEKQKGKTVECGKAQFVTKQKRFILADAPGHKNYV PNMIMGACQADLAGLIVSAKTGEFESGFEKGGQTQEHALLAKSLGVDHIIIIVTKMDTID WNQDRFNLISQNIQEFVLKQCKFDNIYVIPIDALSGSNIKSRVDESKCNWYKGPSLIDLI DTVSIPKRNEEGPIRMPILDKFKDMGSLYIYGKLESGKIIEGLDVSIYPKKQPFQITELY NMKDQKMKYAKAGENIKIKVKNIEEEEIKRGYMMCNLTSNPCLVSQEFQAKIRLLDLPES RRIFSEGYQCIMHLHSAVEEIEISCVEAVIDAETKKSIKQNFLKSFNEGIAKISIKNPVC MEKYETLAQLGRFALRDDGKTIGFGEILKVKPVKQG >CAK91794 pep:novel supercontig:GCA_000165425.1:CT868665:19191:20014:1 gene:GSPATT00024525001 transcript:CAK91794 MINDSESVNEIIEKINKGSSVPFTKQQLNFRKKKGGTKYQFSSIAKFAIVMQQIFLVFGT LYLASQKVIYRIPMECYLIYNHSLCKVVLTSIYVLVFLRIARNLIKLYRKKEKQSKYFFH SYLFNCDITLQTFRYYLGIKAHIILLFAIQFLIENYLLITATQLWSNQINESFGTYFMIS ILMQILICDITYEYFMIYSGKYGVADSKRSAIVINKQNIFEAFCKLKLLSYCSKFWQQTK ENYYIYTRKKGIPLF >CAK91795 pep:novel supercontig:GCA_000165425.1:CT868665:20082:20735:1 gene:GSPATT00024526001 transcript:CAK91795 MNISSKQDIPSFVKEQLRKQTISPEPQKHRQLNFHRRLTSSQLDGGICNNNVTQKKSNKQ MSMHGLQQLLKTSPYNKLIQQKSQSQMLIQESFSQQYLKDTSAAYTPNQQGSLQQNFFHK VGLSQNSTMSSSVKPRMRSANKEILRRKLVAETTQLLSNREKDKQIISQLQQIIIRTNSL LLHYQNEIQKHISEKEELIKQIKQLQSS >CAK91796 pep:novel supercontig:GCA_000165425.1:CT868665:20811:22456:1 gene:GSPATT00024527001 transcript:CAK91796 MAQLDINKKKTNEFTYTEILEENNMLKRKLQDLVKQIKQFEQESKGLQYENEILQKCLAQ QKNNTQQEQKNKQVEDQIAQLQAIHQREKEYWIRDQQEQVNRIKQVQEQTKRILQDKIDH LEKENEFLQMELENNKTIIENYEFQIEQIQQNHKAESSNLQRELKQKQYSIDELKFQIQE QGMISQRSNNNQELLNKLKEAIDELNKCKKIISNQQYEIQLQCRLFDELRQDYQKKLCKE RSKSQMSFKAAQEVKNEYEKKYCNLMEQIIKNQTSRSCNASFKLLPSSMDQTMNNDFSRI SNNQSNNIGGLSAINKALSDSIDDYEKSILNNISGNVTKRKPSKSEWEKKQKGQQNIMIS VTPENQMRPQSQQQEIFSPKISQKRAQSIHSSKGIKQYTFNLCEYNESRNSNDSDQFYSQ CTQQTRQSKLTLKPQKSNNDVKRNSKHQKSLSTYRSVKDKENLQFTIPEENQKINSINTS KQLQILEDKNERLDTNTKFNSLLKVI >CAK91797 pep:novel supercontig:GCA_000165425.1:CT868665:22506:23761:1 gene:GSPATT00024528001 transcript:CAK91797 MSKQSSFLANPLNDSSNDFNAKGYISDNQSNSGQDVDDCPKNPLESDNEGNESAVLQHLI DNEKEDSSSRSDGASNPMMEMDPVSLENVINQNFASIGQQYHVCQTIVDCNKTIELQQDQ NPLYSCDELLDPRQSNKEKSKDIIKNFESQLQQLQKENQLLKVQLIEKDMNFSEKAQLKD KELANLNELNKNLNAENVQMKGEMQKYKNEIQSLTQKINQLQAEKLKYHRSKTGAETTRG YDVQVLIKQFKGLQKGISLNDQTITATLSANHLEIRKIPTTSIDIPCPFSAKQKQDQRNQ FAFKNGKPDTNHLITEQCDTQRLRKGPKNQRSLQDLIYKAQIGIAFSRPNSIIAKYIIAT SLQKAQGLGQLFFESKSARNNQQQTQLVPKQ >CAK91798 pep:novel supercontig:GCA_000165425.1:CT868665:23816:25090:-1 gene:GSPATT00024529001 transcript:CAK91798 MDLSSPHASFQARDLKILHTQHYITKPPCDPKIMTFGAFHTDHLLEIDWSDKMGWSRPMI VPFKNLELHPFSSCLHYAIECFEGSKAYKGPDNTIRVFRLDCNMLRMKHSAKRLSLPDFD GHELQKCIEALIKVDQDWIPDHPGFSCYIRPTLIATEEALGVRASSKAKLFVVLCPVGPY FPSGLKPVRVFCNTITIRSYPGGVGEYKVAGNYAPTVLPLKEVQKIGFHQNLWLLPDGLV QEMGVCNLFFYWKNKQGENELITPMLDGTILPGVVRDSILNLAKQLNKFKVTERKVYIQE VVEAIAEGRMIEMFGCGTGVMVQPIEAIGLNDKIYEIKYNPNLNSGELTHQLYQQLNEIQ TGGKPHEWISII >CAK91799 pep:novel supercontig:GCA_000165425.1:CT868665:25227:26618:-1 gene:GSPATT00024530001 transcript:CAK91799 MSKSNQVEIFYNEQVSPQESKKMKWFVLFAYIFLAFANVLGFVSYSPVWLNAAVYYDIDA NDLYWIGNIYYITFFVFGPPFIPLIEKRLDLCLQVSSILTAAGAWIIWVGKQSFFMCLVG YFFIGVSEALFLAVPVCNYQPQVIVDLSEIWFTAYDRTLATCLGSYATLAGIMASYTYSS FQFWDLIDQEVINVKIEEMNFIIAILNTICVPFCFLFIRRISQRTRSGEENVKFFQQFFD IFRIEEFSLDLLAFAMFIGISWVYISVIALQLYPFGYTQLEIGITGIFFTGSGIIAGIWI SFKLDQQARQGKQPDYDTIIRYTTLLGFMALLLQAFLISYLPFWLLIILNIFSGIGLNVI YPIAIEAFVEKLYPLKSLIVSTWILGIANVLGFALNYILVLPTILQYGIWLSLLILTPFQ LYFILFYKSKFRRFELSN >CAK91800 pep:novel supercontig:GCA_000165425.1:CT868665:26703:28083:-1 gene:GSPATT00024531001 transcript:CAK91800 MSKSPAIQFQENMQTQYSNKAKWGVLFAFCYLAFSNVLGFVSYSPISSNAALYYQISLND LFWIGNMYYITFFVFGPLFIPLLEKRLDLCLKLASILTTLGTWIVWVAQSNYVVCLIGYF FVGISEAFYLGVPVYLSEVWFTAYDRTLATCFGSYATLAGIMISYTYSSFQFFGIEEEQV VHNKINNLNLIIAILNSLSIPICFIFIKFKNQLGKTTEDNINFLTQFFNIFKKEEFFLDL LAFSIFIGISWVYISVISLQLYIFGFTQLEVGITGIFFTGSGILAGIWCSFKLDQEAKQG KQPNYDIFIKITTLIGFFALLFQAFTIQYLSLWALILLNIFNGIGLNVIYPVAIEAFVEK LYPIKSLIVATWVFGFANLLGFALNYLLILPVIMKYGLWLTLAILTPFELYFILFYKSRY RRFELSN >CAK91801 pep:novel supercontig:GCA_000165425.1:CT868665:28164:28668:1 gene:GSPATT00024532001 transcript:CAK91801 MGSYKSKNHKVDINTLPVVDPEPDYVIKTRQPMPSNWQLNILRGKREDELRGLSYAYARR KCHKAVNDLLQCEKDYGSFWAAFDCQAENHDMKECLAHEFDVEMDKLRRNMKMNTEWWWK DLYDENGEVGDQAKWKDEYWLLPILRGYKDILYSMITSNN >CAK91802 pep:novel supercontig:GCA_000165425.1:CT868665:28716:29177:-1 gene:GSPATT00024533001 transcript:CAK91802 MYQQILPAAVGTFLTSVFGHFWYSESCFGQQWKAGSQIKQPYQNDNSNGQIIEILARFVK SYCFILFYVYLNLKDYSSTFQLILIIVGGIILPNGLSALAWEMKHKEYIIIQASEHVASL LILAICAEISQKST >CAK91803 pep:novel supercontig:GCA_000165425.1:CT868665:29188:30538:-1 gene:GSPATT00024534001 transcript:CAK91803 MDKLISFKAKSHVGHLKQVITQEQKLQFYSEEEGESSNSQDNTPTPDNKGINKPNPKQNG FQTDDSEGGTPKSQRNTQQQHTTKMSRFSNLVNTKRIDGDVENEPDFSDTENNRGPVEQG NQEANYNIEEQAQRIQQKLSLKAQANPKLAKAKQTSSMQNLNTNSDQEQNKKNPQLNQNN KIAQHPFRHLIFGQYINEQAFKKHLLLTQRGLIYARKCLKGPSDKFIESKKVYLNEITPK KEKTLILDLDETLIHSCTPRENPQVYVTAIGDFGEEAKIGINIRPYTSLFLSSLSQFYTI YIYTASSQAYAQAIIGYLDPKKQYISGVLSRNNCMETKNGFFIKDLRLIGNKQLKDMLII DNLAHSFGFQIENGIPIMEWHNDQNDQELKALIDYLKEAVNYPDIREYNTNYLKLDELME FNLDE >CAK91804 pep:novel supercontig:GCA_000165425.1:CT868665:31306:32260:1 gene:GSPATT00024535001 transcript:CAK91804 MLKGVFAGFSSQISIQLFKQYYRWPMMILIPKYYKELLPSSWIQNHPALHKGLAGATIAI FESLVTCPMERVKCQLMTQYESKSIIKQLWRNEHQFSHFVKNIYTGMEAMMLKQVVSWTN YLYWDHKIRYLFKDSPSQALTLQQIGMCSLLTAIPNILFVQPFDVVKTAYQMENNANYKS LTIPQAFVKVYQDKGLKGYYAGWQLRLSQFIVQAALSTPVMDYLERLHGLPNDF >CAK91805 pep:novel supercontig:GCA_000165425.1:CT868665:32281:34364:-1 gene:GSPATT00024536001 transcript:CAK91805 MGQSSSQNLQQSRMQVPKINELQFWRQVNDIRYGEIKVYKLNDGHAVAVKDHILQDEEQW LRFKQSAEMNMTSRDKNLFLIQMIDLQHVTEKELCTQMTQAHSVYEYFDEYLERVITEQS ATKQHFEEIEIAAMIHCTLMALQKLTKQQKSHGDIRPLTISVTSFPKKSPHRQNEPLPVY KLTDIQELTDMNAFRRCVAKQHAQYNLSPEQLTFLKQRVLRPTYDLDKSDVFSIGLTALQ MATLNSIYDIYDNSNYLITTDKLDGYIREMKTLYSEQLCSIVCQLLQLAPENRPNSYQAN DLLIGFRYQLEDYFRQSTIKEHLPNYSIEFRQTNKLPNRVEEHQIAQSKLVDTSDVYEQM EILEQRAKVALQRSQDAQVRYQRTPGKRIKSTQWEPTNSYQIYNNLTPITQKELQLQLSE YKQEIQSNKQSTYSYNNNAPQYLKKEVNSFHNHQDQEEYEYNQQEIDEKPNQSVLEQIEL ANSNQKVHSQRPINNNTASFSHQQLFESINTEQAKPYNQTYNNYQQYSQQSIKQYEQQQQ SYQQQPRQSQLMRDQQIQKQLTGQYEIKQPISNDNYYNQQSQIPRSPEPQNIYPTMEYQH QTMNEYQQQRFNHQITESFDSQQSSHSKQQVQVVQEQQSATRQQRPNIQRQLTGSIVETR KSIKQ >CAK91806 pep:novel supercontig:GCA_000165425.1:CT868665:34391:36236:-1 gene:GSPATT00024537001 transcript:CAK91806 MDPLPQFAGDDQQITYLFAWGRNKDGELSIGNQKKCNAPKAVQGLKNQTVQLISSGSNHS GIVTQDGQLFICGSALHGKLGFEDANQPSYPKFLLVQAMKGLFVTQVATGDYHTLCLLDN GQVYAWGGTLHKKLGSKAGKPARIETLKQTIVQIGCGDFHSAALSANGDLYTWGGGGRDY NRGQLGHGHLNDVETPQIVKDNIVKFSCGGYHMMALDKDGELWSWGSGMYGETGQGEFQD SLLPKKVKINFNQKHIIIDDIFVQNKQESTIKEISLGGHHSLLLSNKGIVYACGYAQHGQ LGIKATENQNKFQLVIGISGKTIVQIAAGWNHSIVLTSHSDVYTCGHNQAGQLGVGDYES RTQYTRINFLEQRRIKQLFAGGNHSWALIDYNQPRIDDYSPPSPLNEEVKIENFTQPLDQ GLQDLEIVITEVKLHHRFVKIQVPAQFQTTIQAKINDYLNFMQKDPNTKLSKFQNDALAL GVKEDNNKLSFTIMMIMDLSQYKMPFEELDEGKVNQIGKQFVVKRSAFNKNPIYDWIAQA EKIMNIPGIQIKFLELRPQQ >CAK91807 pep:novel supercontig:GCA_000165425.1:CT868665:36260:36679:1 gene:GSPATT00024538001 transcript:CAK91807 MDQQLEKWKLNSQYFIRDKYFNLYKKLGNQALRQQDQLHFKRQRIFRNTSFKDREKLPPM EQSSKKCDYSLPEFIYPTVHQVSTIDEYNKILQARIRSNEKKERNEWKLPPLQNEYKEKY QLKRTISQADLKIKLEQIF >CAK91808 pep:novel supercontig:GCA_000165425.1:CT868665:37812:38647:1 gene:GSPATT00024539001 transcript:CAK91808 MSGYGFVRKLGEGEQGQVVEVINYRDQKNYAAKQISLNLFSEKLFQRLQQLNHPNIVKVF QYEINSNTAYYLMELLHEQSLYKYIRNHQLEMEAISFYSAQVLLALDYLHKNDIIYRDLK SENLLLMKNGYLKLVDFDLSKLVKQSQKTTTLCGSPGFIAPEAIKGQGYNHSVDYWSFGV LLYEFSTGELPFKGKTPYEVYQAILNEKLEFPFSIDKSVQTLIKQLLTKNPQNRMKKVKN FEQILANSDVYSLLDSNDLYNQKLLAPYYP >CAK91809 pep:novel supercontig:GCA_000165425.1:CT868665:38706:39439:-1 gene:GSPATT00024540001 transcript:CAK91809 MICDLVDYTLRTTPNKGRFSQTNTTASPNSSSNSSFEASQLKIPRPLLSFDEKFEASLCA FEFKLLVPEEDGLINFEQFSQELIQEKLIFLNDDKYLQQQQASLEIAEENILKDDRTTLM LKNIPRSMKPTDLRNILNKEFRNLYDFFYLPLDNNVFLILQLKNEGHLGYAFVNFINQDV VLRFYRTFNNQKWSNTEKQICQLKYAKLQGRRQYEFAR >CAK91810 pep:novel supercontig:GCA_000165425.1:CT868665:39471:42332:-1 gene:GSPATT00024541001 transcript:CAK91810 MASEEEVNIEDEIIEESQVEDNPKKKKDKKDNKIAMKQDQKKPIDGKQQFGDKSICRLCK VTTQSTPTIICIRCHFKYHKECIRTQNKEPQFQDGSKWYCLSCVERMAKRKLKESEPQKK KKTSQIPEIFQKTLQPQEDKLKKHTEFQQKYPTYVQQGRVIFPIFDEYLTAYQQLFTIEI KKKPQLQLDPTLPQNLFEDVLKIWDTYNYMDKIVSDILYAGEQQTEQQSQVNNIGTLIHF RDNHTPYSQKTRSEIYNLIKTKPDELILFFCYFYLKQIIEDLDQEQMQKQSYVTHIKIQP QKIPYWHLLGYMWFTNRVRYYELLRDDIKSLPTNIYKQGILHLAQDLIDFGDYTDIKKVC KLLIALSDGLTGLKKTQFLYQFRTENLLSNNRIKQQLGTQIKQQRSQQIDINKEIIEAEG NFSIAQSQLQQDGLTRVETQKFTKSMEQAQKQALKLKQQYSKLEKEIASLTERYNQQDKE LAITQMPALLLNPSMCLGQDAKHSSYYFFLYEPDKIFVCMRHSIIDDDGSQQQWGFYDQT EIQNLLNSLCPKGVRENTLKNNIIELQRAKLIQINELNQEQSDQIEQENHKNGKRVDIEQ EGHNQSEEQIERMNSLINLDVDELVNEFIEIESSLTQYLNQKNSRWCSTEQRTGFLKNFQ NVMEKKNSQEYDEIDLQPLGKAIEYFVDNTMMQEKLELRLEEEMDDKQFNENDEDSYQPN RRRKVVEDDSSVDEQPQTMLQQLEQMDTGRVRVRKLPMKLFGTYYETLRQNLIEQLKFDC NLAKMKICLEVLGQIVKDYIDRKKIQIQQQILPVGEKKKVEEIIIKKNVEPQPQFVQESQ LQVTKNLRKRNQVKPQYTETETKWEDRCKKCNKGGKVICCDTCPKVFHPKCINLKEVPQG KWNCLNCLRNFERQIKTRATIRKLENQ >CAK91811 pep:novel supercontig:GCA_000165425.1:CT868665:42539:44193:1 gene:GSPATT00024542001 transcript:CAK91811 MQQNNSAIKHLIKPFSFELPQSEQFNPLLLDNLNWDYVQANLDIAQCGPFLKNFVQSNFT SDTIRNMEFRQFKKMLEVCQFAMDFYTQNQEQKEIEIDEKVQVLRNKQEQLEKLKEIEKQ HIDKQNTINKELELLKAEAKKVKEQAVKNIIFKCHHCDKCYTSKQELLKHIDNKHVEKQK DDITNPEYLGSMLQQLQQQQTQQQQSMANTQQSFMKQQQFGNTMQSMPDPLMIGSAGFQQ LKQQQIEKMEQKQKRKEERKIKRENDRDERQKRILQQEEQMKQFQNQMKVLIEQKVQEMV KLNNMVGSQQQAQIIQQKKLIAELEELKQMRFVQKEQSIIQLDPQQVNQSKSNDDEKILN IIDKEVNDLDISQQSLHSQQLSRDQKLQINKNQKAGDQSGMMSFHNYSYSQNSQNMINVK TEMGKAHQIKNNQLNVAEMAHVGEIESDNEVLDQDGDASYLRPVNKKSEVQQAEIEFIEA IRKKKILKEDPQDDDDEDDRDFDDLLNKEKQKLLGPNTESQTNKELLESLNKMQQLSKDK S >CAK91812 pep:novel supercontig:GCA_000165425.1:CT868665:44273:45779:1 gene:GSPATT00024543001 transcript:CAK91812 MKSANSNLPNSIQPDQNEINEYIKEYLRYSSFANTLECFEAEIKSKQVSNKMLNKQQQQK QIGDEAPRLFQLFKSDNTKTKREINLEKEQKAFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNENLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLFKNFQDKH VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATEKFDFVVHLLDINNQSLRH AITSLISVISSTLRGVEYLTYNGNMIIIEKIIKILKEQENGSVTQRFCLAILQKASIKDT VIPTYVHNEMIQWIILLIQKSINTKIHIFCLDFASATLANVIHTPYTLQHLEKQGRFAHQ VMEQLLKFMKEQIQVSVLMHVLICLSYLSKENFAKQMEECRFVERISEFVEYYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETVELNELKTEDRIREYENEQGELIFECFQDE VS >CAK91813 pep:novel supercontig:GCA_000165425.1:CT868665:46047:46635:1 gene:GSPATT00024544001 transcript:CAK91813 MEQNPVPIFEQTKDKTKQRKAIDQEIKTKLILSVVNDRLPIYQAAILHKVKYSSAKHILR NYFNDSANFFSTQRKRKRKILCNNVFVLIDACSGKISIRKQQPHSMSCAHNGVTPVLQQK FISQLSNAIYQEVHPIQKKLSRYTNEVDHIQKDLYKLYDIIKRQHNDMTNLKEQY >CAK91814 pep:novel supercontig:GCA_000165425.1:CT868665:47942:49271:1 gene:GSPATT00024545001 transcript:CAK91814 MFLDLVFNFCCSKRQRDEKDTKSQNSNKSQEEQFLEQRRIELDIGKTAMNEMIKSKPASS IGSPTDNYLQLNEEKQQKKSSFEEDNIQENQFDYQMEDANRGNHYHVKDHQGVRSKSQEK VDKGLKKKISKAKEKQDGDKRVVKIWQPEEDQRLRKLYQEYQGNWSKIIQFMPERNISQC SQRWRRINPIQNKQKWNQEEDTKLVQLVAQEGKNWTKLARHFQGRTGKQIRERYLNKLDP TLNFVPWTEQEDQEIVKYYNQYGAKWSVVASHLKGRSENMVKNRFYSHIQKHLLGRQNKY QIIYNSGHSQQNGNQQLQEGSQNMDVEYSDTSNSEQKLVHSENYSSSIGSSTFTFSYYED EDFSGNGQDLIYDNQFEQDFDEKARVY >CAK91815 pep:novel supercontig:GCA_000165425.1:CT868665:49729:51001:1 gene:GSPATT00024546001 transcript:CAK91815 MGADQSDANEMKTSQQYQMFLLSYSKERESDHNQFGLVKLYNHNQNNSMICIKKLQAKSE TELTQITNHLRKRKIYVHPNLVQLLAVRTSNEQSICSDSSLVMVISEFFPDSLDSELKKR RQPVKRYPESHIWLLIQQIVDPLAFLEDQKQVHGDIQPQNIYLDENGSVKLAESNYFPGG QNGFQKMLLIKDKAYLSPILLDNYRNMNFKAQHNEYKSDVFSFGMTLLEVLLLEESYDCM DFQNGCIIQSLVDSKLQKVRRLNYSQLLTNFVRELLQIEENQRPSWSDLKQVIDQFRDKI FNLIPFYQEKRQMSPNKVVYQSSYAPQQQILSSPQSKILSSPRQPQVLYQTYHQPQPLYQ TKQMPQIPMQQSIYFESGQKQVPIKKITKLEDSASKQIQQPENNLEKTTLKQSDTK >CAK91816 pep:novel supercontig:GCA_000165425.1:CT868665:51823:53167:1 gene:GSPATT00024547001 transcript:CAK91816 MNYNHHQIVERWLRRFRGCLNFCYGSDSQLITMELHKGGVQLLSVPQNKNIFNTRKYRLY NFLPKTLYTALERFGNIYLLGISLIMLIDPTLSPFYRWITIFPVGLSVIFYVFMEFILDI RRQSHDHKINMQTTSRGAKDGSLETIKWSDIQIGDVLYLIKGDIVPADIILLDTGQVRDR EAICMVDTQYYDGKSTLTKKKSSYLTQLIVLRTRLKNQFPEYRKMLTGKLEYEAPNGNTE RFHGRLKLKKDPKNEELTIDNFIPKGTKIKQTSWLFGLVVYVGENTKTMQSSHYNAQKHS FEEKQCNFYSFLMACLSLFFTLISIIVLLARSDEGNFALLIDNNTTNGMKVFQLAILYAQ LIPSTLYLLLDFVNFISLFKYEINQIEDNITKYVKINTSNNLSDLGHVDYMLIDKTGTLT TSYYKLDNLFVWFPIIYFEL >CAK91817 pep:novel supercontig:GCA_000165425.1:CT868665:53406:58858:1 gene:GSPATT00024548001 transcript:CAK91817 MLNMMENSLQQPLADYLQTRIFLPQPKTRNSPGNNNDEFIQLVNQLKSSSPQKEYLSTDD INTLYYDAFLKCLMLCHEARPVFGADSITYESFSKNEEISLTFARSCGYSLENFNKFDSP DMYLCKVRGNPIWYQILGLNLFTYTRNLNSVVIQAPMTMDLELKQKYEEINQLCGEGSKN NSLLICKGDYEAIKTKLQLNHKEREELDSYIQHYKQRGIRMIIYATRVLSEKETENYKQQ FNLLHSSLTNQDTLLEQLALEYEKELNIMGMIGFKEELKNDALDFIKTVKDCNINIWLLS GDQEVQTISCAQSLEMAETSKYLRVVATDKEQIWLQINTAIGQIQSELQKIQEKQQDKHQ NENLMVRSMIKSCVTLFEGASYQQVLQFVLVVNGHSLSLISESPDLISHFRFLSCVCKNV IGFNMNPQQKELACLIIRNYFPNNPTVLGVGDGYNDALMMQAANVSIEIINSKRNHIYPQ VNAGDISVNTLSEIKVLLLQKCKLHSERVSAMIIYLFYCAGFLGMTLFFFNWFCQFTATS LHDSMTVFLYIFLYTTPNALVIGLADKQSQPIVNARFPAFYIDGQIRTRRFWFFYLIEGF LESFICAAYTFYSCTYMVNYAWTNDGHQSDIQMVATSIIYLLITVSSLKVLFRLFYNKVN IVVIAFLLTFGLLVGFVFINYRRDFSNFDYQELTYQLFTRFNSIVAMVFSLIGCYFINYF LHNFIKLIYFPNAYQQFAFQNVTGQEWELITNQEILRQCLDQHVNVSSIIQNVFIDCSAI SPYIQEMLNPGDTKVTEMKLKPLTLEMKELVLEQKFLAHKLMQSLKHLRLFLCILLLYYI AYCLTDFFMTDKRVFTGAYYLVVFGIIFFIILFSCSQAMELQYYTYSHIIVLIIFAIKVA IDWLSDDLTLTLSATIVILFSTFNSMNMSVIPIMLYNICYLIQLIVRILIVVISTDLSTS NGTYSQSRVSVYAASTQILLVVSITIRFLFSYYKSIKHRRNDYLAKYQIEQDNVAAQDIL SILVPRFVRQQIQTGIFSMQQAQDDVSILFAYICDFDTIMKEEGKNVVLMLDSLFRLYDN LCIQHGVQKIETVGYTYMAATGIKACEQNMTAHVTRIEKTMRLVNMAFDMMQQVQGRKYG KGNQIEMKIGIHVGRVIAGVIGHHKPQFSLIGDPVNQTSRVGSTGDTGAITLSEQAFKQA RHGIKYYQKKQKEAKGLGIIDTYQVFKTKPAGYQIPKAFQLWQNCTKLVVKDLRQHRTSG TKKQGQFLSQLQNSIYQDNLQKSNRLDASPRGQQTVQFLMPQGGSAQDENRSRLTIAAQA NEQIVTEDSILITNEQEEKELELIKPNVILDIPENEIKSNFYQILKEQNVDESRVGMIFL WITYFVITLLSIIVRKLFNHDLLIFVLRAIFLILSFVLFPILSKAYRNRAVNTMYYLLLI YAIFTVLFQAYLTENREVAIICLLEILYIMIVSCQMKMFSFLQVILYMMIMFGLFLGFYI STDLITHYAIFYICCCMLILLLGYYLAMSEQIQMFNNLQINEEKKVKQINLVSQLLPMHS YLKMKNSSIYDKSDFIDEFDDVTLLFADIKGFTEYSHTQSPEEISSLNSINFVKDTMSTK CTLLVIVIAKRNPIQEAINTVKMGFQMVDIIMSVRQKIQFDKLNMRIGIHTGQVTGGIIG TDIVRYDIYGKDVSIANKMESSGVEGRVQISETTKLMIERAGKHAFNFKFHHDVELNKFN MNIKGFLVDWDKKREEASLDHYRSPSRHL >CAK91818 pep:novel supercontig:GCA_000165425.1:CT868665:58859:59429:-1 gene:GSPATT00024549001 transcript:CAK91818 MKTHTEVSSQRRKGRRAQLGAPSNIRYKLMSAHLSKDLRKKYNVRALPVRKDDEVTVVRG THKGTKGKVSSVYRKRWTIQIEKLTRTKANGMPYQIPIRASQCIITKPYLNEDRKQLLAR KASAKVSTKGKGEKHTTESTKKAD >CAK91819 pep:novel supercontig:GCA_000165425.1:CT868665:60768:61117:-1 gene:GSPATT00024550001 transcript:CAK91819 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK91820 pep:novel supercontig:GCA_000165425.1:CT868665:61200:63290:-1 gene:GSPATT00024551001 transcript:CAK91820 MNSQIERNSDPPRTNVILVVITNKANKTLSHDKYFKVFSPFGTIQRMLIFERSLTWKTFV EFDNPESALKARSQMNDKFFCDDNTLLMNVYASKLTYITFQENNTGGVDYTQLRKKESSP PNEVTQSSSSIKSNPIPPQQNFQFLQSQMQFQHQMSQQIQQINSLMGQLQQACAEGFATP LQQTNDLQNQIEKQQSILKDIYDFQLKFSNLTDNYQNFLQEYNQLDDQKSISVQSSNNGK QNRKKLTLPNDKKAQNGDQIEFIQSYHETDVTKDVMFHSSDKLIDQMQVQKMYLSEGKSV AKAEDLNFNGLGLRDSEGEDDNLQEYEEELFQCDNEIENEEDDINNELFKFVDQNQEFTE GEKQKIFNKLNQDMLFDKNDNQVAHQVEKQTQQNTIEQSSRSVDNIDQLISKGKIQRQST SKSQTLQQQQEKLEEYVNPLFFKALRKSKVIYARWFDKKVVTSAMLYNIFSIYGNIDKMI YLKERSSCLIQYVMQGHAAIAKEALNDIMFYGQQIKIFFSNYEEISLKTQPTKPGEIASD PKTQEEYFQGGEETHRIKPDSTYTLAPPCDTIQVSNLTRNSCQNSIMQQYMQDFGQIKAL KILSTGNKYLCILKYATTEVALTVLANMNGLELDGKPIQINFSKQKL >CAK91821 pep:novel supercontig:GCA_000165425.1:CT868665:64317:65446:1 gene:GSPATT00024552001 transcript:CAK91821 MSEQQTHNSIYPFYQFCLEGPSQNFLKCTNCIILGVIILTLLSILILQLQRRYMVGTKKL SKKCIILYMIMTNFLKSSIISNKYVTQLEPYFRTTVFIFFYYYISLKLSKLVTNRKRAQT KYRSLAIFLCALVLMGLVFVFVELIKEDEVFYECYFKYSLVSKIVGGCITIILIVFSAKL QQKVDDKVKPLYQDLPLLQMKTFQNNETQREDLRMIIVMCSASQFLTIFQMIYFYIKEHI NAELQFPNCTPCQMIPCLQMSLNNTIAFELFLRLSFFTIIIILPYIALLSFFWVSKTQLH NDYHRTISNEEDIWINFFKKMGYEKSKMDLSEITEQQEISSSSDLSK >CAK91822 pep:novel supercontig:GCA_000165425.1:CT868665:67130:68785:1 gene:GSPATT00024553001 transcript:CAK91822 MQTRNWRKKKQLLEKLARKNEATQAKNDQQLSESNREFFQKFQNFYENVKDILIPSLQQC IFVFRFLQEQFHISELLTDQEVYQLQMDLSQQWTPKLRKNWTDDDKQILIWIVLKICARD GTSIRKIPNKIWEEVVLLLSRRTVEQCKNKWNDLLKLSLQQIPWTEEQDILLLDLIRKSK EEGKQNKWCRLANLLNLHFKESPRTGKQCRERWNNHLNPDINRHPWNLEEDIELLEIVKK HHRKWAFISKNLKTKRSENAVKNRFNCLLKKNHCTSITTLINTLKNRFKTENPTIPLSAL KKQKVYHYYQKDQDPQKQQYISQSTNQTSFAIKLFDNLNLSDLKNLQPAFYNSKTQTIIM SSKQQLQTFLNNQVIKVENGLNVNFFSEMNIQQPELSNHGSSILNINDSSNFVRYVPCMI PNLVSQQTGNEDKKSQFNIPGLKTQNSQQQALLRNKNCSSFTFFSNQQQLDSTEKSIQNT SPLLQRDLIGMNKLQVEFTANE >CAK91823 pep:novel supercontig:GCA_000165425.1:CT868665:68901:70621:-1 gene:GSPATT00024554001 transcript:CAK91823 MIINQGTNKVQTINKKIQKKQLVKETKKVEVENEEEVPQEQSESEEKVRISNIDQLEEQP EQNKNVNTAILSDKKFTDFALCEPSKKALEKMNFTKMTHIQARAIPHLLKGRDVLGAAKT GSGKTLAFLVPALELLYKNQFQQKNGTGIIVLTPTRELAQQIFDVAKDLLFYHQKTLGLL IGGANRKEEAIRLQKGVNILIATPGRLLDHLQNTKGFIYHNLQCLIIDEADQLLKIGYEE EMNEILNLLPSERQTVLFSATQTKKVDDLARLSLNQPIYIGVDDIAQEATVSGLEQGYVI VEADKKFLLLFTFLQLNSDKKIMVFMSSCNSVKFHAELLNFVDMPVLDIHGKQKQSKRTN TYYEFCNAKKGVLVCTDVAARGLDIPEVHWIIQYDPPDDTKEYIHRVGRTCRGLNSSGKA LIFLLPEEKGYLGHLKLAKVVMNEFEFPSEKLANIQEQFEKLIEKNYFLNKSAFEAYRSY LHSYQSHSLKDVYDVNNLDLVKVSKSFGFKCPPRVSLNIKIASSTKRKQKVQSFMNKKKP GQWNKKQQSDGRQFMR >CAK91824 pep:novel supercontig:GCA_000165425.1:CT868665:70871:71737:1 gene:GSPATT00024555001 transcript:CAK91824 MMSSVRSRENRKHSQASKSLNFSLPKRASSQIKIHHLPSISNNSTCCSNSNTSSPPQSCS KLEDDCVDISPVSKNTSEDPLNYFNYEDFNSILPITINGVKILKVDWSYFSAPPNNLSRW KAHCFWTVGYTFDINMKKMKKSSNIKYRLVIQSWCCLNNKSWVKNKWDRLLEHETGHYLI GCLCALEFKQKADKFKYTKNYRMECTKLFQDTFQYYLQMERQYDEETNHSQNVSKQKEWN EFIKAELLKY >CAK91825 pep:novel supercontig:GCA_000165425.1:CT868665:71770:72759:-1 gene:GSPATT00024556001 transcript:CAK91825 MYKIPKLLKPPHKQSLEFQKMQEELRKSQQAQKEKVDVKIQPEFVVEEDLDEKDYTNFIP LKQEPQKFEQLQHYQPRDYLEEFFDQKGIIKRKQDRKNKMVNAINYEIERNEKCIDQLMQ EFQDYQEEINHIQGKVKDLEKEGKNLTILQKLQILEGNLDEALNLEIKEEFKLNPDDEDI DDKLDLMYDNTNNLIDEYEQLKYQILEEEERRREDQEEKELLEQLDDKQIEDRELQHELQ RRIEQVKNEGVKLQMQQKLQKLKESTLQRTEMKKASVKNYCRKSKPQQESTQKKKFSDKK QYNMYSQQFGYGKDKITFKPL >CAK91826 pep:novel supercontig:GCA_000165425.1:CT868665:73123:73504:1 gene:GSPATT00024557001 transcript:CAK91826 MSFQVWGISKQNCCDQNYLIYNVDLFDKNEKNKLVQQSRKKMTDINQDGFEEQRQRDWCN TKELSDELKSLNQRARVTMNKIIQKYSLFGIQQFLELIKNHQIILIRIIDLFKICVIY >CAK91827 pep:novel supercontig:GCA_000165425.1:CT868665:73768:74419:1 gene:GSPATT00024558001 transcript:CAK91827 MNLINGNPLFQTLFLNNLLYNPQIFQYMISQMQYIPRVDLRGENTKTQEDQNSDRKIQKQ EKLTQNSKQLLVGSETDQPPKGNTDSDDEESSSRVNGHWSKLEHQLYLQFVNDHEEILRS KYDKKSKKIFKLMSQCILTRTATQCRSHHQKFNPLQKSKRKGKSFVRPIPSVIIQEN >CAK91828 pep:novel supercontig:GCA_000165425.1:CT868665:74644:75990:1 gene:GSPATT00024559001 transcript:CAK91828 MKTTNKLPPRNTGTQLNYANQNLSIFQNQKPINLRRYTTGAEEMRRHQNSYGSQKRNESN EIQKQTKGKCQHNTADKQFTENGRQQHVSSLSDSQSQQPPLVMIKCQAKPQFENFKNHAN AKNHNFITQQQRNEKPHSFKQIAQVNSKHLSNCNELIKFQVYYVGDLQNAILNQNAKNSE MFREHIVVSFTYIPLIQKSEIDIQQIEDKKLNIPLARNKKYNKTIIFDMDETLMHCNEDE NDKCQFKIPIEFEDGERIVAGINIRNFAKEIIQKLSDVCEVMVFTASQDIYANQVINILD PHNNLGKRIFRDNCISLDDNHLIKHLGILNRDLRNVVIIDNSSCSFAHHLENGIPIISFY NDEKDNQLIKLYRYLCQYILPAEDVRPIILAHFKQDKLIQYETVEEAVKQLYF >CAK91829 pep:novel supercontig:GCA_000165425.1:CT868665:76079:76814:1 gene:GSPATT00024560001 transcript:CAK91829 MLLESDQQHESSNLNNLQQYKSSMRNRRKIYDDNDFREVVKNFYNLVSDLNKSIISIQSI KVRRISKPTIRKQSKQTKQRNAIPTKEPQNTQRDINTKPGLFQTQQYFNCCFSQQIVSQL IPNSNQTKQAPDIYDKNKQYLYFQRNQTHIQIAYNIYIKKFGSSLVENQDPTSIAKKVMN TNFNPIVIFYIKNQSQIQEEEKQSEASDVKQKNSEDIKQKPLKDLVREFQSDQ >CAK91830 pep:novel supercontig:GCA_000165425.1:CT868665:76861:77739:-1 gene:GSPATT00024561001 transcript:CAK91830 MINLKKNSDSDIESLIESMLRLMEYMNQNPSFKRKMFESFNHEDLNKIQKNLNDKKNITL RKISCLFLCCILQNEENCESFLKLSDLIPINSKIALNGIPERISKYVSNDTILKLQNAQF SENSLCWYYTYQMAKEQLPYLQFFSLNYLDIRKSINDFVDPLDSIIGIVYEKYKMSKPIT TSNDISKRDKRSQMQKLSVSPMTKQQQMGCTINNVSQEKYQKRYVISKVQQFNQSHKQQD NSTERKSVNYDVLRNKILEQQQNTRTAIVNQKPYKEIQSTSMEMKLRLLKNQ >CAK91831 pep:novel supercontig:GCA_000165425.1:CT868665:77762:79405:-1 gene:GSPATT00024562001 transcript:CAK91831 MKLAAKFTISLNTIPLNDCTSAITYLKLLLQNQQQIDKILIHQSLTDNQNRIIGMFKQDS YQLVQFVKYAQDITQDPLNSYQNYLNDVIQKRFPSKPFLLKCLQLNSQCNLQILQSMPNA AIDTLPHSVLNKIITTQQLDYKESTTVLHFLHNKKLLKQLLQCAWVQNYIQLSKFGLQDY NLKNLALLSRIYADIGTNFLNQVANSVEKMDLSSDQSNQWSLITLYQNLSLLRNKQELLR RIANLIILNDKVELKDLMQLLIGVSQSKDDLLAQRLFFVLRKLTLNEEDLPLFYLCGTYF KQIEPKRIDQEFKEGNLHKILLLMTPKQMGEFCVNLFRNYQINLCEFITKNKQIIHPQNI VNLISINNNNVLELDSLVEEYLKNKLIDIPGVMKLATYQQYQKTISTFIVDYQYYDIAFN VKELAAILLQIDKYLPNLGRLVEFSLQAVNNEMSSAQGLANIIYLASKKDANQDITLSVK IIKKIIDDCDPIELLNFLRVLEKNRELFDQLKMTIMDQINKRVDSKNRQRVEKHIQKLPK HLHFQLQ >CAK91832 pep:novel supercontig:GCA_000165425.1:CT868665:79471:79974:-1 gene:GSPATT00024563001 transcript:CAK91832 MGISICPKQLPSTNQEVLVQLSIKRPPFAVKLEKILKIELEDDTIEREDSPQLAQLQKTE MDELKMSFTAQQSEEIDNTISHPWLKKSYPQPQCNESLVKNDLQNASFEPNSSSFDLLKP KSILRIHKNGNPNSEHISNDQQSIASIKSNKKVSFDKQVQFSNFRKH >CAK91833 pep:novel supercontig:GCA_000165425.1:CT868665:80024:81629:1 gene:GSPATT00024564001 transcript:CAK91833 MNQYKLVGKKGEGTFSEVIKSQSFKTGNYVAIKCMKNKFTSIEQVNHLREIQALRKLSPH DHIIKLIEVLYDEPTGRLALVFELMEQNLYEHIKGRRQPLNPQKVKSFMYQLLKSIEHMH RNGIFHRDIKPENILLNSDHLKLADFGSCKGIYSKHPYTEYISTRWYRAPECLLTDGYYD HKMDLWGVGCVMFEIIALFPLFPGTNELDQVNKIHNILGTPSQKVFDRFRKQATHMEINF PPKHGSGIDRLLQGQSKECIDLIKLLLIYDPEERINAQQAIRHEYFRELYEADTQKSFQH TLQQIRISNHREQNDNSLERSQRIEESKQQHQMNFKKTQNYYAKSQKKSGLPSLQFDLRV ESIYKNTQHHDSDDDLEKQSSHKQMMLPQIPKSKKYDPKKIYGKQQYGSSNQPYQFNSKG KKVTHGLQGDYIVLGKKAMNQ >CAK91834 pep:novel supercontig:GCA_000165425.1:CT868665:81792:83534:-1 gene:GSPATT00024565001 transcript:CAK91834 MQSKTQINYDLATFSTICQRKHILKDITYFLYIIDDTMILTNELESQQPKYQLPLNFETR ICWTFEKDRQLSQFGFEYKGSVKYFVAKDEEIRKLKFHLRNKIMFKDVSDFYQPLKLLGK GGSSKVYLVVDKDNKHDFASKCVEKRYLKEDGGFQALFNEINLMAILDHESVVKLEEVYE GENTFYLILEHLKGNSLHDVLSKGQLTQLNWDQIKSILWQILTGVARMHQLDIMHRDLKP ENIMFKEANQITGLRIVDFGLATQTHVQNYPFPKCGTPGYVAPEIANLRDLTFKYDKICD IFSVGCIFYKLITSKDLFPGNDYHEILKLNKKCIINLDNLSIYRTPQAAMELIQLMLQVD PHQRISAQQALEHPFFSGGFTDRKLKFQSQKKGSGQGKLWQTSTFRMEKSDKLQLPEIKQ KSKQRDEDEVEDEKIKIKVPVMNSPRLAQHAKRKNLALADGSPTENPKKSAFKKFSTQEF DQQSPDTCSPDSARQHPTLIINNSPKLVQSQTLKRKFTYKNYHQHQAIYEVDDEQKNQ >CAK91835 pep:novel supercontig:GCA_000165425.1:CT868665:83725:85880:-1 gene:GSPATT00024566001 transcript:CAK91835 MSQFIDQEINGYKVQKAIGEGKFSTVYKAMNKEGNVVALKKIKIFDMMDPKQREKCLKEV KLMQPLDHPNIIKYLDSFIYNNELIIATEWAERGDLKKLIKNAQSDDTPFEEVQLWNYIM QIASALDHMHEKRIMHRDLKPANIFIGGDGSLKVGDLGLGRIFSSETIEAYSKVGTPLYM SPELLHGEGYDMKSDIWSLGCIAYEMAEFKSPFKQSEKMSLMDLFNNITKGEFKPVSNRY SQQLRDVIEGMIVVDPQKRLDASTVLQKSKEIHNTFLDTKKTPQIINVLMMEDIYEKLSL VQYHEYFCIPLKKKPVSKYYFSLDENVNQNQRFYYFVELCYWLMSLPKQKKNKMAQPIKL NYHNVEETARKLLIDIKAWGIKLPEQLGSPHISQGCGDMVCFILNDLLNRELIRINFKFE SPNFGKDLESSVIQVNKIDNEDLVEIQEEENENEEVEQDELTQSILFYHKKYNNESTDYN QVPQDRQVIETKVAMNEWTKEFNRVEKEFSKFEANLKVNKQYLKDYERTIITISKCSKQL SILSEHLKSSEVQEIQQQWINYLELLPKLETNVTQQIPENVQITLKENRNTISNLQFQIS QLNKGNSEKQEIDQQLNQQLLDVKERTPVLADNRSKQKQLINQLRNDIRDMDIKIGIMQT QISKQYFHTYDVLSDDEF >CAK91836 pep:novel supercontig:GCA_000165425.1:CT868665:85890:88348:1 gene:GSPATT00024567001 transcript:CAK91836 MLNTKLTKFIDSKADQVNSQLKSAQNTMQNQTSQLTNQITEANQTMKVAAPLLKAMGHSE AAQISKGLDLASKNLVPIIDQTNKTIQKGTDIVNSQIQKASTLANQQIQKINEQVMPQIE SGQQIIKQQYEKGMEAIKENQNFQSIAVKAAVIGMAVNQIMETPTDDGSEILNQRKENTQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSINYNTTNYINT DLNKQQLKQESHFFQNKIKQYIKKITGKQVNSRIEYLCLIQQKLKDNIDSNYLSQSKQSL KNEMWKAAYQERRIQSHQIFVQYMTKMVEFIFEYLTIVETVFQQIKMRYKSSNNSFEMIL NYCNNLSIQLDYYTTYSYVQPQQKFLSRLFTNQTKQQIKNGQKMKKSTKSSNQNENAETQ NQQQKEEKEDVQFYHQELCQNFNNLESEYQLHTNNIQILKNNLKGELRDKLIICQQMNQQ KISDYLMQVQGQRNITFEAVEQFYKSFIQYEQIYSQNVEAAFEGGQQIKDIYNEEYRMYY YFKSLIRQQQQFGLFTTYILKEISQFEYTRIEFLQKAFTQLAAELGKYFQFPNQKAINFS NPLILQQAKTAFDINQLLTSEQLVIIKKYSGIPLQNNISTDIYSAFASNFTIPSPESLMS LSPLILKKYDVKRDVAWERSLSFGKDFVPTSFIITVDGFLLFYDTDWKEQNNEEPIQCHN FMVINELAIKERQSDENVVELIVKKQGKLFDTHKKQILMMNDVMEKESLKLLLNQFK >CAK91837 pep:novel supercontig:GCA_000165425.1:CT868665:88362:89775:-1 gene:GSPATT00024568001 transcript:CAK91837 MLSPTVTENEHQRKIGEKLITIIRTILVTEREVEELKITLAENIRFDISDAFRAIDSNMK GYITSQDIFRFMCKNGCSVHQKFCDFWIFTWSKGDDKLRYQLFLEFIVPRYDRDAAMQCI VRKLYSEAGKCMNEYQQLIDKLSFYLEEFVAKLIYKEIDYLRTIEIEKMNLAQLVEWKFT TVFKYVAGNLPVIDKRCLDQLLNKFEIQSLTQQEYNMFLKRFNRNEDQILQKDEFSDAIF PSKELIKELQPPRDGFQEYVLNHDQQLDQLLGKDQINDGDDFIFKPEQHKLKLNSTSKDY NLSDKQEYFSKQQYQNETTQNQETYAPPKIQPTQNKALLMSFKPSQIQQPQSQFQQNSID QSVRASTPIQMRSKNYQSPNEQPSQNQQQDLLLQFERKQNASQMAFSQDNLSGYNNYYYN QNSNLEQSQQVQYNQYVNQPTNAQYILSRQ >CAK91838 pep:novel supercontig:GCA_000165425.1:CT868665:89922:91736:-1 gene:GSPATT00024569001 transcript:CAK91838 MKQQSEIAIGIDLGLKYSRVGVMINDQFELIANEFGNKFTPSYVAFVDNEILIGEAALNQ QAKNPTNTIYNVMRLMGRRFSDKIVQEEIQNLLFKVESDEHDRPKIVVQQKQEQLRLHPE EVCSMILSKMKTAAEIHLGHKVNQAVITTSCNLNFCSKRAIEDAGLISGLRILRIIIDST AAYFAYGMNLQNINLRTILIFNLGGGSITVSAGDIEFSIIEITSTSGNRNLGGEEFDNLL VNHCCQMFQQQYGIDLRQNARAMSRLKIQCQKSKETLSSVNQTTIEVEFIAQDKNLSIQI TRETFEMICQDLFKRCISYVEEVLKEGCLTQNSLNQIILVGGSSRIPKIQELLKEYFNGK QLYNSIDKDEAAVLGAAFMGALLKKQSQKCGEWLLIDVTPYNLGIGINGQYEYYLIKKNV HIPVMGQQKIKIQQAYKKKLEVLLYEGDISYNKENQRKLGHFNIDTDNIEQQGEITISFL IDGNHQLVISTEGQQKRNVVVQFESVTLQDEEIQRLIEESEREKNNQEIVRQKKEAKNKF ESLIYNYKRLILDEFARQEEWLESHQDEDPQIYIHKIEDLELKFQHHVELIKQVDFKNQK HPYI >CAK91839 pep:novel supercontig:GCA_000165425.1:CT868665:92021:92709:1 gene:GSPATT00024570001 transcript:CAK91839 MKNNKLSLKVMLKNTQNQPKKDDKAQINDNMSISQHSYFGPSKQQYECQWNPNICITAKN DSNLEAFDESQIQTNQNIRTANPEQQRQLISFIYSDQTIPTMRQLLQVSEQLIMGKICQK HKHNQNHQTRTKSENVINRRQNLEKNFLDSKLINKELRNEKYKMFSHKSIKQIHPKYMQH KNKALQIFINGQVLQKSFTPTSQQSIRCKSMSPAPNKVRLFMI >CAK91840 pep:novel supercontig:GCA_000165425.1:CT868665:93408:101440:1 gene:GSPATT00024571001 transcript:CAK91840 MQLFSILFLILIFEVNAQDCVNKFEILKGAYDQISNYVDTTNYQTQEAYSYSFWIKYANL DPKFKINEAKDGQNWCSGYTYIIWSQVIGFGFQKTSLLDLHIWKDVCEFNFYYGEIDAYK PFIVTIQQDPQMLDSQWIYFMISYLPNEKNIVIQKNSDITILNVQTIYSGNAQTTLGWDG GFIVIGNSFKWVETFPGYLTNQVYYDKQKMSNDMQYQGFLNCKPKEVSQPISKIYVDGLQ QYNSGLVIVDKLLLLGSKYFIHSWVKLNLDQVTITNPLSQLLMRITINSYYNDIKYEGDR TFYYKYNIYKQDVQSSNIYVETSHYKIPFQCYKSNSIQGEVNDFEKWLYEDEQLYNLVQE WHFFIFEQGGGESLEENSCFYLYLKSRTQPIKHCFGSENQRNQYSNGYYFIYIGQDDFIS KKLVGSISDFTIKYNYNEVQETKMICHFSCSTCDGPTANDCLSCDSQQNRLFDSINRSCV CLKHYMQVEKNKNCLSIKTIFPFSEENQITTIDEEACNFGYFKIPKDDGFICKKCPFEII THIYCLDCYEYPNQWYLNTICTIDFLQQDRTQDQGYILYERSEYEYEVFLLDIEDNLKYF EQYKYICSESDIRLGFRNCVQLKIPNLKQETFVECTSNYYYENGDCIQCQTNCLQCQSKN QCDLCNSQWYTQKGECFQCPLNCLSCQYDEQLQDVLCQSCTQKFTLIRGTCQQCGNYCQT CIEDFDTETQQYLNRCLQCIDTQKYYISITGRYCLENTIENCIYAYEYIQIDDLMISTLD YKFQTNSQITPIISCARCKDYFGVDETNQCQDITNFNFNNCVFSAYLDTIENQVCIIGSE SKQSNKCSLITLYCQTCIYLKSVEKNFCIACQNGYYADRLTGLCFQCPKTLFCSTCYQQM KTNQDYWLINIMNYYETIINKDGSHVFRTNAQSQSTSDYEVVCSACIDQYQMQNNQCIKQ CPEDCAQCILQDNQFVCLKCIYESQGRMLTIFNNQCLRCPQNCKVCRNRSQDEITALNPF FQDEDYVLYTRQCLIPSNDQVVYNKEFGIFQECNLEGFCENNMLFSINLYCDFEEYKNAE QQNQDNLQFQQGNMYLNDLFKNDFSTLSNNLLFQYANQAQISSITFSIISKQPQNCILGE DFIISQNLKEHIFTLKTVNIEIIGENLLTFKLSGNLLFVNFENILLQNIKFEVVPFLNQQ LKIQTQSLTYQNIKFLDLTFISSIGTLGCSIQINNAQQLLIQNIILQNYRQDGPNAFLDI YSSDQKGSTSILDFQLINTDIRNSYLFKFQTKKKHIIELQNLLISSNLLDSQFIFCALTS GCGEIKISNFEFTESVSINSFIFSLQKFTDSELSNLLIRNIELVESIFIQFIQTFTITNF IFRDSRILNALLFKNDLQADLQKSISIFSNLQLISNSYNKKSKFITIYRYAAQESSLIVQ RASFRENNLIDELMTENLKQIDQSLIILQSDQITLNDVIIHQGNGLPLISFINSQIIKIN NLYITTSDDTKDQIQKQELSILQLGLIIQFFATEQLLIDNLTVESVLLSNYPVISYESIT TSISQQNELFLIKNSSFFSNILVYDSTRNSVSLLELISEQNIVVRFENVSFKNNILYHKN QGQKQNSATLLYLDCQTCTYHFTFNLFISNIVLNASVSIIYANSKEVTIIGSLFSKNGVY NAEIKNQLKVLSVEVNNKMINQQSSNGIFYCSQLKIYDTLFEYSNAILASGFYFLSSSSG YLDVKRTIFQHNYNFISSDNSQGGSIYIEGNSNQYLINLENNIFKNISSVCGGALQIQNS LGKSMISIKNTTIEDVNSLYGSVVYAVFSQQIQSPGLISISNLIINNSFSSYFKFQKNLI ESIPNQAIENLFQNRSMFYSEYGKLSINNMYILQAHKEIIILDYFIKGLTLQNVNIKNTN YSQYGLILIYPNLVQKTEISIKELSVSGSLTSDLNIYSDDLILGLINIQNLNEQQYIIID DIQLQKINCSVCKYGLINIYGTVTQSNQIMMRRINLQNNSCGLRGCINIWTEDEILVDIA NMNQLKSRLLQQKNLKLSFPYSILIDNYLCIGNVANSGVCLRNKDYSLQLSSSQFLNNRA TNKGGAIVFQGSKAIFKILNSIIANNAAQVAGGVYYSQSFSQNYSYLNSYILNNSATYYG DDTIELPNKLLININNYQYSNKQVFFKNQMQFQTNIKTITQIIQLPSAQLIYLYQRFDWQ NNDYIMMNYTLRLIPYDQRNNRIKNLTSSKCTIKGRLYDFKKLEESEQKDFSNNFTNLNE VVYDKTLEEYNFDHLIIYFDPDLPDNIVLQFEFKCDSIRIPIINEDQQQIDTIIQQSEEY YLRLNVKTLPCQIGELKNDEDYSCHPCDYTLDYYSVNLNSNKCKIRDNIQMEQVKSAQIK LRPNFWRPYFYADLVEYCYNFELNCLGGWNYGDSSCSLGHIGALCEQCDIYNTRGYGSYS ISQKYKCGSCDDTDSNTLIIIAISLWTLISISISVKSTVEIIKQLAKASKLKQIGIMIIV TKNNAVNFIKILTNYLQIIASISTFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXFVQ >CAK91841 pep:novel supercontig:GCA_000165425.1:CT868665:101610:102140:1 gene:GSPATT00024572001 transcript:CAK91841 MQLNILDHQSTRVCGFSIVLAIGIYGSLQSGIIEVQIPFYIIMAIINFLFLAKLILQIIK AYFQQFELIIDIIRDLIRTKIPSLQQYPFFQKLLKNQQQTRLRAQKNFRKIKVSVILMSR QILQNKKVNNNNNTQERKGTFIFQTNLTHSLDKVLFSEQSSNRLNKNIAEKQKLIE >CAK91842 pep:novel supercontig:GCA_000165425.1:CT868665:102336:107115:1 gene:GSPATT00024573001 transcript:CAK91842 MDKVIIACQYQRVLSQFDEFPNHLFNSDRKQKRLLQSECHYSCQECDGSTEQDCLSCNSG VGRYYDSIKRICYCENNLFDVGLQECQTFVDAVPSGELNQENYQNEGFCKFGQFKVLLEN NTIVCLNCPKSLNGNINCLDCYLNPDLWYKEPRCTSDFIRLKVDENYGYLMQIREEIDFE TYFITETFSLISNPYYQKLCSEQDLILEVLDCKLMNQKHLDKEIYAVCKDNSFYTSNTCI LCPMFCLRCNKNECIDCINHSYLQNGVCFECPQECKECEYNYDLNIVQCNLCYEYSGLVQ GKCIECGLNCVSCMFWKFYDEDYGIWVQQLRCIQCVDPSKYFLSFNGLDCLENMLQNCLY AYQTDDLFFYYMTTYDYKFKSFSDILSGCARCKEGYDVDYKGKCVPSEDANGPCLFIYRN YYDENLCLISDQQGSIQNNQCSEIISNCQKCILESWTYKLLCIQCQPGYYAHRLFGYCIQ CPEELNCQVCYQQQTLFQDSWVVNIRSFYEGAIDAVYVQTETTIPKTFRTYAQSQNISDY EVSCELCFDGFQLYNNICIVKCPQDCLECKIENNQNICIRCQNDQYGKVQSLVNNKCLSC PSNCELCIPRSLEEINKLNPYFEDQKYDNFANRCVKSSNQQTFAYYPDYGYFINCETKDT LCQNVLAITLKLYCNQEQYNQDLELSSDTLEKRNTFLKTTLLLDDLLINSFQYFETDWFY SLLNIKQIKILKIIIQTQLKQDCYIKNKVTIAQIFRMHVFSLSSVNLEFIGAQDATFYIF DTLEFHNFTSISFDAINFGFKTSPIIHQQNFKFLSILQQTILFKNLQIIQEAKQIQDINI QFNNIELIYILDVQVLNLNLNSTNGLFQFTQPDQTNTGVIKFENLDIQSTNLINSTLISY EITNSFIIYLENISVNLNLTNSEFFACKQVISFGNLIINKLELTNSVIKNSSCLIQGNFI KLIDINAITLQNNQFDQSNLLSLNNNITLSNLKIENCVLLNSYLILNHLAENNQKDIMYL FKFSNFAIINNKYNLGTKYITIYRYTSTTSKIILDQLKINNNQIIDTEVKNQKKESSASI ILESDQISLKNFEIFKLRGITDFSIINSQSLTINDLYITSQISKEKLFLQKSSQTSDLGI IFNIYSVKLMRFIGVHIESLFLHNYPIIYYEQATKTLLNQDGQIEIFNSIFTNNLLFQSN SQFSTNLIEIFSGQKVIINVINSTFENNIIYFQTSSIGDQSANLLDIDCSECSVSFKELN FLSNVAINTTSSTLFIKATQISISESVFNQSGFVSKYIISFLNQIQVDYDNSLFYQGSGS GVYQTDTMYVNNCKFLNQYGSKGASFLFSPLNSGYLRIESTIIDTSQNYFIQKIEKGGVI FIKFFHSQILIGDHQLLSFKHLFNLRGVNNDRKSIKQHLNFNQQPSQIENFFSLIGSLVY FNFEELYANTSLLQLQNLKLSNTLEGFSKYIQLFYNNNNINLQEIEASENFLILLYERSI IFSKLGRISIMNLIISGLINEIIIYDQSIKMLQVNSIFITNSSIANKGLIYVAPFQSNIQ ILIFRFKFFY >CAK91843 pep:novel supercontig:GCA_000165425.1:CT868665:107358:107804:1 gene:GSPATT00024574001 transcript:CAK91843 MTSLLNRTNVDNEAAQTQFRIASIIIISMMRITRDYQKVKKQITAQIMLSQFKIIIDYQN QGTYGVCLPLQNLAGQINGAIFAQNTAIISGGAVYSFGNKSNLLIKKAQIVENIAAFGGG MTIRNHFSPNLVQYETLFFRKLSSLFWK >CAK91844 pep:novel supercontig:GCA_000165425.1:CT868665:108019:110259:1 gene:GSPATT00024575001 transcript:CAK91844 MMNYTLRLIPYDQRNNRIKNLTSSKCTIKGRLHDFKKLEESEQKDFSNNFTNINEVVYDK TLEEYNFDHLIIYLDPDLPENIVLQFEFKCDSIRIPIINEDQQQIDTIIQQSEEYYLRLN VKTLPCQIGELKNDEDYSCHPCDYTQDYYSVNLNSNKCKIRDNIQMEQVKSAQIKLRPNF WRPYFYADLVEYCYNFELNCLGGWNYGDSSCSLGHIGALCEQCDIYNTRGYGSYSISQKY KCGSCDDTDSNTLIIIAISLWTLISISISVKSTVEIIKQLAKASKLKQIGIMIIVTKNNA VNFIKILTNYLQIIASISTFQLQLPQNMESAMNSLGNPVETMAYSLDCFLKDIFEGISIH YSRTIWQLIMPIVYILLFLQLYEVGVILKQIDFNCCVISTSLIYMFIYFQPNIIAGQIGL LSYRSISEYKWILGNVANRYDTNDHYKWLLSFSFPVLFTFGVLLPALLFNRLYKIRNTLQ NMKNRLIWGYLYNEYKEIAYYWEIIKIIQKELIIIVLTFYQDQIIVKAVLAYGIIFIYNY LTLQIFPYQSMQLNILDHQSTRVCGFSIVLAIGIYGSLQSGIIEVQIPFYIIMAIINFLF LAKLILQIIKAYFQQFELIIDIIRDLIRTKIPSLQQYPFFQKLLKNQKQTRLRAQKNFRK IKVSVILMSRQILQNKKVNNNNNTQERKGTFLFETKLTLNLLETKQNQQRSSLDEDNKKI QVIGLNVN >CAK91845 pep:novel supercontig:GCA_000165425.1:CT868665:110305:111171:-1 gene:GSPATT00024576001 transcript:CAK91845 MNSDLIYLIEKVRHSMESFSLFVLRPPKQPSDINEIISKNKIKAIFYAGTNPPKIEVERF FNVQNTPIKEIVENIHKQLIQQESNVGLMCSETCETSLKIHLNFMVLIEQKGFDELRHDL FENIFSMEYLHQGQSILKPSIIDPVRKDKKMNEQPSLEIKTPQITNNHRIFNGYQKDDQN YLSERSKMILGKEDQVISELFQSDIIIPPFIGLLEASIEAESLVNSIKNISINNQQPLFK KEYKLPARIQFSPFIPNDGFKCFE >CAK91846 pep:novel supercontig:GCA_000165425.1:CT868665:112491:113174:-1 gene:GSPATT00024577001 transcript:CAK91846 MSQSLSIHQELRKQFVLQQVSNLESQLTKWVSHNCPNEDILQSHSDEAHSQSQTHTNVLH FQGLLMYLKSLSENPSQLEFHTLKSLQQYFDYMSQILQNELKLSQQRQTMKINDKTIEKK SQKFSKKSNLILKNWLNKHYSYPYPSKEQVEQLAEKCNLTQKQIQIWFINARGRIGNKMY EEKKFKNIVKSKYLSLNSNKPSQEKLEISQSDS >CAK91847 pep:novel supercontig:GCA_000165425.1:CT868665:113346:113761:-1 gene:GSPATT00024578001 transcript:CAK91847 MQKIKLLVILALAATLATIMYQYAPLEQYYNLRRLSHETYSKDEANYEFCTNLCTTKGGV NCGGKRKGCCNPSSCKANKIYGWEECDKGQSVKLGTDRCIGSWD >CAK91848 pep:novel supercontig:GCA_000165425.1:CT868665:113915:115851:1 gene:GSPATT00024579001 transcript:CAK91848 MLNSSFNFFEENDIGKLRKYFITKENEWKKDKAVLEQKTQLLELQLEDYKLRETNQRKLN DTITQAINDSTANQKKSIAELQKSIDIQQKDHSKTKFKEQIKQFELQVRSLQEQLLEKES QAKELELQYQKQYLLYDHRISQLEQEKLTNNQENQRLREQLAKQEDVYKQKEQQQKLLNE QELQKVKELSMQDHKSKQQDYESKFVQLSTLHEKEKEQLQLRISKCQNTIAKYQQHIEQN IDIINLKKQYEDQIKQINNNNTEIQAQFQYEKQILLKQIEELKRLNRDGNLQESMCCHES TSKKRSIDAVKIKSSVQSYDSPVQCKSFHIACPESKVQIYNNYLKNSSTQSIILAQKQQI QQQSQVNNQSFDKSSNLNDGIPISMDQFNLMKDKKQKSSSTLSIPTSNNYNLNSYLQEQS IFQQNQESFQLDSKSNLKDDTYKPKIIKQINPNISNRQIQFDYNRSIKHQLQKHKELNYQ RSMSQEGVKQQMSNLSKILGQTEVSYQQSTSTQQITNNTSLNSFKVPAFSQNQLNCLKNS QQYMYPCTTLRMKESHVHKFRNNNNHSTHEETKFNKENYQPIKKNSKNDIKVIIGRLLQN KGRQSEHQENTGYIIRNDRI >CAK91849 pep:novel supercontig:GCA_000165425.1:CT868665:116081:116566:1 gene:GSPATT00024580001 transcript:CAK91849 MLKSVIKTFRFSLFNTKIQQVQKHKYGPLQEKDFKLLNIRQTTSLREIYYSYMKHMKLYE IQQLEEKMELIKRAYLRILSKRLNNIDELTEEESKDLRFLMDNNFGFPFVEGLRRSEMIV EFQEEIEAKKLYHYPRITIALLWLILGIPSFYFSDNYVYEK >CAK91850 pep:novel supercontig:GCA_000165425.1:CT868665:116585:118333:-1 gene:GSPATT00024581001 transcript:CAK91850 MSVQMPSPNHYINKPIPPCKQAIHYTIRIALLSRKPFYESLFNRLVKMGLPVLSISPNQT LIDSINNGLLDIPQLQRLISIKLEGQPLSYHRCKLAHSCKNFIAEYLNSKQQIELEHMLG LIKKTTDTITFAQIKRLDYSQLTYEQEFNYALFLKLLNINHVQPLPNNLTFNSTELSGYY EIKNISDLRDHEQVETFIAVRKMRQQIYQIIHSIAEILDSLESLNIARIEDAFLKYINIK ASLQFPFTTSVNEDFIEKYISEDLITDESAQTFNKVSFAKPINDQSKNQDQSTKKINKMA KDDHLIKSKRENKESTKIKIKNDNLQKFMRKSTKNNFKKSSQEPSSKWDQDRQLEFEKKI SRLDSNIIQDFSELSHQLFNDDTNNKPKKHLQINKRKVYFDVFDSCRYYNGKLIGYKQVN PRQPFCQYSFIEYELDSEEEVAEDVYSEERSVDMTFSESLQEFIEFDQKQSFVQNEEPFT LICDHTNFELFQSYKAIILSDVTPIFEFDTKTNQNAKQLSECKYLQNKNNQIIQALENII TPLKKQCLQIQDTEFFLIPQSVEKQ >CAK91851 pep:novel supercontig:GCA_000165425.1:CT868665:118548:121880:1 gene:GSPATT00024582001 transcript:CAK91851 MKYSGKDDDQEDSDDQQKTSISQYQANKLKQQAKEMHEMLMLLKENFEDEDCDKYYILSK KYLNLILLLSWFNQWKQYVSYDQVVNDQPPDSKFGQTMLHGYNNDLLDTRINECFKFHPL NTHPWNTWLKPNLQEDKDYIVISQQIQDYLNQNYRGTQIMRNSIGQGKNKNVVVNLLRFN ATLILANTIMQIAQDNLTQFEKEILQADENCVIKDLYTLIQKTVHTFRGNYNTQNGVRIW RYKHQTDPFKGLFAEIKKQVQDLDFNDDQVFDFSGEPIENSPDITLKSLNLTQNDLVLIE FQQHYKPWCIKHPSVPVEGKCEGCGQISELHFPCKCKKVAYCSEKCKVNDEQFHLPKCDP CGSDDEQVKQIIINDQSVKGIAGLANLGNTCFMNSGTQCLSNTYQLSEYFITNKYFDEIN EDNPLGTKGQLVRKYASLIKKLWCGHKNIVIPTSFKKAVGQFQPMFKGFQQHDSSELITF LLDGLHEDLNRVKKKPYVESKDNQGKPDFEVAKESWDNHLARNQSIIVDLMHGQYKSTLK CPTCSQISITFDPFLTCGLSIPNKKQKSIQVKFIKSIVQIETKSLTFDGSKKTMPLHEFT KEQIIPEFKIDPNAELIFYTSFSNDFQTLIDPKSEINSVRKNSKRGYLVAKIINEDEKEI PVDDRIYLNYSQKALDGFGQQYKRTIFQSFYVIIQKEFTLKQIHLAIFKALLPIFCDVVS LSEISTPELIRQYYEHYIQGKYYNLYFRSQSAYWQQCGFCGLKNCQDCEADYKDDTYEQI KTKVQASDQHNKMELIVFWIQSPFQNVKPVDIYSYYQNQQYKKQMEERNATNNNEDENND NNNQNKRYVMTSNNYANNNLIPKVTLQECLRQSEQPEQLAEDNAWYCKVCKEHVQAYKSM QIYKASDILIFTLKRFKASSGFFKQKLETFVEFPVRGLDLTDFILNKNRPLDYEQEVKDQ EKIEEEFQEQNEGNKKLIYDLFAVSNHFGGMGGGHYTAFGKNHLNGKWYNFDDAQVGEVD EDQVVTKSAYVLFYKRRSKDENTQDFKTQD >CAK91852 pep:novel supercontig:GCA_000165425.1:CT868665:121912:123242:1 gene:GSPATT00024583001 transcript:CAK91852 MQNKKLKWKSDFEKSVIIENFVNRGWIKSQDKEEDSSDWNVYWATVWNVRNIFNPKSGFR LNDQQIINHFPNHYELTRKDCMVKNLKRFKREQDKETYEQQGWNFDFLPTTYVFPGEYSL FVEEFHRCPNQTWIVKPAARSQGKGIFLLRKIQQLKKIAGTTVTNNMTQLNLASKENYVV SKYIDNPLLIGGKKFDLRMYVLVTNYKPLKVWIYNKGFGRFCNEQYTTDVAEIENMFVHL TNVAIQQYSDKYSQKHGGKYSIDALKFYVESAYGTEAFQKMMDDIHSIMLTSLKSVQAVI QNDKHCFEMYGYDILLDANLKPWLIEINASPSLTTTTPVDKNLKLNLINDVYNIVLPNDD FPDNEQKQQQITKVGGFSVLYDESLDLMNRKAQKRQSVKIWK >CAK91853 pep:novel supercontig:GCA_000165425.1:CT868665:123267:125327:1 gene:GSPATT00024584001 transcript:CAK91853 MAPKKKASKKETQPTKKQPAKIEKVQPAPIPPSVVQNKPKNIKPDFYFVIPQDEEEHYRR EVYQRRENKNKSCIDMNEIRQRDNFAPQEIPVINKVSQKIVIEQECTNDSSQLFTLNQSE LPTSASRQLNKPKILSIKPKKLTMTVKPSDDAMDMEDDWYQELNKDINHTKHFLLGVPKQ QSSHNEKSLTTERNSMTKNTKKMDLDLQIEPTQTQTTVKLTKVMKTKLDSMNECYNSLLE ATIPEEILCRDYEKDLITKFIEDGIKSNGQSQALYISGVPGIGKTATVMEAQKKLSSKKD NFQFIYANAMNFGLPDNIYSYLLEKITTIKDASKAQACILLTELFTKGSLPATYKAYDKS VIKKNRVILLDECDNLFTPDQQVLYNLVDWPQQKHAKLTIIMIANTMDFPERLKPKLQSR LGNHRVVFRPYTSAQIETILQQRMKDKKIKELFASNTLNYLGKKIATISTDIRKTLCVCR KAIEIGREELQRTGQFKQIEVNHVKLAYDRIYNKAYHACLNSFSKSLKLLLITTALEIHI KGYNVANLQQVLQRYNQYLIQNKDDPIGYQEMKQILMKLSELNLVEIKEQNILLTKTSWQ QKVNDSLQKDNLRNINDVIIHLKINVDDIKNGLSNDTLFQQFSQFF >CAK91854 pep:novel supercontig:GCA_000165425.1:CT868665:126056:127951:1 gene:GSPATT00024585001 transcript:CAK91854 MNSWTLSFMDSNLESQFSHAQQKQVLRCQDRQRWLIIVILALLIVEQVLAEFWISVAIWG FSFGLLFISYRFRNETKIIEKIFFITIIMLLAIYKQNSKFFDGISKEQFNIDSVLTTSAT FWYNYHQFSIIRNFKIVKQYLILMIIFLLNMVIQYRNVGVSALTILQNLIYIAMIIQHLY QREQHRRINYIEDINQVNINQILFNQLNLQLYRVAYDTAACQLILLQRNLLSSINQEEQI EFNNQIRQIKISIKKNKRISEQIVLNQINQQMTLEQFLVLILNIKSSKLTQEVLNQNEYQ LVGVLNYEDFQISIHRTFYIMPSVIILIKKNYKEHQIEELKLKRDTLKRGLDTIQDIFVT NIRPVLIYYAWIKQHSNVQKDASKIKVLHKIIQAKLYKAQHEYQNLKDFFQINKSFQKTV ILSFSIDKIMSFIIEVVCENLLNKKNLKIQFLNKLQHKEINQDQAQFIQLFFNLLFFISE KSEDIKITIKDFQMPEIIHQILQVKIDYIGHPISRQFLQKLPIINPENLQDYIHNSTGTF SLDLPIALMIIRKLGPQDKLIWKQVNRGEISLEFYLYRNLPSDLHLLPVISLKPHKNIIY KSSQTRRKTLIYDHIYETVHLPAIQTDRELL >CAK91855 pep:novel supercontig:GCA_000165425.1:CT868665:127995:133372:-1 gene:GSPATT00024586001 transcript:CAK91855 MIKQYWLEYQQFTIGFSQIILSRDSKYFWIFIEVVAHLQKLALLFLFKVIMEILQVRIFN HYIKTTDQYSLFELMSKLSVPTILLFDSLTYDGIIVIQSLIILFLYGPIVFTFFAMHKEK FEYSTIKYCSSIDDQDNLNYNLNLLNRSYNMHNYIEYFLQKQFMGNEQSSFKQMEKFMQA SFLNIYPHIIVIPLLIWTFVIWNIIFYDDKLVGYQFGFMIFFNIINNIGTLLVWYLSMQI QQTFTIRDNNHLRLIKSFWFNLSQLIIILPIIIQQFYFIMYQDSDYDNNSTHYYLNNATI LILQIGNLIEFFICLPFMETYKTETILIIIPFTITVIISLISQHNQIEIISISLIAVPLV SRFLNEIKKYRSDQILYQSQYKEKQRSKVRAQSKTGLLVEEEQQFVIEKELNNQRQIQLE FLQNQNSIFFIHYLRLVDLMRLDNQQIIEKDNSVINVSRSKFLLNVIVLLKQHIIVCDNV YCYCKGFRGEARRIIENNYHELEMRIYKSIKIKNISIQVELIKRYIRYYTKLIFELEKEK ENFDIIRITQLLTYLGTSEECYQILLLVMEIKEKVMNLKNQSYNVVMTVLLSYIKHHILQ KMNPLVKIDEVEAALQYKDYRITEVRRDKLISEMFNNIQVKYDFIRKLIDTKYNYENLYQ VINNMVKYNLEVIQKIEQFNQFSFSRTSLLIYMFYSIEILNDFDKFIRLRNQLKTKNYKF FEFNAPNNHQQGAKVCYFKINLARHAQKGKISRGEIIQFSNNAPHMFGYGLREFKDEVLT VHQLVIPHLSAIHDQLIEIFLLTNRPQILRKKRGLLGYKKNKDLIFVEMFIDICFNIQGD VFPVYCFLKQIRSDGAYENVKGHIFLNHELRIEGISTMALEQFKMKNGKSLYLKKIFHLI QNFKKYLDLLNGKEKKFQEQEALRQEQGSCTKKLEYNEAEQLLNHFSVSADDLLFRIPKE GTAPETYCISCKFIMTIGKINQKEYKSYLILLQKITFADNTSQIWIESSRNLQSRTRTDT NFVPSLQRELSGSEKHDDERMFTNSDQNCEFSNIDEQKLNQSINFQEYQFQIGPNFHSPF DSQRDLLSLINEEKSQIPNIQNLDQNINSVQIDGLSLQQLQQINKSSQHIKPKQKHQLSY FYKSVSKKSFDVENNQSENQQVQKEQEIRITQTATTPNNGFPSILQKTHLYSKFSSQGNN PRLINVILMIDGLNLLLLLILSFIFYFSFQQKLDDNMKIVGILKAFVTTDYTKNTLLAIG IDTSQYEGYMTTEINYQDKLLELVQNNSEIYLSHYQEYLDDSLVKSTLSNININQYDVYS GNEQIVSAWTEQFYVIYMLQGLYGIDLNNVTTIQRFNSSLISLIRQYQVHKETYEELTIK FQDQLNSNVSDLEIQQNICLILFTLTEILFVITYVVIFSQSFKLLNRILRSCEQISFKAL ETESIRLLSLQHHYQQSADLNLYKYQFSFKDKCNPQTNFNDFFGSKKVSLRYQQIVQQLE QKKQFLIADEKPYFQRFFFLFSIITYLLGFGYFLTVKLFNSQNINDLQNNLDLFNQNIIY TEQFYQMMMADSVLLRYSNHNQNSKLGEDVIGQYKQLVNESYLQVVTFTQELTSQAQTSY YDLLQFLTTDACVLIKDDMCRVVIDGKLTQGLIYSTDYFSQKVRENIQNDYKSDFVSNIT ILDLFGLNYIAIGFENIMSSGQWYLIDKFDHEVTARRLLFALFLCIIIIYQCLASFLLFR FFKNRFFIIRQLPYILPPQSVYGEDSFLKTLIYVEKIYDNLSQ >CAK91856 pep:novel supercontig:GCA_000165425.1:CT868665:133382:135014:1 gene:GSPATT00024587001 transcript:CAK91856 MIKFGSNYASKIQHLYSNKPFSFAIIGSGPAGLYTAKHLFNDIENINIHVFEQDLCPTGL IRYGMAPDHQRIKRVAEELLTIKQNEHCHYFGGVSIGKDITINELDQLYSAVFYAYGAQI DKPLNIQGEQLQNVYSARQIVNWYNSHPDYCDLDIDFKNKNNIAIIGNGNVALDIARIFG LDQDELQKTDINEISIDKLKNNQINNIVIIGRRGLVQSQFSLKELREMTKLSQFRFLLYK PDLLWSLNEESQTECKDLGVNYGNVTRGNRRKLEFMKSFEIIEDEATMNSILKQGSNGRI NVILRFLLTPLKIELKENKLNLKLQHNQLAGKPFNQVAMPLDQQSILECDMIIKSVGYQS HNIDNDIPWNSEQNIVDNSDGCIKKDPTKLKTGSYACGWIKTGPKGVIDSTFASSQETIV NFKNHMDADLIRNVHDPYEQVVNLLRQRSINYIDFKVWDRINEFEIEQGQKAKKPRIKLM RNRDLLQFL >CAK91857 pep:novel supercontig:GCA_000165425.1:CT868665:135038:135552:1 gene:GSPATT00024588001 transcript:CAK91857 MYMRSIFKLRQAFSVLTKYTKDHEWIKYDTQSKIAKIGITDYAQKELGDIVHVDFQKVGL VFKTHQSLGAIESVKVAADIYAPVAGEIVGINEDLKDSPNLINEKAEETWILQAKVQNES ELDTLLDKQSYDKIINK >CAK91858 pep:novel supercontig:GCA_000165425.1:CT868665:135582:137214:-1 gene:GSPATT00024589001 transcript:CAK91858 MDQEIPQNYQQQQWNFGLQKVEEETLIANQDHYFFDMNFMILPDILDKITIKLKINHEVM SSEQYQQERQKLLFTMLKYDTGLDILIKLLYRRDISPLNGYYYLKTLDDMAHIIFDMQNQ LLDLAIEHNQCQKQISNRIVKLFNSLLNRKKKEISKFPPSPLAQKDLENLQQKFSRINDI NCVLRNFEFYKQNWRCIFDFLTSPQNKLLQKVILCRTEIQQMLQEETGRQVLRMMSELTI YQQELILTYIQSTKEIQEAEIVRGKYVQLYQAIYLMDRDPKQQFPDIVKLMMLYYFEIEN WKLLVIEELMELHQEQDAYELLLASKIDWNCLSNSDIIIKLLIASKRSLQAYVYVTELKD EQALRYFVIQMINQQDILNLLQIEFTPEQDNVVNEIIKNLADKQILIEFVKKLLRSRKYY QAIEFYKKLSQAPLQLDLKRIEELIQKRIAQLPEVEENYYLAKMNGKQLTEDELLIEAIL DNQEGANEQNHQGQRIIKYFVEQPIMDHERVINCCGWIRENHLGIIY >CAK91859 pep:novel supercontig:GCA_000165425.1:CT868665:137283:137728:1 gene:GSPATT00024590001 transcript:CAK91859 MKQKLKFDETLYADVEYLDDKGNFDFKKFADAASESIKIKNKDKAQNNFVQIQQKWDQQR QAIKNQKMEIKSKPVSEVNKKQMEIIDELKKRKSKLQLNQRNQSQSCLNQKITFSVKGCL INGQTNSRLGLSPTLQI >CAK91860 pep:novel supercontig:GCA_000165425.1:CT868665:137750:139146:-1 gene:GSPATT00024591001 transcript:CAK91860 MQKHSIHQQSQQQNSADTKIQILYQCIVERKHFFLNKKYYLQLTNQYLTLSEKQNQQNPK YRYVLSLDNVFSWIVKDNKIIGFQLPYQGQIKEFFGNSNEMQNLKIKMALLVCYEKIDKF YKKEEIIQSGSFGQVTKEICRFTQKSVAIKRIQVENNHSPTIKNEIEILKKFKDSQNVLK IQEIYRDDHNYYIVTEYIPGSNLKQILEYKQKPFSLQESLNIMEQILTGLKSIHAAGIIH RDLKPANLMYHNNQLKIIDFGLACVNGNQLEQYPTCGTAGFSAPEVLNAWNKKAQYDFKV DLFSVGCIFYQLLTLELLFKGDNEKETIYNNKQCQFTIKETSPPFDLVKLFLKSDPKERI DCSQALEGIQILQDCKNFEINLWFHHKLKFYKKGRSNCEFNLENQNKYTRQGSLTSLKIK STEKIKSQNIYDNNKSYNFH >CAK91861 pep:novel supercontig:GCA_000165425.1:CT868665:139631:140417:-1 gene:GSPATT00024592001 transcript:CAK91861 MQDKEKDILITVPEFKKNEDLVQYRVQFQNQKSLQTFENHYRYSELKRFHETLETLKVDL PAFPGSYWWKSVNSNIQLIQQRQQLLDSYFKNLTQIKLVRESLIYKNFILVALKESDKKA QKENKEKAKISENKVKRKRKLEQFGQFITPMPNPVNLPPTPEDRKERSQSVEHKPVNSMQ RNERKKTSKQQSPVLNFGGSKIFRGILSNAAKQ >CAK91862 pep:novel supercontig:GCA_000165425.1:CT868665:140629:141581:-1 gene:GSPATT00024593001 transcript:CAK91862 MQITPKALTALQKLLSVNSPIKPHEMRNQEHSDSKSNQKSQQCMSNAQKDVINNRLKQKI PSPSKGIESLLRHSINKASLNAKPQGNVKNDLIHVLKQNQNVKENTIKRNGNSLNQLLQP QINVAQKEQSAQVDYKKKRPPQLGDFIQEDDYAKEKHVMTSKSLQKSPNYMSKIASGFFT STHSKQTHMNSQDNIYNKKAIKNNGTARNQDEKHVKKVKDNKVHSAENKRMSLSKQHNQN LLQELYLKTSKILNSYQTKEALWKQEKNSLKEEVKFLKQLLQQQQEESNQKYQK >CAK91863 pep:novel supercontig:GCA_000165425.1:CT868665:141658:143174:-1 gene:GSPATT00024594001 transcript:CAK91863 MITKLDNGQLQVSLKVDKANYRAGDVIKGVIYLKAKTPDLECSMLFLKLIGVQKVNQFDK QNQFFRFKDIFYTKHKWLCNFGSHLTQGIQKRHFEIETPQEIPSFCINYYKTVQCRMQYI LSVYFTDETSQTLYKIDQSHKITIHIHHLPSPSLMQSICYVGEQKELKKLCFLNGGSAQI SLQINKQGFAIGDVIEANLEVDNTKTDLNLLNLTFSVQSQLIILYPVLKLRISNYFGLSK QAHNIVVKGGQKRQLEYKLKLEQDSNDPKSIFPQSTLFSDRIIYHYNFCLIANYSQNFYS CNQLTFAIPIHIFQQESRQQQYLIDMNGTLSKQKAMFSDHKITGYGPLDGAPQYSQSQNA EDSFEEDVQIEENTSPSNNFNRQDQLVDYEELENEFETVCATQLQQSNKNNQQEHLEIQG FITKKVIAYNDNLDIIQEENDDRYHHSIQRSNEKASNHSESKIDQQINNDTIFK >CAK91864 pep:novel supercontig:GCA_000165425.1:CT868665:143225:144793:-1 gene:GSPATT00024595001 transcript:CAK91864 MGNNSSQIGNGYGYRIISIEPNSFGSNLNLEIFLDYIVQIKSDSQVNALKLLESDEPIEL SILNIFSMETRKIYISEPRSTIKLGLYIRYESINPLILHITKVLKGSPAESSGLKSNQDY LLGVKSHRCQTIDEFSDIVTGQAYSKQSIEVFIISIFKLCIFSPLTKKSPRVVVLEPKFN WGGPGALGCEFASGALHSFNFSQLVKPQESDESREVQNHEDNQQLENEEQKQQQQQSENR EQNEIILNSQEDQQVELNRSISLDKINDAQEHSIDKALSLSCSQISESKQNTKSSVQQDN QQGVGFDQQQIISQVEMKNSQQIDESSILADLDNQQKQQQNFEQIQSDILLPETPTNFGM SQQDTQFENGIDEQGEVTKFKGVLRFQKEGQEPYLEKEEIKQIDHLNSSFQLKNASSDYS HLEQNKNEIQVQPQSTVSQQDNESKNVVVKYVNQLVFKSPKREQMYVVDKKLLFDIQFEV PKYYVNIY >CAK91865 pep:novel supercontig:GCA_000165425.1:CT868665:144793:145174:-1 gene:GSPATT00024596001 transcript:CAK91865 MDSLGNQVSFNGPLKNEVQKFVQQTLHKELDKITYDHTDAQTRSNKICDSILKQLTTNNK NFKFIVNCLLMQKAECGLNISGSCYWDNETDGSLTIKHETEAMIGIVNVFACAL >CAK91866 pep:novel supercontig:GCA_000165425.1:CT868665:145216:147077:-1 gene:GSPATT00024597001 transcript:CAK91866 MKNSTTLKGVTNAFQQIVISAKQKEDQERRNMSILEKVATLWQRDSSHTKTIQLQRTIYI LKQYSQNRTDEQLEAVKTYFEENFPYYSKFKEKLDHESCKSLFREMTLEWYDSTKIIFRH GDPGRRMYFVLQGELVILIPKTKNTTENPPQKKQKFNSFEEWVKFVFADYTQVATKIEGD QFGEIAIEQKVTRTATVAAKTEVILAVITYDLYQRILGAFQHELTNQKIAFISKIPIFSV WQRQNQLVLLQSLEVQNKKVGQFVFQKGKPDEYIYLVINGEIEVVQWSSPNKEQASNHHN FVPKPSIMAIINSGQFFGDFEHFKQLNCRITTAKAKTDSTYYRIKYRQLLDYFQLYSSID DFLKYENVKFQIQSHLGQRTTQNPNLTERIGDEVYQFDLDQIKSSYYKKIKVEQQIDKLK KKMERTVEQAQKAIYYYEIENLKQSIENIETIQADKYSFLVPFRSDPYVNEMNLIRSETR YQRNRTQMQSENDLRSKDLQLSLRSSIKTQGQARSQSQSNFNSLTYAKIMQNKLKKLSIN VQPTIDINKNQFSSSKTTKLDHHQTNVEDIHNVRLDYTPISNQQLFTKKKHQGGVYKFQI KSSY >CAK91867 pep:novel supercontig:GCA_000165425.1:CT868665:148767:150179:1 gene:GSPATT00024598001 transcript:CAK91867 MFRHRDLNAFLSNYQQFDRQSKKPYEYLNLSYHQQFEHSPIRKQTYTSKPNDIQYKSLQE PSFLRQNLLPNYEPTKCSNQRNGIIKAYAANTNQGIVRDYNEDRVSIILNIIKPQSRSTE QWPKCSFFGVYDGHGGAACADFLRDNLHQFVVKEPDFPWNPVGAITKGFEAAEKQFLQIA QESYNKGVPERSGSCAIVVLVVGDTCYVANVGDSRAVLSAASGRKAIALSHDHKPELEQE RIVKGGGSILGPVRVNPGRLSVSRTFGDIEAKFEKFGGNPKVVIAEPEIKQFKITNEHDF IVLGSDGIFDKLSSSDVMNIIWKDILNCQVGNSLHNVLSTSVESVLKESIQRKSQDNVTL LVVAFSVASLKEEELRMKTSSSIEKLVKVPLTNNIPNMRMSYSKKTNDENNPFLLNTQKM NPHQKLKVEETTRYKPSYIN >CAK91868 pep:novel supercontig:GCA_000165425.1:CT868665:150389:150716:-1 gene:GSPATT00024599001 transcript:CAK91868 MFQQISENITEQSKTQKQLSNYLSKISLQYIHNSRESDWPQKLKQLYQKHLTGEITNELC RQNQFLVKYSQKTIRSLKNFYCGEIKKSTRKPRKICIFQNI >CAK91869 pep:novel supercontig:GCA_000165425.1:CT868665:150779:151097:-1 gene:GSPATT00024600001 transcript:CAK91869 MNIEITKYIFNKRLLNYRKDTQTKDKQFMIYKSEKFNCKEIDVVQQQQEKNLKKSDRNYK QYEEKVKKMINQRKVMNEDMQKLKQNCKKYQDLSKINYY >CAK91870 pep:novel supercontig:GCA_000165425.1:CT868665:151118:151480:-1 gene:GSPATT00024601001 transcript:CAK91870 MQQSEFESKLNEEIKFRDLRYQQLKIENTQKENKHFNLFRNITINLKAIQELETLYKIKI LIEKRNTFSWNKFQLKKYRNFSRTQKDWNMITQRFQSDFKLLYDGIISNKSEIISLQIKK >CAK91871 pep:novel supercontig:GCA_000165425.1:CT868665:151482:152342:-1 gene:GSPATT00024602001 transcript:CAK91871 MLQDQISLAEFVLQEAREKCFEIEVKAFKQFEKEKKQIVEKEKSNIQEEINTKYKKKAQQ ERIKHSALVNGARMRLMNARNQALTKIFSDSQYQIYKMIRQDEKFYEELLKNLMVQGLIK LFEHEVVVRCLHRDIRHVRNVIDDAISEFQDILRKELNGLEFEVKIEIDEEKCLDERTLI DNSTKSVQDYSIQESASEVISKTENDKKCFGGILMTTKDGLIVCKNTLDVRTEQTFQDSL PIIRSTLFGK >CAK91872 pep:novel supercontig:GCA_000165425.1:CT868665:152443:152958:-1 gene:GSPATT00024603001 transcript:CAK91872 MIPGQLAITQFTQINNSFVVDVNNPAIITSIAFFLMQPLEEGIAACLYYSYPPYSQLELL GAIANARPSDIFSTSFSLNPNTNKQAQIKLVIQLQQIDPNLQQMILMLPEKQGYYFMAIA QNLDRFLQDYPKQIYYNEKNQQMLVVPTISLDKWLQRFTDKYNIDPNFLFC >CAK91873 pep:novel supercontig:GCA_000165425.1:CT868665:153070:153919:-1 gene:GSPATT00024604001 transcript:CAK91873 MITLDQYFIFLSQLGQEAENLKHSLCSLENFESYSYWSILTNNSMLLNINQLQDQFPTLP VQGIAMIFKVFDSDLDGNLNFSDFFDMIISIDNPTLRQEVTFRKVEYKIKRIILINARVF ELLQFYCTKLMELQQIKQQLHFQIDFNVYLSFCKNKNLTVDHYTYFLVTKEYSKHDENVI KFQDFYKFLSNQDNNAINELFSISLEKIQGAQEIMKKFEEKKNLTKNKQLINKQQQSAWQ LKNIPKQIQYFSVQTNDKNENRIFEDQKISEWTY >CAK91874 pep:novel supercontig:GCA_000165425.1:CT868665:153975:155278:-1 gene:GSPATT00024605001 transcript:CAK91874 MQEIIIIGIGQCGISIGLEFIKQLNYDHCLNNHQELINQDRNRQKINVFFNENSRQQFLP RCLFLDLEPKSIDKLFIQKDELWKWQQLCTELMDKCKHILDKYFEESGKLQGIMMFFSTG GGSGSGIASNLIQYFREKDPTKIVHCNPIFSQGITHNCLEIYNTAFIMNSMIEIVDIVTV YDNVALQHICKINMLEQNYDIYNKILAESLIQTTASYRFPGFLNGGMKKLTLNLIPFPRL HFFQPSYMIINQRDWNITNILNKQYKLCNFENNLPSEFLSYQIQLRGNCFEIPDSPSLLI RKDNIYQIPDLDTHYRVHTTVQHIDHENIVGFLGNSNGFKKYLQYYQGDFTKMFRRKAFV YTYQNIGMDEMEFTEAESNINDLISEYGPFFHSCCHSEYDNYEEEEEY >CAK91875 pep:novel supercontig:GCA_000165425.1:CT868665:155375:157122:-1 gene:GSPATT00024606001 transcript:CAK91875 MLEKKSQTKDRPTLKVQERNSKSITKWNQQENQNNLNSLPNLYNVEIQKTYPKFQSRFKS VKAKLCGETETEEQQYQISELIRLHSQLESINNEENNQKAFQPLFDYFELMSCQMDFLIQ IRIENNQLTAYSGQLYYPQIGIELENAQKIQFLADKMKDCINSQKIIVEKLAQELNQLES QRNEIKQKINPINEEIFPLQNQLNSVENQNFFLSKQQNKKFFQLSILQEQFNCFKRCSKQ VCILVQKQKVYDQNYEPYYTIRNQKYLEINSKVDQQILMSENKKSQAFQQKNGNRFDQII HRKQFVNEDLYQDLLRHTQVFFIQILCKSIKNLYKSYPFNSNEISAIFDTHRVAEDQLMK INQALNQDKKCPENQDSIVVYIVRKPSDFFLQFVVKVIGALKSFENQFCSLQDLNVQQNI LNIKEDSIRHISQCSLKDCESQLNKMSLNASDCEIIQIKLEIKLKLKLQRNIHFLYIIQE KITNQEAFCRQFKDCYKKKKGLKDQIGSVLESIIKNADKYLIITEMPEMIKMTCNQQKKL AQLKNLIHFSQTMATKRQ >CAK91876 pep:novel supercontig:GCA_000165425.1:CT868665:157217:164101:-1 gene:GSPATT00024607001 transcript:CAK91876 MAKDIEEYFIKRLQSYLLEYLQDFSQNNMAKFSLGLSSNIVLKNLCIKKQALLNFKFPLY IKDGKINQITLNMPLNYKKQQPEMIIEGIDLHVCTIQEANQSAQNKDSQTQGIDNLKKHK LKLWEEQMAKYFEKLSPPNWIQKIVDGIYNNLTITIKQFSLRFSNHSIFGHETMLRVKFD AQIKATDKDFKQLFNSDVNCTYKLIEIKKLSISYKNDPTSVLQKKDDQMILTPIDIEIKY TLNRNYEQLTQPIQVISIVVKSPIIIQLNKEQKEYLIKLNEALSSQEVIQDNFHLRPTKD IKNAYSEWWKYFIKSIILKQKSKKLDLGYSSKKLVLMKRYIELYKRKQTIILVPWLSSWT AKDEYKIAKCEEDLSLKDLLKYREWAFQEIRIEAKRYYNSSKDGQNKQSVKPLLEIWTNT INNQNSFKDHTKRNDDIPIELEGDEKISLYEILERDKTNVLSSYLKGENNHPDQIKIQFT LDIHSIFILLFELRTANYVKYTPENTKIFQFCQCKYHIRLLKKAAYSRKKSRQSSHINTF NQVYQDAQKQNEEKSFHTAKEVDSFYEDIEDLNGVGSMVSQSSNSLEKCNSTDLKQSNDQ QQHQKFVLMINFIGIRVPLFIYQNGAIQTPSIKKSKEPLDKIYIGDIRIIAPGMLLKVME EIDKKNIQSPLFSDINQQTNSLRNLTSFQIQQQQQQQQQQQQQQSQQQQQQQQQQQQQQQ QQQQQQQSQSLLLSQQQQQQQQQQFLSQSQCQQSQNTKKEQSDTNQKTSHIKYSDFFNEI FEISITNDSQQFLNSELCYQLLGEFLKQNLMGNVKSFFDDYERDKNNFQDFTMEQFKLYD KYFDDRLEQEDNCFIHISKQQYVEKRWEFIRNTAISSFEKDKQNNWVQGENNDKSVFISD AHNKLVDIIRRVLFIPFIEEYGEILLPICIYNLKDKLTFPNISQLKQKEVCTLQVYIYLQ GEQSEYRIISNSDQKKGLQKEGTIPIQQTQISEIMIQFHPFQTLLSTKTVTSLLDFIQHQ KQEIFKSPAYQQCKEDHKLLECIVKSKPLNKEAQIQPQWKFSLRMDGKLKVKIVSDSISS KIKTEIKFQLKNILIQTVNLDDKEYQCYLQEFNQQHKSTFILQQRYYSIKKAVIEQIQVA HKYFSIDYKYYNEEMKNYEKQMQGQRNGREQLNSPSQNQQNKNPIRQGFPSHQIKHSATL NQEQKISSNLPSQTNIKSQSKISGIKSQILKTQLISFQLDSFIQNHPLLTDRKLYIQFPS LDLQINDSQICFIELLMILNKKLNRGYQKQYSDVRYSMSKDSLIKAELQLLLNDHKKQKK DCKHCIIKYRKSLYLKNIVFGPVPKINNEINLFTLFEYENKLIIQRQLERMTKDSSNEKS FAQELKENTKIHGIRIQFASTPKSTKSTCYITVVEMPLFIITHDKGYFKDTVAIHCKKTP QGSDASHQSSFNKLDHIQHPQLERNKSLKEIVPKKQLQQYSQDEINENNPDINNIIFQPS LLVQESHTLGDKLDDKFVFYCRSKEIEMFNQEFIQYDDKDKDKEFMQQSDHLSSNNYFED AIYMLYYCHIPLRSHLLSCVIDDYFKEKNSKTKIFFAIDNIKAIFSNQFATYNLITILKN ILTIKNVQENAFNLTNKDLIRQEKEKLKLKQNPLKHLNDVIVCALIQNIYLKSNETFFKL SQLRAFIQVHLMGKVRGNSLQKRSFQSFYPAMNSSVQQQKNRQSVNSYDEDQIIRCDFIK FNFNQVSQIDIKIINVTKQKDELSLSVDDIQISIMGRQKENLLVMPGKETGTQRASYALH INLSFQNSYPLVKLQIEQAKIILSQQKIQDLLIAINSFYLINIEESISLKQHLIKYIFQQ ELFINEQKEKSIGINLFVKNANNQKKSYKYAIDLLIDSLIIKLQDQEQDFFLFQFHQIQM KKKNQTNLVLSLSQVEMKPQNNNEQQLYQNLIEPIDNQQLFIFKLENKIITLKNVKFYLI SRYIKELEAFKQRIEEILEKNLNELKDRYQKDFDLQLQIKQEEDFIKEKDSFNYKISIEN SQFIVPQSSIGSNLIIVTFDEANLDLKKQKISLKMPDIQDDILLEPKEDNLLIDYKEKNQ GDGEFCVINVNGNFQNVQVNYQFSEIQGCGQLLFADIIQPVIDLPSFDQNCGQIPWKFKD ACKLIVYNVKMSLDFGKLMKLQSYLYQNLEEQSPLFKFTQSMLQKINFEIKLAESSLSFT RYNQGEPEKLIYIKEQAKVYKQKPRLSKNFTNQQQSKRESMS >CAK91877 pep:novel supercontig:GCA_000165425.1:CT868665:164236:165153:-1 gene:GSPATT00024608001 transcript:CAK91877 MSQQQSNQYAYHQELYEQHIQQLDQIKNEIEAQDPFIITQPIELQILESEYLQNQGFLQK IQKIRQSYPQFRRVRRDGSCFYRAVLFRIFEYIIESKDQQILDKFSTIIANSKADLTAVG YEQIVIDDFYDEIMKQIKLCPNNITIQGIVDAFCNKVTSDYLIMYMRMMTSGYIKANSFL FEGYIETGTVELFCQQEVDPIDREADQMQIIALQNYLQIPIRIFYLDGNVSTFDATIFQI PEDADSKSIFINLLYRPGHYDILYPK >CAK91878 pep:novel supercontig:GCA_000165425.1:CT868665:166142:166857:-1 gene:GSPATT00024609001 transcript:CAK91878 MLIPIFVFNLAVDTHESNLFWSQFFKFSIMILLDDQFKFQQEIIRRKQFQKLSCNAIVQS FIEKAQMMETFQVQYCDDSKQIQLINQPIKQRVNEFLQFDFKQRIRNIIIRTKSKRTEHL IDDNKRNFQERYLQNFNDTKLIQFLKKHQQKVELDGIIDETLNVIHMNNVRKSLLQTASS YSSISVCYNQIIISKNLNRIIIVKNENQNLIITICLIFQILHYDNV >CAK91879 pep:novel supercontig:GCA_000165425.1:CT868665:167380:167991:-1 gene:GSPATT00024610001 transcript:CAK91879 MNKEILPIIFLEKQTHKQLLGTSKTQLSFKQDKNASITQYVKSDKHSQINQYLKTENDSY DNMVSQLHQQRQLRLPPTQVINQLRRQKMNVDKIPRPKAKKMPRPSYQINLNTKDKFQLQ QQICNYVQQANKLQQYKLSQIHSRRQDFKPYFNFEEFSYMDEIFPHSNLVKKKFGLNEQI MFRNSMGLEKVILQRIIQAQQDD >CAK91880 pep:novel supercontig:GCA_000165425.1:CT868665:168529:170438:1 gene:GSPATT00024611001 transcript:CAK91880 MQQEDNKRKVRFEGEEEERRDDDDINRNPNNKKCPIIGVNDQFLDPYAVKTSLKEEEALE QENEIVQFTISEGITAEELIQNLDIRLYPERDPIKNNKFVPAVLSLKTKKVSNNLDRPPI DLVCVVDVSGSMIGRKINLVKDSLRYLMKILGPEDRICIIVFTTVAHIVTSFIRNTQENK PLLKKAILELKGLASTNISDGMNKALWMLKNRKYKNPVSCIFLLSDGQDDYKGAEQRVFD QLQLLKIEEKFVIHTFGYGQDHDAYVMNQIAKYREGNFYYIDNINKASDYFILAMSGMLS IYAQNVSINLKSNDCEIVKAFGEGQVWYKQDANNYKIQLNYLLEGESKDFVFELFVKEDY TLNHINLQIEINGQLLKQNLEFRKDSNFQINVSQEKEGQLNEHVEINYQRAKAGHAIGEA CHQAQQKQYQQAQELIELQIQQIKQSPHLDSLALKIALEDLEKCKMYCKPKIFEMEGEAF LMKKERNHIVRQSSLTNLCDWNDDQQQNMEHLQNGDLQLSAAGSIKGSDSEVSSQPDFQR DIDFDNFDPKFIIKQLLKMKQFKKEQLEQEDEEDDKKIELLKEQLILEQQEEIEEQEVAN QSQ >CAK91881 pep:novel supercontig:GCA_000165425.1:CT868665:170478:171284:1 gene:GSPATT00024612001 transcript:CAK91881 MSDQIQEYKACWKSAVDAAEKELAQLYSQFIQSDGELFKENLQNQNQLVKRNEVQNEPRP QDNNNNDEEEDQQDQIGHQSNIATSTTKEDFVVNQEIKRELIREVPFKDEILYIYRITYQ NGDVYEGELLNDLKDGLGTYYYENGEKYDGLFSEDLIHGYGKYFFIGGHKYEGDWYQGEK FGMGILDFSTGDRYIGEFYKDAFDGDGTFEYKNGDVFKGQWKKGKKNGPGEMRYKDKRVV IGDWIDDELQPF >CAK91882 pep:novel supercontig:GCA_000165425.1:CT868665:171596:173471:-1 gene:GSPATT00024613001 transcript:CAK91882 MPESQLGSVRMRPSQIQIEDDQQASTRRRNFLSPTFSQNLPLFRELQSRQAQNREQDYTN SIITLTDDKVISCHAQMIKSLMFNLFLQAFFVNYFTYMHWKYSHRYVLLQLWIQDLITIF ILIYYNYRKGDDCIWQLIETMFLFFFKVCINVYQIFIVFYYEVQEFKIYFITIMMLAVSS LLLIMKLVQKIKTPTKTSTALILQFLKTMCCLQLLLITLKWESKISWSWIQIFLLLWVFL VVCALLLFISFVSCIETLVNIIKKKQQCHYCIYLKYSLVAGNLWIFIMLIGFTLFPFLFI LRTAEFYEGNQLISLTETAKYIVVITLFSLFLLIFTLCFYRQIKAYLKDVQGIQDQNDID QQQTVQSPNSRQLNSPHQSKKLEFIKLGIPLYLIKLSCTYFAVLDKPSFDFKKRQKSHQE LESGRSNLQGSTVRETWKKILQKPAASNSMIINKKKDELDLDIQKPAITDNVKIKREEIT ATVSKQEQEEEPVRSIKSIKSDGESSVNQDKCLVCYENIPNIVFVPCRHGGICQQCAEDV IQKSNECYLCRKTISQILKVQKNGNKQLEVQEASMLG >CAK91883 pep:novel supercontig:GCA_000165425.1:CT868665:173595:174545:-1 gene:GSPATT00024614001 transcript:CAK91883 MNQLQICIINKKLVYPQANQEEFQNQDKNKNVKYPREEIEELEDEPEFDGKYQKCLFKCG ECLKCFGTYCPYNIIVGKTFRQIQQGFAGVLLRFGKYYKTTSAGILQLNPCTDTLFIVDC RTQLLNYENQSVITKDNIQIEVSVSLYMRVIEPKRMIFNIYGFFEQAIFGLTQTSIRSVI GAFTFQDLLSERNEIQILIKEFVETHSTDWGIEIEAIMINNIQMDQQTQNTLAQVATETR AAQVKILMAQSNVQSAKMMKEAAEMLNSRAAMQIRYLEIVGNVGNEAQTKVVIM >CAK91884 pep:novel supercontig:GCA_000165425.1:CT868665:174613:176115:1 gene:GSPATT00024615001 transcript:CAK91884 MISKNNQGYHLPLSKSDDLLFVHDIDQEKQQNLHESQLRKSSLHDFVLQIPKEQNHKRNS HNSITFNEEYKKVKLVHTKKKILTQIGQYGYNVYMAEYIIQKEKISLELDFQVILDQVID KIHQFEEQYKSCYGMKIHKNSYKQIVPVLIEEQQQIDQFVVEVKRQKQGKESKDYNDNCG ICLGEYINKQKALNCRHEFCYECLQNYLDNKIKIGQVLEIECPQQGCDNYFNDEAIKSLV NDEQYQKYDKFKKQKLLDRDETVRWCIKPGCDKFIKGKSMFSNTIKCECGQEMCYECRRE DHPGMTCELQEALDKYYEQTMKQLVIQRCPKCKAPIQKKEGCNHMTCYQCRFQFCWLCRA KYTRMHFDQDNCFGCPDKQFSSDEPYSRPRWKKYGGIIFEFFATILILPIIPFILIYYSV MTPLKLVKHFNRQYYRQMEDGDKMCLLLLGVMFFPVIMVFLICPGLLLLVAYKIKYD >CAK91885 pep:novel supercontig:GCA_000165425.1:CT868665:176206:177342:1 gene:GSPATT00024616001 transcript:CAK91885 MDSTCNFCEYQYVQKTLNQDFAVIIKKALYEKYSSSQNYTYIRIINDLIFARRSRITNTF KDYLFWDYHDEYMENYFRSPNDLLYDTIKSNVLVVTQNRRAVPKICAPHISYSYCQNHGQ LLQSQNSIISKILQCQFHQRFKNTAEQSYQKVTVSHIMLRLQQYSSQGSIFYIKNQLNDI VTNSSLSFQTINKYFIPNCSKQEVGVQLRVIYQKFCDRRQEIKKQKQNKRSQAHSIDKET QTQGFQFIPIIYHKDKQFLQKIIKQQKEKESTKIQFQKLNKQNKASRYRNSVEINNNRYG SASKISQQSTARVYESYASSRYNSTTTQQKLIRSQRTKSQTQDSNNITKALESQFNNQVD VRQTLKKFDSGTKQKKVK >CAK91886 pep:novel supercontig:GCA_000165425.1:CT868665:177498:177772:1 gene:GSPATT00024617001 transcript:CAK91886 MQQPSQLQQSSWSNNDENDLEQNADGNQSKHQEGSRKKKKQDEHKQFEERLKNIPVGQKP DPKAYPEEWINKWRQLLLKQQNKK >CAK91887 pep:novel supercontig:GCA_000165425.1:CT868665:177849:179618:1 gene:GSPATT00024618001 transcript:CAK91887 MNSASEMGKELTDIQLAEYEPNIISLEKKIKTPDQIIRAEPEKERALIKESSYHKDTKSE KQEEESKRITEQPSDIKWSIKQSMFVKINSRKNVGEYYVIKELIGQGGFGKVYKVTHRQT GMVRAMKQILKQRMKKEDQQKLLQETQILMDIDHPNIVKLYEMFQDDHSYYLLSEYCEGY TLFIQQGGELFEKIKIAQFLTEKEIASYIKQILSAVSYCHQMNIVHRDLKPENVVFDAKH QGANIKIIDFGASVKIENSEKLNKKIGTPFYVAPEVLYGSYDEKCDIWSIGVILYVLLCG YPPFFAQNEAQVLAKVKKGTYQFDSQDWAKVSLQAKDLIRRMLFFNPSQRISANDALQHQ WITNNKSKGQLNNLSLKKLQDFDSKNKLKYAILQFITVQVITSQEKDELMKNFQEIDKNG DGTVSKEELLNAYIKLYKGDQLAAQSIVDDLFPHLDANGSGKVDFSEFITASINKDRSLS KKKIEQSFKLFDLDGNGLITKTEINQLFGDEIDDNMWKEILKECDANQDGMVQNQIMLQI SLSEFINLLESKFKGKL >CAK91888 pep:novel supercontig:GCA_000165425.1:CT868665:179764:180705:1 gene:GSPATT00024619001 transcript:CAK91888 MNIIQKYSDLQNSLELLNSEPQQLNSNDVYEYVAIDISPNLEWLAVGGLYIDNIVLWKIS YQSETKVIGTQMNEDLGQLEFSNDSVFLAACGSSTKIIIWNMKDLDENPAILDGHQSRIW RIQFFNKPQFDILCSGSSDSSVKIWNVTNRQLLQSFNMNEDNQIYSIGVSVMNEWIVTTG NDSRALILDSKRLKLLSQYKICDNQLYQVGFPYHTSQYYACSGMDEYVRILSKNKLIRKI KFPENWKWQIEFTCQSLLAISSINSIWFWDISRGILLKVVENLFYTYPSFRFKYLEKGIC ATASKTMIKLWIK >CAK91889 pep:novel supercontig:GCA_000165425.1:CT868665:180729:180948:-1 gene:GSPATT00024620001 transcript:CAK91889 MHLRYYLNEEGKRVYTLKNTLEDGSYTFNAHPARFSPDDVNQKYRVELKKRFGLLPTQGE PHQF >CAK91890 pep:novel supercontig:GCA_000165425.1:CT868665:181032:182420:1 gene:GSPATT00024621001 transcript:CAK91890 MDQTKEQIQEYFNVFDKDGSGFIDKEEIKDLAKNVGLDWNDHKLEKIISALDTNGDGKIS FEEFYEYFLYGEQKEMDELMEMKFQHIKSVKTLQKQISQDYAHILQKNKEEGKQQYSVSL NIGDKSNLQYVVEFALRIGEENTNFTESLLKHFEQIDNNTIQIVIRYQCYNPEVLKGKLQ ELFETLVEIGLNMMPLQLSQQLANLKDDLKIDYATLPDELLIRIYLDNEIIDKLVLVYRQ LSGIQTENLQKIFRQLETVEKFQNTDPEFLKNLGRGLQANFDVTTSSDLVKLFYLSLQVE YARQIYSTLLAKYHGTYKVKFLEHLTPFTMINNARLQFNFETFQDLVEEIGSPFLNYLNQ QLAISSNQNNNNNEFSQILNQTPIANDFLNLLKSEKKGPVDLVLISKEASITMTDASNVV >CAK91891 pep:novel supercontig:GCA_000165425.1:CT868665:182513:182792:-1 gene:GSPATT00024622001 transcript:CAK91891 MSEKKDLIKEALKRRQGGGKSPSKSSETGGQSGLNFYSGDVSSLKVQPNTVLIISLVYLG IVVLLHIFSKLRSGGAETPTEGP >CAK91892 pep:novel supercontig:GCA_000165425.1:CT868665:183867:184448:-1 gene:GSPATT00024623001 transcript:CAK91892 MQEETFQLQKQQVIEYTQRKFIKPLQRKCQSKTQNSDDLYLSLETCLDSEVISNFGKRGT NFSIEEEYNPQSLQNPLANELPNFARVQIHLNHTIQQQPEITYIQTDIYLNDHQNEKDSE QKQWNIEHNCNEPIEEPNGVDYKLIQVDDINYLQTFQSNIIENQKKASRDKKLIPKALHQ DLTKGIHKILIKK >CAK91893 pep:novel supercontig:GCA_000165425.1:CT868665:184536:187283:-1 gene:GSPATT00024624001 transcript:CAK91893 MLHRQYIEQANNQSLQVLEGKEYNFLGDKLVLSENSDEEEIKEQKKEFKVLQKTKKKLNW RKSMGLIFVQRRSSAMSYVEFNWEKFQLRLRGLLKKIIKGCTIEKPYILSPDGSLKMMWD LLCLGLVMYEMISIPLQISFEIDVSVEFSRVSTGIFAFDILLNFNTGIFEDGLLKMNRNA IIKDYVQFWFWVDFTTTFPYDIILDESSNFIQSAKLLRLLKLAKLKKIMDKFNEILQLNS ILAAILTFGKLFLFVLFFAHLLGCIFHFTAQQEDKNISWLGDLYDADWYIRYINSLYWGV TTMTTVGYGDISPLNPTERFLGIFLLLIACGGFAFTMNSIGFALQKISEKSSQTKDKLSQ VNKYMKKAKIPETLQNKIRKYLEYVWDRNGGVVLQQITGALSVDLSKELQEQVNGKLFGY LDIFWSNHSYDFLVQQVMPIMKEKVFCPQEIIFDESEQESYDIFMIQSGEVDIYFQKTNI SVDKKGKNEFFGEISFYSGQKRTASTKSVDFSNIFIINSSDFLKLARSHSNDVQTYFKIR HKIVFDKDYSAISIRCYMCQMDDHIARDCPSLHFQVQASHYLAFLQQVKHVQQSSFVRKD RMDFNARFHHKLISRIQDKYINTEKKIQYYQEHKSHMLISIEQSEVQSIRTEEDDENAIN QINRLDNFDRRFKSSVRLKHRKIDFVGRSTESIGRRIRTTNKVTTIIRRDPKGKLTISCG SEDDQFDKVALDRINKYLEDFHKKISLSRKKEWKEFSSIPNFEKYHEYHIYYPESNISKI IPQYQKQKAKIMTDVSLNSQTFGEEELIAYAQYYCYELKVAEISNFFTKTQNRNVLTYEQ QRILRLDRRTKKMQNYIRKPARIKTAILVIKAVKKFSKSLKNIPVV >CAK91894 pep:novel supercontig:GCA_000165425.1:CT868665:187461:189323:-1 gene:GSPATT00024625001 transcript:CAK91894 MLNQIPIEFNSDQDEFHQCNPSKSDSTATPSLFDNTISSKVLCSQLFEDHLNQDEDDEFE SLNKQLQGVLLTDNYRPSLIQAFQTDMYKSSYLTHEKLTKNSRRMQQEYEQANNKEREYV FNTYIKNNIVNLSLDKYQHYILEKIIEIGPINFRNIILDQMFTFIKKLVTDLHACKVIQK GLEIMQLYSTESRSQYQKYINFILEENNFTRRLYTDKIGNQLYQKGLDVFEEQNLDQLLR IFDKYILNQNQYSLELSTDQYGCLLVNKIIDIYPKLIDSNTKSIANQIIMRTIQNSSCLI RRQYANYIIQQILEKGQEIHKRILLDNFLIKDFVSMSMDKYGSNVAEKAIVYAGQQWRQK LWEEEVSVSESSFRKLVNDQFANYPIQRLYEYLSIEYRNEFIALLNRLHDSHFLNHHGQI VLKFSLSNYNVKRFAQKVNSNESTKPTKQQEKNKQLQQIYDQKQKFSSNQMQYQQYLQQQ QLMAQQYQWFQQQQQQQQQQQQFKSPIEFQQYQAMLLQQNMMMYQLQQQMISPQFQQSFQ HQQQQFAFQQSLQHQDQGNQQVQNYYQQFQCFQQMSQNQQE >CAK91895 pep:novel supercontig:GCA_000165425.1:CT868665:189493:190017:1 gene:GSPATT00024626001 transcript:CAK91895 MNLDQVISTEEDWAHQSYHENDDFEFSCSPIMFGRPSNKNKNEFELDKEDYFIDAPKLEE QTDDPDFNQLLNTPSIPIKKRQIRKNRKLKNNTITTLYKRMFSEIINDSSSQVEIQKQLE HCQRMKSLIDGLEKTLVNVKSLLVHKLNQKNQ >CAK91896 pep:novel supercontig:GCA_000165425.1:CT868665:190366:191333:-1 gene:GSPATT00024627001 transcript:CAK91896 MGQQCEKCQLSNGIQSNEVNRNDQLSSDSDPEYDEVDEKKPQKPPSLDNKSNSSTFYKEQ YNTLFSNQGKDEVDNVQTQLNLQDGSTYIGDIVNGKANGQGQLTALNGDVYVGNFIDNLL EGQGKCIYKRGPTYTGDFKAGKPDGKGKELWLDGSVYEGEFKNGKKNGRGCYKWIQQSVY EGQWIDNMIQGVGKYEWLDGRSYLGQWTKNQMHGRGLYKWKDGKYYDGEYKNDKKCGFGI FYWPDGRQYQGYWVDGRQHGKGLMINKDGKKKFGDWQDGQLINSSEDLDYQLIPDGWFLN SYNYQ >CAK91897 pep:novel supercontig:GCA_000165425.1:CT868665:191390:192506:1 gene:GSPATT00024628001 transcript:CAK91897 MGNASTCCSHPVIQNKEAINTQQKIMIVNEECDVREWEADDFVKIGLQSHPVKEHKLFDQ PSVIRRMESVEGPKLGERLELFPEIENQIVRTVLAQLTPLVIPQDMERGEENPPIKFDND FIYHGEWKDSQKHGYGKLLWPDGSYYEGGFANDETSGFGRLIHSFGDYYEGSWKHDQANG YGKYHRYRNQATYEGNWVNDKQQGQGKETWQDGSSYEGEYSNGKKQGRGMFKWGNGNMYI GDFKNNKMDGYGIYYWKSGKVYDGEWKENKMDGEGQFNWPDGRKYKGGYKNDLKEGYGVF EWSDGRYYKGEWKEGKQHGKGVLRMDQTKEISAEWANGKMLTEKQIEQQNTGS >CAK91898 pep:novel supercontig:GCA_000165425.1:CT868665:192634:198594:-1 gene:GSPATT00024629001 transcript:CAK91898 MIFWLLLLNAQCELLVLHSSLYAKTPSCGSAGCNLDGWTQQASPNYYTCVNEGFAMNYLD SLNKQMYRSLSYGYPKVKFVVWFDLFYMGTWNNEAIQVNYNSVLFATITYTSPTTYPYSS EFCDNKQYEVKSKKVLITGQSSGNIVITNMSANGKVVIRNLIFAVEKCHWSCKTCSNLNS CSSCFTGTSLNGFGLCTQCPDYLDPILGCVPICDINKYPNSDKYCADITEQAILNDQFKN ASPNVQWIRLYDPAGLTTTLKCSQYFGLLTGSEGMEKIIPQPTQYKHKLRIKVILYLYNP IPLNQGIVFTINNGYIAYLYNSNSVITMQNGYIIKKTTQSCTGVGSGQQYTLIIICPIPT GDFTMRIQGNMQITTTVWGVTQVQLVAGYCQQECLTCTSDFTCSQCSPGYYIYQGLCTNT MQSDRLSLNVTHVITYETEYPYSTYLVSDFSNYNIKQDPETYFTLISQNYQNFLTDFQTF GLYTKKIFGGPYVWTNAKFNNVYSIANAHYAISIRMKIILGDQFSQQFIIEFDTNGQEII TTGPSSINIFGRSPTEYSIDKYWHISHSLSTLTTTVECQGNGDLLHHYCGISDYYVVVHQ CFPFCLACTDSTEIGCTSWDASYYTAKFSQVDCLSNQYYQNYNCNPCNTLCSSCRNQYLC DTCINNLVLISDQCTCSQGYYLDSGDQQCHQCDPLCQHCLQYNFCMVCLQINKRISNNGV CDCEESFYAQAGSDVCIKCDNSCLTCNGPLSTDCTNCNTLKNYDLKSNGKCLCKSHYYLT YGNCLECHLSCKECFGPNNNNCLECDSTRSLYQLQCKCNLGYFDSGISTYCNSCPAIETP SLLDCYFDCNGSIQWFNVNCAYTPVCSTGQSIINYKCQSVCGDNIIMSDEQCEDLNSVMN DGCYNCQYQCPLSCPSCNSGSCTNVCGDGYVTGTEQCDDAIKSIGCSGCTFQCQSQCSDC QKGECFKCNVSGYILDIINNKCIEHCGDGVILGTEECEDSNSNPNDGCDNCKFKCRSDCL ECSANGKVCNTCWNSGYKTVAGSYKCVPICGDGLKISNSFDTEQCDDGNLIANDGCSSTC QWQCQPSPICTTCSSNLCTLCGSGYTLISTKNQCVPTCGDGIVKSGEICDDANNSYFDGC HKCQLMCQSQCVTCTANGCTQCETGYTLNLFNNKCTSVCGDGYVNGNEECDDLIHQNCNK CRYLCDYGCISCMYGICYRCSLNYNLNPYTQQCESITVVRSQQLYQQYLIENLNANSLIR YCKFQIHTDCLECELDFKWNPFNQECQLIHFHCELHCLYCNSDSCIVCQNNYILINSICI EDQQFINNVPICKQSCKHCLNDICLQCSKGYYPVLDTCEPLCGDQIKTLDEECDDNNNIY DDGCFNCQFYCFGSCLNCQFGQCFECEAPEKLIISKMQCYDPEKCEKGYYASDYDNLCYS KCGDYIKTEDEECDSRILCENCTLVCSSNCKYCVDRVCYTCEDEYTLNEHNQCQLTQYSQ QIQNLQVFEVNNTLCFYEYCYYQSQPIMQLYLQISPLIKKSKLLAKIYMKQLKSSQLMMT IVLLIQNLIIHIIYLQTVYNPTIQLKINDRNCIKNQFNQTLQDTIIQLRTQSIILLSESE QLATDILQNIANTIQYIIIISLPALLLMGQIYNFLNFVDLLQFIQYQQYLNITQAPNMQE FLQVFKNTSFNNILSSFSLYNPFFIPTQFERNGSFITTSVPITQVYAFTVMTHYGLKSLS KIMRQSTLDLRTQNKLLLKFHIFGNKFIHNLNKNNLSGKIQNLTVFCGLEICMNAIICSS LGISNITQIVEFSISNISSITYFLYILNTVKMPTLITLRSKDNKLNPIYKSPEKYRIDSH LYVQCLKKLLYPLCLVYCHTNSTLTSVGLFIIDFGYGLYVYQVKPFRNKLENGKILFTQL LQYLSQHKFVRKSKNFRIYLDGINRRIINTLIFQ >CAK91899 pep:novel supercontig:GCA_000165425.1:CT868665:200385:200615:-1 gene:GSPATT00024630001 transcript:CAK91899 MEQIKEKLPDISSITGIMFYSFISYWLIFALSIVVDRLMFSGKSNENTKMKKIIKARDVK KQQADKLLKKIDNVTK >CAK91900 pep:novel supercontig:GCA_000165425.1:CT868665:200913:202421:1 gene:GSPATT00024631001 transcript:CAK91900 MNTKCDAALHIIQLLQDKCKQQINIILLIPEGIVSFLIGSKGSQLAKIIEETNAKITVNQ PIVNYSPRTVKIVGDHSTIHSAIRAITKKMQERGISSEDYKKVPETLNPQKVSVKTKLIF ISSVVEYIMKNKNEIENKYDVEIKIKESNDIRLTQKCKLKRDDKIIQLLGSLSNVQDALS SLIRRVSEHLKKQELEIKIVMPASYASKLIGAKGCQIRELATKSKGAQIKVLSDKDETDH DYYCIVQIAGNLQNKQDASKLILQQIECFKNGGPIMDSGKFLNEDNLNQTSQLQNNYEDH KIKRQKSSSQSERNSRSRSQSRRKHKHQRKRSVERRSASSSYSKRRERANVLSTKMIVSN EVMHILEKYHKLSEYKERYNVDIQADDSRRNSESYLRLKGKLKDCLIVIEEILNEQQKIL RK >CAK91901 pep:novel supercontig:GCA_000165425.1:CT868665:204765:205358:1 gene:GSPATT00024632001 transcript:CAK91901 MNNQFHAYGKNSIRKQNLEKRMLQTIFFPNKWNFHYFFNMMKDCQQFIIACISEIDHSWI IKLLVLLANAGRKIYLIMDCKEDQRNDELFQAVICELLIESQFKIKIVVNTKKYESLPTN FCVIDGKVLITTSTNWTINSFNKSHEWMMLDKKYDNVVEMIEIFEQMWNQFKFVTFINED IALLDNDDSQFQSVFTY >CAK91902 pep:novel supercontig:GCA_000165425.1:CT868665:205623:206087:-1 gene:GSPATT00024633001 transcript:CAK91902 MKYSKISDETRLAFINKVQQGVCTIKQAAKQFGIKFSTGKAILSLYKHEGRVGKKQKRIR KIKKSNEQEQRETVKNIKEETLQNVKQGQEVQNGQNSYSQFNSYAQQYYNYQQWYNTNAW LQYLSGMGYTNIQYQGNRF >CAK91903 pep:novel supercontig:GCA_000165425.1:CT868665:207134:208621:-1 gene:GSPATT00024634001 transcript:CAK91903 MKKSTKTQVTNTQKQNVKSPTKKTTEIQQESMLQSGRDWAKQSYRSSQSPSQHSPSGISP TTKRMSSSAVQIPQNIRMQKRHSSIVAKGTEMHQRSVIKYLGLNLEAVCDKKNHEKNKLI YICQNPLCKAQKRLGCAYCLLEEHNNHETMEVQQFCKLFDEKYREFQEQCSSIQKMPERV SEVRQKFEQLTKDILQKLKLIEQGIICAVNGFLFWETKENGLTDQVEQLIKKNIYDMTQD ELFESIEFIKGKHIREITQIATQTNLAVRKRTIQLDLSWQTYFPQLESDIMYALDDNKEM FIQDQDLNLIRLQKIEMKQQRLDGLSQQIKEQIQNNNIQQKIPGFKQEDIQMMNSIVSQA LDKVASLPEIKNEFNNHFDSMHTDGQTDQDLDESQQKIQENVNTFPHIIYTQDCEHRQPC NTIPIFACCNKAYPCAQCHGYKQHPPKISVPSYRYCMKCLEIYLVIYPTNQAVNCLKCQ >CAK91904 pep:novel supercontig:GCA_000165425.1:CT868665:208676:209526:-1 gene:GSPATT00024635001 transcript:CAK91904 MRGLIVRFRFSEQVSAQQPIQLKRFYKEATIEMATNPTNPYHQWLVKLDGKTVKTPSKNT LAVPSPQLASFIAHEFNMQTEFIRPTTMPLLTLARNAIDIEADDRIRQFMEQSVISYLER DTVLFRENPDTKLYKIQKEKLDPQLQIFNEKFGLHLKTNFGLNIEPLKQYDQIRIETIVG ELNNWQLVSLDAKVENLKSCILALLIWNNHLEVEEAVRLSRLEEDFQIAQFGKVEGHHDF DENTIMMNVSASKLFAQLIQTQSIAY >CAK91905 pep:novel supercontig:GCA_000165425.1:CT868665:209805:210353:-1 gene:GSPATT00024636001 transcript:CAK91905 MQEFEASYNYVNQAALIFYEETDGSHQDTDKFVNWDEDLIKISKSSPSQRDYKKNICRNI LRQAVKSMRRGRQFEFLEMELQQETSRFIDYYQSNLHNINGFRSLKNQLIINQKDTSEQK QWKRVFQSYMVWFLNQRASLFILNGEANNFKEYLRFKNEVMLFYTQFPEQWCSNEPAWKN CN >CAK91906 pep:novel supercontig:GCA_000165425.1:CT868665:211315:211814:-1 gene:GSPATT00024637001 transcript:CAK91906 MQDVQQPHSSKLDQESATNAKNHLLLVNNITELSNELLQSYKHEIDQLKQELHLMKQRIT NNNEEIKNTTQPTLDAMLRDLRQAINTQKDENSKLQSQITEIKKEKSQIQQLIIAATQKV AELEHQVGNYTSS >CAK91907 pep:novel supercontig:GCA_000165425.1:CT868665:211863:213500:1 gene:GSPATT00024638001 transcript:CAK91907 MGNNQSCCSVRIRQPTNTLDKKEVFLLCDVLKHSQEDNHTTYLDKQCFERIFQDNPLFGE QVFEYCSHILQSTQLPLEGCINIRKSSHHILVQGILSTSDITPTLLQICMENYDGTIQVI TVQQAFQFIGLITSIFLTFCIRKQQIDAQVLEILIHSLFKEKQMKINEFLSIIEANFPYI QKLIRLYLSYRLLQKPFNQMRVAKIDKDSFSLKYEWLAIMGLATSELHRHGQVSLLYQSA LQSINLQEILISMQQTQTLLVVTFQDEQTNKKQTLAIYNKRQWQVDCKDTESIIAFQISP IFYIYRSQSKNYFNNNGFGFSFDQKSNRYLLWLGVQNSYFQNSQRLLTVEVWRCEELQSK LRKSVMDEDRTSTLSPKEQKKRVSFRLLDENQPTRKSNSVTPITKKLNFIENVPPQSQPP TPMQKLQIVIYSSQIQITQDDQESPKSPHFNSDNPPTFNNPQAQVLKSYPNSQNLVNTQS QSKQQQNRPSQSIIEKYGNGQRQTRSYSNNNEVRKSVDDILKRYDKKQ >CAK91908 pep:novel supercontig:GCA_000165425.1:CT868665:213532:214080:-1 gene:GSPATT00024639001 transcript:CAK91908 MKFVPLAIHLQAIADLYEKCALLSEQLHEQNFKTDQDAKSTNENTQNSESIEIDEIQNVS PKSYVTKTKESLKSNIKKIKTQNHKKVQSQCSDCSQVYEVKVKKKGKKHKHTQKTKKSRQ LIKKLRTQQQQKQFEIDLNERTNSEHSITSKSEINNICTLDNQDQGDEIQNQDSQDEFEI SL >CAK91909 pep:novel supercontig:GCA_000165425.1:CT868665:214192:216380:1 gene:GSPATT00024640001 transcript:CAK91909 MNQITKYIQPFPSIDYGEQQKDMDEESSQIWIYSLLNEFRLYQFTIVQSTFYYNTLVCLP TGLGKTFIASMAIINFSRWFPKGKIFFLAPTRPLVAQQHEAMKKFGIDGKLSKNDRTYQS QIYFSTPQTLDNDLNEDLIQNIVLVVLDEAHKGVGDYAYTNIVKRLLYNTRIIALSATPG NNLEQIQQVVANLRIAKIELRDEYDPEVVPYLKFKAVEKVVVSFDNCQILDDLNKQMQPL LNIILGFGILPVELIRVCSRADIFSYGACFKLREFLTNSHLQFQIGQQNSNKVFEHLSHL HKLSYAKKILLEQGIQPYVKYMELDDIEEVHPKIYKLKEIFKNHFANNQSKVIVFTNSRD NAQLLCNHINQVENVKASIFVGQASSKNQAGMKQKEQLQVIDKFKNELNVLVATCIAEEG LDIGEVDLIICYDSGFSPIRMIQRMGRTGRKRDGRIIVLLTEGKEAADYEKSVNKYSKLI KELKDFNINLYSSNPRILQSQPQIKFIDGDIEQIDIKIKQDPIKTKNKDLKKQKRSHKNT DQQETKQIKIEKFFTKNLKDISEETEEKQQTQSHYQPMLLESNSFAKPKKVQAKKIQLGN IDKFIKPKEQVEVVQNKKLQIKVQPKQPVVQDKFVIQIKPKPQLQEEDLTVSSLEQFNEL LRKQQNFTSNQDSNTMFQFLDKLDLEKINLSSQDLHESDLDLSKIAEDYISD >CAK91910 pep:novel supercontig:GCA_000165425.1:CT868665:216660:218381:-1 gene:GSPATT00024641001 transcript:CAK91910 MTNFIEESMIINHLTSPQNFNHPNQQPSNLKPYYKHSSIPQNSQNSLLNLLDMENPKIVE DKNSDNIVNPMSSQSNLSSDNQRQMESDLELKQVLTEINDPDCSYQCNLKESQICKESEI KQSQHQVSISELQQQQIEKHKQIILRKNQHLKLANSFNTYVNQNQFQSSSPTNQIQLNEI RQEYQILDRDQLKNFKEIYNFYCKQPITTNKYQTFEKLQQLSNTMILQKFMIFCKDFKLI DLEISSDLISFLGGKNNNLRKKQINYNYKNNNRSNFILTKFYLVQMFKQNADKNMELNLD NFIILIQKLADLLFPQQNYLLYEFLDLKNPKVYRKKMTLVGKPFHTKEQSEIITQEKLYE RKNIILPHQFKLKSESTKKQEDNSPLQFPKISRRTNTYKCYSPCSYEKHKIDEGNSLQLE ELYDYKQDVEQKRVLFEHQFNEKEDDFNLQEFQYSNRRSNAKKNRGDQFYLSKINKAQQF SLYSNILGSIHKCDRLSSNSRNQIEISQNMVASGVTRPSNMKSFENQENSAKKSPSYSPQ TRELCNLEKKYLIHQAFANQQSCRNNQAKKTKF >CAK91911 pep:novel supercontig:GCA_000165425.1:CT868665:218409:219119:1 gene:GSPATT00024642001 transcript:CAK91911 MQSIITQCQDLDEEYRQLVYVVNNMLDNLSIVSLEFVNSKLEFLDKYSNTLKSSQIDARI HRNSQMKNLIEYIKMHTKSQVKSLAQSPPAKMAHHRPKYSFNEKAFSSILYSSINQVTQQ KTQYNDELDQSEQKPTLKQKNLNILQNLIGKQKFEQKRGNDDMFNLLQKCMHLISQKQEF YEEYENLQKEYKDIVKSNQSLQFKVNLDQKSSKLKENLNQLMGSHQQFKSYLNSVI >CAK91912 pep:novel supercontig:GCA_000165425.1:CT868665:219220:221815:1 gene:GSPATT00024643001 transcript:CAK91912 MSNNQYNNKKLAPFRRQRDVLKEELKRLQQEQTEEEKLNDYYFDEIGRVEKEYEFLIKSI EKYSKQNQSLKTICQTEQNQGLDETIEKNVIDTLNLFLLSTSKKEYLESIQEQEISKKQL QVGNLMMTQNQKIEDKDKEQEKENVILDNGYYLKLKQGYSQLIIKVPGSIRTFKELKQIV KSCCMAEEQEIFYTDLMGNVLQPEMNVLDQLYPPIYELLKNYQPVVCIQIIKQKKVKEDT KQTEADFAFEGGIQELMTKQLRSIRRIEKSINWSKYMDYLTSFKYVLESILFLSLLILYA IVEINEIDFFTNSQLLMNLNLDMAIQKSYQSPITNISQIISLTIPNGSNHGLSPTYPLQS GLLVQTLVGIDDINNCDILNQNQKQIYVDKNQSCLMFDITQTSDLNDNYSKLGFDPKVYN EQFGGYVWEFNMSTRQSFQESYDLMMSENWFQFNVKQTQFLLNYYNSPTQRVISVTITTL YLFNDRLLNYNSFQAEGFNISELPEQVLVSKDIIFFCSIVLLISSFFDFFGLYFEGTKNN LIVIYLQYLELLNRKKKIEAKRKMISESDQKNIQQKELIVGEYVIINLKVIYITIRIPLV FDYIYILCQFGMVMKNTVDSYYEEALKEIVLNSDQYQDTNALIQPLFVGRVYSAILVIFL MISIVRFMGNWSPYLKCYGLVMIRFNKESWFLLLVLIYIISVCAMSWIVTIQGKLVNHDN FFYTFLGLLRCTLRFGLDNDLEQQGFYNTYAKDVIYSFETKYLQYIIIITITMIMIPIFI SLMTDLVQNTKVEAKQKMMEMKKQNQD >CAK91913 pep:novel supercontig:GCA_000165425.1:CT868665:221962:224667:1 gene:GSPATT00024644001 transcript:CAK91913 MKNYQSQPMQSSGRGSLQENPQFMDFKPKKSNSKIMKTESTTTTAKNPKQDLLEYFKKER NTITQVTNNIKTQLQQSRSIDNLNSQKSERLKISNRIQTEEGNSNKKSLLKGKNFSLANL QIDTKLSKNTIKYNKLIQMPQNTNKQPKSTVDQYFQDLQIKSAKDQNNFQPIDYQQYFTI RQNKQSLLQDSQTLKYSIEQILNKNKNQFHRNNTEVRSTNQSTQQRSDSLKKNLREEQNH YDPQKQLKIILNYKGQKYHYHFNFIGQTTDNLYNYLIQQIACIEKSFMKQGGGTGSTEEV QIQEEINKICQFYTTQKNIPYDYYLSLPNLSLNVFQGITLQLQPLTSQSLYGKKVSLRDF TLVKCIGVGGFSRVYLVRKRDNGKFYALKLIDKSFIMENQKEVIVQNERDIMVNMSNEFI TPLHFAFETKYYIAFVLDYCAGGELFYHLRKLKRFTEVDAKYYFVEICIGMAYLHSKDIV YRDIKPENILLDLNGHLLLSDFGLSKPNMTNQDLAYSFCGSPEYMAPEMLMKTGHNYLVD CYCLGALLYELVTGLPPFYSHNTQEIYTSILSEQVEFPPYVQVSDLLKDLIYQLLEKDPQ ERLGQSQGIIEILSHPWFADINFEAIVNRKINPPYRPEPLKYNFDEEEFNKGDSEFRKQY AINLQKEYVNTDNNPNFILRNFYFSREDLPQKPEHKPNRSNHVNLAQLNVENIPNSDINS PERQRQISPQEARRVPKYSGKSEISDLLKRNEFSNKSASLIQSSKITHAYSKTMQQQNQN QDIKVLKQILESTKGQTSSERTATLPDQNIHKNENNRIKTEQFAQIVYPKTTTNYQFNKN LNMQKLFGSEKRQK >CAK91914 pep:novel supercontig:GCA_000165425.1:CT868665:224980:225912:-1 gene:GSPATT00024645001 transcript:CAK91914 MIKTHNELKKWQNQENQQGKSQIFRISKMKPQCYDISPCRKIICVGYTNKSLIFWDFVNC FKLQCHQLSFIPTQILYSTDGQYQLIRSKQNPKKIYIYKLSNMEYEEIVSSHYLYQKIMQ FNSSQNHEALMMGLSHLSVINYKTKSIQKQIDLQFVSLTTLKNKEQICTIDCHHDTAEIN ILQLRRSLKIIKRTFFNVQHGNINIKTNNNNYFIVSSNKSKNRIWNIQTMKLLRKKHYGD DQISELVINQFSNLVYFHLSSYGIVVWNITTGRHRVVVEDQQNRLSCLQVLSNCQLIYKS NWHVHSTVCF >CAK91915 pep:novel supercontig:GCA_000165425.1:CT868665:226296:227492:-1 gene:GSPATT00024646001 transcript:CAK91915 MILLYILSFIVILSKAQESLAFCDEYIEFDLDYFGKFISNAKLSNSTDAILAEKRVLIID QELNLLSSVSIPKTQTHDCLWIFKQSSDVFFVGCQKNGEAPYLIAYKSINETHYSQFGNI VYFPNINETVIRVIGVQNTLFTIQSKKVTLFTLVWSASDWSIKTTQNVFDKNYFARTAEI NITDLAFEPYSQDNLQYYKLIVVEFKLGAFWVDTLVKNNILSPFRTGLIYMPNEFSSNVQ YNSAVIHSTTQNASYISFTLFDNGYADVSIKAVYVTTSTTTITNNYNYKGPWASWGPPIK FGNLQGILRRNTLKQSIFNVYNIVIPVAQNENTLTVTSYDPVDTFTSPPQDYPIYYFNPG YRVVHSIENNKLQSCDLYNYKIQME >CAK91916 pep:novel supercontig:GCA_000165425.1:CT868665:227947:228904:-1 gene:GSPATT00024647001 transcript:CAK91916 MQILPPAERVLHYCESKNWFNSRHASKTKEQYLKTEKELFDDVIVTETFHLIDRDHSNSI ELDELYSMLKKNKYPVNMSLLKAFFDKTDKDGNKCIDLDEFKQVIKDESTSQTFRMMMRR MREIGDDNYYSTDFVNLLRYLSYCSNRTDLIFQIKNARISFEMRSKLVSDLLKVNQQFTK PQNPTQDQLFTLRPFMKKKTQLEFKYLTSIQKRPNLLSNHSTTTSNHNSIISLKAINFQQ HSPRLTSGRFLIKKKQATLMTTPFQSTKSTLQSTQTTLRKNYIQF >CAK91917 pep:novel supercontig:GCA_000165425.1:CT868665:228936:230219:1 gene:GSPATT00024648001 transcript:CAK91917 MELQYANVIQAQIKYILDSSFKLISEKILCRNVTLLTEWQTFTTSHSITQLSFQKHDFDK DIIEDLEIKPTSLENFQAYKISLNEKVQSTSRMNTAAQKSHKAHFKLVTQHIFNWDKEYH YSLIHIPEPEVVISTADEQLRRMKIQQINESNKQKELQQKQLKEKKRMNEMNLRLKQQLS NKEYTYDYNCQLIINKHSQLQTDIVPQLKFEILKDEQGNQMKKNQKKKKEEIQQQVIKKR SKEPLISQNTMNFGESKSFFLNNEDENFDRQTSNIPFIKLSRGVRLTEDNHELQFDAPSQ PIQLQLQRKESIHLDSQHQNQSTYLRFNILDKSKEKVIKSNKSCIKTERNYDYLKVEKED STYRFKTSAHQNINKSQQAHQLIIDNTILRQDLVKLPKVRSRSINIRSKKDRQIAIHSKD QITNQNI >CAK91918 pep:novel supercontig:GCA_000165425.1:CT868665:230334:231257:1 gene:GSPATT00024649001 transcript:CAK91918 MEQKLQLLNSSIQVDPHNPQIPLTLRHLKLEIFNELAVNRLGTEKLALHFCELEVTYLLI SKQIENFELAIERLMKFYNQGLESKDKAHYTGIYLIYLLSFNKFAQYYSQIELLPKEIFQ HPFVHFVLQLEYKLSIGSYSDLLGDYHPTGIENVFLERIMDTIRLQTALSANASYKFLTL ENAIKLFNVKTIQELQSFAQKQHFKWKFDNNHIYFDNIQNIENPLNSEFLIANSLRYVHE FDKII >CAK91919 pep:novel supercontig:GCA_000165425.1:CT868665:231385:232131:-1 gene:GSPATT00024650001 transcript:CAK91919 MNEEIRSILKKILEMQLDCTQILTTILFKNDDSYKDEELHKAKVLLEEQKNQIELGDKKQ KKKHLNYDKAGEVANKINSENHRNVILDINSQLKLTPQECYQKLNYIIQDFRQRNKLTIK PQSCDFEYIKNYHSKVKFKELRNMIKLVVNNMENKKNVISKANGQKVIQCPSCDFQGFSG VYFPHILKKHCQNYYIFSCFLCYRDFQSYILLKKHLKRYHKQVLNNSSDVEIIKVQYDDN DNELSINI >CAK91920 pep:novel supercontig:GCA_000165425.1:CT868665:232249:233736:-1 gene:GSPATT00024651001 transcript:CAK91920 MQFDINSPRTQAAMEMLKIEDDDLNVEEYADFYQKKQNPLQNLIKYLQYVTNKYKILNDL LKRRNQIKHIQSQVTKQINSKNIKFKDESLIAEQSESRKTQREDQQTSVILSANQRKELF NKKVNKESENYNKFLNIVQDQINKQEEYYSKTAPEIYQKAEQNKQKQLDQIRKKLRRKNL KVDQICQKNKYEEQLQYREHKKVIQELEKDLSLHFERKQKQLLQSQDVQVEQLKKREEKE RERQLKKQMQISQEQSMIEQVMDSMKKKSDYLNDYLQKKQMEDRRKQQQSLKMFEEKQKK LQESYTQKENQNVQLYFSKSTQRQIQLNKHEISQIKQQKSLSTKNSRIMKKYEQYQVSLD QKRSDSLISKLQEADDKLVGGLKRHQSLLDLRKQNLCEKNEHRFKLAKQKQMENMLEKIH KQNKILEKNLEISQKNDKNKQELEFLEKYKKEIMLNKVIQRNQMIQKLQS >CAK91921 pep:novel supercontig:GCA_000165425.1:CT868665:234402:235114:-1 gene:GSPATT00024652001 transcript:CAK91921 MFKSKWGSNSASTFRFKPIIIITSIKPEAIQMKQINKVKSIVLDSQTKTDSGSNDHQIYV NELIDHNPCSTEYNLSENDYKTLKLANALIISNLFEMVFIVFGCTIIYMTISYKWSSEFS VSLQLFILSDIIIVLEKAFFFYTLINKNQMLKICLCLNMSSMMLKLTASTVLSDLTSCLL LVTFILIFLSLPIYILNKVLTRRWKCIPEKVWKRQIIGARKQVLSVYH >CAK91922 pep:novel supercontig:GCA_000165425.1:CT868665:235266:236120:1 gene:GSPATT00024653001 transcript:CAK91922 MLQVLISLREKKESLKKQKIALEEELNQLLNYLEQGSKKLSDAYNVKCQSTEFEIKKKEI QKQMEKESLEIVKNQQYCIDQQRIYKERADWIDKFNDKLKEDFKSCQKKEETIQIRSTVA KGIKRLFLLQLCTIFFDKENQRLLWGLHKIKLLNDVQQNMSSQDEDHLATSLGYLGLLLQ QIAKIKGLTLKYEFKFKGSKSYIQSQNDTLYLFSQRPSNLKILKQSYGLLIQNLYVIMEE LSVQKQWRIQSLPAIIGKIGEMLWVDA >CAK91923 pep:novel supercontig:GCA_000165425.1:CT868665:236568:236933:-1 gene:GSPATT00024654001 transcript:CAK91923 MIKSNLKYIIQSLLEYKQILEIRLVYAVKYTKLKIEIIYLAILKNASFCEDIDKYFKVVS GIVNGEGIKMSDLEADVYYLFQNLKEEEMLLKLNETLQYCKPEKKPIYRIAF >CAK91924 pep:novel supercontig:GCA_000165425.1:CT868665:238055:238342:-1 gene:GSPATT00024655001 transcript:CAK91924 MGCAQIVNHTSEICEPLIERKSTKRVTSKQNTSFQDSPIIFPLNLPNGFGRYKQNQRNQR NALSKTKLRNALRIEMQLDSSKNTILKRRAISPLV >CAK91925 pep:novel supercontig:GCA_000165425.1:CT868665:239246:240816:-1 gene:GSPATT00024656001 transcript:CAK91925 MMPSKFYKRSLSTRNEDNYNQQTIFKHPQINLTPIKKRVNLTRIDGYENIISQLYTPRQI KNIGYSPKIIKHSAYQEETQFNNIVQGYFLPTPRKISLQFNKIITEPTTFNNSPIRKQEK NCIILKNTKTDLEYDLDKQLNIPQKIRLYFSCQKLQKRFFKLQELLQFISIKSMYQYLND QNSNTIDYVAEFDIQYKQFILTEFLCIILYFLIKYNLIKKDELSRLEKLILYQHQQNACN VIHKLQIHVPGFKTYMHLFYQLQQANPLIPKQIDLLNKYIDKTIDDLIKTIVNYQLKQQI REFLFMKIEQKTSDFIDKLKKIIESIQENLYFLPKNEKEYTLILDLEETLIHIDDKSQIK KRPFLQEFLEELSRYYEIVVFGHLPQLEMEKIIPIIDENSIIKHQLNRNHLMKYEKVLIK DLTLLGRPPNKYIIVDNDPFNFLLSPQNGIQIRSWHGEQGDHALQDLQPFLGDLRLYKDV RIGLTQVKTYSQYFFVPL >CAK91926 pep:novel supercontig:GCA_000165425.1:CT868665:240851:242063:1 gene:GSPATT00024657001 transcript:CAK91926 MQHFVTGILQSFGLCGLRNKLVHLIAFRPPEASYELRLRKSNNQKTHTKQRYTSSDDNQI PDQSQRNELSTHRKTQSQYIQRRRRQIRNTEEYPEYDFIKLSDKSRDQNEDFVVTSDICS VTAYFLNQKKDKQMACVYLNRNSEQIILFSHGNACDLGMMIDKLIKLVQQTNTSVFAYEY SGYGQSDGVSNDINVIRNVYTAYNFLIHQLGYKATQIIVYGYSIGSGPSVTLASNPQYPV GGLIIQSGFSSGLRVISNKIDETPFYDMFPNIDRIQLVTCPVFIMHGANDKIISDEHAKQ LASKTNNLYELWIPENVGHCGIETDIQNRQQYFQKLSRFIKYIQLLNESIPDLLKKNTAI SDGKTPCKSHFYQTKIF >CAK91927 pep:novel supercontig:GCA_000165425.1:CT868665:242547:244163:-1 gene:GSPATT00024658001 transcript:CAK91927 MQSNQNQSPIPGSVEIIKDKLYWISDRQAPRNQPNAFYFCIDQDLVYEPFFADFGPLNLG HTYRFVTELEKLLSDKTYQQYAIYHYTSLDSAKRANAAYLMGAFQVIILKISADDAWKPF QSVKPAFQDFRDASYGQCTYKCTILHCLRGLEYAIKLKWFDVRKFNLRDYEFYERVENGD LNWIVPNKFIAFSGPSATQKDADGNRTFTPEEYVPIFKQFGVTCVVRLNKKAYEEQRFIK NGIKHEEIYFLDGSVPGDDKILRFLEIAEKENVVAVHCKAGLGRTGTLIAAYVIKHYRFP APDFIGWIRICRPGSILGPQQIFLLQKQNWLISLGKDSPIWNQVKQIAAEVNIEKRLKDL QLGPMSEADKNKAEKGDQDQAQTLNKAKIMNQTSNSPGLKK >CAK91928 pep:novel supercontig:GCA_000165425.1:CT868665:244652:245706:-1 gene:GSPATT00024659001 transcript:CAK91928 MQAQYCIVIKFDKANNTYKPNDFISGQATVSFSDPNQKRLEISSLKWRSEGAISCQNKDS HKELQKLMQNQNPQLLHLNQGEFTQEKHILQEKNTFQFKYQLTPYGDSRILETYVGVYVA IAYEIQVELILNNGVAVRNSTPFYVQCIGVDKGGEHINFKELHLKQEQFMITPDRLLGSS SVQNKQNAKFKINGIIDSTTCQFQEGFNGTVCVEECESEIRTIDLQMIRVEKFENKSGKV LEATEIQLIQIVDGNITKGIQVPFNMIFPKFFSCSNFQFKEFSVDFEVNLLVIMFDGFKI TLNFPIHIIRK >CAK91929 pep:novel supercontig:GCA_000165425.1:CT868665:246222:247312:-1 gene:GSPATT00024660001 transcript:CAK91929 MGGDKHDLSYYVKCMIGGTLACGLTHTAIVPLDVVKCRRQVFPTLYKSLGDGLSTISKTE GFGGLTLAWGPTLIGYSLQGLGKFGFYEIFKDVYKWVVGEENANKYRRIGWSIASGSAEV IADTLLCPMEAIKVRMQTSKPGSFTTSGVQAFNQVKGNEGINGLYKGLGPLWARQVPYTI VKFVAFEQIVALFYENVFTKPKDSYSKFTQLSVTFASGYLAGIFCAIVSHPADTIVSKLN SIQTGGSLGENVGKIYKEIGFSGLWRGLGTRIIMIGTLTGLQWWIYDSFKTAVGLQTTGG GSSAPKPQETKH >CAK91930 pep:novel supercontig:GCA_000165425.1:CT868665:247484:248907:-1 gene:GSPATT00024661001 transcript:CAK91930 MHDSKSLFQKLEGTLAEKLCVKELLKEQVIIYVQKSKEFIQKEIVISFNTLIIENQFIEL SKCQFEQKLSKPKYSEGINIIIIKSTAGKEFLITSNSQQCLRLKIYLKKFCVHKNYSGRY RFIEQQNCLPLSQSYKKNNQYFSTIKFEKKLLEDPQELQTFHNQLTIMHAFQLMPNVFRL CEDASRYYILGENMKLQSLESLLLNGFDFKEVPFVSIIYMVLQTIQKYQAKGIYHGNISL SNIYVNIDSQTLQITLLFPKYKENNNKNIEKDICSLGKLIYQITFHTLKGEIVKDVNLNL IQSLMNQWSEPNSQRTKYRFLYRVSQLDLLNQLLSSKMTVEMALIHQWFVNIRQNLKVES KQSMPKAFLSTIMEEQELHIASSQFLDNQVTITQEYEPEEELYPIRCQLVNTIQMIPSKK KHDDARNYFYRSKTFASFTIKRESLPEITKQWTFK >CAK91931 pep:novel supercontig:GCA_000165425.1:CT868665:249818:250749:1 gene:GSPATT00024662001 transcript:CAK91931 MSQNPENFFQRMYSKAGKSSAQIFAHLLGKTIKSYSASKSLDSQFITNTPNKRSKKPLKQ QPFSQGLSREYLHKLQSQGKPNLPIGIYNPKYSAVQKQKLNLIRKSDLGGKFAVEHKITI KQGSDSQASSELRNLKSRRTKGQSDLRRQATRQQYDGQQNCLSNTILNEFLSINTNSIRG SQSAHLKGSGYSFNYNKNNFFKTLCDTDCEVGKRKRNCFWATIEKQTVRDCFMNKSVCLR DQSIYQYDQFSNSNSIISPITTKIFNDINKVFGRTLSLKRKAITITTNNYKIIDLIHDDG >CAK91932 pep:novel supercontig:GCA_000165425.1:CT868665:251034:252245:-1 gene:GSPATT00024663001 transcript:CAK91932 MNRNIPIGNKICATKEIFQNQVILNTHLLTMRPQINTSAPKQYDFLKNKKIKDAIKSQKQ QEIDRENQNLISKLTTIMQQQTNNSISTLAIKKSATMSIAQKKSLNKDYRKKELIKIVME NQQLLKRIQDQKSQYNVKDWNQERKWVEKYISNISEYPYKDFKPTKTLVQYWTNSRISES QLQKRDNLNNKKLDPLEIKSQQNKQRARQDNNNTDSRQLLTNQENQQRSLFESDFQPYEP YQDKVSKIVNEIIEKPQLQEQEVKKEQIQVADDQNHQDQPIPQENPEIVATDNLQSKDQD ENQNNEQEQDLQQQNEANNLMANAEENQEQLNQESNSPQQNHQNIQTIDFEQQNEEPLDQ QSSEKLQD >CAK91933 pep:novel supercontig:GCA_000165425.1:CT868665:252289:258354:-1 gene:GSPATT00024664001 transcript:CAK91933 MFLLFLSLNLVTKSTTITELQEGEPWIPENWIADDQSVFETQHICDDLNGPYLGLIDKNL HKQLSDLGAHSEIQISFDILFTGRWEGSSYLKIDIDSTNIFSESGLSSSTFKTSSTYCKL NKINAVATQQKLITVYQTMSHSKRNPLIEIKGSFTCDIGGIGVCQMIAIKNFVIIPTLCD FSCKTCSGPKIDQCLSCPYGAINSGKCYCQSGLYQYANKCVLSCPKYYIANLDNQCILEC SLNCQICGIKSCNKCEEGYLLYLGKCVKECPSNSQFDGTTKCIDYKEMKEYGSEYLGSYF YSLDSNYQQQVSRFEFSQSFSYSFWTGSKYSIYQDKLLLGGYGVWSEGYFSIMYYSLNPH NRLRLYLEVWFIDKWSNDVFQIIVDGTIVYEKKVKNTATNLFYGSFPDQIEKIELDIAHT SNSADIRFVSNSMRSSFESSVGITDLHILIDYCDFNCAQCAETECSLCVLGFQLINKKCA ICDITYNRNSDCSCLEGYYEDNKAECLKCKAECQNCIDGLTCNQCKIGSHLIQLPFCKSC ENGYYYDDAMCLKCQYNCNTCSSFNVCVYCANGFVNPPTCQCQDGYYESATNVCYKCSSQ CKTCTQYADKCTSCSGNRISLPDCSCPNNSVEIENSIWCTTCTIASLSISLNYDLTILII NFKWNIKDLYTNCNHIFQQQTIELLGENPLCFKDENKIQVKMGPKASLKQGDLIVFYPNI IQFEKCPSVIQTFYNNELNILGQANQPKDKLQFVQSKIYLSRCQKQNLLLSFLNQDNIQL LSWFLIQKKQWDPKLDNFMKFLNQQIQANPITQYFEFPGNILDEENEIIIELQYANVVNQ VYSSQLVVVQEFGKITTEIAFQQTPYQISQEIQISLISYYCPSPIFSNYDRVNYLISIDG QLYQQDNLIGQYYIFALKPQSLKIGVYSLNIKTLFQDKLVNEQSINLKVVDNIQRLVLKS TSTSFNYRQSINLQAYVTNGQGNERFIWECFDVLENELCMRNNQMLNLGYDGSINIPADT FNPFQTIIISVVYKSMKEQIQLQIVETDVPKVDFETTPDIYSGFINFYDQINIKLKFMDL NVNPDTLQYIGLLYTNERVIAQFSFDYLELKLQIWDYLKLEELSEKLTLKISIHNPNYFQ PSTIIMNIYLNLPPKQCIVGIEPTSGESLLTDFTISTKKCIDINQSLQYRILLYQTQSDL NYDYSIGQLYKGVVLNPYQYDPVYKTKLVGKGQTYLVVQVKDTLNGVSNYTLVVNVTSTQ SEQVQTMMSTQPDLKSSILLMLNSENNNQSAPQLVTDKAFQNTLQQSQCNCEYDVNQQLF LKKLLVQQSQSVSYQQINSELNSSKSRLNEIEQLLKQQTSLMENSFDRSKQLFSNVFLHE EMLGYAQYIAELFNKNQISSKFRRQLIENQDQIKINNQQIVDSLNVITKIQLSQQMINSK KLSIQTNWFNISTQRVTSKLLQSALGNVLTSQKQQVSDEETDYSSENKSARFEYKFIKYA SNPYLYDSDFMEINKNQTNAMLYDPKVTFEENQTQLTDSMTTLTYEFPKPENDNKFYECV MKIEDSWSLDACTTSQEASKMICECQKISIITLIDAVQQLAGQLASFFSADTINKINQCP YEQMLFLYILILFTIIFLLSLYIGHRLDQNQLNSGSFLSAKVMPQSWDEFPEKERAGSID ELAQLEQQAGRQQMKLNNQHSKRNSIKMQNDKQPSEDNQNRQIDSALSSKRSMALDSNGR SGKAIEETEGNQLSGTPILDEQKDQNSPKREDSIFNSIHNSQVKFHQGFFLYFKINHVLM SLYYLYNEQQSRVYRTIIVYMSIIGEICILTFFGEIISLNTILALSILQSLFGLIFCKIF SFLIHNKVQILKIIGLTLILFAISFFYFILLGSLANYKSIQESQYWALAYLTSFVLNYVV YSLMVQFAMFSFLNKFYSYDRVKKIMKYLLEERVYQELYGKS >CAK91934 pep:novel supercontig:GCA_000165425.1:CT868665:258643:260693:1 gene:GSPATT00024665001 transcript:CAK91934 MIKKYTLTFNSSELEQSFKLWVIDQNQIMYEITLSTLSIYLILNLINYASNIIYLITTSV TLCLELTMLFFFRRYPMQRENIKSLNLIVINILIDIYIWLNFAQNLYLEVGAVRLCLYLQ GNKFTTQSFIFLFSQAAEMSILQSQMIPLITYSLFCLFFIFLRYQTEMKRRQHYLAFRSQ ILYENLIEEQLPAWVVLVKYDSKQAQLKMDKINRVMKDTFNLNSDQKFREFLRDSNIQPL DGINQKAFNFEDVLIKDLLQKQQNDKITKFVGRFINNDKKWQFQITKIYFNTLEPTILLL FIEEGGDLFDFFTHQLKWRDQQLVNHSKMCLNYIKDQINLLKYFKQSANAQQLYYINNQI SNSYIIFNQSLNILNITKIIYNKLKYNINQFNLLELINQLKEDLKFSNKQLLFDLQLKTD RSKMISVILSISEFIRLMLAINTNDEKQLYEIHPLGYPIQIKFKRIHSNPGCLLITMKHQ LLNIPAPIQEALQKAASFSDHKKRNWGVNHYYENIQNLSDQFHKINVTTQKVTQSQMPYL ELDQNLQQQQQKIGVYLDGSTEPFSTLGLPLAQYLISQIGPNNQIEFKDKKLKHVPDPLS FRNATTKTKIQFKVYEDLNQFIIQLNEQDPNPYLDFFIYNNSQKSGFKTYCSMSPQHARR SGMTTLLISGKIN >CAK91935 pep:novel supercontig:GCA_000165425.1:CT868665:261056:261360:-1 gene:GSPATT00024666001 transcript:CAK91935 MPHYPEDIEYSDKYQDEFYEYRHVILPKHVFKKLTKGKLLNEMEWRGLGVQQSRGWVHYE CHRPEPHILLFRRPKGTDPNTGLPPQGFSAPY >CAK91936 pep:novel supercontig:GCA_000165425.1:CT868665:261385:262424:-1 gene:GSPATT00024667001 transcript:CAK91936 MLSTQVFNSFAQRQSNGIIKLLAYGVFFGGAYGCAWHQWKGAQQKQLYEKVENEITEWKP IIINGLNANRYPWARNIRQWEYKLVKLYGYFRDERFFVRREREGRDGFLVFAPFVTALQF NDTEQDPEQTTKSQVMVNLGWVPKDNISDIQMGQEPIGTTTYENVPHNEDDDQLTGFNRN VLNMEEDYQMPFVEFVGMVRRGEEEDILKGRRNWPREGVYNYIDLWFMSRLYRSFNLTDC SSAYIERLVQEYDEESANLYPIPATKDNFDKPLPTPQTHQAYSLFFGLSSIMSLALLAIR R >CAK91937 pep:novel supercontig:GCA_000165425.1:CT868665:262525:264043:-1 gene:GSPATT00024668001 transcript:CAK91937 MTEDIVNLFVQKYGSADAQKNQLLQQSIQKVLLKEGANSSVVTKLRSKLGQSQSQQQFFP TLGNGESALPSKTPADSQRKTFEPFTKRVQPFQRTFLKSYDQVSETSEKPPKSVYYVDRQ DEDEWAALMKFDAQLYQKEQERKKELLLQKKAQMKKELDMQLKIKEDRKKREKDLEEKYD RFQSELLQKKNEQEYEKQANVKNKLLQLQSERDVQLNNKKKKMHSEDRELRNYKQEISKR YQNELQKQIDEENRIKLKKRDYMFKVMKQSEADKMVIQQKQKEQEKLEQANCEKYGELLD QIEQRRIEENRKKKEKVDKNNAWSESQLLRYKLEKEDDDKLQYWKKIEDQKFLEEEQKKK NRVNELKQKTIQSLEQSIKFKQIKKLQEKELDDLQGKIWKEDTERFFQEEQNKQDQIKKV KVEHKKILQQQMQDKIKPERGEKMSIPELLQNKAILKTIKEQEIYDLEKTVV >CAK91938 pep:novel supercontig:GCA_000165425.1:CT868665:264735:267140:-1 gene:GSPATT00024669001 transcript:CAK91938 MDLQEELAQKDQTIKQLEEERSKLLQDANELQANQIALEKEIARQKSNIANYEAELIELH KERKSCDEQIQKSQIQIESYEQQIILLNEDLKKVTIQCDEAFQKLQEIQSRHEIEIKTLA DSLMIEKEKINEINDDYQLIVNGKQSEIDSLNEKLNDLEEKIDANLKNYKIEIDQLNIQI EQTKQEKDNEWEQIVKQLQNEIDDLNKALYEKEQTNTFEQKVEELNQYILEQEQQYMKQF ETQEGKMKKLQDDIIILNAQILELQQINSNQLLELTTLQQELLHKNESCQTLTQQLEDIK QQLQQEQQLQLQQQQLYQQQQQQQQQQQQQQQQQSQQQSSQQKQTQQLNQNNNNVQGQNN NAAEKMVTIPMKELLSWDKRSKDQQHQIKALSNEIQLLKNQQEEQQKLQNSKQMKNNLSL EGMVQKCAALQQIIDETSQQNSKITQELNTYKLQNQQLKDDLHNIQQELKELRVIAQDKF RLEGELASAYIQISENKDLMKKLSELSSNYENKEEQLETTKKTLRQSEQLRNNLQIKFDE ITTQYQKVSQELINVKQERDQKINKSKDLEKLLQSQFQEYSLLEQKYKDSQINYEDMQSQ VKILEKKYSHETEQLKDDCLQKQKQISALEESNKCLTNDLLAIRELQFRQKAPEAPLTKK ERIVVESLSLRVQELELENRKTKDSLCARIVQLQNELEQKTNYINQIAHQISKQIPSLQE QDLLDKEDVKMIQHLKKKVNIQDKTLIENLQLRDQIKLLGTEINKRK >CAK91939 pep:novel supercontig:GCA_000165425.1:CT868665:268080:269801:1 gene:GSPATT00024670001 transcript:CAK91939 MADCGDCGDCGDCGDCGDCGDMCGVCDDCGDCSHMYGDCKNCCQDCCDCNNLDCDCDNTI CRGFCQQFCQNPVANHSRIRRFLSSLIIFVLLIVQWVTSVSKIQEYQYKKVQIMNYQNML KIGPINDLMLLTQCPSNTVLVSNYMIPSTVAGCYCPNQNQRLYLEICNSTQTECSILSAS NEIQTSNWGYNESMERFQLCATRTSTSPEKLRQLKIKEPKDCKANDYKLCQDLNNEKNFF CVEKTEKCPIKDIQRSNEILNNYEKIDQAQIVDNQYLIVTRNSSNNYLINFNITRGQGIC EDRSLLSLGTGEDYYMNAQQWEACSLDLSFNQLFTLKFEDFLQFNDLTNKFEQSRPLFNT TQNIKLVYQNSIPTMNLISFCSLFDDIEFAINLLSLIVLISISYWIYMIIIIINEICRCI FKAAEKCGQKCKQHVTAFLFYYVRFSIQITLIVLSLLGFLVVMSTDRTTKTIQAEYVKDT LSEQILNFSSNISNSILNQYKLLQGLVFASFLLDSIISIWAYYEEHKRKKQKQYQLYNIN QIVSPQYLQQTNQRPSQVQTYNDAVTKIIRNMK >CAK91940 pep:novel supercontig:GCA_000165425.1:CT868665:269841:270161:1 gene:GSPATT00024671001 transcript:CAK91940 MEDKVKSRKQITLSQTIRMELSQQQEEQKPVEGPQLIKHKPSSYDEETGQLYSFCASTVN QTQQFFRTFSEEHTYLNNQFSFTHKQKVIKYVFDKTMLCLNMQAKK >CAK91941 pep:novel supercontig:GCA_000165425.1:CT868665:270606:270755:1 gene:GSPATT00024672001 transcript:CAK91941 MDIIKNCFKKCVYTFNSNEFINSEEECINECIEQYKKRINICQNVKQKK >CAK91942 pep:novel supercontig:GCA_000165425.1:CT868665:270906:272279:-1 gene:GSPATT00024673001 transcript:CAK91942 MLPCLEKVYQMVLQTQLQFLDTDPILQIKLQDPYRLILKKEYVHIYKEDEPFIYFSICFP NVIQWHVIKNLLIGFSIKGFEFTSHQGDLLKKLKQILAGRLFFSKVQDFFIPLQTLGKGS SSKVLLVKEIDSGEFFAAKCVQKEEMQFQEIEINNQLQHSAFIKVKEVYQGETSYYIVMD LLSGKNLQSFLGHHKPLPLEQTKSIMHALLEGVEYMHSKNIMHRDIKPENIILEIKGGQV RLKIVDFGLATYSTLKRFRYPKCGTPGFVAPEVVNLQNSNQTYDKVCDIFSCGVIFYKLL TGRDVFPGSGFTYVLELNKKCNIDFSHLTLQLLPANLTESCSKNLRPTAIECLNHEFFKN IQKYNVYQKKQGFLQSKQHTVEFNQDQEIQDYQGSFVTTEKIQNQSQPKQKQKTMQKFNT SQFDNII >CAK91943 pep:novel supercontig:GCA_000165425.1:CT868665:273049:273468:-1 gene:GSPATT00024674001 transcript:CAK91943 MQQGFLQQQNGYYDDVPQNKSESQEQKTTLMEWLAIVGLIMLTYMVIIFIWIVCMAGIHN NFEATVICFMVIFFCIYIPGIGYFWYNGLQVRTQLIQDFINKKLQENQLEIGGRV >CAK91944 pep:novel supercontig:GCA_000165425.1:CT868665:273584:275185:-1 gene:GSPATT00024675001 transcript:CAK91944 MQNPESIQQPLFNQECPYNSVQLHTIVFNNVWDYLVHLQKCHLLNQRSEIRQSSEYYMCH NCLKVFEEFQQLLDHTDSEETKTFSKVFDKMPGHSIHLSKQGKWDIIKLIENYKENYLAG KDSDTDNVFPSHKADPRLNTPRDTITKIKNLQIDKKEKLQLYLLQSKVLESAYYKLFNHY KDLSMINKEYPYKLTKDSFHQLMAKHNINLSQKLYEQTKVCEFMETALTNPEEHIMYMNR RAINKFFYLWILIHEDVNPFPGVQFQEPWVIIAIPTSSEQLLNLSVHKPSDNTDLLELQK QLNEQQEIAKQIEQEKSKFDQKKIEESKVLEQKILKLENLETQLRIQLTAQNKQQNDFES SILDLQDRSSKLDHYYQVQRVKIQNDMTNQSEFFKLADSFAKEKQKLLQRVEIYADKRNK IHYETQDLKAQITELGEKLRQDEQERMKSKTRRRQRIIQDLCIKCQKNTREIIHFPCQHF LFCTQCIIQGMVEKRQQCPLVAIGQCRDQRNLDKKFKTVRFSKND >CAK91945 pep:novel supercontig:GCA_000165425.1:CT868665:275282:282207:1 gene:GSPATT00024676001 transcript:CAK91945 MGNQIMGKFRKNRFQGFSDNQIDYLSDKWLQIESNGLIDLNKFADQYNVTHKEAIKIIKL MDFDESGRVDYYKFKVGVASLCQNPMTTTAKTIFDLYDEDRDNKLGPKEVGIFLTSIFNN LHYYEGLDDPTPQELEEKLQQYLVKWDLDRDGGFEYEEYLKLMQKDPDVLKVLFNMGLAN KDEMTIEETCYNDIDSDIEIEMERRLLPRDERVERIKNGIEHTISAQASDEFGMEEEEVV MEWKDQAKRLEPSKKPEGMDASPPNAILDLEYVYGYRCHDTRNNLKYGNKGQIVYHTAGV GIVLDPSNMTQKHFLEHNDDISCLDIYENLVLTGQVGLNPMLMVWNIDNMRMVCLFNDVL KNGISNCCFSNDGKSVAAIAMDDDHFMAVYDIEIAINSRKDPKNQASPLIASGKLTKQEI FDIKFLPGDWQIVVACMKEISICTWKNGTILAERGVWKEQQPQPVLTIAINGEYIVTGVF NGNFLCWKKNICVKSVKAHVTPVKAIMTRSAGRGIISADKRGIIMGWDPQFNKVFEIDTK DLPLKLSYPPKGSPNVISICESPEGKILFGTRRSEIAEILSYEEGQKIQCKLLMQGHFNG ELWGLDTHPTQNIFYTVGEDEILGMWDVKQKKLLKSTPNQYASKTLSVSQNGKWVATGCS NGRTFIYDASSLKKLSEITEVVDPDKEIISLIKFSPNNEMLCVCYKPPFSEIAFYSTKTW KKQFKIPNCKYHVYTLDFSADSKFVQLNTSNYTIEFYDVSSAQPVPFEAAKDIQWFTWTC IYGWQVQGIWPDCSDGDDVNATDRSKDGKCLVSCDDFGKIKLFKYPCPKEKSGCIKYTGH SSYVCGVRFTNSGEHIISVGGDELSIFQWRYIFSPSRLDVQDIQEEPEKVEENADGAMFE QEELDKGDMIGAVKPFLGEVQHSVPSWYKPNKARDNQEPKGNLSLYHIHGFRFSYILDEC RDMLGWTDKNKVVFVSAALGVEVDPKTQQQAFFNKHEEDIVSFALHPNRNIAATGQMAQA GKAKCIDIFVWDIDSKEVLANFNQFHLRAIVLLKFSPDGSLLLTVGQDDDNSLAIYDWQG KRQKVNGAAWKDNEEFVTVGNKHIKFWKISGRNVQGKMGQQQGKFESQFSVAYAFDNQVI VSGGGSGTLFCWKGGSSDKGVKGHEGKVCSFIMDKVKKLLYSGGLDGKVISWGYEGGQLV KKQEIINLATNPLFPPGVVAMDYNEKTQQWLFGTNGAQIFSYDPAKKQTSIVVQGHYGEE LWGACAAPTGHKYVTGGGDKTVRVWDIDQKKMVCVSKPFPSEIRAVDWSSDGKFIICGDL NGFLYLLDPNTLQIMDTAKTIFTTMPKRQSTYWIDDLKISPDCKRVAFGAHGGASHLEVW TIEYPKFGKQGTKIQCGLTSALTALDWSVDSSIVIVNSGAYELKYVDVNAKKNQPSSSQA NTEFASWTCKLGWPVQGIFPSADYSDVNTVCRSNSKKYLVSGEDTQHVVLMNYPVVVPKQ KRKEYIGHSSHVMRVRFTCDDNFLISVGGNDKSIIVWKTDFGSAQGKVEQVNLQELCKEE GADDIDMPVKKKKAQPPPKKQIKQDENSVYSQEETDEGDQFMACKPWMGAIKEPTYQYYQ SKTDGHKPPKCDMEIEYVHGYRTKDMRNNLFFLANGQVLYNAAALGIVLDVGSNTQTYFN KHEDDITAIDLNPVDRMTVATGELGAKPNIFVWNAETKEVKCQFKAPLQKGIIAMAFTPN GKRLVAGAVDVDHSLAVFDISGKGAVLWSDKSGPDVIVDLRWNTDDAFVTVGVKHYKCWK YDNGKCQGKKGQFGKGASNNLSGIAINGNDTLCGAADGCIQVWKGEAFMKPLPSKHAQIC DCITVTQDYVFSGGRDGVFFVLSKNYEEILSVKVKEKCPDSVCPSVRAIYADLPNSKLLI GTLGSEIYQFSWDGGAINSQTDFQVTNLMRGHFCPNLKWTNEVWGLDIFQEDQDKFVTCS DDGTVRVWSIADRKQVKIGSTLLTADGKEEKRDLNTGDFTDQCKARVVCTNPKDKGFTAG MKDGTIRIYDAEFNQTKVFKQAKEWISEIKFSPDGTTCVIGSHDNALYAYKYPSWKAIGK KMLKHSSYITHFDFSRDGVNLHSTCGAYELLFWDVAQCKQLPGGASALKDELWYTWTVTL GWPVQGIWPECADGTDINAVDRSNTTINGKNDPKTSYHLLASGDDFSHVRILRFPSLKKS SEAVVGTGHSSHVTNVKWTKDDSRIISTGGEDQCVFVWKVTKK >CAK91946 pep:novel supercontig:GCA_000165425.1:CT868665:282221:285570:-1 gene:GSPATT00024677001 transcript:CAK91946 MLKVKLVLTIQKWLSFLITNSYIKHQSILLNKKQFNNLILQIQQICSMLLYKALKTEDEY QRLQLLSEGIKEITSEMPKIETIESTALIKFLICCYPYQEQNIDMLISAFFDYYKNEKFF WAFTQAISQIGEFQESKAAGYKKQSIFDVYQRKLKEGKTGLDHFYNFSDSAQQQMTKVKL YVCSHFSKYFSLAQDLRIDMSRIYDIYRIYLHNTNNKELIFALIESFENLKKTTFKNLPW VDTFQSEIDIYKQTLIPSRFNLKLKQNQQSLQQLYEQYKLQMENPSQYNQNSEENSPQVD QKAVHKQQIEQYQIALCKQNQVNLIDDSIDLCLFLKMIIKPQKYELTDDWNTKYGEATLD KEYQGFKINCSELEDLLIVRSYKALNSVLHCTKLEILKLKMQNLIPKIFHLIYKVLRDPE ENVNLYHLGSLINKILEMCPKHAIYQIIKLNLLFCFTQFFYNTSIVNIVLEILDLDTDKY QLGYFVQEQVWSYVNDTDWFQYLFTFLFKQNIDVSKKDENYQSERKTQVLSMLQSLNRNQ RGSIKQQVTPNQSYFFQTFSTSEKFNELQSYDFLNSKDIDNLKHFNDDKQNFDPQKMEKM IEEHKQNQASIEPKAQMEDQNANSQRVSKYGRQIIEIPQKKKQVSLPKINTNSLKSPLKN VNSPLSRESSKNLSVDKDAQLSQKSKQSSSSLRRSDNQEDTLSQNKLIRIYQATGKTNAS LFKQNQQSIQIKDIGLMESLQFIYRLLGSLQQFQKKFLSRKDCNLVDKTSKILTTENLVQ IFETFVSKIMKDDYGIICGQIVNSLFTMVLNEHLDVYYELLNEQFYNFIQEIGALILLLE KQQNSGFKRHLISSIYFNGFILNNQLRQNYLESNIYKYLSSKIFNQLQQHLVNYPENNNY QLQFTKFLNCVFSKAPAYLIQQILFNYGLLQVLFESQRVIILNDLQNVQSSLHYFAIQII YLIKDILQKRSMQVILDNLLPLDLWYKLCKATEHYKIVHLGSPIIAEPPKEQRQNSKKQY QRQLQFKKQQTEQKLELSDRSPTELCLTPDLTCRTLKNYRLRKPFDL >CAK91947 pep:novel supercontig:GCA_000165425.1:CT868665:286030:286423:-1 gene:GSPATT00024678001 transcript:CAK91947 MSMSLNIYNEEEEQRYISEDQLNNSDRDYPQATELYYTVSQSPQQSRGSSKRSRTGQSKQ IRKMKYKKNLRANIQYETEWKNFLIQKISSMDEESLYNNPQIDFSQKDLKILKKKMKRLN LQ >CAK91948 pep:novel supercontig:GCA_000165425.1:CT868665:286814:287164:-1 gene:GSPATT00024679001 transcript:CAK91948 MRGFFAITRAFIAPVAKQAFNFSSQQSKIQTRIVINQINQLIRLSSTMSGLRIANQYNQS LFSLLEDAQGNIQELILLGKYDLTDLENKQMGLQLKCTVSL >CAK91949 pep:novel supercontig:GCA_000165425.1:CT868665:287522:288088:-1 gene:GSPATT00024680001 transcript:CAK91949 MRVCALLCMVAITQCTQITNQASMFDEQLGKLSNSKLGKTILNMITLQQFTDVDFSLLFT ALDDMLHIAKLSVLKCMHYCEIKNYHLSRSIYKIQVRLGELSHLPHLFEPLSRVLTEMST SGFADQEQVGNAIKLLHSLRGDLVAFKQALQMNAQVERTIIIKL >CAK91950 pep:novel supercontig:GCA_000165425.1:CT868665:288131:294509:-1 gene:GSPATT00024681001 transcript:CAK91950 MFKSLNKYAMKILDQNGLSEICILILQWIIQRRDYQDMPTQICLAYNNLGCVYRRMNQTQ LAINAFENALSLIQQYDQLKMKTITHLNITALLSQMNLHEKALKEAKSAIQSGQQEFDSN SNQTIRYLAMAHYNYGFELESLDRLKEAVKQYKRSLQFVVEHLGNQDPLFKKIYNGHQQA KQKLIVHMNEQSPVLFKKVLSLKKHFDVLTDESFQQTTPQQSPLSQTRKLIQRKKINFIE NETVKKDHTSSILRRKTDQERQDTNRDKVQDIKAKLLALNVISSTKPKLKTQIEKIEIDQ WSNSEHSDQEVKQQRSTERSPYNRGSILLRNSAKDLRLSFLNKQSPQRIYFDNSLIEKEK QIIREVEEQIYNTDQITKIQAQIRMKKERKNFYNLKKAFKDKGKLLKSDELKLKKLQRFI KKKVQEMKFREIKAKLIQQSRLKQYYQKQQKAMSKIVTYLLKYKASQQRRLQQYLIKAKQ FQTIRQGFCNPIIKEGIQTEEPYVFTFSIMNDNSFVRFALVNAMKEKRKENYLYLEMDIE SFLKTLGLLFQYCEELESLIVEENKLLRNIRNLVTLTQQFLYLNEVDGKYIVRAKTETNK QFIVQIEKIGAILQELVLIENNKIIIQGINNFDYTDVVPQYELIRVMKPPLYNKQLTQYE EKAIKYIQSFVRGHLQRLRMSKWVNNKTKQICETYLIRTNKNQYLQIVKSRNIHSKVNYL YIINHETQGKSNDLEIHQVVFKQMGDVCSENIFEILNVDLVTPYIEYTDEALYWINREKE YSQRSARLWEQITKAKMCVIIIQRAVMRYLVRRRCQIRNAIEERLTKDPSKVIERMMLAR RAMIYIGDKACLTTCFLDIEKTDPQSSLTGKSEYQSITITSKHLEHAFSIDTVLPYYQRL FQPLEISEITSVAQKMIEFSQIIKNKKGLDKVDCKSVEQTYNQFISLQNPDQQDDDTYRE NTIQARQIISSTLTQKYLQQSTIINVEFPPMVIHHQLEEYKQMNFIKVLQSLFRLHKHRT NMKGKQSKYVEEYDETSIKTYVLNTLPCTEYGTNPTLFQETQLGQNSISDLQSENSPLFQ KEFVGELIVEFNEAKFKLLILYLINEQTFSVQAENQITQKVSEISITITQLQEYYQIPDE YFKVNFSKLLLPCLSVIEDKLIFNIDEIQMQYIIDEYLKSQKDKSTKLERNQISAKNTDQ AYKALHSDKVAIGNYQKGSTTISFEFQRAPMLLRIILLYQIGSEKRVIEVDSNEFQSKYI NDNLQNLSNLRVINFFKGFAQALTKVLIINEIQYTHNFEELRPGISLLLREKFVLKIQGM LLVKRMVEKFKIYKQLKRSKLFLQKFILNHYSNYVEIDYFLIKHNYHLQLTMRELSFITH KGRVIEKGKIEQNKRRFREKQFIINLVGLQQEKEILYQSLHKRQDQIDFQRIDFAQLQSY DKMKTIEYYRALINPLIQLIQKNLHINFIKFQFQLEIPIAFTEKNIQKKRKRRIKNESKY QIFIVCILTAQAAFRKKLFKDWIHLKVLRNRQLQEKNRYFTGKFVMRTFKLIQEDHIQNY YIINVYKQDGKIEDQIQLTFELIPVQKQNRQNKLKTTCFYDNSQLISFGQQNLYEFFINK IKIEEKIIKFDENKFLQVDENYKFNQSQLINQKDEEVPQDNGRLITKDQQEILIDQDRTN DAIVNIVYTNSKNTINKKQEEDIQDDVTKLQIDLQEVKKLYPNFNFNNPNTMKILSSNLL IKGVKVEQNSGNLLIDKSRIQSSFINPTNLSKNKQESQQNIPEFFKKVRLTQRPHSNNVL SKRTFYSQGKKHLIVISYVRKKINKLLLQDRDCVFDDHHRIFEIKAQVLDLKERIQPIFW FLTPDDAQIITHQSTIENIANILIQQIQIANNKFIYLCFDYQDQQLIKLKYEGNVVFFVE NSIKPMQEKFRNRILKNHYNIYRGTLEQAKKDGSLILHKVGNLNTLKRLFIILFIEGKEA LIFFKIYDVSDQMQEFGTEISIKKYMSIYLQDKTKCLEVLLNFIEFKIEINKILFLHPKP DIVEEYLLKQRQLSYHSSSESVRRSIMQNPEGMDSQQNSDKKMLKQLEGFQSINLIKS >CAK91951 pep:novel supercontig:GCA_000165425.1:CT868665:294931:295230:-1 gene:GSPATT00024682001 transcript:CAK91951 MNDCKDVQMLTDQLNEREKNIIQYLNNDLRLEQLTDPQKKQINFEVSKKQTIFSQKTLDS DSEISEFNSSSTIKSTQLKMNKSPLLIRRLSTIMLEQIF >CAK91952 pep:novel supercontig:GCA_000165425.1:CT868665:295450:295849:1 gene:GSPATT00024683001 transcript:CAK91952 MDISKIGSSAIDKSFGRGGQGKDAVVIVSNLEIHKPVVTFCDMSQAQKEFAFETAEHAFK LSAKREKRYFKDIAEYIKNEFDNKFQGTWHVIVGLHFGSFVSYESQCMIHFYLNQLGFMI YKFG >CAK91953 pep:novel supercontig:GCA_000165425.1:CT868665:296070:296544:-1 gene:GSPATT00024684001 transcript:CAK91953 MKYLIALLLVSTILAAIPTKVTNCMANPKVVFTEATFSVTPAKGVDETITLYGSANEHAE LANVQLKAKWNGMDAFEDNYPEDEVYDKGDRVTYELTQNFPTFTPSGKIVVQMWFQNAKG TNFGCAEVGFVI >CAK91954 pep:novel supercontig:GCA_000165425.1:CT868665:297145:297915:-1 gene:GSPATT00024685001 transcript:CAK91954 MQENEFAISICVPSFKKNDDVVYYQLVFTENNQRCSFSVDYRYSHLKKLHESLQTLKGSL PKFPPTNWWRSTNQNDELVEERRIQLDYFFKTLLLCKEVCKSLIMKNFILKSQCLHLKKI EKEQLILIKKEREAGAVPNVSQKKAKSQLTTPVCGPAEDPIEDPRERSRSWEFKLSKKKS QSMCNNNQLNNLSFGGIPIFKGIILRLK >CAK91955 pep:novel supercontig:GCA_000165425.1:CT868665:298220:303429:-1 gene:GSPATT00024686001 transcript:CAK91955 MKALIVVTQLYVVICEWEVYKHELYDVASSSDWIGLNSDSTLSSLTTIDSTLCTSVSSTY MAGGKDKIWRRILLPTNRKFSAIRIELDLYYIDYWDNTRTIIYLNNEIIYDSTFKSSPST PSVVYCQVPGGPNSVDDYLNKVSHSSDFTANQINIEIVKLGLPITRIFALSNFYLYVQFC DKYCDVCDRNGNCLICENNIIQKNGICQFENKSKLKLYNPDLQWVDECPSGFVPDWNGLC QPGTATLLFEDLQSNFASYLFYLNKDKYYSDKNDNSYRIMEINGEKIAGPFMYNEEVVYS PLSVIANSLILVKFRLYFLHYNQSQQVGGFINVKFNGFKVLELDDYDDVLIFSNVGVGKA NNVNECQFAIFQRCSYRDIQFVTNLDYEINKLTFEGRFTILKPYRGWGIKDFQIFKLTQS GNVNECQTNCLTCKQNNKKSCLSCQTGYYLFDDKCVTQCPLQTTLIGSLCQEDGMGSTFQ YIFNSFYDNNNYQSEMTKIVLNQPTIFMDVPFSKFIYGMTEYSILGGLPIFDGVSLTYTV TSPYQFYKAYIKMNVIAIDYQIDDKFKITTSLTVPAYYVGQGVGDQFQFVSVGNKIGKSD LEYHSEVFIETPFLTASTNSFQITLQKNDFLGIHQYYGIYNFRVMVEPCPKFCDSCDSTG CLVWQIKTSLSSGSCAIGYYYNSKEEDCLQCSSGCLVCQEYSCTTCQAGYLNQNGQCFCS TNLENYSVCSTSQNCQVGCLVCGQSLQLTLLTMSSPNYYQNCAKCDESKHYWMDKDQCRC LEGYYMDISVCLPCSKYCKACQKQPRICTDCDATLNRELHYQQCDCKQGYYEQENFLDCS QCEQTCYTCRFFANYCTSCYPDQFRTILNRKCECQDGYFDEGISVCTKCNPKCLTCSSLT NCLSCYTSQNRRLSIRNSTCICMSGHFEVENQLSCQSCHFSCQQCLPSPNIDMCTRCPSS REPSISQTVFACNCKRGYYESNMKECSDCRNYSNPPTTHYCYSKCGDKIVQWNEDCDDGN SDPKDGCYLCLLPNSYCFNALCSKCEMGVCVKCIDGYFINKANQCERCDQSCLTCVTRSD NCTSCVLYDTNHTKCVMCQIDKGYQILDNSCVSICGDGLKVDKEGCDDGNDKSGDGCTQC KVEDGWICENTCERILYPIIQLTESKFDNKHDGIRNLELTTDIKLKVTGKVDNICLYSFK NSESFEILISDFQIETKEDYNILKTVLKLQINERTENPILVCKILNPDNYISEQGFTFKE TSFEFPLIPFYKQSQSVIAATEGLLNFSKYVLYVLFGLAIFAFLVGGLQIFWNLLDILQL ISYLQFFNVLYPYNVDTYFQLFDFAQFDFIKSVVNIEDIINNHVTSPEPDYKFALQGYST TFYINSVAVLIVFLTTLAIFIGCRVGFVFLAKLLQYYSDENDTSLEEEPNVIKFILFRIS RNISKMFLNIIGEFTSGLIRTFMAVTYDYNLSIFLQIRAPNTDNALLQSSFALSIVFLLL QMFFLFKGFTFMSNQPFFYEQFFIKQKYGSLYEGVYIQHTKPYANYYNLVLLCKKILFIF FLVNVYYDASLQIITCSMLNVIFSVYIIYNTPLEDKYEFYKTVGSEFFIWIAEILILGLY YSQQQGPDENKELFIGWFIIGACTMLIIYQFILDIKQHYEFLIKEYKIIAKLLSRIRSLF RKEEYREETQDNLVDVKYSKQTLRGKMSTQATLGIKQRKLVTFKFEK >CAK91956 pep:novel supercontig:GCA_000165425.1:CT868665:304059:306079:1 gene:GSPATT00024687001 transcript:CAK91956 MQTKEIHQQLIPPQTTPQQMKTIIFIENLDQGISEDYLYRKFKEVGEIQSLKITKDKITQ KSKGQAFITFAHPDSAEEARKKFNSQVFIRNTIRVKPYFNYHIADKKANIFINNLSEDAD ILELEQEFSRFGTVLSVDIHRDSQGKQLNYGYVQFEKKEDADNLIKRIMNHPITHKGKLL KLEQFKAQSERKIESSSIYLRAFAKPLQQKYFEKEKVVRAIEYGWSLIIKEYFTKHGQQV KDCFVKIDFHTRQPWTMISFESSEQARDNLEICEQQRTHPCINSGAHHALELINKHGPPV NSDASQAFTVAEITQAFKEMAQDHNDTFKGESENFFYNMVYSKNLNPDDRLILVQNIKED VTKEQIQEFLSRFGKVIRLTIRKTKNPRFQVQQCFVHYQTMEDSKRARSEIYDDKNEEII KIKKAFFKDGHVMMNILLSKSMRKEFKEIKKQSQSIFQNPGGRQLLQPPFGGNLPMMPPQ MPFPPGGQGMRQMPPRGGGRGGPFPMVRPQNLRPPPMNTKPIQLLPNKVNPPQSFIHEFS DYALVQSRMEDFLKIPVIDQRNVLGNLLFQKVFDVVKDKEATKKVVGMLIDPSQFEIGDI LNMFEDSQELQTYIDEGLSLIKEDTQK >CAK91957 pep:novel supercontig:GCA_000165425.1:CT868665:306108:308855:-1 gene:GSPATT00024688001 transcript:CAK91957 MQSLLSLMSQLSSKSSQEVLDSEQEIPKKAYVPPMRTPFDRLKLRKDDELENSPLKVNLS NQSLFPTSFVMDTLSKSLQDLDASNNLFDQFPNQLRYVLLQLRNYTNLKNLNLSNNQIQQ IPIKFYLPNLEKLILSENQIKQLQSSLFKLKTLKELNLNSNNIEYLPSELFELKLIYLGL RSNQFTTLPAKYEQILDSLQYFDLDWFDYCAINCELDEQIKQKLVSLGQRVVQRQEIITF SLFYYYMVGKVFDIEQLVQNGKNILFTFISRDSIGLLKQYSQKYPELIMIKDEEDCSPLI YAFNLNRTKCIQCLLPIFKKNIIEMQTLFMLSAKRQDIHLMKMLIQNGIKLDYQIEKDIN GHNQIDITDGSTVMHVAMTSFGRNARQQQLASMMVKLLLDMGCDPNIRNRLKLTSLHAAV KFPSLAAVRLASASPKFDFHKRDLFKNTPLHSAASMGLVSILQLIQGKNVNPFSLNFQNK TAKQVSITSLQVIKVQRKYENHYLRKKLSIEDEDLRTSQKNENLISLDSYQTITQNLQAT EMKSQILSPQSQQQYRRAVRLNLSQVKLREQSGQFANRQQQSSQQSLPSKIQEILVNISQ IIKQHQNSDNLLLELKNLYYFTELLQEKLIIAIFVNLIIMKLKYGWKYQSNIGTSTISVL NQIKIIYQKPLSANEYFIYQNQDCIQKYKQNCLNMQNVEDISNKNFKIQKSQLSLNLKYN LHNNWEETINQYEQFYQNSLGDIQWMASVKGLKIKNKIKTENNNRMLLYQYEQLQNNSAS QTQFIRVVERQMDYYKEQKVDNSESACSLSDRLSQYNRNLLINKKVKQIAVQKSKKMEFL LKNFSQTARRVSPQVQQHLGEKAQTFRKDTAIINSNDFSLDDL >CAK91958 pep:novel supercontig:GCA_000165425.1:CT868665:308907:311205:-1 gene:GSPATT00024689001 transcript:CAK91958 MRSSSSNYSLQRDFQKLESRLDSIELRNRSGIRNKKEESTYSRIDNKENCSIQTTKNQLY SYGAKYQQKLQMQKSKVEIPVVEVKRVDDKKKLSVIFKFYASFGDRTNIDYIRSNKIHKL MSDSQIRLQKQDQQQIDLLFVKANRNKPNMDFENFYYFLELLSETLYGEDNSFQILMDNH LTPLYENIISSTDLGDEETKLSQEIDPICLKLMQVVIQPITFYLQQIFSLLNLKNFNLKD QQEELMLKQQIPIVSIFIFKKILKSGPQFITKGSAYLLLDSIQADPNLPQICQQKKQKSK FDVNQFCCYIIKLAMMTLQSFDDSTQEQQMITPLQKLAFLFERMELSQGFSNLEIPSNNS KMNWKLKVPEELIELIISDPDAIQYLNSTTQRMKIHHLKNEEFYDEQVKRINTVPTNRVR PSIQINKQFEEQCQQLFQENYTQLFNIYRQYSGINQQTGQDNELVGSKWMKFLKDANLVN INLIDKNSKLSYQVPHNEIDLIFTKACTVSQNNRTSVPIKQKKQTMNFLQFLKGLQLLTN DIHVLRIILNDHILHLMSQGPENKMKDLLSQLMNILKDKEIVEFLGLLHKSILVYYKQYT IGKQIMNFEQFLRFYKDFSIFPDLLTKNKIVQIFQILSKLYENQENSNIKSGHIDQHMFV ESLALSALEISYNDDENLSQIEKIYFLIERLNQSEGPRIVQKQLGHTTCPSGQDWDLLYH IKKRFSWLIQQQEQVSYEQ >CAK91959 pep:novel supercontig:GCA_000165425.1:CT868665:311298:312273:-1 gene:GSPATT00024690001 transcript:CAK91959 MYRNLGHSSYQAMNVIHEENGNQLWLGDYTAAQDKPTLDKKGIRTVLTVACQLNIKYNDQ NMNHKIYSILDSEQANVAQFFDDSFYHIKNGLKSGSVLVHCAAGVSRSASIVIAYLMRNK GWTYSEAFSHVKSKRFVICPNSGFQRQLKLFEKQLRSPKENEKQSNQKESDDNQVNHNEK EIEKPLDIKKSEPQVKIGNQVKQEAIIQNEFRQTLKNNDFLGNASKQETKTNFLPQNINN NRNCMLTTKFINTRTNIQQNKINLSTMQTSYNSSYAGPQIVTHAQNKLFEKQALSIFPGI QAKSNLINYTMNNRRNQ >CAK91960 pep:novel supercontig:GCA_000165425.1:CT868665:312310:314063:-1 gene:GSPATT00024691001 transcript:CAK91960 MGCCSCKLYTDDKGKIVNLKQAIMNPYYESDEVQEGLEFENQQLNANSKIIIQDKETSFI KSNSLSKEQGYSKDLEQQQVQTKHKEGDTVEFIKKTISGTMKLTPEMLVRKQCITEKFLA HYEIVKKLGQGGFGEVYLVKHLTTEHLRAAKVVLRKTVNCEEKLLEETEILRTLDHPNIV KVLEIFADFKYYYIVTEYCQGGELLERIKTITNYNENLAAKYMRQVFSAIQYCHQKNIVH RDLKPENILFDSRDPDANLKVIDFGASEKMIDSSFLTKKIGTPYYKVDVWSCGVILYILL IGRPPFKGGSDIETLRLARQGKLNTNSERWLRTNEQAKDLIMKMIVVDPKSRISMQEAFN HPWIQNIQQNEIEDMNLIKNLSQFSAQNKLRAAILQFISVQLVNKEESQKLFQTFKTLDQ NGDGVLTKDELMKGMLSADIDHLKAEIMADGLIQELDVNESGKVDFTEFISAALVQQQKI TVNNIKLAFRMFDLDGNGVISKSELESIFGGIEIDNQAWEDILQKCDFNQDGVLEEEEFL KLLENIQL >CAK91961 pep:novel supercontig:GCA_000165425.1:CT868665:315073:316815:-1 gene:GSPATT00024692001 transcript:CAK91961 MESKSMTISTKIQQSNYSALSNLPRHIINQIKNEGELYITAPNKCAKIWIEMRKNDFVTD AQLNFTIAQRIFEACRSEIVQLLKLQNEKDFFELFDQDNDGILNEDEQILVFSAIKEKMH QVATALLKIQEYILFKQLMKELRTLEANIAAYQNQLRQRISIKERQVYKEIGQEKLDDFY DKYYQEFQQLTKYKVDRRAQLKISQEKELGILEDRLSKDTELMKVKPKKKLKDLQTQEKL VSLEERVEEALDFRKELKDLEKHEQDRVYKVQKYRIEKQHSDLLFKQQKEREQLEGKLQE TEYKLIIQMKKDYDVLLKQINLHNNEITRIQSQASNQAMKKGLYEGEAKRQKVQSQLQNA IIAQTKAISQPESKINDLDQFHESETIRKLESRKSLQFAGSPGKAVISEESEKSPMGSAF NGKTKNFYQIRKIIKDSKNITQFYIKKNYGADLPVNFVRSAHNIQGDQHEKIERFLSVKR KSQHELLPPITQLYDDDLQEKQIKAITKTDQEIKREKALKRAFINEKLFRND >CAK91962 pep:novel supercontig:GCA_000165425.1:CT868665:317017:318246:1 gene:GSPATT00024693001 transcript:CAK91962 MNYKQIMLLFLLFIYVNSYLDANKIKYAVDAGNQFYYKDRQKILYRYDQNYKGGQQLMFY QKVTSDQNHHLIPLQYLDYYVFQTSRTVSSDQDFFKYSITMRLSGNYTLILCFIESEQRS ARFFDIYLEDELIKEQFDIYKEAGGVNIPLYFAFEFEFDGKNITANRKIIYQMSDNRLHF DLIFKGKGASVSAIILYQGKIEDILIDLESALLSKDQIEATFINQIEFVKALKQKAARYA HKQKGKGNENVNTLMNQYLSPFQKLINNFDIVNNVYNEISNGLEPSPLELFLDALLNNIL VPLGNVLIQIIDFILDNKVLSTILITNLIFYYYCFGNNKTLFANVPVGLVLDAEVESEME MNYIAQSPKSRHVNVLKSKKKKK >CAK91963 pep:novel supercontig:GCA_000165425.1:CT868665:318278:319177:1 gene:GSPATT00024694001 transcript:CAK91963 MRNNSQQKESISQLKETIHKLQQRDASQRLEIAKLKRLLLERNQEYKLVSEQLQDLQRVQ QRNNQYILKIDNYVVKLKQKLQKASIKIESLESKFSSSRVKQNNIIIDESFNVNDSFQTE HSPISTKYPILKQTSNRSNQAHSVNKPKLICKPIKVQMPYPNKSYTENQEILENNSLIKP CPLQEQILNRILDDEEFMNNFSNQFDQMQTDLFSYQKSQTQPLSFSCNSRQSNFSFVNSE KKQNWNDKQYKQPNRQYHSISIEEKENNSKYFN >CAK91964 pep:novel supercontig:GCA_000165425.1:CT868665:319232:320901:-1 gene:GSPATT00024695001 transcript:CAK91964 MSNYSCQFCYKRTTLGYIKNYFPCEINLHKMIRHTLYHKYATSQNYYFTKDINEILSKTS TARTILYNDYICYDEEDEYIKRFYEMHEYPSKSKLLTEFYKYHKDLPRWVLKQPILKILN YYYDKRRKIEFYKIQRQIEIENQMNPTDPPKGIVGDKPLLSDSTPQSENSEPQSKVNVDN ILKEISFSNKKPQPSQEISRILQVPESPPIGEIQQMISLLNLKSESPNQKQQYQWFKKKK TQSKKSLKLDELALSLSARTHQNPQEAKMPLSARYQQSYSNKLIDQIQAKLQNKHSQIIS RDKIMDFKQNKEQFIKKFKLEEKNKKSNSPKKIDQNKAIQEIKQLELKIIKSLQEMKNIK SNNLSNHESKQVPSQNSNNQILSLDQKALYQILKIPDSFRQLNFNKIQNKTNSKRSQDQN QRQQRNNNSQQHQKQVQILDMKKLISNKKDIAPKTERVKKTIPSLNLNLIGTINQSTSMT TRNNSFTPSLAYAQLLTPKQNQTNIFNENNINNWQLQNTHNVAIRDNNIQK >CAK91965 pep:novel supercontig:GCA_000165425.1:CT868665:320943:321429:1 gene:GSPATT00024696001 transcript:CAK91965 MQTVWTLIHPTRISVQKTIKNLLPSGQEFFGTVIRDSRNKSCRVKVSYKYWHKKYKSYFG DTSEFIAHDEDNFCKVGDKVVIKHCQKTGGKYYYVRNVVIPVGRNPSDEQDEVMKELQNI RTQLVQQGL >CAK91966 pep:novel supercontig:GCA_000165425.1:CT868665:322134:323030:1 gene:GSPATT00024697001 transcript:CAK91966 MFEAKFEDGVLFKKIVEAIKELVKNVNLEANGTGISLQAMDTSHVALVALQLNEKGFKKY RCEKSLTMGLSIENLQKILKCSGNDDQITLRTQEEEPTTLSFTFESKNRISEFQLNLMSL DQEQLGVPDTDYSSVIKMPSNEFTKICRELGNINEAIGIETSKDGIKFYVKGDIGEGQVS VKSNDGEKKEERVECDVDEPVNLSFAVRYFNLFNKASALSPQVILSMSQDQPLVIEYQIE NMGSLKLYLAPKINDDEQQ >CAK91967 pep:novel supercontig:GCA_000165425.1:CT868665:323045:323693:1 gene:GSPATT00024698001 transcript:CAK91967 MQQQQKQAIQERRRRSGALHAQTCEEYLKKYDQIGQIKIRESQRKKSLSESAFLNFDFIP ADEGVEDITQLKIFIYSPKVKLNEQEFLQLLKRVRNRSITTDNLSKKSFTFDFEFFYKEY EVNETQFGLHFWIQNNIKHQHSQFFQECYYKVFNAAILLQRDTTIEQQIKDANPNCMVKV FPLVRKQYILDGRVAD >CAK91968 pep:novel supercontig:GCA_000165425.1:CT868665:323755:324405:-1 gene:GSPATT00024699001 transcript:CAK91968 MAQEIFHKKWLKNLGYHSLKLFPYKFLLNHKHVKAHYEQFKGEGEYLNQFLNVPYRLTSE RFLGEILYKELVELEKQNVEVKIRKIRAINAITYFIGLGTYRSPSVVLMVTSKFQFVDYY FREYYGDKNRIILSFLFKIIHSLGLANEFLEKVEQTKDLKLFIFILNEFMVRQMGDHKRR LGIKEVEIIQRMSEQWKDNIKISWMLYKLYIDQDLM >CAK91969 pep:novel supercontig:GCA_000165425.1:CT868665:324609:326760:1 gene:GSPATT00024700001 transcript:CAK91969 MKTKSSMSPNKNLRQPEQSAQTYSTGFTKKNAFKAAQQNQAAILSQLPEIQKKRNKNDVF VHSQEFQEILQIEQDERYRYLIKNGTIKKIENGGNIIFSELQQIPGIWVCYRRPLERQAN AEKLSLDWLDLSHMPLLEGEEKLKILTFQHNRIGVIQNLVSLPNLLYLDLYDNQIKEIEE LKQVQKLKVLLMPKNQIRKIQNLDYLLKLEVLDLHSNKITNLEGLNKLKSLKVLNLGNNL IQKLEGLEELTSLNELNLKINQIEFIDHISVLPQLQKLFLSQNKINQYPFIFDLLELYLE NNPISQNKSEYHKYICSNYEKLRILDGKPVELVRQDIQFLEIPKSEPIKKKNLNQLVQQQ QQKKPQKLHQFGLEIQGVGQDSKLKDDANQAQLSKKDANSSKNQTKDLQLSHKNSISSNG AEDEIISLIKKQWVQESKRIQELEQSYQINSKSCLEHQILEGGHAEVEDDTFLLIYGTAA GMVLPTQHFNQIIEKIHFQYMFFDSLIDSQLQVIKEYTKLKEIILKDNYINSLLQLAKLE HLVDIQKITIQNNQINNCSFMFSFLVYRIPTLTQINNKDVRNEDRQKAKSLFSNFDKALI VHEKGQNSDNFRQLKSYQKNRQYIKTYQKALNEVVFQQKIVINQQKLFDNMFENYLNQII EVCKNKEK >CAK91970 pep:novel supercontig:GCA_000165425.1:CT868665:326910:327329:1 gene:GSPATT00024701001 transcript:CAK91970 MKNQAVQTIETGAIFNFQPLEVIEQKRSIITKDLVKKKAKVQRSSLTDDPLPYLKPLKQN NQIKLRQLMLPNIKIQEKPQISKLKDRYLSIQQNSQQISSCLTKLRRYSHYDFISRTDRT LSIQPTQSLITSRAYIKQI >CAK91971 pep:novel supercontig:GCA_000165425.1:CT868665:327370:328016:-1 gene:GSPATT00024702001 transcript:CAK91971 MNFFRCNCTNIEQGLDIPNSNLYIEQIILHSMNQEKVISMTMDLNVILEGFKLNRKKDCL YLQDLKDFKEDLHYTLTKLNQQFQIPLNNQDDSSQQFYLNLNLYVYEGCYKLLYLQQKEQ YFHKDDYLFGEWEIMGSTQEIQIEFIQDKTSQEQNHNILRDLTNCTQNQQVEHLIEDENP KIKYSISKTPKLKRKR >CAK91972 pep:novel supercontig:GCA_000165425.1:CT868665:328757:329179:1 gene:GSPATT00024703001 transcript:CAK91972 MLINHLNIKHILTYQNHYLLQFKFDQLIIRWVDCYPTFIKDLQKQADDQMILDMSKKFGF YNELKIMIKAQAWKGIVVNTSKLMKAHIFSKKEESKRLYDKKILTSVQWPSNLIKDYEQQ VLYQLSRIKLE >CAK91973 pep:novel supercontig:GCA_000165425.1:CT868665:329243:329773:-1 gene:GSPATT00024704001 transcript:CAK91973 MGVCKICPCAIPHIEPNSDFQQKQQYQNDDIQQNIKLLKGVNLNITPPCELEIPVNRNKT KPYQLQTQNPINIIQAVSIYQSSQANKVVPNEHQCPNQIDELSKSQLRNQTQPEENLDKQ GQTFFNLQYETMLSSNFKEKSDRSLSTEGFTLRNDMIDSSPPPKIQFRVEQKEKTL >CAK91974 pep:novel supercontig:GCA_000165425.1:CT868665:330008:331649:1 gene:GSPATT00024705001 transcript:CAK91974 MNLAISSSSEEESSYNAKEQDRILKNSNIFKQKASSHLNCLKHPNKKAKYYAQNDKRTLF CSKCALNLALKGHKIEESAGAELEFQRQLRINQFQESMKQTLQACNFKIIDFNNMQINQK QMLEDQKANCIRFFEQVIETAQQLKQTYIQKFQHDHLSLEQNILDCQQAVTNYEKQLQQF QIDIEKNHSNIVKKMEMKPFEDIMQRYEKRVKNIQQLLSEMKLDSSVKINQFEQSQILTF MNKMCYNILLNDQIKSRSNSINYNNPSIDMKAFDVLENDDIYQSTFSNTIKDSNSQNPPS PISKLPIYKSNGSNPISTIQNSRRESNSNTPESWNHTHIQNCDQQLQIPLQPNSHNVSLN EQPITINQQQQQPNERFNVQEIVKQANDNVQIQTSEHLKNLEISPLIQQKSQSNLPQSVK IYNSQRNQYDSNTNNKSQDQKQRKKQPSVDYFEQKRCYIINQNQQKSQLQQQEDSLKDRI FKELSGHSSESVYNQVLKINTTQQKIKKGKENTQIDWTSSLRNKQNLLTKK >CAK91975 pep:novel supercontig:GCA_000165425.1:CT868665:331741:332882:1 gene:GSPATT00024706001 transcript:CAK91975 MKYFFLIWFVYLTLGNSIVGKKASEQFFPLIMDWLKKQEQKIDQALAFSAITEIIQQTDE ELLQINENSEFVNSFQQQVFCQSNIIKILKYCKVKQDFEHDRIGNIDKFIQDELKHNSNY FKIMIKDKEKMIDQDNKELEKVKKSIKDHTKMKDKLNDILELIQEIDISLQIGTAEKLYK ILEIIESKAVIGLFKQQSEEIQLYLTHVDQLFKTKNFQQDQFEIINLISMIKNILLDMKF NIQLKMQEDDMATLETIRFFENTKITHQIALDYFKGESNQFLGIIGNLMKIWEQSFDNQN EIYKDNIQFCQIIEKLSQEMNKVDSLNSTPQFTKYSLKKTEVQFLKQQN >CAK91976 pep:novel supercontig:GCA_000165425.1:CT868665:332928:334358:-1 gene:GSPATT00024707001 transcript:CAK91976 MNDFYYELESLINDLPQRSLASNIQQLFYRLINQEKQNSPILEVEKYFRKMIKKIEQFYC RRLQKLEYFISKKADNQLILLRISKYLEKKPWRLETMDYTVKAIKQDKIDDKNCNQFINF DNNQEDYYSSKTSTPFSHYTCIPSVPRDSSLNQAIFLECDNSIRSSSSFNLESKEVLLDL SQIQSKLQQLVDKSPKQKQVVKKKQQLADLIDSEMDQFSAQSPPRQSMLDCNISDIKSGF NSRLISSRSLQKESVPYANTPKSPKQIPQTREFESLFQTQQSTKEIIKYVEQYHQSHQQQ QSLLYQQQHHFKAKPSLDVNNNTLQCFQDKCQSPSTNKRYRVRSSYQKELPSQNKLKANT SHENFEIRTSKSIQSKKKHFQLPSPLNESMNQQKQKQSNQQIQQIQQQQVQQKKTMQRQQ PQTLSSALSHLYKRFSQQKQSSSVVTKRSTKQAISYSLEKDHQKKTYKIVDYLLNY >CAK91977 pep:novel supercontig:GCA_000165425.1:CT868665:334502:335503:1 gene:GSPATT00024708001 transcript:CAK91977 MQKNDYGFAGDEKVKKIDNEHYDEAIELKDDEEEEIVSGEEDEEQYQAGARGPQQAAQSA GFQDGKVPLPAGAYNPNDYSNLQVGAEVKQLFEYIARYKAPQMVLDAKLKPFIPDYVPAI GEVDAFIKMPRPDGQPETLGLVTLDEPALNQSKKAKLDLLMREYVKVANRGKNTTIHAIE NADKNPKEITAWINDVAEIHKKKQPPSVSYSKIMPDIEELMQVWPQEIEDLFQSTQLPGD NIDMGLQEYCQFSCNLLDIPVHTINNNRNIIESLHVLFTLFSEFKTNQHFRQNNDEIQ >CAK91978 pep:novel supercontig:GCA_000165425.1:CT868665:335589:336677:1 gene:GSPATT00024709001 transcript:CAK91978 MHTLIISVVQYLKKGKKKKPKQNKQQFLNYDKIFDSDEEAADELISQPKIMPSYIYEFNF EKLFNNGISLPFYNSNIPITLVIGEINVGKTHLIQQLMGASLQIILKKHNSILCYQDQKQ CFIEVSIDWNDFESVFICNVLQKISNLTILINDSVNKKVLSQYELWNNVVFVHKYLIKEQ KDFLIEYRKLKEHNTLNNFYYQKGQIHLFYEQHETYKQIQSINLNNKNTLSILESVQTTL NQSTYLLPFKIDLVYVNKIIQLKQQLQNKDIVVQYIKDYYENNEQILKFNQNKDYTLITI TKPYLKIQNTSVEIENSQLLLNIMIRDLRFAFIIPFLYKRKCGYAQVETHIQQDDFIILS FS >CAK91979 pep:novel supercontig:GCA_000165425.1:CT868665:336731:337573:-1 gene:GSPATT00024710001 transcript:CAK91979 MATQSLLETLPKDFHKMPPNYDFSSKGVNWKDYEKDFILRTDAVWEKEQLKDWFRLYTKC FYFDTTANKYSLMEPDDVYTILFEGWALEDCLFPFRGTTPTGRTNCFQVGLPPKQKVYVP YPTYQSQQDYFTLCALRFQKWFDCDQAEHFKMDKTEADYLKRAKAYPCYAMYYEAAYACT DDMFDFLMELAYTRRSNRTFEYNHFQHEMRRPPTIYDSPKNAERVKKTY >CAK91980 pep:novel supercontig:GCA_000165425.1:CT868665:337742:338666:1 gene:GSPATT00024711001 transcript:CAK91980 MDKQQIQKCLFCQQKTSLCNLINLALQYKYEHKIEITIDDFVDSSKKKEIVQFYEKIFDQ EEEYLEKQFQRAEQTRKLRTLTEYYKYEIHTPRLYMKKVEKTIRDYQKHKKQNSTSYFRN FHYNKIKYQLGLNQKEQQTQRIKTEPEKNNHIANNLVLFDLTQEEPSKSVIEMLNLLTNQ SKKKVHFATQIKQVPCVTPSIQNSARNKILQKQLKAILQSDVIPKHSNAPLSKRSVVSLK SSTLKSNTFHLAKSPVIQVSRIAIKMKSSPLIQLPKSNSQSKIQVSPKLKNLKLLLQHKS >CAK91981 pep:novel supercontig:GCA_000165425.1:CT868665:339169:341633:1 gene:GSPATT00024712001 transcript:CAK91981 MTNPWVGRFPFEMKVQKQKKEDLHSPNRSEIDFFNVPSISASVVMQPKTVFEALKALMFR QKENSVSYDEFYSFSRRLYICEDPVSNLYNIKDQSLRVFRQIAGQSEIIQLEDFFQFLSK DLLKLDLTKMTQFELVIRIALELFQNMCDDDNQLDLKRTVHFIKEELCEDIDFLETIDMF GFVQDLFSGNLVTKISLQDFFQKVGPQIRKALSSIIIRKIQQIVGFKKIQFHTRQTNQFI QNNQVKSDIQKLLQQNFKINFDVEIDEQPKQQQQQKVQQDQIVSLLQQSKPKIRGWLQRL SIKKANKWQLLFLQIDQSTRYLRAVKPESIKINHSVQNTEFICLLELVTVPTKGVHRKTN SLNSGHHEREPELQRPIQTENASSQINPFSHSFILKEQTQTKITLIKSWDIREIEQIFHE VKTSIDPSQNYSGIVELCQMNNEPLEKIRQKFKQLVFKEHLMVLNEGKIHFYTVSLKHCI DLSKMRISGYDKDLPFIPSHPFPFQIVIDEKAQVVGSYLKVQKFEQPNKQNKSGMSQINE LENENSDKEDQKKGFFDQIYDKFKKKPNIKKNEIRIVFGAESEPKRRQWIFSLNYYKSNQ TDLEELLKPSYRSSFQTPIMSQGNYTPSRSFIKSAQHTLPQQPTQQNFFIGGNHTHINVG IFLNDEDDYYNVFQHQNQFQSTSQAQNQMMNQDLGSKKQLTQPNRIHCHTDPNDSPQKEQ RLITQPSNGAQITSRQIYSTSSVELWQKFILAFFRFLIKSKNHQPEEVQEPKIQQLYNRQ LKICKQK >CAK91982 pep:novel supercontig:GCA_000165425.1:CT868665:341703:343335:1 gene:GSPATT00024713001 transcript:CAK91982 MYETFGDKEEKELHEMRRRFGKLRFETDHNIEKSKLMNTYIEQTKQDARSVLLNQTPQSK MNLNLHMSRLQTNTSILDSNSTKRTLLTRKTTTTNNNRNFSARTLTNRITSLPNNYFFNF PKWMDERQDFQKWKQHEDFDIHLKIVSICKSESFRRDDGQKTIVALYLQTLSLFKLMPLE MLKELGGRLTYIELKTENDLTLCRYGEKGECMFIIFKGKVDVISSDGKLLKVFGSNEHVG RSALETDAPRNATLTTTFESHILKLQRWDFQQCLNNLFKIERPKWKKFVETIHFFDSFHP HKIERMCDELKGRFMSTKDCLYKAGDQVDNFYIVKNGTLVKNVVVDLEESNRWPIGAKEW EKKTITTCQRIPLQYKAQSLLGYYEIVTFEQLQLKKRTETIDAAEDSFVLFIPKTLFTEI FDADDQKKFRDLYTKLHPTSFEVLISKVKSEEMKKKQEFQIINKAITDGLISVPQHLLEK KFSKYSKVFDSAKTKLKEIIKREKRTKNMISIYE >CAK91983 pep:novel supercontig:GCA_000165425.1:CT868665:343550:352295:-1 gene:GSPATT00024714001 transcript:CAK91983 MRNSITSTCRIPQISDREQRVEIVKGFQYYSGQGQIQGNFDQFGNRYCISGWIKIDIASI NYYSKMPVIRITAFKNYGSGKNIGDELMKLEIEVNKNTPDKTQYIITNNFYGLPLQSLQS QYPDLNPVLNLIQCCEYYLNGIQQWHFIQYEYGRQQSRDKNLLQIQFSNELGLQSFPIGS NKYQSSFTNSKFYYVFGGDYFSSNMLQAWVSDFKFEFNYQDDRQLMNNVCYYSCKTCNGP QQTNCLSCIPDSNRVYIPEENKCLCQQGYIDYDGQVQCMKFEYRFPTISQEFVLNQVKQS CQFGYFLVHENNECIKCPQDSKNDFLCIDCLQFPLYWSEQSICTKDLINYGQTLESAFIL TFRNEKDYDFYFIDDQNQMNLQLGYLDYCDPDRKEFKCVLSREQNKGQYFYVRCKPNYYI SNNDCNEVDRNCLEAYDNGDCKSCIQNMYPINNNCYNCPLNCQSCELNKFDKEVHCTTCF DKYTLQNKQCQPCGSYCQLCQDYFDNNTQYSYLKCLKCIDDTKYYLSFDGVNCQENKIEN CQYAFQYLKSDQKINTLDKYFELQFDQQNIITSCARCVNNSILVVSEQLCLYVNNPSCTF GYAQTLVQCDLQLSNLAYSKTIGNVCTTQQICLITTSTVVDEVQFTESCSEFSSIPQCEI CLEGKFSVSDQLKVYKCLSCTNGYYQHQIAGKCFSCPSDLRCFSCYQQQRAAQDNWKVDI RAYYKVFIEKDDEHPYSEYGQSQNQEDYEIKCSQCISGYELINDLCVQSCAENCLKCQFI NGQNVCLKCKLGQYGRQLTLMENQCIECSSNCALCRVRSNEELQQINPLFKNPKYMKYAH QCLKSYTDNGYTYDQQLGMFINCLDQDLGCFLQYSMNLNLYCSSQEFEKDRDAIVDAFQK QRFSLENILIDNLISGQSFREYENDLFYFQANEKYVKSIKIKISSKTSQICIIPDGSKIQ QGFSENIFSAINVELEFNFLHEIQFIYERVFQILNFNSIKISNLKLIPQSSDKLKQLFFI SCFPLKIELNNIEFTSESPVTQSQIQFLNVSSLTINTFILKGVILQNSDFFITIGQTEFK KIIQISDFQLLNSKLNGINLLHLDLAYNDSFILQNSIFQGNFYNSSIVKTSENKVIGTVF LNQIQIESDIQECQSIFNLFWFYYVKISNFIIQNSQLFNTSLIKLNNNSILSEILFLKCQ LIESSIGIINSEVLSYINQFFLQISNIKFESNKYEETAKLLKFHKFNFLSSQLQITNISI INNEMINVSPLFNLHLQESSLIYLSIEEIDINGLNIIRGQGLIDISIIETQFIQIKSAII TQGQEYKLRIHQYLDCQLKYIQEQYYLQSLFIYSCLNLNIDDMIIKDVSSNNSPIISYQS AQLSAVQQSESIRISNLYAYNNLLLITEQQFSTSILKIESVQVTTLQIHNFTFINNIMHQ YIQDNLKVSALGFNLDCQQASIILMKSKLSNNIVFNSTDSLIMIKAKSLIISDCNFFNNS IFDYSILQPHILWSFSKLDQIYLEDIQKIFLTKSSTGNAQLIVEELTIINCKFKNSQGSY GGAFQIIAQNYCRIQLSKLSFNNIMTSFQEENEQGGSIFIDSSTSLALNLNINDIRADTI FSRYQGGFLYLKAGSKNINVEMDNLILNNIHSLQGSIVYIQFQSQSSYSKNLYINNLVIK NFQRELLKFLNKYDDISANQEYGLNNNRTLIFIEFGESINLKNVYIENLLYESFLFLSSS RRINLNDLQIINSKLSNFLILIESNQFQSSLINLDNILIKNTSVGQFELLQNNCSSIPNQ QQLASKTFQCLVSDSQTSAPFKLQMFHDSQSPNNLCWRNLNKNLTDMTESGLILLSQLYD DQIEVKNLHIAEINCSLCQRGLLSFQYKTSNKIQKYSSASHLYIKNSSCGQKGCFNLIKD KQNRILYQYNEQQKFLLKFESIIANYICENNSCKEGTCLNAENITIYLKDSNFQSNNASQ RGGAIYTNSEILMYNCLIQNNQANIGGGIFQQETIQYPAIYNQIFNNKAQNYGNNIISTP QKLALQLNANGMFSTIRLKNEENLIIDQVYVPPYKTVQGLKSQYLLVPSGQSIANYRYFD WKDRQYIPSNQTFRLIPLNQEDQIIKSLSDTFCKIQGRIHIFSENKTDSENFQYNFTNIN YTYFNAQTQDYNWDDLVIYLDNELPSHMSLQLEFSCNSISIPIFNKVAPYNLQGSHNNYK LRVEVRSLPCQFGEIKSIINFSCILCDNSLGLFSIKQNSSKCEVKDDVSTISVNSSQLNL KQGFWRPYIGTSKVSSCLNQLSNCLGGLIEGDESCSRGHIGALCEQCDLYNTRGDGEFSV GSRFACGSCQETQRNTLIITLVSFWTLISVSFHTGYNARFQDNSAILMKLLTNYLQILDT ISTFQIDFSDEVQGTLSTISSPFESMIYSLDCFLSNTLAYEIHYARMIWELITPFFYTGF FFFIYFVAFKFGYAIFNKSVITTTLIYMYIFLQPTLIGGFMLLISFREISDYKWISANVS QRYDTYIHQLWIFRFCIPTLLLFSIAIPLYLLIGLYQNKNKFHKKLVRQSWGYLYIEYRN IAYYWEIVKIFQRELIILSLTYFEDSILIKAIIVILILILYLELNKKFKPYNVNYLNDLD YFLTNTCITSINLGIGCYFSQSSGSTEISYIFIIILLCLNFYSISYLLSKIIKEFLRQQI NDLEQKLNVLKMKVARFLPCLMKFKTISKILKNHKVKKERQKVAKQIIILKNQEKYNFYQ SDHHCEQFIDSRTITPIISSSEKNLCQHRLVKTNSLIVLQQQRVSIQIIDQKATMQFNIN GNDTISGRQN >CAK79595 pep:novel supercontig:GCA_000165425.1:CT868336:23:1275:1 gene:GSPATT00039336001 transcript:CAK79595 MVNLRIKIKEPWFRKYQLEIQKLKQELDERSQMPIDSMVAELEKERQKALEDKQEVMSAY EQRNRDLVQEREMRKQLEEKISALNSQMLVGGQKIEETPQFQNALEKQQKLIRQQYQERL QELEKERQNIEEDKAQTDKYKQLLLKQRDIMIALTNRLNERDETILQLQEELDAYDKLQK ELEDINQTKESRIQQLVELLKQKEVEIPMNLDLPANSNNNNAKQQLLLAEMPSSKMILVA DPSSNSYIQTLPQQGSYHHSSEAVTQYELKNQIDINKKLELDLKISRMEQERQKKDLVSL QEQIQKYENDTTTEQAKKSVDLILNQLTKPANGNSLATVAQELQKLQKILSEKEASPKKT LLKIQQETSNLKQQEIINKLMIKPSKSNNQLNPPPYKKQKQKSVEDLWN >CAK79596 pep:novel supercontig:GCA_000165425.1:CT868336:1302:2452:1 gene:GSPATT00039337001 transcript:CAK79596 MGQEQSTNEKTQEFKQFLLQYSQEREATHPQFGKIKLFTHIQTNEQICLKKYFTKSDKEL SNLVAHLKQRNSFEQNNLLKILAVHKQDQIKVCSDQSQIAIIIQYYQENLTNEISVRKLN NKPYKEGHIWILLQQIIDPCTYLWERDLFHGDIKPSTLYLDENGSIKLSECSMLNDGLNG YQKMIQSQDKSYLSPILLKQYKDLIMSPTHDPYKSDIYSLGLTVLSVILLEEVYDCFDYV EGIVLTDLLEQKFIRIKQMGFSQILIEFIKQLLFLDEQERPSWQMLKDFIDKYRDKINNM IPFYQCHLNNQKSPIRQLNQFNKAPLRQNILYLPLNKSPQQQFQKSQQFNTSRSDAKPKY IQISKENY >CAK79597 pep:novel supercontig:GCA_000165425.1:CT868336:2484:4757:-1 gene:GSPATT00039338001 transcript:CAK79597 MQVQGEVGQHKGYTAIKIAGNAHELIRKNKSATDNIGELAIQDVTKKLLNDQFNSIKSYD IARGEEKPGQQFKSMHSMYDLQTLKITKLYKKKERNDLEKQIRNRLAKDPEKQLLLSEIY EQLEDNSDGEVLKKKQKNREESLATQQEVYDEAQDQTADLTQWTKRLKDQRKKEESKKED MSTNIVPLRNKQRASTEIKKPISFQQSMKQLPLDRQNSILNSVPPSNTPRSFKDVMKNAV QKVKQLKSLNEDLSVERSVEQYIQKASAVALSELKEIGELNVDEEKGLTANFDFTSEGVL RMYNTLVKVQMSQFKSEAEKAKFYKKQTQLIVNKLNLKIKQNLISIDTRVATQEKLRLET HELHEKILQIKQLTDQLVQEINDLSNPKSQDSLQLSKSRMSLVNQFMSQQNKQKELEQDI QILRDSKKAYKQKILFNKKTLDQIDKDIHNQKKENKNLQRCLVSFYFQVLKNGQDVRTTG IAWIISKLSSLSEKCHHQSMPDYLDQKAKEYLLQKATMLSDIEKLEKELSESFKQYKQEQ SLDSSLAILQQSLSQNDSLDASFLPSIFPFDSHKLSSIDLHLARQEAALTSRNNYQLEKL GPIQEGLSNEDIQNLENMLAKLNLKNSPINNGTLIYKETQKRIKQQIKQKAHTESQNNDQ STVIKEQAIHSYDKCNTQLNKIKSKLQHLEDEQITRIVKEFDYKNYAKRFSIDPITVISC LVGGTRCDREIVKYGIKKTHFE >CAK80222 pep:novel supercontig:GCA_000165425.1:CT868358:1778:2123:-1 gene:GSPATT00039379001 transcript:CAK80222 MPYGQRLELSVSNPMKMNSLKMEVFANFAQEQFVFIKWLLVKLELRVANMTAYLLIEQPK VNIAFLSQVQTSIPSAQDIQTQSWLPYFAFFKSSQHKLCNLVSNTISS >CAK72098 pep:novel supercontig:GCA_000165425.1:CT868111:3:2456:1 gene:GSPATT00038715001 transcript:CAK72098 NASTSTACTLDCTLKIGSGLTFQDCQNVDPTCSVKKDGSGCIVIQSTCTGYGTTDVNCYK SNATGTQANCVMNKATPPSCQSVSSASDCALVSGSGIYHAKCQAFNIACTSLGDGSGCQE FKANCTSYTGNTDNCTVSQQGKCYLNGSDCIRFSNCFSITGTNLTHQICNSYNADCTVNK QKTVCQDRRATCDLYTSQEQCTVSAAALKASQCVWSGTKCLAVTVVATHCSYITGTYLTD SLCATYNNNCTVNYEKTACQEKKAQCSLYSTKSSCTLSQATGTAGKCIWNNGSCRIMISL VTIAGSIKDYQMSSARCAQFNPAFAAKKDGSECFIKQGSCSLYTEDYCTTSSASGTAANC IWDGSHCLAFTSGSLCKHIQAFEINESYCPSVNPLCTTKLTRNGCYGKKANCSDYDVEGD CQQSLASGTAGFCIWTGSVCTYVTDPANQCAKILSALLATDEQCASYHASCIPLMNGAGC QYIQSNCASYTEAKNCYKSLNDGYCAWIDSKCIFFTSPSQCASVSGSSLTSNYCNGLHKE CWPATSKQTCYQMKSTCAQYNNEGQQCIRTLTNGNAGLCGWSNTGQCYQITSASQCNTQN VGIVNPSYITTDAFCAVFNAGCIADAEKRACQELLISCLQYTEMSKCSYAGNSQSKVRCI WSDTKCTPVTDPATYCTKISRPNYEQFSDATCVSFHSGCTVKADQSGCQERKAVCANYTT EDSCTYSAAASPFNVCLFLNSNCVAARELLTECAAITASSGLSDSDCSGYNSICTSNKAG TACQDKKGACTDYQNQDTWFCFLKFKMHLENQLYFCQ >CAK72099 pep:novel supercontig:GCA_000165425.1:CT868111:2566:4959:1 gene:GSPATT00038716001 transcript:CAK72099 MKNTCADYTKSENCTRSQDFGPQSNCVWHSTCISVTNTSTDCAYVTGTNLTDDLCALYNP DCTVNYSGSACQEKKSNCSEYTLKENCSPYCVWDGTSICLFISDPSTQCNLVNGKTGLNL ATCQLYNSECVNLKDGTGCQHSQTDCKNYTTQNSCVALANGTSCLWYENSCYQITGTTCS AITGADLNHNICFSYNKGCTSLSDGTSCQDYKSTCEQYSGTTESCTQSINVKCYLYNSNT CITILNVSTDCAKITGVSLTYEICQSYNLGCSVNRAKTACVQKAAQCSGYTTNMTNCYQA GEGLCIASTSNDQACVPALSVSTCETVFLGTDNYTHDNCSAIKAGCTVNGSTGCMARTCA NATGFTFNHDNCYSWLKTCTVNQTNNGCTIMTAKCSDQSSTQCLNAIEGVCLVFNSICIR KGCDTAPSDASHDDDTECSNYSQACTVARAGGCQVRTACSLYKSSLQCKLDMNDKKCFWN PSVKTCVDLACANIEVSNLYNTHAKCFAVDSNLGCTVRALNKVAVPGCMARGPCSSYTIK DQCITNASGLDCVWNTNSSLPEPACQDKSCTTAPTSTLTHNDCFNYYNTQSIKCTVYASP GANGGQPILRGCQQTAGCSTYIDIEQCKINDFGDPCGWNGKECNDKSCSTAPATSEFDDD AKCKAYFNNKCTVSSDGQGCIDIPEICELMNQKQCYYNSTGQLCYWTGTDCITKTCENAP EETATAEQCNNYLYGCTIDVIKCKIKICEDYVLTTDEQCSYALSTCTTNGINCVARGTCV QAQIQSRMCCIFYWIIL >CAK72100 pep:novel supercontig:GCA_000165425.1:CT868111:4989:6713:1 gene:GSPATT00038717001 transcript:CAK72100 MLQLLQHIVQLKLTDVDCGKYFTNCTTKSGGGCVAKSSCSAASVNAACITALNGTICAWD YTLNKCRDKDCQDFIGTTHTICQTQRQGCTAGPNGRCARVQSCELTTIREACIEGTNGPC LWIEKFATSDGSKGACFAYTSCKSLAWNNDESCKLISNKCTTNGTNCIGITLCTETNVDG GCATGYDGSCIQSVPALNSSDPKICKPFKSCADAFYATHKDCQIANKKCTTDGTTGCIPL GACSTYQSQPGCQLDDKGSVVESGVITSTGVCTWDMSKSVCRDQICSDLNGVTHSICNSQ LSTCTSDVIQPKLFVQQLLEMMEFAFGRLVLLLIIIQPNVDYFSCADIQNGTSISVCQAA LPSCISNGTICIPKAKCSTYTTKTACNFGGLDGLCVFTQSTSAQAVADSGTCTLMNSCSS ANNDQTACVAAQDRCSWSPASSSITSICTSHTCGTYNQVSGTCSRFFNWDKQSQQICSMI NGICTATDPSTLKQSDCFNLSGYTYTWNSSAGKCQVCTKQDQPNNSVNNTINDTNNTNTA QGLLLTFILGYLMI >CAK72101 pep:novel supercontig:GCA_000165425.1:CT868111:9887:10144:1 gene:GSPATT00038718001 transcript:CAK72101 MQKNTSDQILEVSKTFFNFQIIQKKQGECFCVYSKTISLFDNFIIQPIHSSKQIYNITFI KAQRIALVQFAIENNFFK >CAK72102 pep:novel supercontig:GCA_000165425.1:CT868111:10645:12661:1 gene:GSPATT00038719001 transcript:CAK72102 MNINVLILTLLVALVTSQQYSISQCDCSQLLSKEDCQKNDTIKCQWDSTKKTCKIQDTTT NPVINYAKYCDNFKEMECPKQKPCSNCGSYSACAWVEGQCTFFTDCTVFNKPTDSDCQAI SNKCITDGTHCVEIDTCDKYKKTIILYNFMLLGYQKQIIVLMLILVDKLPIKFQTDKECR HEIQSCTAKSGGGCIDSGINCTDQSLEIQCFWDQSMKMECYWNGTQCKDRICDNAPTTLN SDDACKLFKTDGSCTTKLNGGCITRTTCQAATISAACIKNSTNEDCYWTGTACVDKICSN APTTLTTNSVCAAFSKGCITKQGGGCVLNGDCSAANISTACVKSINNFDCIWDSTCKEKN MWQMLQSLILLMINVLSYLSTLYLCNQEEGCQKRTCDNAPITLNTNDACETYLPANKCIT KNGGGCTINTTCSLISIEVACIKNSLGQPCFWHAASGSCKDRICANAPSSNNSHELCQQF LNTCTVNSTNTGCVEKTCENSLTLSICDKDLNNNICIWKARCYMKQCAMASNSITSHSEC QTYYSSCTLSNTGKGCVTLPLKCEAITIEAACKIKANKQSCGWTGSQCIDKACNTAPKTI QTTSDCQAYLSSCVANNPITINGTSTIQGCQDLPKTCDSRKSSENCNITRAAFPTCFWGF FIKQMR >CAK72103 pep:novel supercontig:GCA_000165425.1:CT868111:12769:16941:1 gene:GSPATT00038720001 transcript:CAK72103 MLKVVVLKHHILAFQIIVKMDVWLSPQVVHSQFSKIVKMDRDLMVIVIGMDLIVLKRHVL IQYQQHIMIAIIYSINVPSMMMAQHVYHQLLLALHIKFNRIVKLHLHKRIVIGQEQFVEM QFCDDTPDSDLFDSDEECLKYQTQNETCTIIAKVGSQGCVQKQPVCSNYKTSSQCHKTLS NLNAQDDCKWINGICYSLSTFATGPCSTFKGTQDICKAYRQGCTNVANASTSTACTLDCT LKIGSGLTFQDCQNVDPTCSVKKDGSGCIVIQSTCAGYGTTDVNCYKSNATGTQANCIMN KATPPSCQSVSSASDCALVSGSGLDHAKCQAFNIACTSLGKGNGCQEFKANCTAYTGNTD NCTVSQQGKCYINDLNGSDCMRFSNCFSITGTNLTHQICNSQNTDCTVNKQKTACQDRRA TCDLYPSQEQCTISAAALKASQCVWSGTACLAVTVVATHCSYITGTYLTDSFCATYNNNC TVNYEKTACQEKKASCGLYLTKSSCTLSLATGSAGKCIWNNGSCGIMMSLLTIAESINNY EMSSKICAEFNPAFAAASDGNSCFIKKAQCSMYTEESYCTTSSASGTAANCIWDGSHCQA FTSGLLCKYIIGSNKLDSYCPSINPLCTTKLYRSNCYGKMANCSDYKGEGDCQQSLASGT AGYCIWTGSICTYVTNPSNDCGYAKIYIENPDLVCANYHASCIPYFGERGCQQIQSNCSS YKTKKDCYQSLNDGYCAWINEDCIILTSPSQCALVSGSDLTGTYCNGLHKECWATAKKDG CYQMKNTCAEYNMAANICVRSLNDGNAGLCAWNKTNGCYKITSPSQCDYMDTILIDTSFR TTDAICANFNAGCVASLELNGCQEIQKSCSLYVDSFKCIKAGCIMKNEKCTSVTDPATDC QYIPKQLFNLSCESYHSGCTSNKYQSSCQVKKAICGEYTTKETCVRSAAEPPFDWCVYLN SNCVPVRGLLTDCAAITATSGLSDSDCSGYNSICTSNKAGTACQDKKSLCTDYQNQDSCT VSSGSKCIWKSSCISVSDPTTDCVYVVGTNLTHDICVSYNNKCTVNKAGTSCQEMKNTCA EYTKSDNCTRSQDSGPQSNCVWHSKCSSVTNTSTDCVYVTGSNLTKDICALYNPDCTVNY SGTACQQKKSSCSGYTLKENCSADCIWDEALKCISISDPSTQCSLVNGKTGLNLDMCKLY HSKCINLQDGTGCQHSQTDCKNYTTQNSCVAQADETSCLWYENSCYKITGTTCNTITGAD LNHNICFSYNKGCTSLSDGTSCQDYKSTCEQYLGTTESCTYSVNGKCYLYNSNTCITILN VSTDCAKITGVSLTYEICQSYNLGCSVNRAKTACVQKAAQCSGYSTAMTGCYQAGEGLCI ASTSNDSACVP >CAK77057 pep:novel supercontig:GCA_000165425.1:CT868261:1171:1824:1 gene:GSPATT00039191001 transcript:CAK77057 MINLMQKITNVQCLEHFEQVQFLDLRQNILKGDRAKCCKCQLINPTHIEQAFSKWIQKTQ DEIQQIQNEFQGYLNPLKLFQEQMRQTQKSFDQNCEHLINEIDYLIRGINYDKNQKIKSL QVFGESISLSILQEMAELMSEKTPIMKQSKRDVELYKGLKLIINLTIQIMNEQQNSVIQL VKQQQSAKEKVENFKDIYLSHRSYYQYTETKNIYDIK >CAK77058 pep:novel supercontig:GCA_000165425.1:CT868261:1870:2436:1 gene:GSPATT00039192001 transcript:CAK77058 MLAGSNSNIRVWNFNDGKVTENQTLTGHNDDVISLTFSLNQDLFISGGSWEDKKIIIWRK HNDLWKYTQIMDVHKGYVPQILLSKNDSELISCSQDQTIIVWKTSSNIWXXXXXXXXXXX XXXXXXXXXXXXXXXXXIYMESAQIKVKIFSLLQLRQVSNCLEKTKQYMEIFLKNNSQQL CISNNFRL >CAK83401 pep:novel supercontig:GCA_000165425.1:CT868473:1506:1890:-1 gene:GSPATT00039552001 transcript:CAK83401 MPILHSDQIDPWFWDDQNQSEAFVDWEGNQMRQFHSFNENQFPINITLRIQTQSEFYPFI QESLQLRFPEVDEITYTLSPKQSYQLELEYNCTQHNEGMQQIDLIFYRIIFQEQSLTFR >CAK72872 pep:novel supercontig:GCA_000165425.1:CT868138:6112:9073:1 gene:GSPATT00038829001 transcript:CAK72872 MIDFLQGYYSLENKALSQSALIDIQIAEQFEIDQSDQKHLPFGIWSKYNPLGHASLKDAY GLFDSNCFQIINSVDYATQSLNFIQYDCVYDTTKEIKKFIQIGFSQTEQFIFSLTIEPSE YEDIWYFFSIITNISKNRVELAISSQLNEIFKETLELILPNRDQKLLFTFGGSLKVENSN IVLIEQGRIFSLYPGQLIVYNFEMKRISIDFDFWFEITQFYQEIQNCECVTDLNMSDVHL LFLDLQTNTLQNVNCNSYTLAGWLRISQIYQSSEQLIYQFLKINTNVEGGENENLATFQL SYHISSIQNKIIITTYKYDFPSVTQDFSDDPFLIKRELIIYNTITSWQYIHINLHESVLD FSIIFYEVSNTQSYKTIFDVKQFHNQQLKITYGNIQQTKLDYLDVIVRRLLFSNCMQDLK WQKCHQSCKECDGPTKYDCLSCSEESKRIYIPEFKQCDCQYGTIDDNNTCIDYMDQYLYL NLQLNVKQRKTLNCKMGYFELDGDCIKCPLRIYSDFLICLECFSNPDEWFLQPYCSENLE FTKVSGKYERPSAQNFYLHDSSDLISTNIPWYEFKRYSIQNELLLYERFLMSSQIFFSFC NSYQQQSTRYDEHQCYACNIYFCESCGLTINNGFFCLKCNYQGELFNGTCTHYEKFNYTS CQPPYYASFSKKCELCPIENCLYCFEYFDDGQFLMSIEISNIYQYPQKAYIGCLLCEKDY NFNFYHKRCIKKAPSIKNCVTSVTKISNIEICLTSSLDHFQVSREINRCSSLLSYCSVCF IDYNNKITCLRCESGYVLFGGFCYYGEEESAYFLNEYWNLKVKSFLINFYQYYDNVKPPK LHPCGGYCERCIYLLGEYQCEECYFYPKKDEDDYLRSYMCKCCQPYCLICQRRTEREISV NIRDYKQVIAPFLSTTYYNTLYTNECLYPILGPNIFYDLTQELLSIVWKGFKMSYIRTLK MMWFDRFQRFHDKDSIDF >CAK72873 pep:novel supercontig:GCA_000165425.1:CT868138:9364:13471:1 gene:GSPATT00038830001 transcript:CAK72873 MLILHQINIEEYDSFTMIGFGIMLDEYNMKDFNISNSKNEVNITLINVTIKDSLIQNVQS LFRTKNFGHVSLKNLTIMNTQFVNSSLFNFNAFNLIGAVKINQLQLLNCTFTDSILFEFS YTQLPIKFINLTMDQCKLQNSSIFNFRANNPLNIQIYLLSILIQRSSLNQSQLLYCSQQV DVIANNLVFNFNQLSDSIIFGFSSGLNSSQIEIKNNIFIQSQFMTTIQLITQKLVSCNLM YIIIRDNKLQNSNLFQFFSEFQSNDLIISFENVVIEYNEGLITLNQASYLFNINGKSIIL DNFQIHQTKKITILYLSDTQDVELQNFIVENSEIEEKISVTKSCLQNVNFQNQLVLIVNF NKISISNLKVKYIQSMDESIIKIMSSSQYLQEQISQITIINIEFYGNLLQSLNQNLYFSM ITIISDRNLDIQMKNVVFQNNIMHDYNENSLRDSAALFYISTLASTIQIENLSCKYNAMT NSSNSFIHIVAKSLKMFNVYLRNHNILPFSIWNYYYNLIQVNQDQIQSLITQIFLIKTIG GAAYIQTSNFLCINSTFEEIMAAKISVFDILTSSDGIIMIQNVSVISTRNSFQESVESEG CLNVNAINKCKILEYSEQDVIIHNYNKSFNTKKQIDILKYVDNQLCFFQKLDRQSLIYHL SQQIKFLLIQKCNDSVKFRIMGRFVFENRSFIQQGKIMQQYIQRNCDATLENLFFEGIIF SSCLLLSNDTEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLSFKQDSMYIINNSMNQEYLS KYTNYFIFGCFIQSFQVEYLEKNYFYSNTIKLIQQNQQQTSIIYVQGNSNQGIFYFDRIQ FQNNNYSATQQGIIYFDNINFKKFQIIRFDCNNNFIKQYGCLNIIGNQNASNLFEIKNSN FIQNFGTQGVAIKSSDIILKIKKCNIISNIALSKGGGLYLQLNSKKFYISETIIIDNQAE QGGGIYFEQDNDISIKTQVQTFVYFNQAELYGNNLVENPSYLQLYINSKAMSAVEQKINN ISTSILKMSPDIIMEQGITKFTEMLMIPSTQVIDT >CAK80502 pep:novel supercontig:GCA_000165425.1:CT868370:49:1234:1 gene:GSPATT00039398001 transcript:CAK80502 MTQNKVKFLISGLQFLIAYLFKFFQIELIQERIIVTILLILIQVAIILIGYQIYVQPSQG RFQTYIISNTLLYLYVSNFFGLFNQFCSIVSKRIISNIEYIQGDLTQKFGSSNHNYWIYQ FGIPGLVLLGFLIPFALFLFMFITKKSFNKIQFRRHICYLFDEYNEQNYFWEYIKFSKKI SIILIMTYFESNILLKATLLGLFLLIYQILAGRQQPYNLSKLNNLDLQAAQICSIAIFVA IAKYVSEQQVQNASSQILQVFIMLLCIKLCYQFILDIFKAYVKKYQVLFVTVLYDFLKSI KPKSKQVIYLGHLLIQWRIKEKRVQQNFSILKAYLLKIANTQIKTQKQYYQQYRLFCNEI PKQNLASLTRQQEQIQIKAKIFLTLEN >CAK80503 pep:novel supercontig:GCA_000165425.1:CT868370:1811:2290:-1 gene:GSPATT00039399001 transcript:CAK80503 MFASCGYDELVIWEKGLQKKWEFKFTQYVSNGRKIHFIDDNQLLWVTLKRKINDLLVFEL QNGVFKQNSNKTITLIQNELCKDDVHFPIVYNNDRNVILVRHKHHIYLIRQLNNSTFHII ASLNCETKESYGTMTNNGQYLVFWDNKYKKYSSYEIQYK >CAK80504 pep:novel supercontig:GCA_000165425.1:CT868370:2537:3422:-1 gene:GSPATT00039400001 transcript:CAK80504 MENFDQTTRLMSFNKVFKMIEENQKQKKQSVDNMIMFIINMIEELQKDLMELKSKAVIQL DQLIRNVDEWIGNVKLVGQQQVTYSFYDQLDKLINQNILEELNQKSLIDKMNQIQQSYNQ KIQMNLNSFKQFQKCEEILKKLRNINETRENIKEQIQSEKQVQKIQVDNEQQQQFETQLM KCKQVEFKLIDSNKQSGQCFAIVFNVEGSIMVSTEEKTIKIWNFQQGRLKLIDSYNKHTA SINYKQLYFRQRQTRNYLLAINQCKQVEVLVTKKIAQFQC >CAK80505 pep:novel supercontig:GCA_000165425.1:CT868370:3609:4112:1 gene:GSPATT00039401001 transcript:CAK80505 MLYLSQNLQQIFIKQLLLIVDKGSGKIRNLQLRYCSSDLFKINSIYEIFKLFILVLQLLN MLQNLHYIRKFIIRICNQNNENKIQNLLYTIKILMVQGKSNRHRLRSLPKKNQFKEDKEI DKQPLFQDILQRKYTLNEKKQLASIIKICKFNKNTRFMKD >CAK87294 pep:novel supercontig:GCA_000165425.1:CT868609:872:1255:-1 gene:GSPATT00039797001 transcript:CAK87294 MFLFTQGFLEISSDILLQKFTFSGGKPQHKSYFENYVNQADTETLKNMLKFITGSSSIPF DRSSYVISVKFDSGLSDRKLPLSHTCFQSIEAPLYKSFAELKQKLKIAFTIGCEGYGFG >CAK82846 pep:novel supercontig:GCA_000165425.1:CT868444:1363:1947:-1 gene:GSPATT00039510001 transcript:CAK82846 MSKFNYTFDCYGSYLFRIDNISIIEEITYRKLGSILAQIGSIIQLIFMLKYVVLYYNNQL SENELLSEIITMYYPEFKQYSVNFLNQFQFDKQDQYSIENLKLKYQVLQKGAREKCRLTN LLYEVSRIQFILQDKFGDSVLSQSHKMGGKFQQPQVEQLVSKEQNRLQIQPIDLIDLENQ SHSPEPLEVLIKQT >CAK82847 pep:novel supercontig:GCA_000165425.1:CT868444:2076:2778:-1 gene:GSPATT00039511001 transcript:CAK82847 MMQKALNTFEKIDIFGVPIQLLSNTNNQRYQSKSWRGLVYPLYVIVLWSDNKIAPTISSN TITIGYAESQVQESMIQLSLEDFTGDVDPFKKENNIITPLLVTLMNTTVQAKPIPLFSND QNPYIIELSNVSLVLNTLDSQSQNKKTQEQHSIFLARCQNQFLIEGSYCADDKTIDEYIS KFHGFLFIKIKLSQLNSITQELEQFKKLYYTNFDVKRHNTLKQF >CAK76398 pep:novel supercontig:GCA_000165425.1:CT868240:21:230:1 gene:GSPATT00039146001 transcript:CAK76398 MKSSKCCLAFDKFITGPRGDGNREQIEQHFERDDFNLLCRGKININKNNEDAVRVYQDVG NASLKSSKN >CAK76399 pep:novel supercontig:GCA_000165425.1:CT868240:270:1859:-1 gene:GSPATT00039147001 transcript:CAK76399 MQYKSFIDPHLNKQINSQKPYLHPLRSPSFHHPIQNSIYYFSQELALLCQSISEQKLKLS VDQESQTKQNNIGQSHWEKIGSKLPKRVQLQYREIIKPKQFEINLSQPRIHSPNLNQRFN RSFYMGLQEINSSSQKRPLLNLTSTVIMIQDSTQATSELQTQKIQQKFRHNFIFHYTIGI GGFGNVWKVESKKTRMIYAMKELKKTKILAKKSVKSVMNEKQLLQKLKNPFIINMVGSFQ DKDHLYLILDYLSGGDLRYHLLLNKTFREEQIKFFVACMILGLEYTNSYQVIHRDLKPEN LVLDCKGYLKITDFGIARYYKNDNSNETSGTPGYMAPEIILKQNYNYCVDYYAIGVICYE MITGKRPYLGRTKKEIRDEMLGKQAQLNAKEYLQYSFNLIEFTNKLLLRKQQNRLGYQNG IKELKNHKLFQSFQWDKLVNKTMIAPYQPKQEDKTRACQKTSDSQQTLFNEQQKQLKFKE IQMLFDGYTYLTEDKII >CAK76400 pep:novel supercontig:GCA_000165425.1:CT868240:2918:4965:-1 gene:GSPATT00039148001 transcript:CAK76400 MYKQCYCEQQNSLDSFSCITCKRQNHWPCYDYQITDKQPLEQRCLDCIFQASNPFKQIEH YVRFNNKKSKIFQIGKTVQEQQFSFTFEVNKYYEDIKKNQIILSIFCIKMKEQKQLFVWP STNIEILVNERYQIKYHETDFAYVSPNNIVNGQNRIQLIFKDKNEYNSLFGILLVKNIEW QEVKQQIMDADKDQIEQIITQQKVFYFDKINKPNENSEESIDVQVQSNLSINLLDPFTQQ QLQLPARGKNCQHVNCFDLNTFLIFNSQPNKCRWTCPYCHLTTAYDQIQIDYLQVRLLQD IKIDHPNIYYKIQKVSLNQIFQYQINPKLQKKQMSRKQSILNSQNLTQLIKINSKALEFE NNQIQFEIVELQKRNNYTSAYITFKSAKKLIQKIKQKELLKNNIVKDTSELVKYFCNLFD LKYYDKFSVFDSQVDLQYLESQQDFELICKAIYENTVSGLFIYFIRFVTPSIKSFAQAIM QFSSHLKLSFKLNYQKKMLELFLAASYDKNEKYSQGYNFKVICSLCKVTNDEYTNHIIYL SQKLRTIFYNLNASSLFIVRNCINLSHMFDQDFIYNKLREDQDIYESSVETIKEIYSAQI LKENPNVSEILALILYLLYRKDKIYENIWNQFNDYNLGNVKKKFKNLEYQNDFCYL >CAK76401 pep:novel supercontig:GCA_000165425.1:CT868240:5907:8288:1 gene:GSPATT00039149001 transcript:CAK76401 MQKCTQLIPIIYNQKDFVDTSLVQNTEFVLHELYEFYDINYAQYLLFLKRKNELIVDITT FINKTYQIEATPLTFLDSFNLFYQIDPSNKFIQFYKFSQIRKIIQPKKQYIFELDEKQIF GNYENVQCKRLEYISQLQTLIPIFTTDLIFNCEMKLNYKFNIYPGTPFELFSFDIIKYRT NQLSLSIKRLTINKNSCSIKNLQYTSYYKIYDFSSIEQENFIYEIDNNFFVYNCLRKRVL IQIFLHSAQVYDYLSNFFIYDQNTNIGRLLYCRTQNIQQHNIKFDHKIFQIKQFQNYLLV YTDVNNFIYIINLLHAYQSFNLGNVNNLQYSPLNSFSNTQILFYTQCQYIQIIQYPGIIY FERDNKTKCYQFPAFQIISLKSIVNSLYSIIAIQIETNSILGYIFDEGEVLLKLNWTNPE FNLIKPLQYKENENYFCIQAEKNQSIHVLIFSYMNEEYFFLEIIQTDTPFIFLQENFLVF YKPKQGFSLRNLNEYDFEIQIEEIMMNKLVLKDQITATIQSDNQNKKYNFDLKIYIINEC RHLHALFNSTEIYHKAPSNFQFKVSNYFYGPIDKLSYKINSNPIINISPINSILSIQQCN DLKKIACSWLFKILNLESNVEVMFSIILLDNNSLMCIFPILYNQIAIIWLVDQSLYGFEY QLGEEDTFLIRVNNIQRKFEVEQVDELKYIKREGNLSLINCQIADVYFYVENGQFGQITG LDNVIDFIFIENTEEHYLLLHQIKSTFNFIISIRIFRFKEQSIISQVNVTLPLLEEVKKV LDNE >CAK69384 pep:novel supercontig:GCA_000165425.1:CT868068:978:2687:-1 gene:GSPATT00037823001 transcript:CAK69384 MFKRCFVLYFLLGHFGEKQKRFKQKNLFLLSNTQNLQETSLQSIIQFVIYKYKYLQEVWY VIVHFTKIKNKGLDLGYYQNKLNIILIKYSILLQQSDISILFNIHTFQQFEFLFELIYIF ILSLFMSFQDHEDDDDYIQDQITLINRIAEQYHIEWINQNYNLIQNQRTSINYKEEYQQI INEVVHYIFDEDQYDLIDEEYLQLSKVKQVSSQLQQNDFQKYLQSQFEILKKNINKFFFQ IYQEPQLQFKIKDKYKVILIGGTKDFIYLILIQPGIIINNKCQRCRIIIILLNQQDFSIF KRFEENVNYKLYAAQLICNYDLNQIGIYTNQVFCLYDANNQIFIQYETNNTQNLVIFYQT TMLQLDSNTQLNQLNYENQVINSRQINSITPYQQPYSMILYKDILMIYFNQIVKAIYCKN GKQLYIKRNLTTIINYKESCISNRSNLIYQSVKFDAKHTYIYQLSLNKRKILRKFDSQET SDSTDIYLIKHDKVLVAISYDQIEAYETVLGKQVLYLHKRNEMASMNQQLQIVNSQYLLN LNYSGLNLWRFDLNDMEHNINQLKQLHLK >CAK69385 pep:novel supercontig:GCA_000165425.1:CT868068:3077:5654:-1 gene:GSPATT00037824001 transcript:CAK69385 MQKVNIELRPSPRKFTKQISSKSESASRLSEISDDACRQMIDFDRGKQTSRSISIQPRII NQEQANNLSRISDDNNTINNTFQTIKFKSKLIQSNLVKVIKAEKIKQQFINNLFTNTYIL KQNKRQLIHDKYIEENNKQPILDTYTQSRIPVIQPTCGFIIFWNAVGIIFNFIILWLTPF LLSFQQNQNEIQLSSLKLSIIIFLISDILISLNKGIIIQGILISKRKTLIQKYLQTNFIN DILNLILWILIQQNLLTYQVFGECLTFAQLIVTYKKIDRYLSDYFEFVFFKGISNSLMDL LSLILSIYLLAHIVACFWHYVGVKSMETSWLIKYELLNEPIWRQYNYAFYWATMTMTTIG YGDVTAQSQLELIFVDIIMFLSSGVFAYSMNQIGIILKNLQDSKLKYKRSILKMNTFMNK NQVEPKIQSRIRNYLKYYINQEQNENQDDVDNLISILPQNLQQDLKDDIQIRVINQVKSV IGQFSQRTQQLLSKSLQLIKYSPGDFIYKRGDIMEKNLYFIKEGEIEIVEERSKMKFNKL QQNQTFGFYQFFTDFPPKTSAISTGFSKVYTISRKSFIEILQFNRKDFETFHNIKDQIIF NKNYRIFDLCCQFCDRYNHQEIDCPVLNYYPDLEQRLLKKTQQSVIFLRSPKTRLGNKIK SIKEQRQLSQSVTKFLEDNFGFQLSNSLQLALAAHSSQSNSSSELNNEIKQTQKIILNDG DPERFHLPMAKSFVSRAIFRREQSLNQEQEVLNSGKSQKPQKNIIKEFNMLDVLFNSAKF PHPSIQCDQCESFQNYFPSGNVSFVIQKLEKANSKYQRKILKHIQTMNKYTFYYNVKLKA LKLRLFYLKI >CAK69386 pep:novel supercontig:GCA_000165425.1:CT868068:7744:9214:1 gene:GSPATT00037825001 transcript:CAK69386 MNNLHSDSRQTLQQQDIEIYQLIEKEKNLQQNSINLIPSENYTSRAVAEALSCVFSSRYA PGPQGSKYAPQVENYDEIEKLCQERALTAFQLDPQQWGVNAQMGSGSSANLAIFLGLLEP KDRIMSMEFQQGGHFSHGYQIGEKKLSAISKIFEVLFYQLNEKTQEIDYDKVEILAKAYK PKLIVAGCSAYSKLIDFGRFRNICDQVGAILLADIAHTSGLMSAGVIPSPFPYADIVMTT THKSLRGPRGSLIYYKLQYKNRIDESVAPGLVAGAHFHTITGIAVALKETQSPSYIQLQK DVVDNNKHFAAEFQRLGFDLIAGGTENHLILVDLRKFNVDAVKMEYILSQINIQCNKQLV PFDTVPQPRALRVGSIPLSVRQASKEHFTRVAQIIKESVELVQTVTVDIKIWAAENQDKL IPLKQKVVELANELPIPWM >CAK69387 pep:novel supercontig:GCA_000165425.1:CT868068:9428:10588:-1 gene:GSPATT00037826001 transcript:CAK69387 MKIKALIIGATLAFLIKVVIGFFDFFKSVPIINTYSNCEYLDTDIVGPEDMQKYNKTTII VGSGDFHKLWSHGKPILEQLGLYAIVNTQDEKPKVVKLGIQNFPNDISLYVHGLYIRKQE DGEYIYALNHAYQNGGERIEVFKIKDEHLNLEYQHSIIMDENYNGVLNDLIVIEDNRFLI TKYLPYADPKEGRSEMAPSHFIKTLFIWISQQRTSFIIDCKFQKDSTIIKPQCIELLDPS LTGVVLNGITWNQKNLVWAADSIARQLNEYELTSQGLIFKRYIDVQNSIDNLEYDSERNS LILGLIPKMNGFLKGLTRLDRQSKYDYYGTVGEYDLSQNKLTYLAQSTELSKGLSGALIS GNNLFVGSWCDFTVVICKKQ >CAK69388 pep:novel supercontig:GCA_000165425.1:CT868068:11041:12802:-1 gene:GSPATT00037827001 transcript:CAK69388 MLNKYTLNFLYKDQSDKYLQQNSPKIIKEHLNFTVYALTFAILNMVQFILAQSVYYSILA GFSCFLIVGLQKLITHKPYLINYVISFYQFYVLFIVEVNKIMDSSNKTNQLFNWSYGYQT CFMHFCLYLQGTDMMVSTSVLLITTTIHVLLLPFDEIYSIAHILSFFFMMICMVAVKYNY ERKKKEEFFYSFQQEQWEWIIRKAISNTIILIRYDQKQDQIRLVKQSIRSQQTHGINGCY DLRQFLRNVKQYLLYLQLIFANSTYQHPSCDQANNLEQYVRNMLMEKKTNFLDKQDKQKY FIDQINKIFYKVKLTKCILNNEVQCLLILDKIQIPDQKGQGMLIIFLQELSKNVSQLIKD QFYCSAFQSNLNKSQSIKKQIDNLDKRILNYAYLQMTLFNLSFLTQEYKYDVKSRINNQD LIAQLQSIFKNKIKISCEIAFKCNPQIFISVILCCCKFVHYTENYLPNFQIDFLSTDNEM IEINLIFCLKQPSKVASSYSILAANWASSNKRQFNQKCLFEACINRLCFLQSFYELPKLL LFDVSTIQILMSQFCTLNHFIIQQNEHTLKFACTFSKLY >CAK69389 pep:novel supercontig:GCA_000165425.1:CT868068:12968:13965:1 gene:GSPATT00037828001 transcript:CAK69389 MQRPMSLLEYALSESNLSMNIKKRNLTIETQSIQTSPNLFQNNQADLELLVDMHSQNKQW VSMELFRLIIQKIEEKLSEIDERLDNREGFQNITQKYSVQKKMSKSYQQGDFSQMDQSQD ELESKLQISQAKKLNISQLKKSEIREIRNEEPLIGRQNLLEKKLVSMEEKQTKIQKEMSN FTLEIESKIQQCIKQIDWSLEKFNKFSSELLDKVKDVTDNHTEIFQHLQKNKEDINYVRI SAEHFNQNTLTQLEQISASIVNLNNFVEKHDNELSFYKDIIQITESDILKIIQFEKDILK IVYKRQEQNEKQGK >CAK69390 pep:novel supercontig:GCA_000165425.1:CT868068:14101:16006:1 gene:GSPATT00037829001 transcript:CAK69390 MNSELFACQFCKEYFSIQREPFLLPDCGHSVCAECLTAKLKNGNQFVCKEDGVQVTRNQM NEFPKNFALLQIIKNRPTNRKIVMNNSPKQLENEQDSDRCKKHGEKMDVVCVDHRVRICA KCALFGDHADHKVVNLEDALKKIIRRIDELKDMSERLDVTTNETFEMSQYFNKIELHFQS NLDIQIKQVNQFFDELSQILDNKRNKIIAECKDKIIHSQELYNKYIKEAFIDVQRKVELW RMTSKDRIHYYEEQQKANSIPFELISISSTNELMLIGAQYLKELESTKQQILLKIDEPSY REIHLELKSDLEQFINTYLIVYQKDPQFKLENSLSRLESITECSLLKDINSSIIQDPLNQ NQMEQWIKQYQENQQQKQLNQQYIFQQQQQQQQQQQFLYAQQQLQQQQQPQNQPFQQQLS YSNHQRTDQPLTSQELDDQYLNNLKKSPSATTLATRRTVSPSPIRRSMKQKKINEKFQPL IVKLKGDNLDQCDFSQAELGDEGLLSLITIIKKTKNLRVLKLAKNKIQDAAAQQLLTELI EKQNENDQENNQIQTINLSSNMLTDKLIDTILDLCKKYSKTMQHQSLNQIYLNQNIINLS RVKRKVDEIKKYGLIIAI >CAK69391 pep:novel supercontig:GCA_000165425.1:CT868068:16078:16637:-1 gene:GSPATT00037830001 transcript:CAK69391 MCQALSSELLTEQIDKKVNRISQQTNLSKMYQDLIDEKMILETKIENLENQLESYQNKQF TISYAPSIKSVYEKRLHVMKQGLLHWKTRTQQIEQIVLQWIQKLKQENQQLKRQLIKKQD KMFLVFENLLREIYQQFEQDKYVQMEEIKKLQEQKVDDKVKITKLRSTIQQQTKTKKR >CAK69392 pep:novel supercontig:GCA_000165425.1:CT868068:16663:17489:-1 gene:GSPATT00037831001 transcript:CAK69392 MSSQFEADYQISEDSQFIEQINQKLSKIRNNLGIDIYDQENLKTPQSEQSGRNNEHNTFG RDRKTTESKNNLQDVQELNFITSSKHKNNNINNNDYQYSGQSGKEEQQYQISQSGTKDDI LPQQISFLQNKLEALKQIYPTQGQDQASFLERENVYLKIELELKSKQERFLRQQLTTLTE EYNLKQSQLQQSIQDLKQQHEEFVQATDLTQDIKQYILSLESDLHLTKGELDLFTQRLSK EQSEKEILQNQINNIHNKSQRRILKTV >CAK69393 pep:novel supercontig:GCA_000165425.1:CT868068:17510:18550:-1 gene:GSPATT00037832001 transcript:CAK69393 MQAAFNQVGNMGQAMLVQMNEQAQNLIQAISSSCLDILGQQDGIFIKQKFELFEALSGFE TPNVYKVYAADDQGKKRKQKALFKCKEKSSTCARLCLPGNARPFEMKINNYGCKDFQPAL AKQLLKDDKRIVFKFKREYQCTCLCFNRPRLEVLYLENNENKKLGTIVNPWYFCNIGCHV LDINDNLRYIVEASCCQTYFWCGCPCNSCNKVEFVIKVPTGEVVAHLLKKGKDCCKNMVG DADNFSLIFPKGASKEDKALLLAVTLMMDYMYFEDKGGAQASVGPG >CAK69394 pep:novel supercontig:GCA_000165425.1:CT868068:18559:19335:-1 gene:GSPATT00037833001 transcript:CAK69394 MNQKDLLPQSDVRKRQQSCTVDKLSENDLKDFHLDHVNFNKQRVSLAVICSKNQQDESLV KYQKAILENIDELKDEAPEVEFYLMEVHFPGKPDRSIALDLQFGMKENEKAPFKIKEGEE YFIRLHFKVKNDCVVGLKLYNTTKRHGIKVDSYEEIVGSFAPKKHIQIYDMEHQIAPSGF LARGNYKGKLLFADGDGIVHMQFDYYFEISKDW >CAK69395 pep:novel supercontig:GCA_000165425.1:CT868068:19378:20528:-1 gene:GSPATT00037834001 transcript:CAK69395 MHFRGLENLGNTCYMNSFIQALHLCSDFRQQVLDFEINRIVVQLKDYTQTKQVGNKPNIG LLLSLQKLFALLSFSSRDSINPIQFRMTLPDQFKNNYNQHDANEFGKILLDEIETSLKKL KIYENLIKDSFQGQIEWTIECLNCNQIVKTQEDILDLSLSIPNANSIRLEELIEQNCKPE IMDGDNQYQCDNCKAKTNAKRHFTIKNTAKNFIITLNRFDFKHSGNAKILTKVDIPNRIQ INQQNLYLQAVIVHSGAQINYGHYFTLSRYTLLNDEQICRYPSIQTALQNLYVQQTPYIL FYKTDYPYQVAKMKTTFLKNIIDQDNQNFLILKQQKQQQQQQMFQNQQNFNQRNDDDEDD NGQQGSQGVQNRIIF >CAK69396 pep:novel supercontig:GCA_000165425.1:CT868068:20578:22626:-1 gene:GSPATT00037835001 transcript:CAK69396 MTSITQKIISKLDPRQYYLSGCIDIIVVQQHNGSLKSTPFHVRFGKYDGQDYYVDIIVNG NLKDVKMRLGKEGSAYFEKSSFSSGYQSDDTFSEKISLFDEASNFRLSHNYKTPDELFNS DKKNSNWLQTLKFWGKGSNTKKDDGVKIETTNNLKEIQQVDTSSLGLSQIQLQKQFQSQQ SEKHIQTNDFEKDNITYSDRETLSPRQSVMELSLCGQQLQQQNLTQTQRLQLFEQHKVSF SIFEKDSLKIINHKDLVFKIGDKFFSREAGIIQLLAKQVYNREMVIDSLDQQKKPNTQQQ QQWYSVLFGKKKGSDQIEQNNNNNNNNNNNNNNNNNNNNNNNNNNNNNAQRLNNNEQSQK QHQQFKSQDRLRKLSEDSVDTFSTMSIQKRRKPLRPILKPNSSILKQLGLKKGENTITYR LCIPKKNDIVELHGTIYLYNQKTKLVISDIDGTITKSDILGQLMPKLGTDWNHDGVANLY QNIQSMGYNIMYLTARAIGQADQTKDFIYNLQQKNAKLPKGPVILSPDSLFPAFKREVID RTPELFKITALKEIRNLFIGESPFYSGFGNKITDSTAYQAVNVDISRIFIIDTESNIHKF NTDEITTYVEMNKNIDVYFPLVVDGDYQCQNFWKIPINDNDDINYS >CAK69397 pep:novel supercontig:GCA_000165425.1:CT868068:22649:24814:-1 gene:GSPATT00037836001 transcript:CAK69397 MKSWESYSIHPPKQQTSFYSIIFSKPFVLQFRNPKTLHQAIFKLVKFSKKKLLPSGKGQL LKLKTDIAEFNQNDYVEIAKNDNVKIYIHTTKLNVADYALIQGSMFSAQYVDDQNDLDGD KLLSNNALEFYKKNFKNLNNQYDETKEFIIVSKQQTQVFMVNNLSSPNKGSVQKQNSFKF DDISDESGGHGSGVQVAKSIKVHMRRQVNSASDTSPKVQFVSDFQGSGFDSAVNYANELN EQKQREEDKNENSDVNENGHSNNNLSNNKQEASENQLSNKKQVEEEKQILIQNEQQLLQH KPSKTEQNQEEDSDEIDLVKVSIVFDEGEHCNHRDFNTIMNYALNFKKVDYKDEYRVPQA HKKGGTACQDEKIIDLARSVGKNMIKQVGQKLLSGNFNLTTVSFPIKAMIPKSALEKTFM QTILFPLYMNKAASLQKPLERMKLSIVALISNYIQANSFLKPLNPILGETFEGGYEDGTQ LYCEQISHHPPLSYFLVYGPKKSYKFYGYSLYEAKAGLNSLTILNHGKRTIQFPDQKIAC TFSSEHYSGTFFGTMKNESQGALSFVDEANNLNCIVQLGKVKNKPTDYFEGEIKQGKTVL SKLFGSYMGFADFDGIRYWDARVIKPFAMQILKSNLESDHTKRTDRICMIAGDINKAQVE KERLEQLQRNDAAQRKEFLKQKKKKDQK >CAK69398 pep:novel supercontig:GCA_000165425.1:CT868068:24931:25521:-1 gene:GSPATT00037837001 transcript:CAK69398 MQQNNRVIYELEDDEDIKQAPFPKSQAIKIDRKLGAVAYLKWGTLYNYFFSFDLRKGRIL CYKTQQSNSYNNYYSLNKGDFVRDEADIQKCEQSLRKKKNYQQANDQKSVIYVRTAKGRV FKLMIDENENYDKILGYLQICFSSKMQNVKAFYALLGIRTCENRRERIQVDYRSGCVFE >CAK69399 pep:novel supercontig:GCA_000165425.1:CT868068:25803:28263:-1 gene:GSPATT00037838001 transcript:CAK69399 MGCMNASGYISGPIEPFHQSNKSNFEAFLIEQLTLLGFQESQIVISKTIEIKFIPYLKEL QTSIQQDIHSPFNNNIYLIPVLTNQLREIYIKTSEFLIRFQDYKLNTLINKVGLKYQALL RVGDLFPKQLNLRFYNNQISKIQIDPNITIIVINQMDIGYSLLQFIRELNNTFLLVFRQD DNDNSNINLFIETNSFQSCSVIVGVDDKEDRYNTIINLYTKVIKKQKKNQNSDSHSRILT QFLNQEDQEQFWDDGTLIFNFLNSTQTHISNNTSIITLQNHKIQSINEFNIKDLQFQSQK SLQDKKHIDQHIYKSIKQIMIKELIQALQLKSSQFSQYFKLKLSTQKIYKDLKLQQKIYM PSQLKLQNANEIESKTQEILTIIKKASYDTLVIKQSSKFQLPSKVRIYQILQQIFKDQDV SQVQIIINSILHIQWSLGDEIVNSQFNIQNQNYQNYKYKWKMIKFYDNFKITNLAQPKKQ QIFFQAHQLLKCLTKKADLDIVNKIINQIMLDKWSVNEEYSPICDVYQISFINSTSVDET SQCQEKLDKIVVSQENQYLMIFLMDYQDPQKMSQLDQIISLPLQMDIKLIILSSSEFDND NFEQLLNKKKIEKRIYQKNIQFWFPISSQINEIHFSQYLEIFYNLNSKENVIIINNKNQL CHKITGFHLITMLQERNYSEDDKKYHYNNTGKNQQKTQNNNEQLKKARQQLKQHIEKEIK QQQQLSLQNEQDHSTNMVLDFQWAKEKTINLDGQQKVSVISKQYQKPIFNNNSGIQFEIF QQIISNKQYDFQ >CAK69400 pep:novel supercontig:GCA_000165425.1:CT868068:28333:29157:1 gene:GSPATT00037839001 transcript:CAK69400 MSGSMYDLSVATYSQEGRIYQVEYATKAVENSETVIGVKCKDGVILAGEKQKFSRLLEDN TNKRIYNIDTQTGMAICGKLPDGRNILQRAKAESSQYQDMYAIPVSANVLSDRVAQYVHA YTMYSGARPFGSATFIASYNTFDGYELFMIEPSGNKYAYHACTHGKGRAVCKSEFERRNF KDLTCQEALVYIAKMLILAHEEFKEKKYEYEMTWITDNNGRKHQSVPQDLINNAVQQAEQ LIEQDQMGD >CAK69401 pep:novel supercontig:GCA_000165425.1:CT868068:29194:37030:-1 gene:GSPATT00037840001 transcript:CAK69401 MKQSELVNKINTGYFDFKNLLFYLFFKQFERIGVLIYYLVMIVHFCTLQIKQQQSDNQSQ EDLVMYILPLSMHYVLQIAKAFYFDYQKMEYDKKTNNREVTRYRRLRKEINSGNKPQIVA VQTENQLISKEPQVVISKVEIQKKPHSVQSGRKKEKLQLYENIQWQQLEVGDLIYLKRNE VCPADILIIDVSDDIVGISTQQLDGQTQEDGRQPTQLTMLKNGKYKAQGFDYKKILTGQI QFDKDSNENIFGYIKLKKDPKGENFNRQNVVRREEQLKTCQYLIGLVLFVGQKCVCYDNL NMAEKKSFFVQKSRMFFALTLTISIIITFISWLVASFKLCRSEYEEAVFDSITLVFYMIQ YLKTTPIYFYNCIDLIEMGYAHFKYRRSKYHSQFDYRVKGGSNLGGMNSQNQPQQTINYQ QLNSVSIGDLVMTEYVCFDKTGTLTSGDFRVRSIIIEDMMYKFSQKKIQNGWQLYKDNFN QQLKQNPNFRIPEQEENSDEQIAIDQQQKLRNSSCKNTIQLTKSINKSQNIKQRSTFLHQ TQLREEDAQNNFNNKIQTFQPLQQSGLLSKSELDSANVKISDGNISELLGHNIVENLKPE DLDDTHCKITPELKGNKPKPFFNKSIVNQSLSIQQSPSSSPFEEIQLMQVEEKQSMYSDK QKKPRSDSDATKKDELRQIKKQSSFVHRNNKFQTQFFQFDEEQIEIAFYKDQEFYQKLVC GPSQMFYQEAILSLLLCQEVISKFTQQEDQFIHDSTSHLFDQELMRFANFFDFKFICTNE QSGKITYIIEILKEIHEFQILAINQYSHLHPRLGVLIQYPDKLAEQFQFSSENEQEDELI NSVLIVREEFNQIPAYVDIDKSSREYWDRIFLKLHMTGMRTVVYSKVYLRENEKAEFLDR YQELKFSQSQNDLIQLFHDIEKDMQIMHVLGIKEKIRPDAKVLIQQMRQADLSLFLLSGD DLNRVLPVAYKSKLLSTTDQLLYIDSDNAKLVIKNQLAQMAQQLQANDSNTINTNTNNMQ QSKALRLNTLTEKYPSKIAEQNQNQESQNKLMGKSQQMQSQIFPEIKAYSIILSGEQFKL IQEDNVLLGHLIFLLYFCNSLIAYKFNAQEKAEIIKIIQTQFQGNKKVLAVGDSFNDIQM FRKANMGIQLFHIPTSYQTQKMSTKLKMNQRFSMNKIMENPLKKVYAIKDVQKMPTSDIC INNFEDLCGLMFFESRIFAEVYDDLLVFSFYRSLLILYSLFLMYSTNCAQNYQLIEGGWL TVYQSLMLILQQIASLISKLNENYHADSKMYQEQFKINIKTFKKKKVISFVFKINGNALL DAMLLWLSYYTLYQNNAQIGIIRQMLLLLLIGSDIVKLCVSTVQKMVAWIILLFAYLVCW LSMSLLSVKFSFDENIETFEGLFDDPNSWFVFFFYIFAQLCLSSCIEVIQPLFCQSLIKS EKSYEEISQIQSKINGKKNTNKKKYHNLIKRIAVIAGKIFKRNNDDLDISIQEMVSGSNL KVNQDKVNPFTLKFMNKDTEMKFKRLSKILWLKLEKYKSIFTLLFLEIIAIILYVVNNLN QFQTTLYFMTYILGIALEIALLSLVCSNIYPKHFFFINLAIVSIRCLIKFICDVGSTDTH GIYEILITQNYIVIVLQSRVHLPIVNFILVFISMVGFLKKFSVIEFQFSQVTYFAVINGD IIAITTCILFMALQYKLIRLEREDFMLDVTLNQETSNMTNVLSILLPKFIRDRINAKGMF EIAENQGNVSIVFCDICGFDDLITIEKENIVKILDGLFRQFDQLCTQNGMQKIETVGKTY MAAGGLKAVDQGTKFNNQNCVKRAVQLSLEMMEHTKKVKYGQIGYLTIKIGVHYGRVIAG VIGHHKPQFSLIGDTVNTTSRVCSTGQDGQVTLSSEAYQEINMPDLQFTERKVAAKGKGE LITYQVSKDIKRNTDKKNIKKRGVILKNEESNQIVYSPQTSLMIQQQAQVYQTQTKQPSY FAQNSQKRQNSIIINKQDEVSLQNQQQDILQQFSSKQSIPAQQDSSQESNRQQEQVKQFR RKTNTRQTLLMRGLPQATSTLNQIKINSNQNIQLENEQDNSCQDKELQQSYLEKSNLLSD ISQKLRRELQIDQIDDYPDFDIEFDKIKGYLEDDSSNVISECLQLERKKLYLGFKDHQYQ LAIEFKEQVAEGRNIVLITIYLHFLQYLAKTFTLFIIWQYEIIAISQLIIVIRLVSALIL LMLALSQNKKLKHKFYNSNYFLGTYILLLFSILLEFIMLKSEELIELQASEGILLLCFFC SFQIIEITQKLIFLLVFCFTQILIVLIKFHSWPIAYYTIFQGILMFSVHYNMFYQDLNTF NNKRSLGIKKSQSENLVKYLLPNHILKQFLSNNQRVFLVEQLEDATLLFADIAGFTEYSS KVEPEQVVNMLRNLFTEFDKQCLTQNTYKLYTIGDCYVALGIIDIRQRNPAQEAKNVVEL GFGMIEIIRNVRQIIGFDGLDMRIGIHTGRVIAGILGTEIVRYDVYGADVMISNKMESNG EKGRVQVSEETKQLLESQYPESFNFIHNKLIEFKSINRQTHGYFVEKNDSGFEESHHQQS GRESFKI >CAK69402 pep:novel supercontig:GCA_000165425.1:CT868068:38844:39677:1 gene:GSPATT00037841001 transcript:CAK69402 MKFSFLKHNLAELISSAELLDSPSSIIKKFGPYLMTSLFNGKLQESQEVINYMEKNIDKS SFIRNFTYDPHAKNWRTNYRKSIESLACSIIVDNQNIIDKHYTTTLKQEQITLPEALPLA YTLVVQKVKKWNTTQEQEALERLTYLLNNQKQDQQKMNINLLYQKYFLSDLGQYYPDLLS YSQGKIFCTELPLDYFIGELKTEYNDFFIEDNSLVDLKIGDQVYVFMYPEEFENTTIKAF LLKFVRIKRLQELYSNVHVVWCYKGMKKKELLDAIGI >CAK69403 pep:novel supercontig:GCA_000165425.1:CT868068:40176:42173:-1 gene:GSPATT00037842001 transcript:CAK69403 MLNIVVVLSFACLAMTQELQDNQSNRTFLDYNSVEQSMNAFQITIDHEMQLTEVNEDRHT VFYFHKWSNFIVWGILADVGLLANRYGIFLKHRLNLHAIIMGLCVLPTMIADILMSLIWN PPQFHGKEHLAYWHAPIGFAFLGLMGLQSIGGLILKLCIENKKTQKTIKIQQLFHIYVGY FMYVIGKIQCGLGFYEVYNYFVDDGRWNLIGFWITYALIFFWRVLLEFVYQNGILFSKIF KQKEEQCQPKTIQDALFVQHVIQNDLQSIQRDYKDQMWFIFNNEIINLTGFVHPGGQYIW EKTKGREVSRFIYGSQGLEDGSCPAFKHSDKAIQMIKQNTIGRINNINFIIQNNSVLQYN TNLWKLITINQISEKVSYFGFDNEFRKVSSQLTNYNQFGRYYQLKAHTNSQLPIRQYTCI LSMAPENVQYRKQLVNLIETQLHNKECFDHFPLQPKYLNELPLIIKNYDSKNGLSQYVHK NQYEQFQINGPYGPSLSLPSKGKIVIICGGTGILPFLDLLDFLLQSAIYQIVEKKYGKKF NNIINPFECQFHTNLHITLVFAAANKSELIGSDIYFPLISLQKQLSQQCFKMILKVKEWT EDVCCVNERFNKVFFQKHIGFVSQYDKFYICGPPSMNKTIPPILKELGVQEQDLHFV >CAK69404 pep:novel supercontig:GCA_000165425.1:CT868068:43059:43823:1 gene:GSPATT00037843001 transcript:CAK69404 MKHLKLSIPCMNQSFLYKGNFLDINYEELVKFAESKLGKKLTPELYFVYVNVEGDNFVID NDHKLNNLKKLNSQVLKIILKEFERQVVLHPNTICSVCQQSPIQNIRHKCVVCEDVDLCQ HCSIEHSRLHPLIMISKPEQVIYLESFFKKHMKKVKNILNCSISKSKSTVIAIKDVIMKK KQDYFGNVKQQQDQQIDQGQFKKPPSPEEEDVLNKTLRLSEIFEGQPSTYEKFVKQNKEL TFEELVEKASEKFA >CAK69405 pep:novel supercontig:GCA_000165425.1:CT868068:43861:44777:-1 gene:GSPATT00037844001 transcript:CAK69405 MQGMVYINSLKFDYMQFNFYLSKCMPVNENGITILNGNQNRNPQLDDVITIMGEASALAQ NLRQLITSPTRFFQTDQKLYIKSEGKQCFGILKIGRKNLFHRDLNGAIIEIQPLCVLDFY VHESVQRRGIGKELFEEMLRQEMLRPEKLAYDRPSPKLLGFIKKYYNLSSYIPQNNNYII YSQYFDFMYTNVAQQQSAQQSYQQQQTPQLVNQYRIQQQQTPLKMEQLDQMMQQMSLESK QQYSQYQKSKAQPPWVTDQQQYQNMYQTTTGLMSAQIQRR >CAK69406 pep:novel supercontig:GCA_000165425.1:CT868068:45011:46150:1 gene:GSPATT00037845001 transcript:CAK69406 MKQKLQKIKKMKCSICLSDDCQLFTDKNCKCQFCFDCVLNWIEEKINLKQQVNSISCLNH KCKQIISLENFQLSITNKQIEEKYMDIMLKNYFQQTHDIRPCPNNKCSYYAFLPTNRCGK EFSCQQCNFNWLDKQYLSYDKLFLLKLKDIKSDIFTSVFEFISTQECPRCNSKILKNGGC SHMTCKKCQFYYCWSCNNQLNDQVHSEKLCLIRVLSYLSMILLNIAVTFYNLGWLQYIFM AIWYPLYGLGLSLLYNSYIILPITIIATLVYTYKQWQQVTAVRKQRFIKSQAITLIVQII ISILLAIATYYNIFNINFNQALNYHYYQFIIIMSGGSIVLVVLLFNNFFYQNWLKFLI >CAK69407 pep:novel supercontig:GCA_000165425.1:CT868068:46353:46915:1 gene:GSPATT00037846001 transcript:CAK69407 MNTIRTLRPSYGSSQEIQINTTCSSTPKLTKSQTFIQMKVKCASSSSKNSTSTKLNSPYQ PHQKSQFSVRTHSDSQDAHSILNECVHIFKEIQQSSMITQNEIVLLDVKQKMCNIENIVE EIRRYQNTKNLNQNKISQIMLQIIEEKRQKQQAIRSGENLIKQQANQIQQLNLRISLYE >CAK69408 pep:novel supercontig:GCA_000165425.1:CT868068:47422:48367:-1 gene:GSPATT00037847001 transcript:CAK69408 MNNKEEINLYIEERQDTLIWMQDEVQLEILEEVVTFILNDIKQKFQTDILTLRDEENQYY EIDQIVEFKRPHTYITIPQRHDVKTLAMNSLRQNRSIYHISNEKVLIEKYKGTRKVESID YTIAVIKKTNQKYLDTLKGIFDDLIIQPKKRNSVTQESQQPIQQQQNQPESPKFGQQPKE TASPSWISKRALNESIKKCELKQLTLEDVSKHNTSDSAWIVINSKVYDVTHYLNKHPGGK EQLMRGVGTDGTPLFMQHHPWVNAHYLLEHSQVGFLINHK >CAK69409 pep:novel supercontig:GCA_000165425.1:CT868068:48383:48928:1 gene:GSPATT00037848001 transcript:CAK69409 MGCTSAKINEPLSQRLRQKASEIFKKLDIKGNGTIDKEGTEQFWKSNFAKLNTEALFEAV DFDKSGDITEEEWMAFWEIVKENGYSEEEINLELDELMDGKAWVQFKRVDRFLKIDEKRR GSRVKSIVQEEKKKLSIIQPARLQKSKTMQSEGPDMQQENQQES >CAK69410 pep:novel supercontig:GCA_000165425.1:CT868068:49716:50120:-1 gene:GSPATT00037849001 transcript:CAK69410 MNIDEEIQKVYALLKNSAETLEDVLHKSFEIPVDNWSKEKPVLLFPDSGDALQDLLELMS RIDKNLDQVRVNIRQFELNKFQVIKEEEFDPRYSQPLIDYEIVQNAEQTKMNRMKSNVNR LQRQIEAYSQKYQQ >CAK69411 pep:novel supercontig:GCA_000165425.1:CT868068:50187:51355:1 gene:GSPATT00037850001 transcript:CAK69411 MEQSLFFLSAEMTAMKAFNEKTQSIYQNMQFLDKKLKMKVPKLSLAITTHRLIFTNHTNI DQLNVNDVKFVYFVIPLQHVKSIQSKTGFLSSKIDHINLETNLGEVAIFGDNLEGPLLLI KGSIEKKEWLQKQEQQQTSSVYCGMKSEIMKKEKQTQQTIDTVQSTFKGGFEELFKNATE LKEVSQYIKQNIKKGENNEIDEILSKIGQSRLDKSEDLFYEKLAEQVYKLCAELFPKMGG IISLLDVYYYFNKRRNSSLVSPEEILKAGLQFQKLNYQAKVERYDGISVIESTQYNSDKD YENTLGKHISYEIGLTAEQLAKKLGISVMICKIKLKNAINQGKVCVDNRIEGTRYFKNLI INI >CAK69412 pep:novel supercontig:GCA_000165425.1:CT868068:51380:52915:1 gene:GSPATT00037851001 transcript:CAK69412 MNLQQQERQRVTDNLSQISQLSTRAPTVINQQQESLPFISYTTENVDRQQKQQEENERKQ KLMAEARKLMVPTQESQIKSQLRQLGQPVTYFGESAADRRQRLRTLLTEHLMQYGRLPQF FKQQNNHNMGNLENEYFLYEGLEQLRAARIEIAKLSLHNAALRVEKLRLRRLTVDALQED QEVLEELQKVNHFAIQMSQFGDSSGISRSTISPNQKYLATAGGSGECKLWDIETASLKSS LLGHLTKCHSIAFHPQSLLTLSPQSFANVATASADLSIRLWTLDLDQPEVENLGILQKSI VLKGHEDRINKVIFHQDGKRLISMGFDKTWRLWDIETQTELMVQTGHSRGIYSGALHPDG SLLFTGDLGGFGMAWDLRIGKGILPFVGHVKGILASDFSMNGSHLATGGDDNFIRVWDIR RRNVIEKIPAHIKLVSDLKFQPIYSKFLISASYDGNIKFWNSRDWSLHSVYETSDTKHTS VCITNDIKTMISTGLDRKFVIWQ >CAK69413 pep:novel supercontig:GCA_000165425.1:CT868068:53469:55138:1 gene:GSPATT00037852001 transcript:CAK69413 MSKLSENLRIFLRELDQFGVVFKPSITSDSEYKTVLGGILSILLYGVSLGYFIYQFVIWK EGGMLPKITVSSEVIQNQQIYFEEPLFSIKMRKMNDTQIDPFNPESIVILPLIFQYVNGQ LSTTPGIPILNKTNDDNEFVTIYFENITLALSEDKTIESPELEYMILLIDCVPQLLANST EMKCANATTRKTFFNQSVNTLLFTTYVKQFNAQQEKINTFGNEVIVALDPTSVYFSTSTL KLQETTIDNGVLFESTYQRDFILDISTAGQQVNSKFFASVINSTTYFINNYQLNGIKSVQ YIQYPKINEVLADTGSIVSLLLLTSAFVIMTNQYFLESEAISQVINMFYPNLKYLKYSKN WYGKVVRVQLLGRNLNIDKFNSQYGRLKEVAQTKLTITNQIYEISRIQFILQSMIERSNF NFSHRIGIKETNFIEVTKEESENDNQEGEIFKDSKVIPSNDSKLEISQQQHQQRQQTIYP DHSSNTILPNEIQKVEIFQQFKSENQNLNDKLFNSKELNDLDFELLICKKDKTDAQQMPQ FFEINKVT >CAK69414 pep:novel supercontig:GCA_000165425.1:CT868068:55154:56811:1 gene:GSPATT00037853001 transcript:CAK69414 MIKLLQKLDQFGVAFQPSIKYSTSQYKTCWGGIMSILLYGLSLAYLIYIIIQWRTGQMLP KITTSSKLENNEHFELDEKFVEVQLRKFGYSKIDPFNPDALILQPLFYVFKNGIPLNKPI VPLYQITTKEDKFHVISFSNLSLSISEIRNEAYPEYEVMLTFGTCLESYLQEGQNCANET VIEEFKKQSTNALIVKYFAKEFNTQTEGLETIGREQIIPFQASKVYQTQTYIQITKTTVD VGFLFESTIDYNYINEYRVVGATLELEYYNGLFGYDVYMAFLYKIDNVQIEVSVAYTKIS EVLAEAGSIASSLLILSYVVIFLNQTQLGFEAINNVIQIYYPQFKNIKITKNIFGQIKKV EKNGKQLDLQAFKNQYQKLCHISEIKLTISNQIYEISRLQFLIQNLLPSKIIQQIHHQGI PFQFQYTDSNKEEQLNINKLDCSLNQDYQFKLNSILPNNASPHIIKSSEVQTISQNDSKL IQNTQKLQENNNPITQIKQFKDSDFNLLIHPEVEQDCNTYLNHPDTKILSDQ >CAK69415 pep:novel supercontig:GCA_000165425.1:CT868068:56839:58449:1 gene:GSPATT00037854001 transcript:CAK69415 MLKLLENLDQFGAAFQPSFKYWKYQHKTAVEGIMSIVLYGLSFAYLIYLLTQWSQGQTLP KVTTTQRSIKNYVYNINETYVQFETRKLSLSIIDPFDPNAIVLQPIVYIFQNGMIIDEPQ AVNYPIQRKEGFIVLNISKLSLQISEERSDETPEIEVMIALGQCQKLFLLEGYNGYFSQP ANSLLLRQFVQEFNTNGEVLENIGREQFISIQQNYTFQIQTFVRMQETTVDTGALFEQMQ QYNFIVDYRMSNSILDLNYFGQILTYNVYMTLYYKIDNIQQKQIIIYPKLSEVLAEAGSI ASTLLLISYLVVILNESQLYQDAINYVISLHFPDFAQVKIKKNIFGQIIQVERDGKQLDL NAFKTQYSKLKRISQIKLSISNQIYELSRIQIILQTIFSSQFMSQSHQKGIPLTTSYLEP YKEEQHSIVKLEMNINQENLLKQNQIIPASVDSLIEKKIQQEGFQLKQQPCQQDNSKGEV CQAFNLRDKLQLSYDVLLQESDFDLLTMPIQDSSDQDNKIK >CAK69416 pep:novel supercontig:GCA_000165425.1:CT868068:58466:60593:-1 gene:GSPATT00037855001 transcript:CAK69416 MLPSVTSMKEFETQPLVRKIMKSQFESPKKSSNSTHEIIHKSCKSSYQQYRKQRNQQETI QVQKLFFRNQPSSSRETPPSFLITRHFERRPEVKESTIQETKYQETIKFHKGILQQDQNQ RDNKLVKSYSRFRLQENVCQRQIITSQHSQRIKTTTDQDCRFFVQQNGMKQIVIVNQLYL NTNTLQYIFMYVPDHSVKDKHLFQEFVAVVKNRLKINSKKLYFYLKDGTPIYSHLDIPIN QSLLIYSTSTVYKEIFNPQLLYLENCCKQHQCEQSIRRTEPDYSHHVDGIINTLIYQNYQ AVDQEYRIETMPEEIEITQEFLTSKELKHSYAEIKRNSNFTPIINQMCRTNKTTKQKNQY QEYMSTDYIVKNPKIEKLEKIEGWYDQELKKIKFKEDDNQNEDLMAIHVEQVSSQRFIEN QIQQAAQLLEPLLKKFLHRKADICADEAPEVNFNNDQKEQIRNSTNFIEKNTQELITKKG SLHKLLNINKELFIQGIPKILKDTNFSRYELHNTYILFCALQQITSQRYRYYNVEDGVDY DTYRTGIYQIFMQSEFLAQEIFNKIDFNYSGFLNWNEFLKLMVSIRAKTLIEKLDLFIQI SDQDGNGQLCWDEIYQLSKVCLAKYIQNTDDFLDMLCEYYTRLIFKVVGKEPHEEIPFSA IKQAILDQTEDSDLLCMFCGADI >CAK69417 pep:novel supercontig:GCA_000165425.1:CT868068:61102:62701:-1 gene:GSPATT00037856001 transcript:CAK69417 MKQQNDVMNLLDILLDQGEMHQGFIQQYKPLKILGKGAFSTVIEALNMSNKLVAIKIIDK VHFTSQQIEILRQEAQLLIKLNHANIVRVSFSKETKNKLFITMDLINGVTLSQYQRNQLD NETVVCITKQILEAIQYLHQQDIIHRDIKPENIMIDPENLHVTLIDFGLSAQLAHIDGSG LMSENCGTILYMAPEQIQKKRYNRSIDIWALGIVVFNLLNKGKHPFFQQMDDISSYTEKI TWMKWNWNSEISQKAMSFLLKTIAYHPEDRLNVDQCLEHPWITGKNDQPLTFIEILKAHT ISSKIKILIKALSLLQYLKKNSPILTPPIRNSSKNPTTPVEKQQFRLSRNPRTKSKNASN SGEKAQKLSNADSASIGSNKVSQFKVSIKEKRRERNSCDQLKKITQTQKKEQLLLPTLHR SLDRTIKKYQANLPITDNSLSQSKLLENSIFLRRKPQFNKDIYKPLNIPQSKNANQISTN LNQPQQELSTTRRIRSSKPPLQKRSNVE >CAK69418 pep:novel supercontig:GCA_000165425.1:CT868068:63015:65834:1 gene:GSPATT00037857001 transcript:CAK69418 MLQEVQVFFISPLSSSNRINDESLQSYKYIQYMGYNKNASILCSLIHYAFNKQISRILES ILQLINTLKNQTIYTEFVKLIKQTKFEKNHVQKFFQNLYLIDQNNEIAGKLLFDLCKSKD WLDGSQIAYNQIAKEFQLRISIYNCNEVYGIQFKETIDLMVDANKKFYFLISEPIFKNIE KTQCPQCKIKSEFILLTCNHKHCYKCLRKKSQIENIKCHCGKICYKKNVLHCLQNFDKVK EYNEMSKVLLKYYESANSSVALTDQQLSLDRSRRYHANQDIEQKIAPTVEPLYECSICSK KSQSQLLVLEDCKHQFCYYCAQQYKLQKECPLQNCIKKIEQNSQQTCLNKNNSKEIDYPI INQNIKESIQNSSNPKVAEQCSRCSNTSKYKLFEVKKCNHKFCKSCLSNIEMKYELAFCL KPKCQSTFTKQEYKMYFKSVQPLSNSEVPQMQRVIPPQNQYSSFDCESCKYKRSEDQKYI LNCGHSICNACIILNVQFKTTCCYLAALDSEYQQFRKNMTTNCKGCQLPFQIKELFQSNC KHEFCLSCCQKIYLERSQRCLEKRCGKLIFFVDDLYNFIWSQKIEESKDISNKEVQKKTE ELEEQDKDQNLEKQDNKQIKEDSKQIDNSLHRSTQCQKTKSIEEQESPTLQKSVINLKEY KINEQKKEEINLPSTKLQEIVKPNGQNLVQNGDPRKQEVYQFEDDISGSEENYEEEIIIY QQIEEIKSAKERENEFCKGNCTNCNSEFSPFNKKQLIDCKLHQIGACCILNKFIRCPQCE QTPSKKIIIHQKLILPCNPVEEESIFESTILKPSLGSYYPQSFQQTYKGYSDQLQRLERQ RNNQNSAIKRNLTTDTVNKRIQDNQQVLEDKSKYKNEQLIQNELTLLKSSPPYLQQPYHP YRYERLQYGGYDQRNRLELYSRDFHLNSKITTGYSGRLY >CAK69419 pep:novel supercontig:GCA_000165425.1:CT868068:65937:68552:1 gene:GSPATT00037858001 transcript:CAK69419 MYQITPISDTIKSRYPQLKDYYLCQFLGENQQSAIICSILHYLLNRRLSRSLEYFRSPKI NYKQLHAQEIAQFLQESLEMENPIQNFFEKLSTYDQNNLMTEVLLQDFCFSYQLIYKGQI CLNYLADFLDLNINIIPQLNSLGRGNSNLIIIREQEEYYFIIPEPQFQLETQTICFSCSR KVYVFLNLQCDHQICLNCLFKQNQQSYTDNFQCRCGQVIQKQQVEQFQNEISAIAKTNRY NLVLEQFYHQCSSTLVQRKSQIRTSFAKQLNESISEQLTATEIQISLLDETNRVLEQLDE HCCNCHGESNKPYFYLNNCSHKFCFECIKKEFQNDCCGGCYCMVCPNKVSRKEYELYLQM INVAKDNIQEKPPEKEKTENKCQNCNNKFQYQLFAIENCKHSFCDTCLEQFFAVNYFQVY YCTVPNCPGTYNKKDYEKFKQEFRKQLQISYSELENSCHQISCDFNLLNNCKQCLKQLCV SQSEIKDQICSNCSNPTLKSKNLIENDSTKITSDDQKEIDLNWSLLIDKCQKCQKESQYQ LFQIPLCNHKFCNSCIQGSIENKQKDQRCLNKNCKSLFTRGSYQNYYNNLLQDKEIIQNN QQILNQQLITKSETFKQQPKINNLVNLNFNSNVTVQSSTTSTTDQQLCSFCNILSDLDQV FLIKCGHQICQRCSLRLKGQNFRCSKCLTLFDNLRFKKFRLSCKYKCDNCKKTFPFDQIS PNQKCLHSLCWQCLQIIYTNKETQYCCVKNCKKSFTVEKGSKNIQIFPQQDVDSSKEAQQ ILNLKFVTSQKIIQSNSQAIAKIDQSLSILLEQKKQKQEIEQPFKIQSCMICNQDFDDYN LPVVFSCNLHIIGICCILNNYQLCYLCDRGL >CAK69420 pep:novel supercontig:GCA_000165425.1:CT868068:68905:71430:1 gene:GSPATT00037859001 transcript:CAK69420 MITKQINAVILVILQELCKHCKQTKQNPRDVISYFIQPDKKGMDVFGFMIMIKKILPFAE EQMIEKTFSYIQQRFGCKLLTSQIFEELMIRVESQMTNIELSQQIRFRTKQQIESQQQSN IQMNNASAIQTQHEKKPIFDQNFKPSQMTNIVSQQVPKQNVSNQVAQNCNRSEIELIQTF STKLKNANINFIDVFNKFDSNKDNMMNQLEFQKMIQIVIKDIKEDESRILARWVFKDQNK ELTAFQFKKIFEFQEQIIPQANIMESNYYENPANQKLLQSNYQFQECFVSDYNLVAMKEF LSKYQQLKSQNQLYTDPVFPPNQVSLGSKFQQFQWKRIPQFISNPKFFVKENIVNRFGIG KWITPDDLQQGQLGDCYFLASISSLGNRRPDLLLETFVTRTFNPQGLYGVKLCIDGEWKV IGIDDFIPTHYNKPAFTRGKDSEIWVMVIEKAWAKVFGSYENIEAGFPSEVLRTLTGAPT RTIFTSDENFVEDLESCIKNRCIMVCSSKNENKQQYKLMGLIAGHAYTVLKIKDLNSNTK LIKLRNPWGKEEWKGDWSNQSQLWTPEQKAQFKITNANDGVFYMSITDFRKYFDDLSVCY VKEGYQYQSTTLQSSNRKSEYFNIQIQKPGCYYFTMNQKNVRFENSNQYSPAKILLFKGD SLLDGNFKSDRECWISKDLDQGQYTLVIKAQWQFSKMNKYTWSCYGPGPIHSDKINKIPN LLNSAFLKLARVSVKKKGYQKHPDIKQAYEFHLKYGYGFYYVENQSNKSFESKVRFSQMK GLKLRKPYRGYSVEINLQQNQEFFALLSVSKSGYSFGIEEQYIVK >CAK69421 pep:novel supercontig:GCA_000165425.1:CT868068:71514:74154:1 gene:GSPATT00037860001 transcript:CAK69421 MIKSLKCVKCLQETKESASYKAKCGHLYCNKCIDQITAEGGSNCSEKQCSYFLNISDLMN RQFQKISGSNQMVFGKQITMFQGDAKKMASPSQQNKATPIKQNVQNGNTPIKQQKNTQPR RSFQERSPVAIQTKSKSQMQKLTDRVLQQQNSAKVIQPKQQQTQQRQQQQQQQQQQQQQQ QQQQQQQQNRQQQNQQIIKQQQIQQTDQIQPQKQEIKVNIEDRMIESIQYKCDYCNSNTS GLFHNEICDHRLCYDCIQKYYEEFCVCFVKDCGWQINQEQLNQFMLTYVTFQLNEVEEIK LKRQQSSSDQQDILISKFQFEEVTLQHMQISNMNEFIKTFDELKIKEKNYVDLRFGPNEK SVGSNYTATWQRLNVIFNGDFQFFAKSSDNKRFGLGKYIGPQDIIQGQLGNCYLLASISA LGNRRPDLLLDVFITRAINEQGIYCIRLCIDGIWKAIYVDDYFPVYPNLSPIFTKAKNNA IWVMVLEKAWAKLFGSYQNSASGSMQEVLRALTGAPTEVIWTQSPDFIAQLRKCLANRVI MVAATQSSEVQPITQGLVPNHAYSVLKIKQINHPKRGQVELLKLRNPWGKKEWTGDWGQE SPLWTPQLRQELKLDSEDSGVFYMDIGSFMQQFRDIHICHVQQNYQYSATQSKSNKKKAV YYSFKITKDGDYYFTINQRNQRWAGNPKYSNAKLLICKKEENNQYTYIAGKLSQFGEVWC KCTLTKGEYVVFAKVIWEHHQEFFFVLSSYGMEKLEFKQIRKIPDMLPSVFIKKGFVQQP KRSYDTMGQPKIQSCYNLDRLDGWGYYFIDNQSSVKLISKISFHKFYGLRFCKPHRGVTL NIELPPGKQFIAVIKVLSGYEIEFTQKVQFQQ >CAK69422 pep:novel supercontig:GCA_000165425.1:CT868068:74176:75118:-1 gene:GSPATT00037861001 transcript:CAK69422 MGNNICGIEEDKKQSLQPESKVITTRTINQTTIQIVQADIVEELVDVVVNSSHEPGWSYI SKRDKNNKTNELLQEMKIGELIVTNTENVNSVQIFHVRLPFYQDSKDLLPIFNVYKECLQ QKGHKTISFTEQNTPNFLIPKQFHAEVLIRAILSAIQEGDIEFELIKFVSLDQLTLKYFA YELMKQLDELKIPELFKLRYQQFMNFLQNGEDAQQDVYPLSTGITTEIEDLVEQQ >CAK69423 pep:novel supercontig:GCA_000165425.1:CT868068:75310:76587:-1 gene:GSPATT00037862001 transcript:CAK69423 MKLEQNEEKFDHMQNLKQSSLYRNFSGLQLEIKKLYLKLFEQNIQESVQQQNQPQIEVSQ SYIEYINSEYQTYLQDLKQQDIQISQYQIEQTFFQNQDQINPYSNGKNYQNDETKSQNKV IKFINEGNLDLYQQSNLFKETVQTDIIKHNDKVIMRLKMFWMITITDEQEIVQERFPYYL KFKNENNNYKYNQNISDPEFRILSTYNMLLNTNIIDGYMNYLQIQDELRYFSLPSRERKN YQRLIIFPSSLIANCTLKQFEIQDQEHVKRKFICLFLEHIKQFSAIQYKFWLIYKKIGIV INSSNFHWQFLEVKVDERLLILYDSMFNSLSYKVELFFNTVFQTLTKDQYFKFEVLNKKD FPKQKDGSSCGYYTCIAANYLSQFQNNSFLQYKFLQKMRQELLDLFFPDKKIEKNDFQMK QSNQC >CAK69424 pep:novel supercontig:GCA_000165425.1:CT868068:76634:78055:-1 gene:GSPATT00037863001 transcript:CAK69424 MMQQHRKLIKTVEVTDSTYVRVPVIAQSEEPFNTKCKPIILEQPQQSVFVNYVKEKLDKL KYYLQPPHKIYFIKHGGHNNENDKCPLNNQFQQNQNVSNKRTIHLISKDTQNILPLVNPS GNINQLHFQEKLNLKAFQKGVQKHCQTYKHGDTQFDQLKPKLEYERKEQMKRESKPKLKC KCQQCDIVLDQSNENEIVMQLKQFQLQTKVGNEMVSRKTIHYEKDLFKLESLKEEGRIKK FEFQETFPKIKLNQNIGKWEQKMFEKTGQYWTSSMIDGYGNYLSGLDERYYFSLSSAERE QYPRLFIFTSDFLTNCNLESPPLKEKWLLLILEKLELFKTIQYQFWLIYQKIAFIVNKNN SHWYMLTLDLKERFMVIYDSLSGSSQHYHKVRALLSYVFYELQKNQLKNIKEDQYEFKMY FKPKFQSQNDSYSCGYHTCIALEYFSNTHRVKDFKEKKDIKSDLKDIFYYEQL >CAK69425 pep:novel supercontig:GCA_000165425.1:CT868068:78171:78852:-1 gene:GSPATT00037864001 transcript:CAK69425 MSMEIDELAETIMDCVMNSHIISEEGRSYIEQHIPTKPPENDVQSARFDLYEKTGKERIQ KVALVFKTHQEARRWYSQFKQHATTHQKVHKKAALLDNVLFKYGDYGKSLFQYAEYICEF IDQQPLCVFQHMIPDHDLRLSETHPYYFKIHWAFRGFMTPQTSSMVKAERNPSLMNKLKD EEIPRKIVPIDTYMRSLMDKNNSSDDDDDQ >CAK69426 pep:novel supercontig:GCA_000165425.1:CT868068:79037:81513:-1 gene:GSPATT00037865001 transcript:CAK69426 MKSTELNAKQNNEFKSLMRLLEAKDYKKGLKNSEKLLEQVPDNQEVVSLKAIFMYYTEER EAGLALAYQAVLKNLASDFCWHIYGLIQKAEKNYIQAVKCFIQAINKGEENLQLIRDTAN LSIHIRDFEGNNWLRQKLLNSKPGMVVNWVGFILSQHLIGNYAGAFNTIKLTEDVIKKDI QNPIKKVEINEFKLYQIQLAIDAKDFQRAKQNLLDFKNDITDLVSFYELEYEYFIKIGDN TSAIQSVKHLLDLQPQNWKYYQMLQKADPQVDLSIYNNTLVQGRLLAQKEGDSFLNSFLQ FIDPFFQKSLPSLFREIKHLYTDPNKIEIMKKAFETYLDKSQIEKLWALMLLSQHSYQIK DYNQSLELINEAIEHTATLPELYLIKAKTLKKLQKFKEAYESADRARQLDLADRYLNNQT IKYASLANMIVVSQDLLSLFLKDGSDPYELQMIWFELNIGRTLLRLNYLGPALQQFNLIF KHFQEMCDDQLEFYQYSIRRYTLRSLLQMIDAIDKRHDAKYFIQSAGLMIEGLERLRVRI QEQRKIEQKKLTPKEKKLLAKQQQKEQEEKLLKEQFSIEGHIFSRDIQKRFDLSGEQLLD QLKTQEDIIKMQNRFAQVLIHTNFNNKEVNFQAFKQMVGLYINQQKPLLCAKLLTKLRNN ETEQNRIVNHKYQLMLIQFLNKYQGTLLPYIKEYQQDLIQFDQQFWNDVQIKTQLDQIIK RHCEAIIKEKPFEENLESEDLQFLQEYPQAKVEYMNLKQTTHPFYKYDPNIEWQNHQIKE YQEKQQTQPL >CAK69427 pep:novel supercontig:GCA_000165425.1:CT868068:81655:82565:1 gene:GSPATT00037866001 transcript:CAK69427 MFRDCQSKLKRVMVDYIVVTQDELYEEMSQLKTRVLGLLKDYQSSLQQKENIRQLNQQIR KDVTNISNDYSQIIEKMNQSVDCTFISIESITPFQKNEKEMNKMFNLVEEFLEKFQGKKA NHNLYTSFISDKMERSGISMADTSIIDVNCRISIIKDQIASNLSDKKYSQLVLMETVDAL QEALNSQKIEKIRSIIKRIEHYMAQCQHDASDLYQMLQKAVESCKEKETELQNQSIVEDK DTKRRFFDLALEIKSELPTNHPGRKVLVSLLFEKAQNIDESEWHDWILDQLSQQN >CAK69428 pep:novel supercontig:GCA_000165425.1:CT868068:82588:83576:-1 gene:GSPATT00037867001 transcript:CAK69428 MSILNCLDIAQQIAKCILQKRYKHKVDMNFNLECNHIRKRWNPNGENNLAIQFYLKKQLK PYLVEEWNILCNLQQINENSQQFFEKCIELTQLTPLQQKQDNEMDHIIHLNENKSTDWID PNLIATLQIQLSNKMTVNVAYLQNFDPIDQMEKESVNEFKSFRRDRFLSDDLHTQNDTQI RKTSFHDLDGLRKYKKNQAIITQAIHRKNDSLSSNSSKNKSTQLLTIINKKDDVEILSSA EDAQKIIDQDENGDYEIQMIIDEQPMDKGQTVIIKSISQMHPVTDLILKIREKKHHYSQQ LNETLSFIKSYT >CAK69429 pep:novel supercontig:GCA_000165425.1:CT868068:83634:84571:-1 gene:GSPATT00037868001 transcript:CAK69429 MLPHSRWHSLIYGVFEKLEMDEYTNIFFIYFTKIVRYFLVRFLNLIETCIDLVRVEELTE LEKQVDRIMEKILNKKQSIEVTKSKIRFRNSEFKKPENKSKKLSVQASVKEKGRFVDYIL SYNSSNSELQFICPQDNVCVHQRTLISIDINQDKCLKVMYTVNDGELDLMKLKKSEDIDL WLKPVIDILIEKISQQE >CAK69430 pep:novel supercontig:GCA_000165425.1:CT868068:84831:86004:-1 gene:GSPATT00037869001 transcript:CAK69430 MSAYIHIPFCLKRCFYCAFPVHIVGQTEVNQNHYKQSLEKQYVEYLIKDIQKHYKGEPLK TIYFGGGTPSLLSLESLQLILNCFKKQSNAEITIEADPKTFNEDKLQGFKTLGLNRLSVG VQSLQDETLKRLNRSHLRKDIDDALGLIYKVYGSFDNCSFDFLYNLPSEVGQSSLEDLKW FIEQYQPGHISAYSLSIEEESYFYKNLNYREGIHPLPNNEIQTCNYTKVHQTLQQYDHYE ISNFAKSEKHISQHNKNYWLGDSNFYGFGMGATSLSNLIRVTRPKTLKQYYRFVDSGEGC LVEDESTQFEKQRILLMSRLRTKWGIERSLLSQSLIEAMKRFEEYLIIGEDNIKLKIPEG YLVCDEIVGYLQNIK >CAK69431 pep:novel supercontig:GCA_000165425.1:CT868068:86740:87305:1 gene:GSPATT00037870001 transcript:CAK69431 MFEQEYYKRIIDRQTTPNRCNINTSKPWFPQLKHRLALKDYDYKFFVLPPNKMVSLLKKQ KIIVPSDEQIREFLRNHSLKHIVQYDAGDFKNVEKICASNSPSPLMVNKKNRKLFMQQNP NTNYKLIESKSQTTQKQFDRHSSVQHSRMRELKSQQNRNQTKQRKHGDGSKRSKKEIEDS >CAK69432 pep:novel supercontig:GCA_000165425.1:CT868068:87454:87915:1 gene:GSPATT00037871001 transcript:CAK69432 MQKRIFSKKAFSCQFSKEFIFASKQNRVEQMQSFLITHPYLVFQYDYYNMTALHWACKLG YLDMVRMLLHYHADFDAIDLMNRTPLSIAIAENHQEMVKLLLTHGAYPWSTNLTDLNGPL QLNAEMKKIVGQARKIQLFTKWSRLKQPTLCIY >CAK69433 pep:novel supercontig:GCA_000165425.1:CT868068:87927:89794:-1 gene:GSPATT00037872001 transcript:CAK69433 MKSTQMTKEQISEEYTLYKRYVQHLQSTLLQDEGQIQREIKVNVIRTEAYEIDIKVKRNR DNLPIRTNYYKSMPGNIRLQHPTPVLLERLTPLSIPTKLTEDIEEHVQNLEQGLAKSIDD SKHYLRLEHKLFIDFFFEKFKEKFTSLQVVFQLQQLAQAIGCSADQVQSYYLAKQAKKYD QFVNFNVKYQFVRFNRYFCPICNLYLCSLHYHSKNQRLYIEKEPEEEPQDQQKDDPTHHD NFYETEFKPNSLLLREIFGRYKLNNKTEMEQRCRDITQCGKYGVDQTKMKKFQQKSIEQM LEFGFSNCCFMARVLTHRIYPVTCQQINYLIKTLKSQSKKHMTNGKRKQQQASKKQNLNN YAQQYIPCFHEGECGSNSCTCVTCHKYCCCKGQCQQKSKSCDCRVCGYDEKKQKHSCPCY ISGYECDPQLCKCQSCSNKNLLMQIRKSLVLGKSLICNGLGLFAAQNFKVCDFVGEYTGN YILLDDESMAIEQCDWITNNHYLFEVDDKWQVDGTYYSNCLRYINHATKKSDLANCQAQI LFSEGRWRIAMFTTKNISIGEELFFDYGDKFLTKWLTDFNKLCDDYYKK >CAK69434 pep:novel supercontig:GCA_000165425.1:CT868068:89895:91465:-1 gene:GSPATT00037873001 transcript:CAK69434 MPPKRNVQNNQNIQNFFSNGNKKERKEIKEKQKQFIKCPLIGSVGFQLRRVLQKYRNEIM KEFNFGQLMQQLSKIQNLDSIGNMLSSILYLNITKMSDIWSDVYTPDHIDDLISQENTQF LKHWLKNSFSMLAQDQVDQSESWYSQSNSMNNQNWNYQMLNIHGASGKMSTILAIARAYK IDVLLTYNYTEKREFEEMFASQHIKFTQEQGDAEFGNKKKIIVHRGTLPKFINSKMLQIQ RVPFIWITDCQQNHELFDNFELVQYSHDEIVKYIYIILLIEQTYKGQLNLINVELKKKVI YENIKEQGGFFVNFAIQKPTLKIQEIEINFHYSDIFILTLFMKGNMRNILNWLQFHQDDQ NVHQQIADLRLSNAQLPYMLKNQLPLFKTVENISQLNEQAWSWYEEQCVNLVDDMVLRRQ KLFIESYGRRIINSKNHLFLINQVLKINAFSVQTLAQNTRLRRLNKEPQDFYKPLQQLFE QDEQYEMFKQFDKFSEFLKS >CAK69435 pep:novel supercontig:GCA_000165425.1:CT868068:92513:92839:-1 gene:GSPATT00037874001 transcript:CAK69435 MDYINFLKEDNAVDQVLVQFNEQIDSTFQQQNLQEQVENISRDLSKPLIIDEKVYYTVHQ APWHLVTQKGGCCIIKKEGVLVIASYDETKDQNPSRFRRRMSLLDKII >CAK69436 pep:novel supercontig:GCA_000165425.1:CT868068:92839:93689:-1 gene:GSPATT00037875001 transcript:CAK69436 MQIQNQIGEGLFGHIHKCLTDDGNTCAIKIYKAECPRKLREKEIKILQSITHPNIQKIVK SDGEYKWFITELLNQDLYSVISKRGTLQKSTIKQILLQLSNALSYLHQLDYVHRDIKLEN VMMTQEPNVILIDFGLSVYVDSNKQYSRHCGTSTYMAPELHYEDKLIIGEFLKKSDVFAL GVLIFTLCYGCPPFTIAKSTECKFWQTIEQKKWQAFWNYFDKKIIRSDEHFRNLIQNMLE PDHKSRFTIEQVKQHQWLNDEGNLN >CAK69437 pep:novel supercontig:GCA_000165425.1:CT868068:93763:94590:-1 gene:GSPATT00037876001 transcript:CAK69437 MKISNQIIGTGSTSTVYVAEIENLNYAIKIYKNNYSLKMINKEIALLKQVNHPNIIKIID SNVEKKFIITELLNDMDLFDIIAKDQKPLNLNSMKHFSQKLASVVQYLHSISVAHRDIKL ENILIDEDFNLKLCDFGFAEIMDTNFVQKCQGTLEYLAPEIKEIGLINAKELAKTDVFSL GVCIFILAFAHPPYKVNVKSCPYWNLLQSGQWQTYWQIMDRRNKYDQSFYSLMQGMLEFN PQNRFTIEEVLHHPFLIGDWQQEFETDIRVRLKSK >CAK69438 pep:novel supercontig:GCA_000165425.1:CT868068:95361:96167:1 gene:GSPATT00037877001 transcript:CAK69438 MNIVILCKSILEVKIVDPNQKIYDYMCKIFERPKNNIIVQISPTEKIDQEEYFSKFMNEN LDKIAFYVLDSNGCSIQYTNQPYKKQTSITQYGYCSQETDEISLSRTLTRNISSQNFDIG TVKVIQTNVCTFCTTQIEEDDIQTSCQHFYHAKCFKLIIENQLLQKSPVLNCMCKIQLNL SSLFRLDDKFILNQLSALEKNIQKKFGNEFRKCKNVKSCKFFYLHLPFIQDQHEYCPECL WRINK >CAK69439 pep:novel supercontig:GCA_000165425.1:CT868068:96229:96607:1 gene:GSPATT00037878001 transcript:CAK69439 MGDFQGEYIQQFLCNINLRKKIKELLKEKTEILQKLEQLEKEGENQSFEERKKRLRSLAS QIERNFQCPLSKCGKKYGSEGSLNQHIKLKHPELVNKA >CAK69440 pep:novel supercontig:GCA_000165425.1:CT868068:97456:100205:1 gene:GSPATT00037879001 transcript:CAK69440 MSLSQAGRQAINPITGESRFQPQEYRRSQEVKNEPTRTAESRRNFVNTSVPVENQEQSHF QMDRVAQLQDQVQMLEKQLTQEVKRNSKMLVELEREVRTRGQIGDPNQMFEDLQNKMYSL ETKIIAQDRQKSELGSKVAIQEQNIKELLYFLKNTQNKDTNEVLQMRGMLQEKITEESSH LQKEREKTKALFMEMVRLGELQEKLQESLSTSHQHFEQRINGMESKIYNGEKALIQVAQR GDSGMNFINETNERVKKRVMALEANLLALGKDQLKDHEQINRVDMANQRIQEGVQGNDYT QRLDTRVTEVINRIVMEHEQRVKTMEEMKQSWSLKDQINMERLQYEREEVVQKLGSLEQF QRIDSQKKDEAIRSLQTIIETQVNQILVNIQNEEAQRYSHEVQLRGDLLKIQENIKSENE LFKTHQANITEKITDMIRIEVQTRLSTDTELKNLTSAIATDIVSDLNSLKDQIEMANRAL QTQVKQLEKDQAEKAERLSLYIDDEINKAIKTSAQKYEKVKVIFSKFGESFKQHITAFEG LKQDLNSRQTIIEQNIDMIRQDFQTVIEDQQSHLLERISIEKNQILEAVNATVQFLEDKV NKLDEDCSNKFRIFREVIEENQQVVVEKTKLILEQNESYQQTQMKGLKLMAESIQESQQQ MIKEDHQNQIKEVNQAVENTRQDVQQTNTNIKEMNEIQIQHKSILDENQTDIEILKKENE TIMIKQQDIDKNVELLNAEQKKIHNSFQIITQSVESVNEKTLTIGERVDALNTNFQQLQE ELRQIDQKNSKLEGDLEDSNLKVSNEIKSMETRLEDSQKTISAIQDAARQNDDLDKKQNL EIDELQSSVKNLQDRLKQLAQLPA >CAK69441 pep:novel supercontig:GCA_000165425.1:CT868068:100293:101029:1 gene:GSPATT00037880001 transcript:CAK69441 MAEEQVATVKFANQPKLFGKWDYDEVQVTDQCFKDYIAVQTSKSRVFVPHTAGRYQRKKF RKAQCPIVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGGA REDFTKVGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAAA NEDGQKSYTIKKRDELEKVAKTNR >CAK69442 pep:novel supercontig:GCA_000165425.1:CT868068:101168:102415:1 gene:GSPATT00037881001 transcript:CAK69442 MALNPPITQTGVPLRVDQEFFILYRNEMEGEFKIENMGKFSAKGKVYVTTCRLVFVSDKF QKESFKSFDIPLAYLSAEKFQQPIFGSNYLEGNVDPLYNLLPGKTHFKLWFKAGGCDKFL RILVNVLTQIRKQRGSGQRVPDARMMENFAISQSQAFIDPNDPSVIYVQQPHLNQQSYGN MAWGVPQNPTQVSPIGGPGYGMPQQQYPPPPMNQQYQQPPPPPQGYQQYQQSPQQNYQNN QQIGIPVNYNYGQQQQPQTTYNQPQVQQPYQQNNQPPNPYVQQNPPPIYNQPNPYAQQNP TYNQPSNPYTQHPQQQQQQPPQTYETQPQQQVYQNQVPQIQQNYQYQQEQNNNQQAPIQN NDQAPQNQPQQDQIRNQPNTAYYFGFWGPQLQSNQNGIL >CAK69443 pep:novel supercontig:GCA_000165425.1:CT868068:102430:103938:-1 gene:GSPATT00037882001 transcript:CAK69443 MINLSDREKELIEEICAKNNKSNKKSNQDQGSQKLVSQSSTKILVSKLERKLNDTLKEVD EQNSGQIDFQQLGRLFTLLDIFQAISGTRSQQSYAKVTPNLQGRQQEVDLHEKAFAIISN DEEKVDIQAAFCLFRIILDPNQLEPSKCAILIKEYLEKISDKEFDFEAIHKFCSEFQAYQ KTRLSGAKIGYLKASLAQNLIETYEKTLTFKPTINPISEALQQQSGKKENQESSSQLSSG LAESRVNELYKKKELSNQKIEFLKQEKLMKDMQECTFKPQIISKKELPNVVDRLYQVKNR QEIEERAKIAEQEKEESEYSKCSFHPQINYSMPESQSTGIGGYWEAIERMRRANDRKHLK DLKLNHKPSGENYEKVKNQPFSPPEMLQRGQLKKELPILYIDIKLGPRKVGRLALRRNDD VEEVVKSFCKVWGVGQQDYELLVNQVRENLQSVIQETDESQI >CAK69444 pep:novel supercontig:GCA_000165425.1:CT868068:104021:106223:-1 gene:GSPATT00037883001 transcript:CAK69444 MGDKKIKIDEYKEIRLGGFQARSHNWWEEANPEESEEEEQQGQEWRYLEHHGVLFPPFYK RHNVGLIYNGKLITLTEEQEELCTYWAQSIGSPYENKEIYRKNFESLMQTKFEGFQLDKA DFTKIREHLEQQRLLRLNKSDEQKKQEKLERDQRELFYGYALVDGCLERVGNYTLEQPTL FKGRGEHPKAGLLKARIFPEELTVNLSTQAPVPQCKLPGHSWGKIVHRNDVTWLFSYVDS SIRKDNHKYVQFAATSRFKQMNDKRKYEKARRLKNQIDQIRENYTKKLQSDSIKERQLGT ATYLIDKLALRVGNDKDEDEADTVGCCSLRVEHIKLXXXXXXXXXXXXXXXXXXXXXXSV AFCKCFQKLQEINSFGLWLQILIGNFDYDITVPFSIMHSLQFNNNNPYHMNQKYQKFEIV NQQEKIDNESFKCFTINNDSTILIGCTWTVIYIYSLQDDGQMINTQIIQNQELLIHQVYF MKSGKTFITMGCCLSFWKFNEEKVWAKGKVISLQERIQFLIFNRDEKKMIISFERSLQFW QKEQSTQKRIIYFELELEEKATSMSLSESEKELVIGMQSLILLAKCQNGQKWQISYKVRT SIYSIWKMVVFLDQNMFVSSPSTSQKLMQYEWNHWRSKLIRITEIYLEKKPDISRENSIS FQNEGKLLFHKFNKTIRIFSYHDKELQLQQSIAFAGCENSFYVSKDCKYLIVRNGRGKGF STWFR >CAK69445 pep:novel supercontig:GCA_000165425.1:CT868068:106387:107601:1 gene:GSPATT00037884001 transcript:CAK69445 MQQEERRITKDYLKKLLRKEFKLYYTTPELNDCLYLHYKGFEKIENLEEFTGLKVIYLEG NGLNNIEGLDCLISLKCLYLQENVIRKIENLNMLTELINLNLSDNMISKIEGLEQCQKLQ TLQIKRNRIGLNGLSDLEGLLCLPNLSVLDVSDNKIDDPNVLDEIFLKMPQLSVLYFQNN TAGKQIQHYRKTLISRIKTLKYLDDRPVFDDERRFAEAFAKGGLDLEREERQKYKKEQEE EHMRQHQNFKDMIRRYREEQQQQQESQQQEELQQVDNVSENEEPSHLSTYNTQSNASDVR SSAQQSAQHSEHQSEKHSDKQSDNQSENNSRIADGHSEHRSVDGDQKSVDGASDHGSEQV EINAIGNFGQSTQLDEID >CAK69446 pep:novel supercontig:GCA_000165425.1:CT868068:107715:109253:1 gene:GSPATT00037885001 transcript:CAK69446 MPADEELRIPQFEVILSSNTSQLYIREKVLKELQKCCNERGMTVEMLFRCADKRFTGKLT IQEFKEFLDSFFDGRIDGITLQKVALLFDDDCSGFIMREEFYRVLMYCKCNAEEHAYILN TQAMKMGQPQDDPAKIQERVLEKFIKYVQGLKNTPKGIVEQIDYQQKGYITTDDLLMAMK NDFGSNEIFIIMKYFDIKQLNKVKDDDFLFDLKECLNPNKTAQQLLALRENNTGLRILQD MRKFRQVLRQYKLDPMMIYYQCYPKDVQRKQITDLAIAFSKYMKGTQFDQIMNWMRLIDT SQDGAVDIEEFKMAILIEEDLATYQQLKVERQEQIEPTLIEVVIEKMKKKQVGLEEFFDW IDTDKSQIVTVLELRKGFLALNIDIGYVLKLLKLFDKDQKGQVDICEFYEAFGLEYKEET DRIIMKKAANKLNNLFIKNQTNFVAGMKKLLYTFNQSCPVITCEEFLLAMDTVKDKCNLM DGEIYKMMEFADTEKKNQIQLNSFCNYLYGLL >CAK69447 pep:novel supercontig:GCA_000165425.1:CT868068:109309:111073:-1 gene:GSPATT00037886001 transcript:CAK69447 MSFERMRKSFKSFLGLNASPQIDYSSQASITIPQDLQSSNSQYNLKNKFVQIEKKQERLN PYKKSLIRLNDYQKTIVKGTDCSKCPTIQYQINKDEKPAIDHKISNPRWKREDQSQDHSS YSQRWSSQNDASNPTFFKVQSKPTKNQTYDTQTLSQSKASINFQKKQFLQYLEDKPISVI KNDFAPFEEKKKKSSQISIRRTDSVIFDVQDEPLFENGRKTVQKSENTIKPSEEEGNQSA SESIIIKKQPTTRFNKLKKQEFEYNPKTPSNDAKPISPSYEQQQPIQQIQQVKQLENNTK NTDEQLQQVQQKQQSSAPIESIQQPLQEVKQDTIIQQKIEPQPQTLPPAIEVNPFTSNIE TTLFNAPWITNQPPQDQSTFNLFQQQPMQNQFQTPFVSQQQQPVFPFQQEPQIQQQVFSN QSFFSQQVQASSNPFSTNQFSQNVFNQGNTQQSQSVYQTFQQNQTNTNDLFGFNQQKQQN YSNNNNNNNNNNFLFQTNSLGGGSFSADDQNKPRTIDLFSAQPVQNSQTSMMTSHSAVNL FTLDHNNSAQPQANKESDRYKTKFKQNTVKYSAAQRMQ >CAK69448 pep:novel supercontig:GCA_000165425.1:CT868068:111184:113558:1 gene:GSPATT00037887001 transcript:CAK69448 MTSICEHIIEQHITWSVQGQMIYKDQCTRCFVEAKSDNGIQVCLKCFNGGCIEMHSPQHS DQHNHYVVLNIRLFEIEQPQQKVEEINKLVIADIPQLECETSVTCLKCQKALNSPELDGL VQSIKQANSAFKRQAIAQWEHTAQPCEHTLTMEQIPLVEKNLQKCRSCHLSSNLWLCLYC GHVGCGRKVYDGSGGNNHAVDHSKEFQHHLVVKLGTITSDGKGDVFCYKCDDEVVDNFLK EHLATYGIEIEKQVKTEKTIAELTLDANLSLQLSKLIEEGQVLDPIFGPEFTGIDNIGNT CYMNSVVQVLFSIPEFQKLYSLEHQFNCNRLPYNCILCQISKVNHGLNSGEFSIKKVAKS PLDTEGAQKDFYQDGIRLYDFKQLVAKGNQHFLSNHQQDALEYWQLLNQFFQKTEKQFKL EQLSTIFSFDQTTILQCVNCGGHKTNSVKNQEFKVPVEQPTQEQINLYYAQEKQKYEERI KTMRPEDIKPFELNDLPEYDTTFEKCLQLVKEGERVDMFCPKCQSLQLFVKQEYFKTFPK YLFVPVNRFVQENWVPKKLNASIKIQQFYDFAEFKEPVLQDKDALKEQSAQEYTEENLQT LIGMGFGENRCKRALIKYKNDVEAAMMFIMESLDDPTQDQPLQQKQQGQQINEEFVEQIV VMGFSPEQAKFALSKTDNNLERAMDYIFNHDLEAEMSQTQEKQQQKQDQFENQTSKYELF ACIVHLGKSVHCGHYVSYIRKNGEWILFNDSKVAKSAEPALHKGYMYIFRRLD >CAK69449 pep:novel supercontig:GCA_000165425.1:CT868068:113601:114433:1 gene:GSPATT00037888001 transcript:CAK69449 MYNTSFYFASPQPSYIQSSYVRYAHPPVKTIIKPQNIQIQHSVRSPIPYQPLQQPYSYSF HLHQPVTQQFQSRQSKPAPQSMSTYQAAPQYQYQPQYSQPPILSQQEDDLEKKFQDAIDR TRDLVQKYNQPQPKQEEQQLQDLALQYEDGYIYRGQGFGVLTDQNDNEVYSGNWQDNQYH GQGKLINSSAEEINGPFDFRDLNIIENGWLSYEGEFFQGKMQRNGTLYLTNGERYEGQFN DGMIEGKGVFVTQDGQQIEGEWREGILEH >CAK69450 pep:novel supercontig:GCA_000165425.1:CT868068:114565:115834:1 gene:GSPATT00037889001 transcript:CAK69450 MIQEQEHTVTLVINQSIEMGEFGIQEAIQLFELFGLPYQELFKQYFPIHQFPKKYEITSQ TLGNYPFVDVQLRDLDLIHKVLNRSILLHDILYTLSRGNTLEELAQNLLPQALQYVQNPN LSCKCDIITSYFKISQERRVELIEQVFGEKGFKSQNTINLTDPDILYYVIITKDQKYIAG CTLTKKKKRREKNFARNYELPNRIYLGPVSLAHDLAFLMANQAIVQENDFVFDPFAGTGS SLVACSHFGAICFGSEIDGNLMKGHCIGYLNKKSTYLKDPNFKQVKPFIHLNFNQYNLPI PNLIQADVHLPNFNPRIDSFFDAIICDPPYGIRASIQQEGNEQDLQANRTAIYRRMFEVA RRVLRKGGRLVYLYPLFKGMEKKVEKEEGFELIDFREQKMVEKRSRLLVTMQKL >CAK69451 pep:novel supercontig:GCA_000165425.1:CT868068:115868:117699:-1 gene:GSPATT00037890001 transcript:CAK69451 MISNYEGKNNHLDNSLNNNVIFINFQIRRAMYLSNASSSSGKFQSAKQQQQESIKVKEQQ DQTINSEGDLESEFNQDEFNQKSQNFQKSQKYLASEQEVVSIEARKEVVQVEQLNTEVQE EKPLNLNFVQMPSNQRNNLQTYKSANRKLIPISQHSLSQSMISNMTQNNKGAGFRKINAF YYDRIGDQMSLIFMDNKNVIKQLARETVIPILIAFVIEVLILVVQNEIGEFCFIDGIDCS SYWVRLWSFFKQQILFKLGIVFCKGHILYKLVLGNKLIQTKNGRPLMEYFVLIIMAVSTD FIMIFWVGFNEDWLFIIYVYILGFVVFFNTFRMKTWPSYLSKGLSYFLYDITIIGLLMAC FKLFPYIKQSFKEKNSVAWHEYYMFLYAFLDLGMEFFMDLSLSFTKFSELYIYQGQLLII GTRVGTLIQGDMTRFDFWFCLFFLIMFRINNISIVFTVFLRRVIYYATPDSLKPYVSMEE TNLTRKGKNGACWEHLFWIVYFYMFIFSGRTFTYWIFDKTYLLNGKFETNIWDGYDKSNY MPIIVWVFLLIPEYIGYKLNKSKNYDNIKLLGGNKMKFYENLFIRIFGVYLFNFAVMSNL I >CAK69452 pep:novel supercontig:GCA_000165425.1:CT868068:119073:119186:-1 gene:GSPATT00037891001 transcript:CAK69452 MRALEKEMDDHELKKYYDLQKKLVKNEVFWVILWIDH >CAK69453 pep:novel supercontig:GCA_000165425.1:CT868068:119484:120104:-1 gene:GSPATT00037892001 transcript:CAK69453 MGKAHKTKKFATIKRMITKKDPRCQKRHLEQPKEEKKKGVNDVEIKELPQEPSHLFFKHN PALGPPYRILLDTNFLNFSISNKLDIFKNTMDCLLGKCIPYISDCVIAELEKLGQKTRLA LRLSKDPRFEKLTCDHKGTYADDCLVNRVKQHRCFIVATCDKDLKRRIRKIPGVPIMFIQ NHKYTIERMPEAFGAPR >CAK69454 pep:novel supercontig:GCA_000165425.1:CT868068:120140:120688:-1 gene:GSPATT00037893001 transcript:CAK69454 MSDDEHLSLHSYDPQMDDNNNDDIDEFYKELSLQFYGENKKKKVSLLMSGSTQETQKPSR DTLPDFKKGFTFVKASSSRPGSPKCGRTQVQRKSPNQKQQSSPVNVKMIQTKKLIQLLQS PYNVKNKQKQTFLKKQIDMIKKIQVQELKEHYYK >CAK69455 pep:novel supercontig:GCA_000165425.1:CT868068:121299:123958:-1 gene:GSPATT00037894001 transcript:CAK69455 MNKIDESDYQKNCQSYIENEDFRPFRHSPITNQIDVNQNEKSDILDMSVSFQNFSHPQII EGKNMASKKKTTQKLFGLLKVDKLVQVFKERIMKKAYIYNNETKKVTEKFLIEKYLQKKP AMQGEYMSTKNFQISVFDQSSALITSWEFIRSLSLISILWIYPFIWSFEMGREESFSFII LYTLIYFSLDILLRLNTQITIQGNIINDRFSILKYYFKDDLILDFLLIFSVIVLNTSIPD SKDYNDIITATIFLFLCCLVSYVKLSEQIGKLQQQTHINQIYREYLNLVTLLFSISLFAH LLACIWHFVGSNSAETLNTSWLIYKGIDQESILIKYCYSYYWATVTMITVGYGDITPQNY IETTICIILMFMACGVFAFSINKIGSILSTINDQKSQFIFNLHAITKYMKQHHIPYQLQD RVRSYLQYMQKESLEQKHELIGQIKDQLSVELKNELMYMLLLNNFSEQTLKEISKKIKPY KYCPNEIIYSQDSCSDISLYFIDYGQIKLVEMKSQTQLTILNPGQQFGENEFFTQQSRKF TAVSVGFTKIYKINRQDFINSLDKQDYERFHQIKDKQLQNISVEGFNCCACNSESHQIFD CSYLIYKPDIDKLILKYNRTKNIHRKRIIRTCHKINSLKSIKDVQIQQKLYYSTNFVEDK NGSYASFEQDGTRHQSITQEQIQSLDSPSQEICLSVNQLQQQQQDTLILPSQVKIQQQKQ HETRTSQQNLQSFQIQTSIKDIQLRSNKYVRDKSQKSPTHIQRNPGTFISQNNNLLYFDK ICEFQHYFPSFNYSEVIIDYNKLQKHCRRISRRQRQSEFKYTLIQPSAQKKLLNV >CAK69456 pep:novel supercontig:GCA_000165425.1:CT868068:124670:124978:1 gene:GSPATT00037895001 transcript:CAK69456 METKQQFIFSDRIKLLQQLGPEPEREDIQKLATMYKIPEGKLQKWLNQYDKYVLPQRKET IDSDDEQINKLIQEQRQLCQELSALVEQINEIHKKKFISYYQ >CAK69457 pep:novel supercontig:GCA_000165425.1:CT868068:125281:126641:-1 gene:GSPATT00037896001 transcript:CAK69457 MRKCLLKYKPHNTHFIWSEFDLQLKTYIFRINDLFKDLQCQLHDHDSIIAICIDSNCEEY QHILCLKCIINDHQHESQNILVIKEIVNKRDKLFRLIKYLHNQENSCRHINLHEYTWLQD CILSGKESPYPSLTFDNVFLTVQDAFLANMDDLKSQVEKSVHSLQDNSQKYKKIQQFKQK MQDLIKTTHSQIYLNFQSILQEDSNEQKSTDVKSMPQAITLNLSQIDQLGETQQLLLNKD SFENIDNFTNTYAQNNNLTNTSISKFDDAISPLMPTLQFLDKTTKRSLLGKPKVKFEEGG KIITMQKNTTIVSQELYFQDNIEIRIRILSFLSGCLHFGYVEQTAYELTKNIDNVGNWAC LYNQCRDDSKLGKLQEFCLQIDSIVGLNLNFVDDIVSLRLFTRDAILILFQKKGSLMKQK QYVYMSCLEGQVKFEVLN >CAK69458 pep:novel supercontig:GCA_000165425.1:CT868068:126767:129499:1 gene:GSPATT00037897001 transcript:CAK69458 MSISMDDSINMQIQPIVQKMVVVNSHQLLVRKPSNQAQDIVHSPPTASLTPQQPSEKLPE KDMKDLLLIDEEPSIKEQSIQITPEPKNKKKQIKDNVANAEIIIDEKPQNSYSDVHWSKL ITSKTSITRPSFQFHFLQDKAAEFSIKVTSFEWLKPKLQNTYYYISSAVLSVYLSFFITL MLFDLEDGMYQQPIYQVILMVFWLIDTINQILFIQYKNGEEIILMEDLVARYCKFQSLID ILGIIPIIFCLISKRMPTIQLLHLVNIWKIRRVFYELQMLIELHFVQFIALTIIQFSLDK HLNFFDEFIVLFYKSENANALTYIVRLLILIYYCYFIHRYNSEDKWKLNQISIQNNTKQK INGYINKLKSQSTVDLNFLEYLPQSYVEDFKNQRYMQILSNIPIFKSSFSEKTLKEICNL IQEYTYNPNQTILLQQTPNQQLYFILSGDVRISQKREGTQANCEFKLKVLGEGQMFNNKA FFKNSFSNIAATSIGFSQIAQLDVELFQECIKNHHQEKQKYKMMLDQIVQQEFYSLCLMR CYACGKFHDIDECDHVHYVPKRSFLVSYIQSNDIQGRFPKKRIRCRQQRTKSFILKIEEN ALLYQQLNIESELSEEHRHLEEMISIQQQHYLKEVTNPYTERQNSLLQSQQTPHMVSQQS LRESIQSQQQPGHQLMDIPNISPVQYVQQPPSGISHSSYAQLLKDASVNRKNFNGSSDKN SSGNVFSLPYSSNGSKTNPNNVMQNKDKEELISIQLKKAKLKDSVYYHQRRIQNQIESEG PLTPSMYQKCQTTAPQQQQTKAFRSDRKSNSCISESEKGEYQVQQQQEKPTSKYGIASSK FTGETAKQFMRNPLEMPNIEFYQSFEKSNQYSDFFPQYNVDQAIESYKQYQQSRSSAHK >CAK69459 pep:novel supercontig:GCA_000165425.1:CT868068:129665:130818:1 gene:GSPATT00037898001 transcript:CAK69459 MGLMHFLVRMSQPIKDLQNYETKQLKQLLECISLDYLRQDISKITLFVLINNLVINSGVS LNTLSELKKIQNSKITLHLPSANFNTQYYNGSVNWCILQMLENITECATIIITLTKSLSF ATLLYNAHRSLKCIKMAFFTTSISFEATNVFICAFTQLVNEVKQHQGQIKVAQESYNLLT VDDEESDLNLSLKELHITYFFGAALDQIKFIINRNQSQDIGQRAYEFELENNKKTFKDTV EIGNIKELKLFLSYFIIYKEQNNNETELLLIDYLAIAVSEIGAITERRIQRLMNAKLTKL QECYEEYLKDEESPFGFQQLANQASNLKQKKNKYFHPFSGDSMPQTTHLEDQQQL >CAK69460 pep:novel supercontig:GCA_000165425.1:CT868068:131734:132487:-1 gene:GSPATT00037899001 transcript:CAK69460 MTRCNENEYDFLFKIILIGDSGVGKTNILQRFVKNEFILDSKPTIGVEFSTKTINVENKS VKCQIWDTAGQERYRAITNAYYRGAVGAFVCYDITREITFTNIEKWLSEIKEYAPKNIVI MMIGNKIDQANDRMVRSVEAAKLCQQNKIGYIETSAQNGLNVEEAFSNLVSEIFSSMSSK QQKNVKQLQGDNLTLDDDLPSKSITKKTNCRKEKENCCQ >CAK69461 pep:novel supercontig:GCA_000165425.1:CT868068:132764:134264:-1 gene:GSPATT00037900001 transcript:CAK69461 MSMTNAESQPIAIVSLQMEDGTEEIKVYEGEDVELEVELFCQRYKLGNDCLEYLVNQIKQ QLKREPSPRFAEFNKQQFLNKGPSQTRSSKQSDFGLIISASSDENQVSAQKSYEEWQKKI NRKIEANSNSKMQWNLPTSARSNNQNNPRSINTNDKLYGETNTQQQNKIEQQKQNQIYKG QQIVSETTPKTNISPNFKSAIQQKKKVEDNSLFNIGYQTKSKGEEQHIKKQQQVFQLQKE QSSLRSFQPRRSDISSRNHSQQNRSTSNKKERSNTPVNEKPYIQEKERKQYKFEQLKQEF QKMYSNPQINNVYQKEENKKQTQQQQVKKLFTDQIERKKKIEQKQREQSIQNNSKFQNQI SKEQLYQQPYNKQDQDDIKQIELKQNNRSTSNLSQRQDSRTKINNSKTCQFDLNIKKIFR QLDGDKDGYISKDKVNLSGIEVQLLELVSEALYKIEEEDLVADQIQFKSICESVGLYTIL NNQLI >CAK69462 pep:novel supercontig:GCA_000165425.1:CT868068:134501:138248:1 gene:GSPATT00037901001 transcript:CAK69462 MNQGNNFIEELLDGFRIFECQSQGNESAFKNLLSQVKENRKQLSKQLYQKQPFSNESSQL ASTNTQFSLSRQSFNANEENVSCEIERETIKQNQLQNVIKTIQRKITDYKKHTDVVLELI KGFNKDNEAAQHEGIQSNQLLYQSKKNAVEAQEYHQLLKNAQEIQKQMLIDKHSLIQQDI KELQNDYIEYRLKYQQKSQIIQQQREAIAELDEKKAKILLKQQEQSTVIFYANTIKLLDE MINKEDPRSLFQYQFEQRQQLIRQNKKLTQSQVQSSNPASVMNIFATQIEQVEQEMLESP KAEEKEKDLKLLELIKSSNNQLNELDIQQDLLAFADIVVQNYQEYKEQFDRLGFQFIQLN EKKFELQQITRETKYCKYPETSNGLLPLKLSDNYNNNQITLNILSTSVELDLNEQRNENE VKRIQNAVIRLFNFLRNHVGRVNHLIKHIQELSELVPSTIFLVLEQLKNSLYIIEIIRTP TQKKPSMLATTVLEQKQEFIHVKHTKLLSQKQMLNTVHIPKKHVHASITKFKYLEIVQKF IKSLLSDEIERLFIQQDMVDELTKEVQKYCEETDPDEEALVRYLGTKKMFFQHHLQQRTR GMLKNALSKLGEIHQKLKFQSQQLRLKGLDFTEGKFKSGCAFNSNELQMKSCQRTTSNHF VSSQQSFDNVSINHSEHSKPHGGKDVFHLLKKLTNVNDMQEIAQQDSEDSIDKERDKLKK QQYFIKSQVNTKDKTQKNLDDDVFYRLNPQRQNPNKELRNQLGILRHMRISAARSSCSID QDMKSEIWKMTCPVKPSKIMNRKDTFRKLFNSSDKKQVDLLSQKKMQTRSFQTNLNQLPR NTYTSGDDAEAIWKKKYRAKKILNAISVKENQKEKFGFLERLKDIESMAHGHAGALAGLF STCLLYPLENIKTRMAASQQKEAIQEVIIKVWDQEGLRGFFKGVTPLALGNYISYGVYFF WYEYFKHLFRTDISNSFALIQPSLASAILTTFVTNPFWVVQSRMTICKDNLNFFQKTKKI VETEGWEVLFKGLQASLILTINPIIQFIIYEAYKRRFQYAENQAFVNFIGGAISKAVSTI LTYPYQLLRTKIHFKKNSSKSYFSAAEKILKSEGIQGLFKGLTPKLCQSVLNSAFLLMFY EKIYEIIKQGIILIIIEILKYRKKIRKLQKLK >CAK69463 pep:novel supercontig:GCA_000165425.1:CT868068:138290:139207:-1 gene:GSPATT00037902001 transcript:CAK69463 MSEQDYKANSRYSTLESNTYQSQLLSTHFGKLLVKNEAFFNHLKGDCICGRCICGQCKCP KMLLSNDFKKGQESLYQREFITHGKQRQIPLIDHNVTYKSQLPMDIDTIQRSTYQGHPDA KPAESLKPEQKVFLIPFSSSSAYRLDYAGGGMSSLKINPPHHPTVVDMPMTTHSTYQDNF YKKPIPREEFLTTANKSSFKSPISPELPFIHQSTNNAFYKPYQTGKLNKVDPELKIKMIP AFEGQFNSITQKDFDDKNPKKCPSRILLNSLYKEKLQQIA >CAK69464 pep:novel supercontig:GCA_000165425.1:CT868068:139265:139729:-1 gene:GSPATT00037903001 transcript:CAK69464 MNYPQQVNSKGDFKEFMDKHPEYSYQLSFSEIKSSLDSNQLIYEYELRINNIVPIKRRGI QKKVVEKELFKCALELVNSEQFQRFLDDSMKSQLDNTFDGSSNSISEIFLNQNDSCQNHQ RSQILQLLSQYDDQIQQAQYINEDVERMKRILNY >CAK69465 pep:novel supercontig:GCA_000165425.1:CT868068:139734:140327:-1 gene:GSPATT00037904001 transcript:CAK69465 MQSNHKQYSTTNKLLEFRHPELLKKAMTFKQYHQEYMSRNKDNPLKQGINENLIELGQDL IDFYYNDFFFFQDLPNKTHKTFKTYSEIRRLKQLVLSEKNLADIAVQLGLKSQMFVSINP NSDLKNNSKILAQTLKAVIGAQYFDKKKDLNVLRDLLQVLFLDLIKISLVKNSLQIG >CAK81643 pep:novel supercontig:GCA_000165425.1:CT868408:183:1226:-1 gene:GSPATT00039461001 transcript:CAK81643 MWILTTGTGCAFSQLYVHNFILMLPCLFSNVELKLQILNSLSAAGVASLSILCLNLNKIN LYIAMIPKLLHQLCVDKLLICQFSYTAFFCFLLLILGVHNIQYKVRRCNSLYFLNCNSLI LHMIKISTFLINFNKYLSSKATLLINKILLIRTISINIVIVVLLLLMYILGFSIHFPNLQ QLNTNLAFLMGLTFSQTNANRWSCAKEVLLIIQTLGLGVRSLLASCPVLMFIILLLMVCT CQQKGLQNVIKTICDLFKNILQDITLIIQLKLFDFLLYKCAEDSNVTTISILHHLKKIDF DGRSDIVHILTFQLISVFIEVSIYYFLLALNVKILLILDNARSGYFL >CAK84792 pep:novel supercontig:GCA_000165425.1:CT868522:28:423:1 gene:GSPATT00039700001 transcript:CAK84792 MLICYILHLIKFFFIRLIKLMKSNFQRMGLNFMLQINSYYKQMRKSVIYQLFLQNPKVQL LELVEQQSKIKNSRILAFKCWNQGILVLTDKGYFKYLEVQNSQQIHKITIYDGIGVIMIM ITLFSIKVNYF >CAK84793 pep:novel supercontig:GCA_000165425.1:CT868522:491:2335:1 gene:GSPATT00039701001 transcript:CAK84793 MKQKNKKPISELLKQKRIAEQQSQPVQQPPSPPSRSSSSCSSKTSNPYEDSSDAEDYEDY KKDGYHPVSIGDKFHNGRFQVIQKLGWGHFSTVWLAHDKQSETHVALKIQKSKQSYQESA IDELELLKDLQKHLKDEKWIQYQEQLSQIPKLDYTTLKWYDPNIKNTEQDMEIKVKLNET YCVEMVDNFIHYGMHGKHYCTVFEVLGPSLLDLIIHFDDYDKRMGMWLVKQITRELLIGL VYMHEVCNIIHTDLKPENIMLQLKSQNFGEFVEQMKMVKKKPISMKFLDTLKKSMKSTNK KQEKRKKQKQKKLQQQQEKDQQVQNEQQQSEQLQEQKLKDTYSTKLRNKQYYNIAICLSK INNNNNNDDDVYNGGPEDNNNQQCKNVQIKIQQIGRYLININYCIIVKAKSKNRIKVIKI IWNKNKKKKLIIYINIEIYKKRKNKALKDKKKSHSKEEEVSSDEEDDQDWKSDEESEESE SKEVDEETLYTLKWKEHIKIKLDRDLSIKIVDFGNACWTHKHFTDNIQTREYSAPEAILG IEYDKRKEIWSNDCIVFELLTNDFLFRHKGGKGFERQDDNFDLVKEVLG >CAK84296 pep:novel supercontig:GCA_000165425.1:CT868503:203:863:-1 gene:GSPATT00039678001 transcript:CAK84296 MNIKKVSPQILEIKKMKQLNLFDKLLLTEPYNIEIMYQKANPLNQLKKQEVAQQIYIDII KINPKHELSFLKIGDILKDQQSYQQAQQYYEKCIQINANNSAAQFGIGECLRATYQYHHA LHLSKNLQFHLFKLHRVNNLNQLYHFIDAAKTFTFLFYKLRFPILLQMYLSRSFIFPHSL INEIQI >CAK84297 pep:novel supercontig:GCA_000165425.1:CT868503:906:1385:-1 gene:GSPATT00039679001 transcript:CAK84297 MNQDSQFQQSQDFKCKDHPEKPVQFWCKLNNCNENRIFCLNCQKQNKHVQHYDEDVLSIH ELHQFLIEKSKLPKGLISECQISFQSTIKSYEKLMSGLSHKFCGLEEKITKLKPYQTQQA LDSLISFDEFKNHLNTNILGLLQNQKRFQMIFLLNQNYI >CAK65273 pep:novel supercontig:GCA_000165425.1:CT868038:1763:2002:1 gene:GSPATT00034752001 transcript:CAK65273 MFSQQQFLLILDNSPIHKSKKVRKLLIVVRNNFLPPYSPQLNPIEKLWHLLKQHIYKNIT TCSSEMINQFISFLENLRI >CAK65274 pep:novel supercontig:GCA_000165425.1:CT868038:3521:4226:1 gene:GSPATT00034753001 transcript:CAK65274 MSSTVQHLHGGSIIDKQSNRAKSSAYNILEIENYQIMNWKKEELQNHKGVRKLCCICVSG FDHICTVSLSIYAGCLDMALQNPIMNFVVVVKINLCQCYILCMIETIFEEKRNKQYTQNM WSSNRPVDSKKKVALKLNKIHQNGSIIILQNVSLTDGSPVYQAIIKIKIVTFIILTRNIS LAEENHYRILICFKVRNNFSINFDHLLLKLCKIGSVYIIRLK >CAK65275 pep:novel supercontig:GCA_000165425.1:CT868038:5240:5557:1 gene:GSPATT00034754001 transcript:CAK65275 MAERVIKPLDVMMMTQNTDIVIGQNMKFNLLTLGVCNKIIKSNTHKMTNAQANTLQLEIC LTDWFISSVRHHEQFHAKNIVLQHILKTLLILFHLLEEDEKNDQI >CAK65276 pep:novel supercontig:GCA_000165425.1:CT868038:6027:6690:1 gene:GSPATT00034755001 transcript:CAK65276 MTKAQINFCVKDAFIKNIVQNQQIKHSLKTSFRTLFIIIKSRATISKCSATCYQLQTRML NMQYLKFRQKQHHNHKLKNLESTAVLLQDIPKFSTLRLPTQLFRSKGFSTFKGAQPLCSC DCSQFSKPINFVLNWLVIVEEQKTIQEKKEAIYHNQCVCHIDYGFTYHGHEEYQKWLNQR QFENQILKQMRSIQIIQ >CAK65277 pep:novel supercontig:GCA_000165425.1:CT868038:7939:9325:1 gene:GSPATT00034756001 transcript:CAK65277 MEQFIEQFTREQILPSLADFIRIPNLSPQFDPQWLENGLLDKACNHIVTWIESQSIPNCK VEVLSIKGAPKAIHVRICAQNPITVFYYGHYDKQPHFPGWREGFGPTTPVIEGHRMYGRG VADDGYAPYAAIAALQTLISQKLEHPTIEMLFEGEEESGSNFLMQYFDKLELKRVDIMVA LDSGSGDYERLWLTSSLRGSMKIDLCVKVLEAPISSDESGIVPQALNIMRTLLNRLEDPV TGQVHKSLQTIIPADRYEECLLSADLVQIEFSRQCDKMESCKIQQYINRNWKPSVSYIGQ DGLPSVRQINDQIIPELIIRLSIRLPPNKCAKEAAIFVKQLLEKDPPFNADVKANIISAG TGLNINSFSPKLKDILNTASKLFFNDKESRVFHEGASIPFLNQLNERSPQAEFLVLGVLG PDSNAHGANEMLDLNYMKGLIGCLAYTMNQFIKQ >CAK65278 pep:novel supercontig:GCA_000165425.1:CT868038:10388:11062:-1 gene:GSPATT00034757001 transcript:CAK65278 MQFSQFQKSTLFKVRKFYTNSVTQTYQFLDIVHLQEQMAKQIYFVIDCIVELRKLDKGAL IGENELQHQSSFISEERLLFDSEYFQNHIIPQIIDTIPKFCVIQQFNQKDSSKYKIALVE EFNQKRAVSRKYTFQNQQYQTTKRKSQKSTRILNDDHQNASNIIESRNSFIVNRQINSCK LLKKLKKVKVIIISNLDIKQ >CAK65279 pep:novel supercontig:GCA_000165425.1:CT868038:12088:12942:-1 gene:GSPATT00034758001 transcript:CAK65279 MEELIAIYLSPEREGIIFDDSSDVYQSCSYCISQSNPQCEGMYNSSATRTDQLNLMLVPL DIKNFAITNKVPIFLFAMKHTGKVIRQYHAEFRGFYELWGVVCIYGNNSFYYFEPSQLNQ QYIYNIKIQLEFIHSNSVINFQNMSYSTSKFSSYLRSPYTAPSTDSSRWKSTPLIGISLI ERMPYERYNEHVPESKIEYMPIEKRYRDYLQDRKYFQDRYNNVVAVPRLERKEQIFPLEY YQDTLNYIPFQRQSVFSRFNY >CAK65280 pep:novel supercontig:GCA_000165425.1:CT868038:13843:14460:1 gene:GSPATT00034759001 transcript:CAK65280 MQNQDKLIKQIQSNSQSRIMQKMEQEPEQSQMNLRIEQRRKNQSTQTTIDQESNYKCLQH LRHSILREKKQNQKSKRVNQRYKINTQQFLHQTLINYAKVLYLLFKIMDQFLIGQLMKIE QNTFYQMQTQSKQKYLGQISIWSLLQNGCFTMNTQFFYLIDRILPFKFISEYRFIETLNL QGRSLSQSSYGQSFETEQDLSTAQD >CAK65281 pep:novel supercontig:GCA_000165425.1:CT868038:14964:15158:1 gene:GSPATT00034760001 transcript:CAK65281 MKPFKCSNCAHTYSSKAALKQHQKLKHSQEQTPITQPLDQLKVVEPLIEI >CAK65282 pep:novel supercontig:GCA_000165425.1:CT868038:15267:18414:1 gene:GSPATT00034761001 transcript:CAK65282 MSESEDESVLSEDVEQNEEELYAKKELLRIDDLKREQEKFLYKLSCEKPVKVTSVSKQEL KDQQVSDKLSILFDEVEEEMDNLISKAKQYVQYINKKQGDENSSLPNTYLKTQPSILKKG KLTGYQLQGLNWLISMQEAGLNGILADQMGLGKTIQTIALLGFMKQFKNVSGPHLIVGPL STIPNWERELSEWLPKCSVLKMMATEEWRHDFNKHLSKKDYDVIVASYECVINNERILNK YRFEYLIIDEAHKLKNEESLFFTTLKRLSSRFRLLLTGTPLQNNPHELWSLLNYLMPQLF TSSEAFDQWFYINKLMSEKEILQEKYEKRNMNMIEKAKSIIQAFMLRRTKSEVALDIPPK KEIHLYVQMTPLQKSHYRNMILNKKVVGVTTQKSLMNILIQLRKICQHLYMFPELEDRDQ PSLGEHLIENSGKLKVLDMFLKKLYNENHKVILFSQFTSLLDILEDYLNYRKYKYCRLDG STPIEVRDENIRNFQNPDSDLFIFLLSTRAGGLGITLTAADTVIIYDSDFNPQLDQQAMD RAHRIGQKKNVMVYRLICQSTVEEKIIERQQIKLRWEQMIIDKGHSQMVGMMNKKEDLKS IATYGASQILKDEPYTEEDIETLLKRGEELTEQLNQQIDQKFKIIKDKIDKVELGVKSIR VFDFFKDPNNEKDLQVIEQQIKLNQVSKQVEQSNKGEILPQFSKLCTEVAVEAHKFYSDP QQYKELMQRDENYKLYELYKQKNLDFQLDIELLPLTQMEEKQLNKLRQSGFEWRAKEFEV FLQSCIKYGRSDIMNIANSMGKTQKEIQQYMKVFNHRYDEIPDINRYMVRIEKTEAQLEQ EQEFTDLLNKFMKFFDDPLLEMNFNQVYKQTYYTLESDQLIVYATYIEGFGRWDKIQKYI LNHEMSFFDNYMRSLTKKSIKQRVLLLLKNIKKFVDSKNKKAKKSEQMVAKQLEEAKEAD NAKQSKSRSNSKNRRKKKSKSVKKVTQSKKKKTKSPKPISSVKSKSIDQSIKEMGKSILK K >CAK65283 pep:novel supercontig:GCA_000165425.1:CT868038:18432:19035:-1 gene:GSPATT00034762001 transcript:CAK65283 MNKFNYAIYYMLITTKEHYVMIKSAKMRTDREAKQSTKANTSSTNKSYYQDERSRLQQLI MMSMQNPKNMETYLTQFLDQKHPISNSFQQNRARVLSQPHLGNKATRFQKPLHNPYISRR QSHIPKVDTSPKSPTRTPSTNSRYLLYSFNGDSDKKGTRNTPISKLSTSALIDYHKQLVL KAQKLIGSNKKKQ >CAK65284 pep:novel supercontig:GCA_000165425.1:CT868038:19487:19992:1 gene:GSPATT00034763001 transcript:CAK65284 MSQVDRELDQLFELFKDKKTKLFSVDQFIASLQSADLTQSTKILQERIAQLKKQQLTKQE VQQLFQNDIKNRDVSLAIFKSIQKKDQSHITVDDLILLNKSHNLGYSNDQLNFIMKYLGR QNQITSDQFVQLLQQQQN >CAK65285 pep:novel supercontig:GCA_000165425.1:CT868038:20068:21013:1 gene:GSPATT00034764001 transcript:CAK65285 MIQNFDLKAEIQKYQFKHSLLLEVLVNNEKKIQPQTFLDLIQNYNNSQIDDLKFIKEYNQ YLYKNELSIQDSYIVYNEVIHKNFDKIELQIRKIIDLLSLIHQQLNLKIKQLEQYEEVYI ILDDEKVGYLCEDQVLFLFFSLFLIEKPIEQNIDIYACFKSFWLGIQQYNKVSFRTFKQF LFKQHYNSDIIIQNLNRILTMFELERVPNIRMDILKYLAAKYHYDNIIEIAVELLIKLPI EYANVEKINKENEENIFISSFIKLYHLAIIKEIQTMFYGRVNLITNH >CAK65286 pep:novel supercontig:GCA_000165425.1:CT868038:21188:22337:-1 gene:GSPATT00034765001 transcript:CAK65286 MGSIQNNSCCTQLSSNNQEINLSNKQENMLSAHSSPKNFQQVISNFNYESQRKKDIQYSE KAIQIQKNWKGYRQRKNYQKRKPGFTINQENSLQQQPVQINSQDSLTFIELAQPQLSSCK FDEMEPLESFEIKDFAVYQYRDGSIYAGEIVNCRRNGKGRYYYKNGSIYEGDWRMNQPHG YGRFLHQNGDFYEGNFNEGRLEGYGVYRKNNGGVFQGEWKCDKQYGKGSEVWADGAKYEG DYVLGKKHGRGTFIWADGSHYVGEFVNNCMDGFGEYVKSNGKKYIGQFRDDMMHGEGEFS WPNGKKYSGWYFKNQKCGLGTFEWPDGRRYVGFWSNGLMHGRGIIFEQNGKQTQGEWING VLQK >CAK65287 pep:novel supercontig:GCA_000165425.1:CT868038:22393:22710:-1 gene:GSPATT00034766001 transcript:CAK65287 MDFNKEFEEYIKFNYVSKLQIGETVNTITKVQIITLEGEELDLECDIYKGIKVLKYNEVF ENMEQLLNKHSQLYSKKFEQDLSFKLGQLAQEVEDDDDEEDDEFD >CAK65288 pep:novel supercontig:GCA_000165425.1:CT868038:22754:23515:-1 gene:GSPATT00034767001 transcript:CAK65288 MRKRLGALHADSLEEYIQLAKMSWQQELVYYGTQQEIAGQQRGLDNSEKDTNTSSFEEEQ EKKEQSNINVLVVDNLDKIDQVFKYCGAFRKPKKNYHLEYCSKLEFSESKETHFHFWIIN SSSVKYSELIDVYYRTADCYVYLNKKSKEHQFLRFLEKVKLLNKNKNKKIFKIGNCRRIS SIQNIQTINENQVVKIRNLQEGLKGVREQYF >CAK65289 pep:novel supercontig:GCA_000165425.1:CT868038:23600:26698:1 gene:GSPATT00034768001 transcript:CAK65289 MLSLGNSNLQNQGNSNKTGIPSKNLPSLCLQVKLHLTIKVTYSLSIQSIQDHNLVYSSQT SPSRRTQDQQVKIDAELRPINKFTFHYLRTNTRIKTLLSHYVLPSTRRTQNYQNIITLLI FSLVILFIYLLVDSNQQQNNIDPFDLKLGKSLFKQDRIQEALIIFENYLVSYGIHPEALY LSALCYQQQNQYDKLIQQLEKLISVFPTFQRDAYLILANTLAQMNRIQDSINNLTLAISN FNRFYDAVLLRAQLYLKSKFTHKAIQDFNQLILINPKKSIAYLGLSDCFAQLNQLQLAFN NLNKALQLDLVSNPKPIIIKLFWLFFDVSDYRQSNYYLDILKQMYSEDSESAYLKGLWFK KQNHTQEAYLAFEQAVQYNNNKLCTTYALLEIVKLEIDKNNYYSASHYLERKVILDVFND QFTQIDLFVEGTLLLMKKQFDKGVESLSQMMLYTKQEDSLKVLALQFRAYGYFCLSNYRQ ALKDLLECDQNLLEKASVYNILLCRAILKFEAEELDSSYQLFSEAYEVFKTKQEPYFYQA TLLIRKYCININEDNRIIYLNQALESLQKALSIRESPNVLFYKGILNFALGNLDQAEQDL EQAIENFNENQALHFYVRGLLRNCLKQYEMAILDFKSCLHMEPENLQSYLNKCRAEIHIG DLENAYLDLMKYVENCKEENLNYQVIGMLFFYIGSFEEAIFSLNMDSSIVGQYLKIKVLI FNKELSLAQSELQLISEKNQKAMADFQLVRILNLMCSGEIYTNLQDNISVLSGIQMNGQE GEIFKQSHIWFYYCVLLTYKGEYQLAQEFLNLSYELERKSENFNSNDFGNQIYTFKEYLF NSALLNLMMNKMTVAIELLNTLYDQLNYMEEKVELQIFIKQIKDDLQDTDKNKKLITYDE FYLFQQKNRLSSLFPVLQLPLKKYNVTTKLSFCLPRIEFPSLEFVFDEKVLQKISVSFLC QDQPYVVENYPEAPWIKRIKQAIVFTDNLRSIGEDLESTIREKEEIKEMNQVEFDDE >CAK65290 pep:novel supercontig:GCA_000165425.1:CT868038:26872:27180:1 gene:GSPATT00034769001 transcript:CAK65290 MGQSCCNKQTVELSNEQMTDWCQNIEGVNFCENLDDIIHSNDFNSNHGRILSSQIIFTFQ QQPPPTLSQESSCYEKMNSIQKQNLDEDSPTFKGQRCLLSEK >CAK65291 pep:novel supercontig:GCA_000165425.1:CT868038:27483:29177:1 gene:GSPATT00034770001 transcript:CAK65291 MGCGSSKGEEVDNPNKIKDVAHFKISSSGMVSEKHGLITNDYTLLKPPIGKGAYGEVRKG VHKVTNQIRAIKVISKEKASKMEVERLRIEIEILKRLDHPNIIKIYEFYQDSKNIYIVTE LCTGGELFDKIQEQQSFSERKAAETLKQILSAVNYLHKSKIVHRDLKPENILYESSKPQA LLKIVDFGTSRMFEQGYKMNQKLGTPYYIAPEVLERKYDEKCDVWSCGVILYILLCGIPP FNGEEDEEILESVREGQLTFDGEEWNQISYEAKLLITKMLERDPKRRISAEQALRDPWIT TYVKKTEMDLPQLTKVLNNLRNFRVEKKFQEAALTFMVNQMATSQEKQELLQQFQALDLN GDGRLSKDELILGYAKVMSYTDAELEVTKLMKQIDQDKNGSIDYSEFVLATFNKVKLLED KRLEQAFRLFDKDGSGSISIDEIKGIFGSDETAVSDEVWKELLAEVDANGDGSISFQEFK EIIVKAINVSYSDQKPIK >CAK65292 pep:novel supercontig:GCA_000165425.1:CT868038:29530:31148:-1 gene:GSPATT00034771001 transcript:CAK65292 MEQSLFISQQEIEQVNKLNTININVGVLGHIDSGKTSLVKTLSKITSTASLDKNPQSQER GITLDLGFSAFYTRNPNEQGKYFQFTLVDCPGHASLIKTIIGGAQIIDMMFLVIDVTKGI QTQTAECLVIGEILADKLIVVLNKIDLATEEVINKQKKIISNVLSKTKFGNQVSLVPVSA VQAIGIEDLLGILLHNITIPNRQAQLNKGLLFMIDHCFQIKGQGTVTTGTIIQGSMKPND EVFFPMLNLNKKIKSLQMFKKPVQIGEPGDRIAALFTNLDAKEIERGIVCQPGIVSLLEN IVIDFKKISYYKGQLKSQNKFHITSGHLTVMGQLKLIIRPKNLQLEINSEGEYLEDYEDY IKLQEKYNLYGVLQLEKPLIASMNSLVIGSKLDTDLEANICRIAFYGNILFSYTAQQIFQ QNFLRVFRKKTKNGKVEKVIDNYTLLIKDLFKKETNIANYIGKLIVIKQGNIQGKIDSAF GKSGKVKVFVESGTNQDMGNSDVVMIYKKYLFHK >CAK65293 pep:novel supercontig:GCA_000165425.1:CT868038:31182:33457:-1 gene:GSPATT00034772001 transcript:CAK65293 MDYNPLKMPCDWNVARKHALARRTAPDTKAHIDYEDDEEPKKPETCPCCGFEIERKEIPY CDDIKQLKFLGAGFPLFYNFLKFCILLLCLQSLVAIFNILSNYHGEFCQQQSLNPISQQM EPNCQESAFLKLSIANKLNNSEVVVFIQKANLIMLIIMIILLQFFRRHQKKLDNQIDESQ LTPSDYTIMVTNIPKTLNVNYRWELTNIFQNYAVSDTNFQITVTKVVLVYDITEILAEEA KIEKSLQKKKIALQTSNMKYECQDVRDCELEIETSQRRIKDLQEEYFWTNRQFSGIAFVS FESEKMKDLVLSQNAHTLIDKMKTFLYSGKTPGLDEMELQWQAQKLFIEQAPEPNDILWE NLATLTQDKIIARIKGFFITLALQGVTFFVIYYLSIRCIRLVYNEELEKRRIGVDDKEKL KHVQMISFAIASTIVLVNKLLIEPLMKWVTKIEKISTNTKFQTSYANKLTISLFVNAAIV SYVIDILIFSNVYGFGGFLYNESLIFIMNAAIAPLIWFIDPWTLIRKLQRDHQIQKVNDC LLTQKEANEIMEEVDYQLAMRYADIIKTMWFTFFFGTAIPIGVFSSLIGLSLFYLVDKYN ILRRRTVKESISQELSWQMINMLEFIVLFNPLGNMAVSLFLNQDFDIYSTIGVIIGLSFQ VLPIHRIVDSMFPIKNFEEPVSYKKAQIDFDTDYDRENPVTKQKAIAEHHSGEKSGILNY A >CAK65294 pep:novel supercontig:GCA_000165425.1:CT868038:33705:36004:1 gene:GSPATT00034773001 transcript:CAK65294 METDEAPKPKKAPYKSKRYFLSSLNTYFGYQLIQQLRNDIEHPEDPNLIVGTTILQSKYP LHPAVRKVIDPSKMSFLQKVILDSDVIVYDINTCDHSECEYAIKTLKLGTYDEEKILILV SSVMAWSATDPKEKKAGEEDEDGGYPPESGEEDNKPLDDGEDAPPKKEYTRFNESDYPKR KALPEFESLCSLESLCLSINRPNLRTFILCAGILYGMGEDHFYTKFKGAWLQNTITFYNK NKVPCIHVKDLALFVSKLVEKPVNQKYIFAIDHNQNPSHKAIIESISKGVGNQKIQQSNV AIPEFKIDLRMKPTKVFDAFLEEQGDQGDEEQAVDKFTFNWWCKEGIRANIAKIRQEFVD YHNLKPIRIAITGPPGIGKSTIANQISTYFSIPHITIKELIQEYLNQTSEEVEQLKTNLE ENRAQLVEEARAQYDIQREKRKQLKQPYIPFDDSKIEARLTDEQLITIYKWRLMQNDCQN RGFILDNYPKTHAQARQLFYNTEGEGEEQKFTLNKLILPEHFIVLAGNDEQIIDRIKFSK THKYDEEVLLKRLKNYKVLNTKKGYTILIDFYKELKVDICEISVFNKQTNIQDTCRSFIE RNGKYRNYKHIEQDQEESRLNDKKEQLLKQEEQNQKLKELRDKKEDELWKIQEEEYRIKQ EHSVNYEKELLDSRSLPLRQYLNDNVVPFITEGLVEIVKQNPENVIDFLSEFLFKRSLQV RFPDPKLYFENSE >CAK65295 pep:novel supercontig:GCA_000165425.1:CT868038:36027:36886:-1 gene:GSPATT00034774001 transcript:CAK65295 MYCSSSDMPNFLPLFKTCASLSSFDCDSSHSTKRFGDELLLSSPTRSQQFSNPNKRIVIG DDSWLRRGVSISSETSSPERQFERQEFFSSFRVPVNFCEEQEGSNRIQHFEKDAVNYINP ETLYKNANDITLFDCRYKFEFEGGHIQGATHLCNPFEIESIFFKQIPTVKPVIVLYCEFS EKRSVQIYKQIRNTDRNLNDYPRLHYPDLYILNKGFSNYSLEYPSQVEGKYVRMDSKEYS TQYKEQKSQTDNQWNSLKLKKRLQKINI >CAK65296 pep:novel supercontig:GCA_000165425.1:CT868038:37060:38033:-1 gene:GSPATT00034775001 transcript:CAK65296 MLVQYQLKTTNAPKDVNLSSNDHSFQLNRVNKNKFTSQFIIQNNEIVTYSLDDNTRFERK ISFEKDSYVIINDSLDKMQVTLGQKQVTFALNKQINWPYVPCVLGSIQELGNWNPQDAQI MILNGNTWYLTISVSKSFEYKFAITKMFSNEITWERTHNRNYNILTAPQSVELKAEWERK TNEKSLGKVPRFVHFSINYKTNSCNDYLVVVGNTEQLGKWNPKRGRLMKRYLDYNWKLGM IIQDDQLEYKFVVVSGDNFIWEDGCNRELEVEERTYCDISQDLSILCSCILELSIKQQNK LERIQYGMPCLQLTNE >CAK65297 pep:novel supercontig:GCA_000165425.1:CT868038:38203:40817:1 gene:GSPATT00034776001 transcript:CAK65297 MSTSRYISKKLQPFLTSKQELTQLLQKLEKEQKDEETQSDQYFDQLARVEKEYETLVHIL EEYGKKIANNQNGETDQAQDADFRIEKAVIETLNLYLLANSRKEQLEALQEREIAKRQSS LNLTLGKHVNNEQKIVEDDNQLNEDEYGYYVTLKSEDTSMDVKIPATIKTFKELKQIIKS CFMLEENEIFCTDLMGNLFQDNMVLLDEIYPPLFDLMKNYQPIIGIQVVKQVKLKEVIQS GDESLLSDDGARFFIKRFQTIKPVQKKVNWNIYFGYLNNFKYFIETILFLMVLGLFFVVQ IDSFKFTTSSSVITSFGKQIQISKSLLSPIQNISQLINQTLNDDTDNTLYPTYPLVSGLL IQTLVKEEKLQNCSILNPNQKQLFIDNNQSCLDFSTLLTDNLSGEYEFNIFNPTIYNQDF GGYVWELNLASKEEFNENIQLLQQKQWVQYNVKSSKFILNYFNSPSKRLIQVVITTIYLF NDMLLNYNTIALESFNLNKPSETEDFYDEIIFYLSILLLSLSFLDFFAIYKKTSENPFIF FYHSYCELKNKQKKLQSKKKELQSVELQELQQKELIMGDYFILKISSVYVVIKIPLIFDF VYILSNITLLVRKSIQDLYTNALDDIQLNSNVYQDTDKLYVPAFILKIYTGVQVLLLMGS IIRFLGNWSPYLKCYGLVMIRFNKQSSFLLLVLIFIISISAMSWSITIQGKLMYQENFFY DFLGLLRCSLKYGMHNDLSELGLENNYQKQISYSFETRYVFKINKIKLQYLIILVISYIM VPIFISLMTQQVHNTKQEAEQKMLEMQKEKQEEKLQKKQRQK >CAK65298 pep:novel supercontig:GCA_000165425.1:CT868038:40835:41709:-1 gene:GSPATT00034777001 transcript:CAK65298 MLDRCLRYYHNYILSMFISPTMPSELCFIFSIIFAVLQLIFAQNAFLTLSFQTWMAGRML SCIGATHVSAKRTQIIADQLAYLAFPIIVFQHGFLTALLLSVNNYMEMYSEKYKDRCIVG HTEIFLTYTACYLFPEFFDIILLNFMGLRCLNWFPMQDVILVILMFLLFHSYQYYIQYIY RLPPTLQANASLPHYLRSLLMIGQILEKKQESIKFISDHCSQYQFKDQMRKQGTLQFEQG ELQKWNKKNIIINPPQTY >CAK65299 pep:novel supercontig:GCA_000165425.1:CT868038:41749:48031:1 gene:GSPATT00034778001 transcript:CAK65299 MSEEQFNETKEAAEPYKPVDFKGDPQHTETKVAQPVYGNDDVPIGKSSNKQMLDEFPPED FVPKKPAAKKAPKKPVHPPVQNDEPKVVVNPDEIPIKPAGAGVLDEQPVGGGKKFAISEY PEGMEGGGEDVQQTVLPLAQRLKSKAWKLRQSAFEEMAELFQKDESLDEYYEEWPKLIND NNPGSQEKALIALQIFISKSNKGQFAARFDAKETIRMLIDKCIAPGKKQIVKLSQEIFFD IFERRDKQEMFDVVNEMLKNKVQKVQCAAIQSLVELLITFGPKRLDYLKPFFPEIEKLAQ STVSSIKTECMNFYKEAHKWLGEPILAPFIKGLKKLQLEELEKFQKEWQPIPMVPTRGGD AVTVGQGGGANNGLDAYDLVDAVDIFNKYNEKWCDKVLAQTKWNEKQALLDQLLKELATP KIAPGNYVPMIAMIKKLLVDSNQVINVCAIKLCGAFVKGLRKNFTAQAKLLFPLLMVKLR EKKNICQEAKAALELFHYCLQVEDVVEDFKEGLADKNPQMRAQSLLLFAKLVQLKGFPQG APKPKFVDCVKQLLPLAKKLIEDSVPDVREASVQSLGTLKPYLSDQLINSFYSDIGQQKL SKINEISGQIEEEKKKEQIKKQPTQAKEQQAASQVQQSTIQNSSIQQATAKKPPLSQKSN IVITESPEQSVDQLEGLLRSYGVDDQFFENINGMPKLKAEAIKMLENETDTMQHHFEDVL NFLRIKLKDWKEANLSINKELFAILIYANNLDPKPFTQKSFQPLCKLLVEKMTDSKFRED IYIILKGCCECVNPKYIVLYLMTQAVPKEDKDSGKLTIVPPQKIGEVINNISKIIDIVTI RNVPTKEIIDFGKEQLQSTNAIIKTSCVELFKTMYKYIGQELLQFLQDVQPPIMKTLNSE FEKISVLTSYEPTVTFTGEAAKECGVIQSQPLSQSIMQSTISSINDNLPRADISNQLQSV LKKMADVQWNKRKEGIEELDKLLTHNNNRIQINGLNDLINMLKQRLSDNNKQLVRPIVQI VGRVVEACGKDFKSSGKQLISPLISILSDKQVLVRQDVVASLDKFCIAIGIDQVILQMPP YLQMESIELKQEVLLFIMKYIEALLKLDYKPFILPLINCLCDRVKEVRQAAEQVCERMVE DVGIDPFLNQMKDLKPAVVQQVKQFFAKFGMTEVEETSKRTGKTPKGKQQQLQQSQQQDI RNMKRNLTTKDLQSDLNTSQEPKQRNERTPKKSLHQKSHKTLPQDNTPLRQQKEKAIFQD GNSLIARSASIKEMKLLRLQSDQAQWWASDCFPNSAFSDLYVCLREDLYNQLMSYNYKDV MKGLQQLMIIQNDPEQKTDIIELSDLLLKFVLVKIYGCSANQLLINALISFLDAFFQTIS KSRYILTEVEQIVIISLIRELVYAGVDVHPELIQNLQLVFPAEIMIKRLLPLFRINPQSI HPFLIDQKERIDTQLCNLIIQGQLAKFFPLDLFPEYSQYFTKELQNEFITLFGDNTMQEI YLTIVTILVIIILIIIIITITVVRKQPIVQGTVQLQSAKDPRSQYFLSILESLLSEQTSQ KIESLMQISDLLTQNIQQNQQLFVDNAEAICKCFQTLLQNTFTQRETYPAQFIQFFLQAL NKLYQLKPYVNQLPYELLCGFTEEIMQRLLTEDEIKAQHENGDATVRQLNSTTLRILENT SQDIMFSILFDILTKHRRRNNSSKMIGLLVKCIARLTAKVEQNSNIKIELLLLKFHNYLN EFQHYPNFAVDEQGVKTIKVVLQQLVKMRGETIWENYHLVGRSTQQDHYLNKWIQAYLGN PSLQNAQQENNLPDQELLQIAEMLRSPNLLDTGIQKMVDKIKRNPTIQWQKYVQDQQIQL LIQQQLTNGQAPVLGGYISNQSTLQQSQLQQLPLQQSQLQQNSLQQPPLQQSQLSVRPQL QYPAQQQQSQQLQNQLQQSQLQDSQLSVRPQLQQPTQQRQLSQSQQQSQSQQQQQQQMLQ QLVPYPKSTREVDQQQQYSDTLNGQQFNPIYVCQLIPNEFKSNKYLMQKLTDMKNKVQTL VGPNNVQQAQNSRSFQNNRMAQ >CAK65300 pep:novel supercontig:GCA_000165425.1:CT868038:48208:48906:1 gene:GSPATT00034779001 transcript:CAK65300 MEVQVSKLEAQEILKAYLKKVSLQNLLLPWNKELSVGFGTILTKNVAISDLIFNEDLIQI DLIEESKSMKVFIRLDSFALRLNADITTKVLLNFSTQLDLEIFGLQIEATLLLQKNQIIV QNEKFDKFSIKQIKIKEGEGVISNLIKKGFNELLNLFNKLINDFFTNKIVQFFKQDKWRN LLPSYISEVQFQEVINSENLKFTFSVNNSEVIAKISQHKPINDI >CAK65301 pep:novel supercontig:GCA_000165425.1:CT868038:49279:50121:1 gene:GSPATT00034780001 transcript:CAK65301 MTQNLQDYAQQLVNISISDEEDIINYSPIRQSNYPQVATQGQPSAKKSIATSVKGQAKST ASKTSKKPSGVKSTKDKTKEMKEQFEEQGKQLKWYQEEYQRLKDQKKQLKEEKTQYLLTI NTYKTRLEQSTGNEKKLHQQLNEQMDVAKQALKQANDAQISLEQSKQNLIQQSQMEDLVR QRQKEDLEYKYQKKLDQSVKQAIVDAESKYELRIHQMNKELDVLRQENVELHKRLMQNET NKKHFYVQSEIDQLKTKYLTTKG >CAK65302 pep:novel supercontig:GCA_000165425.1:CT868038:50261:51562:-1 gene:GSPATT00034781001 transcript:CAK65302 MDQAILINIENDDNKQNETELSLQFKPEKQDNTNSNEFCNLCFEQNAHLLNYSTIERELS ICQICWDIIHAFQTINKESAGKFKDCKFSNEIEIIVEKQGKFYNLDYGDCIICTSANSIL IKLNLCNHYCCDSCLSFYITINNDNRRKLMCPYLGCSYEINDYLIFQYLQYNDIKTWNKF QYNQFCYQKRCKAKFNLQPYNNLQQLNIISDSNQNQCEMCKQTFCAICWTKHIGACDFDK LFLKYIKEQQIIQCPYCHSLSEPNKCSVSSEPCRDYKANWQQCFVCNHLFCLDCKGPLEL FESIPNSETLTCIPCKKRERNCFHLLYRVIKQLSFRMLFVLMFYLSILFIVAFLACGFVI VLGIYIALHQFIQVSKWVFYFVKNRTNSINNGILIAFSPLIIILMLVAIVVIYAVGFIPL LIYNIKQYFYIDG >CAK65303 pep:novel supercontig:GCA_000165425.1:CT868038:51644:53999:-1 gene:GSPATT00034782001 transcript:CAK65303 MKRKECVKVVVRARPLSSKEVEDGRRRIVEVDTTRKEIIIQNIKGDGNEAQRSFVFDEVF DMNSQQEQVYHNTALPIVESVMDGYNGTVFAYGQTGTGKTHTMEGKNDPPHERGITPRTF DHIIKVIEGTPNIQFLVRCSYLELYNEEVRDLLSPNHLTKLELREKPEQGVFVKDLSKIV VKSVAELNEWLKAGRANRKVGETKMNQESSRSHSIFTLTIESSEFGADQQQHIKSGKLNL VDLAGSERQSKTQAVGVRFEEAININLSLTTLGNVITSLVDGKSQHIPYRDSKLTRLLQD SLGGNTKTVMVANIGPADYNFDETMSTLRYASRAKKIQNNPKINEDPKDAMIREFQEQIN KLKDELARKAGGVIGPNGQIQKIKEQVIEVEDDEELTELQKKAQKEKADLEQQIMQQRRQ STLQEEEKKQLQLKLKEKQKLEHNLKKEQENMQKKLQELQEQLLHGDKMKEETRQKEKDL LKARMELEERSQQARRQAEELAKKEAMQMEQEQKYNSTKEEIEAKTQKLNNLIQKIKELK SQAQEQTQFRQRQKEELIEDNRESLRQLNLMNLIIEHFIPEDEEKRLKEKLEFSEEQDDW VIKEFEANPLKKPASAFFFKRPICNFSKMAINFGDTNPRFRPENILQTDLDLPQRMTEDF SHEPSQKIQEVLNVALNEDEEEQQMIQNEKQANVYIEDPSIINKEAILNPPSNPNKVRLQ SAIKKNARRPQSGFKK >CAK65304 pep:novel supercontig:GCA_000165425.1:CT868038:54579:55066:1 gene:GSPATT00034783001 transcript:CAK65304 MTDNTKKEAPPKRPQCAFFIYKQEVYSQVKDAHPGKKMTDITKIISEQYKQLPKDKIDQY EQKYKDSKAIFEKEKKIYEDKYGKIKNERKKKKEDGKGSKKVQKKQKKQDSDEDESGSDD D >CAK65305 pep:novel supercontig:GCA_000165425.1:CT868038:55070:56519:1 gene:GSPATT00034784001 transcript:CAK65305 MGGSKSKSIKKHANVAFTDYELEVFEVYFELLKCHDSINGFLTQKSMLPEFPENPDFSVN LYNWMRERCKNQQIDYTNFVITLELLLKEQQEYYLSDYKFRVLEKFELFALISLGCLENQ KESINRFSVSYSQGSLVIKELLNMYYYNKQIRNSQRNDLAARSVANSIFEQKSTLPFNQF IGKAKQQLIYANNLCKQYFTKKFINPHLKYGIPKLTSSSFILNDQFLALLQMSSPDFNRI TELDRKFKSSVTKDDLEQITEIIMYSDQPLLFLFRNREDESQNDSFQQQIFGAYISIDET IETHFIRKRLKDPMGILYNPKDQISLYFGDEKSFLFSFLPKYQLFMSTFDINSKQCFAYI NNRAFNLQTQQPYGLGFGGDGKGNFRIWIDEKIQGNATNRINNQCDQTYEMGYLLEPHVE FLNLSQIEIWGVNHERKQQKK >CAK65306 pep:novel supercontig:GCA_000165425.1:CT868038:56959:57689:1 gene:GSPATT00034785001 transcript:CAK65306 MGQLQYIIYNDGSQNKQFKICDIPNEFFQEFHFQVSLPCILHDSHLTKIEEQFKNNTLFN NLKRKVQQANQKYIRLKDISQYNLFSYVTNTNKVTLTDCNKFAIEKIDERLLYYFSITIQ EQYFIYSSKEAKIMIEDFIISVIVLPNNKRFQILNNDTDESLYNKEYKKQIPIYTAIWEQ LKYENEKWTLNLSKEECTFYKKVDQYPESFYLNEDQTLIVRCRYANVRVSQIGNQ >CAK65307 pep:novel supercontig:GCA_000165425.1:CT868038:57727:60130:1 gene:GSPATT00034786001 transcript:CAK65307 MNPYFEIASLFLPAKFNKYISITKSAYEIYNIAASKFRPEFASVKNAENYQTNDPILYSQ LFQGSFNLETIKRKSISLIHTCLKDIQDFLSNYHFNQQLSIIKICKEFYSETVECCIINL KMISKDPANYLQNEDRKQFNEEQLNQSIDELSLLFIEYIKGQFHQESIIEEFCENFQEIS TSVYESIIQAAKKQNKEIYNKLINSIKQFYKNELNNLILDETCTIIKENKIPLFQVRNLI CNFFVQNHKYLHDELQNFYNFQLSNVVISRYASKIIDHQLFKVRSSLQIFLRHEKVYEEL QLKSWQLFSQYFQKKEFLLIKEKEFASQLFYLLLQVDLEQQEILNILALLSQYTEITKID CSQDVKQTLIKLRNIYRNEIEQANQFWKNSITEQQNLQLIFDLFILLNGTHFVNDQLLIS QYQAAKKIILMNIINLMKEACYGYFNCRLINNFESTVGDIINQISKQLEKQKQEIKIQVE IQDQHFPIQLYDKLDQSQQFSQEAFYKRNEIYHLTNASQMENQINQIKILLSQIQKDYLA SINIPERMYFEKRTPELVQSSVITLFISGFTDIEAQNSILEGLRDYNLIVLNWNNPKEEN TVKEKLVEAVKQIILKSPLEAVVNGFKALTSAPFEQTQEEAKRVGRYLAHLLISKKIFGD YQINIIAHSVGSTVMYEMMKELDNLSNTSHAINEILILGGIVDTRKLQKRRWNCVQGRIC NVYSKNDMISFVVSFQNYLGLNEVKKGYRRIENYNLSNLIQKHNDYGANIQKILVQTDFQ QYLRFLYE >CAK65308 pep:novel supercontig:GCA_000165425.1:CT868038:60169:61770:-1 gene:GSPATT00034787001 transcript:CAK65308 MYVKPISSLTHIGRYYVRIIKDLNVIKQIPAGSTVLVGGFGLCGIPECSINALKEAGTKN LTVVSNNCGTTDLGLGLLLNNGQLKRVVASYAGENHNLARQYFDGTLELELVPQGTLAEK LRAAGAGIPAFYTHTGTDTVVEKGGIPIKYHKGGDRVEIESKPKPVEYFNGKKYIREDSI WGDYAIIKANMADTNGNLKFVGTARNFNQDMVKAAKVVIAEVDTIVPVGSFGFDEAHVNG IYVDYLYQGNNYLKTVERLVYDQSVYDKHKDIKPQGKLNQTRNRIAKRAAQEFKDGMYVN LGIGIPTLIPAFLDKSMTIHFHTEIGAIGVGSYPKLGQELGDLQNAGKESCTLNPGATTF ESSESFGIIRGGHLDMTVLGAMQITKQGDIANWVIPGKMVKGMGGAMDLVASGSKVLVVM EHTAKGEVKFVKDLQYPATGMNKVSQVITDKAVFVKRDGQLVLTEISSDTTLEWVRANTG FELTVADDLKSFQV >CAK65309 pep:novel supercontig:GCA_000165425.1:CT868038:62984:63970:1 gene:GSPATT00034788001 transcript:CAK65309 MTENTTATNVNWAEISDDEDQVQPVVEKAPDQEIKAETQPSDQNKEFKKQAKKPYHKNNK PEWVKLKELQKKQAEQIQKEQQAALEARRIKPSKNNFQGLMYNSDDESEQQEQEGQKPQE ETVTEQQSEQKAEQKQEQADQYQILKQKQQQEEKQQPKKKEQKKKETEDLDAILNELGFT QKGDNKEDGKEEGAQEKKKKKKNKNKESAPAEKQPEQPQQQQQQQVQPQTEQGNSNDKVD IKKVLAEKAKKHQAGSHQVDKDLERVKQEIEKRNQKSKKNKKQDLDL >CAK65310 pep:novel supercontig:GCA_000165425.1:CT868038:63978:64430:1 gene:GSPATT00034789001 transcript:CAK65310 MHLEKHAKTLIYYYNSSDEDEEDYNKKALSYRNDFNDMDDQVLRLKQENLNNKQSITDLL EELEIHQQRVVLLQQSLMDQKKLAQDSQDQLRRIQVKKQEDKLLKEQKRFQDKFALQTNT SLEKLRQHFDFTLQQLTPKPNNR >CAK65311 pep:novel supercontig:GCA_000165425.1:CT868038:64456:65818:-1 gene:GSPATT00034790001 transcript:CAK65311 MSNNMTALYRTTFKTDFQGKQIGVPATLNQEKKNDLRTNHFVLGHQDAQQVSVTKATYNE KPLQPGLQNEQEIQKTKMRSHHHNFAETTHKMMQSNYYEQYQPQQLEPKQDVTERARQLR VSNIFLGQEQLPMITASQEYHNKKEGGLQPSYQSAFQTKNFNLGTALPEYQTINQEYYQR YQVTSNPFAEENRQNLRATHFTLGKDNQPYSSETKSHYRPYSENHVKFPNNTVALSGSHF TIGDPRFMNHKTQSLYTSTMKPPELSSSFQARDQQMDRGSNFQIGNENIRYKSEMQSNFN NPLGQAAQLTDKQLKDLRSSHFGLNDHSGKNTYVTTKQMEALNYQQGYPNKLDPLASANL RSHHFTLGQNRGDLISQTHDIHRYLDGKPNVLNQQQANNLRRHHFSLS >CAK65312 pep:novel supercontig:GCA_000165425.1:CT868038:66201:66497:-1 gene:GSPATT00034791001 transcript:CAK65312 MSNIYKGTQLFYRRMLKTMMKTFNGDPEMFHRVRIECRNKIKENANETDQIKIQNHIFFG EEAREFLENHLISGKLMPNGRYRFKAQPQHSMNDPIKQ >CAK65313 pep:novel supercontig:GCA_000165425.1:CT868038:66544:67563:1 gene:GSPATT00034792001 transcript:CAK65313 MKNSSKLRRTSNNKDKQRSNQKNREDKLVHLKVSQIKDNRLGYAKHFQEFQDEMNQLGLQ IRDVEGDGNCLFRSIADVLHGDEKYHKQLRRLAVQTMQENQEFFGLFIEDDMTFDQYLKE MSSDGEWGGNLELQALSQALDVNFFIHMKGRPCMIIKSMTDERPLNEKDALHLAYHLIEN VAEHYSSVRMLGDDSNTRAEPIPLDIFEGLLDTFQQAPLGNEDNFDQFDDLEQIEDPEER EYLQTLREQEEAEKAAKEAMKQAELQKYQLKQKKNKKCNCGSNKTYKDCCMNVDIEKQKW PKQQQQQQQQQQQQQQSQSDAPMKKQAVFI >CAK65314 pep:novel supercontig:GCA_000165425.1:CT868038:67588:67923:1 gene:GSPATT00034793001 transcript:CAK65314 MNYYKKKLAVQHDEHLSLPQIYDYRSLSLNKEITCSTEDNCKKYFSFIYSNQIPSDRYQK KPQHMRNIERKNQMLKLQLKRVSEQIKKVSPRRLQYETLEEIYFIRYKKIT >CAK65315 pep:novel supercontig:GCA_000165425.1:CT868038:67951:68960:-1 gene:GSPATT00034794001 transcript:CAK65315 MGDKKKKGDQVEDFSTEQLNKLYRKRSEINGVPLCKIFKERLEAVCSEGEHLQNVKLWEE MGPVGVRAMMESFTEIGYKHLKQLRLWRVKCQDEGVRTICLYIDKVRLLEVLDLLDNQIG VLGCKFLADILHPKCESKLVKLKLDHNQIGSEGLAELTKGLAMNNTLESLALNYCGIEAD GAKYLQDILANVNSKLYKLKLCGNRLKNEGAYELFRALEINNTLERIKLADNQFHSDPSD PTLINKILSTFELNKTLGYYDLKFNLLSDQDATRIIPLIEKNKSIFFIEISDQISKPLTD KLKSLTKKRKPKKKKKSKKKK >CAK65316 pep:novel supercontig:GCA_000165425.1:CT868038:69478:70991:1 gene:GSPATT00034795001 transcript:CAK65316 MEIKYKWIFSLILSTNVLINLDHGIIPAATQQIEASLDLSAEELGYLGSLVYAGISLVGL FGGKLFIHFNAKMIISISYIGMLGSLLMFPQHYKSSWLFYLSRFLTGCAQAPMMIYFPVW VDNFGEESKTIWLTILQGVIPLGIFVGYVLSSVISNIWSWQLAFYAQVVLLLPCAIFFML FVRTKDFEIKRAKRSKVDKNSVNPEDLGASILSMASHKSYWQMMKELYSIRLWLCCTIVI SILYFIVTGIQFWMTDYMIIEMHQNQKTVNIVFAVVSITGPVFGCITGGLIAQKLGGYER TKSLYICVVYCFICCLSATPVPFTETFWFGALCVWFLLFFGGAIVPPLMGIMLSSVPKHL KAFANSNTTMFQNLFGFLPAPSIYGFLMERYNAKVAVITLMYYSFAGLLFMLIAVYFKKQ EIKNRKKNTPTTIINRTESVLEDQENFNITDRVLQYGDMPLSVSLAQHIDDQIPNYEYEG NKEQE >CAK65317 pep:novel supercontig:GCA_000165425.1:CT868038:71076:71656:1 gene:GSPATT00034796001 transcript:CAK65317 MSADSPQVFIVGKIAGATNFNHSSLYVKYFFRAGDHWKKISGQEEGETFQSSSQNSKFIP LEHPIDLNYVTKSVRGWPKLLVEVWEVDDHGRNSLGGYGLTSLPIEPGEYQFDIPCWRPE ASFFDKLIGAYPELVHRDLLFSGESRFGFKVESTGNIHIELAIITKDFHLHGVQISNRNY LNQ >CAK65318 pep:novel supercontig:GCA_000165425.1:CT868038:71688:73535:-1 gene:GSPATT00034797001 transcript:CAK65318 MEFFEQFNKKEITEVQFVEQLQTLTTKIQKKSTLSLEQILQVNQQIDKIDDSCFNSNVEL FIKFALYLSNRGVYELLYQLSWDKILYQKDNADANLLGLYIIKRVYHSENHKVLIIFLND ILRQQKTVEKKFVLLEIFVQILPKIEKREIHLAVIFPVILMVINEGLQKFLKYKQQQIQN DLNEDNKFTKLYMSNFQNWTVSFFKRIFDSIKKFPEIKAQSVAIRELYGIDTKYDYFDNQ LSRKPEDPKLIVKHYILLFLSDLIQGILESEQSMKDSQLDPEIIQTLLKQANQMIYEIHD NKLEIIENYINWIRFSIQFDQELPQQYQHYSSEQTYNPAFVCYLTRELLTTTSLESILTV DYKLKILIGVLYEQKKLSALKCDIQTKLIDLIVKYLNLRDVSIKLRAANHFTTPFDIIIM LIMEKAGSGLADETPYLSAWKQAQSSFLPEVWTRILEQCINKSQNYVLLSHLVNHFRSAI TKLDNQILLKIYDILIQAIYRKEIGNIDAKVLLFDSATLLIQQLILKKADLKKIFDQKWK PLLKKYEKDLQSSIDYLEARKKEGDEQKLKDDQVFQSILGQERNLKIAYYNLSKVLE >CAK65319 pep:novel supercontig:GCA_000165425.1:CT868038:74001:76178:1 gene:GSPATT00034798001 transcript:CAK65319 MQLLKLNQFQPLSKVDKPIPGNVVICLQLGPNYVTFKSFALKYSEDCQHYYINFLLNYYE AFQTKDNSYHLEFQMCYFELLPQFDRIKNIPIQRGYKLKNLKENFNIKPIIDQVIAITSY KDMKCYILDPQTHPQSRFNDHEIVTRTILHYFQQTFSVECCLLKEIKQDATALIRSALLL DFIYKYMDESNNVERIENIKIRSSDAKQLLEKIKWFIQKCYNDNIYITNQQRQQPKLMDK LLSYDEWKQQMNSDSFEKVNKIKDQFKSRNESIIKFNQPTSPDSSISILSLMGQNQLNQS LSSSQSGISMNAQSFLKQQIYNQEIPRRDHRVNEDVITKQEFENLLRDAKQQIRQEVLEE LKREQDEKMQQYETKLQTKYNPLDEQIAQEYLTQVKFQRYKEYLDYLMEYYYNNDKQEYY KLIDEYNQRLKDTALNGMVEVQKQEILKLQAITKKKIVEQNQQLEIALYNQKKELKDIIP IKNKLQERKEDYQRFQIQQNKHEYKFQFANVNLTIDPFEFKTDQQVDEIRKQKTILDQLD LSKTEIKEMQNAWKFETSKSSLSRSSVYNYDEELEKLQKLYQNKNIPEPYQSNRYSNNVI SHGSNPQVQSQAYSNLVFSNKDPTSQRNLKNNDPTSNQQSKYDLTSKQQSKFDPMSKRNL DLSNAQSEFSRLQIGQSQKNLDRSIRQPSQFDSLSQIEQNQDQQK >CAK65320 pep:novel supercontig:GCA_000165425.1:CT868038:76233:78137:-1 gene:GSPATT00034799001 transcript:CAK65320 MQKAIENRLYHIEQLAESLKSSTSVEQTRIMSSLQEIAKTLKSISKTEIELNQKNKQLDH VTMEAVMQKQTIQKLKDQQNHYKIDNEGYLKQLSLSQQDQHKLCRDLLQQKRVGDEQYKK IKFLEKRIEMMLEKNLYSHSEELRNTLSELIRDNEQLKRDLVKKEKENERLKDLNSKLLQ QNNRLTKKLDSLKTKKVGVKETVTESQQISNYAFNPNSLPSNLEFRLNDLDNDDCDFLLD LIEHGPEVFTNQVVTLESVQQKKDLINLVASQFISARDFSEKINQIMNEFITMISYKSIQ DFQIHVSKAFRSIFGTEIVHLWIIDGMTCRAQTYDCNGAQHVALLTDGVFSQLVFEDYGI RSPSKKQELLYITENNEVFGKNFLLLPIMGNSSKPCGILEIQNIQENLFLDSQYYGLLIN MLSKSVVQSILDFEALVKELNYRDLFYRCFNRLIQCKDKDHFCAALQESAMQIFQIAQTK LLFIENNQFSIQGRSYQLQAGCAYQIYLKGKPQIFTQITRQDHFDENTDISSILPVFIAP IYLNDQVSAILQFILKRKQMIDKHPFGNQANIGFRLDTIDQDAQKFYEIVQNAFQIVFR >CAK65321 pep:novel supercontig:GCA_000165425.1:CT868038:78769:79963:-1 gene:GSPATT00034800001 transcript:CAK65321 MIQRSSRAKRLIEEGQQNLSLKKLVKFSHYETIFKFVFFLVIIVISLYSFDIIQFQQFLQ EKNFRRFDWKDIKWLFIGLILIHITKEINRMLAIGYVERRLDPKYVGTDRQLRVQKIVKW IYDTVYYSSATLFAFITFRNEKWFPTEFGGTNFKETLYDFPNIPDNPWVPVYYMVQASSH VHALLLLMVYGTKIELKYWEYLLHHSLAVSLLYFSTMYNCENIGIVVLLLHDISDIFLAL GRAYGDLGKNKILVYLGFSSIQISWLYTRVYVFPLKIYDCILNHPQFLPYWDRTKHAYYN QIGLMVLLFGMHVYWTIFMVKVGLGIFSSGRYKNIYDNRENKLDHKKEN >CAK65322 pep:novel supercontig:GCA_000165425.1:CT868038:80091:81556:1 gene:GSPATT00034801001 transcript:CAK65322 MKFIILLVFTFCVNALMLRSVKDKMTEGVIQQIREQIKNPQTQHYHSAYNRLAYYVDTFG PRLWGSESMADAVDALYSEIEKMGFDRVWKENLGEITSWMRGEESVTLYEPREIPQKLNM IGLGWTPAGTVKGEVEVVHSFEELKQKDVRGKIVCYNFEWNGYGSAIAFRFAGPTEAEKA GAIGTMIRSVASVSIASPHTGMTDYENIKKPAVAITVEDADMIDRMRQRGQKVVVEIKTG GQQYKTTSDNFFAEIKGSKYPDEILLMGGHWDSWDVGSQTGANDDGGGVIVCLEALRILN SLGLKPKRTIRFIAWSGEEMGQKNNGGFHYALNHGKENHIIAFESDLGSTKPYGFGITAG QQFTQLVTYMAQEYLTGIGAERVYPNDGESVDSGVLAEITGTPMMNNRIADNENHDFYFA YHHTAGDSMWMMDPDDMDDNVVAIASIMYLIADYDGPIPKD >CAK65323 pep:novel supercontig:GCA_000165425.1:CT868038:82727:83430:-1 gene:GSPATT00034802001 transcript:CAK65323 MKEVIDNSKIVDGKRKRVMSANGVMYVVTKELMREQRTSVPQVQKTVVKKKEKSKEVREK SQCKNDKRVRITKSALRELIQSHFIVVDDDEEAMIQSSKTHWLEIKIDTVHHLILNLILH HHLVIEQGIFDVQIIYIYILNIDYYEQGTNEINSLSEQHGCTNQKKPLINPNIQSNRKFQ RIDYKQSQPKTFKALGKVDLGFQPISLLLVRVF >CAK65324 pep:novel supercontig:GCA_000165425.1:CT868038:83806:84333:-1 gene:GSPATT00034803001 transcript:CAK65324 MICRPRIMKEQNDLQKLNDPTMIIQINETDILIWHVYLHGPQDSPFEDGIFKIKVSIPSN YPISAPTLHFQTRIFHPNVHMDTGEVCLEVLSQKWEPRWTIESVLRAVRLMLQEPNPYSP LNCDAANLLKANDLIGYKSIAQYYTSKYAIDKHEYMNKVNVKDKKTQ >CAK65325 pep:novel supercontig:GCA_000165425.1:CT868038:84351:85476:1 gene:GSPATT00034804001 transcript:CAK65325 MSDQKKSKKPNLSSISLTEKPSIMKQQKSPKHYKMSFDPEKYVEREDYVKMEKKYNELVQ RVQALFLEINNRYYDFNDINEKLNRKSNEFGYTRLDPHRLIELIKEMLATYIKGIIQTEE EVQSYKLCNDDEQQDYIWLLHKSEMDMRKMIRENQQLKLLIQNLEIKISNNEKQIELLTT YTQKAMEEMKSEFTFAIDLLSERESSLNILKDEIKRQTELITSQKQQLISVQVLEHKMGH LAEKQNKEKQKIKQEYDHTVKQKEQYLKQSFQLDQRAKEKVIQQLESEVKQLQIEKNIIE RDLAQKIEFSQKKIDNQNNQLAEKISQISYLQKKIIDIHHSNYLQDKANHQSTKHEP >CAK65326 pep:novel supercontig:GCA_000165425.1:CT868038:85887:87941:1 gene:GSPATT00034805001 transcript:CAK65326 MFQNKIEQSKTAFFWDILLGTYSPQLPHPSLNEINKTLVDQPQYRTLKMDIPRTRSQLLQ PQMHGILEKIIVFFCQQENISYKQGMNEIFAVVAVFNESGLSWEKIYEYSRKIILDNNFF KDEEFLPLQVAFQWINLLLKFHDFQLYDYLDKNLLSPELYATPWLLTLFANKMSLECTYL LWEMLYIKKDQLMIYFFVIAVLIYFRQKLLSIDSSLLPQTLSGIYIDNVETVKNIYKKAV DLKLNTPSSACIPCKIFDLPKEEIKILMDYYSQLDCLTLHFTESLKLETNQCHFCSNNGC AQCRVVRMPPEMKCFIQSNKHLQELVQYVGGPIKQCKIVDKVQNLQNIIQIIRYSKFQYE QYIQQSYVCFQQQQSSHQNTEEDTISTRSQNSFYNSQIIVPFKFNKLQLQQQLQKQPDDQ FDSIRSQEQTNQQQSSSYRQSSIMESNVLQNIHLNNSSPIEIQPLILKKDSRTNSLKQDP IKRYENKGLFKKVIMEINQLNNIKFHIFRCKLIGTQKQRILIINQGFICLVKEDNSIYSR LQGIQQLKSKQSLKTEQQSQSISIQYLYYMIFLKRISSKRLNSNVISFYFKQPIVNSQQN INHRFFNLFKEFHVIIDDDYINEAKRMIKTEYKITLEMLTTQEAQNCIEMGKQYYKQCQF >CAK65327 pep:novel supercontig:GCA_000165425.1:CT868038:87958:89223:-1 gene:GSPATT00034806001 transcript:CAK65327 MQVPCRTKNVNKYYKVIAEVGSGTYGKVYKAKCLKTNDFVALKKIDTKDQKIMAEGFPIT AIREIKLLKIMNHKNILRLREIIVSKASHRNNFRGSTFLVFDYYDHDFAGLHRQRNVFAL PQLKCIFKQLLEGVKYLHESKIIHRDLKCANILMNNKGQVTLADFGLARTLSNVSNPKYT YKVVTLWYRAPELLLGQTNYNTQIDMWSLGCIFTELITGDVLFKGDIEYRQMEKIYELCG SASEQNWPNCVNLRQWEEFKPRRNYERLLTKHIKELCQIQNKQIDQVTLDLIEQLLILDP TKRLNAAQALNHEFFKQDPKPCSQNEMPQFDKEFHETLLKNDIRLQQQRIDRAQFRPQQN TSQKFQKLIKDERNQAKPQVQQQSPQRDVKSFQEVDISDLIKFEIEKKVKLN >CAK65328 pep:novel supercontig:GCA_000165425.1:CT868038:89652:90798:1 gene:GSPATT00034807001 transcript:CAK65328 MSNSPLTKVPTSAFSPISALSMRKQGQIPQALSKQAIDLQNTLNKLKEAKQKKQLSNSNI VVQSITNPVSSPQKNKHTEDSEPIQIQYKSLFKDIESPEICQPKTYNQMNEQEAELKYEQ RKKQIKEQIDRTKSNSKIIQKPKQSQKKTVEKQIQKIDLSKNSNKKQKQDSISKIQDVTP QSKDGQHDNMKVMQKKLKQDIAKLDKEIQGEHQTCLFEIKNKGHDLYLQGLQIEDRKQFK KKLHEREKQLKEMQECTFKPQISQQKRMNNQSRQIESRLQQNESKQQVKCQSNRDGQITS KRLSRSQSQDQINSTTLTQTVNNNNNNDINSYLNRIQDKYQQIVNRANALRLKGL >CAK65329 pep:novel supercontig:GCA_000165425.1:CT868038:91142:92615:1 gene:GSPATT00034808001 transcript:CAK65329 MKVKQLCINSYKPPVQSQNWAQSLFLNLYRCNSQQISRHLHRLKVNNVVKGGQSFIFGEE KVGLAVGVKGSCYNAGGEVVRKARQYGFGTVVLTQNELNIKETSQVISGLIQANYKYKLL GDVAQEKQQSDENNDQYTYISDLHVQENIFEDPYFQQLVQLAQIKLYARDLANTRQEGTP QFFVNEVKTLFKDNPNVEIKILEGQQLQESQLNLFYAVGQGSIHPPTLINLTYRGNKDSQ HLHALVGKGITFDTGGLHLKSYGNMETMHLDKSGACNVLAAFKGAVDLKLKVNVTVTLGM AENAISNTAYKPSNIFRSHKGLTVEINNTDAEGRLVLADCLSWTQATHNPTSILEISTLT GACAAALGENTGGLFSNNDELSQELLEIGKELQEPFWRLPVTDEHKDMMKGQFADLCNIG KAKVCGASKAAAFLFNFVDEKTPFAHLDIAGPMDSKADKGIHPPGATGFGTQVLLKYLVN KQE >CAK65330 pep:novel supercontig:GCA_000165425.1:CT868038:92624:93280:-1 gene:GSPATT00034809001 transcript:CAK65330 MSRRDQIEKDKQALLAKPWVKNHPIFTYDHIAEFYEMFVLYAEPRTKKADVRDILVTAKT LGLTDKFPIIAHALDDLASSYDDAVDFETFISDLTAKLGHPFDQKGRVQLFKLIDVDGKG TLDKGDLHKISEELRFNLTEDDIEEIIHNVAGYEAEDVSEEKFEKYLGKRVQRRQVEQEI YRNK >CAK65331 pep:novel supercontig:GCA_000165425.1:CT868038:93331:94976:-1 gene:GSPATT00034810001 transcript:CAK65331 MKLYKFSTSHIVFGNKARQRLLQGVSEVKKAGVLTLGPQGRNVVIESETGNHRSTKDGVT VVKNVMMSDRLSEMGAAMIRQSSSQTNKFAGDGTTTSALIAANIFEMGQAYVSAGHNPIY ITRGLKEAKNRVLEYLEEIKTTEIDDQLLYNVAKVSSNYDENLTNIVFKAIKEIGINGIV TIEPGGTESVIQIQQGIQILRGFMHEKFADKGTIKCILHYPFILTSKEPILQIKQILPIL ELVKNTNSPLFIMASDISEEVMSQLLYNHTKDIIKVCAITIPGMGQSFSNDLIDDFSILC NSQSVENVEGVKQLSQLGRAVKIEVSNTETMIIGTQPDEQEVENRKNFLKNQMENANNYS AQTLKDRLTRFDGRTAIVYIGGKSEIEMHENRDKLVDSLNATKSTLKNGVLPGGGTALLH ASKLLDYLQIDPEYQLGVSLLQETLRQPIKQLCRNAGINDGQIVKVLLEEGDYNVGFDQR RACLGNMIDLGVIDSFAVVKHSLLDGVSLGSMLLSTEAAIVLDKNYTPTALNKYKKEAF >CAK65332 pep:novel supercontig:GCA_000165425.1:CT868038:94979:96001:-1 gene:GSPATT00034811001 transcript:CAK65332 MLKKKGSEQLDIIFEAGEDLLNISKNQVIMIKNNSIKLPIIRPRTFLSYSLEFCGITNLN YKMLRFQSLGRDIRFKPETSIMTACLVKVIHTTEFSQMAQNPLKTSFQTLFNHGAHSDIL LQINDEQPLLLHKCILASRSPKFNGMFSMNMIESNQSVLRVECKKPDLFKVMLQWIYCGY WKEFPVEIEDTCDLMLLADEYLIADLKQKCEEDIISKLNSNNILQILQFVEKHSNIISSM LLEKTNSMFIDDFDKIHKLNSDLEREITKVPGLMTKLFQNLHQKKIRKGRKVHFVVDDFD NQESDSDDYVRNYTQHFYQ >CAK65333 pep:novel supercontig:GCA_000165425.1:CT868038:96087:96658:-1 gene:GSPATT00034812001 transcript:CAK65333 MVTFMCYHCDRTLTKPQVDKHVRTQCRKPPSLICIDCKKMFSDNHVDHVSCLSEKQLYWG PYANVLISSKSQGKKPQQQQNPQKPQKDTTKQKEQEATKEIEPKEEWKGWRKSIDEFIKQ NQLEEVRLNEVKEKLVQRFQSLFPEYEKEQAEQLFDEAIKQSNKYQVEYVGFIVKKVKQE >CAK65334 pep:novel supercontig:GCA_000165425.1:CT868038:96711:97914:1 gene:GSPATT00034813001 transcript:CAK65334 MKSFRTEEPLSTNSKNKGLTFEIGNQSTTRKQQKMPFQFNSLNTEECDNDTHRSQQAFKK LKNLEFQNELFMLEMQHGQRRKEAESNRLKFQLSSIKSDIDFMKSQLQSPVAKVKPKRRR SQSIDSEQSSNRNSSSSSDNSYVKCPIKFKHQEPIQKEQIKADSYETIGNRFKQLFQISD VNDKLNWMVKQYFNSFHSIQLDLQNNLLPLLLENTLKLLIEIIEKLNSIKLDTLQFKSYD PFQNGDKNIKGYSSDLDIETINQEYQFQGNQTLTDYCQKFTEQKNQFSTPQYKQQQPEQK QSARQLQNMMKSKPDSSCHTSNNQTLDKKVSISERSQKKTTQKTQLMPSLKNRQK >CAK65335 pep:novel supercontig:GCA_000165425.1:CT868038:98091:98767:-1 gene:GSPATT00034814001 transcript:CAK65335 MPPKGNQIHVSNIQSLAKNEEVEQLLSSIGPLVEWAPKREGEVKFSCTAEYYDEFTANIA VDTLNGYKFQGRELKVKLHTNLSTYKILPYAIEKVNYKTSNTYSMGLPLEEFYASLTTTK KLAIVIDLKQAFQNREDLLERLLLENPRMSEFILKIQKDVMKEFKANNTNNDDISHLNHY QQFQNHHRY >CAK65336 pep:novel supercontig:GCA_000165425.1:CT868038:99028:100389:-1 gene:GSPATT00034815001 transcript:CAK65336 MDPPWRIKGGQQNDSSFMFTNSKFSLDYNTMSNQEIMDIKIEKLSKKGFLFLWILNTQLN IAFEMASKWGYEIVDQIMWVKLNPQGNNVYLSTGYYFMHSFEICLVGYQNSEHVEYHSKI SNNVIFSSIRNKSQKPIELYEIIEMMMPGSKKVEIFARNHNLRPGWFSIGNQLGETFQKW LVQISCNICGISLQPGICRYKSKKIANFDICQNCYNKKISEGELTQTDMFFLANKADEEV LHQYHQCNGCDQNPIWGARFECITCDNYDFCEACFDNLLITGDPNHKDHEFKVIELPTFA EGIPCHDSKCNGCFQKPILGVQFICKQCTNFSLCQNCFFTRSQTELNGPRHKADHRFEPI YEVQQFQKKTYKCQGCEIEKSGSVYKCENCFGFYFCEECYTLKKDDWKCYVATSHKNYHT FIQI >CAK65337 pep:novel supercontig:GCA_000165425.1:CT868038:100477:101330:-1 gene:GSPATT00034816001 transcript:CAK65337 MTDQEKIYDAKLTSDLQKKCSKTKDRIKRERKQTGTQPTQPIPVVQQHKITHLKQDRKKK NAELQTPPREEQQQNQEPTLLKQLPISCEQLVLPSDHSSEFNPKIKPKKSKKFRRPHKHI DLEDSSDEAQYKSKLILKRVNKIFKKSYEQKQILSSGSEQENLVKELFRNIYVKIFEDIP VTAAELKQFAQPENSTGQEGEGKLLEDEQKLEEEPLRILTEDGTDFETKRNLKLLGIENQ KILSKLGENQILHQL >CAK65338 pep:novel supercontig:GCA_000165425.1:CT868038:101396:102231:1 gene:GSPATT00034817001 transcript:CAK65338 MDSEDYHFKFRIVILGTILLYVKVRNQLENQPFQMVNQIIKFKVLAITFGKIIEQEASDE LNLKTVGYMDDDTLYKIAYWEIPGKHRSPDTFFRFCLGATAAIYMFDVSKRQTFEKIEHW ITENEKTEIPVKVLIGNKIDLYASNKGGVSKSEAIGMARKYGMEYFEVCSIGDTSIAPVF DYLISTIIGQIPNPPTPLSLMGKGILIGKRLLNSSKYQLVMKDCQQQALCDIASLYE >CAK65339 pep:novel supercontig:GCA_000165425.1:CT868038:102267:105713:1 gene:GSPATT00034818001 transcript:CAK65339 MTRQFREALFKWKYDENEASQEGKTVFHARKGDENRAADARGLVRSFQWDPQESFAQHDV SEFCRVLFDAIQQSDDQDFITRLYQSCSQSYVQCLKCQQESVQNEIFLDLSLTVKSDFLN VYNESLERALYYYIKPEKLEGDNKYLCQNCNDKTDANKGFRLKSLSDIFTIQLNRFEFDM NTFERKKVNDYVSFPFVLDMNNFLKPYDQILIEDHPDLLEEREKLKQQYEKEKLQSCFSD PLRRKNRKPSPDEREARRSISMTLRKQFHLMIKPNSKKFQQKFQSLKSNNTSQTQFTSQT IPNNPNLILTADEAYDIDNDFLFGGNLDGLGDLGEGTAANTVKAIKEVQGSVKTQNYEEK DIQERIENESHNLSIKQKIQELLQNGPNVYELYSVMIHSGGALGGHYYAYIKSFENGDWY CFNDSTVSKISWKTILSMFGDKYPKYNMGSSAYFLMYRRAGEELSTQKPEIQEHLKKEKE EKWEREKKEREMELKIRCFYKNNDAVIKTRKDTLLKDFKQLVRQELKVQEDDENTRIRFF NHIDSIPQDTFTNKENEILQGLYFNDYKSVLLETKAPHEQFQEYDAHLMLIKLCVWRNDI ESLDEHELKPNKFFIRDDKTVEQFMDAITQRLGVPKDKQIILQKNLINGAANYSFRMNQQ HQLEYTLYDLKIGRNVTLYLEEKQDDPDLPLNWDQKFECEKHKCRVTFNDPRKQLLHSET PDYCFELQIDNRKTMQELKERMSDFLGLDIDSFIYLTTTIKNNQAIGRAAFCLMMGKPST KGTYKIKFGIGGLSPKYSDTIEFEYQDLFEMEINSEWYVRELKEKLCQRLEEQKQIVLQP NFMRLRYKLNTKLSQIYHNDQILKNIRIFDNQTIIIEKLDKEDQIKENQLLGYVRFYNPK DFTLSFPPQEIFLNSTDTMHDVSTIISSMFNINQDAIEAIKINNIFSFTITDLVTASFDW QLLKFNQNVVSKSPWFLLKDSYFIIVRDAREVPKDMTELRQKVDQILAKSSDPSKMQQKI PPQSTKPKEKALKITVVKKQDELAKQEELQKQQDDLKEEQQLKEDEKEQPQQKDENQDNT NETAKTEQQQD >CAK65340 pep:novel supercontig:GCA_000165425.1:CT868038:105748:107080:-1 gene:GSPATT00034819001 transcript:CAK65340 MKKNNKKSSSTKVKVTPCSNDRYYMDQSIDEEVYLKQNYKVVREMGRGGYGVVYKALGLN QGQVDKTKKYAIKVNFSTVSPELIFAEIGFLKLVYGKENMPQLVNLFLKDQKIYIVIEYF TFKPFITFFANFDMMQIRKYLYELLKALNLLKQNGIYHRDVKPGNFLYNPKTCKGILIDY GLSEIDRSFVAKLIEKEKDLSKKNPQSDEVQEIRRKINLYNEIQKTIDQIGQNKIGTESF MPLESILHYHDQSYEVDMWAVGVIFLQFLTKKYNLFSNVRMINKPVPTKNFFYVNFILEL ASLFGSEQVRQICDLFEYDLKLPSVTATKPIKWKQIINMEGFDDNAEDLLSKLLCLHPKQ RIKVEDALKHPFFQTVVSKEQQADTNVQIEQEFNIIKQTEI >CAK65341 pep:novel supercontig:GCA_000165425.1:CT868038:107100:108722:-1 gene:GSPATT00034820001 transcript:CAK65341 MKLSNTPQHKTSRLDDSTSTDIHNMCYSRVNILTNEVEKLTDVLGTASQEMEVLKEHNRD LQNQLQEMEQSNSILNQEYDNLCDRLKQKSIESEEYAFQVQKLTLQKQELSQNLNKVLNE LEQTNQIIEIKDQEIQAGKQQFINKTEELQKSLQQTYHLDQALRVVKEEKLKYIYEYQQL QKVVKDQSDQINELLEIKNAYELLKIDLDVLRVNYSNLLDQNLQEKKELEAQIDVLRDMH GYTIEDAETKFANQIKQEVENYKQLYDEQYKAERMNYESITDQLKNQVNTLQLQLQNAEL LIATHKQNLMNQTQLTMFEKNNQVSELRAQNFVLQESLRNMNRELNELKLQMENGNIIRF DDDVRKQIEYKLDLCQQMQKEREEFKKENEQLKRENRLLKENNELMSQQMHQQSSISQLP QSVLISQIQPQGVSPGQKITDSEKIKYLLKAVDQLQIAIKDRDLEINKLSHLASTNAGLS QLVNKPKSQIRFYHLNSGDMSLDDKQQ >CAK65342 pep:novel supercontig:GCA_000165425.1:CT868038:108866:110023:-1 gene:GSPATT00034821001 transcript:CAK65342 MQEKLWQMIDESKTYCWILTYAMDKSLAANITLYKLINAQQRGVNVVLFVDDLQGHYNNK LTKLFQSYGGVFLNLNPLSAFKIYGQEFFRRHHEKMAVADHKAIFGTSNIESHYGGVKWG KQTFHDINTYTEGRHLSYNLQKHFMYLASLYKIQLNPHKPTPNEDLLYTFNAFPPYYEFF KTHPPFNRQIQTQIIESIKNAKDNIKIVQAYYYSVRIFEKHLREAMDRGVKVEIITADKR DQPVYRYAFNRILFQGVIHRNLKVYEFPEQLLHMKGYVFDNKQLFYGSFNNDRWSWKLNH EFNILTEDENEIKMFMDIYNDTKLRTRQIDYKKTGTPLRWIKIKFWEWFIYQSEIFMSKN QGYHPHKYKYNYLLNTWDDGIGNYF >CAK65343 pep:novel supercontig:GCA_000165425.1:CT868038:111210:112173:1 gene:GSPATT00034822001 transcript:CAK65343 MKITITDLKNLCKFSLASGNAVIPFISFMYLGDENLVSLKSFSLISGSLFMAMASQAFNQ VIEWKQDKIMTRTCNRPIPIQRLTRLQGGMIGFAFYAASNLLMYNYLPSNAVLINNLIFF SYLGIYTTLKTRSPVNTLIGAVIGALPVVLGAACVDNDSLYNLGMWGNFGFMFSWQINHF YGIYWKYQKDYLRAGFKMVNDSAVASKHMKICLGINGLSWFGTASQLSQPELKFISCISG LLSLYFWNYRAIKLFEVDPNKNANYLKQASYYFFLVYYTLLLLDIGIKRYQQKQIH >CAK65344 pep:novel supercontig:GCA_000165425.1:CT868038:112173:112905:-1 gene:GSPATT00034823001 transcript:CAK65344 MSKGIGAHLDKAVKQKIYQQRANKYNSEFDKLEEQGHDPDKIPAILAEEEYQKRHANDPQ EPLPFERSDKVLPITGSTHLGFDKAHTNLSATKQMMQIQDQKMQKIKTIKQQGGKLPVDE VHRAQKEHERNMKARIQYDHINDLHQMQQSDPNAKKSSYQQHHVFTKYMQDECDHQNFDY HQMKNDLKQYHEAFVICQKTLRK >CAK65345 pep:novel supercontig:GCA_000165425.1:CT868038:113430:114106:1 gene:GSPATT00034824001 transcript:CAK65345 MSQKFKSPIIISKVQPKVLYNTQDLNVKVVKVFSPFEYMHYLQRRQDYIKKQQELIANLQ LSKENYDKLTFSVKQIGVTDDEGRVGSLSQRKYLLKSMESTRLQKSESIQQSQTDRVQYQ FRAKALPSSRREKTRMSQQQSNINFLTLPERSETHIEFKTSTIDKIIKRSRQKKRFPLSQ PQPPEVAFAKQYQNQKYLSYSRQLQELQGTLEFNKLL >CAK65346 pep:novel supercontig:GCA_000165425.1:CT868038:114123:115198:-1 gene:GSPATT00034825001 transcript:CAK65346 MEQWVQETQKLYFSGYPYIEYGVGGYIILISVLLALKCLFSCDTKHPAKFNVVKFKIPNN NSNTNSSNQQELINNQTRAVQQLNEKLITMQQGFDKLAKEHIQLRLTLSDLQSQVFDILT KKTNSSSTQLSAKSIKSQIIIQQQQVENQEAKQKSDLVEGTDQLKTENYQLQEEQTQKAQ IQQQQEVKEEQQQLQQQQQQQLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQEQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSQNEENLQNNSQRQFKEQEDNTQNTIIA QPQEPMNQSQTNPFAAEQPPIVRKTQNPPTPRRIPPQLRPK >CAK65347 pep:novel supercontig:GCA_000165425.1:CT868038:115224:116376:-1 gene:GSPATT00034826001 transcript:CAK65347 MKNNNYIVKDIYQEKNKGRIYDFHKKKLEEINQQYPKLEDQKIMKKLMEKHNMIKTVSKF YNQEAFLEQQRVNQHLILKIMQPQTSKTRSQHNQSRKEYAKINFDTKRISEENRSLANRI TNLPPVIDAKKHDKEYRKHQSDVVLMQKYHTTKFIEQQDQKTFQILNKLTNNKFNKSKFG NFKFLSTINKQTKFMMIIIQEMELFGVKNCSFMSKNTPTYQCSVFSDQKCLCKSKPLEYN EFLENIIGFNCQDFTSIYVVIEKIKQNEEFQVGEVIYCESYSHIHTHPLKDNIIARISDE IDGEIRKNISDEQVVIGRLKYKILSLCQQSHDNKLLVPPASYAIQIKHSTPQPSIKEPEE IPSQQLI >CAK65348 pep:novel supercontig:GCA_000165425.1:CT868038:116563:117541:1 gene:GSPATT00034827001 transcript:CAK65348 MQSPTRIIDGLFIGDQSAAHDLEFLITNKITKVINCAGKQIPNHWESIGIEYLTYGWIES DNQFAIDHSRCFSFINDNLEAGEAVLVHSIKALNRSVFVIAVFLMRKFKWTLQKTLQYVQ NLKNNNDIKQNVMQQLVNFEKWLQINKLSNNWDSTQNQDEVVARNTYLNSQKPQECEPRL KKEVGSKKVHWNKIIVSQYIPPYFQIQFKNLSKFQPEPSTQVQNQNTQLPTKLRRQTTDN WMNKKLPLNQVNDDKKINLFCIKSDRQQIKPLLRPGTAPQKRLIKNKWQSQSHRVLVKQA >CAK65349 pep:novel supercontig:GCA_000165425.1:CT868038:117571:118236:-1 gene:GSPATT00034828001 transcript:CAK65349 MNQNLENAIDIENDELNFENYNNDDQDDQLVSQLQEILISEDFEKLSQDFLREKCLVFDE TEQKEYREIFKLYQSAIQLYLNEKLKVEFTLEKLQSLPLDEAINETLASLFDYELFKDIM VETRIRVEEEERTIKKSTNKATKGYKQAPQQNIFSSGQAIQFEKKPLKNQPPQDLRPDLF FNVVPLSTPKVNRKQA >CAK65350 pep:novel supercontig:GCA_000165425.1:CT868038:118236:119648:-1 gene:GSPATT00034829001 transcript:CAK65350 MSNNLFNALTFSDNEEAPEQPQKKNKKHTNNEQVIPAEQVAKENTQHHNPAPKTKGVPAE PHPKDRQSGTGRGKEQRKEGGGRNNWGNYKDDLHEEKYVAKEKKTGDGHQEVKAEQTTQP VQPPAPEKTLADYYQQRGANVEEVLKQVEVKQQVPKKLDEEALKKEKLFVMKTREDEKRE QEQKQKKQKQQQQSTYKSELNPQAAEYLGFTNQTQEKNERTGERRGERRQYEQTSQYQQK QQQQQGKGERQEKQQDQGQQREERQDRGEKQYRGDRQYKGDRQYKGDRQYKGDRQERGDR QERGDGQNRGDGQDRGDGQDRGDRQYKGDRQNRGERQNRGDGQDRGDGQDRGDRQYKGDR QDKGDRQYKGDREYKGDRQYKGDRQNRDNNTRDQERGEKSRRGGDRQEQKPIQRKQEQGF QLDDKDFPAL >CAK65351 pep:novel supercontig:GCA_000165425.1:CT868038:120054:120386:1 gene:GSPATT00034830001 transcript:CAK65351 MGCCQNLRLQETETIAIPQLSIKQEFTPKTLQMEPFSLNLIKGDIDCIERLGSTCVDNIL GEKQLSRVDRQQSEQIKGEVIIDLRQAQSPSLLIKDRSSRNLNNKFIGML >CAK65352 pep:novel supercontig:GCA_000165425.1:CT868038:121155:122207:1 gene:GSPATT00034831001 transcript:CAK65352 MLKLNQQILIRSTHANCNSTFQQLIHQDSSQKFQITQNNRKKSSEHIIQKQNLKAQNQFQ ERKKQNSIPDILYVQNSFIKCHTQTYNEPDNKDYEIQSKKLKTYQNLDISPKRISQDASP LQNILINRKRTCVSENKKGLFKENELQKKPRQKVPNHLQIFNQDFDQPSNRITFQASSYI DDEKLILKSIETPGTAQFMQSNKDDNFVYLNENVYQNTENYADQQIYQRKLSCQEETFMP VQKTVQTKKPYFQSKKGNQDHQSKLECRKIMTILDTQQDRIFESIGLNSSEIRYSPRSDG LNKTLKCDEQTKKNDEFIQYKQIQSKKNVIKDENQPYWKLREVSHSKKKG >CAK65353 pep:novel supercontig:GCA_000165425.1:CT868038:122246:123472:-1 gene:GSPATT00034832001 transcript:CAK65353 MAFLKKFFGQKTDSQSSLYKTNLNEIYNKSPFQKKQITSTIQDQKKLEQFELVLEQQIID LEALKKLTWRGIPNEYRAKVWKLIVKYIPPNHMSQTTILQKKRQDYQQYIKNYYQHMNEQ ERDENERKIIKIIQNDVLRTQPDYKLFRDPRIQEMFKRLLFIWNMRHPMSGYVQGINDVA SPLVVVFLNEYVPIDFSSFDVPSNFDKLPEDILIDIEADIYWCLCKIIENIQDYFTANQP GVQEAYVKIRELIKIVRILNNIKLDFPLFQYFEDQQIDLNHFAFRWVLCLLIREFPLSLT IRLLDTYLAEGDEMANLHVYTVTNLILKCAPEIKSKNMSEAVIFLQNLPTKEWTEVQLEM LLQEAFVFQDHFEKTKGHLKLNQGNTNRSSIL >CAK65354 pep:novel supercontig:GCA_000165425.1:CT868038:123748:125444:-1 gene:GSPATT00034833001 transcript:CAK65354 MHLHQLSSRVAAPRRATVFSYTKKGLNIRPDSPKTREACLALGYDSSIFKLKYCDILLRK LEEFGGPDVTEAVQKMRFDHYVKKMEITFKEISLKRKQITKKNKGLQNIQLEKSFHRDEG LVNDLIETYNKKMVNLKINEEENADSYESFDEEDPVLVLEEQLEKEIRKYQRALGVKAKE VHHQLENEKKRHLLQQEMEEREKKIAELKERITKEKLLKKKKKSDAAQKKFNDIKNKEKQ FNLKQIDERKRQLERFEELGRKLQFDDQIKKKEIEEHDKALRQKFETVKANKRKYDQFME EKMTQTLSNLQVKQNECNSNKERFTWESKLEKLTMRSSHYDEKLRKIKLQTQQKEADTIQ KVVEKMFNKDKDLQGILYHLHILALEKQKQMSEQAKVKEELTKRKKAQDTLNRIQSLQLQ RVTNLEKKFLDQDQLSNRRKDEYDYQKNLRKEKLKIKQQDLIENYQRQERLKDLRFKQVI KDSQQLNEQKDLEKLSMELVRKAQQELQRKLKQENENLDRSLVSVNQADNKSMGYYF >CAK65355 pep:novel supercontig:GCA_000165425.1:CT868038:125469:127049:1 gene:GSPATT00034834001 transcript:CAK65355 MSESPSLITPLLQQYQVELQARLNECFENNEVKGMLQLIDQLGQDIKDEPQLQDLLNLQL LRKLMKHLSSTNPQQVRSSVIILDAIMQRKIIANRLLHQRGAERTMVDLANSLLNLQQQL RHTSLELHIDDLLIGLQVKYVDKKQTDLSVLKLALKQFQDNNNLFIKQLQIILSSCESII DQYYLFGGPLQELIYEYLLMIRQMEEKQQVNFLTQVLGIYERYILDVQYTIQEMQQRTYY IKIEKQMILHQISNMYKSCAQLLNMILVLPEEIGLQKRTYLMIKVLYKYIPDLRIALMGP IQLVMRNLSLFLHKDAQEYKEITIFLYQLIHSSDYDERFKQSLLDDEDLAYLRENKYFSV KALSYVDESQSVSSLRHLNIQAAFPCYVIIQAASIYCYSFMVDKPNSLIFWSFRTLDYDV SFGLFKLQTIEDLGIIDYVNERNGVKSLIKLQRIESHKQPIIGVTVISNPGLYRIIFDNS YSYLRSKQLFYSIHLLETK >CAK65356 pep:novel supercontig:GCA_000165425.1:CT868038:127475:128431:-1 gene:GSPATT00034835001 transcript:CAK65356 MERAANLILTTGSLLVGGGILFKSFFYTVDGGQRGLIFDRFQGVKETVYGEGMHFFIPVI QSPIVAEVRLQPKTVASHTGTKDLQTVDIAIRMLHKPIESYLPEIYKTIGLNYEEKILPS IANEVLKAVVAQYDADQLIKMREKISQEIKEGLIERAKEFKIVLDDVSITHLGFMKEYAQ AIEAKQVAQQLAERQKFIVLRDEEEKNAKVILSEGESEAARLINDAVKQYGTAQIEIKKL ETAKHIAEQLAKSPNITWVPTGNGVSNLLNLKTF >CAK65357 pep:novel supercontig:GCA_000165425.1:CT868038:129073:129455:1 gene:GSPATT00034836001 transcript:CAK65357 MIYGALKVECIVVANGKEALEAYQKSPNFAHILVDIHMPVKEGYDSTKQIRLHENQKDYP GTKFLALEEVNIIVISIYKTVIPRQRQHVSVLVWMISLPSHQKKNCYHSICDIYTTYKQ >CAK65358 pep:novel supercontig:GCA_000165425.1:CT868038:129705:130168:1 gene:GSPATT00034837001 transcript:CAK65358 MENKEQQNVLCETKQQVCENYEAFKKYFEQLPNEITSLKKQYILDKATINTRFVVLCLGA LSSAALTARISPSFIKVTRNTVLTYVGAGLFIVPEVFNPYLIFRQ >CAK65359 pep:novel supercontig:GCA_000165425.1:CT868038:130672:131913:-1 gene:GSPATT00034838001 transcript:CAK65359 MLSNNNLKKLARNCKKNNCQKKNGQMRSKESLKRLTYCKMKILVKLQQENEQLQRNCSES KYLIEQQVEQITILKDQNDKLISQLDQCRQQNLQKELYIKQQRDDSLKSIEQLQQQQFEE IKKLQGIIDNQSGLIQSYEKLNEIEKQDTHNCKQQHQILFEDQLIELKNRNIILQNKINE EKQKYEQLYEQHKDEVQKLQSVIKEQKELLNQYERIIERDKKQVQQQQNQNITPAHKQYE ITQVQQSQHMKQMHQIQQVPQQPLYSQPNLNQNIYQQTLTSPVLYEKCNQPSLYEKCNQP SPTYIHTQPNYAQPLSQRPPKTFFTNNKINHQLQQITREKQQNSREYCQKRSFHFDDQED SKRVEKEFQEEFLNKFQNVIGRMEDKLSQMQSELNISGISDKPKKLR >CAK65360 pep:novel supercontig:GCA_000165425.1:CT868038:132239:133284:-1 gene:GSPATT00034839001 transcript:CAK65360 MLKVHEFFSIATQLAYNAAIIINQVRLSADIGQKWKGIDDPVTIADVKAQTYIVQQLHKH WPQLKIVGEESTVYEQQIDMPDTFHNLYTEDIFSKTPSNLKVRTQYEIEDLCVWVDPLDG TLDFVQGDFDCVTTLIGLSYKKQALMGIISQPFVKVADKQYEFKPKIYFGHHPQRRVFYT YDTQSLIPFELLKPQYDPSNLTLCISKNRLNEETLQKIQSLGCPLLQMGGSGKKSLSILE GKGDLYLYIGSKTSKWDICAPDALIQAFGGRFVGLEGQIYNYNHEDKNYNNPYGLLASIH SDLVDKFLPRTTGML >CAK65361 pep:novel supercontig:GCA_000165425.1:CT868038:133303:134368:1 gene:GSPATT00034840001 transcript:CAK65361 MNSDEIISVVADIGNLYTRAGFTGDDFPRWSTFTKCTETMQFGDEALGCGNGQVLDILQP FNPDLYENLLKKMFEKVGVQSKDYSLLLANNFQTKHDLQKVVEILFESVEIPNFFTIRNA TLSTFSAGRSTALILDIGAYSTTASAVHDGYTLLKTQIQAPIGGEILNEPFRQFVVGEYN QFKKTCLARDIKQGLLTYEQIDSSQQYELPDGKFITMQQAQLSEIADKLFNSNDNFKGIQ HMLLDIINNSANDIKQQLCSTVISTGGSSNIPGFLNKLQTTLSALAPPMCKVKLVMYPSN QNRYHSVFIGGSILASLSSFQSLWVSKNEYQESGRFSIIERKCPN >CAK65362 pep:novel supercontig:GCA_000165425.1:CT868038:134376:135323:-1 gene:GSPATT00034841001 transcript:CAK65362 MELIKIEDKFNNYKQHILELEDNIYIITTTLDFKGQVQLYQFVINYKTKEVLQKKQLNIQ FKMALFIQTSMVGYYTINGLLGCLIGIHNGRLFQIRENKVDEICISAVAINQIELISDSL ALLSSESGQINLYDIYTQTTIFLFNEYLSTPLKYFRIRYFYDTVIQGKQDGQMTYFIFNE NMKQILANRDKNIQMNKFVQHQNILISQPNCRLYVTGQKLLDFELYGDVMIILVEQQIEY LLIDVKNQEYIRLRVFQISHNITYFQVCNDSLLLHNESSVLSMDMNKGEIRNQLDQKGTF MNLLTYVKDNKILYK >CAK65363 pep:novel supercontig:GCA_000165425.1:CT868038:135583:136660:1 gene:GSPATT00034842001 transcript:CAK65363 MAKDKQGEIDVDNIIERLLSVRGSKPGKNVNLTESEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALVDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMTIDESLMCSFQILKP AEQGAQGASQQNKPPSAKFVN >CAK65364 pep:novel supercontig:GCA_000165425.1:CT868038:136718:139721:1 gene:GSPATT00034843001 transcript:CAK65364 MFQDVISEFRNFYSNRNLPFEDFILILDEFAKLDVRCQLDEQTKKQLYAFISEKQEGIVT YSTVETLVFEFLLQQEIPPYKTNILPKTLGRQAQRLPRDMLEVLTNDFLIQFKNLYKLIE NRYGIDIEYEELENLCKELQRTMKINAVEMLRYIQPLVDEHQKVSIKELLELCNDLERII INQHSNQSNKEVQPLIQNQQIVPQYQHVSTDDELQQGTQRQSEVVDKKEYANIVYQQTDI IIQKKYVNQQGKQHLNEINQAVKKMEAQISILQDEVKQKNQKLESLVVENAKKIEEAMIE KELAKTQLRQFESDIVNKDQQIEIFLQDIDMFQGESNRYQEQCTVLQQQINDYHDQLKSI TKIETELKESYIENKKLNEQLSKSKSEITRLTQNNKSLMSIIQEYENKQNTEQEYVQVNV QDQNKDLIKGYEEKLNDLQQQYHLLEQTNTDLEKQLNQNESQIIDLKRELNHYKKLYENL NCENQQLRSSIMPRPSAFGKQFNLGGLGRMSKLSSAEGGFPLKSCMIGRMSSARMSSIRG YPQTRSSYFNPLDDLQSGGLKINEIDETDQQEPDQQQTDQQKKIVIRINPKYEESVFEQI NEGEEENDQTVHKIEPNNQETQNQLLQNFDKFWIGLKNKNQQESIFDPQQIQIDENQLYE RDFLGIRNDQKVKEYLRIENNVHKQIIQRCFSDSIYRVDSKGKRARRIIYLSEYTFFVFF GEKKPKKLSRNFAIKDIKAIIFSETSPVICCIKIAGCDDLLMETFKRTELNIYLTEIFNS QRLLPYNIEFQSDFRIKFSGQNDEVIFSQVSKRQGYQDQGKASAYKVSTKQGWLHLLKMN FLNSERWKEVFVILTNVGLILFKKPGDFEPILFVSLVDAIVIKDPIFQTHQHHLLKIRYE NSEYEYLFSATSAILKDEWHDVIQQTIMEQVRNQKKQSIDLMDRSKVSSK >CAK65365 pep:novel supercontig:GCA_000165425.1:CT868038:139774:140641:-1 gene:GSPATT00034844001 transcript:CAK65365 MQLVRLTTKAHTPRNVPLRSPEKTQPITLQFPNYIFLGPTDNIKIVKFTKNILSSNIKQI RSNSPSKDQNISFSKQISESKTKHQKTSSVQIITQSPDLFVKLRSQNSTTNKLSNITALQ TSSTKHKHHRSELTQDTCSEQPSTPTLTVPILKYVEHSGNMSQGQMFNKSNKLVGSITFQ NGLYYVGEMVKFQDVLTIDGSGTLYTDNSKFYVVYEGRWKNNCFHGRGKYYNQYQIESSN DWHFNWKMIQAIFNKGEIIEGKIDFYLNEDSIAHQIEYSK >CAK65366 pep:novel supercontig:GCA_000165425.1:CT868038:140713:141905:-1 gene:GSPATT00034845001 transcript:CAK65366 METDKKFKKEAQCYQLILFSLKTGVLQWKKDYNLGAMNFEQAAKLYKECKNSQNEKEALK FAIECNEKLDYTWAVGRNYEALLNPLIDNSSQDYKELVEWTHQADVNFKISDSGMKQLKV LNEVVKYLNKRQQYEFSEQIILEALAKAGKVHAPSTLSYIICSFLEILIETQQYHKVGDL YMNDISKFREEQIKYPSSQYALVIIVMHIFQDETARENQELEQLIQYDQSIYIYYLEAVD QMLNSYEKGDQNQFNDALLKASVTSICPPNIVFELRKVKVRVINIQPKQDNNQQQVDQGN EEVDYQQELEKKIL >CAK65367 pep:novel supercontig:GCA_000165425.1:CT868038:142326:142682:1 gene:GSPATT00034846001 transcript:CAK65367 MRSRRLSDGEFGYELHGSSNQKYRRKGQVKNEDEIEIEVPNGNLTKGRIFFSHNCAGCHV LENTHLIQKSIFKFMTILENCVTKKKQVEEISNYGKNLTDCLQVLLDQKKALGIFRES >CAK65368 pep:novel supercontig:GCA_000165425.1:CT868038:143097:143481:-1 gene:GSPATT00034847001 transcript:CAK65368 MSQQIQKKQMHILSKSKLARVKEGNEEESIFKSYIQLHTEQRANYHRDIRDQQLKQRKEF ERLCQSLKKNDVSTRFNKKRQSHSVQQSPLIKVSFQTPLLKKAHRKFQKTPFPKQ >CAK65369 pep:novel supercontig:GCA_000165425.1:CT868038:143509:144123:1 gene:GSPATT00034848001 transcript:CAK65369 MRTFVDFMEKYKVVCANVILENNFANGTLYLGDFYAALDKKWQQKHQLVAVLTVAKDLNI QPAQGIVHKVIDAIDDPSYDLSQHFNECYEFMSIWLKRGPILVHCAAGVSRSAAIVIYFI MRSFKWSFVKSFQHVKAKRSVISPNEGFIRQLKQHEKLLGLVVFRESTLEEEQKRQTQSK PTKGVIRGRSVVIKTQKQPVTRFK >CAK65370 pep:novel supercontig:GCA_000165425.1:CT868038:144922:146490:1 gene:GSPATT00034849001 transcript:CAK65370 MSRLKQEREQRKKLIEDGNVETIYIDRSLIETPSIGKEEYSETQSQIADESKNSQYSRQT PEILKVQQEKLQEMKQNLMKNKESKKQTYFDKLAEPKSIVQEEREFLKQEQEKKMLAELP FRPELSDQTNLLAQIKYCKYEGIPIYERLKILEQERLAHIDELKLMINQQEEEQPLNKVP RPYGEKPPLHERYQQVMQDKQALLEYLKAKQLREEEDLFKPRINLQSQLLAEKNRVDGMN VVDRLVQDGIRKQNIVYSDDLPYDFQPQTNQQINEQIVKGDFSQRQEEFNRKQLEKNMDL ESKAYKDYTFRPKLNKQSEQIANRKISQDSLFDRLYSEYQTRQSNIEEKKQEDISKLNFN PELNPKSMEMAVDRTLQDLIKNEYGEYRKQLLKEKYDDSDKECSFYPSVSTSMKYSQMHS QYSFDDCHEKIKQYQTNREQRRSQLKKEQEDEQLKQCTFKPQINKKTIDNNKQIEVRYDE QEERSITFS >CAK65371 pep:novel supercontig:GCA_000165425.1:CT868038:146554:147668:-1 gene:GSPATT00034850001 transcript:CAK65371 MSKLSIQVDFQFIKLGILTKLEGLTHPYYEVTNEYRNYQIKIQHNKFKDFIVNELKVIKK LLDQEYPLQNEVSICGYGLQDQEEFTYYSDIFEKELDLKLIKQRSVHNSIKAIDYLMSNK EGAFYQFNNLINLREIHLLREGYEIGKVVCPCPKYPYLYLNVNKSVSFYKVQAVNQFVKL NGSLITDTTIEKIFQLIGLDGDINEQLTLAFKEGNNLNADMTVEDIYGKSYTSLGLSKDI IAASMGKLQTKEPTSVNVLDVVKSLWFMFAINLGQLAALNAQLEDLTTIIVGSSKITYEP FLLSLQSVLTFFGAGKLNIIYIENMEFLTCLSPFENLQQIDKYVVIHFLYEFLMAQLLDS LNG >CAK65372 pep:novel supercontig:GCA_000165425.1:CT868038:148738:149845:1 gene:GSPATT00034851001 transcript:CAK65372 MGQTCNGCQCQHHSVESISEVNVKKKPKKKVEKQEKSEKTEKSDHQQEQKQVEKTDHSIK KQKKISQGEAAIKIQRNWKKHKKQKEKKQVKAGANMKQQYFKEEMANAQPPPDPNRVKNP PYQFQSGAVYDGEWKDKKRDGFGTQKWPDGAKYEGQWVDNKACGHGKFYHADGDVFEGEW KDDKANGWGIYKHQNGAMYEGEWRDDLQHGKGTETWQDKSKYSGDYIDGKKHGRGKYDWA DGSYYDGDWKDNKINGFGTYKWADGRGYTGEWLDNNMNGKGVYQWQDGRKYEGSYLNDKK HGFGIYYWADGRRYEGGWKNGKQHGNAKYYLNDGTIKEGIWEDGKRIKWLDDP >CAK65373 pep:novel supercontig:GCA_000165425.1:CT868038:150964:151499:1 gene:GSPATT00034852001 transcript:CAK65373 MQQYKVINEQPVPEHLFFWKYSDYKARSQTPSRSKSPLRKQKQQQVKSTLQRLEEEEWAE CTFKPQLFSKRCFQYNEGDFLQRCQQYEEQKQVKLQKLRMFDVENELKECTFQPKISQYV RNANTINLNETNKKQVLDNYLGQPKQSYAKQMYKYSDALNLLHKELHG >CAK65374 pep:novel supercontig:GCA_000165425.1:CT868038:151533:152310:1 gene:GSPATT00034853001 transcript:CAK65374 MTEMIDKFRVPFLTALANYKLRQQSEIWINFRKLLQLFEGCEPWIANVNKWNQYKIWALL EKPQKLIRLVQNYRVNIQKMHPKNIEIAIDNLRSGDNQYSQYLAALIQYIVDKYEIQINE EQIANYLDDSDDIESRMKTQESGLDFQEQPSMDLSCSNSKPNSAMRKSSCTQRSITTESR SNWNQTKSTIENLQKHKMHLQQQIEHQKEILRELQYEHNKTTQQISKLSSNSKIDKILNH FNRSKRFIQ >CAK65375 pep:novel supercontig:GCA_000165425.1:CT868038:152721:154271:-1 gene:GSPATT00034854001 transcript:CAK65375 MRVQASSFRLPVLKLQPKDPQFSLSYGDNNQSSSSMIKYQSNPQRYYDPSPVKKRVRGMT IAIYNSQEKLKTAEFRYGSDLITQTQPLNQSASVVNTVRRYRNKLKQLMYQPPKEDGGLS RDPRIYSFKEPAASIRLDRLANDRGDQQLKDKVTQLMSKVKLLLSTQQFYQEPQQQETFQ VTMVRLKDEYDCLYQDFNDYYVQNKKLDNQVIQLQNHMEIIKTLLKPKRPTMTRKQSAIL FDQDKQEVLIPEISEKVEETPQLSEIIDIPPPRQQTPIISQAEPEESVFTPLTSIQLNED QPPSDLDKEETNNNSPSSPKKKRTKNKRSPQKSQKSIIFQVSVQDLSQLDFNPLSSQQQQ LSSRSKIPLEDIPLKSVRSTKSEQLVEIDNKQDETPISEKTPLKKQTSNLGSLNKRRNTV LENKQGRRVQKGAAAISEQDDSQSELGEDEDQEKEEVIEIQEEEHQENQTKNFYYYDSLL DKLIESENIYRYPFYQSWEYHEDQIIQGAIFGEFDL >CAK65376 pep:novel supercontig:GCA_000165425.1:CT868038:154307:154962:1 gene:GSPATT00034855001 transcript:CAK65376 MKPYVQKNKKDSPLVQQSKAMSYLLRHGAQKEGIPIRQDGFVLVQDLLNWKSMANLKANV QSIRNVVDNNDKKRFELKEIDGQLYIRCVQGHTIEINEEELLGENTQFPVIVHGTYFQAW ELIKNTGLNRMARQHIHFAVGLPGNDAVISGMRNTCEVIIEVDMERAMKAGIEFYVSKNG VVLSSGIDGVIAPQFFKRVTTRKGQDIHFQQQQI >CAK65377 pep:novel supercontig:GCA_000165425.1:CT868038:154996:156650:1 gene:GSPATT00034856001 transcript:CAK65377 MKDLRNLLSKDNEDQLSDKREQLYQQQAISRRTAVTRESGRPAGSRSYQRFFKIDSPTGN LGNFFHHHKFSLQQDAFHYQADQQEKFVVKQYWRSLLRWQGFDDYLFLRDPINLRHIRGR EILTKNDVVEELQAYNIHVQVLYQADNGMQEYKDQHRRSQMQQLQKLYQPGEANYQKFNP EKYKQSFFGGKDTGKLQQMVFENAKLHELIDKIQQILQLINEKIVGEEINQISPLGERMI RLLWKIGCLNMFLLGYFIHNIILEIYGKEKLAYQNWKKILRICNMQGNHCHRYKLIAYKH ISKICIKLQLYDKSLIYLKKMLKLSWIINDTNYEIFTYDKISLCYYYKQDMNKAIYYHEK FAQGEYEEAGKGMRNVGEAAYLLDAKMKEGFIDQNSYSEDEYDLDVLLQNGNLNKWELEN KRKDLKVMAKKIPTEYKKGHSFGKIHRPTNNIIDFKHAMLILHKFQNKQQIPLGPIQSKD VPDQIQSTKRWKKKDPLQSCQYLFNQKSTNRVAQNFLLQEEIKEQPISDAICFKPSENSI LI >CAK65378 pep:novel supercontig:GCA_000165425.1:CT868038:156723:158659:1 gene:GSPATT00034857001 transcript:CAK65378 MNQPISLNCQNPKKGYLIQRGVSKINQNPKEKQTFADYVNSLSESQHHLLTHNKSPRKDS VPKRNPPLPKLELPNPDIMNKRFVVNVGKCPITNFQSPIYRPSVQSHQNQFHNLYSPRHY SFETRKSLVPQQRLLPIHRTSVNNITGRSVMDDDMSLFYYKIGGSEVVDQLNEEFHSYST QHEMISQIDDLERYKQRFRTFLEYIMGKPIFYNLEQLKEKHKDLRLKNRDFNQFKNYLIV NNTHLIQTCFLKVNKGQLDFVFEFSSMIEQYRYCIINSNTPFAIIYNQRTEKLNTKDVPD AILQLAQSSYQKIFQDNSLAPYFIGIEIKQQARKLGKILSQLMAWEQTNDQILVEMRQSH KGMHLTNVHFTLFKQHLIESMRQLNIQEKQIELVTSRMDGYRSYIINQDSLLDYYGEQSS LLQVQQKKYVQLLKRDVRMQNYPLIALERHSQFILRYLTHQHIPTLTKNDLWTIHSKYNI ATEWIDSFRDNFFQLIKSLNLNALIIQDYEDIWYKLRKNITQCHSIESSVGKKKVEVVIA KVQMKLQDNENYTEYFKNANYQMNLHLHRIIAFILKDQHIYNSNDLKVIHQSVKIRESTF NLFVQFLKNAMQEEAICSSLIAQAEEICNYYKKSICT >CAK65379 pep:novel supercontig:GCA_000165425.1:CT868038:158791:159309:-1 gene:GSPATT00034858001 transcript:CAK65379 MINKSIQSNRNMISSQISKVVLNKQQIKEHNELIKRKTSLRYPFKEEISLQKSSNHFNGR NSMDCLESISKFQTSKKIKSPNVIISNRKLFISSRNSKKNQESVSQEPVFFQQGKKKAND YGYRVVINRPNRMSTMQIEEVMTQQSPKLNTYKDPETFTDWVEKLYGKQWFC >CAK65380 pep:novel supercontig:GCA_000165425.1:CT868038:159418:160233:-1 gene:GSPATT00034859001 transcript:CAK65380 MINQQHIKFEDEREHKLYEIWPGKNRFYLGGRIMIGPSSDNGPNLFTWCGLILLPLFFSI SNGQQIWEANRLLFICTLLLGFLTLFFLFLTQFTDPGIIPRKNIFEIMNTEWETEGSWPM CETCLIKKPPGSSHCKQCDNCILMFDHHCPFVNNCIGKRNYKQWHSIYIRYFALFLASLL MQGLSILVSLNKIDPQESNGLTDFLLIIVCFISAIIGVFCFFHLIVILSGSTTRQIIKHL EPTNSFDWIGRSNSLFNPSMIIGTQQQAIDF >CAK65381 pep:novel supercontig:GCA_000165425.1:CT868038:160335:161773:1 gene:GSPATT00034860001 transcript:CAK65381 MSDSETEQQKKVVLPQIIMNVYDTQYQVVRYVGQEILKWKLIAEPESWEWDVYWTDSGVQ AEMLSRMKQHQKVNHFPGMYILARKNNLGKQLTKMRRRFPKEFKFFPFTWMLPSDAQDLR EYMKGKGRDETLIVKPEASCQGRGIFLTKSLEFISPTERYVVQKYLGNPFLIDGLKFDFR IYVLVAGCDPLRIFVYTEGLARFATEKYLPPHPSNFDDLCMHLTNYAINKNNENFIFNED VQRMDIGHKRSMSSVFEKLKQEGRDIDQLWMEIKQIIIKTLCSAQPFLKHQYTTSQPNNL MNNMCFEILGFDIILDSSFSPILLEINHSPSFTTDSPLDQYIKANLIEDTLVLMNVNREE KYRLIQEKHQEMQKRILTGRNIRMTQEDRKAIVEQYQLIRDAYEDEHLGGYEKIYPCDEE YETDYYTQFLNYSSDIFEESTGYRC >CAK65382 pep:novel supercontig:GCA_000165425.1:CT868038:162012:162300:-1 gene:GSPATT00034861001 transcript:CAK65382 MSSEDPSTKELNTFVQTLLKQMSERFEEMQGTIVSRIDDMGKRIDDIEKSVTELMNDLGF SDEENEKQR >CAK65383 pep:novel supercontig:GCA_000165425.1:CT868038:162329:164780:1 gene:GSPATT00034862001 transcript:CAK65383 MSEEFMSQDDSLSSSSDQPVFDIDQMSVNSDNQKAIQYLQKVREEAKQAPKCTYFEEGED FQFKQTDPKYLIQPKGVKLNGKWAMNSLWQKDVVEQYYSFKKNIEQFRQLDQNSYQLLNY NEEEEEKIKSCLKKKCSFKELSKDIAPTLFTFHILDYHTASKIIKWLSLTQKCNYNQCMW IYCALTQLEDPLSSALELLFRRKWYDNKNMLTDFSSFFNNFELVHKIKHIWSKFQPSCYQ NDQIIFESVCQKISRKKKQLKPISIQLGQEQMYLFKNNNPHGMLQLTVVIMITHKTDFGM TIRLTRNGQYVDIIASDATTLKQILQCKCLQTAFHEEFSVSKMIGKGSFAKVYLASKKSS GVQYAIKAFNKEFMLEQFKGMESLENEIRVMRRLNQESLVHLHEVYETQNSIYFVLDLIQ GGELLTRAQTNPFSTESLQKLMYNFLKALAHIHSRKCIHRDLKPENLLLKTKESSTDIVI ADFGLATFLNEQIIFKRCGTPGFVAPEILYYKEDDPFYDDKCDIFSAGVIFYILLTGKQP FQGTDYKAILRSNKNCEINYNVKQIQSSSQKLQDLLRKMLFQNPKDRPSAEICLQHPYFK ELFNKKDLIEIKENLLEYEHENKNRLQKKGSFDSQVGSMELITRSPVLNGHIDTVGSLSL GSPLDSSSRLEKPQQQQSKFSQFCQNMKNVQQDSNSSSQASPINKKNDSQDLHKFALKNS YQKKQMSKDDDCINDEAAQLEDAIKKLNSQTPKIGLFKKSSSYKVPKTTQE >CAK65384 pep:novel supercontig:GCA_000165425.1:CT868038:164789:166820:-1 gene:GSPATT00034863001 transcript:CAK65384 MSNENMYSSDLFQSTVMSKSYYQVLQDSNLIESQVLQPVCQMATSQYMTHSMYKPKKMEL FHQLDDEHDSEDEGIEDYKIGGYHPVHVGEVLQNRYVIIQKLGWGHFSTVWLCKDFKFDT YVAIKVQKSAENYLEAAYDEVEILQKVAQNVTSQQWLEKLKQYKPNQRLNRDDSHVVQLL NSFVYRGPYGCHFCMVFEILGVNLLEIIKRFEFKGVPMKLCRKIAKEVLIGLEFLHEQCG VIHTDLKPENVLLQLSQDEIKDIIENGQLTSNQIFKERLEFYHQLFDIKKEEPVKQEDNL LLVKTESIKTTERVNSDQLQEEQQLTKNQLRNLQRRQKKKQQKMQQQQQQTDENMKISDK ENTEVIQQNQNQQENQKENNNLFQIDKKSLFKQIQKNDFSVKVADLGNACWTHHQFSTLI QTRQYRSPEVLIGTRYNATADLWSFACMLFELLTGDFLFEPRKGANFSKNDDHLAQIQEL TGKFPLQFSQRGLKSKRYFNKEGNLLRIPTLNCWSLTDVLIEKYKYNPKEAKELASFLEP MLNPYPEKRATASQSLKHSWLKSESEGIKMNEEQYKEYKAKRGLIEFKKEVESEEEYADR SESPRVILPLSTRRRHHIQPRVVDRKEIDRSFTDLGYIGFGNGIEIDLLDSTGNWQFIN >CAK65385 pep:novel supercontig:GCA_000165425.1:CT868038:167117:169449:-1 gene:GSPATT00034864001 transcript:CAK65385 MNIEHILLTGASTGRRTRHFSLTKPMHPSNTRDSPEPSLILGFAQPWKENALQIFVLITK FIIKLKQAAEQYRFKLITHRIHNIIGDKASDFIYYQRKGLVKAPFTFLDFITSMIVNKMP SLDFSVIKPDSKFKLIMDLVILVLIIINIFYIPMQLSFQLNNNNTHTSQLGIYKLILRIF LIEILLNFNTAYYSKGMIHESRQKIFKHYVQGEFAYDLIVVIPFLISQYKIPYINFMLLL RITRVKKIFEQIEEISLIREKFAAPIDICKLLYFLILVSHMLGCAWHFVGQIELQNNIDN CWLTRYGYADKDWVVRYIASLYFGTITAFTIGYGDIVPQNQFEQMFVILTVLITSLIFGY TISAIQQIFGQLREKTDQHRNNMATVNSYLKKNKINPLLQMRIRKYFEYFFTLDETQDVL MDHLNEDLKQELKASIFIPKLKQCQLINRFNDSLLAQLSRVVKTQKYIPGQIIFQQGDFV PKAMFLVRGEIESLINKVSIRTQKQGSFGIREFFLRNAVHYTTKATKFSEIAYITHEEFI EVVKRQTSNYEQYCLLRDDLQFDLCPIQCQVCLRSHNFMDCPVVFYSPSRGKIAIDKGQN INQVRHNLHERKKKKSKNSYFNMVEKIELALDLQLENGLLQQEQINSHYILDLGLPTAMD DFSIDSLTKRKQQNVVDQFRLSKVKQLINQGKLLKLERIPQVINDLYSDFLNIKKESLDN FDKKANLQHYHIDDNVLNVLKNFTNREYKVVNRRVNRMKTFYRRGQHSKT >CAK65386 pep:novel supercontig:GCA_000165425.1:CT868038:170568:170801:-1 gene:GSPATT00034865001 transcript:CAK65386 MNFDNLQTCGSELKKLENHNFAKNDSPENSLVEDFDFGLRRHTFSLYFMAAIKFIIKMRK SFGNIQQKSKQLQIQQI >CAK65387 pep:novel supercontig:GCA_000165425.1:CT868038:171496:172696:1 gene:GSPATT00034866001 transcript:CAK65387 MKNIIILVLVATAFATNLSAFEKIEKSRLGKTLLNTIALHMQTGEPLERVFQTLYDLEER YQNDQREDDAENKVFQQEVDGDLAVLNQELANLEQKGTELQARIDELQPQYDQKVGQRVA KLAELALVQKTLNEATEKRESENNDFEAQREEFTFVSGVLAEARRLFTDNLQAPAFLQKG QSEGVHATPAIFAQVASHLNSAAHKAAQMKHIKTYGKAIKLLAQLSVKAQQFANQELTSR IVQLIDDLQNQLQQAFDLARKQEDAKRQQFQAYSTLLQRDINKLNSAIANLDAEIQSLAD QLAATHSSLNDNNDRYAAKLQQRDDRRAEGQQAAQEYQQRRSARDADRQTVSDLIGHLNT NLRDLKEYIAQRVAAGDTDLGQ >CAK65388 pep:novel supercontig:GCA_000165425.1:CT868038:172867:173551:-1 gene:GSPATT00034867001 transcript:CAK65388 MLSSSQKSQVYKRTSYLTESGSLPITARPQSSYVSTLEKKELRRYPTEWAEQVDNKTDNR FHHVILNQSKAEHNPNLASPSKIFGLTESRRLNTQNDDLLSAFRRKNQSLSNLGNWQFNS TPVANQSQNTQSKASTTSKPQPMLTRKLDQSVPLRDLLELSRQLDQASPEEIQQLSRGYV NELVQLQQTITRSLKYVSR >CAK65389 pep:novel supercontig:GCA_000165425.1:CT868038:173729:174504:-1 gene:GSPATT00034868001 transcript:CAK65389 MKSHNQGRQDFLQWINELTECDYPKVELLSDGIGYCQIIDALHPGAIYLSKLNFMARFPD EYTKNLKVLDDAFSKLKIDKVVPIDKLSKCKFQDNMAFLQWMYNYASKVNPFVKNYRGYS RRLEAFEKQHHGRYTQMSAHLIPNTEFLKFKQTDIDGRTFLKVESTKAQQAEDAIKELEI DIKNKMDYNWKLIYALDDLQYQRDVLYGLLTKIDQCVQKSSDPAAVKMHNVIMEEPIDFS EK >CAK65390 pep:novel supercontig:GCA_000165425.1:CT868038:174550:174783:-1 gene:GSPATT00034869001 transcript:CAK65390 MKSKFQQPTRNLVSIPKYSIYHFFQKKDTYKDPKGQQIRIFKMPKFLIPTLILLSSAFIY HQAFSKKETIIKINEDQ >CAK65391 pep:novel supercontig:GCA_000165425.1:CT868038:175027:175825:1 gene:GSPATT00034870001 transcript:CAK65391 MNFQNFFEEPIKLSSYQQLQQQGNIYFTIQAVNEQKEQKVKSDIQSLEHIWVQWLSQQQM SQIQNEDIQKKNESIDVECTQETNAVEKSAQLKTFFEILKSRIINEKLYSTMTQTQITQF FEEATTQIQNKIKLYEDIQKLKLLQNYPTNTKRTYSKSANMILKKWLIENYNNPYPKPQQ VEQLVQQTNLTNKQVLNWFINARNSLKNKANQEKKFKQIVECKFKELAIMKKKKIEQSI >CAK65392 pep:novel supercontig:GCA_000165425.1:CT868038:176356:177063:-1 gene:GSPATT00034871001 transcript:CAK65392 MLEYINRCLEFSLSPTPIFPTNLLTNIKHLTNIENQSIQSIVNLNPPLTQQSFILHPQIS ESYVIEENKLSFYISESPQTSQNEKNLNQQTKQSTKTLREQINERLQNKQRQSHVPEVSN SPFKIRSKSPILLKTGTTPQKQESNQNKENQMPTIHRKQFSQINENIETPKKGYLPFQNT KFSKTPSRQSQAQSKVKQELQPSQQQRHSLKRELKNGVSHIKLTLYK >CAK65393 pep:novel supercontig:GCA_000165425.1:CT868038:177085:178437:1 gene:GSPATT00034872001 transcript:CAK65393 MKSMLHIMQKRGSVVLMEPINDGMDKVQDKHQVVQTYVEQVNPKTAIAIEKEEERLNQRY FHLMNQLERLKYQILKLEEKKKSQEDLENHPSVKEVVGELHKISTYEKALSENHSKMVDE IKLNKMTINQLKQTVYQKRIEIRDVVRDSFRIQEDMIKIRQEKRTVSIDRNSVDMFLTIP ETSIPKPQILPSLPLKQKSKSTLLHIMKNVQSENQQEKINDIVYIYRQVQELRSKTQQST RFIQKMTNRYCQIRGLLSECASISIQSFKNKQKITNKNGYANSIYFDVSTLNNSKNESSI IKERKIQNILYDTLQQIMIDLIDSQQKTDLNGSSIADSIIRNSVSQEQFMQFTSVQILGL MALQPRLITELLGIFELKQKQVGLLCNKTRQLSKQLEVV >CAK65394 pep:novel supercontig:GCA_000165425.1:CT868038:178555:179144:-1 gene:GSPATT00034873001 transcript:CAK65394 MNQSYYHKLNSSLTPVKNNQNNTTQLSQQDLIKIIRNYSKQMRDSTDNKKGDSLFNMLLS QQQQAIQSARQFSIERPLKSSPLETSPNIQNKPTIVSSSFSYIRKSTEQSQTSCTQFDRL LNENTTLLMKIQELEQKVKYFFKYQLEKKKWQLNKKTKVAIFSYYYKQIGTMKMKIEF >CAK65395 pep:novel supercontig:GCA_000165425.1:CT868038:179291:179941:1 gene:GSPATT00034874001 transcript:CAK65395 MDQQDLNSLGLLGILDVRLIYQLSKYLLVREVLQLGLTNSFFKEYLLNFKKREIHLEQLE QVTDDGIKLYLELDISDIYDKITAIMATVETKDQGWASASYSTSWVTLTLFNNPQDYLTH GVQPFEHIIHSNYREAKYAQRKATITEIMTINDKNKQKQETLLGIVAKGEFQKLGIVQKC QYPGWQCFMKSGKIEVWYRD >CAK65396 pep:novel supercontig:GCA_000165425.1:CT868038:180020:181553:1 gene:GSPATT00034875001 transcript:CAK65396 MKKKMQKMHRHKLLYEDVLKQVEKEDVAFFSKCTKFRDQAPHPITLDRALQFLWLKEQVK DAQLKGFQKIDRKIALQFGQRRVCKIDSTMKHDGPSSARIIKTSKIDQGMINGWHNGAGD SKFDLGPQYNIKKLEKNEEEIEYEELIKQEQQSPSEYKIKLNHQDLYNNDISEKYAFSNM DTKQLLQKLNELKQINKQKNEQPQDYVEVEGQRFYHVLSDIEDYLDKKVDNKTLIQEMRA FQTFYPIKRKLQIKQLNPGDPLCLGTESQTDGAQKSTSSRLFDRKRTFTNMKCELFNTNN YVFHVREVPNIGQQLRRNHFSQLIEELRENGMRTPREIHEVSPENSIKASQIESSENDTK QIRKFYLKFPKQKGESSQTTSRTKSQRFGTLQSQLSHQYPQQPQKASMSNLYGSSTEIRL ESPGKSKNRLDSRIDRYVKQYLKNQNTIQQNQNVDMTVLREKYKSLKTEREKEITRMYKS QQIEGINNKTVHLQ >CAK65397 pep:novel supercontig:GCA_000165425.1:CT868038:181588:182438:1 gene:GSPATT00034876001 transcript:CAK65397 MKFLVVLLALAAAFDYDESLASQLTAFSFGAYCEIEDINNWNTGAISEQYPHLTKVQVFE NVDMKTRGYIAYNSQTQAITVVFRGSDNIKNFIADIDTKKTNFNTACRCQVHEGFLAAYS SLKIHLDGLLGEYRVKYPYAKFHVTGHSLGGAMATLFASELAMTGVKVTLVTVGAPRVGD TDFYDWFTKLQVTHTRLTNKKDIAPHLPPFRFGFEHVNTEVWYYDGVSYVICAEVKGEDQ TCSVSATRTNLNDHHSYLGWSQSSCNPEPVKQISE >CAK65398 pep:novel supercontig:GCA_000165425.1:CT868038:182620:183525:1 gene:GSPATT00034877001 transcript:CAK65398 MRSSALILVVLAATVSATFIYNEDLAKEEAALSFAAYCPNSAINNWKLGYVSGNYPNIQN PQVFEDIIQGTKGYIAFNPTYNAITVVFRGSSNIQNWLDNIQFDKVDYNDACKCQVHKGF LEAFNSVKPQLDTLFAKYRNMYPNAVIHVTGHSLGAAMATLYATQLAIAGNSLQLTTFGL PRVGDKAYYDYFSSFTKVTHFRVVHEKDAVPHVPPQSFGFNHVDREIWYHRASYTVCQLD EDPNCSDSVLIPSVADHSFYMGWSSSVDC >CAK65399 pep:novel supercontig:GCA_000165425.1:CT868038:183572:184399:1 gene:GSPATT00034878001 transcript:CAK65399 MDLNEENIVQILGEFLASDGLQYYTQLQEIFSCLSDEDCDHLFFDFILGFLKSEPPYKSL QLVHAFLTAISSPQTYICELIEQQHYEILALHLQINKFNDIQIKQIVYDKLKEQSTDYKL IFLLQRLYFLLDPNKQDSAEQIFANNLKIIPQIFSTIQEDTLVQYLKVQSLLVPFCKEVQ QQKLMKLLMLIKQQNNGVGIQLQWAIYEVYEQMGCDIDPPELEEVENNQAKISFRLYYVK QKFMQNVKQISTLIRSVEDEQKDNFKLYTQWQLQI >CAK65400 pep:novel supercontig:GCA_000165425.1:CT868038:184737:185488:1 gene:GSPATT00034879001 transcript:CAK65400 MSKKILVITDISRTLLLAERLGKQLYLDRRRELDNIKPNDKYENYSIIWRPGREFFLDSL MIKYRDRFDVAVWSSLDRDKTAAFAKSFFGKHFRNLLFVSTCNREQYEGTQKEYSTEPIR IDRDLSLINQKFSNYDLPNIVMVNVFPNLMEQHSFNDIILPKFDPQYVDVQTDGSLDLLV KYLNGLSMLINKQRVQDIRTAIRAKPIQNMDKRMENLRNKMHEYL >CAK65401 pep:novel supercontig:GCA_000165425.1:CT868038:185491:186961:1 gene:GSPATT00034880001 transcript:CAK65401 MIIFALITLVLAQNETLVIKYPQDLAQRPELDKIKFNIANFGFVPYGQRIAGVLEVAQPF NFCQPNFNTTSTYNSDYSNVKVLLVQRGNCTFYTKTINAQSFGYQMLVIVDDMDEEITGL NLVSLNETKEIDIPAIMISKKQGDIIKQYMDAITSDRVYIVVKFPEMIKTDKVNYHYWFS AMDKSSYQFLEQFYPFHMEMKDQLQFTPHYAIDRCGICKKNNYNTRNQQCLSGGRYCASD PDADGPLTGQDAVREIVRQLCIFKQDQSKWWRYVVRYSDICLTQMQAKQCSIEVMKKLNI NPETIQSCYDKSFSAGDDELDDNTLLSEQHQINLNYSATSWPILYINDLKYKGSLTVSTY SYNYETGAQQLIDTSHFGPLQTICRSFKEESLPSVCKQRMIGYIDDGVWVEHSQSSSTWV IWVVVLTTMLLLMICTTFLYKRMFRKEANEQINQQVNIHLAQYYALNEQEKSMRK >CAK65402 pep:novel supercontig:GCA_000165425.1:CT868038:186974:198798:-1 gene:GSPATT00034881001 transcript:CAK65402 MLNSNNEALLKQVNKNQTLLIQKLQEDIQVLNEEIEASNQQIDTLRSEHHLALSQQLSLI EDLRNESQNYQQQNMELQTKFTQSVLQNQLLQTKYDKKNKQYKDQIIELKQQIKLIHLKN QDYQREIIDLRKSLDVKKLELSKFNDNSSILNEQNNQLKANLDLQKVDYENKIEQIQNLI QDKDEEITLILNDNKLLNKTNLELIEANANQSQTLQSQINTLEQHNQTLQIQLSDLLNEN NLLKQQFQQQTQEMQVIPSSIQLQSAAESQQEMVQLQNSNLLNQSNQLEIILQQKESEIS SFQQLIQEQKDKLTLIQQQYQDLEFLHLYEAQIIEKNNQELLNQIDNLQQQISNLTSELK DSEVKHIQVKQMQETTISKLNHDVRLLEQKNEDLILHNNKLINEIKQNELNQQKESTIIC QKNSEFEIQLLESQHQIEDLKQEKQKQVHVVEMLNRQLQVQVQAQSKLEEDITKYQNFLI SHDKQLEAITSNESSDSSDSSEEPVTEKSQQLNFDALCNKLTEKIRLIQLRLEIYEKQIK QYKQELHSKTTEIQKLFSENSESNLKVQRLSQIQPKLENQLENQEESLKQKDQTIENLTL QNTKLQIQFKEIEESKLELENQIKLLQEDQQSQSLDFQNQILAQAKSQDLKIEELTRINN NFEIQLIESENKLKQLQIENESLNLKIASSDIELSNLQNQLQLELVIKNDLQSNLNSIKE EQIQNFNLSNGETTEVSVFDQVFPNINYQMVLFTEELTEKIKNNLQIKMDSLDKNLLRII NKYQKLLEKVQKNYHNIQNLYELSQQTLIQQESAYQDQKEKLSNQINTLQEEINSKNSHI HQLEDQQKKLLLDLSNIEQQNQDKQIIINQQQLKLDEIHKTKIVELEKIVTNQQSELEQI LTKSNQLEQQVQDQKSQIHSKQNRINELKQELNHLKNDQTGVQIDQLKFQQQQNQILELI SNNTNLTQQNLDIQRRLKQVEDSNQALQDKINQLTKQGASQKEIINQLQQQNQSEVKQSL ALMQQMDPLQKQIDFLTRENRKLQQSNTDFEKAYGKLPIYGSPSPKKVQNNDQIKKLEDE LQQIQLRFQKEMGEKDKEINHISIQYEFQLQQQKDLNQDEINKLEQNCITFSNELKQQQI LNNKLLEENGKVEREKLQLIEKVEKLTQQLNECSQNQQMFAQQESELKRFIVLHQQALDE NQKLQKLFINLEEENKNLKQQQSLLSTQLEQQYNEFQSEVKQQKGNYVEQEHLHLEQIKT LEQKLCEINGELQNNQMQFNNTNESYEKVIADKNEQLQNSKKDIQDLQKQINNSLDQINQ FKNLIEEKEQQIQNCINESKQIEVDYKNKQQSLQQQIDDQQEQLQNSKNNVLDLQQQIAN QDVQISQQKNVIQQKEQLISNKINQSKDLNLELQNKLEKLQQLIDDLNLKLKNSQDNTLD LQQQINLQEDQINKQKNIIEEKEKQLQAKINESKQIEINNINKQQSLQKQIDDQQEQLQN SKNIVLDLQKQIANQEIQINQQKNVIQQKEQLISIKINQSNDLNLELQNKLENLQQLIDD LNLQLKNSLDNNLDLQQQLNLQQDQINKYKNIIEEKEKEIQAKINESKQIEVDYINKQYS LQKQIDDQQEQLQNSKNNILDLQKQVANQDVQINQQNNVIQQKEELMQIKLNQSNDLNLQ LQNKLENLQQLIDDLNSQLQTSKNNNQNLQQLKKLQEDQMNQYKNLIEEKENQLQIQINE QQKIEIDNKNTIENLQKLQISINNLQDLQKLTRSQEDQIKQYKNLIDQKETEIQSNIKES KNLEIDYNNKQQSLQKQIADSTEQLQNAKNTIQDLQKQIANQEIQINQQEKVIHQKEELI SLKINQSNNLNLELQNKQEHLQEIIDDLSLQLKNSQDNTLALQQQIKLKEDQIYKYQNII EEKEKQLQAKINESKQMEINNINKQQSLQQQIDDQQEQLQNSKCNILDLQKQVANQDVQI SQQKNVIQQKEQLISIKINQSNDLNLELQNKLENLQQLIVDLNLQLKNSQDNTLDLQQQI KLQEDQIYKYKNIIEEKEKQLQAKINESKQMEINNINKQQSLQQQIDDQQEQLQNSKNNI QNLQQQLISQEDQIKKYHNQIQENEIAYQVQNQNSNSINQTLNQKLIDSENQILNIQKLI NDEQNKIQEQQKQFKEEKEKVELVLEQLTLENKKSTQLVKEQEVQISMLNDIKLVNENQL DQEQLINNQLKKDNLEKEQKINQLLIIEEDQKNQISKLNSQIKNEQDILNTLKIQQDEDA KYQIKEYEQIIKNLQVEVKEVANINKKIFEQQIELDNNKIKLIESQDKIHKQESLIKQLE KAVESNSESSSFQKEQHQAELNFKQKQIEDKDIVILEQDTQIRNLKEDYHNLQKQLQEMN HKIQTDQAYNEESCRLFQTKLDKLESQNKDKENIIQQLQVQSQEITKQYDKSQELNEELK QQINGQSDQLNQKQQQIQSLEDKITQLSNTNITYEQKNTEFIQNFNKQEQQINELNNMVK VLTQEISDKEDKYKNEIVQQKKEYLSDKLSLENRIKESMIEWNQKVEDQRKHYENQHQDM ENNLNQERILSKQFEENNKELSLRIQNLEMILEKHSQDNADLLSSIEELRSENHNNQSEL EKIKKDKEELEVKYQQMEYQKNESVDSNKSLQEMLFELKQINEKIVEQNSAIQREMGEIQ SMNQSLEKRYSLLINEKSSLLEQVREQKSALADQCQQYIQLDSQFNQMQQNFIRIESQSN SLKQINQQLEQSLQQQQQVNTQIIQKQEQQYQQQLDQLTLQLQDGQNQVRLLQQKNGEQR QEIQMIRNDQKQWENNKVNEMVQMKQESERQIQKLMDQVSQVEGLNKQYQNEILELNNII KKQFEEKDKSSVNNQVVEQLQTKLKLSEEQIERLLMTNRALMEENANLQEQIKDDLNSSF CSNASQNCATKNQENEVSLPSNPRLQRAYQQYSQIIEITHPLNVNQKYNSYFTLIPDQFV GTGIKKANSYESRLSKEEWQQKRAEFWESRIEGQKENWATIKAALEADEGTCYCELGTAK TILQAADLKLIKNSMQIVFDNHGQKYDLPVFVMHNPVSFPQKQSYDQNLYQSFDRKVVKF KLRSTKWNNDKEVSYNTGDKVELLIKELQQQENPQKMRLYAITCLGIMVSKTILLCKHLC YDYIIIYIFMNKQRRQKILPIQQLQAKLKQLKQSIRASEIKSLRSSCLLTETTPIDKLDK IKKLNPNSSVDGKTMYLKPSFKTKMKTSKRYFSVIFSPQSMKLKTSSMLTQKKTLPSPQK PLKDIVKHYIKSKIPKSRTPSKSPPQKIKKLSSPQQRQIRSQSKKKIKYKTTIGSPNKLT KKNKKQKIQNQYYQPQISKVSSIRLNTFSVSEDPHRMLMNQIIDELQQMNEKQIIDLQQF LKNIKQQKVDEALQTSLHEKIDIQLKHQQKAFHESLPLNIINEICQQRESTINVRVKMQM DAFSTLLEQQKISPRSFNKNGQVLEQWKSQSTDKLHKCQEILQQMQSVTNKIQQKTIQDM KLIQELESNNSILEQMSADSSYLESQDLHLHNPIQNQQQDFKRKDMDFEFQATPYNLEIQ TNVGTVNLFVELLCVSIINEDLNQFIERMNYPYGLQPYDKIRKIHGYNIVQDKKYEFPIQ DCVFQEIQQSSNPYEQIHNKAIFDTFNEILNQYRPFYYCDGQPYPWEFNRNLIVTLYNEE NASVLLEKAKEKVIQYASTLCGLINDDDENIQSSLNYEEVLQSLMNSDYLQQLRNERLQL CIKNELEEYQYEWRYHDKTETLVEITDCIFEDLVNELMVELY >CAK65403 pep:novel supercontig:GCA_000165425.1:CT868038:198813:200386:1 gene:GSPATT00034882001 transcript:CAK65403 MIQQQGQQEEVQKQDTKEDGRNASSNREEGRKGQSKRRDSSNDSYSNQSSSFENKIGKRY YPHSEFKTHFYVRHVHMNGMSTNEFLSFIKRKGNVWQYLVNLAGSCDIVQIFNAQGSYCD IAIGMSNDDNAKLVYIGKKHFNFGRNGRQKEVVELSDAFKNYLENKNMLEKEHLERSLRR NQQSKGRSDSSESSRRHKRSVSKKKSKKVDSKPSQGQGSRRRSPSSSIEPRKPKQVKKIR QSSRSSSLELNKDQLNVQPIKPQQVFTDKNSVYIFSIPQEVNENEVIQEIVTHHKQNAPI NHTWNTSDKMQYLELQFQDENTAQFLVNLRPCLQVKGIPLLVVAKKQRPVQDLLRNYEVQ VELDRDVPPFNVYLEFKKYGDLIGIYVFQQNRNYLLLYSSSSQLQEALRPPVHLQLVIND QAVNANAKIIDKPIDIQRPYIKETLAYQLSTQQDNNRKRKDKK >CAK65404 pep:novel supercontig:GCA_000165425.1:CT868038:200749:201854:-1 gene:GSPATT00034883001 transcript:CAK65404 MGCVQTRQYEKCTMISCEVKYLIPELVQAIKLAQQCLYSPESPIKLTPIKPINRIYCKIE STLPSGSVKIRAIYNMLYRLQQKKRHRGDEELNLVICSSGNAATACIESLKLLKSERKLN QKQEGIASELVQDDTPTDPGLSAEIKDEQFQSKIKPYELIGKLIIFSKYVKEIHYTNDLP NVTIMHSELNKNEIEKEAIKYSEEMGYDYLDIQNDFDVFGGYATIGFEIDQWQVLTNTKI DQIFVTLKSGAMIAGIAFYLKYIAQSKMRIIGVMLCGTTRKDATLCQQIIEGFIDEILYV TVEEVERAQCELAKAEEIAEFNCAIAYAGCKKSQMKNSLVVLSGGNVAVKDLETLMKKYE >CAK65405 pep:novel supercontig:GCA_000165425.1:CT868038:201888:202745:-1 gene:GSPATT00034884001 transcript:CAK65405 MHSHTHSFISVVLSQSQFIFNINHIQQFLQYINQLMTFLWADIPFEWTCLSLRYHNDMMW YIWSLIQMIPVFAAGFYQLYKHQTTPDYYHKIKKGSWDQFIVMFFAAPVPFYYLIDLTIS IVEGSFFEPCRFWLWFHHMISMIVIPPLILRNEYEWQDTLIMATHTLLMKYPFIFLFNIL YVGLVFYYNILLYFSPLNEKWVNRFLGKFFPFIYYSFIVLLVHDCNNALPFLY >CAK65406 pep:novel supercontig:GCA_000165425.1:CT868038:202769:204080:1 gene:GSPATT00034885001 transcript:CAK65406 MKQQSNRKLVELRQSVKQSLFQSLKTERSQQPAETKRSKSITQWKQFTLFKQNQQTKITK FHDVYKVTKKIGIGAHGVVKICYKISDQLKINYAVKKLSCQNDPELIRTIIQTFNINRTL NDNPKIIKSYDLYIDENEQVAYWVMEYCNYKSLHSYMQKSIPDVMIQQIMKQLAQTITEI HKKGICHRDLKPDNILVKLHGSIDIKIIDFGVSKKFMVKKKNDKIYHEMWTRTGCLLYQA PETFFGGGYDEKVDVWSVGVILYQLLCGKFPFFCDSQLDTIELITDPNLTIDQNKELKLL QPLQVDLLKRLLNKNPEKRLSAEEFSLHPWINEISTQKSTSRDDLQINKSSEITTSVNLD ASQIRIDFSNHIHYAHKQFQKLLIQIKDQVIEDSQSRKSSYQIPSAHTSYDVSPINQIDE TKQSKPKK >CAK65407 pep:novel supercontig:GCA_000165425.1:CT868038:204181:204918:-1 gene:GSPATT00034886001 transcript:CAK65407 MRQLNKIEWGSLLGEAHNVKAYSNVGIHYDHSKWNSYKSILKKEESGLTKDVFLGVKYQC VEYARRFLVINFAAAFKDINCADEIYKLNYVEDLTTTFPNKGNQPPQVGDLIIYPRTQKQ PYGHVAVIVEVGMGYVCVAEQNYEDSGWIKKNYARKLKVNQVNGQYEITQVRVGLEHQFQ YWWDRNEQILGWKRVCR >CAK65408 pep:novel supercontig:GCA_000165425.1:CT868038:205003:206687:-1 gene:GSPATT00034887001 transcript:CAK65408 MDIRSAICNLVKTVQNFITDPQLKIQLNELYNLASKPNSKIDDIERLMNNLGKSQTIHNR VVSQPNPLNSSKHSYKIQAQSGASVSAFSNGINQKIPSFGSRIQYTSPIKTYREDRQNKT NSDRQICLTSETLTQTPKNQTDVKPFDKLTIDLPPKFKTQSKVHNHCPTVPVISELLEQS QKKINIPEQNTEKMSIQKSPKQQSLIIKQYDQQKVSGRVLMVLESCIKEMSQNTFKLILT TEKTNEIDTCSSVLHNSSLENSITIGQRRQFNPLQKGLHQKMSDRDLFNIQQNFLMKKLI VKAKQIEKSSPVNPSLDIFLK >CAK65409 pep:novel supercontig:GCA_000165425.1:CT868038:206710:208005:1 gene:GSPATT00034888001 transcript:CAK65409 MKNVEQLFPQFEVVNIVKQNQYKKSLIVKRSGYYYMLRIFTLEGIPKERVQSIIKILSKI SNQKSPHIVKFYEASLDQDMNYLGYWHKLIIRIVSDYLDKQPEYPMQERDIWKAIQEISQ TLQLFHPKRVHGKLQLSNLFQNQKNTILGEMNILYYLHQHSYTDAYLLAPEFIRTQIYDY KSDIWMFGYMLYQMMFKDPLIVANNTDVLHKKILKGIHITYNPNYSLNLNNLLRLMLCYD PELRPNTEQISNYCTQALVSQEEINLNRILPKFKTDKLVLHKRRIEKQHKPVENIVYLSE DHYKQPYFPPSKVRKQNKKSQSKQLTSASDFHHTLKSMHSLSLPKVMNYQFSALKIKDSL DHLDILQTKSPIQKLQIDSLIKLQKNIVNATQRNQIAFNQQEQMNKQKSQRVFF >CAK65410 pep:novel supercontig:GCA_000165425.1:CT868038:208039:208740:1 gene:GSPATT00034889001 transcript:CAK65410 MKLRLQNENCQSKIYTHRSERSSCFDILQDLTNNKQQLLNQKAILENRTTKQDLILNEHN IQLQQSKVYKTTKIQQLKIQLQTLKQNYDKQAISQSNLSKTIDNTVKYLLELKTNIYDNQ VEEPWQEIIKIEKVLYGNEDNIIQSMPIQNFNEVISGHQLSILEMVSQWQNQQQQNYWIA QMKLLHETFIQKNLSIGQILKPRQVQEQSAARLQRQDFQSFSKKC >CAK65411 pep:novel supercontig:GCA_000165425.1:CT868038:209300:210325:1 gene:GSPATT00034890001 transcript:CAK65411 MSINSSHISVQVGPYYEGEGAWNLSLKALVNKQAAIQQLEQLQKQTGGNLDTEWGFVLAF KTIDGKAQELQKYLNDKIQPMIEAEDILIKTHSDGTTLWLSFQLKPEQMEEIKEGFNMVF AQGLEEFAEKNENSIQLLLSSNTDFTFASELLKKGDRLMSVVMKSLKFVLKLDLAQDLVQ AVTNVIENFEPGIANKPPFPLLLKFKNFKVDLEFKSSDDCPEFIRQNGFFGKSIQKWIQD GDVAVQDEFGIAILKQIQENMPNLETDFYFTIPNFLALSGNAKLPGVAQFLLEYGNIVDK L >CAK65412 pep:novel supercontig:GCA_000165425.1:CT868038:210347:210879:1 gene:GSPATT00034891001 transcript:CAK65412 MFTLLLIPFVDALFSSFEYVQVTSQLEYSAYTFKGIITVIPQTNNVLLWKCHDLEDAIPN ISFNLLENGTLLKLNDFNLQYEYEVKPNPQFTFTYQDPTQGILVIDYLTYKNPEYALQLK QIIDRVIIDEYTVDWETVHYNLNGLQDNLLQFCKKHQDFKIEL >CAK65413 pep:novel supercontig:GCA_000165425.1:CT868038:211284:212735:-1 gene:GSPATT00034892001 transcript:CAK65413 MQQFRDTDNNSENLNEEDNSINQHVPQFPPKKVPSYSIEVTQVLVLLQQFRCAQGNARRE LECQLIKRLVNIKKNYQPDCYRLNGIWALKYTNYLYENSNTLPGPIDNTSLLQIKEPVCN RDYFVLTHTVWKFLLEEYGGGPEILEEKVPPSPVSVISSTADRAKSADISMMSSASQQEF PSEIPIKGLKNELFYCYMHSILQCLMCIQELNHFLLNSINRHNGQKMLYCRGYKEMLLQI RDAQTEYIKINTLRSTISKKFNPKYQHDAQEFLIHLISTMEDEIIDVNKEYEKLQQPKLE NVVKKYLKGQIVSELICKNCKHKSVITEEFLTLSLALTKVATVNQSLEEFLKDELIQDYQ CDSCHKKKIAIKKTRITNLPRYLILHLKRFKFFPKQTKITQQIKFSIESNFCNTEYKLIG VIVHSGSLEQGHYYSYGKRQPQWWLFNDQRIKKATKMDVQQQQCYILFYQQLF >CAK65414 pep:novel supercontig:GCA_000165425.1:CT868038:214169:215731:-1 gene:GSPATT00034893001 transcript:CAK65414 MKQTFILTHIYHYIAVYPFFLIIGMIEVFYRKKVDAKKQITEELQALCILQLNIQINSMQ QSCLEMNQSKKSKFNSKFISIQESSIGLKIVDPVSKIIQVFLFWNNSILMDWNNFFQELN AGNLGNLHFIIECEESKFFIYGTAEDLFCIYKFCAGKYIFKKGQASKTLEIIKEKHDYQL KSIFDQSRQKQYYEHVIFAQINDNLDMSFYSQVTYDGERFIPQEIQIIQDLHITYIPQFL EVDALYHDGVQFSYIYSKKDLIKLSLYYNHTQLDNHCLVSHTASQLVLILLALDEKGIIH PGLDLKNLYINPNTQDIVLASYYQAYYQLNNDQRRLCVNIGYSPPEYFKINQKLTTKANV YQVGISLFQLYSIQYHIRLLGHNPFGKSQKEMALNHTKNILDLSRLNAFDSILRDFIRKL LEQEPHKRPSPQELLKHKLFSISHKEYLSKQTIQKKIQQDIQEFTIFSKTQNIQSVKPTL KFKKRQ >CAK65415 pep:novel supercontig:GCA_000165425.1:CT868038:217126:217444:-1 gene:GSPATT00034894001 transcript:CAK65415 MIFAKELEKTSIMYSVCFGLKITLMSDLYLELQDSRKFKICFYRVNNFAQQTKGYSPILT FKVSEFDETLKKLIHYGAQQEHNIQEQDNQKVVYITNN >CAK65416 pep:novel supercontig:GCA_000165425.1:CT868038:218212:219941:-1 gene:GSPATT00034895001 transcript:CAK65416 MSKNDKNQKLTAYESYKKIESEIKFQTNLLEKSNPTIRCPIHQYNYSKTCRQCKKIVVEE VPTYQEKSINMDKYHYDVGDRGDNIIPIRGENAISNMNSLVRQNILTCPYYKELLQIRDI NDIVTETDKIVTSVGTWAGPGVPSSFFCILHKLMSMNLNVKQLQILCDWKLNPYVRCLGL LYLRYSLDPNFLWGWMKRYILDEQEFKPSKDEDITIGDFCERLLTDLNYYNTRLPRIPQQ IDTIIQAKILLSQEKRQRRNINQRIMALLQPGVSVRAISQKDDEWHVGKIESVDGTYLII QFEEVMERISLGEIEFIPKNDEQRLYIAQMITEGMQEGQIQLPQEVVQQKSPSRSKSKKK KRSSSSSSQSSSSRSKSRRKSHKKKKNKHKSQKEHKKKKDKKKDKQKEKEKQKQKKEKER QRSNSPELGQYETNKERQERLEREIRRKQMDKAVAHSKQDVAKIPASYKTSLAAALPTST KPVRQNSPSAIKKKEQFIELGNSETNNPKFEKESKTDQNNPFLKELIKKYGDDDV >CAK65417 pep:novel supercontig:GCA_000165425.1:CT868038:219953:221195:-1 gene:GSPATT00034896001 transcript:CAK65417 MSNSEYDTNIQLKYLKHIGHDNCVICVRVSLNTKSLRGCDVLIFLALSQQKPSTNYREYN VGSFLFNFKYSQNVDVKLYVFDVTFGSQMSDCVPQQKYFQKKETFLFLAILAVKKLKMIF NGNQLANTILKTLRITSEIKPKLGICLVGDSPASKSYVNRKLKACQEINVDAEVFNFSEN VGQEQLIKHIQQMNHDGILVQLPLPSHLNKLDIIHSIPYEKDVDCLHMHNLQRILVYGEL CEMLPCTPAAVLQILDYYDVNVIGKKVTMIGRSFLVGLPLSLLLQKRNATVTVCHRETLN LDQHIMNADIVISAAGHPKLVRNVKQGAIVIDVGISQGEKKGKIVGDVDFDVVKNQASLI TPVPGGVGPMTVCMLMRNLCNIWKKKQGQPVYINPKLSDAYLND >CAK65418 pep:novel supercontig:GCA_000165425.1:CT868038:221428:222991:1 gene:GSPATT00034897001 transcript:CAK65418 MLFEYPQQPAFIQKYELIKQFFVQLQQVRHCEQAAVPAQKIDRQQEFDKQNSKAQITEES LKYDDSTTSRQVPRQRRAVYITKKQEQRKSKDIATLPIRPSDIMMIEQQKEKIDQFCQDN KILYENNIECMYIIKKMAKMIKKLKSQSCQDHINSIEHLQLKLRIKDAEIAKKNECIQQI REKYNQMMKVIEEHTIQEEQQWQELQAQQIELETENLFLRKLLSVNFNDDQIKQLEQQQN EVELQFFDNFCISRKDLDKQAILEYEKMLQNRKSQIEQQYVDWNMAQINSQYQNQQPMHQ PLQDLYAEEKEMMMKKQSNQLMSKEDKQRIMDDLLKPISSNKQQQINVQEVKQKQLDILA GSSSNSSLQKKQSQDQSQQQPKKLAIDIDTKESIPESVGKESQAENKLSSKEQLLSSLNQ GMGKTDTKNISLEKEGDQVITYNPKNHMQDIEFKNRFTNPQTIMYDGDDYMEDDDEDDVQ VYQKNIQLAKQVIAKAYSYQNTKELRTIILVMLL >CAK65419 pep:novel supercontig:GCA_000165425.1:CT868038:222992:224119:-1 gene:GSPATT00034898001 transcript:CAK65419 MKGIIAIILALAVVSNATSTKDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWVGAQTIEQFTKIKTLNQKLFQQAVENRAEYENVLKQTKNYLAWNEARRDSIA AKIETLQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIINGDSFEFTQVQAQSVAE KLKQYSNLFQEHQIKSFLALAQEGQASESSGHGQTLAERVLGVLEGLHSELEGSLENLKQ NEINASWELAGWVSLSEAEIASLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQD ALDQAQSDLESKRADYAEAKAKRQEENAILDEVIIMFKKQVASWSGR >CAK65420 pep:novel supercontig:GCA_000165425.1:CT868038:224694:225599:1 gene:GSPATT00034899001 transcript:CAK65420 MLTRSINTLRLGLKNLYQLNHIKSFHNATVAHKAQVMNDESSYVVKTDRIYKPTYTIEFD RIGEVLLYSCDPHKHLTIFLKYPYILYETAIPLSVYLWFKNPLDIAWYWNNLFIYAPSFL WIPRMWYWRSLQYKIQRLSLLRGGKILKIETNTLANDKNTYWVETYQFHPLTADFTQFDD RDNADYLTEEGQLKYELACQLDHIQELGTTVQDEVIYFMKEGIVHHPELFEAATKGYVVD TSNFVINTAHNIRAFEGHHNQ >CAK65421 pep:novel supercontig:GCA_000165425.1:CT868038:225613:227796:-1 gene:GSPATT00034900001 transcript:CAK65421 MSQSKKQSQFEEQMQSSQGFKQDYHMNGQIEELMDQLDQEHDSEDEGLEEYRREGYHPAH IGEVLLNRYVVIQKLGWGRFSTVWLAKDFKYDSYVAIKILKSSPNQQETAYDEVEILYKI AQNVQNPVWIQSLKEYYADQGRTSFNRDDTHTVQLLNSFLYKGPYGYHFCMVFEILGVNL LEIIKQYEFRGCPMNIVRRMAQQLLIGLDYLHRICGVVHTDLKPENILLCLSDEEIKYIA ENGQLTSNQLFSDRINIYRQILGIGEDKSAVEEENTLQKQEENDLDSQSTNLTKTQKRKL LRKKKQQQEQQNELKNQNHEKPKSIKELFQQQQQISCKKKRKLPDNFRIKIADLGNACWV HHHFSEVIQTRQYRSPEVLLGISYNQTADIWSSACVIFEMLTGEWLFEPSQGPNFSTNED HLAQIQELLGKFSMDYIARGLKAKRYFTNDGKMKRIPQINYWTLLTKLIEKYNFKQEEAL QFASFIMPMLNALPEYITTAQEVLQRELCSKNVDDQQRFTVLKKYLGHIFSFQNFRHNIP NSGWLKAITNYQIGHFMNDEEFRKYRNQKREDQEKEDDLNIMGIQNSLLSNNQRAQKRSY DGSNSQTSQQDQNIKQDGKYVDHRVIDRSFTDLGYIGYGDGIDLEQLDSTGNWQFN >CAK65422 pep:novel supercontig:GCA_000165425.1:CT868038:228217:228890:-1 gene:GSPATT00034901001 transcript:CAK65422 MGTCTQTNNKVLHSEKTLQRLVMHFYNVSMHSHPDIDSLINTKLNSCKITQRGLSKALIE EIVLFLNSYKTRTPEQDELLNHFNYVYKQSLKKFDQFGTNLGKVILITSIEVLLCFKSLE MGDLTPVDVWWVQEHFQIRYRVLYEQYNVEYDHFLDMNYLIKFLYLLKECVRIELKKNSL GTNHFYLSIRQDYQDIQHTSRTQDTQKTQQKRLDTI >CAK65423 pep:novel supercontig:GCA_000165425.1:CT868038:228915:230611:1 gene:GSPATT00034902001 transcript:CAK65423 MGTFQGKCPQKSSASRNQPNKQEPDNTFIQKYLLNDVYPGIGKLIIKNEKLKSITKDYTI KYPPFVTGTYANVHKAVHHCSNQERAVKIIRRSQTNQNQQERSMNEFRVLQKLNHPNIIK IHEFYQNKFSFNLVSDLQTGGQLFDKMRKEGRFSEKQAAEIMKQILLAVNYCHNEKIIHG DLRPENILYESDKEEAILKIIGFGSSKEFVSIQKLDSNSETPYYLAPEVLNQAYDEKCDL WSCGVILYLLLSGYPPFEGKSKKEIKKKISKGVYTFDSREWEDVSMEAKDFITKLLQLDP NNRLSARESLSDPWIQKYSNHLKFDQPLMKKVFKNMYNFTGQQQLQNIFLKFIVNQLATK EDKVELIQAFLYLDGDQDGKLSIEELIAGYSKIMQVNEAQEQVNHIFQQVDNDNSGFIDY AQFVIAAIDRGQLLSTRNLQTTFLIFDKDKNGLISLDDFQNIVDGFSEDMWKQVFKEFNS HSKGQICFEEFFTMMKKIK >CAK65424 pep:novel supercontig:GCA_000165425.1:CT868038:231629:232472:1 gene:GSPATT00034903001 transcript:CAK65424 MPMINKNNQENTKRSIKKKEELYQSCLSMTNFLFKRYQILLKLSISLTNIYDILNIYLIQ VANRLKLKYCTVRTIQKAYEKDGRIGKKETRKKKLKVENILKISIVNPFTYLLSEPIVSS EVSQIYIDKQPTKEDQVNLAKEQRSLLQSQCQKLYIILSQQLQRPMENSNQVYQITLQNL LWASQLVIKQLLEVKQQINVKQEHEPGSPNQTQYPFPIQHNLYQSQQSFVLPRINS >CAK65425 pep:novel supercontig:GCA_000165425.1:CT868038:232598:233137:1 gene:GSPATT00034904001 transcript:CAK65425 MIQYNQLLNQNQPTFLQQNLQKIHFSLSTLIFPSKWQNSIQVSYQLQFQFNNQFLIDLSS QYVILFQLFLKHHYQLVMMLKIFQFYFHFLLTQPFLTVQYPKSDYFNCYYMKGINQYNFK FQPFQKQKYCLAISLLLKYCQQLAYIGIFLNQSVSNTLVIYYFINSTKNQNKKEWKESI >CAK65426 pep:novel supercontig:GCA_000165425.1:CT868038:234145:234889:1 gene:GSPATT00034905001 transcript:CAK65426 MVLQEIFNIPPLTRVIIISSVILSYATYIQYLKPSNLYLNYKLAFSDQFQPWRTVTSILY FGDLDLITVMHLIFFQQISSYLESHTFLGFANYLYYLILNFITITVIGLWLNEHSLTDYF VESLMYVWGRQNQERQLLFMFVIQVKAQYITWIFIFLNIISGRSIQSNLIGALIGHTYYY FAFIVPKLHRFKGKQLLATPKFLQDQFTKLERTFADTLRENEL >CAK65427 pep:novel supercontig:GCA_000165425.1:CT868038:234931:236477:1 gene:GSPATT00034906001 transcript:CAK65427 MKNIKFSQDYTTDSLGISRTTFSKTQISLNKVMSLKGSSQPQTDRTEFNYQTPLAHLPEL KMKQAKSQRKIGQRLTKKCTRQSDFFNVYKAPETLQTNPDTLKKQSRIYKMTQYIIQQYN EDIKAIRDSQDYDEAIAEQKVSKYLTEAWLSIEQLIQTLTNLLAYKFNRQECEQQMKEIL VECFKQKNICVHQRILYIFGKIEQLFYNPIQGAIYFKICKRLSDTDAHYSNKMKSYRGLG ECLLRIYPKLSQLYFTKYLMAAWKLKQKNDELLAYELLGKYYFYVGQIEKAKLFHDRMIN GNCEDENSRVRLLALSRLEQGSLANKINKDHMIVDVEQVSSDDECYEIVFPQKSDNIHQK YYVEKYFQKKIPDIKVIKDEANLNIRQTERKYHSQFFGNENFEPSRVVVSNPHQSLGSIK DKVLMSHMTPNRKLEMYQYIALANDKTGFVNSPRYEGLYNKYEGIKFNKIINRTIEQFNN IQQWITSQEKTPTLPQTTIRRKGYFLV >CAK65428 pep:novel supercontig:GCA_000165425.1:CT868038:236865:237682:-1 gene:GSPATT00034907001 transcript:CAK65428 MTLTSDHTERLKQLQIKIQEEIRNLVSENLIQKYSNEDHLVRLLIAREWKINDAFEQWKR WVEWRKQYRADEIKIEEIQKEIDLRKAFWNGVDKLGNPCLIIKAKRHFPGESNPETLIRF FLYMIDQGIQQADMTGTGRISVIWDREGVAFKNFDSSMFTIMKKIVTLVQDNYAERLHQL FILYPNFLVKSVMNIVRPFLNEKTKSKIILCNQMKDLQYYVGENYQISDGLIYENIEQIQ IQNSEEEKKYIKEIINQEQ >CAK65429 pep:novel supercontig:GCA_000165425.1:CT868038:237741:239093:-1 gene:GSPATT00034908001 transcript:CAK65429 MIPSKIIKRQEQLNSNSIQDVSQMKKVQSNLMLVSKASSLPKQFEKNEKLKTTGNKMESF KHSKNNSMNHLSTTENTKIIDSIQNVSSIYITNKTEEIQLRNEIHLKALIIADLNKNIAQ LQQQNATYQVTISQLEKDVQQLNQTIEKMCEQLTDSSNEFNKENKMLQDQITSKDKIIKF FKAENQQLLTIIKQGSYNKTKIDKENQPIVTQANQQDQINYLKDQIDLQRKQFQQTEDSL QKQITGLNLFVNELFQQQSSDRLNTNISSRNEKDTRNRKLSLQYDMDSFQSQLQHLDKQK HKLDHKFDNIQCNLNQLTEKQLNSVCTDNTQNTKEIIKLKQIVQIQKKQIELQQKTILNQ HFEIQNLQTLLYKNDQDSVSKRKEIKEIQDDIITNEFTNSPKDEIYHLNDSQSEIKPKYY LDSIQNTFQKFQVITEESTFRS >CAK65430 pep:novel supercontig:GCA_000165425.1:CT868038:239353:242120:1 gene:GSPATT00034909001 transcript:CAK65430 MDNEENEQQDLSVGVLQIMHLFAIYTIIYQFQFLFYLQFITNQIRKILDSDPKSQRFQEI YNQACDICAVGFESRFQQQERGMTIISCYGCQLSCHLYCYGISTEIELNPNSNNQGYFAC DKCKFSQKQDQICIVCNQKAGLKKKINDTNEFVHPLCGLLSKQLELSSFMEMKFKKPNNV IQVPKQTCSYCKSQGATIKCQQSECNTYAHIYCMVNYIVSFGGYNSTYSENLQRPTGWEI QFNYKQLFCTGNLVQAGNDKTQLKTKSQSILQQFMEIQKVDKQINIDQLYDLYQQQEKIE EEKDQLVEFFCSPHQNQQIYCCCQQQLANDSEEMVQCETCMEWYHDKCLRQYQDDYEEQK NKEHYFCPFCNQWSNSRFDHILKYVPAKNLKSLLPPFLKMTFNTLILLGIYCERSLSAQI QSYSESEYSIIETILGNLPFYQPLQQQLSQIKQKSNVTDFMNFLFKQQIPYRDNNQWTIL SQLDESNQIIQHNILQNNALKDKLNQVINLFKDFDECKFYIDLLNKLNNLQIAFQIITSR TKITKTNIQNQYKSNEFQEFIYLQQQVQEYKRIKKRFINKMQEAEDKFCDFEQIMNDEVQ DSSEEEDEIEDELEDYEKLQSYLGLQITQIKNQQQFYNLTSETRIKLNQIKLSQEFVEQT IQEFNELLISVPWVETLKQELLEGQLIVQKLEKTKSTEEILILLSRLEELPFHYKDFPEL YMQDLEIQEKDEDDSEIQVTKDIQTKITLDHLQMLKQLSIEINASEKDKQTLEQVEKQLN EFRQKLRGMIEQKNLNEQMRKKFQAELQMNKIYDVPEIQELQKRLEQFQEVEKIRTAKSQ TLQQLEQAFEKSKELNFDEKIINQFDQEITKCLQKK >CAK65581 pep:novel supercontig:GCA_000165425.1:CT868040:738:2438:1 gene:GSPATT00035061001 transcript:CAK65581 MHSNFIGEFQCSISNELSDQETINDVHYIKCNSWFPQISGIETQLFLLMPIIGDLLSYNA ILVCLSFDQSIIIQMYDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXIYCQIQKLGNVLYDLNQCEEAIKCYNKVIFIHP KFVNAWNNKAIALNNLNQYEEAIKCYQEVISLNPKVIMHQIIKVNILFIQVYFYFLIFQI FLIQKLQKGYGIVLLYQEVFLQWSVKQQLCKLINSSQRTTFTCSLNQILFKYYKYFQFIF FYEKMIYLNQKKGKKIQQDIQTFKYQYYYLYIELSEITNYQSFSQYFHQHKQIKLTANQK QELELLVMY >CAK65582 pep:novel supercontig:GCA_000165425.1:CT868040:4643:7449:-1 gene:GSPATT00035062001 transcript:CAK65582 MDRSSSIPRSNSSRYIPQSQPSSYRQTAASAQLSNSQMRFMNDLVQQQPPSQRQAFNNHL EQLTPQEIREIQQRLENGEELHGLPQLPQGANMTHKFIVKVRNHELPNQRFPSIKQSQSP QPRNALQQCPDCINHLKKLKEQDNRIESLLVEMNRMSQFLVDKGKEIDMWKQQYQAIQKE LIKPKTPDTQDKKEIEDLKRKLNQKEYENQQQQAKIKQLQNNQQPNNDNFELRGGNNDVD VDQLQKELFDLQQDNEILKQDNDRLNSKIERLQNELSNKKSEVTPIYRPDPETERLFKEL QSKYSILQSQYFTLQEKTQNVQKEIQYETKVIYQTDPDIEKQLQELQSRYTLLQSQFYSL QETSRIMQKEMQYENKVIYQNDPEIEQLLQKKIRQYDELNTRYQLLQDQLQVYQDKLKQQ SMYETRTIYQPDPETERQLQLKIDQYNQLQLRYNQLQTQYYIIEEKAKFVQKEVDPEVER ILKIKSQQLETMTAKCTLFQEQIEKQQIQIETYKTKIYQFENRKSDSENYLLQIQSLKDQ LRKQNTDIEQYTRKIYLYEQNTEQDQSVKRQLNDVKALYEQELSLNQQLKRKIDEFKNTQ QQYDTLQYRIKELEQLLISKEDRIKQLQLESDQYRSDIVTYQQRISTVSINMENTNSLKE QIQVWKEKYDKLEQKQKLLIDQEVNQWKIRYEQIEQRYVALQQSYETIQITLNEYKENQQ SEVYKMEIKRLQKTVIELRSEIDKLLVQASDNQWLQKRYEEQQLLIETYKSQIEQKQQIQ KEYIYQIDKSESNSQKVIKQSSVQQINIESQSIYPQQQMRAYEMNKNQPRTSEYSNYSSR PVELNSSKVKTTYSQAQFLQPLTNNISDTNKNKVEQEFNSQRWNNNYEMVIDEVTKTDRW VQ >CAK65583 pep:novel supercontig:GCA_000165425.1:CT868040:7468:9061:1 gene:GSPATT00035063001 transcript:CAK65583 MSRQVYVSPERMTIQKLEEYPSALQDLNFNHSRFQEIEAEFKALDVDQSGWLSLAELELH LKRKGCDQQLVQEIFNDLNTNQDQKISKDEFTHGYLNKEQNLKDQVKSLEIKIKQIRTSE DEFKQQINVANHQQLIITLQSGCLNQLYRQQDDIIKASKQIEVLFQCNEASDGHDRFSKL SLNCEYPVWGDKITYILNEVHQIEISVELIEIYQLQKQSLGKIRVQKNLQQDFQNQEQLI FEGIGEILVLFEYINNWNEYIQAQMQFCEENVNNLLEQIRQIKRKLYFMKQLYNFDNGNL KQKETLSLSLLPAYKQDIQINKSFQQQENKAQYDTQPIFNQQQLPQQEQIQIQQIPEYQQ DYQLQNNLPDAFNIFKHSESDPDAFIVSLEQMKSQPNFKLMGILVLLITINIAFSRCDFL GLMLVLLSIPYQNRKWNNQQLQFYTYGMIFSLILEFYWIIKFSNCFSNLYQCNNQYGFAL SIYFLCLLTFLGKIYVLYKVRGYH >CAK65584 pep:novel supercontig:GCA_000165425.1:CT868040:9133:10467:1 gene:GSPATT00035064001 transcript:CAK65584 MYKELRYTQNHLNNPLPEENMVESVFESLVNELKYFRQNVPVGCLTDKCSNKQKERQDLS MFSGAGGYLYLYLRMYEFVKTLPDDLQSFCTSGLSDQELPEFYNPDTYLDLAQKQFEAMQ VALKPDRNITFLMSNIATSLLGADLYFIKKDQQNFSKHISQVLSIFANIMASPNQFQQEL LYGIPGYLYIFLWINQRYSKEQGPYQLDLTSHIFNLCKMIILNCGEGIMKCKFYDQTYFG GAHGILGIIQVLLLSYEQAKEYFHLKDEKFTLKMLESIQLTLDYLTKIYNKQGNIPPSAE DLQSTELFQFCHGIPGAIGPYLKAYQIFNKQEYLNTAIHMSETLYIYGMIKKGYGICHGI PGNSYGFMQLYQVTKNEKLKKYAFQFLQYKQNPFVFNEVKNFKFHDRYNVGMSDNPFSLM LGSVGEMCAMMDFINYKRMPGYEV >CAK65585 pep:novel supercontig:GCA_000165425.1:CT868040:10558:11839:-1 gene:GSPATT00035065001 transcript:CAK65585 MKSVFLLVLACTAFATSETEYIQLIHRIDATPFGRTLFDTIWLQLETGDPLDRLLNTLSD LEDRYQQEQREDDAENREFQDSCNVDIAAYDKDIANTDSERISLEARLEGDLYPRRAIIS GLVTAKKAEVKGYQKEIDDLDAERAAQHADFEEIAADHNTAIAVLTEARNIIKANVEATS SLVQKKQTQQAKEMPKEHISLLQKHIKESQKKLAHTKHMLRYVPVLKLLSQITSKAKFDD STIQKVVDLFDRLINEVSDSLSLQRFAEDKRVEAYNKTRKFLVIALTVAGSELANAESDF ASVSDIIRQVEASLENTNQRLELLNERRTDRWTQCEEAAKDYADARSARDADRQVVSDTI GLVNKSLRTLREQLALRQAAGDNI >CAK65586 pep:novel supercontig:GCA_000165425.1:CT868040:12805:13577:-1 gene:GSPATT00035066001 transcript:CAK65586 MEYPQNLLTQGIKFSIIGDNVVQVVLLPGEQINTQNSYVQYYSDNISIQTKKSYFITENQ VTVLNTSKDNIAYVGISAANGKVMILDAAIFNNYLIKESSIIAANDFTEVGQFFHFQSTY QKIVFTKDLTKQQLIFIKTNGTVIDKDLGDGESIIVPNNSILGIQDFVRYEVNLKDTTKI KLIGPGRILFEINNQTLENNLGLVGRKYLAKFFMIMSMVFFLIFVELIFDI >CAK65587 pep:novel supercontig:GCA_000165425.1:CT868040:13745:14006:-1 gene:GSPATT00035067001 transcript:CAK65587 MLKYKQQRLIEDESQPYKLMVQQHKMRSSEKKRPLSRQRTSSVNKPQADILVEIQNQNEI LTKAINSLRNTIEQFKE >CAK65588 pep:novel supercontig:GCA_000165425.1:CT868040:14056:15311:1 gene:GSPATT00035068001 transcript:CAK65588 MKHFVVLALIACIYATSVTEMTDRLAQYGDHPFGKSMVNLVSVNMKTGGSLNELKQLLQQ IKDELIALTQLQDSENATFTRRSQVDLAKLQATLEQAQADLDNQRQEQSSLSNELATLQT RVKEDQAALDRNSRGSGDAQSRLDAENTDFSAKYQDYSDAILACKEAQRLLLNLRGEGAS LIQLTQDTKSNLLQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLEANHKTQVENLSRLGDDLRNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNALVEVTQLNIQDATKINELEDSEYSNQKVSRQTEIDIVDRLIEYINQK LSE >CAK65589 pep:novel supercontig:GCA_000165425.1:CT868040:15382:16013:1 gene:GSPATT00035069001 transcript:CAK65589 MSEDDQVLGKMDQQSYKIVKEQNEQIQVTITEKNDQIEKLQAIQRELISRIEEMSKDLVN QDEQKIVLEKSIEKIRSEDESKQFKLKDQLIQFEQRYTEQEKLNEISFNQQKFREPNRYF CDVQKCKDGKNQLKVLFHPDFKIIKLSTDIQQKSMNFIAYLENNDISHVIQQKFQTDSKN NKVIQGNEVTLKIE >CAK65590 pep:novel supercontig:GCA_000165425.1:CT868040:16101:16886:1 gene:GSPATT00035070001 transcript:CAK65590 MTQKMNQDQTQQQTNKQSSLNSILYLNEQKQMLLLLNIDVNWLKIQAFSCKTRGQLQDRL QIDLAMRNLSIKNSIQNWRKRKDSNVEFQAPTTKLPSQHAQNQQLNQRFIEQKSVELPML KPISYAFKQIQNKYKQIGKSRQKKTPLHLSAIQCPINNVEFKKPEISRSINSRLHSNKFK TVIEFKVVQENKFFMISDQGREINNIQPQLKLRDQVDTLYSQFFGNINRKRAVTMCNGQT NEIDFEYKTTNLEDKIKVHKF >CAK65591 pep:novel supercontig:GCA_000165425.1:CT868040:17823:18049:-1 gene:GSPATT00035071001 transcript:CAK65591 MSAAEILVPAKFVSQMVQLIITCVIYQSQYENLLNYPNDQLAESSQVHCYQIKLISWCHT LGHISSC >CAK65592 pep:novel supercontig:GCA_000165425.1:CT868040:19282:22616:1 gene:GSPATT00035072001 transcript:CAK65592 MSLQEQLLNVIHGVFSPDNATRQKAEELLAQYRDSQPSEFVTAMLHLCRHEELKIRQFAP VYLRNSLSNYSPKSHKNVWSLLAPETQEIVKVSLFQLLELETSSNVRSQLCDTIGELGGS LFEDETKNSWPNLLQTLWQLFLSPKNDLIECGFKILANLFTYAIDLFNKHQADLHTLFIQ GLASQDQKIKTATIQAIGNYVTTSEPKQYRVFQDLIPNLMQSALSVTIADQSLGEDIMET FSEIVDAEPKFFRKQINVFFNGIAAIFRESQIEQGLKRIGTETLISLAEKFPRVFKQDKQ YLSQLVEMIFFHMIQISQTVSEEWMKPAEGFNDDIQQDEDCETTRFGMSSIDRLIESIGD KEMLPVLSPIVNQLLQHQDWRYKYAAILALSQVGEYIEEVAEVKPIIDLVSPMLGDSNPM IRYAVCHAIGQIADDMKPKFQESYLHLIVPQFLTRLQVEDVPRVTSHILAALTNFVEGTE KGIESYLQNLIQLTIQYLNNGISIVKENAMSTLAATAESSKQQFLPYVNEIVPLLFQVFL NHQNKEYRQLKGQTIETITLIASAVGQASFQPFLAETVRILIQVQTSQLEAVDPQKSYVL SGWQRLALVCPQQIAVYLPEIIPSLFQLVQQVFKVHTGTADEEFHTYDNEEAEVAIHMLS VFIEELKESFFPYFDSCTQLIVPLCNFNTDENIRSAACKCLVSLIENVKATNNVQQLVNG AKYFLGIILEAAEKEFDPMVIIEQVDCIKEIIDIVGQPFMTTDEVTQLSDKVFKLLLESD KRKAENEKMSKEEDVDEDEKTVIKEETETEEELHVKIAECIGSIFKTHKDQVQPLYEVIC NQILPKVLDPTQSPKMHQFGIFLIDDMVEYLGYPYVQGKLNDFAQALTVYAVDKVCFVRQ AAVYGIGIMALNTPEQLYINVAPMLSKALVDSLKVEKNQDDTEKQHGHARDNSIAALGKI IKYQSKSLGGDLAQGLQTWLHLLPLKYDKPEARLQHEQLADFVIADCNQLVNGKPENALQ ILKVFANSYKTKRSSEAIDTKISSALKVFEQTQGQNVQAIFGMLSQEEQKKLLEVSK >CAK65593 pep:novel supercontig:GCA_000165425.1:CT868040:22646:26610:-1 gene:GSPATT00035073001 transcript:CAK65593 MFNLEDLLAEDENDLNDASNLEIDDILNESDNSIKDLNIEKANQILSEKKQKLKVTLKGE KKEYEELILKYIEQEFEQQYTEEVKIEISSNNEDEIEPQSLIDQIDKQERIIKETTLENV ELINNNIEIENIEIQDLQLISNQLKKLSGQPCLLAFQFNLLSIGTSIGEILVYSASNDDF IVLKYNSKVSAIDNTQSFLVAGYEDCAIILWDPNTKSQLKQIKHQEPLLSLKFFNENQFI ISDYLGQTSICKITKMLWSHQIEIEKLMPRQIHPFYQISIWKQEYIAFSCLEQVIVMKVD QQSQIIKRIKRQIDGQTYPCTSWGQFTNTEEYTEMVEIALAVSWGTHIEIYIVEKQQLIQ KYSFILNTIISCNWLSRDMLVVLTKEFQIVTLNTQFFNRDIITEDSRNTLRKQMNYLSNK TEKAIQSITPLGDIMNFPLQINNGQQMVSVYVNCITSNARFIYILTLPLVKRIKLQMWSD YLKVMIDKAETKQNWYDILSFGITLYWNQVHCFALPQTTYDQRVVFSDISIDICRTFINL VGQSIESQKVSEQFKYEEWSQTICQAIQYCIHCNQQQYIFTGMKTLLIKFHRYSQLLASL EEFILTKQIKQIPNDLLLQISTHYKVINKSEIVEQLILSLDPKGFDMTTIFQICQENDLY TPLMVVCPRIDHDYITPLQKMYSNFLKTKNQIMLLKSLWFIQLTFQQILFPNERIPQDRF QHAFEQVIMWLLIEDILTIFGIHFPKELFEILKIAMNYSSYFNSQQLQKYFNHQKPILVA FINKIYQSLSQLIVTHHALGIEFSKFIGSIKGMVLYEEIYVRSLKHLVSDSKNFQLIINI LEQFDLKPLLRNELFELSNDNYIKSLFAPDIYKQIDLCLMKINKKYFMLWLERQLNLKRE QTLKALDFYFDTLLGNSQTLQILKQIVPTLSTQEQYKLMKREQITDGYMELFQSLGPSNK DLRTLLITACCIHTPHQVLDKIHNFALDDLEIIFLETKHKEGLGYIYARVGKFDMAMKFF IQNLLDYLHECFTLQSFSKEILNLKFDLLFQTCKDNLSHNDKNTFLEIGHQLFNQETHSQ IWALSEQITKQNYQNMIPILQVINTRLCEFYNFLSIEELINLMEEQIEIFRLVWIKWSFK NLIYDERVKYRIQYKSYFIELQTYLGFKQQIFHQNASSPEIQNVCSNCDELITIDQHQHI LIRKCSHSTHQSCLQQPFCHICNPKVKMQLESVLANRNASDGVDMKRRQILIKENEIMKV HYMNINIEPSKIRGADGGFVINSRGYPDS >CAK65594 pep:novel supercontig:GCA_000165425.1:CT868040:26737:27409:-1 gene:GSPATT00035074001 transcript:CAK65594 MLFSQNQKPTHVYYIIEGEVRLEETQIEKRMPKNKMLASTCMAKNRTLLIKSAGSLVGEI EALLEKPYQTTAKSNVHYSKVLLIPEAIYIDLKKQDYLHQSLETQHQKDQLILKKIIQLK RRRLELQEISPERDSSSQTKISREDFIVQQNKRIQKKQNFEFINLTPEAPLEWLLLMKSQ RTELDLYPLLLKLKCYLPQKALTALRKY >CAK65595 pep:novel supercontig:GCA_000165425.1:CT868040:28739:29781:1 gene:GSPATT00035075001 transcript:CAK65595 MGGGSSKEDENQVNLDKYLQSAEYKQKLNAVYSGYNNQQQALHVPQHQDDDDDYINDGYQ GIQIKTKPQIATIQSTTLSAQQNDVYIIKSSFKFIQIGETTYQLAFLYTCPEQTQVDVWF LGQENLKTGEITSLYGNTQLQKQIQGFYVQKGQNQDFSQNKVILDLKLIKIESMKQYQMK QDEFSFPLIVKISKVNLDHSFTYYCTVERSQNQLVAQCMGSKLRINGKEFLTKDVYGMND SVLGKKDDNEKEPCRICLTNIIDTMIQPCQHVILCQECCQNLRMTGQRCPICRSEIKEFI IIAKAN >CAK65596 pep:novel supercontig:GCA_000165425.1:CT868040:29808:30633:1 gene:GSPATT00035076001 transcript:CAK65596 MTEFKEDKFFNKSGDSEDSADKLKKKIQKSKHQGGISTSSKIREMFKKQQFKEIVNWAEK DTSNTIIHEYDEIKVNSQTLKVGQNALIKNANNPSEDYVGKIQRIITINENKSSKLICLC EVRWFYRKSEVIKFRPQAKSWISNSEVFSTTCTDYILASAILSPCIIYSLEEYESAQTLD KCTFFTRLGWIPSKNRFEGYTKLQNHCTCKQPLNPDLPSIQCDKCQKWYHMNCVGVTKAD YDQKEYICGCCR >CAK65597 pep:novel supercontig:GCA_000165425.1:CT868040:31609:33381:-1 gene:GSPATT00035077001 transcript:CAK65597 MEKKRGFFTSCLNNLKLRTQLIILVSIIILVIVSYVLSVNLIHYSLLKQYFSQISNKIFA DTSVKIQEKTIKLYEGYFNRVFYLNGNSLVSFHRLYHMTKKQVKLHSLQLDTDYQMLYGG INQIPDPIRIVKGYGSSDISYSFMCYSNITAFEDPLSLDELIGMKIQEQTQTYAQILYQG NILSQSFLYSYIISEKTNAVYPCLNRGQGIYSYDPEKREWFIEVRNNYNKKKPYNEYSKS FTQPYLLFTDKKIGLSLVLPIVDENLELIGGVGTNFLGAEIIQILNSQEFGFQVIYLVST SGIMIMHPYHVSVDQLPLYIYNQSITGFNQSDWERMNTQSQNDTSACPFLSKHSSSLYCL YNSLYEQEMIIGIQEIPEYSMKLIMLLSSKEYQQFYSRFQEELQQKLLNQVGLHVFILLA LFLIICLALYIFTYTLFYPIQQIQAITINRILSKINKKSTMPSFANKFMSKQISLLFNSH SVVYDQLEQLSFNKTTICTDLENFKYPKRKLSIRNLSKRRLLPKENNLVNQSGQKQQQQN DVIEPETITKEMIITFLKISKYST >CAK65598 pep:novel supercontig:GCA_000165425.1:CT868040:33539:35357:-1 gene:GSPATT00035078001 transcript:CAK65598 MKQNRHFATKCIGKLKLSTQFIIIVSIQVLIIVSYVLALNLIHHSLLINYLSELSDYMFQ DNSNHLLSNMMEEYFQHFNEVFNLNGNALVSFHRLYHTSKNQVLLHPLDTNPLFQMQYGG TTKIPDPLRIIKGYGNYDISYSFMCYSNLSSFNNPLTFEEKVGLKVEEQLQAAAQIFYQG NLINQSFLYSYIMKEKLNSIYPCLNRDKAIYQYVAEKRDWYTELKRNYESKSPYDKYHYT FTQPYLLYTDKKIGLSMALPIVDSNLALIGGVVSNFLGSEIVEMMKSNSFGFQIIYLVSE KGVMIMHPYKVTVEQLPLFIYNESITGFNVTDWEYILNLNSDSSCPKFAKMSSLLKCRYN SYYNQEMIIGTREIPEFKMKLIMLLSSSEYLKFYDDFQSKIEISLHETTSNNIIWLFVSC VVLCLMIIVVTQILFHPIEIIKKQAINLIIQQRRNQQQISEFAEVWMSDEIVSLVQTYKI VMNKLESLSLIKTSECKQFEDIQYPTKQYSVTQAAFMRNIDQIKQHQELRFRCLKDFSGG EEFENAAISIIKRVLKQQNTKFLLY >CAK65599 pep:novel supercontig:GCA_000165425.1:CT868040:36526:39397:1 gene:GSPATT00035079001 transcript:CAK65599 MKNEQFSSPATLIKANIINNRTMIFTNYLQLDYAMIFEEQLKIINNHQDYIKKLCSLRLE IPNIQVILTTLKQLKQDVLSSQHTQDKQIYETIIKPKTQQMIYHSISLQKNLEGLLNLQE QPKNPCFKKQNNIDQAIKIRFEDQIVLLQQELSQYEELAANMLTSIKHYQAQFDFLKDEK VIKQQQELWDKEKDTILEYLTNKLSQSILNLIQTHQQEMFKITQDEVNPIVHSKGGVVYF YETLSIIDGQIYSIEQIKVQILNYEQQIVQLKVNYHEFEEFYNKIKTIHAEIVALSKKVK QINCTQVQPLLQQYQLSQRKKCSQRMEKLQEEIKQLFFQGQLIEKINVKAIMKNFESFHF DEQIQTIEHQIQEFEDTYTRQQFQVPFSKIKQLSQLIIKFEEDYYNANKMCLEITRLIKS ITFVGYISYLNEAINNKYIQALQRISLKKGHLLSFKFCNQEILTKINNLLVFQFPYFDWS EQLDLEKDRIYLIQEQYIWARETVIELSQIKELSSEIKIMKQLLTLDNELEKSLTVIEKY LELKDKLGTERIDIISWPKLELELVNESSQFCNHIIQQINDRVKAIQQLNEIQLLSKLDQ IFSDFNQQNQQQLIQLSKELQSKEFSQIIKPQIQIAQQFITVCIQHFDDEIDKKFCQTIT YQELEDELGGITKLNQLRHINEQNQNNQELRNLLYDQVMPFMRQKLKSDFSNLFQLKVIQ SQGGTNNYDPYKLQLRTDLLYPIYRVDINSNQYCIQEKVKIESIIDQPDLRQLFQEFRSF LNQCTIIMRNNNNDIYNDQEFILQLIDAFEKSWVLNIHLTDLQSIKSFHQNLKQWPEAKQ FEQQLLPLGIVLKEEYSKYLEACRQKVLSLTSGISITVDPNQENLIQDMIKFNFNGKVCC LHELCQNYFYRDSLSLIVESLEGNLRLLSNHIVLINGKQLQYNDQIVL >CAK65600 pep:novel supercontig:GCA_000165425.1:CT868040:39787:41351:1 gene:GSPATT00035080001 transcript:CAK65600 MNPLHKIYLQALINQSQQESSQQVKQEENSQKQNVQYLQKQQLLQIIQQCQTQYQPIQQV SKPPTLIEVQNQVTSYLQKIILQKQTINLTNVLDVQLPYFLIIMGNLIIYGLENCSADKE IVTDLLSQYKANPLKISMSGSLFQTIALLFRSELINYDQSTKYLANFLLFRDDFCDIFFN ALYCYQISQGQTVSQQIGFTQIHQIIKKQQDDVWTTFTFKLLSQQQQKLTKDQFLMQFNR YTQSLNNFFTKSNLIMDLVAQSVKQTAKLHNDQIFQEYLLIMGSFKATTQDFLKKATQVF FEETADLDLLKFSSNTIKIHKQMNCQILFILPMLLQLESHYLDHIIQNGFTEMQVKNSSN YYLKMIEKLKSKCVYNGEEECNCKKCQCIRRNRNSAKESQKKKREALEKLGPLQDEFDKL QKKVKQLETENQYMTSLLFDVFKHPSVEKIASQFLEPLTKIIADSDPIDEC >CAK65601 pep:novel supercontig:GCA_000165425.1:CT868040:41441:42421:-1 gene:GSPATT00035081001 transcript:CAK65601 MLPEFSIQAVKLQANTRQPKPVKYVPPKPKSPAQKRSSSQQKPIAKAKTSTERISRKVSE DFQEVQQQQVVAIEAPQQKIIMNMDERYQYYENEAKKRQNVIDVCDGVQFYKPVKPEEMP KPPKPKKQTSKIENAKQVSRTEKSEKQSVSSDLQHSLHQSKSLYNQTTSREQQQSTTSLV EEYQSQQVSVDPNFLVQGEVDGYQMDESQSQMFGFGLTDYIKNNNHKKELGEKNVADEVK PQSESVYTYYDYYPPNANGEIPKPLLESVNYMNYLKEQQALQAQQAQEGQQQQQQIQGKN K >CAK65602 pep:novel supercontig:GCA_000165425.1:CT868040:43663:44511:1 gene:GSPATT00035082001 transcript:CAK65602 MEDIKQVPSFLIKLYEILESEQAAICWNKEGTTFQIQDPELLTDQILPQYFKHRNYQSFL RQLNMYGFKKLKNKQGKNEFVHQQFRRGVKNNLLKIKRRNQEDIKQSLETLTKEFQSESY LIEHEKMRRQLMQMQQEQQRILYEIRFQMDRNRKLQRETQDIIDVSYYKLMQKINQMKNY SVAKLNKLVLIIQKLPQDAQISKMAMLKDKILRKLETIEQDNCQLKDISFDKQESNSYYE PNLTGVRSPAGYSPKTK >CAK65603 pep:novel supercontig:GCA_000165425.1:CT868040:44828:48496:1 gene:GSPATT00035083001 transcript:CAK65603 MFWSKRPETFNAPRQFVGNFKCERNLQELEEFPSNFIKTSRYNVVTFLPKSLLLQFTRYA NIYFLCIAIIQCIPVLSTLNPFSAIAPLVFVLGLSMAREGWEDYGRHVSDNEVNSTECII LKSRVPTNSTWAELSVGDYVLVKQDESFPADLIVLSSAIPSGACYIETSSLDGEKNLKPK SAILESQQLYQEMANYNEDAIRVEAQVPTQNLYELDASLFLPIGNGQQKKFQLTAKQLLL RGAFLRNTEWIIGLVVYTGQDTKIMRNADASRIKSSEIERIMNILILGILVVQITLSIIT ASFSSAWLHNYGSDSWYLEYTDFQPNLLSFYAFFSYILLYNTMIPISLIVSLEFVKVFQA YFIEQDEEMYVAQRNKFAKVQTTTINEELGQVEYIFSDKTGTLTCNQMEFKYCIIGNVLY GKDQSNTNNPVYNVDLKRQQTAKVHPESEVFQHSVFNFQDAELSDILKGEGSTGDMPVHL RIASQDGKQQVTLSNQRDLIQEYLFLLSSAHECIIQYDKNQNASYQGPSPDEITLVDAAA RLGFQFTGSSASEQCFKILGKEKKVKLLKSFEFDSTRKRMSVIIDDNGIIKLYIKGADNI IKDRLLPNQPFLNEIINYLDDFSKIGLRCLLMASRVLSHQEYQDFDHAYNNLPDNETRAN ELEKLTSNLEKQLTLLGASAVEDKLQPLVPETIADLLKANIKVWMLTGDKLETAENIAKS CRLIQGDFTVMRLSVPTVEECKKKLGDIQDTYDLCIKENRKKSIVVEGASLQFVIDNEDL AQAFVSMAKDCESIVCCRVTPKQKADVVRLIKDRLNKITLAIGDGANDVNMIQAAHIGVG LYGNEGMRAVQSSDFALGEFRCLWRLLLVHGHWNYIRIAEMVLYFFYKNMIFTVPQFFFS YFCAFSGQSFFDDWYITFYNLIFTALPLIMRGTFDQDINYRQYCQYDSKEEVANVQKKQE QYLRLKFPSLYYVGQNKTIFTIPNYLLWAFNGLVHGMIIFFFVLWIMDFEIVQNSGESSG LAPFSLTVYSCIILIADLKIAIHTKFWTWFNFICITFLSLLLYILYVIISNFWPGTLMEY TPFTMVGTPHFWLSLLLIGAIIGGLEAIQAEFIREFFTDPATEMLNKIKVFEHNLNKWVE NQEKIARQDSFWAGIYKQKEAVEEQELVRFSGSQQ >CAK65604 pep:novel supercontig:GCA_000165425.1:CT868040:48800:51102:1 gene:GSPATT00035084001 transcript:CAK65604 MHKKKIKRTDLQSAPDIIKTRHRPTNHLEIENLVMQDMRKKILNDEMNTLQFYDIVIPDQ DEMITSLKDTTKKPIKLHQKVHRTDLEKKLRARLSNEAGAKLLLQEIYEKLEEDPENHST TVRKKLHQEEQFKANQQYKAESDDQLVANLDTWYKGVKKRQKEEQDKLKQEQEKERLAKI QLQEKSQSLQAMRRGGLNDRSKAMQGMPAFPKLIVESAKSEGEPSAFRQKLMGSLQKISA IKKIEMLHQDQTLIKQLDKYMQQGSTLTQQELAQIELARAKQQGDEKQDGTLIFDYTVEG LKNSLHTLIKMQLVQFQNASDRALFYEKQTNQILLSINSRLERQKNTVKKNEKDLETLRM ENHDIHKKLRAIQDFMKERQEELRGINKKPQQASNSPNIKNNASQVDNYMVIAVKQNELE SQIDQMKDNYSKFQQQMKLNSIQIEKLEQENSKLNKNNKALLKSIQGFLLELLKIGLDCR SQGLSWIIKAVWDSGEKIAEASFPPYLDSKARDFLIIKTRKTIELNDLFKKAHQLFSNYR EASIDTGNNSIVSLLSKNHFSQIDSLDIKKQHIEQIKQKQESQVTLLVQGLSETEHKLIQ STLIHIFQSKETLNDIIYNEAQRRIAKMFKTEANQEQSIVFTEINNFEKDKLIKEYQQIQ KDIEVKEGQFRKFEEAELQRLVKEIDYKNYLARFNVEALQVLAALFGYTRAERELIKYGM MHKMFNN >CAK65605 pep:novel supercontig:GCA_000165425.1:CT868040:51104:52487:1 gene:GSPATT00035085001 transcript:CAK65605 MQTLGVRTAQPKFSLLIDDSQTLSYRELLKCTAPLALIDVQTLSASHYQQFKNVFVTQTR AVSELQFEEIASLVDFIPGATTSQMMVALGTISKKNALGGIVALVAYTVPGAMILTILGY IYSKYPDPRDLNILVFLAIQGIKSSTVALILQLLISMAAKSISNKMHIALSVLSAALFVA YQQPYVLILTMMMGGIITIMVEYDAENTIGRRTFVKEQTATPAIVNDPKLINKKNSHKIS QLLGNKSLHLYSVILLLLLYLNSKYQSLILDITLSFYNIGTFMIGGTPITLPFMYAETVL NPLYINADAFWLGFGLAAALPGPYLNFAIFLGTHSMGIYGGICCWVAIFVPSILQIWGLL PNWSNLRKNVYLQKFKEGVISVAIGFLATAVYYGWVDACRYDLSTATVISFLSLVYVSLL NFNSPAVLTLGAALFIIRYLALWYYRELDPVIF >CAK65606 pep:novel supercontig:GCA_000165425.1:CT868040:52522:56566:-1 gene:GSPATT00035086001 transcript:CAK65606 MKSFNLTHDAVTRKYNIGQVHLDIEKQAKPRGKKYLRQVTLQENQMAQVNNKTIPYPTCF TVVTREQYEQLPNEERLAFPWIEQLNKPIRILYDRHQGGEQRTQLSQFQKATAIYFDTQN QAFRFIEFLKFRNAGVLEKSKKSMEKVFKRFQYQTLLRYTEAQNKKLELQSAKISNDLKS KQTTFLHNSNEIRTYDSQKYRDLFKFLTVQAKQKQQLEQESIRQGKLSHLRQNERFFQNL EKQNLKSILGQWKNISVQMMEERQEREKQEQEALRLLEQQQELLEQQKKEEENRRLIELL KLQHKDLHFSNFKLIVGDHIRLLNPILFVQFKPLESEQEGKIEFVKGTELSYMELDGRGW RANVEITLSHFKTQDILEFIIFDDYEDFNERILAKAQEEPTEDQAFIATARKVMQGRISI LEFEKNLNSNRRHFARLDVSADLQQNLQQDQQFNQPMIQIDLHEDVRFKITNSTINPFYL DIVELPITANELKYYIRQLKDPRKSMQQQWEEFKVKSLEDALQSRGQIWANSKQSEDDLI EIQEFLSEEGYDNKDGRFKQLYYYLYHNRIQNSEGFVRDKLMVACKKGFTKQSRVELIPI LLQFNEKIKNFADDHGLEWHENLNILDIVSNEIDKYMDVETQQINRERVEQYISSIKFKY NNVWTNIFMERLNQWKDQIYRIVNGCGVIDNTQDKLLLIVRLFQLFDGKENCDELIYKII RCLRYQMLVPKLNNPKINMGWFKDHLSNLFSGFFYFDVWLRVFDYIIGIGFIEGSFDKVI ASVVGGILNEINYSTFTTQEEFIVGLNVYGRLLCNPEKLIIASYNCYLLNQFEPLEKYDD LIKQMIQKVNPLDNIHVDQLKLLNTQQQSQIIDIPEEHEGSVYDIQESQIFLPKAGTKFV FKQRINAVYILIHSLHLHQIDYYGTNVVTIQGQQRRESEELYFELPYSSQILDISVNEKF RGRIDLRYLQVNTIYKNTLIFQDDYDLQRHYQISEMEYSILLQGDGCNSENIISKDQAIS YFMDQCILFNVKHSAFSHPDAFKSGNSLNYVEFKQLVTQYFQLDPAQCNFQELYSQFLRE DNASIYLVDVLFKLTHSKQKRKEILSLFIPNDLINHQQYRRQQMQYGDVRFVKVTLSDDS YEKSTDLTDYFNSILQSHFQKYNSYDLLLIDENNRFYLLDNISQISNQFTSQMLILQLKY NSYGNVRKVEFQMSKQFQLARELQTQASSIKELLENNQRLLCLDCKFEINENSIVDFHQH LVYIRCREGAKQLEKCTIVGQIKNKNNQLKYYVVQLDNNKKVVVYKEDVLLFDTIQLDEL DVFEKTWKSI >CAK65607 pep:novel supercontig:GCA_000165425.1:CT868040:58296:59461:1 gene:GSPATT00035087001 transcript:CAK65607 MMMSPLGFANLYLLMGLSVSIIITTPCASVALADCNASGYCTLSADSLSCLSLPCYKINE VGACRDATAVAYDAALCSGLSQLDLRYDNVCGPLGQLDYAYVRLPIQKTGSATYSTTGMT VSQILAETVAATRNNLLTQLFSLNLYAASNTELNSILSLYIAYKDDMTGVTGFTMSHPYY MEKAIYAALQNFRDDTDAAKVLTTTLTNVWTLSDTLLLRLRTFRKFYSTSYFFVNFAHTQ FSRTYLSVKAQYSVFSLKWKQYSSNGYVQLITFVPNQFSGFSAALSDAIMFNIIDTTGTA YVLTYTLTWLITDNTKITCAAETTSFLHVIDRNTLVESTPTVDIHTYCSISGTTRRCDIP STVIDAQTPAGKAFYIACS >CAK65608 pep:novel supercontig:GCA_000165425.1:CT868040:59515:62015:1 gene:GSPATT00035088001 transcript:CAK65608 MEEVQTRLQKIGLDETTIKNTLKGKNALKSILQTLDLVGINECDKKIGNLLYEMSQNLTE ALDNRRALLAKYIVEGKISNKLQLEGALEYLRTHTHLEQVPAQDFEKAAGVGIVVTPEQI KNTVAEFLQSKQDLKTIRYTYNFSEYTKQVRTLLPFAEGKLLTQELNQQIEAILGPKTEE DLKAAKTQTKKPQQQQQQQQQQLEQQGEEEDDCKFDISKLVARDLATTVNSEQLLNWRKQ NFPYEVLTRFPPEPNGYLHIGHAKSINFNFRLAQHYKGVTYLRFDDTNPEKECQEFIDNI KENLRFLGYTPFKTTHASDNFGKLYDYAVQLIQKGKAYCCFLSKEESSKLRTDLKPSPYR DTQPAENLEIFRKMKMGYYKENEVCLRAKIDPTHPNPTLRDPAIFRIRFTPHPHQGDKWC IYPLYDFTHCICDSIEGITHSCCTLEFEVRRDLYYWFLKELDLYRPFVWEFSRLNVSNTV LSKRKLHHLVFNNIVDGWDDPRILTLNGLRRRGYTADSINKFCDLISVTRKGNENFIGMH VLESCIRKDLDIKAPRTMAILEPIVAIIDNVAEDFSEELEVAIIPRNPQKGNRKILLTKQ VYLDRNDVRTEDHPDFWGVAPGKIIGLKQCGPFKVLSVTANEVHLERLGKDVKPKGFLHW LSVKEATPCVVRLYDYLFEAYDPNELEDYIKGINPNSKIVCANALMHKDLLNSKPEDKLQ FERLGYFNLDFDSKDGKFIWNRTVTLQEKDKIKAIAQVDGKQVQKKDVPQQKKDNTKKEA KKE >CAK65609 pep:novel supercontig:GCA_000165425.1:CT868040:62048:62305:1 gene:GSPATT00035089001 transcript:CAK65609 MMNESFYRICFQTLRTLCELKLITGQEKLYLKKIVVHQQFQIPENLDINYLSLLFLFHIK KQRKQLELKNGDLLIIDEQTDEEQA >CAK65610 pep:novel supercontig:GCA_000165425.1:CT868040:63102:63522:1 gene:GSPATT00035090001 transcript:CAK65610 MKTLVFAKQIQQYQQQHMSKANKFITTLYQVLESNEYFNYVSWTNNGSSFTIHRLDEFKK VVMKDCFNSISIESFYRQMCLYGFRLRKKKGLKEYSHPNFISGRLYLKQFKQLAKSQVQF KERGRLRPYLMT >CAK65611 pep:novel supercontig:GCA_000165425.1:CT868040:63641:63859:1 gene:GSPATT00035091001 transcript:CAK65611 MASFCKNVITTLQQFENQYPESWKQQMRIILRLIVGCKPVYGAETSAPLYEILKDDLLQQ EDFYSPKQWPFQ >CAK65612 pep:novel supercontig:GCA_000165425.1:CT868040:64573:65625:1 gene:GSPATT00035092001 transcript:CAK65612 MNKSLNTSRDTRSSLQEVVTTHTEQIIKSNQLEQELHRVNQQRKLLSMELDDWSYQYVQK SQALQECELENALLKRQIHTQSQSEDIQKLHVLLQQIFIKSRQKNQIILEWTKKFENIEK RLENENKLQQQVKQQQYLNDIIKQQLTELKQQQIILSKNYLELKEERNQYYLKFIEASSQ IQKLEINNTQLEIERTKFQSDLKLVKQDCLQLTERLNQVQSVDEKKNKWKTRYHDLLKQF KDNSIEQPNQQLIEQPNDEIQNHQQEFNIVENYDNEELNDMKDKLQQLEQENQYLREQNI DLRNQFQSATDKLLKQQLQNSMTKEQSQTFSFVHYHREYCDSLEEQQIQD >CAK65613 pep:novel supercontig:GCA_000165425.1:CT868040:65641:66191:-1 gene:GSPATT00035093001 transcript:CAK65613 MLNQGRALWYALKVEDYDLVEFLINQKTDIRQTYEFEPKRYLSTASLLTLRVCQARSKGL SNLHYKRMYQILDNFLQYAEECDIRCHCSKNYEHRCPLYIALKALGVKKEEDMFNKKNDI LKALLIKGANLRIFQGQTQIIDVEGYRQYLIQRIQFQKTSGFKQTQDGYATFSQ >CAK65614 pep:novel supercontig:GCA_000165425.1:CT868040:66933:70229:1 gene:GSPATT00035094001 transcript:CAK65614 MSLQRAKRNATKITYSVEEENESDSSSKQNKKKLKKTTKVTITECKKKVKTQPQLRFSPI AWSRLMNVGKLLGQIDTAGILNNDEIDPTIQSNDVGQVNIYKEWFERDYIYELDEKSNLT KPLEFQSNLHYLHQFEKRIQNRYYWDNEQVWKDLRKLFGNTYIRCRSAQDYNYLCKVWLD GKVKIKPIMDVFNSQIEADVKNLMEIDKEFKVQTTKWPVIPDKKDIRSYQEIYNYEPNPN QEPYIEQIREKLSTSKRPVKCDKDCVCFDLSKMGAFSYVNITWDSECPNRKNRVECLEHE GTEQPCMNMSIQMKQHQVNKMKQEENADVEETPCWGIDAYTRKVIINILPLNYDDAQKNK FLEKLLLAINRPSDKENAYDMSLACDYIIKESKLMSSHYNKEDRKMAKQIQKVLKYDTEG FRIHTKGFGLVCVNKQGIKNNSLIIPYLGEIYQPWRWYEKQDFIKKQMKEHNQKDILPDF YNIMLDIHRDDIKGIDFLFVDPINKGNYSSRLSHSCNPNCGTVTTVSNGTYVIGMYAMRE IQYGEELTFDYCSFTESKQEQLQALCLCGSEKCKIYYLQLSNCKEYNGILDKEHCFLTRN AILLKSCSDNVDKSNEDSELYSKYRIGSSVLNDCPLWLKNWVGYILKFIDQERQTYKSEL NLKYEQTAEVEQWNHFTATQHSEDRIQNLIFTLDKIKFFLNNSDSSEPPISIIGDSDLLD SFWKDYSSGTSSECSFFNELYQLFQKHNQKKMIELIHVIYKKKQQLHDYKENIHNIHRQE LLITRMLFLTLSHMLMQQQYTFHHEALSLILYMMAYTYTYFKPYEYTGFSSPPIEDLEWR KVGAFKKKCKSEGRAYSSQFVWGQLIGWFKQTVAAPQASLSQDRRGTLSYPAISSFDKAG DKAYPFQQSKAQSSRSYFIQHLLDKPSYMWPPETASWSYKNTYKIYGTILFEQFYSTELE EDFLDEVLASHTRDYDSKFQLFVKYESKKFKHSLELFDKFKEIFKPYIGDNQLNYKDVIV APLRYRRCKFENNSKREAYIESLRADGKSEEADLIQKFPFISYAI >CAK65615 pep:novel supercontig:GCA_000165425.1:CT868040:71163:71828:1 gene:GSPATT00035095001 transcript:CAK65615 MIKDQSFDTNVSINSDEEIKQIKIHPFDPYFQYYHQDNTQINAQNEKANSPAPSPKYKFM GASYSNDESTQSQSMQTNLDLGKLQEQCISQCTIDVLKSVDAYLDIRSKQDNYHVEIVSM QLIKMNMLKNKNVVHHNHHIHQTKTRNNKNSTANVRSLSPQGILKKSSFEGPFNKNLLNL QYNQNSNKKVSFEFTKEQIRSMKRINVSSVLINKKSRLFLN >CAK65616 pep:novel supercontig:GCA_000165425.1:CT868040:71835:72516:-1 gene:GSPATT00035096001 transcript:CAK65616 MEQLLSIQPDGILRFELQNNQYVARIVLQNVSAIFVAFKVRLSNQNDYSVKPNIGVLRAG NSVTLQLTTSNAITINMDRVQILAGPITINEDVVQFFKNQQRLNQRIIKCSTDDASSLQS TVFKSSISPQEINDFKTTTIKTEYVKPQKKQQQSKDILSLEDQIKKIVKITNVYIQSEDL KVIKDKQNDGKITVGIKQFLISILISMIVGVYITYRQYR >CAK65617 pep:novel supercontig:GCA_000165425.1:CT868040:73040:74858:1 gene:GSPATT00035097001 transcript:CAK65617 MIDRSYQLNSSYDSQVTLPPRNKQFSPVVTQLMRKDREIEQMRDELSQQNYLIDELSQQI HFLEHQLRDNEGFKEKYQSLTDDQNQQQKDVQKQKVSVQTTTAKEILNLFLEQEKQGEDN VQFKYIETASYFKSELQESVNRELEQKQVLDNFIKQCTQMNEQIISKNQQILQLTLEKQE EILSLLIKKEDELLFYKEKSRVFYELLQCNMDITKLYQKQNQGLRQALKGCWTINLDQSQ QIESLIKLLDNAHLERNSLSVKPILDQIKYCSNQNYSNKRISQLEIFIDQVCQVEGKTAF DKVQNIILQLDQYQQICQNVQDEKQEIIEELQFYKNKLMEQDETIKEFEKLLTEMEANFH QQLQTQTLQLNEINQKNELIAHYQLILDDYKSQMTQKLDQKMINEQQGQNLNEQSLEEIL KIITMILQGYNTLTNDYQLNIDISGINVNKKVHIDINPVIHNYISKIQQQVNSQFMITGK NYYELTNHMVNCLQVMKQQLPQHQLKNFINNASIEYIEKFNQISYCYFVKQEKLTSFEKD LYRKYYTYIPRLLGELIENQTQTFIKLLREKVYNSIISDPIVSNKPFQS >CAK65618 pep:novel supercontig:GCA_000165425.1:CT868040:76031:77559:1 gene:GSPATT00035098001 transcript:CAK65618 MINDKFLIKKKISQGSFGVVYVGQDVQTNQYVAIKIEKNDQGSSLEKEAKILQHLNGTLN IPRLFYFGEHKKQMLMVISFLGKDLTYYIRQYKKFSLKCVLLIAEQMLSILENIHLKSVL HRDIKPENILAGRDNDSNLYLVDFGISKFYRDKRGRHINFSENKPFLGTSRYASLGAHKG QEQSRRDDLESLGYVLVYLLKGSLPWQVLKLQDEQKIKAVGDMKDTMTLHELCNGLPNEF ERMIDYARKLDFKAAPDYKFLKKMFQHLAHSQNIQDQLRYDWDFTPSSLQVPSSEIAVLK RSKRTVEQDQQEQLQMRKKQASSSTFNGLDGLSGNSFLKTPEQHCRKISLTPAKQKSNSS FNDSFSSCNQSGNQSVIGMPSYQNNLSQLSFDDHNLLALAFESMSKVTKEQLNQEEHEEN NGDFSPSPLEVKYLKLKKSSINVHFKRTLLQIESMNILPPQSSFNQYQ >CAK65619 pep:novel supercontig:GCA_000165425.1:CT868040:78388:78958:-1 gene:GSPATT00035099001 transcript:CAK65619 MVNFNIRSQTYPKDNKSNPQSRDTIESLDQCALTIDNGGPHQENCTICYKKLCSKCFKQL ELFSSKRTQAFQCPHCEEIRELKNTIKCFILNTLQLILLLSTLPIVAFALALIRTEKLIQ VIETKIESICIILIVNLLLSIPIYIGELIICIFIAPFQIILGFQEAIRQRDLIILKRVFS QQ >CAK65620 pep:novel supercontig:GCA_000165425.1:CT868040:79635:79987:-1 gene:GSPATT00035100001 transcript:CAK65620 MSKTKEDNLNQFKGLQQVQAIKQELKHKYLQICSKNYNDRELIIDCMYNNLKNEERQLND LILKIDAHAKFYEATKESLNKEEQQTQLLITKKLVNEWMEWERSGQKYN >CAK65621 pep:novel supercontig:GCA_000165425.1:CT868040:80036:81838:1 gene:GSPATT00035101001 transcript:CAK65621 MEDGEIPNEEGQKNGIVEEGERTPEWDQERSVSKHKKAKIKKQKKRSRSKEKERDKKNKK KHKKESNRKEKKEDRRTTDQQYKSKQHQEEQKQEQSIRVEMQQQAAALIQEDEEENIQDL DIEDEEAVFLRRRQIREELFKNLEQNIQASPEKDNDEGFTFDQPQQQIDENKQSYFRMLD QQRNCNIQFDYLVNNQQEQQQETTEQVQKKIVLDMFDELDDELENDDLNMMVRHNANASY EADDDKYYRITIGEMIKDYKIINKCGKGVFGNVCKAIKDGQEYAIKFIRAEDIYIRSGER ERQILKQLNEADPNNKKHILRLIESFEHRKHLCLVFESLDLNLRDALKVYTKGQGLDLSA IRSYAMQLFVALAHLRRHKIIHADIKPDNILISSDTKLLKLCDFGTAFTVDEVTVVEYLV SRYYRAPEIIIGYPYDTNIDVWATACTLFELFTGQFLFSGDNNNDMLKLHLQTMGKFSMK MLRRSALTSKYFDQHLNFKSREIDPITKQVILKPVLLGEKPTRTIGTLLKVKEQNLNAED QKMLLQFKDLLQKCLQLDPKNRITPEEALYHPFINIAMANTQTKKV >CAK65622 pep:novel supercontig:GCA_000165425.1:CT868040:82378:83584:1 gene:GSPATT00035102001 transcript:CAK65622 MNDIAQTGKSQASAQDIHSKIESQFLQRYAHYLIGDEFDQFLTPSFVHMLHILDSKKVSC EQLINYKTQQINNQNTESQVFFALRNFTFNSFSLMFDQKLENTNVYNKEEYFRSIRTTQD QANRRHQFVHNPSNSFNQDKQEQHQHNFYELYSNKLAKDQGDILQGEIVIFETRIKYPAN KAKQLCYEIKQKIDNLFRSTTRQHQIIRSEYIPYLEEYKYPTIVLIIIYNGDVNLDFSKL LQMNQITINRKQFALKVKVYFISKLSLFSHFREVNNQSKTECITDKVVTFYDMNRVRQRR QKVLKEIEVIKKQAQVNQSKKKKEQYWKLSITTGLCVAAAILFLSKKQINLKKFVQVTSK IV >CAK65623 pep:novel supercontig:GCA_000165425.1:CT868040:83870:84318:1 gene:GSPATT00035103001 transcript:CAK65623 MSSPHFKNLQSQLKIYLYSRQSIRSASSCNSKRSIEIKETRKKENTRMQVKLQQITSTFD KSNCKTSPRVAKPMAKQTNNQEKSLNVKPIKNYKFQNQGYQRHKSLQQPQKEESKIQNII SQYQSVLDQILPLIRQNKINK >CAK65624 pep:novel supercontig:GCA_000165425.1:CT868040:84554:85667:-1 gene:GSPATT00035104001 transcript:CAK65624 MKNRFENSQDLDLDMCGISRSYSQTKLSGLNNHNKDKLKNEFVDKHLLQRKDQEDLGGSI QLNDLNILGLKDYYSGVFDNNLDVQPKKKEETNDRVQIETQQNEPFNNTLDQFGQKLNEV IGQYLCELTSQSRLSLDSFSLQNSENLDEEQQQQILDLELNVIRQRIYKQIVDKINLSVI QSVQSIGTSSQLTSQSNYISTCVSKELLNNSVTQFSQISQKSPPKSLTHSLLDLQSQLQQ SAHQAQARLNKKNDELPFNIVDCEGTKEELQNKLKISIQLNYQQSQINSQLREELQKYEK MNQSFDSIQEDEIHILQQEINSLRLENAKLNESQSNKQCEKCKGSIN >CAK65625 pep:novel supercontig:GCA_000165425.1:CT868040:86953:87519:-1 gene:GSPATT00035105001 transcript:CAK65625 MTTENCKSFLDQVLDLIRAEDCETTTPPMKELIKPKLRLFDIDDNPKFFSPIRPFPIQQK ITKIKQIEKPILNTITKCKCMKSKCQKSYCECFAAGKACNMDCNCQGCNNTICSQSIKKV QTGGCNCKKTGCLKKYCECYLKKQRCTFLCNCIDCCNQEDSESEKENEQLQQQSIDCGQT ITNQIIKQ >CAK65626 pep:novel supercontig:GCA_000165425.1:CT868040:87979:90014:1 gene:GSPATT00035106001 transcript:CAK65626 MSYQDSPSPLQPILNFDDEDEAMDHLVKEYQNQGISDLSMTKYKYLVQRGLQVIHPPLPL QNNKSRLSKFVSSQELLQVDKTLVDKLEKEQKWQPYRARLFKNNSQHSLRNLNDKSSSKI YTGYGPLDEIAFQLGHNKAQIKHQNEQLSQQIEKYMISKSQSVGKLPKIKCYPSRLNDDG QIQNKHQPKVIEVIQDAQIPNYYLNWVEKFYGKWRPAMREGATLSVIQNKFYLYGGISAT PFNDMNVWNGNEWSQIDIIDKPPFGRTNHVAGVFRRSIFYFGGEKPYDNAQKIRESLNDF RVFNTETNEFKIVRTSGEGVEVRRGQASAFVGRHLLIMGGINTKGKYLSDAFHFDVTTAK QAPANIDTCNYFQNGIAFHTIVSVYNTNRLIQLYKSNLDAEDLKEMKQKVEGVYLFGGEN KQGQLYSGLYLLNTYQRPMYWTEVKAKGVPPSARYKHTCNYFDKQQLIVIFGGINIDSIF LNDCHIFKVDSQTWCTIQMEYRDGRAGHCAAQDENRLLIFGGYNENGFVKADIVWLELDQ SVQIKTQREKLSNMDTTKHEKMKEKQKVQDEQERLLKLREQIELLSFKGIKSFAPMPLIK QRSKSSLWKTVQSKKQQMLQQKMIEETQHLMKDFNAESAQRNEEKQQEQTSPLVNQLDLK KIGRNPRPTN >CAK65627 pep:novel supercontig:GCA_000165425.1:CT868040:90068:90709:-1 gene:GSPATT00035107001 transcript:CAK65627 MDQSKQLIKNELLNLSFSEEQIAQVLPSADSLEQAVELLLALQEGQQIKKQNVGLFTDID AIPFDINFDNIKPPGEQFDKRYKMVCVVRMDLKMGIGKIAAQTGHAVLGAYKQLLNDKHD LLQKWEGSGQAKVVVKCESQQELHNIEAAARQNGLITYIVTDAGRTQVEPGSQTVCAIGP ADCDLIDQVTGHLKLL >CAK65628 pep:novel supercontig:GCA_000165425.1:CT868040:91177:91356:-1 gene:GSPATT00035108001 transcript:CAK65628 MGLCQSTQSTLKLTRTPAQKHIQINFEKIKEYETQYTKQERFQKKQSTEGFSHLSNVDQ >CAK65629 pep:novel supercontig:GCA_000165425.1:CT868040:91591:93193:-1 gene:GSPATT00035109001 transcript:CAK65629 MSKFQQDGITICSLRGFQKWPSSAQHSFTPHKCGLRMVKQFTSHYKDYLQRFNGKPIQMP VRILTSPKEGKYNDGYDNENHDLIIRQYDKLYNSKKNITYICEALLGAGTFGQVIKCSIE GTKQQVAIKIIKNQCAFHQQQKIEIRVLRTLQTFSKEQNIQNNCIIQLNDQFLHKKHACL VFPLMAQNLLEVMKTNKYEGFSVSLVRKFLNQIVTGLTVAEQCGVVHADLKPENIMIEQK QPILDDCNLQIIDFGSSCFLNEKHPYIYIQSRFYRAPEIILENRNYTTKIDIWSLGCIAV ELLLGSPLFPCWDQYSCLERIIQVTSPIITMQGYLKNASATSKYFNELQNETLQFKSREQ FTAEFPQIQTKEGYNSTHPIKKLTDLAQYYNQQSTKDQPTFNQFLDFVQKCLEWEPSARM SAQDASQHPFLTGQNQGFDINIDLEDLFAKLDTQSNQSSTSSFYEDQPEF >CAK65630 pep:novel supercontig:GCA_000165425.1:CT868040:94354:96840:1 gene:GSPATT00035110001 transcript:CAK65630 MLKIYQFIVKFFLHPGEVSNELEPKKIMNLWTLQFRNSEIEEKYTSKEKNRIIVLFRLSF LIHFFINFIYFLNNQFVVKNQTVAYLRIGFSFWHVLCLISQANIQKIQYKYIISISEIVS AFSTILITDVVIQTQLFNKQCTQQSTAQSLNIGIQAGIIIISYLMVCPLWFCQGLILVAA TILIVGLVGMIDSIYWTFYVLLIMLIIFFRSIEYYKRLDYYTICQQTSNLKACKNIFDKT VPNSILILTGQSDDLQDSTISGKEMKLIYTNDFAIKYLQVSDENEIIRKLKCIFIQTEQE SEQETYNSCVSIYDVLQQKLIQAEEQFQQTQSNRSSVIKNEYDISDVSDYFLCFKLDSQC KVALTSKSQLKIKSHFEIRILQCIWENKISILVIMNDISERIRLKHLKEMDQYKDRLLAT VSHDLKTPLNGMMIDIDIMSHIFNIEQLCVHLDEFQQSGQLLLSMINDLLDYSQINKGQL RLIPKTFNINDTFKFINQLLNRQSLEKGVKLIWKNTIDDKTSLLLTDETRLKQVLINLVA NAIKFTMQGQIQIVASHHLECDLIEISVSDTGYGIPDEIQRNLFKLYSTYDIGKNNRHGV GLGLTISQQLVSLLGPSDKIELKSQVGKGSTFKFKIYRILQQSLENSLQEQDLHQKQMVP RFPSYKNLNCVKKKRGQLKKAQTYYKLETTPDDSYKILIVDDTPFNIIALSAMLNQVMDN CKLFKAFNGKQAYDLYSKEQMNIIFMDVNMPEMDGYQCTSEIRKFEQIEQLSQSIIVIVT AFSGSDDKLRSQKCGADDHLDKPLNMDDLKKVMKKFGVI >CAK65631 pep:novel supercontig:GCA_000165425.1:CT868040:96990:98111:1 gene:GSPATT00035111001 transcript:CAK65631 MMRLNITRLTKRMNMKPPSENDFSTLLIAKNTPIRQLSISTRSSKHSQFVRRLPTNQNQQ SQQLTSRTISVHDTHLHGEPLQEKQLSVNKMQNKKKSSRRLIGLQTQPSLIMKPKFADIK YELIDIYSLQIPEPKILYRGHRETSHLQKIAIIGQSLSLNKQLIQKIKSECILVLLTNNL SQLDEQYDCIIQPPHISPKEALSFQRNTNQIWINVNHLIQTYKQPQQIIMIDEIFNDNNI LGIEELKNKILYSGNCKMIHLFLQKDKPNLQKLYYALCHLLKYEQQDLISLNLKQTLQEC SENCLQIQSKLNYLQKVGDIKKKLKHQFQKEQISIESPEDRAEKYIILKNQSERLCY >CAK65632 pep:novel supercontig:GCA_000165425.1:CT868040:98301:99377:-1 gene:GSPATT00035112001 transcript:CAK65632 MFQTLQKLRVICFMFATTAERKVPPTPSNIPFTLNNLWDNPGARKIQKRWGRSGTRGNAC GRGQRGQGARSGAGVPPKFEGGQTPLTRRLPKWGAKPSLEIYREVNICQIVDRIKQGRID ATQPIQIKDMLESRIFSKCKYGVKLLARGAERLSELNTPITIEVSDASKTAIDAIKKAGG EVKVVYFTPLLLRQHLFPDKYPLELRPALPPSRAVEAIQRTEERGAVADYIKPKWVIEDE IEKKKLEEMAKLEVDTLKVQLQVAETVETVKKEKKKKKQKQQEEKQVSTLDLTNFKYPVS REPGCGKDKIKQRKPVVYKKISISLD >CAK65633 pep:novel supercontig:GCA_000165425.1:CT868040:100130:101262:1 gene:GSPATT00035113001 transcript:CAK65633 MEAFQFLDNIGKGSFAKVYKVLRKADQKVFVAKEMEYGRMSEKEKQQLVNEVNILRELKH PNIIKYYDRIVDKQTQKLYIIMEYCEGGDLAQFLKKLKKDKEYLPEESVWKIFSQIVQAL CEIHRRQNKILHRDIKPANIFLDKTVKLGDFGLARMLNINSEFAHTQVGTPYYMSPELIE EHKYNEKSDIWACGCLLYEMCSLQPPFQAQNYLSLAMKIKSAQYDNIPQQYTSEMKRVIS WCLSVNQDQRPSVDDLLNLPRISIRLREKRLKENSLLLQQREEDLKKKQQYLIEYEQRLK ELDTQRNKENVQNWQKGYKKSTDRSENSLTTDQDSDYKESTLEKLMQCRRSFQFKQ >CAK65634 pep:novel supercontig:GCA_000165425.1:CT868040:101328:101987:1 gene:GSPATT00035114001 transcript:CAK65634 MEPSELLKYQINVMSDEQKCSGFNAYLNEQLFQSAHKKKSLEQQEKIIQQWNKLSSEEKK EFQDKEEEIREQVQKEKKEKEKEKSKKSHKSHQNKQKDHDDDDMEQVQKSVQKMKINEEK QHHKKKTNDMKHDNHEDKEEGEDEEKEDHHKNKGWNSKQEKQKMSEKEDEDEDGEKQDQV NEEGEHKTNKKQYKSRSSRSGCRSGQRSGQRSGCGKRKM >CAK65635 pep:novel supercontig:GCA_000165425.1:CT868040:102059:103273:-1 gene:GSPATT00035115001 transcript:CAK65635 MEYMEGGSLKNYMSENPNLNEEQCIQIMKSILSGLTYLHQHNVIHRDIKPDNILLTKDIV PKIADFGLSIQFENFDYSTCKCGTFLYMAPEILQNKLYSKPVDVWATGIIMYQLLQGVHP FYKQDSTKQQYLQTILEKPLQFKKPISSQAKDLLIRLLKIDISDRYTAGQALQHPWLTKQ DNLPLSLFEQFKLFDCKNKFINIIKLLIFIQQLKIPKFSYGYILGQQGFHIKQVQYIVGQ IGQIQSPLKIESPTISSSTTLKKIGKIDYSEDNTPISLQNATFYQKNKKLQKLSNFYEQA YKSNENSLAQLSPQKPKRSTMNFSQASREYAEIMLGYSAIKEKRKPSQIKLEPLDRRAKR TSSVVVISYKQ >CAK65636 pep:novel supercontig:GCA_000165425.1:CT868040:103301:103542:-1 gene:GSPATT00035116001 transcript:CAK65636 MKETSHLFSKYQLEYIQKIGEGAFGKVYKAFDQTTKKVVAVKVLNTDEEQELLSSLNHNH IVKHIRGQLSKF >CAK65637 pep:novel supercontig:GCA_000165425.1:CT868040:104042:104432:-1 gene:GSPATT00035117001 transcript:CAK65637 MIQPKVLTQLLTQCQNEFKVQSIFVAATIDGQMIAQVGQTQHQLPQFSTLSIFFDDCKEI GSIQLSQNIKLNYVFVEIEDQSIVLSNFKNLFTLGIASSQNVGLLVLYMNKLHQQLEKII K >CAK65638 pep:novel supercontig:GCA_000165425.1:CT868040:104464:105716:-1 gene:GSPATT00035118001 transcript:CAK65638 MSEKQSVRQYIQGKLDLLEFMIAEKQANVKRQEAQRNELNSLVRQVKEELSAMQEPHSYV GDVVKQMGKDKVLVKVNPEGKYVVTLDKSIKIEDCKPNTRVALKSDSYVLHKILPTKVDP LVSLMKVEKVPDSTYDMIGGLDQQVKEVKEVIELPIKHPEIFESLGIAQPKGVLLYGPPG TGKTLLARAIAHHTDCTFIRVSGSELKELEWSESYLLWLVSIHHAQSFIDEVDSIGGARM EGERGGDSEVQRTMLELLNQLDGFESTQTIKIIMATNRIDILDSALLRPGRIDRKVEFPN PGVDARLEILKIHSKKMNLMRGIDLRKIAEVMPGASGAESKAVCTEAGMFALRERRIHVT QEDFEMSVAKVMKKDIEKNMAINKMLK >CAK65639 pep:novel supercontig:GCA_000165425.1:CT868040:105755:106300:1 gene:GSPATT00035119001 transcript:CAK65639 MQFFAEEDTLLGSLVSSLQPKKTETQKNWGVFAILFMAGLILIIISIPFASFLILNPKPF CLLFSAGSFVILLSMLQIIELKTLFNKISSSAATLLYLVSLIACLYTGMFYMGYFYTLGL LSLQIASLIYLTVSLFPGGKTGMHAAFHLLKNQLKGIFLKKTFLPL >CAK65640 pep:novel supercontig:GCA_000165425.1:CT868040:106665:108515:1 gene:GSPATT00035120001 transcript:CAK65640 MQKKQLQINGFKYVQYHEQVSHPKCLTQSRSPKYQSKPIEPQMIKQITQLIKNSKDRHTE RQYKPLEKSKPNQIVQPQVTSRQTESRDTSKTFHQLLQEFRNLNKQNKENSFNNINKPSQ KVRQISFPNTVLLSNTNSRTRIKDSMYLNQGDQNDKLTQKILSIAQTTQIQNNYKLEFNG VIEDMYRCSQESKNLLNEMKDNLKNQDSHFRKSSQRCVSADMQLISKSPFSKSRSSSIDH KESRYFGSTQANSNPKELDLTCDENEIVINNINIEDRIKTEPDPLIQQEREYLTDPYYLT EQCEINQLMRAILLDWMMEVAMEFRLKRQTFHLAIFYLDSFLSKQQANKQNLQLIGLTSL LIANKVEEVIPIGVKQFEKAANYGYTKDEILNMELKILFTLKWHVNPPSYTYWINWFTDQ WDIYAEDYGLNIQFRKPNEESYQLFRKLCQLVDCTLMDIQTLQYMPRTIVASFMYLIISF QLNVYDQDMLEIMSQTSMFLLNRDNQFNVIFGQFVQTTFGFALQDILPAIQYVVGFYDLK INYETPPGVVHLSNTPLESNYEEFLSFQCYSKSLLEFIRHKSRD >CAK65641 pep:novel supercontig:GCA_000165425.1:CT868040:108816:110969:-1 gene:GSPATT00035121001 transcript:CAK65641 MSVDNSFDNFEQLMFPSYGDMENREQIEEQYVLDPIPQEQEDKPIPQNPANNGKTYEQLF EIVQRLNDINWVEPSSKITPIKYLTPITYWFIICSQYFQTDADPKIIKINQECQQIQEET ARPYTYFDDWFIMEMRNIIDGQKNNHPKTQLEQQLLDTQLQVNTQIDAIQIEKPTLNIQN PPEYILKDLVGLTDIENQYLTYLESQQKYFDEQIINQTKSSNLAGTARKLQNVYNNCQIC NQGVRSEDPLISCQKCQIIVHQKCYGLENAINNWICDVCLNFGNKGRFLKCPFCPKLGGA MRPTSMAMKDSIFELINPTFHTYAINYKVDRQKPPPDGEENYNFMILQYQLESVSDEPPK AEKIWTHVSCSLWLDFDLAKVDKRKFNSLCSICKQKKNGACVSCSKSKCTISFHPECARR SQIYMESDNIYCFKHQPLKIKRIFEDQHNQWKEEIYSFFKQYEKLEQQLAHRPKNNDVEF QKFELKAQQEEIEADIKQENEMLFQRIAEILEKDEKFIITFQQNQVVDIQLPYKRQSIYD IEENDRIWQQLANEKCSSEQVYILYQRAIRMRRKKKQGNAIIQLQMPSIQELPNRNRHSI YSRPKFFKHHKKQKQQNGSSNNISLKIKIPKEAINMQQQYCICKQQNDEEMMCNISFVIF RVRDLFRVVPSQMLGIFEFS >CAK65642 pep:novel supercontig:GCA_000165425.1:CT868040:111140:111457:1 gene:GSPATT00035122001 transcript:CAK65642 MCNPIKSSKFPSPPLSPNPTPNTPPPYPLLSVCLPQFPKSSSNPDKSYYILSEVPIHNHP SMNHNVVSSTIIILHTNRISTQQTTLFLKSFPLQSDPCSYLIRAG >CAK65643 pep:novel supercontig:GCA_000165425.1:CT868040:111819:112417:1 gene:GSPATT00035123001 transcript:CAK65643 MHCDSSDEDDNKFGIGKKFFIQLLEMERKFSTKIESMQQILELLNMYAMCVEFFDTVGNP AKYYFMEKISNTIAEKEAFELMLKDEIIAREKREKQMQIKPIIKEGQVNYDPLKRPEKPS KAKQETPVKQEEQHVLENNRENKKISHKMLREVRSKKLTMTEKIYQSQNEQKVPVDLIKN WDYEVEKNGKM >CAK65644 pep:novel supercontig:GCA_000165425.1:CT868040:112549:114317:-1 gene:GSPATT00035124001 transcript:CAK65644 MQKLNTYEATPWVAGYQCCIVIYTFISFGLILVSVFNQSILMDSAPQFYSDWDNKFIEDL KIQTSCAEGYVNLLNFTWPGTELGCDCTQSNGTGNYKYTKQKKNKNKVIIIWNAASLCQL KIANLQNLYMKSNFSIGLLLIKLQLNVIYFDLSQAFKLCAKESSISAIDGFQIGEASDGY KKCGNVFQVPSNESCPISDFTISTGSDGEAIGTTGLYLKIQRVQQDQLPLTGFTIGFNSI CYSISDIYQFQYLDKMINYHCYDNDERYVRVTNDMPYDDLLMVNEAQDEYKQLYKNGVVE SRNVNLFYKYLTQFYYNSSELCQAEDLEFIHDLNNYIKDNVMDVQLAAQVIVGIQAGVFG FLIPLVSAISLVGCNFKYAGLNRKSSLYKDLFGFWLGVKMIGEILCTIILAADMGYQQSM MNHFYDFINADCSDKFSLQEMSDFYNEYEIKVYNYVLLNFILCIITNVIDFYATYLMCRD KHKEGNFLKTTSDNSHLKNFTSTHPDELLNRTKVQPQSEIKQQHSYQQKRQFDDVEIPGD DMPKPHKPEN >CAK65645 pep:novel supercontig:GCA_000165425.1:CT868040:114331:117082:1 gene:GSPATT00035125001 transcript:CAK65645 MTDQLFVGQLQQKVGELKTEYDLQRSIKDNTINAKIQRSRDKICEILRRVQGKRPKQQTE ESEESLDCNIPEEKKPYKSKYNEEVLQRRHYIFQFKMYLRRKRERLQREQEFKLLRLLDQ QTEALQKWVTKDSNDVDMLDFFYTQIDPHRKGQVHHIQVKNFMYENPLIMTLFQFDRESL SLALDSFPVKERFHLKQDEFIQFLQKYQKVKPEKGFRDYVHLFDPIHKQVNLFENTPRIL LLEDISRMKEVFDSVSENGLATVDEAIKSIRQNCELFVYAVHVVAFGLNLLLESALQQIE IGYLNNPDEQISWNQFMYFFDNLPNDEEEEPQAQQQEESVSSESSDDYFYRMTAKQEIPP PPEPEKKKVRKRSVPRKPFQEINVEKMQFTVPKKTGQEKRDVNKQPSIREKWVMEQVRQK QEEIEEAYKFRPFKAKAIPYKVKDKNYYNELLEREEIRRRELKERCKEKTQQMQKPFNFE DRKRDKTIKLPEEEEEPHKFRANPIPWYCSLKLYERNMQEQKIKSENRKKIRKFWLQENS KLPPRMQEWIDKMKLKEQEKQQELLISSHHESPRKVRAKSIPNFNKLHDEFQKQLDKKKK KLKTTVPVGPTFHESKKKAQRDYLNEKSVQKEDPLRKAKKAVSTKPKIQPRSTDKFNAYV DYIFTMKQNQLAIDQQNEEEQKQREDKKQLFRPRVHQSWAIQDHNQVQYERQQQKFIEQY QKRRQEEEDFNNMMKEIFVRVYQRPLLMEVEAAKSQQQPQEEQEQQEQFDYMNPIGEQDV EESERISQQDPEGVDQVGEQAEQSLREYDGQEIDGEYDDQIDIDNLDPKLLEKLQKDAIA KQYGLTEEQMEHIEQMGGFEAVQGMEYEDEDDEEQEDQEEMQNPHYKRHSF >CAK65646 pep:novel supercontig:GCA_000165425.1:CT868040:117118:121958:1 gene:GSPATT00035126001 transcript:CAK65646 MQRYYESDRLSQLSQPTKRSKKYTQNQSLMVMSRLCDNHFDRDIHEKPWISLGIFRYDRM IKYEHSDAGLSYTNVKIKKLRTFQELWEKNEEIHNKSEQHQGFREFREFKDISEEDVIVT IEYCTNCHEHMNSTKHDEARYLSYAQALKNEIIQRFPIVKVILKPLIYDHLDHSIDTMFL QRRLGCFEVQVMSKQKGQVKQAVIGSKLNTKAWPQTSVIINKLPQYFKKVSFNIDLKFAG SDQKLKNIDVKIQPYRPVQTRSQSIMLTSRSNRMLRPQSAQTRPKSAYSNISGQSQRSLK EPTENPTYKIERTNQDGRISLKNVPLDVYEVIIEETNDFLSKTQQINLFQLNADDLPLDQ EIELQKQTHSCIVVSVLCQLAPVTECKVEIVPMRGGKDAILKESPPGTGKYEIVVEPDDF KIYVKKMGYLVLKEQISPKAGVCEFKFELEKARNQEDGYDPVQDALDKKHSIKPSSDLMI KKPKYLQPPSTHQNQINLIQFQFIDLVSKCPVPNVYIKVQDDTNNKLYSYRSNENGICKA LLQNKITKGKIFIQHVEYYDEIQDINELHPLSATSINHYYIIHKPQQSQLIVLIVNKPNE GFLNFYIILENKQVIQNDSDIQQYQNPKDGIQLISFLNLQNKRDILQLVACLPKLKMDSI EKMYVLTPNQIERHELPQLPQEQGSLYPYFWILGSLKEPYEAFDVVNQIINTTQLKTYPN LTKSHKNPLEVAQCAFQSPNLIVAANQNGSVSIWNLDSYLIDITINCKFSDHVNTIIIWD DDNVMISDNAGSVVWLKKDDETQQFTLIYTLAINKKTNSMCKVGAEGLALGTVDGDLVLV KVDLRQLQLYIDATVQVESSTQTVDPINKMVSITNDQIAFLCDNSKSIFIYKIEKSLDKP LSGTLIKQAIIKGYLSGGQPILQIISLDQRYLIFGGLDGVLHTLSIDSLERGPNISLHSN QQVNCVLQNEDGVLIAQGSHISFVYSPETVNELKVTNQKYFQSPVVLYQGECVCYEKRLL TFTKQGKMVVWSLQDKLFPDISNFLMDTEFPHKLKPIDRQYLLVDAKISKENFSIYLIKE NGEIIKDSTKLIKFEREDTYFYMEIDQTQQQGLWRLGAYLQNTELIRSKQPKIYFISSHG FQVLNFPQQIPISKKQAYHWLIGTLIPNVETLKSTSLYTDSQIVGKFPSLEMSKHEGKIN QIVFLNKDEFITAGEDKQVIIWNASTFGINKVLQHEAEVLSVYVHNGMLLAGNKEGTVIG WKQHDGIWQMDIKFKHHEKGVYSIVYVDGSIVTASEDRKIQLVNFVSCALEHVEPVDRTF VISLVAYNKDVFAAGFPDGRVKTYKREKTGPKIILKTLRTVTTVKVQHLYMVSNNQLFVG GMDKVSDLMNVDQGTKLKTLKDGHTGSILCSMLYCKHLITGGSDGRLSIWNFDKGLLIKT YELTKEEIRGVFIVGRLLITASSNGMIRIWQEICPFIEQIPPEEEPEQQQQQQQQQQQLQ Q >CAK65647 pep:novel supercontig:GCA_000165425.1:CT868040:122095:123059:1 gene:GSPATT00035127001 transcript:CAK65647 MQWLVILTLHSIVAYFYYTKLYQFLNDIGVVIEIAESLNHAIIFEEGHSLPQSQGDQLFE FVVPSNQDSYFYLSQDERERRQIILTEQIKSEVSMRNRTIYYVRIFNALTNQILIIWAIC IQAKMEPIDNCYRNLVTFQVIFLVISVYQYWELYVLCLIILISLPFILLMLLWKKLKQQK QSYENQQILKELILNAKVIYQCENVQGDQECGICLQVYCRNEELLVLPCNQQHHFHLHCI KAWLILNFSCPKCRSKISDYRTTQQTSIL >CAK65648 pep:novel supercontig:GCA_000165425.1:CT868040:123062:124082:-1 gene:GSPATT00035128001 transcript:CAK65648 MLQRLSAVIRRPMFWHGTHHHDSNDATKRLFDTVSSTVKGIQQINYVVEHDPKLTVEEKA KMKQFLIYRYDPADENDFPKYVSYYVDLKKIPPMYLDALLYIKDNYDSSLSLRRSCREGI CGSCSMNCNGLHKLACIHAIDTDLTQPAYITPLGHMFVVKDLVVDMTNFYTQYKTIDPYL KRKTPKEGNKEYIQSVEDRKLLDGLYECVLCACCSTSCPSYWWHPDRYLGPAVLMQAYRW IVDSRDEYTDERLEKLAEDVKVEDCQNIGMCSFTCPKGLDPQRSMNHLMKLIEEYKERKI ASATL >CAK65649 pep:novel supercontig:GCA_000165425.1:CT868040:124082:124669:-1 gene:GSPATT00035129001 transcript:CAK65649 MVKRLIIKLIIEVSSTVAKSFMKAYQQSAKQQGGKAGNPFTEFLNQTMQAANLTHKPMTR DEAFKILQLVPEKASPEEIIRVYWRQFHKNDPVKGGSFYLQSMLHNAKCELMKDFGDVNE KEIMDKIKKEGESQKGEDEGKKDEAKVEEKSEEKKEN >CAK65650 pep:novel supercontig:GCA_000165425.1:CT868040:125274:126292:1 gene:GSPATT00035130001 transcript:CAK65650 MNTSLEMKPRSISDYTNKNPKIKIIEMPNNQNQTYAFDGKRGADRNYYDPKKSNQSIIQN NTKAQIKVQDFGTSMSPFIQCKNQIQSFGNQQIPCVQKNPFKPNDIYEKQYKAYPKQNIT STIREEKSQFGNSVRSVSEYKAPTQNQQNNQLQQYENSKLFHQNPNVNKFNIFQSNYMKK QDSQINKDSQMNQEQLEETNIFESKVFTRQVQCYTCLQNIDGTQYQLNCQHIYHISCLQE LISTQIKGFKQNPQLSCICKQKIHRIPKIDAEFNEIHQKELLQKQIEFIFYKNQQIFQTC NRCNFFWINKQSQKNYNAKQCCMCDEK >CAK65651 pep:novel supercontig:GCA_000165425.1:CT868040:126878:127597:-1 gene:GSPATT00035131001 transcript:CAK65651 MDAMESLINELKNPPFKSTMDFPKQSSIKIMKSQSAKTVSTRAPKITEIIYDQKQNLLEQ SLNFQKAENSQYIDADKNKIVIEPKQIQSIYQPVKYTSSLSLGSQLKQQNIQQDKNLKIN QFQYRQCDVCKDRGFDIIETPCNHFFDKKCLNTQFNQQFLNYDHQQKFYCICKKELYSNF YIKHLELNGEKLFQKQIKIIFQKYQKMFQQCKQCQTFWIFDIYKKTNDSCFKCGSIYYP >CAK65652 pep:novel supercontig:GCA_000165425.1:CT868040:128068:129550:-1 gene:GSPATT00035132001 transcript:CAK65652 MGLCQSNRNSRKSFAAKKLHTQSDTNIQSKSKGKETPIRPKTQPTLNTIVKDHFSTIKTP IAIGTSKRMFKEALAKTYSVLNQDFGYPNNDNIGLIQHNVTGQVRTAKTILKEDESINDM DQYINNIRENNLVILFILQKSSPHFNNAIELFQDFKCYYVIQQYCSGGKLNNLCGKIEED NAIYIISQILDTLKEIHNLNNYHGNLSIQSFALQDQSNNHYVKLIDIYPVFQVKDKQDVQ RNQLNDMKAVGLILFQLLTNKQITKQTTEMILKKPKDLSYRNSFWFLLVIQFLELKNLSI YDNLQQNSNYQKIIKKYQSQYAESIFSKIQVKKASYLQQQIILVMNKMFFQERQNQIQRV FLKNDTNHNGTLQKEELKNALGYEEDIDEIFDQIDIDGNGKIDMNEFIFTSCDRAALLNE FNLNIAFYELQRKGFVVTSQFSKYISCDEDKIENEIASKFNQKKLNKQDFIKLMMELL >CAK65653 pep:novel supercontig:GCA_000165425.1:CT868040:130401:131325:1 gene:GSPATT00035133001 transcript:CAK65653 MGRDFRGGRGDTRGGGRGGDRGRGAPRGRGGRGGRGGRMGGAPKAFVVPHPRLAGVFVAK GQQEALVTKNMVPGESVYNEKRISVEDKQTGEKVEYRVWNPFRSKIAAGIIGGVSDIFIK PGAKVLYLGAASGTTVSHVSDVIGAEGVVYAVEFSHRSGRDLVNMAKKRTNIVPIIADAR KPLEYRMLVGMVDVVFADVAQPDQARIVGLNSQYFLKKGGHFMISIKANCIDSTNRAEVV FQHEVQRLKDEGLTPQEQLTLEPYERDHAIVIGVYNA >CAK65654 pep:novel supercontig:GCA_000165425.1:CT868040:131327:132181:1 gene:GSPATT00035134001 transcript:CAK65654 MSHYQNPTYNHAQMKNQVGVSNLKMLDGEDLTAGDRRKLQQLQMKDWVQQQTQENQQKKQ LNKQIQQQYDQQTLQINQSLKELEEEKQRRRVEMEIANQQINNQLAKEKQDREEYMARQA QLEKKQHAEEILNNDVWTENTATCQSALAPHRVIPYHYKGMSDQQRQEIRNDQAKQREQN EQKRQQEKEDEKMWAQYNEHNRKQLIIQEREKARKLQTLRNNQKEFNLLSQTEQKLKLKN EYA >CAK65655 pep:novel supercontig:GCA_000165425.1:CT868040:132236:132890:-1 gene:GSPATT00035135001 transcript:CAK65655 MAQVQRSPFELRIWHFYTEEEKSITLLNAYKQRVLFSEDIKSFLLRDRLNTWSYWGFPVA CYALFHYSGALQPYIATKYSVGAQRLIPAAISGLLWLGWVHFNPFYTSLQNEKLSLLNLI EKRVGLNMKALNEQVPRTWTSQEIHRQIREAYNNRHGFFTNILYPSEERASPLQDISSYP FKYRRDRIVK >CAK65656 pep:novel supercontig:GCA_000165425.1:CT868040:132946:133407:-1 gene:GSPATT00035136001 transcript:CAK65656 MFQSFNLAKKLQINDDSLQNCRTFREFYHSQRNIIIPSQFQKLKLIPIQDLQRQSYTNNN QKRSSLRYNNLFAFNNQADLLNQERLRHGRKQVSFSDKILIIEPKRGMMIRERIPQSSEP VNIIRTRKRKNCILIQNHIRTLETCQSTFIDQL >CAK65657 pep:novel supercontig:GCA_000165425.1:CT868040:133708:135361:-1 gene:GSPATT00035137001 transcript:CAK65657 MLNIFKNAFSKKLRQDSQTIQFEQFDQFQLEEPQVDNKVPIDFQIIDEEAMKIIEEEYDN KQQVQSVHQEEQQFQEDLIDYEVKPDDSLYGMAIKFNVCEDYLMRINNLSSDLIFQGQFI RIPKKNEKTFPVVHIQEEREKLEYLWNPEANSLRFDVVYCNNIQNVQGQLTLASDLILFN PVQQDHLVDNHQKLRFQACISMSDINEAVYYVLPNKYGHLDYIVQIMLSGIGKPKFEKKH RKQLDKLKEQKQSIATVFFRHAERDYEGKLYAEEIKKENCITMARFITEACSTYTAAVEL TLLPFVDFIYDLAQKQNLNVDVDEISDVIGQRMGNLWASLEYVPILTGNSNCFTNTTFKQ VIQSIPAIYRLANWNKLYDIDIDGSSYHNMLQQIRQIFPMLLIIKDFDLNIFGVYISSEI NKYFQGFKGNGETFLFNVDSKNEIRTFVWTEKNKDFIFCDESGIGIGCGDKFGLFIDQSL SFGYSNPCSTFENPRFTNEEKFGIMHMELWAIEQQ >CAK65658 pep:novel supercontig:GCA_000165425.1:CT868040:137798:139204:-1 gene:GSPATT00035138001 transcript:CAK65658 MKKKYLQKQSKFNEQLDINVGLGLPQEKYDENEQCQSCKLTLKQDDRYIPILISTFAKQN RYDTMPMELQNLLNAETLNLFNVSSCKHQFHFKCLANSFKTKLHQEYPSWLISNCPICQQ SCNLLYPNSQLEVHFNLFIQELEAILIELNLDLQLSLKYEGREELILFDIFYQLLINILI QMIQQKVDFQRSGKVQIFQQFIRILKHHYKNINYKQNILKFKKGQIFILDIMMLIENQVM SVINKNDFEQEISKILLSLPKQNDDLVKILFTCFEIKFNDELFYGEQNEISSMCITDFYQ TQKEISNQIAILLGKNFETFRHRYIKNLCKKCGFFATKQKQGQDIAVCLLCLKTLCLGSC KNYKIGNLSIHAEEEHNCHSVYVCLSSGNVVITSYPMSYVNCFSLFYNNLGQEINQLTYT NLDWDKYNLDMEKVEQISKIILYNQYQQIIRNALNDQNRNRQNVRRNL >CAK65659 pep:novel supercontig:GCA_000165425.1:CT868040:139377:142131:-1 gene:GSPATT00035139001 transcript:CAK65659 MKSQKTINEALQNLYNNGQKDIGMEELYNLFISDKSQGLKEQKQKVNSVCGAQIHHDELS FKCFDCSNDFNHMICIKCFDIKKHINHKFLLKDGAGCCDCGDENIIKLGICNDHQGHSII NKQEILEQIPAIIKENTETFIQCLNNILNQLYVELKPNSTINPYFVKVYHIAAKWKLKNI TEAISLEDYYQIFHKALYFHNLLIKFISWFIQVNHQNLFLITHILSQTDPNSNQLILYNI FERQLTLESLGPYKGEKLEAIFYAFHADGEFKRLVQITFLKNFHNFRSFSSLNYKAFIVL KRIYEKLNDYVQAQNYMGLQLMEDKSSEEIIQVQFDQSEKYFTFLQFTEFIVHHSQFTSQ EMIEAILQPNICSPYFSNLTENQKLYYQDLNFVQDYSHLHLNILSFRAEFIYFKQVLMNC FKKLFDDKFDSFQEKIISQDDYEDFQQRQFFLTQLLHSFYNVKRQNRKYKLDSLVVQNDN LYNAALIINFQLNQFYVFNKMFNGLRSLMPKLIGKRNLSRIYLYQCYLKLNQDVQNIGNY FEQIDNFYIDYVDSETTIKGQQEVCEAYNLLSLKLLKRPIFTNLIFIELLILEYFEGQFI DKEQYLKHLMEVLRMENLKDLKPLFNNLLIDSLQNLIALNFDQKKRLQEEQQRIDFNSSE LESVDLAYIKFYLFLFELDGLLFLEVAFQKFRIPDKKIASEIYNSMLLSIISSEIEFWNV LQMVEESLDLYPKQLNSTIHHIIINLFNQSASLSFDEIQKHIEQLKVKTNSPIENLVQEV CQLDLISKTFTLKKGIQLYLDPIVFTKSNAVKGNIVERLIDQKKSQRFMNFGNYTQYKAD EIIKHLSSNKDSIFFDIFHLLINEPFIKQLIQGNRIELIIKIVNILITKLFDYHFIQIIK QG >CAK65660 pep:novel supercontig:GCA_000165425.1:CT868040:142817:144207:1 gene:GSPATT00035140001 transcript:CAK65660 MTKNLRFQKVNDALPIIQPSKSTKLQQDYQESCSTERVRRLVNKVKAQLKLTNNTHDVRF NRQLQQFKILETFIDTKTKLKRLNIINNRDLLESVKNYLIRRKVARQLDQQEADLEKMYE QYEVEFVTEFDKAFLDSDCFLDLGLVKAPNKKTKELSKERLQDLGRRAVSQFQGCCNQRS NRDYVTKSQYDQNLFYYHVEDQIEQIKKEREETENFLQKLGKSTKCKTQSGEPQSGVQIE QQKPTQIDYDKELYKIVLQYGIDLNSTEQLEEDIYKAFKQHANEFIKSKINEVKIKHSQS IEEDQLKNKSQNKPQKIKISSMFEQQLLNFSKCNPPPKTRHRPENIIHTLPSENRKSQLI QELMEKKKEKTILLSQFNQKSKRIINMCNMDEQKLQYNEFIKDIQLMNLYLDEAITRTNF NRKLSQLGFTKEDQFQIKKQIVLPGGQVRNLRNNQ >CAK65661 pep:novel supercontig:GCA_000165425.1:CT868040:144260:145963:1 gene:GSPATT00035141001 transcript:CAK65661 MNKHPIEQIHSSVYDKVVKSLTLFFMIISLLISIGLDIVHGVVDFYSNAYQFEAQNATIG YISDNLIYKPIKQVYFRDLDCDSSEEIQQLFPYAEFNITRWFSQQICVTRFVDIRLSTEC SETEYNCGPYCIQTSEGSQCPISKLTIIQNEVVDEDADQNLEKKRDLYIQRENSSYGIFK IQVVIRGYPCLNPLIYITAGLTNNSEYIDPENCEGTDFDTDNSVKIEQTTLGEFAKQGLE ALSFNGVPSSVTSSAITYLTARNKIASKADDTCTQIDNNMINETENCEQSIQEIGLIYVV IKQIFTGFIGIILIIEIYILKFRIVNDIRIITVIFKILLVCQMSFILIEGGLILYQEKLR YDSELYFKSLANCYSSDQIYNVFANFPNLFPADVNTYVSLITISIIIIAISEFILIMMFI VQMFHWCFKQKKEKLYLPDPDTQRQPIPSKDDIHHSETSPYQKPLSPYQSKFPPVYSNTQ NNIIQNQSHPINQDSKYVNEYVPPNQFGDPTYNPPNQFGDPTQPKFSESYIPPQINNVQS SMVVAGKKNSKY >CAK65662 pep:novel supercontig:GCA_000165425.1:CT868040:146633:147955:1 gene:GSPATT00035142001 transcript:CAK65662 MFALLIYVLIESLKCQMDAVFRGNNNKILIRLVQIDWEYSKFIQQFDMLNRAINIGFRKA LPQYTFSSRNGPYNPNRYRHYLNPNFFQSNKEIADIAKSQQVPLPVRNVRHVNPVRQSGP LPPYDGPYTMEDVRAVWQNTSLGRQGTWSCQMDPDEIMRRVPGITRREVEKILNMGLTPQ EQVDFAYLVYNCGFDVDYVPNSVYVARQVVTNSKGEKVEILWNVQVLEDLAKLPVGFAPV RELIDYHWEIFLWSDPMIKPTGDLDLGVPNTWFEYECEWGGEMVGIEDQFNIPESDRVFP SPRNPNCRRELWKSQDDIQEQLEMEDENWYPKGTSYNIYQQADYKKVTKSDSTFQEKI >CAK65663 pep:novel supercontig:GCA_000165425.1:CT868040:148418:149467:-1 gene:GSPATT00035143001 transcript:CAK65663 MAQHLQDSELLTAKPNVHNGQDLVIQGSGTQEQSDSSFSSQHLCSSQQSSHRNINLSQSS FKKISKSSSDLQNFTNKHAHICAHYNFLGRCPLEHCKYDQLVNICRKRHIFVSEDDGLSD LISDNIDLIDNLTSSGNKLKLPHTTYGTQLSKYRKCKSNTKEFCEKLEHKFISQEQIHFG DFPIQNFWNWNAFALQSFSVKSQPDLGNTLQENKYFSQLIKPKPLVDYKQASEILENSSS ALNISNEIKKNLMSKLKSDIDKMQQKIKTISMLKKWITNQQVDQNEYKNLYSSLSQIQQI HYTNFLRITNISISNQAKLNNQFQSVNYIQQ >CAK65664 pep:novel supercontig:GCA_000165425.1:CT868040:150038:151128:-1 gene:GSPATT00035144001 transcript:CAK65664 MQNENAYQVKRKLGNGSFGCVYLVCDVKSNQEFACKIVSKSMLGKYQAEGMVRQEIQIQS SINHRNVVKVFNSFEDTKNIYIILEYCKQGQLQLPSQPYTNKQLIQFLNQMLSALDSIHQ MSLVHRDIKLDNILVHEDGTYKISDFGWATQLSQIKPILCGTTEYMPPEVVNNQSHDHKV DSWSLGIVLYILTHCRKPFIAKTDRELIKQISEKEIDIDTSLDENLQILIQALLTKDPSY RPNIQQLYFSKWIKFQMKQHNIFNRYEHEKLKNKFRNQKIEIKSVDNRAIQLRKKKQILQ DCSLSHSLSTQLSNCDMNESGIIDRQKFEKL >CAK65665 pep:novel supercontig:GCA_000165425.1:CT868040:151219:152562:1 gene:GSPATT00035145001 transcript:CAK65665 MANLPPQQYSPPSNLPTNNREPQTFQQPTIGQPQVARSNLPIQSLPDTALQYLPQQIAQQ PYSSQPLQQPLYNQLQPTKLGPQQPIFGQSQIQQIAQPPQEGNVVKGQSRIEYIPYERTI TEYEEVRRQVQVPITKQVTDYYAVQYDIEYIPQVIQEKQIEYVPVERVAERTEYYTVEKQ NVIQQPIGYQSQIQTNYIPEQFQYNQIIERQSALAYQPYQQQTQIQTREVTQYPVAQQQQ YIQQLPVQQSVPLPAPQAQYLPTQYQQYASPIGIQQPQYQIQQTVPLNYGQQFQASQIQP APKQPIATATVPQGYQIPTQTVPQQYINQQVEQDPTIHSKRPQQQLAQTAQYNPQLQQTV GPNQFAQSVPQQQSLQQDLGRTRPYQQQQQPQPQQQQLPQQQQGVPQKPNKEKSFLEKLF D >CAK65666 pep:novel supercontig:GCA_000165425.1:CT868040:152605:153053:-1 gene:GSPATT00035146001 transcript:CAK65666 MSSQSQQEDDQDQENNEELQADDLDLSSKQQESEKQVKSSIKKVRNYQKVPEHLKSQLLK LVIQQGVKINQAAQQLNLKYATAKTIVFTYRAQSKPKKSKNKKKKTATKVEFVPIQNNVV YPIHVEISIGGNIQNKFTLQ >CAK65667 pep:novel supercontig:GCA_000165425.1:CT868040:153695:154093:1 gene:GSPATT00035147001 transcript:CAK65667 MKKFLIFLILIVLCLSKAGDCTNAYCGSQLKACKGGGNCDQTAAKCANQFKQYVHRAQKS GQYKGTEAGYQDFTYCMSTNSAAKSLNSCSKQYCSKVLYEESIRIDEIIQSF >CAK65668 pep:novel supercontig:GCA_000165425.1:CT868040:154955:155419:1 gene:GSPATT00035148001 transcript:CAK65668 MDKQEEKLQQEEKEEVVEEQDEKQGDQLSKNQKRNQKKKEKKKKLKEQNQEIIQQVEEEI KAKLNLTEAEQTDLEIAWCVKQVKLGLTQKLTPEEIKISMSMIELLESDQVPLVKKRMAM KSSFGDYRKLMKQYK >CAK65669 pep:novel supercontig:GCA_000165425.1:CT868040:155419:155776:1 gene:GSPATT00035149001 transcript:CAK65669 MFRYGLVGNIATYGGIAAIFAYVGINISINAGYELNNIIEISPKIDDELASQVLNSSKSP NISIDAKTDMRKAAAKQMLQDYQRKKKEPLEVFEQIEQKQSRKPQSKEPDI >CAK65670 pep:novel supercontig:GCA_000165425.1:CT868040:155791:156340:1 gene:GSPATT00035150001 transcript:CAK65670 MSYLYFGTLPSSVPPVFDTTPCLKQMHDYEDCAFESNTKQMEIIHPSWPSMWPRMLTDGK SIDFGHTPMHQDSSTHYTYMRPLTKKIKHYLWECEEERFVYKACLRKLISLKRTDRHTSW DTASVSNLSLV >CAK65671 pep:novel supercontig:GCA_000165425.1:CT868040:156382:157001:-1 gene:GSPATT00035151001 transcript:CAK65671 MQFISIEVILYKFFISFSIILCNQIQPPKKDTQRGSFVANTPLSPRSQTSRKSSLMNRQS FEQQELYSSRRIGLNLKDPQKVEEMIKIRQQRLPRKEAAKDGEKDLREISKAYDVLKNAL PEINNNYGVIKDQELLQFYKQQIVINQNPRKNFFEFNTYFYKNYQANNNGPCTTLPQLLI KKVESFQNKLEQLIKDR >CAK65672 pep:novel supercontig:GCA_000165425.1:CT868040:157143:161944:-1 gene:GSPATT00035152001 transcript:CAK65672 MSEVNQSQQTLQREGIEILNDVAETSKIDESVLDSNLTLFEKITHHKWQIRRLVLMNLTE EYQKNQTVYEFQSAWLKNIINDSNQFAMTEGLKFIQVFVKSQQMNYHILPYFIGDLIERG SFVKSQIQDLVIEILLQCCKTTQDTGFILSEIQRKIEAKHPKIMTLCLTFIEKILELSPN HFIKDQIRDFIELCVSLVQHTNKVIRQKSINIFCKLFQMISESFEQVKKTYLDKRLRQIQ IKELEQLCNKITKRTQKIEIQSIQPVIQIIDLHTLLPDKFFEMPYYKHNEKKNITEQFAK NLEKYDGDIDNSKDYGSIYAIIITLLEESNYLIYSQGMRCVKGLVRLLRRGIPQPVAKHF FILILDKLKGSLSKPLQSAVFNLLEDILIYENISCDQFMELMINQLESSKNIGLKIACAR WFKDGWLALALAVQTGSYESSDSEVLKKFAQSNSEKISKSLLVLYKKVQSILKKENNLNY KKVLLDLINSMQCDEQSLQVTVIESQIAIVNPQFDFQESRITEKQPRKQQINSVKSRYQT DEDLCEFAQIGEEMQNFNQGNKNRQSIELPTSQLNREQIEFMNKFSSDLIQLRKLSQNNQ DQQIQNKIEEIQLSFKTHYSDKNITSNDFLQQMTNNVIVELLHFCKKVQVQKKIIADKLY DFIISLLIKMNKIQVTLDQEMLYQEYKICLIQLNQLDIQKLMALLESLYICNSLESMIKI GYRITNKYLQKQTNSNIKIFQAFINWITSKINAATQQQLDSGFIRTSYKTFETTFKMFPQ TQLDVRLLLNALKGRIVNQQVEQLDQYQDLLNQSQRKSKSKSFGSQSRFSPYETPNKTQS KLEFSDSEEKENQESLQQIEQQVSTHFTLQGLEKFIHYLNRHRAYQLCNQSQHTVFLSPN SSSPGKHWRRGIGRENMIISSPNRQQYESSKFEDFTKILEIVCQNFSIQIQNQVFQMFYL LKHIFPSSQQCLIYLIFAYICPKTEYSESFEVFLSTFLQLDKSVMAYQYRQAFNILYQKS ENEKVLINLIHTGFNFLFQKIFENDLLTCIQYFITTLTYMLTQNMFCQIANDFLSKFQQQ TNNFNQIVETELINYKKLYDTYLNWQQLQYQTHEKPLIDVQKLYKQQQNDILIVDLQEID ECASKREETVREHVNNSQQDNFIHINKEDFELLESTTKNFNSQQTLRFTEEGQQQQHDIL NIQQFISPLQQISQISNQMHDTVIQDLAKNLYSNDTHRLKELDMIKLQNETYRQHKRDSH KQVQESYPQPPLKDPMKQKIEKIYEEVQNESVNGNFNEKSLLGDVLAPIETTSLFDQARN LKRNECIKQMQEILLTSTSLYFDVASTLLLQYENLDMAEDKLAFINDLKLSLVNQTLLTN INQQNFSVILAIVLRLCTTPATQSEIYNSSLGYDDNQLTKSLQQILDVLLHSKDTEQVLI CLIHVFNDHLPMDFTNEISKNQFLDEKMMLRLNLKCIERLIQNSEKLHVFGILIEILKFF KCHPPENLIEGLPSIKELELYFRQLKQITDSLLNNNLQEGKEFLEFVYRKNNKCIFLDYV KSVIEKK >CAK65673 pep:novel supercontig:GCA_000165425.1:CT868040:162677:164105:1 gene:GSPATT00035153001 transcript:CAK65673 MNSNNKVDCEYPEHYMLDIEEKKPAQQQNFMPFLPYGVISNLENQVNRVRSQVEIKQEDR QMIKNDSQASLKDDVLCLQNIKGNVKNAYIKKISSLLNVSMEEDKEKLLPSKRIKDNVSS NSSKQFENEDLKMQKNRESARNSRQRKKLYIGLLEKKVEDLNGQIEGLRQQTEITFKHIH NIIEHNTCFKGMIIEQAQIFDQLQSKDPETTEGQEMQALLTDSYKLKFSATGSKRKQYIR YCFQNVARILMDGNYGTLLFGSNCLPKNFQILEDEELHEYVKQFKEITGCSEDKMQHSIT HIVRRILEHKHSFSYLIKQMKCKSKDLRICQQQLDDQIDEMTTQMTPQQFTSLLINLNKD EVKVKENYQTTKSDIQAQQLQQQQQQQQQQQQQQLLNQYKFLPQISLELLLNNKNTPFLL PSNLMIDPLQFNDLIQKKLCKQP >CAK65674 pep:novel supercontig:GCA_000165425.1:CT868040:164389:165406:1 gene:GSPATT00035154001 transcript:CAK65674 MQQQMRNKQIGIVTKVATTVHTILLFLLVVSACLKLNQDFLISILLAQAVWQQLVIETLH LRLNRFNYLEEQEQIRKRFGLSTQKSKDSSLWYYRKQLILPAIVLALFLFTEQSYEDLEY CFISVHGLLQIYQGSIILVVGIRMHIEQNDDYNTDLESEGLIMKSNMMLRRSEVRTQRWK TFVNLIKKFYVKALAIYFCLSVIYEFYAIFLNMPPFDLLSFICNYYQTQVSIYALIICQL QILLVLLYIGNAIIQKRVNRVKRLYEHVTKEQEKLFRSNLFIAYYYYIFILQQYVRKNCN QINRYESQLVWFDCNLTKHNQNY >CAK65675 pep:novel supercontig:GCA_000165425.1:CT868040:165420:167143:-1 gene:GSPATT00035155001 transcript:CAK65675 MSKCKEREFDSDDNNIYDVEFVYGKKMFKKRTYYAVKWLGWTIQQMSWEPVSNFTSIHSL FNFVRRLETRLCYSLYYKKVAQNTNGIRVHIKGKFCQIQQVNTNLKKKSIGCEKQIVSCS QDTSSPFDKAQKIITYMKQNFLTSNNSKIHQKIQKKVCKQKNNFRKILNQQENNEVISIT SLSPIRYEVPKQNKKKSKQNKKESIDYELEKDIKLLIQNELQEKKLKKKESQTQRQKQIL SQKNNNNNNNNNKVFVNVKLFSMNLKIQNYQNQFNKIKIKKNPQNYLSGTTALEFPKTHN LSSFDVQEKISQPQEESFEIDLQLTEDCEQQQKPQQLNELEKLKDVHIQNFVSVSTSSLK NQCSKFRVVKSVFNPKIIKNTTQEDSNVNKKTEKNFISQIMEVEQKGEGQQKEKFPFMQL FYREPKIQTSVKTSQQIQQEIQKLQLEKIKLNRTNRCSILIIPKLKEVGVQYIKNNFMNT ELILYDMELNEVKLKQIIVETIESHHFLIGKLLFRCLYEDGDQYYVEYDTLKRYCPTLLL DYMIVNSILI >CAK65676 pep:novel supercontig:GCA_000165425.1:CT868040:167983:169202:1 gene:GSPATT00035156001 transcript:CAK65676 MEYTYYLFYNSGSGGNRGQQFLQLDQKELSFNIKDSHCRVKFYNICDSKSREVGLQQIMK QKQENIHVVMAGGDGSIMWIVELLLQHQVSIHSCIIIPFPFGTGNDFANTLGWGTTVPND VIGMDSIVLKGFVEEWMEGVESYFDVWDVDIRLQQGGYISEIKRNENGVGEMKLQLKDQR YYKQMINYFSIGVDARIGFGFDKNRTSNQCCNKCVYCWEGFKKMFLKTPKVNQSIENIHN LNDDDLLESGLIQKSKDEIVVPGNPVNLLCLNINSYAGGLKNIWLNAQQNQVKSYSNIPS VSDGLLEILSFNSILGLGSERLIPGQATRLSQSKGPLKLNFKQNELLRTYFQIDGQYFSI TNPSSVLIRSCQSLPQGKIRVLINKKGLLK >CAK65677 pep:novel supercontig:GCA_000165425.1:CT868040:169495:171375:-1 gene:GSPATT00035157001 transcript:CAK65677 MKAATVVPTTEISQTNRVTVSFQNLTYQVSIKNPKGVSEQRTILNKISGICHPGEVTAIL GASGAGKTSLLNILAKRISLSRNVQLLGEISANGQPYNSDQFAQFSSYVMQNDVLFGTLT VRETFEFVANLKYADPQQKVDKVEYAIKTLKLERCQNTLIGNALIKGISGGERKRTSIGV ELVSDPYCIMLDEPTSGLDSFTAFVIINLLKKLAQSSGRTIIFTIHQPSADIYTLFDQVM LLVQGKFIYQGRRDQMVDYFKGIGFECPAHSNPLDYLMSVMHHEDSNHPHYQTLFTHYNN QFENQILSQIQAVKKEAIQRQTIQTTFAFQVKEIFRRGMINMKRDKVLVRGRVVMTIFIG LLIGGIFWTAGSEPGYKGIQSTIGVLFFLVMSSFMGALNPVIIQFPDEREVFLREENSKL YTTAAYFTGKSSVEIPFLILFPIIQQLICYWMVDLNDKSGDIVIINIVICILLGLSGNSF GLMAGCMFTDVKAASGFLPVVLMPLVIFSGFYANQSLYMDWIGWVQYISPMKYAFEALVW NEFDSRSDEFLGTTIQNSSPIDTYDLTLGLWKCLVILVAIILFFRMMAMMFLYLLRQKQQ >CAK65678 pep:novel supercontig:GCA_000165425.1:CT868040:171783:172181:1 gene:GSPATT00035158001 transcript:CAK65678 MKSNQEFEEEVENLIREAVSKNNTIMRDDLETTGKSPKKQFFQNRNKIDINEAWREIEKL FENFSIQEKKSIENPILREEQKVIEQIEILETPQPKMHSLQIETTRTKEPNEEMEKERIN CIVTRRFKFNLD >CAK65679 pep:novel supercontig:GCA_000165425.1:CT868040:173409:174208:-1 gene:GSPATT00035159001 transcript:CAK65679 MIPTIIHLVQLIVHTYFMYVNFDSKSIEEFWNQIKYLTNISNLCMYSFLIPQVVEDFKFI RRRGWLINIPRHHTFTHYAYQCMNPIIFIVMTMFWTMFLIDPKLIFANRDVSQIDMVLMC YVHGGNWILMQLNQFEKQPHLKMRIKGLNLLCLRCTLLWNLWPSLFDI >CAK65680 pep:novel supercontig:GCA_000165425.1:CT868040:174505:176896:-1 gene:GSPATT00035160001 transcript:CAK65680 MSASNVYHVDQNVIFVLKDSSSNDKQKQQIRKINKEKLKIILQISGCKAAHSYSLCEKIF EMIFSKLLENFDKQKNHQPLNGQSNKQVNSATITEKHFKEIVFNSVFEKKYIREKEDLYK EDFQIAWSLTEKKQPLIILFGGTSGTGKSTASSILASRFGISTVLSTDSIRHIMRNFLSK EENPVLFASTYEAGKTLPDLNISDQRRIIKGYKAQCELVQQRLEHVINTFDEKMDSIIIE GVHLTPIFMMKIMKKYKRVLPFAICIKKESKHKERFAVRSKYMTLDSRHNKYVENFQNIR LIQKWFLEKADEFLILKVDNVNIDKSIDTIHRTIIQYMKHLSDDQSVTELKNAFPIYEEF NKVISDAWSSKEVKDYIHSQVNKTEIYEQFLQKMNEQQADNNTTVIQESEKVNSKVLIQQ SVQKFNEISLKNENRDNQNNLTNTTEVNYIVDDQDEKKDNLNHISDQEDQNEERRRRNQD DNANPTFKKTKSLKTLITQIESEQQIQEPIKEQNNKKNLKVAFQEPEFEKEEQEQTEQKP HRTDYKGILYHLTKHKRIFLSKKTKPSNLVFIKKMISNYNKSYQSHDRIKLIQNNDGSYC LFKMNVQKFIKKSDSREESSSEPDDNEQSVVQQGRSLMTPSNFPFSERRDQSDGDSVRFR NDVNSDEEEIENENENENSVEASSSDEEEGNLEKVKLKMNDDEEDYIELQKIIEEDEQIN EQDEIMETPLQVQLLDQQDYSDQSP >CAK65681 pep:novel supercontig:GCA_000165425.1:CT868040:177373:178382:1 gene:GSPATT00035161001 transcript:CAK65681 MRNISKYRFSSIFDLSNRISNDEIHAQLKNYGYLLVKDKRIDWKANEEYFDLMEEYFQKR AMQLEQTGKVDDCFPQYKYQIGMGYENIGKPNYDKESLKSMIDQPILIDRDPKWKYFWPY RYDGQYNPPQWVPKDFPNFERIMNLWQIQLLRTTESVLEILSLAFKEEKDFFYNNMNKAT HLLSPIGCDLQKHSINTRFSGYHYDLNFITVHTKSRFPGLFVWLPNGKRVELRIPEQTVL LQPGKLFELMTGGVYKAGYHEAFLTEEAKRESIKLNKRWRVTSTLFSMLNDDTILQPLEY FKEFSNSKQVPISVRDQFQKELNKLSYV >CAK65682 pep:novel supercontig:GCA_000165425.1:CT868040:178395:180022:-1 gene:GSPATT00035162001 transcript:CAK65682 MSEEIEPHIARKFEIISKLGKGAYGIVWKAVDKKLKQVVALKKVFDAFHNATDAQRTFRE VMFLQELNGHENIVRLLNIIKAENNKDLYLVFDYMETDLHAVIRANILEEIHKKYIVYQT LKALKFIHSGELIHRDLKPSNILLDSECLIKVADFGLARSLAQTEDDSQIVLTEYVATRW YRAPEILLGSTKYSKAVDMWSVGCIIGELIVGKAIFPGTSTLNQIERIIELLGKPRGDEI DSLESPLAANILASINTSKKKAFQSFFQGASEEALDLIRRLLCYNPNQRLTAEQALKHKY VRDFSSPDEEIVCQHPIRITMNDNKKFTIKEYREALYADISQRKKEQRKKWQAKYLAQLG VSLDDKQNQVQQAPEKEETLQEQKLSQPDLIQQQIYQQQLLLQQQRKAQRPQSGQMGGRQ SSVEMPKQSQAQQQAVAPQQQTHHKSSSMIQSMQTKQGYYYPFIQQQMASQPNKVNKSAV QTQQQQPQQRPSTSYYQKPLTQVTTSSVKK >CAK65683 pep:novel supercontig:GCA_000165425.1:CT868040:180592:181239:-1 gene:GSPATT00035163001 transcript:CAK65683 MMKTLTIGNINELIQLSEEEFTKAEENARMANRGVVSFYSMFAIAIHIVLCVEVFGYENT ASKVCSILASAFFMVQHCYNGRIGCLFNLCCALPICIAGFAISKVKLVNTLAFIFQLCIW LGEYYYLVIQINTDALLKQRPKAQQGTNDQNSGKLVIKVDGLQLSNTQQQEIKKEINELA GLLQNGLQQINHQQQHQQELAEKQQKQEENPTQQN >CAK65684 pep:novel supercontig:GCA_000165425.1:CT868040:181740:183886:1 gene:GSPATT00035164001 transcript:CAK65684 MGQQIIGKCKQCGPQNEDILPQMEFSKEIKSEVVKNLKQKLHEFDSYAIQKVTTYSLKYD GLFRKEDIKKEKVIDSLGLVLPMDLEKIKVINEEAGFKFHCGVSLGNPINIGFKTVRGST IELNEYGYTLVKLWGLGEMMINFESCIQIKGIKLVGLVRGNIDNYKKVIMNNGWEEYDHI ILEDDNILDLQYDEQTHEIRHNSMLSGLLLYDGFVIYKHYVDKLQFQCDYQIVKKDVLEL IENEVKQANIINMITNISYIKQQEYVKLKQFIMTQKKQSFSRDVEVTIKKKTIYYKEDKQ VYYDQPIIYINSSPKSFSMADKFANQLGKKNLKWDSKLLKAKLNEKIKEFSLNVQIALQK DIIISITKESCQIQVHHWENGILVPYYKTLDYISQIKLWIAASEAIKYEPNEDPVQDRIS DLVAKLFENIPKVDKVLGTNYANIPFVEKWRPPYEEFDPHPKNLEINRKTDKILLVWLFD YEIEENMEKLKELIKIKKNNPQLGIQIAILSYLRFSTWTTKFQAFLDKYELTRSVLDNTI ETWFPQEEQLGRFKFNVILQRIYGLMLDKQDMMIVDSKGIVRLIDNSATIINNLTQEIDK LKEKVKVVVKNYNYWRQYQNLKKCIRDNPQLAEIVKKIQEKGIVEESKVLIEQMKDKVWT FENGQVKEEKWHEQPTRILRNITDYTDINEMARIIAKYIDNNDICALK >CAK65685 pep:novel supercontig:GCA_000165425.1:CT868040:184294:185323:-1 gene:GSPATT00035165001 transcript:CAK65685 MISNKKDLSQWCASFKFKRYRLLMHFHVTPLSFLSRLSVNRQQSILFRLRCIIQVNQYEY YKLSTFLCNIIIKVYRMCDSDSDVEILDFEQGLQLQQNTIENLEKELQIVITNSSFYQQQ IQELLSEKNSLKIRVKELEEQNLQLENNLQKQNQTYQRTIEELTFESQKNEEKLRKHLES LMEQQKQEFERILEKQSEQINDYVKKLENQEEENNIIQNKLIATELFNCKLQSSLSELQM DIKTKAETRKCVQQFNEKLMGENINNLNKIESLSMQVDGLEEKLQKLTQQRDHFKKQFVD ISQKILQYQQEISQSNNIGFKEQI >CAK65686 pep:novel supercontig:GCA_000165425.1:CT868040:185597:186745:1 gene:GSPATT00035166001 transcript:CAK65686 MIIYMIQIQFPQLNNHIYEDENPDYAKPMQFYISEALNRLQKSIPIETRFILKINDQEKD ITDDLSILLISTASKIKILLNFQIKIVIIFETQEEQITLDYNCFDNLFWLEKQLKKTHNI SPYQLNFFHKQNKLHKDKPLIDLPIENDNVINCQIIGTYLIKYEVETSIEINIYATIDQV YQQVQQCFNLQQEFQLYFQETLLKKKTLDRDLLFYETQIKPYSEIKLKITSKVAMYIYID TIKKFECYLVSQDLKLKDLKNYLIELCQIDKLCQYQFVVENQILFDDQFISHLSKQQLNN VITLKAVDESIKFYICEKDLDCFKIIVEMNQNTQIQDLQNLKQLTGQQCQFYFKNQLLKK EETFQQINLKNKDIIYYEIQST >CAK65687 pep:novel supercontig:GCA_000165425.1:CT868040:187023:188012:-1 gene:GSPATT00035167001 transcript:CAK65687 MEYRPPMQPNREIPQSISQIQQEQRMKFQLQQIQAKIIEERPNFQDMQGGQPPNKPWLGE DIYMVVFLLTVENDRLREENTHVQQLLNTSEMRYKGVDLVENEVISQRVKIGEYEKKLAL LQMECEQWRVKYQNRDKESEDQRYQNELQRRIQVDREIRELTARFIADRNQLEQENRSLR IELDQMKLSKYSFEDNKKQCEALQKENQRLKQELDTLKKGFDELEYVLQSAGDLEQENQH LKIQIEQLQKQFQQLQQQQQSQILQRPQSSNKIELSNSNYNVVQENRRLKDEIDRLRTLL NLGTGSYSKPFM >CAK65688 pep:novel supercontig:GCA_000165425.1:CT868040:188314:189647:1 gene:GSPATT00035168001 transcript:CAK65688 MSLLESINQKWIIPLGNRNLSNTYLQQQNYKLIQRLGMGAFGEIYLAQNKQKEEYAIKLE RTNCQYPQILYEAKVYAFLHQDSQQLDKGIPKIFGAATEGDYNYLVMELLGKSIEDLFAQ CHRKLSIKTVCMLAEQMVSRLELMHSRSFIHRDVKPDNFLMGIGKKQAKLYVVDMGLAKR YLTKDGHIPFKENKPLTGTARYASINTHLGYEQSRRDDLEGLAYVLVYLAKGTLPWMNLV CNNKNDKYVLIKEKKIKTTTEQLCSGLPEEMALYLNYVKKLKFEEKPDYNYLRNLFKQTL QKQNLQLDYIYDWTKPEKRRQENDQMDDIRKVKEEIANKEVDPKLKQIPPQQPAKAQSII APKPQPQPINSPKENQKVQQKQIRPQSAQKPIVPKRPQLQTQQGKKPPVEPRVAAPRIVI NKEPYTKY >CAK65689 pep:novel supercontig:GCA_000165425.1:CT868040:189730:191820:-1 gene:GSPATT00035169001 transcript:CAK65689 MQQASTQDQFSFVSPPNQDNPTQITIFQSSAMQTSIFIFRFGQDTKETSIISVTKHTPLT PNQHLYNILEQFGSTLARCLALKMIGIQSYLKQSFEYLNHNIQDLKMLIFNAQVMYASKN QTKEFELARDEQCTQIQALINGIEDDSCKQALSNLLGKIEEVRFSSQYTLKIAELLETET IYFRVIEEDKSMKTFIDLIKKDSIIIAQQLCPYLIVLSAVFKEVLKLDMIHQTQQNSYSL EKFVNHLQQLNKDQDISSYCEIISKIYPRLKDHFTEIEEIRGKKRNYFQCQLADEDKDIL NLFYDKQSIYVLQFPLDKVLPEEICELIQLTYFLITQGQKKVTQQNIETKIQKILQNEQF KQYSFIFDLIADCVHQSQLKLLFYGYVQCILDTRFIKFTSHLQTDLTTLNQLSQKPQAML TEQQLQQLKDMLCITRRQNLQFQDFTQIINSAGQIETLVATKYQEALEEATIELENQLKE SHEINELIINKEPAALQIRAKKLKSLMELFLRFYKVSNETLQKLFLYYEKVYEIKQQPST IFQKQKVPVAKDYLSTVQNQKKTQNWIEIQNQILNDEVLGTEKNYYRPSVYKIFKGLTNQ QTIPSSASLQKILRSFQAKYQNTYANQIQSDFVNLLKEIIQYSQDKQVITFETNFRKYYK KEFKEQLMELPQNQFESLTYALKVVQAIEDQQQQNN >CAK65690 pep:novel supercontig:GCA_000165425.1:CT868040:192312:194203:1 gene:GSPATT00035170001 transcript:CAK65690 MKNKRSIFQEQQPDHDLIRKQNEINLQPAVQVYRMYTSLHTVAQAQQVADSLSQIDFIQK QCEKSMKVRDFAMYAAQFFKYEHYNFGQTIMNQGDYGDRFYLLLNGEVGVYMKRSNDDIE NDLDILNQQSSEANNGANNSLKKMKSVVLNQLNRYDLALRMLTDNPMYYNNGVPLFKKVW QYYSGQCFGDQALIHDQPRSASIVVVSEEAHLISMNKHDYRLVCEKQIQEQNANVDYFMK LFNGASKFTVTKFIQNLRTIQFSAQTILWKEGDEPKFYFLILKGRVQLYRYISEEMITQH ASRKKKKIILSQLSDNAFVGQEEIIESLPCRLYSCQVLDNTSAYYMEVNEFNNLKKNFPD IVKLLKDKSSLISEYMNNRQNNIISILAQHEHQKQEPPQLMITERKTVQEIFKDPHDRPD KNDIRSQKPKILLQTEIAHQNMLYHLKKKPTDAKSKFLMVDTSLIEQIRDRVSRKVWTGA EKKQRVKTTNLEENQILITRVQSAINKKTTQMQSFESLETVLQITSTNPFFTSPTFVTST TNTVPLIQRSWNPTSKQLRAKLKKTLSCSRNTLPTRHSENEDIFSQFQPPKRIHTSKSPQ RRIYSAFGSHKKNDKFLSYF >CAK65691 pep:novel supercontig:GCA_000165425.1:CT868040:194416:195450:1 gene:GSPATT00035171001 transcript:CAK65691 MLLIFLIPCVLSVQKNLEKVWIDTDSGDAQALAILYAAFNFNVLGISTIYGKTSAEKAGE NVLKLTTNIQMPYHIPVIQGLDLPYNNHSCVTSHGDGMYQASIFPDHQIPIFSQDLTKEL NIQTEYRSKNSTFTLLLLGAATNFAKLLQRFPERIKSIGRVIIVGGDTDGCDPNYNCDPE ALQILLEILQANNIHITFIPKAEQEIPQKYLDALRQYDKKLSNWALGMIEQSNFSMHKLL GVYFLSNRDQFKIEKYGVEIEENSNCKGYVNFFETSLPNQVEVVTQITLDKFFNNLLQSI GKAARGALMKDE >CAK65692 pep:novel supercontig:GCA_000165425.1:CT868040:195687:197843:1 gene:GSPATT00035172001 transcript:CAK65692 MQIPQNQPEYRPSKLTRYSNQTCYSMENCDVFNNQMANMDMMMDQMTMLQQVFEVRYTQH KENQLQKFYNMPNQERMYYMIDKDSGRIFDMRIPEQANEIQDIMNGNTVYVIKDQAWNDF MYSPFLNRLLSKKLNEHLLDYAELGDAQSIETLLIPSMDYYLDIDTKYHNLTYRLRGLDD WTALHFASNEGNLEIVNILLKHGATVDALTKFQRSPFFLTVMQNFLDCAKVLLQHKANIN IQDRDGNTPLHIASQMGLIEMIVFLLESKADPNIRNNFNQNCIQICCDAISLQVYIKYGY AQHEENYERTMIPGTNFLLRNGRYDHVLKLMTMEKLAEGGIPQNSNSSSSVKKMSKFQNL AKFMNVSVLKQRMTPNMFNFYQLLGKGSFGEVYLVDKIGQEQQKLFAMKILKKERMMSQN LLRYAQTEKEVLSIMHHPFIVKLNYAFQTESKLFLVMDFCPGGDLSKLLDIKKKLSEEEA KIYVAEILLAIECLHKNNIIFRDLKPENVVLDSEGHALLTDFGLSKKGVSEEELNKSFCG SPAYLPPEILSKQGHNRMADWYGLGVMTYELLVGIPPYYANEREQLFDNIRRGPLKIPRS LSAEAKSFIVALLNRDPNKRLGANSDGEEIREHPWLANINWKDCYDRKLKPSRPTEQTFN NVPLRINFMDIEENKNKITGWSFFEES >CAK65693 pep:novel supercontig:GCA_000165425.1:CT868040:197872:198914:-1 gene:GSPATT00035173001 transcript:CAK65693 MIEQIVKLCLQNLLDYSDERREMILELECSAKLQRSRDCQGETRRCSFYIKNLLDVSSDR IQELKTLLMNALQYSFQWISDQQYANLAQDILQLFDKHNIDFEMSDINNFVQYPKICSLL ILIAARHRRYIDCSFLLTKLNEIDHIDLRLVINSMLYMDLKEEQIVRQMMQICLENDYFK EIQILLLNPNPHKQIFELAFKIFSQSNHQCWMQSVVYMQTNYQCDRERIKKQITQFDKLN QGLIQLINSIIDQQLGNQLVKILDKLEVNEYYFDLLTILLLNDIQEGIDYREQLKKIPDD YFDKENDYTQDSLFLFVETLLALNIVDQKELVIQK >CAK65694 pep:novel supercontig:GCA_000165425.1:CT868040:199155:202906:-1 gene:GSPATT00035174001 transcript:CAK65694 MIKYLQRSLFRISDEYEYLKCKDIFKSQLGQFKKALNKAIQLQKQIDQNTQAAYKMQSHA FFTSNVPSKTILAAFLQKREYSNEEIVGQTFVQLGHALKGRGDRSYFDHKQIESSMGFDV LIKDLLNLNDYRILAEGIHGLALTGVDLHSEQIVEKAFQQLDAKELNSEEKQLIEAFILD IFEEKKDVPMNNFIYEVEKMIEETQSDIFYVLEAIVEIRRVYLKLLDIQKSDWIHVNTNI KMMEFEEALVSTGLLHPVEVEKEDISLSLETALSYISGRDPNLMAFIISLLEIKLIHKDQ IKPVEPKLSDFGNLMVAVSEYKGHQIAERLKNAQSLLVHSDKYKLAKAFANAGLNVESRE YLAQVTDEELLNSVDSLHLHLQLNKTDRQFPLPENLSILSLDELIAYATYLALQNQNYGL FIEELKFRVKNLNTIRDLNEKQQERIDVLEKHSGQKLIYEEKDINRTEVYKAIKETLPKS VQLIEIYDLSLNNEINGYAKLRVGSQPYMTLYDFLGKDKEQFKQKLQLAFNLENSDIILF NKIKDRLESVQIEENSKELSSQTIFNAQWQYLKKRALNYLNKNDNLNKLKLKQTLLDLQE RLNILNQNNVFQDLIDEIKVQPIKFPKWYGMIPSQSIYNPQSSIEGFKASLISNRIYDPT YCPDQDLFKRLEENGFISDVLPIPHSDKRRQQIVVESYSLAWKKHSAKKSDEELEQLNQL YKFLQKDQKDHHSDASDLFIANLLNLNAELKSKSLPEIADFVFNIKFWDKLIEERLSANK STKPTGIYLQRDPEVILHEQIKQYNPFLTTQYLKKKLEVNKTLDDLIKMRKELLMKLQED VKNKEINKQQAKSIVRKINRILYDKIQDIKGELRRKHSSPYYKQKTNYIDILFNESDIEK LDIQQTPDRYLDVDLLYGINEFVTRKRSRAEEKLITYYLMNKQMEGKQLNKQELQFLDSL SLYQPNVQVTQLRIKDMQFDDLIHSDFKQFGEFSASDLLLQLSQLFDDQLFLDILSHKYY KKLGIQHQFRRRDNQLYLQQQEKLVWIEESRLNDVELKDLKEMLQIGNASDQHVESLNQT DYPLQNVYSWIHAKSAEKFDLSLLDQHNQELWNNIFKCQYENTTEQQLHDVVNLLVERYY ILQFHPVTYLRQLLRMILTHPNLSALDKKNLQCLKKTVGFNSEDILAISKSERAATVPSY LSEMCYPYGEKLSII >CAK65695 pep:novel supercontig:GCA_000165425.1:CT868040:202934:203919:-1 gene:GSPATT00035175001 transcript:CAK65695 MPPKNKKEEEAARLAEEERKRQLEEQLRQEEERKYGCGRYRTNMGLPISQYIINEVFHLE DASILIKEYLCKILERNHCEKLRDIDIEIAGEQLINDFSVCQDFEFGGDQALIFINMMFL VYINQHEKFGKLVEGGLTRGKSLQGDRKLFQQLLSQHSTDGQGCHKVFVARQLPPIIDYI EKGYLSHWLLLHQAHSHNQRPLEIQVDLQIELPLPQPSLEDHTYYKPIVADDTQSFKKTE MEEQEVEYHEPTDEELLDSVIMNAIQDKLSLAQQELETRLKDRQVEIESKLQEMAAALTK KKK >CAK65696 pep:novel supercontig:GCA_000165425.1:CT868040:204321:204969:1 gene:GSPATT00035176001 transcript:CAK65696 MNLSFLSSSKLREIIVNPNNLNKNLICVICEQLVWDPNECSQCQNNFCSICINEWLKKKK NCPFECTKRMQLNAPHRILRNQISELQVKCVNKGCPKEMQLQNLESHLKQCEYIETKCPY PDCLFKDSLIKIEPHKTSCEHRTRVCQKCLETYKVKDNHDCLDIVIKKVKKQEDQINKLL RRLDCLEENQVLLESKILLQQRNSKK >CAK65697 pep:novel supercontig:GCA_000165425.1:CT868040:205238:205739:-1 gene:GSPATT00035177001 transcript:CAK65697 MQQTHQLLDSIERKVQNCVIRDQQYEKLLFSGRPSHIENTVDKQQKQLGDSKITLLDHHY QKKFEKMGQRLENLEKEKEVKVQISREQQTSDFEQEEARSIVNLNRTIKLYSSQISSLQE QMKRMQMNYQKQIQDLQIQLRKYQL >CAK65698 pep:novel supercontig:GCA_000165425.1:CT868040:205834:208470:-1 gene:GSPATT00035178001 transcript:CAK65698 MEALQIEMDPLIENQVKQNLKLVRPDQEWFVYYGKLYLFYVDAYKSLETCYDQLLHPQKR RLLKKMLENTMLRQIQIKQAMIFYSTQGNVIRSDFVNLHQVIFDEKRLIEDTEVSLPHYF SDEQNRKLAYRKQMLNHFLNEFHDTTQPEEEVLVDKAVLENIEQAIWFIQKNERGRQGIE RVLLAKQLKKQEIKKQEKQKKLQEGLEVHDSTEREEAITTIQKYYRGFKAREIVWDLREQ ESLFLGFTLEKQLQETDAYKTYHLQREKMKEKQQERLQEYKTALVEAKDNLMNDWQDDIQ NQMLAERRRWYMSEVQKAEGKLVPPKVAQFYKKDQVRLPLTEEEQEMMDLLDKEKKAAKK NKGKKKKKKKQEDEDIRVEQGPTEAIVKMQDLIEKHNHEWRNDQLNPYQKHENSLLKGEI AKGVEKQIREVVDEMIDMELINLRIALKAKKMKYPKKKKSKKKKAKPAAKAEEDDLLEEG EINFGFRSVAKKKKKKFPGDKGTAKKDPRDMLAELIESGIAKKLEPAKISDLIGNCNPLR QKQEMLQEQVADPSIYDLRQVLVQFVGMPLGSPYIKDNVKESNYVLFYGPHGSGKTHAVR ALQTECDALILDLSPANIENKYQDKPDYLLSLAFKTAACFQPAIIYIDEIERIFKGKKKK KKGDQQPSSAGGMNWVKLRKYLLKYGKYFTEKQKENVTIIGCSYAPWNANKKQMKSFFKR RVYFPCPNYATRIMIFQRFFEERKIQLHPNFPLSSLAHATEGFTAGNIKEALRKILTERR LILSAEIPYRISEFVDPLAVQQSTFLQQYQEFRKLHDDLSGNKARIDKLKKPADDEKGKK KPGKKKK >CAK65699 pep:novel supercontig:GCA_000165425.1:CT868040:208502:208974:-1 gene:GSPATT00035179001 transcript:CAK65699 MAHQDLYKSQGDEIFQHLERNANLYLQTQNKHIQCQDNLTPQLITPKIPEISSLKEINLV NSLAETGNGQICSVKLICQKCKKQIQTKLRRRVGKGTYTVSCLLLLCSFGILCLACLPCY MDDCKDIIHICPDCHHPNGSTPYQFFE >CAK65700 pep:novel supercontig:GCA_000165425.1:CT868040:209215:209744:1 gene:GSPATT00035180001 transcript:CAK65700 MSQEKSQSPKPKAYSMSCSKSEILDNQTEVVHRATKSEMIYPNKDLDGGQINSQQMQSQS GNYLNIIILGLVILGLTAGLVIGYKIMKSGNVQDEEQMNVFVNIVSQSLLNNLEQQKYLI IDDQLKQLKKFYEKQLTNVLWQKIVKHIQQQPNVIISQDKKKWILKE >CAK65701 pep:novel supercontig:GCA_000165425.1:CT868040:209773:211135:-1 gene:GSPATT00035181001 transcript:CAK65701 MQELGKQQKMVIGMVGLPARGKTYISRKICRYLNWMGFKSKVFNIGNYRRQICGTDCNSN FFDPGNKDASKARDECALLALNDMINYLRNEGDVSLYDGTNTTKQRRKLIMETLQQKFSE VQVFWVESICNDEDVILRNIQLTKLNNPDYVGKSSDEATQDFQQRIEQYTKVYEPIDMDE NISFIKIIDIGNDIMIYNIQGFLQSKLVSYLMNLHIYPRPIYLSRHGESQYNVGHKVGGD SDLTQTGLMYAKQLGKYFVQELEGNRNIKMITSTMQRALRTSNEVCELLGIEYYSLKALD EINPGICDGLTYQQIADKFPQDYEERKMNKLTYRYPRGESYLDVISRVEQIIFEIERSRL PVIVIAHQAILRCLYAYFHEHEVPEIPKLNIPLHQVIKLVPAAYYCKETRIKIDPMTGHW EIQDEATIKKVKSFLDL >CAK65702 pep:novel supercontig:GCA_000165425.1:CT868040:211288:212588:1 gene:GSPATT00035182001 transcript:CAK65702 MPKKIICTLDQYANIFRHCELTYKQFKKLIHKKNKRSLNQQVFSFRCKVCMNHRDTSPHR RCNGCQDLFHLKCVDEESKQLCSKCQVQLQNMLLKLQYITQVEGDTLIVHECKSANTICS VCYAICDENQSKICYRCNLRQHVQCYNSQTEFCSVCDQYLKDNLPYQYDTIPNYIQLWKS EYTCQDDLIIIDKVKKQNRVRLYLPKYSDSKEKQLQESYSLIKALCAKSIYFSDDLTYFP EDSKPEDNNAKYERKLEDLDEENLKSFLQFKEFSRLGITPQLMVDFHIKTGFIAKATGFI KKGSILAEYCGEVKKWKNIIFDQNDSIMELLSHPNPKKTLYVVPEKYSNIARFVCGIDNK IKEQVEIVNVKCLRVAINKQARIIMYACKDIQPNEILYYDYNSGGKYLYPTEGYQIL >CAK65703 pep:novel supercontig:GCA_000165425.1:CT868040:213343:214589:1 gene:GSPATT00035183001 transcript:CAK65703 MDWARLEIIVPIFLIIIYTLYYRKFRFYLISEKAQPNVIRHKLARGTPPPSYPNGWYRLC RSTELKIGQVEEIKLAGRHIAYYRGTDKVVYAVAAYCPHMGANLGIGGQVRFNSCIECPF HGWTFDGKSGLCVNSEKLDPKIVSTYCYNNIQNMDPNKKGEYLQKVEEGEIKIKTYLIKE IKGMVYIWLHCLEAKPWYEPVSEQSDHLQLRGESVNYVNCHIQEIPENGADARHFDYIHS SVNDYIPTWIVSFKWTMKSLPANDPDFYKKMEHSKEKVRKYQMNLFDQYLSNKDLRQYMN VLCLDAYLVFFNKWKFNVIWATGFQMGPATVALYVLSDVFEALYKQAIQPVERFNLKVFH TLYTTSILPYWASAYILGRRIETSDCRCQFVEQQDLYRQGCLQFKG >CAK65704 pep:novel supercontig:GCA_000165425.1:CT868040:214637:215026:1 gene:GSPATT00035184001 transcript:CAK65704 MRDPGNLRKVQMLWNGEYEFNKLKMLQQEQLFIVLIVLVIIAIIIAHLITKCQGKEKEQQ AKDRLRKKFIKNNIETLNDMNEEEALLLQETFKNTLENSKQGKIAKYDAGMQKIQEIRQK TVKFILTHE >CAK65705 pep:novel supercontig:GCA_000165425.1:CT868040:215146:220987:1 gene:GSPATT00035185001 transcript:CAK65705 MIFLLSISNRLSTQLSPKLKGIIVSQLIKTQSSLSIQDAFIRQATLLFIFRWQVRTNPEI VKKAVDKILLNSKLNQFNAFHKLKFLLGTQPPKEVRMNAKKKKNLSIINLALFVQKKELQ LKRQAIESIKPTSQDTNKMLGLLIWSISSKYRERYLREKFNWWKLIAKIKSNKLEKQLKA LERLGDEYNMRNDRLDRQRLKEAFEIWRGDLLNFRLKKKFFAVLLKTTFGRLQRCYTRWV DLPDKRENDIKKQGLLLINKLGNKVDQNKRLVWNAFKDIHDEAKNKKTKVIRELIEVTFN QSYTAFYKWANYNTYAKLTETNLKKIKSFQQSANVTHQLVKQETFKLFNLSKKMQICSFL DKIILKLQQRQKLDSLRQIENYCVQKKMEEKLKNINKQDLISRLKDTANKTEKGLLKQVL KKFSLNRTTQEIKNKYFGRILLSKMEETLPNPNDINNIQKASKFQIKLQMFITNKRKQAY DPLKYLYYDALQKKRFCIRQLFSVTMSAPQRYLKQWQNVAKVYKSVVACQKTNNLFYSLA LIIQSNLLTFVQNKKDVDIKEKCIQKILASQHSNLAIAFFKWKSHNKQEQILERLGDEKM KFLILNLKKFLENDKKQRLRRALNLFHQKLQVAQQIKKINLRLMQTVVGQVSSSFQKWKY LPEDKVNGSTAKVSKFMISLGRVAYRFVKVNSWNIMEDYLLDGQAKKKYCINKIISVGQS DLKTAFLIWHRRSWEMKMFDQFSQLNVTLNDEIIKQRLINWINSGNKVKHYALIEILKRF HQNAESHNLRRKALAIIHRNTISQVWISFNKWKQIPEHDYSQLTKVTKFEQSINRFLIRQ AKKKTWNPLNEIYDDALAIKKRAVLLLVKTQESEQQQALSKWNKNVALIQEVERCKSVIL LFKFVGDQIQCNLQIMQPNQESRLKEKALLKIIGGNYDNIRYFFMRWRNYLKFERLQAIE GEKKEFLIRQIGFFYRNNMKSKLRLALSKFKRNSVLSAVQQKFFSRLFSTKFGGAIVAFQ KWKNLPDIQNYENVKKGRRLEKLLENLYRGRIKLSYDPLKDEYQEAMNKKMHCIRKLIIL GMGSNKRLFLSWRNTNRLLKSIETCKQTTNFFQTLSLTLSGNVQVIFKTNQLQEKVFEKM LSQYNNLLRWAFIKWNNQAKSDRIASLMDKETRKFLLFALQRNLKHNKIGQLRDILRNFN DRRNRINLIKKIQLKFLHTFAGQVETSFLKWKQLPDSSNLKQASRFEQKLNSFKIQILRK SSFKYLQNIYQDGQAKQKYAINKLMFNCMSVEKKVFLQWNKVSELQKTEERASKVMGFFG SINKIQQNHMYFFFEDQIKELKKQEELVRLLKEWEEMFKDSYQKWKQTQAKLDLLASQNR QKQKFSIIEILKDYIQNKKNYNLRLILRKFSNSNKSQKAVNKFLLGISHSSIGQLHNSFQ MWRRLPEPQKTKQGYIFEKKIFSLFRKQLLQSFDGLQDIYFQALNKKRRVVLLLVRTTKS QQQQAVQRWRDALNQMNQQELQNVQENRKLAIQLFYQHKMNQLNVAFIEWRDTIRLHTNK QENKTQRDERLKKEAILLFQNYAYVRLRVYFTQWKIRAVKRNMIQICQAIQRMIQLNRFA EKAMKKYVMDIWKGQPSKNKWFKRVADIIAKNSKISKQIAYWRMRDNLNQKAVGLSTQQI IKCKKLFNNLFKAFDRIKQRAFTLLEHYGKGIPDDTSFQPSQTSFLQQTPIKKIKDTFFK SDFDSILQKNGQKMALNAISRVVKVYFKQRFIELMIELGDQKLRKVSTHKKKGAEKLFAI LEQNLKLKKQVICYQISQVNEQGVLNQINEIINYQQSTQSSSRLESSQLKEQQLKLNEKE RMLEGWQEKLRCVAINRLIKALERCEDHFVEDAFVAISEYQLK >CAK65706 pep:novel supercontig:GCA_000165425.1:CT868040:221058:222127:1 gene:GSPATT00035186001 transcript:CAK65706 MGNTTSNHPQITEVLTEYHFQHKNCLITSHSSQRKILKAASKRNEMPHKSNRRFAPNIVK SLEFKSEGNQYIQMKQYKKAIESYTKAINLYDNDSIYFSNRSVANKLLNRFQEAKQDAQQ AIKIDQQNSRAHFLYGTVILIEVQMFPDINEALIRQAQLGIEELEKASVQVKQNKNEQKN KLKVLINQNLAKGKRMVFLIRQEIDKRNIQSLKQTLNDISHRQHHNLDWNQIEQIIHKKM EIILPECFVCPITYEIMDEPTLLNSGLTYDKNSIQQQFKLNGYLDPMTRENIDPLGLIQN IQLQQGIQEIQQQYGWVGIENEKDYKAIKFE >CAK65707 pep:novel supercontig:GCA_000165425.1:CT868040:222161:222900:-1 gene:GSPATT00035187001 transcript:CAK65707 MNKNKQQALPITTINLKNIDFQAKLDYIQQQLKNKETQAQWKQYQKDVIEKEVTMLNQEL EIQQKLQELKLVQANYPFTNMDNENEQKKIQGYLFDGILCSIADLTLNNCVIDNAQEGKI ADEQQKKKKGRKPGKPGKPGKIGKQKESSQKVDKEQDKQKEEKNKKKQKGRPKSKNNNVT QKVPSAQKAQSKQQKLKPGRKPTKQKAVEVVNKGKQKGQSKKVQIKKKISKKLNKKK >CAK65708 pep:novel supercontig:GCA_000165425.1:CT868040:222929:226920:-1 gene:GSPATT00035188001 transcript:CAK65708 MAFYYESEDLNLRKKYNNLQYDEIEEEDKQNLAYSISEQEMTNKQHEEQLQLDFEIIMKD IKNMCVYFTQKFIVQNENNPQLQKFPFIKVKNRVCHIFEKYQEQNTLLDSILEQICVSFM DVVKVYVLKNVNNVGVSSCEEFHNICDTIYILTKVRGIRTISRYCPHEVCNLEPVVMYLQ HCSTDLNQNWETKYVILMWLSIIVLVPFDLNSIDSQIFNAIQDTFTSSNTIITSLLNLGV NYLKSSTKLRNMGALYLSKLFSRTDILKCNLLEQFLNWSVKQIHELQDNILNTFYITGIL ETLVEILKVVQRDVLKNNLYILLPLLNLKQQGTLINLFLTKLTQRIGLVYLRPRVVSWTY KKGTTNLQQTLTIIDYSRIVTNSQISKTNQQLQQQQQSNIQLEDVDYFIDVDQEGLETVV DTLLQQIINKDTVVRWSAAKGIGRICARLNLDQADDIFDSLINTCFTPINGDTAWHGGCL ALGELCRRGLILENKLESIIPIICKALIFEQNQGGYSIGVNVRDSACFIAWSAARAYDPE ILKNHVLALAQHLVIVMIFDREVNVRRAASSTFQELVGRCPNIIPHGISILTEADYFSLA MIHNAYLRIAPFVASYPEYFKQMVDHLAFIKIASQDKEVRKLAAKSLGRLLVLNPTYFKE NLIYESILKMVKLQSLNSKHGALFALGDLLIAQSGNITKNSEEKELKDSVFLRTLTKNER QLAKAGEHITIFKSQYEQLLQAENMNLLSEEIIDEIMQIPKILEDSLKGKSGEQIRIAAY RLIECISISKLPLQIDQHAYYLKFIEDGLKNPLEEIQISAAKALRLLSNQYQTQGQYLID GKEFLKRVIKQLHQKSTTVQVLIQGGYAQSVGSFSPQVLHNEDLSILYTIGLSKKRAKLT SWSIDPDTRKFAIKSLGQSIINQLNNNYNCEAQLLPVIDCILYAMLDYTVNKKGDVGLFI RENSIIAIQSILVCYVGYIERNHIGNIIINEQCIIKIIGQLLQQLCEKIDRVRLLAGSVL QDLFKSVFPKLQRFENYEQISTIFSTANLQETIIKDQERVDQTFQSEIIEAEIKNLKDVL QTIGKTDLIYHWNLPHCCYRLIVPILAYPTFCRYILTGLCISVGGISESIQKFSEEALMQ YIHLNQNLDLLMTNLIEILKLYALDERVVIPLFKTASLVLQKEEIQSLPIIKQQTEILFQ LIYKETHKTQSINKLSASVQLIIDILSVNPELFHHIIQHIFEILTSDLPKVRKQLAEAFY LYLLSHDNEELISTDNNCLLQDYLLETDWLSEELDKNIEECRSQLKQLLSL >CAK65709 pep:novel supercontig:GCA_000165425.1:CT868040:226969:228463:-1 gene:GSPATT00035189001 transcript:CAK65709 MSKKFLNTEILVEAGTKMLQLVSNKFDEIAQLKYPVVPQVQPGFLRQQFSEQPPLEPESL DSILKETETKIFPGLTLWSHPNFYAYYPSNITHASIIAEIFASAFGTPGFQWLASPAQTE LENIVVDWVVYGLDLPNKFLMKNQGGGTIAGTVSDAIFISVHVAKRRKMKQLKINTDSAQ ICKFVGYYVESAHACATKALHLKDIYYQKKLPVIFNEELQNYVVDLQKAIEIIEQDIKDG LIPFWLSCSFGTTGTCAFDPIDKLGEICQKYQIWFNVDAAYAGSSWLINEYREKAKGLEF ADSIEINFAKLMMCGLTGSLFYVNDKSELAEAMGNKIDFEIYKNKFTDNYDVWDYKDWQV ALGKRFNSIKMFWMIKSLGLNGMKEQIQQKIEVANHFEQLVRSSNRFRIFTKPQLGLVTF LLVHEDLTTQNKLNRKIQELINQNTMLGFISGSEIKGVFYLRVSISNHTTTKENVEEFWN HISKLSEQL >CAK65710 pep:novel supercontig:GCA_000165425.1:CT868040:228665:230007:1 gene:GSPATT00035190001 transcript:CAK65710 MEYLSLEILLSFCFIALYYLYYKKFRFFVIEEKKKENIIRHKQTRGKCPPSYPNGWYRLC RSTELKIGQVEEIKLAGRHIAYYRGTDKVVYAVAAYCPHMGANLGIGGQVRFNSCIECPF HGWTFDGKSGLCVNSEKLDPKIVSTYCYNNIQNMDPNKKGEYLQKVEEGEIKIKTYLIKE IKGMVYIWLHCLEAKPWYDPMVEKCDHLQLRGESINYINCHIQEFPENGADIRHFDYIHA SVSDFIPTWLIKFKWNMKSMSANDPDFYKKMEHPKEKIRNYQKQLFDHYLSNKDLRPYMN VLCLDGSLMFFNKWKINALYITGFQMGPATIQLYILSSLFEVFYKQALQPVEKFNQKVFL TLHINSYLPYWLSAYILSGGLRQIISDVSVWDNKIFAAQSCYNLKGDADPVLMRWRQWYS QFYEGSRDFEQKLDSLEW >CAK65711 pep:novel supercontig:GCA_000165425.1:CT868040:231038:231806:-1 gene:GSPATT00035191001 transcript:CAK65711 MNSLSISQSPILRSLIVDPKSINLHLICVICQELVVDPKECSGCKILFCSICIFKQLEKN QSCQNCCQNIRLNDSHPIVRNLISEIQIKCINEGCSQQMQLSRLDSHLKQCEYEKTNCPH SGCNFKDCLQQMKVHQQICGYITKTCQKCKLIHKMNEEHDCLDIVIKKIKLMEKQIQQQN KIIRKLAKRLDQNEKKKNQQINDLCQHEFEQNQVMVKCDKCHLKNTQSICKKCGVCQCLE CQLYEII >CAK65712 pep:novel supercontig:GCA_000165425.1:CT868040:232372:234562:1 gene:GSPATT00035192001 transcript:CAK65712 MKRHSTGKKFHRIKGIKKADLNAIISETPKQIEEFIESLSSQGSLIKKVKYAYFQTKNGL KFPGFIATEPIVPNDTLVTLPRETLLTTLQAFESPLKPMFLEYPQFFSPQFHSQWEYHQI LAFLLYEYQRGTDSKWYYMIVNFPRDVDYAVFWNTQELESLKDENIIKSARKKYIGLLVT FQTLRYIADKFPNLYKPGIVTMENAIWIHTSIVTRCFGGQGLKYVTMVPFCELFNHECAD VCYDLEQQDQSTRYNYEFMTKKLVQGQENDDELSITSSENSQFSEDDMSDSEFVTGEYDQ YQEFNFDKFSENSIINFEENIKSFFKKNQSVKSDELIKMRKEFNIRLNIQQDVFQLAIDC KAYLFQTIDFGDNFSIFFLGQIFQQLDQLIQQFFSLERSSYQARAEIQQIKIDCNLYKNF WYSFQNEVLKRPIQQKYNVFAQKIVRKPERPIFSMEQILSQPVDRSCDYYTQAFQKETFK NLLMRTRDPFEKGAQVYFCYSRLSNRIMLLKYGMSLEYNKYDSTFLRVEFLKYIKNKVGI WIVHRFKLNKLKRFKLKHIVPPYELIVFCKLIYWNTNVHSVNTIFNIQDLQLERQALSLA LEILIEQNSKFKETIEELEQLLLEKSLGYHQYFAVIYRLERLRIYRRNIHLINISIIVID KLMKGVPYEKAIERTEFDLDFYETNRYILRKYFDQIRGALYQQK >CAK80821 pep:novel supercontig:GCA_000165425.1:CT868378:1148:1672:-1 gene:GSPATT00039412001 transcript:CAK80821 MSLLITTELTSNYAIQNSSYNRNYKQYKCKEAFNCVKKLIHQEKNGYGDNALCAVEQKHQ HAIVTTQMYLKKLDTANLLVIQIFGSLFEAETRRISILLAFVNSLHILQESQHDVQVWQL CSVQNQMQKLLHENDQQFCMCIICKIQQQFILYTFQYFKIRKRQFQRKEDNRYY >CAK80822 pep:novel supercontig:GCA_000165425.1:CT868378:1711:1854:-1 gene:GSPATT00039413001 transcript:CAK80822 MSNIVKTLQNMTHTKIVFDSTKQLSFFIAFLINFRKGVQQDQLLWTN >CAK80823 pep:novel supercontig:GCA_000165425.1:CT868378:1957:2745:-1 gene:GSPATT00039414001 transcript:CAK80823 MVIKIISCEVRQLDTAYIGGQILNCKLQQLISVYILIKSKGQCGSFFLTHRKSIGVNTNK KFIKCINLSLSIPAKLLLPIQIDQKTFQNIINFSCVEPFVHNTHLLLYSSIQKWHKQPFY SDITYDNQVFKLWSYNKHILSKALSHTENTIERILIIFMLGKAVKFNYITFEVPQKYIFN NQNVIDQLITGTQFENYNIARGKKFTARFIDILIQPLKAINTHTLFSNHMPALLATIINH KSINASFYNRQLFPENLINTRI >CAK80824 pep:novel supercontig:GCA_000165425.1:CT868378:2821:3218:-1 gene:GSPATT00039415001 transcript:CAK80824 MTSKINYLLGQQECNHNFYHQLQRPCCFKTQQQLLSVGLCLTFFINLQQYWLISYVIQVS LLPLQYLTQQERVLIFLQLKNLDSKNYLLRLFYNCTIRDYMFFNVSVLSQFDDIIIQKIA QMN >CAK80825 pep:novel supercontig:GCA_000165425.1:CT868378:3320:3796:1 gene:GSPATT00039416001 transcript:CAK80825 MQYLLLHQIYIYQAIKCTSNIGALFTGIVDYKNQQQNYQNETAQIYLYRKYYKQLINLHS IYHQFIIRNFFQQKVKCSSLSYSLTVFYSRSCASITISTSFMNQQFSPKYLKVTPRQLYF RTNIVISKLNKMALINNLSENISFKLQNIIFKLKQIQQ >CAK69702 pep:novel supercontig:GCA_000165425.1:CT868072:626:1396:1 gene:GSPATT00038144001 transcript:CAK69702 MIKVFILNSVFVLIYGWTVDKANHCSCKNYSLFVDCVINFEYGCELKDFTCQNVNCTAQA PDRCSLFQNQCYLDKKNSNSKCQPFNSCSDLQGNDDRECYAQNIRCAKLGETGPNCVEFK CSYYSVDTCPLMCFVVGEKCTDFPDCSTLQLNRCNVQRVCYNDEMTCKWEECSNYKSDSD CMYVYTPERRIQPCYWNEKDQICANAEGPEDLSNFSCSLNTRGAYHWSSSKLDSGSCQSC FEMLLKVVLAIILIFV >CAK69703 pep:novel supercontig:GCA_000165425.1:CT868072:3130:3534:1 gene:GSPATT00038145001 transcript:CAK69703 MKIYQIINLIIKQNNKQTKYGNKNSIRTKIITEINVYFNQKQQINNKRRQILFILYKRKI LIEIKTPNAFNVKNVAGNVGKNYAKVFSYASSLQLYLQLWQFGLQRIYQRDMIININELY FSIKIIWFLTQFCQ >CAK69704 pep:novel supercontig:GCA_000165425.1:CT868072:4316:4911:1 gene:GSPATT00038146001 transcript:CAK69704 MIQLFRFCSGKITSSFPKLSFKVKDFSIFKGEKPTALKNDDIKTLLKMHQLGATWKLVLI ISNIKQQDDNSLYKEVKFSNFKEAFSFMTQVAIFSEQINRNILLNVDHPEWENLFNTIKI RLITDDVNNITVKDVFLAYAIDNIAMNVQVKSAESTNDTRILEIAKIAEAWNFNFDQFHR MIETDSKQI >CAK69705 pep:novel supercontig:GCA_000165425.1:CT868072:5358:8117:1 gene:GSPATT00038147001 transcript:CAK69705 MDQKVELVWYPTEFQDDHQQNLKNTSQNSSFTQQFEEALKIVRELQKDGSQISQKQQNLK MNIIGFGEDTQTNQKQFLENHDLLVLTESNVSKLQYYVNQIIDFLNAIESIKLSFFAQVK GIRSYYHQVEETGFRFLLINQQLQQNYQLSESQLDEEIIKLTSQSDLNELKSSVLQKEEM KKNKKGHGFSIFEKLIYYYSRQELYNKLNQQMAESDYESIKQIMCTLFDGYSKLNYQKKP PKKLYRGFSLHPCFRDIYLKIVRDLMFCHQNKSSMFWNTLASTSLEPKVVDKFLQQNLKI VFEIQLSENNPHPYFKLKKYHAQYDEEKEVILFPQFQFEVIEYRKCESGIDYFCIKQIEN NLSMTFDKKKREKYWQDRINNELKPKLKIINEFYQTRINFIIKGVRKFAPQVGDIRYFLK QNLDAYFFQLVQYLQQFFQNQETHKEYLDNLLDVCIKEISFEFIISDDFLVKLSDFISRV SEQLINQFIKIIQKIFNLEDFKGDLIMIWSEFYPYTINSLFNYRLDCIYSNTPNYTTRQL NWRKLQQQEVKTIQIVQHSESQKMGYELTIDGNKVLVYQTDDGVPTIVKQKDTTKQLGYT DKWKTQGKRLQTKDLTVKDVQQGLKADLKNNNYNLTNFEKYKVKITEQQSRQLGQVGVIL GGMLVDAYYGKLDKDQLIQTGIQTASIFLSQSIQLGLLVFQLGKALVTDWKKFLSKSNIQ AMVKQYFQHMSITQLSLFIGNSVGTFYGPFGCASGQLMGGIIGGAAAKLIHMKTLNKKFK LKITFGQNNKGIQNNGLLIHRGVNPKVQFSKVDKNQVKSLIIYAVSDYRILWLAINIPND QITIEENDRLGMLSLRFPYMGPFDHESKIAFYAFGVNDQNLNENNVFEDINNNKLTIVSS ACKQIINKTK >CAK69706 pep:novel supercontig:GCA_000165425.1:CT868072:8466:9125:-1 gene:GSPATT00038148001 transcript:CAK69706 MSILGKFATSPKKRSELPKDYADQILKNDITLMMSKYTDSIALNNLIQLYMKGVEYYEAQ KDQQGEYFKSKLNWLMSHPSIISPKKKEEDTLQKQTTKKLDFKVHSIIDTQQHYDNNIQQ FEIPQEKQEVQKNNLITNQTNEVRQMIDNLKKETSKINEVISQLHQIIQNDLNSQKNKIA DRIHKRSKSFVNKNKFEQEECIE >CAK69707 pep:novel supercontig:GCA_000165425.1:CT868072:9548:11420:-1 gene:GSPATT00038149001 transcript:CAK69707 MAEQKVVSVFDFGKTRNKFWQPCDKSVLDSQSFLVEQEFLTAGKDKVKPIFIVLGLEYLY KVTVDKLKCAPLATMHLSYIDPGADHMVQLNSDEQQYGFKLTYQTKALDIFLSDKLLYDQ WKQHFRKVCLLQNFHDAFMVSKLIGKGSFAKVYLATRKENNQQYAIKAFSKSFMQQQHKG IESLLNEMQVMRKLNHPNVVKLHEVHETTNSVYFVVDIVSGGELLQRVRETGFLPAETLQ RLAYNLLSALNHLHQFKIAHRDLKPENLLLRSNENNHDIILADFGLAAQLTDENILFKRC GTPGFVAPEILDYLEGQQFYDEKCDVFSAGVILYLLITGGQPFTGKDQKAILKANKDCQI DFDDSLFKSAPIQMQDLIRSMLQKKASYRLSSTECLRHPYFKELAKQQQIKLEKYTSNLA EYNQQFKNNVRAGSIDMTLEQRSPLFTGNLNSIESMTCVSNNSFAKIEMKPPSVVGASKF SQYSSRLNRLGSRDISDIPNTQLQKTESKKHIDLHRIAIQNSHRKQIIDQFEDQPVHQEN SEVSDMVRLYNSTRQIRIPDNLSSLSQTNKQASTPTNKNKKNS >CAK69708 pep:novel supercontig:GCA_000165425.1:CT868072:11479:13049:-1 gene:GSPATT00038150001 transcript:CAK69708 MADSFNSSGQFYNFVGTAGTADFLPGSGQEQQLSFGRNYLGYNDQQVGSFQNNLHQSQLI DSEVKNSLDQFFEMKIEDVRLDNLLIHEESSSQSKQIQSIHSDRNSRSQYSGDQDFRLES AEQINSKFKPEVKMLIPQNQGKSIYRDKIDSLLEKRTSNNNSVSPSITPRSSKSQQKLER KRSQNLQNETSNEMTDPTQLKLAKNRESAKNSRERKKIYQSLLEKQVSELQLENEKLKDI CKNQAQSMEIVNKKTQKFQQFLEQQQQMFEKLELCIIKKASFDEIGIIMDALRYRIQSNS QERNDTARVYFDSIAEIMLPMQVKYLLYACQNSKDMFANTEQYVIYGYSQFLEITVIGQR KVFKVQMSNLRILPNLKNFSPRFNNQNKISLNKIKNEIKSIQDHASKLDQVWDALKSILN PLQLGTLMCSLYHNLYKNELQTSTLFAQLKNSQAEEDDFSFKIEEEINYGTNKMVKRC >CAK69709 pep:novel supercontig:GCA_000165425.1:CT868072:13242:13908:-1 gene:GSPATT00038151001 transcript:CAK69709 MHPYAQYPPFFQYSQAFQYPQLSIQQFNPYQHQQYINNYLWLNYQRAQQTHLLSEGSSQS PPIIKQENVVKQEQTLLKQSNFEPVEIMTNLENSKSAQPLKTRLNIQSQKSKRKGKRSSR RKLYNIGHWTTKEHNLYLSFTEMNKDIMLNSDLKKQNKIFKQMSNFIKTRSPSQCRSHHQ KFDPKISTSNNTSNLIECDNVGNQE >CAK69710 pep:novel supercontig:GCA_000165425.1:CT868072:14392:15786:-1 gene:GSPATT00038152001 transcript:CAK69710 MRQEESESLTESQVLLFMNEKMREEGMLDRIKLQVRKEIVDKLMQEPKSKKPKRQFDLKV ADCFVINFLQTQNFLCTAEIFIQECGLDRVDILQTQELLQLHGLTIRDYEQHLFQLQQGT ISALEIMRSVIKRKYQVEKSDHSCQTDEREETYEQKMRNLENGFLKKVNYSRLHDMQTIE ERMNNLRKDIEQKYQAKLEAEIVRLREHEMEMIRQEERIRYEKMLKQMKSGADEEYTEKL KELKKKEEDALIRINAKLKAIEQDKFEKQQEIQRQLHELEQEKIKYHRHKCLDSDVVKQE LAEMDAIKLDLRKAMDEYKKSKESLDQQNIKQAEMLNEYWKEKIAKEREAKKEDGIDDET DWVKYQKDNCSRLQKQREYLLSENETLRQEINELNYKIQEVQKELDKCRNELMQAKDERD VEHNYSMRLEGQIRIITQINDRNSKI >CAK69711 pep:novel supercontig:GCA_000165425.1:CT868072:16089:17499:1 gene:GSPATT00038153001 transcript:CAK69711 MQQVQKEEIPYFKYCQKLGGVQRKDIYVSPTKAATFLHLIKHRCDKDSALKFAQRRICKW DTTIKQDGPSNCRILKPKDNKEKRIHGWQNIGMEMFYENKDTVADVNQLELDQDNDAIQK EIEKNEMKLKNDFKNKIAHLQQFYRELNQKYSFNRSDPNFKEKIVMAKLDLLHKRNQPIK YIKTKGMKYIELYNEVTQNKKGIGNGLFNKLHVFNQLGTDDCKVKEKLLGELYKHKKESQ SVNHKVLQHDFHIYDNIEEQSEQMLKIIDQNDDFSMTLRKHEHKLTVSQKAIAFAEDFNR TKYLFNYSSKNQIQKMFEIPEIPQIKSTESIKLRKHFSSLSSFDDHFDHYLNQYAHEVLE YQQEMQDKEISTDNLQKMLQKRENLRRSQIRKVSKTSHSHQPSIHLDFSKVKCQHQSSRD KESTKAGNSFNIKQRSYLVSPFSKS >CAK69712 pep:novel supercontig:GCA_000165425.1:CT868072:18681:18881:1 gene:GSPATT00038154001 transcript:CAK69712 MQYKQDSEMTEYLQIEQELEIKSLFKQLKIQNTQLSNKQSKKIRKFKLNIQSNSILQRRN TNLSLL >CAK69713 pep:novel supercontig:GCA_000165425.1:CT868072:19258:20657:1 gene:GSPATT00038155001 transcript:CAK69713 MSNSHVYNNYCKSSILYAANYHPLRIIINKLTTFAEINKVIKIAWKISPTFLRIFLSNGS EIFEEDLYLIRQGSKLYATLDGSELGLNVLFLNYSINDQLCQNPNSITMIGKIKSNKIDV IIKQISTKFFSRDELANFVKILQQIKDENCTKCVHIYDTIIFQRQSEIALITEYCQGKSL NHMLQQNKRFTEQEIKVIVKQLADFINYCHSRNITIKSLKFENMLFSEKDNLNSIKLTDL GLNTAYRIQNLTSINNFNYYSPELITQKEFTFSTDIWAFGVILYYLHLHSFPFDGRTKQS IQQNVFQIKYKITEEANPYLRDLLQLIFVKDPDLRIKIQGIIDHPYFKQQNKYNLSFHSS INKLNMNFLRLNSRNSFRKKTNSQRFDSEENNSSIKRIQKQLSLQKQSDKEQSILNPLQP KQYKCKIERKRCLSHMIVKQQIRQSTIKDF >CAK69714 pep:novel supercontig:GCA_000165425.1:CT868072:21264:22565:-1 gene:GSPATT00038156001 transcript:CAK69714 MNKSKFTQKNVVRSCTLSSTHQNPILLMLNPNTKFIDIMKLIQIVWRQNADQLRLFLCDG TEIEETDLINIKKGTHLFALLKNEEKDLMNLKLGYDIIKRIGEGGQALVFLGKHKVTNEL VAIKKVSAATQQDQALEMLEQESLILKQLDHQNIVKLYEKFVCSESKEVILIMEYLHGGQ LLAQANSQLTEVQAKHFSNQIVDAIAYCHERKIVHCDLKLENIMLSTPSQKSIKIIDFGV SNYFGQPFDKDNILGTLSYLAPEVLSTSYKFIQPGQDVWAIGCIIYGLVFGKLPFEGNSP SETYRNILSCNYQIPKKSVSKELMHLLSIIFVANPKERANIFDIQSHRWLNEHAKILKLN INGINIRIGRSSSVVKMHSTRKSNDEDYGQVISQRKIACSRRSVTRLDKISFVVKYK >CAK69715 pep:novel supercontig:GCA_000165425.1:CT868072:22931:24541:-1 gene:GSPATT00038157001 transcript:CAK69715 MNKFTHTFRQQHLESLYQKGKQDYCYNGFKKVSFLSMIMILMRLIAFGQSNNVVGILVAS TSLLIIFVMSILIMRFYQSGTIFCMIFINNLIILVQLQDQSDQTNQFILGTNVAIAHSSI LLIVDYKLTLLNIFFQTGLKLFIAALLDANIDASSIILSVFCPLCFVSVVHTIEKQKRLL FLSNHQGNDWHCLLPSIISDPFILFTYDEDRMSFRYKNSNLIDHFPYSSFELQAEENFRQ FLRMSTLGKITLEQFILNRIEKQTKFFDLNQFTLKPNEHDSTDFEEKSILLAELYHLEDN FLIVLEQSKHRAQTLQTTKDNLINLIGKHQQLVQNFLKKQGQIINNCILSQNNRMQLIYQ LKLHHMYFEGKYKVSNSFQQVSFTSETVCANFRQLFLSTIQLFKKAYKECNFQFDCSETQ FDVVHYKDMVQDFILQLVQVTLRKSNNDFKKQTRFLLHSKSELLFIRIICMNTYLLAENL NKNLVIKNFLKFHSPQLEVKVTEGGVFIELYKDVSVLKSFDKFESFS >CAK69716 pep:novel supercontig:GCA_000165425.1:CT868072:24552:25766:1 gene:GSPATT00038158001 transcript:CAK69716 MQEIPKQSQTKADHHLRHLVQIFQSHQGIKKLNQLGGIFCSTEYYEKIHYVEFEEGEEII RTGEVGHLFYLLIEGEVSVKKTYFGTEALLNLPYLQSVKSVTKTKFGTISKYDFENIKRK AKLKDPNEQIEFFRQSQLFGQLSKGMIEKLLKNCQIEIFDKNQLVYYEGEPSSTIYLVRD GIFQLQKKMVVGNRWKNITISEIGIYQLFGDLECLLNKSRYFTVKCLKQGSCYKISNEDF YQKVISDQPSSEAQRYINQYIKAQLLNRTERIEKVTETLAQNSEYLIGLPEIKTSSNKEL SQIEMRVLDKTHSRYAQIYNQACQKRVKVRYATIHKTEVAVPRVTSKNESVHKTIVVSIE KPVKQYIYDEIKMPDIVQRRYRDFLPKRKKDFWIITQNNKSSIL >CAK69717 pep:novel supercontig:GCA_000165425.1:CT868072:25781:26343:-1 gene:GSPATT00038159001 transcript:CAK69717 MDYFKFGQVIIPGNLVFWNKQYCYCIIPVVKLLPGHVLLIPKRQALRLQDLDPAEIFDLG LSVKFLTKSLEKYFDCTSSTVNISSFSNESDGLNHCFIHIIPRKEGDIKKNDDLYGLLDN YPNDFIRQFHNTLGLGNAFSDQMRDTLSQEAKKYKEFLQQCLQEEIKLK >CAK69718 pep:novel supercontig:GCA_000165425.1:CT868072:26368:28612:-1 gene:GSPATT00038160001 transcript:CAK69718 MSKQLTRMDSESDLEEPESQVYKQMKQLQNMKGISQMITEIIQEEELAEVEDKHKYMKNL VGRKLSQLDSPTESVKSAHSSKPFQSPKKTLKRDTIFFEQSDFNILSIREYDYIEVTSEC FKNRSKTCEKCAIHEQSENEGRASAKKIVSLLKKRTDYNPDLQSDWNGLEEVTTYSADTQ EPIVDYRCHIEPVAKMEKVEIHVSDGVYAVQQDGHWLTKMHSIKEFIKDLLTFVEIANDK MISSWCYSRNKYLEQKFKMHCLFNSDRESEDQKRIKNRDFYSVLKIDTHIHHSQSMNGKQ LLEFMKKKFRQCPEEVVYLDDGKEMTLKDIQKRFKFKTEELNIDLLDVQADKSLYKRFDR FTSKYSPLGQPLLRSIFLKTDNYIKGKYIAEITQDMIKNMDRHTYAEWRITIYGKSSSEW RIKAQWLIKNKLQHPNIRWIIQLPRLYSVYRKNGELNSFQDMIDNIFRPLFEVTINPEVD PDLYQALFSISAFDCVDDENQHENFFLQHLKIQPIHWTKDSNPHYAYWIYYIYANLSSLN QLRQQRGLNTLDLRPHCGLNGNIDHLACAYLLAKGINHGLILEQSPVLKYLYYLKQIGIS MSPIANNKLICKYADSPFNSYFRQGLNVCLSTDDPLMLHMTDQPLLEEYAIAQQIFDLSN VDMAELARNSVRCSSFESIIKEFYVGAQYEKMYKTTNNPERNNVPQSRFLFRQETLKEEY QYLQELSKSQ >CAK69719 pep:novel supercontig:GCA_000165425.1:CT868072:28672:29626:1 gene:GSPATT00038161001 transcript:CAK69719 MSRVKQSAYVQPSPSSGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDAFNEFQE QIFTKLNGLRDQLGKLSKQVEDDRLAKEQANEAKNREVQALTKKFENAIENEQQTKKEGE AKVLRLTEDKTALLRTEVQKETAQRVDAIEGIHQGLQNDLPKIQEAIREEANERDEADQN VMKSITDELVKLSNLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKRTREQSEEHLL SLCWKTHVINLVLQQIYDIYIYIMNYSLVKVSIFITLGIQHTVLNYTT >CAK69720 pep:novel supercontig:GCA_000165425.1:CT868072:29952:31052:-1 gene:GSPATT00038162001 transcript:CAK69720 MNILQEYQLQECLGNGSYGIVHSGVNVESGKRVAIKMLRETFESMEECLQLREVKALMKL KEHPNIIKLLDMRYENKRLYLIYEYVENNVYQLYTQDKLDEERIKHIILQCANALLHIHH LGYFHRDIKPENILIENECVKLIDFGLSREVKPPFTDYVSTRWYRAPEILLHSTSYDAQI DIFALGCVTCELFLGRPLFVGGSELEQFDRMQQILGTFSNQDWSEGVKLVNQLGLKLTHY PQKLLHAIKASPMALDLIQGMLKWNPKQRFTAKQVTEHLLFKQQQTTPEFAPRKLQDLGQ QQQELKKFTNQKQQYQTKK >CAK69721 pep:novel supercontig:GCA_000165425.1:CT868072:31103:31673:-1 gene:GSPATT00038164001 transcript:CAK69721 MIYYQFEIVNTPFKQSLFYFFQIYKSKGKIKFQYQGRTICEWDQTLDDINIYIEPPKAVL KKGADAKLDVQIKADHLRIGIKGNPPFINEPLVKQCDSSESYCLVEEEELHIILQKAYKG DLWASVFVGHGKVDALTEQELQKKMLLERSLGGTPWVRLFWSVDEWDSA >CAK69722 pep:novel supercontig:GCA_000165425.1:CT868072:31828:32637:1 gene:GSPATT00038165001 transcript:CAK69722 MIKFFGIVIYIFFILSVVGPIYSFLTFQPKRAQEFWSRLAVKTLRLQFRYLPNSKQVQTN KRTMLLFNHRTQGDFFIHDVVSQFSANFLARWMVAVAFPMLAIFQQLFTQSVWFFRRGGN DLNNFFKWIDNKFDKATRPNLLVYPEGHRMHDSDKVGKMKNGMLQYAYDRKIDTQIIIVL GIEKAFNEKKFHVNLGPTQISIKVDEIIKPDKFATFELFVEHIQAMFRKNFEETYDYQYK QS >CAK69723 pep:novel supercontig:GCA_000165425.1:CT868072:32829:33577:1 gene:GSPATT00038166001 transcript:CAK69723 MKRKTLLLEADIIQQIKSAFNLNQIEITELMTQAKRYDPKGTGFVSKNEVDDIMRDLKLL SNEKMIAKFKEEIKTYEGKQLELKQICDLYCKLKNYQQQLEDEETITQEYIDAFVALGGQ PDRSGYVQKQTIIDIIQQEFELKIDLESFLGDFQGDKLEFEDFCQLFENAGEDAKSFITS FSQAKRNNTDFTVRFKDFEKWEKTAM >CAK69724 pep:novel supercontig:GCA_000165425.1:CT868072:35921:36292:1 gene:GSPATT00038167001 transcript:CAK69724 MSTYTWQQVGQNQKNGWLVIDGVIYDPTPYLNEHPGGPAVLQNRFGKDATRDFNETGEEF NNNVGHTSGARQILEKYKIGTVDKNSPQEQWQAGGGTPANAQQFAFLIVAIVIVFILWNV FAK >CAK69725 pep:novel supercontig:GCA_000165425.1:CT868072:36311:39514:-1 gene:GSPATT00038168001 transcript:CAK69725 MSSNIQQSQIGLPANMSNQNRTQNSQVLNYNNFAYAQSNIRWDSQNQPSQINNPTGSQLL KQQQFCIICQQYVIDEGQQQSQIKQQQQSKIQLAQSDIRGGNFYQSQIVNSGYDANMLQS KPFQGSQEQQIQQRSNLPNSNSNIIITDNWTENDYAKQFEEWRDLIQLNKDFISQLENDR LQIPLSNVIVQEILKIKSNILKNSLISKLTQFNEIIKPFSYELSNKSEELEQSLGILKQS RKPPKNKFATPQQEQQSALSNVQLFNQQLSHVKLEIQNQINLTNSILQQISGQKNYIEPQ ENYQQKLENAFSSQLLYVRERMTQSINLLLFIHEREVFNLDRQINSQIQESDTLSITSLL EKLMIIEGLLEKFYEKKQELREFKERLTQLQNRNIDLFSDFFEDVESLYKQYKIYYVEIK QIQQISNQPILQNLQIKIKQQLSIAAKQVWLDIKQLYFNGNRIKDFNEEEKFQLFNQYNI EAQVQYIKSYVNQLQIDYLKKGNQVPLEESKKLKQLIYKAESQYQKTNQIRQEISLLEQQ EFIAYRNYITDNVKPRFFVDFKELYAKDFYEVIHFQYADASVLETTKQLLQFKFPTFDWN ENIDDERQRIEIIMQRHDEVSVKLQGLAEQYSSETKFVKQLRNWKGELLKCINILKQYLV IKEQLKGEIITLLKWPQTTQNMQKINEFSVHCEQISRQANQYFQAIEQLQSMQLIDNLQL LFNKQNQTVKQYLIEQCNFINQREFSPIINDQMQIVYQFLYACMECLNFDIDEVFCRTTT YQEFENILSGSTKLQELKNVIDGNVHNSALRYKLFQIIDNFMKNKIKQDFQNLFELKIQQ SKQDTNNFNPKELEKRTDLKFPINRPDICENSYCKLNMDLINQIIDQPDLRYIFSQFRDL LTNITAVIDQDISVTDIQINQITILELANNFSNQLLIQNQLTNIQPLIRFHQQLSQFPSI KQFQNQLINLGIVFPAEYNKYLAACQRRVQRLVQNFDEISQAKLYFNNNEYILTQIDFPY LYIDSKSLILEYQPLKFNCSLNVKINGKVLKTGSTIQI >CAK69726 pep:novel supercontig:GCA_000165425.1:CT868072:40933:41720:1 gene:GSPATT00038169001 transcript:CAK69726 MIQQEQFTAEQIQQYDQLADQAIQQFQQILPLTPENKWEPHSDKNGFKIHLRPDKETGLN FSRGEGFLPYTIQQIVDILNQVENAEKFDELCEQASLIAKIREDLLIFYQRLKDMFIVVS GRDFVILQKRLRDGNKLWVVGKSIELASKPPVKGKVRGELKLGGWLLEEKEGGTQVTYMS WGDPKGNLPSKAVNFASSAQGQVVERLKKFMDQKHGKK >CAK69727 pep:novel supercontig:GCA_000165425.1:CT868072:42039:42710:1 gene:GSPATT00038170001 transcript:CAK69727 MKECINFDQYEHKTQNLIQNCNQLKQQKAVMIKENEEIDSQNEQPNKAGLLKKQKKKNEY DYHRKKRRCADGTNSKKSGKKFSLEDDKRILQLVLNNGPKFQRIHRHFHGKTLAMVKNRY YKYLRFRWEILGQQYLINLEITNIQVFPKNNKRPYVNNKITQGACRAPKKMIFSLKQHSE LHYFRMLECLQNFQLNNYYDYWFYTNLILNFLQTHQQYTFITQ >CAK69728 pep:novel supercontig:GCA_000165425.1:CT868072:43106:44958:-1 gene:GSPATT00038171001 transcript:CAK69728 MNQCYNYSSQYQNLLKIQLLIMKSDSLANYQIKNPIGRGAGSFVCKIVNRLTKVEMAAKI IQKTSSNSHKIQNEVTIHSELHHKNIVHLVDVFEDADNSYLIMELCDQDIYQLIKKGQLN EQQIRFYGKQLAEGLQYLHSHNIIHRDIKLGNLLIQNDILKIADFGLAVKLADDEEERNT LCGTPNYISPEILNQQPYGKKVDLWSLGCCLFAMATGRGPFEEKNAALGDVLRKVKQGDF DLPANSTEIFKDLIMNLLNLDADQRYSIEKIIKHPFFMDPIPPRIQSRNQSSSQIKQLLD LSPFVKQQHRSTCSMIGLDKQQILTQFLQKQQLLGGKKLLFGQTTNGKISLKQNYHLDNV PRFFLPQETNFNNTSCNHHNKENINQENCNKENIRENSVKINKQNSSAICIFTESPIKLG DLKSCKLQTKNGLLQIHEDGRFEMDVSNKDLNFIIQTNGQEIIVQKKGQKAKLYKLNELP QKLQKFYTYSKQVCNAIREKNQKQKLNNEHGNFALKNTKLGQCYEGYIAQSKIKIQHILN SDTIKLQYHNGTTKIVNIHEFQQLSSQARMDPNEVYSIKIALKYLTQCLQ >CAK69729 pep:novel supercontig:GCA_000165425.1:CT868072:45503:46216:1 gene:GSPATT00038172001 transcript:CAK69729 MKEKQLKIAEYKRLKEMNEEQECSKYSFRPNINKDREHRTVDQFLEEVDYWIERKNEQLH LMQKEKQQEELKGLTFKPKINKKSQRIISDQNSSLLQRCQKSQINKQINSEHHLIEELKK TPFCPQLNANSIKLASQSKTPERSISPNLKQQYTPRIIGKSTSRTPHKTEQRVSTQAATP LRQNQKVSYHKQTNSMASTTVNSNNNSKKAVLPLQNVTNHMKFIVDLVNKTPPKGLF >CAK69730 pep:novel supercontig:GCA_000165425.1:CT868072:47181:48061:1 gene:GSPATT00038173001 transcript:CAK69730 MIIKYNSQNWDYNKPICQLILIVIHSFNIKLFIIKFQILKMRTKTIQKFILILFEILENN EHKNYIRWSPDGESFIIPKRKLFQQKVMVNAFNTNNIQSFLRQLSFYKFKMEKNKQNQKQ YCHPHFQRGRRDLLSKIQRMKNDKLNEDSTDQASNLEYIKLQNEQLQSQLEKLKLNQQYI LKQLIIQAKIQATLASRVERIAQEMAIIYGEERQLEFAKPMRLFFKLIQGYRVDQLERII GFLIFEMDVPTPIQENYSPIQFPYC >CAK69731 pep:novel supercontig:GCA_000165425.1:CT868072:48153:48864:-1 gene:GSPATT00038174001 transcript:CAK69731 MGKPKILIKNLYEILQSDKFDCVRWTVDGRAFIIVDPEEFQNSIMPEYFYSTQIKSLYRQ LNSYGFKMRSLEMNQKQFSHKWFIKGNKSSLSKVERKRRQSIAHLDKEKDSIIIMREEMK QLKEELETLQSQQNSILKQIRIHSIIQTYICKNIKKICDEQKKYNLEREQERRLVLYFTS MFQIFKGFHFEIASNIIRELKDIGGPQTPSFSPMIFPFM >CAK69732 pep:novel supercontig:GCA_000165425.1:CT868072:49502:51246:1 gene:GSPATT00038175001 transcript:CAK69732 MDYEQVMNDKKLREQLEGGETIVLTMKVIKFTGQNKKLPRVIAITNKNVYNISPAEGNSV KSFFQSLVNKSRIKRKIPLSAITSITISKIVKEFILHIPTEYDQRYQVDDQLSLIIQTLC EVYVKHNLKKIRCHFIEEMNLSQYTTTNYDIKKSVRRQLPKKGAEMTVDDVKIAMQAARG NVQTIYQKSNTSEISIEDFTLIKMLGRGAFGKVMLCEKKDTKEIFAIKSLRKEDIISRDH IEYLKTERKILEQTQHPFLVSLEYAFITQECVYFVMKFMIGGELYTHLQKVNKFNEDYAL FYSSQVLLALEYLHKQGIIYRDLKPENILMDEKGYVALTDYGLAKFLSKGQVAQSIVGTP EYLAPEVITQQGHAFTADWWCLGILIFEMLCGRTPFFSENRNQMFRNIVESELKFPSTIN LSNDCKNLLTALLKKKPHERLGNKGDAEEIKKHPWFKKIDFQRLLQKEIQAPIIPDLQSA TDLSNFNPQILDEKIEEDQNQTTNTQAVKKFDQEFYGLNYKKE >CAK69733 pep:novel supercontig:GCA_000165425.1:CT868072:51250:52436:-1 gene:GSPATT00038176001 transcript:CAK69733 MNNKVLLLQRRPDESFPQNMNFFEIQQQPTPQLDKGEILIRVLFIGVDPVMRVWLSGAKT YIDSVQIGQVMPAFGVGQCIESNAKSWNPGQLVFGVLECAQYCVRKVNKLFKVPSFVEIG DPIIPLTLSIYGVTGLTALNAMKQIPESNRPTQESPKTLCVSSAAGSTGSVVCQIAKRMG YRVVGIVSSEEKVEFLKELGVDECVTYNKCKQNEEINVNQLTQLLKSHASEGIDVYYDNA GEEILDAVIPVINKRGYVLLCGATSTYNSWKQRCGLKNLAKAIENSIKFEGIMFLNEKQK MYDGFAEMVEMVNEGSIKHQEEILLGIEQFIIGLQNVFLGKNKGKTIIQIYDNQLLQAKL >CAK69734 pep:novel supercontig:GCA_000165425.1:CT868072:53342:53859:1 gene:GSPATT00038177001 transcript:CAK69734 MKKVMFWKPIIQRIQMFQAKNAKFQKIVQAINARLQTISLLNKSNALDAKNPYQKQCIVK FHQQCFFKELNDQNTKKQVFLYKCKCGTKIPSTIIRKCGAPNFMEFLSSIHRRQLDLLMT QSNLRKNHEIVSFYMQNKLKEHELDFFIQEKDKLTYDETPQ >CAK69735 pep:novel supercontig:GCA_000165425.1:CT868072:54689:55644:1 gene:GSPATT00038178001 transcript:CAK69735 MSAVPYEKICELASPLYFPLEIDGAIYMVSQNGDILKFKDGQFKAEFHISGQPYSIVIDK LNKFIYVADMAHQAILKRYIDENSQEQITEFLKDYEGQPLLGPNSLLLSTQNNLLFFTDS GPFGETSIENCKGSLFAIDMDAQIVKPLALQCLSYPSGICLSNNQKLLFLCETGKNRVLR FVQTEAGIFYYSTYIQFNGRFGPIACAVSQSEHLYVARFEFGHVSEEGQISIFNSNGVNV ENVSIPQCPEISGLTFSRYVYQFIVKLKIEYSLCNREFINAKLFAYFNQYRREG >CAK69736 pep:novel supercontig:GCA_000165425.1:CT868072:55660:56526:-1 gene:GSPATT00038179001 transcript:CAK69736 MIKILRSLRQINHKQSYGLFGWFNKKEEKVDDSAYDPATWKQLQPAFNKVKEENQNKPKL LPIKKKQYSDKLTVVMELDEVLVYSFVPDPKDMFMNAPLRQYDFYIDLPEFDNFVHVYKR EQLDEFLEYFLNHTEPVIWSRGQRIYVERVLEKLCPQFPKDHIFCQEQCNLVEEDDLEDY FKDLDLLGRDRKKVVYVDSKPLSFWTTGDNSIPVRMFLADNTDTKDDLQRLMNILERLKQ ENDVRDYLKKIYKVEETLRETKFIE >CAK69737 pep:novel supercontig:GCA_000165425.1:CT868072:56543:57490:-1 gene:GSPATT00038180001 transcript:CAK69737 MSTDNTELLSKFLNEFNQMKKQNQEYQMKENTTFQTLTNENSLLTQELNRIKKENQRLVE NNAFLTDQLNQLKLLYSETKSELQESFNFIQSINKNMKTGTFENEDKELNKLMEIFSVNS VDQLVMTAEKIKTVMLGVSHLEQFCRSICEIIYDQHEEHYNLDQVFPIIQKWKCDSKYVD CFLYFKNQLEQTLQLKHSTDQQIIDAIKQLQNHQNHDIQAIKSLFKIETNDNFMFKINQV FLLLQDIQQFIKIAKRLLDLDENMKNEACMVYILKLVEKMKQNPYNDSDLIIKIMKMVKV EHPQQILSKLEQLVN >CAK69738 pep:novel supercontig:GCA_000165425.1:CT868072:57603:58400:-1 gene:GSPATT00038181001 transcript:CAK69738 MNQQFDTEEDSINSDEAIKFIECKSLTPNFHIDCNEKDSSVHNRMNAINTLMCKFKESLV SKDEIFTQSSPFKSTNGISSQNGFQQSESPSQTINAEDFTKQDNVHLADSRQRRQNRNSI EQFIGMQIHQNHRKSQTQLSTNMIQTRNAKRQIFVTQIVNDHCEITSFPLPKPQRLSRII QNKDGLQSQRGSSTSTPRGILKSTSFEVLRIGSRPSTQSLNSSMVRSSSKRVSFEFTSQQ IRKMKDNRANSENSKYQRLKTKFKR >CAK69739 pep:novel supercontig:GCA_000165425.1:CT868072:58410:59966:1 gene:GSPATT00038182001 transcript:CAK69739 MLNDNQLISIDWRSITYNVSTSMFQIVSGYICADLMSLLNLHFMGQYTDKYQTASYGIAW MIIQLQFVPLGLGLLSLIFRHQSRTEQSGILCSGTEQDKTLSNLLELRNLFHNYYILPLF PLHLQFAISSSIHSSRRNRNHNLIRMETRQMIIPLFIAAFLLLQIECLKQYLVGCRIFEP FPFIYASTLVFHLLTCSFLFIVCNLGYMGLCLAIILSELFTLLMLIRYIYKNPEVYNLFA NFEFSCQILHFRETYQMFIKESLPLILHIYADFIVFYILSFVAFSLGVNQANAQLAFANT SSIYFKFPISLSVTLMSFVGNSLSQRKIALAKQYIISGMCLQGVVLVSLVIGITFFKDEW SRLYSSDLQIQQIMLETLPYFLIGSVCFDGIQGALSGALKGVNKSTIVSNQTMISYYIIG VPVVLILAYVFNLQLIGIWLGFGLCNLYLTIVFLYVLKNLNWTEQADLITQKLQQHEDCL GDIDLPLMQIQQE >CAK69740 pep:novel supercontig:GCA_000165425.1:CT868072:59997:60355:-1 gene:GSPATT00038183001 transcript:CAK69740 MGRNSQRIMNQQMIRRTNNSKAQYEGYQIEMNKYGDLKLIQRNQYLRRQIGLVETKQNEG ECRHGRKRISDSGLDDNLPHQKKKDILKLQMQQLSAIISIGHRESKQQ >CAK69741 pep:novel supercontig:GCA_000165425.1:CT868072:60511:60690:-1 gene:GSPATT00038184001 transcript:CAK69741 MKERIKQIKQKVEGRKRSNKQRKDGSERITKEQNNYNNQSPFDRKFIAKVFNYAGLFEG >CAK69742 pep:novel supercontig:GCA_000165425.1:CT868072:61732:64351:-1 gene:GSPATT00038185001 transcript:CAK69742 MTDQAQAPPDVDIFQQTMEKNSHRASIFEIILESTLFQVIINILTLYALFGDDVRVAAFR LSADIVFDALNITCLIMFSTEIILSVIVKEGYFLSFFFWLDTISTISLLLDISLFNQAVG LSGAGGQAKNTAGLARAGRASRVGTKAGRVVRLVRLIRLVKVYKSANKDDEDKVQKLLSQ RRQKRKTNAVEPQLQDRVEQQNPGQGEELETKESKVSKMLSDSTTKKVIIVVLALLFLIP LFSVDYFVSPPTSMLMQSQQFVKLAESEASTYEDVKNAYSQIIIDHSGIDNYIVLFTSPL YNYEDFPIYQNDDYPNLRTDEQDVGAYTLDYDTLIKTTNLPDAAIASFDKDNPDVVGILS TRQAAVMTSILSIIRTIFVSIVLTFGAMMFSKDSNDLALRPLERMIEKINKIANDPQIVT EMAVIQNENSNETVKIENTIVKIGTLLALGFGDAGTEIIRINMKKQGDVDPMLPGIKKVA IYGFCDIRNFTDATEVLQEDVMVFVNNIGDIVHTMVDRYMGAANKNIGDAFLLVWKTRPE TYSFEDDNVIWHDKQYISTLSDCALISFMKIQCKINREPKILAYRQDKRLQARMDNYKVK IGFGLHMGWGIEGAIGSNYKIDASYLSPNVNMASRLEAATKQYGVPILISESLYEHFSPE FQEYIRHIDRVCVKGSNIPVSLYTIDMNVDNLPPSNDPSAKYKDFTKEELKMLLRQKKLE IKEQVESGEFKAIEHLQDNKDMRFLLTGFKKDFMEVFGKAFQAYLDGDWKTARAMFQEAL KLKPNDGPTEAVLHSMEETNYQKPSDWKGYRELTEK >CAK69743 pep:novel supercontig:GCA_000165425.1:CT868072:64971:66120:-1 gene:GSPATT00038186001 transcript:CAK69743 MNFLLYAGNFEMELPIPFQTNDQSAKAKEMFDYLSQGFQGQNVQIGFQLQDGQQGYLDPA VDLKSIYDANAQLFIMVGGDQNVVQNELQNPVAGQGVPVAGQGVPVNTFVADFGENRQIA QEYADPNLSIGQYVIQLGLQYGLTVETNILYDHEGNQLTDDQNQYLGSFMNYPFLNLVLT TSQQIIQNNPQNQNKLNSNPIQSPIQQQPRFQNDPQPNLQNLQPVQALQPAVAASQQVGL PVEQRIQQVKDSVVTLKNMTLYFDPSGEFITYQYHHPIQVTGSIKKSQTTSNQKFSNSKN TWSKVHNNKFEIAQLDEYSFGIKWEGGNSSRLRIKQQ >CAK69744 pep:novel supercontig:GCA_000165425.1:CT868072:66535:67391:-1 gene:GSPATT00038187001 transcript:CAK69744 MQTCQLCLKNTALIQLICSHHICLKCTNKNKQLQQSQLQNKYWIQCSKCQKKTFSYDFSN LLIESHELSLLTDRSQHQFQLNEVKIYVIIYLPTLQSQLIIEPQMFQRRRSMNAIDLFTK NTSPIRLEKSKNTTQKLKQSTINVNKQNVLISSVQLKKSSDKKPSHKRCQTGIVENKQVL NSMLNFKKLSKQKTSPIQKCCSIFDYLLSLSYQQTKTSDRKQFSLANSSKIDSKKNIKSR CHSQMQIKQKIKN >CAK69745 pep:novel supercontig:GCA_000165425.1:CT868072:67993:68495:1 gene:GSPATT00038189001 transcript:CAK69745 MQQQVTTVHTDKFKAIGPYSAGKIVAPTANLVYLSGQLGIVPETGNLISEDVAEQATQAM TNVGILLEASKSSYKNVVKCIVYLVDMADFAKVNEAYAKFFDGDYPARVCIAVKQLPKGG LVEVEVIAVQDTE >CAK69746 pep:novel supercontig:GCA_000165425.1:CT868072:68699:70493:1 gene:GSPATT00038190001 transcript:CAK69746 MKGSQQSVLLKELKEIPIYSDNWFWKLRMRNQALLQFSIIFVLMLGVVLGIYLVNMAIIV PLLEQSSFEIYNSNAKHTLQSHLRSYDGILNGIFNINIHQLEILHQIYTQSYRNLEKQDN ITLDYRAHMNYAGTNQMPQILVNQPSGSLQKTHSFMCYTNKTNITYPMDKVTLINLKIQE TMYPIGHILDATKLNIKSLFYVGTLEEPQVYFSYPCTNFKQAIYGLNIPKRPWFLKGIMI TIINLLIPFFVTQLQQYLYRIHQRQNRNLNLKGVMASDLGTDLNRFVVRTDQNVHMVLLA NDKGLLIYHSYNVSLSLLPIYIFNTSLTGFTMDDWTSIKGTKQSNCSSYPKNSSLICRYN SVYDKDLVITIHEIKKFNYLFMIFHDTNDYTDYQVKSTKEMLNKLQTDAIQFLQIAIGIF LAAMFIAICILILIFYPIQNIIDNCHYIMGIKNKQNVHKNILLTKFFIPFLNPQLQMLYL AYTNLVKRFLSLSQSKGTLCKTLEALQYPKKIKQKAHLSLNRYLKKCLNLIETNKMVYMA PFHLPSQLMGRFVEKLLMTFYQ >CAK69747 pep:novel supercontig:GCA_000165425.1:CT868072:70495:71605:-1 gene:GSPATT00038191001 transcript:CAK69747 MQEEQPREMAQVVSIAENAQFFRLIQNSRTKVFQGTVKLAQFQDSKTHFITIEKFCQNIV PGTPFVYIQSESGLTLIFPHIDQGLNGIFIPQSDLLNVFHAALNTVVSMVPFDQAMQIQQ FKDTIELHSKDIATNPLDNPNDAASYIVKGGDYLKKGFLFLGTVMATGINKGTQYLNDKI QPGQEVQVDPNTKTKFQSTKEKVSEVFDVTGTYLGQLFKPVAAKTKELTNELGQKIDNSQ NNILKQSKQLTSATWDAAGTALSGLGTALCQVGSSIGNGTKQIVQKKYGTEVVNTYLGGD QQQQQLQQQQQQQQQQQLDQYQPLIEEQPAASS >CAK69748 pep:novel supercontig:GCA_000165425.1:CT868072:71638:72355:1 gene:GSPATT00038192001 transcript:CAK69748 MRIIAMYLLKYHPENPLFVSQNYELSFVNWFQRPFFKETLNFGARTCAARAKPGTRCAVT NQEAHAVSYICIDKNNLAALVIADDQYPEKVAFMVIQNMYQEYYRQYNSMFLDTIVADQN LNIPKFEEFIKLYQDPKEVDKLLKIENTLNEVTMIVHQSLDDLLKRGETLESLMAKSKDM SSVSLDFYKNAKKTNNKCCSLY >CAK69749 pep:novel supercontig:GCA_000165425.1:CT868072:72357:72791:-1 gene:GSPATT00038193001 transcript:CAK69749 MQDHTLAVKTQNDWDALVLNNPKPVIVDFYADWCGPCKVLKPKLHKFHEEGQGKWELAVV NVDIEELQQISSTYASQGIPAVYLFHKGKQISTFLGNNEAKAKEMASQAAAL >CAK69750 pep:novel supercontig:GCA_000165425.1:CT868072:72907:74185:-1 gene:GSPATT00038194001 transcript:CAK69750 MKRSQPSKEDLLKEEYVTTLTYFLRDSSVYDCLSINNQVSVVDMTLSLFDTFKIFIDSHV DEVLFWNQEIANYDGVFTQTDLIKSVLKCYYNVLYDIPNIWTTNNIAAIIEMENEDESYS PIKRTIYGRLTIDQFNQLLQDFKNISIKTWFNSVGENLHQNCLIKAELDENLNDVCQKFI TENITRIIVIEKESKMVSGIIQQKDILSFLVKGFSQYFALKKHQNKTTNVHYEQHELQME YFKEEVTKLQFTLPADIPVYDLFYKLIYIYKRNTIAIVDKNNKYLGLIDRRDFVFILKYQ MFDILASTAEKFLSLIKTEDSKYLAYYIRNKQQFTFNQTIKQVVENLLLSPRGSLVCLDN EQRVVSIFQISDLFKIFVTDNKNLEYSGE >CAK69751 pep:novel supercontig:GCA_000165425.1:CT868072:74378:74976:1 gene:GSPATT00038195001 transcript:CAK69751 MFAYRRMLSGGVLSPLTKITVDLTLLSASLFLSSKIGVTSVMRDLVPLRKKLLNEPDVDY LRHLLSKYKKFDFYKENEFTLYHDVLTTSEFKEWKELHKFDVTKF >CAK69752 pep:novel supercontig:GCA_000165425.1:CT868072:75329:76970:1 gene:GSPATT00038196001 transcript:CAK69752 MGVCQTKVKKTTENPKKKLNNKIERVQTEFTATQETGSKNPGLLQLNSYNVINSPQSSGY VIPRNQQTKTGQVKLSGFTQNTPQTGQISILEMNASKKYSIIKKRYLDDQKSILSNNKTG IIVEMETYLKEDKESSEYVLWISKAQLDHPNLNRILEIYQDQNSYQVIYEFFDGKSLSEL VSEDNRLPVVQINQIMTQIISIVSYLHSLNLVHGNLTLDSFQFKRNQNEISIKLIDLKRV KIKEPESLNLLNFTSPECLAHFTNYTTARDVWAIGVICYSLAQGHLPYTIPPNTDQISAI QLIKQTEIHFQDNDQPIAQFIQKMLVHNLKNRATLNQLKQDEFLKQNNQKQLLSQEILLK NTQFAKPCCFLQEIILGYFLQEFNWEQYLQIQKLFSEGDSDMDGYLSKQELSNLYKQYLN VTNPEELVEQVFQNYGINKEEGVSIQQFQCLTASRDILLTDSNIEIGFQIFSNGRKEINL RGLKKHLNSDSEQLVDEFNRMTNEEKILNLKQFHKLIQLII >CAK69753 pep:novel supercontig:GCA_000165425.1:CT868072:77813:79289:1 gene:GSPATT00038197001 transcript:CAK69753 MEINRNDVIKLILQFLQENGFKKSQEELQKESGICLNLVDSKQNLKKDIIDGKWDQVLNQ IKLFNFTGDTLLDLYELIVQELVEVNETQSAHQFFLQMIVPSLQEYKERLLKLDYLIRKP GKLSMNEIYNDNLSKEQRRSKVADRVISECIEAPACRLLELIGDSLRHLNANKIITNNKY DLFMGLGQSEQKVTQISRIEKVIKYSEKMRVNCATFTPDGQNLITGSIDGIIEVWDPTKY SVRNDIEYQVNDQYMMHNNCIINLSANNELLVSLDNNGQVKVWKLKTGKCLKIIESTHPK QIASVLLGKDPQQIFIASDYIQLFALKSGITQKQYRGHSGLIQSMFQSSEGSRIYSGALD QYFKVWDTHSGEQIYSLKLETALEKIFYIQGSVLLCPRGKQMVLLNSNFQEVKRYHSESE LISCVAQGDFAYGLGQGQLYSYEVKSGIGLKLLKLPSENMQDICIGQNVIFCYSQNGHLI FIQ >CAK69754 pep:novel supercontig:GCA_000165425.1:CT868072:79998:81579:1 gene:GSPATT00038198001 transcript:CAK69754 MGPCQSQSKLKSRKDLQQPTLIRKTDQVLTNNNPSLNLPSFSPNQEVNSEPYDDTKKNLS QLKVYRFSYKQFYSEITQGNMRLQLNQKLVQHNLTGKIRVVEQYERNQQNEEFISQLKRY PLDNPRIVTVHELFIVEEYYHLIVDYCSGGQLSNYYVDKSIIPFTEAEIASIIYQAAESL RYLEQIGLTHGFLDLNSFSRVDMSHHFQIKLSDIRGLFVKPNLNRHNVFSLPPEACIKKD QSEFDHQRDLWSLGVMAYQMICGYPPFEGNTIESVKSQIKKMAAQYNNFQFDRVSKLCRQ LIQRVLVPAKQRISLQAFMNDQWFKIRTKQNDQNLLTKLQQNKVKVSELQQLFLIFIIKN ICSADQQQLYTEFANLDENQDGQLTKDEILRVYSNRFDSKADAKLFIENIFKIADVDKSG TIDFGEFLVAITDKSGLLTEENLKTTFKMVASSNGKLTTQKLRFHFNTSVSKLDKLFLEN FNNPQNVGYSEFEALMKQLL >CAK69755 pep:novel supercontig:GCA_000165425.1:CT868072:81724:84553:1 gene:GSPATT00038199001 transcript:CAK69755 MDPYQQITPMFIKQCLLTSESYNQAKIIKQAVKDNKEQLFQTICITISEVLVLNPVNDPN PPMAKLQAVKLSKDLFDIFNKSFVHLFEIHAIQQFIYYVEFGDPKDSNRGSTIFGQNSET QIKNIGKSLVQFTSECIIAWALFFPKTPSGQTTKFVQIYQSMQSKGYKISGWNYYKENFV RSNQAIIQGEQIVQMPVDENGVILKRQLYFIKGCQQILDYMRNICINNEQWVPDMFKQYQ NEIIAKNKDVQKQIDDLRSIKREDLVKQVTEQAIIFESLEKDLLSLTSENYMEFREKYTK NKDNEAQNQVAVQKQIIQKTELHQPSGVNTIEIQQPIIVNDDSKAQYLQLEQEKQQIQEK LSNAQKEFNEYKINSQKVFQQLKDQYDLEIKSNEIERQKQYQEKQNLMNQIEKLKAQLNN GIEQQNNLMIGQLKRDIENLKQEKELKIFNFQQEINQYQQKATEFETIQQNLTLQLNLYN SQILKMQNEYQILKENQQKEKQEFQKQILESRQKEEDMQKQLIIKEEEMQKQLIIKEENY NKLYLNYQALKKENEKQKYEIQQNLQQKQISKDIKPKQLQTFPFPAFFQHFPQIQKFTSE EVPYRQKPISNENLELIFPYLSPQDYFNQKPQKTRKGKEIYLGQDINNFSYLSEKNLIFF KRRCSGTQGILNVSSELEFGLSYLTQESQNKVYFTYGLYIKNQQIAKQNLKVEFLEIESN YLCYLEFQQFQASSDVFSKQLIENQIELIEILIESKVIHLQVLTLQITLFETKSFQISIP ICICQQIIYADTNLDKFKKEWKQKNTNIVRTAQLKLNKYVRKDIQSILKLIPKSIILNFN RIQDFEQGIAAVKFGGIGKFKDISFLIKFEIQPNNTFFIYLSCQSAHTNKKDKIEQILKG YAFILQSNS >CAK69756 pep:novel supercontig:GCA_000165425.1:CT868072:84741:85636:-1 gene:GSPATT00038200001 transcript:CAK69756 MRQQQPYFIRPPNLSSRHSTNKISFLNKEETPSNSQGVRRKTPIIAQPLHSPTQFKDLHR INPNKRIPTLNSPLHDGSISKYKIINDFSVYKLPTTRRIKSDDQTSNIIPTKKQKSQHIN NIKLRTDRRKNFTPLTKMKTEPNEIATHSISKQDESQDILFRLHKQSIPTEPRDKFESIL GDKIVSGIKKIEKKTENYIELEEDSQFFLETKQNMKNKEMPALTQETKERILDLLCLSTQ DLKKKFAEHNRIGNSKINARQPNKLVIPRNGKSKFPLDFFNALLPHSIFS >CAK69757 pep:novel supercontig:GCA_000165425.1:CT868072:85665:87459:1 gene:GSPATT00038201001 transcript:CAK69757 MRFLIVNGFNASTSCAKVFDYFRNNIQNMISKQREVADTECEYHFRDRHSLDDFLYEPET SLIRMEYGLKFNSIDIVFIIASPNSRPWNPNMRKIITLIRMCLKTKKLLFCTSFGAQAIA YLCSTNFSVHASITNNHGEGCKLVDFPKYTLQALKNGPNEYFLDSTTGDLYFYCKITDEW LPKANIGLHNRRDAMEYQSIGKYIVKSPTYKPKQNMLENQSEMMCLIKKQFLHHWLFRGV AMEFGITSYNQWDIHAITFTNPDKKFNSLAEHNLRGPLIIESDNIIATMFELEPKQKDTV KILQNFIDNAVKMIRFNNNYNLISITNEKYFSGNKGLDNIELVFQQKKKVGNLNPEDLLK RQQKNFMHEYRKLLNTAIQETERDKIFHVGFSVKKDRLPDFVQQNNIQEKNYKVVRRKSF QMKKAHFLRQQSQINVGGDDDEQMRDLLEEAPRKPLPFQRVVTTANNSKRITSKKNSNPK TPNTIENQDNEFQEFEIKMINNGSVRKMLHPSLDEEFLGSNKIWVPGFLSKDKSKNNHII HSQRGQHNQNNRFHTDSLVG >CAK69758 pep:novel supercontig:GCA_000165425.1:CT868072:87497:89425:1 gene:GSPATT00038202001 transcript:CAK69758 MQKYEVLGIIGKGSYGVVLKGQNKETGEIVAIKQYIGSEEDESIKKTILREVKLLKMLNH DYIVKIKEAFRRKGKLYVVLEYVEKNLLELLEEKPNGLDPELARRFIYQLCLAIAYCHSL EILHRDIKPENLLVSDQMVLKVCDFGFARLIPQKQGQLTDYVATRWYRAPELLLGDEYGK GVDIWAIGCIMAELTDGQPLFQGQTEMDQLYLICKLLGPLTSEQREAFLKNPRYVGMKFH EITKPDTIEKKFQSKLSLKAISFIKGLLKMDPNKRMTIFEALEHPYFDGMRDDQYYQFLK ELRDKEQIPKDRIQSANKLAAKQTQQTNQQLQQLQLQQQQQQQYQVLSQQKDNLGSNKRA DKSNERKTQQKGNNNISVERVNNFVKPAQIRTGEKNTVDFNPMNQPSQESKSMPKKDVNS QLGFLQKNVIIGYQEGQFDNFLQNKMAQNYNYEIPENDLNNSIEGEKKSMNLRARAKKKS VNPDINEDDQTTIIKPKRKEQQQQLFQQQIPSYQVKKKSNQLRQNFYPTDEYSGDEQEVY QSKEILNIQKGQKQQQYNYNIGECRNTQEDQDKNLNIVYNNITYNYNINNSPGWMSKKRN >CAK69759 pep:novel supercontig:GCA_000165425.1:CT868072:89585:91230:-1 gene:GSPATT00038203001 transcript:CAK69759 MGICSAKRQKNVQIKEHGEQQTKQTLQNDYSLDIQRQVRSERSIKSIKPTASSPKQVLSK FAATPSTRQVKHMVWADQIVENAGFRGTLKTWVSIKNAQFSKHYTMLSKDQFCYRIKQLD HIVVVQHNLSGRIMVAEMIKKTDQGIQLIESIVKTQLYHPNLTRIFEIFQDSNNYQIIHD FCNGPLLSQHLHKCVYTQQQAALILKQMIEIVKHLHQYEVNHGGLTLASFQKCNQSNSNF IKLVDFKPIYLKSYISEKDIFLYMSPEAIKFPEDYTPARDVWSIGVIFYQMLTGEFPFKG NNKEEVFEEIMNYSVQEDLNSQCLPPESVDIIKRFFKFDPAKRINLNQVLNDHWLKHNIE TQYEEQKLIVKQLENNHQLSFLQCCFLQFMISTFSADQEQILYQLFGQFDVNHDGKISKQ DLTIAYIKHFSSIQEVKEHVDAVFKGIDINKNGEIDFQEFLIGVINKETLITEDNLKETF KILSDVEGFISLSKICTLYHNKKQQLKQQFSVYENNQINFNQFQQLMFDAL >CAK69760 pep:novel supercontig:GCA_000165425.1:CT868072:91577:99603:1 gene:GSPATT00038204001 transcript:CAK69760 MNVEELKEAIKRIGQCQQEQAEYTNNRSQYELDIVWQQIQHPVGFDLLFQCAKNVIEFRQ YTLFWKSANKILMHIVKLCLNNQDQLKAWETLRLTIDPDKHFYKCHELVQMDEDQFSHQE FSQQEFCANLQEGQDVDALYEDEKGNVIGWCRANIVKINEKYVWVKWHENDEKRKIARYS MDLAPYKSQVTDEEWQWRHSLNAGDIIDCFDNRVWQNATIVTALNEEDKEYVVGFRVYDE KGNQYDSQSRRFFGWNQQYDERIKAVSPRIQKRNVFSRGQPSNPHCQEENIHDCNDFLYP NTDYAVPRFQTKQARRSIVICEMINEFGRAGLFQHILDQILNKCTIDFLHSYMVVLNNFH EMLHREFVSAYVPQLFEAVQNNILLSADNNLRNFSSQKITDILQALNNLLKRVYALQKRQ EMIDRLDLDIAYKCFTSDFLERKIQGLKAIQEVIKKSKDQYNQYGMQEWQKQATTQMILE WLNEKKIFESLYVGSGNSHLVQRSAEFFKFLIEEKMISINNFKDIWNSLDKAEYEHKLAI FKLFKDVSNSLEKEWLDFLTDAVCTKDPKDVTKDDLELLLDIIKMNFRYKDEYIQKCCNY YWTMLKSGQLNQTMQEQYISYYIDQVTQYEMRPHKGAQISMIGESIVNGEQVNVGLRVLI KLIEKLDIQPAAWEQYTRNVALTDLESKFKIVEEIIKSIPELKKQKDYLEEIKVRMQFIQ FFYQNINTYEYRLTFKIISSIWEQLVCQSSNQQEKDLVYKWFSALSTQDGQSQLTSMVAI QDLKAFFNEKMTNDLIQLTEEGFNCFKTVMTAINKQDDNSFGLDVLWQMILETENEKVSQ SAIDYYLQFESTLDVLFNKLQENRNNDQKLHRCLLVLEGFIDQSEVNGVGNLKSLNALSQ GEELQIQVSYEHGNQKKFTIKINDNQTIIELRLAISKVIKQQWDSIGLNSLKGEIKFTEN GKMIKDLRLKKGEIIMVFRKQVKEIQEASLLDGDVLSEGAKQVFGEIFSEYSTDGKMSKE DCTRFVTGCTGNPCSIDDANIQRTFEQYDKDKDSILTLSDFFDFYTDSARTKKSTVWLNL QTLHYRNDLIRGDRVPLPQVNAQLLPRGQIVQNQRYLDLLFELLQNSSIEVQEKTWYLLR RLPPSPQLIKQMLTFENIQQPTDWDQILVSSHYRLLYSLYIIEFLMNQHDSNNLQALIDD QEILVLKDKWMSKFLQLGGFDKLLQFFKQYQGRSVSTLPQIEKEILSFLLKTFQNYVIAA CASNVPNLYKASRGIQIIKPLDQVLLDIRQSEDPEEFKLLVQKLKESRLGDSITEKIEKF IGVLINLIQELLKSNELEQEDRQIIEHSVIVIIVILLHNQELLTSSIENVEFINIFFSGI FTDKSDTVRNLFSRAILVLCHESQKRNNQPTKIILQQLISMQNQQANSSQYYELLSQLID SAFESEDSQQFIDYQQLAQQVIDGLVNHKSVETRQKSTVVDKVLVGLLNLLTKLYKFIKQ PIHEIIFNDCLFSLQEEKEVKCKSSESRQAAFKLLYQLSYQQNNCENILLNMQQLSQKIP VINRWNYIPSSDMRSSFGYCGIRNLRCICYMNAMLQQFYMTIPFRYGILQADDGKEPDLQ QSKTGFQFDDNVLHQLQQMFSYLELSDRVDYNPQEFCAAFKDYAGEPVNIFIQQDAQEFL NMIFDKLENLLKNTIYKNILDGVFGGKTCTQIECQNCKAIKNKDEIFYNLSVPIKNLKNL QECFDKFVQGEIISDFKCESCNQKVEVSKRQLLAQLPNVLILHLQRIVFNLDTFMNEKIN SRLEFPINLDLAQYTINQDQCTQYKLVGIVVHLGTADVGHYFSYIDIKTQNQWLEFNDHK IKEFKLKQMENECFGGQSNLEYNDNDVWGNGFRENSQNAYMLIYEKVEKDKIQLEFNSQE ELQLSLSKFENYTIQPNNVLLVDYNSFQPHIPSQYHKKVNSDNQQFLLERNLFNQEFMKF ILEISNFANSDNATVIIEILIRFNYDLLARAYDNSISEQFNTKILQLIQQYPNSNYLDLI YFGKQAKVLDLLLVCPESRTRKYFGKLLATLFNQAIQIQGEITEKISEGLDQLFLMIQDQ VPKNWTRFDQYFQFWLDFIQEGKVQISYCLKKEMILYMIDFILDKSSPLQLYEKKTQMGN AYFPINCQIPMQIISTLLQQNHKLTLQEKKLLYSPKFYDKALKSTKIEELTPIILKFAYN NRYFSEIISGCIMRGLGNGDIDEFKNYLQMAKPFLLIKDNLQIERLEWLVGIPSSKQKDS TKVYDSQLTEYPQFGLFGLTSLEEDYWTFASPLGWQNSLFDNFCSHRTIKNLDNQCLLIL KLLLMISLECETCYDYVSKLPCVNYQYKQMEEIFRAFIETYIIDTKRFYSPFPRKQETEE VKGYLDQYFTKVSQIHNEFQPQFDYIIGKTVEMNKVRKTYFLYNPNTKEETQIDEGSEGF PEIKQQIEEGNLQKVLTMEEKIYKTNVCDNLPNGTTNESLPQQYVKGTQILTYSVDPNCQ AANFLQSNAWSAESDEKATVSNPRIADTVKQIQIQNHTNRNLHVILEIKGEPNPCHYIPK SKIQSLMSSKSSTTMFTAIKNNCNQEFPPLQLTLVYKKQEPRQDSYLYLSSQEEMNLELL >CAK69761 pep:novel supercontig:GCA_000165425.1:CT868072:100679:101799:1 gene:GSPATT00038205001 transcript:CAK69761 MNKAFQRKHSDNSILKGKTQHNTQQRSPLSTKENDIKFKYPEVLRRKESKPLQVQTNQSD MRSPSAQSTQSPINKSILITHRSQSMFQDAQYIDYIITNKEVNQFLKKHFLHEHMHTNSS RNTNTKLICDSWDKVPVTYFIKALFNEYPEIIEDHHLNEKLNIKLKTLIKDLISEDGQVL SLNKLQIHTRHLGLLGLLLTALRDIQDAPTVNHNTPHNQSISHEQEISTTQQQQQQKFHK MASDMAQSAENRINELVNLKLQQFTVKQKELEKQIQQLKQKATENFDLFQHEAKNKQVIN NLTQQLQQKDQIIADLEQKLIKKWMNSSIEEEMHANKITQPKASPIKIQSPSQSPFQ >CAK69762 pep:novel supercontig:GCA_000165425.1:CT868072:101915:102943:1 gene:GSPATT00038206001 transcript:CAK69762 MPYQHFQLIPIIKTIPGFNDFIFKSQNNNHIITNFIQNCMNNDNNIILDLYYEIITLLPN LKISDQDQYLINIMQLFLKLTVTILQIKTVFNINKLKEWGTIKRQLTEQQNQFVKNLINQ IVQINQYSIKQVPQSKLWSQQQIYHDQFVSEFNNRLIENQVINNILRKQLSQIHNKQNTR LSLIIRIIQCFYYNDVEELIGLMQSIQGETDIDIYLSKLILDCDCINLILFPSLFNPKFV LKSITNLLQFKCPGQFYLLYTKQVCQIRNIDLLIDLLTKMHNSMNSDVVVKLWDVLGAIA KSATLKECKEGLQFVIRLYSNSHQATILHNIQQVQKNLAIPI >CAK69763 pep:novel supercontig:GCA_000165425.1:CT868072:102983:104160:1 gene:GSPATT00038207001 transcript:CAK69763 MNILNQHQNAFPHLLPIIDSMRVYQEMKLWKQLSDQLLVYIKDPQVNQGTHLKDLFEGFV KKFYQEIDEMKLVRILIKTAEQYGDFQSRIDFLKQFKLDEQPQLVIEILIAFFKLQQGQL QEVDELLKQYKQKSEKLQEVDPLVYSMLYYLAYNFYKIKNVYEEFYVNALQYLAYTNDQD MLQEQKVQLSYEMALAVLISPNIYNFSELLQQPVLVSLKESAQYTWVYQLLDIFNRGSVR ELNNFQWNEERKRVIPNFQVLNEKIRIMAFLELAFSLPKNNRVCTFEELSQVSELPLSDI ERLVMRTISKGLVKGRINQVKQTITISYVVPRVLTLDKIEIINKKFGNWEKSLNVFLKEV EDVRKTFN >CAK69764 pep:novel supercontig:GCA_000165425.1:CT868072:104279:104903:-1 gene:GSPATT00038208001 transcript:CAK69764 MKSTISSISSISNNCRAFCKSEQSITPMRVPYKGGSQLNCLSKKSYHCGNLIESILIEYK QLCQWVIHEIALKLDQNQIISKINTQLSQFERFLSKKLDNYTQEISTLKMIHSENQITIN QLNVSNEDLKVKLKQVSNNVSDQFSTYTPLKKKQNYSIELITKQPLRNISYSVQEPGHDK ENIDISLKKKSSHKPKFRI >CAK69765 pep:novel supercontig:GCA_000165425.1:CT868072:105221:106183:1 gene:GSPATT00038209001 transcript:CAK69765 MAASLHIQFYLDKLMKIDHDLGGTAAAQKKTGDDFISLKDSIHNLLFKLRSKIEEKHKYA NVQRNQDAIKLDIEIRDLITDIERLLDRLNEALRKQSKDKKKYPEQNIQAKQKAYSNYRQ QLYDLQQLLDPNAVFDQQQTNNSVETVSTLKMKLMDQDGRKPPPSQQMSQEEKDAIQRWK QNDELMDKQLDNLVDGTQKWKENAMAIGGKIDHTSKQIDHLTVKVDETNAELYKQNSQLK KIVEKYRQPNKFCLDMVLVFILIGLCGVIYVILK >CAK69766 pep:novel supercontig:GCA_000165425.1:CT868072:106333:106663:-1 gene:GSPATT00038210001 transcript:CAK69766 MVLVTQPYLRSKELGEFFRQDWFDKDKLSDLHYYKHSSIYMHIFEQYPLLNEPYEEVIQQ YPESIQDFFERFSEDYKRMREHFSKKHILVVTHGYGVHAITCR >CAK69767 pep:novel supercontig:GCA_000165425.1:CT868072:107339:107843:-1 gene:GSPATT00038211001 transcript:CAK69767 MIINTLDDKQVNIPVPILQKFSKTNSQIQENSRNKTIFNFKVESVIVIKVVDFLQKLLTS EISIIPKPVPNGKIETYLNEIECNFLKSITPIERQTILKLADDLGLEHLSNLMLASFACE FVGCTTQEFLKKCNINVEYTPELEKEIQKQFDTIIKRN >CAK69768 pep:novel supercontig:GCA_000165425.1:CT868072:108013:109570:1 gene:GSPATT00038212001 transcript:CAK69768 MGSCTQKLKKANQENLQRKHMQKTLQVQHSKNQSIQTQESVQEKKKKDKFLQTDQSLNIH NFILIQTCKLSKVYSIVSNNIKDNQVQTQNKSYIENMQIIQNNLTGRIRVMETLKLDEKQ TKQYMDNIIANPLSHPNIAQTHEMYQDDETIHIIHDYCSGGRLSTLFVKLGQGISEHIAL KILVQMIESLNYLHMKGFNHGHLTQNSFSRTDESENYFIKLTDIKPIYLKPQVTFEQLQF FAPEVIDNDQDYSIARDVWSIGIIFYKLLTGNLPYSSTQSSKLKQEIRKGILQFSDLQFD KVSTRAKELLSKLLSFNPSIRSDLNQIIKSPMYRKLVSQTKQISQQSVNALTNIKPANVI QSLFLQFLVSQFCQNQQNEIYQMFNKYDQNGDAKLNRTEICELLYQQLRSKEQAQKHVDL IFQAIDTDHNGTIDCDEFIRCIVDRQALITTPNLKAIFKILSNGKGSIHKKRFMQCFQVD QKQTDEMFASITKSKRITFKVFSKTMMELV >CAK69769 pep:novel supercontig:GCA_000165425.1:CT868072:109617:110404:1 gene:GSPATT00038213001 transcript:CAK69769 MEEPLIQNEEIESKIPNEKVMTRLIKGEFRFERRQYIFLNVNTVNLVLTIYNLIGPINIE AQKSLIFYEDTRVPLNDQDFTLMNLLNALIVVHLLRIFTCLLGFFSVSQKSGKIMTYFMI MSTVCVLCRGIISLLIVLNYTAIKDTCNLIFGGGTDDIGSLMAMQFLVVLILFVIAEILL ALISLIQCSKTKEEYRIWIKHKEKMMKNYELCYEVAICQLDE >CAK69770 pep:novel supercontig:GCA_000165425.1:CT868072:110753:112288:1 gene:GSPATT00038214001 transcript:CAK69770 MGNCSTKKKVKKDVEVLVSTQGPEEKPRIDRKQQKSYTFTPILARSLLPDTVNESVISIR STKKFIDSSKQQIQIRAGLLRVYTILGAKPDSYLEKLDDKQIRIVQHNISGIKKRVETIN KFDTSTQNFILKIQKTRLQNDYICPITNIYEDSDKYYLLSDYCSGGSLAALKGKLQDSQV TILLNQMVTAISYLHSKKMVHGKLSLDSFHLLSDLNSLFCKMVDANSLFYGKEQVKIEPS LEDYADDVYALGTIGYQLLTGLIPYQIKNYKQQEDGENELILYFSEGTSTTLKSILKKML DKNRSERITLEEVKRQLSKNDMRHKYSDFLIKPLYYLSKCKQRNYFHVVILAFMLNKFNQ EEECILQKIFNDADLDQDGLLMKDDIIRLYKSIVEYETINVDIQQLFQKLDVKNKEALDI KEFISAALNLDELLSQTYLEACFKYLQNQRGQITCNSVKKHIEINEQLFNSTLEDINGQF KVNYTEFTEIMRQLQ >CAK69771 pep:novel supercontig:GCA_000165425.1:CT868072:112290:112784:-1 gene:GSPATT00038215001 transcript:CAK69771 MGCVQQKQSKRQDALYADQADKDKGPNGINSQQQTDLIQKNYSPRKQKGCIPNKTQSYYV KKIHSRSKKAIRRLIIDFYLSIFIQKQLLFLFRGFLIYSIKKPYQMWQISRIPKFSLIQV ASFRNYSPNKLQLTVQLNIYIKKPQYQCIQSNINQIQTLLQSIL >CAK69772 pep:novel supercontig:GCA_000165425.1:CT868072:113049:113300:1 gene:GSPATT00038216001 transcript:CAK69772 MNERLDTQDQTDTSILGKDKKSIRRNRLRLDKFNHPIIKGVKGKRIVFRDAIEGRNLCDV YLVQRYIEKKEQNMKCRCACSIQ >CAK69773 pep:novel supercontig:GCA_000165425.1:CT868072:113431:115416:-1 gene:GSPATT00038217001 transcript:CAK69773 MTEEIESHIMQKYEVLQKMGKGAYGVVWKAIDRKTKQTVALKKVFDAFHNATDAQRTFRE VMFLQEMLHDNVIRMTNIHRADNNKDLYIVFDFMETDLHAVIRGGILEEIHQRYIIYQIL KALKYIHSAEIIHRDLKPSNVLLDAECNVKVADFGLARSLLNQIDETSILTEYVATRWYR APEILLGSTQYTKAVDMWSVGCILGEMINGKPIFPGSSTLNQIERVLEVIGRPTTSELES VQAPLASQIVNNIPKGQRIGFTNYFPKATPQALDLIRRLLSFNPSQRISVEEALRHPYVG AFHHDNQESTTNPIIISMDDNKKFSIKEYREALYLEISKKYETKYENKFENQFKSTDQNQ QERKRTQSFQHASKKPNAPSNSTLPSEQPIIKQQDPNVQQHVSQNYLKEYLSNCSKDYLG QQQQQSVNMSKEFISGQLCKEMNKENQKSKNVVDISINKIERDNSISKKQIMSPWTQPNQ KPQITTQQQRPPSVSVYATLNQASSNQLKSQIPQQLQNNNAAVAQKRISAKMPTSGTSTS FYIPSDKANSSFNYGKKISKENSFLGDHNISTQSNIPQPQQQQMHQKTMSMNYQTYLMQK GISDQRSKQTIVNHQRTQSQLGANNSVLINRCKEFLQNMQAIKK >CAK69774 pep:novel supercontig:GCA_000165425.1:CT868072:115446:116282:1 gene:GSPATT00038218001 transcript:CAK69774 MKKHKSYINYEDIIDKPTPLINMQQSFNLNVNVKQLKQQQSSPHIPLINPKKPYLQSRTN NRNSLPVIRSAMQSQIMDRDTQFNQKEHEKLKTDYENLKIEYSKQESLVQQLMNENGLLK SLNADLKNQVDDLKINIKRYQQNDEAAYQQYLSNQQELIIKELKSKINQLSEKLILKEKQ ESQPANYLLYVNEIQSLCLDLKRHLECKYCGKELKDPVTLIPCAHSYCKGCRKGYSNDCF LCGKELKLEAVYLNQFMIDMMALYKRNIGLIEQLKNNL >CAK69775 pep:novel supercontig:GCA_000165425.1:CT868072:117198:127291:-1 gene:GSPATT00038219001 transcript:CAK69775 MMASSLIQQILLIIVQQYVLMDISDKQCDPGCKTCKGSTQSDCIICSAGRFNYLGDCIAT CPAGTFLDTVNMRCDTCSQGCSTCTSIGMGNCTTCDFGYLFYNKGCYISCPTGSYKTGTT CTSCISNCSVCNDSISCQRCNDSTFYTGILCTTSCLSNQYGDTLTRTCKQCDPSCLTCFG AKIDNCQSCNTTFLYSNSCNQYCPDGYYPDTTTKTCKTCISTCATCINSTSCASCTQGSF LTGTPLLCQTACPAGFYGDAGTRTCRGCFAGCKTCFGTTADKCQSCLASASPRLFYFNYS CNQTCPDGTYANTSNSNCDSCHQFCGKCIDASAKCTLCTTNRFMSPLSADINSPCITVCP YQYYGDQITRTCQLCATNCRSCTSSSANSCTACMNKNINNYQVNYYLSLNSCVTQCPTQS VPNQDGTSTDQQLYGDIVSDLLSYNCVSKCPPLTYRNNSIMVCDKCHVSCRSCEGNLSNQ CLACFTGFYLFEGTCSSGCPSGTYLYSVTSSCIKCNSRCKECDGPNATDCTECSAPLVKQ DRECLDSCNDGYVVVDQVCVSCHYTCVQCIGNDIQECTSCSLELYLQKLQESDSSGKCLQ NCNTSYYPDTVDNKCKLCHTTCLTCQGPEENDCLTCSGTLMFMGGICSDTCIDGYYLNVD QCNQCNLSCNTCSGPSTNQCLSCSDKLFLFNSQCLISCIEGYFQNETTHSCDKCMENCLT CIDGTTCNACDTSKFFLFEDNCYNYCASGYYFDSTQKNCQSCNSSCITCTGPDNNQCLSC ETDYFLKVSLNADTGVYDWTCEQQCGEFYSPDIQSSVCLFDTCDSSCSTCLNDQPTACRS CNHLILSNSRCLNNCQDGYFVENNSCVLCNRLCKTCNDNSTICPSCSDIALRVDDSYCVS TCPEKYIKHATQPICVGCVPNCQNCVYDEKLLGSTKCLKCDTDYFIDQTYDTNKVLKNVQ CYKICPDGKYNNLDSYQCSNCDWTCKTCLDSTSLDCTKCGTVTHPKTGATQIRYMENDVC RITCQAGSYAYADSINGNICLICNATCKTCFGVLDTNCSSCYSTTYLSATGQCVKECSTG YFSNDSNQKCEKCYQGCSKCDGVKSTQCIACADGYYLYKDTCQTGCPDEYFKNGNVCTNC DKFCFNCTGKESNQCTSCPTDLYFYPNKNTCYIECPVRSFLNPSTFQCQDCHTSCLTCTD DKETSCLSCPQKQTNTDIQTYLLNGKCIQDCGNHKYGDPDSLICQECSIQCNSCQTKSNN CTGGCPSNRLTVPQCDCPNGYLIDSHTADCEQCYYKCSTCKEAFINCLKCAANRNQDAPF CSCPPGYFDDEDNKNAVCQPCAWQCATCQRKSDVCITCKGDRVGQSCVCPAGKYEDSSAQ KEMCSICDPTCSTCAVKANYCITCKGNRYSTILPDNPIYKLCLCSNGLFEDGKSTNCPQC DFKCTSCTSSSSNCLTCRGDRILTEQCTCDSGYFDDDVNDKCQKCDLQCVTCDKNGCLTC AGNREGPDIVKICNCPTTGIDRRQHGYSECGTCEYGVPYIKMKDSLDSMTINLGGIISIK GINDPTIPSRDVCQKLFNDDDFAKLGSGKPLCNVDPNNQKQALIVFGNNAQFLIGGLLTL NLKSVIGRKDCQGIFYTNFLAAKINGPDAPAAAYVKFTGPQYSNLCSKIIFFPSQIFNDG GRGLNMKSWSIVSIEPENSSVRGRIQGLFDTANAAKSSKIEIPPLLLSEYSKYVFKYTFT NFLNTEFSSDYQVSTTTYESPFVSIEELAPHVYYTNARIKLVGTIIHQSCLSGTTEIIAS TISYEWMSNKAKKGTVESYLLQDTTALTGVKAPDVQFVQLDIPPYSGKGGFQYQVTLVAT LTTKPISANYTVDITLLNQGLQVEIEGGNRMNGYAVPLRVNGWAKDPNIKTDQSVGIELV WKCVNLNTNLPCSDVYDEEIPLNRTTSQFVPAKRLVPYNAYNFYLNGTKGELFEVAQAVI VIVELDIPVLELKRPEYLTSKRVNMNQEISIKFLYPTKNPDSLYYGGAIVYDFNVVATLR FYFTSITIKFWDSFYDLTDLAQLGFRASVYNPQFFMPSTTTLLININLPPRNCKIKVSPT SGLSFDTQFTIKVVDCEDSDSPFTYKFTLYYSPTQYDNDILKAASLNQILLLDYSIDDEL NTILPNPLSDSAVTQPFIVLMASVSDSLGALTNLTSSVVVGLNKADLRHKLQVAEFLKKR ESQEDKYSPLPYFFRSRQLAEIVAATDRFILLYQNRTTLTIPEQINLLNLLAVGVKDITI DNPTSDQDTNETAIVNAEQSKLVTLKQNILASLKELQSQDVSVATKSALTKSIEELMNDE DIQLSMSSANMDAELDKADSIVSASTNGVSDLQGDIENGNVDFKSFRIKENLQNDIMRTA GLLNGLLAAGQNQMDSIDSTSTTASTNSTNSTDSNSTSDADEAWQKMKENNAKMFKVQES VKFGLTQTADPNAPPKVFSGGSFSMKSSIATPSKMAEYLAGADPTKVKKDIKISDDDESS ASDDTSISTVTYNQAEFNNNPYATDDSFPSRSVPASVQNIEPKLANGSVIVPKQPIPMSF GVTAAKKAARLRRLRRLYGRRNMQYYQDLYELDEEPESQAIFCIGKGADGSWSAGGGNCK SVTLVDATGAVTVTCQCEELSPTSVGDAIADALAFDKFAKAFSMEALLALLNFPFYKSVI VYALAIMTIALVFSVRYGFKKDREDYEKKLLAPHFVESEYIRIKAEENQSKRSEQQKLKM EKQKEIEQKLQQEYEEQLRLEQEQQKQLEEQQDNPERQINTSVQFPLQEEAPVDIKMVQP DIEPEELMKIRQMDEIKGEQNANPPLQSEPTYRSDSDDSDKPPNQVVYDEEDSENSDNME SIYEVPENILDVKPGEKQKEPEIAKYFKQLEPEFSKSSVSIATIKSKNVSNQQQQQQQSQ QQKSQSQQQKSQSQQQSQILQDQQQQQFKSESIADLEQFNLELEKQFQQQEQKQQQQLYA DQPLQYDMGDSQQYELHPELKESPILNRRDNMTPPFCQELQSNDLPQLQDDDGCALSAIK VTDVNQPAQNNQEDSFEKDVKEAGQSEIIEPKQKKKSTMRRRKRNKSKHTDKAKEKEKEK DKDLLDVSNEEENLQEEPEPTYEIKEQIVQQWHRDYKRKKFLESGCQLKFTLANVLLFMA LFHKLLCIFLIFERRLPRPIRFCVLYMTILNTCYINIFFQVPLEPLQSVIFSIFSAILSN FEMFVILFLMPHKVFVVRQIGWGIFIGLTTLLIYFILIAMALEASDSGGDISRSNMWGLN FIMGFLNTHCVSDPIKLLIVFKAVQLSLSGATGLLQTILVKIFVNPATNIFFEMIEV >CAK69776 pep:novel supercontig:GCA_000165425.1:CT868072:127325:133521:-1 gene:GSPATT00038220001 transcript:CAK69776 MCYMLWISSFSIVQLANNQIILTEQPANIVLHNASPLVNSQMALQNVTLVLLATLVMLVT NVLRPVRLGIDTSTKCTSCIIGYYLNGQTCSQCDLHCVTCADTTGYCLSCDSQYVVNEFN KCATCSNCTCETGQYYDSTELKCKSCDTTCTKCSGIKSNCQACTAGFYLDSSTCKNCIAP CNLCTASDICTNCNSGYYLNNYICQPCQSPCINCLIAGNTNCSLCQTGYYLDGQICKLCD SACKKCSNSSNNCSECQIGYYLNGSNCSQCSNRCNACTLGTQCTSCDTGYEIVAGQCVAC GNNQYYLNLKCQSCQSPCYSCEQTSSQCTSCIDGYYLSGQQCLLCIQPCINCISQTDCSS CISGKYLSNKTCVTCEQPCTSCTSSGTTSCQSCQPGYYLENSLCILCSTTLNSCLVCSSK IACNTCDSTHYLNNGQCLQCSTSCKSCSDQSTCTSCIDTKYLESNTCKSCQSPCLNCITS STNCLSCVDSYYLNSNSCIKCTSPCQNCSNDSTCLSCVPGYYFSNGLCSKCDNACQLCSG SATSCSSCQSGYYLSGTSCISCVSPCSTCSTQSSCNSCVNTSYYYANNSCIACIAPCLTC SGQTSCLSCTNGYYQDQTSCLQCVSPCQNCSSAQVCSTCIDTYYLNGTVCTKCPIKCKDT CNFANSQVQCGSCADGFYISNSDCVQCSSSCKTCQNADTQCTTCLKGKYLSNSQCLTCST NCMECEANTGNCTLCSNGYSINAFYQCAQCTTCNCPDGQYYDQGQNKCFACDAKCGSCFG GLISQCTKCINGYYIQDSTCTLCQAPCINCTSAINCLSCISTTYLSGTSCLTCQSPCVKC QNAGNTNCQECITGYYLNGTVCAQCDAKCKTCTTSTTCQSCADGYYLSGSTCVQCPTGCT SCTNDSTCTSCSSNYYLDGICKQCSVGQFISNNSCAQCQSPCVSCVTNASNCLSCIDYYY KPTNLNTCQQCVSPCKLCSSDVACLSCQNGYYYTSSNNSCTTCSSPCLTCLTAGNTNCQS CMAGFYLDGLICKDCTQVSYCLECSSSSICTKCDSTHYISNGQCLTCQPRCNTCNNSTTC NSCLSGKYLNSSNTCSTCQSPCLTCSGTDGLTCSSCETKYYLNVSSCTSSAASCSSCVDG TYLNGQECLSCNFACTKCSGFASTCSSCASGYYLNGTSCLGCTSPCGSCVDTSTKCLSCN TLTKYLDNNLCQNCVSPCQTCSSASYCLTCISTYYLTAQNTCTQCVSPCVTCSTQTSCLS CLDGYYLSGTQCLICDSNCKTCWNRADYCMTCTSRQYLTADNKCAACTSPCSSCVNSATS CTSCLDLYYYEANSCKRCISPCWTCDSATVCKLCLSGKYYDQTQKNCLDCDKTSCITCTG TATTCLSCASGRYLENNTCKTCDPKCVTCTSLTTCQSCSVGYYYNGSECLACTLPCIECN SGSICTKCQNDLYKLSLSQCISCSLPCKTCDQDVCKSCVNKYYFDSAEVDTTKKCKLCVS PCDQCTDMASCTTCVSGYYLDGTSCIKCTNNCNTCETATKCFTCVSNSYYLTTSNTCLSC SNMDAACMTCSNLNKCLTCKDGFFIYNLTQNGVTTSTCQPCSVKCASCSQSLSQCSKCAG NRQGTPQCTTCPYGYFDSGLLNCEQCNTKYCITCTQSAKNCSVCANQRIQPPTCYCRPGT YTSGDDCISCMANCSSCTTSNQCTLCNTGYYYKQNWDGLGNNICTNTCGDSFFQDVNNQQ CIKCPISNCKVCTSTTDTGCISCLPSIAQTVSDSMNNVCTSTTCSLYLQQQRQCLIQCLP GYYKNLDFTCTICDNACKQCLDTATACTECYPNMYLQYTAGQQVKGQCIPECQTTFYQKA SQTPTVSGGICNSCHSTMQWIALDDQETSCISCQAGRYLLNKKCLTSCGENVGYVANSDT NKCDQCAQNCTSCTSISAQRCTKCSPNYFFFQNQCLTQCSTGYYGDANKVCQACNSSCLT CDGPLENNCLSCGASIFYLVQEKRCTTLCPDRFYGKTDTFRLAIVVLMVV >CAK69777 pep:novel supercontig:GCA_000165425.1:CT868072:133552:134466:-1 gene:GSPATT00038221001 transcript:CAK69777 MDNTTCVICSPHCNNCESGTQCNSCDSGYQIVNGQCEACTSTQYYSSGHCYDCSTTCKSC LNSDSNCTTCNSGRYLEGNQCLPCASQCVECESSSQCTICNPGYWLNNSTCTKCNDKCTT CTSETTCSSCTDGYYIEGTSCQTCPTGCTKCNSASECTSCQSNYYLDGTCKQCSPGSFIS GNGCSACNSLCATCETSATHCLSCLSKYFLNNNSCSQCINHCDTCESENVCSTCLVGYYY IDSSKTCEACQSPCTQMLGIWKYKLLKVCQWLLLGIKQLSCLQLTVPKLFKCFNMRNLCR WTLL >CAK69778 pep:novel supercontig:GCA_000165425.1:CT868072:134556:138406:-1 gene:GSPATT00038222001 transcript:CAK69778 PHCNNCESGTQCNSCDSGYQIVNGQCEACTSTQYYSSGHCYDCSTTCKSCLNSDSNCTTC NSGRYLEGNQCLPCASQCVECESSSQCTICNPGYWLNNSTCTKCNDKCTTCTSETTCSSC TDGYYIEGTSCQTCPTGCTKCNSASECTSCQSNYYLDGTCKQCSPGSFISGNGCSACNSL CATCETSATHCLSCLSKYFLNNNSCSQCINHCDTCESENVCSTCLVGYYYIDSSKTCEAC QSPCTQCSVSGNTNCQKCVNGYYLESNSCHACNSPCLNCLNASTCETCVDGHYFDGAQCQ LCAQECATCSGSAASQCSTCKQSNYLDGTTCKHCPAQCQSTCQFLNGSVKCDACASGYFG DACDQCSTTCKTCIDTSTKCTSCIIGYYLNGQTCSQCDLHCVTCADTTGYCLSCDSQYQF YYIYQTLACSNCTCETGQYYDSGQTKCMACNSTCTSCVGISTNCQSCASEFYLNNSTCTD CPDPCLTCSAINTCQSCIVGYYLDTTTCKLCQSPCVACSNTENCSSCVIGYYLDGSTCTQ CNSSCTKCSGSSINCSICKVGYYLDNTTCVICSPHCNNCESGTQCNSCXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXS >CAK73554 pep:novel supercontig:GCA_000165425.1:CT868158:10:396:1 gene:GSPATT00038904001 transcript:CAK73554 MTDTNRSNNQKNNNTQQKDTQEQQEQKKIETLNNSKDIQKKEDQLEKQKSLKQENQKEQP LQKEPEEVEENYEDDNYEEEFNQEKEQEQEQKQEQEQEQEQEQDQEQQENEHQSEQKDNK ENNEEEYQ >CAK73555 pep:novel supercontig:GCA_000165425.1:CT868158:446:2636:-1 gene:GSPATT00038905001 transcript:CAK73555 MNKVIQCRLGFGNKLLKGINKEIQQLQQQLWENNLNKVFHKSNGVPKNFMDKIKRMKMGK SNKKCSICCNEFQKGIDFLAPLQTYISRKLLQILAIKQPQVSKLQIRYSRTDKEGSIMRQ PSQIHGIKNIYLFSQLYNITLSKMSYMEILSMQKSFLDKNGISLDDYRNTFIRKTYTLLL LEYICFCGVSLLGKYIYQYEKQWIFWGLIIICQLCHFYFEYSASLAENQNKKIWNTLASV IYLICGSFAFLFIWTLLGVNFEDQLWRIYLQIGGVILLLNFYSNMTNTHFQGEECWLIVL ITPISIEFILNIFVGIYSPLFQTFVTMIITWLSSQMLQITQKYKFQKEFAFDDIQVLCCM LLGVQFQLLVGLTKSIIKNQNGQNDLSIGSYEIKLKYLKQISSFFSIIILILQISLMFGC GYQPQCFSHFLIKPKGEDYSFSALFWVSLAFSLILYPLILIFHRRINYIIKWVLVIIEIF FYSLILIGITSFMVMQQDNTTDDQSQTQLKEYLLCIALSMFTGIGIGFEAYSFIKKENIE NKKAFFFMMICPIIIFISQIQFAIMQFQAIVITYLIGISCVFVAYAIVVLLELNWQLHKD SIDINAKEYQLGAMLIYQPIQTIIARICTLFI >CAK73556 pep:novel supercontig:GCA_000165425.1:CT868158:2913:3815:-1 gene:GSPATT00038906001 transcript:CAK73556 MIRIFLIRHGQSLYQSLKIVSGMNEYGLSGLGKVQCKNIGKKLSSIRFDYAYSSDFKRCI ESYEIIEDELKHKPSNVIYTPILRERDPGSDLLKSYSEVYPLQSQTMVDRENNAETSEEI QIRAQGFFNQLITQQLYQQSFQKLPLDSLNKMILSSQVAEPHYSHHIMRSYIHQAQQFSS SQKSTSCTNILAISHAGFITEAIQFLYKHQNIKYTDGLQPAVYARNGALFLLEIEAQNLD DWKCNIYLRNYSKSIDAPFESII >CAK73557 pep:novel supercontig:GCA_000165425.1:CT868158:3860:6875:-1 gene:GSPATT00038907001 transcript:CAK73557 MLLKTTQGNMSAWSNLKQQSNLLVTAVNDQWGSQLQFNSFNLAQKNTSTSIIGTVSSKDA VSCLAWENFAPETYPMGLVAAGTTEGYVALYDANSAINQEEESCVAMIDQLYEGPVTAIE FNEFKPNLIALGGQDVLVINYNIFQIADIIKDIQNPQVFGPGNPNLHEDSSITAVSWNKK ILHILASASQNGMTGVWDLRNNKPIFSFQDSSAISNKKVSLLWNPEIPTQIAVAYDDERA PELQIWDLRNPQGPTIVFSQVHRSGINSLSWCPNDHSLLLTGGRDGQVVCWNYKTQSVVS QEQLDFEIADLKWSKRVTSVYSISSADGQTSIRSLPSGKEGYAPKWLKPPVGSAYAFDQL ASFKENNSAIQTYQIAKTDPDNIFESFNAFCSVDSEQNRILLEMEKQHDQQYTQYWKLIN SVQKEDKKEYLATLGFSVKEITQQTENYTGKRHAKKDDQNKKKNQAPQRAAIDFATITDQ DADSFFNDLTNQSLNKQQQTNNSRNQDVEIFQSEQVSKNTNWNVGVEKIIKDNLIVGNYE GAIDCALKCGRSAEALLLAYSQSKEIFEQTMNTFLTTQTDYFLKNVLKHIVLKHPDEIAK NYELNTWKECAAMVLNSDNTGQFHKIMEQLGDRFIVERKDEFNALQCYILAMNGEKCIKI LTNHNNSLKELTEAIKLLIVIQQYSLQESEIFDAIFDRLLLQFANSAIQHNQYLSAAYAL NFSSPDCQRALELLDLIYKGNHKDRSIIQKIMDKPTFPFKYEQIKMGIVKQTQAQPVHAP NQPVSRPTRPAVNQHQEDHNVKQQTQQNSNQNASAINNPFGPNVAKVAEEPVPPPNRRQV QKPAPPVKTAPPPNQPKKQEAQNIQAPPFVQEPFRQEQQQTQVVPPPQPPPKHHVAPPPP PPQPQPQPNLQQTLPQQPFQQQQYQQQSNQQQQWQQEQQQQNNQQQIFNQRTQQNAVQEI KQPTQQGKGVPPPPAPQRRGPPPPPKKQ >CAK73558 pep:novel supercontig:GCA_000165425.1:CT868158:6981:7843:-1 gene:GSPATT00038908001 transcript:CAK73558 MYILKFGSRFSSQKSYDYLYGINPVMSALYANKRSFTQLYVNQTQQNDYINPRVSNILNR AQSLKLDVQMIPKNKLERYCSNDHHQNVILKCSKLNYCNQLPDESNFVLLDSVQDPQNFG AILRVCFFLGINTVIVEKKGQCPLSPTVSKTSAGALELMNIFETDNLANFVKQRKHEFQV IGSGFESNSIPIDEFQKDQNKPKKIIIFGSESSGIRTELFKQCDTIVNIMTSRHTFPETL VDSLNVSVSAGIILQSVLNSK >CAK73559 pep:novel supercontig:GCA_000165425.1:CT868158:7865:8323:1 gene:GSPATT00038909001 transcript:CAK73559 MPLYELIAICRCSQAQGTAAAIRQLSVTIFQGGGNIRNVRILGDRIMSKQIIGNDGFRHL IGRYVSVLYDGHPSKFREVLKGGDKSFEIFRLQHYRVKDFIPEAVGFTKPFEEEAPFVKN KSVQSYDYGRALQILREEKQKLS >CAK73560 pep:novel supercontig:GCA_000165425.1:CT868158:8355:11385:1 gene:GSPATT00038910001 transcript:CAK73560 MLFLLLLPLLTYGLNTKAKCIIDPLTNSCDHLTIYFYPSYPIRINSIIQLTLPFTIQGQE QLLLTSFDESTVALLTLTGSTHFTVTQILPENTWYKCNLTLSTVLSKKQKGIGQFQMNIV ASEYSNTLILEQGPQIPLTYSVINQIYNPPSITPIATVIPSSSGTLCVTSDWGFTLNKNI VRQQQSMTFSLSYDTTNDRLDVILTMVDLNSGFIFHRECYFSGPEVAKFATECTLKQTGS KLTFQLRSQNSVLLKQQTEYLIEFFVSHQGDTITASTFTVEIYHDTQLIDQCQSTKTFLI DETDMPTSLFQISEVELYLDTPSIGVPTFMGVAFRPKNIQIDTLIRIELGFLSYDQLNCQ VFQLEIDTLMPSLSFSHYSYDSQNLYFYSNKYKPDQIQYKYSVNCTGITILQTTNKIFRV IYEDMYHTIIQYFQIRQPPRLPTDTQTQQASLQIVKNIELISKKFNNPLVSSIISIKIVP IYGIYRVLIEFPSSYTTNIIKCVINETSSVFCDQDSLNPKRLIVYLTKYHDSELIKDPFN LTVYGMINPLELNYNSRICVILDDDNILTPLLFGNEDNLNGITQFEYLDDYVNTNIYKQL STSDFRFSQSQARAYDQYLIGNITFSMGSINEINKLYLRISDQYTIYELNCQLIKVGDQQ LNNYISACNQNQNYIEMDLITDTGNIRFSQQYYLKISNFRTQDLISIDQYAVLQPMIQLF LAYDDNIISLENQVNGSFVMKNQKIPFYWYNLDANDQFTRLEVQPGFEDTKTYKIYLNHF GLERLYLGLGDVSDRFNCTINLQLPTIHEDIIVFGQSSKYAKQSFSSQTITQPKYIVKSM TDDTFYETIKDTELVINPGDSGFIFSVASKSLINIKIRVQIKYTISFPDRNSWNPIYYYN PFTEIPVLYLEIINKGCELIPQKSTYDLPINGYTHPIIIDSSECIPIFDTKLNVTIQDNN KLQLVPIQMSISRYRFELIKRTTRKAPEYSYIFQIKATNETLQ >CAK86295 pep:novel supercontig:GCA_000165425.1:CT868567:181:2185:1 gene:GSPATT00039751001 transcript:CAK86295 MIALQWFIFQEEIIYNLNKNAQSVVKSYDLILKGIRKLLKSCLVYIRTDAFKCLYILQTT ASLSKVIFSFHLLNQGRFMKCDLQQELLDISDELNQQMEIEKNDLIQNQMELYLFLTKTS FEISPNNSNEREEILKGCLSGIIRSIIDMKPNEELFESLFQGACHLYNLYAVSNNRKQFE VYFQIDMLQWEIINYFKNEKLQNLDEIILHVQEIHDKIVKNSNVWKYHYLWVQMIGKILQ YNPLLTKEKLSQIINSFNQGLKPDQIWKEYQRKGILIQMNNRNDQAVIQLHQLQNNQLSQ IDRKILETCFKEWEVFLLLKDYLINEQYQNIPFTFGSYLNSKLAIELKEIKKNEIIFAIK NIKRFLGFMIPNKLLTLIKQNDEKLEEIIKMFRNFTKDKLYNESMTLIISQQQIIKMIQN LEEYFQNIQYIIKIMMLNSGKLKQRNESNDFDNLNTLLGIQEILELYCLEVERHQNINDD NNVIQEMRRLILEEFKIEEHKLSFLKLPYQNTIKIVEEAEKLIEIYKKEIQNLEIDLLQN NLFQYFQNKSNEILSTFSEFNLFLKEIMILMYQIMGVPQINELKKIQKALADQHLLKFLE NLRHNNLKLKNLFQIYQKKPNQKNQQDCQRLQILTSFCSKQLKIFQKVG >CAK62064 pep:novel supercontig:GCA_000165425.1:CT868018:1361:2670:1 gene:GSPATT00032296001 transcript:CAK62064 MGNYFNQPASPNQALLQFLRQLPLSEIQKVSKYVQQNYPATNVLSLGEFFDVYHDILKQH CGEMFETLENNHSTDGLTDIYESQAVLIIFSDTDFATKVKYISQVFDLDKSQKLEKIELI MIFQTAIRALCKIVNMKPPGFTDIEYYVEAIFTEMDKNLDRTISYEELYSWLDNNYQLQD FLLKYALTQTNQNAKRRTQEIFNSKALFNPDQDQWIQDYIHQAEESYCQLIQRKKLVSDR VKDEINYAWSAFKATDINNDGSISVRELSYLIYGFDGTVVKETKKRFGQLDIDKSGSISL KEWFNYLQLDQGVEICKSIVKRYFLKRDTNNLSSLNNQQSCQVLRDTLSNDGFELYFKGF ESYINEHSTLDKMSIQQLQDFVEGPMKRIIQDKQELMEKEKERKQAQNEKII >CAK62065 pep:novel supercontig:GCA_000165425.1:CT868018:3006:3488:-1 gene:GSPATT00032297001 transcript:CAK62065 MTEKYWQRYKETHDKDPLNTNELWVRVKQDLKRREKPKHHLELYSYCRTENPYIKQIKSS PNITMETVAELICRQSACELQYCMSLQKLAVENSRSKIEQQQNAIKQFIXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXM >CAK62066 pep:novel supercontig:GCA_000165425.1:CT868018:3533:5346:-1 gene:GSPATT00032298001 transcript:CAK62066 MEDQDQMLLKSLQLQGIQISTPALPQLTCDDLVSIFLQFFQLSGTQAFEISSIKSIKSSF RKVGKIQEMLYPIGIRIDIQSIVNPNPQESRKVIVSLLSKLSQQNKKETGAKHLTFEERL QEEKATYRTNQIQNFFQEWVNPSLCSFPEIEQRFLRLHVLNILKKEPLIQQVANKGVRKH LYHSIVRVMDHHLQKKEVDQPLQERLQLIKKMRRSDDPESVLGQFKGQFQAEGEKNDWED IQKGNRQLKRAIKLLKEEDKEEEQARKQKEEENQGLFNLEIQFQEEVKEIQQEIQDTQEA KSEISALLEDIQAKLIEQQKVYDKLQKKRQKLEDVNQKSQDTYSQLQSDNKDKQQELESA HSILQQIESKKGNDPEVQQLEQEIENIRIEWEKEKVLQQNEADDLAQQVQDRKLKLEQIQ DKMRRLQEENQMIRNQGRVNLEYKEKLLVDLQNQPKEIARNQYIKKIVDLKSQLEKQKAE YLKQAKELSQLEDSLQFQDNMINRYCIEIENLINQDPKKSETVVKQIQKQYQDYKIVYKQ CAESMRNIGEKRIQIYDTELKMEDILLKGYKKNVEKLRQDLTDIQAENKNLEKQRR >CAK62067 pep:novel supercontig:GCA_000165425.1:CT868018:5369:6960:-1 gene:GSPATT00032299001 transcript:CAK62067 MTDRKAWNQKEDTAILQLVKQYGIKKWTIVAEKMKELYSLFGRSGKQCRERYHNHLDPTI NKEPWSENEERVIFIAHKEHGNKWAEIAKLLPGRTDNAIKNHFYSTLRRSLRRINKLIGD KNIKKRVHNRQKTQNLEYYQRYSFWQRRLCQACKGLQDSILEFAQSKQKSQINQFNEDKF KQLIDKIMDFNALYTKQRESRLKLKKKNHKKRKSRIDDDDDDDDYTSDYKYEELSHKFPL KRSSRLNAKRKHIDKEDYIDICIRTKKGPLYTILRDQLEVQQEDKEEQSSNFQQQYAYEF QGINSPNNHQFTPGFHVLTPRQIFFQKQSNQYYDDGMHNEEAPLSKSNFVPIVITKQYSQ YKDKTLDNMAQQLQQKINANAEKYVNHQLDSDLEINIGDAFEIPKDYKSPSSKFGIGGYS PSAFRKYRKNQESGLVNFMITPRHYK >CAK62068 pep:novel supercontig:GCA_000165425.1:CT868018:7148:7802:-1 gene:GSPATT00032300001 transcript:CAK62068 MNETLKLVLVGQQGAGKTSLLQSHRQQEFRAHNAATVAVDYSTVKNVEVNGQLFDISIWD TAGQERFRSITRMSLQNTNVAILCFDLSDPDSYRHTQGWLDFLQVNCSPDMGIIIVGTKM DLPTFYVKEDLQKYLLTLNSQKQLKLFLTSAKTSEGIAETFQYAYEQGAKIKMKALQIDK SILLVPPNKQSIQKTQSYRKKYFQGCYCC >CAK62069 pep:novel supercontig:GCA_000165425.1:CT868018:9001:10368:-1 gene:GSPATT00032301001 transcript:CAK62069 MQKSTTLADFTILQKLGEGSFGQVFRVKRVSDQQEYAMKKVRINNLKQKERENALNEIRI LASITDAHIIGYKEAFFDEISNQLCVIMEFAAGGDISKQISSCIKKQNQIEEKEIWKALA HMTLGLRVLHKSGILHRDLKSANVFKSNDGQYKIGDLNVSKVSHGALAKTQTGTPYYASP EVWRDQPYSSPSDIWSLGCVIYEMATLKPPFRAQDVQALFKKVSSGVYEKIPKSYSNSLS AMISQLLKVPAHLRPTCDQILSDPNVKPFVEQYCQTQPGNTQAELLQTIQLPKNLKQLQA KLPKPTYETNKNEYDSIKNPPKSTRSASVNERKGSPIQTPQIPKKDVSKPLIPPGLKNNQ LHINPPIRQSSLNRPSSSVKNLSPAPIRKSVEKQSQNTLKNKSPSTKRIYENENIDRSNI GQRRSYQNIKN >CAK62070 pep:novel supercontig:GCA_000165425.1:CT868018:10765:11467:-1 gene:GSPATT00032302001 transcript:CAK62070 MQKLYILALLLVIITAQESFLEKQKHVKQQKDLSTEIYTIKKKGLPTFLGRSSDESIKEQ LSSLLNYEEALLLTPTEESDHTIKFVESMFIIDQPNPNAVRATEKPNYSFEEPKPEKKVY SNEKITSFKEGCIYVFSECNFKGEHAKSCPGDNVTFFGLPFEVLSIHVPEGGSLSLIQEN GTTAYTVSNKCMRSRPIEFLFVEGAIKQSFGNGESFIDQ >CAK62071 pep:novel supercontig:GCA_000165425.1:CT868018:11637:14075:1 gene:GSPATT00032303001 transcript:CAK62071 MISSYDQQEYQRILIAEYQESRRYSNLRWKIKNDYNFYNKNSKNDFIFDLNYRWIQEGVH VVLKQQNTIYLFARLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNEESQQIQQHQQLQGYIDIDGHFV SNQADNTQNTKSEPAVQTNQEETQNSQVTISDDSNNLQATTQEGAQQLVSTDAEIDPMFD MENSMPYEFPEDTQVQEESKDQDGDNHYLSASLELPIRNENQVYDSLGNQIDSYDGMRTY LALDIAEQDDNQANISVQEKQEDCIVIYSKCDFQGESLPICESLKEVEDFQYDIKSIYLP EGYQMTIYSEENYQGQQVTYQESQKCLTQAISLAQLRGRQTQQIQNQDPNQSILTSNLRI RQ >CAK62072 pep:novel supercontig:GCA_000165425.1:CT868018:14263:15437:-1 gene:GSPATT00032304001 transcript:CAK62072 MQQVCNNFLADPTDTSFGFQIKCKNCQFTRKQHIQNTNAKPIPQTTSDNTTSANTKQLVN VVENAFQNPKNQVGMYGSLHQKKDIATTKTNEQGDFKKTTSLNQQQSQKDESDPQLNNSI KMERQNLQIYNSDKASTNSNPQDQEKINNANESNKDQNSNVQALNPSVQEDSNSSQKQEQ IQNQNETKPSEPQEQKPNKLQSNPFIQQNQNSPQKLESKSNISQPFQIKPQVQLIKDQKE QQQTINQSQNQSQGQDEQITNPQPQNEQIVKPQTQNEQPVKPKTENEQPIKPQTQNEQKP QGNLQIPQANQNTNQPQSVKERAKGMQVFGFGAPPPMKIAQKSDQEKPFEQYIIDRPILQ NKKHIRTQQDFQAIQK >CAK62073 pep:novel supercontig:GCA_000165425.1:CT868018:15446:16798:1 gene:GSPATT00032305001 transcript:CAK62073 MNKQLDFNLNFPKHDQSKFQCYNEVKNGFYLNNPNDVYYFDENEGWADYNGCYYDKNGCP AGWVFVSQGKYTRYNMNYEEINNENNKYYHETISEEEMQKRQFQDQNSQQKSSCQMDENS QSNQNVDEQSQSMKQPFGSLKEHQQNFQRYVQDNNMQLHQTQFQQRNYQKGYQAEQQTQL QSHDYHRDQNYNQNQHWNKPQNKFNSYQKDQHNDYQNRQHHNNNKNFNNQDNRNSHEYQS ESNQNRNYQIDNRSYNNHNNNRNQNNQNRDDPKCFELYNNQKYDYKLKNPFTEQTQQQQL IYKDKRRNDDQDVYPKVENRKEQSINYKYNYSFQKNEKGQQNRHPDYNNYQNNYNQSKND RQKFHKNNNYKQNGNFGSMRERNQYDGDTQQQFQYVLCKNNSQEQYEFHQPHTQPIQQFE QSSNQVQDKQGAPEKRERKSRLNDPIEYQQ >CAK62074 pep:novel supercontig:GCA_000165425.1:CT868018:16891:17895:-1 gene:GSPATT00032306001 transcript:CAK62074 MSQFQRMNRKLDEGWKLTTMVCECKGTLLIFLENRNLYCPKCDIISQDQVILDQDPQDVQ NKTNQSGSESKPEIIHVKNNKDYDSDDFQFDDQVEDFNSRFFVNKAAADEASKKIGQLLL QGWAMLSESCIECLQPIMKSKQGVKLCVQCNREEKPNQSKASESKPQVQQEKEVPKLAVQ QVQQQNVQVQQEKQITELPIQPQLEQRIKIFKDESEQYKEDAQLLVSSQLKLYYEKLQLS VSDINFCNLTFISEEINKFEEQVGKTLNKNVELMNVRIKTLKILTENHEKLMQQNSFERA SKFMGIILIIYNIVQKQ >CAK62075 pep:novel supercontig:GCA_000165425.1:CT868018:17904:18911:-1 gene:GSPATT00032307001 transcript:CAK62075 MATRQNTHPNDSKKKPKDKHKNSDKTSKKKVKSKSIVDQEQVLNLRQSFETLIKNKEDLE KIILNQEGKIKDLKQNEKNLLEELKRQGDIAREALNKLYYYQQENNVEREKLRKYYDDYY KTKEQEVIQKYEGLMQQSNITLSDYQKKFKDFAVKEQIYQEEIELLKKTTKNTQTPRDRN FQQQLNDMELKLNQANKNNEQLKILQSENSNLYMKIGQYEQQLIKKNEKEKTLKEQFSKQ ITDLQQEIKYWKNEMDKVIRQNNAVISKLTPQKLRY >CAK62076 pep:novel supercontig:GCA_000165425.1:CT868018:19835:20659:1 gene:GSPATT00032308001 transcript:CAK62076 MRAKDDTIILDVQLYLEQQHENKCVVTLYLYNEPIKVDKNTYLLPFLQKHKIQQLDYKTD QKTDLNRLYQSDIGIEDEGPGLDLKLNERDSSSWGLNLSRLKKSYLSGETNDTLIQQISP RNQQEQLPCWKASQNNSSTQSSVNMGLQQEGKSDNDSQFTIKSIECRYCKQSYNSQELKT ILVNAIKKNVIALCQMCYQKIPRSMYSKIKVADKEYHYIKLSLELEILKKDLIQKMDIQK CQFCNFFCIWDKRKQSINRFCPNCLQNSMISKNY >CAK62077 pep:novel supercontig:GCA_000165425.1:CT868018:20745:22046:1 gene:GSPATT00032309001 transcript:CAK62077 MGGISSSGTSTIIGKKGSILVTFYDMDKPENQSHQAMRITEETTINNIIQYIKEKQDCQN VSLYYDQIPIDDLSFQLYEYLDLLPSRKFGYRINQMQQQNTKQSQGDKQQTSINNQQQQS KITMTNQKLHHGEVNGQDIPPYKTQFKTPINNNSDFNQPKQGTLKVISSQTDKGHIIEDQ NINQGNNYKSEKTNVLNIFLKYTLQKYQEAKQQSIQQQRDMENNFQQLRSINNKLESEKQ NMSNYIEDLKCKIESMQNGYNKIKQENEELQHRNKILQSELQNFSKKNDLPNTTIQSNLS TDRSAIINESQIIETQQVFKNKCGHHLEEQKIQNILKQSLSNKTIAHCYQCKPSKISGQI LIKMEPLGQAYKEFQANEELNQLLINLKSQKKTIYKCSRNTCNFFCIFNKSSQISSENSF CPSCLLKGMKISD >CAK62078 pep:novel supercontig:GCA_000165425.1:CT868018:22206:24449:-1 gene:GSPATT00032310001 transcript:CAK62078 MLQVCIRVRPLLKHEKNAQWFVNKNNINSQNKSFTFDQVECETRTQTLYNKIAKQNVKKA LNGYHTTIMCYGQTTSGKTFTTLGTHDNPGILPCALRDVFLDDNVKCSIQYVQIYNEVID DLLDPKCTTLQIVNDQKWGTTLQLKQIPVNSFDQAIQLLNEGEERRIYRETQIHDKSSRS HTIFRIFIENGSRYSCLNIVDLAGSERVSSENDINHETGYINKSLLALTNVINQLTDQKQ QHISYRDSKLTRLLQNSLGGNSITVLICCISPGNNTQTLSTLQFAQRAKIVKNTPIVNGE KQTQELQDQQFQSSCQQNFNIFTYVQSLQQSSKSSFQALLKLEQKYQNKYSELLQQYYKE LSKFTINEQDLQDTISTLERNIAIQLEEMKQSISDTNNEDSQYKLLIWGSGQDGRLGFGD EKTCKIPQEISNYKFSQVSCGFHHTLAICNGFIYAWGRGQKGQLGLGNYETILTPKKIEN LRNATQIACGWQHSLAICDGNLYSWGCGDDGQLGNGDCQSINQPIAIQEDVESIYAGHSQ SGFMKEGMLYTFGNNQDGRCMIDSLGILTEPEQVPLNDIKSVSLGVSHMGVVTNDGCVYM AGTSIDGQLGTFSTDDEVATWFTQLDKFNSSNRASQIQCGDSFTLVLNTKGIIYAFGKGS FKRLGLPTTDNIYEPTPLPIQGDMIVAGCRHACTVTKNGQAYMWGFNFHNQLGLGQDDLD QEPVLLKINNIKQISLGYFHSAALVSK >CAK62079 pep:novel supercontig:GCA_000165425.1:CT868018:24916:25317:1 gene:GSPATT00032311001 transcript:CAK62079 MKGYRLDTRINKSAYLDFKKQMLPQKFEIPHESQKPLGIQFLQANLDDENQKNNLFVSLL SSSSQNVTQSPQLKKYLIQSCITEFPLLSSLNKIELYLNRQKNELKGQSKAEEMKSQKNH SQSTEVYSVNKVA >CAK62080 pep:novel supercontig:GCA_000165425.1:CT868018:25847:26438:1 gene:GSPATT00032312001 transcript:CAK62080 MKSLLSKLQTLLFQRTPSRLLSYNVFIIRVLLEIPHTLYNLIVEYIITSIINYQNKIVMD DLYQAEIRTRYHSSMTYQEYLDTHDQPPPKPTLKAKQSPQIVEPLTLEPPKGPKIQQQKL AIYTPDEVILEESETDEKQRSNQNPRVPCQKALIEHDVRIRSQSENLGFSVFRFLQQKKK V >CAK62081 pep:novel supercontig:GCA_000165425.1:CT868018:27025:28383:-1 gene:GSPATT00032313001 transcript:CAK62081 MTNITNLEKWVQKQNYTLIFFTRDDCNHCQRLEEELEKASEMINVGTPGSIGIPVARIKN YELNRYPVLRLYVKGLYTEHSGEWEQKKLEAWTDIRALSYISDSDSEPTIRWIHESHNIS VLVFNDQFKQELKWLKTLKHHVHFTYTTLPNARSLLNVGEETTLVMFTEKGLNRYDYDGE ITYEKVFKFVEDHEEKYYQEFTQESVETAFYEPKKPVLFIFDEKDYRDLAKTHQKEINTI LVKQDQVTDRLLNYLGVKGIQRPLAVIYDQTHSQKKYRTQFSELSELRKFVNNFLNKKLE PYYKSQTPVKNENWEKQILTIVGEDLPKHDNIFIYFYSGWCKVCQQFTPIFEQLFQKYRG QKAFGMFDASENEVKDQFIKEVPMIRWYNSQTRQVVTFDGPLTLEAISEFIDKQGKKQVS DDL >CAK62082 pep:novel supercontig:GCA_000165425.1:CT868018:28410:28881:1 gene:GSPATT00032314001 transcript:CAK62082 MGNQSEKKIAQFKQKVIGYYFYPTTAICILFLLANLYYGYALTIWGGIVISIITLIQYFC YNQISKSLELGLNADSYSIYLDVLALNGAIQFGSILFDFFWYGYWIIPLILLYKGSLIIW GWLQKPQYAEDDKKDKKKKDNKPKVKYVK >CAK62083 pep:novel supercontig:GCA_000165425.1:CT868018:28918:29943:-1 gene:GSPATT00032315001 transcript:CAK62083 MINEKYKQNLSDFITNDLEKAFAIIILMMNTVMILWQASNFHKLIRFYIKIMDPTLLKIN TLLFILCLIRIQAYSYAVLGCFLKDPGQQLFQPSVFRTLQSSSFIFYDLVLGVIGAKWIS IMQKFFQYFKSISNIEMIINVFLFSFWFACQIFLLVAESYHYSTWNTEIDKIYSILYFVY SVLTLIIFVSGCALFKNHIKQIDTISQKYKKYLNYSIVLLILGQAVRLVFFQLRIWCTSF LIDFKYGFNSENTKDTLLWSFISFGLYLLSDYIPTSILILIFYPNQQRLKQSLVVESAVE IE >CAK62084 pep:novel supercontig:GCA_000165425.1:CT868018:30668:39586:-1 gene:GSPATT00032316001 transcript:CAK62084 MQQPVPTTTGGSLQPILSNQRSQSAKPSLMTLQNQRTVQSAMQRSPGLPSAQRVPSGIGS FAQPTNNSQRIQSGYTRIQSGQTRVGGTRIQSAAKSTRSIVKEMFHIDPKEIIFEDIKPG ILYEQILNVQNLAKYPVRIRVQQPKTSKFRADYDMQQGIAAGLGLKVLVLFKESERDIAA LNQHQKPPPFYKDVIVIESDEFRAEVHLAAYRACSKLKFSEFIDFGFVQQGTENTKSLMI QNVGFADAKVQVKSDVLNLRALPNLITIAPGEYQQISIIYKANEMGNFRQTMDIVSEGGT QKLDIAACSVDYTRFLMDSKGSQVTSLDFGELYMGKSKVIKLKYVNNAPKGHQFKIIARR GIINPNDDIVNLQTPNELGIEQSEKLLVIQPSTGYVQAYSSVELSLTCMIQITPKEQQLA KKICFGVDVEKEQMTREIKYSIIVQQPDEDLIAYTNVKALLPLVRISKQALQFGDCDVND NKDILFTISNLQTYPVDISFQKVSCFFFKPESVTINGLSQTTIQVKFTPKNVGKYSQSID MILNDYLTIPVKVNGEAMKIAKKRPQTRGPEARAEDFQIDPKFIDTKEIEYVKKRNNELI EQNHQPGNIVEGYFEDTQKKPKAAMQTNNFLQDSRNNRLEKQRQQIIQQRVETITKAQEK QKDEGSKPPKDYEFELGLQVDNMDNDIDIPYHKEGLYVVKPFQQYEPLKRQDDELVDFKP DPKQPVKRILSGRPQSHKQVRDVNRELTADDLKRVQAGPIKIDYGTIYINTPMSRTFFIH NNLRYAIIARLCIDKKEELKKTFQEPQVIDSGEEGQFEIIVQAQSLGQLKQTLRYILNER HSFEIMVVANVESVKLEVSKTTVKMQFNEDGGMSVNDSIKLSNNGVGPAQFNFIQAEGSK FTLDCLNGIIQGQSNQIIQITYTPSKIMKDPQDSESDAVFKNQNPTRQDEEKIILKVKDG SEIQLKCIGLVSDVKCVCKSTVEFSEICVAQEKQLPFQVKNTSRNNAIFKILTEYLPMGC TVSPSSGKILPDENKEFTVKINCDKPNNINTQILMMLRSGRVIRIPLTAQCIVPNVIITQ QLFDFGDVTTLGNQTALPLTIANKSNVEVKLIFDLRNEDINPEANEGVGCLILKQQQEFL KNYTEEEQQEESDDDLLSSARMKKKMICQNKKYILNMTPQQTVQFQLHFYPKELKRYSFQ LPIEIYKFGAIDSIKRMVQVYGCSPKFIVEPKTVDFPRKIILSPPEKNKPNIQEILLSNP EYKGMQFKIQIDADTGNIPSVFQVIPLAGRVDAGQTLRVKVMFNPRYEGTYQKQAQIYLE DSKPGQPYLIVQLHGIAAKPSIQFDRRELILCPVPLGIESKLQFKVFNDGFETQKLSVKP LEGFTVEFLDGQTVGITKQYLDMMIKYTAQNPISISQTLEIIDETQHSYSISVSATSDNC SFTTWMYDNQSEISLIKGVPHLTEINQTDESEDSKESIHRKLTSTQQISEKAIESKCEHV QRWYNQFVGQLDIFPSSIVLMQGHHIFELISFLTGKTQFPFRAQLQGIKQKSQISQLLYQ QYKELLLQLKVDGALLNQIRPCYLLSYDDYQLYLKTIEMKFTHPDFQKYSQQQFDQVSKS SYLILFTQILRIYYLPRVTLKAMRQINGSINEYPQSNVYSTQEMLILRWIELQATQYWKE SKSVRIVNFGMLKDAHILTAMLLSYLGPGGLKYFQPLGQITKQEQDCSRNMSIFLKGLQD IGIESHLVLNDCVNMSNPETLLMLMLLYTQVPNFMPKREPLIFKCVLGSIVMKTITLTNT TRDPIVYFVKLEGSSDFSIEEDQVKIEPGGKYNYNIKFTSRISDEQTALITFCNKKGNKN IAAAIVYELKGVISERMSQKIWTVSSIMYEMKEFSITVQNTFTNAEFGHFTIQIVPIKVP LVIETPKKKKKAMSMAEKKKEADNELKEKQRLERLTSVEFPPAFFCKQESIRLKRGAQYN LPMQFLPTQLHQQKCMVVFQDLQVGEFQYEIHGNVEPPEVLYDLKPDLQVYIDQLASFYH HVPFFNPQLKAALKQVDQLTIEKKKIINRQNSNSVTLQTKPNLPMEDVMFSVQLEEQQSY ISCDKVFQLVNYQQLLLNHTKNSDDEEDPQQQLQKSDDKQNLIHFFMNFKTAVKDYLSHF MLVNETKTDVRRFRIQLTSLPKPITVILEMTIPAREVVTQEIPIVNNTDRDFNLKINLVG DDRFSILGDGQSKRRKQEKAADHKDTKENTQKNNKDQDSHQQNVQKEQLIKKKLNNQPTN GAVVVQFAPEWICEVKAKLSIINPLTNDFYEYQLIGKGEEPLSEGHFTIKAVARQPITQI IPVANNTNEELRYSVETDVLNAAGEQEITIQPQTTYEYKLNINPLISGQFTGSITFTGNG HYRWWTIMLDTANPKPLGTVDLVSPIRKAIAFDIEIANPLPENVTYEVQIIGEALSGDPW FSVLPGGTSTYQLIFQPVQEGRWKGSISFCHPKMGEIWYTLNLIGEDRGGIKVPQFKTEL GKLDKCEMLLENPTCNTIVAEIENSNPTNFSLEFEGGQQLVLEPYTLKPVYVVFVPSALT AHSGEIQIKTKEIGKWIFLCFGTGESPTPFQETTVVCKAGQSVTQQIEFKNPLKDSLTVQ MEMQQQNEGVFTLLMKRQRITIAPQSSLLIPYQFSAVDIKSYRCNLVLVMNHQVKWTYPL LGLIENEEMNNVHFIQTKCRIPLVTKLNVVLDGLKSNEKVMYKITDMEILKKHLKINLPD HHIKDVTQPLSFDIRFIPYKPFKLQTELQVKVESGGLWRFKLQVNALEPQIDDVIVITSE INQLTKVSFRLTNRIEEIANFKAYFTPDSNSEFTVEPTTGLLEPHGKKGTEFKLGFKPKE YGIRKEAKLIIETESMYWQELYITLRSYILKGHLPKYIPPTNVKGHGINNELAKIPAHNH DKNFLIENMKKPNKNK >CAK62085 pep:novel supercontig:GCA_000165425.1:CT868018:39784:40533:1 gene:GSPATT00032317001 transcript:CAK62085 MNKQQKKTQKQNEKLKIQSQENDSPQNIEDIIHDKNDFICPICLNYIVAAVSLKCGHTFC EICLHEYLLYFKGCHICNDNMRKSKFAYCYLLDQMIHEFIKSHHPEELKTYEMAKISNKE WRKKKQVQSIDVGQQIDVRDPNFVWNVGTIKRLKISQEVGKIKYLVIHYEGKSDKHDEEI AENSPRFAALGFYTSRNDIPKYYKQTKNPFLKNLLYIECMDPNDNQFNQQFFIEDNSSES E >CAK62086 pep:novel supercontig:GCA_000165425.1:CT868018:40728:41416:1 gene:GSPATT00032318001 transcript:CAK62086 MQQLKLSNSKENQDWDFSKVESRIQLLIEENQRLNQSLTTKMEEIQQLKTENNHQLMELN KFRGQDCEQKILTEIILQKTTDCDKNKRQLLKAEKSIDDLEQELSILKSEKDQYSKQMLQ AQTQNILLEQERLKQIDELKTQVRKSNQGSNNQIDQLKFEVNKSQLENDSLKVQLKQLQD ENSSIKRHLGCLSGYILENEQLRKENESLNQNYQALLAKQ >CAK62087 pep:novel supercontig:GCA_000165425.1:CT868018:41608:43296:-1 gene:GSPATT00032319001 transcript:CAK62087 MEHDPLTQFLHLLKQKLALTEKSFWDLSNDEGHSAEQEIALAKELMMQERHIQLIDQTIK LRNQDKTLNFAFPMKYAMSLLQNQDIIEEYKQYNIIDVSEEFEKINLNTITEEQVKGYLI MKFDDAASQRSDAWEDDLGEVVEVDNQELEALEKEVDQQEEKIMEEEEQMIKQQPELLEQ IDDNHIDPSWKIDESKAQLKIHNKVICTFAQNPVSKDIIASGSEDDHLKLIKLSTQKVIF EKKYDETVGLVDFSFDGKYVAAGILTGKIYIYLLNDMSEKILDGSQTEPSVLKWHPKGNV ILAGFQDSTLWMWNGVTGEVMSVFAGHEAEITCGGFTLDGKLVVSGSADQSFRVWKPNTS EQVVKISSVAHKHNYHKDDVVCFVQHHKQPIIATGSSDSTIAFINFEDGKVIGKSDPLGD SIVELCLIGTGQQIIVAGDILGSIYIYNWNSMKLIEKLCLCKSSITKILFYNQQFHISSL DGKIVTFDVRQMHNYRVLQAKSGIYEMIVEEGWILVGCENGYVYVY >CAK62088 pep:novel supercontig:GCA_000165425.1:CT868018:43335:44027:1 gene:GSPATT00032320001 transcript:CAK62088 MSSITQLDQEYRMLFEQIMGMLDQLSSISLEFVSSKLTFLEKYSQTLKSSQVDARQHRNN QIKNLIEYVKMHRKSKVRSVIHSPSNRTFHHHHHNSLDMQDVQLKDNISVIPNSSTAPQK SLSDTKLVHTKTIKNMLQKMVSKKNSSPQKSATEPLIKILESAMALLETHQQFYQDDYDQ LKKEYDEVLLNNPQIMAKVSLQNKSSKIKENLNSLIETQKSFKSYLNSIM >CAK62089 pep:novel supercontig:GCA_000165425.1:CT868018:44242:45055:1 gene:GSPATT00032321001 transcript:CAK62089 MIFNSEFSGQQQHTTQPIVTGGSVIALAYKGGVVVGADTLCSYGSMADFKNVEKIAQVSK NTIYVSSGEFSDFQQVVRELAKIDRSALQYDDGVHPSPRDYGNYLARLSYKRRCKINPLY LQNVIAGFHNGERYLGLVDIYGTYLESNFVTTGFASYFCKAIISNYWNENCTLDQAKQVI RECFKVLFCRDCRAHDVIQLAYVDEQGTHIEQPERVETKWDFSGFKNRANEKLHTQ >CAK62090 pep:novel supercontig:GCA_000165425.1:CT868018:45060:45350:-1 gene:GSPATT00032322001 transcript:CAK62090 MPVPNSSYPIPLRTVLKIAPVCMVLTTLGTLLPVCLYDILYHSHERIDKFFWRSSRFERF IRCRDMKLRTFWYEAMEWQPTGRESFISTRPQVSDP >CAK62091 pep:novel supercontig:GCA_000165425.1:CT868018:45400:46470:-1 gene:GSPATT00032323001 transcript:CAK62091 MAKKMMSQPGLVEEFIKIVDSFGGEDCRNWAHVARILFNACQFQVKSPQKLKRIYESFKV PKQELSNFQFSKLLEIDIKCRGNHITATKDFFNQTGVRMSQCRFKEHAVLGIRNTLKVLV KILGTKKKSLQSVLSRFSYTSIQIMFRAADCKDKDVLIQEIQQYAKLFEQAYLIYAENHF KSQSDLFELLKPLMNRQQFRRGLFYLFFIDELKSISLKQIQDDNDLKNHPLLQKTIELNK KLPFYQKVVNLCDREEKYNMYCTYNNNDDQFFKMYMNENQLKKRQALQIRKQNENLKTVR GKIHQPGDQLFRGWKTVVFQPDEDVMTVDKEAQLKRELKRKRMQELYEVAFGEDNN >CAK62092 pep:novel supercontig:GCA_000165425.1:CT868018:46565:48464:-1 gene:GSPATT00032324001 transcript:CAK62092 MVYKFEAVPWGLKTVWYFQELKDSVPQILTIVNKKKFDAIIMPIFPANFEREGNINDFIK HSYLKSELEVKSDDIQKLHFLISNLSLSDENKEVRKRNREILKQEIQFAAYLGVPSIILS SNSDPVKLAKFIRKMATKYFIDLNTFVLDVEITKDWIKYNQIRQELQFNIPILLRLKKEM TTKNEQRKWLSENIRFVHLNQDLFSMNDQGAPKLDDIQNQFLTSYFQFENYISISAKSPQ IDLADHRQYLIHLFKNQQPLSEQAHLASEFFDELQIPLQPYKDNLNSGTYEVFEQDKIKY DLYEDACRKYLKNVKKAEINILMAGAGRGGILERVIFAAQGAKCKTKIVALEKNPYAYMT LVFQKKRQKQWKDVEIVLDDLKSWQTELKFDLIVSELLGSFGDNELSPECLMWAQRFLQP DAVSIPCDSVSYCVPVSCPQLHAKVKKSYGYDSSYVVHYQKYYTIHDIQKCMQFKHPDFN NENQLAQEQNLVFECKQDLLIHGMAGYFTSKLYEDIELSTHPNNSTPDMYSWFPIYFPFE KPVNIAKKQKLKFTIKRVNNEEGVWYEWFNSVLSEDDQLLDGSRIHNENGKKQIIEIK >CAK62093 pep:novel supercontig:GCA_000165425.1:CT868018:48492:49786:-1 gene:GSPATT00032325001 transcript:CAK62093 MSSFPQVGLFDNHLPNLDSLSNDVHLIQQTLHGWTSIFSQLMEISIETHKQNQSNTALLQ QLMAQKHRRSKHSQQKDQIKLSPIRPIKSEPDEILKLENFSKIFSNPKKISIMQLQGTPF DSAYESINKELEEPTRDDQYLSVPKSMQLSNDKNKKKKSKQIDLHPQSAKNTYENKQFAF NKPSQQDVKSNKENNQNQSSINRPESKRNLDYPQLSTVLEEKQSPLITPNDNNYKCSIAQ SYRQYDQCSTSKASQNSQRPPNYFQQQQLQSENTRSSSKSCYGANCNLQQQVLTNNINIM NSPQNLQLMQQQPNQNQSQNNLISSSTKNVKQQHSLNNNVPIKQPIEVPNQIPSQRAKSY SEQNGKKQLSKRCLDIDLLDKQYETSQELIWKVQKNKK >CAK62094 pep:novel supercontig:GCA_000165425.1:CT868018:49967:51642:-1 gene:GSPATT00032326001 transcript:CAK62094 MNNQVQGNNNQAPQANQGQQQGGFGFFRIILTLIGVNYLMSFFSQSNSRVDTYFNILNSN SLFNLTITNNVTDWTLNELDLMYSKDTTIVKNITLTGIELLENPYFSIQLGNSNFNIHSG FSLTKLMKVEQNEKKNLLFDKHDEYMIKKQDENQTSSKYEWHVKNKQYLLFVHDSNSYPK NQIPPQIKYRFQRTEEGFIYTPNLDVDNYFLRKKDHILIQANETYEIPIEFNCYYSWKYY MIDSLKNAGKFYENLGMEIYDEIAEDNTKEMLFETNFYLVALTMVVSVLHTIFSTLAIKN DFQYWKNLGSQEGISIRALYTNFVFDIIITLYLLDNETSYLIIVQQFVELGLMMWKITKT TKFKIIKVFPYVEFLHQKSYESKTQQYDQKAANFLYKLSVPLFAAYLVYALIYQEHKGIY SFIIESLVEFIYLFGFINMTPQLFINYKLKSVAHLPWRTMIYKFLNTIIDDLFAFIITMP WLKRLSCFRDDVIFLIYIYQRRIYKVDPNRLDAGYSQDIDTKQEVTDGKEKKD >CAK62095 pep:novel supercontig:GCA_000165425.1:CT868018:51825:52067:1 gene:GSPATT00032327001 transcript:CAK62095 MGCTCSSKREQSPQKTIKSTQSTSNQLHLLIETKMFKIYRPHQKLQNISNSTILTRRRIK MEIKDLEYQETK >CAK62096 pep:novel supercontig:GCA_000165425.1:CT868018:52915:57726:-1 gene:GSPATT00032328001 transcript:CAK62096 MTSSPKIQLGESLFQSAILLQFILRLHFIFPQDGWDVWKYTDYKLHVPYVILSFLYQEIS DILKILLILFNVIIFILSFFKVQFVFYYNNLLWNVFYIPQVAMLSSSSGNIGISIFGILL LFFILVFNLYFNRSTKFIHSNPFIRKFTQLTVISAALDTICYIDFEFHILQIILLHIQGI ALCLDIIIFKPYKFQFNKIVFQYNFLFYTLVVIHTLMLFNKSEENIFYFTILIGTLAYAL SFQLYDRYADMDSQNQYIILVQCQEFYQSQDIQQHLKLRQHKNKCVSQHEDQKKNEVLIC YLENNITINKKQKIDYEILELALIHFLCVHKAPLTALCRLKQYYNIPHDHSLFFRITFPS QHKQLWNSVTKVQDGINQLLKAGQYQDDRILSTKDIYEAVKIREISIPLILSTLDHKINY WKQLISLISNVKKLFQTTCLLSQKLLECRLVLQKLYNCTNIEQVEEPRTVLEVLVLIIYY AIIINDYEQAIKMQKVMNDILRSESLVEGKLLNGNIMENKICLLYTSIVKSQGSIIKLNA QQIAQFWGYENEMDFRDIKHINQLMPDFLASVHDQYLERFKKLGHSILFGKSRTVFLKGK NNFYIPADITIDNFFISYDDYVITAAFSKTKEKCLFMLFDHKGRILGVNSLMFKLLQTID KTITQEHLSSGYVFQLIPKIFNLINAYRNSEEENNQDDKIILKIGNPIKQQFSKLMQSSK GKNKHQLYYAGLWTTFDTPENQQKNQKSMLNLNLFDSQKPNCDLFDETYQQKMQQFIDQN LQTTNFQIKCYLEYLILGYSKSIPLFTLEINDIISAQDIENGETFSQNSLQNVELSDLNK SSHLMLSSIQDESFTKGKVEDNDKLQQHPEISLMRGKYDQSFFDQLQESSRQILAPFSQR ATFNLIKHKSMNDDSKYLEDEFKIIKLQQELGYENHNFQQQYSKNKTVLDKLQDQQSSNN NNDQQIDQKNEMQAIHSGGSIARRSRNHHYELLKMKSRSQARPIQLSFIIFLDICIVLSV VLFNILNVIFISEQRDNGNKQLLEIQAPYVFNGIYCELTSHDILQKLSKVEGIQISQNLL NTIQSRFKNLNYLQNMSLHQPVFHQIEQDLLNSNITLYYIDQADEFNTSYTYYYSKLTIY FRLLIKYYQDNNFSNFNDYFFQQSLFEVLNLENSTNLFRLLIRSLIDKFYVELDLNDELI LNLFVIQTILQFCMFFLQFWFFFDLLKLHMKILHLNCRLYEKDVYVTIQKLQSVREILND KYSINWKKADYVHIIYQPLNKQQQINTTNTKQKKTTLLSSRIQQSTFNMCSISAFLFALL LIILIVNIGGFLFNSQKQKQLKPSYQLGAEFLHFTIQVDSIVSNAIRIKSQNILLSQNSL TESISLKTLQQSANYFIQDRYQNLTYFYIQFDSFKHSQIQIIQDLIKNPNINSKNADILN QLFFQDICSIFCPISPDIKDNCTYLYNKGIIGIYTKIINYLMGSYYYELENKMLDVDFQN TLSLLNSADFNQMFGRHFTNAKQAFDQFAQENLKLAYEQIESNFNEALVYFMITGCFTLL AIGLALIYFSKVQQNQINLIRLSLTIIPVELIDQQAINILRQL >CAK62097 pep:novel supercontig:GCA_000165425.1:CT868018:58182:61452:-1 gene:GSPATT00032329001 transcript:CAK62097 MDLITTNKSIICILGDYKDKLKSQLTNFEGGMQQQGIKIYLDSLFCLNWIIKRNLIKVGE FLNCRGFTNQKLFPLLLYGYMTSLNKLDKLLDNYNILKQTISLAKSFQPKITIVLTGKNV GGFDFNYLKKEIDLRKVFAVEFENIGQQLKRIKKTIVDDSNTFYQELFNKYKSETSKLQK SELSDQKLIFEIKKTLISEIENNAKKANKHMQTSYEIVTQLATKRKDYLNFTDDNSFYTS YELIQQAEEYREIADQLRFKLFRNLEIQDVIQHFEVHFKTFKNLIFHKLFEIQEYLWRVK IFVAIIKFIESKLMVTNYTIVYNYFQATLLSYIKLIQLNDMFPDQSIQQFGFLISKQEPE YLGRPNYQIREKENEQPIDIKSDQKLFYFKHVINYRRNEINLAPNVQFIFDFWKQYNENS QNQNNYANVYFKYLQILITQNFELKSQIADQLISYGLIDEYKNILYLEAQSAQLTKQIQI YSDILIYEQDKIQDIKKLAQQLSENLDIVVPANTFISISKLESDEQSIQLKIDMNITQHI LDMIETGLIISKNQQIEFIATNHTIIKTDKNIEKPVQIQLFGKYLDKLSIKIIAKDVELY SNKYKVGLLSTYKRDPPIITFKQDFAYINELNEIEVELSYQTEVELLNFNLNKNCLLKLK ENNNNTINSRQFTLQITDSIIHDRIVGFKIQGEEYFKKIKFVFPFIYFIKIKQLSSVFQN AQNRNRNTLSVFSKCAVQLEVQNIDLIETEFVPSVDLDFYNKNKLNVIFMTRDQTTYSNF GQYKIKYTRNQMNYEAIVDVENVNVISYLQNVEIISPPTSNAQQTFEIVVKLKTREPQNY FIQLKDQDKKQFFIMGKIKQMKFVEDEATFSYLLFPIEFGKCNLPGILIEIRQPNNPSQL VFDSSGMKSILILP >CAK62098 pep:novel supercontig:GCA_000165425.1:CT868018:62188:65975:1 gene:GSPATT00032330001 transcript:CAK62098 MTRESLLNSRQEYFKSILQRLQFNNEDQNQLKIIMVQTNQKLELPNSLDLSFATSIPDKI DDQIQYLIINETIETDSQNVEELQYQCIPGCNLVHSFLWKENMDRVDQIYEYLLKYIQEE QDVLIYCKNVNEIQLMAKALSQLGEIQVRLSPEFNEVYQKLDQRKSIQANHIIYLLIDPQ YLESECITLIIHTQYKEVIKPFIKSSVLYSDQLLSRQELIIREIPKSNSMGVQIIYNFPK ETYVTLPPKAVKLDSHQLDNHIEQFDKSKNSAIIKGALNTTGMLLQYQKQSNLTIRISQL WSNKGDAYSWIEIIEQLGIFINSILLNADFDKNQSIFELTQLEKWCEDNMVNLYKGILIL LYVQQFSQEYNCPSINILNVGGLTAPIRRSSSMQIVQEDKPLVDEQLKLNFPIAELREDQ FYHVQEHNFKLNQCCIQSQKGRLQQHIHILALKENSIIYLHNKNRKTSVVQKELIISNVG NAILEELWKNQGIEIAELENKYNCSIEPNVYLNLISVYSDDAVDMSELYARIEKIKENLK TQILEIKHVSGKKLIFQSGALISEWIREHESKEFKLVGLPPKVTEDEIKELFEDFTVITH LKLNYLENETQAQIVIEDKDDMPYIIQGYDQSEYQDRIINVITEQKTLKQQNVNQSKYIL NVIWYSKLCTGVCVVIFNEAEQALKCVNQFNNQLLDGKEIKISQVDDVTLKFQNLSSFTT EIDILMLCGGKKNIKYLDLQSKTLQESKEDYSQRIIHLINRNIERNEKKDVDFNHTHLIR KPGGKRIAKISISSVPTMQKLLEILNGEKIQFGTNLVKVHCQGQYYQYHQIPNQLKPHIQ KILKEHEKFNFKVNFEQGNQSKQIVQQVTIRSQNILVHQQLDQILTNFLQGYKVEINNNG DFLFTTFGQNKLKDFEMLDGSIHFMVDQYKKVIRVCCSQEKLNEITEYVNQITQAVVSHK LAIPPGALKELVGLKGQGLDSLKKQFNLTQIKYKQQSKELFLEGSGQNIQDAVACIESAV SQINLEGEADINEVKQAECPICFDNIKHSYLLQGCGHKCCLECISLHCSSVLQDVKLFPV RCPVCNEKMILNDILQIIGKENKDSLINLALNKFVQDNNQNVTFCYTPGCNNFEQIQQQD KAIYCQMCLKQYCCLCKALRHPGLTCEENKIGDILLLQKLMKEQDIRKCPSCQALIQRID GCYRVTCSVCKQSICWKNNNKGVPCMQVFKTSSECYEHLSKEHGGYW >CAK62099 pep:novel supercontig:GCA_000165425.1:CT868018:66324:68716:1 gene:GSPATT00032331001 transcript:CAK62099 MFCCGRNGNQQAQRNRMTRFRFSAEEVQELHRRFQRMTNGSNFLTKNQFRDNMGLLGLET VQVLSDCLFQIIDEDQDGKIQFNEFLAYFDKITYGSQDEKAEISYKLIDQNRKGYFTLRD FQQTMQALIDSWVVMTGTAITNEIRDHLEKRVAYIFTQMDKNNDEKVSFNEYKGILASDP SLLDIFEFLRKGITISIKEATLKQDQIVLSEFYLIKDQAVDLFELMIGLKPNRYSTTLQA KPNLISSLMFCQKKMRQNTTSQFGIQYLMGEEIDALSLRMSSTLNVNKNRQQGAIQTSQI ICATSQIGPFIHRGDQEIPIKIDYNLQSKESEETLDPELTDGLEKINYMAEDQIRDNYKE ALIKIHELKQQTQQSLDRLEKMYQKKLEEFNVKKKKDLEIAEKRRATIANINKKRKTALS VQFGHQNWNLVLNMMIGIQMAVKSVNALSDYEVTLKDFKLKYYFELMPKRTGNEKATFKV CKFFDYAPQVFNHIRKMFFIDNDNYLSSIGPETLLSSILKGDLSTLSELTSTGKSGSFFY YSQDGIYTLKTISKTEFTFMRHILYNYFKHLKDYRQSLIIKLFGMHKIILDDKKIHFIIM SNVFKTSHEINLRYDIKGSLHQRKTPSNADYTVARKDLNFLESHEKINIRVDKQSELLTQ LCRDADFFAQNNIIDYSLLLGIHEINVLQNSSRTDLLQDQESEDISIIQSKTGDKIYFFG IIDILTNFNTKKKIEYCCKRCFQGPDISAIPPHQYAERFKRFITNMFRNNV >CAK62100 pep:novel supercontig:GCA_000165425.1:CT868018:68852:77656:1 gene:GSPATT00032332001 transcript:CAK62100 MLLRGGGCGSSTPKDQSNVITPKLFEQKIPPDLVNQIKLHSTKIAQNAVLVLDQQSNLMN SFSFFQLNARAIWLLVKNQKYTKQVIDLVLESLEQLFPAFKSFLQSNLLFALYTSQIVTQ LTWVMFTFFSTNKDRFLELSKQQQYLNEMDQISERLEIESDSSRYQNHIEYEIFVIQAII FITPTNSTEGKEILVKFLGGAFKAIATFSLNDDLIDSLKDGVAYLYQQGIKYCRLKKLEL IYSLLTLKYDSMDQMERKGETKQVVEQLGKIYSEIIRESNDWEIWFTWIQILSQLYCFKP ILENMQIDQQLYEQITFKEYSIPIINNKHVLAIKNQHIQSDQTTKKLFDNSLILQGQALL QNMILQGQGLLHNMEQQYFARLQIQRDSQQEQENLMPISSAKISSILNVAKQAQEELVEF YQLINQDDLTDESKLKQAEKILKNQQRALLTLLSEIENVQRLQKLVQVLIQNSENGNDII EEYYQIQQLNIQQYLNGLIKSQNNKFQETFQKDHFVVVIDNLFLKSSNSQDFQVQLQGFK QKQDDQSVVRSLIEAIKKLQYDSSKSRILSLDSLIGLNSAISLMLQEKVALNWNLELNLV LQARIKTISNEKKQEGNSQTYQQIVQNGNQMIPFESLYQSIKFLYTQYTQCESNQKFKTA ELQLTNNLEKLLKTLLIENFGSINHISQLLMKNLFKEIKRPEDVNFDIEILKMIETRVQD TINYVNQIQSSIKMISDFLMYEKESNADNRTDVQILIDQKPLAEIQKIFQQNQINNFQLS VCVQKLNSYFDSFFIVFLNFENALKENDKQFYHQVLEKKQFLESLLFFFQSLVSLNILNK NDQEVASRLKVDIDKKFQQLLDLEIVKPASFNIDTNNKLTDDFQFYQDIFSQLDDIRQNQ EVSLNKVKQFITTCKEILLQVENLKTVEKLSQQLPEYETMLLETKKFIDQLMAMLDINSL NLKVEYGTIQNLLEKSQNYQYQRDLQSQIIFILSQIDTEEVESNFLEEQIDIIKEQLMDI LSQNKWRIRQVIIFELQQMRTFSLSQQAINFSSGLLVKFQVFETDKRIKVLFESQKGDGS ILISKFWPSQEQQIQNKIKEKLKELNNVAQQLAIEDEPIKKNQMKKEYARLEKEVQGILE NVQNIGNSLGITVLFMQDLKQDLLRIESQIQQLQELMENINQDIKYLKGRSVKELLEMRM QRVLQQRLIHNSDNVYIQIQTKEKNLEEGVEDSESILFTEDLFGNGEINEFIWKQQKDSL LIHGQAGSGKSTAARKIEEFLWVTYQKNKNQQDYVPLIPIFVSLPQLKDPIYCAIEETLR SDNYRFSERQVEELKEAVETKQYRLIIILDSYDELKQQYIGLNLNASNKVSKWRCTSDKN KYPKVITTSRSEIFTVNGYCTWFFSESNQIKFYKEVRLLKFTEGQIQQYIDEYTQLCVKR VIKDFYFGAYQEQNYQEFENFYNELVKQVGIVNFRQNKQQMLSNEMISALLQKCKQFVPN EHLKTVQQMLTEIWSSWHYQNFIKLMKLQSLMETPFMVEIVMAVLPYIVKQRQEINNIKD NFIRKYIYLSKKGDAIQKQALDEWQRILGNQQFITEFVQEFQISEQEKKIQQYFEHHSNI DIIKQALVQEPLSTYDFYVEFFEHYFKRQINKLREAGEQIEYDAMGNELWEFAHKLANKM TFNNLSQVQFQPSGLIFKKKQTDWKDKFFNDDEKEGVYKRLFRKCIPIKQKSGIYSFNHK SLQEFLVAKWFIEQITRMTLEVQGKYANLKQEEKTAILKYNFFKQSWDLDYMQGPIKFII DKIKFNEEIKQKLMNLINCSKMSKELEMGSSNSLYILNQMGQSFIGQDFSEIRIKEISLN NANFFNCNFTSSVFTQVKLSRVNLNQSKINDVFWQEIQVDELPRIESKLNSIDQITYIKS RELFITIDDLSVKQYNLYKLQEENQLKFEFKPKLAVLSNNEQILALMNNNEILLFDIIRN NPIQKFIYGECFSCYKSCITFSPDDKSIILGGSDGADQLQITIEYQEIENQLKQQVDNKS KVNVSATNSKVNPKRQNAKGDEKEVQQSQIGQQSQIGSNIQRRESQKVTIKLLPDVIVSP DQFTSLIDEHVVSVKCAKIVVFQHYTNTFSICNPTDKKVETHESRLQRMTCLDINKDNTL IAIGGYQGEIHIFQVNSIDSFFGLEGHKDYVSQLQFSRDGKKLVTCSWDRTIRLWNTQQQ SQISQTSFYLKPKVLSLSQISDTSLALTGFSDGLIQMWDLESSDSKLDQEKGHSLKITCA IFSPDGKFIISGSFDKTIKVWNIQTGQQDQNLVKHTQAITALSISNDSQLLCSGSLDGYI YLWDFQKFKFLQEIKHYGSEILDIKIILFNNEYRILSQTNEETAQLWFNNDYQEYYLLYD YDEQQKEKRKMLGYECKEILNLSKGLQVDVGRSYNILYYFLRDQKISALESSFDNQNKLI GTDKGILLIIQWNGQSQQRIVSQNKPLEIIKTINHKYFLTITEQKIQIWKFSDYLIRDTV ISGDWKIVDLFVCNDNIYCGGNIIEIWNVHQKTKLIKKITPTDSLSAIGYDQNKNIVYAG LNNGSVIIFDVSYNNQSVFSAHDGQVKKIQWLNSKNMLFTGGDDWKLKLWDSQNNLLKEV SVDNPIQSFFVSLDETYYILQQLNSVSLWDLDNLERVEYMVNIQKTSKVLAVFPNQQVFW TEDTQVSVLPIMNKKIKFSLLQSNRSHFSSTIATTDKMILTADHKGSIFFWNFDGEKIKQ PIVLDKNELRSMAISQDYTKVILGFKNLLNIVNLNTNEVIYTKDIPDTCLLTARFLSSTE MIAASESENFQTLIFSIANANQNPVIINEHVGPSFGVQVYIQGQTTTYLTYGNDKSIRYY VKCDKEYSCKYAFSSTQRFECQGAVIQNSEISSKSRIDLKELFKQKKATFVDKK >CAK62101 pep:novel supercontig:GCA_000165425.1:CT868018:77918:79199:1 gene:GSPATT00032333001 transcript:CAK62101 MSSRYHKQDQKFDKEFLAIVDAFGGKNCTSWTQVARKFELQTGEKVQKANTLRYRWEQLT GYSENFTKEQQIQLIESDIKSRGVKRQGLNDFYQLTGIKLYKGRFCRISSQHIKQAIQSI DEVFAKERKHRRCGKKDAEFRLKLSHLSIYILLRAKDTLNSEGFKQKNKGLDPFEQYLCQ QLIEASEICERLYLMHARVFQADRVDRYQSYMKVINRNQYRKLMFYVEYLDILRKISVEQ CTNDTMVSHPLVLRNIKKNKETLVYKNLILENDWSQKYQWFNECTLTQIQEEEFSLLLKS STRKSKPKSSQCSVDPSEVDDKRQSKRIELNNLQFDFIPKHCQKVYAKINSGKANNKNEK EYRKFRGHFIKPGDTRTDTRYTKIFTCDDDSEYDADQNEQEAQIQASSRQEKVINIVQ >CAK62102 pep:novel supercontig:GCA_000165425.1:CT868018:79318:80629:-1 gene:GSPATT00032334001 transcript:CAK62102 MNQPSDDQSYKTIKSAKSQAQIGQTVSGEYSSERKKQIQQKIYLILNDYECNKLAFFLSI LSIFIISLDIILLILETEKELGIMDIWVTANSGVSGYFLVEYVFRVASSNAFDETLAEFF KSKLVTNQEPFNLVDILALVYSIIEQILYIVDVWVDPRIKVLRMLIVLRLIRIFKFSTFS LGVKILIRGLSESMQALSLLLFFTMICVILISSFMYFAEKEFVDDSQIQNIPQAIWWAII TITTVGYGDYVPKSLLGKFIGVLSLIFGVLLLSLPVAIIGNKFQEIYLQNKKEETKRARK NAKTHYNSIQNQNEKEIYRIILKLNELEQVNERIEQCLKDNQFLYRSISRDAQSMIDKIE INRENGKSKSKQKERLSTQERIIKIREEILNSRKNK >CAK62103 pep:novel supercontig:GCA_000165425.1:CT868018:80790:82067:-1 gene:GSPATT00032335001 transcript:CAK62103 MQLSTSLSKIGNISVNIQQGNITEYENLDAIILPTNPLLLREPGVSGEIFKKCGQEKLEK ALKKLKEKEKAKRRQASGLDEQPVLFNKVKEKQLILDFSQFLVMKQQEEKEEEMTDIRFD VSYVDHIKSYNLQNEQQVKNLLFVIEPEKFNEYLMIQSIKNMYFYKLHFRVIKSYQLNYK KVAIPILCQFFDNFEPKVWAFQYKKAFIDVQEQLKLDYQLEIIVVCHNEDILYGFQKTFQ SSEYVKATNIYITDVQDVEVLVNPVNQNNPYFGASGKIIEKAGHALEQDIREQITKGNRW VLSKSFKLQEQKIKYILTFIGPVQQRKTRAEKLQYFFQKILQICNEQLKVESICIPLLAI PQAIFEQKENQNFLLNRIALSLKDAILEFEKEKKKHKYIWISIQDDQLREFCVQLFQ >CAK62104 pep:novel supercontig:GCA_000165425.1:CT868018:82393:83801:-1 gene:GSPATT00032336001 transcript:CAK62104 MFGWFKKKKPESNSAQQEEKDESDFVDDETQVVTNEDKELEAKGFDPIAQVQEQDKENEG IADPDKLEYVGYHDIPADDILQLVLNFKCFPNDEDIRHPEGYEKGGRICADKKVVTKARS VGKEMVKQIGKKILSGSLNLTKVSFPIRVMIPKTALETSVHGTSIFPLYITKATMTPDFL ERFKLVITATLSSFFWTNTFLKPLNPILGETLQANYNDGTQVYCEQIMHHPPVSYFLVFG PNRKYKYYGYYLVEGRAGLNSVTIINKGRRSIEFNDGQKIDFDFPNELYSGTFFGQLRQE SINKITFTDKANGLSCIIDIGKVKKRTSDFFQADVTCKGQKVSTVFGTYIGFINFDDVRY WDYRYVVPHKIKMDKQPLESDHKNRSDLQALKAGDIPLAQKNKEILENLQRNDRKLREAY EKQKKSKK >CAK62105 pep:novel supercontig:GCA_000165425.1:CT868018:83934:85754:-1 gene:GSPATT00032337001 transcript:CAK62105 MGNLWAQCFEDEPEETNDQYIKTNKQKGDKSQNVSVQNIKKDQDQWLFQQDQKEITEIRK TIWECSFCQNHFNQLVHQQNLGFILYPQIRQQETRSHCSKINQLKESNQFSEPLAILQND PISQFTSEWFQLALSLPKYIRSREHFEGLPEQEQYNFLNSTLEEHDLHSLICLKWCVVDF FKQQHSLFPKNQFLESCCVVFYNIAHLCQFYKELYLENYKQNDFAHNIRLYIRLYHQYQK LMNTFQNQYDLQCDIMQLMYDKQFNIQIDQSNFYPHFKLIGYMIRFWCQITLTTEIQNIL KLSFRNLKIEEDRDLIKKYVIVALDVDINEYNVHWIGHRKFFSYEKTLLGYNKIESFVEG QQQIVNQDFYQQIDDKLDELRLLYPQWFFEVEFECLGIQHKIARLVSEVKSQNSFEIAKL KKSEDTDNLESGGNYQFEIILNSANSLKQENSEDKKVELMALYLQESNLMPRSKFRQSST FQSTLASLGFKQKGYNSSTQSTLKASQEALQISKEIGVEYKQKLTEFNDRLRRVQENINS REQILEERANLLKIPIDVNLEWLSFFKKTDFSKIPVDDKAFKKYIRNILQTLASLDSCYE SQLTQF >CAK62106 pep:novel supercontig:GCA_000165425.1:CT868018:86025:87404:-1 gene:GSPATT00032338001 transcript:CAK62106 MSDSIKQTIKNDKQQVNRSRSPFQNCKTKIKEKQNVQQDPNQQIQNASKMIEMGMKYFQN QQYSMAKVVLINSSQVLLPIIKQKKQNEEDHTAEYQQLIKAISTAEICTQKIDQLQQSIA SQDPYSKQIIETAMIRKCDVTFDSIVGLESIKNQLEEVIVLPNLRPDIFTGIRAPPKGIL FYGPPGNGKTLLAKAVANQIKCCFFNVSASTLVQKHLGEGEKLMKTLFNVAFKFQPSVIF IDEIDSILSSRSSEEHEASRRLKTEFLISFDGMQTTDQDRIFLIAATNRPQDIDGAVLRR FTVKILIDQPDQKARLGLVKSLMQAVSHSILDIAFDKICEKLAGYSASDIKAVVKEACMQ PLREDKITLVAMSAQNIRPVRKEDFEFAINKVKPSLTQKQYQEYISFNKSEK >CAK62107 pep:novel supercontig:GCA_000165425.1:CT868018:87817:88428:-1 gene:GSPATT00032339001 transcript:CAK62107 MIQEINLSQESNNDQKVSLIIDKKNLDLTLKFIQQLNEKRIQSSNLGTLKRRVVPFFMNQ FYHWAVDKRLQSVVNYLKELKKDKESQQKKFELGDLKKMFSNQQLIIQGQQQNRKQAREA WQEFLEGYAVPSVMKNPKIKCPQTKQNYINYIPLLIEELNQIFPYNKLLSKYKSPQDYYI DINANQMILFENNSLSLFDRSLK >CAK62108 pep:novel supercontig:GCA_000165425.1:CT868018:88977:90762:-1 gene:GSPATT00032340001 transcript:CAK62108 MKQTSPYFLSNFQMIQGYQIQSFIGKGQFGQVFKALKFETREIVAIKLIQKKRFQESDGI VGKLVQSEIKALELVKSDHVVRFIESFQDQEYCYIVMEYCDSGDLDQHLNNLKMKLTEND AIGIIKQILKGLKDLHSKFIIHRDLKLQNIMVHNNSIYKIADLGFSKVFSNADQKSILQL GTLYTMAPEIFNQNQYGLSSDMFSVGVIFYQILFDRLPFKQRDYTSNSQPNISFQKNKFE VSAQTINLLEKMLQFNPNNRITFKDLMRHPVFASDKTFHSITSKIQLQANTISFDDYQEF YQQQSGQIEQNQSKIMQQQQPIQSIVQKDRLSQKSVQIIDISEIRNDIAENTLSLEIDKI TKLINEMYFFSNTLQEVIQILCNPHFMDMLCFKIQKLCQSILKLIKENMNQYKNQKQYKD DYKLLEEQNREMESYNELIQYYLFDVKDQLAQFSEESLQDSISQFRDQDQQFNKGFSQQI KNYLDYKNQQINIAFAHVILCYLYCKTQNPDFIQFDQTTFNLNKSQELYPNQNQLLERFK ENSSLSDYLQY >CAK62109 pep:novel supercontig:GCA_000165425.1:CT868018:91260:93256:-1 gene:GSPATT00032341001 transcript:CAK62109 MLQGKQIGDFKLLDELGKGSFGCVYKCQNIFDQSYHAIKIIQFASLNNSEGIVGELLKDE ISVLAKIDSPNVLKLEHYFQSKSNCYILMEYCNAGDLEKYWEKKGKRLQEQKVIDIIIQV LSGLSELHKFNIIHRDIKLANILMHNDQVKIADLGFCKQLQNKDMEVTLCLGTIGTMAPE VARYDSYGLQSDIFSIGCIFYQLLFGELPFDCSDVKVYLSAIRQQKIEFYKYGVVIQNEI KEVISKMLKEDPKERLTFPQLFQYSMFTKIQNMSKVSQIAQKNVSKIETSRYYQDDGMSV LKQNEDVFKTQQNQQSQYVESLQKVNIINNTYQNQENVSKLAYELRATQSLSQNQQPIQN TLEQLKEKETVFKTDRTYNSIQIKSQINNTNSLVNTKIQQKYLYLQDALQFCNRTYSEIN QIQFENESKSLIGKFMLLKRIRSESKSYLQDLANYPELQGLKGAFLAYIQDSEFYNVLNQ IRGNQNLFANLRQEYNNELQEQATGVFQYSYCQALMELHTQIKQEINKQAENKKKKDLVI VLLHIQKCMHFQDLCQEKIEIEEEFFNIKKKNLIDIIKQVQF >CAK62110 pep:novel supercontig:GCA_000165425.1:CT868018:93573:94631:1 gene:GSPATT00032342001 transcript:CAK62110 MEEFTIPKTFKAAQLVEYGKDLKIVEIETPQLQEGQILIKVEAAPVNPSDLLLNGGNYPA GKVLPAIPGIEGSGIVVQLGPNVDNVKLGTKVAFTSHQNYGSYSQYTVTTNKQVIPLNDD ISFELGASSIVNPVTVLLMLVETQEQGAKAVVHTAAGSALGRMLVRYFQDSGIDVINVVR KPEQVDLLKKEGAKYVLNQTSETFFQDLNALATQLNATVFFDAIGGSLTGQILSQLPNKS TAFVYGLLSGQPISDVTANDLLFKSKTVKGFWLSTSLHKYNPYADVNARKKLNELLKTTL KTEYANQYPLDQINEGIEFYKANQTQRKILIRPNQ >CAK62111 pep:novel supercontig:GCA_000165425.1:CT868018:94681:96421:-1 gene:GSPATT00032343001 transcript:CAK62111 MEFVICQNTQCPEKYICLNAECYQVEKKQIQCYQCLTKYHLSKNKVVKHVDDFIELEQFI NEIKKKQIRRNTFIQMIIQQALDFSKNKIQEVQLSRNADLIKNATEKIEGETIKLLKFLT STYLEQKFTFPYQNSFHVFYVKFFFEDENKYQEDSKSQLTTLFSQIEKYMQSLDLDIRTT IKRSQQKLEVLEKQVTQFSKQSLYNKFLLLLLILLFPYLFYLQSNQFEILSFERQQGLTT QRQDQLQQDVLNLKDNFNLLEQQHQYLLINQTGDIQALNQTVTQVIDSIQKLKLRFDTFK QSYTLNLEKDKNNFQSQVEAIQNNLTQFLNSEFDLRSKIQEISSMLQLQNFKKEQIKSVS AQQIQVKKEQKKKLKKIIDYIEEENVMRKIIQLKNYVYTLLNFRHLIKIHLHLPKNNLKG FELIYDELFNKPILLQTMASIQQMVFKHDRDNPLLCLGGLSIMSLEIIDLIACDFANDMF RPTFDSQKAIKSTHGNIYWYQVQEQSFGFAPNESINLLLCDDQDEKSEYRLSYWYNIKTL SGGRRLGMNLSLENSIEYRLQIYLLNPPFQ >CAK62112 pep:novel supercontig:GCA_000165425.1:CT868018:97279:97848:1 gene:GSPATT00032344001 transcript:CAK62112 MKGNSYQSNSMIAIQGLVYLPHGFYLGIAQIPYLQTYEQMFKEKGEEVVKQEVKEEYDSE SVKREYESESIEACKKNIRKFSPYIWPGETKNYYKNIGKKLASFITNSFDQSYVREDPFV NQFVKMQGQNYNRIHFQKLFTSKIARRIATEFFGNYQWCSQFILQNKTELGLYLRHNKQM YKRKSQSKK >CAK62113 pep:novel supercontig:GCA_000165425.1:CT868018:99186:100629:1 gene:GSPATT00032345001 transcript:CAK62113 MIQILLLYSIIVRAQKLLKTLELDVNNKISGIINVEKNDENLLIVFQFDKSTHPIALVSQ EEISDSDFNTTIKPIFIESTKVVFFDYESIQTHQSIHLVEIQYVENIYYYVKDRFGPFKL KIQVYSKPHSICTNNCQGFQVNSMKQSSRCSTKCECESGYFGSYCQFELINIEQDVNYKI HLIPHKAVYLSFQLEQEAILIADDVIDPITASFGVQGKKGFEIPDQTSYTAILHSQLSLT KLIQNLKFQFKDAKILIIGLYCRKDQTINVVLKQEQTPSIWSGNCLFLAFASFNIIVIIL CFGITNLCRHKEITVKKKRKIKIRPPKIEDPKNFSGSFFNKYFEIYDYDDFIQINPQYNQ NTQCVVCMEDLNQKEISVTPCGHIFHYQCLKKWLMRILNCPSCRFQITYQQVIEGGWLGS KLQQNPLCQQSNCKSHSGIQRSNSLIENNENADSIQMVEKGDENCKCVNKEF >CAK62114 pep:novel supercontig:GCA_000165425.1:CT868018:100659:101641:-1 gene:GSPATT00032346001 transcript:CAK62114 MSWINQNQVTVNKPNNFFGIFSQSRDSLHPDSGEQFTKLPPHLYTKHKGKKPSQILYDEI YKEIIETLNINRFTQPPPQYELKEQNFKCWQCQKPISNGFLTIGANCDLCFFHGRYFCND CMSVVRMPIPWKALESFDLRHYKVSKVAQSEIDKLYDLPILEIPPTSKLLQFNKTLFEFL VLKRQIHLLYDMICDPKLVQTLLDKRMNLCLKRNCFSLKDLYEIYNGSLTKVIQGYYVIL FKHIDVCKSCQKRGHICSICQRMVPIHAFDIKNVTYCDACLKVYHRDCAEQKSCPNCLQY R >CAK62115 pep:novel supercontig:GCA_000165425.1:CT868018:101665:102314:1 gene:GSPATT00032347001 transcript:CAK62115 MFDEADGDYVEQTPQDDGLVDVEVIVNKERQYQQELLQVLKWKEDEHKGLRQELGEIKCK IEQIQLKYEIPMVDPYLREYIQELGEQQLFDLESQRQELKGEKQGKIEQLRQLQQAKNQI KLFFTQTEISSYLQISYKNMLENLNQELFQYMQERADLEDEQFSFDKRLKSLRSQIREVT EKNQKIIQQIENSKQIE >CAK62116 pep:novel supercontig:GCA_000165425.1:CT868018:102349:102844:-1 gene:GSPATT00032348001 transcript:CAK62116 MHRQSQQINPLPAIQSKPKQIKLQTRQQSETSMPPKQQEKKIQRQMSNVEKGNFLYFLYA QKNGQVVKNEKQFAEFLRKTYNFEPPQKNEGPSKKGLLYLSKREENEDHIKKLTNSVLSP GTKSMTVSKDVPLDQVVSQMFEKQLERFPKVKQKIERTQ >CAK62117 pep:novel supercontig:GCA_000165425.1:CT868018:102946:103870:-1 gene:GSPATT00032349001 transcript:CAK62117 MSRQNSQDKYLKSANSCRNQGRQLNIIDFIMKQNFQDKGPSTIEFQEEMNTQKTCQTCLQ VQEELKKFVNAERFQQVIEKMWQKIRKMEEDNQKVLLKMENLEKAVIDQQGFLDELNDQQ YKSQQQQDYSQIIQQVTLDKGQLEKLEKKISKDNLAGIKSIEDNLYKILNKEIQSKFMVM QEKLEEISQQFGGVNEQIELFNHKHGVTREECEERINQIAAIVNENNQLQRTNNNGVAEM QQHYSDLDADMMRIFQHIKALLENDYKISEMEQNINNLKSQLVQLRNAVNEIGDFVSKI >CAK62118 pep:novel supercontig:GCA_000165425.1:CT868018:104912:105097:-1 gene:GSPATT00032350001 transcript:CAK62118 MLEQFDIDKQTFQLRDLMEIEYSEPSFSHLFLIAILVGLLGFCGLGCWMEMKKCHTINKT D >CAK62119 pep:novel supercontig:GCA_000165425.1:CT868018:105946:106499:-1 gene:GSPATT00032351001 transcript:CAK62119 MGRKKISITKIEDRQQRNITFHKRKIGLLKKAYELASLCGVQIYMVFNDLQGNAIHFRTE ELNQIENNEKKQYLLTPKDYPKFITRSKNKVNAQLRDLPITPLKLVTDLMITDEPLISRY SALSRQFFPIPQPKTNKPIIDNT >CAK62120 pep:novel supercontig:GCA_000165425.1:CT868018:106717:109515:-1 gene:GSPATT00032352001 transcript:CAK62120 MLLLIVSVAGIIFNNVLALHQQQAGVHDWNKRLFGELKYIQVQDQTIFYQNTNNQHGIIE KSTGFMYSYNLGQVKQRNTYTMCDSYNYVRYEPNQQIVQLYNEGYSVLTEGEIIDQIDHC LIGLNGEFILIYGQTIFSTFNNKFTKKFDHQIIHAGYIFDVPVVVLQKQTEICIYQIRES IQQNYCVQSLNNGKIYEFGNTLVYKDEKFAYHLKEKSAKPLDIELIKKLDRFIGLTQTLV INEKSKKQIVNLDGQIEYELNQDEHVIQNLETQKYYYTLKQKDNELIIVTFDRDTKQHHS EMIELETKTSILNAFLIDQNKKQFLIQYKDLQTVLVDNKGIRWTTEQSLISIDTVFYEKY ENQEQTHKNTYYESLEKHGTNNPLFLVQNIVSRILNEVRDLQEVATHFIDNLGKEKVQTR EMEQTYGLKQKVYFLTTYGTLLCYDTQELSLLIKLQINNQDKSFKLVAHHQIEQYIPQQF SSNLIRHFDSNDAKPTHVLFYYSNEKKRLNTFVLDLQHGIIQQVASQSSNNILWTIPYRV EGGPGQHHNIVILIDEENNIFTYPKHDSLNTKEIILYRNDNGVLKGYKLFNNQLVNIWTI NMKDEILIIRSSYHVGEENPRVAIWDDRKVIFKLIDQSNFAVLTSDGDKLKLFIINAKTG KIIFQSVQSEADFNQPINLVFDEHQVFVTYYNKAQMMFEIWTVEIYHAKIEVSFIKMLEN YYFTKTPIITNYYKADFESFFLQQVYGCPLGIKYLGMSRTLKSLTKKNLLIITTSGQLHS LDRNLVSTRRREKADQPILEYSLQSAELPPYQYQLPINFLNMLTYHQTFEIEKFSIESTN LESSALLLVYGSDIFFTRIAPDKTYDMLLDNFNYNALIATTVLIVIATKVLSRLVKSSKQ VKQFYLN >CAK62121 pep:novel supercontig:GCA_000165425.1:CT868018:110007:110933:-1 gene:GSPATT00032353001 transcript:CAK62121 MSISFTVNGKQVQLKPNDTFEDIFDDHVKNGKFLDKVWRINDQQKPIQKSTKINQFLQGG ERVECLDKNSVPSNFPSTNQPANQPGFTPTQQPTSPFVSQQQPTSPFIPQQPQSPFVAQP SKINQQPQIPIPAQIPSNIPAQNQQKPNSLQDVLKDQANKMLVNKMAIKRQEINDTSGNK ETAVKTIKQFKVQIKEYGKIVTLESEDGSFKATFLEENASEAEQDNIVLSQHDIIQNPET QLKLAMGRNPSQSTKVLFLSGYKGIAIKWVTGLQTKFQFWLDE >CAK62122 pep:novel supercontig:GCA_000165425.1:CT868018:111610:112891:1 gene:GSPATT00032354001 transcript:CAK62122 MYKSKRTQYGGSTASTLDLLQSQDCLFCQQSHQFCCLITRALYIKYSASQNYYYQKDINI ILLQQRSKQYTAYKEWTYYDPNEYLKRFYSLTDTRQKTIVLTEYYKFHRDIPRLFMLPIA STLSKYHDKRRRIEYIRISRMLGGHVEQPTSTQNSIQTSLQNLLGQLNFTKQEQSNTLIE IQKNLKEAINTSQNNQREFDSRKYNLPLQLKNSDYYNKSKLDHKSDTNSRLDFKENINDL IYKKKPLKNSGIQTQREISQPKVYSTEKNCKLQVQQIPLTQRNGNNTKQSISPRPTTQRS IKPQIMQLLKPNHNNNNKLKATNIILECLMRKLNLDQKTLKKQKSKSPNNPSNQQAKRKR RVMSTNQEATKIDLTQLKQKQSQLLQSKFDQNIINKGYKTGRASAMA >CAK62123 pep:novel supercontig:GCA_000165425.1:CT868018:113457:114323:1 gene:GSPATT00032355001 transcript:CAK62123 MKNQSPESDKNPKIMRKINEHNASHRTDEKYNLLMQFLLIIIQEKVTRYNKTEYQNHKRN AQSQIKRIYFIVTRLVQESYGRTLNIQIQDTVYFQNGGAKSILQSLKDSIDKPFQLRVQL WKGSLQKLMQIYEQQKKEDCKCKYIIRFDSKMRKIIISIEYFNILYKNVIKQREAVLVKF DDDSVQIMTEAEFFLLMSKRKGDKFWNKIFSILNYIKQRVFLTHRIHFNRKRDSNHTYFE RSAMETRIIRGEVISASTEYISQAIEDDFLIQ >CAK62124 pep:novel supercontig:GCA_000165425.1:CT868018:114742:115429:1 gene:GSPATT00032356001 transcript:CAK62124 MQDDYQALLQSVGIDKNQFNLREDNQSEMTFKHLHPDIQIVFQRMMKVKFYQKNILKQLF IENNKSKPISHSNMLHRLFLTPEKKQRSQAVSKPRWDLISTLNQSSFKKSIRSVDRTVSE FRYEISRNGKRSANTLNKVLGLANTWFDIILLPIIIRSNKLKIFMIINYHLNNKEITKQL MQKQNMLIEFNLLKGKFYHQQNLHQHEYQDKIMNMKQKY >CAK62125 pep:novel supercontig:GCA_000165425.1:CT868018:115646:116605:1 gene:GSPATT00032357001 transcript:CAK62125 MNMLKLKGEIFLNKIWRINDQQKLIQKSIKITQILKGGDKVDCLDKNSVPSTFSSTNQID NQRRFIPLQPPIQPIDFLQQPSNQPINPLVKQPNKINQQSQTPPKVPTQNQQKPNSLQDV LKDQANKMLVNKMAIKRQEINDTSGNKETAVKTIKQFKVQIKEYGKIVTLESEDGSFKAT FLEENASEAEQDNIVLSQHDIIQNPETQLKLAMGRNPSQSTKVLFLSGYKGIAIKWVTGL QTKFQFWLDE >CAK62126 pep:novel supercontig:GCA_000165425.1:CT868018:117572:118451:1 gene:GSPATT00032358001 transcript:CAK62126 MSISFTLNGKQVQSKLNDTFGDIFDEYVKNGKFLDKVWRINDQQKLIQKNIKISQFLQGG ERVECLDKNQQPFVSQQPPTSPFIPQQPISPFAAQPSKIFQQPQIPSNIPAQNQQKPNSL QDVLKDQANKMLVNKIAIKRQEINDTSGNKETAVKTIKGFKIQIKEYGKIVTLESEDGSF KATFLEENASEAEQDNIVLSFNDIIQSPETQLKLAMGRNPSQSTKVLFLSGFKGIAIKWV TGLQTKFQFWLDE >CAK62127 pep:novel supercontig:GCA_000165425.1:CT868018:118721:119188:1 gene:GSPATT00032359001 transcript:CAK62127 MILSERNKPSILLQRKYKPISLSQVLKKPLIVKSLPPIYVSPQQRTSQKKEQKLENSFSQ RPPQPQIFNKFEGIGAVGLSLNPIQKQPFYQQYVLMSSKKLAIQVSKKYNIHNKINIYNL QNYSQKYYTESQADKANKWSQTTFDEEQLLEYLNN >CAK62128 pep:novel supercontig:GCA_000165425.1:CT868018:119406:119909:1 gene:GSPATT00032360001 transcript:CAK62128 MFFKQLKLIFIKINYSFPRMMQTISQRVISSNNNTILKLELINQISYYFSVLNLGSHNIK KFIQYSIVVYPSLQLIQSSFQFLFSCYSKSTQVAVFEYPLIKQLFQSDCDCLLQTAVTSR IPQLSLFFFGYKTNHFGISLFRDSNSDQLQFCANSFYKAFSIFKEMI >CAK62129 pep:novel supercontig:GCA_000165425.1:CT868018:120553:120948:-1 gene:GSPATT00032361001 transcript:CAK62129 MSQRQTLLFNVPKITLMWKLIYIRYTKTQEIEITLCKIVQFQDVDLQILLTEQQNDLKPI KECVWTRQQVIQCFVLFCLIICELLNHDFQFQIMQSKPCCTFEISWNEFRFSQIGDYFPK LAKKLNKRYKL >CAK62130 pep:novel supercontig:GCA_000165425.1:CT868018:121028:121510:-1 gene:GSPATT00032362001 transcript:CAK62130 MYFNEQTKPNGYSSNHLALKIICKTIGNIKSCLRTHAIKRRLVQSDKIHQFFIQYLASHA PYLDVVVFFQNLVDIKHPILDLLNYLNTFSENKLIQVVRKVNLNELNSGCDETDFTYSDP YEEKKQQIQYQKMMKRVSFLKLQKLISDIYRLEMLTKIYL >CAK62131 pep:novel supercontig:GCA_000165425.1:CT868018:121742:122429:-1 gene:GSPATT00032363001 transcript:CAK62131 MSESLNFNLKLYRERLVDKYSKSPVETRFDPQKNGIESQRKTPIKRDQANAPSLNNLVNK LQEYSSKKAKQNDSFLFKSGKSNQNSSPSKINGLHQKPRIQSISSLSPPPQQNNLQFPAK GHQYSITQFSGIMSPQKSSFISPTHFSQITDRYEQQESFTDISSLIPLHEIVNMRTKLEN ANINVASITPTYLTEFIKLANTIQSQLKPNKRQG >CAK62132 pep:novel supercontig:GCA_000165425.1:CT868018:122444:123978:-1 gene:GSPATT00032364001 transcript:CAK62132 MQPSDSEENINNFMAITGCQDYQKAQSYIQMAQDKLDNAIQLYFDFEGVQSQGTQQKPQQ DQLQQRSNSQETPQGRVQNSNQSMRRQPQDDALIEKYRKYQEEKRAKEGIINKTFRIGAG LVSYFFKNAPNYGNEFLKYLQQQQIQTQIAFQSGNFQEKLKKANEETRPLLVYLHNHQNL LVLQKMSDCKSLVANLNRNYSILGLLNSPQVNEQFPNKPEPPAILIYKLDIVDEVVLMEQ ISLSLDTNFEVTAQRIKTIRAAFNKEYIYVENLKKEVNSPNQPNLNYYYNNQHPFQYIQQ QQQTQVQVQKQKEDQRERDLLIQQQKEAYRFAEQQAMLKKQRDEEQRLQEQTKQIEQQQK EEQRLLKKAQLLSNLPEEPANTQGISILFRFVNATRTRRFNFSDKIQVLFDFVESQEDDC FNDPHAKIDLIQNFPRLSLKDKTESIINEVFVDSEMEQLIVDEQE >CAK62133 pep:novel supercontig:GCA_000165425.1:CT868018:125273:132763:1 gene:GSPATT00032365001 transcript:CAK62133 MQIKSALLFMLVVRSWQSEQNTCVCGHLSNQEQCAKSTICQWDGNICVLKEAYITTQSQL DPSNCKIYPQEKCSTLEKCGFYLNMCTEFKDCSVFQKDQCSESSYRCVSDGEKCVEVKEC EDYLTNVACQNKNIHGKYCSWGISIKPNCQEIKDCTFLPEYFKSDQECRQGLSYCTVSKK SQGCQESGENCVDHQNENQCITNRSQTVKCYWDTITLLCKELKCENITGYTDESCKKILP ECTTNGITCTERKNCEDAQNIEGCITDKKNNKCAFYNKACMIKTCSTASSQYSNYYLCQG YDDKLDCVSVKGGGCKKRPAACEDFEQQLDCDLQESLGCIWYGDQCLVRQCSYAPQKYNQ SDCKTFGQCIGKTGGGCQDWPETCDLILQQEFCEFDKEGNWCRWTDGTCLQLMCTNFKYP KYDSHTKCQLLSKKCTYSVQFGSCVDYLCETVTDDMPCQKDYNNNKCIKRFGCTEKKCSN APVAMNTNTQCEGWLANCTVNVLVFGSVQSIRGCTKKKAQCKDCYEQQCVTTVIGEKCKW SSNKCIPKLCSDAEITIITNEDCLRYKPQGAAVPCILKVAGAGCQDWPVICSALGNEVQC NYGLYNGTKCYWNGAACKDRTCNNAIDTINSNFLCVQYNPICILDSDQLGCKLRPSNLQC SDAPDSPLYDSHEKCFVWNNKCTVQPVVGCYQKQTLCSNYLINQCNYTITGQKCKWVSGS CGPLNCELKVDTVSVYNNFCETYDINCTVKTPTTCIPLKTNCSQYVEEQSCVINSTYEKC KWIISSNTCTDYTCEMNTTATTESQCFAMRQGFKCQLIMNSDGTYGPGCETRKTSCSGLV QVVCNKTVLQDGSRCYYNSSSCTILGSENCSAIQTVGQYQHHICLYYASYCIGDISGQLN KCQHKYYCGYFNNALCNYMMSNYDECTIDYYSNTCRDKKYCSSYPQNQCSYTSLSVRCAW QSTYSYCYEYGCSTITASTDSSCQASSTMCRYPGSGTSCSLVYYCSDVQYRASTCSSTIT YYNERCYYDGTYCVSRICSQLTYPSDNQQCYKWRKDCIYSSSYTYCISGSCSYFTDVYQC FRNNCYYQYGYGCTSQIMCNQNSSATKNYDCELISPICKLNYRNGQGCEYRSCKDITSSS VCNSSRNFHWVYCQYTSGCVHMTCDQITLQSKCQLAYGYYNTAETYVAKCYWCDSLCSYS NTCALGSTQLPVSHDECQYLNPTKTIASDTFKCTLKLNNCSDYHYYRQCEYTVTGQKCFW DRNQSPSCFDYCLTKVQAGLTHAQCQAYHPQCVANAASNKCINLVCSSFSTSLSCNNLTT LCMWEPIDSVCVKYETYLYCHRFGIEADCNSGKNTSNNGCLWNSTSSKCEDRTCSNYVGV PTSLEDCDNWLKNCQFDSTNNVCVADCSSAPKSKQYDTLEECEQYYQDKICTIVPGVKKC QSLFDDCSSYLIDSMCQIDSAYNPCFWSIPLTKCFSLNSCLILETINDTHLKCNTLWKNC TVNTSLAGCIELDDCANYTIEDQCVIDKNNIDCQWFQSKCYIKSCSTAPLVLYTPTDCQA YFPQSICTSNNDSDACFEGHNVCKKYPMQACSLPGQMNTSGVPCFWDSNQSRCREKVCSN APSKFETTAQCEGFLSNKQCQVIGCKQVECEDFPFRNDETCRIAMENFRCTTNGYECIER TNCEDATLEDACTFDYQMNECQWTDYPVYQCVQKTCATAPVELKTHIECQNYLKGCTNKI EGGCTNLKTCPEIITQDGCKIDINNEECLWDSFYEKCFPNHCQGFCGDGMIQLPLEECDD GNFLPYDGCYDCKIQCPLGCNDCNGKICQKCNEIKGYYYNSATQQCQTKCGDKIVNGQEY CDDGNDIEYDGCYECQYSCDIYCVNCYQGECIECPKGFNQNGPYCLSKCGDGIQNPIFEE CDDGNIRPNDGCDSNCFVESNWKCKVVSSLSECYYLVYPKIVLTLMTKQTEQNQDVKVSF SEKVKLNSTSITPDDFLSQIQILITNKDSVDFSFNIVPIKPISYELDDASYRITLTIKEQ ILKPNLRVIIKSIYLVNQFDNTLFIEQDDIILNDSFVVSEDSKSVAANAAFLSQLIIYSV LALAIVSFLSGNLEILFNLIDVLQQLSYIRYININFPYHLDLYFDVFNFVTLQPLLEYVQ FNSFVEGSLNLETPEIFPSGKFNLFGVNAYFGVNFQSFLICMTVGFANYFLSIIITYILK KPTVGWGDTPSKISRYFYLLIMKAYQLLFTTAFSYMQYFFFSGIIRIFLSNYYDLTFSAL LQVMNFNTQSTLLKWSSLLAAVTLLINASLILIFYASLSKKNVFQKSLYVLVDGLNIGRN KWNKQFNTVTLVKKLCFIYTLVLLQDSPIFQTLLISFISSIFAIYVLLLQPFEHQFENYK IIVTEFCVSFNTCSFLVYCSKEIFYNSEMSDMLGWLNIFIFSFILTFSLALDSYSQILQL KRIFDKMLQPKVKSSNQIKIQSTKLFTVVGDNEVNF >CAK62134 pep:novel supercontig:GCA_000165425.1:CT868018:132794:134686:-1 gene:GSPATT00032366001 transcript:CAK62134 MDAKISLLYRGACLAIFVLCSEPKFNGKTKIQDIEEIQEFEELVGVKFRDNEDGDIIEEL NERFTKKQRGKEKNNKKIQNYKMTEMIGQILVIILRKIWANFSCKYIIKQQYILYHTTKG LKFISINLAKIPRLAECYRINTSEMSRQLPTVILFEDGEEAQRFPPIDEKTNKIPKIQKY GKKELQSYFEQRNDILPRKRFISLYIFCTLTIIYSSIDDRYFYNPMNSLSESEQDMTFQN KSSLISEQPAVVAKKTGTIIENSVQSLEKKTINFKIENVDNFKEVKLPDQSFNKQVQQPT QNVQKAQPQVNQNVKVQKTIDQKPTAVGNSIVEQTNTSARKKPRIFEPLNTDEKLEQTSF LKELNRMAGEEAQKKHVEVKQIQNAEDLIIQKQLFLFTNYNEKNVQQYIDKAKDQVKRLQ SQQELLLQFKEYNDKLNEIGPQCLDKDMTLLDQTSAEKRKQKSREEFKLLYTQIAKMELA SRTDYDHDCTEMISNMLKDIEIKLKLEFAIENLSRLKPQLSLLKNNRNFQTEFQAYLDLI KQSQKYESEKLKPQLELMKSSLKIRAQKIFQQIN >CAK62135 pep:novel supercontig:GCA_000165425.1:CT868018:134861:135242:1 gene:GSPATT00032367001 transcript:CAK62135 MIKKIIIIKKKKFKQSFPLPHHKCKGKILVIVQTLLQPSMIIICICMGDISKLKAFCKTF INSIQIMIPMLGKYISQNANMSLVLAVAIHFMLSRVVFIRRINIIIRITITLQLILYPK >CAK62136 pep:novel supercontig:GCA_000165425.1:CT868018:135585:136078:1 gene:GSPATT00032368001 transcript:CAK62136 MEVHPHFGLSDIPSNGSGHSLVAYESQLVLFGGIHDITWKLDDLLAFNINKNGNQLIKIL LKERKLKFLLLLNLFNVSRLTPIIRPISLRKLHVKHLKKQDPKLNLNKYYFPKNQNIVMN EANKNKILPLFLQQIMFKKERITKPQEKVCDDKTVRC >CAK62137 pep:novel supercontig:GCA_000165425.1:CT868018:136634:137693:1 gene:GSPATT00032369001 transcript:CAK62137 MQEVIDYDGLSQNLNQCPICQEQKEDNSELKCKHVFCRKCLEQYLNVKIDEGIVMQIKCP SCLYELSYDEVVSNIEKIKLDKYAKLRTLQYGESDPTLRHCPNKQCELYVLLDSKRCQCG QEICVDCGNQYHGLSSCDELMDSIFVLDSRQEKIQRCPKCKIVVQKEGGCNHMTCKRCEY QFCWICRRKYTQQHYNNYNYFFGCPNKQYSDDRPWKYPTLNKILPFLLLILLSPIWIFLG VILLVGHPFSGAYFIFNRWDNDAVGRLRPVQFVMVIFFVYFIGGIVLYPFVVLYECIKIL YILVSLLVSLIKRFRKF >CAK62138 pep:novel supercontig:GCA_000165425.1:CT868018:138420:139610:1 gene:GSPATT00032370001 transcript:CAK62138 MLQFRGFLIFDLKRSIFFFEMRRDIELDPKWFAFQKDVRKKFNEQDVLEDKVLEMSNIYG KIRGKFDDFQKRFLILIAQNSVEDIYLAELLNEIFSILIVQEHYIKFFKEELEALAFFEV EKIIQNKEYYLIQNFHSMHIPNLFSQISDNQKLLFSNDVELQMEVSQQQGQCTKEQIIFK GFTIFDTQRQCFYMLIKRGFENDELWKMQRTQISQYLLKRSKDPLQHFFLNYEMGQFLFE YDNQAKNYFILLTDSTSSQHPQYQLLSKLKALVQKIPNYQKLNKPQIENLLKFNLVEVIE AEERIYYQKYYPNWIEEEKKINRKLLRQRGMTQISFQDQGTYYNDEFSLTDFASQRVRFV HDLFQ >CAK62139 pep:novel supercontig:GCA_000165425.1:CT868018:140168:141398:1 gene:GSPATT00032371001 transcript:CAK62139 MKKDQSAACLFCQKYKQDGSFSLVLTKALYCKYAQSQNFYFQRDINDILENKRTKSRIRH YDLNMFDLENEYLRRFYKSSETNTRIQSLLEYYKYHINIPRNFNSNLINCRMEKVRNIQY RKIKKELGVQNDVQQSPAKKKDSSDDCSVENMKYLLKDLKLVTTQADYSKITNSTLLKDL IIQIGNCNEILDPIDFLEPKNQIRINHISTKSITSSNAIKLNIEKQIKSNQNLKAPFVSR YPKLVTSSKPPTIKIVISQHQQNSHKQLQQQQPINKGSMTTRVKSRQVSMGNIDLAKSGL LTSRSNQNHQIPQQHEGVNEGLMDFAIKLFSNQSTKPQRKTPFSQNNNFFVKGNNNTQLH QIPNKDELKLQFRSLRSKIISPNRQSKSHVGSPQHRKKQQQ >CAK62140 pep:novel supercontig:GCA_000165425.1:CT868018:141645:144014:-1 gene:GSPATT00032372001 transcript:CAK62140 MQARIQQLSKHVPDKRIHNYAFSTQAIIGRGSYGVVYIGRNIDSGQTVAIKAIAFQQYSA DNQALLQKEIDIMKDLDCPNIVRLIDVITTANNCYIISELCTGGDLKEYMKRSVIGPIEE SAATKILIQILRGILQSFKRGIIHRDLKPANILVANNNIFKIADFGFAKRFDKLDEDLMT SLVGTPLYMSPQVLLRKQYTSKCDVWSIGLIFYEMIEGKTPWNVRDILDLVNKQRNQKIA FSKKISKPAQQFITGCLAYEENNRFGWEQVFTHPLFENAFERQPKKETIQQVQPQPQNPQ AQAQAQIQPLQSFTELKLITLLEQELLKIVTSFNALTTNLIKVFSQTQPSQQLQQMISNQ QQKAIIQPLKPQAQQFSNTQNLTPQEAKQNKSMQIIPNVSPSDDKIKRNTISPLQLHVDN RQVQLIKGKHYLKTHASPQNEEENKNNVSNQKITSCQTQPNTQKKDRTVSVVHHKVESQQ TTSRYSDNKQCREDQYKSERNYCPPKHLYQHSDPKFLFHRQSSENDEFTINRMLIQNQIE FLLFLKKLREQLLEFYGNYSSQVSTVEKLSFILLKNVMIKTTDIKSNLIDKQINILDLRD FEHFRMTESFRNLEKSILEIHFETFNQFQETFLHLNENLNLVMQDTDFLEIFNNNFEYSI HFVKFAYNLTAQFIRSFLYDQVLECSNVKIVNFTFLLGQLAQYLQQMSFSPNDVNKLLSN CRSFKEDFRLKRVECLNLMEKLIVKYN >CAK62141 pep:novel supercontig:GCA_000165425.1:CT868018:144027:144958:-1 gene:GSPATT00032373001 transcript:CAK62141 MSLQENCSICFEDYVDPIKLPCNHIFCRDCIVVALEQRQSCPICRRLCCNYINSPFLSIT EPEERMHEHQGEPCFVYRITLHHNALTQFNFFEKRYETLEISRYVEMITEVLKKNLTFII DPQYNDCCMRVKLVECVPVHEHSIYVCTVQNIQRLKIIGFSERKIQDSNSKLKYSTTQII IDNFDEETLQLYQKLHGCIDKIIEILSLNENAKNQLLAMAGKKDETSPEKISKHSLELLQ TIQMCENGLLKWYYSTDINGRLGVILKHYESYLNYCQNQ >CAK62142 pep:novel supercontig:GCA_000165425.1:CT868018:145428:146719:-1 gene:GSPATT00032374001 transcript:CAK62142 MQNDLNISLSEAPNKQTIMVIGLQQAGKTAFVQKFSAINKKLFQDSKIPEYDIELIDTPS YDSFFTLLPFRDPKVTGYIIVFCQQNSEILKSTKQIRKKLFSINGQHKRTVILFNEFTYF DNRSSEIQEEILDIKYWSKQQDIIFSQLDIKRASSKEIVNFFERMFMKKIRTTLNQKIFI IIQFGVSIYVFLFAIWLSIKDPSLIISNIQLEIYCLALLCLGISNMFIPYFIRTGIKDSN TQCINRSKIFSCLVTVISVITLIIASMFLQLLSCLIMSILFTFNSVLCLFFTFLFMKSYF QQDQIMIIYTKMLNQIQYEQTTRFLRFIQYRFFDYSFFQIHQLSKFYDDLFKQLIYYSHS LKLFILMQQVNKLFNLSISAHTFVKVDYVLRNGNLFK >CAK62143 pep:novel supercontig:GCA_000165425.1:CT868018:146739:147848:-1 gene:GSPATT00032375001 transcript:CAK62143 MRATQDKYEHVRSEQAQAPINEIRVSAKRLDGSYIKRAIELLLGTEEIPKQEFVVIKGIE NAIPKVLVISEIVRRRVAGLAQINQIGATQIVDKYVPTEEGLVEVNITKQLSILTVKLTT KPTEEDKKSVGFQEPLPESEVVPQRQRQQGEKRERNTRQNRGAPRDDNRDNRDRRDNRDN RDNRDRRDNRDNRDNRDNRDNRDNRDRRDNRDNREHRDNREHRDNREHRDNREQRDNREQ RDNREQRDNREHRDNRDNRDNRDRRDNRDNRDNRPNNTRNTNTREQEKKQ >CAK62144 pep:novel supercontig:GCA_000165425.1:CT868018:148234:149087:1 gene:GSPATT00032376001 transcript:CAK62144 MNIYNYLFKFIIVGDTNVGKSCLLLQFTDSRFRNEHDATIGVEFGSRNLKINDKQIKLQI WDTAGQESFKSITRSYYRGSIGGILVFDVTSRQSFEDLQKWYQEIQGYACDKIEMVIVGN KIDLEERREVKTEEARRYAQKQGFAYFETSAKTGENVDNVFETMANQVLKKIDSGEIDPT QEVYGIKIGSIGIKKKNDVVQPREQPKAQLVTTSQTQQQGKSEGCC >CAK62145 pep:novel supercontig:GCA_000165425.1:CT868018:150308:151066:1 gene:GSPATT00032377001 transcript:CAK62145 MALKRIQKELADLSKDPPSNCSAGPIDDKDQFHWQATIMGPEGSPYQGGLFSLNIHFPTD YPFKPPKINFVTRIYHPNINQNGAICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPL VPEIANIYKTDKQRYEATAREWTRKYAS >CAK62146 pep:novel supercontig:GCA_000165425.1:CT868018:151654:152214:1 gene:GSPATT00032378001 transcript:CAK62146 MLPKNDNNQSNGSYSKLKLSSKSLPSTQDLKQVYLKPSERPKQLKEKSQCLQDIQQKGLR NQKQDFIEEDEIRKKVKMQYHQFEPMFDETSIKVQSIHTSSDLESSQQLRIECATLLPNN ENFMSCQEDKYFNQYDKGFDQNDRDLEELAHIQESPILKNNEFSNRKLNVKLEFQNRNPE SNSKED >CAK62147 pep:novel supercontig:GCA_000165425.1:CT868018:152422:152851:1 gene:GSPATT00032379001 transcript:CAK62147 MNGFIKDYYYLQLQRIFKQEQWLFNSGAQGDIVILLNLNTQKLESGIPLVHQFLTTMAGQ QLKKNPKMADEIINLVQDEKEKTKLITQLQQTQQQQVNIQSPKVLKAQTDKNDPSFFQNI VTALRCWDKKQK >CAK62148 pep:novel supercontig:GCA_000165425.1:CT868018:153645:154904:1 gene:GSPATT00032380001 transcript:CAK62148 MIRAVILLGGPSRKASYGTYEQASPLFPVSGVEIIGHLLNAIQKLPNLKDFVLMGYYDKK CFQYFQEKYQKLYGKNIRYIQEESEMGTAGGLAQNLEELFEEVEDLLVVHSDICCDLQAQ KFYDYHKNKSGVCSIMTVRVSKDESTRYGCLIKDSNTDQLIHHAEKPEQYISNLVNCGVY IFNQTFKTTILNVKAKKEANLSEELQHQPYVKYIKRSSDLDKSYLSLENDVLKQAERDKV YVYEHQGFWQSIKSTSDLLNANRLLLQYYGQNPFIFLSPEFEIKSDGVLIHKSAKVHPSA KLGSNVVIGAGCEIGEGVRIKNSILLDGVEVKNFSFISNSIICYNSILGYWCRIEGDVQF LGPWVIVDNELYLRNVVCLQNCRVSKSQDGGCLM >CAK62149 pep:novel supercontig:GCA_000165425.1:CT868018:154930:155619:-1 gene:GSPATT00032381001 transcript:CAK62149 MFQGINNFVNSFVTPKPQDFYSKGWMTPEQFIEAGDQLTMTGWQWKKAQVKKGVDPPHPE KMYLIANATSQTRIKEFLSFDFQNNQGQDGFLCVDMSQKQQQALNEQETRVYTITITYDR KYHCPRLWLQGVALNSGLPLKHQEIYEDIMSVYQNETVTVEEHPYLHYQQVTIHPCNHST TMKAFLDKAKQNGADIKPMQALFIFLKFMQSVMPTVVYDTTIDICLGVD >CAK62150 pep:novel supercontig:GCA_000165425.1:CT868018:155722:157463:-1 gene:GSPATT00032382001 transcript:CAK62150 MLIKTKGDVDDNNLDRMNDDETTNLEVPQFPEFKHPGQGKQVLMRPASKQFLNSPSKLED SLSGSTKITQVNSAGHAYDYIVNHFLQTIKKHLNSPQRSEVEGQIIRTLISQQAKLQYML HIDWAKYYTSYLQHINTKVPGPINNQPFFADQSSIDAIYKPNLKQDVDFVLLNRNAWMFI HSLYGGGPEVSLQDYSKGEGFENSTQKPTLSRVSSMQSGLMDTVSYMSITLPFQKSQFEL PPIGFYNESNYCFMHAALQTILSVEQINCWMMNEGKKIANQQSDKYRWLNAYLEIVTIAA NKKAGAFIKIQLLKQLIKNKFDPRQQHDSQEFLRYFIEQLTVEMQGQFKYDQPITEIAFQ GQMMSLIKCDQCNQQSTKFESFLDLSLSMNKLQTLDKCLRLFFAQEILSDHYQCENCCNE TRAVKKYVIGTPPLYLMIHLKRFQVYPNRIKLNGHVRFPISLDVQEFCSIKAKYKLRSII VHQGTSERGHYVSFSSRQDQVYRQLLYYQWYYFDDHKVYLVTEQDVLQQQAYVLIYEKVE EYLIDMQ >CAK62151 pep:novel supercontig:GCA_000165425.1:CT868018:157488:160738:-1 gene:GSPATT00032383001 transcript:CAK62151 MSQEEDYEKLLKDEDKNEKIGLKSQNWRNQDKIEPYYDQSGPSKYDAIIILILVIMIGFS GFGLLKHYNVLITSKPNKDVRVALQNNNYQDSAVQDVFNKLSDGLQSNGDSKAPKEDEIA IDSVLDSYISKIEQYNDVRTEWIDDDDDINKKIEEKLEEQQNVVQDLEELIIQQESQIQI LKPVFSKNIYTYKELQNGVKMLLIQSSIEGIHMTITLNIGKKDDPSDKPGLTELLFRCLQ TNFYIEIFNNYTTLTVQLSLFEELNAKLQELYNILTKPQFIDIDKNANDLYNDLLIDSKS GELEYVSDFLSQQAHLNELTILNVDADQLFQQYISHISADTISLIFKTSEEQEKILDKVF QSDLSKLKNLHKFQQPNFQNKYPQGRHILKFRSDTELLTLLSMSDQYSCQRFLAYLLPKP FYGAIWQNHLLITLDIDLGSVLNSINKLIQFFDYLKQADENQLQYLYSQMLATEELLFNT KYEENLISTGQNLFSDPIYALKGQESLPIFDKDEFNKYLSNLANNFIVLVGSENFQLNST YESQKDDSIFILDAILNKVHQGFTYDLKPLVKLFELNNQYQFQLPAISPFLPENLAIISV CQEPIYKIQGFGYQHVDDLSLTVKDGKFDGTRDPKFETVEYVCEFPMPDFQIDCLEKEKA AQSSLTPFQVASNVWWKPSRLGAMVFTGLFIEKPESTLAQGKTMLKLLQKYYTELSKRLF QQEFLFGYELSFKETINGLNVQILSYSEKQQEFQDKVFDLMSIDDEQLFYYVKDLLSKDI KRFHDQKLSLLTQQYYLPKIMLRPINTPQEILNVLDEVDYQKFLEFQGSLLSSKIEVLNI GNILPNDSVFQDSQETYLTRTLNLKGQNLKYIVQRESSTDMISSVLNYYQTGLKNIETTS KLYFYADFLQSYSNNYFKMGQQVLIKRKPLGCADGLQVYMQGVVPSEGNEEIEKFLKQAN LHLSNLQDDDILDQKLYTINKLYNEIKFKSLQEEGQFIWDKIVNKNYAFSEISDVIRYLD DAFPQKLRECANLVMQGQMSVQVYAVDQSIENTEGIQSLEQLIDQDVYECFFTL >CAK62152 pep:novel supercontig:GCA_000165425.1:CT868018:161130:162143:-1 gene:GSPATT00032384001 transcript:CAK62152 MGNYCQQVEINDKDFASVSIENRQQPFLVNKFDTKSFNLNISDLRQLTQVESLNTTKSQS PEKVKMVPFNLKLHRKRTLHFESIHDSNIQTPTSQIREFFEFPNGVYKGSLINGKKEGEG QFFYHDGSCFKGIWKDDMANGYGKIVFQEGEVYEGEFENDQQHGHGKYTSNQVKYEGEWK ESQPSGIGQEILKQHYIYEGEFLKGLKHGKGKIKWFTDGASYEGDFIENQINGKGTYYFP DGEVYVGEFKDGYMHGMGKFTWPDGKVYEGNYHKGKKQGIGKLTTHNLIYNGEWYDGVYN GFGILETQGKVIEGYWDNGIYQK >CAK62153 pep:novel supercontig:GCA_000165425.1:CT868018:162959:163392:-1 gene:GSPATT00032385001 transcript:CAK62153 MQYGKRENELRKPKIELLFPEELDKQILPNESVESLDSFLPQYHSSNQQQFESEHRIVLY LRQLGIEFKTDPRNKEQEYYEKSKKKGIIFQASISESPQLGPQKNNQIFQLKQMNIGEFT PWLNPLLARKKQSLET >CAK62154 pep:novel supercontig:GCA_000165425.1:CT868018:164816:169933:-1 gene:GSPATT00032386001 transcript:CAK62154 MIKKQLNKISSLYDLWVMTAIFVRGQFVCSQAIEIYLLLTNSLQSLLMVIHPTITQIKDF QINSSIDLIYTFIIRIEIYIFTNYQVKEELIYLFIFGLILISKLGFILLMFILKNHQHSD KFVQLIQHHLPLQIFIRIQSCYQQLLCPIFIIPFNTLILLSIKRSVSLIQAGQTLQYINL TLQIITFVLLQLDQIMICLINRQPITFKMRPMERSKVTESHLIIYIFSTISVILFALMET TQSIAILQCILVCFIQVVAIRNQFANSIYIYRYQEIILYSGHLFQLLFCILKFIENFTYT INYTFLLLNITPLILVYILQNVNQVKTQQFLVLFKSDNTPNIKEVMNVLICILNKCDIGD HYVVIRSSLINYFHSKQCLHKQCSCTTTFYIAEPRQANAITGQIFRNFIFEKISIIQKVI NKKSSEFYCNQELLIQYAILLHDFGWTMLAAKAFNKILINDTVNPPINSNANQQFDKTNI QKYNKEMTIRSTTQLYRRLKSLDSQKEKDNANEMKLYFKITLGIIDRVRIIQLFNQTKWN MKASFGSSLQAAQQTFISDSINQYLNYEFIIQTVKQMIINLINSKVSFFSELIKAQKQFS LQKSLKQTNDLCSNLNETENYIKIQFEKYPSIRLQKALTFFLAELYSNYLEANKIYNYSI NGDLKFIQNNHSALNLSQQQSAYVILSLNEDLKQLEVQTISNQMLNLIGKPNQLNTCFRE ILPLFFYQYHPLMVNNFLSTGKSRYYRNFSSNFVNCHDCLVKGIQLCYDTTSVFHHSQLV FVAFIQELVYEKCYIIVDGFDKSFVSLSFNFLQKIGYDDNLITKMVKHKYLNEISIYNIF PLFDEALQKKQEDNRFLVEKLFFFDYLKVRKSTMVEDKEKRMSNLNPIIWKQKEKVLSFI AKLNIFERNHQDFSYYVIEIQDIQQLSGQNNTFEDEIYEQESYISETSNISYSQNCIISQ GNDDHPNQIYIQDLNNQASLFSPLTTMLNNNVDQSTRQLQTQMRETPQNQVIDNLLNQYE INESPLKIKRKQQQQTFYNCKFSHLLPYQDKSSIKSQEQNDDRQQQEEQDLHIHQQAQSQ SSVASINQSLFYKKYELIEKITSQKPPLKINQFIGFLLIQNLIQMIYFIIILSQMPTDLD NSIVDINMIGLHSDVMAPHDLYFSMRITLSSYQQALSEGFINQTLFKQLTDPYYDNIYQG YLEFKDSLYTQLNNPYLQLFYNDYDMNIRFMKENETSVISKQMTFREVLLVVLQYQFAYA KKYATRESPSGSPFQVFLYANYFDLHDLLTQISDEIFVYSKERSNSVNQKWMIFWLISIL IVILANMISFFYYNQYLVQYDKFLYLMDYLSLDRLENEITKLKLMLSQILHDEKMIFDYQ FSFEYCQNKVQNGIGNNSQHKKNIKLKRVFKVSRLKPALILLFFCTIFVSYSTLVDQSNQ SFFRKYLSTIDFFKLISDLKLRSGGVLMQKEIFYRWDNFTYLTDSDKQKLYILIDEALSI MNQYVLQVNSFDFDQLIVTQTFIDYQTNVLTENLCEVLADNYKAFMYNQCNLAFEGTLKE GTIQTLNYITNQVKAEQAINNFTHRLAYNLYEQEGSQVITRIFIQLNDQLSYGIEEITNV QLQFSKSLSIVYFVIALAGLLLIAKLLRQYLIFQQYLIKKIVNIIPLQILIEDESYERQL RLLLQQQEIV >CAK62155 pep:novel supercontig:GCA_000165425.1:CT868018:169988:175024:-1 gene:GSPATT00032387001 transcript:CAK62155 MYAASKQKYIKFSSMLDQWIKTTYLLNQQFKCPNVVQYLVFSLALFQPFYFKKQIEVDEN IIYKLLQMFIRPDIIIIQNGYFNGEFYIILLLIWLIQKSLFILICLLNSFYETYFKKYLQ KDIVYFLGIYFILPITRIFLYSFNQSLRDFNAGLTSSILKSFLCVFGQVFYAVDTLFFMR IDHHPTSFKTLKCKQFQISNFDYYILKIRLLQVILSDQSQSIYLQQIQQIVIILLSLFQV INQVRNCGFIYETQKKIFLASQAFLIMNGIYTIMSYSGVNQQEIQLMSLLTMPSIIYIFN KFQENQDIKHFTAIFLNKNNDLDQIENLNINQILYQLVRILNNCDCVQSNKNFKQSLIYF HHKKQCKKSSCVCELDYNITESTQINATTSLMVNNFMNIQYKQLIKKLFLTKMKNQVKQD LLISTAILLHDFNQMMISLQILSQLKKLKENQVFNVSFLYHFMNQKDYKEKEQTKIKIML SIIEQVQINLVLQQSRIKFEANLGTSLRAASQTLIRQYLNEFLISQSNQQELLVGMKECV KLKIQIYEILDSDSGYTFSHLFNKVKEASEYLLKIEQQFYSLFTLNPDLSIQKAFCYFEA QIMNNLLAAFNIKQSEVNLKENQIKYRGIKNLNLSADISSYILISVDENFRTFSMIQTSH NFFKLFGVTFDFANYNFNDIIPQFYNKYHETSVQNFFHTGQNKFYRNFNHTFIKSHNGLL KQVSLCIDNTQIFKIDKFIFVSFLQSVNTDLPIIVVDGQTQIAYFTSQALQTFGINEFQQ KQFIEDGALHQIRILTMIPYFNMLNKAEMNTDIVTTLCFFLKPQYSQEAILTQSSIKKDQ NYYIWRNKENINCFNIEIKIEKVIVQLAYYYLLEFISLKQILSYEERKKMLEIYKPCLGE STMSKGSKWKGKHLKIMSLLSFSQFGQERKKNNLRIQTSKLKQSILLNQSQEQMKNLNQS SFIQQNQSYSNLLSSRARNAQEFYKSFNKSFVESDLQNSQLEKEEYSPEKKKNNEVQNSR INNQQLERMGQQSSSVAGFYRPFFYKRYQLFEKMTEKRNPKKIDSFLFFFIGQSLIFFVF SFLVTINMTSDMIFIIDNIEMGSLHASIMGPHDLFFSMKITVSSYQQMQREGLLSQNQVD QLTNPFYVNIRQGYLELKDSFYRQLRNVYLQEFFNDIVLTLRFMNENETELQHMQTSFRE SLLTILQYQYDHMRTIEQRQSTSGKACQIFQMANYFLFHEKIDSIAQDIQGWLINTKEVI DNKGKIFWIILQVLLITFGFVGLCNFNQYIKYYDQFLLIFNEFNKQLIYDQLNRCETILQ QLQSNSDDIMRYHFDQELYEQEKTQTQYGRGKVHHLKLLNRNRQHLSRFNVYSVIVSITV VYLIYTSVIFYKSQTFLSKYNDTVILYKIIQDLKLKSGSIYLFKEISLRWDNFTFLTQGE RDLLYQYIDQSHYVIAQYILLSSTYDSSQYLVGNKFTDKFIDIQQNNICLEIIDVRAHLF QQLEDFMLFYCDKSFEDTLSKGLIQTLNSISNSIRSQQQINNFTKRVEIELYEQEGSQII TKSPFKLSDLLLQSVKDTCNEQNNFLIYFSLIYLLISAFLIVFIKQLYRKYLIKEYHQIR QSLFLIPKESILYDESLERYLREIALHQGLI >CAK62156 pep:novel supercontig:GCA_000165425.1:CT868018:175865:176866:1 gene:GSPATT00032388001 transcript:CAK62156 MSTFQRPTSSRKNTNTLPAQQGDLEEYIQILYDHQKSCEKAGKYLEADQAKKRLAELKKE LDYKNKGDVKDRHCSEKQEIEKAHCEEFNQFNTFWDQKMIEFDQEAQKVKEQTLQRHEDE LRQFSEELENSIPVKPKDSAELLALRKTEEQLAKQENYMEAHLIQQKILAQERDELEKWT AGRQQKIRNLITQLRQKQINELNALTQRILSGQEEQRKIRSQELEKLLQKYQNVRKELES QQVQEMTRLDKSCKNQSIMQQSRMNQSRMQQSYAKDENQYIR >CAK62157 pep:novel supercontig:GCA_000165425.1:CT868018:177015:177491:1 gene:GSPATT00032389001 transcript:CAK62157 MQYLGFVNLQEGNWMNNELQFGFCKFIDIEGNYYQGEWKDNQKNGYAMEYWISGDSYEGQ YRCGMKNGTGKHLRANCNSYEGSYMNDQIQGFEKQKWSDGQEYTCDWFQNYMQSKGTFEW PNGNTYVGIYKENKMMEKVFLLLRWQNNQRDLDIRQKK >CAK62158 pep:novel supercontig:GCA_000165425.1:CT868018:177617:179590:1 gene:GSPATT00032390001 transcript:CAK62158 MSVQKYKKSGSCKSDSAYSFSQDVNLLQDYEYLLQKSAEELTKVKEQNQLLEQQLATVQP FHHNQQQQQYSTFQFNKNDLLIEQLKQENADLKLKLETKTKAHKKLKSKLKQYEQIMQEN ENLKRDIQDLLKEKINNKENRQNFLNQSSKTHQTNIQQQNVNQASCNKFKLDLSLASNFN FKGLEEADKFLQETKIQSSRVSKLQTIPTPKRCQEIQTIEINFSDFDLQNTLSLQQEFVQ FIEEAISKAINQLNQRIQTSQIENFIKSNADLESQIIELSEEVWILREKNKIQQEFIKQL KSNLEQYDQRDFQELIKLNQQANDVIQNQQQEIQSLQELVIKTEQELQQKSEIILDNIQN IEKAVKQLTIQENIQDENKENQSCFSNQKIQKKQIKYSSLQSTSTLSKQPPQPSVDFQEF NEMKTSFQKIFTEVEQFQQLLIEFQEQEQNNSVSNQELFELLELFQEEFSYRENTTIKDQ IKYYITQTLNQNELLKNKYLDLIYKVILNQIKTDTRRTEQLVKNDQKLQDALKWLLQNTK RSQSVQTIVYNCDILTEMIVKKLNNSTRMSELHEIITMQMKVIYTLLQSLQIGKNALQSS RTVLVKNEKQENLMNEAIQDLKELNKRISNLPDTNDDLESADVKENIRQICNKFLMI >CAK62159 pep:novel supercontig:GCA_000165425.1:CT868018:179766:182003:1 gene:GSPATT00032391001 transcript:CAK62159 MSVYIWGTTPSQEETTTPKQIIINFQITKVSCGEEHMLFLSNTNQLYALGTNNYGVLGIG SDDYSERSLTPQLIQSNIIDMDCGWNHNISLNINNNAFVWGKVFNDITQPKQIGQSIRYV KCGARHTMMINNKNQLYALGANDSGQCGVQNTKRINEVTHVMDNVLTVACGVSHSLIKVN QQLLACGSNNLGQLGVQGVKNTFKPLLVDIPKVDQIAAGNHSAAICSGNLYIWGSGCFGT FTKPTLFLPDIVDVKINGTLGLGVDTQGQLYSWGSNVNGELGVGDTQTRTEAVKVLKNIQ HFGVGINYAIAISKRPQPVTTEKEYLTKTLQIESDERSALEKINRELKSKFVELEQCNSD LRKLLDVKSEDINNLQAQVESYTNTMANQESQIKDLKQQCQRHQTFQKDAISKLDKYEEF IDELENQLKVKNEEIDGLTNQLQNFKDSLKQYQDDETSQLNQFKNQLTELTEQLNYEQQV NEQLVEQYSKERESFQQRGVLIQQYEFEIGNLQSQNKTLASQTSSSLQQHQKLSEGLTIA NHRIESLQTQNAKLMQELSLLRTSIYSDLQKPQALEVQTIIGLNKELTELNNQLQNKLRE KDAEILKLKSQLGNLGTLKDSRQSLSSQMKTSQYQNQLSQQNLNIPKKQKQESPNGKTIQ ELLESAHKHAKYMKKILKSSENFESDTPSPKKKLEFSKENSFIKNDSLSEVRDRLNKLQQ NRQLYEQKLRI >CAK62160 pep:novel supercontig:GCA_000165425.1:CT868018:182054:184729:1 gene:GSPATT00032392001 transcript:CAK62160 MNYHQRIKELCPFNIQCKDEVCNFLHPRCLAGVCIWCLQNNKEKCDGTLLNLSNKELRSY LQQQKINGVFAMNLCKKQNQCEDKQNCRFLHRKWAEGICIQDIIDECSNTETCQLQHVSW ELVKKEAYAQFNIHNTNPESIENTGNHKLPDNLCIQYLTGVCEFYTSCSKIHVDWECLKN SSYTDIRDTRRKSCLFEQSTSIMVQSEKFTRSDVANAYFDKIYTNQIKKMVQKAQVIDVL FIIDITGSMQRWLTSAKSNIHKIIEEFQTQIDKKKNIVRTAIVAYRDFGDEDNMLYREFT SDTKIIFEFLNQLQAKGGGDDPEDVIGALEKGFRLNISKDVESVLCTFLICDNPCHGPYH ENLQDEHFDKVKKGDLEKIMQKYFNLKKFNFFTCYKITDATDLMFNKMQTTFPTLKITVS TPDDFKQQVIFSLQQSLKQSETKTSNTQQTQRIRANFSKSKPFEMSNSLLKDNNIDYWKN FQKQQEQNSVEGETLLIINKNQEILPEKDRGQLCQIFKLFDVVLNRNLVLKLPYYIIESY NKQKELSQKENEDYQLFIKKRYISLLIAQQLADSFRQKTQSIEGAPPIFYVSPIIYELQT PFMGVTKLFAETYIDLPNFCEWKKYTNNTKYADQDEYYYTSFSHYSYEATQGKLIITDLQ GKNNILSDPSIHTIDEEIREMLGYDETDFKENGVLLFFQQQHRYCHNFCKKLNLKPFQDC QELSSNSNNIIEINKSISWGCDDVVYVICQVCGEFQDLKYDDYLKKHSCTCDNCLEDKEP ILLTCICCRKQFKCFYNQEVKVGKIPSKCDQCISKCVKGNLECVYCSCYCESRVNNKQVG DDKIAICNEGVRFLNALKCSKCNQLYNYEVQITADDYKISVYVCKNCKQLK >CAK62161 pep:novel supercontig:GCA_000165425.1:CT868018:184730:186094:-1 gene:GSPATT00032393001 transcript:CAK62161 MKGAHKQFAKTMETSLGENRVKQQQRSLQEGHQEIIKNLMSTIIGKPTIVEAQRVLRVLD QLIQNLEYCLYLDTEFIGRFQTGKFLKDAKQPLTEETMKLLQSQAEIEQKYRPYANLDTA LNQGEEIDETKKMESERLENLLQENSKNLLRRLQHCPKDYDIIKGMKTNINTEMSDLLHC VKCIKIVMLKKLSTAQEEQNSHVKQLEDLKSKIAGQEKTKSQLEQELQKIRQERAANMNK MKEEIEKLKQSIAEVKANKQKRQDQLAKEIQTKYEALEKDHKTKEDKLAAELQAQRARFI KMKDENTQEEATLKRRKQVQDQSLSEAIQIYDQMMEEYMKNLTDLQSECASIEKQLKDRQ EYFKAVDSEMGRERQLEEEFRRLKELHQIELEKKSEAAKHIQAFLQQVKKPSKKPKKAAK K >CAK62162 pep:novel supercontig:GCA_000165425.1:CT868018:186135:186606:-1 gene:GSPATT00032394001 transcript:CAK62162 MASLSSEGSAKDSETLKELLKQRPRIQKKQQATKINKCNSNPCWNQEDLKKLEKCVSILG TDFDLIQLLFKNRTRKQIMNKYKKAKIQYDRRHKEKFLRIQEVLKKEKEVQRHRLGSIDS IDLVINLNIIQNIALDIHELSTKKE >CAK62163 pep:novel supercontig:GCA_000165425.1:CT868018:186672:186965:-1 gene:GSPATT00032395001 transcript:CAK62163 MAKHWFTTLPSNYFMRQSRKGGRREILFTTLALGLAHFGLFEPLRNSYYQRGVLPVWERS VVNGQPWTI >CAK62164 pep:novel supercontig:GCA_000165425.1:CT868018:188437:188834:-1 gene:GSPATT00032396001 transcript:CAK62164 MSNRSKQSSSKGPDKGAFPLDHFHECDNEAKQYNQCIQKHENMPKRCRKYQVDYLQCRMN NGLMEKEDLSKLGLGPETSWETEEQEKQFLFDKINRMKTKAMEEVQKKTEQSKQQV >CAK62165 pep:novel supercontig:GCA_000165425.1:CT868018:188879:190662:-1 gene:GSPATT00032397001 transcript:CAK62165 MIMQFQIIGKNGVGKRTLIELLQQQFQLELVTSRPDVAIYLFDISDQNSLEYLKNRYNSE TQTKSTYLIGNKLDLIDRRKVSSENALKFSAKYGMSYHEVSCITKQNLSHLIYALQLQMQ QQSVNMSQRHQKSSTISVLKFNRISQKNGNVSQTESEASPNSIRIDNSQGSNQQKTNSTH SFRQRELNVNQSQIQMRRVQTYVPGDSDSPLRQNQENQSKSNLNDSQSSPSIRQDQVMSF SNKNTNTLQQTQQKYSEVNLTKFNQIGDDNTPKNSRISRWLENDTNKNQNFNSQVIYQRS TSKLQSQIYTSRSAFNEMSNSQDKFTTIQDSMKNGLEQFKQFQVEIEEKCYSEIQTYIQV GCEKVFDSFNQDLFQQQEQILKPDKVAKNRDSINIYDISQFIRQENDNNYKTKSIQNNYF HHQTKSTILTIEQNPQSSQEYISKMATNKSFNYPGKSTNRNSYRNKSQNGIVVQLREQVN TQFNKTPERQLNYKSITPNKQLIKCVDLEDNRNEKLFSVQFKLKTGKAINVVMKKNDNLI QIAQENAQKYKLEHNAYIQLLKILKDKYRTILENQHM >CAK62166 pep:novel supercontig:GCA_000165425.1:CT868018:190687:191245:1 gene:GSPATT00032398001 transcript:CAK62166 MTSPSRLQIEQALELEKQFEDGDTQYEQITELALIYRQFIEYYDLNNNPMKQYFIEKMKR LMQSPCTMQTLIVSAPSTRRNSPTGQENLKHYYQNYQYETMKNQTITPRGIKQLQNDFLY QKENIEKIINNDYAKQLQQVTEKLQQRKQKKGPSRKSPNRTFTKIYKPPTLDMLDL >CAK62167 pep:novel supercontig:GCA_000165425.1:CT868018:191471:192136:1 gene:GSPATT00032399001 transcript:CAK62167 MSRFCARREFNLEYYSKLNGLEQSFFREEIETKELMQLILRIAELVEYFDLKKDPVKGYF LEKMQYILSRPYTLNNLKKKEDENTINKEMKKSRHSHFSSNVQKLEMQSLLQSNSEDNEG TIKLLQEDYEKQLNNQTLLVQMDLDNQMGIITSKLRHRKNKSMFSPSNRINNQGDQQRGT TRQNTCSSRNSDRGQINHDDNICQILTTQNQ >CAK62168 pep:novel supercontig:GCA_000165425.1:CT868018:192155:192680:-1 gene:GSPATT00032400001 transcript:CAK62168 MIQCLFLVSRQGKTRLTKWYNQSLTTREKQRFLKEINSLVLTRGQKMCNFLEYVEYKIVY KRYASLYFIAICDKEDNELLILEIIHHFVEVLDKYFGNVCELDLIFNFHKAYYILDELLL AGFIQEPSKKIILKAITSQEALIEEGNDDQSK >CAK62169 pep:novel supercontig:GCA_000165425.1:CT868018:192713:194378:1 gene:GSPATT00032401001 transcript:CAK62169 MLLMNESINVTIPSYQQESSNVQYVIDIKTRNKQQWQIPKRYSQFEDLHKKLIPLFQELP ELPKKAFITFLVGKSKEQLEDRRAGLEKYLQQLIVRREIYHSQLLRDFLQLEQCEEILPP NLLHSFKTIMGIRDVAQSDQGVMFLLQADMSVLNRVDAYVNNMKMPWDSEQGELKTIPVG QVECYIKQEEGEFCYKKLWTKEYSTQAICMFYEPITCTLLVGLDSGNINFIKVSERDSFQ KYEQSIEIEIHMARIMGLFYRNGQIHSVSKDTHYKVIDMDQGGLKSDFSIGKHELTSLTY NEQRQISVVGNRNGQLYILNIKPAQPIVMLTIETSTSFIRGLVLDYQKNYLISVSYDDGV ICVLDVGKCLQENVGKIKTKIQAKIKTREVQWSSKRGELFVGNDDGTVTIYNALDLQPQC NMFSYLPVVLKAHDKAITKLIWQESTQILVTGGKDELIKWWHFPKKWKAGDQDEQVKSKQ LIEAKMDNILKLQNQNQKVDSDEEDETGLGNWHK >CAK62170 pep:novel supercontig:GCA_000165425.1:CT868018:194563:195712:1 gene:GSPATT00032402001 transcript:CAK62170 MDCIQKIFVIRRLPILPKKNFITFLVGKSIEQINERKYGLQRYLQTLASRQDILDNDSFQ QFLKLKQPEQLIKEELFKENIIEQVQSPLKIKNQFEEIQDNQPKPQQVFVGKRDYQQQTS FIENQIEQAKNNSFEQPDNQQSSDIKQNQSNDDAELIAQMKTQLQEFYDQITEKDAIISN FNQKELETQEYYNQQIYEKQYRINELNGQVSQYQESYAELQKQYQDMQNYVEELKIKLQE SQQYAQSLEQQFNEQQNLISQQMASIGYENLNQTQLSQIIIEQQKKIDQQIQTDRERELE FKHELEKHYIVEAQRDELFQENQLLKDQLEEELQQRNEQTEAIEQLKLEMEYLQMQLKEK QELLDIKNELS >CAK62171 pep:novel supercontig:GCA_000165425.1:CT868018:196037:197779:-1 gene:GSPATT00032403001 transcript:CAK62171 MDNLYLQMHQQPIKWNNQSRSTCSSKEQNSKEFDWNDIECLYQQCQQQDQASNVTNIIKS SQDSEFNLKMNQLVRTNNQSSQSEVNENQATLEVNEDEKEKYNVQPQKIALKRSNSSYKE HTKSFSQVILEQEKFKRYRNSKRSAKTLVLISSKRSLMTPLFKTNENKNVITVSINYLNS RYSKTLFVNKEILACELIVLAIQEYKRTAEFDQNLLKYSNFSLAYQLTSFDDYCDFSAQP SFKDSLASNQIMKQNDGQQYQYITDNVGMNMDREYFNLELNSKINFKRLTLQISDTSMFG IDLSTIEITHKTYPTQIILLIEDTQNEIFYNLKFDQNTTILDIYKQLQKRNNFKYNQSEC IFLLKYPCVNVNNQEPLDIKFPISLLPIHWLIIQCKASSDHLNDPNNNYGFKTSSMKSKT SSIIQKDDQLDQKALQMFNYQEYKLLKIENNGLISEVIIGIDFFDFYYYYANQKQQKYSF YKITKWVVQFLLQNHYSGQSKDYKRIQISSILKVGLKNNQILIIKYLKDDEKIKVIKFMF PQENQDADKIKIKEESQKIKNIIEKLQFIIKERRKNNHLL >CAK62172 pep:novel supercontig:GCA_000165425.1:CT868018:197963:198523:1 gene:GSPATT00032404001 transcript:CAK62172 MDKLQQLIQEFFNVLEQNFFLSREFNKIFVSQDNAKQIAQEKKYQELTIKLLEFILSIHK SNQHSALQDRIAQTQKLLLNYHSQINQSQKREIQPLNQNFDIPTVSNYKSNPVSPRNVQI NQSKSAQNLHGLEKRQKSNQNPVQGMTKGTPNSSSSQRFFIEDIPKMGKSHVKDDQQRNY NIITGM >CAK62173 pep:novel supercontig:GCA_000165425.1:CT868018:199055:201019:1 gene:GSPATT00032405001 transcript:CAK62173 MQQVIPAPRVQVTQPYAGQKPGTSGLRKKVSEATQPNYLENFVQSIFNTLRKDELKPKNV LFVGGDGRYFNRQAIFSIIRLAYANDISEVHVGQAGLMSTPASSHYIRKVNEEVGNCIGG IILTASHNPGGKEHGDFGIKFNVRTGAPAPEDFTDQIYTHTTKIKEYLTVDYEFEKHINL DQIGVYKFEGTRLEKSHFEVKVVDTVQDYTQLMQKLFDFDLLKGLFSNKDFSFRFDGMHG VAGPYAKHIFGTLLGCSKESLLNCDPSEDFGGGHPDPNLTYAHDLVELLDIHKKKDVGTV PQFGAACDGDADRNMILGRQFFVTPSDSLAVIAANANLIFKNGLLGAARSMPTSGALDKV AAKNGIKLFETPTGWKFFGNLMDAGLINLCGEESFGTGSNHIREKDGIWAVLAWLTILAH KNKNTDHFVTVEEIVTQYWQQFGRNYYSRYDYEQVDSAGANKMMEHLKTKFQYFEQLKQG NKADIYDYVDPVDQSVSKNQGVRFVFGDGSRIIFRLSGTGSVGATIRIYFEQFEQQQIQH ETATALANIIKLGLEISDIAQFTGRNEPTVIT >CAK62174 pep:novel supercontig:GCA_000165425.1:CT868018:201137:203335:1 gene:GSPATT00032406001 transcript:CAK62174 MINSNEVSPVKMSQEQKQDIMRSILKEESMINITLKPIEQEVFLTEDPQVALIKLKCFQE LINKERLAYAEHLAEVFEMIGDDAYRNLGFILKQFVQDSYSIQLSIIRQLPLLVEQIKKR QSGYAELKDHVLPFMNIFIMHEDFDVNISHQTQIKKEVLTQLIKIAPFLIEQDKVDTILA HLIEMAHDEKNNQNRMLAATTFGQTASLFSMKYCESYICGELLALGIDLNEFVREKAIEQ LPNLSVCLSEKCIELKIIPSCEDRLLQIKFACIRSISGISKYARHNLKTTKLIPKYLDFI SDQNKEVRKTAYEYLGEFISTIKFTDKDQQQLETLLAYYQNQLDKNMNMMGVDLVYKCAY YFPGVLQAIGRARWLKIQPLYGFLLKYPSDKVRISLSQSFHEVAKLLGPDLSKEYLITVL ETLAQDKLQEVRNGIVVNLSKFISIFPIEERDYVIESIYQTFQERLTQMANSPDYLCFNN DTIFFQIAPILFKFCSDTVAKVRRKASKNVVHLVETFEEEGEQRTVILEQIRAFSHSKKF NQRQSYCWMCYKLFYRPDFEDLFFERLFELCRDPVQCVRLSAITVIDKFIQKESFSKERK YLKYLLVQFIDDQCKEVQQILTKYNFVIQKTKKKTLSCRSRNSGSSNGHLEDIPEFDEKI EQIRKTSGKV >CAK62175 pep:novel supercontig:GCA_000165425.1:CT868018:203723:205082:1 gene:GSPATT00032407001 transcript:CAK62175 MDSQIQYKEEMTKIMQILEKTKEKSAHEQDLFKNRMLEKDDILNEAKKQFEQTTKQFENE NAALITQLSYDIKLLFYLQANKTLKEQPKKICDLELKIKDLNPNCKKAIDNIQIARTLKQ QYTLLSIAKKSIQASSKHQEQLIFNDEELQKSKQLQQRILQDNSYSKLKLESFLQMNSKS KSNELENLKNQILEKDQEIELLKQQQKSMATQLKISQGYATRFKQRNKQLEMENTELLKS KDNLETLFLEILDQTKQNQMQRINSYNFHEIIPYIISALSNNPTKYQMNLLTQRNNYMQE NTSTHSQENRSSSQKSNNTTPNKVAKTRDVSFKRTILVSGSKKTTHSVSQENINSKQSNK ALNEIQEPDESQQQNKSQSSQEPQEQQILQDQQQSLQQQQEHKEDQIYEDQENNEEVADF IDDDNDLK >CAK62176 pep:novel supercontig:GCA_000165425.1:CT868018:205356:206279:-1 gene:GSPATT00032408001 transcript:CAK62176 MNQDPTLTNANYYKASPQNYDKDHQVEIKEQVKDLNEEFIQGKIKKGALMRNTKQIKYDM AIIALRELEIFFQYNTSSDTKQPILEQPSKIQECRKKIEEVIYEVERNMVQDVDKKFNSF FQKKEHTSDTNYFNIIASQLFHNQKVKCKSKLKECYKLLSINWINLLDFSKNDLQSKDQS ESQLKDYQQLKQQLLPKSSTNSNNNNQKCDQNRNKYHFLYVAVDLLDKLEKSASTADEII ELLKKVVEKKYQKYTQKKVINFTKHDITDLLYCNCEFDEDAMKELEDIMYKPFIIPDSIN KKVKLNK >CAK62177 pep:novel supercontig:GCA_000165425.1:CT868018:206320:207254:-1 gene:GSPATT00032409001 transcript:CAK62177 MHLLRWKVKVVEGLKLDQFLQCMIDKTLKELLEEKEMAFSVYIQQIRIIKRFNVLMTISL PKTWIYQLIYQLIYQLIFNGFNTFQPLKKEEICYFKGLQIIGQELEKEIKRMREECKRKI QKIITMIDGMKEEGERKVEEIQIEEIQSRIMKSESIREENYYQLKESYRMLKEDYEKIYE IDRKIKEDYEKIYEIDRKIKEDYEKIYEIDRKIKEDYEKIQEIDRMIEEDYEKIQEIDWM IEEIDRKSQSENINNEQLVKTGQQIFQNWVFDYEIDDKMDDEN >CAK62178 pep:novel supercontig:GCA_000165425.1:CT868018:207266:207924:-1 gene:GSPATT00032410001 transcript:CAK62178 MERKDLLAANAHIFKKQGEALQKYSSKILKVLVVGNPANTNALIRAQFASNIPKSNFTAL TRLGQKRAQSIIAQRVSANVEDVRNIIIWVKQETVQQNGISQTVRGLVANDASLQQAFVE QVAKRGGAIIQKRKAFSAASAASAVCDHIHDWLIGTDNGIFLFGIKEQV >CAK62179 pep:novel supercontig:GCA_000165425.1:CT868018:208003:208980:-1 gene:GSPATT00032411001 transcript:CAK62179 MIKSQRESQERSNQEFPSLSPKKSFSMVKSTNIIQISQSSQQSILHQSLKSKQALGTLLN NKLNLDNQSSELALRKSFRQEKNSLCTFVPNKRAMLQSQCMDVSTQIRQISFKETNLMQI LNQISYIINELKQYKSEKGLTSLIEKEIHSNSRFHDLSFLFVDAKIKDLVSSEQLFKKIY KQFTYTSDNRTIVKRSKLDSNFLQMLIEFLNKLEEMFRKVHESQFQGLQESMKSSRIKLL NKEIEVQNLFTSQQKRDSYQQLQSFLQSKFSINKRILESKLFQDINDLEEKNGQFKYIDQ NNRFISNKIDDILKNIKF >CAK62180 pep:novel supercontig:GCA_000165425.1:CT868018:209243:211178:-1 gene:GSPATT00032412001 transcript:CAK62180 MKAKIVSLFLKREHLILLSKMLQITENTTDQDIQIQKIDSQNQKSENRILKTERWPQQDL DYFQNCFLQIANLTFSYFKFHFPANSALQIKEHIKFVNVVKQINFGGVTIEEVFKQQRTR LNLDVSKITKSIKLIDEPIMPKLMNSKIDLDYIQTIQEKYFFPKNNDFMAPYLILNLKLH IHKTTARHQNNQLSSSITSLEDIPPFQFMNSNLIQPILNYESLTNSFEHKLNKLMQRNSL VQYTIKRYSNKIKQFEIKSEDDHTDTSLKEIKKLFCVQIKQLCDKKLIQSQIDTNLKLLN SQSFVDFSPKITPFQSIRVIESQIFNQIKEQESQEIHPISNQEQCDSKRVTPKAKSLNIS QQYLQDSAMKCVETQKNFRFNQNESFNKLEQRLIKQSLKSLDFQQSELLDQSTGRNYRKI NTQKLQDQSEKSQSNHRFQNIPHQTIEPPTSQFDIQNMDIQKSTLSNRNLQQKSLDRITL RPLAYQSARLQEIKDLNLKESSFPYIKDQLPNIGLITNDSHQQKLKESESKFSLQSNLLK RIQSNDSLKDMLLLQQKNCNLKNLLQKKLILLTHSEQILTTLMSNGSIRGTQLYQQLLQP ITINSNAKILTPKSNNLISRTKFRRMQQESKIFSLK >CAK62181 pep:novel supercontig:GCA_000165425.1:CT868018:211362:211782:1 gene:GSPATT00032413001 transcript:CAK62181 MRSNSTAYTPQNPEIFHKGHIHFFDLLSSFHGLEDQPDYPVQQQTIQDKLRHQYLHDNNW EEKLCYRTCFKIQEKDYVQFCLDKKCSGAPYLKAAQVLGYVKSGNPTPKAHGHHHH >CAK62182 pep:novel supercontig:GCA_000165425.1:CT868018:211970:213746:1 gene:GSPATT00032414001 transcript:CAK62182 MSLIIYNNEEYIQLFLWNASILYFNAQANKPGTELKMDQTYETFNFEFTSNEHVPIYEKW TKGEEQEYIDIKLQAIENIYLDNYDQQLKQPEEINLINYIVQENDTLFGLELKFNINQNR ILQLNDISPECFVPGMRIKLPNPQEQEIQQDQTENDLNTLNQNQSSYFGRNLMDEIMSKG QTKKFNVFYLTHYGCIEGILTINNDVILFDPSFIDQNKEIVKRSQKQSILNFQACMMTKD VRSVDLNELPMRIAKSSNKCFKDYVILIHVNSNVTTKKLVEIIPILSFRIQNDQNQKEHI QLSVDLYETLKQVVRTKSQKMLEDLQQSKEQNLTIIPFYDIQDSLLTEKLLKRTNNLWGA QDYLPQMMQQSQICDNDELIQIIAHVPSIYKTSNWKLIFSNVINGSSFHTLLHKCENSSP LILVIKDVHECKFGAYLNESLKLTFGKFFGNGETFLWTLKENEFKAYRWAEINNYFIFCE SDGFAIGCGDQFGLYINQSLTAGNTNKCETYKNEILTLTNDFSIKILEIWSLSE >CAK62183 pep:novel supercontig:GCA_000165425.1:CT868018:214184:214504:1 gene:GSPATT00032415001 transcript:CAK62183 MMAQSPKFKIEKMAPLSKHQKVLIDEEPIDDMVEVDETKISFNEKLIVCDYKPWQSVIQI RKTIEKLKGYSNVHWINPILDVRLKNPSRSILKSPSKLSSNFAIQK >CAK62184 pep:novel supercontig:GCA_000165425.1:CT868018:215847:217210:1 gene:GSPATT00032416001 transcript:CAK62184 MLAIQRPDKTQSSVQTIVGIVNSMVGSLCLVLPLVFLNYGVISCTVIMIILGFVQYNTCS LLILHLKDQEVDTEHMIKRILGKQWMQAFRFCSGTLLFIVGIIYFQLINLTLYPIITYIL SYNDIEFADASEHFVFNKFSIQWQALIVFLPLSTLLLIKDITTIVKIAHYGVIALFAYGI FIIYIFIVNLASEDISQKFEQITLWSWEFSQPAGQFALAFMIHNAIGQLIKNNEKRDNNS RDLAIAYGISGMIYGIVGIFGSIGIWQCIKIIQGFENVSDAQTILNCFKKSDVEIIIIES LFLVHLVTAFPIFNNISKYQILEVLYHKREPSKKVYWGFSVLFMILCLTTQILNIPVGLV ISFDGAVCGFLLVYIVPISLHLKCYYTQNKVTLIGDDDDECNTHANCVNHKDKNSIPMFA RIAFYALMMGIGIYNLIVQFYDIFKS >CAK62185 pep:novel supercontig:GCA_000165425.1:CT868018:217321:219090:1 gene:GSPATT00032417001 transcript:CAK62185 MSEYDKERIEYKHLMNQYRSRIVKDFWEEQTKIENQYIDDYLAKEKAKQERLDIYKRNQT VIQTFNATQQFNKLQDIRRVRNERVKKYLVEQDIKKMNRQQIIRVLNEESKNWISDKNSY EKFVGNLLIPDTVLDEISYYHDLQEKAILFETAQYEEIDKLNDPNIQLEWKNRLGMPIYQ RIVTLIKFLKQDNVLGNLKFEWDTCQAIILTDKKLSEKEMAEQLDKVNGAFQTVIAQKIE ELENNPVKRLEEMNKQLIRLYNLFELWDKYITIVKMDNSTAQQIMQAAKAQINLFETEGG KDYMMTSDNSLIEKFDGKETKSTVEKDDKYISADETHTDSEDIDLENLQKKKETKDIYGG RTTKTYDFDTQRIKDKDKEFSKLVEELFAKAEAKAKESNLEFESNYRKNPHQKVFYNDNL NAPNVPDARSVFEGVDLEQLFPSEILNDETKTPYLDFKNVEDIENHYYELKAIYSLRKQG EQNVLLNPDVQKFNTTITAQTYKQILNNLEVPAEYSKLKQDTQKLVDAVGEIKVTDADFL LKIWNYYN >CAK62186 pep:novel supercontig:GCA_000165425.1:CT868018:219141:223525:1 gene:GSPATT00032418001 transcript:CAK62186 MIKNYVKRALIQRYLPTTGCRYEFSEEQDTEKKQTRLRYTYEEQQDINRQKQSNFLLRRI LINYYRYKPNKAEMIKKINQYFNPKKQKAEEAIPETQEGEEGQEGQKPIVKFSRISRTSK QKIFRDFVHEKKIFKFKRDYIFSNKIRPYKPTKDDIIDQMQKELHGQKFINNPNLFDKFK QRYRDYENNNLTNLIMRYDNFQPSLVYEQLRSHFTSGLYGFLKEEQFQQFFNIYKNRTLI FHRPSFQIYLNKLPEQFFLQYSKYINTDNITQIIKEVEGKNYKFLPILRYCELKNKAYYF ELQENFDQFLQKVPDQDQLLIQYFFFHENNSKLLNYESFLKGILNIQWGGQVNQEPLKQQ LVTLLNVKFKINLNRELQLYLADLFFGCDSFDKAIVAIALQYLLLQRLTHAFPIKDILEC FKQTLGEERFTFYLQQELPQILQNLNIKTYYSSFQKGLIEYQQHKSKKSLTIQNYTNLDG IFLKGKYIEVSQFQILYNPSSTNLMYTNQTIAYDVKENAVKEAVLNNSTLILEKELSNLF SYKEYKWNIVDMAHRLVYRKKIMNRNFLRYNLTKHYLQRKRKLRKYRLRQDIQKELYDLQ TNLRQHQKIQPLLQTISPIQTEKAPAEEVQEKPQETQETKEAQEATSEEQPQEKTLEEEI FSFAEERQQYNQLRLARVKTFTKISKMLHTLIIQNRPMIKEYRQKQFVQKPKYHFCTQKF EEIPKDEGTGFMNYLKSVESIDLEVKQQEELNQIQQQRRQPQSRKHGKILLERGEAQQLK SIFSEDSENLIRIRQEQKKQSDMFQKLEQPQRFRRTKASDTNEVVHKMPFENAEKEKQNI PQLQEQYVIDNILYNTNLSAKAHFQITQLFQENQTRQQLVEDVQNFLSGIKQSQDGYHFY LISELISALETKRPLESKIIFTQIICQVKNKIEVNEKIKVKYTTQYGDIEKNYGKYINQT CTQFSLGSKGYNYILDFILQEGNYNRLLYKRTLAHYCLNETVLYDSTFEKITQITKNNKI PQTCAEFFYAFLSTKITINFTQMRKIVNLLYQFKHFEAEAEQLIIGFYFAYQWQLKFEEL QPIFQRLINQNKRDRYMSLYESLKDQLMGRKLRQIPTQDPVEVNKIMTEYKQQQKDQLKE FVRKFSQLLLDSKLPDDARLVINDAKRFRYQYEIFDYRLWLLSHVNHFEDALKIWADYGV KFPNVYDITMFKAFLSLLQQQETAHESDDTKALFQDVIRIYIFEKKITLDHDLIFDITHT YKRLKEFTSYQNFMKFLLEIRYKCIPSHKYLFYKMMNFAEVDSVKETIKGIIDILFKDEQ RKKDGKQKQNKKEEEVNYDLGNKGPNPLHIQDLTKENKLNNKKKRGILVRGGKSKFTQIE TDGIADKNKEEDGKTTTKDGKTTKDAKATKE >CAK62187 pep:novel supercontig:GCA_000165425.1:CT868018:223725:225728:-1 gene:GSPATT00032419001 transcript:CAK62187 MSSYIQSQFTISDKQMFDFTKPQPNSDSDEEKLNKSLLLMNNKDYKKQKKLIDIESIKSE LNNLPPFTFDPQQKKYSKKFQKASLRTLSNTFVPTSPVLIEQLGQVKAIKHMKEQIPPEI MHKVYTQLRYQYFQAFNMVYRQGDQNKYYYIILDGRCVVLKPKEKMVGMNNIEVELEQEN KTTIDPYGLKSLFPEYMMMKMLFSGDSFGEVAIKLETSRASTVFAVENTHLLYMTEAAYV QLLDPYLSEALEEKIQYFKKNTLFTSADSDLNEIMGILLECRKITYKAGEIIYEEGSKSN SLFFIINGEVQLSKRIGERNLVLSSYGENQQFGEVEILNKVTRFTTARAISPRLIVYKIR KNKFFNNLGNFIVYDNMKKQSKLILQHWNLIYKSAMAQISDQNNVYLHIEKRKTQEKRKK YHSNNHSMSQVQVFQNITESGIINDDSCELQTEEKGQRVFSNALQQYQQKLKKFGNQNYE TQTKQVRELTHIDERAVPKVRQRKLEKFSFHAKLPSISPNKILPQMNLDQVLDSITRLPK VPQDNLILSLMYQEAYKSNNPDKKARQVQQLIQASFKKVRKYQTSKEPIQPILNQARFKS TDSLLKNLKINESLEEKKEKIDYLNFVQPKKIF >CAK62188 pep:novel supercontig:GCA_000165425.1:CT868018:226542:229559:1 gene:GSPATT00032420001 transcript:CAK62188 MKQGNDLGKRQNQELDIACFLMAVEVERLVRENTQLKQEIQNFIDSGLDRVNYEMQIRDL MEKLKNQTSEVNSYSEERERLMKFRRLEEQLRDYDPNWRKSQRDLELQLQLQNKLFGGKA PEEISRELEELRKKAKILDDLQKKIGAKAPDELLKELENLKKKAQQFDDINKKLNGKNFS DLEKELEKLRQKADKFDEISKQFSNPSDIQKELDQLKKKAAELDKMKSQLNNQNPDQLLK NLDENKKQLQSKDREIGDLKRLLSELQQNQGSQDDQIRFLQQKIDELEEKVVGLVQELSR YKMLLKSKEDELNKLQMLFRDNETRLAQMNNELQRSKNDLQRAQGDLQKAQGDLRKAQTD LSKSQQENQNLKQQTDDLKRQNQELAQENNNLQQDLENQTQNLGQLDEIKDQLNDLQEEK NQLNDKVSDLQNDLKEKQRLFDQKQKELEDALKRVKDLEAKLLEMDHYIDTLEDDLQKFE KDNQQLNREAGQKQLADRELERLRGLLDQMKNQYDQQQKELGKLKNNLDQMRDLQDELAQ AKSELDRANSVIAQQQDELAQKENEISQLVREVQNLEESNNQLQDQNNNLQQTLQEQQAV TNGNQEELTKLRRIAEDYKEKIRQLELKFNEYSNMEERLKQSDHRIAVLMTEIERLNSLV KQITSETEDWKQKHSRIELALLEYRQIEKTNRDAVNKNQQFINEIERLKKLLEAKHIELL QTIERCDLLESQQQTLMNQVQDALKKADTGDTILLQYLLIAAENERLTGILQPIQEKYNQ LTTQVKEMTIKYTELQNKTLGIDLDEYERLKKLIIEYENRIAMLSLEIQRLKAKTNILDR NNGSQGNYGSNDNINIQYNSTMFQSNEDLSKKITDLLCLIAMMSAELDVLRSNNEKQVQQ QIVNQYRQNNDVTNVQTIQSVETTSGFSYQRISSNRKY >CAK62189 pep:novel supercontig:GCA_000165425.1:CT868018:229832:231824:1 gene:GSPATT00032421001 transcript:CAK62189 MSFLQYPPDKKIQNYQFSFKAKVGKGAYGTVYAGKNMNDNKIVALKIIDKKILQTDYANQ LIASEIEIMKLIEDKNIVKLIDVLQSVNNTYIITEYCDGGDLREYLKKRKLIPEVDAINI FRDLLHGIKALLKIGIIHRDIKPANIMIHDGEFKITDFGFAKQVDSHIDAIMNSLVGTPL YMSPQILKRQSYTSKCDIWSLGLILYEMLYGITPWHSTNLVELMAKLDSKPLEFPCFPRV SDQTKQIIRSCLQINEDKRISWDALFELTSTQDQINIPNQSMLPIIQKHPIQYQSQQNQQ NQQTNQVLENIEKGPQQRTKMRTESMGNCNFTSRHNRSLSNANNHDKDKSDERQKTKCTT PKLSYLQKVTPQRQTTSITSDSERDRSNSIIKFANKKLSLMKYLENAHTTPQEQKDNNEY SKSPSYLQSKSTNTSNRHIIDQENSSNKTKVTEFQIEEKTKEPINNIINNGYYNFNQNSK LKRTFSNNFKENSLSPINQNQHGISQLLKLIQNQYDLIPSHFAIKKQVEQIISQHRSMIL QAKDFHYSYEDAKELKKIINRLIDYLNEHPNDNFAIQLSVMLLLILNYNQVVNFCIQQKS SELSKALLDLIKKNQSIQQKQLILNPAFLRENINKQLL >CAK62190 pep:novel supercontig:GCA_000165425.1:CT868018:231882:235552:1 gene:GSPATT00032422001 transcript:CAK62190 MLQNQQSSTEHSSIQKLQQFLQGLNKSIVKQGVQANLQTISNNLDAAANEIGFLLQQRDL NEFSHIVNKLSSVWKELYKTVYNSKLVNEFDNEPSDFQQKMELISQTKKQMKDGVGEIGQ LGGVRVSAYQTPQTKNMMRQMSRQQTGLPSISGQPQTEYKHKTNYEIDDDQREILQEVLR QSNKLNMQIVMKRYDPKITLMVSQGTDTNLDIQEAIRGFNKDYLEIKLSEITKENLKNLE EIQKAMSEKHEFTQVGESSATEKLKSIYKTIYTKTTEMDQLMVEASRQEPQIVQDVLDEF VHHITHNYKDIQSETFKIVAKLFQSLQQKQKQDELQKSRSLQVQQPQHKKDKLSSSKRGN QSSADESQISEKIRQELIEKKIEVDKKNQEISELQNKVRQTETQYIQTALELESMKLNVS KSEQDKAHLNYEFRKMEKQLTTFNSKTFQDQAIQCTDIGQQNLIQKLQKDNQQIQQKVYQ LKSELNKISPSSGRELKYQNTEFQDKSDDQNIQNSQNGTPRLTSRYKSAYDFDNQLNEDV YSIDQRLDSSQQMYKNSEISNKERLDDMGFERSPTHSNTIKAGQKMKNKSSAQLESRQTN SPKSNSERSVKVSLQVSQTNFNPKKKKQKSILKIEEEDSTLNQSAELKKQKIKQQAFSNK VTRRQTTLEVASNDFFQNQNSIKDQDETQAATNFETQRTQSGQAINKPKRIKSVDKKNNI SGRRIQTEGQEQLQESDDSQSENGNTKVKFSRSTTQKDQKKQTLKKGQQMTQSRNIQTKK SGDIQFIETVEEIPSNITSQQNLDKYSQRQQNTIEENDGQIRHKNDYLKYNGNSPIKRSS TSVPQQLQMIIKLNDEDQQQFTTYWHQRHIDQETQTENYLLMQLLQSTITQLDLPLNVKQ NIAQQLPKKLETAIAIALNQSQIIHQTGGLSSDNLQQFEYNSPQSSRQSQESFRQKQFRN QRGLDSQGKQKQQTLLDLNQCEPPQTKTSLDSKIGQLTQKNSVELPGQGNSENSDGILKS KGELDKQFLKQQEQVLKLEEFLRSEDEELGYEELARQIIANENGGQPLEQFKQNDLQSEN FMKKLHNSMTNHKTRGAAAIQEMLKRFYKKNSKEQITFQEFKGFYQKVFSAHKQCGFNIV CSHLQRFLMKLGFTCSLFSKRKLLKTSLSILKPFNPLHETKQKLVNQSATNQSSSKFQTN QHFSVDQ >CAK62191 pep:novel supercontig:GCA_000165425.1:CT868018:235586:239784:-1 gene:GSPATT00032423001 transcript:CAK62191 MSTCEQIGFVIKKNLLVSLRNKEFLIESILPIVVAGMLSLKAQLQAIQQLMPLLYSIALT STQRSMLIKLVEEKSKRYKELQKIMGMSEKAYTIGWILTGYIRVGISVIIFEVCWLICNA IFSINWDEEFHVSFSDMLWPYVLFAYAAMNQNLLLSSLFNEVKIAGEMQSFLQIAFTFFI YFSFVENVANKIAFYIIMTIISPQCGIAFSYISSMNSEIGADLTKLNLFPTEIISDTYST AQAGIQQAIQLVVYFILFIYFEQVIPNEYGIAKHPLFFLNISNQKKTKIQDKSTNVFLQL NENDSESSSAMYNEDVKMASPPSIIIKNLLKKFGDLNAVDNISLHLYESQIFCLLGHNGA GKTTAISVLTGMISKTSGSVSMYGMNLDTQLERIRKSLGLCTQKDCLYNDLTVREHLEFI SGIKGRLNKEEIIQILQKTELFEEQNKMISELSGGSKRKLSLAMSLVGDSKIIFLDEPTS GMDAYSRRAIWNILERIREDKRTIILTTHHLDEAELLANRIGIMSKGQLLAVGSSDYIKR KFGEGYNLKLSFDNNNLRNQIYDKVNEMIPNCFLETQHSNENKLVFNIPFASKGKLGNLF YNLESLNVKIGLEMKTLEDAFVKIGLEDEKIHLNYVRKSEIKYVKQSMGIPAENDEDTSN LVENEDFHSLPIIQEFTEEKVINQNIPDCLKNDPQYKLSSQLLAIFLRRYYTVIRTSTNY FSILIPMLTFILGMTTVAGVDFDKILKNVFADMDPNNLVEAVEFFKISLLSSCSVLAFCF NATVYITQPVLEKETYLKQALIGMGCRTFPYWVGTLIFDYLIYLCFVALFYIISAILNLE IAFKYIGAGLSCYILFGFSYILFAYLMGFLFKTLENALKLYSMFCFFVNFCVPFILIAFI DFFYQKFDSVIAEQLIYIFQVLFVLVSPFYAFFEACTFLADNFEKMYELNLYTTPQLIQR TYVFQLILLAQICFQILVLYIIESKSLILPTVVNEGKQKGNENLEQEIIEERVRVEKGNL SDPIVCKYLEKEYIKDKPTLQKLTFGVKKGEIFGIIGPNGAGKSTLINVFTGINTPTQGV ALINGVEPKQRNQDIMQHVGICPQFDCIWENLTPIEHLLLFGRVKGLEGDDLKQAVDYFI KTMQLDLFVKTKAGQLSGGNKRKLCVADAMIGGSDITFFDEPSTGVDPISRRFLFNTLQR NIQLRNCSAIMTTHTIEEAESLSQRIGILIAGQFKCLGTPQYLRQKYSKGYQISVKFSSV EENQVEEILNSIQKEFPTINRLDDKRAGFMTFSINDQSFSFYKTFQYFEKQIQNGIIEDF QINESSLEQIFIHFSRIQQEINEQEGVIFD >CAK62192 pep:novel supercontig:GCA_000165425.1:CT868018:240478:242157:-1 gene:GSPATT00032424001 transcript:CAK62192 MEIEKWKTQCRYLQNELDSMKQQLSDAKDLIRLNKEQLKICYNPSQFDQSSECKAALVLL KFVQEENVVLMKKIEQLSDERNLAFDKAFISEQINESNQRVESSLINSLNKTITELKKKL NEQVQMSNEMMGTIYLEKHLAQPEQLTLNFNNVIQQMNSMLLKQKLQIEELIEQKNDLAQ LNFSLSNEILKMRTQRFTPRNQTKTFFSQNEDPSKFINNLVQLQKRLFFDDDSQDEKMHM QQSAVFSPLFSPTLPQKVKRQQKSQLPKDLKQEPEKYIPKLDLTKAQQIQQFNIKRMQLL ANKLANEGVEQKIYMLQDELLRTKNKYHAQLVLNQQLDLQLTELNLQMMELQNVNETLIK SNQMYEEKWSSIYQQFSFYKEFYLKHKDQIQHNLQSFATSDDQQQFSVFEFEKVLNSSKV YKKNPSQKNNINNNPASSIINYQDDVNYQSSCRLPTDRQEEVNKFLTQQYKCCLMMMAKE VISLDCQHVKPVQTDHNKVKIKRSYSNTIEYFPVF >CAK62193 pep:novel supercontig:GCA_000165425.1:CT868018:242242:245321:1 gene:GSPATT00032425001 transcript:CAK62193 MISSQNFESDPYTLIITKRQIFGSENESNLHKINKQHSLMNQEDNLSQENKHLNFIELIQ SRSSMIPTVKVVSPENEQILQNEDGFYFQSSLHLNEGLNPTWQKGGLKIINYVAKFMYQL KTKADKLKIKLMNYEIFMKLGDKSGDFQNFKKGNQKQKLNNLIHLIFNKMIFQIIQIWNL ISQSLNKIAIIYPESTFKIIWDSVVVCFIVINIFFIPMSLSFELDKSSQISTLLFETIPS YIFIVEILLNFNTAYYSQGIIHTNRSQIFFHYLSNNFIWDLLIAIPFILAQFNIPYIQFI LLLRIARVRSMIQNVEDLLNAKEEVQAVIELGKLVYFLVLVAHMCSCGWHLLGRIEYEVY QDENSWLIYYGHYDQQWYDRYIVSLYWSVITTLTVGYGDIVPQTTIERLYVVIVAMVLCG VFGYIISMIGEILKTLGEKKALLKRSMKKVNQYIKQKQLNIQLSLKIRKYFEFKHQIDEQ LQEQDDSVLNKLSGSLKQEVLIDIYKPILMKSKFLKENIPDYLINNLCQRIKQATFAPGF DIVNVYDPATKLIFILDGQVNSYFISKGFDALQRNEKESNSGMMQRNYQRGDIIGELEFI INSSYQFYFKAQTVLSIVYIERSDFLQVIQENEECRQKFHQLREKLTYQKTYGRTCDVCK WTHRYKDCPFVFYQTNIYKIARNANISQNHQRLKFDRPRDTRKKQTNNDHYNILNQSIDF IINNGYLNEDQLNESYLIKLGFDIQEGFYEKSIQSQESQSQESHQQDNHSVAQRKCSSQI EKVRASLKNQKLKSGLGQNQNLTRNQNFDDDSNGTQYNPYFQLQRKTENEIQIEPKESSN SNPFSNHRNLTNNTIKQNHNLHSQGNLKSSVANHIARTETSMAKKSLISQQKPIHQSLKI NNNNNNLENDTEKSQQQHQLQPGGTIYYIPELEFDKMKNYDFYFPQFNVVNILESLKKYR VNQFNEIKKKNIKEKLKSIRQNKTMSKCFNSSFRNVDEST >CAK62194 pep:novel supercontig:GCA_000165425.1:CT868018:245357:247187:-1 gene:GSPATT00032426001 transcript:CAK62194 MPKISSDKKLFFKLLGQELNEEELEELVFRFGIEAEEREEEPDILYFEIAANRPDLLCIE NLVHALRVYQGLEKQRVYNFTPAKETIYVKAATQQIRPFVVGAILRDVTLTEDSFKSFLS FQDKIHQNYARKRTLVSIGTHDLDKIEGPFFYDAQPPQDIVFQALKQTESMNCIDLFSKL REDQYLKGYLKIIENSPVYPVIYDNKKRVCSLPPIINGEHSKMSAETKNILIEVTAIDEQ RALHTLNCLISGFAIYNQKLNIEKVNIVYELSKKQVVTPVVDERILTTNIQYINKVLGIH ITTQQACERLSIMGIKAVAKDESVLDCLVPFYRSDILQQCDIAEEIGIAYDYNKIEFRVP ETATTGSEYRLNKLSDMVREEVALCGFVECLNFVLMSIDEQTKMLNRPNLQNYVSLNNSK TPQFQSVRSTLIPGILHTLQANSDSKLPIKLFEVSDVCVKGQKSEKDGFQKGEGQVGAHN QRNLVAIHSSSKKTELEILHGLLDQLMIKLRVKKTDYHLKQSNDPLFFHQLQAQIVYKDQ TIGGLGVVHPEVLERFDWKYPVTLLEMNIQVILNDF >CAK62195 pep:novel supercontig:GCA_000165425.1:CT868018:247684:250007:-1 gene:GSPATT00032427001 transcript:CAK62195 MSQFETNSQAQISNQERSKPSSNRQLGTSNLQNGQNVKNIDNNEYSIYDQTLGLFQEDLR KQISKQFIPSSYGYSQNIPQVQQYPQFNYPLIGQQTMKEQENEEQIQRLTKDNETLRYQW RTLEQDNQKLKNDNLQLSNRDKINNTEIQGLQYDIYKYSRDQMFQLKNENKRLMNTIQDD QYQLLDLQKLKKQVELNKGLEIQISDLEQQLAMANKQVQEQKRQNSFQDSEIQTQKDTIE KFKAQLKELTQNNDAQSQKNDSLLNDNRKMKQALDMINKEFTNQQEQNQVLIQKINQLNQ GSKDQINNLQKNIQNLNFQNDQLKNQILQLQQKEKELQNAQNIDAIINFRLQFLIQILEQ RQIDQYVMTIQTGKNEYQKLALKSQSQEQDMWKMKEQVNQLNTQNQMLQNKCYQLERSIE DSLSKSQIQSADLKGTLDRWKNYGLSLEQEIKKREVALLQLENEYVHLQSQLKGAQENEV QFRNERAITLQKMKEMHDDLLLYEKKCNQLERDLQQQQEQNNIAVQNQGRLEQEKQQLNQ EVKNLMDELHRTQNQNDLKQREFDAIQMKYDSENNAKDREVQNLHLQNSMLQEKNNQLEN DLAREKEQLFQMESRIRSLEAEIQNLQFKQTLKQQYSWNQEVPNNQSNQIEQTENSQIYS GMGGPYSIHGGFQNFQQNNIGVHNSQKPKPMEVQNAPFQINEFPDHRRTSSQQLIKSKNY DLRFQQQQKNQYH >CAK62196 pep:novel supercontig:GCA_000165425.1:CT868018:250386:251273:-1 gene:GSPATT00032428001 transcript:CAK62196 MDKYFEFSKSKDESRLSIDSQELLRQVETKIHKAQSPTFISPQKEQLNYADHFDQKEQID YLSFKEQTIIKRLLNLRDDYKQFQKNVQRYQEQLMQSIKETLKKVADKGNRYLQEQEYEL RLQLQEIQKNKELLKSHSNCQIIQSPLKQQYHLFQEIANKVSELNYQILEFFDQDNSIQS NRYQKKLNFSNYKELSSNKKFKASPSPFKEIDRVLQLKTSSSKPQLLGNNSPKDLNQFIE VLKLKINNTKNNNLLQSRSNFSSNFLISKLNINSKRLSIEQQLQSQNKIFFQSVF >CAK62197 pep:novel supercontig:GCA_000165425.1:CT868018:251835:253489:1 gene:GSPATT00032429001 transcript:CAK62197 MGQLCIEKPKQKHSEDNLHELNPQTQKQPQTQESSLQNPNLTEQEVKEKTQVKLLDSVNT HQNQSIHDVYKLLSPPLGSGSYAEVRKGVHKVLGITRAIKIISKTEATNEEVSRVLHEAE ILKQLDHPNIVKILEIYQNAQQIFIVTELCTGGELFDAIVESQQFSEKDAAKLMKQVLQG LNYCHQHKIVHRDIKPENIVFESKDKKGTIKIIDFGTSRVFDPHQKMNQKIGTPYYIAPE VLKKKYDEKCDIWSCGVLTYILLCGYPPFNGKSEAKILEKVEQGKYDFNSEEWDIITEEA KDFISKLMEKDPFKRYNAEQALKHPWITQQNEDVQNELPQIDRALKNMKTFKSTKQLQSA IYQYIVNQFSTQEDKSELLKTFKALDTNNDGQLSRQELLIGLRKVMNENEAVEEVERIMR DIDQNNSGTIDYSEFVAASINRSKLLSQDRLAKTFKAIDKDGNGSISIDELKLIFGNGLV PDQIWKQIIHEVNDKEEITFQDFSELMLNLAF >CAK62198 pep:novel supercontig:GCA_000165425.1:CT868018:253544:254739:-1 gene:GSPATT00032430001 transcript:CAK62198 MKSIILLVLVATAFATNLAAFEKLEQSKLGKTLLKTIAIQMQTGEPLERIFSTLYDLEER YQNDQREDDAENKAFQGVCDADLAGLNQEIANLEQRDTELQAKIDELQPVHDQKVGQRVA KLAEKALLQKVIDEAQAKRQEQNDDFEAQREEFTFVSGVLAEARRLFTDNLQAPAFLQKG ASEAVHYTPQVFAQVATHLNAAAHKAGQMKHIRTFGKAIKLMATLASKSQQYANQELTGR IIQLIDDLQNQLNQAFDLARKTEDARRQAYEAYNTLLNRDMNKLNSAIANLEAEIQSLAD QLAATHSSQDDNAARLEAKTQQRDDRRAECQQAAYEYQQRRTARDGDRQTVSDLIGHLNS NMRDLKEYIAMRVAAGDSDLSQ >CAK62199 pep:novel supercontig:GCA_000165425.1:CT868018:255241:256095:1 gene:GSPATT00032431001 transcript:CAK62199 MSQCPIEHHDQQKIKYICIDPKCTHEQKLGCADCFLENHISQNPQSHSHTRVQISKFEED LNSKILNVRNLQIEPITNDSSQFDEDFNICLNQVASKLNNFKDELKKEMNNDVLSFDDHV YEFKNTIQNQIDPILPCQNSTVFTCTPEELSKAISFYQDSDQLSQVIQKQIDQIENHREK VQQNKQKITSKLQNVVDQMLRQLDEYQVKNSNGEIQGTPEKVNVSQTAIFLSASSTTQSP PIKTIIDAARSRKTIFPQTQQQQQQQKKGIRNKN >CAK62200 pep:novel supercontig:GCA_000165425.1:CT868018:257696:259600:-1 gene:GSPATT00032432001 transcript:CAK62200 MYRRQNITRKQIDHYSYILNEEIGRGFSSKVYKGKDDVSQEPVAVKVIDMKMIKQSIHSQ LLKNEINALRSFNNKNIMKLHDVFQTQNNTYIITEYCDSGDLNNYIRKKGRLDEQEATRI LQCVVSALIEMNKKGFIHRDIKPANILIDHSIPKLADFGFAVPVHEARVQGRNFNVGTPL YMSPQALRQQGHTEQGDVWAVGVVFYEMLFGRTPFNGQSEAALISNIMNQPLHLPSHPQI SSAAKDFIRQCLTVDDGRRMRVRDMANHQLLRQQISPSQPQQQQYPRQQQQRQAITPPPN EQQQQQRVKRSLSQGGKQEIRYRENKENYHQQQNQQPQKPTQVENNRQPLQTQQQNVQRS TSQQQVQKHYIKQKTQPAEDIKPIGIIMECKVNNDILFTQVNFCRFLYKFSSSLLQCKVI STEVKDKLLFLMGKNIAIKITKLNQITDKENNQPNCLQLEDFISYKKTDSYQKFTQAITE YNEKYTKYFDKLLKLCSSKSELQKDTTFVCLLNQDFTETETFYRITQQYIKQSLSEIKNY FRQQNFQNINLDSPIAEEIQLPGYILKGLSNYYLLLQKAIEYLKDYRGFARYAHTELMTD KSSEGLSYGILEQLMVRLL >CAK62201 pep:novel supercontig:GCA_000165425.1:CT868018:259832:260967:1 gene:GSPATT00032433001 transcript:CAK62201 MYYPQGIPQYFPRSVYQPQHLPYRPQYYPYVPQYFPQQSIYASYNGFQIPRTNVPPVHPM ASQAIQSPNKNFQSPTRGFASPTKNHLMQSQQQQPQNKTTQQQQLQSPTRSGYLTYEQVM DRIQKANTQSQSFQPSQQSAHQPQTQQKSQNGQIPKSQQQQPNQHQIHLQQPNQQQTQQN KTPNKQISEKIEDQQRQPTPPKDAEFEAGDGELEELALQYEDGYIYRGQGFPPQTRSGFG ILTDSEGQEVYAGYWKDNFYEGDGKLRNLQVEQINGPYDYTNMTSIGNGWEFYEGKFEGG KMHGEGTLVLSNQEKYIGQFDDGMIHGEGEFITNNDNAVKAQWNQGILEQYIE >CAK62202 pep:novel supercontig:GCA_000165425.1:CT868018:260984:261360:-1 gene:GSPATT00032434001 transcript:CAK62202 MKSIYYSFSTVFEKIIKRQIPAKIIYEDKHCLAFEDINPKAKVHVLVIPKEHLDRLSNAS EQHINLLGNLMYAVNRVGKQLQLEGYRVIINDGQKGGQTVFHLHAHILSGENLTGFE >CAK62203 pep:novel supercontig:GCA_000165425.1:CT868018:262024:263129:1 gene:GSPATT00032435001 transcript:CAK62203 MNNPRPSQLPAQKATPSQLPPTSQQQVPETYQAQPNWVPASSQIQPGVLSQPIPLQQTGL IQNPQYLQQPVYAQQQLIQSSAPVVTQGNAIKGESRIEYIPYTKEVTEYVTQEVVEYVPR ERKITDYYAVEYVTEHIPQVIQEKYIEYVPVETIKERTEYQAVTKQSVVQAPIDYQQIQK TQQYQVAAPIQYAQTYTQPIQYAQTTVQPIQYAQTTTQFVPTTQSQYVSAPVTTSQFVSQ PYPTTTYGAPITNYGQLTQNTAYLPAATYAQPGIGQVTTTTQQYSTGWQQVYPASTGNFQ PQLQQQQVGQLQIQGQSPKYA >CAK62204 pep:novel supercontig:GCA_000165425.1:CT868018:263208:263426:-1 gene:GSPATT00032436001 transcript:CAK62204 MNYNLKIFRLLMTLSQVIVLQKWELIANVLVHSCLQISHHHYKGQLGFWVKLSLANIILF MIKNRDGFARAK >CAK62205 pep:novel supercontig:GCA_000165425.1:CT868018:263480:264016:-1 gene:GSPATT00032437001 transcript:CAK62205 MQIKAETVYVGGFTVAKQEFAEIVREKRFDGIVGLAYPKMASQNFNPLFEKIMQQKLLVR NIYFLSTFQHMKFPRSFEFTLGGWNNDHFTGELHFHNVADNIIGYWMQITFWKDIGLCKH GCKVVADTGTSLLTGPSDGLYDLLGIILPIYTLNIDEIAATLKIYQNQDSF >CAK87957 pep:novel supercontig:GCA_000165425.1:CT868648:696:875:1 gene:GSPATT00039839001 transcript:CAK87957 MQNMFHLTVNFTKEFVIIQYYEGLKIPKLLLLGFYTIKRESMTGREKFQNVNFAIIKEC >CAK87747 pep:novel supercontig:GCA_000165425.1:CT868631:129:1763:1 gene:GSPATT00039821001 transcript:CAK87747 MFKPEMIENEKDFSCSQGHNLPVVTIALDPNISMNQRLLCTECLENTDLGAKVVGLKKII SLIEEGQIKKMEKVQYLVTSQIQLIEQLHCLVDQMKSLAIQQFDQSISILKEWIQSLQQK GQQYRYSFQEELQIMLQKQNKADDQLQFLTYEIQQINHCLTSKLHPKLEQFNTFKGYTKC KELLSNLELSSQKFNKSQLQEQGFQQQPNDEKQVIIKKHEIRLKLIDQSVKQLELCKVIV FDSSGSIMISTQMYDIKVWSFLNGTIKLVKTLQGHTSWIQCLVYSKKQNSFISCSSDETI RCWQQMNQTEWISSQPYQEHTNFVRCIILNQNEDLLFSGSGDKSIKVWRVDFNQNQLTFL YSLDKHNDFVTSLSLNQSENQLVSCACGQNEIIIWERTENDQFKFKYFVKQSIQEYGLKV KFIKDNQFIWITGGKQIDKIYVFELEQGVFQENQDKTIQLTKNEQIFDEYCFPIIYNKER NLIIVRHKTYIYIIREINDGNYKIQDQLNCNTYDIYGAITNNGQYLVYWDDKEQGYSIYE LLNQ >CAK87551 pep:novel supercontig:GCA_000165425.1:CT868625:4:1228:1 gene:GSPATT00039815001 transcript:CAK87551 MANIYSQIKFAQKSVQENIDTKQPPIYLCRSTNNCNYSEKDIGHYCLSDKEQTQEYQKCD WRGAGWNDWEKCNSPCKYCFCANDIQCLGCDKNYFFSSYDTTYVKDYTMVCEIECPPSYL TQELECVKSCKGGYTIYNNSVCLPYSQITDNFLVTNNDSNQPKTIFVDCPQVCQTCTSQT HCTRSLNHYILNQNKCLITCYPQYLYIDEDDVNHCLTSCDPNDYLYDNANIDGYSIRQCF KNKCGSIQINQKQQTYLHQTKPHTCVYPCDDQYYFQQNTDQCSKCNSICQDCQNSANFCN KCWPGIFLQDNSCFTSCQSKFKNYMNNQCEGSCSNGFTLNEIKIQACVSFCGEIFSIFTY VLNGQCFQNPPTTGAFCIGQQCYNCFINA >CAK87552 pep:novel supercontig:GCA_000165425.1:CT868625:1268:2047:1 gene:GSPATT00039816001 transcript:CAK87552 MIKHFSQIISVCQIVVLSIMIYQIGNASILVQAIFMLHLVMNLLKKILNQLNSVPQPAYI ISFNIKANVLIFNQKNLTVYRILITDYAANARKHVKHVLIPVRLLVVNVIQDFIYIILLA LPSVLMIFPIKIHLIIYALLLAQNIKKMDIALLVVQIIITDMMLRNNVMNQVVQKELITK FLHVIAMPVLLGVLLVRMDHQIHVTHVKKDIFCKVHQHVLMTVMQIQILFKIGLMGNVIN SVQLGLTCKLYLVGLQHVKQ >CAK74259 pep:novel supercontig:GCA_000165425.1:CT868181:3969:4406:-1 gene:GSPATT00038993001 transcript:CAK74259 MEQQGLIKEWIVIQKITLEQSARRVCFIDHNMFTLSEHGKEQISIFEMNSINEQFTKTKD IHVKCGSDSCTLFPQQLIHSKYILVSKNGEYVNLIRKTLNGQFLTQQSIHFNTYSSYGGM SDNGEYLITWDNKSKQIQIRTYQQS >CAK74269 pep:novel supercontig:GCA_000165425.1:CT868185:1:1316:1 gene:GSPATT00010468001 transcript:CAK74269 ERQLPCLGNSFYMFQQRYDLLLDDFLAFTLDKLNRSKEALENYDQAIQIGPNISDYYNGK ALTLVKMNRYYEALENYDQAILINSNDFRYNFNKAALLYNMNKFEEAQENYEIGFQKNPE YYDYYYRKADTLEKMNRFQEALEYYDEAILKNPTISKYYSRKAFILCKKKQFEEALENYD IAISIDPEDSEGYFGKANTLSQMNRFEEALQFYESAIQKNPENSDYCYHKGYTLNQMQRF EEALQWYDSAIERNPEKSDYYNRKANTLDQMQRFEEALEGYDLAIQKNPENQSCHINKAY TLDKLDKLQKIFNIQNNSNVQKKYNYNFQLIKQYINRYQEFRDLVNEHSK >CAK74270 pep:novel supercontig:GCA_000165425.1:CT868185:3943:5072:1 gene:GSPATT00010469001 transcript:CAK74270 MKKIDGNGEKQLKDVEGNSASMNYPIPLEFDSKKNHPNYLKGKSKENQITSIVQQDQKNR KPVQVSIDRPLEGKRFLNKEKNSQKIFLQVRNDNGSENSSCELGNSNSDCECAKNMIPKK NIEIKINRKRKKKTDTTPFYDQIIYHDPRMRRINFVYSSSGSSDSEYERVFIKKEEIPEK KYKDKPSKKDPSEKEIPLPEHILECPIQPLPIPKVEKLPEVKKPKQEKPIKQPKIQKYRP KPPEEEWAKYETPNVVHPYQLKKPQKPVEKQKDSKPIYGKPRERVEFKAPKAQEQANDFI PKALPTQNAAPLKEKQKKKQKKQNQSSSGSSYCYECECLSDACDC >CAK74271 pep:novel supercontig:GCA_000165425.1:CT868185:5154:7239:-1 gene:GSPATT00010470001 transcript:CAK74271 MEKLCADYEQLDKEILDAIFPIYKPSNLCEEDNLLGHVDSLFEQIMTVDSHQQLTIDEFR LNCTLIRNTLSDLIQLMNNLIHKYIKSNCTIHRIQYAVNYLVVLKDLYETLLEIFADSTE KSSPKFSDLLAKYQDNEEFRLKARNIQDINFFGLQHMNHVFTQDTLKQIIRISHHYLSNH DSQALEEKLVVYNSHLNILVRIFASAIDMSQQDIICLNKNHLFWRQIKAISTYTKLSQNS DQISQSYYNFMKSIRIGHAIFLKKSKFKNSFMRDIIFIFSSVWYFVLDGRAKLRAMEHMC DMQVESAMSVMGMVEKPGIKHLIEFGITSIKHNIKIYIDPVVSPLTLNYMNKQFEQGILN KITNEPLEYVNKSIHYDIKQHKSILTKDKTKLRIRILSSKSLVKTNQFQQTFSQSIKNET LNYDTFQTIIIHFHGGGFISMSSSSHQNYTREWANQLGIPIFSVDYSLAPKYRYPQAVDD CWQAYHWILSHLQYHFNIQPKKIILAGDSAGGNLCCALTGLAIKFGIKVPDGLLLSYPVL DLKMKYSPSHMHGLEDFLLNHTLMDICIDAYTNHPASYEFDPFRSPNHFSDEIISKFPPV RILVGSKDPLLDHSHRLAHSLIKNQRNVKIIVYEGMSHGFLSFYMLGGMKESAKCIEDSI ICLKELISFKNQ >CAK74272 pep:novel supercontig:GCA_000165425.1:CT868185:7406:8050:1 gene:GSPATT00010471001 transcript:CAK74272 MIRGTIEIFVYLKELRNIDLFQQGVYQLKICIYKKDDTQLDIISAQPYMTVEQKRFFNKQ TTDTYVQSSKLIDTSFYSKAFIIKYCDQVVELNEGCVFRIEIQAYPEMNLNELYCIIELH FCELSTITQEDFKPDRLQNYQKCVAKFSSKLHNVKFIKEYVPCVFDESHFCLLKLSLHSV LVDFKYYQQNDLSLQQFMNKITNQCK >CAK74273 pep:novel supercontig:GCA_000165425.1:CT868185:8111:9498:1 gene:GSPATT00010472001 transcript:CAK74273 MLMQTHEQVANYYQTRVKQCISDEQIQNKYSKFTYVNTKTANYKPFSEYVTKINDIKNNP QLQQEICNQMFLEIKHISSQIFVAWYQFVDAYNFMASALTNKLEQEYLQKIKERWGESIF QHIQTVDDLSFQDTQSGKNHKQMAQQYRNNNYYKQLEPLNIEDLDLFPDAKIHPIVFRDV SQKQQIQRKQIKGLHLFVFVHGYQGNSYDLRLWRNNISVRYPEHLTLLSKCNQDNTEQDI MAMGEKLALEVKLWIKEWCPKENFSKLSFIGHSLGGLIIRASLQYLTKYKDKMYTYLSLA TPHLGYSLSSSKLVDTGLWVIRKWKKCICLNQLTLNDSQNIQETCLYKLSKLEGLGWFNN IALMSSYQDTYSPFESARIQRPKGNSKDVIITNKMIDNIMETLQNKKIERLDVNYEQSGR SLDVMIGRAAHIAFLENSALIKLSVYSFDELFE >CAK74274 pep:novel supercontig:GCA_000165425.1:CT868185:9604:10195:1 gene:GSPATT00010473001 transcript:CAK74274 MQEVYLCALFENNSLPELKSFFIHFKRANPRVKKVYFRITSTDLVLYCKESISSCYYINN NQVIEQLKLGNQQCNNKYIILQFQDFDTVVGAVNKIASNPKDVRIYLEQIEDQIFLTWNI TQQDDTSIIKQTYIEFIEELEIVQLELQPMIIMPTYLLNQQKLTLTLEWLQQQKIMMPLQ D >CAK74275 pep:novel supercontig:GCA_000165425.1:CT868185:10576:11719:-1 gene:GSPATT00010474001 transcript:CAK74275 MNTDYEDQDENNLDDLENLDISKVIQSKYKQRRVGMQIQCLYILSSQYQQQEYQKVRQNQ IAPDLENQPIQQTQINQTTQSDILVSRSDNQVNDQKLITFRCQNNINQQTKEKPKKNQKT CFSSYNQCRICGDGDSDDCPLIKACECVRSRYYVHEECLKQQILDEYNYKIRGAKCSSCQ VKFNMIIEGNFIFLPIRLGVKQRQNPIILLGHFLTCTYSDGYFIVNQIVNLLIRFRTSIK ETKNRQNFLNSKVFIILFIVICCVISIILVWLITMILKNIFYVEKITKWKIIPYLIKQPS FVMQQNIEINNKGILKLQQMIQQQEQNHTNEDLTKSEHINTTKTQYKRYFTSMKIKQQES LIS >CAK74276 pep:novel supercontig:GCA_000165425.1:CT868185:11828:12956:1 gene:GSPATT00010475001 transcript:CAK74276 MFLHEFQSGSYFQVFDPKEVETKGSKEKEDLYKKLFKINYPLKSSRYFDKEMKGFIYEFL GDSTRIQFPKQGELGLIQQFLVVQVNIDLGFVWTLELDVTDTTKTKRRIVLTDSVKSLEN HHFHIKSPIDHVKRGQWLNLCIDVWSFMEAFKGCTFRSIDQIIIGSPCKLRKIYIMKYPI QDSEIDITFTQGFAVVPKQVNFPEGFPYQNQLITFRLLNYNEFALSSQIQSLPKSPDKYV YDVKYPIEQKQKIGKYKYNKPEQSIQKKQTQQQSQNFQKYDNIDLDEKLQSSLNDEESEP KDNPSYFEEIQQDQYENEQLEDYLEDFDQKDEEYLKLVYDPKLKCFYDPQTNEYYQLNNQ E >CAK74277 pep:novel supercontig:GCA_000165425.1:CT868185:13217:15204:1 gene:GSPATT00010476001 transcript:CAK74277 MKKNKQVSAKSQYLKKKKEMPSGVDDLATHEENDIHSFNQLKQQQNPKFQQIAIKEGDTS SSEDDGELKNRDDPDEQSRFVLGLIQKNVRRVNEKNSFEIPMINIKDLNAFKQIEQGDAW KQISASLDAGSKIYGFRVDLVHQNTFKVLGGLHRTQINEKQNQEEQQEYEQEQLRKKKLQ NTGGENTLEKNQANIDTNKYDLEFEIDPLFQQTSAKFDEAGAKGLLMNNLTINENLMLAL DSDVLPIKPITCSLNIEKYKSFLKNLQNLKLCPELTEFRENMFQKGQNIIEIKQNISFAN VMDPIALNMDFNQEDQVYENMNMAEEVMDEQASILSVNQQYQNGMVNQYSGHKFSQNKFN ILNFEQAAANIGTGKVFENEKEQVAWMALDMNQQPKKKNIAQLNEKPKKNKTKKKNGLPF SFDPEEDDQDLDRQNILQKRDKENYCNNKETMNQLYLLPKSYQIDRQDLCQPFQVPKLPK WEEENQEQPFLQHDNFDTGGIDEDPQPLITQQNTAFNSAYKNTNNAQGKLNIPIKELKTQ VWQNLQQQIPLSTDNAGLEFNEINKILPKILKIPQSKGRVSIQTCFVTMLHLANEQGLKF IQSDTENDFVIQREILPQQS >CAK74278 pep:novel supercontig:GCA_000165425.1:CT868185:15232:17757:1 gene:GSPATT00010477001 transcript:CAK74278 MQFKQINLEEFNEQKALDYLELKNQSLQQTLLEDPKIARYLDFADYLLSYHFQQTIHKKA HERLLVEMFNTDYILSKLYGLELHKIKEYFVKFGNRIKISGFVLLLLKLIQFQLDEVPYM INGLRELAEKIAQNGIITFEQFVAYYMSCSENDEQDNIQHAYYKIVESKGQDTMYHKHEI QKAIYTKSIQKIALLEENQPQIYLLGTDFQIHKEIKLDNPDTHYIILDFDFAENIKEFCC SLSNNHILFLSFMDQLHNNKLVQCEYLLTKIQYLQMLDHWGSVTTNQQLILWHPKTHQQT RVPLQLQGGKIQSIIELQKNEMICLQTDLKRVHIFNPKFEQLIVIQDSLLIQTFIFYEAG QCFYSINFTHKIQKWLIEENGVDYTKDKTIQICKPEYTVLAIGFVQDLLVTYDSSNQIRV FDTEDFRKLTTYKKILKPTNHMKIIEINKYQFIIVGNRISSFEIDVRIEEKQISNKDELE KHNEDFETQMKSTKLLMQQILKNQPQVVNFRGTKHQDQIESILEQIKGAKSQLLPKIKVK EIHHYTELPDEYIREKIEEIEKNIYANKLLKDRIHQATEPFVDSQPDPIDPKILQKLQPL QQGFQKIDHKHNQSEQNGSKIQSVRQLQNNSKVKKKFDILNFDLQEKSIRSKIDPLLDTD TNFLFKQLKDDYLNVQSKKSTKTQSLCYESRYEYQTQRGKLKKQLFTTQYKTKGQKIKTI QSIQPTNQTINQTIALSRTSRNSRNTTYPYSQLQQNDQPPPIPLECIPQMEKVKLFYNEA VKAMNYLKEQNQFLFFDS >CAK74279 pep:novel supercontig:GCA_000165425.1:CT868185:17828:19571:-1 gene:GSPATT00010478001 transcript:CAK74279 MSNQQLQKLINNTFITKKRVSAGSFGVVYCGQDINTRALVAIKIEKGNKEDSSLEREAEI LKRLQKVPQIPKLHWAGKEGDSNVLVIQYLGRDLTHYMKTFRKFSLRCVLNIAEQMINII ENIHKNKVLHRDIKPENVLVGKEEEENLLYIVDFGISKFYKDENDSHISYRENQPFIGTT RYASINAHKGTSLSRRDDLESLGYMLIFLLKGQLPWQNLQFADEEDKMRQVGQMKMKMET NELCKGIPIEFGRFLEYIKGLPFKAEPNYKHCQSFFKKVCQEHNYTQKDLVFDWETGPKS DRNDDKRKQTIEGHLPSSQNSNLVNNKPSLFKKSKDDISSNNIGGSLLNYSLEQLEMNSL LKTPEQRKSNLTPDINRRKNRMQSVSSYNDSHSEMDNNGSVMLGIQPSMLSRLSKLSFNS NSRARDSKQNLTLTPEQKRPHMQEKKLTITPNSYMEQITGQNKKAINKNSNSQQQLSYKK EEVEETIRDFSKMNESEEGIECKYMILKQAAVNARFKKSIMYKKF >CAK74280 pep:novel supercontig:GCA_000165425.1:CT868185:20568:21014:-1 gene:GSPATT00010479001 transcript:CAK74280 MLKNSQTSCYSLQKSGESQSTQDTCKNTYKGQKKSVLLILNQSQLIDQPLEVQSMFIFPQ SADDSRTPIFIDCPACRQKSETLIAYQNGNYTYFCAILLLLLCFPFAFLPFCKNDCKDVI QHCSKCAATVGQAQFKPFRS >CAK74281 pep:novel supercontig:GCA_000165425.1:CT868185:21233:22346:1 gene:GSPATT00010480001 transcript:CAK74281 MQQYPQLAPVYEKCPKHAINDIVLFCLHDQCREPLCKECCKIHIQLHNLQGTPANIDTVD SVREMLFSDVHNLKVRFEEEREILHHFSDGENSQLIKQIHTKVEQVKKNLLQLVNDYCNQ LEKEIQKRIHQHKAAHPGEKKELHHKLNTVITQLDSQERALLSQKYIKACLVVISEEKNH DLEGLSLDIDNALKHYLDNMFDLCIHEDKLQKISDALNEYVEIHQVNLAEELEYYTQQIR EKKRTSGMQQQQYNQQLKQNNVKQEINPPISQTIPQSKLNYSSIYDAPQYSQNIRKNKSI FNLIDSSLQQSRVGPTSSKNYETRLQQNNEKLKQFYG >CAK74282 pep:novel supercontig:GCA_000165425.1:CT868185:22382:23124:1 gene:GSPATT00010481001 transcript:CAK74282 MNKGQSPRRDHSPNFFYNITNDNYMYKRSTDTQQTDQNCMTKQARNYVNNANITNSPKPA GSPSRMNFTMQNKYFHQSNIFDGIQQTKEYKQPEQAQKEKYRDNKSPSILNGAQINKNYN CTSGKSQPIINKSKQITVEFQTDNNNFDALDLKRSLLKQGIQISDIQMKNPINSGRTKNV GNLTVRGDEDKLSNLHQELEKVGLKTHDKPNNYYKQQADHSWMLQKQKYDKIEYFKNR >CAK74283 pep:novel supercontig:GCA_000165425.1:CT868185:24120:26541:1 gene:GSPATT00010482001 transcript:CAK74283 MQRPLGSPIDKKSMIQRNNVSLRNLAEKSIFAKQQLSKKRSQSINLQQQDEPSIHYSHPI ETKRVSQASVPLIQQDSNECFQKVLGSKQGSKDGSGEEDDRIVANEPRPSKIRRLSRLKD IEPPESYKFSLIITQQSRLKQTWDAVIFIVLIYICIFTPYKMAFINDEEYPVWDSFENQI DFIFMADILVTFFCSYYDEENNLIKEPKLIAINYLKGWFTVDLMSCFPFQYIFQDYDKSS NIARLSKLPKMYRVIKMVKIFRMIQLKDVQYIKVININIGSERFLYAFILLIFSCHVTGC IWFFIASLSEDPDWEYNFISGYDQYIVSLYWAVQTILTVGYGDVKVFKWPSYLFAIFWML VSVYIFSFAVGSLASFLDRLDHANSIYVNRLSTLKNIKKEFHISNTIFQKVKKELKSGKK NLLLNYNTLLEDLPPQLRVELAFIMNKQLQEEIQYFRDKAPTFIAAIGPVLTPLKVGAHE YLFMTGDNAEEIYFVKSGKLALVIPDNDNFKFLMIKPGSYFGEIDILFYGEKRKYTIMTT KKCEFYVLSKKHYKLIYLNQFRDEGAKMIKEAQKRKQMIKSAYEEAMQFIEAHGDKNFKR NQQTSTQTIQLKHMNSVSSSKSPQESKRYQIFEVSVSNQKFQLEKIIQEENEDDDQERET EALMSLMDRQQFRKKISQLEKQIQLKDQTIQAIQQELDELMTEIQLKNLDALQEKIKVPK TFEEHLQQRRFLKANQKLKEKLKKRRSRKVSLRFSFKQTTSLSESEQNCKSLSPLKFDGE QD >CAK74284 pep:novel supercontig:GCA_000165425.1:CT868185:26598:29101:-1 gene:GSPATT00010483001 transcript:CAK74284 MVEQPEIELDQQEEDEQNFELRNEKRQDDNSESEQQQNDEKQQNETQDLVQLQEPEVKIV QMVQQPEIELDQQKEDEQNFELRNQQRSDDNSESEQQQNDEKQQNETQDLVQLQEPEVKI VQMVEQPEIELDQQEEDEQNFELRNEKRQDDNSESEQQQNDEKQQNETQDLVQLQEPEVK IVQMVQQPEIELDQQKEDEQNFELRNQQRSDDNSESEQQQNVEKQQNETQDLVQLQEPEV KIVQMVQQPEIELDQQEEDEQNFELRNEKRQDDNSESEQQQNVEKQQNETQDLVQLQEPE VKIVQMVQQPEIELDQQKEDEQNFELRNQQRSDDNSESEQQQNFKRLNSKIDHRQLIDTQ DIDQLQEPEVKIVQMVQYPDIELDQQKEDEQNFELRNQQRSDDNSESEQQQNDEKQQNET QDLVQLQEPEVKIVQMVQQPEIELDQQKEDEQNFELRNQQRQDDNSESEQQQNDEKQQNE TQDLVQLQEPEVKIVQMVQQPEIELDQQKEDEQNFELRNQQRQDDNSESEQQQNDEKQQN ETQDLVQLQEPEVKIVQMVQQPEIELDQQKEDEQNFELRNLIKESEQQQNDEKQQNETQD LVQLQEPEVKIVQMVQQPEIELDQQKEDEQNFELRNQQRQDDNSESEQQQNDEKQQNETQ DLVQLQEPEVKIVQMVQQPEIELDQQKEDEQNFKMKTKAIQQMSKTEQINSIIQQNQNEQ LLQNDIQPQLTEQITCFPNLKEQAAHIGDIQNTDSLQQSEIYQPQEATEKGYYNVELQEE QVYFEFQERETLNQQFEEEVQIQSDQKPKEFVGKYLEEEQQKIESQLE >CAK74285 pep:novel supercontig:GCA_000165425.1:CT868185:29166:32458:-1 gene:GSPATT00010484001 transcript:CAK74285 MDRKKLLFESHLKNNSQDLTVGIRQANDIRSRGDSIKRGNSRQQYQVNNKSYEISTKTSG SFIITKENDVSQLKKKIDELQFRIDSQDAKLKARDKEIVYQQSQIKQFVLEMNEVQIIED NYKEEISVLNKELSQWKEKYFANLKEYQYRQAHLSRQSQQEQAQIRKKYEEIIEQYQREI ESIEIRFENERENLYKALDLQNMTNEKNNEMNDLTIKLEERDAETRQLQEQIQELEKNTE ELKLNLQLQTSKLNEQIKKCNNIEQQKHQEIQILQIQLQGKDKQIHTLQIQIGESKKKTN QQDQEKLQHLENLNNQFLQEISLLEEENGKLKQQAKEFLKETSYEKENDNLQMIIYEQKI QNHDLKQQLKLFEDQINQLKVEYQNNPKTNYLEQEIKDYERKVIELQEQNEKLLNQQVDF EEINEELMIIKKNNQELKQQHAEGYKVLESKCMKIKQQEAEVNQLRQENIRLSNQQSQLV ELEYKINDLNQQIEQLNLTNNQKDVEILQLLNKLEKQNQNIKDFEKFIIQQKSENKEYQT EKSQLKNHIIQLQNQIKEISEELQKCQIEIDDLTRIHEENLIKINSLEKEKQQIKNNFEL KQKEIQKIEEEKLSNFTQLENENSKLYHQRNKLQEKIGELEEEVNQKVIEINQLKEQNKH LFDQNKLYQSEQETQESQIIKSNMKIQELEQNLEAQNQGNYQDVLLNENELLKQKNQDLE NLLHSNSNDDAEIIEKLEKQFFDQKQNNEELKQKVNELQAVKDDLQKSLEKKREEIEELQ QNQFKYNGKLEKQIDDLINTQKLKIIEISENQKKIEDLQLSLIAKDEQLKVLQQQVIKND FIKKEEIEQLKIKVNQLIEQIQQLKQENQTYEVQIKQKTLEIQEYNLQAQVKQEKLTKDI NDLKNQTNNTIKNNGKNEQQLTNLYIPNNQIEIKQNGTQNEEISQENEDCSNFELRSGLN QQENDEEYQKKSEEIPKQLKERGQNEQEQLDLGQQMSYTTINKNDDKLNNKINDRQLNDT QDIDQLQEPEVKIVQMVQQPDIELDQQKEDEQNFELRNQQRQDDNSESEQQLKC >CAK74286 pep:novel supercontig:GCA_000165425.1:CT868185:32674:33809:1 gene:GSPATT00010485001 transcript:CAK74286 MFNMFFKQQTEFEKALSNSSILNNKPSLSIADLKHLIQGFPQQSQSMCRTPQSIKKTFQT FFQALPEKTSFRVKLKVLATCHVLLEDGIHGQQFSESLLSWNGFRIKQNNISNIGFRDKN QDQEKFVQMYQEMLKRFAYGFDLLRIAKSQQINSSNTTIDCTYYYKAINLLNHIFSYSQF IKYVFETVQELIVGEILLLIWNDVIATYLFIEKIIFQFLVDYQIIQASIFFQLNGLIPEF IRLTSQIQKFYESNQNFLNLKQFKAPAWKIIDQKLLQDLEKQNQTLKLQNAKDRLTKKQS SKASSLSTLYSTPQHQTAKSFLFGNTTFGYIKRLSTLNDPFDDIEEMTQGFKI >CAK74287 pep:novel supercontig:GCA_000165425.1:CT868185:33837:34657:-1 gene:GSPATT00010486001 transcript:CAK74287 MFRLFDSSYQQMNPIYEEGDNVLWLGDFTAALDRTLLESKSIKTVLTIATGLDIAYREPG INHKVYHILDSETANIGRLFQDTNTQIIEGLKRGSVLVHCAAGVSRSASVVIAYLMKKKG LAFQEAFNFVKKKRSVIQPNYGFIQQLRNYEKEIRIGKKEPLSLKISENPQDAKGWQMTK TQDKKLSGLNIVGQQAVQQRQSGLLSQPKITSSNSTRANSTLNSKKQFEPRKTYQGPQIV TYAKNNKLVIKNDFAMPEIKYKNQK >CAK74288 pep:novel supercontig:GCA_000165425.1:CT868185:35587:37626:1 gene:GSPATT00010487001 transcript:CAK74288 MEILTTSNQINECKNNETIKGTKQFRSKNTQLDNQKEKSQPECKKDSEGTNQGNSKAISK KKSDEYEANTIIKNIVCLSLSIVNEELQFNCQQQIQQEQISEHRKVLQICDQEDIDSFQL PPTDQQFPQQKQKSHKKTKNRKNKKDPQARISKNQTLTQKQQPQQPPIQQQSKEKQFHQL SPQESNKIKQQIAQSKTRKQPSITQIEKCEQENKKDSADFDAQFSHIGARSTTAGNSQSE SDDCLQQSDENKQSAQKLSSRDKPKKKNYNNNGEKVGDKQYPHSTQTTPIKRKKLNLTKS KTHDIDELSSAILQKSYQNRIRKQICLNRLNYLIYTNYHLNMYPYGSFETGLDLEISDVD VGVWGSQNLSYAQIVSFLQLLNNTLKQTPFLIKSKLIQGQMPILKLELNPKSGFYDEDQH IQQNWSYFHLDHNDQGRIIQVDITWIYQSSNVYNNPHLGFASTTIVKDWIHRFVWYRDIM LILKQLVKSKNLNDAHTGGISSFCLSIMLAAIYMCKHYTQNDKKQILLDFLKKYGTQFDP LKEGIYIDSYGQQHPFIALEECPPYNPLTIYSPINYQIISQKAHRFLEIQEEFKQFYEHL TKSNKIQDYFDFPLQVNQPLFI >CAK74289 pep:novel supercontig:GCA_000165425.1:CT868185:37637:38213:-1 gene:GSPATT00010488001 transcript:CAK74289 MQSTRSNSAQYRTCNSQYGQGWLLHQGQQQIKVNEAPVPTYAEIVRSMQDFKRAEKLIKN KLVAQQISLESQKKETEDQKQRRKIDNKVPPKPFPVKEDQYKKPPLGLNVGLPIYETSNM QYGQLNPTNFELIEKYYPRDAKFTSGFLGNTYKFDGLNTSVAFSKTHKALDEY >CAK74290 pep:novel supercontig:GCA_000165425.1:CT868185:38465:40158:1 gene:GSPATT00010489001 transcript:CAK74290 MIEQLPTISLCESTLLEQPLLSSQQSYTEKKCGSRFQFIKCEQEDKQYQAENNRNRIKQQ LKGRLQLIIKKPSYYEKNSQEELQELGQKLDVEFSDLDSLYICADTKKFDQEYEMMEILG EGCLGLVKRIINKQTKEEYAVKIVQTQDDEIIRNMIIEFKSLQKLNHDNIVKVHRLYIDF NNGFQSESKAYAIMELVKGQEMFEVINKLGHYSESVAKELFKQLLSAIEYMHRNGICHRD LKPNNILCVENKQQIKVTDFNVSKFSDTYKEFGDLKDREKIEMWTYTGTVAFSAPEIFTG EGYNQMVDMWSAGCILYSMLSGQLPFISEYLNDLIEQIKEAQIQFPDELFKQISKEAKDL VSQLLQKDSSQRLQPDAALKHPWFENVVLEQDIEPFLNLAILNNIPRLSSKYSRNNQKSR SILLKSSQNTDKSNLLQSEFTCSPTKFSSKKNNFFSNSVFESQLNNQGAIQNDNTSITDF ANLQLIKNTSNISEDISDLQYVKLEQFIVYKKNENQNQETQQQ >CAK74291 pep:novel supercontig:GCA_000165425.1:CT868185:40335:40466:1 gene:GSPATT00010490001 transcript:CAK74291 MINQRNHSKISWSEILIHLRNKDVKKQQIKKRLDKLISKIEHL >CAK74292 pep:novel supercontig:GCA_000165425.1:CT868185:40771:42241:-1 gene:GSPATT00010491001 transcript:CAK74292 MLRLSQSNHGLVVFTLFALLISSCDAINHLRGHIEEPQNKHLYSSHSKGNPIAAVIFGFL CVFGAFAMLWYNERRQAITEYRLEQAKKQCTSVNSAEVNPNTNHQLIHTNGESKTNDLVV DATFGLSMKDCIKLVRTVEMYQWVRKSREENKRTVYYYVQEWSSTFHSDCGDGHYNDKSK WIVEQETQVNLNVRIGAYLMSKSLAEQTNAHESIPMAANNAQAVSNFYGFSKGFQNYEAN GQYIYFQQNRGTVSMNDLRVSFDAARTGPTTVVSQQYNDTFTPFVIHDKFEQTLARDENL EDMSLTCTTCCCFCCKLCRALEKPLTQIDWIFEQIMTQNQVFKKKAEENACLTLAQRIGG YILMGIGFCLIFSPISWLVSWFPLVGNFLASITGFIFFLVSFIISIPFSLLTIAFAWLFY HPKYGIALIALSGLIGAGIYFYIKSQS >CAK74293 pep:novel supercontig:GCA_000165425.1:CT868185:42487:43671:-1 gene:GSPATT00010492001 transcript:CAK74293 MAAMNKEQVDMDNPAFAQQDPHLKINDEEEMSLPPQFRNRYPRRPPLFDGLLNNVRAVTK KVEHIKGFKFEVAGGLSSNFHLAHSWMIPPSSKGKAPNPNPMKQPPVPSYTLAAQYLGGE LRTPFDQPSYIMTGRWDSTGKLEAAIIKKLNEMFNFRFSAFYLDSSPNNAQVHFDCDITG DDYVHSVKLGTGLYSFNMMQTIGKRVVLGYEMMTLTERNMSLMSYAFKFGISQKQNLYAQ YVGAADQLILAYNHRLLDKAYFMSELEYSNQSGESKTILGYRQKFATSEVIITINSKMKF SSALTLQGFAYQLKLCAVADYNKDSYKFGYGIAMGQV >CAK74294 pep:novel supercontig:GCA_000165425.1:CT868185:44666:46194:-1 gene:GSPATT00010493001 transcript:CAK74294 MLSNTSRGQQQNQFSKTQTQTLIPLSEINHIRGKLKGGNLATEERQLDKMRMKANSEERM KRWPTTIAAYKQKRDQHRFEKFTAAEEERRQIDVEEEKFQKEQKKITLQKANIQIYEDGD RVKAFHGKMLFSDVLQERDEQIVMEKYKKELLKQQEEIYHQIIEEQLDEYDQKQIVKQKL LQQKKKEQKDMLHKQHEEMKEKYLTKLKEERIEGELIKQKVRDALEEDQKIQRLKQEKIQ ENQRLVQLANDQLTEFKIQQKIKEKEEDEKIRQHAEKKHRIAEMRKVREDLKFHEKQQQR QKMIDRQIQQLEGLKKAQEEHLNKQIIEAQVKAEEVEKIKKQKREQMCRAIDYSRKIKEE VKETESKSVDYHKKEFQTYWERRGKELEEIERAETTAQRERRVQNARFQQNQIDEKKVIR EKEYIEQLDQQEEKQRKIEKEDEIFLIWASQKIQEHQREGKKILPLIKELKNLSKVP >CAK74295 pep:novel supercontig:GCA_000165425.1:CT868185:47119:48229:-1 gene:GSPATT00010494001 transcript:CAK74295 MGKQPQKQSNGQQKKPSTNKQRGRPPKYKSPQPSIDQKQQNNNQKQYWFTDNETREIVRI LASKCQILFKCLSGQSANKLKNSFWPENQQEVTFNNFKLKRQTFIEEATELQTKLLKKLE NHLSIKLIGDKQQMNYFIFGDNTSDEATFDQENSNSKMMLLNPIIHQDFQRTLKNLLELT DQSKCSSQDISVEDDLHDIKRQKHFDLHENFSQDFSGEDNLNFNNKHKQLDQPESTIQDL SAEDDLYDINKHKHFVSWAFVLAWGQEFREHLTKLQNESQTNMPEDESPSNKQGRPENLI QKSNEIMSRKKEELSCHSIDQLIENYQDKYKKEIDDLKNLLKERFTSLKQ >CAK74296 pep:novel supercontig:GCA_000165425.1:CT868185:48430:49403:-1 gene:GSPATT00010495001 transcript:CAK74296 MSALKAIVTLDTGDKPEYIHIYEGDDIEMLAEFFCDRHNIQEDGKQFIIDHIRDQLKLQE KQIRQKFVPQTNTLKQCQSQAIIQKPAQVKKNDYEALHNTVWAQIQKKPSTAQLHQRNTS NFIIQGQKSQGNLLQKKNELRNAKCVTDIEQNKDYKQQPKRSRQEQVDLANRLISQKNVS EKRLQKLRIESNSPIGHDFKPKITKDNIYKKVSKKIDQEDYELAQLIGIPQRKKLIEFAQ QRMQFKQNQEILRIIFNQLDNDKDGQISTDFINLDVDHQILEKIKPVLCHMEAQHLILDF ESFSNLMKSFQILIY >CAK74297 pep:novel supercontig:GCA_000165425.1:CT868185:50547:50911:1 gene:GSPATT00010496001 transcript:CAK74297 MRLLLVLLIVTIVSATMAPPITSNDKKWVRSSIENNDCHKDCRRADGHVCAGLHKSQCCQ RHWCLQDEKLHDVWSCRTGFEIHVDSCNSFPKRDAGFLQENN >CAK74298 pep:novel supercontig:GCA_000165425.1:CT868185:51611:53121:-1 gene:GSPATT00010497001 transcript:CAK74298 MIINCSHQKIGYLIEQQMIKEVCDICNDIECIESHKFVLKADLMDFQQKALPLLSELQIV LYDQLPISIEQLSSKINSSYQDLIKSSQKKQVENLMMILQNEEEKYLFQLINFYIARDQI KQIKEKLQEVVHFEQTIQRCISQFNQNQNITKEVQTINFDDDQDLIKTTNKEETDSLFIK QVDIPILNQNLVEKSNSFEIPTTFKFKRMYSITWLNDNLLGIAAGDYNPYIIFDPEMQII KQQICQEKNGVYDSIAIGNDRIVLAYVHNIKLFQWVNHQYVENKLEFEESQGTPSKLYYC AQTQSIFATNTFFQVISQNYVYQWKLDSGKLIKYRIQGSTFGPMCLINQKRLFCFSLWEM KTKSVRQNLIYFWQYESNTPLKKIDTSQPQYALIVRMNQLFGFGSEITVWNLENYHLIKK ITYPDKKLNPWCVCSTDQSLILGCEDGTILLVNEQFNKWDKIMKMRAKTMKMNILKDKCA IAALDCSFSILQIKK >CAK74299 pep:novel supercontig:GCA_000165425.1:CT868185:53337:54548:1 gene:GSPATT00010498001 transcript:CAK74299 MQEPFDLFGFQLNEQIYQYSDSSNDGLFQNNNQNIDAISEQDNALILNQIESPPPQKEDI DVVRILNFEEVKVFIDELICPICSYILIDPRICSDCSQGFCKQCLTQWFNKYHLKSCPCC RSISNEPDDGSKAPKLLFKLLSKLKISCKYQNNGCKQAIWYESKEKHYEKECEYKAIICQ YCFEIFLKMESETHFEKCQYKPKKCKWCSKSFSYYKHQKHQDDCSPRKLTCPICVQEFSI KKMEGHIQFCFPKKQLKIIKNELQESIQEVQKAKQEIKESIKEILEIDMFIRKQKQKCQK KKQLDEILNNEIINSFEQPVCQQKDVDPQELNQEQVDFQEHHLQQQIKDASIQIQEFGEE QKINNICQEEAEFQN >CAK74300 pep:novel supercontig:GCA_000165425.1:CT868185:54952:55906:1 gene:GSPATT00010499001 transcript:CAK74300 MNKEKDNQELLIGKDWEGNQIHIHHNDLHKAEYVDVQAMLNDTLDKLDKIEKIEIFMTFL NFTTKALSLYLVLIYYDTVFKYDSLLLFSYLLYALLEVVAFGAAFAVVLSARIISALLGV NVFYLDSFIYLPILYSVFKFCFVVTFLVQSLTVVIFSKFSHWVFPLVLVQEAIEILKQIA SLFVTLILQVSRLILMVGGKVSSISKCFDTNWIFKILAPENWQKSYQLTRNMLYQFLNIF EELNPEYKEFIQKGYKYQKQVIELKEDIEWAKDFIKRSIIENNDVPGNTTSNRQSLAVQH >CAK74301 pep:novel supercontig:GCA_000165425.1:CT868185:56005:58843:1 gene:GSPATT00010500001 transcript:CAK74301 MSYLSQSSRLTFLLIQLKGKDLITPDQYSRLCDHAAKDNPQLKELLVNYENGLSQSELID ELSKMLNNQDGNENIVKSYLQVLQQYCLVILSCAYANNSRTRLRSFIATLRSQQDINEIY KECFIAQHHQQEVKDLIRLILKQFYIKEFSLLQFKQDHQCHILSNNFESTIIKEAQEIQE FIDSQPHQQLLETPADYLSLLKTEKLPQYCIISNNDIFFLSFTEKVNNNFKQYLMITSLY DSIISIIKQGNQIVGLKKLQTIRMEILQLVSRTINILTYKLIFHMLVQIMKQFNLYNLVS PIDKEYYQQQKVTNYLLFTFKSEWNCVFIELQGNLSLQLKEKVQSTFRKSIKRYSKKLKQ KKNLLESFQQVTQHNEMIMCLFFNSYLYLYYMTVQWNHDQFVSRFKLSREINHGDPIYKI FEKNPVIISRVKELIESKNDHLEFDDGFYKFSLKVERGRKQEVKQISVYLFNIQLKRPLN ELLQIFRSKVKILLTLKKATLAFKSKQLMMENQFFRSSALVMYLPDHDMRKLAIVYNQDH DQALEKYRAATMKKKSKKLSLFLAKYEEEDKKNPDGRKIAIDPNLQERLLDYEFNLLNKK QYKNKFIIVYNIFDMLEYTKQYPLKNKEFINFLTALKYKYNKTANPFHNFTHGVNVMHGC FLFTHHPKFGFCFNDQQRFAMTLAGLCHDVGHPGTNNLFQVNTQTKLALLYNDKSVLENH HIAVTYKLLALEQCDFLESVPRADKLMIRKYIVNNVLATDNQFHFKILNDIEIKFAQSQG DPKIFESDDNKLLLSGFLTHAADFFGAAKSYQVARTWSERLRREFQAQSQLEDIVGIAQT PYLRNLDDEVQYAKNEIGFLKVIVKPIYESLNQFSDGAMSLQLANINLSIKKYSEIVDSR QQL >CAK74302 pep:novel supercontig:GCA_000165425.1:CT868185:58879:59177:-1 gene:GSPATT00010501001 transcript:CAK74302 MGCLQSRNQQLIEEQKEQAAMNEQDKNNNLDLVSCPCSESDNSQNKTTNRVSIEECRNSF NRSIDLMQDINKKLEAFSGKDSDLSDSELE >CAK74303 pep:novel supercontig:GCA_000165425.1:CT868185:59182:60164:-1 gene:GSPATT00010502001 transcript:CAK74303 MRNIVLFTLLLILCVQPTNAYSVFDFGYSDQENANPTQLVYNIAAYSFVGILQYLLVSAI FEWKNPMPKNQKRMQNIKREIKYGTTQIFLQVAYSMIFYHYVYPYCSWYRYYTINQYGLL NFAYGMIFMYIWTTFLGYWVHRIFHFRFLYKYVHYIHHSFREPTAFGFCATHPLEGISEV NTVLHLAELIIPIHPLMTLFFFEYITVNEMMGHDGGEYDHSDHYKHHLYYVVNFGDTFMD EFFGTAYNSKNYPVKAKCTYVDNIEGRSEAIFLKYK >CAK74304 pep:novel supercontig:GCA_000165425.1:CT868185:60750:61795:1 gene:GSPATT00010503001 transcript:CAK74304 MKFNISYPLTGAQKTVEIDDDKKCSIFFDKRMGQVVEADNLGEEYKGYVLKITGGNDKQG FPMRQGVLFKGRVRILMRKGHKGYRPRKDGEMKRKSIRGCIVGQDIRVLALQVVKKGANE IAGLTDQNVPRRLGPKRLTKLRRLFGFKKADGVAIVQKNLIRRTWTTKDGKKRQKAPKIQ RLVTESRLRRKTIQKKTEQARKNQSPNKLWKHIKNWLMMSMKLTRSTEKPHQKSRRKSRN NQKPKTLNKLNQPKQLNQQHKLRVLLQPKQLLQLKQQPQLRPLHQPRLYHNQLKKLQPKP KNENDIIKQSTLHKI >CAK74305 pep:novel supercontig:GCA_000165425.1:CT868185:61810:62806:-1 gene:GSPATT00010504001 transcript:CAK74305 MITNFKELPSNSQTTMEALRDLNIFDNNNEFQSSQQISIRLHSFFENAQIKTQFLKSLFK IFEIETQEEGNLLKKLKLLLFLHTILGSSVARVELSKILISKQINIQIDNTPLGKACQQY YFYLYKLASQTTFINEDVINGDILIYFALSNQCNLGISIQSLIEVVESFQTNQLIGDIVK QIYYDLQDIAIFIIKDVKSLIENQNDNKQNKLHILELYKECQVLQQKMLGFYRFNRHFSH FSQIMPPFSLIIEQHYLKDLQNNKPKIHSLKHLSKMSEEHVKFQPQTSKQRQVIKFEFVG KKQEKESPQFSFSN >CAK74306 pep:novel supercontig:GCA_000165425.1:CT868185:63006:64076:1 gene:GSPATT00010505001 transcript:CAK74306 MKAACLFYNQKTYLGPTIFVVLQIGLHVWAYKEFLLYYYIFGPFMFATCGLYFIVRIKDP GTIPIVKVEIPLENNQIEVQIENNVKREVLNAQANDESNGQISLDQFKDGPDNDNTIQKY YPEQQQQQQADNQHILSGRGMLSSPSSEQAKINTPNKQTCISTSNAVSPPTLAAEKRFCM QCLNEQPMRAKHCQYCKKCIPMFDHHCPWIGICIGEKNKLIFLIYLFVQIAQLIVGIRIS VQNIGLLVVMGIIVILLMTLLGFHTFYVAKNITTWEYLSWKRISYIDQNSRYPFDKGVLN NIRLLLQISCQTQIYEWQLQSQ >CAK74307 pep:novel supercontig:GCA_000165425.1:CT868185:64154:65589:1 gene:GSPATT00010506001 transcript:CAK74307 MQSLFVKCQKCQQRPATIKCNQCRYGQTYRLCYSCDSQIHNRTGPVDQQHKTEIIPYQEM YQKNQGNAPVPQKNDQRNSFKKNDYKPQPQVPTKDFLNNEPKKPDYSKTIDINRVSNKHD YLDKKIDSHDRRADQQYLSNDKRPYSSNQKITSDNDRSSQQLISQLKEEQQQTERLRAEL SQANQKEREAQRRLQKLEQEFDQKIREDKQKIQQLTEENRNLNNKLNQTNKHIQEEVNKV RNQYEDQINELEQILNEKNQQLESIAQEYNLEELQQTLNELQQESSMKDQIIEQLQQNLH DNQEEFQQMREELMNNSKKNLQSSNKKSVKSNDNQKDELIQELSQQLEAKDEEIHKLEDL IENFKQLYQHMSDEKQQLQEEVEKLANENNQFRDIFSQNLHLFGIDPEQLNEEGEEGENE YPEEIAEENDDQND >CAK74308 pep:novel supercontig:GCA_000165425.1:CT868185:65680:67409:1 gene:GSPATT00010507001 transcript:CAK74308 MNKYTLRFNNPNLEQQYFADQFNELFKFYTWACILGTISAICVFCMEFFQPFGFGPLKYG LGLIPISFYISHKIVRKYPDLFNIILAFTNFLLGVMIYFLLFVFANSNVLFLAGQTVAMV QYSLMLGSNIIAKFAILLLNQIGLMIMCTYVQEFFNSLQLMFILALFLVLKSLWIQEKMK RMFFLLKTEDTQLQQSLDNVFQFKIIQCSFNEEINAFQLLHVNKQATQLIKDQEDFTTLI RNYKVVTFQHSNPLKHDSEQTISALAQLKQTLEQVLLKTIKENISETSAEISSQKFKQIY KVSLQNCLNNGHPRIIILLQEDTQCKKMIQLKKKNYRYKFLNHHLSNCFDNSRLSLGYLK CLLNQQQLINKNQQLILKRSIQFLYKTIFGYYNMKLFIGTTKFGDLKDFHFSQLFSDIQQ MWGIYDPKQEMEIYFKQGQTQCYSNYAMILQLILNVIVINISQLDCQKIFVTVIKNQIEG VEVVCCLITFKTEYNQFRQYQTREQRYLNIILEKVNKKILQEICLTQAMCIINNKSDRRI EFNVIQNLNEFKLNLEHTDQLNTIVNI >CAK74309 pep:novel supercontig:GCA_000165425.1:CT868185:67827:69435:1 gene:GSPATT00010508001 transcript:CAK74309 MDNGPWYSKKEGSPLKLSRNASITSPRRMDNSELKLIREYNSQVSIPDHQILSFDHLLDE INFGKFQYWIYGIMALMSISEGAQITIFTLMVPILKNEWHISDSLNSLQASFVFVGYLVG SMLSGQLADRVGRKKPFLISSFFTCLLSLGTIACQEIYSLLIVRALLGILVGLFAPCGVT MISEITPGHLRGRYMGLITLTFAIGQLFGLFVAEFTLTSLDEGNWRLLTFWCCLPGFLAW FISIFRLRESPRFALLSGQKDLAYNIIQEMINSNQASIQFNDEMKIKLSNWTHAMNRIAK NQNNASITSLFENNRFFLTILIWFNWLILSFVYYGIVLLLPDILSHIEQGQTGRDKIIQL VVSCISDILGAVAAAFFIELKGFGRKNSLIIFFTIQAITALMGFYDIEHRFIYWATASKF FLSMTFIFSFQYTAEVYPTKIRTTGIGMANGIGRLGGVIMPWICMYMNSQKLRSPFVLFS VLSVITSLSNCFLPFETLGKELE >CAK74310 pep:novel supercontig:GCA_000165425.1:CT868185:69464:69971:1 gene:GSPATT00010509001 transcript:CAK74310 MEITEEQLIQEAVKAKERAYCPYSNFRVGCSLLTKNNKLYTGCNVENASYGLCVCAERVA ICKAVSEGDRQISTIVVSSDTDEPTFPCGMCRQTIIEFCYPGNDIKIIAIGKDHTKPKYS KGTEVIPFAFVPKDLNVDPQLEQK >CAK74311 pep:novel supercontig:GCA_000165425.1:CT868185:70305:70978:1 gene:GSPATT00010510001 transcript:CAK74311 MAFVSITNIVVEDKPQPFTSPITMDIYFDVIADIEDEIEWTLLYIGSPKDEAHDQILDQF SMGPLTKGTKQFTLESNPPDWKKIPQDEVLGITAFILTCSYRQREFFRVGYYVYNTYTSP ENIENDPQEVIIEDIARQIFNNKPRITRFEIDWNNPANSEVQQQPIETKAYMFSEQQEKQ SADATEIYGALHQIQNVFDS >CAK74312 pep:novel supercontig:GCA_000165425.1:CT868185:71374:72104:-1 gene:GSPATT00010511001 transcript:CAK74312 MQKEIKEEECDYSFKSTINIQYEVALVGECDVGKTCIWQNYLKGMIPKKTVPTIGVEFET KFVTLSDGSRIKAQIWDTAGQERYRSLTNSHFRKVVGALAIFDLTNKTSLEKLQLWIQEL KHHGDGDIQIIIVGNKLDLVQKNPSCRQVSEDDIKQFVKQNKWKVFEISAQVPENVNQCF ECLFIDLCKQKTGFRGGEQSQLKLMKQSANLNEGKCKC >CAK74313 pep:novel supercontig:GCA_000165425.1:CT868185:72221:73919:1 gene:GSPATT00010512001 transcript:CAK74313 MSEENPPEKEPVLQESKQEQVQQPSIEGQIQQEQQVKEDTQKQVENQENQEKKEIATEQI KQDQEVKEIIQEKQEASETSEQIVQKEAESDITKNEKTNEETQVKEQEEKQEIAEKGAET TTQNLDNLFLKADYSFPIEIKVCDPVQKSGGISNYVVYTIKGKDCFGDFETQRRFNEFFT IRELLLAKWPGQYVPPIPEKSVSTGSEIIMERTRLLNIFCMKMMQIKHLYYSEEFYDIFL RSTNPDINKQISQIPKQNVFAYTDRYKQAFQINEIKEISPEMIKKMSGVQSFLKLSQATL VKQLEQTRTLSSSRKQLKETFFTIFGLNISEYEKQILQEWVPQNKLIFANPANTDILELA KKIRDPNNNSLDKIQDLILIEQRDIEAFLQAFQTRDSLMQSKQKAEQKLSEEQTDLYKIM KGNSTMKGSFSKLSLEEQKQKLEIQLNETQKEVDQYKLLFQLVTDVLTSYTIDKFKKDKH QTYKSILADLAQCEQQYLDIQQQFWSKVEQVITQCQEP >CAK74314 pep:novel supercontig:GCA_000165425.1:CT868185:74675:74977:-1 gene:GSPATT00010513001 transcript:CAK74314 MFLQQHRKKSQPSLVAYYEFKIQEIGSYPSQRVIITEQNTKNYANLRIGTPSPIGCKSRI KTLPPLKKEKVIPFEHDYNTAYRTVFSQRNLVNLMGGKNK >CAK74315 pep:novel supercontig:GCA_000165425.1:CT868185:75065:75279:-1 gene:GSPATT00010514001 transcript:CAK74315 MDSYNETKYKELKKKYAILMKQYQMIQQEYEKVNGELAESNQEKRILQNRINEILGKQSE DN >CAK74316 pep:novel supercontig:GCA_000165425.1:CT868185:75312:78570:1 gene:GSPATT00010515001 transcript:CAK74316 MFTSESVQEHILYDKIYQYLWVKSETSSPPSVLIPDTVILIRSMPIYWYFTDKETGEVKK KLRKNVTKENIKETWLNQVGRSGVVGYLLHFIENLDLNYPPEKLKISGKIQIVYFDEKGF ENFMNSNLELPFGILQRYVEAADDRNSQIQAFWSKSVTLFTKRMTKKSYMNKSMNIYERL CTFEGPEYLSEATQVKDFQSQRISEQIYKMINHLDAISFGKLNINQGTFYFKVDKQDKCW FLFCGSLKFEDDKHYKNYPKDLYTQSQISIPKSVDGIMSVYSQRPLQLNKESKCIKCGNI DKENNFMDIPYHYILDSDIESLPADQWPTEIQKEAKKVKVAGANAGTQALLNTITQVPLV FQKIHEKLNYTNFDQFKQSDGFLHKTLQVCLDCYIVLVAHQEKVQKNCVINKVRQNVRIR KASEGFTNAKRLKEYLRQGQKEQGIKGGQVKTIESNISKQAQKVRPIQQYINMRLQSLSP QLKYSIEIPSTADYLSFKTKSSNYDISSSKNEFLRLMFFYVFIRFIIRNYFDIIQKGLMI VKLFSHFQQLYGSFNPSYFIIAVVAFSQGVQHLADLSINFMLKDDFGLSPAMMGLYLSYT TIPWIVKPFWGLITDSKPLFGYRRKSYIILFSSIDALGWVALYKYGTNSLHSALLILFLI QLSTCFVNVVGEAILVEVAAKQEQKQANLQHGASKNVSIFFGVRAFGTLISAFFSGALLH IFTKQEIFLMTSAFPAALMVISFFYTEEKVNLRALSQDDRRNNTMQCIKDFWIFFKNPLI YKPVALIFCFMMAPSSSTIMFFFYTSVLGFEPSFLGQLKFVYAFSTILGVLLYNNYLKDV PFKRIFITTTILYYFCYQSMIILVTRKNVEWRINDRFFCLGDSIMLQLVGELNIMPILVL ACRMCPKNIEATMYAMLMSTINFGSTLGSQFGAIFLIALGVNQNDYSRLWLFIMITGVFI LLPLPWVGVVKEDQIQKSMDKQKLAKKQQQNDFESLSVSSSNLRQEDDQQQLLSQNQQMN D >CAK74317 pep:novel supercontig:GCA_000165425.1:CT868185:79040:79432:1 gene:GSPATT00010516001 transcript:CAK74317 MGCSPSVIHHEKDEFLRDDILFDDLELSDETSANFVNDSIRVNESIQNRKILYKEENVDF SLVQEQLLDQKPFIIKSCFKKNNKTKQFMQNEKKVRFCKTYFIIINGVKFVVKRIKQRNS KKRNKLPEVK >CAK74318 pep:novel supercontig:GCA_000165425.1:CT868185:80181:81322:-1 gene:GSPATT00010517001 transcript:CAK74318 MKSQIPLTKKPGKSLPKSKDNQTNQSGNSKKEITNESNGFEANKQQLSSPNPVEQKRKQN CLFEIVELANTLKDDDKVNGLIFKQIIISIEAKLTEFQERLDNRDNLQELNSHLHQLQNL QSSPYSPNGNQGNQKKDDSRNDTSGLLRNQSQMSLPQNENVSILSGQEKIKSPLARRSVS KQPTINLGASSQQVIQENNKIAALEKRLRSSEDNYVKLSREFQEQSNANNQKFEKTIKAL NEKYLAYSTMNLQQQFNDITESQKALISHLHQQSKEITEFNNGLNQLKQSQDAQIIEVLN KIEHALQQNNENFVRIQSLSSSLQAIDADFIVILKCYKDILNEIFKIDLLEQQQKKIVCL LQDEQ >CAK74319 pep:novel supercontig:GCA_000165425.1:CT868185:81647:82894:1 gene:GSPATT00010518001 transcript:CAK74319 MINIIITFAIIYISYRWMKFINRPSVQLFYNNESLKKVVQSCPSLSNYKPTPYLNGLLHT ILGTAGSILIATFKHPSEKHTSNREVVHEAGLSVDWIDKGSGIDVQHPLLFIMPGLTGSV DDGYINTIVSQAHQHNFHNICIYNYRMLAKNADFSFKPESYNYGLKMQPNQFMSNYVSDG FYGIDNDYYYFEDDVIEGKRVRIDLVADLHYTLLYLKNKYKFNKILAIGCSYGGVQLGMY LGRFEGKALIDAGVTVCSPHIMNITQVFLSTFMNLMLCKILQRGLKAIKDQFANRNNYPS NWTIDVQKALNATWIQDFDTYYTSRVYGYRTADAYYRHFTLINRFPQVQVPMLCISAEDD GVCHLKGLGKEEMIQNGRTILCLAKAGGHIGFLEGWNASSFWFPKPAIEFLTFHS >CAK74320 pep:novel supercontig:GCA_000165425.1:CT868185:82903:84509:1 gene:GSPATT00010519001 transcript:CAK74320 MNIKQKAYKLIKSCDFYGVDIGLNYRQHKSYKTFYGGLWSSIIASLIAALCISQFLDCIF YLSPEIHHYTKDNGFNYKLLESSTFRSILLDLENEGILNTQHLNNDETLIDIHSFKSIAI SIPTIHEDINDVDNPIKFGKLQKIVREVVQNHQLTIHIQLKILEYQTDSGLLWEILNTHD QLYLEDVDTYYLQSDKTSLRLIFTINPIIKHVDRSYKRIYRILSITGGLVKVLMFVGKFF SKPITTLKFQVSFLNQFFNFGSTKDSKSFYPLNSLAYSQKLLRMQTVRLDSIKVNPNTKE LTKQPTKMIKSILKKYSQQQKQNADSEQQGDEAIIEEQNQDVDELIAKYFQPQDIRLKLQ HIQYVKYFFGFTGQLKKRIKQLRQNLQIVNQKTDIIYVFNKLQELEKLKQLLLDEDQLNL FEYIPKPNIDVSHNRQEPKNNTIVKATQAFNSFQAINEKEKKSRIDNALIKMIDANIKKY FVVSSGCISFARNTTPKVSYASPNSSPRKRNSDLSENLKHEEVNEY >CAK74321 pep:novel supercontig:GCA_000165425.1:CT868185:84646:86084:-1 gene:GSPATT00010520001 transcript:CAK74321 MANRLKTQIQFSDDQFPLNSLEPTETKRFEDHYECLQTIGQGAHAVVKTAKKKGTEEIYA VKMVRSGDQEIQNNVRRTFNNNRCLRHSNIAQDIELFISEKRETSYLIMEYCNFPSLESV IQKRALTTEELKVIIKQLLSAIQHSHSKGICHRDLKPDNILVNLEENSNPPHVKLIDFGV SRRYISKGQEIEMLTKTGNIFYCAPEIFHKASYSKQVDIWAIGIICYQCIFQKLPLHSNQ ISDFVDLLGNPEEWTFKGDLNQIEIPLSNLILQMLNPRKESRITVEEAIRHPFFEIGTIR DVMALLSKDEIGLENCRRCKSLQNSLRMNERWGNVIKKLQSSANEDENININDLVRDFGN IHIIHRHTEKCGLIQLMNSVGSSNALMSKLGSRQEILDKSCGQQGCVQSMYRQNNQLTVW RCRQCGEQFRDEGGTVAFQQQVTLPIRNNFRLQFGSDGDFVL >CAK74322 pep:novel supercontig:GCA_000165425.1:CT868185:86101:87153:1 gene:GSPATT00010521001 transcript:CAK74322 MLRLVCGSAITVDPMKKSKSTCSTPNKEFLIDQIIHKYVGMDRTTDTFTSSITTMFHNTF PEEKQLFVSPHKKLTERDCEKYLTTFQRISDRKSEFQESPHQDEEFHHLKTSSDYGSEHN SFQELAQNLEQVFDSPTNNVHTDKSPEFYNPETKLKSLPKELPIIEENEEIANTHLRLNG LITQIDQKIGFHQVNQQVIEKIKDKYLPKTDEQFEFQDFPEFNPSDQPKEEDLYNLKFEQ TKLQSPKQIIVKDLRAQASRNITFNSNEFNDFISEQFQTEEQLKKNSTLEQPTQQEDQNV ALQGKIQIKETQTSKFKFPGLNVPQIRRTIQAIIIILILTLLSQLFTLIL >CAK74323 pep:novel supercontig:GCA_000165425.1:CT868185:87952:89378:1 gene:GSPATT00010522001 transcript:CAK74323 MFEEFNLSDADSYVQKPARGRTCHDRQSTSQERLETQQQYQQFQKFYETCSNIPIDFTYL REYFNIPFNDCLNDQEILKIQKKIHSRRFSMNQKKSWSVDEKKVLVWVVGKYCQLNQKNC RYLDNNDFNEISQYLLRRNIDNIRQKWWSMLKTSLVSQPFTQEEDQQIIILYEKYKDKDN KWKLIANEMNNNNMIYRTCKQLRERWINYLDPNLLKIKDPWTDREDLELIYQIQQKGKKW TEIAKILKRNENQVKNRFNCLLKREEVQDDLNKLIDKVLWKISKQPVVMQPENQDRPALP SNSQNQSLLLTIAKIESLKKDEINQLTPCMVNLKTNQIYFTPSHILQGLLKIEQSDLQDE FELAKKDIEKFESMPHQFFHSLSVISEEYSIPQNYQSQIQPNIKSLSELSNYESPQMSKS NENNAIFHPIRTLTSLKKQYFKHRTDFSIPQVQSSSPWRSLPILIMC >CAK74324 pep:novel supercontig:GCA_000165425.1:CT868185:90271:92195:-1 gene:GSPATT00010523001 transcript:CAK74324 MHQIVVKALIREFFMKSELNDTLKSFDTELNYKTAITKMDVILQLGLSKIVRRNNEQKQP LKSLLEILISHLLKKKALLEELTTPSKAERIEDIPQDIPIESSGGASRSNRMKKRPQSEY KPKNLNITQEDLLIEQKDEQKDKIKDEKKEENKEEKKDEKYSPNKGAFEVPRKTSLKQAK LFNFQDQIVEDQSKQHKKDEPLLPLSQPPRLINMKKQFENKEAQSILQLKDEHNTNKQVP NIKVEYQKCNIKQHNVQFPTTNINYDKSIGFNQGKRKPQQKTITQPIKKLLFQGTITGLP KSWSQPFIFKDEPTFYGLHQLEGGPCGVLASVQAYYLKHFLFSQSIYSKSSIKQNCLLAS LADILFKSNKERLILVIPARDSSMNQAIGIESCDYLEYQIKSLSYLYEILLEHTSMFFGQ NGVTLFFYSLILTKGVEQIMLEMDSATNPLIGNHGHCTQEAVNLMLTGKAISNCFDGCKQ IDDMKIKGIEERSEIGFLTIFEHFQYLEVGKNLKEPLLPIWVICKEYHYSVIFGCNNDVI QDKPYLKNNLKEFDLVFYDGLNNSDDLIIITIRRLGAQLGKLKKKVEIEGVQFDSSDKIT PLIECLLKTKYGELELDWNDSMPIL >CAK74325 pep:novel supercontig:GCA_000165425.1:CT868185:92204:93274:1 gene:GSPATT00010524001 transcript:CAK74325 MSFISDYCKQIIRPPRRTYSTQQLGPKLRFIQSVPIIREDFEFTSRQLKLQASYFISESV HHRCLIYLHCNASCRLEGLQYVDRLLATGVNLCIFDFAGCGLSEGKYITMGTYESEDVKE LMNYIECRFGKVDEFILWGRSMGAVTALMLSQDPRITTYIADSAFTQLRTVVEELGQQKF GCFSFMINGFMPFLRSKIINEAQFDIDQVSPLNYVGIQSNSKRFYFLAGKTDQLIHPRHS QMLYERCKSYKRLELCDGNHNTTRQVETLDKISKFINLLQLDLPFNADYSNKCSKYFQEA QQCLNSYRMQQQEQEEQLKEYVKQLERKSILKSYQQQQRDLSDLEQYLE >CAK74326 pep:novel supercontig:GCA_000165425.1:CT868185:93657:94046:1 gene:GSPATT00010525001 transcript:CAK74326 MGNGQCCKRVERVETLEVDNTPPQNAKICSIFDAPQQMISLSDSDEDYQPQKQPQFGVFK DHQKCSQLSTGQQYSFQSLPSEQQFSNFVTFQAIPSQQGIKNNVFSKFQKEMIDVSKNVQ QHQKNQKQK >CAK74327 pep:novel supercontig:GCA_000165425.1:CT868185:94433:95432:-1 gene:GSPATT00010526001 transcript:CAK74327 MFSFGYKNLYDAKPIIPGPGDYELRKDLSGKAIQNKDKFIHRSISNKKLQNDDSFIHRLK NHNDCYEEYQLNEQRKLTELHKQQNQHSFTTSERSKCFILPSISKGPQYYDVKDLKSDRS LNIGKYSPRKDIFDLQKERISKNSCSKIDTSISIEQKMQKPQNYWSQDKPHQKYIVSYYS RAKPIPKFRDYSYISKDKIGPSLIDYPKDKIKGRTFKKDQRFKIAKINKVDIHQEYYIQK LIKNKDQVSPGDNESSASEEFINLKILDFTEKVFFDKQIRVKKPFQDVMRQKVPGPGNYE IKVQSGKQYSFPKGKRYNKFFWDLL >CAK74328 pep:novel supercontig:GCA_000165425.1:CT868185:95872:97062:-1 gene:GSPATT00010527001 transcript:CAK74328 MKNILILCLSFVFTTATMKDPKMILTEIDSQRTGRIFLNAIQVGLATGSPVHEIQSYINN IRFMIEQEQKDADLFIQNTEASCNRLLHDFATNLAYHQQQFKAHSKIIEENTRNLEKSLN KIAEVSVEIEENQRKTNEGQSERDLQYSEFQSKQKDHLESITAIDEAYSLVEHLSSGSSF IQVKGRFTKVLSRLQNQSTSAGLLFQPIISMMTQMSSKADNEQAKKVLQLLANLRVQIVE SKSQDEDIEKQQVQNWQKFLSDLINERNTLQDQRQNLEQAILNYQSIIEESEGKVEYHSA EVERNQNNLDGQDQWCRQQQEIYSMESQQRVQSLDLISRIVDHIQDKIVTLKEYLRERLQ IR >CAK74329 pep:novel supercontig:GCA_000165425.1:CT868185:98008:98278:1 gene:GSPATT00010528001 transcript:CAK74329 MLYVIRDGIIHFSNVVKMNKKIYDSQKRQQQEMKNGNNEAMNRQTARDLFKLALLQFSMN LGYSEIEMKIQKIKFPLIILQK >CAK74330 pep:novel supercontig:GCA_000165425.1:CT868185:98463:99951:-1 gene:GSPATT00010529001 transcript:CAK74330 MFGSQFDYLKLKPRTVEEIHHEDGYMKEGIERTATRRIFQSVEYDHFEKKQIQQFKDIIR GANISVSSDDVLLRFLYAGYFNMTNCLELYKRHIHWLNTSRLSNIPEKVLLCLREGLVYI GGKDYQYRPIIVINLHMMDLYLFDSDAFIHALSILFVICEDYMFYPGKVENIVVIVETDQ MSLYNFPQKTFQVIIWMMSQNFPQILDRLYLFNPSKELLMNWDNYHQMLDSRTFKKIEIW ESKSFAPLAHGFHPDMLERRFGGGMPNLQQYWLPTQLIQQPSSISFKVQKPMYNQGTIQY SLYNDPKELLERLQQRDAEDRSQEATLKSEPKSSVASGKLQVIDFHQTMGNAFKMQNYGQ EQQDLSQTNFSGEFYQQTNQIQQSPQQQFMNPGFQGSTLPEQQFRPNSKANSVTSSQKIR DQQTAQQLMGSYQKPISGVQQTMRPNTMPIPQLGAPAQSERLYESMDEKLNDSQRPSSNN VQACSIM >CAK74331 pep:novel supercontig:GCA_000165425.1:CT868185:99965:100539:1 gene:GSPATT00010530001 transcript:CAK74331 MNLIKKKLQTLPKDYRAKIMLRLYAGVAKPSPKMGQSLGPLGINMMQFLQGIQCRKVQVI AFPDRTFKFLIKPPETTWFLRKATGTDKFTNFPGYIWYDTISLQQVYEIGNRHIIMNSLA KVKQEMDPHLKHVSLPAICRMIIGQLASLGCNLSTQYFKPETVVRPEIKS >CAK74332 pep:novel supercontig:GCA_000165425.1:CT868185:100554:100986:1 gene:GSPATT00010531001 transcript:CAK74332 MNYETPHTQKSLPSCNPLHRQISLTTFFYQIQSPYQQLFLLENRVPVDSQPQQAIQKKIK KNLCIPQPPTLVKNKLPQKVDQQISKNVIGQNQYPMQNYHPIIDLIRQNQDLKNELIEIE KDILNYKSLVEVRKKK >CAK74333 pep:novel supercontig:GCA_000165425.1:CT868185:101603:102529:1 gene:GSPATT00010532001 transcript:CAK74333 MDQNNQTNDIEQDAKRGRPSKKLDGQKPSINSNQPQFWIQSQEATQIFIILATNAQIVFK CLSGKQKNQEIKITKQSDTKRSSSLTKYRKSRQEFVRNAEKLQNKLLKNLENHLQIELIG QQEQMNYFFFQDNKKTNFDQEPYNMMMELLRPYIHKDFYKTLIKLLELQSTFKINQSDLD DLYNIQKHKHFVSWALVIAWGKKIRAHLELLQKIRNESELTQAGLYAKQLMDKSVEIRKK KEDDVKKDISQLVKDKEKKIKKEINEIIKQFRYRNQQNNSEIKQNSYLEVD >CAK74334 pep:novel supercontig:GCA_000165425.1:CT868185:102667:103172:-1 gene:GSPATT00010533001 transcript:CAK74334 MTRLSENFSKFGAIYSQITIAFVDLGGLTNLVFLISVGLSIAFWHFALKNDDLIDQIPNF GILSTDKKKFLLFLLNIIILYMFCGDSIFTYIGIGSLISFLHSLLFVSASTGALIDGQPQ EQTNQELTQYLNFSNNNNIELQQK >CAK74335 pep:novel supercontig:GCA_000165425.1:CT868185:103314:103929:-1 gene:GSPATT00010534001 transcript:CAK74335 MQKKKQSQSRKVSQKNREHEQEQEILISSDNDTQDFSELEQNQQYYSIIQWKKLQEEKVL KMAGNNLITQSSKHQDDLSKLQTLPLKSCLKKIKQDELFGKISDDQMKTQISSEKMQHKE QQIKQCIIVTEFNNIMNEDNDDEISITLDEVDDFIYKHTEQKKNQKFDLTQFDSIKKRIV LNQKIQFDHYVPKNNQ >CAK74336 pep:novel supercontig:GCA_000165425.1:CT868185:104129:106407:-1 gene:GSPATT00010535001 transcript:CAK74336 MSLKYSDLYEELETIGSGSYGSAYLVKNKKTGQLSVAKKVHLGKLSEKEKISALREAELL KSLDHPNIVQYMGSFADSSQLIILMEYCEGKYHIKKRKQGKQIQYFPEKMILNWFIQQLF ALQFIHSKKILHRDIKTSNIFLTSNGTVKLGDFGVSKVLESTFDQASTVAGTPYYMSPEV CENKPYTFKSDVWALGCVLHELCTFKHAFDAKNILSLVTKILNGQTETLPTHYSKDLQQL IHRLLTKQVQSRPLVAEIINMPFIQSVMQDFIRSGGKQNFCSVVGVKKIKQHEIQANNLH QIQQNPVSQLKQDQESYEHSIKDEINKEKDNEIINQTVLSQSLNKQQIDNASRYTIGETI MSQASQFLESEPKQISPKSILKLKKEQETQQKIDMLSKAAQNISQQNQKQAELRKIQNLY GTQSQFYQQKQLEIQQTTTQQQFYQKQQQVESPQKKSGVQQQQQQQFKQQQFENTNFEQS LQSSSKFKPIDEEVMKKSLLSLKQKQAQKKEQSSKQQTFDHQNDEYPEDFEYYDDFEDYD SDNEFQNETVINEEILGDEMDGTRLTQRQSDQDLQNVVEVYKNELAKAKSANETLAEIQE EPESSYSSSFYKTDEKFKPKISMIENLKTSILQQIPQDLFNIAYNRILSAIQNKQSSQEM YKELKQILGKKYSGAGFQIEQLIYQEQLHKHFQN >CAK74337 pep:novel supercontig:GCA_000165425.1:CT868185:107238:108637:1 gene:GSPATT00010536001 transcript:CAK74337 MQKQTQLTKFFSKKAQEQQQSPVRTKFNQDLFFCTPPTQEIQFKTENANTKKKGSNNLDN WIRKSSLQQKKWPFLPKNLIYLIYKFLQLRECATCSQVCKFWMICYNDIYFSYSFFREFE TSRFNEKELATVLKRSSKQLKHIKKVRDIIKGQKISSFLEKTELSTMLSDNSQQQGSLLE LFKLEPKKQYTSVFLTDKDLNSICTESQQYLLSNHFSKSLQYIQLISCQFMTGKGFEIIG KCAQLSLINIQNNSNLKDDNIKIILEQLQGLKTLMLLKCDGLTNDIFEIIGNSCKRLERL DIGCNPQLDVKQCSSLKKIDTLQSFSIKDNIVTNEALEIITTNLYKLHSLNLEGCQEINS YSLKQIQKLSLQRINLKKISFKEEGTIQLLHHRHLQFYCQCTEYKIDACEQQFIEYSCRE DYPFQIMIA >CAK74338 pep:novel supercontig:GCA_000165425.1:CT868185:108687:109044:1 gene:GSPATT00010537001 transcript:CAK74338 MIEPPQGPIPREVAKWLDSLDLAYQVRNFRRDLANGFIVAEILSRYYPKEVNIYTVYNEQ NLDKKRDNWEQISKLLKKKDLQVPKDEYEPIYYQAHNEMQHKVAQFRLQNL >CAK74339 pep:novel supercontig:GCA_000165425.1:CT868185:109100:111274:1 gene:GSPATT00010538001 transcript:CAK74339 MKKQVKQQATLQRPKPMTVQPTYARPTANTIIKDREITRVVDENERKMLTKEAIQEHVEK VRNDKKDDNIIEYLILKRKKQIEEEIKKQEEELLKKQMKFNKKLVNLDQSVSQTGQDVKE IQINCYHSSTSKKAKEQQGQLLETKGVIDFLHEACASLFKKDPIKKDIQDLKLEPKESQQ NNGFQVSNQNVQYTAVIQQLFQRCDEVQKKSLKLLLTQFIDVQSQLILEQVQSNFIDFKR FFQIFLRPIQVLKPTSKTYQYILELIRFIGSKLVEIDPNDTQMMFESILLDQLLQIALRN PKKREDIVQLFFVFTPINCVNRTQLLKSIKSKLSTDLNAFTLILSILIQSDYPSQDEELY RFFLNYAFNSLDSPSPLTRANGLRIINQISSWDYLPVLQKINRIQKLMNDSWWEVRAQGM SICADLLLQIAQNQNQSMDEQEFTIDFEQSKQLLLDLIYQVFVVSTTPNVIRIGLIYLAP VLQMYSELCPRYLEILLSVDSEIRISVLNTQETLIQQVVNGCNSFRYKITGAPIVWNSVG IAQAMDVYVKEKELQVFKHEHLEIIYGCLHKQLLESDGEIWLKIFENTKKLLFLALSNED LCQIAQVILQKFFMFQTIQQQVLDNSNEIFQRMLQLIYHPDVHLKCKENLLEFFTFLQQQ GFQNYCYNIIKQFSEKNKNMFLSSNLVEFMNGLAKEKRREIMGDENY >CAK74340 pep:novel supercontig:GCA_000165425.1:CT868185:111356:112488:-1 gene:GSPATT00010539001 transcript:CAK74340 MRAIILIFLLFIANAQDQLQQEEAIQIVKDLKDATTKRLDLLEMAWKKYSTKKNDQICGF TEMVAEQEAQCQSRNSQNEFISESINQSTSTIETNKQKIDKNVQRRKSLEDLKCKSNVNN IKLLKDQKEAKTLSIQLQKSFAQSSGGSLLEMLQSNSLYEQLNLEVTEQEASVIKLIQEA YQDEKDATAVESTEFSQIQSHQQQLPYSSQKSMEKNRYLIITTSQEISEKIDKDQQSITL NSINSVNKIDQLCTQLQKENEILKKLIDIEGERKIDLDDQLTILNGENQECDNSRRKMEI IALLLQQDYDREQILYMKEKEAILKELDIYTDLLRYYLRQIYKTDN >CAK74341 pep:novel supercontig:GCA_000165425.1:CT868185:112534:114041:-1 gene:GSPATT00010540001 transcript:CAK74341 MSQEPRAQTVGTFDNKVSSIVPLSQLRRIQQHCFGTQENFGFIQKMELHAKSQERVKRWP NTINALRKKKDQTRFERFKADEEERRRQEEEEALYQAQVKQEILEKANRQIYEANPRVRQ FQSKLLITDVIQERDAQVELNKYKKTILDMKEEAHHEEVLENVARLQQIEEIKLEEMKRK KMEQRKILKQQHDEMVNNHIKQIQNDRIEGQLNKAKAEQLIKDEEEAENERKRKRLANIE EVKRGNEEIKEQKQLQRLKELEDDEKVRAYAENKEKIMEMRKKREELKFKEKQEQRQRLI DAQIAKLESIENEHQRILNKQIQEAEIKAEEVERIKREKQIQLKKNIDESRKITSEFRSR DQEQRVKNDKEFQEYWKQRAEELKKMEDDENEQIRQRRVQLKNFQLTQIEEKQKLREQQI LQELDEAEEILRKKECCSRTFTSWAQRAVEEWQSNGKNVYPMLKELTAQQQQL >CAK74342 pep:novel supercontig:GCA_000165425.1:CT868185:114082:115414:-1 gene:GSPATT00010541001 transcript:CAK74342 MTQERVLEIKDQNEIRNYFTALQLSNQSISTLESLQPQDLSDQLVYLTIQIIDVLDQDVF IGAVSQNNQVVIYPLGCESPVDGQPLYFDKQAYTACILDPNHLYKPTKSIVTLYSNFNDP IKPKIFNKFNILALKHQYEDEIYFNVIKYIQPTFNNQINKLQLYQQLINNFNLTLKDPLA SELLALHLLSSSKYETYAEGARQIFNIYGLSKNDAENLKSVLQSIYSPTLYIPLEYEYLA NIETLSKKNFDENTISQGLLCIPTNINSQIVVDETLMKEGQISGKTVQNLQNIQKFIQHS KIGIDFQYSMVEVPVQTNVLILSTGKSFIQTADSIKINPQVPTQNTPINYQGLEEFVSQV HQQQQLLNIDEELKKKINSRYIELRQLNDLKIDVNNLNNWILQMKILSVIKQEESNYNNF EYAVNLDIERIKRNM >CAK74343 pep:novel supercontig:GCA_000165425.1:CT868185:115467:119767:1 gene:GSPATT00010542001 transcript:CAK74343 MKPQQKKQQQQLREKGFDTFISGANKDRVNQKSKNQLKVKSRNVKKWNDEHSQSQKIGTL DHDDLEVLRKSLVQGNVLSKSQQDLLEKLQKDTPIDHRYDPHFSQILDSDNDEYDEQYIQ EEEELCQSEGEDNGEEVYKDDFENTKPQIQPVVQQPQPQQIIQVVNLPATKPRPNSAISE QPQSQQQLPKQLRQPSQEIKPLPLNKPEIKQYASKPQLRANSVTKQEDVKNDNHSFNPPQ TSQSQKPQEKQKDNLEELFEKIEKLSSNEKQKLMFFLNKNTINVEEKTDRSEIKNEQNLQ KQSLETVSKQQKQQKELQIIENKPKEQVQLGHPQQQSNHQPSLQIPQIENKKRNASINNV IQLQQEFVNQEKVQISMEKNSEMLIDKNCQLKIRILSTWGNPSLVGLTEIELYAIDGNKV QLKPYNIKMANAQSLKMPEILINGKYLTKDAVNMWLGSMPDPPKTIDIEISYDDDIVLGG LKIFNYNKSLIDSVKGVRDMEILCRQNGNVISKIVEIKKGTGFEIDDYGTEIQIMDNFKF PTFQNTHSKFAQKLRGQIDQSDVIEKKQEKLDDSKPPPSRKGKGPIVVDVFKGERLDTGK TDKKVEQIQPSNNNSQHQQLINEPRRRRDMPQPTQDYLEETLQYFNITQQGRLKPVQRQD IIEDPVTVPKYEEIDALDFFFKGQQRPNNVQPKQQLSKWETPSQQQLPSQITQQPNRNNY RQQTQENAQLIKDLLNQPLINQAPIKKAKKQVSLPSLPQVRVITIHIHSTWGDKYYVGLN GIEIFNEVGKQIEIQDPYSQVKADPSDINVLPEYFNDPRTPDKLVDGVYYTQSDMHVWLS PFQRGKINKITIDLNEKKKISMIRIWNYNKSRIHSFRGAKDISLFFDNQIVFRGDIKKGF GNMNLQRVINQNEQPFELFLFTSDENIIQQIAKNDWINSQEFQTIQHDHQNERPNTGNAD SEVRPTTSAKVTNLQNEIKQARQQEQNQQRQEKQQIQRALVNQNSNGIICRYLQIKLLRP WADQPYIGLTGVDIYGKEGKIQVKNIKSDYQSDGDKGNIISLINGINVTTNDMNMYILPF QPGKYITLTLAFDIPQLITSIRIWNYNKSYEDSFRGAKFISLLSDQGIISNCVGLKRAPG CEIYDYAQTITIPCKEYNFEETTQVQKQLRCEYEINNLMVGYELKIQLITTFGDIHYIGL NGLEILDSKGRQIQGNIGAEPSSIRILQSMRGDKRVVENLLDGINETQNDTHMWLAPFTN RCFDHSQDPQINTIYFGSEQPFALGCIIFWNYTKTPLRGVHEIAISLDDNIIYRGYLRQA GNDGNQTVVLFYRDMQLMERFSGKYYTEFGQKQSAGYKNEEKGTRQVQTYALLERPITRV KGSN >CAK74344 pep:novel supercontig:GCA_000165425.1:CT868185:119855:121457:1 gene:GSPATT00010543001 transcript:CAK74344 MLSISTQNQSIVYQQLNNEQALYTKYSSRKSKNFEDFFARKPVKWVIDFKDQLHQLDDEE YLKRLLAFIIQQDSIQVTNSPIKLNLYWNTIDFIRIYLDSFLESWQKKQQHILRKKSIRN YYQLLNRKQEYRRIKQMLGIPYDQSNLSTQYEKLNEDIQVLNSLSKFTEKSTQSILNEFF KTQPNQPSDYKLNIDETWLTMQYQELNIPQLNNKQALQNHKSLTKIVKKLSNDRIKLPFH LLKGKTLSNIRNSQNQSPERLNPTQKSSSQQHINSSKEECEFQSYMKSKILTLSQQNKKK INKIFQYRNQFDQNYYINTQGRRNSTHFITSASSVSPEQIKIDQPRLSQIQLNIQDRQGQ SNFCSYGLHSTMQTSSQFTYKNEISPQKKNQQIIQIYSNNLSSKIKTQQRKSPEKLRIFQ HPNSQTTKLNISKAVLQNKDKIPPFLQLKFRLDDLQHSNNKRKQCNPNPDVQQIQMDDKQ QTRGQSYTMVKNQVITKKPIKNK >CAK74345 pep:novel supercontig:GCA_000165425.1:CT868185:121929:125415:1 gene:GSPATT00010544001 transcript:CAK74345 MNNRWSISNQVPLQQSRYKQEIDNSNVPNNQPAYQVKNDDQVRQLGQKDSPITSENRQRI KSSYDVEKSNLSHKYQKTEASYSPIIKSTYKREISPQFQKTENYKLIDSYEVRLMKLSQE NGQLQSQLFSDQQKYNQIIIDFELQTKCLEQKLHTEIMNLQQHKLLIQNKNEEINAQTQE LLKLEQKYFDLETKMFQQQKDMGILKQANDSKTIEIDALRQYIKELQAKNARDQEELKLA TDSSIRITYELQIKEIQMQHSGNIARLNDLLQQEQQKYNRLLNQFEQQMNELNYQNVKLN DVKIEKDKLFEENARLQRQLDELKVQQQELLRESDSQRDRQMQQDKNHQTTLNELKSHYE YMKKSQVEREVKEVTMKFQAERLNYESQIRSLNQRLLECEGRINQKQEENRQLQQKQQAI ESELRVFVQDHEHYKRIKENELQELQNELSKQRQLQNDTQFKNQNSQNEIQRLNQIINDF KTEIDLVKSQSQEIQKQFQIEYENDLKNLKSKQQYENRQLQDQINDLKNELQQQEQALKQ KEEALHQQKLNLSQKQGEIDGLIQKLENLEKLKDQEINQYQSEIDNLQREQNLSSTKLAN EKSLLEQQIKQLKQRLNDLETQQIQQEFNNEQGKQELEQKLQQKEFQLQQLQNERNNINS QLTVYKQKIEQLDQIIQELREQNQQISQEIEDQKQQNESDRAQFVKKELGLENKVQQLKQ QMMQREQELQQYINELDSTNNKVRQQELITQQSQDEFRRRENQYVQEITNLKQLMDTTQQ SMRETLQEQVQSLQEKSQNKEKEVEEQAQKYEELRDLYNQFIEETNLKLEKESDLKYQAE LNAAQDRIRVLEIESETLQLRQNQLLKERRELENLLDEKSKEIEQLKNQLNQDYISNEEY TSLQRKFNEQTEELHLLEQKQIKFGTEKKQLERQIDKLNQDIELKDQELQQTDNLMKKRR SEQDELNRKIDSLTRDNAKVSHDLSDTQASLGSKFDQINALKRENEDLQIQLASAKKQYE KASEQLNKKNQELLEKFNDAEIKKSYSSGENTAAKVVTATTIIKTSQVQLNTPLIPEEED ESQKGTERSGQQFKTSQRSEEK >CAK74346 pep:novel supercontig:GCA_000165425.1:CT868185:125490:128433:-1 gene:GSPATT00010545001 transcript:CAK74346 MNSSIKFPENDEIANHKMKALELNANQFQAQFKPLFNENTKLKQHVIGLNFFIEERKLQL SNLINDTGPNGEDRVLVKAVADFKQICDLLEEEILRLNNTIVVNTNDNSKLQIVIKQLKE KLQCETDRFFQEKQSLIDQNRRLNEYHKQQSIHWEQNVNRMKMKIEDICSRNLQLDQKIS NIHKHYKYQLEERSLIQNQLLMQLNLEKQEQLEKFEDERRSYLQQLEMFSNKLNENKAIL INEYEYKIQSQKMKLENQIQKLTDDCSDYRTKFLNIIEKNKILQYQVERQVPQFEALEKE IQDQKYKVFLCDENLKTKEAILQQKQILIDQLNDQIKKLKQDKGLYGIREQSKKQGQNLH QNKTQSPDKIHQQTKQSQIQRQGTKQREPIKQPSNPDSQIHSSAQRKYQSKQDFTFEDTT IEQYVENNKQEMMQSMQQSKEQSRSSSVDETQNSPIPFIKIVNNQMQRTNNTDQFQQHLT GNNQSLSVTQGAWCLYNEVGIQCDLNQIIDSTVISNYEIQIAKLNDDFLRQKDEYEFHLK CKDDEIIGIQEQVKLQKIVFESNQEKKLKDIQRQQQEDLIQKDNAIKKLEQILIEKDAKI QMQLDSENIYQAMIDQLNNKLKQVGYGQNDLILKFQDDIKQMEVEHQIEQKRLLDVNEKL KQTHKQEIENLNNQHQLDQEQLIQEKTRLQEQQVEHEHYIRSTNDLLEQAKSKEFLLDNY RRELLKTNEIVKYLSLEIENYKKINNIFRSKNEHSSNNFLFNGMGFLPTEVQDKIKQKMN KAKQKKQPSNILKDVYAMNFQLQKGSKMKSAKLVAMNANSLDQKQQKLDIKFKLETLNEL NESLPKINSKNVQECDRSASDRKHKIVHKNLRSKTQQEDYTDSDPKRILQDINSREILLI QSSQMLLSQIKFQPCEKKNSSLIKKNNHSTSNI >CAK74347 pep:novel supercontig:GCA_000165425.1:CT868185:129104:129700:1 gene:GSPATT00010546001 transcript:CAK74347 MIPFKKLAALFIRTFSKPVANIIKRYALNNNNNRSFGRRIVRNSFIFLGNKYHAFDTYLE RASIGQTNQQFFIKPLTDEAAFMKGTDLFSDLFIYACVLGLPLYEIMRQSNESAKKEAIQ DEKLHKLSKEVEELELIESKIKEKQIQIAQLDTTLIEQISQTSYQFEQQIQNIFNDLNKN Q >CAK74348 pep:novel supercontig:GCA_000165425.1:CT868185:129729:130602:-1 gene:GSPATT00010547001 transcript:CAK74348 MLQEVTIPCGRYDFNLETKKVVIVKAKGLLNLYLNDENELWLKWYNVDLDSKLEIERVLI KGSTFFEKVKGQNRVYLLRFNDDDQKYFFWMQSDDQTQDENYCKQFNDVINSQVLDDPIQ IQQQPQVQFQGQPQSHTQPPQQQLGTQQQQLLQLLQQQLTSRMGPGLSLTDILSGEFLTQ IAKDEEYFQALKEYLPPDQQSFEQFKENLLSPQFKQALDQLTHALKGRERSSVIQQLDLD YRMLEQEFDGVVAFIKAIMRKDQNKN >CAK74349 pep:novel supercontig:GCA_000165425.1:CT868185:130784:131314:-1 gene:GSPATT00010548001 transcript:CAK74349 MDYNMKFVELLKKHENHQMILQVSLDVMLQEKNYKSQLVKYRDQLRRDDKILVTKCTKAL KELAMRSQQDQAKALEKISKIDQQIMLYLKDQVLPIIHFDRKLNNYTFIKYYTDPENSIN PQETIIETQYKENTNLESFEADFSKLEWKLEITLSSIKMRKVFFNFES >CAK74350 pep:novel supercontig:GCA_000165425.1:CT868185:131457:132349:1 gene:GSPATT00010549001 transcript:CAK74350 MYKFCKQIVKINQVPAILNKNINNDLAFKKDFELNSEIIILNQRIQNRDKHTDLYSSIFG GIIITIGVYAIYEVYFSKSEQQLKKLKFSAKCYCSSFYQRDLTYILNYINEMKTIEIKNT GFMIYLLSTLKHQINKSNYDNLCVIYEEVAKLLFTHEIYIKMYAQKYSQHFANLLDYAIA NNLQLKEQLLLPSLIAYTNSSNILIEIQSLYILTQHKLQDSKHKDLFQIHYQKDISTEYV NTTELFDMLKSNRSLQDYDTFKSLYENSLQTEKHKLLKQAAQKQNLRL >CAK74351 pep:novel supercontig:GCA_000165425.1:CT868185:133210:134514:1 gene:GSPATT00010550001 transcript:CAK74351 MERHGPSLKLVYNYLSKNIPVTILCLMAIQTLTCLEQVHKHLIIHRNLRPKKLVISQSGT EILLTDFKYACRYRKQQNNILVNDNLRTTSNKRFLNKFSSLNQHLEQVSSPKDDLESLAL IIVYYGGFAPVLDIKEENRGLKIKKIEQIKLTLLSELSFKGAPLEFIQFYNGVKQSSVSD YPQDYEKYKQLFRKLLNSCGLNEKDVVYPHLQMMKQHNYDMKVIMEEQETQAQMDSIDED HRVFRKIKELDDQKYHRLISLENQNQSSQKQ >CAK74352 pep:novel supercontig:GCA_000165425.1:CT868185:134747:135886:-1 gene:GSPATT00010551001 transcript:CAK74352 MAQLKRDVKKFENSKSFDKILPKKFELSDPQFSQSDDVKTLSQSISDPIYDLIDRGGKRW RPAFCFLIADLFKRAHEELYEVAALVELIHNATLVVDDIEDDSSVRRNDKCVHIKYGLDV AVNAANYLYFAPLHYFLNSANYSNDQKMKMLEVSLSNMKIVHFGQAWDIFWHKQVCDIIP TEEQYLKMASYKTGALARMAAQLSCIVLEKDEKIGKALAEFAVQIGIAFQIQDDILNLNG GDKYKQTKGYLGEDIHEGKFSLIVIHSLKQQKGRLLEILKSRTQDQETINEAISIIKQTG SLEYAHKRSLEIIEDAWKEIENLEFQCPEAKSRLKDFAKYLIERDS >CAK74353 pep:novel supercontig:GCA_000165425.1:CT868185:136267:136578:1 gene:GSPATT00010552001 transcript:CAK74353 MKSRQLTPQRVVSTNKLRKALYFYKKDKELLDLKIKLNEMCESTFLTNSELHHIVRSVSR GNSPTQQKFRIRTETTNISSGPKTPQHKFKKFDFTTTTHLYFA >CAK74354 pep:novel supercontig:GCA_000165425.1:CT868185:137688:139246:1 gene:GSPATT00010553001 transcript:CAK74354 MPNKTKILNYMRPAMAIIPDVAEPERRILFKYRALWTAIATLLYLICSQIPLYGIYKSSA GDPFYWMRVILASNRGTLMELGISPMVTASMIMQLLAGAKLIDVDQNVKEDKQLYSGAQK LLGILIAFGEAFAYVWSGMYGDLDKLGAGNAILIIIQLVFSAIVMIMIDELLSKGYGIGN SGTSLFIAINICENIMWKAFSPITHKTELGLEYEGAIIALLHGLFVQSDKISALQSAILR DSLPNLTNLLATVLVFLIVIYFQGFKVDIPIKNNKVRGGLTSYPIKLFYTSNIPIILQTA LVSNLYFLSQILYRNFKGNFLIRLLGYYQELENGQTVPIGGLVYYVSPPRSISEAIFDPI HTILYTAFILGTCAVFSKTWIDVSGSSPKDVAKQLKEQDMQIVGYRDSSMKDVLKRYIPI AASFGGMCIGALTILADFLGAIGSGTGILLSVTIIYGYFETLKKEKEQGTLELF >CAK74355 pep:novel supercontig:GCA_000165425.1:CT868185:139248:139692:-1 gene:GSPATT00010554001 transcript:CAK74355 MKILDEIKKQVEMEMQKIKKVQPTRIHQPLTSQQKKDLIILINKGVQLKDAARELKVTYH EAKIAYNEYRRKALSSQSETESTIPDIRTAGVSLLRVPPHHFIVQSVVENTITSVRKLYN VIVQNPILNSNI >CAK74356 pep:novel supercontig:GCA_000165425.1:CT868185:140834:143353:-1 gene:GSPATT00010555001 transcript:CAK74356 MIIILGLLILCVQGQNEVKVSFNELESPISNIYWCGSSVVFTKDDETIEQTHQESRKVLF ILTDKGRIWRSADYGTTWLDETKSWEKMEPENKQLQFESIHISPADSRVIFFFGSNGISY KSKNCGRTYTRFTHSEDLYDFKLNKMDPQWIMSFKDKPCGKNDINCKDFYKKSIYVTEDG GETWKSALNYVRDAAWDKLLQYQLIPDQRIIVCHMKEGKSVISYSDDYFATVQTMQENAL GFFQTSHYIFVLTTGEDGETGYELLIAPAYLDKFQPQPVQLPIPLNQHTFTILDTSEGQI FLSVSHKEENQRLTNVYVSDFRGFKFTLSLLHNVRSLDTGNCDFERMLGMEGVYVANVFD HQEVEKSKSRSSITPATLELYKKTFISYDRGGQWHPLKAPEIDSKGDEIQCSGDCSLHLK GRTEANQNPLYSSQNAPGLSIGVGNTGLYLTQKDHEVNTYLTRDGGHEWFEIRKGSHMYE IGDRGGLIVMGQDDKPINKIIYSWDQGFSWEEVKIGERELEIQNIVTEPSNMEQKFIVYG QSRSQENQLKGYVVALNFQTLHQRVCSGAWDPTMPESDYEFWIPKNFESGKCLFGRKIKY IRRKREVKCFNQEEIDKKFFIETCPCIEDDWECDFGFYRKIEGGPCVPIADKFEEDDTPD LLKPPANCKQTYMKTQGYRKVSGDYCQGGIDLSPVETPCPNTQANTTQFNNTEIQDIDIK QPKISKISIKDPQTQQPNTTKQNSYLWYLLAFLGVLLVSHFLKDKILKLFSSKPPKKFSS YMEKGNYEQLKLFSGNDDDDEAGL >CAK74357 pep:novel supercontig:GCA_000165425.1:CT868185:143427:143970:1 gene:GSPATT00010556001 transcript:CAK74357 MNKNYSLSYTILRKKSALQTPEPTKIAQQQSLNKSRAKSITSTAINKPITIIRPPSINLN KIDTSPFTFANRLSNDGSTDQTNSASLNSDQEHQGTTILQKNNQDQLQILKRQKQILEYR LRQETESCQQYQQNYHNLQELLQKLEHAKTNYINEMNSFTQCLRLVLNNTD >CAK74358 pep:novel supercontig:GCA_000165425.1:CT868185:144008:145274:-1 gene:GSPATT00010557001 transcript:CAK74358 MPNKVDSIKTWKQEPETNDFQFDRNNINFSDSQQNSRNDLENIIFFKDSQNDQISYSEQE DEIQQKCRGTRKKHIPINQNKKSISQDEKKIEFDRIIFYIQTEFCQQTLENYLQQRNAQL LKLRKSKDDDYQNIQQKYVTEAKMILDQIIKGLDYLHNECKLVHRDLKPGNIFMNNPDDV KIGDFGLVAKLKQFYDFEDQDDDDDICTRMYAAPEQINQKINKSFSDQKSDIYALGLIIL LLFHPTSTSMEAIKVMNEAKKGILPQILKDKHSKISEIILECMKNDPKQRPNVNEIAVLD SINSLSSSKKSSNEFNSDSTDLYIKNIGNCLVKFEDEAIQEKYLQYNNRQIQIFKNQNCL KAQMIYNINECNISYNESEILVEHNQLENFSFKTTHNQLQDIYDQLCELTQAIY >CAK74359 pep:novel supercontig:GCA_000165425.1:CT868185:146619:147637:1 gene:GSPATT00010558001 transcript:CAK74359 MSQRKKKIESTPTRVALVCLKTTQNNIQINNQSLLKVFSKHGSISKILIFERGQLQTKLI PLQMQSSMDSILYSRSLNNTKILNQISCNVYHSRLKQLKLDTVPYTKGLDFTNPPSNTGE QMEWNEEQQEIARINQWEDFQFDNDSTDEVSDEEEPQFSEEKMIDIITKLNQIDDEINKT IETKIVTALDKLHQNTMCIQVLITYDILNDMNFVMKIFCLFGQIKYLKQSQVYIYIKYGS NQQCQKAFTFLKDYLEIKLQYNFENANGKLIQPNNIIDQNLQETLDDQLAIQELFQSYKI IKQQNNSLIQIKQ >CAK74360 pep:novel supercontig:GCA_000165425.1:CT868185:148350:148772:-1 gene:GSPATT00010559001 transcript:CAK74360 MKNNNNQQFKQVTFLFRKYKIDTDINQTLSSQRFSSINPSTKLLPKIQPIQTHSQPNSPM KVKSPNVNYPTITATKMQIALIEQMHQTIRQKHFVQLSNTKNQKKQDNFSQTTLDEEKLL QYLN >CAK74361 pep:novel supercontig:GCA_000165425.1:CT868185:148852:149112:-1 gene:GSPATT00010560001 transcript:CAK74361 MSRRFIDQYPLSPPINEISNLYKKIRIQQQIQFFYEIMKKVKFKRPVPQPKKNKLPISVS SKTIQSQVSDLFLFVHQEYQNYPRNK >CAK74362 pep:novel supercontig:GCA_000165425.1:CT868185:149918:150499:1 gene:GSPATT00010561001 transcript:CAK74362 MSNHFPQDNFEIFDVQTAFEYLPDETMVKGAIMLFLTYFEDGEGFDELVNLYEKGGWQQV GTPAYLKFEQLAHSMKGSCRYLALHRLGKKLELLQFFIRDGKEIQVASILNEVLEQCPYA QRAVLKYMEMPEDKVRHYKFVQPFYDYYGNVQICHKLNYTFHKQKNKMSAVHNKQPYQQQ MVNYTDQDQCKIF >CAK74363 pep:novel supercontig:GCA_000165425.1:CT868185:150800:151715:-1 gene:GSPATT00010562001 transcript:CAK74363 MINQNNSKTHVSVNISQTNRRVQQFVNKDLKRISRKKKNSNPKFLNPTDISKTFSSQESF VKEEIYDDNKTINQIIEETYQLKRKEFDQTSSLNSSKILPIVQTSEKLEKSTQENQSQFK KRTSISKIHLTQNDDSILSDTSHQLKPLSCVKRNQPKIKLKHNDYSQHSPNKNFQSKLLK TNLKNQNYEQTLRFPLILQQPQRPLPKCEKTKSKECFSKLNDQFEKMKNDQVLEPFIKKQ NLKVNLMTPLVIRNDKPYFVCENIDYKNLIDNMKNENTNSYKDEYLIVSCDKILEYI >CAK74364 pep:novel supercontig:GCA_000165425.1:CT868185:151721:152257:-1 gene:GSPATT00010563001 transcript:CAK74364 MILDFPDNLNSSQSIMPEKQTKDWHSDLKFLHYFPKNKCFGKEEQEITRNIKNIEMHYKQ KVIEMVSQLLTDEQGTLNIIPKKANIDLKRAIQPKLDKLKKKTERAIVDILNDRFLKKEV DKKEEFIEPQTSSIVEPIKDITNVYDKEIEMEEDDYDDDYETL >CAK74365 pep:novel supercontig:GCA_000165425.1:CT868185:152271:153355:1 gene:GSPATT00010564001 transcript:CAK74365 MSLILKHHLILPNFKLNETIPFKNQKSNSITTLRSFQKTQTTQKMLDKPLPTVEMQANKT DITFPAISKPYAKEKTCKRNLTIRTAYTDDKTIKDYLDHLNTLRSQKNTQKQNKFKKVRT ETEIVQYGDIKFKKVKENSTKKPPTLASNVRNPQSQSYIKFSQQIFALVFSLDNLKKYIN NERKLFQVIKQLIGLKKSLRKIILKQNGEELVEFSEISKLCCVREIQYINGEQKIVDKFD LSLYDDLVYLTETIENLLLTNEIHSINRISDNIQKETEQIVKIQHSMNMPNEEQKLSVQT MSMQRFKIHDEIDVIEQKLGPLQLISRQVRQTSMVLGRVISSLNE >CAK74366 pep:novel supercontig:GCA_000165425.1:CT868185:153369:155890:-1 gene:GSPATT00010565001 transcript:CAK74366 MCCSRKQKTNTQREEINKLGKLTRLTETELIELKTRFARMSQGSNFVTKFQFRDNLGLLG MGTMLHLSDRIFHIMDDDKDGKIRFEDFALYFDKVSHGDAREKAEISFKLIDKNKVGSFT SAEFNDTMQGVINSWIAMTGQNLTSETKKQIDQRIKLIFQTMDVDKADKVTLQQYQDSVV ADPALLEIFDFARRGVTLETIDFSIQQQSRYVQNIEKKLDHLLEFMKQDNKKQDKELPHF VQGTTEQEQKVRNSQVENLHSPIISLFKYESEENNHNHNNNNNDQSNNKFFTSEQFASFN YNHSNELSIEHLKNDQNQDFSFYGQQKPKQKQKALFQQVPQKEIEGDNLEPREEDQFNQT DQSFEIDPEKNQFNQYVDQMSTDQLKDKYKSMISCVQEISKEVQRLRELIDQNQVKQQKM DIIDQINEQRLHTIINIPRKQSSIKNQKQMKKPKISVSFGHENFNLVLNMMIGIQMAVSS INIADDYEVGPKDFKLKYYFELLPRRAQGDKSSFKVCQFFDYAPRVFNSIRTIYGIDNHQ YLKSIGPESILQSLIKGDLSCLQELTSTGKSGSFFYYTADGQFTLKTIHHQEFRFLKQIM RNYYYHLKNYPETLIIKLFGMHKIGIKYQTMLREKVIYFVIMSNVFSTNQEINVRYDLKG STYGRYTFDNDPTVARKDLNFLADIEKNMKLNINKNKSMLFFNQLEKDCKFFEENDIIDY SLLLGLHVKGNKQIDNESSVYSENPQYDNFSKMVTVDNQFTLHIGIIDILTNFSTKKKLE FLSKRVIYGPTISAIPPRDYAERFLKFLKDHLFAN >CAK74367 pep:novel supercontig:GCA_000165425.1:CT868185:156782:158133:1 gene:GSPATT00010566001 transcript:CAK74367 MEEQLKKIDILIKKQNFQKSIKKLEELQNQLNQRIINPEEILEEDQILQSNIYERFATIF IDINQVYRAMQYLIKMIKIEKDICKTSNNKDSILRLCNSYAKIGRCCFYCCYYEQTFKYL DYAQQLLQENNLTNTGVYALTLTQLGNYYRFMFQDDLAEQMLSESIKIREELYSKDSIEV ADSVHGLAQLFSDEGKIQDAMLQINQAISILTNVLGYEHIKTAKSIYLKGNLYLRMKSTQ ENLEKAEQLINQSLQINIKIMGNSSQDIADCYHSLGKIKAYNKCSNEFEVYFQKSLEILQ ELYGQSHASIAIILNNFGRSYYERKQYEEAVNCFQESIKIYTQLCGDMHGNLAITLKNCA DCHKELGRFKQAYNDYSKSLEIYKKMQINQQQVNSIQNQMIQISEKFLED >CAK74368 pep:novel supercontig:GCA_000165425.1:CT868185:158286:158755:-1 gene:GSPATT00010567001 transcript:CAK74368 MGCGAQKNSTGLNEKLRARALEIFRRIDINNSGSIDKDETQKFWKTNFAKVNTQALFNAV DFDKSGQISEDEWMAFWEIVKKSGYTDKEISEELDNLMEGKAWVQFRKVDEFVKRDQLRK SSQVQQIVKQNSKRKSLIQQQQSLHQQ >CAK74369 pep:novel supercontig:GCA_000165425.1:CT868185:159225:159947:1 gene:GSPATT00010568001 transcript:CAK74369 MINQYLYEECNEFSIKFIIALLTLKLFIKSLDFIDYIQIQLIILMQLCFKTQSSNLSSNI ITCYFLVQDNLQRFQQSNLQYLFSLNYTKRIYDFLNCYYVSYFSHNNSIFQWHFLFFQQL NNLQLRAKKKNSTNNDINFQSNFLIQLKTQRTKSIVTKYHLFILKLKRTPKITTSKGVNR IQYIIFTQIISIIQRMNKGKIQYFKDFNYYQYYKCIIKFGYTKNIFDIIIIGYSFIKKSK >CAK74370 pep:novel supercontig:GCA_000165425.1:CT868185:160405:162345:1 gene:GSPATT00010570001 transcript:CAK74370 MKVWKKVFFLQWTSCIQRRIELIRRNSQNSLTLIHNNRRLNKINSLLKIKNTIKKWGCYR KYRAMIRIFKEFEQSCLYVIFCQSKYFEGSAQQFSKRILQYKLIDVKVQYFFKQGCIVFM QNVQCVGLQSQKIFKDFRINNNSSIFIYKRNELCMIFSLYLKKNSVCRMSVASNSQHDGE LKETPIETKFTWTMKSIEKLKELHVLQQGNWKSISSLLNGPTPLECMIKWQQLHPDQSTS RQLWSPEEDEQLQELVQKFGKKWSKICTVMNWRTGKQVRERYLNQLQGTINQEKWTEEED KLILKLYKKFGTKWSYISSFLNGRPENMVKNRFYSNLKRRYQCDLGDSDDEDLQEESLNS FEEDQSRLQKRRRPQKNTKEPQKIKKVQVAEDNIENFQRMTRSKNQKQNEDSSKQYGLNE DQNNENNSGLQNIASTPSYCTPQANQINIKEENQLKCDHFNYNSSNVQQSIPAINMFPQT VSPFMFNNQFIPSYQNSFLYPQNTMPILQDKLETQQVKQIQFDKMQMINELNQLPRTNIV NPFLNIMQQQQLMNFQIPIQSQYIGQVDPITQWAELCKVYNNLILQKHLQP >CAK74371 pep:novel supercontig:GCA_000165425.1:CT868185:162883:164545:1 gene:GSPATT00010571001 transcript:CAK74371 MGKKRIVEEIQNEEPEEVQEVKAKVENKKQKKIAKNENKITKDVDFISFLKEDIRFLIQH RNQENEQKQSKAEEVFKKIEGILYQIAQTKIGGRTIQLVIKCADPNIRQQIFSKLLNDKQ FGELLQSKYGHYIGITMVRNMVPEFRNQFFEILFKNANHYVAQGDASIVLDRFLTREATT QQVNKVKALFQNHSEKIDNLAMKIIEKGIHNHLLSLQILKVALPNLVPEEREKVIEYFQQ LENLDYLRHRDGISLFCAILNVTDKKERKNFLKNIQTYMQQSQEHLHRNSQFYLALQKVI FTYDDTKQVNKSILQDILPEWLNSIHVYKIIQSIYQPKLRDDIKFDTIGLKNTVSLKDDE IRIKELQDYCFESILNSLQQSETNILLESNINQFICYFIQYIIKYSQVQALDFIKTQIES LLYFRGDAIFTREVEQNGNYWILTQPEAQRIAKCLIQQQQIAQGEFSEIIDNYCQKIRGL LISNLKVTLISKAIYLLLALIENTNLKEQVLVEIKKNKKWIDKLEKTQSLQILYKYV >CAK74372 pep:novel supercontig:GCA_000165425.1:CT868185:164633:166987:1 gene:GSPATT00010572001 transcript:CAK74372 MFQYKVVAFFCHIKQAVKSFESEVISTAYLRKKELATLNTMLFTLKDQDNYQEIRKMIKD VLPPVQSISKIIDLKEYSRIAYKLDMKKDSQILEQLLTLILQDQFGNFVLKDKLIKHIIQ SIKISMLEPKVKQLLEQLKLKESEVQQKYINIYIDLIQQKVNQGRESSESQMTDQNVVDL NNQELKSEKRIQILNQIIFSKQVKQNQQILFEHFQQPSIEEIMIILPQIHLFPGKLRSNY TEILNKYLNQLMVSPREEGKVLTQLNQMILKNSEFFKKSNISFIHILNQIQMQGLLESQI NQLLYLITYSNSLDYFRQNEQQFIDYIIKNKAVNMSQMVAYFFHLAQIYRQIGDFKEELL KFQCTYFMNNLSKCDSNQLFLIIKSLRVCQNIEEVVVLNKQIEQKIQEGALNQNHDALIN FVANKIKQSQVLFMPETANMVTVTQKNFGEWCHLIQQIGALKTLETDLIDKFKRLHINFR ERLSQNQLYYYFAAQLICGVHDERYFLDRINQTRPFLLVRILYDIAQFPTHHYEKLKQRI IEKIKDTPDYLTQEFSKLDKGLLSFSILAASLFEDQSFYNYLLDKYKREIEQFTDELISN NKKFQRNKIIGKSSVNFVTNQSLVQFYQALRYHHCLANEESGYLIKLQDLIKDYSLTQQE MPSYIESVVESVLKEEKVEFIRDYCEVLPFRIDFYIPSKQIGIECNGVSHYCLDQLRRGD VLKMKYFEEKLNIKISVIRSGCVNIQEMVKSIIK >CAK74373 pep:novel supercontig:GCA_000165425.1:CT868185:167352:168521:1 gene:GSPATT00010573001 transcript:CAK74373 MATIIWMGVCDPCQDIAKKPLCCICGQKGLLKRLSDQSGVYVHVSCAIFAPHIQVINYHT MTFATKSQIDKKTKEKCSNCGKSGANIQCLDCQAYAHPHCIFVEQVEKAQEDIETEQWIF HLKFQANNQDPNQLDVESGEIKCELKEIQDAFCNALDKFQDKSKKRGNQTQQYKEHVKNI LESIFDNYTLQQCNQQWSAQNKIESYCQVHMESHRLFCICRKSLNNKQMVQCDHCYEWYH FGCIKRKNVKDDSYICEACKGWSAKRTKIDLEDPKLLNFEDLVVPKSVYILHLIDLLPLL LYIESIMKRLPSIPLDENDYRNIKFYKLFLASLPIKPSTVIQLDKILLKEKLQEELKQKM HSIIPTQLEQSEQFAEELVKSFKIQRLLL >CAK74374 pep:novel supercontig:GCA_000165425.1:CT868185:168767:170233:1 gene:GSPATT00010574001 transcript:CAK74374 MQIEYPVIDNQLDLTVYKQQILMKNQKNKPNKLKLMDLKRMANHNNVTLGCLKIIDKLLE ELNALEQENVQSSYKKIIEFPVNSDSLINQLQSYFDQEFIDEFKVELELKIESFCRLTEK EYTGVYTYDNIQKAAKDSIVIRSVYNQLQEIHQKCQTQKKVTIQFCHEILDMMSKCLLTS TYMETQKAKFLKFRELYKRLNESITLEQLEEIEHECFQLGFDMDVEQRRSQLVQAQEIIQ NIQPSLGDSLEEFKKLKSLQLDTYIKQTEQQIDFVKQLYYLVYNSYDTLPKMIQKVKDIS DLDFNNELIYKVAIPEVVYDEMKSVVLNFRQIKWRCECQFNPRSTKSNHDPRGQQKIQYQ PQQFNCQMLIPKIQDPFYTQQLQKIKKEYESWIINLQEFENQLAGQVAPHFNQLIKLINK NQYQENNLQTKLWQYYIQMLWMQKAEEFIEAKANPQAYKTLISCANICKHRFQQRLIIET QGPYLHHG >CAK74375 pep:novel supercontig:GCA_000165425.1:CT868185:170353:172282:1 gene:GSPATT00010575001 transcript:CAK74375 MQTKEYPIFKVKDLAEDLQEVRQVLQQYNDLVQKQPDNNLYIQQLQKIRTYYCCCFLKVK NFCVQLMYNMIKQQSLKCLKQLNPTEQILQRLEEINLLVAIGGEEWFQKLSLTYKVKQDN ISNADFKFIDCSLQDDFEWIRWMEESSQNIGETFGIETKKQQKEQKIKKKKQQNQKVMEG ITEDMRQTQRLDLQVCFKKCSNWKQVNEQQIRNLEAQVFMEQSFDKDKYLKEIEKIKAVI KQNKQIELNYEEMKKAYKQYLNQKKSNGQQSNNKQALQLESIKVIKKQQQPQVPQIVSAQ KLISQHSIKSEPELEKKVKPEAPKQPTIIAQPIQITNEALLYNPDGQIDTPCKKLKNQLM SIGEINLQIKKKVNDTRESFLIKPSLLTYEHHMAQHFPKTENITVTCETFSPVKEVMEYF TQRKPGQFRMMIGWLYSKDLNVAGDLFKLADKLKHSKQCVRTKLGDVGLTLIYYDYLNKL KPTTKWIIIKEELENQLVMDNLTKYHNLEKFKPHLCFVYYVKDLKDCTNTILPQPVQYIN VLKHIQFKRVIENHKLQQKKQSGQLIPSKKKELEPISDEENNNGFQQKQDVHSMLMEIPG ILSLLNQ >CAK74376 pep:novel supercontig:GCA_000165425.1:CT868185:172378:173890:1 gene:GSPATT00010576001 transcript:CAK74376 MNPKDFFEITHYSLQEIQQIPPFKNNLGTFNKQTYTVSSAQTGKPHSLSKLINEPIYFIN DGHLYLVGRKEIQQGKTYVGYSVQYLTLIKYKGLYEMDSITIETNLESLKKNKTYRQLSS SYFERVKDGQELLIFNESKLEEQDEYSLSYLLSYKIQNSAQLVQEQVRLEKKQQHTFKAF QPFESHYSMVSENFPEVFLEYQCLNVSSQPLEMKNIEFKVENIMTIQRLKDYQLPIVLGQ DECLKIIYRIQIETSDLKAIYTVLTQKKDLTEYDLRLGQIHLEWGTCGNYFGTQKTCLVR IYSINLRPAKSIQIEKEVITTIEIDIELGILFDVPQIDLAIKLEELEMKAIKIVGMNQMK LNFPPGVRTRRIKLDVVAYNCGVHQIKGIKLYDPKTMKNISFKNFLSVFVVNKQQNQRTQ QINAQEVNLLDLEINQPKVIQETNLLDLI >CAK74377 pep:novel supercontig:GCA_000165425.1:CT868185:174127:175922:-1 gene:GSPATT00010577001 transcript:CAK74377 MQQFQQIQEPFVCACGFSCNYKCEKELEIHIDACPVYSAYSEFMKYIERKDIQNASLDQL RVLRAEAKVYLSRLDMMLMIYSQQQLPILQKTPSQTVQCEKCKKQFGANSDFDKVWYLEN CSHILCKDCMLKICKDDFLPRKSNVTCVCGERFKDQEIKQILGKELYEQLTEKLNLSLQN IIECCHCKERFCFQKGNIEEKIQDQNGKLVQGEQLKHYIENRFKCSKCHTEQCRNCMSVP YHTNMTCEEYKINKAAVKCRLCDQPTEVKKNQPEALQTICQQQECQNRAKNLCTIKFNCG HFCQGLKNTPCLPCLNEKCAKDQNEDDYCNICFTEGLKSQPCVQTTCGHIFHEDCLRQKL DAKWNGPRIVFNFMKCPLCNKFLDVQVPHFKKSIEQGKALLKEVQELCLQRLKLEEKEKD KELLDPTHQFYQKPLDYAMHIYCYYLCFKCKKPYFGGLKNCQQAADQDPKVEFKQEDLVC TKCCPLLTLEDKCKKHGVDFIDFKCRHCCSIALWWCHGTTHYCDPCHRNIKTNMTKPCPG PGKCPLGIPHKPNGQEMSLGCSLCRAERLKAK >CAK74378 pep:novel supercontig:GCA_000165425.1:CT868185:176007:177939:-1 gene:GSPATT00010578001 transcript:CAK74378 MSSLFQEVPLRKVIADKTQKIAILVMMLKDIQREEEEILKQFNKMTKDARTIKELYEIME MINYEMSVRNDDKMMILDEITHQEELLLTEQESLQKQMSTLSKDLETSEKFQQTRSLRQT GRFLQFNHSNHNMNVESKSLQSNIITSYDFEEQSKLNDKIQENIFNDPIKYNTQEGIDET QVYDWILDIDLINNVQQGWTVYISKQFEANQELVGLANNDTKAQIKWEGATVAVVGLYDK GKTFVLNNLTQSNLPSGKKVTTKGISFKHVDVDSGTQLILVDTAGSYSPVKIQSAMSIVE KEATEHFIIDLVFELSDYFICVVNDFTSLDQRYLDRLSRYIQNSSKTFREIIVVHNLKEI ETAEILQHVWTTQVTQIYSTGGSIQRTKIAATNPRINELQEKHVLWFKTQYTRHVCLVSD DSQLGLDVNPWVFSLLKYWLKAVFVPVNRQFSVCENILQYATSKLTQYFKREVNVKLIDT DNQFVKKIVQMEADLQDGELKIPQTNIDQSGLILARPDSFAPATDIIANDKYIIYMDVPG INEEDIEMYRQNVVTIVKGNRKKPYQEEQSDHIKKQERKYGEFTLSFRIPENFERKWKHF GIENGVLKIVYEKDKDDIVPNKFVNQAQ >CAK74379 pep:novel supercontig:GCA_000165425.1:CT868185:177939:178964:-1 gene:GSPATT00010579001 transcript:CAK74379 MDKLHPLFAHPTQKLWNSCLPRKSQLVYPIFVVDGENQKQPINSMPNINRYSVDLLKEFL EPLVIKGLKAVLIFGVIGNEDKDDVGSMAGGLGKDSCVHKALRVLKQAFPQLLLITDVCL CAYTSHGHCGILTKDGYLDNQASIKRLSECALSYAQAGADIVAPSDMMDNRVRAIKELLD QHPNLRVPIMSYSSKFCSALYGPFRDVCCSAPQKFDRSSYQLPPGARQLALQAAERDLKE GAGYVMVKPITAYLDIAAEIKRRHPDTLLACYHVSGEYSMIHAGAEKKLFDLKRVVLEYM EGFVRSGIDIIITYFTPELLDWLE >CAK74380 pep:novel supercontig:GCA_000165425.1:CT868185:179195:180316:-1 gene:GSPATT00010580001 transcript:CAK74380 MVQYLNEFSNFCRNVGLLAQKEQFKMQKSTLEETLLMHLITLNREQMIELSARIVRIWNN NNGDQKQESPLESQQRKMSVSEIHTKSSSQLNHLYEQHILNEENQIVKRDQQINHLMKEC TFKPQITKKSQKLELSSPAHIRLNNYAMDSKIKLQIVQELNQKQELKDCTFKPSINTKSI GNNQSVENPFDRLYQNALSQRNKTPRNFEDSSFTYRPQLISTPMRLQQQEGISVEERLYN HHFEKMNNMVLKQEELQQIELDQCTFAPAINQQSNCRQNEKVFERLYNHSSVKSVSEVKD NSISHMNKKSESLIKKPQSDNNSYVTQFQLESNPFDRLYQEHQRQEKKKKSNQIQYYQSI PFKPILSKKLSLQ >CAK74381 pep:novel supercontig:GCA_000165425.1:CT868185:180535:181962:-1 gene:GSPATT00010581001 transcript:CAK74381 MIQTINYQDNPFEDQTLFQTLKNQRIAIIGAMNQQQKLKNNNHIVHLTLINLIISFIFGY LIMQELPVDKFYEDLNSAYTVIKVLQKQVTQQVITNIAEKSESDTCMSSLGYFPGTNRGC ICPNMQTSNQQCLKPCKYVDTTAQQKLYTLDGRQYCIQYEDSNGKNGLNNAQEVDLHEDG VKFMDSKYAFSRKEDILAGDFYKLIIAPDKPCFNTALGPNKQSKLSYPFSTIQYIGCDRY STWKDDAIMLAETTHGQLINENNIFTSNLPYYEKYHNDDDPMKIYVLRKLYVNQGEECQQ AIRYKFSQCYISCLFIQVFELVVCYFSFILFTIGFIFFAVIKLGIQFKSKLKSKNINLLK VTYKVKLNEILEKSIVILGVVMAIVVILSQFVFQYGLNNKEGLKKTAQYTQQLLNKTCFQ NQGILKAISVVQNAVTSCTDSIYNYAILWLIVEIIYLIVGYILLKQMTAKRQSLR >CAK74382 pep:novel supercontig:GCA_000165425.1:CT868185:181962:183047:-1 gene:GSPATT00010582001 transcript:CAK74382 MGPYLSQPKTEKSTVTGQNQVFQYAATHMQGWRNTMEDAHISDMNIEPDVHLFAVFDGHG GSEVAIFAERHFREELMKNKNYQQKNYEKALTETFFKIDKMLQEPSGQDELNKIRGVNDE TSLAGCTANVALIVGKTLYVANAGDSRSFLNRDGKPFDMSKDHKPDDDQEKKRIERAGGF VSDGRANGNLSLSRALGDLEYKKDSRFKPEEQIISALPDVKVTQLTASDKFLLMGCDGVF ETWDHQQILNFVNQELKSSQNLQKATEKLLDQLLAKDTSLGTGCDNMTCILVLFK >CAK74383 pep:novel supercontig:GCA_000165425.1:CT868185:183063:183488:1 gene:GSPATT00010583001 transcript:CAK74383 MFIIAYALQLNIYLGKIQDPLILPNHTGMLVDIFQDVIDSFKTRRNEQVDLLYVDQPDQA NITFNFIRNYNQEKSIVLTQDAIQLDYSQYDVFPMSYASSCQNQYLQKIIFLFIPVLSLH FSLGLYGNSFSITSSLFSLGL >CAK74384 pep:novel supercontig:GCA_000165425.1:CT868185:184748:185079:-1 gene:GSPATT00010584001 transcript:CAK74384 MVKRSANKRQYVKISQIQKQALLQLVFQIGMKIREASLKLNIKYAASKTMVLQFKKKLIK KEFQYASSKPCQIGQKTKDKATLKIITQVGGKEINSKTYRYN >CAK74385 pep:novel supercontig:GCA_000165425.1:CT868185:186667:187293:1 gene:GSPATT00010585001 transcript:CAK74385 MFKHKKSPSLLDTTRQSIEKYSLLTSDVSTIKKPQISPINFKKIRTPSTLVEPLQKTSRQ LSQQISSGALNEILTINQKIAALQQRKSVLLQQFQRIEYSDARKSIRYNKDFNNKYFDKQ SITQMEKNWTHYQGQMDQQYKFNGKGVLYFKNAKVFGVFKDGNLEGRATIFDSEFKISVG QYKQGILQQIEYH >CAK74386 pep:novel supercontig:GCA_000165425.1:CT868185:187300:194452:-1 gene:GSPATT00010586001 transcript:CAK74386 MWFFVIFALGYCQDCPSVFYLGNLYNQANLFVYSSGYKQIITSVQNAIVYLQAESGTLRS IKLTDGQSVTYLETESSNIFVGQNNELLVYSLDEDNPVKASLNFVGSVQSTYTMNSLIGV GTNQNEVILWDYQSNSVLGKAKSLVLQNILINYQILVNQAYDTMIAGTTAGGQTYLEYYT QNMQFLLKSFAIDQFTLYQISTKTVSDTEFTINLYTVFAQTLTINLVDKKSSTITLTSQQ INLQLTPIQMYLVEDYIIFFSSTCQYQKYDIKQNSVGNLNSWTSTCPNNIKQLQFSIEDQ LIILLLNNPQDRNEMIQIYQLDTNLIGTQIRSFYYLSDSISQSFILQSKYIIEVGQTSSD IYIYNQWYQLQQIITVNNNNNYAIQIIQQDLILILYQNGLVVALSQTSQTCQFTQYQCYS YSIQSQFQFSTVASYTTQLVSQFQYNNIIYVIYALNNNIYCYSFLNSAFSLTPTNQQTLT SNVLQIITSTNSIYLLLSDNTLYSCQFSVATTNTNLQIYYQYTISNVNFVTQNSQVQIAT NGQQIVIIQISNQQVKQISNIGVSFKQIQIYSDLLILLEQNSYRLSLFSISNLLNDYNTA SFILDTQYFSELFVLNQNFLLIQLQYSVMSIQITDYFQSGNSQCDFTQVYSSNSQNYINT QISLTNFYILYQTIQQLEDLNGFVQINKQNVIWNRIIIDQSINNLVSLQIKGSSPTQSSL IIAQEIKNFQQIFLQDLTLILPQQILILSKMIAATVQNCQLVFNNNFYYNFQLKQITNFQ LFTISMSNQDMAKPFIQASSVDTIQLKDIQITQSKLQQLVQIDTATFLYIKNLQVQDCEC IQQPQYKQLFQYFSLTIQTAQFIGNQLCSSLFNNIIIDNNGFSHLQVNDLTIKNNNIQQY VGAAIINYVQSSVFQQSNVYLSFVTLQNNQYQQQDQIYAFQFQNGGAFQGQNMLFDNSSI LISSFKLLQISNFTFSNPSQLSINIAEIPQITISLIIFNTGSVYQDGFIQIINEKDYVCS NSQCQIQISKVVMNQITLISQSLANTCYGLNIQLRSQFYVQLSEFNFTNLNLQAADSNFI VSSTALFFQGFQSQLLIQSSNFQQIQYSLSTSVLILNAQSIILNSITVNQINFLGSVYYG TQSEKGGFAQISCASFSVEISKFSYISSYSGSIFIIQMYHADGIDPSLQFVGNTISYIYS FGYGAIYIENAVDQTTITFKQNSFLEICSLKDGGLLFVQKEQQISYPQLNITQLSLINID DVLPYQFNRTIYFSSNTFSNLYSSNGSIIIASLYNITFKDNYFNSNNITATPQKFNSIQI TQGSLFYLEYCVLILQNFTITQFKTFANQKNEGELIYSFKSWIQLNYCTFSDIIVKNSNL FYINLSYLKSDTLILQNIVQNQSSTDKSLVEIYDSAVYMLFAQFQLLQCLNYKCVSSGFY IKTSYSYMIGGSCIDSRGNYGGCFYLTLKQQWAVFDSLIFDHNYVNSSGGTILADLQNNN IAADSGGCVYINSFNQSFDINQVQDNPVVYINQSQFINNVAQKYGGALKTLVFNPLIDKL TTFINNSAFIEGDNISSYPSALFLTSPLDPEMPNNYVYDESLEPVQGYYFIPYSNNGQYF LKYFKSGDTVQYPLRFILKSSNNQTMYDDQAYLKIYPSGSENYSLSISDPSRGNNEVRFK EGFFTLQNLTAIGIPETEYPFYITTDQIKSLSKYKYYYVSNYNYTFFVVFRKCLYGEIWQ SFDNYTVCYQCPQGFYSFDLPTPEDKGQCQVCPLVSKYRIQCFGGAQTNLEPVYWRENIT TLQIYKCDPEFSDCVGGITQDQCGDGYTGRMCQACDYQNNYARDSRSICILCSQVDWPIA IILLSSVGIFLYFTMSIFFLAQDVVILCVLPCLNQMLPPLKKIGSNLGQVKDLNSLLKIF LAYYQKISLVSKIVVDIPSQVQDTSDSSIFDFSLECPMINVNDYVPMVYVNYIIEVLKPI SSMVIFMFIWWILFRNQKIKRGIYYTATWLIIWLFYLPSFYEKTLQLLNCKSIGDGRYLK PDLNQDCYGTEHFFYTLFISIPCLLFFVVYIPAMLLYNIRNDMRKKDKRPLRKYKYYYIN GEFTEKYYYWEFVRLLEKILIQTAIEIFFYDTTYMAESCLIIVLMYGIASFATQPYGYKR QNFVNVISSFTAFGTIYIALVIATLKNQNTDPNAPIIIFFEILLAIINVIYALWMAWKLF IVLLPVLFVNIEQIQRKVANIRCLRKLALNERLRAKLLFKQIGQKIIVINLIKKHAMIRY LKKEQKDQQLVQIKQKANCCIGCIKIYPQEQSRIFIKIKWKQLRI >CAK74387 pep:novel supercontig:GCA_000165425.1:CT868185:195162:196881:1 gene:GSPATT00010587001 transcript:CAK74387 MKEQYQFQCLSNFQKRFSMKLKMINKELEYIKVVIDGNCNPMVLHNSVYLLYSKMKQLYV RIIIFSQCFQIQNMDYETLFTILPKEPKKWSLEDVSQWLNFVGLQQLQTTFTKNSIDGSC LELIEENDLIEDLGITNKIVRKKLMHWLKTGLKEYASHIKSTTFEDRRYEKMEQENTTLE NTESAQPQYGQINVSHDMMTNYLKNNEMYSQQFQQPLCEIENKPQFQKKQQTGILIEQDL EKFVSKVQNELIIQPTEGPQTNFYCIKESGGKIGRHSSNQILILEESISRFHAEVIFQNE EFYIKDIGSTTGTFIKVETKQQLQIGMVVELGSNQFEIQQVNVNGANIDVVMAIIEGPNT PEKHVINLTPQKSVTTVGRKQTADLTFSEDHHLSNIHAKICLIDGRVYLEDMGSTNGSWL RLSREGQASQLYPLQNQTVFKIGTTSTYLCKRTTQLVADRSHENSCIICIENDRDALYMP CKHNTACLKCSKNLKDCPICRTKIQDIIRIYKN >CAK74388 pep:novel supercontig:GCA_000165425.1:CT868185:196883:197253:1 gene:GSPATT00010588001 transcript:CAK74388 MGVICSKQNLNSISNPQKRVKFAAVTPMDDSNEESHHIGIEFQYQIQQDQPNFLIKKQKW EEYFQNRQDFINDEQYITLLRSPNQSKTPRITSLIINPGYCKGITGTIQAFQFRII >CAK74389 pep:novel supercontig:GCA_000165425.1:CT868185:197277:198107:1 gene:GSPATT00010589001 transcript:CAK74389 MLFNSTVILWMELFQNLDGIVGWLKFIKILNMTQIYMLLLKAQPLPEKIQDDIKKDQNRT FTRHSYFKDPNKGQEQLYSVLHALSIQYPEIGYAQGMNFVVGFCLIMSGGNEEDVFWFIN FINQNERFNWWEIYRGEFTFAQNLCQIFQENLQRQLPQLFNHFQKNGIFAFQYFWQWILT QFLYSFPIEIVIFFWDFILATDIYSILKIGLAFLRDMENILIGYDLTQLSEYFSYQTKKQ NNIDITDLLNKAKNIEITMSEEQKTVNANCKPKTLK >CAK74390 pep:novel supercontig:GCA_000165425.1:CT868185:198315:201043:1 gene:GSPATT00010590001 transcript:CAK74390 MRLNTQLPPKHNFNASFNYDWDLLNKKREIEMLRPKEICPKRNHSFHTSSNDCDKSVHNR SFEKSMQETEKVSSRMQTEFGQLKTLIQKRRDEIEKQQRQQDLKLSISIPQQTIKEEMKK QKQEAPQFNYYQTFKPIFAKLNSLIENSNTKEQDRQRLVTLFDSIDQESIKKNNEPEQMF QIVEQLVKEFVIPTLEQQTPPQQNSKRSSLQTQSSNFIKQLPSHQQIPKSIEQSINFKNV FELQYKNPWQFYTQIKNNDGGYYEYCVNYRIVTGESLNCFNISKSQSYQLKNQQSNIQLD YRQIKQSLIQKQILSIDDQFSLVPVTHFQKKVIYALSYPSQYCKQIQQYIGLNVSNHQCK MELGINKLNKVIQLQQRQRRQLVFSRMTQFMNQLRKLKRLIQSFGFKRLKQNYYSTKLSR SINNNLCKLILQAAKQVKKDQKNYTTQLFMLLQKYQCRLKSNAITQLIGNDDLIIMLQGL QSENSIEMYLQQVAQLMGEKLAVSILNIEILSLTSYLPEYGLIQEYFEDVDDISQFNTLY QLTLNINTELMNNQNIEFENRHIWKVNDEIFLITSSNMQTHYLSKIALILTNGINSLRQK YLEFFKFARLKVEQHQQKMKSKFFNRWRSNQSVFVDQLRNELVCSKQEQDQSQRLIYQYE LELQNMQIQNALKSKCLSSALLENTLSKRENEMSLKETFFKLWRSKNSNMRHFEQRVETG LFLLESAVFKIEQCQLDKAFREIAFAYTQPTGTIPTSPILKNTKRSFHTREQTRRSQEEL EQSVLWDVHQMKIHTPNTHKGQSLSPITAHTINQSFLIENESLYQYHSQRCTQQPKKKAQ QQQSSHLDQENVQSQNKIYSQRTKDFVRKISQPKQQQQQNHRRINSTQPGSSFSFYDLQH >CAK74391 pep:novel supercontig:GCA_000165425.1:CT868185:201050:202493:-1 gene:GSPATT00010591001 transcript:CAK74391 MLEQRTVTETSQDEEEQNKKKFIYQTEKLKLLLQVPIEQRTQPICKDIAEIAQQIKFLSQ YKQKPDFLELCKNLYLKTYEKRQYIFKQGDQGDAFYVILNGSVKVYIDEPTEFKNFMQLV RVVCFIQKEIAQLGKGDAFGEISLLYNSKRTATVIANERSDLIVLEKDAFQEYMKTMDNT NEMKTVALNKLLQFLESLPVFQMFNKDLLVQLCTKCQLLMYPSQQILLKQGVEPTQMYII KSGRVKAIKKIKWNLEDYPSSLIRGQTTSSTDLFNQDVYFEIDELGDGEIFGDFALLNEE ESQCSYITSIPSEIVSISSFNLKMIVPSDRLEAYQKQIKQYPEDDDLKLLYEEKRNWNQY KRKLIKNIYVDKQNKKGFDNRLRLPELKGKQALPPINIIDIKTSDNRTYFKYLESKISPM AECKKKVQSLTQVGLSMQGLVKQLEQSTSQLSIANSFQRKLKKLNINRSQ >CAK74392 pep:novel supercontig:GCA_000165425.1:CT868185:202527:203750:1 gene:GSPATT00010592001 transcript:CAK74392 MFIKNFFKKQSRFERKLFESSIFDNQETVDLEDVKQMLQGFPCLSEIDQLVQSMTLVQVC REFLRLIPQTLDNHKKLKVLLTMHVLMGDVKHGRLFVQQLNCFNGWAPVNKDEILNKFIG IQTMIIHKLASIQDICNRSKFKTNIEIFFKDIDTSVIQFYKAINCLNFILAQYDLFLSIS KLHNRTVVMEIYLLIWNDLIAMYLMLERFIRQFMECYTQLDQKQALQVYELFNEYNKLTA SVRQFGSISNNFKNCNISQPKWYIPTKREQDELQLYFQNVKIYLTSRTKRQKIEKSASQV LRVCQSTDRNYRKSTSFVGQKGDNFLQNTTLGYMKKISTAVNPIAFQYTFESESKTEIQQ K >CAK74393 pep:novel supercontig:GCA_000165425.1:CT868185:203761:205566:-1 gene:GSPATT00010593001 transcript:CAK74393 MDEEDCTIFIEELKSDDPNLKLNAVSKIVSIAQILGPSRTCQELIPYLIDIIEEQDNEDE FLIKLAKELVNLKPFTGANVHLLNAPLEILSSMEEPLVRDKAVESLILLAEGMPNSFFEN HFFQIVQQLGQWDNFPSRISAASLLPLTYKHVSNEKQSTLWDLFKQLCGDDTPMVRRVCA GVLSDLAKMKCQPQQLLQLWEALLKDPIDSVKIKAIEGSQYMLKLIDDEHDLETQLQGYF ALADPNEKSWRVRYTVPECLESIIDIIVKLNKNKTILKNQAVPVFQQLLKDTEPEVRSMA LISIYHLLKELPSSSKDLFLPLFQTLSTDTSQHVRMSLAEQICKISKQYSVQIVLQSFIP LITTLIKDDVVEIKIKLAHNLDQLSQAIGQDNSKKHLVPLISTFASEKQWRYRLEMMSII PKLLKVAGYDSFLELQEIYLEKGVLNHYQAIRDQAIDNLVQLSETFGYDKIREFILKCIN KQFEQPNYIYRVSAMHSMAKLKNVLSKDDLVNQFKEITQKSLNDKVPNVRLNVFKLFTAI QNKLDNKAQNEFKNKARILQQDQDIDVKYFAQKI >CAK74394 pep:novel supercontig:GCA_000165425.1:CT868185:205607:206383:1 gene:GSPATT00010594001 transcript:CAK74394 MNRQEKQEVESGLRNLLEEMMIFSNEKISGIEYCDCQDLIQRISKLFRQLKNSNLEYKKL ESVLQQQEADIRNHISLEQQMKLYQDGLQSKLEEANSEVKRLQSEVYKLRKSNCQSESKK KDQSHDGSTSYRQGSPKKQCSFSQHGGYASHRSSCDELFKRYNKLLQQQQASISQRSNNI QISQYMMKGRQTLAEIVNIQPSRSQTKHNSSSVNKSGYNNSINSEAIRNLLKMK >CAK74395 pep:novel supercontig:GCA_000165425.1:CT868185:206411:208801:1 gene:GSPATT00010595001 transcript:CAK74395 MNIVIFILPIVYACIDSFDCFTDSSRFTCNGEPCPGNLTELDNFVTFTNNLSMYYQLDAN NITLLFELQLCQRFAIVFNYTKMNEINKTYDEYDITGKDIIFFSWAQTYDDLYVNDNIGA SATAFIWDEQKGGYQSWHILGYAIINETIRIKITRAIHTDDNEDYQFSYQFVDIGYLIVY DKEGLVYRNYNRGVVTVDLYNLDSEAEKYEQFTDEGHSIFLFIFWSFMSDIGIFFGRQLK SYPKYAKVHGMIFLFQSIVTYIFAFSKIEYNKLKIQEIYYYDGLVIAHFIVGVLILSFLL LQLMMGMIVKNNLESLNGSNKIYKVKRIHFYLGYFIYFVTKAQVAMGVHIQRPDLLIPFY YFYVFLFFTKMSIEILYYYEVSIIPRHRITYQYVELDKNQIYENLIDKINQNVPRNQLVK EYQKLNYIILREAIYDVSDFNHPGGQYILERITGREIGRYFYGGFPIQNLNIKMHIHTQF AINYIETRYLGDYRNDNCPLLYYEENTSQIDKYVWKFEQYIPFAQDVGLFIFKSHFFQVR QFLKGVQWFGRYFYIKPFGTGIKLAERPYSIVMSYTHSAILKRQQLLDFFKSQVIEQDFQ PFSPQQQATLSDEINFVVKRCDFSNNMSRFLHGTGHKPYEITGPYGFGLELDALSDGIHY AFVQGTGILPFLDLIDYLLKKAIYTILHDQRSKEVADCINDNNEEYLTTLGPDFQLIIHA AFSDIAHLYGLPIIQDLLFIYYDQGPQQYSRGVGKLYG >CAK74396 pep:novel supercontig:GCA_000165425.1:CT868185:208957:211203:-1 gene:GSPATT00010596001 transcript:CAK74396 MEWNNNKRIETPMEGGGGQAIRLFVTIRKDSESNVEYKKMTVMAPLSIKISMLKRHIERE FADLFPHEPTFVCAKLEDEFGYSLSNASMVSELLKNSDRLSAIPESFTKDLIGKMHVTHD LNELTFMLKSITQTIIAKLAEAELGPPETQMDIMQLIIPVGFSLQQKAINHNTALTLKRI FENERLQFLDDQSYALISQLLVKLLQFWTNDLIFQDQFLLNLTVDLLEILTKSAAFSTSY KTPQIVNSLMSVSKLDFVSAQTRSRIIKLISFLSRPQFTNCYQPRSYDGPLQLNLPPQPR RRNEEYVPSILEQPNRNSNRRQGSAGIRNQNGFEQQQQDFNQYPYKQNDSNQYPYRQNDS NQYGFKQNESNQYQQSNMPKLPPTPQQFGYQSQPLQSNYPPPQQNPVYSKPQLNINNRPQ SNRNPNQYGGTMQQQVFDGLVQDYLNLISTESNEEMLQFAIQNLSDGIDTTIHQIMDNPE AFQKIVNLLEIAMSPNYLGMQLRVLEALSKNMNQERAKEGLKLKLIPRIMKAFTYQPKEF QAILYDMLSATLKLSDFQVDVLTVITMIESAYPRIQMLGIKILSLMSDPQRLQNTNNVSI QFENYIKSLMDWTLDGQKGEEFQYNALQTLSNLAINDYIRPQILHLKGIEFFLKVLRESY RVDAQRLAAKGLLNLSIKSRETKLSIVSLMEEEIQRLQRGEMDTVVQGYLTTLLTTRERG QLL >CAK74397 pep:novel supercontig:GCA_000165425.1:CT868185:211242:212332:-1 gene:GSPATT00010597001 transcript:CAK74397 MIDMEIIHSFQCLISFQQSDQYSNQARLQFTQNKINLLLNTQCYEIDISLKLMLKWKCQE NKLISLHFQQINIQAGNEDLLILKKHLDCKATYVGIREIYDNVIIIQQSGIAKTCTLKSK LDEKMYFCKCYKKQQVEKYKIYEQLFILRKLVDFKNVARIIDFFESSNSYYLIFEEMSRV HYHGLSHEDIQSMMFDILCCIKVLISNRIFHSQITLQNILIDKEQNCRLIGFDHAEQLTE ENAILNSLMLNMAGNAMIKLYQYNSVSKDSMSIPDYGNSLVQGLIQTKLDQQINIEQALS HEYFEYFDYNSSPMKQQQIVPKLKSFITQQSLSSQIDSNI >CAK74398 pep:novel supercontig:GCA_000165425.1:CT868185:212498:213996:-1 gene:GSPATT00010598001 transcript:CAK74398 MNSISTNASFTDIRVVKIYFKIHYNAEYGQAIYLCGDDERLGIWDSTKAIRLQWNQNNEW TTCLKLPRICKTFEYKFLLNDYNNPSPQKELWEPGENRIITKHLLLNGKKGEYFNCNKEY WGFRTIKLKLNHNLQPGERMMIIGSIPEIGSWKTPVLMKQQQKIDILTQEPIQQWSISFI VNPLNFYFRYYYVIRNDESGNMIWERGNGRYLKTADLSSIRQVLDQFALHPIKVKTQIYT AFESRTQKRNGSFQATKIPKSKMKLNNQGYQFADKEPSFFYYEEFGRLNKLDWNFVVQFQ TYEINENIMIGPYPQNEQDILMLKQKQVKAVLNLQTRLDMFHRGVNWEQIVDAYKRQKIV MKNYQIFDMDAEDFEKKSNKAVQILRKLINEYEYVYVHCTAGIWRAPSIVVLYLSSILKY DLKEAIELVKQKRQQFYVNYSMLKRSLQKTLVFNHGLGYQNLASTL >CAK74399 pep:novel supercontig:GCA_000165425.1:CT868185:214079:215697:-1 gene:GSPATT00010599001 transcript:CAK74399 MHQRVITNESPYHRQIYMRPRLQSQDPGRRVLTENDTKTNISRKLTKFDFDSVLTIRTSK GSKKFKPQRFEVKPIVRYLSKVQKTEVDSELETYQRMVLAKMKEKNILPKQRSLSNATPQ QKSQDRYASLDSQNRINKQQNQNVHPKILWDMSEDEWQQFGDRFPQHFEKKKLLGRGGFS LVWLGEHKRTKEKFAIKQILSTNTHQSHMKEIWFGIHFFQDGQPKKQFSNFPGCKNLVRF LTYDIKPQDTWIFQEICGESLGNHLYDLKGKNVNNERMYRLTLKPLYNTFRKDLTELKKL IRELAQALDLLQDQRIVHSDLKTENILVKKIKNENDLHELTQIKLIDYGSSFPFDDLKQF SMATPEYMCPEILNYILYENQMNYRPCLMKYLKNYKKPWVIDVWSLGCVVLEIISGIPLW MSLKTIVTKNGKEIIDYGLFAVKGRVFDKIIDRQMEVIQNLDSYIDKNYSGIKIDNQIRF VLKEMLNLDPEKRLSPKQIVEYLSTRKEYLTTH >CAK74400 pep:novel supercontig:GCA_000165425.1:CT868185:215770:218735:1 gene:GSPATT00010600001 transcript:CAK74400 MLRSIRLIQRVANFSRLANPHEVNPKVPDWFKSSDYMEPRFIGSESQQVNEMLKAVEAKS LDELVDKIIPKEIRSEAAFQSPDNFPDAIPESAMVQHLQSLANKNKLYKNYIGQGFYGTH TPYVILRNVLEDPGWYTSYTPYQAEISQGRLEALLNYQTVITELTGMDVSNASLLDEATA AGEAMFLANSWFEKKKFFVDNHVFPQSIDHIKTKAYYLGIDIVVGDAKTYDFKDADQYCG VLVQSPDNLGEVHDWSDLFKHTLKDAKLLKVIGTDLLSLAINKTPKDQGANVTYGNSQRF GVPMGFGGPHAAFFAVEDEFKRKMPGRIIGISKDANGKSAYRMSLQTREQHIRREKATSN ICTAQALLANMAGFYATYHGPQGLQKIANRVNCLARSFAKLAKSLGLVVKEGRIFDTVVL HNTETLQEYLHYNAQTNVRKIGQDTIFSFDETHTVQDVEDLFNHLQHYTKKKADFMSVIQ KVIPYKSERAPFLQQKVFNSLHSETEMLRYINYLRQKDVSLTKSMISLGSCTMKLNPTSF MLPVSFQGFSQLHPFSPLSCTQGYQELTENVEKWLCDITQLEAVSLMPNSGAQGEYTGLL CIRKYHIMNGQKDRNICLIPISAHGTNPASAVLAGLTVVPVNVVDGYVDLNDLNKKIKEN EKSLACIMITYPSTYGVYEDQTKKIIQLIHEHGGLVYMDGANMNAQVGYTSPGYLGADVC HLNLHKTFSIPHGGGGPGLGPIAVNKKLAPYLPGREHSLGSVASSLFSSASILPIPYSYF GQLGRQGAKKCTAMAMLNANYLMKSLKDDYKVLFTGQNGMCAHEFIIDIRPIKQESGITE EDIAKRLMDYGFHAPTMSFPVPGTLMIEPTESESKSELDRFIEAMKNIKLEIEKVKNGQY DKNDNPLKNAPHTQDQVINSGWSHKYSREEAAFPLPYVLQRGKVWPTVSRINNAFGDRNL ICQCPSVSDYQQ >CAK74401 pep:novel supercontig:GCA_000165425.1:CT868185:218751:219273:-1 gene:GSPATT00010601001 transcript:CAK74401 MSVTLHTSHGDIKLELFCELTPKTCKNFLALCAKKFYDNTIFHRNIPGFIIQGGDPTGTG KGGECIYGKYFEDEIVPEIKHDRRGIVSMANAGKDTNQSQFFITYSKQNHLNGLYTAFGK VIYGWEALDLMEKETVDNNYKALNEIKIFKTTIHANPIADIEQF >CAK74402 pep:novel supercontig:GCA_000165425.1:CT868185:219584:221617:-1 gene:GSPATT00010602001 transcript:CAK74402 MFTQSVWKISNGKSTPQIKLVRTVPDLSTPQNKVKSTPKIRTSRIHWKVEQKLQEFMPSD SDQRIHDLILNSLQYMPKEIINSINLQHYQNSYQFHHRITQQELEYQLISSLEQISQEII EPLFQKDDSNILGVADDLNPYKKYNITAIPKLIEIVNGDIESIIKFLIPQNKKLSQCIEY IWKYCVFMLDLTMQFSLDRSNKYIIEQVTKLQKKLSNAKTQVHNFENRVVKMTQEFETAI MKEKQRYYELQANYEKILAKATELERLINEDIKTEGRDDSYKLKKNVKELEANLKILESQ FSKHEEEFVSQLTNVAYVAKNKRETCQAQIRNRLAMNVIAQQPGDMAFSLMFSDHPWVPI FCVRQLMQYYDEPPYVVEEDNKLELLHKVLMMEQSRVSPCQQLIDYYQKFPELYKNIITI LEPFEEATQAAVQLNNLCSIFFNIQGVETIEIYYINQIKRIYQEFYAQILNVQQHSKNPE QIKLQIEMEDENLKHKIVKLNLNYGKSTLMFSISKPFLETYFSQFQIQAQLQQIFDTRKD ITMLHLLSIYATELSKQSKTLFENKLQNFNVPDVDSLGKYIAYEIFPEFKLDPNLVQSYI IHRYYYLQEQQIKFNKEYLYRNQSTTSNQILDRESIINGVKQLRQSLLFNPMLLISHNIV APSQSSKQPRRQSSVQQ >CAK74403 pep:novel supercontig:GCA_000165425.1:CT868185:222002:222289:-1 gene:GSPATT00010603001 transcript:CAK74403 MGFEDQIPPIPNQDQEKRYIATVEKSFYDQPLYKFYINEALSERKMHYADKLNTFKYEWI LNFAASGIVFSLFYVTIFIFDQFIPVSYFYRQTTT >CAK74404 pep:novel supercontig:GCA_000165425.1:CT868185:222499:223311:-1 gene:GSPATT00010604001 transcript:CAK74404 MNNQDQSIMVDQEFNDKNLFSHYQKMRKTNAKLNPNIHLQDYQLNTINRYHIGYLDQPHI KSTQNISINKLQLQKCNLQKPLSKELEKTKELLNRNSTVLDLNSKNMFLQRQSGLGKLKP ITQKEILVNPISQTQRLITKKANETPVIDVRNNNYTEQKPASFRYNDMQLSQYLEEFKLL KQETLITVIQPHVQQKINRNSYNYTQTSNRQQTINHYYHSIVPKSKVKQRQTNNDSQKQN LTLSGWSDYSNK >CAK74405 pep:novel supercontig:GCA_000165425.1:CT868185:223600:225647:-1 gene:GSPATT00010605001 transcript:CAK74405 MKKWDDDFRMKSYVNKIQNAKSTVPSNQKKSMKKQPNRDSEYSSHVSYRSDFTDIKESLL FKQLFEYNLQQYAHKLLLRGYLGGLQTLAQQPIDLQNQILQEIRLLPGHKQKFLDLFKYL NDHYNPHNYNVQNSQTPTYNQQNRNTLPQQFNSHSKIEQTREPYIEILKPVNRRASLKHF SPLQEIGVIKPRVLPKLERRSINGNNDKSPKFSDSLTQQLIPNQGATHGSSLSITKEIPK SLSKTNNIPIVKIKQTIKKKSMIKQNAEKDNKLLKKLLQINSKNSIGAEIQLQQDQIQLM YQSFDNGKLASTLINIDIEEISYCVSITLQKMISIVDLEQQRYLENEIQEIQQQIFKESD QYEEFESRTNKERYSEDCRDEENNDQTVNISKVDQTNCQDYLGEAIIEPEITDQYYGSED VATEQIQNADQSEQSKLQTEQEQGDYFQVQEQDNNEQVSKSYFSVDTSYNLEDYLLFNKV FIDKTMTNYIPNVDIIQNYCKNIMTTTKMEREVAIISMIYINRLLNYNQGLELNCFNWQK ILFTSLIMASKIWDDESFENNNFAKVLPQFSTLQINEMERVFLKLIEYHLYVNSGEYAKQ YFILRTYADKKQRSYALKQLDISTVLRLQRGGQQQISKQQYLNTQNKSF >CAK74406 pep:novel supercontig:GCA_000165425.1:CT868185:227159:228781:1 gene:GSPATT00010606001 transcript:CAK74406 MRSNKKHGTVHADNQSKLSVGQIIAQKFKLIDKVGQGSFGMIYKTENLETGDIFATKFEK REENSNGMSLLVREIKNLKIVFYGRDEHYNYFMQTYLGQNLEHLLKRSNYKFSLTTVCRI GMNLIDRLKLLHSKNLIHRDLKPDNMCIGYEDVDQIYLIDFGLAKYYRDQNGNHIPQTEK RGIIGTARYASLTAHLAKEQSRRDDIESLGYVLVYLAKGKLPWMNLNTSTKSEKYQKIKE FKQQLTLEKLCEGLPKCFLNLFIYARGLDFQGEPDYAFLKELFQKQFQQELSSLQTLATP EYEWERFPEIKKRKARLQTNQLKLKMEKLVQQGVDSDARKSSEDKGQDHKGSSFLNLPMK DLQLDSLSPDIKNKQSRNVSQNISSFGTSQINNYQISQIDKLKRFPTDRKDQRNNTADVQ KKDRETSPNQKIFIKQTTTKTERKMAFFEIDNDYRDYDHIDQIASEEVNIVFSNIIPNLG RHKSTLDL >CAK74407 pep:novel supercontig:GCA_000165425.1:CT868185:228900:230859:-1 gene:GSPATT00010607001 transcript:CAK74407 MAYEFAKLFSKVVTHTFFRDIEVIGQENIPKDGPILLYGNHNNQFIDPLLIISNMTRKIN FIAAAKSMRKPIIGDIGKALGGIPVERPQDLAKIGSGILKKYDGTYLFAENSQFTKQCQI HDSILIQGIDTIILIVEIISDTKIKVQCEDPSQLHVKDNKYKIQPKVDQSMMFEQVWLAL KQGKCIGIFPEGGSHDNTTLLPLKPGICIMALGASQKYQTKVKLQPVGLNYFKGHKFRSK VIVEFGVPYEISQQLIDTYAINKRQAISKLLLEIEQQLKSVTIQAPSYSHLSAVIIGRSL YLPDRTRLSPQDLLQLTKRFLQAFYKLKEHPEVNQVLTEIKLYNYKLKALGVRDWEVMRM QKRIYLDLQIIGFNIFMTILCLLFAFPGYIMTIPITIILNMYAEKERKSALAGSKVKISG KDVVASFKVLASIIIVPISVFIYTVLFYLWLTVYKIVDEELTFRYTIIFLLMWPIYITAM IRSNDGLIRHARKVNSQILFYLYENKYRKLKIQREELQNKIRRLVDAFGEEVIQDFNQNR IVQKSQLVSPKSVAELDIQNVFESLQELGI >CAK74408 pep:novel supercontig:GCA_000165425.1:CT868185:231020:232540:-1 gene:GSPATT00010608001 transcript:CAK74408 MFLFLILYISAAKDSHYLGSIKHTLQPNQTFEHQFFDVNQIRSLDLYFPDPPYRMINDED MNCYWSNQAIGLKPVQTIQMSVSNSLDSDIVCFDECFIRQGQVIYTTKNQTIDLQYQNLQ LLVLSSEGQIIYYNEKSVSVFDTINMKIINENLSADFTSKLKQSLMGYQHMSTKIIYLCL ENGVFAYNDEYFIIHQYGNGCKQIILQDDNLFIVADGISIYDINDPFDPINLYQFNISGH YIDIYQDYLAVLELLNQQRGKLSLFKFNFQFGLNEVVNITQESEGTIQLESQDIRFGLLN KDIALIINKEQVNMITLQTNFHQKYRHNSGLGQAQHFLDPENKIFIRDSQIIQVSNNSLN FYNTTSQSYNLVCNAPIENKLRKHLFFGIIRSEEKCKSSKKIDERFKEILSREKPDPKIQ CYYTFQLSLSIIPLQTHWSLQSKILLCIFLFLLVLLILQQFRNQQEKQTLNNLQKFEQLN NINLQKQKIRITHDDDEQNNNKIDLY >CAK74409 pep:novel supercontig:GCA_000165425.1:CT868185:232637:236301:-1 gene:GSPATT00010609001 transcript:CAK74409 MAINLFQSSTNNIFGPFNTNSNNFPTNNNFNPTVPGSYRGTFKSQRITYGLMDAEDQNST LYTTNMFMQQEYKGISAIQIRLEDYYLIRSQQIQDTHKSQLETAVRKNNFNSKLIKFTNQ QNLKPPQFQDQNDIFRRQNNSFNQSNDLFKQPNSYQNIFNNPSDNNRKDIFSNNSNDLFN KNNNNNPPNIFNAPPSNNLFNTNNNSAANLFQQQTNNSNNIFQQNNNNNRPFNSGNNNNN IFNQSTNIFSQQPNNNNMFNSQNIFSNNNLFNSNNLFNQPQQNIFNQPMMFQYPNNSNII QPILQAPLLGSIDYLKFQENQFKDFTQQVDSQIVMFEQQKQLSLEEYDCMLRESSKMNFD YRLQNQYTQSMKSSKTFKLQNQKSSNMSNKTISIRQDKKTSSTYQAHSLNTETKSIFNRE DRKPISKFQDNCQYTDSKSEFKSFVQRDERKSQSMFWLDIEIKFLESENSLTIYQEFQIK SRVQNVKQFVENHLENIEIFGSLSQQYFNNCQIYNENTLVVDNSIQLAKLSDHRLIFHYF YVKLPKLIRDGYSSNLHECPDLKQAQNFRVSNKFGKIVWTQPINIYYVDLDKVIDIKQES IEVYDTNKIHELLKPDVGVKLNTESLITFKFSIKNPQINQIKFKNNLIAQAQKQGLQFMS IDFQTFEYTVKSFHFSGYHFNSDYSNTDNQNYQDQQQQVEMQTSGDGDIFEQEENDQEPS EIQSEIELELKYKFDFSFQDMRNKEKSINYQISNDNINKFNSRLSQKIVIPLNFVQQNQQ LQIDQNLLQNYFNHYQKQEQVKKSQTISILLLDCLYQCITPSEEIIRSFQLFNILFGVPT IDLVNFLQLQSNYVVSLQDVKKNSKIKYIRGLRLSLYLEQFKIYFNQVEYREQQIIQQQQ SDPLQNVFISLNIKPKTHNEIKLNKSDWIDRFTDWRINRSQSQPLYETQWWEQLYNEQKD HKIVSSQYAALIYLLLKKTKQPISEYKKLFEYLHSNLDTYTIILLNIMLSKQQEVSEQLK IIGRSVITKLLKSSMPKQLIFSILETFRDKVFQKEELTRVQLLLGKLGYFNNLDGKDIKD VDAQIDKKQFIQAAKIIIEKRLENQYYSILGQTILPYLVICDYYNEGDLRLLIKHIIDKN NRDDDVIIICQMYLNDKQMNESSIIKWVTKNEYQYHLKQIMLKVYSDQS >CAK74410 pep:novel supercontig:GCA_000165425.1:CT868185:236450:241745:1 gene:GSPATT00010610001 transcript:CAK74410 MARICVMLFLVIQVLAKKQKDFLFDLKSGKSVSVELPEKWEKDFIIMYVRPQQNEKKSDI GEILIKSKDEEFKLSKFYETALFTIKSDDINLKCLSVPCKGRITVINNDAIELSSKSTEL ILTQKLPVQVISMQLPSKYSRMVGEFKLEDDESDKINIEVFEEKAKLYGDEVQLTVAVNR KDCEKCKLLAVLSGDLNLKEEATINGQLYLYKDEEEIEINQEVQDYLFERDENSYQLKLP QQFKILQIGIFGDMLPLLKVHKKDNVFFNSQEPIQLQQRGQAFHVILRREDIEGDEVTIN LSQGGSLKYLMVVKLIDEAILYNNQLVLSKIQDKGKHNYQFKTIESEQEVGIKLVYFEKG ANSIRIAECQDGCQFSDEDNHNLRFYKHEQKPVVFVTPHCQNKDQEGFCRFQLEITSDNK KIYMLTTQNDNNQRILKSDVAYTNYLEQSQEEHLILKYEKSDDDEELVFTVNTHNIVYMI SRDSSCYPVRMECAKHFGGVDHLVVLRGDQLKHTQYYITLTARETTIFQFLAKVTKLKDI NIKVLREDETYRGVLELKDGQSKIHYFRVMIDFNQVDQNVEVIDEQQPTIEFAIHSSRLQ VALTLRQGNQLPTLEDFDLIAYNNYLAILPQDNFYQSSGNYTIGILNMFETFQDKQIMYT ITYSTSRTVKTLHVGQQFVDLAKGFKSKYFSFYYGQNTTLFYISLQSKNNSKLTLTVQND IKSDNNSQFAKSKDSSTLKLSQSDLNSLCLNGLTQEDRDEDSGVMDICQAYIIIENHGNE DILFNINVWNPQAALELKDGQEYTFNIEYLMQETFLYYKVISTQSDVQLHINSHYGYTRY QIQILDSQNFQEADSLYAKEEYKTQHSKSIHSDAFQFCKPDCVLKILLEAKQIEYQSDRA INFDDTVQVTVTQSYMDLKSGLPIQISVSKFKPRKFIFSEINDMTPQSKFKLILHEIYGK GSICLQFNDEDTIGFHKCEYEVQGNVLELSQAEIQEKLTQLNLTHNPYIIIEIDSIADHS KFQLSLEISNDRNNHKLMMGVPTRIKLDVDEEVHYQYFNILTDEDLYFKVIKVQGTSVIE ISRCLDNIQKECEEETIIKEQLLAGQSYNQHIIHKNDNKKYCELCTYIVKIKTVVTIVDL LIVVTSQFNFVQLPQNIAFTDFLENQNDYNIYHFSYNTDHQIEVQVNQYNGDTQMWIGYN AVLDSSNYQYGPYNIIKQQKLMNTTASIPYYQAIIPPREHLEETNYTPYANESHTLAGHY NDDDLYIIVKNNQQKPSNYSILVTQSTTGNGQLLQDGIITFAYLSRQTPVITLYHQYRYL SQPQLVVKMTHYNRDMQISDYFRIEVSNETDAENFTLLTPLSSRLNQQMYILPIMEGLLT IKIHSLLEIKGNENANIEYQFNREGNVVPIRNYKMKERYVYGNNYLNRIDLQISIVGKEV LLIDEKSSQSDQIYNSQSKFYESYIPYEGKLAIQVYNCLGDLNVSITQDYDQFIKGKFNS SKTTVEGQLTDIIVPVMPGAVYFKFQSEQSVYKFTTQVYKASDFAPFGQLVMGGDGQINY SFETFDSDFITVKFKPLKCVGCDLHQEMNSLIKYSISWGSTIQYAHVIGLCQFNQYAEYH VNHTNKFDKVDIGLYALNHTESIVFNITVGKQSMHSELFVAIRAQVLVFNNLTIQDYEIQ PCPIFLCTGINTDFMSLSSEQQQLLVYFQHCNNSINYQIMCILQNIQKNNKVKVGEPEFA IREEDGGSKRERKELDEI >CAK74411 pep:novel supercontig:GCA_000165425.1:CT868185:241850:245244:1 gene:GSPATT00010611001 transcript:CAK74411 MVDLINQIDFKREIVNQNQTVLLPQNIQAIKVLITQSEIILEPNSKSLPIDTRLIVNRKD FRISVEDLKSRKLQESAQLYSAFLGFINIKQVIFLLMVDRCSHHQLHPLYKDFFHIHSTK FIALDNRAASVTEIQEAVSNLKNLLSKGFYFTYEKCDDIDREEFMWNRGLCKQLYTQQIK NWDVLMIQGFMDSFSVYLEGKRVQVALIAKRSLKAPGTRLTQTGVQKDGSVANFVETTQI VVVANLKCQFKQIRGSVPVFWRESGNLLMKKLELYGTEQENQIAFINHFNRLVQNYERVL AVNLMNKNKQQEHDLIQAYELGIHQYQPDRLKYIYYNFDEITQGVDFHIINQDIMKIGNF IKNMQFDAYDLVTNQRKLKQRGIVRTNCLACLDRTNVYQQRVGLLMLEYQLKCMGLDLER ILGYKVLEINENNTKFLNPIILLFKQMWGDHGDYISYHYTGTGSLHTNQDRGQQSFLAIL GSGMVPLSRFYRNNFSEHLRQESILTLLGNSTQDKIYIDNDQSYLPFTTLVANDLSKIGY ANLHYISYKLSEDQSKYTIYAMNEDQNIQIQCFIESGKKYNEVIKIHNELDSKFRTGLKI KNIDNKRCLIVELGTINLYQLAQNELVAFSEYHLVQILLDMAQQIKLYKSLGLYLNGLTA KSVWFQFINQNQYKLYFDIITYIPTVFAQYPTTIKPDYMDPIIIQKIDQQEQALERQENI ENTNDLITQHINTFTEEQVEQAQIWILARIIYVILYYPQPSQTNNIDIMLPNLNQMIELK KFASLAQLLKRMFRQDLLSPILDIDQLIQEAQNIKKLLGNQSSLIIPDKPFKQTQFQEKQ LKSLVDLCKHFRCYEQGLQIIVQLQKLLQDAQLEDKVQLVSDHLFFLIYLGNIEEAFETM LEFQELLDQCNQAEIPDLKQIIIDTNLLFLRLFQEFQQLNFTSSQLKEILLEDPPQNFTH PLRSILYLIWSEITIEEDIQLNYALKALEYYSSSKVQHEYLKIQIHIQIIKGYIKKLQTQ KAQEHIQKALTIIIGDTLEKCKLYIELAKIMLELQEVKQAKELYEKAIKSGIKVYKNDKH PVLLEWQSILAQIKQ >CAK74412 pep:novel supercontig:GCA_000165425.1:CT868185:245250:249117:1 gene:GSPATT00010612001 transcript:CAK74412 MNQNRETTRNQSYRQKKQYLIVLQDRNDTRSYAPTFENLQARIRDFSYNRLYNAVSNQKR SESTHSQMQHQDLQQIIKNILDNGVKSFSSGFKLSDIPYSPQLQSPNQLFQSPKQFESSN QKQSVKQHEDQFEIFGLHSDKRKRKDDQNNKDDQYSIEYAKLKQQFEPISNISRNQLPDL VRIAQQTSTDYYSSANNKQVVQQDDQKYQNVTDTEQSKEYDSKYLELQQILKKSNNQCNE LKIENKNLNIQLKELQKKLLNFKEQQKEQDQEFQYLQQQIEEFNDININLRSQNDQLLQE IQELKHFITTQKHNIQLNELNLSNKIKNLEIEKQKFKEDYQKAQIVLFREREDSSAKDEK LYELQKQIDSLKDSIQERSTQSNQYQQKIKDLTNQLDQSAQEKQDQFDNFLQIKQTLLNQ IDSQFQDHQSYLDVFKQQEQLKQENQSLKNLNKKIQEDANEEQGIIQKQNKNLTSQIDQL QQQSEQNQNKIMELESYIQQQQLSRQESTSPQNAYQLEQQQNLLNLENQLKEKKNIIDQL NQQNSLIQLELEEANQLNSELKRDLQYNQDQYLILEEEKKGLTIKIDQLEEGKDLLEKQV AFKDSKINQLKEYVNEQKQEFEQIQDDLYIQIDKEKQLYNNQINQLENQLIEQINNQKDL IDNLNVQIKQKNNLIQSLNEDIDNNLQTNNDLNDQINDFESQNELLNTKIDNLIGDLKVK EDDYNQINEQFRQLQIQHSLLNEQLQDALDSLQQGNQSQQIFKQKLDVSNQQLDEFQMQL KITEDALIEKNEQLNLAKSEYDQQKKENQQLQQEIIQANDQINGLQYNIDTLKNENLTLK DDLKIDKLNLNEVINISQECNNKIEDLQNQNQILIKESNNLQDEILKKKERIKTLEQQLK NQNQSCSLLQDSLNDFKMQNEELLQQNQNIFQEKQSQKEEYQQVLYQVNKLIQNCVNKFS SFQFRKQDDQSQQAEEGNNHDIDQEADDLILIEADLNQQQALLYSGIHNLIDIIKFQFDE IINLKADQNLNNEQNLVEKREQESQTCEKHIHNEENDKLLDIQDQINSSANQIKVEQNKE NVLELQSGNLIEDAESNNQEELLNTIENLRGQIQNQEQEINQLILYNQEYQTKIEDLQKT TESQIKKQIDSDNCNNKQKEIIEQSQYRILDFENMVLQLRQEIQEKANAIQSQQKQIEEL IQQELDINFKMTDQIQQNEELSTKLHQQQQELFDLQQRCLQQSQELRMRLLLLDQLEKEN FELEQLKIGHD >CAK74413 pep:novel supercontig:GCA_000165425.1:CT868185:249230:253728:1 gene:GSPATT00010613001 transcript:CAK74413 MLVSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLKIKF IIGQISQRKRILNQNSLYQNLIKKWKIFREQLSNITQTKNTLESNLNELKYHENAQKLEL LELESKCQILQEETSTQTNNLKEENIRLQGLNETLEIQIKDQKSQNIDQLKQIEQINEKY NLINEQFTQQQKNLSLFEQTINEQRNQIQEIDNGQSKQELNILAIQHEQLKLLHNQLIIE KEQQLSYINKQCQQEIDVLMIENKDLSFQNHKLEQKIKKLQLINNQLIDQQDINLKIQEN ELKQLYFGEQQKNLELDIKINETYQRIESLQQLNEQLEQKIKDLEQQLNVQEQQNVDLHK VNNELVQNNNIQESNLQKLKIINEIQLQKITDLANGQELNLQKLNDPISQLKLLPQKFQK LEITLLRLLPKLRQKIIQQQDLISQLINKYSNNIDDLNQQLLKQQDKSNQLYDQVLQNQE LNSELKNLNDKLESEKQQNISKLEKQIDLNEQIGAQNAFLSQQKQEFENELKQLQQDYQD QQSKWNSEIQQKIQENSTIKNENEIFNQELTKKNEQIQILSKEIKQFQINSQCEQEELIL KRQQEIKIIEVKISEQQNNFLNNQNQYQEKQILRLNFIKNKFNKEQEVTKLLNIELNSIQ MEMKQLIDRHLVQSSSLNQENQILQDNLKIEREAKEDLNSQIVQIKKQIVKLNNTINQKD QEIESFRKINDALNERQIIDKQALSNDLNSIQESNSQFKQQIQQLQDQINNINEILLAKD QEIQTLKQKLQDQVKEINLKTQEYEIKNVELNNIKEEGLKKLKCKDDEILQLQDNLEQQK IKYESQSLVLQQNLEQLQINLKKKEEEISRINSANEELKASNKELQDQNKILQGQSLQQE QNLNLRIVNIEAIIEDKDDEIQLKQNELNDLKESINTYLERFSCDQQIIQKQQFEIRILQ NQIEELKNQGEFEKLEFQQQLQIKKQKSNIGQFEDEITSLNNVIEEKEKPTDQLKQNMND VITNKFNQSQYVNQIKEFDDFDENNKNSVNEQQQLIDIKSARQRWINETDQELVNEERVL FQKLREENNIIRKQLADQEKELIINNQRIYDHEETILNLQQELEARKYNNNSPRPLDKEI NQDEGQIDQDSEQQQIQQKQYQQKVSNVQPQQILKLREENEILKRNLYDLQLELELNNQK LNDHEQTIQNLQTELYEKKEKTENFENKLMDNQVSQLSNRSKLSQEQIRFDQLPDIGVED QDVADNDSFEQEENIFQQRQLNLKQQELTNTIKELQEEIKQLKEQNKSLQDFINRGSAPS PIYNRESDPNKSSKIAQETEKRRQDLQNQLYEKDQTFSHNKSKSQVLFEFTQDEENQAGD DLAEDIKKERLANIKLVNDQQDQIHYLEDQIDKNNNKILTLENKQRYKQSNQFQQGKLNT NRLVIGGKIKVGVNDIPFIIYYCRIRMVDYYYQHFQLKQ >CAK74414 pep:novel supercontig:GCA_000165425.1:CT868185:254051:254411:1 gene:GSPATT00010614001 transcript:CAK74414 MLRVSQKYHSEQSKGSQEQQYQQQKNDQAQELITLENQYGQLKGLSQQFFSAILKKNPEF AEQILGVILSMIEMTDKQTICQELFAKSDEKKEKKTKSKSKGIKGWLDKF >CAK74415 pep:novel supercontig:GCA_000165425.1:CT868185:254767:257293:-1 gene:GSPATT00010615001 transcript:CAK74415 MKLFKQCFQEFFLQVQIQELYKYEESLNNLTLQFQSEELEQSYQNRQMAKVIVQFRILIN IGFIINSAVVYNSAEIVKYRIIFIGWMFICLYLQKISGKYWNITTNILIFINSVISVLLF AFFTKFVNTAQTNKEDVMSLSLISGLQQGLFAMSFFLIQSNYIMQSLTMISFFLILMGIF TKFLNYRLWTQYLPLTFTCHLLRQNEKTNRLNPLLIHKSHKNLEACKKLYDETVPTSIII LEENLYQEGVNKIEQLNSVDSHKEKLYTQESKFMNVSYFNKSASIHFETAEENILTERLA EIEILNSEDSILHSQKNKLLDKISGLQSAIQTELRFNTINSFDYQIMPTNKKMQCQRVVQ NQIMQDVKSSKVHYYDAQAQGCLWDGKQCIMLILNDTTDRVLRIKHLQELDNYKDNLLAA VSHDLKTPLNGLNILTHLIKSMMENKQLLVRNDIQEIVVQIDHMISNQQILLTMINDLID YSQLKKQGLRLNYTQFDLSACIQQIKNMFKRQMDLKHLQFNVIGLNEKIIMYSDQVRLQQ ILFNLISNAIKFTSNGQITLKINKVDNVNQQLIYFSVQDTGIGIPALIQSKLFKAYSTFN LGNQNSQGVGLGLVISRNLVGLLGPSEFIEMSSKENQGSNFSFSIYMDAKQKECNFTNTI QTDNGIPESDPAPDHPTPFPLIKRQKKKYPTAINASTNFYQKIKILIVDDITFNIYALRE LLKRFVQCDIHVAFNGREALKKVEDTRFDIIFMDIEMPELNGFEATKLIRQFEQNNQFSR SKICMLSGFQGESDLKKSLEIGADLHLPKPIEISVLKGILEELKFI >CAK74416 pep:novel supercontig:GCA_000165425.1:CT868185:258458:260037:1 gene:GSPATT00010616001 transcript:CAK74416 MDWRNKLDLIQNKIRGQLHARKIDDLEGVYQLMAEFDRDNSGYLDKDEFQKFLSKIGVFL TTQELRAVYDKYDQNKDGNIAYAEFVNLIRENMSEKRINVVRSTFSFLDQSRHGRLQLDS LYRLYQAKNHPRVRTRQKTSEQITKEFVNAISKRSKDGQSINEEEFLNYYADCNATLPSE KEEYFTDLLTSTWGVTSGADYVSPERLAQLEIILFEKIRQKTVTKDDEGKTAKKAFMYFD LENKGTIDIYQFAQALQKFGCVFSEKEIQALFNKYDADKSGRLCYDEICGLIALMGSGNN ANVNPVFQIARAPPQDTLNRIRNDLIKKGQHSVIRMATIFINSDKNKNGTLNRQEFQWAM KESGLLLTKTEYDNLFRYFDKNCDDEVSFVEFIAFVRKPLSQYRLDLTNQLWNRISNSEP QISSQQLKQYYDSSKSHDVNTNIKTVADANKDFHDIWKDVQIITQQHFIEYVTDISALID NDQAFEKFIRFAWK >CAK74417 pep:novel supercontig:GCA_000165425.1:CT868185:260368:260949:1 gene:GSPATT00010617001 transcript:CAK74417 MGTNKLADWIHKGSLLLENNHSNRQKVKPQVPKSAERPLIYEQKKKNYIETNKIYTILST PRQPQKQTDWLKKETYGKVPQYLSNIKQRIYQSFLQQQEDYANQNNHFKLLSESELHEIR KGLKQRYDLINFEYQKYSHHKKFDNVSLRRKQEQYERELDQLEKDMEKVNKSQVYVIK >CAK74418 pep:novel supercontig:GCA_000165425.1:CT868185:262023:263152:1 gene:GSPATT00010618001 transcript:CAK74418 MGSCQVNCCANKAGEIVSKDTKKNEVDLDDPDQNDYDDKENSVSSVHQQQQQAQETPRQN NKEREKFQVDNESMSMRKNLEPQSVKEVSITYLNCTERQKFDPIQLDKGAIYEGEWLLGK RDGNGKQQWPDGSCYEGQWKDDKSCGWGKLIHADGDVYEGEWQNDKANGKGVYVHINGAR YEGDWVDDRQDGIGIEVWPDGAKYEGEYRTGKKNGKGILLFADGSRYEGTFVDNEIDGQG TYQWPDQRIYTGQWRRNKMHGHGQVTWPDGRKYIGEYFEDKKHGRGSFEWGDGRKYEGIW VNGKQQGIGVYYMSNGEKKYGEWKDGIKKRWLEAEEIEQYLQQNSQNQKQQ >CAK74419 pep:novel supercontig:GCA_000165425.1:CT868185:263186:264126:-1 gene:GSPATT00010619001 transcript:CAK74419 MKKLFKYCDQCSKRPGTVYCQNCQAKFCYNCDEEVHNQNQNHKTEICSLTQMRQFNQYSQ ILTGDSQIIYQTQQNQVVIHQQEHQEQQQELSKIELEIKQFEQVLNLQENKWKKQFEILE KEYGEKIAIFEEKVQKSESTITEIKQQSKQEDQINEMMKQLKDQLEGQKSQLIQKVDQQK QQLNDKEQLLQNLIKQEKDLSAEINKKEILIGKFKELIQQQQKEKELILNENAKIKKQLL EIKQLFKEKLPQLGLDENFFNFDEDEQDGEEEEVEEEQEPQIQQNVEDSTEEDEGIQ >CAK74420 pep:novel supercontig:GCA_000165425.1:CT868185:264142:264739:1 gene:GSPATT00010620001 transcript:CAK74420 MKIKEWLLDNDKRKKVITFIYRLALKKQPFHSHELRQQIDKCKFSDDIHHIWNQFDTLRA YYKLLLNQSQFANQVKLVRIIHENTMFHNKPELVAIERLKKEKQFKLLNQNDQSFLIDLL NNVIKNNNDQNRQRYPTLLHYLNDKNSEKYDQKYTPPLPKCVECQDFKYLKAYQYLQDKD QQKQSIKKI >CAK74421 pep:novel supercontig:GCA_000165425.1:CT868185:264764:265070:-1 gene:GSPATT00010621001 transcript:CAK74421 MSFNNKEILISYSDQYRDDYYNYMIVYLDQKISEKIPIDKRGFLLREPEWRELGIKLFKG WLNYDVHYPDPYILFFRKRVDPRIQERKIQQAQ >CAK74422 pep:novel supercontig:GCA_000165425.1:CT868185:265202:266742:-1 gene:GSPATT00010622001 transcript:CAK74422 MRQKSQTVHEDAERKLKTVDYDLCKYRCLLTKKKFIGRGLRYLYLFKNLICIGKVSLYFE IFKSPYVQIPERQFRINSELRIKWTYSKTKLNSIIFQVSGQQYEYHGTNEQLRELKQKFA LYVFQVQIQDEYSAESVLGRGSYATVLELTNLFTKKQYAAKCIDQQRINEKKNGQKQLQQ EIETMRILSEQKHDNILLLHELYIGNQNYYLVMEMAKGGSLLSLMKKRQTLFSRSDIKLI MKQLLEGLMFIHHHNIMHRDLKPENILFMNKDLESLVIADFGLAQSVDSHPYTYPKCGTP GFVAPEILEQDSDLARYTVSCDIFSAGVILYVLLIGEPLFEKKDRKEQLELNRKCEINLS KFSSDQLDDLEKDILMKMLSKNPDFRWSADNLLKHKFFVSQDSIEQEVDFYKLNNISILK KQQMPTFSKNPFMQFGKNISLVRDHALTLSLRQRNCTLVMQTNLRFDKSSQEQLITEQVY GMGSFNQQKDEINQTNP >CAK74423 pep:novel supercontig:GCA_000165425.1:CT868185:267815:268975:-1 gene:GSPATT00010623001 transcript:CAK74423 MNNNSRDPPSKILLLVITQLSPTFPLCNDLLFEQFGKYGDIKKILIFERGKANKAFVEYY DIKHAIEARKDKIGKYLAEGEGKLTIHFSRLKNLDLEVVDKSRGTDYTQTSITNSDVVKH SNTDDPNILRQQLDQFTRTFTQTPQRNMSPQRNEEINNLLNSDSEDEAQDFLKQKPNTTP VISEDVENMIAQKPSKIIKIQSIDERVTAKMLYNIFNKFGNIEEILYEKQFKRVFIRYQT VEFAQIAKEYLNNITFFDQQWRITYIPLYQLQPTTIQDEYMIYYNPNGPKVIAPLSKTII LSGVSEPMEISEMIRLVVKVTEIKIISSDSLEISMLNIPETLKIIAVFSDYEFKNQKLVI SLK >CAK74424 pep:novel supercontig:GCA_000165425.1:CT868185:269083:269642:-1 gene:GSPATT00010624001 transcript:CAK74424 MQQIISIALILLFVNGRLYTSYKQCDSNWGSDKLGTGSSSICSNGSLISSIAMIFNTQGI TTDGVTTPRTMNSWLKRNNGYASGDLFIWSSIQDFGFIYQGKFSASQAKLKFDRGDHVIL GVNEGSHYVLMTSYSGDTFNVNDPGYSKTSYPQTAISYAPIYTYSKLSYFKNHILKLE >CAK74425 pep:novel supercontig:GCA_000165425.1:CT868185:269775:279151:-1 gene:GSPATT00010625001 transcript:CAK74425 MLITFFDLMLFIFLPKPVENLCLFSSLIPLLSKNNNQFSIDQELSQEFIQGQANKGFGVW MNYQPMTQISELSNRATQNFSILKDQIIIQGQQFIYSIERANDKVNWLVVSADIDPNKQI ITHKVFYSFKTLTNTLSFEFDNILYEGQWIMFYCYFDNILRQSLIGFYNAKEDISIQIVN DLPQYVQTIKHNVGNTYSYTNQEGSFILLSQFMGRLTSIFSAEQDNVFLDIDTCSEDFFG YLSCYERQYLKMNGTHYIKTFTQEFSYTPRYVFQGWVMIAESSQVLQETTIFRITLNQDY GDDLTIGDRDLLLKYYQSSIPSENGFEISTYSYEFPVKERHQTNEDDRIRQFGDEYTELL IKWHYFFYEFGSKNNKEQPYFSIFFPSINQVRSFTWSKTIRHFSGTNFQIFLGGDYYAQN YLRGYVSDVQFLLLCSPKINEYKPPCHYSCLECEGPTKYNCLSCSDQSFRHLTKNEKTCA CQQRYIDIEGVQDCQSVTQAFPQIYIQEVELFCQQSGYSICNQNEIECNFGYFEYQGMCV QCPYFYEVYAGKQIICLDCLVSPKQFGQTLTCKMKAETYELQEDYLYQVQKSDQNDYLYF YMLTNVNGTYDLKLCQGCISKERCRNGFYLENSECKPCLNGCMECLNSFECKNCFSNYYL SEEKICLKCGDCLTCKSNNNGSYQCIGCLENQQIIRNQCIFCGDYCQECDASRLCNFCKD SPLKYYLSMDGQNCRQCNIENCIYCFDYILNGRLLQTSLDINFKVINFNFRQLHIGCALC KENYHYNFNSQKCELKEIDDDCEFAIIEIENQKKTCIISKTNQYAVQVSNCIMIPNCISC IHNYIDNESFCIVCQDGYYSAILKGQCIQCGQSCKTCIQQNVKYRDYWKWSIKAFYKYFI NLNNDHPFENYASLNSESDMKLICTSCHYGYILYNQLCIKNCDQTCNKCEIINGKATCIQ CLETIAGFMKSQNENGGCLQCPPNCIACVERSESEIQQVNPYFILTDSNKFQTRKCYEKA KVGNTPEKYYQDSLSQTIVVCNKYEQCYNKIIFRQIIHCDDTTYYDEYFQTNDEYFESKN VILYTFFDIGYLEKLETTQLFDYLNDISARHISFEYTLFQFEDRPCEFLNNLKIFSTLAQ QVFSVQQIDIKFKGKQQTSDDPIDLLIPSTIFFNNFTTISFENINFQFTNNEDSITGSSI LSLSNFKSKMNLNLKDCKFESRGPTISGQGFKVESNIPYSIFIKNLVISNIKIQQSDIFT FISQTNLSKNQIQISNMAIINSIFIDTTFFKFLANTNHLQYQSELRNISIIDSIFERANF FICSGLLDYTIGTLNIQQIFLHNVDFLNSNIFILPIVESVFVSQLILQNSKLKDNSSLFS SNVINLEGCIINQTLIELSSLIHNKVNYTKSQIALNQSSKIVIKNLQVLNINYNNKQQII KIVKYDEIDQLLLNLTNLTIKNCISNPNFQNTQVSFDQVMIYIECQYCYLYDVQIQRGYG HPEMTIFNSKTLEIRNWQFFQDQRYFSKALHSSIECVSQFAIMELYFFLYVGQYQRLFID NLNVSTCLSFNTPLIILKGYDIMQKMVEETIVVQNSQFSSNLLIISTTNKNSALISLESQ QKVLVTFINTKFSNNHLNTYYQTLSSISSSTILLFIKYGNVFIQDCQFYQNLVTNSTDSI IYIVSKKVYIKDSTFKNNNIMNYSIMSRYMIISIIQVKSTIDLQLIFPIKSNSGNGMIIS NSIEIQNIKVNASFSIYGGGFYIITSGTSIINITNSEFSNTKTTLSSLYFSKGGCLYIDA VSSALTLQIKNVTFDKSFSRYDGGAIYINPSETLNIIELEKLIVKDCFSIQNSFFSYVLT KKDTVQSYIVFKDIEFYSTKVGLENYYSYLDGINDEDAVNIIQQNPLIYVEFGNFSIKNC QFISIYTQFLLKIVQAQNILMSNIKITNCTVGFSPLIQFNFRQQYAGELKIFDLQINQLY QFLKTEKRECLIIKTAFPIQLDCPLKLTQYIAQLNENINDQYNLNQLICNQAQIFKNPSL KFSLIEIEQINVRNKVTIQKMDITNVECINCYFGLIRIVEIEQQDFENIKISKILIKNSH CGRTGCLSIAKNKNDLIIQDPQPNYRILQQHNYENLLNSMKYQLMIKQSQFLNNSATYGG SILIMSISTIIKDCIFQNNLADLGGAIYYSSEKEELYILDTKIIENKAEIAGGIYLSSQS LQQTKQLDLLLDFNNSTLFGSSALEKPRSLTLAIQKRLILQKKEIIKTDKQIVEQILIDP YKILGSSSKQYQLMLPSGTAITNYRYFDPVNSEFIPYNLTLRIIALDKFQEQIKGLSGSN CILQPIAFNLRSQQEQQNVQYNLSQYEVIFNDTTGDYNLDNLIIYFNPTYDQDIVLRLSI QCNSVLVPQYKEIPPYEIYNYVKDYKLFVDIRTFHCQLGEFLNQTSGGCVLCDTFQNQYQ VSLAAQNCSYKDNSKIKSLESSMIELREHYWRPYYYSQTIENCYHLDKNCQGGWKPGDKS CIQGHIGALCEQCDLYNSRGSGSYSVSSTYSCGSCDQIAYNIITIIFVSLWTFISTFISV NSTIQMLEEFIQGLILKSFGVRLAIKEAQTAILIKVFTNYLQIISTISTFQLQIPTGLAS VVNTVGNPIESLAYSLDCFLVTITDILIIYFRIIWSLIMTSTYIAIIFSLYGILIITKKI TFNISYISTSLIYLFIFFQPNLIGGIISILSYRIISDEYWIQGNVSYRYDTISHFKWSMA FSIPLLFTFGFLLPCSFWYGVYKNRDHLDYYSVRKTWGYLYNEYRIHAYFWETLKIVQKQ IIIIVLAYYDDHVSIKASLVFLVLFAYTFIASKQQPYMTGQLNIIDTQSTVICAVSIILA SSIYTAQQQDLIEIQWPFYIIIGLLNGFYIFRMLMQILFAYFNKLQENIDKLKETIQKYF PNLAEYHPFLKIFLETHKNKYERIKKRYGKLREYLIPQARLIIQFKKFKQLELSSKNTTK NSIDKSKQETQQQFSAGICSVDNLVNLNILNNSQIKRNEFSFPNTKIDQKSFAIQFQRDV GRQKVNVERSQLNLEQEDV >CAK74426 pep:novel supercontig:GCA_000165425.1:CT868185:283589:284776:1 gene:GSPATT00010626001 transcript:CAK74426 MNQKNFYTKHNKIEQNQELLLSSRNRVRSAFQDISNLNFSFINVQEKGVKQQKEELKIQK GKRRNALIPVSIGRMLIQVENAEFQQINYLIRSGKETEKDILCYFDKFRCEIYQYELIIE QQLNKLNIDQHDFTGEQLERALDWMVYKMKKFKKVTTETIFKAIELVYQYLQNTFHLTFK ELELISGCSIYISSKLVDLYPIYIDDLCQEVLQSKYYNSDILQQERKMCQILNYSLCFTT SSQLVHRILMDLRDLIEQIYDKEKVEYLRQKIIDNLLYMEIGLEFRSISKCNKALSSILL TMIEENIENRIVIIQILFIVLSCVVVCQSFQVEHKLD >CAK74427 pep:novel supercontig:GCA_000165425.1:CT868185:285274:285798:-1 gene:GSPATT00010627001 transcript:CAK74427 MGLDLKMRLLLSNTFMSLVVVVNLALVSYLKENDGGDYTQFSYITNISTTDHDKYRNYLN LAISAFVLMLVGFLVGMVQPFLKQAALAYVMALLILTGYVCLFAGVFINDTFSYNFADGK SFNYSLASFFGMGGVFVQGMVNSWRQRSVE >CAK74428 pep:novel supercontig:GCA_000165425.1:CT868185:286218:287412:-1 gene:GSPATT00010628001 transcript:CAK74428 MLSLKEYQSQTLTQLSEDQQNLDNTKHLLSPQPIVNRYNKFNCNIQNLPLKSPNENFFKS ESPNKNSQPKLEKLQYPLQKSQGKLSNRNLRMKKKNKLQKSQSHVEHVYIYQIINKIRRR SGYEPLSDKQVRMAFLYPITYVAFHLQQKESKRNEMRKKTIFKRMSSILIKHSNNLKSQT IIGALQQKVIDETPSKLVVKPSNTIQNQFQKSIKCLKESEELFYNIENSEKVLSQKEAQR NSSPKINKNQKSLQRFLDYQKVVLDISKKQRKTFISIQNYVNERSKCNQTKSIGLIESQI HFQYQNLKSLTETDTSSPIKLQTIKTQRKYYHIKSLSNLQGIKEVSKYRLNNFNIQQSAQ IFKNVYILSSNKSKQISDKLST >CAK74429 pep:novel supercontig:GCA_000165425.1:CT868185:287443:288694:-1 gene:GSPATT00010629001 transcript:CAK74429 MNQQKPPTTQTKKVDQSVSTITKKSQSASRKDSSFQQAAVRQVLVGGQPGDGLWCYAQDQ PSPNLASIKEINTQMNQKFKQIESNIESEIVETIRQQCHNLGLELENVNVQNQNLKIDIA QQNKYIDQQTKKLETAMKEIENLRNVKASLQSQCDTIKDLYNKTKRELAGINQELEQEKQ ENKEMSQQIAKLQGLYQEMQKANKVEVDNLKERLEELEEENEEFRRKFQMKGEIDKRLDQ ERIIHQNQQKLNQVSENERKLEKMGKLLEENATLSERLGESETLRRRFMEKNNQYEKEIK ILVESKLEVEKQMVALKKRVNDLQVMKSTNQKILEDKINKLQDQFTQSQQQIEKLTQQLK RNQKLQNHQQQNQEEEVSDYEDVQSKPQLFGA >CAK74430 pep:novel supercontig:GCA_000165425.1:CT868185:288707:289494:1 gene:GSPATT00010630001 transcript:CAK74430 MKKSLTPTSETKYNFSQKIHLLKQKRNKDMLSYAVQELKNPFDPLQVSKALKFLENHVQR KALLDQIIYIREQKRKKEEFDKMSSMRGILNKVSKRFSIVKNQETKYARDYNDFKIMQEE RNKFDKLQYENLNIQVNEKRPQFRRLSSLSFLTKETDQIRFSAEQNNDQQALFVRRQTQR NATLMVNAILKKEIPVSPGISEKVIGNKKFKKLLHYRKTKSQSESKIIMNLLIYIYLNGN IKDLYVKSEIKI >CAK74431 pep:novel supercontig:GCA_000165425.1:CT868185:289555:291228:-1 gene:GSPATT00010631001 transcript:CAK74431 MNYQSQKLDREKCGKDSRILSILETGGKMRKCYIESILLSTCLWKFSHINKQQERILLIT NKNIYNITPQSTLVNFFSKVVSSVRVKRKIAIQNIAGITISKCGFEFVIHVPDEYDYRYS SLDFREKILSTLSDSYQRLVKKYLAIYLTDDLTLIPYTTTKVDAKKGICRMHGHPINIDP QTLANYDFSTLKAPEDNQKQPIRKSYDYSQQYRLLKYLYQGMLGQVILVQSIKTDKYYVF KLMQKQDVITTDHLNHALIERKLLEIFDHPFIIKLVQAFETDDQLIYVLPFYQGGDLYTH LKKETRLKEERVKFLVAQLIMALGYMHDKDYVYRDLKPENILMDSSGYIALTDLGLCKQL PNNQLSYSFTGSVEYIAPEMITAVGYNRMIDWWMLGILAYELIFGITPFYCDNQSQVFES IQEREVRFSTNIAISLECKDFITNLLRKDPKERLGFKRDFIDLQLHQWFKDINFGEIIRK TNLTWKLNLNDPVDLKFFEPEDFNLETLNSQQQDKALIQKFNLEFQNVEFNL >CAK74432 pep:novel supercontig:GCA_000165425.1:CT868185:291560:292224:-1 gene:GSPATT00010632001 transcript:CAK74432 MQKKMLNYASSSKNTTENGMKLLSICQEEIRRRLQPIKIVIIFEYICRLKQWTQEEDEII VKKHGKNWKMIASRKNQYNYSSLDFSNNFEQTNQRTVYKQKQVINTGPWTEEEDATLFKL YQEYGGKWSLISSYFKGRPVSLSLNIQENMVRNRIYCYIVVQSNYSILIRKSKFSKNTTI LEEFILASQVPTRLFIKRINESENEDYNEYIKFE >CAK74433 pep:novel supercontig:GCA_000165425.1:CT868185:293051:294762:-1 gene:GSPATT00010633001 transcript:CAK74433 MKVNDINKTFLKESDEEEKEDEPKESKLKYVIMILGCLLMFGNNYSFDNPQALQKQLTKD LDISISNYNLLYSAFSFPNIFLTLIGGIIIDFLGVRFGIILFSAIVVVAQTIVALGGAFK IFWIMLVGRIIFGCASENLIIAQAAIIGKWFRGKELSTAIGYIMTIPELASAANSFLTPI LYESYEGLTYPLFFSVILCVFSFICAVVLCILDKKNELNKLKGQFIIEEQEEEEGEEQKD DIERVSFKDIKNLNGTFWILVLICTLTLGSYIPFLDDANDFLQEKFQFTNVQAGKVLTTP YLMAAITSPFFGPYIDKVGKRRKFILITCVLFTLTHFAFGIMPNGEHGSPNWFSIIPLMF LGSSFALYSCVLIPSIQYIVAEKVVGTAFGLLGMFESVALAFFPILAGYIVELSDNPEIG YSNVGFFFSGISFFGIIFTLSLYFFDKKGSMVLDFVNPEDPSELEKKMLRTTRSESSSDE EDDESSEEDSDDDQSKAKRVCKSFASLKSKKLLTSPQLRSRSLYN >CAK74434 pep:novel supercontig:GCA_000165425.1:CT868185:294911:296246:1 gene:GSPATT00010634001 transcript:CAK74434 MHLSPSIQKRDSPNEILRARMEKKPSGRFTFLKTQQENTCQQQVQNNRDRIKSNLRGIEF WQQIGQILHILNRPLPVTKDQIEELLDLGKKLDIEINTMDSEFICPNTKEFHENYDILEV LGEGCLGLVKKIIHKTSQDLYAVKIVQTQDDEIIRNFKRLIKLSHENIVKVHKLYVDFDN SFQSERQEMFVVLNSLGHYCEADAKEIFKQLLSAIEYMHRNGICHRDLKPNNILCVNNKK QIKVTDFNVSKFSDSYKEFGDLKDREKIEMWTYTGTVAFSAPEIFTGEGYNQMVDMWSAG CILYSMLSGQLPFNADYLNDLIDNIKEAKINFPVELFEGVSEEAKDLITQLLQKDWALRP HPDSALKHGWISEDQKLLESQRKGMIQDQF >CAK74435 pep:novel supercontig:GCA_000165425.1:CT868185:296266:296798:1 gene:GSPATT00010635001 transcript:CAK74435 MLINIARFLIIDNQSPFNGNTTGNSFTRDLIITVQHVFFDQLGGEAAVTAVTTKFYANIQ ADPTVANFFKGINMADQTNKTASFLCAALGGPKAWGGRNLKDVHANMGVTNAQFTTVIGH LRSALTSAGVAAALVDQTVAVAETVRKDVVTA >CAK74436 pep:novel supercontig:GCA_000165425.1:CT868185:298051:299528:1 gene:GSPATT00010636001 transcript:CAK74436 MHSATQEELKSRAKQNEISSGEEELLSDSGDECDPENYNFYMSQIDFAALANGISQDDQK GQEINLRERVSTQQLRQEVNQVNQHISGNHQNITSVPNPIKLLVSKQKRRYNYNGFNLDL TYITEKIIAMGFPAENIESIYRNSMQDVRRFFDSVHPGHYKVYNLCEERKYDHSNFNQVA EFPFQDHQAPTFSLIYEFCLDLDNWLKTHEKNVAGIHCKAGKGRTGVMICCYMLYAKQFT NAYDSMRYYGMIRTKNKKGVTIPSQIRYIFYFEKALNNRWVPDNMPNKQVELVKIRLIPV PNVQVFGGCAPWFRIQNKDKEYNSKNQFPVKEYKLEPYIEFKLKDIILQGDVLLQFLNQG FWSRSEKLFQAWFNCDFFDYTGVLMIDKFMLDKACKDKSGKTFQKDFRIELHVVEVNQDS KSLQSVYNNTINQITHKNFGF >CAK74437 pep:novel supercontig:GCA_000165425.1:CT868185:299562:300662:1 gene:GSPATT00010637001 transcript:CAK74437 MNNSQSTYSNNSRFVSSHRDPLQKYKESLKEKFKLMYDQIEEQKFTNPFVLHEGTIFLTE QQSLRSFLNSTQKLVELSQFQDEQISVKRDNQIIPLNAEPCNSTIKKIRPRIFDPPHFPQ PLILSLVKTSYIQNTLEQLHENKNGQTNFKEDKLNLYTPIQPIPIQKPKSSIGTQVNQTI EHQEQQNVSVQYSINIDQYQSQNHSFQSYIDRYYDYQQQEQCIDRKQNKSESKFQNENDD YFYMFCLECQQFVRMYKNNHNQFHQRHCKTLKYENTFSEFIGKFKYLLVYETNQMRDYDE RCIKIRKYCHVASEICTVLQKTFCNKRINQLKVDLIKIHNEVYKLHDTHSMKIYQLFQQM KIQLAV >CAK74438 pep:novel supercontig:GCA_000165425.1:CT868185:301646:302086:1 gene:GSPATT00010638001 transcript:CAK74438 MTNITNPTIQSIKYYIKSGQQRYVHQDEEMSLSNFHQIHYLMIQQFIQHTFSIFKIYRII YQFMLKTPLRERKSLFDNLLQRRRLSTKQKSRRLNGIYLEGIRAPLDSRIQKSQQQFLKL KQYHKNNLLFIIYNSQ >CAK74439 pep:novel supercontig:GCA_000165425.1:CT868185:302431:302896:-1 gene:GSPATT00010639001 transcript:CAK74439 MNLDDQMERCDVQYCKRRDFLPFKCTLCNRKFCLEHKELKEHECPFQFAERKALKCNQCS QVINYLSTEFEIDVLKQHVCQKINIEKSKCPQCKITLNDLNKFYCTSCKRLVCLKHRMKD EHECDKYGKFNYCKLM >CAK74440 pep:novel supercontig:GCA_000165425.1:CT868185:303177:306098:-1 gene:GSPATT00010640001 transcript:CAK74440 MNNFRTGIKFGKIKVLNVAEKPSVARSIANVLARDHTVEETQSPYNKLFKFNFKFGDQEV EMWITSVTGHLKSLRYPKQYQKWDQWDPIIILKDAEIENIIPNEKRFLEGNLKQFARECS QLILWLDCDREGENIAFEVLEVCKDSNPQIQVHRAHFSAVTYIDIKKALDNLKQPDENLS NSVLARQEIDLRIGASFTRFQTLLLQQQFHLQSVISYGPCQIPTLGFVVQRQKEIDSFVK EKFWFIQCEDQTKCGYNWSRGNIFDEMIVLILFERCFTEQAKITDVQSKEVQKWKPYPLS TIEFEKLASKKLKISAHKAMELAEKLYNRGFISYPRTETNKFPPTINLQNIIRDQVNHPV WGEFAQSLINCNFDQPRAGNKDDKAHPPIHPVKMMRESDAQTQQEWEIYQLITRHFLACC SKNAKGSETTVNLQVQEESFYKQGLVILEKNYLEIYPYDEWSQSQVPNYKKGDMIQITLK IQNGKTSPPKPMTESELIGLMDKNGIGTDATIHEHINTIQEREYAIKKGQIIKPTKLGLA LVESYEMLGFTLHKPHLRAVMEQRMNEVAQGTKQKKQIVEATIAEMSDILKQLQEKRSQI ISTFGQYLEALKDYDENNDDDNTQNRDLTQQNQQKQKKNKIPKKQQQYQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQVYQQNYSQFCNICGTQLVEIKQINGTFLEICLKCCLGQEK SQNFNSIQNYQPQSQNYNSMSNFQPKSQNNNTSNFQQKFFKSSNFEETFKCNQCQNQMKI RQSKLKNSYFFGCLGYPQCNQAIFLPDYIKSIQRTDKKCRKCNSNIFQTEYSENSTFQQK SQLLCLYPECSEGFKTEWKNQNRESQQTHLNNSKSKQGFLMRQVQCKKCNQFGHYEDVCN INSKTIPSLADSNQKEKKDKLCPSCGITGRHPKGSDCPMKKVKKKIQ >CAK74441 pep:novel supercontig:GCA_000165425.1:CT868185:306200:308180:-1 gene:GSPATT00010641001 transcript:CAK74441 MLQEAYFICVSAQCKQDRILNDWESIVAHQNEGHEVLEKEDLINTINTKLNKKKATLLQD LLARIHKIMINQEDALISLINQTFFETYKNWKEQIQSYQQYQDYLLPNQIDEDTKIQKLI DVYQNLDNEQLHFFKDCLYQTEHQYNELQKQIKMHFVKTEQELKLLQQSLFNQIKENKRQ REYKLQHKLNDNKILAHQQYAQQQSIPIFQKDKLLTNTIENNNMLKEIQLKCDFQIQKDP LNLGDNNQQRDQPQKNHYIHQENGNGLTTNINNNKLNDKPQKYIVLTKQKDVRKNQHFEQ QSSLKQDYYVQSQSPNLLSEPQEIQSTDNIIRANSAKQITDIIPQNQPLPLRGYKDNQQQ YQNNIIQQNTQNMNSKIIPPPDQSVNKTNDIVHELSLSPQKPPPLKGYKDRQTEEQKVIK LARSNDKRNYLDTDQIKEIQQNTSKRFDIDKSEKHMKYSNKFSTIFSIKECFAVVEGVFK LTEQNQFHIYIRSSVENYEIEAGMINLTQIKQKQVFPKLYGLNEKGECLSSQTKTTIKLL LNCSYLFAFRKEEKTLVCLDLMKNIQYAIQIPNDENSDYVFFIKMSNLEVQLI >CAK74442 pep:novel supercontig:GCA_000165425.1:CT868185:308351:308731:-1 gene:GSPATT00010642001 transcript:CAK74442 MIQVILFDSQLKYQDDDYVKQNIFKSNMKHTYTPKNIDNNYYVNNLRHSNQESVNLIHHP TSKQLSIVVTQAIIYLLMVLKIPLQVKTLSKKISIDHSSFMKILKRDRIALLKMQQTILM LLKLEI >CAK74443 pep:novel supercontig:GCA_000165425.1:CT868185:308770:309155:-1 gene:GSPATT00010643001 transcript:CAK74443 MQNGIVETADHFQMASSNIYDCFAEFYGPETIINKNQIEYIKAIAHQNLKKIHSLLRKQK QETQYVLQPFKIMTKKDKMLILSDPKNADILKRDSRQSRTETITNPSGEDNLNLNIKQ >CAK74444 pep:novel supercontig:GCA_000165425.1:CT868185:309177:309320:-1 gene:GSPATT00010644001 transcript:CAK74444 MKPLNKNVQVIHNWHDAPVRVNLKKYWKKNPLDYIQLPKPYSQKNNQ >CAK74445 pep:novel supercontig:GCA_000165425.1:CT868185:309662:310339:1 gene:GSPATT00010645001 transcript:CAK74445 MTINLILSYTLDQLQHNQKDKMTSSIYTNFTMTNQFYYFSLMNFNRQESFSRRNTILSRP NRLYSIQDRNQTEDLKILVELSCRRNSIQDHPSTLRQPNSQRCQIQKYRNLYLYDKKLFK NRRESKSQKENCHEQKESKFSIKLQMPQTHLKQEEEMKIFKLKLMKSEVIRNRIPEISKD AKPNNFRNNKKMSNLNLEPLKFANLVVLPSVRGWDNNNQSSYRSN >CAK74446 pep:novel supercontig:GCA_000165425.1:CT868185:310634:311663:-1 gene:GSPATT00010646001 transcript:CAK74446 MGNECKCLQAQEEPTQILITRSERSNGIRKQLISERKLLNQRRHNTETIYQSTNNCYQKS SKFSHRNEAPQGQNCYFQSIKSYKEFNSLQTPYQKFELSQSLQQQNTEKKKSDSNLLYDG DWLGEVRDGFGEQIWKDGARYIGEWRNNQANGYGIFYHVDGDIYEGFWRDDKAQGYGVYM HKDGSRYEGDWDQDLYHGTGCETWVDGSKYEGQYSKGLKNGQGIYRWADGSKYDGQWEDN KMNGFGKYTWADGRYYEGYWKNDMMHGTGTQIWPDGRKYEGNYEFDEKHGFGVMEWSNGK KYEGYWLNGKQHGEGKLISANQEPVVYQWRNGQRL >CAK74447 pep:novel supercontig:GCA_000165425.1:CT868185:312731:313101:-1 gene:GSPATT00010647001 transcript:CAK74447 MCDQKRKRLLTYDDMDNQNIIPRAPLIKPLKDSSILIPELQLAYETPNCFKNLPLTIIPE DVKLEGFQIPSVGKHIKKRQRFQSENLRPTVISMMERQLLNRNSSKLQIESHRY >CAK74448 pep:novel supercontig:GCA_000165425.1:CT868185:313378:314192:1 gene:GSPATT00010648001 transcript:CAK74448 MSMPYQQTGNQIDYQEIKQTKADLKAEVHFIGQIVGGLDFQTDDGLFCELAIDCGDGWDL LQPGNNKGIQTQTSYANPGQLFSWGHPFDLHFSVSNIIGWPKALLKVWRLDSSNKIDACS YGTALFPRSAGYHQITCETWTPTGENLDLEVRKPNEDLATNTDQFSKFDRSLDWRFQALS FYMENLPRLTTLAPLTTDKGDFARRKILNSISNGRVIIEVEVVMKNFKRLSFSGQ >CAK74449 pep:novel supercontig:GCA_000165425.1:CT868185:314194:315339:1 gene:GSPATT00010649001 transcript:CAK74449 MDSAFLFWEKYFNNQIEVSWDEFSESFSKFIQQFGSIILDDDQLLFIKQIIDPDYRNVIK IGDYIIFYEIYWKIQSKRQALFKHQFTLQSFQIPKQTLRCIQLKVLYITNGYPDQFRDIQ ITRTTQNNLPLKQEEVFTIGSGQNCDLQILNDLKVNPIHAKLIWTPNNLFLIKDNSKSFR TCQRIKQSLILDAYMIIKLNQETIFQIKYIQPIPKFSSQISTNQESLKNSLPDLLKQLNQ YKSVQDYRERKINLIQHDEEPTLILEFIGGPMKGREFTLNPSQEYTLGCGKTCSISIRDG TLQKEHCSIKFKNKQWVIQPKESNHLDPTQFGTFVMLANQQQYDLYLPSRCHVLHEGMQI VIGPVLFQIHYFS >CAK74450 pep:novel supercontig:GCA_000165425.1:CT868185:315381:315656:1 gene:GSPATT00010650001 transcript:CAK74450 MDSDKQHHQQSCQRLSRKSHTFGPSASIGQLEAKQLQDESKKKFTVSFKQNDDVIIVENW KIYNVDVSNPNFQTQSNNKSNTGCWNICSTF >CAK74451 pep:novel supercontig:GCA_000165425.1:CT868185:316005:317301:-1 gene:GSPATT00010651001 transcript:CAK74451 MNFTLEGKLKIDQFLQILKIFSFPIIICDDEKIQFSEIPENQRFNELNKSDKPAGFAQIN NDFFNEYQSQIRENHLKFAETSCLNIKMQQDVCLLNSQLNYVCCQIPIDIIYKALKPLAK SHIKVLKLSFTYLLIEKNYDTKLIQLHFIVGAYSEKGFFTIMKPVNMLQSREIELPNLKN KLITINYENCKTITQQMKTYPIGMTCNVDEISFSPLSVDSEQVDIWSGALPYYNSSFVEE IYGNLSIQSIYAKTYNPVLNYSSKVKLDCTIYISPAENDSKAAILYFVASESNVIICNRQ QVITNDESKQQGKLFQDISEELLEDIEQISSQFEKKFSQIQITTNVKDNYNSGYDNQAVK MAYSAVDENNSKMYSTINQKEPLLFIPPKVTGSINDAILDYMTRNSCKQTTSSLPRYKSN YQN >CAK74452 pep:novel supercontig:GCA_000165425.1:CT868185:317555:318652:1 gene:GSPATT00010652001 transcript:CAK74452 MTNHIAIISSATLSLIGQMIIVILFFYFTKLRNGLIPRIILYLTIGGIIQTIGILGSSFD ELNCTLFGLFRLYGGLSSIIWSSILIYSIKQVVNSSMTQLFMVFNNQNSSEFMDIRDILN KLVKLEMKFCLYSFGLPLLLLTYPLLTALIYDSKNSQLCLFYNIQTDPLLQKMQLNIQKL ILWIIPISLYLIYSLSILNRIKHVIKDDQEKQEIYIEVKKLIKQILSFPIITFICTLSFT VEDIQSLFMSQIGLTQTSISLAFLSFWGFLNCLAYLSQDSVRLEIRSRKMSEEQQDM >CAK74453 pep:novel supercontig:GCA_000165425.1:CT868185:319213:319599:1 gene:GSPATT00010653001 transcript:CAK74453 MFTPILKYALVLVYNLFAYLSILAVVQYQKWSLVEPDAKKIIMAFGIMIFGYDINGVLTE IRVEIEDTLSFKKLFFMAMFLETILYLLFGITSSLLFKSETDQSIITNFQEEFKGNYPID ITLSILMI >CAK74454 pep:novel supercontig:GCA_000165425.1:CT868185:319639:319947:1 gene:GSPATT00010654001 transcript:CAK74454 MPIYLLVNLSPDKIKKFTLKIIYVLCQMLTAFLYPNFAIVLSIVGCVCCVSLGYIIPYGM MFHYALKPLYQTINTIVVLFGIVGGVFGIYTCFD >CAK74455 pep:novel supercontig:GCA_000165425.1:CT868185:320085:321040:-1 gene:GSPATT00010655001 transcript:CAK74455 MLNLENIDLVNSTSDQVKVMSYSFFIWTSIFAIINQRVHLKNHPKKVQDDIKNRIVSIIH GALTFWAAAYIVLVEQPSFGAANSQTMQFTMIISASYFVYDFLACLYYDLADMSLVSHHS LAICGYAVATFSKFGAPSSIWGLMSAEVSNFPMHMRVIFRQVGLRHTKLYEACEWTYFAL YIIFRGSLVPYMVWNTWPESEVPLLVKITATGLFLQSVYFIFEMKKILTRQYFQYQERKK KNIHHYWFQVNPRIQELSYINNQKKDKVF >CAK74456 pep:novel supercontig:GCA_000165425.1:CT868185:321082:321529:1 gene:GSPATT00010656001 transcript:CAK74456 MKLILIIGYILCISCSKTLDFKVILSQIDQHHLGQTFLNALQIGLASRSPPIHQIQSYLN NFRFMLEQEQKEADNFILETQTKCQRLLHDFSSNYPYHNSQLIANQKIVQIQIQFLRYQY TLGFIQGKHRESAKSIKQNS >CAK74457 pep:novel supercontig:GCA_000165425.1:CT868185:321659:321925:1 gene:GSPATT00010657001 transcript:CAK74457 MFQLASNTDEAKKLLLLLSGLKVQIVDAKSIDEDLEKGAALNWQQFSIDLIKEQNDLSDQ RQNLEQSVLNYLSIIEESKEKLNYHQVE >CAK74458 pep:novel supercontig:GCA_000165425.1:CT868185:322074:324100:1 gene:GSPATT00010658001 transcript:CAK74458 MALCQFCRKKIDEDKIMLHEMYCERNCIKCTRCGLMYDQNDPESHEEEFHKLQQCPHCKL EFQDLNKHSCQEAPIICIYCQLGIPQRQFTAHEMQCGSRTEKCESCKQYVKMSDFQIHQE ICVQKKFDKLGRGEILDDFPSLEEVKQAIVPLNFDEQYKRQTQQKQAYPKQQTQQPIEHS YVPVKSQKIEYPNDQQYGMLDRRGDQSKNVPTNQLKDGNYYNYNYNYNNSSNPIPDNSRN KETYALAPKQNQEIKNTQGLRSTYQTQEIKQQSDRIQNSDQQIGNRQHNIPKYDEKYERD KKYIQDNQIQTRLTQQINNTQEVTSSNDQKSYQYSNNIKQPSNNPNQNQKYSTQSQNPQK YQQERGSQDNLDSRFPHTQDRSNYDMYSKNVSGQKYGTTQTQQITKTYQPTQQMDQVLYS NQTNKYPSSSLEQNKFLNRQTKQERPEIGLNSRDQRQTNNQRAPVVQKPLDIQERKEDKR TFGPTQNPQYQQTNQFYYQRNPDAHQEATYSNPSSYPKQTEYQRKYNEVSQKSTYDSKPQ DYQQQRELKTKPIEAKYEFGKIFDDKPENYPPSQDSIIAKTLQESIYADDLQMTQSQIME QKLIYQLLEENAKKQGSGQNKPYQQEQRIDRNAQTILPNEFDYLTEEEKLFQKQLLESIE YQKKRW >CAK74459 pep:novel supercontig:GCA_000165425.1:CT868185:324142:325438:1 gene:GSPATT00010659001 transcript:CAK74459 MYLEFDEDFYQIQNYVKQVNSNLVVLPQENVETEVELFEKMVLQIKIYGSKIIELYQSLD EICPTDRQLQAFIPQAKIESIDYDQVWLALNKGFVSDTYKAMDLMQQLDYKIKLNQSQQA KFIEFLQITCRLKDREIEKLSQLFPNQYPESYFKQIEQLNEMILQYSQMVPLLVQENETL RLEIENTKINDNDGKTNEISLLRQHLQNIEKQLTETQSQNEYYQQMIKAEEQVRQNRTQL QMLQIDNQDLLFKNNTLQEEIKNYQDQIRTQIESFDRMQMEYKKIIQKKNQQIQELEEKN NLDLTIKNNNQVDLDLIQQKIQQFKSLYEQKIKHLSGTITHLEQENAKLKDLVRSSALEV DSLRLQIEKIITTNNKKTLKIPKKSQQDYVQLYQKYQEVVEKNEQLSQQLYSQILDGSVL SHI >CAK74460 pep:novel supercontig:GCA_000165425.1:CT868185:325687:326103:1 gene:GSPATT00010660001 transcript:CAK74460 MGNGLCCQKVERVETFQYDDETSHPETSKRGSLKQGDQQPQMLSESDSEEEYPKRKQHQF GVLKEPHKTSQLSTIQQYSFQSFSSEQQFQNFVTFQALPSQHGIQGNVFQKFQAEMNQIA QQQQTQAPKNSKKVQFAI >CAK74461 pep:novel supercontig:GCA_000165425.1:CT868185:326240:326501:-1 gene:GSPATT00010661001 transcript:CAK74461 MKQIIKIQFCPNDYSFQLHNLKHTDQDTYQYSKKESLKNDDVEAEDDDYDNNGDEFQHRR KYSRNELLNRRWYK >CAK74462 pep:novel supercontig:GCA_000165425.1:CT868185:327618:328154:1 gene:GSPATT00010662001 transcript:CAK74462 MSCALEDLGRVFPRKSVLLKTYMLGCFYLFYQTIKPEEKKKEKNKITELRPNIFRNQSQQ CQQYQRNSFQMNAIGDQKKKQINQMQNTSYGLMEFRSSIFSAQDYKKLNNSTCQVHILQI HSKKYQYFSLDDLSTTESEFIPDLKVDLQTTSAVDMTQSCIF >CAK74463 pep:novel supercontig:GCA_000165425.1:CT868185:329054:330394:1 gene:GSPATT00010663001 transcript:CAK74463 MADQNQRNVLEAKYIKLLKEVEDKERHVKELRAQTKQRKIEFERFEDNIKSLNIRGQAVG EILMKISDEKYISKLNSGPRYVVGAKPKLDREKLVVGTRIALDQETYTIVRILPREVDPQ VFHMAHEDPGKVKFDEIGGLNDQLRVLRETIELPITNPELFKRVGVKPPKGCLMYGPPGT GKTLIARALACNVQAKFLKIVASSIVDKYIGESARVIREMFTYAKENQPCIIFMDEIDAI GGRRFSDGTSADREIQRTLMELLNQLDGFDDLGKVKVVMATNRPDILDPALLRPGRLDRK VEIPLPNEQARYDILKIHSRTITTKGEVDFEQLAKLCEEFNGADLRNVCTEAGMFAIRAD RDYVIEEDYFKAGRKIKEAKKLESKLEYQKV >CAK74464 pep:novel supercontig:GCA_000165425.1:CT868185:330423:331139:1 gene:GSPATT00010664001 transcript:CAK74464 MQTSLFQEIEEFTKCSNWIENQDSAGSSNNDLFLFGYSILFLNSISTESKLLFSTETNSS SDEQFEAFSAIFNQAFEKCSNENENTQNQFNISLQTIANDNRTTLMIRNIPNNYTVKRLQ NEIDFKFYSKYDYINIPCDLEGGFAFINLKNKKYLQEFFLAFNNRPWNFNKKYNCVLKYA KVQYNENQLKYQKKICPDIYSHQKKVMDLIKIQKKNQNNEHLL >CAK74465 pep:novel supercontig:GCA_000165425.1:CT868185:331225:332557:-1 gene:GSPATT00010665001 transcript:CAK74465 MQTSIDLPSPLGVIQTSSITSTGKILSPDQQNNLKDAVKRSEEDKLFRKQNQMNDMEEFE AMEVQNGDQKSLIYYYKALKFDEEIQQNELQINNIVQIRSKLGMIEGFLMKKSPHWFQGY SQRNCILRNRVFRYYDSENKKVQGVLNFDVQSFQLQELQDKNGNTVEFILKPLGQTQKVF QFKGGTVDQTKTWVQLIKQHLQDSIGALKVLKSLSTYEYFWRFERISSLQIMEDAEDGDI LLFQGKDINCHIQRTLTQANFDHVGILIRLNDNQLYLFEALPLHGVGLCRWNKFIICKWN YLYHKVIYRKLQVNRDENFISRMHEFVTENIGKQYSFNPTKLFKFKSTMLQDPQQQQTRT FFSSELVAACYKHLNLLSKDISSTQYSPGSFSSENQKLSLRYGSLSEEYLIDFEGE >CAK74466 pep:novel supercontig:GCA_000165425.1:CT868185:333223:333528:-1 gene:GSPATT00010666001 transcript:CAK74466 MGLGLLGACPGPSIVDPDLHDLGERLTRYFLIQTNEIYYYIQLFGVLQFEGRSIDFQFTY QAFKIIFCFKNNEIFDDSYTYDLLFPSLSRAKAIFIEPFYS >CAK74467 pep:novel supercontig:GCA_000165425.1:CT868185:334429:335106:1 gene:GSPATT00010667001 transcript:CAK74467 MKQALLVLAIICLGFAGHNIRHHKQNTANSQKSLAQTQEIQYIDDDKSFQEPAQTESNEA ELVQETQIQSEQTEQQQLQEQQESEINLTNQEQDQLDQEEQRKYEEEKQKQLSSTKGSDD DFYMNALNVEIQTQDPSDQMPVDVVENQLNPVFEKDTIPDQKGVDSPFQPADGSSLMTPE EPEYEIKQTQMMPDEIPAEQLQEYMQKIEAQEAQEAAILAAQGPK >CAK74468 pep:novel supercontig:GCA_000165425.1:CT868185:335648:336090:-1 gene:GSPATT00010668001 transcript:CAK74468 MNKPQQHEKGQNQNGKAWLYALGGLAIGVVGTIFGMKAMNNEQSQTNSQSKSNPEPSQIT SDGEQFLETLCCPITGELIVDAAQLSTCGHTFEKFVLLEWLKKSKNCPQCRKPASEQDII KNYALQQVIDQHRTKQQ >CAK74469 pep:novel supercontig:GCA_000165425.1:CT868185:336334:337684:1 gene:GSPATT00010669001 transcript:CAK74469 MLQKIIVAFSSTANTFKNKSKLTEFYKAIHPDMLQNAPDNVKMENTRSLKILNNYFDAVS QNERTEPQELVFYMAEKQNTKARKFLPFTIVLDAYLGKDQQGAIDKVTTQIREQINQKYQ EIRKDFTEKHANPFVTYTNQYEKAKKNFFETTTTLKKRSSTQESEQLAMRASIFSAQMQS EYDNFLKSATQQMRQANMPQIKPRLWASIMIDKCDMIPNLFQIFDQLIDPRLIFFDQDLT KEESDIFVEKIIPKTTNLMSVQALSPLFSRLEKADPQICLYVTKRYGATDIPGYFSIPYN FDVQEIQQQFGIYQKQIKQEREEYEQELKKYQQSLYNLADRYSITNIQNEVLLKNTEVVR KLNLSLLILQKQLNEVNTQRLNNLRMKQWVLTESVSKTVDSNDHFYIPIPFSGTV >CAK74470 pep:novel supercontig:GCA_000165425.1:CT868185:337688:339330:-1 gene:GSPATT00010670001 transcript:CAK74470 MQPLCDPAQDRVVKQVPLPPHRPLDNDLMFPAKNQGKPDWKLIKEHLEREGRIAKKEVIK LVTMANKIFKNEGSLIHLSDPLTVVGDIHGQFYDFLKILDVGGNPESQKYVFLGDYVDRG PFSIEVLILLYSLKINYPRTIYMLRGNHECRQLTSFFNFMDECKYKYDQELYDVFMDSFD NLPLACIINNQFIALHGGISPELRNVTDVNLVERFREPPKNGLFCDILWADPVDNDDGIC EGQFRINEVRGCSYFYGMEAVSRFLERNKLISVIRAHEAQLEGYKMHRWDGGQDFPMVIT IFSAPNYCDVYNNKGAVIKFKNNDLNIQQYNYSQHPYLLPNFMDIFTWSIPFVAEKVTEV LFHIIQPRDGEAMDEIVDEDDIAKFKELVGDQQHKNKDVFLKSKIKFVFRMMQIQKGLRQ QSESLVQTKGACPDNRIPKTLLDSIKDSNGAFQTAKMADSINEKRPGLSQ >CAK74471 pep:novel supercontig:GCA_000165425.1:CT868185:339358:340784:1 gene:GSPATT00010671001 transcript:CAK74471 MNIFLYSLLIFLVFCGQEQGTFLSEQSTTSQENQLYTIKLKETHTIVSAKEMYDFLTTKQ TYFRQQTPIDVQEIEFGGYVPKPQQNTEKRVADLKLHNFKNTQFTGPISVGDQEFQVIFD TGSANFWIDSVKCKNEGCKQHTQYKPGFSSKHLGYALNVQFGTGDLNGEVNSDVVKLGEI EVEDQNIAEIIEENGSVFQNSGFDGIVGLAYPSMAAYNLNPLFDNIMKQKKLQSNQFSFY MSNKVNSLESQLTFGGYDSTKLDGPVHYHPVIDKYYWMIKAENILVNGQDQGFCPKGCKV VADTGTSLITGPYDDLMKLLDLTNINDNCSNAKELPTLTFRIDGVNYDLEAKDYIMELKD DGTEIPLSNEDLPNQCIGAFMPLDIPDPQGPAWILGDIFLTKYLSIYDRDMNMVGFGKAK H >CAK74472 pep:novel supercontig:GCA_000165425.1:CT868185:341057:342585:1 gene:GSPATT00010672001 transcript:CAK74472 MIAAPKIFENHLAEKTESPDFYIQQFYIECLSTFSYYIESKGEVAVIDPLRDIHQYIELS KNRNAQIKWILETHFHADFVSGHRELANLTGATIVYGPTAVASYPIKEAKDGDGSYPRTY NGKSSCFVLVHNGKDHSVYTGDTLFLGEVGRPDLAVKVGELTVEMLASYLYDSLRNKVMK LNDDVIVYPGHGAGSSCGKSIGAGKCCTIGMQKKNNYALQDITKEEFINQALKGMPKPPQ YFFHDAKLNKSGANDFSAILSEVQKALTFEEFMNYVKQGALILDTRPNIQEGVIKGAINI TFMSGLVNFVGSIIKPETKLVIIGKDGEAKDSILRLLRIGYDNIFGYLDGGFETYKNNGG ELATQVQIVGLEELCNINDNPNDHVFVDVRGVGELRETGFVKGAICIVLSEIEGNVDKIP KDKKLHIYCKSGWRAKIAMSILVRSGFERIMISQDGGFEDMWEKKLIERVDM >CAK74473 pep:novel supercontig:GCA_000165425.1:CT868185:342664:344053:1 gene:GSPATT00010673001 transcript:CAK74473 MRQFAISLFKVSQTQFAHIPKFYFSKKVVNVPTMGDSITEGDVKELQKKVGDYVNQDDVI ALIETDKVTIDIRCADSGLITQMFAADGAKVEVGKPFYEIDTSAAKPAGAPAAAETKKEE KKEQKQEVKQEQKQEAPAAQKSTPPPAAKPAEKKPVAPSVTTPTQRTEKREPMSRMRQRI AQRLKDAQNTYALLTTFQECDMSAVMEAREAMQKDFQKKHNVKLGFSSFFIKAAVKQLQE QPIVNAVIDGTDIVYRNYIDISMAGLMVPVLRNCERLSFADIEKTLIDLAEKGRQGKISA DDMVGGTFTISNGGVFGSLMGTPIINAPQSAILGMHAIVNRPVVRNDQIVARPMMYLALT YDHRILDGKDAATFLKKLATSIEDPRRILLDV >CAK74474 pep:novel supercontig:GCA_000165425.1:CT868185:344147:345734:1 gene:GSPATT00010674001 transcript:CAK74474 MNACNATPAYVNAQKAQLVGENFYIQQFQVSCLGQFSYYIESNNEAAVIDPMRDYEEYIK FSESRNSLIKYVILTHIHADFVAGHVDLAKITGAQIVLARIAQDEQLLPLGNAFLRVLHT PGHTLESSCFVFVVDGKDHAVFSGDSLFLEEVGRPDLASKSSGLTTHQLASLLYHSLRNK IMKLNDDVILYPGHGAGSACGKAIGAGTVSTIGEQKLKNWALQDITEEDFVKASTDIPNP PQYFFHDVQINRQGASDLQTIKQKVTKALNYQEFTQVAADGALILDSRDIVSKGIIKGSI NITQVATLASFVGILFKPDQKMVIVSDEGKEEQTIIRLLRIGYENILGYLEGGFDNYVRN GGEVQQLNIVDLKDFLDTHDQPENHVFIDCRNPFEFKTTGIVPGSLMIPLFQIENQLDLI PKDKTLHIYCRSGARAKTAATILLRHGYSDFVLIQNAGLEHIVKEHKIQAQKVD >CAK74475 pep:novel supercontig:GCA_000165425.1:CT868185:346130:346939:-1 gene:GSPATT00010675001 transcript:CAK74475 MEYKIPNFYQQLHSHYKRAMPQCSRLGHQENIVYFCVNEQCPKKFEELCVQCLNKRETSH KHSEYLLIENAYNFLTDLYYRQQNKIEQQACLQEAFESIKTLKFKILEIFENMENQMAQL KDRFDTQYHLQQLFKQNFVDKQNKKRFFISELKQLIQDFNKYVYYNFEKSGINTIIMKEF SDYLDDIQKMNFSEAQKDIQNLIQFTKSLNIIKHQQLKVMHRLQEKVPDQSFILTQKPIG FSAISLQRFQLLGIYIPHLLPIERQRVCI >CAK74476 pep:novel supercontig:GCA_000165425.1:CT868185:347168:348479:-1 gene:GSPATT00010676001 transcript:CAK74476 MIKFRQIFLIILAIVIPVVRGQMEDISFGEKHIYVNTFEQYIEKIIFGSIGGIMQYLICC SIVEYYNPMPKNKLRLQNIFREIRYGIVQLIFGCFVSMSFYYYLYPYTPYYKYFETHDYT VFHFLYGITFHWLWTTCVGYWTHRMLHLKYFYKYIHSVHHSFKEPTAFSYCAAHPLEGFI EGNLLLHTAELILPIHPMQTMIYGGMMAFNDLFAHDGGKYDHSDHYRHHLYYVVNYGDAK IDRFFGTAYDPVFQYIYSQKTIKLRKNALSQPDFKDKDHPLFQRYKSTYILILNIPLINR GGITLIRNFIHSAEGVKNGLPTAVQNRLSINYKLRTYTQGKVTDIRFITDPVAGYQAKGD KK >CAK74477 pep:novel supercontig:GCA_000165425.1:CT868185:348639:349029:1 gene:GSPATT00010677001 transcript:CAK74477 MREHIEDEVVASFEQKRGINYQHEYMRLYIANVVLTQQLKELLQEKGDLISKINRLEETE VCKPNSRKQDYEDSKKIEQPSKKQIGISIIIIISAEGSTKYNDENVVHNHQYQTRKLIKK N >CAK74478 pep:novel supercontig:GCA_000165425.1:CT868185:349056:350814:-1 gene:GSPATT00010678001 transcript:CAK74478 MKQKQLTTKKSDIDLGNKDQLNQIIEILQKDQSIKTNQEVDITRKAFISLKFFQDLEQQM GQEMVSNLYRQLSHETIKARQVVFNIGDIGKKFYIILSGSAWVLIQKKGLQDGNQIGEEE KKNEESLRRQNTRKATLKHQQSMMKSKKFKKQATFFTETNQVLMDEVYAKMTDAEFIECQ FPTLQKVGQMKAGDSFGEIALTKQVPRTATIVAAEDTNFATVSREQFNKLLSSYYEYIQQ QNVAFLQKVPAFAEWNEQMLNQIYYHFTFEDYKMFDVIYKENQPSNKIYIVKNGEIEISQ NIEVGTLVTENNLTIQRFFKKNDKKYERVRTGVITSGLIFGHEEVIKDVVREHRAVCLSL KAQVFSLDKDRFLQFFKKGDAIQKLIKIDQTNHSRKSKSVSIIKDLKSFSPPIQFREIPF LEEDDKISDFVIKKAKNAVDRVGNEPKRSGYEFLQGKGHINKAHYNFKKNYDTLKRNLKY SEPLNINTGLFELDTNKGTAISIMNKVFPSASRPKYEIPNYSMSPRTVIKSLKLPKLLNE VDVLLFSNKESMISNSTTYKQQAAIKDDE >CAK74479 pep:novel supercontig:GCA_000165425.1:CT868185:350873:352528:-1 gene:GSPATT00010679001 transcript:CAK74479 MASFASRTGQKIKEWWGAKVTVDPQLESKKQRINEIDQYIKGLSQSFSNISALFQQMHGH MGRISSITQKLFEKETDTNKRIGIEVTSMFGNLSELFRSKIEPASELNKNYGEWFQTIET LKIQLTNFSEIRLVYDHYKLKVDELEKDRSNVLSKGQPEDFKLSEKIRRNQSKLSSSESN YKQKISEILNNMNKLLGNYYRMINQSLDSFIVINYDLYMNAKSIVKKNQKAFNKFKYPEL EPIIDLLREQKEMSSILEREQQKEKEVQKEKEQKEQKEQKEQKEKEKTVTEKEEKQQQQG GPPQQSSQWEGNNKFNPFGQSQQMNTQAHSMITNPQQVNSMINPMVNQQTDFGSGFGVNT MPKQQFMNPMMSQRQSVQLQGMNNNFANNFSPNQNMFDDVGLQMISDIRSNQQQLWQQQQ QQQQQQQQYAQSQILNQNNFINNNPFMSSQPLNQRTSVQQNQGFQQFDSQINRVQQQNPF ADFESETQKRAMYDNPYTYSQQFQENQKTNPFE >CAK74480 pep:novel supercontig:GCA_000165425.1:CT868185:352579:353262:-1 gene:GSPATT00010680001 transcript:CAK74480 MFVRAQVPKSRTSLTPQNFKPICIEQRGDCTPTRSNNISYYEHSVLIQEPSQQSLQNYTG RVEEKLQKRVINSSASNLRSRYVSDKENLVRVPGQSFCGNQPSNKELQQVINNIKKQKED ICYTLSETLKKNTVIQEQIETVNKEMEQQSLNYQQSLDEFMNEFNELSYKISQLEEQNSK LFEQNEHQKWYLQQIQCQSSRFNQTHNFGTG >CAK74481 pep:novel supercontig:GCA_000165425.1:CT868185:353276:355570:1 gene:GSPATT00010681001 transcript:CAK74481 MYTQLQNQGQQNSNKLRFNWKIILILLPFALGLGIYFSITSGQPKNDPDQTLKVRQPHQQ IPYFYISTYEECKKELTLSIDNYTTSFELPKQYPFAYTKCERSERQDYSYHLQESLTSVF QLNKQNTPIIEIIQVNYYLEFSEVLFNLIDQKILGYEFAEQNSPAYFIRTNNNIFYSFVI YTSSNVESIYQNSLLQIRVYGGRVNIKFFLYDKPEQIIKAYHQFIGGYKLFPFWSLGYQY FGTWIEEKDLKYLESKSIPLEMVWIDEQKNNYLPPNYRKIKVTYPTVQLDTVDKKYLIKE PNKEPFQGCVDDEHVNYIDYNVQNTIQKETQLHPNSEYSGAMLKSFVNNEITENGKCQGR NIGIDKDAFHFSNKHPVLLEKKVTEQYFRPLNSFAQSKQYSEHSNNVKLIMSESAFFGQG QFSGIIFDSVDLEQTIAHLIYNNFFGLPYTGSYGCDQGKSNLNWCARWLSIQAWMPLFMS RSTSKFQFSNHPDYFDQIYLEVKARQSLNKWMFAQFLKNYDSKVNAFTGTIIQPIWWFNT SDTRAYQYEDREFIFGEVFLVAPIIEDPENEYSTEYDIYFPQGDFWMETKQPTLFMGGSH VAYLILSNTQTPCFQKAGSIVFKQDSINLKMDYLNNIYNLYVVFSRQGTDGNAEGIMITL QDMSDENVKKKCYENGNNCLMKVKMRYVYDQNTRSEHYFISCTGINKHTVFEQVQINTLN LFHSKLQMDNQITLKHPIIIKDSFKTEYDLQQYMY >CAK74482 pep:novel supercontig:GCA_000165425.1:CT868185:356270:358543:1 gene:GSPATT00010682001 transcript:CAK74482 MEQPPESIFASIDNCFDHSIVYYFRILKWNFFLMLIFAILHAPSLIFCITGNSIFNQFQL QLETFTVANIDISNETAFLIGVLGDAIGTLLYFLSLLYLRYNAINKDSQFKVSSISPWSV EVSGFPSATIDPEDLRSIIQSNTYEIYLVRNFQGTLSIMKNKAFKERQIRLEKKKLEVFS KRLSQADMYVRQDFIRVLSDELKDITKELSDKFNIFLAPDDLESIKAFVVFKSKVDRDLF YEKYNQDCLVRNGIYLFLNQPQELKLRGLYTIKVTEAPDPNEIKWENMDYQERNNIQIIL MHLVVAIILLAPLIVFENMALKNARNNTVKCTEFCLDSDFTRTAYYIITGFWIFIADILG WVFLELIINKEKQIYLVQEQKIVLIRIIVYMICYTLLVPILISWETIGNIVLVVQSYTST EKSLIFSDDLDRKWIINHGMIFLWVSIFFTLKLIILGIASGCRCLTGGIRDQEELQLVGS LLTDENEEERQKLTGQLKTASNNNQSHQTLFLLNLDESVNYNVQSRQNLDAKTGKQFRRD SPLINSNPTFKTGLRYAKLLFSIFLSTIISAGLPLLPLLGAIQIGMQYIYDKNMLLRYHS YNEKQQMKLQEQLKSVGIVTLKFIHFFLILHLIFSVLIYGYPYIYTQNGMGISQSWNDAS NKLLYKVSTVIPLTLLLIILVLALIIDLVFFGIKNNWKIQSDQIGQDVPQNLDDHVNALK EQGSAISYNLKHHDEFRDILLSQKQESIRATLNQFKH >CAK74483 pep:novel supercontig:GCA_000165425.1:CT868185:358627:360553:1 gene:GSPATT00010683001 transcript:CAK74483 MDDIKQFKLLKQCQDTLPAQVEAIEVNTLYINSTLEKHSKKHRRHSSSSSSSSDSSSERH HSRRKRTHHHRRSRSPRPRSSSPIKKVLINVSIPILYQKPIQSISSVESIKEYRAQHNIF IRSQHVTVPDPIMRFEDVQCFPQMLMDLLLKAGFKGPTAIQAQGWSIALTGHDLIGIAQT GSGKTLAFLLPAIVHILAQARSHDPKCLILAPTRELTLQIYDQFQKFSVGSQLYAACLYG GQDRYIQKSQLRKGPQILIACPGRLIDLLDQGCTTLKQVSFLVLDEADRMLDMGFEPQIR KIVDQIRPQRQTMLFSATWPKEVQKLALDFCKQEPVHIQIGNVELTSNRMIKQIVYVMKA IDKNQRYNQTIDGANIYTRSSISLLLYLLKDIAHKKILIFCSTKKGCDQLQKTLDREGIR CLALHGDKKQTERDYVMSHFRNGRSTALIATDVASRGLDIKDIEVVVNYDMPKVIEDYVH RIGRTGRAGAIGQSISFFASDEDVRMAKDLVEILRESQNDIPYELRSLIDQNTKGNNYNP YRRWNNSGQRHMPQPFMQHQHQNQNSNQNQHQHQHQHQPSQYPSQWQFQAFNPQILQSIN PYQI >CAK74484 pep:novel supercontig:GCA_000165425.1:CT868185:360661:363372:-1 gene:GSPATT00010684001 transcript:CAK74484 MEHQKSRQDNIVQPKSRHLIVMNQLKKKEENWNHRFYVSKQLKNESVEKFQPKLSQLQAK LIEVQNYYDIPEFHRQLFLMCLKTLPKINQAILFEIEEIQLKKSHIQQCMQAVESRERCL SALLKHIQLIENNPGDEQLISKSAELITHLRILSINVVEQIIGWRQYLMKFLVNIHSTES ISLPYTYYKENYLIKMKKDVLYIQNSTLSNYYQFSKQSDPFFVAITRPATDLNKIVQFIS KPLLKRIKNCELLIQEEASQMQKEDKSFYNQLNSRDQIKMTKPPKRSNLSQNQNRVLIKQ LDPTDFTNQTSGSVSKINPQKQSTQSTKQAQENQFKQELIKISNEQIQKTQGRQQLQIPS VQQSADKRNKDSSLDQKNKDSSKINSPKSLTQVATQNENEIKILKCQLNDTLVENYLKDI SDDFKKSWRGEIAQMLHQYQSQEESFCLGIYQNNKLVGFGQCFLDPSLQERKLMLSHFST AEYSQFQEYLKMILQFIWDLDSCQEIRMSLYHYNQNDCFQANKEITTKLKELNFKWKVVQ SVNSETRFTVMAIRRPAELKITKQYDPIFLQHLFYTTENTQTKNENQFFSLSGLTNLNTK VDFQDHQINSIKDILSASAYQFKGIKLQEQTRQAFHDYIYESFEHLNEINPYNNDIQQLQ EQVISSFSKECYRWSKFKLANHNKLIYNSFRPESNIDHAKVFMSESGDIKVYIIATDQSN TSIFVFEYQEELNLQKVQSILIQCGMQVPIDQNLNIPQFTLNCKAQVQDNIMGVGRFSTA YRPKMMDIHNAEGLIIKPPFVFGIINEDFNELTNMPNLFFRVEEQHCLQL >CAK74485 pep:novel supercontig:GCA_000165425.1:CT868185:364093:364622:1 gene:GSPATT00010685001 transcript:CAK74485 MYQIYLTFLRDIDVELKKTIESSTQVVNDHILQRTCKQQDLEFVTKKLEDFKFQVDKYGI QAIEKFRENLKANIEGPIQAQTHFIAMKNQRQKSKSYKVDDLERVIDNRIQEASEKQKQQ LIHYLDTFEEEFKFQNQEMANEYQQIEKELEELQLKD >CAK74486 pep:novel supercontig:GCA_000165425.1:CT868185:364636:366065:-1 gene:GSPATT00010686001 transcript:CAK74486 MIAYRNGIYQGSLENGVKEGIGIFWWAQGAIYIGEWHKDMIHGEGIIMINDNVIRAQFKN NKFHGLCVNYTQSEFYRFEYGQLNGKCLKGQTVSVYRRGELICIENNLDSVDLLLDEYQN RLLDLEEILDRNQCSSIGITETHLGKMKRGKPYGLGIEKMFTSDKRIGIFHDQQISNIGQ IWKNGDIYTGSFVENKFHGIGTYFISNELKMIQGIFDRGKCIEIVKTQYGDLEVYKLLAE QTFQAIQQNAIQARVTLPYLQFCQFEILFTQTLNSISQIPTQSQEKDQTLLEVDLDIQKE LEKISNDQQTIEAKKALYEIVNKNYTKEKCVPILQLEQLQTFPNNPSDPYVYDDEDVENL QASQQSNKISGHSQKTEFYHVSDSKQNSEHQCKRLPLQVLKDQETNNRNNQAPKSVRMQP ISARSDPYSMVLSSTRRTLYNQ >CAK74487 pep:novel supercontig:GCA_000165425.1:CT868185:366608:367353:1 gene:GSPATT00010687001 transcript:CAK74487 MYLAYSINQEIKPILSQHKEWLQNFQKHAQEKKTALHYSQVQSRLHPDRYRSNSDKQTQQ REQKAQQITQPQHEATKVEESQQEQQYQHENNNALGDEIIDDQELNELLDFTKNLDFEQY INDLEVKTVVEALKKRIEEIRIENPQLSQKEATKKALKQNNNATQKQSKKHVTIQEEQLQ KNTEKKEVNLENIAKKIAEEILQKNKYLRNIHSNISMQKLVETEARRFLQKE >CAK74488 pep:novel supercontig:GCA_000165425.1:CT868185:367403:367892:-1 gene:GSPATT00010688001 transcript:CAK74488 MSRAIRRYVNSKEEMEYNRGLSAEEMQAAKLRKAFIQKYIADFDTNFYKTQEERDWGYVV RREYRYDVTYTSLVDGWACAAAVSMVRMFQTKRFSWAPYFVVWPIAYLYFQPIQFLKHNK KYFDMCNLGETFYLGRERNKVLAECNRILDREDF >CAK74489 pep:novel supercontig:GCA_000165425.1:CT868185:368040:369771:-1 gene:GSPATT00010689001 transcript:CAK74489 MNKYSLRFDNPEMENLYFQDQFEELFNFYTWACCLGTISAICVFTMEFIVPFGFGFWKYT LIIIPLGFGFSHRLIKILPKYFNYVLAMMNISLGGLIFILLYFFSNSNILFLAGQTVAMI QYSLLLGSNIIINIFVLLINQIGLMILGSIIQNYFNSLQLMFILALVLVLKAIWSSEKMK RQFFLLKHQNFQLHKQLDNVFSLKIIRIKFDKKLNQLKLVDINKQAEKIIQDQKQFISFI RQYSIVQIRSLSQPFQVYAYSQKFLYRTQTLEQILFNMLVGDDKEKSSEIYSETSKIAYR IGIYKIIEKNSVHLILLLQEDSEYQKILKLNKDIRKKNRNQRLLITCLENAKKSLQILMY LTNEIIDQKEKLSQNLYISAKTINLVSLSQSILFKSIHGYYNVLILNNLHQQFQEIVQFN IEKLSNDLHKLRSVFFNIKQVRINFEGDNLQCESNYVLTLQLILNLLQDSFNRRNYKSIS IKTQLQLQEKTKVINYKIFLLKSEEIEKVQGPINKILVNQFCQLKKVNQKILEIIGWNNQ VMIIPSIHYDLIECNLIHSLKEFKDAIQN >CAK74490 pep:novel supercontig:GCA_000165425.1:CT868185:369874:370605:1 gene:GSPATT00010690001 transcript:CAK74490 MQQRKIYCFGIYPLLQFLQNFLLHFLSLFNYFQSIFNKVLLDFFNIILHKIYLQINYFHF LFFQSFYNHIFLNQAQNDIETIKKHTFYDFLHSFPPIPNQQLQLSLIACSLEKINFLYYY SLIILLFGILLSMCFHILKQLQQEKFNLPIRIIQNLVFDICFFIYLLNLFLNQIIMNSPL FYFYTFLQGDCLRSRIRHFFQFVISSEKCLLLNLLWIENLLSSQTFFFFTVLIKYLDTKT IYQ >CAK74491 pep:novel supercontig:GCA_000165425.1:CT868185:371153:372271:-1 gene:GSPATT00010691001 transcript:CAK74491 MKALFCLGVGMLMTQQDKTVHAWLYRDDIGAFWGIKGYEEQVTEVGTHRGHMSWPQYRFL GTFDSASVRRGFLVFSRNCANCHGIVYKKYDVLLDKVYKQLELAALVSNFTIHPAHHHFK QFYYQEWDERDRYIHDRIYPPYFSQDQAKNANGGVWPTDFSKIRLRPGGVNYIYNILTGY HYKPYQGLDVPKGKAYNPYFDHMIIGMVRQLHDGLVDYEDGTPASTPQMAFDVTNFIQFV QRRSGFQRPDKTVRYYMFLTGIALIYPFAYLKTRGFYRNNLSLRWEMYAVRDGVYYNHFK KGWKNSRAIQFRGQVWA >CAK74492 pep:novel supercontig:GCA_000165425.1:CT868185:372955:373937:1 gene:GSPATT00010692001 transcript:CAK74492 MFSRIFKQSYLTKIALFSTASFIYWGSRKNQSEEVFQILHKQTQNSIVKFFLNGRIIGQG AVLKNGYVVTSSEVFENQQLHITAQINGQLYNYEVLKVEEGIAILKIQEQKPQFNLSKYE IGQNVYVLGTDEQGLKEFLQCPITDSNFTLDIRLVGEEALNQTFPFILMNGCSSSPGSPV LNKKGELLGIINGQFRNKTQVVPSTYFQGLENGRNIVKPYLGLTLKTSDQGGAFIIKINS DSPAEKAGLKLGEIIKSIDGVTIQHGKDVTKLLGVTENADSHVMVVLRNGKERIVNVNLK >CAK74493 pep:novel supercontig:GCA_000165425.1:CT868185:373982:376562:1 gene:GSPATT00010693001 transcript:CAK74493 MFNNKSKKPSLLYVECKSRDISRRNSRISDLLNDTMNKENSNYQSTYIPNTAKKIDRTVG SLNKMYKQAIQDQQNLQEQASMLMEDLNGKLLQRAELIGQVEEMEELIEFHKLHSNFEFT FATKIEQLDLQIQQLKKSSSFKLSGNTADLIRDREQQHRALKEKIHQETIIAMTTYLQQW GHQFEAIKNQYGNEVYHQVLKEQELQINQLISQQYNKKIQGIILILRNQEKLEKAIQQNI EYVDNSLNEDIYSIKQTMIQKKQLVQNIKNNLLEEDQSKFQLQKRVEVLEQENLQLEQQL NEITMKKDLYQQEILNKQKTEEIYNEILTGFDCLSQIDNDDQKSDTSNSQIKSCTNILSN INKQTKKVLSNHLNKDDSLHFSQLQQYLQINSIDGETNSKCSSACQLSADDSLLQHFNEL NLTLLQSEKTKNNQCNMPLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQIQYNYY NQKNPSIENQTQQIDFIRLQTITEEQLSSKREKSRMEQSTKINTTPIHQNGQNYLNLHKV QIVDNSSQSTGAPLSDQTKRFTNRLGSSQLQVSNYNEVTQKQISIPSPYMPLFFHNQASN PSTQKSQKPQVFKFKEQNSLSEQIQKHKKSKSFDSTSNKEIQQKQLFCNVSANNGQTYYN SKDSQYLSNKSNNLVPIEYEILKITKPLQKGVMIFKRFNSTKPNLTKMEFDPFTCQNPSI CGYCPRIMTLSQNLDKIEFKNQMRVNQVDSILQLDQIMRVIIPKTILQSIQIKKQIQNKF ILSQEERIVSGIVYWPMSIITQNDGRIELLFDNEEILEQWQTSLIFLKDNIKTLQIINKK LKK >CAK74494 pep:novel supercontig:GCA_000165425.1:CT868185:376622:377118:1 gene:GSPATT00010694001 transcript:CAK74494 MPIYNEVWEEEDFMFRNMINLQTLTKNHVKLLDNLKFEFVEYKANQLLACHLYDRMASHC KNQFGLFEDSFVPECLDARNYFQLCVRMNASYGLAKKYFPEYFLTNEYSRPNPNFKELGL >CAK74495 pep:novel supercontig:GCA_000165425.1:CT868185:377357:379171:1 gene:GSPATT00010695001 transcript:CAK74495 MSESFKVVNYERESKQSFQEFLQMHLNEPQDSQLRSPTQENNYNSTKQIGIRKTIKREES EPSFRITEQPIENNEKNLTSMQSKIQLLITENSKLIEINSGLMREIEIMKQNNSSNRTLL VQESQQKLMNYQQKVVQLTQANEQLSSRLIDEQTKNKKEIEQRFQQILLENKNLNEMLQT RLNDIDILNNQLLEKNDQIALMNEEIKVVNEKCMVLEQQKQVLIAQQQQYVDTIKEFQAK EQQFMQQLYQEQTSYQSCIANLEQNYNLQISELRNSFLKEIEQQQETIAQQYQNYFNQQI ITFQQQIKALQLENTQIKDELTERSKIWSQEKERLENFIKNIQQSQLKKQNSQESIQPLA NITQAQQNINQNQKLSQQINQPNQNLDQQQIIQPQNQQQLNQIQNQQQFTQEQLIQQKQE LQKQQYYQEILQIQSQSSLLQQQQQQQKILQQQQNQNHHQSSNYTFRQNTQEDSREVSPE KDDLFQNFDQKARLHTESYGYEKTIPQRAPLQVKNYRVPQLHTITRYDQKQYNFGVNQPQ YYQNSQQRFMINRDPQYQRSHSQYQERDDKPKSQQYQNQNEGYLVPKRY >CAK74496 pep:novel supercontig:GCA_000165425.1:CT868185:379240:380458:-1 gene:GSPATT00010696001 transcript:CAK74496 MNNNADDQNENSNENLKPCPDKKLHHFDQEWPNYANNPSPHFTPSQFFNAPLSHLPPLNL EKSYDDGQDKQGKKNPFRKYSAHEDGNEEDPKQNYPYYPPYYQPYPMKYPPHPSQIFMHQ YPHPIPYWYRPPIYYPQYDGQHYQNTLSKELQSKVSQLVQYQKVSPFACNCKKSKCLKLY CECFANNWVCSQNCNCCECKNRIDNPNERSKAIEEALLRNPDAFAAILTNNGQQPQIIQE EKSQKESQKDITTRKGCNCKKSECKKKYCECYSINQRCTDLCKCENCLNKVQPQEDAIEQ LQKQENPKKESLNQNLQVQEIKIDQKDSINKKSKVIKKKTNKAEDPNQEHFSESDNPIVK VKLTITEKQKKRKQ >CAK74497 pep:novel supercontig:GCA_000165425.1:CT868185:380719:381769:1 gene:GSPATT00010697001 transcript:CAK74497 MIIFCKVFQNFTRIQNKIKQCQQHFLQQFWLLLINLNRNKLYYIFTRIQCSEINVYNYKI NQGQFFMIIDQGVIEAVKQKFKPEDLSKAIALIHYVKKWSNLEQQYEENVNKKSCLPELS EVNALANGTRQPSEEEMKDSTIKEAEPISDYWSKVLQHAGITGSRITQKDADVLAHLKSI TASFGDNDRDFKLRFEFNPNQYFDNKVLEKEFIYNNEESDLPYKCNGTQINWKEGKNVTK TIKKKKQRNKKTNQTRIIETEVKLKSFFKFFDDIAPAQTDEEELQKEEKITADLEVGEAI IEEIIPQSLTYYLDLKDDEDEDDMDEEDDQDDDDEDDDDDDDD >CAK74498 pep:novel supercontig:GCA_000165425.1:CT868185:381980:384807:1 gene:GSPATT00010698001 transcript:CAK74498 MQNSPKENPLIDTGSIVQEIYREEYIPVQQIQRREEDAVFIDENPQNVLEKLMKLKDCHY KERLAFTKRLGELLAWIGDSSIENLNTIIQQILEDADEIKKNFIAQLEQICVLLKTKQNS QELIKQHVVKALQELLKSNNFDIKEQAGNSISIVAKELNERDRGNLILTQVIQMAHDDNN DENVMIAVKLFGQLAGDFGPELSESFIALEILSKGDDAKQPIRKEAVLQLANIAKVLSKD FIKHRLIPFFSKKTEERTWQTRKACLDIIVKLAEQTDTENFDLITGRLLALLDDQNKWVK QHAYKQLGKYISIITRQENINDKLLEFYMKMNDSDIRELGIDNEIVIECALYFPAVLQVY TDKKWPQLSKLFMKLINHKDKVQIYNIALRVKKPLACSIHVLAKLLGPQLAYSELLKVLE QLLQDPNDEIKHGAVENLGLFFDAIEESKRASLIDILLIIQKDQKKWRIRELIAKQISHL AQVFDVETVFSIILPVSTKLCNDNVFAVRKAAAKQIFELYDKFQKSKNELYFNVLKQNIL GFANSDMFNQRQSFVIMCEKLMKFEQFQVDFLEAFIHLGQDPIKNVRITVAKVLQKHLFK PKQEANEGLFRDQTSIQTLWNLLIKDKVVLNSLDNKKYPNTILQKSQQVQLTQSQIKVQQ PEQQQQEQQQQQQQQQQQQQQQQQQQQEQQQQQQQQEIEQQQQQQQEIEQQQVIQQQEQQ QQQQYQEQEQEQILSNQEQQSLNTSVPEENQNKEIMILEEQFEKSNHIDQNNTNVEINQE EQITQTIDNNEIDIKQHTQEETQEAQIQMESEINEKQQEDQTQELQQQQNEEKEIQEDFQ NIQKNDEKEIEQE >CAK74499 pep:novel supercontig:GCA_000165425.1:CT868185:385361:386674:1 gene:GSPATT00010699001 transcript:CAK74499 MREIIQLQIGQCGNQIGTKFWEEIAQEHRIDENGQLIEQAHEFQQYLDVYFNEVNGNRYI PRNVLIDLEPGTLDSVRASRYGKLFRPDNFVFSQGGAGNNFAVGHYTNGTEILGEVLDVI RHQVESSDCLQGFQLTHSLGGGTGSGFGTLLLSKLQEDYCDRIFQSYSVFPSIKVSDAVV EYYNTAVSVNHLIENCSQCMSIDNEALYNICTNTLKLKNTCYGDLNHIISASMSGTTCSL RFPGQLNSSLRKLSVNLVPFPRLHFFTTSFAPLTSRHSMQYRSLKISEVSDQMFDPQNQM CAINCKQGRYLTGACLFRGQFSSSEVEKQITQYQKKNPQNQVQWLPNNMKVSQCNVSQRG VVNSGTFVGNTTAINEMFRRIYNNFQAMFKRKAFLHWYTNEGMDELEFTEAGANVQDLIS EYLQYSEINDDDGDEQE >CAK74500 pep:novel supercontig:GCA_000165425.1:CT868185:386767:388421:1 gene:GSPATT00010700001 transcript:CAK74500 MNNQKIINNVYITKKRISAGSFGVVYQGQDINTRNFVAIKIDKENKEDSSLLREAEILRR LQHLQHIPKLYWAGKEQESYVLVIQYLGRDLTHYMKTFRKFSLKCVLNVAEQMINILESL HKNKVLHRDIKPENVLVGKDDDDNQLYIVDFGISKFYKDENESHISFRENQPFIGTSRYA SINAHRGYSLSRRDDMESLGYMLIFLLKGQLPWQNLQFTSEENKIKQVGQMKMKMEVSEL CKGLPIEFGRYLDYVRGLPFKSEPNYKYCQSLFKKIQNEHNYVPKELVFDWNISQRGDKE GQSSSSNLFNSKPSMQLKIHDNFRFKKSKEDMSSNNILGSQLNQSIEQEINSLLKTPEQK RSTLTPEIHRNKGRMQSMSSYNDSISEIDFNNSVMLGIQPSILSRLSKISFNSNSIVRNS KSNISLTPEIKAQGKIQQIQPDPYLEKLTGVKKRKSQLSYSSRRGKKDDETIFECSKMNE AEEGIERKYIELKHRFINARFKFQPNKSLK >CAK74501 pep:novel supercontig:GCA_000165425.1:CT868185:388486:390056:-1 gene:GSPATT00010701001 transcript:CAK74501 MTTVSVDFLQQITLLGKEFLLIAIDPMNRKQTITELKKVLTKPLLMSDYKKIWKALYYAM WSSDKYQNQQILATEISQLTRMFYSNFNAFIQFSKAMFHVFMFEWEKIDYWRINKFMLLF REIIEEQIKICKHFNWKTVPQLIALYHESVLNLTEFQTVQGATLHFIQIFVQCLAKHMSD STVTHVQVKDLLEGFLDILKHSPNKTLRDKTIQYVYEYIEERQKNENYLPAFDSRKYGNY VFKLASSESVNPQNRKSLYRVAEIFNFTEELEESPQVQVQEAPKKKKTKNNNQETQQIQK PKVGKQQDEHHVQQQQNEEQQEFNQQEEQEEQEEEQVIQVPQKVQKKNGKEKKELQQQQN TKIKQKEEKAILKLHKKQEEQVNSQDQQDSPIQDEDETIEGDDYSEVRKNPNKLKTLKEI MNSVPVYLFMNPAEKRKYFKSINTRFQEALQKEKGTHCTHNKSVHFDLARNKVKTFKQTD NVLRISKS >CAK74502 pep:novel supercontig:GCA_000165425.1:CT868185:390800:391731:-1 gene:GSPATT00010702001 transcript:CAK74502 MQFERAQPIEEFVKTVQKRNYEYEFQYLRQNTETAQHEKYLVKDVQVQKLNRYSNILPFS HSIVKPEVQSPNKLIMSKDKFYINANYIRGINNVEKQYIATQGPIPESIIDFWHMVWTNN VQVVIMLCNFFDKGRVQCEKYWPKTGQKAQFGPYEVQSISQEEILKSIFQHKIIIKTQVE YREIIHYQWTNWNDFGVVGNDELRILDMLADISNKAGMQNKRPVIHCSAGVGRTGTFLAI CHIKQLLINNKAKISIFSIVRRLREQRALMIQTPEQYQMLYRYTLWLIQNLKYI >CAK74503 pep:novel supercontig:GCA_000165425.1:CT868185:391803:393658:-1 gene:GSPATT00010703001 transcript:CAK74503 MKIVYKFTQQVLYQNKQYSILKPSQLSVPKDAFIAKVTNKDGSTSLHDLNREIKQDCTIE FLDFSNQDARRVFWHSSAHVLGAILSKFGAELEFGPSTENGFYYQCKMDKEIELDQLNQE IEQYLKQKKHLFEIQSISQNEALQLFSKNSYKLSKIKQTAFQQYQIYKLDDFIDLCKGPH IDHTNRIYKLIINHSQPYQNSYRYQGIAFPNSKLFQEYQKQQECDHRHIGKHQHLFVFNK LAPGSAFFLPHGTKIYNKLIEMIRKEYRERGYQEVITPQLFNKELWKQSGHLDKYAENIY MTHDHQMGLKPMNCPGHCLLYKTLQHSYKELPIRFADFGVLHRNEVHGTLTGLTRVRKFT QDDAHIFCTIEQIQQEIKNTLDFLSRVYGRFGFTWELTLSTRPDKYIGDLQIWDEAERQL RIALSDTQYTENPGDGAFYGPKIDVLIRDHNQKQHQCGTIQLDFNLPERFDLTYHHENDK FSRPVMIHRAILGSIERMMAILTEQYNGKWPFWLSPRQVMIIPVSEISKQYAKEIYDQLY KLGFEVELEDTEQTLGKRIRKGEMLHFNYIITVGAKEQESNTVDVRERGDNHKHQSMKLD EFITKINQL >CAK74504 pep:novel supercontig:GCA_000165425.1:CT868185:394047:395627:-1 gene:GSPATT00010704001 transcript:CAK74504 MLSIYIALASLIIAIIYFLVLLPLIPLLKIKMQLGSKAALMFYPLSGLNKIFNDSLAKER DLLKIINNQLKKNPQTVVILSNFIHKPVLVWTGAEYIKDIYLDHTSYVKVDPFMVESIAQ KGMVLSEGEAWKRQRKFLGTAFTFEKLTSRLPMINQVVEKVSKSDPKTNLNQFTSRITGE VVIHSFFGDLAKGFQIEGKDAQIAIVEILSEIFLMPMNNPFIQLKQMLFEYKSWSIFPTK KEKQLLKRISDFKQVIMAMIEKRIEQLKNQQIENQDKMVFLDLYVTEYLKQQKENKQDMD AEEILHQFITLFFAGTDTTATTSGTCLYYLAQFPEMQEEILKEVIEVVGEQGDIKEEHLN KLVRVNALIQEVLRLRNPAFSPVFRTVRQDKQLLDVKMKKGWFSVAYHIGPGLLDKHFEN AQEFDYKRWLNKGNVIKNDNGFIHIPFAAGPRNCIGQHMAVMEVKMIVARLVRQYKITLN PEVKDITFGMKFLYCVEPDNCLLYEERNLQ >CAK74505 pep:novel supercontig:GCA_000165425.1:CT868185:396844:398606:1 gene:GSPATT00010705001 transcript:CAK74505 MTTQNQWSELNLEPIIQKVIANEFKFQYLMPVQKAAIPHFIKNYDVAVEAQTGSGKTLTF LVPLFNQFSKQKYSDHQLFGLVLSPARELAQQIYDVAKNFQNVNQAKIAFAIGGTSNEHD VKYLNEKGCNILIATPGKLRQLLDMADLQVNVKTLEYLIFDEADRLMSNEYSDDIRFILS KLPKQRRTGLFSATLSSAKIHDLMKLGLRNPVQVKVNANETMPAKLRNYYHIFENRMDKI SGLVDLIEKKCQNNKSIIFFNTCCSVVYYSIIFKQLFPDCNFLSMNGQMAQKKRQKIVAN FKSTNSVILFTTDVLARGLDFDDVPLVIQFDPPQDPSFFIHRSGRTARQGRDGEAILLLE QHERGFIQFLGRSNIEMNQLEDVAFDCQFQQLKEKCLKIVTTDRDIIEKGKAAFISFIRS YKEHKLQILFQFNQLNIGKVACSFFLLRIPRIKEILSKKIEFEQSQIDPNSIPFLDKNQE KQYLQKRENKDKKLEEKNIQQLPKQQVPKKQEKNIKNIKSIRKKVKQQHVQEDDEELRAE QNLVRKLKQGKITLQQFKDRMKDFDPEDLQDADYDKDDL >CAK74506 pep:novel supercontig:GCA_000165425.1:CT868185:398631:399108:1 gene:GSPATT00010706001 transcript:CAK74506 MLNRQIKSKKVKNLIKKFDAEDEDEHQEEHESQQEEVQQQTKQSLKQLLSGVIKRDVKSE KAIMADKQKILNELTGEKQKQKEIGIKKSIKKAVVEKGHVDPRVSTNRDQERELKVIAAK GLVKVFNVLADMRQKQNE >CAK74507 pep:novel supercontig:GCA_000165425.1:CT868185:399127:400079:-1 gene:GSPATT00010707001 transcript:CAK74507 MFRMFDPMYQSMNQILEENGNILWLGDCTAAYDRSLLDGRGIKTVLTVAAGLNVSYPEGG IVHKVYHILDIESANIARLFGDTCNQIGEGLKRGGVLVHCAAGVSRSASAVIAYIMKTRG LNFQEAFNYVRKRRSVVFPNNGFQRQLRNYEKDLKQIRAKEPEMPMKQTQKTPQEIAMEK HEQFSIQYLEQKAKILLKPVSGNPTFNSGYSTPQKQLQKQRMLYQSAKVGSQEPKQSSIT QNSTRTNSQMKKQIFEPTFNHTYQGPQIVTYQRNKLVEKMPDIKKKTFYK >CAK74508 pep:novel supercontig:GCA_000165425.1:CT868185:401523:403721:1 gene:GSPATT00010708001 transcript:CAK74508 MKLAASEAFKKLKLKHYQQAKITTTKFYQTKPFFSMPEQIEKESGVLAPKRVNQVDLFKR YTYEVLPALEQSVELDLLDKVFQKVDPVVRESITQAYIRKQVEQLAQQPDPASIKDLDDN TKSNMPREKAKLFLKDWLDLNPIQIGKWIPLNYELFKKTFKFLSPGDFQKNLIELSKNFS LMMTDVGFKTIDYVDSSIRVPQIFQYKKLSKDNFHKEGFFIIMFNVLKGDFNDELRKHRN NELFQRVFATSVNFDALLTVILNHWELIQQLRTPDQRKEFFKSLVDQLLEKIDKQQANAS MPELLFSTVKTLQFQDFTLDLTKYANNPLPVPKSLIENRFGEQYYGYSSNLLFYGDHGAG KSGVLMQAIIFAQQTGWIVAVVPSGYNWTSLKYEAKRHFKTGLYMQPKAAQEWLEQFKEA NQEHLKTFQVDLSLYGKFNLSGVHDDDPDPCPNLYDERRGYHFKDFEKFTTQEERDFEEA QDQIMSARITLKIPKPQYLQEIIDYGISNAHYATNAVYEVMEQLYNTEKYKVLVAVDGIN WFYRPSQIPSFRYESDKDLRGHVPPYHMSLPRLFMHFDGHKIKNGTKITASSIFKLFQHD FQPKHVLLPQKYGIKLNGAPLDMFRSFCEYGIQTGMWKCDEFSQNTLEQFWMETQGNYFE TIKCMKVHWRDI >CAK74509 pep:novel supercontig:GCA_000165425.1:CT868185:403766:404257:-1 gene:GSPATT00010709001 transcript:CAK74509 MDEEKKQYSKINKEIRQEIIDKICLQKKPLLEVFRQFQVFKKVAQEYSLLASTCKSIVNT YMKEGRVGKKESRIRKLKKVVTTYHVILNPLQPLLSQVQMQKNVENCVEQSQKSRLETEE VQEENKQELQSLDLSIQQWTQSLLNQIQALNQQYKDPQLLRQN >CAK74510 pep:novel supercontig:GCA_000165425.1:CT868185:404473:407388:-1 gene:GSPATT00010710001 transcript:CAK74510 MKQNMSKSTMQDTKVSYDAPPLDFSNLNIKSLADLKHAIPKQGKRKQAPIDEQKDDKKKE KQQQQQQENENIQVQQNQQQEQEDEDKLEGDEKEREKRELQRQKEEKLTPVIVRTIGQPV QQSTNVYKPTIDEKKQTKKQFQVQLIQCSLILSYNKLTSLHNFYNIIDQIMINCKQLQWI DLSHNMIESLDYNFAELPNVKALYLHANKLKDIMEFDRLQCLTELRTLTVHGNPFDAIPN FRLYIIGLLPTIKKQCECVEKYVQNDQTTYNQNMKLNLEILNYDNPLANFLVKIKYGTQV HQTTVGNNWNQKFTLDVKKAVDLKVEVWQKDEEFHLIGESIVPKQEQIHQLDIVGQGKKE GVLVVEYKEVELQNPKVNHKLEKPQSLIKRLNESLSAEVQNNYGNIQEYFAQQFENHELS HQSKQTAQNLTMESLQKVIKGLKINENQFHFDDYDQKNVIEQTKIKALQKELIKKDLQKY EKSRREVNLQILDLDDMDLRFEQPEQKQQILSLRKELVQKLLYIEEKIEHLKRHLKLDQL NKHASQSIMNSMAELSQISMYREPILKPEVDPQKEQQRQEFIKKQMKEWNELQEQRLKQI EIQKERIQKTEDKIRKQQALIQEAKTKEKREEIDQRLNKLKEKQKQREQDLQEQNKRYLE KKKQHHLAEKYAQQDAAYMMQLQEIRKNKLKEMRNPEFDLQEIMQFDKKFIELRKEKAAE RQKEWDEREKQWNKFQAQYYSQSYKRARQYYKEQDSKVDENRLRAQERINLQRSYENEVK QRYQPHVSQEKRQEIEENKRKLKYEPDFKKYRELSEKVKEKHIYFGVDQKDQSSNKKVKL KPLENIPTPISPRRIGDFYLKEHATYNKKHIPPLPRPPEPPKSSQSEQKLVIKDYLKEQR IKKQLIEQQSMKNMNQTQGLENDDQKMIMQIKKQLEKLEHI >CAK74511 pep:novel supercontig:GCA_000165425.1:CT868185:410479:411012:1 gene:GSPATT00010711001 transcript:CAK74511 MQQFEIQLRGGGCGKAKPILKVEENLIIGVPKDLLIKLENQINIINTKATLLVDPQQRNE VIIAIQWFIYNREHLNTFCVNQNLTQLIYTKSLNSARDLLKILPVYLRSSWFLCYQVLQI CNDFLRIIYSFQIQNEGREMELTMQQELLQDVEEIKQSQILNKQIYGVQEQNMKYLL >CAK74512 pep:novel supercontig:GCA_000165425.1:CT868185:411105:419078:1 gene:GSPATT00010712001 transcript:CAK74512 MSPTEDLIPSLIEGAKFLFLQYKDKVLYPEEVYATYYLFQTLKWSIVRQLKSQYSVYNQM KQLKDAFQQYILSSDNWIIHFSWISMIMDILAYRPILDKFEIVKGSPIEQQSMWNNLIEN NLILSLPYNRNQGSILLFQNQVKYFSKEINNMLEQQSIPKFKLISESLINGQFSKQINLW NFYKDFTFKKKQQTTRREYEIILQNNDLLFIEKLIPLYQQIQESFTDYFQIQDQQDNAQL QIKLLQDDYNVFRKQFLDGYKQALYYLLFIIERSTIEFEKLRSLFPCFEKFEIKDKEKLE KIFQIIEEFIKVKFFTLYEDFISNFLEMIEYLSYISEISLNPMSKQQINLEEVNSKFQIQ NHLSYQQNSQNSLILQQCIINIKEFQQKFYISASIIIKTHDQNIKITPFQIQAMSHAICS GQWIKIIRKQLGDSFSDRFDLSQNQVKNKEDIEKLYRNCIFSLSILKLMKQFCYIHQYKM NIFYQNKEEEKSVANQEQQRLYKDCKLMLINQLTQQKEELDFILKSKDIDKGSIQSKEKR KQLLKQIKTDQQRIQSEYENIISQQTKTIISQVSKFMQEAQFIVQQNQNEYYDFDALKSQ MGKYDSIIREIENNQGQFTNSPRDEKKLTFQHWITKYKNLDFCHFCVDEDLTMIKDTNKQ LKLLELLLILILNQLQQPDNKEIQLHFNNFNTQLQQIETKFNIKKSITTFIESLKGNSQL ESCECIIQSNQEIDQYDQQIDKRKGQQIEQIESTLQETLGFFSLKQQSQDKIPQTLDPLY LNIINNLCEQLTIKKSIFDVFDLNNDYKIRECLVYNLIKLQQGIKEDKIVEFSSKMIQYL WVFEKDQRVRNLLKNKELIEMQKLVFSKDIKSTSEQIKQEMKLRINSIESISQELRLQGN SQIKEHLKQQLKQAYEELEQYNDNITEMSEKMDISLIFLKDISKDVKQIKSQIDNLQENL NQVGDDIRKLKGKRYDELLEIRKQKILLQSRLAEVDSVYVQLKTIEYDPVTGESIKSKDG VTITNLMSEQWNDFTGEVNEFIWDESKSNDVMLLSGNAGSGKSKAARKIEEFLWKQREIN SKWIPIFVSLPTLKNPKYNLFEQALESDNYQFDKYQLREFKDAIQNKKEFIILILDSYDE MKQDCIQQNLITTNKLINDLNIDEKQKQVKIIITTRKEILNTLGYQTWFYGNSISSLKEV QIQDFDEGQKSNYLIQYAELSVKRKIRAVYDFLKQIAQQNFNLDEFLRIWYDVNVKVQNG IQNSYKEQSESIFESSSREELIEKILEHSSFNYLKEEQITGLRKDLASLWSVYKFEKAIE NVGISDLLSTPFMFEIIVQVLPNMAKKQQGSMDLKNRFVKSYLSIIQKSSFSKILQESYK NNLDKKENNQVILPSFDGKKSQKIKYYEQPELQAKTVQLLDKLESFKFFQFYSITSILKI HGYDLLVDNKSFNIYPDIEYVVQALKMRKYTIFEFYESFIHFYHDQQIQKLRETGKISNW EGFQIDILQFSSNLAIDMTINQLSQITYQQKGKLKLSNNYNRQQNDDDWFDDYFNDSQQE LDYNKLIRSCILLNAKGSNYSFTHKSIQEFYVAKYIIDLLLQSGSQFLNEENFDQKYAAR LIEQLYNKPELNICKDHFKGVLTFIKEKISILDNIKRVLINIAKLSKNKEYIYAASNSIF LLSQLDVYLGYENFSEIQLIDTNISGLSFCNSDLSKSKFTNININSCNFNYANLTDVEWN NVRCKEKPFLKEDEQVRLVEFSSNGKLIASNGKGNLVSLWDVESYKVIQQLDGHQDQILS VAFSADNKTLASASKDKTIKLWDIQNPQIKSFLIFTIDYHDHPVTGVKFTLDGKKIVSVD SSGTLIICNLEFITEKPNDIIFQCQQEILVYTLTQDDQLIAFGLDDSSIKLVEVKTSNER ILVGHTGKISALAFSKEGNRLVSACTNLLLLWDLKDKCKCQVLSFQEYQIQYLTLPNERE IVIGAENYLAYGEFQYDDSAYLANIQYSYPVYLFPNSNFAVIVQDQTLLILDLITQAIIN SIYFDKKITQIDITSNEQRLIINEKYYNQRFLDLNTFQEVVLSESEQSSFYYHNLIFEQC EKEIIIYDDKCKQCCESEDYKIISYPITYFSFSTNYQILAMIDEYYKKICLYDSKELKLM DNQIENRNSVCSMAFSPCKPILSTVYEDGSLYFWNISKAPYEYEKFNDIDEGIQIEYIIY SPDGSLLIIQTNDQKIRILDEINGSVIKILDQYKPERNIILVSYDNNTLAINQISSKNQI ILWNINKNEERVLEIQYEYTYSLIIQFCPDEISLVCLYNENLRFWNYISGELIINYQLPW DSTYKCISFSKNGNLFVTGGNYIGVWKYFDNKIEMINAFQPYRKINQLMLIDDDQNLIYL TKDQIKIIPLSKCNLKGIICTSKQFAQFLTNGYLVTRDYRGIEIFDWNQQKLISSINCSY HYHVQLFQDGLHCIISNGSNIWKQNIHSKKKIFNITICEECQSLKLSKNDQVLILQCKNT IKLFNIQDPQNIFEIQTYKNQELQQFSWTCNNDYICYISNSDFVIREIKTQQKIKFITPK SKILQVNSFDSNNKIFIRNKEGSGIFDLSSQKFEVFKELFQESAISYDGQLIACNYDTYS QKLKFL >CAK74513 pep:novel supercontig:GCA_000165425.1:CT868185:419323:420936:1 gene:GSPATT00010713001 transcript:CAK74513 MNLNWMSQAQIVSINQSNEKGDNNYSFVISSDNSKIISGYSQSIKSYSLDANSKQNIDRS VPQKINCLVQIDLNTVSIGCGKKIYLNQLNKSEDLVLGEHEYNITCLSYSQITSLLASGS EDEKIFLWNVNAQKKIAVFEGHRGWINQLSFSPDGQCLASASEDQQIKLWNIEQSEQSNI SKGHQKCVNQVAFSKDGLIIASCSADCSIILWDLIEKNFIIMLKEHTADVRCLEFSFSSK WLASGSIDGSICLWDVKFPQETTLYCKINELYLYPDFLCFAPSDCFATISNDRGQKMKQK IVTKIQVWSLDQIDKKDDKKLEIQKYGINPMCLSDRYRDEDYIFQGYDTLVKVHNLSNDQ IEILEGHSSKVVIIKSWDYDRQLLSIDNKNNMIIWQKTNNSWKQQSQIQLEPGTVQNDII DSQYQDQFVSINSNANAIIISNLNQMQKQRPFIDLDIKFWRSYLSNSQQLFITMGDQQIT VIDSCSGEIKFKIENPINSDQSIISNDDGFLAILERYSNKIFIVDIFQKIRRCKFRI >CAK74514 pep:novel supercontig:GCA_000165425.1:CT868185:421133:422800:1 gene:GSPATT00010714001 transcript:CAK74514 MQLLLVNLNNQQQYQKDIQNLNGIAVISQNEILVALANKYYTIYLWNYEKSITTEITLFQ KQSIQNLQFINDDNELVCCQKETICIFSVQKDFQLKLKNIWQIESCQNYTFCPKHLSVLC YNNSWKQDIWCGYKRISFLNPGQSMILIDEQQKPLKFSSNQDGNYIVQLNQQGFIIWDWK NEKQILNSDQWSGNNAMLIDLKILVIANEEEINILDIKELHNIKLLSSLSFDQPIQNISF ASNQEYFVCGFDTKIKLWKLLKDGQCQQVAVYDIKYNGKTNPVISPNGKFIVYKAQESYQ IIRIKQLHTLELNDQSVFGLVYSSDFQNLITLINGHPILLTPTLEIIESQLEGVIKDNEA KSIVSASVDSIFAILYDAFILIVKIIDQKKLIVIRQISSEGFRDCITISINHFGTQLIVG NPSGSIYFLDLTDSNDEELNKFEQHLKIENQNDQVNWFAFSLNGTDIAAAIYDGSINLYS VEQIENDQNIKHNEENQEQQNQLSESIKKEFRLICYKSFSRQSLLLANQCMVKDSKITQN GKSIIQLFRQKGARE >CAK74515 pep:novel supercontig:GCA_000165425.1:CT868185:423016:423390:-1 gene:GSPATT00010715001 transcript:CAK74515 MKIGEVTCRLLLSTLIGTQQQDQSTQPNQLLNSSQWKLLLCVRNSICLLKNYQYWLIQRA RDSRNDRIANVGMKDKSKRYKSTLESQIRLDNKSVYRSQEKKYYLIETDISKIFIN >CAK74516 pep:novel supercontig:GCA_000165425.1:CT868185:423963:424754:-1 gene:GSPATT00010716001 transcript:CAK74516 MSYSYLFKFILIGDTGVGKSCLLLQFIDKRFRQKHEVTIGVEFGARMIEFEGQNIKLQIW DTAGQESFRSITRSYYRSAAGAIIVYDITKRETFENISRWLEEAKQNGNPKLTFTLVGNK SDLEADRQVSFEEGQEFARNNGIDFVEVSAKTANNVEEVFLKTAQQILEKINSNQIDPKN ESHGIKVGTEQGVKKSFINNTQQLQPQAETEEKQGTCC >CAK74517 pep:novel supercontig:GCA_000165425.1:CT868185:424768:426233:1 gene:GSPATT00010717001 transcript:CAK74517 MKPKPKIETNNTIDLLSRTTQNLNSYQQDLLNTVRSINSKSNSNPNIFWKEVLKQKYTQD SPLKRRQQKNMEIATALQKQNQIILSKYYKPQNNQSKSQQTLLLNQLVAKINPSNEINMF KQSQPSSIDPRPLTSKINQTNSAQNRRQESIGSKYQNVYDGIYQKPKKVHSHQISPVHSR QLSLQQPQSITNRVQKYFESIKFNTEDVQFQYYLGKIKAVFTQPCKDDYFSSLYRDHFFQ TYQGIYAASHLRLADPNDFKRKAVKIKRKEQHIDKISVIFDLDETLVHCNESILQKSDFH LNIKVSPNLMVKAGVNIRPGAIELLESLVEHFEIIVFTASHQCYAKQVLDYLDPENKLIS HRFFRDSCCQTTGSMYTKDLRIFDRPLSQMVLVDNASYNYAWQLDNGIPIVPFYDNKDDR ELWGLQTYLMGMRGVSDVREYNRNKLKLNQFYDASSAAGVFEKLFQQKMEI >CAK74518 pep:novel supercontig:GCA_000165425.1:CT868185:426268:427150:1 gene:GSPATT00010718001 transcript:CAK74518 MQQTTDYDEMQHYLKLAQDGDTEAQFKVGLMYEDGKGAQQNIQECIQWYQLAANKHAQAA HNLASIYYLGRVVAPDYKIAYKYFSKAAELQNEQGMFQLGLMHLFGQGVEQDFEKSRGWF EKAAKLGSVSAMNNLGNIYRSGIGTHIQIEEAKKYYRMAADKGDYCAMTNLATVLLQSNP TEAFDWYLQAAKGGFENAQYNLAVVYEEGTGTKLNLQQALYWYKQAAQAGNIEAKEAVTR LSPIVQNQPQNDKQQQGQNTQQSSAKKGGCGCIVF >CAK74519 pep:novel supercontig:GCA_000165425.1:CT868185:427284:427778:1 gene:GSPATT00010719001 transcript:CAK74519 MIQHFIKLSNSIIQPPNRSTDFHYERLCALNSILQLLLAKETEVDSIEMTKQTITKIYLR VAENCFSNNKDISVNCIICLSEVLQSQLQNPNNHTQVNYDTQQIKQYLLLRIQLPYDKIC SYEVLFRSKMLFLLSQVLLDSPKQVQQQNTVQYTKIHQIINQTS >CAK74520 pep:novel supercontig:GCA_000165425.1:CT868185:427894:428340:-1 gene:GSPATT00010720001 transcript:CAK74520 MASMLQPSLIKAFANFHNFQMYRFISFLMDNFNNQATNQLFRFILYFGQEASLIIQPQDG NRIFWQIILLDQNIEAIQEEQKASQLVLNKERNLQDSYGYSYVFIQIHVQLQNFEQYLVQ FFFIIDLLNVFINAIKKTSTQSRIKVFI >CAK74521 pep:novel supercontig:GCA_000165425.1:CT868185:429641:441161:-1 gene:GSPATT00010722001 transcript:CAK74521 MQQELLQDVEEFKGQLSIEQANVWNTGIEYEITLMKIMLMNCQTNSEEGKNLLINIVKNV AQSALAMSPTEDLIPSLIEGAKFLFLQYKDKVLYPEEVYATYYLFQTLKWTIVRQLKSQY SVYNQLKQLKDAFQQYILNSDNWIIHFSWISMIMDILAYRPILDKFEIVKGSPIEQQSMW NNLIENNLILSLPQNRNQGSAVLFQNQVKYFSKEINNLMEKQSIPKFKLISESLLKGQFS KEIHLWNFYKNFSFKKKQETIIKDYEIVLQNNDLLFIEKQIERFNSQLDELVLLYQQIQE SFTDYFTQQDNTQLQIKLLQDDYIVFRQQFLDEYRQAFYYLLFIIERSTIEFEKLKLLFP CFEKLKSEVQEKLKKIFQSIEEFIKVKFQVILEDFVQNFLKVVEYLSYLSEISLNPISKK QVLDDVNSKFLIQNHLSSQYNSKKSLILQQCIINIKEFQQKFCFSASSIKTHDYNINFPP LQIQAMSNTICSGQWIKIIWQQLVTNFSDRFDLGQSQVANKDDIEKIYRNCTFSLQMLKL MKQFCQIHQYKISTFDQNLDEEKRLAIQEQQKIYQDFKQMLIKQLTQQKEKLEYILKSKD LDKGSNKIKEKKKQLLQQIKTDYQMIQYEKENIRGQQTKTIKSKVIKFMQKAQFIVLQNQ NEYYDFEALNNQMEKYDNVIREIQNNQGEFDNSLADVKKLTFQNWIRKNKHLDFCHFCVD KDLKMIKDTNKLLKLLEQLLMLIINQLQQPDNEEIQVHVNNCNTQMQQVESKFQIKTSII NFIENLKGQSQEERESCKCIIQSKQEDDQQNHQIEKGNDQQIEQVESTLQETLAFFELKQ QSSDKIPQNLDPLYLNIINNLCEQVKIKKSIFDVFDLSNDYKIRECLAFNLIKLQQGIKE DKMVEFSSKLIQYLWVFEKDQRVRNLLKNKELIEMQKLFFSQDIKSTSEQIKQEIKLRIN SIESISQEIRLEGNSQIKEQLKQQLKLAYEELEQYNDNITEMSEKMDISLIFLKDISKDV KQIKSQIDNLQESLNQVGDDIRKLRGKRYDELLEIRKQKILLQSRLAEVDSVYVQLKTIE YDPVTGASIKSKDGVIITNLMSEQWNDFTGEVNEFIWDESKSNDVMLLSGNAGSGKSKAA RKIEEFLWKQKEINSKWIPIFVSLPTLKNPKYNIFEQALESENYQFDKYQLREFKDAIQN KKEYIILILDSYDEMKQDCIQQNLVITNKLINDLNIDENQKQVKIIITTRREILNTLGYQ TWFYGNSIKNLKEVQIQDFDEVQKSNYLIQYVELSVKRKIRAVYDFLKQIAQQNFNLDEF LKIWYYVNVEVQNRIQNSYKEQSESIFESSQREELINKILQHSPFNYLKEEQITGLRKDL ASLWSVYKFEKAIENVGISDLLSTPFMFEIIVQVLPNMAKQQQGXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQ QIQKLRETGKISNWESFQIDILEFSSNLAIDMTKNQLSQITYQQKGKLNLKNKYIKQYND DDWFDDYFNDSQQELDYNKLIRSCILLNAKGSNYSFTHKSIQEFYVAKFIIDLLLQVGSQ FLNENNLDQKYITRLMESLYNKPALNISKDHFKGVLTFIKDKISSLDNIKRVLINIVKLS KNNQYIYAASNSIFLLSQLDVYLGYENFSEIQLIDTNISGLSFCNADLSKSKFTNININS CNFNYANLTDVEWKDVRCKEKPFLKEDEPVRLVEFSSNGKLIASNGIGNLLSLWDVEDYK LIQQFDGHQDQILSVAFSADNKTLASGSKDKTIKLWDIQNPQIKSLISTIDYHDHPVTGV KFTLDGKKIVSVDSSGILIICNFEFITEKPNDIIFQCQQEILVYTSTQDDQLIALGLADS TIKLFEVKTRNEKILVGHTGKISALAFSKAGNRLVSACTNLLLLWDLKDKCKCQVLSFKE YQIQYLTLPNEREIVIGSENYLAYGEFQYDDSAYFANIQYSYPVYLFPNSNFAVIVQDQT LLILDLNTEAIINSIYFDQEITQIDITSNEQRLIIGEYNQRFLDLNTFQEVVLSKSEQNS FYYQDFIFEKCQDEIIIYDKDGQQFQESEEYNNFCYSSIKYFSFQANYQILASIDKYSKQ ISLYDFKELKLMDNQIKNRNSVCSMAFSPCKPILSTVFEDGSLYFWNISKAPYECEKFND IDEGIQIEYIIYSPDGSLLIIQTNDQKIRILDEINGSGIKILDQYKPERNIILVSQDNNT LAINQIYSKNQIILWNINKNEERVLEIEYEYTYSLVIQFCPDGISLVCLNNRKLRFWNYI SGETIINYQLPSDSTYNCISFTKNGNLFVTGGNYIRVWKYFDNKIEMINAFKPYREINQL MLIDDDQNLIYLTKDQIKIIPLSKCNLKGIIPTSKQFAQFLTNGYLATTNSHGIEIFDWN QQKLISSIKCYNNHVQFFQDGLHCIISNGSNIWKQNIYSKEEIFNITICEECQFLKLGKN DQVLILQCENTIRLFNIENPQNIYEIQTYTNQKLYQFSWTSNNDYICYISNSDFLIREIK TQQKIKFMFPKSKILQVNSFDSNNKIFIRNKEGSGIFDLISSKFEVFKELFQESAISYDG QLIACNYKYDRYSYSQNFKFYDIQNDKNYPDNNSGQIVPIQICRSGNLLHYYNKRNQAMV SSIDENKQLKLLCSFECGSDVDEVSVTPSFGFISIKQSNRLKLMNLNWMLQSQIVSISQS NEKGDNNYSFVISSDNSQIICGYSSWIKSYSLDPNEKQNIDLSAPGKINCLVQIELNTVS IGCDNKIYLINVNQNEKPLPLGDHNDNVISLRYSYTTSLLASGSKDEKIFLWNVNAKKKI AVLEGHRGWINQLSFSPDGQCLASASDDQQIKLWNIEQSEQSNVSKGHQQCVNQVAFSKD GLIIASCSGDCSIILWDLLEKNFIIMLKEHTAYVSCLEFSFCSKWLASGSYDGSICLWDV KFPQETTLFYKINELYLFPDFLRFSPSGCFATISNDGGKQKDSKQKIVTKIQVWSLNLID KKDDKKLEIQKYWINPICLQDDVIFQGYDNLIRVHNLSTDQSEQLKGHQSEVVIIRSLDG HLLSIDNKNNMIIWQYTKDSWEKQSQIQLEPGTVQVDIIYYQFQYYFVSINSNANAIIIS NLNQMQKQMPFIDLKFEFNSSYLSNSQKLFIAMDQYQITVIDSCSGEIKFKIENLSNPNQ PIISNDDGFLAILEQYSNKIIIVDISQKLEDVFLEVEETPTLFQFSKDDSNILYTASGRC TIIKWNIKNKQNEIVVNLEFTYSDDILQISNSCNYIIYYKNKMQLFLVNLNSQQQYQKDI QNLNGIAVISQNEILVALIISDYTIQLWNYEKSITSEINLFQKQSIQNLQFINDDTELVC CQKETICIFSVQEDFQLKLKNIWQVEYCYNYNFCPKHLSFLSYRVGWDGHRRISFLNPGQ SIILIDEQQKPLKFSSTLDGNYIVQLNYQGFIIWDWKNEKQILNSEQWSGNDAMLIDLKT LVIANRKEINIVDIKELHNIKLLSSLSFDQPILSISFASTQEYFVCGFDKKIKVWKLLND GQCQQVAVYDIKYTGETNPVISSNGKFIVYKAQESYQLIRIKQLQTLELNDQTVIGLQYS SDFQNLITLINGSPILFTSTLNIIESQLESELVSQLERQVIKRASINSIIAISYTNQILI FKILEENKLILIRKIQYEDKFISLDINPLGNLLIAGNEQILTKQITIIQI >CAK74522 pep:novel supercontig:GCA_000165425.1:CT868185:442490:443323:1 gene:GSPATT00010723001 transcript:CAK74522 MKESSLPNIYYLVKSKYNFNFLYPITHFYELLDTPLRLIPNYQVLEQFLTSQNQRHSSEL QIVFESLSYLVINYLINIMDNYAIYKSYFRINSQYILTSKNSLISTWKNSNYYHVKTIQI KHYRNLNIQNLNHIHQFLLKTFVPFLIAKQPYLHFENKKLEKMLLQKTKRIFFFLKIPQV YLINIEQEFKVDGKLKKNQFYLFQDESIQFYAIVSLYFIFELIRQCIKQIFYLNLNQKEI YFYLLSKTSTLHTPYILFSMIQQSFILCQFKIQTNQI >CAK74523 pep:novel supercontig:GCA_000165425.1:CT868185:444816:448730:-1 gene:GSPATT00010724001 transcript:CAK74523 MFPKSKILQVNSFDCNNKIFIRNKEGSGIFDLISSKFEVFYELFRQSAISSDGQLIACNY QQVSDGYSQGYCFKFYDIKNYKDLTIINDNKILPIQISRNGNLLHYQKDFNQVISSIDEN KQLKFLCSLQGGFDVGEVSVTPSFGYISVKESTRLKLMNLNWLSNAEIVSINQLNEKGEN NYFILISSDNSQIISGYSSDIKTYRFDFFEKKIHKFVQGIINCLAQIDQNIISYGCDKKN TLLGDHNDNVRCLSYSQNMKLLASGSKDQKIFLWNVNAKKKIAVLEGHTGKINQLSFSPD GQCLASASDDQQIKLWNIEQSEQSNVSKGHQQCVNQVAFSKDGLIIASCSGDCSIILWDL IEKNFIIMLKQHKLAVKCLEFSFCSKWLASGSIDGSICLWDVKFPQETTLFYKINELYLF PDFLHFSPSGCFATISNDGGKQKDSKQKIVTKIQVWSLNKIDQKDKKFEIFQYGINPICL SDDEDYIFQGYDNLVKIHNLSTDQTDILEGHQFEVVMIRSWDYGRQLLSIDNKNIMIIWQ KTNNSWKKQSKILIEPPIIQINIIKYDYQDYLVSINSNAIIISNLNQMQKQMPLVDLKIQ FQSCYLSNSQNQFILMDQDQIKLIDCISGEIQSEIKNLNNSQQSIISQEDRYLAIYQRQR SMIIIVDISKKQEDVNLDFEGTLKFFEFSKDDSNILYTASESCEILKWDIKNKQKEIVTK LEFQQLDYFLQFSKSCNFIVYSHKNTQIFLVNLNKQQKYQIDIQNYNGITAFSQNESLVA LATSDYKIYLWNYEKSIIKDVTLIQKQSTKNLQFINEGNELVCCQKEKICIFSVQEDFKL KLKNIWQIDSCDIYIFSPKHLSVLNYSEYGKKRICFLNPGQSKILIDEKLQPLDFTSTQD GDYIVQLNQQGFIIWDLRTEKQILKTDKWSGNNAMLIDLKTLIIGNQKEINILDIRELDN IKLLYNSFQVQPLRSLSFASKQQYYVCVFDNKIQVWKFINDGKCQQVAVYDLQFYGKKNL CISANGKFLVYNSQDSQQLIRIKQLHTLELNDKKVNGLIYSSDFQNLITLISGSPILFTP TLDIIESKLEGVIKDNEAKSIVSASVDSIFAILYDALILIVKIIDSKKLTVIRQVSLKSL EKCPTFSINPSGTQLIVGSETGTIYFRDLTNSNDMDLNKFEENQKIENQNNQVNSFTFSP NGTDFAAAISDGSINLYSVEQIKNDQNIKQNDENQEQSQIQVVENIKKDFRLICYKSFSR QSLLLANQCIVKDSKITQNDKSIIQLFRQKGARE >CAK74524 pep:novel supercontig:GCA_000165425.1:CT868185:448801:454065:-1 gene:GSPATT00010725001 transcript:CAK74524 MYLILSNDYKIRECLAFNLIKLQQGIKEDKMVEFSSKLIQYLWVFEKDQRVRNLLKNKEL IEMQKLFFSQDIKSTSEQIKQEIKLRINSIESISQEIRLEGNSQIKEQLKQQLKLAYEEL EQYNDNITEMSEKMDISLIFLKDISKDVKQIKSQIDNLQESLNQVGDDIRKLKGKRYDEL LEIRKQKILLQSRLAEVDSVYVQLKTIEYDPVTGQSIKSKDGVTITNLMSEQWNDFTGEV NEFIWDESKSNDVMLLSGNAGSGKSKAARKIEEFLWKQREINSKWIPIFVSLPTLKNPKY NLFEQALESDNYQFDKYQLREFKDAIQNKKEFIILILDSYDEMKQDCIQQNLIITNKLIN DLNIDENQKQVKIIITTRREILNTLGYQTWFYGNSIRNLKEVQIQDFDAVQKSNYLIQYA ELSVKRKIRAVYDFLKQISQQNFNLDEFLKIWYYVNVEVQNRIQSSYKEQSESIFENSQR EELINKILQHSPFNYLKEEQITGLRKDLSSLWSAYKFEKAIENVGISELLSTPFMFEIIV QVLPNMAKKQQGSVDLKNRFVQSYLSIIQKSSFSKYLQENYKINLDQKENNQVIQTIFDG KSQNIQYFEKLEISKIQTKPAELLDQLESLKFFQFYSITSILKIENQNLLVDNQSFSISS QDIEYVVQALKMKKLTIFEFYESFIHFYHDQQIQKLRETGKISNWESFQIDILEFSSNLA IDMTKNQLSQITYQQKGKLKLTNNYNWQQNDDGWLDDYFNDSQQELDYNKLIRSCILLNA KGSIYSFTHKSIQEFYVAKFIIDLLLQAGSQFLNEKNQDAKYIERWMKQLYNKPELNISK DHFKGVLNFIKDKIGSLDNIKRVLINIVKLSKNKQYIYAASNSIFLLSQLDVYLGYENFS EIQLIDTNISGLSFCNADLSKSKFTNININSCNFNYANLTDIEWKDVRCKEKPFLKEDEQ VKVVEFSSNGKLIASNGKGNLVSLWDVESYKVIQQLDGHQDQILSIAFSPDSKTLASGSK DKTIKLWDIQNPQIKSLISTIDYHDHPVTGVKFTLDGKKIVSVDSSGILIICNLEVITEK PKDIIFQCQQEILVYTLTQDDQLIALGLADSTIKLAKLKAGVERILVGHTGKIQALAFSK AGNRLVSACTNLLLLWDLKDKCKCQVLSFKEYQIQYLTLPNEREIVIGTENYLAYGEFQY DDSAYLANIQYSYHVYLFPNSNFAVIVQDQTLLILDLNTQAIINSIYFDQEITQIDITSN EQRLIIKGKKVRYWDLNTFQEVILSNRQKNSFYNQDLIFEQCQNEIIIYDDKNQYFNQSE EQDYFNNIQITQFSLQANYQIMAMISSQSKQISLYDFKEKKLIDKQIENQKKISALAFSP CKPILSTILGDGSLYFWNISKAPYECQKFKEIDERIEIEQIIYSPDGSLLIIQTDDDKIR IQDEINGSGIKILDQYKASRGTILVSYDNNTLAIIQGFIQDQIILWNISKNEERVLEIED QNYSSLVFQFCPDGISLVCLSDKNIIFWNQTSGEKIVNNKLPLDSAYNSISFSKNGNLFV TGGNYIRVWRYFENKIEMINAYKPQSVISQLLLIDNDQNLIYLMERKLKITPLSKCTLKG IIPTSKQFGQLLKNGYLVTKDYNGIEIFDCKQQKSIACIDYKLNHVEFFQDGLHCIISNK SNIVKQNICSKEEIFSFTICEESYSLKLGKNDQVLILQCENTIRLFNIQDPQNIYEIQTY TNQKLIFIFLDKQQ >CAK74525 pep:novel supercontig:GCA_000165425.1:CT868185:455788:457225:-1 gene:GSPATT00010726001 transcript:CAK74525 MNIKLVNCKDSYNSSLFSDVLNPQPQKSKFCATFVKGTIQQFEIQLRGGGCGQSKPTSKV EEKLIIGVPNDLLIKLENYVSIINNKASLLIDPQQRNEVIIAFQWFMYNREHLNTCCVNQ NLTQSIYTKSLNSFRELLKILPIYLRSSWFLCYQVLQICNDFLRIIYSFQIQNEDRKMEL TMQQELLQDVEEFKGQLSIEQANVWNTGIEYEITLMKIMLMNCQTNSEEGKNLLINIVKN VAQSALAMSPTEDLIPSLIEGAKFLFLQYKDKVLYPEEVYATYYLFQTLKWTIVRQLKSQ YSVYNQLKQLKDAFQQYILNSDNWIIHFSWISMIMDILAYRPILDKFEIVKGSPIEQQSM WNNLIENNLILSLPQNRNQGSAVLFQNQVKYFSKEINNLMEKQSIPKFKLISDSLLKGQF SQQINLWNFYKDFSFKNKHEITIKDYEIVLQNNDVLFIENQMNQFFFTNK >CAK74526 pep:novel supercontig:GCA_000165425.1:CT868185:459397:459837:-1 gene:GSPATT00010727001 transcript:CAK74526 MNTSNKTYVEFDWVEIFDIMIAKFQPQSNQDLYRFYNFKICQQKIAKIHSQCLKGLYQNQ NSSIDFDSPQQYKIIVFLNMRAAIYDFLSKSILIPESYKQDCYLIQIHIPRQICNFKTCP LFLDQ >CAK74527 pep:novel supercontig:GCA_000165425.1:CT868185:459974:472400:-1 gene:GSPATT00010728001 transcript:CAK74527 MNNVFDPNLQIYKDSQNNCPVSNILKSQPQNSQFYQLIETHDNVTIQQFEIQLRGGGCGQ AKPISKVEEKLIIGVPNDLLVKLENYVSIINNKASLLIDPQQRNEVIIAFQWFMYNREHL NTCCVNQNLTQSIYTKSLNSFRELLKILPIYLRSSWFLCYQVLQICNDFLRIIYSFQIQN EDRKMELTMQQELLQDVEEFKGQLSIEQANVWNTGIEYEITLMKIMLMNCPNQFRRRKKF INKYSLAMSPTEDLIPSLIEGAKFLFLQYKDKVLYPEEVYATYYLFQTLKWSIVRQLKSQ YSVYNQLKQLKDAFQQYILNSDNWIIHFSWISMIMDILAYRPILDKFEIVKGSPIEQQSM WNNLIENNLILSLPQNRNQGSAVLFQNQVKYFSKEINNLMEKQSIPKFKLISDSLLKGQF SQQINLWNFYKDFSFKNKQEITIKDYEIVLQNNDVLFIEKQIERFNSQLDELVLLYQQMK DSFTDYFTQQDNTQLQIKLLQDGYIVFRKQILDGYKQALYYLIFVMERSTIEFEKLKLLL PYFDKFKIDVQEKLKYIFQSIEEFIKVKFQAFLEDFVSNFLKVVEYLSYLSEISLNPISK KQVLDDENSKFQIQNHLNSQQNSEISLILQQCIINIKEFQQKFCFSASSIKTHDYNIKIP PLQIQAMSNTICSGQWIKILRQQLVTNFSDRFDLGQSQVANKDDIEKIYRNCTFSLSMLK LMKQFCQIHQYKINTFDQNLEEEKRLAIQEQQKIYQEFKLILIKQLTQQKEKLEYILKSK DLDKGSNNIKDKKKQLLQQIKTDYQMIQNEQENIISQQTKTIKSQVIKFMQEAQFIVLQN QNEYYDFEALNSQMEKYDNILREIQNNQGVFNNILADEKKSTLQHWIRRNKHLDFCHFCV DKILKMIKNTNKLLKLLEQLSILIINQLQLSNNEEIQVHLNTFNMQMQQVESKFQIKTSI TNFIDSLKEKSQLQSCNCIVQSKKDIGLQKQQIELRNDQQIEQVESTLQETLTFFELKQQ SSDKIPQNLDLLYLNIINNLCEQVKIKKSIFDVFDLSNDYKIRECLAFNLIKLQQAIKED KIVEFSSKLIQYLWVFEKDQRVRNLLKNKELIEMISNLHQSKQNKKQNQESTAQKAFHKK QDLKEIHKLKSNLNNKLKLAYEELEQYNDNITEMSEKMDISLIFLKDISKDVNLNQVGDD IRKLRGKRYDELLEIRTQKILSQSRLAEVDSVYVQLKTIEYDPVTGEIIKSKDGVIITNL MSEQWNDFTGEVNEFIWDESKSNDVIGSSARKIEEFLWKQREINSKWIPIFVSLPTLKNP KYNLFEQALESDNYQFDKYQLREFKDAIQNKKEYIILILDSYDEMKQDCIQQNLIITNKL INDLNIDENQKQVKIIITTRREILNTLGYQTWFYGNSIRSLKEVQIQDFDKGQKSNYLIQ YAELSVKRKIRAVYDFLKQISQQNFNLDEFLKIWYYVNVEVQNRIQSSYKEQSESIFENS QREDLIKRILEYSPFNYLKDEQIIGLRKDLSSLWSVYKFEKAIENVGISDLLSTPFMFEI IVQVLPNMARQQQGSVDLKNRFVQGYLNIIQKSNFSKYLQENYKNNLDQKENNQVIKPTF DGKSQKMKYYEQLNKSEFQAKATQLLDQLESLKFFQFYSITSILKIENQNLLVDNQSFSI SSQDIEYVVQALKMKKLTIFEFYESFIHFYHDQQIQKLRETGKISNWESFQIDILQFSSN LALEMTKNQLSQITYQQKGKLKLTNNYNWQQNDDGWLDDYFNDSQQELDYNKLIRSCILL NAKGSIYSFTHKSIQEFYVAKYIIELLLQSGSQFLNEENLDQKYITRLMESLYNKPALNI SKDHFKGVLTFINEKLSNQDNIKHILINIVKLSTNENYIFAASNSIFLLSQLDVYLGYEN FSEIQLIDTNISGLSFCNADLSKSKFTNININSCNFNYANLTDVEWNNVRCKEKPFLKED EQVKVVEFSSNGKLIASNGKGNLVSLWDVESYKVIQQLDGHQDTILAVTFSPDSKTLASA SKDKTIKLWDIQNPEIKQLIFNIDYHDYQVTAIKFTSDGKKIASVDSSGIFVICNFESIS EKPDDIIFQCQQEILVYTFTSDDQLIALGLDDSSIKLIHPKRRAERILIGHTGKIQALAF SKAGNRLVSACTNLLLLWDLKDKCKCQVLSFKEYQIQYLTLPNERELVIGTENYLAYGEF QYDDSAYLANIQYSYHVYLFPNSNFAVIVQDQTLLILDLNTQAIINSIYFDQEITQIDIT SNEQRLIIKGKKVRYWDLNTFQEVILSNRQKNSFYNQDLIFEQCQNEIIIYDDKNQYFNQ SEEQDYFNNIQITQFSLQANYQIMAMISSQSKQISLYDFKEKKLIDKQIENQKKISALAF SPCKPILSTIFEDGSLSFWNISIAPYQCQKFNEIDDGVQIEQINYSPDGSLLIIQTNDQK IRILDENNNGSEIKILDQYKPSRGTILISYDNNTLAINQRQSQDQIILWNISKNEERVLE IEDQNYSSLVFQFCPDGISLVCLSDKNIIFWNQTSGEIIVNNKLPLDSAYNSISFSKNGN LFVTGGNYIRVWRYFENKIEMINAYKPQSVISKLLLIDNDQNLIYLMERKLKITPLSKCT LKGIIPTSKKVVQFLTNGQIVTNDQSDGISIVDWKQQKSISNIKYKLNHVQFFQDGQHCI ISVNMNISKQHIYSKEEIFSFTICEESYSLKLTKNDQVLILQCENTIRLFNIQDPQNIYE IQTYTNQSLEQFSWTCNNDYISYISNSDFVIREIKTQQKIKCLFPKSKILQVNSFECNNK IFIRNKEGSGIFDLISSKFEYFYELFRQSAISSDGQLIACNYQQASNGYQQSYCFKFYDI QNKKDLTVINDSKIFPIKFSKSGNLLHYYKEHNQAIVGSLDENKQLKLLCSFQCGSDVDE VSVTPAFGFIFIKQSKRLKLINLNWMSQAQIISISQKIQYFVNQNSFATSFDNLSIIIGE SSQLRTHRLDLNVDNNVSRKVSGTINSLEQIDSNTISVGSYTQIYLIKLNKSEDTLLGEH DRDVTCLSYSQKMRLLASGSIDQKIFLWDVNAKKKIAVFEGHTDFVNQLSFSSDGQCLAS ASNDKYIKLWNIELSEQSNISKGHQKCVNQVAFSKDGLIIASCSKDHSIILWDLLEKNFI IKLEDHTQEVLCIEFSFCSKWLASVCNDGLLYFWDVKFPQETTLYFKINELYLYPNILCF SPSGCFATLSNDRGIFNNSRMQTITKIQVWSLDKIDKKDKKFKISKYMSNPICISDEDDI LFQGYDNQVRVHNLSTDLTENLEGHQSEVVIIRSWDYGRQLLTIDTNNIMIIWQKINNSW KKQSQILLEPTIVQIDIIKFDYQDYLVSINSDAIIISNLNQMQKQLPFVDIDIQFQSSYL SNSQKQFILIGQDQITLIDSISGEIKSEIKNLNNSEQSIISYDDRYLAIYQRQRSMIIIV DISKKQDDMNLDFEEALKFFEFSRDDSNILYTASESCVILKWDIKNKQKEIVTKLEFQYL DYFLKFSKPCNFIVYSLNNTQLFLVNLNDQQKYQIDIQNLNGIAAFSQNEIVVALATRNC KIYLWNYEKSIIKEITLIQKQSIHDLQFINYDTELVCCQKETICIFSVQEDFSLKLKNIW QIENCDRYTFCPMHLSVFFYSYHGIKRIRSLNSGQSKILQDEQQQPLQFYSTYDGDYIVQ LNQQGFIIWDLKNQKQLLNTDIWSGTNAMLIELKILVIANQHKINILDIKEILNIKLLSS IYFEKPIRSLSFAQKQAYFVCGFDKKIKVWKLLNDGQCQQVAVYDIQYIKQSNPVISANG NFIVYNQEDSQNLIRIKQLYTEELINDQINELQYSSDFQNLMTIIDGRPQLFTSTLENIK CKLDSIMTASEALSISSSSINSIFAISYRYYILIVKIIDKTKLTMIRKIECEDQCFSSVI NPLGTLLIAGNQFRSIYLWDLASSDHLDKIKPILKIENQNNQLNSFTFSPNGTDFAAAIY DGSINLYSVEQIKNDQNIKQNDENQEQTQIQVAETIKKEFRLICYKSFSRQSLLLANQCI VKDSKITQNDKSIIQLFRQKGARE >CAK74528 pep:novel supercontig:GCA_000165425.1:CT868185:472731:485366:-1 gene:GSPATT00010729001 transcript:CAK74528 MLATLMNELMVTQNREIHTEMIEILFQALQDFLIHVSYIDSQNSQKQIYYKNTINLRGGG CGQSKVDCVIDKKVKADQNLMISSSLPDNYRTNLSDTLTIIVEGAKFIGDPTKRNELLMK VQWFIHNREHLNYFCIDQKETDKIYELVQENFDNILTVLATYLRISGFICYQILQICNEL LRIMYAFQLNNPKRYFEASVQQDYLQKLSEFNTQLEIEQANVWKTGIEFEVTIMKIMIMN SQTNSTEGTDLLIDFFKEAGKSIVSFSPTEDLLSTIVNGGRYLLNKGIEQTMYPKETYQT YYLFQLIKWSIIRQLKSKQSVYKQIQQLKDVFQQYILTSNNWILHFCWIQMIFDIIAYRP IIDKSIILKKQKNQSLNKWNLLIENDLIHCVSYDKNQAIITFFQNQSSQVHDFEATDLLE LYGKKKFLLFSQFLLKGELTHNINHWEFYKNFQFKNQSKKSQQDYEIILANYEQEVLQKL FNNLKSQKDELISVNQQIIQSFQNYFKQDSYISIQIIQDDQKVSLKQFLEIYKKLILLSN YILELSLFEAAKINMLTPYLNQITIQKNKSVLLDYQAKINDFVKIYLIEFIDQLLNLFFN VIEFASQIYEASLFLAMKNEVDLDKIMNLFEINYFQDFFFTFDKNFVQFTLSLNFFKQHF SKYLSKEEKIEKAIPLQVTNIWEIIEYNCKGQWINKVIGRLKNVFDSKFNLFNQQFITLE NAQQVFINCKFCIIILSFLKQFLNVQKHYLKSIRSQLQDFTRQSESSNEQEDQNFKTNIA QILVQQKSKLSTFVNANTEEGEDQNFKVSCKNLVAQIQADFDQIINEFQSQQNLELCDQI LKFLAEAQFLILQVQKDPEELSTLENLQTKYDQVLDAVNNFNNNNNEKKLEVYEQRDESL QFFAQINNHNEQMLTLIKQSNNYFKSYKKLLQLGLSLIHQQKQIQKQKQTKIQQIILQEQ SNEEQNKLKDQLVQLQNQEQQNINASINNLKEKSEKFFNLKVISQINQLNAKFSIENQQI EWRLIVNLKLSEFLAFFNLKSNQEYSKGLKPDEVNACIWQEMKNTYDEIKEKIFDSIKFN LDNKVREGLVYNLIRLQQSIQEQQISSFSTKQIQHMWVFEKDQKVRNLLKNKELVQIQKQ LFSQDLDNLSGSLKDELKERMQKLENLQQQIKLEGNSQKREKLQLKLKQQYEELDESLDN ISELSDAMDISLLFLKDISKDVKQIKNQIDNLQESLNQVGDDIRKLRGKRYDELLEIRKQ KILLQSRLAEVDSVYVQLKTIEYDPVTGEIIKSKDGITITNLMSEQWNDFTGEVNEFIWD ESKSNDVMLLSGNAGSGKSKAARKIEEFLWKQREINSKWIPIFVSLPTLKNPKYNLFEQA LESDNYQFDKYQLREFKDAIQNKKEFIILILDSYDEMKQDCIQQNLIMTNKLIQELNIDK VNRQMKVIITTRKEILNVSGYQTWFYGESLIKLKEVQLQNFNEQQQMEYLNQYVELSVKR KIKEVYEFVKSISGQSFDLKEFLTIWGLISQQVIVCINKSFSRNQDRIFQNKEEDVVIDR LKTHKSLEILKEEQTTGLRKELLALWSANKFRTAIESIKIQDLLTTPFMLEIIVQVLPNM AKRYSGSTQIKDIFIKNFMNLQKQIKLSQNAQEFYRKENQPSVGNEQKDISKLDDEQTSE KEKDEELELKIQRAKVDEIVDKLENQKFFQNYSIVSTLHRDADNIVFDGNTVKLSSEVTN SVIIALKMKKFTVFEFYEGFINFYHEQQIQKQRELGKVSNYESFSCDIFQFSYSLAIDMT LRELSQIGYKPQGRLDLKSNYKIEQVIDDWLNQYFDMEDDYKKLIRSCILLSAKGTTFSF THKSIQEFYVAKYIFDLLTSLDNFDVNIQEERKVELSKNQKILIKSVFNDPLFNISTDNF RGVINFIKEKLINVQNMNLQLIEIVKLSRNKVYCRAASNSMYLLSQMNVYLGSQDFNRIE LENTNISGLSLFDCDLSQSIFKNVEINSCNLNFANLSNAQWLNVICKEKPFLKGYNSGVL EVQFSPDGQYIASVGTENQIKLWNAETYRFIQDLEGHTAKINTLYFSSDSSILFSGSDDR TIRKWNIKNPLKSEIVDEMKNKVIKVQISQDSKRLYSQDGDGNFQILDLLKDGQSEECIF NLNNALITQFALHPTEPMVAVIKQNKQVDLINYITNDQTSLEPVIDSNQIRIMDFTFSHD GIYFAITTSSKAIVWNIQENTKKELIIINFNQNIKVYSIIFGQDNKQIIFGAQEFLFSRE LIQNENQSQIEKDQCFEIQLSPQGNIAAVVYEKKLNIIEPTSGSQVNSINFDLQPNQLQF SKDGFKLAFFLKAEQVVKQFIILEVSTLKTICLIFWDSNYWISYILSNNFEKLYISYDNS SKVLINNLKQDQKLKPSFQRILKLNTQKIQKELEIRKFSLSVDHFYVKPQSWIVAYVTSE NQSIKIYDLDKNQQIQESLENQKKEVKAVQFSSTKNELAVGYQDELLFWNLDSKPFNVQK RINFGDLIIKSINYSRDGSQFVLVFQSQFKIYDVEHNLLRVEESKEEAYVSFSQDNNFIG FCLNHNQNIVVQNKNQNYGQTLLKGHRNDKIKFMFTHDQKSIISGSPGELILWDLNTFQI IEKKNTYLKDFEQITFSENTDLVALFQRNVVELWKRSDITLKFIGSQVFEFPIKQFSFIN DDKQIIMLNANSELLVCNLDCFQLEQTFEQKFDCGAISSNDMIALSQNLEVNIFSNEFEE CNFSFKVSQNTKSLKFLNAKQNVLLQCDDQMIKIWDCEKGQQISGIKINEKAMPQLYLQN EILILQGENYVRIWKLSDLHNIKLCGYHEGISSFSIQEDEQLGAGIKDGQYINIKDIFNI LFAFPINQDQYIKQLLMSIKSQFLIILSYSRKLSLLQLDTKEMVPFNGDVNAVALCQENN FIIVQAESKILLIEYSNGSLNIIDTFDLQNKISDCFIKFTNSGYDFSLSSYYMIRIFSIT KNQKIICKGMQYQLEKNYAIKDPYIKQAQIFQRQGVSNKQLLLAILDQEKKTFRIIDTQK SKQIVLIRSKLYYNCFQLSFDEELICFVNDYVQMSILENNNFVIKQIENLKIEGFSRSYL KAVGKDSFVFVNNKNQIMLFSTSQSSKTLIGTTKKDINCMTYLPYQEWLAISTEENNIMF WDVKAKKIVGTLKGHQKKINAISVSQDGSILASASDDKLIRLWNIKQNESSEAQIAHQYS ISALAISQDGFLLASGSIKGGDAEVPIIMWDLKEKRLITQLKGHKDSITSLQFSYCSRYL ISGCIDGTIIFWNIEYPQATKMLYIIDEFNFPINSLSFSPKEQLFASFSKVDNLQQWNFK QIGQYEKDKPIILNTNLKQYCFYQQNEFIYIQQNKDQMTILNVETKKKQVLEQNSKVTQI IPSQDGRLILCLEGQGLLYIWSKDKDNNWFKKWVYLSESKYILLSPNNQFLFQAKDCTTQ EQPLFSDAVSNNFRTLLHDFSKLQSVEQKTNQKFDSSEQREIAFSIDLAQTAILKSQEVQ IFDTINWQCIYEFKGSQNFKSPQISRDNKYLACYQDIQQISKEKYDNVITLWEINNPAIK KQLEVKDNKLKIYQFSAQDSNRILALYTDGSVREWNVTTQLHKTIVTLPETVKIDLVLFS INLKFLMFQSQSNSQLELWNFQANESSSLSIEEEFSLIACSENENIIAVAIRKGVKVFKG KQVSMINVFDDLNLYNVSFIQFSHDDKQLLFCHDCTIYLYQLEETLTSRILGCWNVQSLI LSCAFNSRKQEIALKLSNQVYVLKLYPTIHKNELQGIENQNCTCFSPDSKYLASLTPSLQ ILELQNLQMIHNFEDFTGEIIQFQSSEILVIANRNKLQFLRINKIEKIVKIKEIKFYNKI IDMAIYSNNLLIQVKDDFNKIKVVFNNIDKFVENLSKAVYNSKGKPFFSGNGNYFALSNE NIIEIMNIKNIKQEKCIQLNRQINQGQIFYSNDGNLIVVIFDQTIQLFDSISFKKVKEIK LDFQVSQSEFSLNQKFLGLMCSTSVQIYAFYDQKDLVQFWTIKGEKNYISSIALSPKGDF LLTGGQNQVDFINSIALWKVQQSQQICLNDKINDEVKILKFCPDGINFVAGLSDGSVNLY SIDANQTNFVKKQCFEQLKLNEDITKLQIFCYKSFAKQSLLTAQQSILSKSSINSENKSI IELFLQKGACQ >CAK74529 pep:novel supercontig:GCA_000165425.1:CT868185:486744:488377:-1 gene:GSPATT00010730001 transcript:CAK74529 MKNDKEFSIKETVNKNIERVQFPAFNQHSSLLGWCSMNYPRVLQNPQFMPKPKPKEYDDN LDFLYQKRKKVLLKFQNQEQQNNQLIKPFTNYQLTKEAVIPEDVGVPPPGFKKTLKQLTT AKPESKALIYNQTYKDYDPYLNIKPINSQFKQEQNENFTRNIRQVGDVVYNQSYENEDIR HLKKLPNSILSEQNLQEVLTPKLRFLNLHNHTWLKMEQISKIGYFAINLEEIVLSNTDLE DDILMELAKSCKNLKYIDVSSCQKLTEIGIRNFLDFTSKYLQGFKCASNLQSITDYSLEP LQNAPLLQRINISFCNNLTSNFTKYLLQSGCRLQELQIATVENLQADLLSDLISRSKVDM ELLDVSFIPTKDISDSVISATSLCTNIHTLILSGSTNISDSSVGRLSSLHKLKQLKLGGI QYLADNTLVYIAQSCNKLEMLELNNCSKLGEQGLEGILKALPNLQVISINFTPEISDAFL QEKRNEYPKVNIIRTINKMTDPKDDGLRMPLPLESVVMQRPKKKKKK >CAK74530 pep:novel supercontig:GCA_000165425.1:CT868185:488390:489607:-1 gene:GSPATT00010731001 transcript:CAK74530 MHKLILLCSLVIFASSLSESETLLQSLQNSEFGKTIIQTIQVQLEGNSSVDKIIDLLTQM KERINGEQDVERQKSRDHTQFCDDKYDEILFVIDSSEYQLAKDQQTLPLFVQEQKNKQRQ LLDKQEIEDRNNQRIADLTEQRDLTRQQYEARRDELTTMIGALQEGKRIISKLSTKKWDP LAGTYSFLEFSQFMFNELEAHQKSLKKQSNGIGLLYELLLETSQDPGIQANQQGVAKIQE IIDELIESIFDLLKKELLEDNAREQDYQNQKERIVIQNRRLQATIATFKARVLIINQTIL ELNNDIRFNTDKSTLLRKQKDDWERTCVDYHNGYVEATKIRTQQSDILTEVIQVFNRNYN DFPSLIQNITV >CAK74531 pep:novel supercontig:GCA_000165425.1:CT868185:490233:491122:-1 gene:GSPATT00010732001 transcript:CAK74531 MSDNGSEENSTSSREIDVESIAVKLYVELIDGRDPMLNYIEYFPEFDLSKIQQPNGINIF KLTSKLKELGIQLEGRTISYYSFNCEMYINCGLDPVHYSYVMSLNEIKQTNQLRIKCIQT GISLIHLVMSEEMNEKVNKMKEQEGNEQQQQQQNQGQENQKQCRRTKERRIGYIIEKVQK WREYYNGITIDGGSKRFTLEEAAQKVNISKKSLDDYLLQIRYGRKFGFNFNEHKNEKVGV LRAFVKKNNSTKKKKVKQE >CAK74532 pep:novel supercontig:GCA_000165425.1:CT868185:491475:493716:1 gene:GSPATT00010733001 transcript:CAK74532 MINDQFESYSIDRIQVRTPKNIKVSREYMEKVIESLKQHQNAILEHVNGKGRLFSLLCPA LAWLKHRKDNSTQQENLERIRIVYAYHSTFDKQYLEEQLKKIDYKPKISQIKQQDNIQIN DEDDLILIDQQSLHNSDLTAFQNSILIIDDADQLQDIRCLGKIEKSTIYKAVDELKELIN EVQKMNMQDQTKFYQEFEPHTIEIIKKKLLQLYEKFDECKNYFRLKGHPIIKFIQDFVSI YGNKNYVQYQINCVQKNYLTCSKLAKLSKLKAFADCFKFIQIAVICYEENYYEQPNYLFK AITDQINMQDNIVEIQVKQYLTHLEKRFEKLQFQSIILSSNCILPTYLPYFHINHTFDNR EYIKTVLVEIQYQSHLFKNLFMKLEKFVKSIPNGILVIFSGFNQVEQFRQYCLEQQPNFF GVIEQYKKVFWGLDKKTNEIADYIKSSEKGAILFDSYEKIFNKNYHFPGHLCKGLIVAQW KTLSQKMPEFQLFNDDIQYYQNRYFDNILGRSLLYENDKGVLIIFCYQNQIKQLKWWIRN QSTLEYDEDQIAEWFKNGQNSQNDDTLIESYYPQREQTTTETNIQYQRRTKQQDLDKEDK IVQISEHSQKIKRTKDVNKNKKTQRQKQGYSIKKQSKAQIESNNQQKQQEIEVEQQENLI QWKKDETEEKQEISIDKEKSKNAQEPTAKKKKEAQPNFKYQATMDQFITKGRSAQKTTAR DSSDNNNMGQI >CAK74533 pep:novel supercontig:GCA_000165425.1:CT868185:493786:497258:1 gene:GSPATT00010734001 transcript:CAK74533 MSVNQIIEQVLQNMIDIDNPDFRLSKQQNILELIERDELLVMERLWPQRRVNMVEFIKIM ISVVHHKQCELLYLVMGLIELFKDIVATCQQNELSLSDVTSYVCQVEPITNNIVPQMLIP EPKKFELNKTRIRDIDVNAPRIIGQADGEICHIVNGSLINDAIRHHNNNVHTGQVCMKQI VTLDSLDSKLNIYNLDGTLKNQVKINQSEDKEIIILSFAWSDRQQRIGLTLKSHSLCMYE CDFKTYRIFPTVYASQDYQTNIWYLENQNKWITTDATFKLYEWDLQTENVANIYSTNKIT GCIIDCVEVVHMKMIATASLDKQVVVWDLNTKDIKIVVSLKEHGGIHSLVYSYYYQIFVT CGYSTYINVYEINPKFHDVTMIGKLSGHTSMLTSIQMIGKSPVLISGDDGGTLRLWDIRT FSCLQSLNFGRKTQITKILDLSDQSMICFLGSRVNLLKLDIRKKDQSDNYVIKIDFDPQR DELIVASKKNIIFMDIYTGRMKRILNGLLNELEDEITQFRPLNYYNKFILSDSKGNLKIY YHTGEYFAQLKGHSDVIQLKLDILNKLIITSGHDSVQIQKMNAEVLREITPFKSQQIEIS VHHSLLLASYMNHLYIFDYEFIKCLSFLEFDGDITSIIFIANYPLFGVSTITGKLYLMKF VVKDHIEVKTSIYQIYEVANCSNYVTEEGEQEFITKIVLSVKESELAMATSENNIVRLQL NIQLEPTEPVHERINYNPLRKAKEQVLINDPIHIQCPEGVQKLKHIITFNASKKQITSLS YLQLDERFLLITSIDGIIKIFDLNGELMAAYNINHPLPIKWEVRYTKQSELKKRIIYGFK VIDILRKQSKTEKEAEIYSLTDSLQVKRQNSFSLQNLNKKPLIMKDEFSPRDLKFEKIRH LYQNEVQGPTLKQMEAQRRLQEVQNMFKNDIRDPKLDEVLKLREKERQKNLDRAANLNFL DPEFRDKSILNQKLLSKNDYLKEFNTKLENNDQSSILQRQYQSNQNQQSQVNLQVQTKKK QNSSSDFYKYAAQRHLLNEASILESFTSQNTQLKPINKQLINIQSTQISQLKSFGSQDLT WHGQHRKQQKDLSQVLTSLNIKLRQSKNSLGGETILRDITKSDITFEDQLDEFTKKHKLK >CAK74534 pep:novel supercontig:GCA_000165425.1:CT868185:497361:498513:-1 gene:GSPATT00010735001 transcript:CAK74534 MEYTIKDMSEFLLNKQYKLLKKLGAGAFGEIYSATANGQDYAIKIERSDTKHPQLLFESK LYQYLNNSPIIGIPKYYGYYQQDAYNFLVMEQLGKSLEDIFTDNNRLFSLQSVTVLALQM LECIEFLHSKQFLHRDIKPDNFLLGKSHKDRVYMVDYGLAKKYINKDTHIPYKDNKALTG TARYASINTHLGIEQSRRDDLESLGYVIMYFLRGTLPWQNLRANTQQDKYDRIMEKKLAT SSETLCKNYPKQLLHYVEYTKNLKFDEKPDYHYLKNLFMSIMKENELRIEYIYDWDDEDT HREKILLKNQQSQEQDQLQKHQLLLIQQQQAVSYENKDFIHSFYQQDFGAQTTIKCQYIK QLKQQQKKPN >CAK74535 pep:novel supercontig:GCA_000165425.1:CT868185:498535:501617:1 gene:GSPATT00010736001 transcript:CAK74535 MAEFSIDKVCQAIQVFNQGQVEQADSFLRSFSQSNEAWGICIQILQSNPDPSLVFQLLRI LQSKILYDFSTSNLIIHQFHSLPPKCSKSIRIASKSYFTTAYKIKNQLEYNVYLCSSIYT CTPTHSKPNRYQIIAQILDYSQNNSPHQKFLFDVLETLPEELTENKKIIIDDEKRKLIAQ DIKNKQMLDILTYLQTQWNAVPDDSIKYHILRSYKKWLEFMKSSITEEEAIQFMQLSCQT TLFKGTLDSINNEELQSKAVEAICTFVGIIPKTICEQPQLEPQVLQVLFDEMYKTFPKCK KALDEEASEEIHNLVKLYSKAGKKFIHKILLNAQLEPFIQTLLWVFCHDNSFTESDILTD FWIKMIKTIRIMNDLQLQNKFSLTFEQLINGCVQKSKVNKILLAEYGISPQIKDEFEQQL DTRSQMKEIMEELVTIIQPNLIIQHLGGILKTENFPQMSENGWITFEACMNLISGIIKQI ILKNDQVGVQYLMEIIKLYLDVYQQQPLASNNFIMKSVFKTISQGCAQLISSNELLPSLF NFITIGIHHQVSSVQKKATKAFQLICQQNQNFVLLHLNQFLDLIFKLQSVSNYDNLIKGV ANAICSSQETMQNYYLKLCSIFAQNLVQLQQQIEELLVKSVGSDTLEDKIKQFSKNISSL AFVNSQIPANESNEYLTVRVLIVNVYQQLWPMLKFGMERIAIFEHGVAEKIVRYTKHTFR KTFNQFSVELLTQVFQSFLNVYRQVPITACIYVAEVSATVFYKYPEYRNLLSEAFENLCN ITFQHLPQLSSFEENPDLTEDLFGMLVRYGRYTPVLLLQSSALQTILQLTLMAIGLEHVG AAKVFYSWLEVTFLMLKPQDEAFKVQIPQEYKDKFQQIITPFIPQFTSKLFEALRKGPTD EEVEDYIEDCIVALSKLSFIEYHKLLSQVLEEGPQNILTQSEKKTWIENNQDVEKQQQFL RLYTRRCIQNALRG >CAK74536 pep:novel supercontig:GCA_000165425.1:CT868185:501649:503046:-1 gene:GSPATT00010737001 transcript:CAK74536 MSDLLKQTLKGQQKQKPPVPKKQMQNEDEDDADEKEEEQDQFDVDFNKNTIPVKLQGSKP QPIKADHNITHSHHQNPQQAKVTKKIHDYSPQHWNEFYDEMIFHPNGTPIYIAGKNKAPI YLCLHGAGHSAMSFANLANEVKQYATLISFDFRGHGQSKIEFENPNLSVQQLLDDVVEIF DYVTTQWPKQTVIIVGHSMGGAIAAKSANLLITSQKADKVQGLIVIDVVEGSAIEALPFM EQIVNNRPKHFKSYEQAIQWSLNTSTLMTLSSARVSMPAQLKEVKDQNGNLISLDWRVDL LKTAPFWMGWFEGLTNQFLQIRIPKILMLAEKERLDKDLTVAQMQGKFRLIVLQNTGHSI QEDDPKSTAYNFHDFILKFRIPTTMEEVEKLKQVGIGKFHPIIGNYEYQQKYY >CAK74537 pep:novel supercontig:GCA_000165425.1:CT868185:503384:504760:1 gene:GSPATT00010738001 transcript:CAK74537 MNKYNYQYRWSMLTFCIWIPMGNYYNYDIPTSLYNSFKSYLAPILSDNQFEFYYGLLFSI TSFVNIFTPFISGSTISSNQLRIKRLIWKQNCLIRFVISSLFGIIHLHPRLHSLQPILYH NWSNPHHHPNQSAFLILQIRPYFVGLCELFASFGTISVMYFAPKLAAQYDLIFAIQSGSF AIAMSVVCCIITIVYDLNAEYVQQENQIQNVEVSKQMKAQDHFPFIYWMIILYNMLLFGS LLTFSNFSVGILTERWYADDESAEEKAGKLMAIMWAISSFLTPVFGYLIDKYKNRAIFNI CASCLGCFGLVQLWYYAPFIAINLLGISYAIMCASVWSSIVYIIDEASLGTGYAYLLSSC NFLLSILPLSISLIKIRTASFFISVMMLAVLIFITVLLGIYIYIVDNQENNSLDGKILPI NQSYDQLEQEEI >CAK74538 pep:novel supercontig:GCA_000165425.1:CT868185:505315:506575:1 gene:GSPATT00010739001 transcript:CAK74538 MNQTPSTIDISEILQDEEQQYQSYIRQESTMEKKLQYSISANNQIKGIHLQDIHNKEGWL MKKSPKLLVGWQKRYVKIQDGKMQYYKGLDKFKGCVDFHLISVTITPIVKNNEIHEIRLE LKGIKKIFQFKSLERNDLEDWYRCIQQHILMTQFYPKITPLNHESMWRFERISETYFKKK ADTGDILLFRGQSPLSKIQRAFTGDNFDHVALLLRYNNGELFLFESMGQTGVSLLSWDTF MRNNWHTLYQQMVYRQLEVNRSNEFLEKLELFVKGSIGKRYQMSPSKLIKRFTKSSESID DLEKEDKTFFCSELIAAAYKKMGIIDANRCAASYWPGIFAQNSKLQLTKGYLKQELLIDF SLV >CAK74539 pep:novel supercontig:GCA_000165425.1:CT868185:506584:508523:-1 gene:GSPATT00010740001 transcript:CAK74539 MKNNSVKFKHNVPKSSTTSVISEFSCEESPMPNAFQYQSFTHAQTISAKLNKTSIYNQQN IIFNTPRCLAVPFKEIERDEVLSKTPMNKGYQVSNRVGAANHEPVTFSDALIKQSKYTEE SLRKISKLEKFLEKQKKSHQKPLHILSSEETPKKLQDHNHIEGLVLRNENQPKQKNLDPK VNKKFHQLMDELRIIRKNIQYFSAFDGSYSQCRQDLNNFVALKQQNGILINNGNHLSRIE LINDTFRTERICDLPEHDSKFLSMCICDKEFSDEMIITGTDKGNVMGWNYFKNQVYHYYG LNNQYNCNLQQHSQPIFITQSKQNIFVGTKNNELLILDERMNETKCLSLTLQKNKNKQFL RASSIQSPMLPRFGTSIIESPLAKPLDPNLFNETNFQLDEEFDEIKGDMEEEFPTFKQLD ELCLEDTQMIKYIDVNDYNVLVTMTNGFIHLDIRNPKIEQFSYEQITHSSLKALFTPSNT NNIVYSSSSSDECLLWNLQRNEVLFHKKLQSNPLDMIISKETKEILFLHQDKNDSLVKIY NNSQSKMKYSDELYAPNFNMQKIVLDQLNQSLYGIGPYSLRTWNYFQQREINLIQEEMRH QLECLY >CAK74540 pep:novel supercontig:GCA_000165425.1:CT868185:509218:511743:-1 gene:GSPATT00010741001 transcript:CAK74540 MYNINRQYGGGCKLHGVKKLMDTIFGSEDLKNLYYFIRILYDDDFYSIEVVQNLVENQCN SIEELLRWIKNINYCWILGKYQELSLINRNLKVDQDLKFFLNQIQWHDSYDREQFYKYIQ LAQNYILQQKQQINYLPSSNSNQTQLEQINYILTSNQTRQINLKQDLSVSVIQLDSQYDQ DLYHYVNVRNNSIDYKNIIFIGQEDEGKTTLLNAFINYYFETSWDQLSKLVVADEEPITE ISSYYLEPYNNRPYGIHLMDTPSISGQDDQDIFDKIFNFIEEFKQSIDIIVICLKATHSR LTEVAKQILSSIAKILDIQDSNKLLIARTFYSGGQVDFSYLAQQDSPFQEIYRYLSNSWH LEFNGASLVKGKKSLNQTAPVYFQKTLENFQQLELKIIAQKNQQIYNNYFGFQNKPIAQI IGNHSSYNPQQHQQNYPVSPFIPSIPKPGQNLYNDSDVDFNDSVDRDQLQELLKKCNLIK QNLQNANSFQLYKLPTEIPLQIDDISEMKTLVLIGDSGAGKSTMINLFCNYYFGVQFEDP FRLVITDTIGKNFYQQQQKGQASNIKEYYLEGINGRPALRIIDTPSFNETDKDQHDDISK LILDALKNLDSITLICFVVKSSLVRLSISQMNVMNHLLKQFGNSIVEQFMFLFTFADFED PLALQALHFYGDSNHLKSPIADFIHLAKEPFWFKFNNSAFQSFEKQKSLRQLWNEAYFSF KKFFEEKVLETKSLNLSYIHKKSIKNTIFDLRIQIDQLLNEIDVIQQKKEFIQENQAQDE IQNKMIACTENVKINIQPGEYVSNCVKCHFTCHYPCSIAESDQKSSCDAMNNGVCMKCPG K >CAK74541 pep:novel supercontig:GCA_000165425.1:CT868185:512104:514940:-1 gene:GSPATT00010742001 transcript:CAK74541 MNPPISMSQNIKSKSHHQLIDDESELTARGKISEIELDNELCKKQQTLSLAYTEIYFPHK PYDVQLKYMESVVQSLDRKHNALLESPTGTGKTLSLLCASLGWLSKHRKEQQKANNPTKL RIIYASRTHAQLKQVAQELKKTVYKPNVSVLGSRDQYCLRGDFYNIKGNLLNQNCRKVVK ANQCQYFKKDVVMFMALQYKTLINNLEEAKQFGYKNKICPYYFERQRMDEADIILLPYNY LLEKDFQDYVNIDNSILIFDEAHNVQSTAEEGSSFFITQNIIIEAEKDLEKWIDELETVP IFYEQLKVKLNQAKLVYELKEYRSIVVTIRTFSQYLEQLKQQPIFTLQDTNEKYQILDAR QIQSLIFQYTSDQDNTFRLWNDSMLTNYAKGITRNNIARYLSHCMILIDVMSELSQFPAH HFESWTKFIMNVYDLIRVEDEREKQKQSLSSLQNQFNQYKLSFMIDVANQITIYMWCLEP SLAFQRILSKNIHSVLLTSGTLSPLQSWTCELRMNFQTQFSSPHIINIQQNLIVFQHKQF DFSYQQRNNDEQFNRLGQNLLNYSYVIPNGILVIFSSYSLMFKFRSKLTTSKLLFRLNEI KHCLWEPQQTVEMQNVFELYKQHSKKGAIMFAVQRGKVAEGIDFSDELCRAVFLVGVPYP PKQDHKVSQKMEYLEKIYNDPEFNDQDRLKSQEWYCQQAIRATNQALGRVIRHVNDYGIV FLCDKRFEYTDIRKGFSEWVKTALKPWANDDEVLKQTKAFYNRTDNNFQTPSQKIMTEEK SSQQSEVKKRNLKLFGLKCQKQLEKLKSDSYNDIEGQEKPEYQQIESKQVCETEEKQQFE QSEINFTPSQAKNKIKIQIQSNKAQVDDQIVNSALNQQKLNQIQNNDNNTDQFNYFTSQK DFFSSIKQPQQQSESQRKGIIKIKQKK >CAK74542 pep:novel supercontig:GCA_000165425.1:CT868185:515011:515817:1 gene:GSPATT00010743001 transcript:CAK74542 MNQTTPKSIGINYAKLRKHKGKEETLPKSMSTNLKFDINQNHQHGILKHRSTSQHNINEY AQQQQQMQLFEQYFNHSKVSQSFIEFVTKQIQYHNQPIQKLINPSIFKNSQRRWEEDRRK ILYELNQQKEDNCILQTELHDLKRQKNALSKQCDNLQVIIVQERLGRQQRHQQFYQHKFQ ELTKNFHIPTQETISSLTDERFESNETSLDSSLSNEDNDPPIYSNQLFEMLKTGKHRYQF SKKLN >CAK74543 pep:novel supercontig:GCA_000165425.1:CT868185:515900:518757:1 gene:GSPATT00010744001 transcript:CAK74543 MSSLYVQSYSYQIKTELPQITTQLKIHRNSQIIEECPKNQNVNEKLKGDKRVSYILGIFK TFNKSFLVLVEECTKAATIREQVIYHIDQISYYAIEEQNTQNKDILESLGNQKKLLQQGF YFSINGDITLARHFNKFENSFVWNQKLLSGFRENKISSHWQFPMIQGYVEQIESYIDKQL VTVVLISRRSRFMGGTRYYSRGINDDGHVANFIETEQILIKGDTIISFVAIRGSVPIFWN QDGVSSVKLTRSKELTSAAFIKHFNLLRRYGKIFCVNLMQNNKPIEQLLTENFYHQFQST QLDHVRYQQIDFHAHVKNGKSTGLNLYIQQFEKTLEQFSYYYEKDNQIISEQQGVFRINC LDCLDRTNLFMSKLFLFSLNQTLISQKLQLNNIDNNGILNQFDESNKKLLHDLIIKYKNM WANNGDQLSFLYSGSGSTISEMAREGKRGFMGMLKDGYNNIERFYNRQFEDDTKQNTINQ LLIGSDANTHFDNWIIEQENQYCVNREISVLLVTWNVGGNHPVTTDFSNNILNFQDNQLS NPDIIVFGLQEIVDLNPQNIVIMSNEKTIQLWNNIFQQNLEKLESYAKIAESDLVGLYIS IFVKTSQISRVTKIETDVVKTGLRGTLGNKGSVLVKFKFDDSLIGFNCCHMTSGNKKNQY RLSDIEEIHSKAFQNSKYKTNLNNLDYSFFFGDMNFRIDLSYQDTVDKIKSYQSYISKDK NHIQAKQILSYLINQDQLMKCKAQNQYLQQYQEGLIDFLPTYKYDKNSQTYDTSKKQRTP SWCDRILAKHKEETKLEQKFYRRNECLDSDHRPVSSYYVIDVKKIDKDKLDLVKAQYCLS KMQNSKSNSQISKETTKPQQQQQQQQYPYQDQQEEIENIIFQQQSENQQIGIQQQQQIQQ QQQQPQQQQLDNAQVQQNQDNAIQNQLEQITKEQHQ >CAK74544 pep:novel supercontig:GCA_000165425.1:CT868185:518809:521653:1 gene:GSPATT00010745001 transcript:CAK74544 MQFNYNWFYFLFKEQYYLNSIYSGFFIYNLITFKELSQFKVKIYSFAYFLIAQQTQNWLL QQFLVKTSVSFKILIEQQFIIKTTYDLGLSRIQFRIFGQVNDSLTQDKASDITKVQIQQQ RQLITIISVNDKDARDIIYYLQDRQTIDLSQISLKKRIKELILSIPKVSNPNSTFRLIWE LISMIFIFVQMIQIPLVLTYSVELSYGFQIFNQFMDVFFYIDMALNFKFAYYYRGEIIYD RKKIAINYLKLWFWLDFLAVLPYDQMFNAEDSQAQLIKIVRLFKFIKIVRLLRVLKISKI LQKLEGKSIYKNIDRILIQFLKIACLILSIAHWIACIWNIIEYTDEQVNLTWMHKYGIDN ADWEIKYISAFYFSVTTMITVGYGDINANTQIEMVFAIFAMVLASAIFGYSMSSFMLIIE GEDEKIQEQRIQNSKIIRYIKQKSIPKELQSRVKNYLEWLAGSAQIARNYEQYVLRSLSA NLKTEIICLMNGRILHKVQLFSKEFTPQLINKLVYVLNEQILGPEEYVFKENSFDNDKIY FIQNGQINICITQKETLLKTLQKGDYFGEIGFFGRKPRSASAKSIDFVNVMSLNRIDLWE AAKPLESDLLKLYFMKDCLEVENNLKPLKLRCYICDRPHHIAKNCTVFHYRVSRKNIILE YFNHENIRQNQDRKINKKVKVNLHKIQESALKYQNNQNRCEKQIKIISNDYIIQDVKIAF DIDKQKEYSDFFNEFNITNVAKNINLFAELILTEIEEKKKLKSQSRQTQKRLKNQTIAPR QSKATIGNMEYLLNNKSLENSSCLSSENSIRCIDRNKSKSKRLLDLQSQYHFARRSLTNT TPKLFEFNNLTILAKKIKNPKHNRVSSVTRFTQNYNAELYILKQETFMSKFIPQLLYNTK FNQFKN >CAK74545 pep:novel supercontig:GCA_000165425.1:CT868185:521731:524941:-1 gene:GSPATT00010746001 transcript:CAK74545 MERKPIGEKLSNISALIQKQQKPKDSQDDQDEMKQYKMIFPNMNKQESAEKNQLKDSDKL FQVQSIIQEQKQFQNDISKLLNRLGKAQEQDPQPNTKTSSINQQQSPQPFEQSQNQTPNN RMTQSVSHLPKQGTPAPPPQPIQLFVQNSPINDQQYRNLKEQNVDLLRQIAQLQPLQQVA NDLKLLLQQSNDRVRELQKQIDVILQDNIQLKQQMIIIEQEKVNNKRQFDEIMANTSLIH QREMQAIRFQAQENLNALESTWKQQYVLLRTENEAVINNLNAKLAITSKDNQSQEDLINN LVASNKELQQNLCNYSFQFRDQNDGFKFCLANLGIKYNYNNSLEKYEANTKQMINQNLEK QQQYEKMIIELKQHNDILSQQIVELDQTHKQSISNQQLKAQHHINGKLEEVQKMSIIEKQ QIQQHYEVKLNKLNCDKESQINQQQQQIIYLEQKLAILVQENKTLVDQLNKAKKKAENLE QIMMNQEAAYQNLTKDSQSKTLSMTKQIQDLNSMIGNFNQNNNNQIASTRRSDYPELTDK NQQLEQQLAYKKEEMDLLLHHLDQVFEINRDFENKIKTLQAQLELMEEKSNREQDQYNNQ IKQLKQQNEDLKQRVEVSIQIEQQKDQQVKELQQKLLEEEVLKRKQNDQIQSNEKQQFLD QIKQLKIKNVELINQNEILESNSKEIKDKYDQALREQKEQQQRFEEQKLIIEQQIQSLQK KNQEQPPIVNQMKRDIKSSETDKSFTDKDYQIKTLEQRLKENEKKLKDYELKQKTSDDIQ NQNKQMIQHYEIKIKELELRVTTYEDQIKINNKQNNIQLAKIKNLESQLLTCEDDIQRLS DINQNLENHIAKSSSMRPTSQPNNNAELQKLQEDNLRLQEQANQIKKEKITMSQSYDNQI SLLQSQNQSNLSQINKVKMENQQLQDSFKKQISNLEQKIKELQDQINNLKNENSELRCQI DDSNEQNKTLSDQVAKLKKEKAHMTMKLMHSGIANLVSSQVSQTQEKSE >CAK74546 pep:novel supercontig:GCA_000165425.1:CT868185:525030:526019:-1 gene:GSPATT00010747001 transcript:CAK74546 MKNHQQQLTLIESLYFQKKKKLLKEQTSIQKLNKELQKKNNSTLQNKQELAKQGENYYNF LIEEKKIQRQQLQSQGLMFSDQNLGLYIGNEKSSINNGVISRKRRNIRNLDPNVLLQKTQ SKNESSIENDSINKIQKLKEKMNLINESQQLLQDTDIMSTLQIKPIQSNLVNLYSPLKLP LKQKSSSQYLKKSDEGLLQSPIDSYILKKLEKIAEGTKEQQPIQQFSPERAQGRQIKKLL PIQSLTRHKNSFFGEMAQQRNSVDFQSEQYRDNNSLNYNKQQSDQQLSHMYNQLKQLSSN RYQSIKSIDIF >CAK74547 pep:novel supercontig:GCA_000165425.1:CT868185:526086:528032:-1 gene:GSPATT00010748001 transcript:CAK74547 MSYPCLMPEDGSPIQIQSKVYVVGRVDKCHLKIDHPSISKQHCEILVQDKILIVDKQSLN GTFVNGVNVGKGQQFEIFSGDIIQFGKYPILYTFYSDTKMAYKQKKPNQPVKDMKISVVD FVKQKNQEFQNQQTPVIQQQSSQQIPFQIKHFSPDSILMADKQKIDETTQDLIKNKNIET AQQIISQLDRENNDLRTQVLQQQADYDKKSQAFLDLQFRYEKLSSEFSNIQAKYQSVEPF TKDLQAKLEQCRKENELRLAEIEEYKITDIGKKIAIQNVNEQFILKAEILQLRKILQLKD EEIQGQKKQITQLLSNVSGNPQHHIQMLEQNSRELTQLKKQLIEWEGRDNECYRKWTQLL QDNARKEEQIRALKYQIDRLTQNIQSLHQEFDMKNHELNKRILQIIDAQGDQQQKEAASF LVQQIAIISEERRVYLVEVEELLRSRQEIITELELLKKEEYIIPDGTNVTSLIKALRQRV EELSDILNEYKQRDSYDQLVIQKQLIEELEQKLNLADKKNKQLELQLFQLKNTSPQYQAD AQFEFFTKRINELTAQIKDQQRKINQQEGVEQQKELQIQNMKYQLNTIKLNDQQIINPIL QNEFKNERIGNEFET >CAK74548 pep:novel supercontig:GCA_000165425.1:CT868185:528080:529039:1 gene:GSPATT00010749001 transcript:CAK74548 MLRPRYISYSSNITQGGLQAIQQSVNQEQFRNGSSTKQLAQKFVPFIKERDSMNRLVTKL QSISPERTERKSSCGVVNNSKEKWKQNQQVRIKSPTKKVLIQSELSLSKQRSFSNVDNKL KLIQAYTDRLHINQDESIQQINMNQDDINFQLQMNNQENMKVNTQRSPIKLRVVKQDSLH SDILNKKISHQNSEKLKLYNQLVEKQKLNNNGEIRKSDIKLPALLFKQYMKEILDGNQKI KEVINSQDEIKYEFPAEFEQKIIQMKKDVRKMKNKLKNWEKDRRTSEDLRHLKEVADSII QRNE >CAK74549 pep:novel supercontig:GCA_000165425.1:CT868185:529174:532893:1 gene:GSPATT00010750001 transcript:CAK74549 MSDIDEESSKLFSQDSMQQDDDDEHQRLDNDDIWKVLQMFFQTHGLVSQQIGSYESFVEN VIKFVRGHEGTFVVRVKPQYKEEERQEAYLEYLYQFQITRCFMGKKNIHVDKFEEEIFPM IARLRDLTYSRQLKIDLEVTMQQRNKRDGTIEEKSKQQFKGLPFFKLPIMVRSRFCSLQK DPKDAELIQLRIQNGECGFDQGGYFILRGSEKVIVAQERIANNVVLVFKSKIVNKPWVAE IRSQPDLFSNPNVFKVELRYIQNTPVIRCSVKQFNSTQGIPLFTLFRALGISSDQEILER IVYNLEDEFMGPMLEMLYGSLQEGGEYEDEELCLRWIGNKIKKAENQDPETLIQEAKKLI NKNILPHIGVSTESRDRKAYFLGYIVHRLLNASLGKTDQDDRDHYGKKRLDMAGAMMMGV FKTSFEQFKQNSKKALEKYINGGRRGRGQQTKIENMRQPDDIKSFFDGEQISKDIDNALA TGNWGRSKEGQVVKTGVAQTLKRETSLFATLSHLRRMNAPINPQMKLSKPRQLHNTHFGF ICPAETPEGQKIGIVKNLSLMTTVSNDLQSKDKETLLKLIMVSQQNDFDFILLQGDFQAQ DIPRMTKVLVDGNWIGFTRNPEQFIHEFKNLRSAEESYIPIEVSINFDYVNKEIRIYTDA GRCMRPLFIVQNNKLKLMKGVLNQINDWEELLKNKCVELLDVEEEEGSLIAMDIDIMMRG TQNFKRYTHCEIHPCMMFGVCASVIPFANHNQGPRNTLQSAMGKQAMGLNSTNFNIRFDT LVHILHYPQKPLASSRAVDFITVNEVPIGINCVTSIACFTGYNQEDSIIINQYAIDRGFF RSVFYRTYKESEDKDPDNFTETKITKPIGDNFAGKLFIKLDSDGIVPPGTKVDEEEPIIG KELIIDNIMLNTANGQKNTKECSLLTRRAERGVVDSVLISENQKGYKLVKVKVRSLRIPQ IGDKFCSRHGQKGTCGMTYRQEDLPYTLVGISPDLTINPHCIPSRMTIGHLIECLSSKLA SIKGQFNDATPFAQILVNDIANELHKVGWQKWGNEVMTNPYTGNMFSIPIFVGPTYYQRL RHLVDDKMYARSRGPVTGITRQPTHGRSRKGGLRFGEMERDCIISHGTAKFLKERTYDVS DAFRVHVCSKCGMFAVANLENQEFYCNLCKNQNNQNKIYQVQMPYAAKTLIQELISMNIA PRLKFDQSKMKHEI >CAK74550 pep:novel supercontig:GCA_000165425.1:CT868185:532922:535068:-1 gene:GSPATT00010751001 transcript:CAK74550 MILNAMLLQSPIMYVAVGCSIIMAMKSWWTCFISAIGIVVISLKIDIITICEILAFLSTS AAYLFYTHYKNKTKIKETSKSEILSMITKRDSIQYKQHVLEIGQQSPNKEIEQTEYNLFK KLLTAFPDGVLILDEQLHVQFNNAAFRSLMNETFADVAKQKLLALRNAINSEQDNYQQLF LILYKKLKSRSKSLGNEKSDYIQLKSSVEQEEEDQNNQDNEQHYNNLSQSPIIIDEVKQI FKQMLEREPKCDLNSLTLKFFQDISIICQGEMQKIFQVILKPYIAYNKGFILFIIRDITH VNQIAALEKTNFNKSQMLYKVAHEFKTPLNIIIQSVGTVLNPNHNANDQCVKQLEKSMQP IKSMASSLLSLVNDLLDVAQLKAGKFNLQFQQVKITELINEIIEIMKIQANSKGLYMKLE QQKHVPQWITTDPNRVKQIILNLLSNAIKFTETGGITIYLDLDEDCVEIKVKDTGIGIPK NEQNKLFTAFGRLENSDNITGVGLGLMISNILAQKLGQEIKVYSEGENQGSTFSFKIHNK KDIDDSVCILYDEDNEGIVNQLRNIPQMCKYNEMVQSPSNISICKCVQILIVDDMPFNIS IVESQLKQYDLIIDKAYSVKEAIAKIDAYNGCNLHSTYDYILMDIEMPIINGYQAVSMIR ERVNTQIIACSAHSKTEISQLSLFDGYLQKPINVNQIFQLLKHN >CAK79903 pep:novel supercontig:GCA_000165425.1:CT868344:1419:2374:-1 gene:GSPATT00039353001 transcript:CAK79903 MVLYQHLVKSQLYPFTGSKQGGHSSGILSVCFSPDGTTLASCGGDHFICLWDVKTGQQKA KLDGHTKEVYQVCFSPDGKKLASSSRDESISLWNFETGESIGILIGHWKRIPSVCFSPDS TTLASSSYKEIFLWDVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLNQ KVIFVQLHQSVSLLMVLYQHLVVMIPLSVYGILRQANNIYLQMIVKKKSWHNLNSPFLQI TIFQRAVFIFTITQLLLVQLFTNFLTSKFRSIRSFNSERRIYQQLRSRLKIIIKIKRKLY SRKLN >CAK79904 pep:novel supercontig:GCA_000165425.1:CT868344:2405:3733:-1 gene:GSPATT00039354001 transcript:CAK79904 MKMLQKERVSDSQKFLSNYQYQHSYSEYIFLQGNLELFDKQWKLNAVRNDIKNITNILQQ IVQHNFNKINYSANVSKENIDILIKKVSNQENIINFFRFLIKLTSLDDRFIQSGSNSLHL LVEMKVDLRGQSFINIRIRNTSLYGANLVRCDLSLSEFDNVIISGMNLNGAKLFNCKWRN LGLHEGIELNGHEGEINQVCFSSDGKSLASCSDDTTIRLWDVKTGKIRTVLKGESEVSSV CFSFNGTILASRCGKYVYLWNLKTEKYIWKLNGHSSTKFQVCFSPDSTILAFDNGNNSIC LWDVKTGQQKAKLDGHSNTCQVCFSPNGTTLASGNDDNSIYLWDVKTGQQKAKLDGHSSQ VYSVCFSPDGTILASGSNDQSIRLWDVKTGQEKAKLDGHSFQVKSICFSPDGSTLASGSY GDSIRLWDIQTGKKKPNFLINS >CAK73911 pep:novel supercontig:GCA_000165425.1:CT868167:5052:7988:1 gene:GSPATT00038929001 transcript:CAK73911 MSGIQFHYTINSQPKKTERIQNNLNLKEDQNWIDNYYTDIISVREKVEYSDNDIKELKIL FHCDNNQYNTITAFCGIKNMFISILKPIQDDDIDLFEQVQNDGITSVDEDYDDANLIPFD GCFNCKYSGEQLCQFFVKSQCLLMPELQSSLISIHHSKIAYMSLGLYEDQFINCEIFVQE LCLQCKYGYYMNSINNLCESICGDQIIQGLEQCDDGNVDNYDGCSHCQLIQYEDCKMLET CAVCYYGKCIKCNDGFTLEIDKCISICGDSLISQQEECDNPNEQGCNDCQIQKGYVCHGP TYSICKTCGIYCSECQSINQLDLKCNYCMPGFYPVLDNCFQCDINCITCKDQSNLCTSCY RNDCELCESIPGYYTDYQNKICIAICGDGIVAKEEEDCDDGNLEDGDGCDSECRIELSEN FIEKLQIWQFNHNGAYDLSLNQSEYTLVLNCQDPIIIIDGMKTTDFIYNITAQNNICNIQ FQFFKSIFKQNTIHIALTFSFLQNRLLLEKNIQTINFIIQPTEQIVMNQNEKQQAEQISN AQTTFNFIFLILIPVSIILRLYDYLWAVLEILSWVNNFYFLNVHYPFNVEMFFLNSDWSS FVAFPTYQGLNQPDCSYYFQAPQKFQNKGIDPLFFNNIQIPFIFIFITFILYGINFIILQ FFNAIKQFRQKNHSQIIHKHFSIFNLQVQKKTQHSLVQQPQLQTKTQIQINGFLKQIING LIIMDKELKNKLKQTLSLCLLDITLAIMLQITFSKKQQNIIVDLNQFFAVISVSIILFQI YQQYKTLNLHILLAENKQYKEQFEVYYENVNTKESFGYYFKFFGLIRKILYIFFMVYYYN IPIFQTSLCFVSTSVGVMFILYKNPYNTKKEFYFQLISELSLSLILLIAVIFSINDTKEI AFIQQIKVNLGWAVISFVLLAIFIQLFGLTYGLITDFYYGILRIQDYIQNLNTNQIEQKD NKILDQTNSQNNLVIQDG >CAK73912 pep:novel supercontig:GCA_000165425.1:CT868167:9787:10579:-1 gene:GSPATT00038930001 transcript:CAK73912 MDRNKAPLNIANNQNFTQNNQQNTHYHYEQLQQQQQPNLEEEQAIIQYYVYEDFQNFLNL LYSFQAVKEFFKKNPEHILIKISENKLLTQMNLSNSIHYDLQMTSKHPKQIECLQKNKKA LKSQIREVCPQEFEKEIKFYEEYCLNEKVSIKRLELKATQLKANFNEGVLQQILPQIQTV ENKVNYIRKNKKYQIPSIQDMKKIAEQYKDQTNQKLKEQKIKL >CAK81137 pep:novel supercontig:GCA_000165425.1:CT868395:1536:1730:1 gene:GSPATT00039444001 transcript:CAK81137 MQKKTRGNMKLNQTNRANSNKLNRQQPKENQIGFKVTYQLDFFDKIHKNNYLILLKCENV IFDF >CAK77975 pep:novel supercontig:GCA_000165425.1:CT868290:1:1456:1 gene:GSPATT00039252001 transcript:CAK77975 QIKYEEFSRQLENMKTQLIKISECLSKQDYQQMKDNLQVIKEWYQNLNNQQEIMKQNQVG TQLVRIKKMIQALDLDKEQQQILDIKQDNANLLQQGIQLLNQQKWQEANEKITEYIKLQE KQQSLGTFFKCISLIEMNQPGQGIIMREQAKKINNNLYRDLLDYSDQELRKNPQNTFILI AKSYALQEEKQFQQAIALCETVLRDDPQHLHALYRKSYSLDYLKQYSQAIVCIELALKLN QQYSIGYCQKGYSLGEEGKYQDEIICCDKAIQLDPNFAMAYNNKGRALNNLNKYDDAIIC FDKAIQLDSNFAITYYNKGNALYNLNKCDDAIVFYEKAIQLDPTYANAYFNKGDVLIKIK NYSLALKNYEQAIVYCQSDQEEFKRLIVEIKNII >CAK72869 pep:novel supercontig:GCA_000165425.1:CT868137:1717:2271:-1 gene:GSPATT00038826001 transcript:CAK72869 MIITGSDGLFYCNPQFISALIEVRQQHKHALFEVEFNIHIGSFSDTNYITSYISCQVLSK FSNALILIKAAYGDAFLLQDYIRSTLNYQYIYLQSKRWFDNVSSVQHKHLSSEYKKQSKI QYNIITRIRALLQNY >CAK72870 pep:novel supercontig:GCA_000165425.1:CT868137:3353:3577:-1 gene:GSPATT00038827001 transcript:CAK72870 MSASIQYTEFFFQELKTTTFSVRADSYTLGDCSLICSPVHLEQEFQQRGQTPELDSEWLP SFSKFAITIQLFYF >CAK72871 pep:novel supercontig:GCA_000165425.1:CT868137:4899:5297:1 gene:GSPATT00038828001 transcript:CAK72871 MLKKLKRLSKIVTAIIKLSFVNMLWSNNCFYESLQQSCGIMNNQRVKQDVRLNIKNTISY AKPQHDIVLKIEQWLSFKLNSKTLIKILL >CAK78555 pep:novel supercontig:GCA_000165425.1:CT868309:1331:3809:1 gene:GSPATT00039287001 transcript:CAK78555 MFRRRNNNKKIIRQPQIIDLVEPEQTEIVDKDLLKLKQLLDEKNYYEAFAEIEEKIKENE LLKDNKKNDEELLMHKSNILIRLDEYAEALECVNKALAINPENIQLHYLKGIALVKLERY QEAFESFSKIKPQNQTTLIYVGLALESSDAYSAAYNFYQEAQKFGENQQLLNILKASALV GLAYYEQAIELCDESIQENEIDKFAYSVKARALLMSYRYEEGLEACDEIIQLDENYSQAY DIKGRILAALKKSQESIQYCLKAIELSQTNYVPYATISGALIQLDRFEEAIEYADKSLEI NPRGNIALGNRGYALFNLNRQEEAVECFDLALEITPNHPLINLLMGKALFNLGREEESIE YFNMAIKNNPKCYDAYLRKGRIQSNLITLGMTLYNLDRYQEAIESFDQLIQIQPTNYEAY FQKGRCLYNTGRFEEAIMTLNQALKLNGKVYQIWNTAGKSLLNLYKFDDALACFNRSVQL NPQDDAAKCHIGITLEKMDEDDNAIYWLAEAIKVNPSCHLAYIHMAKTLLKTGDFKAAVN SAHRAISISPLNQEYQQIYIKAIFMYKLSLGAQGQEEQQEQEEQQEEVEQQEQEQEEQDE QQEQQEEVEQQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQQEQEEQEEQ EEQQEQQEQQEQQEQQQQQE >CAK78556 pep:novel supercontig:GCA_000165425.1:CT868309:4095:5942:-1 gene:GSPATT00039288001 transcript:CAK78556 MLDQEQLLILNIFKLTDYEIIRPLKYQINDQYFSLGVSYGSKSFILLFAIKNLFPLQLIN NIQIGRLNFLLLEQYLLYQDQNDVLILFNFAEFEVQIDNFQSENITERQYNFHVVPIDSK NSPLNLSFTLQYYNNCYKLISKSKHALLNYSKNNTTQIQTSKYFFGPIDQLTIVEKENFR IDGPLILSELTLSDNIPKEFKIKTIEQEKIFTNAPNIKMKLVQFENKSIILINNLDQFKV DYETELLVNMFQINEQSILFLFLSDQQRINGRIYLIDEIKCNLRQDPIFKINISYQTSDP VLQSFRTGNLIVIQTQNELLVYQIVEFSIKKIDLEFQFNNFLKINGYNQLYISQTFKNNM KMLLFQILLFNGFQFEIQGTATLQMTFMFSSLKKQLKLTQLDFLNEFSQYYFLECIIEDE TAKLLLLQIINRYIIISEIFITMQNFEISYSPLKFIRNSANFVSLHLEYYYENKLILRSS SDETFFYDLSEQQNLYDYIGKQSESKNQYHKLNTTHFLIYEPRDDKIFIATIGYQVQIDT EYEGNKSFTLEAQNRLSQIKLTVQIQDIDDDQIDDKNSVIIFLLIFFVIFVLYFLIRRMR LKHRQVKNQNFSGLQ >CAK91603 pep:novel supercontig:GCA_000165425.1:CT868664:1072:2882:-1 gene:GSPATT00024332001 transcript:CAK91603 MGNMCSSCQNNKKRIRPHQQDQQDYKKLNYNTIQKYETFTYLEQSHYLKWLGNYGQNNQK IGNWTAAWNGVILDNVGGQYSEDGKKKGQWKELTKMFWRNAQVYISGEYCNDIKNGKWIY LYDENLIGGGSYNKQGLKNGRWVDLSDEFQRDSQIIYSGEYKNGKKIGRWDISYRRSDQF EKIGGGSYNENGDEIKFGNWIEQGEGFRLDSQVIYNGQYKNGKKVGKWNVLYRKQYENEF KQIGGGLYDDEGGEIKLGCWIEVADGFDKYSQFTYQGEYQNGKKIGRWDIWYKKPYSADK SEWITQQIFNSGGGSYNETDQVQFGSWIEVSSEFNYYSQITYSGDYLNGTKNGRWDILYR KQYENQFKQIGGGQYNKDGNKLGDWVEVSEQFSFDSQLTYSGKYLNGKKIGLWDIFYRRS NQFEKMQAIFLLQYTFSGGGSYDESGDEKKFGNWMXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXSCDIIIISQIIYIFLINFLITLCFRIPFDLQFQQ >CAK91604 pep:novel supercontig:GCA_000165425.1:CT868664:4850:5990:-1 gene:GSPATT00024333001 transcript:CAK91604 MKQQTYQSSVIQLAAQSSERIKKYINSNHNSSFVKSIRSGSIPLLNPELHEFVNRTHDPN PPIYNKRYKPAKIRAQEAQEEFNNNHLQAGPYNCFPKNMRNEILAAEQMPMRYGKNPRTE NERLQEKLENTSIIDHSFRNVTMISKPNWKATMKEKWMSQDTFKSQSANFNSKQAWSQIP YRNPEDNFIYLEQENSQIDFKRFRQQELEASGKLPFNPVIKKNPYTTYSTSLRTFKQDEA FGIQEKTMFSQNTQLKYYKSALVSTKSVDHLMPYNHSNNIQALKNIFPNAEKQYPKQKDI NQKLQIQSKDEFPKLHQDQPSPIHEQSQPEYIIKTNFEKTSVPLKKVYKPFKPYSEDEAK QIVDKYFCKQSF >CAK91605 pep:novel supercontig:GCA_000165425.1:CT868664:7311:8239:1 gene:GSPATT00024334001 transcript:CAK91605 MSQKKAQIQVEQKVPESIVKKTQRDSKLRDLVVKKRAERLGQNKVRRAQLEKSAQAYEAE YKATEKSLVDNIRKAKSEGGFYVPAEAKLILVIRIRGINTLNPQVRQTLRLLKLRQLHNA AFVRINKATIEMIRKVEPYITYGYPSRTVIKNLIYKRGYAKVNGQRIPLTSNTIIDQQLG KYGIHGIEDLIHEITTVGAHFKEANRFLWAFKLRGPRGGFIAKRRSFINQGDWGNREDLI NDLAKRMI >CAK91606 pep:novel supercontig:GCA_000165425.1:CT868664:8336:10274:1 gene:GSPATT00024335001 transcript:CAK91606 MSEEWSTKQIDKYIIVNTKLGNGAFGTVYRGFKKNDETKQVAVKAISIASIKDSAKMVEH IKREISILQQANNPHIVKLYDVARTPHYLYLFLEYCHDGDLKKYLSTKYGRRLSEVEAVI FLKHLVEGFRTLYQLKIIHRDIKPANILLHKGVAKITDFGFARVIDTGMNDPAYFSRVGS PLYMAPQILEGQPFSSKCDVWSMGIMLFEMLYGKPPWDGDNQYSLLQNIKKTALVIPDAP VRSDKIKQLLRHMLVVQEKDRFSWEQIFHHEIIQIQEAQIKNNLEQLMKEKDELSRSESL NKLYMEMNLVVGYLDQPDQIVQEPSTPQSTQSNEEKQSIDDINHEKGLQLINQYDTEQKR RKAMLKYNTYFLFERNIAFFFNYVIQKIIKMSHLGILKLNQELYYTTIFCISKNQNVHLK RMSDQLLSKNPEKFDRETWGRYLISQEYKKILTVTKNDIKHTEDFYLEIYKKEKQIIEKE LAQPDNKRASKIKSVLDVNFDQNASFQQLYQQVVQECLEIIKSIIKQTKETDPVYKDLLQ LGWFLVICLNPYLEFKDINMDFNQFYEEMQTLTEAQLLEKIGKRLDL >CAK91607 pep:novel supercontig:GCA_000165425.1:CT868664:10540:11572:-1 gene:GSPATT00024336001 transcript:CAK91607 MQNQIVHESISEMKKYAKALQGNKNYVAKKTAEDPEYFRKLSQGQNPKYLLIGCSDSRAP PNEITETDPGEIFIHRNIANVVVPTDLNINCVIQYAIEHLKVHNIVIMGHTFCGGVKAAM KQDSVGGLLDLWLNNLKLVYEKHQELINQLEDEDDRVACLAHMNVREQVLNVWKNPIVQK SWQDGHPVMVHGWLFRVETGFIEELEIEESIPTNLSKVFQLNFKPTQTHKPKQQKDDNEE ERSRSPSIRKRFQRMQSRLETEIKRLTITLQDPNQEVEKDVIDQVSEVLQKDLGIQN >CAK91608 pep:novel supercontig:GCA_000165425.1:CT868664:11733:13416:-1 gene:GSPATT00024337001 transcript:CAK91608 MNQKVWISIPKGMSSMHNNELMKCTLSKKNGKYRVLYCYDKYIFYGKVGVIATKYLKLDF DIKFEILYQQSNRNGETDDSLGQIIGIQFHFDYGNGMISSKLGGDENQIKELRNFLRSRI NQYKFHQYFRVFKKIGKGNFATVYFTERIEDGQQFAVKAFSKQAAYNEENGKESIINEIQ IMRELNNQHLMKLYEVYETENSLYLVLELLSGGSLHDLIREKKGLKLKEVQQLLSGILLG LEEMHQKDIMHRDLKLENILFKQKNQLQSVVIADFGLATHVNEAKYLYYRCGTPGYVAPE IINQKDSKQKYSSVCDVYSLGLIFYILLSGRPAFMAKTYRMIVKQNRDAAIDFTIKQLKN LPEETMDLLKKMLNKDPKSRIDVANCLKHSFINDMARQIQETEINEDSDNDLGQIDEDVD VGKRINKINQQYFVFEASRHLNSPNSSSDDSPGIILKKTTKKQKEIDSSMMLSGQSPLFK ARIDSIGSVQSLDILSPQLTQQQSPAIKQSKFAQNKQKGNQSILKYITNN >CAK91609 pep:novel supercontig:GCA_000165425.1:CT868664:13843:15188:-1 gene:GSPATT00024338001 transcript:CAK91609 MSSYKIIESNEYSLLFDQTQKSKAFGRVSVISDQTIQIIIKLDFTNKKYKFQLKIYCDFF NIITFEHIPCKQLLAKFQSKKKYEFISIFNTLVKINNILRMFTNALLQIQQIIDNNYDLQ LIKETVMNLKNANQVEIMALMEIILQQMSSSFNHEKEAQFLDLLKELQYLLQNKFTTLCV TSANTNNFLEYKNKCNSQNKGILLLNHQGQFILSDQISRNIVELNSKKELKQKDFFSLVS QASKGKLKKTLQKCCLLSNGKSMDSFQLAIHSDRNRKKSLKYLNQIASNNKKVEKIKAEK NVQEMKKEQILVAKYLKSIEVTIYQATLQFDKEFIEQFSKSDDIILSNLDSLNNTNANQL AICEVKEIDEQLKFTEKELLSDDYISKHETKWDKRVKKMKVQKSD >CAK91610 pep:novel supercontig:GCA_000165425.1:CT868664:15405:17021:-1 gene:GSPATT00024339001 transcript:CAK91610 MIQDKIKIRGCWYINRNWTIQQQILAISFIISTFIFLILLLIIWESQSQIQEILKVTSQE MFMRQTSQQINNIWIQQRSLEQMILQTKQQVITTRAIYKQLDFLINNNYNKSVKFESPHM CLNNISALDSYCFSSATTCGIFGEQEPEKEYEETQSLIATTFILTSFRVALDHTFSAPLY YFSDNKLLFFCITAGGKFPNSFKPNERPYYLEFLNYTSQDTPVDRVYFASPYKIIANYIR IPMITSLINRYDRIVGMVAKDIDFGYASIAQSKNTNTVLYVIDIEGKIYYSIIYNQINLA VYYFNETQVTGFNQTDFEQLMNQHHNKPLQNDCPLIQSDIILCRFNQKTNQNQIIKSSQI QGSNLILVVLVETNNIIEQYDNNLKLINQSQTQASESILIYLVVYPIGIIFLSNILIYML FRQFNQLLNLINQKVYSNRKDLVLAQFQQENQFFKSYAVTELIEACIKKFLNLESFGKSN TCIIQENLNYPKYTHTQMKFQQNLVLIMKISNLKLINEQETKSTIFNNINNFISKQKL >CAK91611 pep:novel supercontig:GCA_000165425.1:CT868664:17892:19911:1 gene:GSPATT00024340001 transcript:CAK91611 MSRNQCQCEAQIHKLINNCISCGRIVCSVEGEGPCLFCGNPIMKKGDIVIDDSQFPDMES KTAYSKALMHKDKLLDFHRRDVHQSNIIDDQADYYQIVDDVWQNEDIRSQAVIKLMQQAD AEQTINTKQVFDYQTMQFKLEKECDAKQADEFRDEAKQLLKEADLAQKKKNQANSKTQHL ADNQEYQKLYNEIITNLNNETKKETKKQNNKNNKMNQNNQQFKPTTCGVQFTDEYFQDFQ KELLKVVNQEQQQDMFDEQFFKVEDGIGCLSMHQPWAQLVVEGFKRIEGRMWGTNYKGPL WIHAGAKQPETELISAIEHQYSQLYGNLNNVPPFPARYPTGVLIGVVDMVGYVRAAEYNK IVPKEQQEEGDTEFKFVFRNPRKLLIPIQMRGSNNIFKLGDDILKIAIKQLEKVPVKWGP YLVDPKDVAKEAIGEKQVDDTMSKIQEQFVINNINEIQDKSANESQKFESQIVQDESSII NQSQQAEKRYQQVQSIDSSFEKQLEWGILIKDVLKRDQLVRMANFLSTKIKKQNNQKGPL KLSFTAKNQLPCHDNITSSISNITGNENQYDNCTVHFLKLADKKVTIQNATALIVIGQTM EFTHNNQLIQLQQGKVFLVEEGYTLNGQFSGSQQEESVLKDISQDYVFKTWLIQLQQ >CAK91612 pep:novel supercontig:GCA_000165425.1:CT868664:19965:20612:1 gene:GSPATT00024341001 transcript:CAK91612 MGVDWLHLDVMDGHFVPNLTFGPPIIKCLTQRLQNRTFIDLHCMIQEPRKWIKDLSNAGG HQMTVHYESDIGNIEELSQMIRNAGMRPALALKPKTLIDHTLIEIFDKQLFDMILIMTVE PGFGGQKFMADMLPKVTQLRQRYPKIDIQLDGGVNCDNVISCYEAGANVIVSGSGIVNAK DPQQSINYMKECGCQHFKW >CAK91613 pep:novel supercontig:GCA_000165425.1:CT868664:20622:21062:-1 gene:GSPATT00024342001 transcript:CAK91613 MKVDLSKITAQNLVPDLLLYLSLTENSGLVEFCQKQPIKHRKMYYYLQYMLPHETNYKKG QYILQESSMQKQFEETLNEPYVKEYEVGLHHCDFFLPNSNTIVEVNGYKHYIHFKERKTG QYQQKYNYLIKKGFNVREIDNNDNRI >CAK91614 pep:novel supercontig:GCA_000165425.1:CT868664:21127:21381:-1 gene:GSPATT00024343001 transcript:CAK91614 MNNLRALLFKIQNSYIHLGQYKGLCLEFDESMNDLIRITCIFVWLGDHRPFLIEKINCGD GERTIWINNNDNQGSSTTEQDQTE >CAK91615 pep:novel supercontig:GCA_000165425.1:CT868664:21381:22116:-1 gene:GSPATT00024344001 transcript:CAK91615 MNGEKRIVGWDELAEHSNRTSLWVVIEGQVFDVTTYLAEHPGGDDILIKYGGLDGTQKFL EVNHSNYARSLRNARLVGTLTSDPQPNDYLKAVKSKKQKNNFNPNRQITWEELGQHNKKE DLWIVIEGKVYDVTDFQDDHPGGPAILLGKAGDDATAAFHDANHSQSAYKQLEKLQVGVI TGVKPNLSGSGSSTNLIFVILLILAIGAGIFVITK >CAK91616 pep:novel supercontig:GCA_000165425.1:CT868664:22298:24932:-1 gene:GSPATT00024345001 transcript:CAK91616 MNIEQILEKQENAIIESGSQLLPSFTYWQKTKQDLEQYYYLKRSQTSLCHTPFKKDMTII TQLCERTCDKDKELQHIYDFVDVETMHKQLEFEQVCSYKYFRNHQTTFRVGTHQDIFDNL FFQRFNNATLIFEDAHDLPLKLEKAMSASISTHTISQMIKAFLVPQKTPSKWDQQMNTKL KFLSKQFQPNHTFQTCTLTQQHYDILMPIIAFCNRIVQFAQNINNQKDEFIFESNKIALI VTQCTQKIPDSEFFMQLQQYFVKSNGAFQQPKYTCIDSSNYEQYLDEIYNLPQRYLMQQW YIFIKQTFELQDSNELEIISQQQQQFNNQVVVPTNDKISVDKSIQAAEQQNHRDYKLVLF IDNIQNSFNLNLICLSPLCGMNKLQNNGVQNIVIITQHSYPAKLYKTEFNIHFQFYPQIP VQELKINQTIISQVQNYNIDYLNKTEQNFDAMILEIGKQFQQINYLLNGHGFITLFSSKS FMQKCQDIWSQHSILSSLGVNKEFQWIKYQNKKSIYEIIKLFLNKCRSNTIFGDTMPSIY TVFNDLFLDQLQEAIQIQLDYQLKHPQTLKNQSQITVFLLGVPWASQFMYYQENGHEQLP QLQLNLKLEWLKNQKNNYDRKYFSMVNAIRNISRLQHILDPLIPCNLVVLDEKFQQKLLW KDQQFPNVLTFPDLIKELKKQGTTLVRSEILGENRKDTQKIENNKGQTIKFNIKQPVQIQ TSKRKIMLNKTLLGDIYNPQKKSLPVEQQCEKITIEQLIGQTDTKDNVHTTEEQLEIDNL NCVICWSNTPDKVMCKSEKCGHVACQDCWKQWLQTKLECPLCRARVREKFLIKFD >CAK91617 pep:novel supercontig:GCA_000165425.1:CT868664:25076:25755:1 gene:GSPATT00024346001 transcript:CAK91617 MSKLGHFGSTQSLDKPPVLICEGLLTFTICADLALKVLMEGREFFTTAWNVLDFCAFLSI ILLYYDEIFGISLMSMRYLSLTVRMVVLFKQSYFVQMMQQQRDILIFKRSKNHDLSILDI SSEILNDSQFVETMKLVSE >CAK91618 pep:novel supercontig:GCA_000165425.1:CT868664:25841:26634:1 gene:GSPATT00024347001 transcript:CAK91618 MHPHSFPYEFTQYPYQTPLYFYPPYLPQQYFNYNQFLPHKHPQIQQAPLPPKQQQFILIS DDEECVPIEKIDKPAPTQTLNTTQPQKKTAKLLDLDTLEAQGRLYDYESSQSPPLPKRII KKPPKPLYKPQKRKQVKIRKEPIRHSYQKIKHVQIGAERQELNYPQSNVKAKFIKIYEKQ EDKFSKLFDQLKLNFSEANDEDIAIVLNMVGKDYQKAETFINENGSFLQSYLQGTQEYIS LDYKDLSEDESTIKK >CAK91619 pep:novel supercontig:GCA_000165425.1:CT868664:26950:28844:1 gene:GSPATT00024348001 transcript:CAK91619 MDEEGITNLIPNSEIQQDQFNEDQRKEKVDQLKQSIQEKYPQSFVSQSDINDQIYLLTFP LEIHVGILTTQFEIEIDFQQLKIQILSYFTNNNGLILIQSKILRLSVSRYMLRLNNNLRV GSFFINPVFGQLGFNLQCNNSDQSFFKQNLYESEFISYLDILIMTAIHSLRFHFMRILFM INQINIKLFKVFENYMDKIRYQEESESKSKKIGESNMSWRKLPKDAEILLSRIKKVVKND MHLEFSGKDYEELGPILQKQVTTDTKCLIQIKPVELNDLEVDKSFLISEGGFCNIYSKDI FYQFVREGQKQMQSQKRPLVIKTDKQPQGDKVKKEIEIVAKLSEVQTLDPKDLANNRLKY FKFSGCCPYIAQFYCVPERPDKVLMERYYHSSLDFFKAKQSEVLSLSTRIFIAHSIAMGL RYIHNYGIIHMDIKSANILISKTLMAKITDFGEAINKSKYSDKEKPGKTLPFCAPEIQQK LEANQYTFAYDIYSFGVLLFELLFDRFPIDFRRQNLKPLEEKLHKLTYAIRYDESVDKLL GPQVIMKYLGRMCLQCMQPDPALRPNIDKIVLVLKDCLTYLDKVY >CAK91620 pep:novel supercontig:GCA_000165425.1:CT868664:28945:31041:1 gene:GSPATT00024349001 transcript:CAK91620 MQKEEDMELEFKLLELNDVEETEFDKFALNRPLIEKHFEELNFKHFDITQNEETKQCELK IQSQLDFQINSQHYAQLQLIFQGSELTANHLLPNQMVNSFKSKRKQATFFSFQYLQRLLA RYFCRINNSLRFGCFCIENETGQIQLKLNSVMISEHWTDLICLLPMQNDNQNQGSLSSLL KVLHFTSHYAIGYHIYRLMHLINKLSYKVFPFLEQKLQDQYRKIPPQFDIYLQRINEIVQ NKCTTPITEDETRQFQQHFNYPKQTNASFKIMNFIKFSNPDQVDNGDGYELIGEGGFSIV KKGQLAFTLAQNQGQGKQETEDIPGQPRQGQPNNSNRVETEEPSVKQIQHLCNKEQDDVQ AFIKRTIVIKKDKDREKEKVDKQQNEKAEKKQPSRIVLEKKILDILSQPLYDNKKKLIFN GYCPYIAQFYKADTFDKNNMPLFNEHLFMEFYQHTSLDNFRSKFQTTQSLNTRLYILFQI AHALRYLSYYGVIHNDLKPGNILIAKHYNAKLTDFGEAMYKKGDQVIIQGGDGRTLPFAA PESLPEESSNNSQIGQPKIDHKSDIFSFGILMYEFLFEQYPIDFKKSNLANLQERYKNKT YQIRSNIDFIKTFGPKHLMKCLRNLAIKCLQPEPQNRPNIEWIIICLKEGLMYLEKMY >CAK91621 pep:novel supercontig:GCA_000165425.1:CT868664:31071:33459:1 gene:GSPATT00024350001 transcript:CAK91621 MSSEMHDQIKDKFLEVFSKRSDLNQTKPLDAVLLLSILALWPLIESTDNQEYHMSLRIIL VFVVANIIRNTKPDSRSHPKILVYLGISLQLFSNLIFVYQEQSNQHCQNYLITIAFLILI SSRFELHHIREITYSISMISLITQIYLQNQVFSIIKYFVIAVIAIEGIQWRTQFLKRDYN SKMADFTKELDQMKEYYNEQIMKLQDYQESEAQQQLSSRANDLLKKLRLIKYQQLLMKNN TFQKGIRKPKKMNSEIHQNAFSLKQLPEDNVPDEQSSIQQDISNHHFQSIQNDNQPPQFR RKSQRLMTSATDQNCRINESSNSPSFSEKNIEEMKITTEDIDDLLNLLSGKKESIWLPKF LRSNQLINEQEGFSVDAKQFLLSHFTQKQPSFEYIQDIQDHVQEEELERIDFNADFLHFY RNKSQDNNQTRIFFENTITFFKRFKIAQTFKITKPELIREFALKVDMSYHNNLYHNSMHA LDVTNSTGFFLENGVNEMLDEFEQACLIISSLVHDIGHPGLNNGFMMSNRCKLAMLYNDQ SVLENYHSFLLFQILSQDQFNIIQNLGQVEQKGFRKYCLNLILDTDLTKHFQLMNRFQNY LELTESTEMDKNLLMSICIKCADVGHGAKKLQIHKLWSRRIIEEFFLQGDLESYLKVPVS PMCDRKQCVTKSQEGFLKAIVLPMFNAFVTLLQNEKVAQVCLEQIHENLKYWQEQIDDVQ FMKETQIDIPGLENLKKFLNSPLQLCV >CAK91622 pep:novel supercontig:GCA_000165425.1:CT868664:33466:34952:1 gene:GSPATT00024351001 transcript:CAK91622 MLLVILLVLSVRADLPVHCVRHQIVGKWQLEFTEPQIKGSGPLTCGHNVPDNERTSQVAG QNTFVKTSTHEVILTAKNNVVQKKKLQGKWTMVYDEGFEVDFSKYKCFAFSKYKTNYSGA YSYCGETLIGWYQNTETNERGCYRAIKIEKTHKVSEGANNGHVVQPQFFQYQNSGMNKVW SRVDALNFVEQINSAKLQWKAKAYGEIIGLTTKQLNKYAGRRKHSSTILLEKQKKKQQIL EYDVSHLPKEFSWEKYLGKKIYEQKGCGSCYTISTMTMLSARLRIKGVKADLSPQQSIDC NYYNQGCDGGYPFLVEKYLTELDGMIFEEKEYPYKGQLGECKAVEKKKHYRVINYRFIGG AYGKSNELNIMEEIHKNGPVVLNFEPSFDFMFYVGGVFHSTIPDWIINGLAKPEWVDHSV LCYGWGEENGVKYWLLQNSWGKQWGENGRFRMKRGQDESSIESMAEAADIEIIHDS >CAK91623 pep:novel supercontig:GCA_000165425.1:CT868664:34958:36664:-1 gene:GSPATT00024352001 transcript:CAK91623 MGNSCCTNQPPETDKQVETKPEDMSKLIQQESKNEEEPLKQITSIPTLSVQPSLFIQMKR ETIYGTYQVGKLLGEGAYGQVSIVTHRVTGMQRAMKAIRKDCLFEEEQAKLFSEMTILKN LDHPHIVNLFELFEDDKFYYLITEYLRGGELFDRIQKAKNFSEADAARYMKQVMQAVCYC HSKNIVHRDLKPENIIFASEDQYSILKVIDFGTSRKFEHNQNMSKRLGTPYYIAPEVLQK KYNEKCDVWSCGVILYILLAGYPPFYGRNETEIFDRILKGKIPFHTTEWNKISKEAKNLI SNMLCLDVEKRYSAQQVFDDPWLQQGQGENLIDDSFLKNLTEFSAKTLLTFMASQMIQPK EVEQIQELFKQLDRNGDGKLSKDELITAFQQKVQSGDRLIENMEIKINKIVTEIDVNMSG YIDYTEFIVACLKYEKLLTIEKIKQTFKIFDIDGDNYISKEELQHIMEGVDDDIWKQFLV ECDQDNDGKISEEEFINLLSDKF >CAK91624 pep:novel supercontig:GCA_000165425.1:CT868664:38725:41573:1 gene:GSPATT00024353001 transcript:CAK91624 MQYTAISNCKLISPQTEHEINSKGFNNSISEMSPMKVETSNPGISTTQQLVSPQKYSRTT MRINSIPLEQLQPPDLPESEEILSIDKQRENQAVLIKKKSPFAKLITNCHSLKFANKMIS FIRPDCKFSQKQHEILNDQASSYMQQKGKISGNFLQQNINTIDLQIRIKIRTWKNGVVNK LSGCFSQLYNYIPLIEPNNIMKLIWDFLLCLIRIYLIIWSPIIISFPFLQNDHANYFRIS CVFLAFDLVIRNFTIYFHKGLPVKNRYQLFKKQLNIGLAIELGSILFGILVSLDYQLSTW FFMLFYYQLRNIMKLVDIIQYNLKPTKMVSSVIDLFKLIATVLLIQHFCGCLWLKLGQYY DSQGQMNWMMDVRNESWQVQFLESFYFMSVTMFTVGYGDISPTNSLEKMFCICYMFLSTL QYSYSVNTIGLILTQMKENNEMIRQKMTCINEYLHCKKLSTELSMKVREYFNFYWNQEII QKKNEQIRLITLLPENLQQKLKLESASSLIIKCPYFSQFPKPALECLLQSTEFNVFQPGV HINAQEYIYIIENGKVEVLQDKIVIDTLKENQFFGLQELFTASDNINYKSADFSSLLMIP RSEVLKVTNKFQLQIIPEKFCYICHDKRHFTNQCNVVHYIPDMEKVIMRYNFHNIQERSK LKQIKKSKARFSALNEQILISDSAKIYQLENDIVPENEIQEQQSQGLSIHQNGHPKVNVV TFEDQHAQLNPPEWLVETSFHPAKFMQRTGLLQQTQILDSFKNKREKKLLLSGASNKQSD QTVLSDKPGDCQEALNKLQSILPKLSPREYEKCFFLIKKLEGDLGQTQISGFEQLKEFDK FDREWNIDRVITKLMRPIRKNNAEILNQLIKKYSKYLLFPFEYIRLFRNSLIEEEDVKQN KSYLEQVRHSVKHFLKKKATTVFPIR >CAK91625 pep:novel supercontig:GCA_000165425.1:CT868664:41614:43649:-1 gene:GSPATT00024354001 transcript:CAK91625 MQKFRKLFCGFCNQTESISTFYELKNIMNPPTPENFQRLLLTVQQMIKSNPINWKFMHRI QLLEDQFNLGLDIPNLLSRLKDQTIDPYKQQFVIDIMQSYFRKDEELFKDLFNQLLRMDE ILFTTQLKMLQTYYQYPEFFDQDKVLPKLIQNMIVQEDLESYDLVSALEVFSYSPSQLTE KNRQLLQDLKEKLNQQVIKIIPALGQRQYRELIINLRSKEYYNEELKQAVFQYFHDNKEI LGQSTLLELLNLCNLNLYINDDLKYQILIQINFLKVNAVNESKLIKKIVLPSAEQEMMAN LITLSGIQLDREYIDSHFIGSNSQKREQLIKLERKDGQISQIVQQILRYIDGQFNTYLNV IQTIQTFELLLPELLQPMIEELKRILTKQVVGPTDLLSLLNYFDSCNILFDEQDQKGMEQ FQNYLNQDYFSYQKIDYLQRQFAYKRNQDKMRELLDQSYNSAWTLELCSRQVLLYEYFNI PLSQAFKDKFQSAIEDYVLKKRSQIEQIQFYKCIVQAKWEKNLKQEHMNFYNEGQIQSQR TRREQTKNLRLSSFLEQEITNDILQYMPKTFILQSNQYMNGMEIDIVITNQKGEKLYFQI HGQTHFYHASTIYNYKTLLETFYLEKLGKHRAINYYETEAVWKSQREKAVEKLLKCLM >CAK91626 pep:novel supercontig:GCA_000165425.1:CT868664:43695:47149:1 gene:GSPATT00024355001 transcript:CAK91626 MGVCCQKNKKSEFTQYQHMEEDIWKSKAIFPDQYLKVLEQRYYALQPEYGLNLEKLSRLI PNIKSKHLLNRLLKYFNMKMEDQIDFNGICLLISKFLNAGQTGKIEILFQLYDLDNDQML DSKEFNLFIQENDNLLDQSTRRNQNFTRSKFKTWAEGNLKLDKTMSFKIFLRPDQEKQMI EQLKDQIKNDKVYIISSKWWDNWKQYVNMATANPGQQIDDVTQKPQQEFIEISSQLWLAR PGPIDNRDIAGEYEGELKLQAKQKCDFQEVGEDAWKELLSWYGITDKRLEFKRRGKFDKD GFKIEVHPTIIQAFETDANGIVQYKKWFKLKMSEDSTMHDVRRKLFQKFQIKTINGSEYL LYKMKINEDWELILDLDDNISSLNITSGTYFTLTKLKQKPKSKVGNWQLGQKSLIEDPSQ RVEKMCLAQRFTEDLKYVILHIEGQSFFDDFQLEIKENHGAQFNSYIQGRSYNIKYPGLQ NLGNTCYMNAALQCLINTKYFFNFLFNEGYKNKVKVNNSLVQHLSSLAKEMTQTAELSIS PSVFQNALVRICPRFKMYEAQDADEFLDYLLTQISDELKGYDTQQDTVIETLFQGDYLTQ KQCSSCQYEMNEPEKNKIFRLKIQEEAGVFKLRLLIFMKLKFIYDRDEQFVVNQKDLLKK TIILTKNDGSFTMRDLYEKINSILGLAQNEYEFARSYKKEFIKIFINIDENQELTKLGIN QNKTLNLYQLGSIHQAEREFETISQMFNYPSQDFKLNDLINFLDPNNQWKQGKIVQVIQS NPIKYKILYRLQQQNNNQKQFEYIMDKQKIVKFREKIKHHTHEKVPLLHYYFNTFKKQYN LTLKPIILLLPIQSLSLLELKVYIYKQVSRFINITHFNVQSPFKSSNVSQQEICNFFSNP SFPYKIRVLDQNRKCLFCENIRPHNNITQSHCMGCEEDPILLNFDQFTKPSIILEWKEIK FAKILEKRKDELEERSLIHLRLQKCLEKSAEDTFITQECFSCRQNTQIRNNSYLNKPPIM LIINLQKYKSNGEQIERYIDFPLNSLDIKDCRRSKQPVLYDLYAVINNKRGRDITHYTAY VKKKDEWYEFDDSNVQKVREVQTKNAYLLFYTIQEIPEDSDISLLNFK >CAK91627 pep:novel supercontig:GCA_000165425.1:CT868664:47242:50932:-1 gene:GSPATT00024356001 transcript:CAK91627 MIPTLQPSQQLQPKYIFGLNSNLRNNLYFMDDTRIIYPAGFHIVCYSLQDKSQSYFQGFE HYRGFSCMTLSPMKRFLAAGVKGEKPAIQIYDTMSQKKKRTLIYHDAPVKEWVSVAFGPN AEAKTLIGLSGGVSVNGSPVDSYLCYFQTDTMKCLASVKVASGNNECLEVAFQPNDATFI TVIGKGIFKSFKMIQNEGETKSYTLKQVAASLQKAPADLSNNYCCHAWMIQHPDHLVVCT QLGEVFLCDENAEYVRYLKNPYHQNKQPFLIEVIQAMQQGFAIGGDNSTIYIYSKEYENI QMLHVKSISDIKCQIKGISFTPISEDTIIVTLSSNLIYSLKLKTEQFLEEQQQFELVSLP FHSCPPVNAGIPINGMDVCVRKPLIVTCGADKYIRIWNYEEKSMEAYRFFNEEAYCVSIH PSGFHIVVGLTDRLRLMNVCVHNNQIKHYREIGQFKQCKEIKFSNGGQYFAAVNAANTTQ HIIHIYRFYVGDNPANLQFKGHSGRIRCITWSRDDTILATCGQDGLISLWKVGADTTGQR MLDIHAKANGKNIPQSSVALTFDTKIVYVVGEDRHLREFNCQEPTENKRNVEATLSQICF SSSNKILFAGVCDENRYSGAVRCYKYPFVGPSAGTNVEYQAHDERGIEKMKITADDQYLI TAGRDGAIIVFEIKDKDARGQKNKEGYQQRYADDIIVTKEDKADLKTTRDNLKTQLQDIT APQNAINISSKEDQIKQLTDKESTLKQQYKVAYDTLVEKKRETEKHIQETRKQIMEEFEA EIQELEQNQQSKVNKEAEKYEKTKNEKEIHQTKYEKEIGKLQREHTDELKKLEKDYDARL LEERQQRERMEKEYNKEKEKYRETIEQIRKETIGEIEALEEQNQQQILQKTDQGLKAKSE VSMTKKKIQSLLQEEEKQNENLKDYNEQKKQLDDQNHQLKIAIEEQLKQIQDKDKTIGEK ERKIYELKKRSQELEKFKFVLDHKIKELKRDTGPRDEEINRMKEKTNMMDQKLKNFAYLN NNLGNVVDALDQELKIMKTNIKKQRQLISFQNVRIKKFKDSVYQAVQFIQNQDELTKKAQ EIFKEFHKDDVKPQQVNADILAEYKSQKQYLEKSVEGLKANLQADEEIHKAENIRIMRGN VKLIIEINNLRKKMKQIKSTHNNQEQKGDKKMSQTANSETYKAKQRAIQEKQLIIEQQEL AKNSLLSQIQELEAELSVMGE >CAK91628 pep:novel supercontig:GCA_000165425.1:CT868664:51078:52853:1 gene:GSPATT00024357001 transcript:CAK91628 MNLTKSNSQKGFRILQKSSLSPVHKLSPEKSKLSLKTRKNSELLKLMVSPKLITNQTTQE GSFVKRETTLQNSQIMDVVLVIVHVGQQQFRFTFTRNITTSQMRAALLQKLKLKIVAFTT VDHNILIDYYLTLEERPIIWPELQLECQEPVLTAQHVSLKDFQILKCIGAGGFSKVYLVR SKMNGHFYAMKLVDKEFIIKYKKAELLQNERDIMAFIHHPFTIQMLFSFESRNFVVFILE FCSGGELFYQLKTLKRMSEEQAQFYFVEICISMLYLHQMGIMYRDIKPENILLDLDGHIR ISDFGLSKLTTPDEFAYSFCGSPEYMAPEMLLKRGHTIQVDHYCLGALLYELVTGLPPFY SKNTQKIYDSILNEQVTFPQFLSQEIKDLMSGLLAKDPHKRLGVRGGVREILQHKWFKKV NLTDILMKRVTPPIRPDIQKLNFETKNLQQGDLEVREKLIGKAGFKKDFKIFDEFYFDYR ENVRIADQQRLLKEHIKNVDSIQQQKPRSLDKIISEDSRQSKLQKRLEQQTSLRTSPQKG SALMSNNFMQTGGLKTESSDLTSSKRRVT >CAK91629 pep:novel supercontig:GCA_000165425.1:CT868664:52909:55036:1 gene:GSPATT00024358001 transcript:CAK91629 MKSQCYLHGYPPDCSYKLQDLYNLIRDDEDSITLERIFKMLRTWQYEVPFSNLCLLLRKA NQACHQNAKNYVNEVAFHQLLHNPDIQKLFKPKKDEIYFTPPTIEGLFKRMGEQIERKRL HEKLKREGVSNKEKFNFMLQLLQVGSPRKDKECSITMEKTKSVRKVIDDDYNFIKEQKKS KRKAESMQDPQNPPKFTKLPNTIFNAPTSPNGGCARGSQCLEVEQYYSQIIGQPFNKVTL NLKYMKQQRYQMDFNLSKSYLLQKLQPNREQSAKKLSNLEVNAAVQKYFQMLKKKEGKSP SPIISPTQSSKILSQLVTKVTPSLDINVPIKPPTPSGTQSYRPSSEKKAHHRPQQSVGQS KDLILQKLQTALFQKPKTQSQQVTPKSNGKGSVSVNKYFESTKKQQDESHEYYLSRVKNA FSKPSKDDYFTRMHREHFFQTYQGIYVATYLQPVDPNDLQNKQVKLKQKECYKNKITIVF DLDETLVHCNESLAIPSDLILSIQVSPQETIKAGINIRPGAVKLLELLVNDFELILFTAS HPCYAQKVIEHLDPQKTLFSHSLYRESCIMTTGGMYTKDLRIFDRPLSQLVLVDNASYSY AWQLDNGIPIVPFYDNKEDRELESLLKYLKGMQGCKDVREYNKKHLRLQYFQDPSGAGAV FEKLFQQKMEI >CAK91630 pep:novel supercontig:GCA_000165425.1:CT868664:55142:58546:1 gene:GSPATT00024359001 transcript:CAK91630 MDPQLSHYTQFFIVVTNLCKTNQITPEQKSLLKGNLTRKEEKICRMLMQNSGPGKEMQLR EALLDYLAAQNKQIQRRRSHKSKTVHFMKDVAEQQEKQPEVVPPQESSAQPLASAAAVMI EQLTGVLHKHIDKHSLLVPEDKEKLIQLSSLISKLTTEQVDLDGVSPFRFRYSSENSRLA EISEKDLDSSDEDVYEKMAKGIDEIYKELKSIFTGNLHTHLLLMQEDISYENLYQVLKFL LKILVDADEFTFFIHRDKEWEVYQSSNDSIKDVTPEEAQRVTDELAYIRPFCIYRIDPKQ RQYPQIEDTCKTIGYAQTSIVKFQLQLKNYEVLFCLHWADKDKKNIKRKFINYANMYGFN KDVTHLAQFLVETIITAKVQFFNPLRFADQVQDIGISFMRISRFLLVEGIEKVLSIKYEV DKEQTFSTDENIRRLKEASCVKIELKDSNPVTLRIKDMDLKNEQDQHLYQVIIQIQEKYD GYVKLCYEKSAFYKYFLRTTDSLLFDFNKQGELIFLSRPISKSLKERYNINFDPKAILYN KISYQDIFAQNSIISNIEVNIQNIHENRRNQYLSNLENPQFEIFLKVVDNDFKGFTVIFH ENEARRLKQYFMALKIDNMTNDVQKEAEANKSLEEDIQKQILIQYNKHQTFKFLNQLDET QDVANSMIALFIPENELSQIRHRKTDGRSPEQQNKDMQNDQWIIPPQKQKKIGSSVYVKC QLQLETVDANQFKVNESDSQLDLFEFNILVLDSSQEKHRIVYSILEKNGFISQYNLNKQC LAQFLSVLQKKYNRRNNSFHNYDHGIAVMQSSHFMLQCGKAKQFIDDFRRMSTIISGLCH DVSHTGRTNIFMINSQSKLATRYHDSSPLEQHHAATTIFMLKDPSLNFLNSLSKEQTQQF RRVLIDNILYTDIKVHFTLLKDFESRIKDEVTKPFGTGDDDLKLLTGMIIHTADFNGGAK VFEISRIWSERVNKEFSAQYDEEGRLGIPQTPFLKDLDKLHIMAKSEMGFFKVIVRPLWF TLNTFFDGFLQQSITNLDNTIISWEKIYHANLPKEERQQQQQQ >CAK91631 pep:novel supercontig:GCA_000165425.1:CT868664:59073:60062:1 gene:GSPATT00024360001 transcript:CAK91631 MKNINQFQSLDCQLGDQYDPTAKIVVSSRQEKDDYLLPRICKQIEFYLGDANLLHDNYFR RLLQENQGWIPLEAFLQCNKIKVILRNYPKGSKQQVLILIYALKRSDILEVDEDRGMVKR RIPFVDNSHFMDERTIYVENIPRVVDQQLLHQIFSRIDKQVLQVKKFDTYGFITFLNIES VNKAIQVCNNLIPKEIMEREIMIKGGLRVMSKIDWLNYKNQCKQLKQKKINELIEEPVKL KIKQIQTQDLKIEELRIAVGHAVNPRLVKHINIEADTCVIECANQFAADSIKLNIFRIQN YDDRFCNSFLDIS >CAK91632 pep:novel supercontig:GCA_000165425.1:CT868664:60175:62112:-1 gene:GSPATT00024361001 transcript:CAK91632 MTDQKFIVLNDHFKCLHNQSDICQLMESDKDEAIERLLDIRCDTYSQNLVKILSEHNTNI ISSPFKLPFLKPDIRTILSSLLSDMNSYYSYFCVADLDNKNLINVRLNFFVLSDNFIDYL IIQFEPSMKTINKSVYTFPDNFKLNNTNNFYNNSNHSKQTYESLSSELLGNIFQSISHEF GTFLNCIVTVSSEAMDNELINEQVKATYIEPTYINSKLLSYVLQSVRDFNTILLKQFALR LQEFSPYEIIQEIQYLLNQQTTQRNNQIIINCPQQLLVYNDQDRFKQVLYQLMSNSIRFT ENGIITVSITFYKDKIKVKVQDNGIGMNQAEQVKLQKLLKDNTKLLRISHNSVGCGLGLS ISNAIVLKMNGKHGIQFTSELQKGSEFFFTISNSQQLYNDSVYVASQTYVKVLNQTYYLE QQPISESSHKGQILNSDHKDDNCDTWRERSLFQNHAIRFRSADSKSLFGQKLQLEEDEIE SRQEESKIMIPSLQASFKSEIVKFSIGSNCCNRVLIVDDEYFNIQSLQLILHRFNAHCDF TFNGQEAIKKAIQKMKEPCRHCQNNGYVVFFLDLNMPIMDGFDTVRNLKKMMHENQIPKG ICIANTGYADLESKQKALNSGMDFYMIKPIEIKELESYLKRKFPQ >CAK91633 pep:novel supercontig:GCA_000165425.1:CT868664:62132:62687:-1 gene:GSPATT00024362001 transcript:CAK91633 MQRINFASFFYFEAFVTFELIWASLNSNDNLLWIPILLHYIGLIVKMALMKYSDFKVLWI VGVGNDGIVFGLLQNDNQDLAYLYVLILIQFELLYDTKMFYNQSILVLFWGMVSQILYNY VQVWRLMLTLGQCVALLGFLYFINRKKKKSSIAKSNQCVQQETIQSAKMHILKLMYE >CAK91634 pep:novel supercontig:GCA_000165425.1:CT868664:63229:64611:1 gene:GSPATT00024363001 transcript:CAK91634 MLRLAFKQQKRQSFQQKMKICELLLERGLKFYELEQFGNAIDQFEICMSVFAEARMPKRW EDTTFEELIQQKQPEQSLIDRLRLKLFEKMAECYYRQLEQGACTKMCDYWLQMSPQCINA LILRAKSRFLSDNITQIDCQMAFKDLKFAQQLDPHNAALAKFYEKVKAQLLAYKELEYKN YTDLQRKQLELIQQYRKQEDDEDVQQDFMAHLAYQDYDQELSYELDNTKPIPMEVSELGR FIETRGMEMVKIYQQNGQLKEAEDLREKLKKAIAAKKQLEKISQLDFNRPKKKLYEFAQK YGINLLDPQVQNEFKRIQEQNLEDIREWLKQNQWSYTDKATQMQEQELARQELAKLQFKR KTIPQKHNKNKFNKKVQPLISTQTSGSMPVTINNNITYNQTFNQCNNVINNSSMISL >CAK91635 pep:novel supercontig:GCA_000165425.1:CT868664:64808:65773:1 gene:GSPATT00024364001 transcript:CAK91635 MRTKSHNKAKSLHTVQEVSNTNTFFISRITQRSFIKSIIPPLQLPQLPQIQVPAPLTGRV VARKVIKATQLKTQESVHENEIKQQQSIFKLVASAEFKNIITEEKSKKEEDKNFTLDGPL EFKKEWRNKIFMKNPNIEESFDQIDENLNMKMFLKKIRQIITTSHIQHDDIHTNENSFLS DKFKTQMTSPRFTFTNHLSTIQFQNQATQLQKAGTIVSQVLEAIEEKNAEIQPLPQNNRR KLTISVCSPENEVGIFSFSIPDYGQRLQENDEIQEYSDKPKHVLKQALQFVSKYKFIVDH LITQENDNEVFEIYSQDCWNN >CAK91636 pep:novel supercontig:GCA_000165425.1:CT868664:65880:66143:1 gene:GSPATT00024365001 transcript:CAK91636 MSRTFTKLEENILLHLQLIQEKRGSISFSKPSESQQSSRVYSWCTNPANSNHESLSNPTF QVEIEPNRFLFSQIYQYDYSFGQFGIR >CAK91637 pep:novel supercontig:GCA_000165425.1:CT868664:66420:67407:1 gene:GSPATT00024366001 transcript:CAK91637 MFFQYNSILTGEFEDQHEIDNLTTDYGLIELEPEDQPQHRQSTIKIDIAKMFIKAAKPIQ KKKSNPDQSPSSERSNQKHNSKIISSPRHQTINQQTKPVKQLLEATSSQTSVLRRRSDSP QEEISIKENSNNTSQSNKMKATITQTIQNTTAPIFTKRGSDQTNCYQESRKKKGCDDDFF NETQITESRRRQSIPVIEIAKYLIEEQKLAELEELFANNPNLPINERLKDGNTYLIIAAQ TGNVDIVELLLRKGSFVNMQNNDGDTALHKAIAYNYFNIADILISQGASNLRNNEGLTPW QFVQ >CAK91638 pep:novel supercontig:GCA_000165425.1:CT868664:67444:69444:1 gene:GSPATT00024367001 transcript:CAK91638 MGKLNKEQRLEKQLAKKVEKQDAYQQVKLQETPDDDFNVANLIQTLEDQGQQIDSVKTQY LRVHEKAVRLINQIQRIVQEPETQAERDLQERKVAATVMKQDIKKWLPIVKKNRESERLD FTKQRNVQISKISDTSNALLNNHLGVKLQNAMEEVEKVEQKQELNGQSNQQKGNLQFYND LKMKKISSIKSKIYKQIKKKKGNKEKLKLMAQLTPAERRKEIEKLRLSRAKERITLRHST KNKYVSQLLRFGGDKKSLKQQQSFLNNLKHQLLAKAQLNELEDGEFDEENFREQAITQLE QELLDIERREKEKQNNFGFLDKQKKQDLQQSKSIAQKLLTMLKSGNDDAIQLDESDNQEQ NSDDNYEPNGNGDKDKTKTNVENNEFNGRANFVNETKQLTEAQKKKIDAKTSLSNYLNLE PEKQQQQNKIEKQLTVQEEKKQLIEGLNIKNIKQKYEKQVNQKLTNQDLKKLQSDPDELL QQNLANFNLVLDEDENENAFIDEKIKDMEEELPPEPANTKGWGSWAGFGIKEKKPLTQEQ ILQNKIKKIREVQKKRLDAKLDNVIISEKRDAKFAKYLVPKLPSEFANSQQFDQLHTLPL GPEWNSLTSHSALTQPQIITKAGVVINPVKIPQQINKLV >CAK91639 pep:novel supercontig:GCA_000165425.1:CT868664:69480:70914:1 gene:GSPATT00024368001 transcript:CAK91639 MSKQPFWKRLFCVCTGEESNEQRPKSRSVQTPNQGHYQQQKQMQNPMEDSNIMTQLKSMN QLIESPYHLITDNTQQCCFLVHKTQMQFPISKNLVVNSKNPTHIFDHQEEKKLLFDTKQN KIAPLHLSRYIAGRMQPAQRVLEINSQFNQHSIQFLSQGMSVIAVTQNIQHQQMGWHNLE QLKMHQLEISVIGANFATLRMDWNRTPVDGIFINLTNYRKDKSEQIYKGLVNAVKITSDI VILLSGQRVNFLYELFARLTVEYPNLLISVSIEEQEIVIDNRVEFTVIYMGQYSKLNKSN LIEALYERLIFQTKSYKEFELYTILQPILTDLIDSIGCIKVMKYFLESLYDVKQNQDLTN NFFKSLEQNKLINREKFHEYLAKYPIVSTPQLSPQRKPRKNTIQQQQSVSFNYDLQGNKT QNTDFLLDGGTFTPNNYNTNQAEGLK >CAK91640 pep:novel supercontig:GCA_000165425.1:CT868664:71703:72136:1 gene:GSPATT00024369001 transcript:CAK91640 MFRTSPYYTNVRQEVYSEINYQLAQELDQMKQYKDPTSSNSKKSASKQNFNSIFYKRTND QDQILEDQIIKKCSNCEIKIVSDDINCSVCNKLMCQKCINECTGCGNNCCLVCSKYAYLQ SGDFLMCLQCIQQQ >CAK91641 pep:novel supercontig:GCA_000165425.1:CT868664:72366:73289:-1 gene:GSPATT00024370001 transcript:CAK91641 MKNKKTILDEREHLWLLINSKPNKTFQLKGTYDYAAAISPNNQYIAIQIQNEDEERELLI YNTVMKRNIKIQKSNYIQLIFSPNSMILVGSICNKWIQVMKLKSTAKKFKVDKQSQLINP KHFPSNYNMEFKTDKNYLFVFSVENGQFAIWNLESDDLIAVVNYYIKQPMMVMKLSQEDS NILGIIKKMRIQYRTTSKDFKKLIFNNNLLSVEYLQEEGGMMIKCRNKILRSHFDSKFGD EIKSLSISTLGTRIIYVSGLVMYLYSVATGNILLKKKIYNVEKLILNDHSLIMIEGELIK YWDTEQT >CAK91642 pep:novel supercontig:GCA_000165425.1:CT868664:73775:75153:-1 gene:GSPATT00024371001 transcript:CAK91642 MSIDTIFILSQNGQILAHRIFKGLKRKDTLPEFYTQFIQYFRGTNADKEYPIIRIKDALY PFVTFSEFIIGAIVTEEIPILQLFATLFLILDVLKASFPNESYESLKQNLPSILIMLDTL FDYGYPQITQRYALESIVKPRSLIQKIKEKVIGRQNLQKETVSVMDSYIESQADMNEYSQ YRIPEIKGQEEVYFDVIEYLNCVLDKNGRILMEEINGEIKVDCNLSGIPELYVFLNQPKQ FDDYSVHECLLQKIDTFEREQVLVFVPPSGTTTIFYYNIKEISIRVPFDFIPKLQFLNNQ VKIDLPFQNRPVRDISYVVDELHVKLTVPTGLSLGETEMVQGTLQELENAILWKIGKLEI DSSIRLAVTFTAKEELFNIIQQGNFLVQLKFVVKTFSSSQTKIDKVEVKNGPKVLIKRAR NIAKSGYYEIRLN >CAK91643 pep:novel supercontig:GCA_000165425.1:CT868664:75384:75898:1 gene:GSPATT00024372001 transcript:CAK91643 MNHSIQVLIKYFECTYKQKLDILYEKIHPCKKIVEFSQAIIKKYEIEKELLNEYIDNYIQ KIIKYTQENLDKFLSQILQFVNNKLWIWMKILKNNQFSVKSPQSLETHYNQLIVLTLRSQ AHKFNLRMISRLPRHLIKSVSFIIQERKNKQVRHGCKNEYPKY >CAK91644 pep:novel supercontig:GCA_000165425.1:CT868664:77595:78772:1 gene:GSPATT00024373001 transcript:CAK91644 MKSIILIALIAVAFTARVQERNMAKVQADLAKSNYGKALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQVRTNEHNALVVSLEQDIQDAVIDVNNTQDTLDNLLYPRRGQ IQDKIDSVIGYQEDNRKNYDEAILVRGQEHDAFEAQVAELNDATASVDDALALLASLTNP SLLQIRRFQNTLKNIESKIRSRSRMAPMIKALISLASNQNFSNQDVLKNIVDALNEFRNA VVDQINDLTAQEAQDVIDHEAYLEQLDDEYHEFQRQIDRATVDLTATNEKIDSMVEFRDQ RAADQKQYTAELELENNTYAEETDIYTNTKNEFTRELGVSEQALALVKSVDFSNVKV >CAK91645 pep:novel supercontig:GCA_000165425.1:CT868664:79347:80378:1 gene:GSPATT00024374001 transcript:CAK91645 MATISNDNHQKLLIYMLTFQLQYQLLFGDAIYISGDSDYFGRWSLNQAKRMQWNQGHLWT IEIPIHDFQYKYFASTFNQPTNVEWEFGPNRLMRTTESSHIVDIWNHRKVMFQCYNPQKY PMLISGSTLDLGNNIKRVHMKQKQDISYKKIIANVIEDKVIHYQFHVKTKKHYSSSIMSL YLDTAALQNSNLSFSYKNYIVASCEGIETITKSIYQLDSHICYGYVPNDKTDFNLLKDYN VHTIVEFSSQVDQPTYSVKFGDFNYHTVNFRSNMNENYISRLCSFIQLLITKYQVIYVCN NSLSHVRKYLQVYKSLGISK >CAK91646 pep:novel supercontig:GCA_000165425.1:CT868664:80540:80911:1 gene:GSPATT00024375001 transcript:CAK91646 MQKYQEQYAKQSEEILGLFLDMAEEGEQPKIMSRLKKTLKKAQKNVHIVDDNKLDRMEME SMSGEQRLQVWQQQNDMHKTIMEIQGLSSDSDDEEEFVYNGSKFNLINMIVLLLTIVLIS QYP >CAK91647 pep:novel supercontig:GCA_000165425.1:CT868664:81065:81550:-1 gene:GSPATT00024376001 transcript:CAK91647 MKTKILDKENYLVEKIQFQILRDLLNFHPSRILEQDKNKKLAQCIIDAVEFHQLYCFSQS KRIQNFNKQIDKGKQSTKFQLLRNLCLCKEQLPLTQNEAQQKYFLEQQFFRFEDSEISLF QQTELHSRVYFLQDLLSEGSEKHLKIVNDEIQQETEGFTSE >CAK91648 pep:novel supercontig:GCA_000165425.1:CT868664:81977:83075:1 gene:GSPATT00024377001 transcript:CAK91648 MTRHSQIINTHKTISSVDDLPGLSIHEKLFKGGYGTIFKGVDHTTKQEIAIKYSKFDLSN EYKIMKKLSHIPGIPKAYSLGEQSKSTYLSMEYLNSDLHTLRAKLKQLSLRSICCIAIKV LEILKEVHALNIVHRDIKPTNLMIKSLEDSQIYLIDFGIAKEADQFVYTEEVEGTLLYDP LQVHRRQPYRFIDDIEMLAYTLVFLYKGKIALIIGHLPWMKFPNNILYNEEVMRHKESYL SSKTMSRLPFAELFGYIKWNQNVNRPDHDFLISLFKKILADNKMLENYLYDWVENITPLT SSKTKTTISIFNYFCDENEHSQTDLSDDEESQVVFTLTKQVYKFFQKE >CAK91649 pep:novel supercontig:GCA_000165425.1:CT868664:83195:83762:1 gene:GSPATT00024378001 transcript:CAK91649 MSNYKKSIVKCNLNNQLKVLRSIDLFGQNILLNLNGEDQYKTGCGGMMTLFILAIVVLFF QSNIQDFVNKVNIQSESNQVFEDQPDQIQINDTNFMFAVAIEQTNFNSNPFFNITMSTRR YERLGDGSLSKEESLMDLVPCTVERFQQIFNQFGQNFTQQYNEIGLDSWLCPQYLTPLQL D >CAK91650 pep:novel supercontig:GCA_000165425.1:CT868664:83908:85631:1 gene:GSPATT00024379001 transcript:CAK91650 MAHATEIISSQDFRYITNQMISPQKGDDYVQSFLDDELFFSFIPQVIGKEVDIFFTKYLM QTDNNLLPTGSEFDTTEMFAKEQGDYRDQNSYASDTYATIYLRRSPYTTYISRSYQKLDK MLSILGGFANIVIVVFGFFVGMYNKQLCNLQFDFHLDLIELANQIYDFNFGQEYHEKFER EKLAQDITYLKSQPMILSRCSPISSMNPGNSSLGKNYRMSIRQTFSQKLDYIQGVKANKD ESCKMIIGSHWQPMGDKDVEWQSADAADAYNHHQVDVSDIIKENAKNYQEDPRISKDQTE QDRKKSCKEIRQKIVQKIGNHNRKEYLTKQIQAMLDRSKPIVFTIKFLLHQLFCGKFFQD QNSILLNKAIKKINSDIDICVLIDKVNEIELIKELLLNKDQQILFNFAPKEVITIDNEYH QHQPPGRRENKAFTKTLGVQFGDVAKMMLDKKFKKGQFVTPGMQIYYKLYSAYEKVALSN EKNNRFNQVLIEKLGQEVKDVFDISNYIQGDKTNKHIEKLRKKKNNPQEEEQPIGLTSMD YRDINQSMIK >CAK91651 pep:novel supercontig:GCA_000165425.1:CT868664:85637:86218:-1 gene:GSPATT00024380001 transcript:CAK91651 MNSKILMIVGDYGEDLEIYFPFQALTLLGFQVDAVCPQKKKQDKVKTAVHDFRDGDQTYM ESRGHDFTINADFPQDPSQYAGLVLPGGRAPEYLRLHDEVLVVVEHFLKEKKPIVAVCHG IQLLTAVGGLEGKKLTCYPACKFEVTKQGGVYEKVGYDEVVVDGNIVTSPAWPGHANAFR EFVKLLGVTITHK >CAK91652 pep:novel supercontig:GCA_000165425.1:CT868664:86809:88832:-1 gene:GSPATT00024381001 transcript:CAK91652 MSFFYNRQQDQTLPLPHGYQYNQNPEVLAKQTNHQYFLTQAANHSPQENDIKLEIIPKGN QIDHLTPQRNRIFEELPDIKVRKELSQTRYKSTPGKRHRIFEQDNSVDLTHQKIEQKQVT RVSNHHNNNIKSLLLQEIETIKQKSQLYQGTYQQLLQKLIQIVETKQDDLNLRLDPEFNN IIFKIRTLQGLDFSDKIQIEKIIKQIVNQDDKLLFQGLVKELIQNEWMYWIEPLYYDVQK QQIPKEKWKSFIEKQKSIYKFYMNTQSILLHYLQQFSLSIFLSQSLKQILCTQYAYYKLL IFNSYQYEKQLALSKEIDKIKKGYMREREVRKGTSDSINQELNNRFAQNYRKRVENFVIN MFENPVVCTEYKQPTTQAFREEDPTKNLGDPQFYVKGFKHQKDLIQEALERNKDLDFLPN RQVVHYCFRERDPSKDIKRDVFRYRDKTSLGRIKQFLKDHTQTQVGNQKFSKKKVLNMEN LSENMSSLDRKAYLSRLIAKNLLPSLHQKTHFQATATMFNNLPLSLMDHARSAPLLKQAE SEEKKSQQQQREVQKTEEMELKDNKIKQGNELETFNPVETSKSVLEKFNVIRSKNPKIST IHKGQGHLISTLDKSIRIFMEQIQVKVNFQKMNYLIIN >CAK91653 pep:novel supercontig:GCA_000165425.1:CT868664:88878:91073:-1 gene:GSPATT00024382001 transcript:CAK91653 MMMKKSSEFFKKVDIFGQNIQLNFNGENQYQTAVGGLFSLAIIAVIGFFFQQNIVNFLNR DVINLNTQTIFDSNPDRIELNDNNYMFAIAVEQPKFNTQPFFNLTLRQRRYIRSSDGTLN KTDNFIDLIPCTEDRFQNIFQNQNFTPQFSSLNLQEWLCPQHNYSIILSGGYTSDLFEFV KIAVSDCSNNTASNSMLTWKPECASSQTRDKYLQSERSFRIKMYMTNNVINPLQVQNMSQ VFLDDESFFSFLIQTGTETDVFYQKYNITTDDNIFPYLKDLQENVFNVKKAGDFKTSTVQ NNGQQYSAIYMRRSPYTYVIRRDFQDIADLLSYLGGFANIVALIFGVLIKSYNKSRFMID LANQIYDFPIKNDSQAETQQRREIKKTIARAKSRTIIINKHQEQPQDQELQSQLQSPKYT QNQKLILNDTTKREDQFTSKQDEIYPAKTELIITHRQEEQRLFQQEQEQIIKQLGISDRK SYLTQQIQKILSRSKPILFNYKYILSSIFCSKLFTDRNSLLLQKAIKKINKDLDLCVIID KVKEINVLKELLLTQDQLMLFDFAPKQVINSYEEEQCMTRSLVRRTLDSVRTNSTQLECY HQDESLNAYYKLFQAYDHIHQSLQQSNKINEKLIEKLGQEVRDIFEVSHFIQWEKNQIKM DKEEVQCDSVNSDPLSINNIEQIKINLKVKQ >CAK91654 pep:novel supercontig:GCA_000165425.1:CT868664:91097:92043:-1 gene:GSPATT00024383001 transcript:CAK91654 MKQFLRYRFSTVLGVVGAGQMGTGIAIVGSAVAGLRVRVVDVNEQRLNASHNFVSKWCDK EIQKNRMTDQIKTDVLQRFSFHLQMSDLASCDFVVEAAPEKFEMKAEIFRQLVKATKPET ILASNTSSISITKLAGITDRPDRIIGMHFMNPVPVMKLIEVIKGLQTSQQTLDTTLELAS KMKKEIVIAQDIPGFIANRILMPYINEAIQTLHEGIGTVEGIDKAMKLGTNVPMGPLTLA DFIGLDTCLEIMRVLHQDLGDSKYRPCPLLVNYVNAGWLGKKSGRGFYKY >CAK91655 pep:novel supercontig:GCA_000165425.1:CT868664:92174:93478:1 gene:GSPATT00024384001 transcript:CAK91655 MKDSGQPLQTQEYTHRNEYKPLFTFGSPKHSLEQITTKQSTHLTTTQRVIPNTIASFDRE ESLPTISRKKRANIKKIKMITQAQQDLQILDYLEYVKQTQLQKKQLNNIFEKLDEKEGDT FVSLNQLKQNHLKSIKAEQNLYIHKLIFSSFAVYSIKVLKQITIQEIIDLYLAAQKIQTS QFSSVEQIVQSFLSDLEIASRIYNSTKLMASALCKITGIDYKLFYLLYKPKRILPNSEGY VIDENFANNYQYTHIDCYDVYYRIFGAGDLPYEYLEHMKDFYPQEAPPTMFNNQIRLKDY KLSKQKLENDFGKDKTGWYNNANKIEVDLDFIRKLLYYQKNLQISLKNKQQDIIVNKQLQ LQHYTKQVITEQPEEQKQRQMMQQTNSLLYKIETVENQIGTFPLVSRANRYFYENLHYLE RIQNKIKKNDYQIQ >CAK91656 pep:novel supercontig:GCA_000165425.1:CT868664:94017:95490:1 gene:GSPATT00024385001 transcript:CAK91656 MQYRDESDLTQEENNNCNQNQKISSQGLIISKEEEDQIVDMNGDAFKLNQNCDAENDHQK CQQELQISYNSLSDESDHYLCDHFYQGIEQINFDDEHENFQEKSNIINKTQLSQEEIMQN QISDYDDDEAHKKSEQNNQNQNIETIKEKMNRLDQEYTTRLSNYQEKKDKEYNTLKEQLQ KKLQENEIILRQQIEAERIELTNQYQKQSEAEESMVKQKQMKIKENLGREFIICVQKVNM KIGKQLLEDLEQYKQLKQKSNQSKLNLLQHKLYLNRLVNTKKIIQKKQSDQNKNSSIETS EKNKFLQNEFNQYRIQIIESIEQNIQLLDQQNAVKLHKEKELLKKEYNQKFESQKAELKY QIAQQQNKNKIIDKYAKQIFENHIIERRNFKEQQFQDEIKYIQQKELKIASYLNLDCNDK EVKQIKVDNLKQFLALKQQLNETLSNKIQQLKMDLQNTYQIMKSNLAETYIEKT >CAK91657 pep:novel supercontig:GCA_000165425.1:CT868664:95627:96577:1 gene:GSPATT00024386001 transcript:CAK91657 MEQYLQCQQIKIENNSLQDASSQLDMINSDFEQICDRVQILKLQDQEVQKELVQLQRKRY KLESNRQYKQYKSQMIYNCSQTLNELNDRFNNGQIDLSKPLEISESSSQEQVSSKIEESQ QFPTQMDLVEVMNLQYMKKWKAFVLNQRIIWTLQDEMRKREKAKLKKMGNKLNKDIRNIE QEFQQQNNIKKKNEFRLEFLEKQGQILKQQQSQIIANEQKGIQWKQILQQRKKVLDSMNF YTSDQSPIKKSLEELDNLYVQYINIDSETDNLQQNVQAEGQTKYKLYSPSQYSFISQKQN F >CAK91658 pep:novel supercontig:GCA_000165425.1:CT868664:97248:105663:1 gene:GSPATT00024387001 transcript:CAK91658 MKKLLLLLLATLSFAEVCVVRVGMTYYEARDLDISRFNCYMNFDGTIEALTRWVDIIEHR EQLLNDLEVLAKMIKIIESAKQATTVIRDYERNRPSFKAFLQNEWTSCRIDDAYDYVQRL NAQRTKEERVALANSIINYLRNAEKQIYGYVDSCRNVRLATHLKQKIAQLKALKQVCEQE LKRPQPREVIIESDDDDVVYYFEEQAIEEVYDYVYVYEDEMEAEFPVDDSEPQVYKYAQT PKVTKSSVIKTREIYGAQKDTSKVVDAHSTYGPIEYIRSGVGEECEYYGDTVNQPEPDYV DGVEETEEHPQQVTEDPVPDDKKVEEEEVVKEDTTEEVIQDEPVPDDEKITEEENTSEEV IQDDPVPDDEKITEEESTEDEIITEETIEDEPVPDDEKITEEENITEETTTEEIIEDEPV PDDEKITEEEAIIEITEETIEEITQEEKKEDDKPVDKQKVKPKRKEKIKKEEKKKKINQK DAEVINKKKKKTETKETKKTEVKTQEVTKKKQKTVSEKKKKKEVVEEKKEVKKQDDPVEE KKENGEEDGEVCVPQFKKKSKKVVKKVKRTGPRPKPGQKTRKEAKQEKKMAKKQPLPAIC YGKKHYVEEIVGEEIIQSKNKGANYLAVENLLNDLVNEDKHDDQNEHDRSVKLTSVKDEF NANEPTQFQPPKHRASSGRVQEKKAIAVNTKYFNSDFDGEPTQVFEFEDRALLQDASEYG YGFWVRFSEHSTKQHAREEGQYYFLSRMTTNEEYEDFSFYGDRTLALFLYDNSFVFSTYD ITTQSKTKDTNVVLNESMDSIWYFVVYTYSSQQRKVVGYVVKYGEGAPKYRIEIEAQHVP PTYVKFIFGGKHMDYLGLNGQFANIFYDVDAPAFIDGDESLEEIIKTLSNMPQQLPILIE ETIISKPILINGNEKGEHYHFDPQESQLLIEEYAVAFWFRWVDDLKVDEPNAFQLINLRS NKVRAQGKGVLGDRSLEVHHIYGGGIKSNVYFNTYTVYGNRGRGSVNILKSVESAEYIWT YVYFGYKEGRAYGALIKPQVTGEVKFEGVYHKQVNQLSLTVGGDETVSPFNGKIAFVGIY LGEGAYREGLDFQTTFNYGEGVMGVYQVGKPITYMGVDQNRYVEFDQVDNVVDKIILHDE NGMKINGQSEYAFGLWTRWLSTLPKYLNKRAPVHNIARVGTQGYIIESVDGKWIRANGGR PQTVKDTTLACVLTQESYEFQTLSLKDDIPFSNLEGQWNYVYFGYKRQGDNGVAKGYIQF GVDGEIEEVAFTVYHDFLVEYVEFIVGKTQAPLFNGELARVTFSFGPGAFVPNKETLRLF TQNTLPEKAQIHPVTRQTLQLFGSAITIAEDPIEFEFDRFQGAEEYSVSGWVRWAGPLVT GKESHVITLAQKRLLDIDGSKEETLQIIRGDSLYRFVTYTNNGKLIPAEQEFHEYADQWT YLYYGYSKQSLKTYGYTRFAFSDAEFTQENIQHFYLAVFSILVGHGRQSYVDFIGQMKTW VVNVGFGAYREGNFEEDENIKIHFGFISGADHIKQAGQEAHHEEKVLECATKDEVPPMQI QFEQSSTLQLHGVSEYGYGFWLRYQYFGSKQRIYSQPQLMGVARLTSNREYKDFDNPGDR ILLVLMGRKAFSFGTYDVITKVNNVGGDLEYQRESEGEWQYIYFSYKRQTQQEGHAIAFT QFRDHTEGLKMDVLHSLLTNYLYFSVGHAGKYYANFNGQITKVRFNLGPGSFIENKADLL SRIKTKDTIPDIEPVSKNIEILAGIHDVRKLDEKHHLTTFNQEVREYGVQLWFRWFKSQK STQLMYRLTTNGADTLGDAQKIGDRTLVLCHTEGLEFSTYSLGDKTVQLNNAYPVQIAKQ NQEVWTFAYFAYSKESQQVVYYINSEENEQHALESALHAVSSDYWFYLAKDALLNSYDSR LAQVVLNFGTGAFRKDNFKGLLVYLQSPKLFNPDAKLQWDYEEDELVLDSQDPEKQGLTI RIAEPNQKIESIQEYSVGLWIRFLQAWPSRLWNIPGEMQIFRLTSNEELENGKIVLGDRV LSSYLVHDNFLFGAYDISDDAPNEISTISYSSLEGQWHYIYAGYKRSIQEAVYYVYDGEH IQKAKNEQLLQRPLNEWIKLILGGEKNVAGFHGLFSQISAHLGKEAYVDNEEQLLKSIES SYALPLELTVGYIQKQKQGQVELEQYKSQELTQLQGIGEYAISGWFKIAEVQTKIEGEIN SPCQLLFRLTNNDEEHLSDRKQQGDRTLYAQVCTSDTVKISTYSIAGLKDWNEAKFLEAK AENGAILKELGHISILVATLIKLFEKDVPVVFKDVQHFVANNMFIYLGKDSFSRKFQGEQ HKWELLFGAGSHTYNEETMPNLRYIIKNKKNMWFMKEDKKIDTKFEQSFDNEVESVDEYA VGMWTRWLIAFPTTLTERSDTHTIFRLSQTLEYQDKAELGNRALSAFLKKGFYEFSTYDV SAPSNAVDAKVNYENVEGEWNYIYASYKNKQFYGLIIFRDREHVEQISLDVTHFVFTGHA NLVLAANEFGYKAFHGWIYDPRVFLGAGAFLNNPESITEMVHKLHRKVPISSQSAEGFGW PVKMLDSTNWDDLDEKKNELRYEFDDKQEMLSYSIGFWYQNAPLLPEMEDVFRGLLRLTS NNEEVGQDNQFIGDRTLALFTKVDELMAATYTIKDPSFESLQHSFTVKPYQWTFVYFGYH QHEVRAYVLQPAGIQEHTLEATHMIPNKLYLHLVNDIGHPPFWGKLYGLKVNFGDGSYLD KPQQLIDRWPFDPNKIILTKQETGPKE >CAK91659 pep:novel supercontig:GCA_000165425.1:CT868664:105687:106515:-1 gene:GSPATT00024388001 transcript:CAK91659 MQDKSNTNSSVIESQSQKKGVESFEQQKRKRTRKVSDALRVHKCECGKSYLSYPALYTHN KQKHNGQSLKNLDEQRQDSTISESQTQYIFATLANALGKQEDQQQTSLTNKDMYDLVMWE IQLYMMESDSKEFRLDYYHELLKQSSPAEVSTPQHAFIKFIHEVKEVLSFEQMKLVFLFV YSFRQLLLENPDCPTSNLVEKANQLICSQLEKVLKNIEQLSDRHYIVHLSRMGNLRETMI QIVLSFCNWLYARNLTDDKLSLKSDSD >CAK91660 pep:novel supercontig:GCA_000165425.1:CT868664:106657:108616:-1 gene:GSPATT00024389001 transcript:CAK91660 MNIVTLLQKQERTKRDVQALIECLGQVKFLNQLNNGQKLYQATLRGFAEKATLIRYEKGH IIWRDGIKQEKLFIILNGRVQEYVQLEDEEINKKRSDLIQRYPECQNLFINKPGRRIVYE YESETTDLIKASINDNMRRRRSLVFDIPSMADVPLIRSSSVIQKNVQPFSTITINEAPLS YMIVLSREVRDCLREVKLINDYHLQYFIEEFVLAVRQGRMFSHGELLNSDISKKKYDNTL LCLEDTMIMQIGLEDYHNIEIHLNQRKQQKIWDLIYSGLFGKSKVNQEDVNQIMKVLVNK YTSKKYTQYNIVYIQGEVQKFIYLVKEGEFQLSFDNQESVLQSTTVIAKVSQGCLLGEES YTANRHIFKCTSITSKNKIIGLSIHDLEALGHKFVWFRDLLKNKERIKQQWLKTRMNENV HFSLKLNEKQQNFEEEKKLLSEFIVPDPTIQITQFQRLQPSRPQMVTPSPLKRPKLIKTN TQRNINTSRNNSKGSTKKLENLNIFGNEILCELGIGKIATQNTKVSSFEPTDHSLTYRDT SRLLLKKIRRTSNYNSSQPVSHRDNSTMTNEIDVVPMMMNMNKNQLQFLKTMVGKFNYKN SQPTECTNQQSTPVFIQSIYPKRIVRRTWQGYD >CAK91661 pep:novel supercontig:GCA_000165425.1:CT868664:109276:110798:-1 gene:GSPATT00024390001 transcript:CAK91661 MNPKQPVFVQEAKLDNYEIVKPLGQGAYSEVVLAKSKVTGHESALKIVDKAFLVKEKKMH HACIEREVLAYLRHKGIIKLFHSFEDQSKLYYSLELLNDGNLLEYINKYNFNEKMIQFYT AELLLILEYLHQNGLVHRDVKPENILLTKDKHLKLIDFGTVFVYDESKISIGTKLEEIRI QYQKQRSPSLNDFEKPPIKYCRDSSFVGTSEYLCPELIDYNVVGPQADLWALGCFIYQLY THRTPFYSDNEFQLFHNISQCEWEQDQRIPNDALELIKILLDRNPQNRFYGDLNDSDYNY DSLKKHPFFTGIDFTHIWLQDVPQPSIHTQKRKLSRFQTTMLDKQKEREKPDIRGNSVTK HQTDQIVLKGNVDKEHGVLFMTSFSIRYADISIQGGIPYFNYINPQLNNKLTIIPLNQFT SCRLLGKGKFIVGDKNKKKYIFKERDHDVTAQQWVNTMNKYINLNHF >CAK91662 pep:novel supercontig:GCA_000165425.1:CT868664:111548:112840:-1 gene:GSPATT00024391001 transcript:CAK91662 MESQDIASAQMALEMLYKQQILEQEPIFESDSMQFINQSGFQYNQQNNFDIHNSKIYNSI LIDHKYFTISETLSNYYGKYVRKIPKVPFKVLDAPQLQDDFYLNLIDWSNQNSLSVALNS CVYLWNAQSSKVTKLLDLHNDSVTSVAWSLRGPHLAVGTKTGEVQIWDAIKLQRVRTYKG HIARVGTLCFSDNVLSSGSRDKLILQRDLRLKGNYFLKQSAHKQEVCGLKWSPDGQMLAS GGNDNKLYLWSSHKQDKPIFRLSEHQAAVKAIAWSPHQHGLLASGGGTADKTIRFWNALE GKSLQKEDTGSQVCNLMFSKIENELISTHGYSQNQIVLWRCSNMKRISTLVGHTCRVLYL AMSPDGSTIVTGAGDETLRFWNLYPQTNQDQKQPNGSLLIPTIR >CAK91663 pep:novel supercontig:GCA_000165425.1:CT868664:113615:114290:-1 gene:GSPATT00024392001 transcript:CAK91663 MEKNRLEKQQYFEQKLSNINLKIKQEREEKEYELFNKVGQKLSKSEKLLEDHEQLLLKMS QSKKNEHQQKFTKQRERYKQSEEKQQEKIEEWDEKLKSIQDRIEKFQTKKSEEWKSFKEQ RIQNKKDHLEFFQLIKKKEEKHQTQQLDSLTKNQNKLKKRKDEQEYILTYQTISKQKFQQ INQTQKGELINLQYSSSIQLLEQIR >CAK91664 pep:novel supercontig:GCA_000165425.1:CT868664:114336:115296:-1 gene:GSPATT00024393001 transcript:CAK91664 MLFVTESKFINISHPRKLLSLIQDYELKFRPDSPITQKAMESLGQDRYHFRRKNKFELHY DFEIQKIPYTEKDLQRAYLNYLRELIMDYQNLFDGRKRIKADFQRRQREEDELRGQYFHI LKLSKWPEPVKTKRFISSFEILNLDQKLQLIEDERNKEQKINDCFAKQAIKQELDRRLKI QEISQREEKLLATRKETFDNLKKKAASENKKVYEKLQIHQQKVREQIENDFQNSKSIQEK MEAKQFSIQQQRTKMISEIASRNRKLEDKINHFKDDFGNRLMEDLTRKQQA >CAK91665 pep:novel supercontig:GCA_000165425.1:CT868664:115313:118148:1 gene:GSPATT00024394001 transcript:CAK91665 MYSCDILPLPGQQFRYAKNLNLLRLDNYSKYDSNGHNFSQDQQSSTRIRKPTSKLKLLSV CNLVVIVVAYFKEGRRQKFLSSHFHLQFKGIQQFNQVSCIFVSTPKYICSLSGNTQKLNE MQAKVLLTDFQRKVTAYLKKVPNSNNNKQYYSDIKLPGYSKDYETQQIILHTQQEELLNR SLCLNKYNYRPEFSDVGLEVRLMNNTKSFSKQVIPNERIQNRLLKFMEKYLNDEHIDYDL KMQMMTKQYREIVKKIKTQAFKVKVPDHYQIQMSDFNDYYQVNERKQREYLEQQFDSLAD QIIQSIQQSQDSMSSYRESQSDIYELEHPQATPNNHKQEIQQEELNEIDEDDDTVNDMKK SKKTGKQKDPKKLTKKQSIKVQRPSTLQRQESDVIQQLSELNNQNLQREQRQPTVQQIEP IQEEELKQTIQELTEELQKPHKKHLTKAQREEQKKRKKEIQRLHEDIERIKKEKGGDFEY EKSDSDSEDRFRRQKLYNQFDDMFKPRQLSRRQSHQHDQSEGEDLDYASEQEVEDRNKIQ RVEQIIQQKRDPNYQYNPQEFWQQEVKINVKKPQFPNVVSQQRQQEQFLQFQREKLEQQM QMINQKYTQQPNDTSQQQPNNLQQQIPTQQQQQQQSYQFQQQIKNNQQQIHPSNKNNPQQ QSTPIPQQDERQKQHPNYSVQKSFKTPQSMPSQQNNQDSLPGIQDHSFDTKQNSQPILPF QAQEVQNGVAQIKNQTQNKNKNQQQQQFEQSDQKQKQKQQGSSANKKQEQPTSDNKGRQI PSMKKLDQEDAQISKEIEEQLRQVQYTLNRVKMGMYEKMMAAQSVYDVDIHEYKLIDFPL NQTVFPKLNGEDEKLIFQQLFAWQKEIYKTIPILHQVNRNLQIPDENEGAASQLVSSDDD NN >CAK91666 pep:novel supercontig:GCA_000165425.1:CT868664:118870:119462:1 gene:GSPATT00024395001 transcript:CAK91666 MEEQGMRPETSKCSRMEKRRLVSQSHQRQESSQAQRINGITLQQYFRGGNFRVLEINEDE WDNQQPEQQAPKAPLLQNNNFRIKTDKKINNKKLLERSPVQNLTMSKDFNQRKINRPHIT SNEFFQNEDDLRKTKIELKYGRMKEDAINRFGNPDQELFNKYADKFNITKEPPKLTLAPR ELFTKFK >CAK91667 pep:novel supercontig:GCA_000165425.1:CT868664:120456:121735:1 gene:GSPATT00024396001 transcript:CAK91667 MIIIFLFLNSAFGISINLFRRQTLPQNNFSNYYNIQYYGLVKIGTPPQEFTVIYDTGSGE LWVPSYGCIGCHNKEYFRTEESKTIEVSDYVVEIQYGKGQVIGRMVEDYVGLPLSNIHSF MPFLLIIRETEIHGLQSDGIMGLNNDQSIPNLFDIAAKQGLMSNSIFVMQLNQNPYQSRI YYNISDEKLNNGTTWINSTSDSYWTIQIDQAQIQDYIINFNETQFALLDTGTSDLILNEE MYELILNSLLKTCKKQFGIVFCPCNPSEQQKQYLPDIVVFSKGYKLIISYSSYIIKDDSS SDGYCQITLTSNKILKDFPNQMIFGDPFFFNYITIFDKQNNRIGFQDANLGVWDNSQQYY NDFTIEFTGLFWFSLIAYIIMIGGLFYYNYILSKAQIGSPSEQGQSIQL >CAK91668 pep:novel supercontig:GCA_000165425.1:CT868664:121809:122165:1 gene:GSPATT00024397001 transcript:CAK91668 MSKLLKKMKEANEHPQAAGSNSAEDKEELQKIFNRYKVNYTDAFIEEVLNWKKSD >CAK91669 pep:novel supercontig:GCA_000165425.1:CT868664:122470:124562:1 gene:GSPATT00024398001 transcript:CAK91669 MKKVFRFDESSNLKNAIFVNTIENQKSVLLKTINQEFQANIFENIPRTSTFSKHLKQQLN QDTQQLKKGDNQQQIQIKKMRGEIKLPKFDGEVKWPFFRVSTDASIKHFWNRKTQQDNKK KWQHDNYAFQNIMLRIGRKKKNAKGYYFKLTYSGMLMYFKKESDNYPKGYLELSYKNRAI LSFQKTKKNIQIPLIYIERAEGIGITIFDHQNELTLQFFEALQDFCLMKGYEHIYSEIET LGSGAFATVYKVQRKRDDATFAAKTITKKMFEENKHQEKFIQMVYNEVIALKSFDHVGIE KIYEVYQEKEKLVIIIEYCDGGTLYQYFKQKGRLVEKNIACILKGIGDALFELHQNGFVH RDIKLENIMIESKDTLQMKLVDFGFAEQINEEQLLSKAGTPGFLPPEIFKGQPYTQKGDV FSLGVVLYILAAGYPPFRGKPSQIQVLNQKCQINFEKHPWPELSQDLKQLIKKMLESKVE ERLLIGEVLDSAFVTHHIKNTSETNYKSYQMLSGLDIEKHNKKTSIKNSESGGSKQSKEF QLDFSVHTNDIKSLYQKNSIKTLKTVQSQRSRRQSVKQTQNLKQSMSAPRKSDIDKYQEK RKQSHPDRSSYQRDSEILNSSFGEIMKQNEKSKMRQSIMTTFIDFQALSFDQKYFIRKSG NIFNKTKIPSL >CAK91670 pep:novel supercontig:GCA_000165425.1:CT868664:124590:127754:-1 gene:GSPATT00024399001 transcript:CAK91670 MSGINININLNLEKKKAVKSKAEKVCNGEPKKKKKKKLNKSKQTTSSPIPWGLNQERLKN YIYAQVAKRDGLLHQEQTRKIKIEDPKQDRREILGVSFLNHLPKKKIQQMIHSKQKINED EEQMFNQPKFNDQQLHEIRIYKEWKRRQVQFELQFKKQQELEKQIKIQQNLQNLNEFIKY RNNTTQVIHRKSVSFHKKKRPKSSLDEKKRELVIQYQNIANRYAQINGDTRDNQSCFDLV LDSDQEGISQMDSIQKSTKRQNIVISEPSLDEEEEQQIQELGNLEKEMAQILKAAIVIQK VWRGYKTRHVLEYYKNFVDQEEMNQLPSMNQAQSYLEPISQSVQLEKEQSGLKSSQKRNI SNGFNFVAHQLDWDEDKQSHFSSQKVSSVKKLFQDKSLHSIIIQKQKQQWHLMLEQISQL QRKGSKQNIKEVLQDLKTFTLQIAKDIDGETIIDNTEKESQQKSFKMSEIRQAMGSENLI KLSQNQSPVNKDASLFEQNPFYDFASKKFRELLNPENMKKLIKMRETAIEERHKNELKNI HEALQQKQISLKTFEKQKFKIEKWVTKEKDEFKQQKSNIEIGWKGLYESFIKTQKDLLFM SKLNNQQLTSSFSSETISNIRLIREINSGPQTKIGKTNNFDTATMNYSSPICESQNIRKV PKSSPSPSPPQYQKEDNQKLIYEQLEWDDEMLYTSDVLDCAKIQPSQVIKVSTHSQNEPE YDDSQIQSYCILIANSIIGNEIQDMYEELMVFNKNITASIKLPEFKGIKSNIQQVKTYLT RLEQFLLQSDWKAALIQKINTPFGPNPKEILKFFHYYDEEDDYQSSEQDYSNQAILSTQL YAEFENILMEEEKMSLEDGFLLELEHIHNKVLYDALNEALDYYRPFGLNGYPLPWIKQPL ELIQRNKKQETLSQIFQGAIGQVTDWASCLVGLIIDKPDSPFPKILMLDQEYLNQIKEER MIRMLNQEIYQNEERWLVYDEEQSEILVEISLMVQDQLIDECLKELLQLQ >CAK91671 pep:novel supercontig:GCA_000165425.1:CT868664:127831:135505:-1 gene:GSPATT00024400001 transcript:CAK91671 MKFLFILITNLYQVMTQSYTLVKSDLVTTGAHTWVDEASAALAITATMCSSLKQPYVTIT SDLAKSISNQYVVNNKDHEIYIKIIVAGTWEGDSLAIKSGTTTITTQQLTTTTQLIESYC SLSNVKVLVFKVQVLKTTLLTSGSDYILKLVISIPTLNNNTKKKTIYVGPVLIYEIGPTV ASPYTYVQNQQFYYFTSPSQNPNTVLPKFSYTSINAISSQKYDLLTFGGSAANFIIGGYR RWNTGTITFTQTLDIHYKIKLSFYVYAFDTSTILTSANLIITINGPSGSIVIPDTSISRI QAGNLYGNSGTDSVYFVDYEVNHENTNLQITIQITTPIDLGITNFYLLSKNCPQYCQACK AEGTCDACSSVDNRNLVTCQCNEQYYEDLVDHTCKACDYRCAKCVYDATDVKGKCQLCKY GFDINNKCQTCIYSYQFENTAAVKCENCLPCCLTCSGRTDKDCLTFKKPYMIMPDNQAVL SCPSNYYTIQQTVDRTLCVMCDISCKTCADTQSKCTSCDPGYDLLFNQCLLACPIKTYRL NKDCTPCPDPKCENCSESQCLLCQANTYMSRISKLCISPCDTGYYGDDVTRSCKKCHQTC KECNNPLFTQCKICPDNTYMLVLDSSSVTGICYKVCKEGYYPSGKFCAPCYQGCQFCTSP TVCQTCVAGYYLYNDFCVETCPSNMYPNQYVQKCLKCNAACIECTGGENYQCLTCSGGLL QFSGKCYPICPIGTVAVNGICQSCALNCDICVGTNIYDCTQCSTQKYILNGQCYDTCPPS YQGNIQTFTCEFCIDNCDVCVSSSCKLCQSGYFFQDGQCLSSCYDGYYKSNDDRKCQKCN FICKTCSGPDTDDCLTCPSSYFFYFNNCLPECPEGYYGAAANICTQCDPTCLACYGPLFD NCTTCPTTSYIYQSKCLTVCPNGTYASDTYQACMPCHSSCLTCQGGSSYDCLTCPVYIER MQCVTSCSVGYTLTGVECHPLIAISIPCDPQCATCALQSSNCLTCQPSRQQNKPQCTCLK GYYDVGTVNCLPCDHKCATCKVQADNCVTCRGNRFTSVCRCPDYYYEDGVSNDCPKCNYK CELCVNNICTTCADRRQNVPQCTCPDGFYDNLSPICQPCSDVCTTCSEIADKCITCSGIR ENPPFCTCPSGYFDYSGQCIKCAFECGDCDSNGCISCKGNMNGPFVGKCICKDGYSRKSV GSVFCTNCNMGVPYSILTSGLYKIKIDFGGPLDLLDPTKTGCDQYFQASSLSTLGTNPIC IIDDTTIIVTLGKYSTINIGHSFAFGTGFKLKICTTGFSVFQPSNLIIDPAVSNEENLPY INFVAQQPKTICEPVVIKYSLGNTGSRNLDVFSWTLTQPANYVNAQLDTIINSITPAKTS DTNITIPRLVLKPYTTFSFDIHLRNFLALDAQNTYFIQTLGPAQVSIQIPDVQNTFYRYE TTQLNFTFIYTNCTLTTEMSDTVHVLIKLDTLTLAEYNQAFFFQMSSAFDFEVPIDPYIL EVGSNNITVTISVPSQLLSTTVFLNQEISEGTLVIKVSGGNRQVGDVAIVILTASAIDQD INPKESDPFQYVFSWTCFDVLKGYECLDLYGKLLKYPNGKSVTIYANELSQYNSYVFSAS STKGNKQATGKSMIQIVEVDVPQLQSANETEEAETMAKTFNYYDEVQLAFSYSLANPDNL FYSATIIYDQVSVKSFKFYSLTFKFRLLDYFSDLNSIKGNEITLRTSVYNPTYVMPSLRS IILTYNLPPMNCSLVFNNPGDIIYELKTYVNISIAQCEDEDQPLEYKVLLYNDKDMYDYD FSIGKFINNINILDYQSNSTMLFKLPFVGPKQSVNFTSFLVFMIKDKRDGISNLTTPIVI TKNFEINQTLLTQMIDHSENIEDTLQTQDLVVSRVVQMDNCSQFKYDLIRKNDLIAQNDL PIDQQNLILNQMIQLINDKEVSKQFKVQLYSLDSFDSIIQSNFEKLKALQLDSQSTLQQQ SYINNYYKYFDLFSSLISNSEYFNTTNEQVLGYIENLKTQLIDLTLLNSDGFTIENDQAT LQVKKASYKVINEIAGNILKEETVFRLLESVEDSSSYQYYFNKFIVNPYLNEESFPKSDS FGAEVIYLRVIDELTKINAVMLQPYTYTFIVDEDYANKRTICIQKLFEIWTSKDIETTLI NKSAISCKISYFNPFTIVINSTLDNNTNNNNTNNNSNSTTDDTDDTDNNNNNSTDNTNNT NTNTNTNTTNNSNNNSKLDNTSDTLLISEPFFIYLICIGSITLLFAFFAHYKDTQFAKVY NHKKSQSEIIITNQKEETPDMKKSEIFNFKESKHQDEQHSPSLRNITKPTSFKKFKLILL NFHTLVSIFYRDEAYRKISYFNYVLRFLFIQLSIFITYIYFQFNYQLALVFLLTPLAFSI YTFLMNIPLKNQKFIILKLIVTILSLAIIGLSIYRVVYYITDGNEYNLTTITFFLASSIV YDNLVYNLIVIMILFMMTIKEGGVSLWIIKMMQSADQELIMIHYPNSDAIKQQ >CAK91672 pep:novel supercontig:GCA_000165425.1:CT868664:135700:144544:-1 gene:GSPATT00024401001 transcript:CAK91672 MHFLNLYSSKVGGEPVINSDFSIIFGKNSISQVSDPIKSKLSFNFNRLQLQQFQQILPMP IQKLINVWKSAQLIFIFCTDSTLPTTVDINRDFKGQFHSNKLQLCWIDNFEIIYINVFIK FEPLIKLKKLSVFYIYKTKTGKQNFISCYVTIQKNLYDILLMLFIYQQQSLTFKILLQKE FKEYKIKYCGNYLIIYCIILVVLDQQQRQEQFKSTIKSKMIENTQIYYFSFLINLCLSQH TYKFSQYSLQYNAAYLVQSDVTTQTTSSQWNDLISSSSVLLCTNDKSENYIGLATNSNQI QWQRSFNIQPLTNKVYIYFEYQTSGQWNDDEVVLYVDNVKQCSEKIQLTDTNKLCNENFL FNSFMYLVYNTPKSTLDLQIRVIKNNVGVYIKNVIISQQIQRGRGELLQTMLNLHVCNLG QSLAHYYCKCNNALVYQETQCIASCDQNYLYSLDDNQCYLKQHCTSCVGLNQNLQCDSGY YSYLEQGQDLPVCISKCPNNYYQDETTQTCLDYQEYLSNNENSGYPVDYVYFIRTVAEFM HFLSLSDLQIMIVSEPKRPKERQFFYLDNNFYIGSYNNFKNQSIQIYTIIKNPQHKLKFR ATCHFIDFYPTNFEVDINGAKQNDIPVLLDTQNRLRQDKQDYILNYEWTWQKTEENNLVQ NSIQFRIEQPLQEGKYIGYFYIEDLHVYSFQCMTGCSNCFTQTSCPPCTNPTYKNPQDCT CISGYALQSDICISCPAFCTTCITAGNCVGCVAATKRSNPPTCNTCPDEYFVKSGEINCQ PCQNGCLKCIVLASCINCKSGYFKSNTNQCIQQCSDGYFQDISTPTDPKCTICDPNCLKC DTSATSCTDCIPEGILLNSHCFICVEGEYFSGTTCAPCINGCQKCISPTCQQCLEGYYYM ASKNECQIICDDGYYGDFQARLCQLCEPSCKTCDNSTNVNCLSCNPGDVLNVKNIISGEC KFTCLPGFYKEGDVCLQCWKGCSSCIDQTQNCLVCARGYYRLKSNGLCYNQCPDGYYNNN IGSLCSPCHPICRTCYGLLSQNCLSCSFPLAYYQNECLTECMEGYGNVNNICTPCVNTCK KCYGTLQNQCLSCIQGYYYLNNQCYVKCPQFYYSIRPQYVCKCQFLNCIKCLDYQYYYDN QCLDVCPTGYFGFNKICNHCDITCLTCFGEDSINCLSCNNNLILWKNKCIPGCLGNTYHD VLNNECKYCNNDCIACNGPNNNNCLVCPQNKLLTLQGTCANECPSDQYPVLSENKCYHCH SSCLTCFGPSNQNCLTCSQYFYLFECVSDCPEGFSISKNHLSCEPDFDIVLDHCTYQCES CWLIPRFCKVCAANRAPNPPNCDCEPGYFDDGTNSVCLKCDNKCSTCKGLPTNCIKCKGD RQYPACTCPDYYFDDGSSVNCIRCQDNCQNCDKDGCTSCLADRINLPDCVCKDGYYDAYS YYCQQCSKRCSTCEGTSNLCNTCSSIRIEPPICKCPLGYFENSDIECQQCDPTCVDCNQY GCLSCFGNRIGPIFGECKCDTLGISRYNIGSVYCTDCQVGVPYFALNEEFTGFTFDFGGP VVYIPFEIDSLCEAFFHPETLLKLGTNPRCNLEFNVYFGDNPNIKVGDKLKLNNEFLLSG CQIKFIQIIPMPLSIPITIDKTTHKPNLKFKDLKNPNICEIMKIEFDSLAFNGNQDFNII SWNLVLPPPPSPDIEAILLTHTQNKTPYLEFPAKIFVSGTTYKFSVTVESFALLQNTIEV QFKAIQRSSVNLVSISTTNQFKRFENIIIPNRLSYVNCIEKNPPPQIVFYEVYFPNLRMT VVKGNLTENLIDGYQFDIPVTFEPYFLNFNNPFLLIYNTILSRADYTVNSSSRFEFYIVP SSPILTIAGGNRMIGFSDPLYLNATISDRDLNEQEANTYVYECSWTCVDIVNGTFCQNRD GQPLEFNTSCIQFIKPKTFNPYTVSNFEVSIFKQDSKYSTTVSIQFIEIDLPSLIVYGST QDQLYNYYDELIFTVQYPGVNPDLLMYAGAVIYDQKVVNTFEFYYLQFKYREQDHFTDLE FGEANTVKLRLSVYDPRFILPSVNTQVLNLNIPPQNCSLSYQFPESYVEFLDELSISITG CRDANPILFYGVQLFPNQSTYKQELITAKFHSFVMLSDFQYSNHFKLILPYYYEAEPLLV FNIKNTKQGIVNLTLSVNVREFKSIDESTFKEYQSKLKSFDEQLIGYVFITERLKQLKSF TQYKENLYKELLSIDVNQTLLANQTEPLYRSISNLLSQNVSLMSINESLLLGQVNYRTLK AFSILSRYFSLQKQSQLTSEQNLDKNEYIRQYYSFADILSCGINFKVIQNVTSDYLQYLL NQMQVHLQSISVVNEPLYKMIQNSVNLDFGFVTKKQVELIVGLNSSFGQPIGDSQEVTNI YKAATNYYKFSITRFKDNPFYPTPQFPKNTSGYQVVDPKLQETGSSRNSKLGEDVSFSIP KVRTLESNTSLKCVSQLQNGTWAADICKTVKKDLEVICKCDELSPTTVMESIEQIIDKGS QVFSSNTLDAFATFPFYQTIIFYFYIGITGLYLTIVYWGIKVDNQIFSQIHIQQEQVINQ LEGKNTVQNKLIKRADSKYTKTGQLRDSSIEYQHNIPLFKRNMFGTGLSYGAIIFNKLKP ITSSQNQQNIKQATLETKPEEKLNNNLNKIDSLFSQSQVLQSTRIIKQGLFNKQEELVKQ EEPLKQEEEQSKGQKLQRQLTIQDIGLKSMLYRKLISNFKAFIEFLKYFHQILQIIYKDD PIKPRSLRASIVYVSFLGGIALIFLFQQPNNLSFTIAISALTAPANKVYQIVLEKTLSNK SKMVRMIGVMIMIGGAAFISYIMLSGLVLMNQIEQSNQMSLIFIGAFVADNLIYSPLSLL VTYFIHMDIIRIPILQTILKKILDEKTKEFLFGLADNIRQN >CAK91673 pep:novel supercontig:GCA_000165425.1:CT868664:146948:147541:1 gene:GSPATT00024402001 transcript:CAK91673 MKDINSQSTLTEQSNDILSEQQMVEPSMLIKYNDNMTIDIDDQGSVSRKMNMKNKKKKRQ GRKSRDFTIQEDQKLLGLVLMFGPKFKTIQRKMSGRPLNILKNRYYRDLRYRWDEILGIE YAYLNEKKVDLSLDEIWKASPLDPDLSKIMMNMITQFQNVITKCLI >CAK91674 pep:novel supercontig:GCA_000165425.1:CT868664:148791:157053:1 gene:GSPATT00024403001 transcript:CAK91674 MIKVIIFIVLFYEQVLLPCKCKYLVLTDLTNESTVSLWSDETLSLPLSFCTNELSENYIG IDTNINQIYWKRTFSLPKTSRLYVYFEASLSGNWNNDELQIYANDQKVYSQKYTLTDSNR DCHQRFLYEIQGYFVINMRFDSLKFELKVSNTNLGIYIKNLVLLQQQQRGRNEILPFIDY IPACNPNFYFSQYYCKCSDNLVYQSNLCLAACSQNYLYDSTEKQCLAKDYCTSCVGTQTS LTCDAGYYKYSGTDISDQNCVKRCPNGYYQDETTKTCTDMKSYLQTNQNGGEQISHYYFF TTLAEFMHFYAYNDFIATVVSIPEKTKERGYFYYSNRFYVGSFDSFQSQKVLITKNIQNS QHKLRFRAVAHFIDFNPTKFDVTINGVKQTAATLQVNTINILQSSNSDYIAYLDYTWVRT EQYPLTQDNILFEIEQPSQGGKYIGVFFLDDIHLYQFQCQTGCNSCYNYAYCTPCLNPAN KNPADCTCLTGYVMQNSQCIACPTYCTTCVSAGVCVDCVASTKRINSPNCDQCPVNYYLD AGMTNCQPCQTGCYSCTVGSACIQCAPGYFRNQANQCITQCPDGQFNDSSNVNDPKCSIC NNNCLKCQTLATTCTACKGLATLVSGQCYLCSEGQYLSGTNCVNCISGCQTCSSVTCTTC QDSFYYKSSTNECISVCDPGFFGNITTKTCDQCNSNCLTCVADTNKDCLTCPAGKVLNIQ NVISGECQTNCLTGFYKVDDGCSKCWKGCQACMDSTQACLTCASKFYRLKTDGWCYETCP NGYYNNQIGYLCSPCNSLCKTCYGFSELTCLSCNAPLAYFQNQCLVECYDGYGSISNICQ PCAANCKKCFGTQPNECLECMTGFYTLNNQCYVKCPKSFVGIRPQYVCKCIYDNCITCTS SQYFLDNTCYNICPIGYFGYNGLCIKCDVTCGTCFGEGVDECSSCNTGLILYQNTCITDC LGKLYQDVLANECKPCHIECEACTGPNNNECTACPNEKLLTIINTCSDTCTDGSYSVLSE KRCYPCHATCKTCFGPADENCLSCTNLYQANQCVNTCTPGFTINATGTACDSDFPLVIGS CSYQCKTCELAPRFCKQCAGNRSPNPPNCDCEAGYFDDGSSSNCPKCANKCLTCKGLADL CVKCKGDRLLPTCTCPDYFYDDGESVNCVKCQDNCKTCDANGCTSCLGDRINNPSCVCPD GYFDSYQMYCSQCAKKCVTCTGSAELCDVCSGIRVSKPICGCPEGFFENSDQECQQCDST CKDCNQYGCLSCFGNRIGPINGECKCDQKGISRFSVGSVYCTDCSLGVPYFGLNEEFNGF NIDFGGSIIYLDQGTTNLCEAFFETDTLSKLGTEPVCNSDFSIIFGQNPTIKVGDSIKLK LSFILTGCSYQFLQILHMPLSIPSTIDLETHKPSIKFNDLSTSNICSDLTIRFEELYYNG RQKFKIISWNQYIPSTIDHQIQTLLSANTLNHSDTLTFPPKLFQSNTRYKFGVTVESFAK LQNINYFQFEANQQSKINFYPISNNDQFHRYDQISIQSQISYSNCIEKVYPKQTLFYEIK LKNTKAQLLNGTLTENLEDGFVLDIPLDFNQYYFNFSNPYILIFNTKLTRPDYTVSTSQH FEIYIVPSNPVLTIAGGNRMIGYTDDLFLNTTITDQDLTQLEASRVIYECNWSCQDIVNG SACQDQQNQTILFDKSCNQYLPPRTFAPYQVFNFEVAIVKEEIIHSTKISIQLIEIDLPA LTVLGVNALETHNYYDEFIYQLVYPGINPDVLMYAGAVIYDQVVQATFQFYYLEFKFKVQ DYFTNFQDSLGNGLKLRLSIYDPRFIMPSLSTQMLTMNIPPQNCGLEMKYNEGFVEFIEA LDVSVVNCTDADAPLLYSVWIFPNESIYQSDLVQSKFYNYMLLEPFQKTNSFKLYLPYFN EKQSLLVFKIQDSFGGVINITQTFDIKQYLQIDETTFTNYEKQIETLDQQLIGYNLITER LKIVNGSQEYKEQLFYKLITFDQNQTFLSNQTESLYRSISKLLSQNVTLLKSNESMLLNQ LNYRINLAYAQLTIFYSLQRQNKLNSTQNIEKTTYISQYYTFSDILSSCLNYRVSQNLTS TDIQNQLQEMQSQLQAISVANEPLYKVQSNSVNLNFGYLTTKMAGEVTGSKSITKSRLLE EEASESNTDLNTSTNFFKFSMTRFIDNPFYADKNFPKNSSGYQAIDPKLIADAKSNISKA NSQMKYKFPTPKKLENNTQIKCITEVESGEWNADVCSTTEADGEATCQCESLNPTSVMES LNFIADKAAQVFSLDTLLAFGSFPFYKTVVFYFYLLITGVYIAVVYWGVKVDNAMFTRIH AEQELAEQKLKEEQLKLENIEQDLENKGENKEITENKQESHSFRQLEEVKEHDTPQVEVL PTLEKNIFKNPKSFDRVILENKISIVNSPQELLINQTPESKLDINLVINHGMPNGQGSDL LLLRQTSLKIDNGLHTLDNIKNEEAQEKQEKQEQDKKKETEGNEEQKQKPKGLKDLMIKN SISRIKAYIEYLKFFHQILQIIYKSDQKKPRGLRASIVYTSILGSLAVLFVFNQPNNLSF TVGLALITAPVNKVYQIILEKTLSHKKKVVRSFGAILMIVSAGLISYVMLAGLVMMDSVE TANQWSFIFVGTFTLDNLFYCPISLIFQYFVHMEFIKLPIFQKLLDKILDQKAKEFLYGL IDNIGGEE >CAK91675 pep:novel supercontig:GCA_000165425.1:CT868664:157105:158048:-1 gene:GSPATT00024404001 transcript:CAK91675 MKICFYFTKQTLCNRSFAQADVPLVAYQAGLSFNMDLNSPFRWTPKIQKIEQTPEFLKKM KYFQRLTLMEDHHYSELIDTFLFEALKNTEFDYYYDYKCESNTYCPFYGDIPFLIYNKVK KYPIIPVMRTKPINAFTLVAKEREMLITEPVAAGLWALTNSQVQNIDKVRMLQTDGNHWR MLELCKNERFKKTGIYCQENDSYSKIYYDYQVQQVILGLIRYSLNEMNEKEQALNLIYDF VDERRYLTHEGAPPKATAKFWKFVPQSIKNFFF >CAK91676 pep:novel supercontig:GCA_000165425.1:CT868664:158361:159644:1 gene:GSPATT00024405001 transcript:CAK91676 MQKKNIREFDSFFDKRVKVVSNIIHSNVLNHKLIEEAKLKELLLENKTSEYIEELIKKKK YSTAYRFMNALQYDTVSYQELVYSMATNDMKLQSKIIREQHLDTKDNQKVLNHLHGESMR FFIFRAEIPIQKVEELFLGDESKLQFLVENYFTSNKQIAIQIAKRNNIKVQNPQIQQEID NCTNVTENALLKNDDFLPSEVILKTKNANDYVLLKNFNISREDVYLIEDEAQLTDEIIEE ILNAPQTGIDTESFQEIPQTKFTSRMNKVCLLQIALPQKIFILNSANLTSSCKYQQFLVK YATSNALKIGQNLRQDFLSLLGQIRASGVQLNQIIELSELFQQKFPQEKKTNLSFQCSKL LGKELDKVEQISNWQRRPLRNAQIHYAALDAYICLHLYNLYKQ >CAK91677 pep:novel supercontig:GCA_000165425.1:CT868664:159796:161869:1 gene:GSPATT00024406001 transcript:CAK91677 MNYQLLDTLELGNKRNQARLLEQIHELTTQQKKKLLINILKCSLQERKQEILQMMRILLE NVDIFQQFQTDQNDLEAYDYCLRHIQYDTFDKNAVIYEIGEYSNNVYMVISGQVSLYIYD DIFNTTRKRQQSFSVGSDNKTQQKERLKYSDHIIQSLVRLSEIKEWKIFGEQLEKKRMNI AICDMESQVGFLSKETFQTAISIMQRKQEQTRMKSFTLSPSFQGLNQRLLNLMLFSFSTQ DYKFRDVVYKKGQLDSDVIYIIKQGEFVVYQQKQNVRKQLAIMTEGEFFGDYEAFEKVPR QFNISCHSHHGSLIVIPMQILNQKLSQYNEQSYLQQLKKLCIKKNKWYKSFQNNIEQTQG IYQRYLTVQDLKNGITQNSAQKIEPIQNCPEIQQQISPIRELKNTLSYLTSIEESQVSNN NNNSNNNNNNNNNNNNNNNNNSNNNNNNSNSNNKNKNIHNSNHPKLLTDNENNQKQKAKS KNPVYIASPDKLQPLPKIQLVSNKQQNRSELSTPDRFNKVIQNKISVLKRINNLSPQENI NLNTLHQKTYYVQLPKQIKLEQHLDKEWPIKATLYLNDLDDRFRFQNNQSSQNNNSNSNR NCNQLPEQLSSRNDNSEQNSQRNSRIDILNNILQYKTPRQQNNKKRRALTLKYREYIESQ FQPRTERSLLYC >CAK91678 pep:novel supercontig:GCA_000165425.1:CT868664:161885:164321:-1 gene:GSPATT00024407001 transcript:CAK91678 MNNPLGRSTQKPDQQAQNTQKNNRAKEFEKQLQEEERTQSAGIVTTQQPTPQQQQQQPAY SQQQQLNQQPQSVFGQIGQMLDAPRKLFFRNTTTQQQPNQQGQRQPTDQNEPEIIQTNIV QTYTTRDIKSIGLEIVQPGEITLQELRGICSTGTQQFQGIFLATNYQIVFLPDKYPKDFR KDYFYIPYTLIIKVEKTMDRKQNDANFIEISCKDGRWFKYRFLREQNDDCNSIFNVINKN AFTLNKQTLFAFTFYKEFSNLENDFQGWKIFNIEKDFERMGINILQENSQTQNGLFKYLN NENGIVCSTYYNRLVVPTKADLDCIQKSAKFRSKERIPILSYAFTINGKVISMWRSSQCR TGIGQNRSTEDECYLKYMSQYEEKEIRLRIYDARPHINAIGQQVAGKGYENTLFYRRCSI DFLDIHNIHKVRDSQTKFISIQINLSENVQFLSQLEQSQWYDHIVAIIKGSAKIAITMTK EKINVLVHCSDGWDRTAQLTALASIMIDSYYRTIEGFMILIQKEWINSGHQFCQRSAIGN KQNNEDSRSPIFLQFLDCVYQMQQLYPLSFEFNGKLLLDLAYHHLTSLFGTFLCDSFLEI QKQRIMEQTVSIWSWIMKQKDKYINAYYKNPQSIDQDVVIPEQFTGKSITFWKEYFLYYS LESNDAYQIHPQCQYTCDNLQEMYKALSKENHVLRQAQKDQEKLAILHQQKFNQLMQIIQ ETQNEEIIEKLQTIKLI >CAK91679 pep:novel supercontig:GCA_000165425.1:CT868664:165120:165407:-1 gene:GSPATT00024408001 transcript:CAK91679 MSQKVHAIDSLRTRSLIPPEYDQIEEAQHNQENLVISSQRSQNLKSILKKQGKNNVSKLK RLRISTNLNQVFIVENWKQYNSNYEEPAESCCQTF >CAK91680 pep:novel supercontig:GCA_000165425.1:CT868664:165729:166355:-1 gene:GSPATT00024409001 transcript:CAK91680 MLYINCLNYPSFSIFAIGQFVISASKIIFNPILLYSFSQITDKQDTLFPKRKFIIKKVDL SKFLSKQQFTQLYLVKEISLILSSLNVYADYRYQIQIRIQLGQSYYRVLPYYLYLQQKAV YFYNIQVFVIGIQLKLKNKKPIIQSINLIPYIYIYLLESKFIISSKSNPLFQLIHMLLIN KQPQQSQQSHHQNHQINIQLSSSNSRLY >CAK91681 pep:novel supercontig:GCA_000165425.1:CT868664:166435:167940:1 gene:GSPATT00024410001 transcript:CAK91681 MQNKIFEPAQIDLSINLRFQLQSKFLSKNNKYIEILCYENLLFKKVVLDTYLQSQKSFKM TKLNSVLRYQIKHESTSIILRLEKDDCLFKEYLFLDKSSPWEQKLKSQIPQLDYQTYYEL EKLIGSGSFASVYIAKRKTDGNKLAIKAFLKKMLMQKDPDKWRESIDNEIKVMKSLDHPS LLKCYDHFENRAQCYIAMDWARGGTLEQGLCKLEEPLPFLTVKVIFRQIVEAIKYMHSRG YMHRDLKPSNILLKRPMPLKQFSLIAQADPNIVVSDFGVSSEIKVDMDVGRYCGSPGFMA PEVILCENNQTLTYNEKCDIFSLGCILYRLITQISHYLYGYEFQWAMKQQKFRMRDFDWI QDLRRAIFKIRHQQKYQTNLLSSDPKIETFLRRNIKQQDPYMQNQIMMVALYSSIIRNQK SSSIQQIKTPRLPTKSASNCKLPQLSPNHLHINNHNNNHTNKKSGNFLLPPINNRVKTEQ SEY >CAK91682 pep:novel supercontig:GCA_000165425.1:CT868664:168272:168978:1 gene:GSPATT00024411001 transcript:CAK91682 MGEIVPNQLFVAGYSRSKVTDERDVKEIFRKYSSVKEVAYKGSYSFITFNSENEAQEALK ETNGMTYKGQKLKVDIVDNRKSRKTGPSDSDLCFKCNKGGHWARDCPNGRSPRRSRRYSN SRSRRHRRRSDSRSYSSQSSSRSRRRNRYSRNKHRHSRSPKRQDKPKKRSISRKRSPSDS QSSKRANSDSKS >CAK91683 pep:novel supercontig:GCA_000165425.1:CT868664:169008:169574:-1 gene:GSPATT00024412001 transcript:CAK91683 MKDDLKNQDFIGRYQEQDSEESRISINSGDMLEAMQDVEKHLEEGGMDEFYEENLKRIKD KKKQKREQKKVGRLEILKVKKLQKLHEVGEDDPRKITYVKLKRDIESYFNEEEKRQQNQQ FKSKKKLKYEKALYKLKSQNKYIKKQIVTFFQVLLLLIGEGAIKTGIIKGVKLQ >CAK91684 pep:novel supercontig:GCA_000165425.1:CT868664:170114:170671:1 gene:GSPATT00024413001 transcript:CAK91684 MEKNNIYADSISNSIEIFVQNKHPTEVFFKFMNFCYEKYRISNSSHKLSFKNLNYSDIKS NKQIDDFFKLCLIFIQVQKHYKNHQNGQKVNRVIFENVFDENNEDFIGFINNVNQTLQNV QKAQCYQDFSMTYYQSSDDEISDENLDQEISQEFEIIDYEDLIKYQFTEKWPQKKI >CAK91685 pep:novel supercontig:GCA_000165425.1:CT868664:170953:171261:-1 gene:GSPATT00024414001 transcript:CAK91685 MIFQFKFYIPPGFSFHKRSRKKLNPKKTKQKYKQSQRLKPLYEVKQLAKFKHQPRDANYS LYNESQQQPVQQNPVKSHHLCLIYSRNYCSQEQLLKNRISQH >CAK91686 pep:novel supercontig:GCA_000165425.1:CT868664:172657:173777:1 gene:GSPATT00024416001 transcript:CAK91686 MSKNVHHSKHQNNKDVIMINEEEDEPKIFKKVKNDNEDDCVINVDVIPWMSKKTMMIKHP VLRLHNEIIEFFEYISPSDQEHKRRVTAYMRVEKYLQDIAPEAQIESFGSFKTRMYLPNA DIDMVMIETSCTQKQLFKKVAAKMMKQTNKFENVNLIANAKVPIIKFIEVESQYHFDLSF NQLDGLKQIEELEKAFEIYPELKFLLMTLKCVLRQRDLNETYSGGVGSFLLFQMILAFLR EYRKDFFQHNKQDQIKNVTLGEYMIKFLEFYGIKFDVSRKKIVMGQGGRIENKSTQDDRF SLISPQDPDHDVGHSSYKIKEIFKIFQNRHNFLTNYNFKSGESVLRYLINPTDQKFSFLK PAQF >CAK91687 pep:novel supercontig:GCA_000165425.1:CT868664:174226:174818:1 gene:GSPATT00024417001 transcript:CAK91687 MQQDTNSALEMTDGQLQLQPVQIETKQVVYTQSMITTQPQPYYPPPPPPPSNPQVNIVVQ TAPYQPPPPQEVIVVNTSLGNTALLCNQSRFPILMTCPYCSKLGTTRINFLPGAGTWCCC FILLLFVWVCFWIPFVSENCQDANHMCPNCGQLVGTCLYKVCG >CAK91688 pep:novel supercontig:GCA_000165425.1:CT868664:175222:177427:1 gene:GSPATT00024418001 transcript:CAK91688 MNRRKTVSKEAVIDVEDQINQKEQMFAPVIQVYRDNLNLTDIQVDSITSIMQNIEIFKTW CPESSTLFEFTRLICQNLQYERIQKENAVFHIGLYLPLFQGEQGDKFYIILTGRAGVYIR RQQQQIEAEESAMLPKIEKILERLQIKTIDEIEQDQKLSFYEKLIKKQSKPVKQIEAELL LLYVGNFDMYFTINGICKFQQLSQVHSGLYFGDMALTTDKPRAASIIAVTDVQALSLNKA NFKKIFEKQIKTQQEKIDYFLKMFPTMTKFKMSKLIMYFTQYKYPVNYTIWKQNDVVDGF FLLKDGEIQLQQTVDFNPLLKSEQNQIILSPKKEKSNQKELITIAHLTGGCFVGETDIYL QNERRDYAVKTITQCNVFVLQLDNYAIVKKSFPEFIIPLQSLQQKNIALYRKRLDEIVQT KISNTNLNKKEEVKNIERRYINEIEVKQKTQNSVQEQSSPILRSTLQPKMTKQQMVEQNL SIADQHTKSDIATGKNEEFNMLKMAGENFQKCLIIRVEKQFEQFQPAKPKSKTPYFSKHQ KDIKDLLEQIRRHQLPQVQQKEPIVFNQQQEEDLQNNNLPFLTMTKQQLRIINPLIQQKV EILKQCLSRQSHTSISKSKSLCQTTDQFYKAKAQQGFILTDTIFPYKPVHSTKNSNNQHY SNFKVFQRNKTTQQSQIQKRSCQDITDTNFFSSQPTVQFT >CAK91689 pep:novel supercontig:GCA_000165425.1:CT868664:177753:178209:-1 gene:GSPATT00024419001 transcript:CAK91689 MIQKLKIECVKQGYSISNEMKFDIIDFYTKSLHQEHYAHKTDYIRHNLEEKYGRCFSIIM YEVGAFVSHSFLHADDFLLELRSAEHHILAYMLPPSFTPPPLIPEPQVIPFSRTTTQQQY VSKYQQIQCIQHSQPYNTSYYKY >CAK91690 pep:novel supercontig:GCA_000165425.1:CT868664:178243:178881:1 gene:GSPATT00024420001 transcript:CAK91690 MLNIFLNKYQFAFTYPCPRKLREIVKMSLFERESKDQVISLWMEYHKEKQNNVAYVVSKD EYEILKRNTKESPLFLLPIKRKGGHFQLIGQSQTNSILFTFLEEYKKSGSFSSPYFILTI FEELLAQKQVALIRGDIMDYKIDKDEATFLTNQFLKFYMTPELYEKYIYTLNHKQQEFNY DDFKNHFQI >CAK91691 pep:novel supercontig:GCA_000165425.1:CT868664:178884:181327:-1 gene:GSPATT00024421001 transcript:CAK91691 MSVRVKLDNKERGYYASLYQAVDATNTGQIGGAQAVTFFKRSGLSIDILKKIWLISSPNN QTLNKEEFYVALKLISFAQNNIEVSNDSIQRCIPSPLPQFQSDTEEIYKLRPEQERLYQN YIQQLDHSNSTVSTQMAINLFKKTPITQFQLQNIINLVDPTLQTKPRITTHSYIVIAHLI SLASQSVPIPQKLPNSLQEYLNQQMVISQNLNVNNPPINPQPAVVPKSNDLIDFEANFDK SKSPIDKYSAFNYMEIPNPVIEQPVQQQPQAQLVTSQSFKIPAKSTQQPILKQQKSFDLM LNENDSQPQQSIQQNVPQQQQQTAVQIQPITQQSIKTVDFQKQVLLQEQMLMQQQQLEQN YKEANDLLNEFKESHEKILISSQSQLESLQTSNEQYKTLLKKISDENYLFQEELKQVEQQ KKKLTESLSKQAIEINQKLGLNIQLKQEYSKQTTNTINAVTDYANQIIGDLRSNYEIEQE RKKRQEQEIVKELKLQRDQMSSIFEALTSLSKNVKQYQKDSTPYQPIETHEINGAFSQVQ QSQKSKSNQISLDQQQVVEVMDNKIDIIDISTKKQQEFYQDLNKQADLNKTEQIDVFSLY NDKQQKDLIKQVVQTEQNDQKQQIDQFEQQQFISQKVEDFGFTENIQVQLPPQQQVEVKL DDTLGFDNKDENNIGFTNTNTEDLHGIKQQEDQQINIQFENQDEKHQVFDIQFDDGFGAH FDNRNSIEQNPSIHFDQNFVFDQGIKQADEVQIDFDANKEFGGSDWAGFGDNFVKGSPQQ KEEFQTFKW >CAK91692 pep:novel supercontig:GCA_000165425.1:CT868664:181796:182584:-1 gene:GSPATT00024422001 transcript:CAK91692 MNNKKVLELVSKILIFKTEHCQHKHSCIRNTFQGASEAFIKAFIAKLCLNGLMLLLSLKK VMQSDKKLLNAFKILLNKTNFQLGLFMGLQTLLLKGIQCSLRTIRQKEDGINALLSGFIA GGLSFMTQEDNVKYLVRVYLFGRAVDCIYQSQVEKGRFKHRKIIPVVVFALMSTLISFAF FFEPEILPLDTYKMYQNFSGAEMNDSLWHMCNVQAWRNKINAAKSS >CAK91693 pep:novel supercontig:GCA_000165425.1:CT868664:182606:183429:1 gene:GSPATT00024423001 transcript:CAK91693 MGNFCQGDKQHDLNYQENTNVYEATINSSTITIIHGNIHLNNLRGIFNAQIDPNSFSLMQ LPEMQREFLNHKIPIIQRLPPGTCKFEFIINLKINYQDISKELIEAYEQGFELMFQHELE NVGYTEGKDKFKDKSEQLHNSECIIEAIFNRINQNIMIKNFQIFSNDMQICQQYKNDIKK QQHKYLSKQNFQRCSTLEQLNASYSEKILIANSCDQLDAINKNQIKQQLKRCFPYAQQDV I >CAK91694 pep:novel supercontig:GCA_000165425.1:CT868664:183477:185825:-1 gene:GSPATT00024424001 transcript:CAK91694 MSITNPQLLNQSPYSSMAKKQGNGNQSHSSLKVFPIDFISQNVQNVVPQINVQIKNQMQL NFNCQTQREYKYRQSELETKRKQQSPNIQRFSQAKNNNFVSGYYSNGNAQQKNINLIKQQ ICYQQQNSVQDLKKGLLEDKKSQKKQSKTKSSEQLLSKSHKITTEPIQKTQNNQNLINKI KEQSNQQKNSSVSNPIEFNFKKLLQKYHLTLNKAKSTEKLKNQNHQDFLKESFRQKEQQQ ATLQHYISILLLDQEKTLEIKYDCSEKTTDQLFSYLIETCQDNENIIGFSSIDNNIAVEY QSKSQNKPQNQNHYIPDFHFLLCIGIGGFSRVYLVRSKRNGRFIALKLISKQFIIEHQKQ QIVQNERDVMVQLNLSDQQMPKQFICQLECAFETKHWVCFGMEYCPGGELFNQLRKVQRM NQDQAKIYFIEVCIAIGFLHSQNVLYRDVKPENILIDEYGHLKVADFGLAKPNMGQFDEA YSFCGSPEYMAPEMLQQQGHTFAVDYYCLGALLYELLTGLPPFYSKNTDEIFQSILNDNV QFPVKVCSPEAKDLLRRLLNKDPSQRMGNREGIQDILGHQFFDDINLIDILKRKIQPPFL PNLIKFNFDPKEFKQGEQKFNQELQKSLQSDQETKFEPMFENFYFIGDTLKTKKLKFEKQ TNRPQSLIEAQQDTIMTDRIEKNLNSNNSANLSLKRGFSAKQLQVEHSQKIEELKKRIQQ QSTQVKSYIDPFDNLAVNQLIYQNKAKTSRLKNNK >CAK91695 pep:novel supercontig:GCA_000165425.1:CT868664:186257:186585:-1 gene:GSPATT00024425001 transcript:CAK91695 MADENLDQIIDMPEQSDMINDARTQAKYAVEHFKIESQISNYIKKFFDEKYGPNWHCVVG KHFNSYASYESKRYMFFYEGQMAILLYKMG >CAK91696 pep:novel supercontig:GCA_000165425.1:CT868664:187161:187625:-1 gene:GSPATT00024426001 transcript:CAK91696 MALWKIFQKAGQRSSMPAAFKEGLFEKPGEFQYKVKNIAISYETASWMLREGIVEQNPNK WGYVLSKSHRDFNDYWTRTMFTRCGLFLTGCWLFSCLYTKPRFDWQDYHDPKFEQKTYGD LEEGGDEGGDDD >CAK91697 pep:novel supercontig:GCA_000165425.1:CT868664:187670:190666:-1 gene:GSPATT00024427001 transcript:CAK91697 MNQENDESLDVAKEMLLVGLNNPELNDNIDKEWNSRRNQPRPKTALHDRRQQQLQELKEH FTSSNQLQQKQVKKERTNQQVHQSFQPLPQNKELGAQFVNAIEKFNDNLTRDSGVSLCFN LIEKNKDLQSVQTMIECLYSSSKKNINPKTNSQNTLAIDMELITLGEIIKQNEESLNPYL IDKIFQIIKKHMNSNADTTIQAISYVLIQLLSQMNVSNIYQELNESIFDKARQVQVGTIL PFLCYFLKQKNKIEEFTILGQLIFEIFKKLMIDSLEFLKCIEFLLCLNLNLNQEIIIQRT LKYCQVPINKNSKYYLVVCQACKIIEHMGSKTRMMSNEVIKEMEKLVNDRRVDVQTAAKK AISAWKEQEIKLIEYQFDQFYNKIGFCQTPYTEYQKRGFQSLVRASSFVRKNSRSPSLPK KLQNQAVKPKIREKINEIRSNSPKSQLLEEDMEEMRRNQASQLLKWEKGDGQQEQKNKDE DIFDDSLETNPFQVIQQQSHGQQSQIIEQKEELQDSLMQRQQNKEDSQQLSRMPNIQYID NVQTMKKSLHSQDQYEEKGNSDNVKEIVQIIDKDQQQNLNTQQTEFEKQSQIKYNNDEKG FSNDFPEEKVKQQITEIQEQYHKQTSQEEQKNYELHYERIQQQSQKVRSGKVQKQEEDEI EFEDLENNQNVDNENLKIFEIKQISNFDASIQEFPKEYISKNNNINYEQELIEDKNMNQE FQQDQEVIEQNIYERKQNEIKVKSGQVIQEENLELDVTTEDIQKLDATRDDLEQKDIRED QAIFQQKGNEGKLQLNRQETKKIEEIQNKKGELIENQISECNNKKQGLIEREEFKESLTN KKAQKKSQKKTRDKRNRYYFEQALNKAKQNVNEGYEILFENCDDLQKIQFMMMVGPQINK LNNKIMNKIIEFMNEMKETELLNVLGINLYKQIKECEYFDEINHEDMKEVKSVLYEISGS NSEGIGMMAVNIYNEL >CAK91698 pep:novel supercontig:GCA_000165425.1:CT868664:191689:192456:1 gene:GSPATT00024428001 transcript:CAK91698 MLSKIKVGRPKKQLIEMQGGIANYGYLFNDHDCVKLLKLLSIQGNYKFRILTGPRNKIKP IVQQNDEVEESNDLINPKYFFLTTEKRKYSIENEQIVDNNQYLFINSNQFSPKFELLINK LNVLLKELDNNFIYLLLDILILYTECFDYNLQVNTQVEYIIQQLESPKYSKNFQYLLNWQ IQTNKTKEVRYKVFLEFLQQKERLINNKRSKKVIEKHRKFIQKQNNQMLEIMSMEPINYL ISKLVKRFNKIMRTN >CAK91699 pep:novel supercontig:GCA_000165425.1:CT868664:194854:196619:-1 gene:GSPATT00024429001 transcript:CAK91699 MTKGQQNQLRFSLNMNLISQSNLSHFQDKYTILRDEFYGEGCFGEVHSCQKIDNTDPTIY CVKIIPINLENQQIGTVGETENIIIKTISEFHKNCPQQKNLVEIIDIFYEGYLLYIVMEL CDEDLQSEFDNLNENNEWYTEEQVFDLIQQITTGYQYLQELKIIHQDIKPENILIKYESR GQVSEGKIYKISDFSIGRLMKVLSKKNDLIRTGTPNYSAPQIFENGIVSEKSDIYSLGVL FHQICYKSQFPYNCDSMQTRFLSLENLRKNPLRAAPLNYNKANMLKNLIERMIVYSEEDR ISFSEIIHHPVMNTRGIAEAMEFNYESTSLINESRLFKSQGILNKSQNFEKKRVVLISKR LIKLQRLLELFYNRFKICKSFIKNINDDGTVSLLNLNVYIIASYQLQYALALIYIRPFEF HPIISQENDQLILIDKLRDVQNGIKNISADQQIYKHLQNQILDNFSNYEKLQNNIQAEYH KFRRQFQSFTIFLHKKFKNKTNAAEISFLSETFNKKINILVIFNNLQNFQKTHQKYLSPE LLQLLDQIIKLEILYPVSIYKSEIDLQFLFSIKRQSTEV >CAK91700 pep:novel supercontig:GCA_000165425.1:CT868664:198476:198672:1 gene:GSPATT00024430001 transcript:CAK91700 MTRKYDLQSLTQEQIMKIKSLDLTQGKRSAVKRIFRGAKDKSAQIQEQKNQTGKKYW >CAK91701 pep:novel supercontig:GCA_000165425.1:CT868664:200209:200394:1 gene:GSPATT00024431001 transcript:CAK91701 MSSKSFEICLVNKDHEIRFQLAWFTIFENLFCIKEAAIKKKIMFVIQSKRISSYFQTAQH Y >CAK91702 pep:novel supercontig:GCA_000165425.1:CT868664:201356:208164:-1 gene:GSPATT00024432001 transcript:CAK91702 MFFHFFTCYLLAILIHQIVADWRLIDQSFTDTQMVNNNWKIKEGCSDSSETSFNYPSCSE NSLKYVRLDEDRRYLYKTFNYRSFQARVVFDVFYDDADDEDESYLKVSYDNNKDSESDQQ LYRRDYEEDDLIQNSARICRSSWDKFEFYTIVSTIASSNTNKFTIKICHRPRSDSMEVGI RNLLIYINSCHPTCLTCDGPTETDCISCFNSQSLQSGKCICIPDQQFSETYIGCRQECSR DFSIARYDKICVNDNRIKSKYTLFEDDTIPQSSQRYHPLTFEGDEFNPKYSDLVYENCNG ISFIGKLQFNEGMQYKMSLENAVKFVRIRITFYLFNFQTTSNIKLIHNGQIQSSITKDSS SYQVENLLKIFEKNDNCASGYTLLRIETIFQITNPSPTLLIKGSLQQISESWGFRNITVD TGFCQEKCLVCSDFSTCTQCDSAYKLYKNKCIETCPIHSTNCIDYEDIIPYSRYLAKGFY NLNMTLDEINKFYDSRTDPSFSQSTRQNFSILNNKIVLGGLLVWNDGSYIKTWSIQKPHY AATIYFNLTYGDAYTGSFSYKIGTSSSSAWSASFNNPGGGLNLIGRTGLESSRYFNVSLP NFYTNNLYVEFKCDVSTANITKEFCAISEYFIVIHYCPPFCSSCTSLNVCSDAGYTGPNC GSTQYLDFNSSTESYSCKLCNLPGCNTCTSAEQCTQCVSNRFYLSNGICLCYPFTFLQGN TCVQCNKYCENCYGDTQDTCLTCVREYNRGIQRNQCLCLPGYYDDGINLPCLPICGDQII VGEEDCDDGNNNPFDGCHNCKFACNFACDICLSGKCYQCKSGYEAHNNDCRSMCEGNTLG LLQQCFEQQRNCINCHYQCSPNCIDCSFGRCMQCDEERGWYAQIDGTCNSICGDGIVTSL TEMCDDGNTNPSDGCNYCQYSCDKFCETCVNALCISCQGGYQLIENNCIPLCQDGLLVFP EQCEDGNIAPYDGCFNCQFQCSIHCIDCQFGFCQQCNESRGWYLQYDGSCKSICGDNILV LEEEECDDNNPNSLCNQCQITCDINCLQCNKGVCISCVQGYKWDSLIQECVKLCGDSAIF NQEKVCEDGNNQLDDGCYLCQLSCQDSCTLCSANGCLECNTIGWKLDELQNKCETNCGDG ITVQYYEECDDLIDQNCYHCKYNCQDSCLVCHKGDCLQCKEGWQIHLDKRCYSFTGDPYV VGDEQCDDNNSVMYDGCYLSQYQCQKQCRDCRFGMCFKCEDGYQNLDGKCLETLNDGITK GNEQCDDMNLMPEDGCFNGQFDCPEGCEHCYQGQCLQCNLQSHQLNTINNQCISYCGDGY LSHFEQCDDANNIPYDGCYQCQFQCNYYCQTCYNGVCSQCSMGYYLDLSKNACYSICGDG ILAHDEQCDNGNLVSDELCVDCKLLCQQQCTTCIEGQCHECLSLGWQLDVINRNCQPICG DLLVLGNEQCDDGNDENDDGCIECFFQCQQQCTLCENGECRECSIEGWQLSINKCITICG DYLVLGKEECDDGNLIPHDGCYECKFQCQEQCSDCEKGICKACNKSGWLLNQNNLCSTYC GDGIAVDPYEQCDDGNDIPYDGCYQCEFQCEQLCTICEFGICYECNQLGWIIKNNQCTPY CGDGLVVGNEQCDDMNSNQNDGCFECRFMCDQYCVDCYEGICKECPEGMYLFDQICQSIC GDGFNLQITEKCDDGNKENGDGCNSSCKIEKDWICNTNQYSFSICHFEKQPDFSMMVLTP YPHEYCDIQVSFTQQVKYSPHIQQNLSEHIQASILNHENDKYNIQMTQETEISHDQVTDL VLIFRVEFLFPIESPVFEIQFNNDPLISELNQTLTQSQKSIQLQTPIVLSSEEVLIAQQA SSFNEAIIISLASLSSICLLTGQSEIFWNLMDQLQYLSYIKYINIGFSPNLDIFFDVFQL ITVSPLMAALGFQTFFDSLDGSSKYIVETSNKFKKDSINAYFLTNFQSFLFCLITTYLSY SAAQIIYGLFNKILCKKIMEWRFSIVKLLINTRRQLQQKISEFYYNSLLRLMLSNSYDIS FACAIQMAYHPHEKNNILRINYYLSYLFYIGIIGTIIYFINISSSFSKQNSIRNKSKYQA MFDGINENYNIWTFQYNSIQLIKKLIFISLIVFLQDNGFIQAIGISFIQTLFLIHTILNK PLSNKFEYFKILITESLIIFNSISFLFYLYQVELGLKTEDIINIGWFHIFTFSSILAITF ILDLIQQIRKLIKLIGFGEKKQEQQLEPIFY >CAK91703 pep:novel supercontig:GCA_000165425.1:CT868664:208969:211824:-1 gene:GSPATT00024433001 transcript:CAK91703 MDSQEQQNREDDLIIESQEEDNQKKKKDKKEKKLVKKLEQKKPIDGKQQFGDKSICRLCK VTTMSTPTVICIRCHFKYHQECIRTQNKEPQFQEGAKWHCLSCIERMAKRKLKESEPQKK KKTNQILEFFQKTPQTQEDKTKKHSEFQQKYPTYVSQGRVIFPIFDEYLTAYQGLFNIEI KKKPTLQLDLNIPQDMFEDVLKIWDSYNNMDKIVSDILYAGEQQAEQQSQVNNIGTLIHF KDNHTPYSEKSRLEIYELIQTKPEQLILFFSYFYLKQIIEDFDQEQMQKQQYVILPYNFL QKIPYWHLLGYMWFTNRNRYYELLRDDIKQLPTNISRQGILQLSLDLMDFTDYTDLKKVC RLIISLSEGLAGLKKTQFLYQFRTENLLSNNRIKQQLGMQIKQQRSQQIDIKKEIIEAEG NISIAKSQLQSEGLTRVEAQKFTRQMETAQKQAQKFKQQYQKLEKEIMTLTERYNEYDKE LAITQMPALLLNPSMCLGQDAKHSSYYFFLYEPDKIFVCMRHSIIDDDGSVQWGYYDYKE IPNLLNSLCAKGVRENTLKNNIIELQRAKLLIESESNNEQNESIPQDNLKNGKKTDQEIE QEINQQSEQPERANSLLNLEVDQLTNEFVGIETSLTQYLNQKNSRWCSTEQRNNFIKSFQ NVIQKKNPSEYDEDDLKPLANAIEYFVDNTMMQEKLELRLEEDVDEKFIENEDDSYQQPN RRRKVVEDDSSLDEQPQTILQQLEQMENNKVRIRKLPMKLFGTYYETLRLNLIGQLKNEC NLPKMKICLEVLGQIVKDYIDRKKIQIQQFIQPPFEKKKLEESTTKKIIEQQIDQQQDSQ INPPQSLRKRNLNKPQYIETETKWDDKCKKCNKGGKVICCDTCPKVFHPKCINLKEVPQG KWNCLNCLKNFERQIKTRAIVKKFENQ >CAK91704 pep:novel supercontig:GCA_000165425.1:CT868664:212368:213886:1 gene:GSPATT00024434001 transcript:CAK91704 MKTGNSNQLFTQVPDSNEINEYIKEYLRYSAYSNTFECFEAEIKSKQVSSKMSNKQQQQK QSNDDMPRIFQLFKTDNIKTKREINLEKEQKLFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNESLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLFKNYQDKN VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATEKFDFVVHLLDINNQSLRH AITSLISVISSTLRGVEYLTFNGNMIIIEKIIKILKEQENGSVTQRFCLAILQKASIKET VIPTYVHNEMIQWIISLIQKSINTKIHIFCLDFASATLANVIHTPYTLQYLEKQTRFTHQ IMEQLLKFIKEQIQVSVMMHILICLSYLSKENFAKQMEECRFVERISEFVEYYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETLELNELKTEDRIREYENEQGELIFECFQDE VS >CAK91705 pep:novel supercontig:GCA_000165425.1:CT868664:214022:215427:1 gene:GSPATT00024435001 transcript:CAK91705 MVCYNMPLKTSIYASRLSIKLLWFQLQKNDQDANSQNKSQQEQINEQKKMQLDLGIGSSG QIQNPKKPSSIVSPTDNYFQLNDEKEQEKEKLFDFQGDEELKLNQKLVKDQFKQRQYNEE IKEGLEKEDQQEKIEPQWIQKSCQDMVARRRLEIEKTLLRTQEYLSMFLKMEKDQSKISE LVILFKCKQRWIQDEDTKLVSMVALEGKNWTKLARHFQEELGNRLEKDILINQINFQFCP MDRLRRSRNSKILQLMWCKMEFGSFTFKRKISIDPKYIGKYGEEQVLFKIFKKIFLGRYK IKTRQYLNKACISQTIERNKNIEVEYSDISNNDMKLFNSENYSSSIGQCKFPFYYYENNV FYENLEYLIYNICFDREFDKKQDYFELFYSLQQYKIIIQLRPENPLKTDKIFKDSNCI >CAK91706 pep:novel supercontig:GCA_000165425.1:CT868664:216740:217782:-1 gene:GSPATT00024437001 transcript:CAK91706 MNAHSRRKIAHLEVPEFQDNQTMIAYAKCQYCLGECFGCLRTWIPCIFCMCVNYPYQEVE QGTEGLFKRFGRHIKVVRPGLHYVNPCTDTLEQLDLRITVIDLDRQSVMTKDNVTISIDA SVYYRIKTSRFAVYRVENYDQAVRQITYAVLKNTVGSFVLQDLLEKRQEVADQIEDQVDE YVKDWGVLIDNIYMKDIQLSPDLQQALGSAATEQRLAQGKLISAKADVESAKLMRQASEF LDSKTAMQVRYLETLQQLAGSNGTKVCFVPDEKNQEKLMHQITQGLLA >CAK91707 pep:novel supercontig:GCA_000165425.1:CT868664:217796:224830:1 gene:GSPATT00024438001 transcript:CAK91707 MNYNHHQIVEKWLSRFRRCLSLCYPDDSQMITMELHKGNVQLLSVPQNKNIFNTRKYTFL NFLPKTLFTGLVRFGNIYLLAISLIMLIDPTLSPFYRWITIFPIGLSVLLYVIIEFVLDI RRQSHDHKINMQTTSRGAKDGSIETIKWSDIQIGDVLYLIKGDIVPADIILLDTGQVRDR EAICMVDTQYYDGKSSLTKKKSSYLTQLIVLRTRLKNQFPEYRKMLTGKLEYEAPNGNTQ RFHARLKLKKDPKNEELTIDNFIPKGTKIKQTSWLFGLAVYVGHNTKTMQSSHYGAQKQS FEEKQCNFYSFLMACLSLFFTLISIIVLLARSDENSFALIIDSYTTNGMKVFQLAILYAQ LIPATLYLLFDIVNFISVFKFEINQIEENLVKYVKINSSNNLCDLGHVDYMLIDKTGTLT TSYYKLDNLLFGQLAFSLNYDQLQLTLNLKSAKQDDIEDPLKYASINDNNEYLIPFEYDK KSNQTTEVQPSGKLLLKSVKTSNNNPIFNQITAQPISKRQTFLNMDVQYQQQIPDYSQTR MFLPQPKHKNSPGNNNDEFMQLVNQLRNSSPQKEYLQTKDINTLYYDAFLKCLMLCHEAR PVFGADSITYESFSKNEEISLTFARSCGYQLENFNKFDSPDIYLCKVRGNSIWYQVLGLN LFTYNRNLNSVVVQVPTTMDLELGQEHEALNQLCGEGSKNKSLLICKGDYEAIKLKLQLN HKEREELDAYIQNYKVRGIRMIVYATRVLSDKETENYKQKFNLLHSSLTNQDSLLEELAL EYEKELNLMGMIGFKEELKSDALDFIKTVKECNINIWLLSGDQEAQTISCAQALEMTETS KYLRIVATEKEQIWLQINTAIGQIQSELQKIQEKQLIKQQEKQAQQSMSRSMIKSSVTLF EGASYQQMLQFVLVVNGNSLSIISESPDLMSHFRFLSCVCKNVIGFNMNPQQKELACIII KDYFPNKPTILGVGDGYNDALMMQASHISIEIINSKLNHIYPQVNAGDISVNTLKEIKVL LLQKCKLHSERVSSMIIYLFYCAGFLGMTLFFFNWFCQFTATSLHDSMTVFLFIFLYTTP NALVIGLADLETNPLVNTTIPTLYVDGQIRTKRFGFYYLIEAFLESFISAAFTFYSCTYM VNYAWTNDGHQSDFQMVATSIIYVVITVSALKVLFRLIQHNVHIVIIISLLTFGLLVGFV FLNYRGNFSDFDYQELTYQLFTRFNSIVAIVFCLFGCFFINYFLHDFIKLNIFPTVYQQF AFINWDGTQWEDASHLHILNQCLDQHVNVSTIIQNVFIDCSTTSPYISEMLNPGDTKVTE MKLKPLTLEMKELVLEQKFLAHKLSQSLSHLRFFLCILLIYFIAYCLTDFFINDLRVFTG VYYLIIFGIVFLILLLTCTSIMEQQYYTYSHLTVLIIFALKVAIDWLSDDLTITLSATLV ILFSTFNTMNMTVIPIMLYNICYLIQLIVRILIIVVSTDLSTSNGTYTQSRISVYAACTQ ILLVISITIRFLFTYYKSIKHRRNDYLAKYSIEQDNMTAQDILSILVPRFVRQQISTGIY SMQQAQDDVSILFAYICDFDTIMKEEGKNVVLMLDSLFRLYDNLCIQHGVQKIETVGYTY MAATGIKACEQNMTAHVIRTEKTMRLVNMAFDMMQQVQGRKYGKGNQIEMKIGIHVGRVI AGVIGHHKPQFSLIGDPVNQTSRVGSTGDTGAITLSEQAFKQARHGIKYYSKKQKEAKGL GIIDTYQVFKTKPPGYQVPKAFLLWQNCTKIVVKDLRQQRSQRQIVSKKGQFLSQLQNSI YVDNMKQSNRLEISPKAPQTVQFLMPQNSPQEVDNKSRLSVPVQPSEAVLTDESVFMTNE QEDNGELDLIKPNLILNIPENEIKCNFIQILKEQNLDESKVGIVFLWFTYFVITLLSIIV RKLFQYNLLIFVLRAIFLILVIVLFPILSKAYRNKLVNLMYFLLLIYAVFTVLFQAYLTD NSEVAIICLLEILYIMIVTCQLKMFTFLQVIIYMFIMLGFFLGFYIASDLVTHFAIFYIC CCMLILLLGYYLAMREQIQMFNNLQINEDKKVKQINLVSQLLPMHSYLKMKNSSIYDKSD FIDEFEDVTLLFADIKGFTEYSHTQTPEGVVTMLRNLFTEFDKLCQRYNVYKMYTIGDCY VVMGFTNSAKRNPIQEAINTVKMGFQMVEIIMQVRLKIKFDKLNMRIGIHTGQVTGGIIG TDIVRYDIYGKDVSIANKMESSGEEGRVQVSQTTKQMIERAEKHPFKFKFHHDVELSKFN MSTKGYLVEWDKIRDEVSIEQQ >CAK91708 pep:novel supercontig:GCA_000165425.1:CT868664:225103:225480:-1 gene:GSPATT00024439001 transcript:CAK91708 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK91709 pep:novel supercontig:GCA_000165425.1:CT868664:226062:228271:1 gene:GSPATT00024440001 transcript:CAK91709 MSNNTLKKWMESFNDLLGDLTKKIQHSYDKSIKQQQKGEEGSNNDWIKYLPLNQLAFDNQ DVYRMKYINDRFVLSQFIFQQRYDEQEYQVKLLNNVSETEILQEQYRNSIFEFCMNDNNA LLLIKQSVKTNNSRSEQQQLLLIKEVYAGFIQDDKLYLYLIKNINLCQQAGFHPLNPNYL VLLQASQELNKQHTYQKEQQFLIFETRDLRQPIVRMNLNSAMNGNALAFSFASTEDPNSF LIYFLNNQGIIYYYEFILPNMTFRLQTKMYLQAQKQELVQFVKDKSEKEFKINYNFTTQD DYQKGSIKFQSFSNINYQLPKSNYVQFQKLAIADYYLFFIVSKQANEDIIVQAVIGKDLQ TLYEIYQDEIRFPKSVDNDLLISHLRKCELPKIVYCSENVTYVVQNQFDLPNRHQLLLLY HNSLISIDFSNVVQYKQDQSPINVTCIDLLPFSSIDQSSSEQFILQVLCLDLYKSKQLLL RTTNKAQKQTFQLIPQKLLLGLTEQNSEINKIALDFYQAQNVYLMNKAILQQFKKYLVAI SDKRLPQCKTEEDIISINEDLSTGFQKSIKGVAIKIKLESENMYNDIELKRKGDLTQQEE RNLYLQKKISEKNEKINSLLERLQSTPSAVIRQQLINQQQQSDLEQFQLQFDKIQEQIRQ NKRQLEDQIQISNRTKQEIEAIQSNLIQQILQKKD >CAK91710 pep:novel supercontig:GCA_000165425.1:CT868664:228516:230097:1 gene:GSPATT00024441001 transcript:CAK91710 MQTRNWDNKKHSHNSHQQKDDLNKQKSVQQLSDNNRNFFSNFLTFYEQTQDNQIQSIEYY VFIFRRVQEQLHVTELFTNQQIFGLQEELSKQWVHRIKKNWSEEDKQILIWIVLKICSRD CINIRKIPTKVWEEVELLMSRRTIELCKNKWNDLLKLSLQQTPWTQEQDEQLIQLINQSK EDGMQNKWCKIANLLNKHFKDSPRTGKQCRERWNNHLNPEINRHPWNIKEDIELLELVKK KQKKWALISKILKPKRSENAVKNRYNCLLKKNNCQSINVLMDILKKKYQSENPAVNLQTV KKNKLTPINQNQFQVPEPQQIINTVREFSIQQFEIIETSQLKCLTPAFYDSKTQSLYLSN KSQLMSYLSNQMIKIGSEQNVQNFDHHYQQHDFSNQISGILNIADSSNYAKCIPSAFAQP IQQEEKSQFNIPGVQNEQINREKPILNTKGCFHSFFASKLADTQLQIKFKVAIDQEENNL QLNK >CAK91711 pep:novel supercontig:GCA_000165425.1:CT868664:230138:230921:-1 gene:GSPATT00024442001 transcript:CAK91711 MNLFEKLKYILRSIFSSSQVTEEESKDKEYEETSEIIASLRKHFQSLEQHNKEPLRLILL KKLIELKNKKQNYKQQESNLQRMQLMFPQQKINYNNTATQRQNQPISTPTFHNQNFDTQN YFIKQHIPQFMIEQKMQQSYETKDQQTFFHQNQLQINIQLESISFSSSQSHFTFGQSNSF GSQMIQQSILNTKEQQTKFAYNNMDCKNQTCGEAQKNYRPKPKLFIFPEIVEDIYESTIL TEHKFSEAKETL >CAK91712 pep:novel supercontig:GCA_000165425.1:CT868664:231190:232077:1 gene:GSPATT00024443001 transcript:CAK91712 MMLEYHRFLIKALLKHFFGVLIMNQVRQRENRKLSQSQKNQNYNLPKRASSQFKIHHLPS ISSNTTCCSNSCTSSPPQSCSKLDEDNVENILVSKNTSQDPLSCFNYDDFKRLLPVTING IKILKIDWSYFTASPNMSSPWKAHCFWTVGYTFDINMRKMKRSQNIRYRLIIQSWCCFNN KSWVKNKWDRLLEHETGHYLIGCLCALDFKQKADKFKYTKNYRMECTKLFQDTFQFYLQM EKQYDEETNHSQNVAKQKEWNQFIKEELIKY >CAK91713 pep:novel supercontig:GCA_000165425.1:CT868664:232332:233138:1 gene:GSPATT00024444001 transcript:CAK91713 MFKEIKQELAQKYKQLELDQIEIMQKLQAYKETAQNKQYVDNTSLLIDDIQNSSLLLLSN TQRDVTDKTISELKKALEESERDRENKIEQINELKKLNQKLYEELNGAKNNVIKSNKLLN EITIQKEELMNQINMMKKHKSVDSSFYQTSITDITNQDISIQMNRKKSMSQYETTDQKQL KQYKKFTESVKDMIIKLQPKLYDNQNVSLQDAWKWLKIIINDYVQIANTIKQLKSILNVE QPYIVLEVQQLINMIVYKQ >CAK91714 pep:novel supercontig:GCA_000165425.1:CT868664:233236:234039:1 gene:GSPATT00024445001 transcript:CAK91714 MDNKKKKNKNKAKQEQQSKQQQQQQQNQLVPQNQQHHHDHNCHDHHGNEDHHHDHNHCNH SLPTGDVPNIDPASLLSGLNKGEGLLGSLSMIPKLTEMLNQLTNFGGQAFKPDDPLPDFT KFDQTKQTLEVAIEEFQQTIENVQKKDYTNLTKPVVQKQQSQKLTKQQQQQRDKNNSIIK QNIDYLNTLQKDLKKLLQDQSMSGIAPILNQGIENLNQDIQYYQKQQEI >CAK91715 pep:novel supercontig:GCA_000165425.1:CT868664:234693:234983:1 gene:GSPATT00024446001 transcript:CAK91715 MKQKEKQKRINLMVLKIHPIYCLGHWTKEEHGLYLEFVNNHFDILKSKYAKKSKRIFKLM SQFIPSRTPTQCRSHHQKFNPLQSSLKKNNLKQMKY >CAK91716 pep:novel supercontig:GCA_000165425.1:CT868664:236024:236769:1 gene:GSPATT00024447001 transcript:CAK91716 MQAENDHQQESSNNINHLLFQLSFQLIRNRRKIYDDNDFREVVKNFYNLISEINKGQESV QPLTVKKITKAALRKQPQQLKQRNANKNKQPTKELPQSKREVNLKPDQQAQQYFNSCFSQ QIMSQLLPKLDQPYSKQVPFLYDKPKPHLNFKRNQIHIQAAYQIYIKEFGSGFVESQDPT SFARKVMNSNFNSKTQNQEEEKESDRSDKQNKSHEDGKKKALKELVREFQSD >CAK91717 pep:novel supercontig:GCA_000165425.1:CT868664:237070:238621:1 gene:GSPATT00024448001 transcript:CAK91717 MNQYKLVGKKGEGTFSEVIKSQSFKTGNYVAIKCMKNKFTSIEQVNHLREIQALRKLSPH KHIIRLIEVLYDEPTGRLALVFELMEQNLYEHIKGRRQPLNPQKVKSFMFQLLKSIDHMH RNGIFHRDIKPENILLNSDHLKLADFGSCKGIYSKHPYTEYISTRWYRAPECLLTDGYYD QKMDLWGVGCVMFEIIALFPLFPGTNELDQIHKIHNILGTPNPKVFDRFRKQATHMEINF PNKHGSGIERLLQGQTKECIDLIKQLLVYDPEERITAQAALKHEYFRELHRELYEADVPL QNFKSIGGCCQHFQHQENDNFLENTKRIDDPKQPYQPNVKKPQNQNAKTQKNPGFPLLQG ELKIDKNHDSDDGVDKQPPILPEIKRKKKYDPKIIYGKQYQSNQAYQFNSKGIYNEIIKL AGKKMVLGLQADYIFMGRKL >CAK91718 pep:novel supercontig:GCA_000165425.1:CT868664:238731:239363:1 gene:GSPATT00024449001 transcript:CAK91718 MKILVIACLMVLTLSNPLEKIQKINVPCIEEIGFLTKDLFVFGLDIARHKFCHQVKDVIV IIMEIVKLKKACSSSDSTAIMEEHNKTLTCLQNSLDIAEDAHHAYNAFMKNGITDELIEQ TTSIQQQLVSTLSRCSAKAKLLEEIFPTHCITVMNDMARNALSIKEQRHQPWKIMEGLVE LGENYQGAKQHCPFMK >CAK91719 pep:novel supercontig:GCA_000165425.1:CT868664:239680:240568:1 gene:GSPATT00024450001 transcript:CAK91719 MLNRTDKSSQFRRTNQSIEGASRKDIISDQKSRKELGVPQEEERKMLGSKDSEKRSQIKI GSHQQSRKTLRGQDEEEKGTKVIGEGRLDEYGEEEEDIVCLRFPHLSFCPYNYQSQLKET LFIEIQPGIYLCGFAMGLWTKHLLQKAVTNVLNLTSMEYTKRTKYFKYLNIDVHNTSDED IKKHFRISNRFIRETLLQKGKVLIHCRDGLNIGPCFILAYLINEIKMPLKLGIELLQNLI PQLDIAVHFYKQLEQYDLEKLALLQIKTKDS >CAK91720 pep:novel supercontig:GCA_000165425.1:CT868664:240833:242998:-1 gene:GSPATT00024451001 transcript:CAK91720 MSQFIDQEINGYKVQKAIGEGKFSTVYKAMNQEGNVIALKKIKIFDMMDPKHREKCLKEV KLMQPLDHENIIKYLDSFLYNNELIIATEWAERGDLKKLIKSAQADDTPFEEVQLWNYIQ QIASALSHMHEKRIMHRDLKPANIFIGGDGTLKVGDLGLGRIFSSETIEAYSKVGTPLYM SPELLHGEGYDMKSDIWSLGCIAYEMAEFKSPFKQSDKMSLVDLFNNITKGEFKPVSNRY SQQLRTVIEGMIVVDPSKRLDANTILQKAKEMFSSHSDTKRTPQIINVLVMEDIYEKLSL VQYHQNFCVPLKKKPISKYFFSLDESVNSSYRFYYFVDLCYWLMNLPKQKKNKLAQPIKY SNNLEDTARKLLQDIKAWGIKLPEQLGSPHISSGFGDMVCFILNDLLNRELIRVNFKFEL PQFGGDLSNSQVPSHHIQYDEQDFPEELTEEDQDEVENDEFTQSILFYQKKFKTEQPDFN QIPQNRQIIQTKIALNEWTNEYNRVEKELNKFEINLKENKSYLNDYQRSMNLVKKCSKQL TIASQYFKSNEVQDIQQQWINYLEIIPKLETQITNLIQPELREILYNNRQTISQFEIKIN TLSKSNSSKLELDQQLHQNIVDIQSRIPTLNDNRPKQRQIINQLKSDINDLNVKIGILQT QLTKSYSYSSGQLSDEEDF >CAK91721 pep:novel supercontig:GCA_000165425.1:CT868664:243048:244376:1 gene:GSPATT00024452001 transcript:CAK91721 MSMRIVQSTKKILDMSHPSQTVLNGQRPSMSLMPKAKVVIGLNSKQDKKTNKIVIKLEDH INKSIVEQSNPVQCPQVQNIKQEQLENKETKISMEITHTHFELKVPKIIVPKSLEIPKIL LPSQIKIEQEDKLMTYNQTNLLKQQLIQIKKCNEPIQLLLQENDKRKKYYSRAQREKEDV IHWGQRKLLISEIWFLTKYGCLSRNIIYAGAAPGFHIQFLSDLFQNHKFYLFDPNDFQVK QGPKITIYQRCFTNEEAQKFKELFQNDYLFISDIRTANFKCMTPMENEQAVLRDNQLQME WVKILKPQKAMLKFRCAYPTEINEPTEFFQGEIYIQAWAPASSTETRLVPYDYVNTIPYD NVKYEEQMFYHNDVVRKKQKGALSWDDRAETEVLIEYLRNSGIDENQIIKQVNLIKDQIT KKLNYQ >CAK91722 pep:novel supercontig:GCA_000165425.1:CT868664:245035:246044:1 gene:GSPATT00024453001 transcript:CAK91722 MKNFATNPKNIGGMKMLNDNMFLHHKALIDAKPAIKIKPPRPHVSNIKKVDPQKPKEIDL PMYKNKKEFDQVMKTFQTVIGMKKGRINSDAPITMEFRRNISGNATKKEKFERDQHILNL QSQYRRIASAGSMQERKKNSNDPIAHPPRFFRREGETMANIKIDKLLHHFTKEQRMLEET PNSELSQKIEELNKKKVQLSKPKQPRAQSAKLPTQKSESSSRIKTRNADDITTEVKDIPR VSTSSEEDLEKLRQMLIRIIFHHKIFKTQDLETLFARVLNQNPQIDLIRAEILFEMIREQ LKSQ >CAK91723 pep:novel supercontig:GCA_000165425.1:CT868664:246075:247334:-1 gene:GSPATT00024454001 transcript:CAK91723 MLNPTVTEADQNQQLEQKLIIFLRIILNTEKDAEDIKVTLAENTRFDVSEAFRTIDANSK GYLTASDIFRFMCKNGYSLSMKFCDLWISIYSSGNDKLSHQKFLEFLVPRYNKSQAMQLI MRKLQITEYKLSFYLEDFVAKLIYLEVDYLKVIEIEKMGMAQSVKWKFNTIFKIIAGNQS YIDKRSLDQLLNKFEIQSLSPLDYSMFLNRFVRKDNQIIQRDDFSDAMFPSRELMLEFQQ QKDGFNDYVLNQNQQLDQLIGVDKIVDKEDFLFKPEDHKMQTQTFRKELESIDNRIQIQK PQELPKLSSNRYFSNEKSKLIQQKAQVKSKKYQSPYEYANQFRVNDDLLQEYEMPQNYSQ LTVSQGNVSSYVQNNQLIKIEEQSNYSNFGQQPKRVQYFNSRL >CAK91724 pep:novel supercontig:GCA_000165425.1:CT868664:247402:248718:-1 gene:GSPATT00024455001 transcript:CAK91724 MQIANYQIELPISLDFSYQLLYRGFDETKQSYYITIFPKSELDSHMYENLIKKIQLYPYI KDAIEDDNNLLISYSNSNNWIKMPPKITNDQFNAYLKQLYTLYSLDTLGIYDFNPDFLYI DQKTVYTIDFGLRYSLYQIKYQQRYNNNYKQDQYMKTWMFGSLLYCLTTGTQDPSYLIKQ NQQQINYHIEYNCRQNNLSEENIHFLKILLQVDYDKRPAFDQLSNLFQLQQTQVNKMQSQ TSFHSNQQRSIKKIDNLNENAYRQISKSTFTQSCSKFVPTLHHNKIKMALKQNFYSTPQK DLKQSKINQYQYASQLIKSNLSLKHGQIIKNLSTTKSFYNSNQTSNNKTNLNEQYQDNTR SNQQNLSLNIDAINQSRSTSFNIKSIEQQSNAQTNQMVIKQKQQNNLESNKKPKMNQLNS FEQDLFYKEEDDEDDFIV >CAK91725 pep:novel supercontig:GCA_000165425.1:CT868664:248731:250847:1 gene:GSPATT00024456001 transcript:CAK91725 MIFILLVQITQSLSIYEFLNLTPFQIQELKLETLQEYFTSGSISGYVGLSEYSELIEKLA NSFPNQVTLQSFGNTYQGTQIKTIKISATKSPKYQITIIGMLRGTTDLISVNYLLYQLYY ITIHLSNKNPFMMTLLSDKQLWITPLINADGHQYITTQFIQTQTIPLIFKNRNPSNSNQC SQTEQGVDLSRNFQYKFGINEIGSSSNRCSQIFRGISAFSEAETLTIDKLIQQIRPNIIM VMGGDLDKLVIPDSNSSTYYELLYQLSKQYKLNMGDYQKLYQVEINGDLCQNYIYQINKI CFTINIGQYALSQTILNFQNLLLDFLTHYSPSLQIQLEKVFDCKHGKMLCNQLESNEKGC VIIISVQNVGYNQFNDNIVMDITLNQGGEKLYQIDSYAIVQSIKNISDTKLENGIAIDEI STDRVYRYQIVNNKKLSQNQTVYFVFLITQYGFSYNYINTVESINLTFTLQNTLLNSQVF KTLTPSDLVAKKSNEQLQQIFPNRPESYVLLICFGAISVIFFFSWIIRKIYLWIYDPHQF IEENKSKSGGSSDEKNLDQYHSHNLVESRLNTQVKFSQIVPFQSIRQNDSPPLSKPNGQS TQYETKGTNGSQLLPHLNPIEIENRVHKALEIVQSQEDLDKQELEQEQEQQQNQYNYNVN SQQQEHNLHQIEEVLVNNPNIQNCNQNDDQLVKFED >CAK91726 pep:novel supercontig:GCA_000165425.1:CT868664:250892:252317:-1 gene:GSPATT00024457001 transcript:CAK91726 MNFQIIQTITFYFFIWVLKPIANVGLSLTYYSAYIAIYLTDYVLSLFVRECESRNPTIFH NLRKVLNKLKPYSGVYTQATDIILAQGYNFESHKVITEDGYILTMWRIYKDGTHPHPHPI ILQHGLLDSSWSWFINNDKKLTLPYILAEQGYDVWLANNRGNKYSIGHTKFQSVNYNQQY WDCSFDDLAKYDFKAIVLYVKNITQRAKVIYLGHSQGTTQAFAYLSNNIEFQNHLKCFIG LGPAMFISNLRSTFLQWAIKLYIFEIIYYLGIPYFFVFDDGFNIKIGALCYMIPLIFRNF FFEITNQLCGFPQKNKIDLTRFGNMVAHEPGGCATKNIVQWMQFFRSKQLQYFDYGATQN QALYGQRDPPPYPVDNLKNFTIPKYFYLGTKDVITDTDDLGKMLNKLDQTHMKVEFIDDY AHLDYVWAVDAHVKLYPSILRNIKENQN >CAK91727 pep:novel supercontig:GCA_000165425.1:CT868664:252317:252736:-1 gene:GSPATT00024458001 transcript:CAK91727 MKEKIWLVFGDFGFNHFLALTWASFWATLFTLPLDNVQTRVLKAFPDPSKNRLNYQNYLD VFKQIFFYERIHGFYAGAVPYYGKMFLTAWLTCTLVNGVMDSQKRAAGLEEWQI >CAK91728 pep:novel supercontig:GCA_000165425.1:CT868664:252741:253445:-1 gene:GSPATT00024459001 transcript:CAK91728 MLGSYKRYWEPSLTEVVGLSVPVGAVATFLTQPLEFVKTRIQVRTEGIGLRQLKNELGVN PWRIFREIHETGGGLAHFYYGFDAAIYSRLGYLFIRNFLYKAIYDQVKPVKPFNDLTLRE KAVLSGSVGAFAAFVTSPFELAQVRMIADGGLPQPIRRNYKSAFDAINRIQVEEGGSRAL FRGAFAHVVKLAALNVSLTGPI >CAK91729 pep:novel supercontig:GCA_000165425.1:CT868664:253598:254916:1 gene:GSPATT00024460001 transcript:CAK91729 MPSIYWKSKPPFRHLRLNEKVVNVKDSQDFYKFVALNQKRLFENQFIQKSSASENLRLHN FKNIQYTADLGIGQAGNVFKVVLDTGSANLWIDSNRCSEQGCMRHKQYKHEESHSFLPLN QELTVEFGSGELKGLVNSDTIYFGDITLPRQNLAEITSENGIIFKSLDFDGILGLAYPQM APKNFNPVFDNMMQQHALEKNQFAFYFAKDPNDITHSEFTLGGYNQAHVDGEINYHNVID KYYWMIKADSILVGGKDIGLCNDGSCKLIVDTGTSIMSGPMDDVGTLLRNLNVKDHCSEV KSLPNITFKIDGIDYTLEPEEYVKPTTADSSAFAEMNSSEDQSMVEVNSWDCIASFIPLD IQEPQGPAWILGDVFLRKYYSIYDRDNDRVGFAKAKQ >CAK91730 pep:novel supercontig:GCA_000165425.1:CT868664:255206:256812:1 gene:GSPATT00024461001 transcript:CAK91730 MASYTTQKNAYNSLIYTTKNPKATSSIHSNNCHNSRFLRICLPQNNVVLRQKIALQNVDV PHYDQKISYTLSKLINYEIKSMETAASFVQKLQDCSDYSPSACFEFMDPQNSQVLMASNF RDLFQQSAIIIYPHELQSLFKRFDLLNDGVIDECEFMKYFRKRVVNPKECKTPIKEKSYL HNFKEKSQQKQLQSQQSNRSKKTSTTPIKKLNSILKQTGQSPKKEFDNQRSVSFVDSSKT SKTQNMNSSYILKTPNQQHKKYIDQKTELRAQMQLFKVQKSQQSSLNSTVKKPTQKRSTQ KHGIKKSPKRNKNVFNPSIFLKDTLLKLMNFEKEIERIKLNLQTAPDFSICNTFRALDKR KMGFLVSEDLEEFINKQHLSLILKKASPDGRIKFNQFIQLISPISIYHQEQQSIREQSQT QNYFVQGRIKQQLCLLFEKLYQRELLFEQVNQEMKHNSQCDIKYLFMMIDEDSCDNEISL KDLDSFLYKQEVQPELEDLELLFNRLDTDRDGKVSFLDFINIFSF >CAK91731 pep:novel supercontig:GCA_000165425.1:CT868664:256841:260276:1 gene:GSPATT00024462001 transcript:CAK91731 MLQFFQRLENPDAKFHLYDSYYRIKKIEKVCLKENVDGLLIINGIDSKENYEYTKLMNWV FLGHSGIEIETNEYLDNTLSETIFVITKDHMRAYCDQQGFRQLKPYLLQMPNLKLFVPTI KEDENKDESEVLKMAQFVKMMQGLKRIGVLLPQEKNRNIEKWPIIQGYGLLGNGFFSMQH EIVNMTERINQLYKPHDKHSMKTLINVVSQRLNGHVSGSVQLLSEIAIKKRPAVTSEQMV EIFLDTFEIEENKYVKRSNKLTPTVTFGNCSSQEKLPLHLTMESSDLYSSLRFSRTYFLT KQVSKENVIIPIHDDFEHFVKEYQYQIIEHSYHKDECLLIEIYKALIESFYSALQMICQD YRKMTNQLIFELSQERLTATLANYKLQFLNDNLIVTVTSYNAFGEEVAINFKDPISFKLT PQFFSIRLEYRSVPSQVVGGTILGNVIFSDSFILQENCYYIITSNIPHLQIVNTDARFSD DIEKVKKKLPLEKLGQQISEKVDKNRLFLPSKHALLEIPIQIASYILHENGIRIFTNELG WFFILFDQMKKIKFTQGLESVWMIFMTEPILCASLQAKTVALEFVGKSVDQVYMKMINIL KENEIKVDYTENPKEFQLTRLGQMILKKEQKVPLLGNIIRESSFLLDYLESKQLVILTQV KSDLKLLNYLSLQDESKQIDDRIGIILITGSYSSGKTKFAQSLQRYATDFNQKAQVLSFS LLEQSILDEEGWLNKLENQLKYSQEQCICVVPFYLSVDIIVQQLSNGKLSKFVYIKNVVN KININNIYQNENCQPIENLVSQSLPGYCQFTILDSFGNEESEVEEYFKIFRTVCLKSNIY RITNNMIQSSVAKEIYSCTSYNSTQNAFLRSKLASYKSQTTTYQKVFIHNAIPCVKQFRK ILRELFKTSQSQKAQASNYEKLSEIDKFIFRVKEFNSPQKPSLSYLKAFLRFEGQLDKLY EYTANHNYTVERQVKSVNILLKEQLINGSKLQIPSYVGWDTHSNLGFFMVGQNITKEICQ QYIGELIQKMKPLQALLTKNDLSKEIIKEIEFENRIIGLENGEFYDGQFIRNQDGEILEH HPKLDIFIQEYLKIQNQKIIEANNQIQQEWNNYVNALKC >CAK91732 pep:novel supercontig:GCA_000165425.1:CT868664:260338:261948:-1 gene:GSPATT00024463001 transcript:CAK91732 MLVSTNNTPKNNKKGNTTTNDEQRTIERLRFLLKNAIQVYPKLNDELEKKNALLLYRVEQ QQNQSQQSISQDDYKQQLTKLQCQLSEAQLENQQLQQSLKNLKLLGIKSNVQIQGNQNPQ NNKKNFELILQDCSISSIEKQFYEYFEKSSAVLQEQMILEFQNRLEKYQTKYQIVEIHRI TSFTNLDKTKCIESQQREIDILESKLNNTEKELSDLKRVLESYQQQYSMKQSQQDQVHDF FNSNQFEVFTSRLNNSQGMDECLSTDKRWIDIDNNQLKTDERKLIQYEKIIENQKLDIES LQDKLSNLSKKKHQLKLQNQELSSVLYQQAETCQRIISNLQAKIEKQGLQIQYYSSIQQM RSKLNQQSSKHSVQSSQQIDIRKKFTYHSPNANNSPVSLAKSQANNRSLKKQDSSNDQTT WIIKTTDASLVKKLDKKDSQSESTTDQVQKPTKLSTHLQEVKKSLDQISNGNCTQKLLKG YYFAQNKPILTLDDF >CAK91733 pep:novel supercontig:GCA_000165425.1:CT868664:262058:263186:-1 gene:GSPATT00024464001 transcript:CAK91733 MLPNLRKLQKRTPTATTPEISSRRMLLQKSEHNDIYSLKSQIESLQKQLEYVQTNKSFVM KIASPPSCTQCSKFKQQLDLQQLNEKITKRNYDQKIDELHKQSQKAILSKSKTIEVDTKY DDLLLKLKQIDQQELLFDEDNAINVNNIHKIQFSYRNSQENNQQSIQQLLSQLEDQDQII KKQTTLIKNSNSQIEQLNCVISNLQNQLENLKKQLKDHQESNKKTLQTLPSEVSPKLHIE TQYDKSLLQQLLFQNQSLKDQLIQQNHQIDKSAQENQIQKHLLRIEVLETELKLSLRCCR CQNLFEKPRTYIPCGHSCCEKCYKYKCDSCDTEAVYRNRQFDELKRVYYIIKDTKTFIQE CLLRLQG >CAK91734 pep:novel supercontig:GCA_000165425.1:CT868664:263283:264011:1 gene:GSPATT00024465001 transcript:CAK91734 MFNKKNLLLNIVELYYEQVEQMEKNYDTDNRYATKIQSYYRMYVLHKSYKKNQNATITIQ KYCRAYLARQLVKQKLSECKNRRNVSTILIFHQIIYLSHQAQTIQRYFRGYIYRKYVHDF YLRKKQLEQMNIKNQNFLQELRSKAEQEKQEEKIRHEQAAKQEFMKLATNLHHLTSTKSQ PGIYKPPFAKENPKAFDIEVETQLKIAFQTNIQWKTQKRSGSAQRAVTSQGFRIRPQSQK LK >CAK91735 pep:novel supercontig:GCA_000165425.1:CT868664:264042:264775:-1 gene:GSPATT00024466001 transcript:CAK91735 MDFEYFEKQDDDDDDMNVQFDEEDIKYNIEDSKKPIEKELDDSEISQIEMKINKMKEEEQ LRQKLNKAKVWNRHFGNYTPFIFINDEPTFAISPQWIINLLVIIALFVITYFQFTKRKQK TIQFCITIIINVLELLGYVIAALINPGINTALQETLNKHNTCQICEIVHYRKDTYHCETC NVCVREFHHHSNFLGRCIGQGNLFYYYLSILFLPLFLINLIVLYVF >CAK91736 pep:novel supercontig:GCA_000165425.1:CT868664:264849:267131:1 gene:GSPATT00024467001 transcript:CAK91736 MQREARVNNRLEQDKKVRQNQISELIKIFEEDQIQTDIAQQFSNQLEQAAFELSGGENTR EYRTRLNTVKIRLNGTKGQFIRQVLLQSIMTPLELMAFDMSKLNENTIQAFLEQNNRQFP QKNVSNRLGSRIVVDKDLTQNSNEKPKESNNDLQINSQQEEKEQANADNFNQLVQNKPTE FNVPEVKQQHIDQPQQTSTITETEKIDFAPQEEPIQQFEEYVDYSFEYNQKQRDFVEGND FPNIEELQINEIKQNNLQDDLIANSPLPSDNVLNQEFLQDDPLVDGPKENAQTKILDSQI QEEEQQYYEHECSFQIKEQQLIDAKIQNEIIDPQADHPLAEFAVQTSDQKDNFQQEQQNH IQNFKQETNHIHTHNFEQQIYQKVMDQHTQQNFKDIKQQKEESTEQLPQNYEEFFQFIEK RDKQFENQINQLIDQNKTLTEQFYKVQIENQVQQENLEIQNLNYQNRIQQLINENNILKL SFQQNEQQQQQSLAHLKEQSLIEKAQFQQVYQSQLSTQQKHKEFLEQIKFNLDFRRDAVL KIQQSSQSSVNLEDEIGQMQDILAKQNVFENNYQVNTQTNEIQTFNQQFWASENDAQTIG TMVNADSGSTQIRRNKEALNTEVQEEVEQFQVQSEAQNFGETNQTRVVQQTGENTSFKNS LQEQTNSEKEQQIRDQVQNKRTNNIKQTDQDVQGLKNGEDQGLQEQQQFSQIKNNHNKGS EVQMNQLKKRQQVKRSNVPESVFD >CAK91737 pep:novel supercontig:GCA_000165425.1:CT868664:267338:267926:1 gene:GSPATT00024468001 transcript:CAK91737 MSWRKPKVLHQESGNGYEIRGFTEPTFKRVPHCHNDDDIDVDIPNGDLEFGKKMYGQACA GCHDLDSDLDQGPALRNSYLRRLGSNKKFSNYGWDLRARRLYWTRKLLWDFLQNPEKMFL DTNMQFDGIQDPRTLGSIIDYMQYLTVYTHDHRGSKRPIF >CAK91738 pep:novel supercontig:GCA_000165425.1:CT868664:268525:269198:-1 gene:GSPATT00024469001 transcript:CAK91738 MYIAFRLDEGVNLYVVEQEFVNPFCNLEKTTTIESIESTLESLKQYNICAIINCIDNDQS MKAYGIYYLNIHINFQDSLEASRLFLHVKMFSKKSFGQKLKNLQLLFTVKAACKRHLYNW DEERVQKEIQEQNKLNQTISKDDVLLPTEIIQSQKSSICNELVSDVNTKSQIDWNKIYGS QAQIDQQTQQAKKVQSRFVQSSILKNQ >CAK91739 pep:novel supercontig:GCA_000165425.1:CT868664:269419:271692:1 gene:GSPATT00024470001 transcript:CAK91739 MDQTLYQNIQKKLENKIDYSNRNDDLKNLLLECNKIQNNILGYKIMTLVFSAIQKSGQRV LLMDQDQIQIIKSCKSLAILGYLHDQEQIKMINKDKEFRENIRVQIQDLQQQGNLEKAFL LDEVMKYKSRENIEEYTEISKTIKTMVNLLIDFGNDSFNFTQIFDVILNALLLTGNNSTI GRDYQLLSILDKLQKLLDDTRSPYLWTIVMESILNASTYMEKPTDLVQPNMITRIILFKI PYIEDIFKYFGKYLGRCYKFEYKMFESMLNFGITQQTLDLILQFSKQFVKDYQMEILVEM RKNLIPSLIKYMKIINCFEQIQNLFTYFLISSLQQVGDPQLDQQHFSEIITNYYNYFDLY NDKQLQRIANFLALLPHNQKKREFKNYDLLESKLKKKYISMPSSISNQLQQSEQIQGWFQ EDNPQIEDKQPKLIGLRNLLNTCYLNSFIQALFWTLDFRNLILNEFKREEIVFLKPYSLK TNFLRCFLFLDSMSDEIDYTPLLLKRSLREPYKTDDRQQDAAEFGVHLFEDMEKNFDQEE YKKIKEIFYGMSKSTFQCKNCDKPTKGPDEEFMYITLNFENNRKEKDEIEKMILRHQMKE QITFNCEQCRKTSQSTRTLEFSKLPKNIIIQINRFEFQNGERSKINDQINLKPKIQIKEN QKQLTYELYSIIIHFGQIPDAGHYTVYCKVKEEWYRYDDSIVTKIDGRF >CAK91740 pep:novel supercontig:GCA_000165425.1:CT868664:272779:274675:1 gene:GSPATT00024471001 transcript:CAK91740 MAKGQEVKVLPEEKDALEKLRKAVSMIISTGSIDFAAILKLIQQKKVFINQIATELYKYL FVIYESLTKQNLKEKNVVQLVFLIDYICKSDVKDFKTAIKFYIVKLFVKVYKNQKEFGCD LLKLKTVFKSWLNIFPSPTLIEISNKVQQELKDDLDQLPHEKLEELKKYYKSLNVSGVEP FLNGTYKKQPGTKRPMEDQVVKKIKPEQKYQQTQRVNVDTLQQKQITLSQLQHNLQGHQQ IYPQSMIHHNQTNQTSGSQQFRNLQTPQMVTYTPQSSVQNNFSYLIPFYQNQPSQTHQQV SSQQQRQTQQAQDLNIKGFTQQNPLISQYAQIQGNNQYQQQQQQQQQQQQQQQQQQQQQQ QYQQQQQPQQQQQQQQQQPQQQQQQQQQQQQQYYSQQQQQQLSNQKQINIPIDPNTTTTV FGSLFQYFSGQLQVRHELVNKETVKDFLKKQIPSSELLSNPNRYGTLIKCPTCFMRFRNQ SAYIQHLNNHASYQLEKLREDQKKEIQMSCSSEEWMSSTQTIKESKNQERSYAIDLDKLN NSNCAFCLEKFQGRWDSKNCKWIYENVRNFILESNDFDDPQLISIHQKCNEVLNEINA >CAK91741 pep:novel supercontig:GCA_000165425.1:CT868664:274693:276622:-1 gene:GSPATT00024472001 transcript:CAK91741 MSQKKHTKKRSSLAQKNSKNSKLYQKDDLDNNDQEILEALQARLPFYKNIFKQLTKMGLP MLEVQPNEQLINRIERSQFNVQYLQRLISMKLEGQPISYHRCKLAHSCKYFLNERLQNYQ RFELEEMLGLIKESVEPVQLKKIPGINLDEVTFGQEFDYQKFLNLLDSQKVFNNSENLKF ISTDIRGYYEMKNIEDLKDHANIETFQKVRKLRILIFDVLRSIKWIIDSLESQDIDTIEE AFISYLDLKKSSEFPFTEEIKCEESETNVQLNRCEQLSESSAKSSVKSVKFHNQLTNSEM QKKNDQVVNIQSILKNTSVPNTTVVEQQSKNQLTMIKEEQPSQEKVSIFKEIIPENLEQS IPQPTSSHSKSFVVKCKKSSKRVNQDTSLQKWDLLQQNNFEKIFYEKEYFDSEMFSYIQQ QIQTTFCDQKNQTSKKKLHKQSKRKVHFELCDSNRFYNGKLLELKPINPRNPFCKYSFID YDLDSEEEEAEDVLSEIRSQDETPSSSLQEFIELDYKNQYQQLGEPYALICDDSNFESFL TYRAIMLSDNKPQISSKKSIRLGSKFQSKSSFAESQNEKLVKALSQLSQFVNPEQVNKIL SIQELEIFHIIPQAENKESK >CAK91742 pep:novel supercontig:GCA_000165425.1:CT868664:277616:280892:1 gene:GSPATT00024473001 transcript:CAK91742 MSGTDEGSSIDGFEDQESGSKPSLNMSLSDRLKQQALDVHKMLQQLEKEFAQEDCQTYYI LSTNWLDKWKQYVSYDQVINNSNVPNSTGQIIPQNFNHDLFEQYPNECFKYYPLSTNPWN QWLRPNLEEGTHYIVISQQLSSYFNQYYRGTNIVRNATGKGQEKKVVINLLKFYAVLMIP NTVNQIAQDNLTQLDKEYLQVDESANLQEYYGTILKTVPTFRGNYNGVRIWRYTNDTDPH KALFAEIKKQVQDLDFNDDQVFNFTGSPIQISSEITFKSLNLTDKDILVIEFQQYNRPWC IRHPTVPVEGKCEGCCNITVLNFPCVCKKVSYCTEQCKINDERFHLAKCDRLGSDDEQVK SMNYSDNSIKGIAGLSNLGNTCFMNSGTQCLSNTYPLKEYFISNKYFEEINEDNPLGTNG QLVRKFGSLLKKLWCGDKNVVIPTSFKKAVGQFQPMFKGFQQHDSSELITFLLDGLHEDL NRVKKKPYVESKDYQGRPDVEVAKESWENHLARNQSIIVDLMHGQYKSTLKCPTCQQISI TFDPFLTVGLGIPNKKQKSIQIKVIKSVVSIETKYINFESSKKTISLQNFIEEFVVSDFK IEPDSKLLYYSSFMNDLSEPINPQQEINVVRKDSKKGYLVVKVLNQEEKDITAGDRIYMS YAQKAYDSYGHTFKKIIQPTTYQLIKRSYSLAQIHLSIFKNLLPIFCDLVKEIELDTDEK LRNFYEESVHGKYYTIHNKQNIMMMSRECIFCNSENCQECVLDYDNQETLDKIFQNHMEF DLMSKIELVVFWNKSPFQNVNAGDIYQYYSNQQYRKQMEENNNNSLHENNQKGRIIGKNY SMPMMSTQPKVSLQDCLRFSEQPEQLAEDNAWYCKVCKEHVQAYKSMQIYKASDILIFTL KRFKASHGFFKQKLETFVEFPVQGLDLTEFILNKNKPNENQIQEEQKKLIYDLYAVSNHF GGMGGGHYTAYAKNHENGKWYDFDDSQASEINEDQIVSQSAYVLFYKRRTEEEQTQAFNN TQELN >CAK91743 pep:novel supercontig:GCA_000165425.1:CT868664:280917:282321:1 gene:GSPATT00024474001 transcript:CAK91743 MQNKKLKWKSDFEKSVIIENFVNRGWIKSQEKEEESTDWNVYWATVWNVRNIFNPKNGFR LNDQQIINHFPNHYELTRKDCMVKNLKRFKREQDKEVLETFNFDFLPTTYIFPGEYSLFV EEFHRNPNQTWIVKPAARSQGKGIFLLRKIQQLKKISGTAVTSNMTQLNLASKENYVVSR YIDNPLLIGGKKFDLRMYVLVTNYKPLKVWIYNKGFGRFCNEQYTTDVAEIENMFVHLTN KHGGKYSIDALKLYVESAYGTDALQRMMDDIHNIMLTSLKSVQAVIQNDKHCFEMYGYDI LLDSNLKPWLIEINASPSLTTTTPVDKNLKMNLINDVYNIVLPNDDFPDNDQKCNYLCYV FQNNNPPRQEDSLFYMMKVQIPITGKHKKGRVLKYGNELPINIQYFFLLKFVYCINVLQI KNTKQENSSNVEHKNKQSY >CAK91744 pep:novel supercontig:GCA_000165425.1:CT868664:282546:284210:1 gene:GSPATT00024475001 transcript:CAK91744 MVDQRILFCEECSNNVFGILYYLLYKNVKSPNNNSRQLSKPKIMSIQATKFSIPSHSKEI DMDIEQEEFINEPLLQTKCNQLGMLNQREHSRTTENNFTFEPFDQPSLTQVPPDHMQSHL SLMEECFTSLLESTIPDEILCRDQEKVLITRFIEDGIKNNGQKQALYISGVPGIGKTATV LEVKNKLHFKKLDFDFIYFNAMNIRAPEDIYPFLYEKITNKKETSRIKSCILLTELFNSE QDCIQKNKVILLDECDNLYTSDQQVLYNLVDWPQQRYAHLIVIMIANTMDFPERLKPKLQ SRLGNHRIVFRPYNSTQIESILQQRMKTSKLKQLFASNTLNYLGKKIATISTDIRKTLSV CRTAIVLAREQLLRRNTFSQIEVDHIKLAYNIIYNKPQHNALQYFNAELKLLLIMIAIDI HVKGQNYACFHQVVQRMNQQQSLHNKKPLSYYQIKQALIKLSQMNLIEIKEEQILLTKST WQQKIQNSLDQDRLFNLEDILIQLKINIDDIKNGLSKDDLFNEFSNLF >CAK91745 pep:novel supercontig:GCA_000165425.1:CT868664:284240:289592:-1 gene:GSPATT00024476001 transcript:CAK91745 MIKQYWLEYQQFTIGFSQIILSRDYKYLWIFVEVVGHFQRLALLFMFKVIIEILQVRLQN KKIETTEYYSLFELISKLCVPSILILESVPNSGIIGFQLVAILILFTPVCANVIAMHKQY YEYSTIKHRSSIDEQNNLNYNLTLLNRSYNMHNYIEYFMQKQLMGNEQTSFQQLEKFATA TMLNVFPHIFIIPLMLWNFMIWVIIFGDDSLSYTIQGLMTFFNVLTNIGCLLAWNVSMRV QKTYTIRDHNHLRLVQSFWFILQQFIVVIPILIQWFYVLIYFDVNFDRTSTHYYLNNSVV LILQVGQLIEFFICHPYMQDYKTQTILIVLPFTISVIISLFFQHTQIQVISIALITVPLS SRLLNEIKDYLNEEILYNSQYKEKSKSTTRIQSRTGLLMEEEEQFVIEKEINKQKQTQIE YLQSMNTKYFIHYLRLVDLMKLEDQQSIEKDASVININRSKFILNIIVLLKQHINQCENV YCYCKGFRGEKRKIIENNYQSVEMRIYYHIKIQNLSIQVELIKRYIRHYAKLIFELEKEK EDYDIIRITQLLTYLSSSEECYQALLLIMEVKEKIKNKNRITSSVAIAVLLSYAKHQILL KMNPQVKIEEVEAALQYKDYRVTEVRRDKLISNMFQNVQKKYEFIRQLVDNKFNYETLYQ QVNDMVNYNLEVISKIEQFNEFSQSRSSLLIYMFYCLEIVNDFDKFIRLRNQLKTKNFKF FEFSGSHSQKVCYLKINLARHAKKGKISRGEIIQYSNNAPHMFGYGQREFQEEILTVHQL VAPHLSDIHDQLMEIFLLTNRPLILRKKRGLLAQKKNKDLLFVEMFIDVCFNITGDLFPI YSFIKQIQSDGAYENIKGHIYLNHELRIEGITTMALEQFKLKEGKYLNKMKLSHLIQNPK KYQELLKEKEQKFFDLEQYKLEQNSCSQLLNCSETEQLLNHFSITAEDILFRIPKENGVP DIFCVTCRFIMTLTNINGKEHKSFTVEFQKITFADNMSQIWIDSSRNLQSRSRTDTNLEI SQNHEPLESEKLKSQSQFSDSEQRCEFSNISEKQENQSIHFEGYQFQIGHQIQSPFDSQR DLLSLMSNPNNIQPFDQQIISVQIDGQSLQQFRLENKIEEKSKLRVKYQQSYYHKKISRK SFEIDNQSENNQIEKQQEQEIKLTQTPTTQNNTIPSMLQKTHLYSKFSSSDNNPRLINLI FLLDILNLSLLLSLAFIFYFNFKFKLEDNMKIVDILRAFVTTDYTKNTLMALGIDIDKND YDFQVKSQYQSKLLEQLQENCRNYLTHYQQYLDDSLVKTTLSEIYINQFDYYSGKEQQVS AWTEQFYVIYMQQGLYGIDLTNATTVEQYNNSLGSLISQYSVHKEAYEELTLKFQQQLDS NVSDLETQQDICLIIFLVNEVILIIGYSFVFHQLFRLLNRILRSCEQISFKALETESIRL LSLQHRYQQPSDLNLYKYQFQFKDKCNINTNFNDMFTNKRISMRFQQIVQQQEQKKQFII SDEKPYLQRLFFLIETTTLLIGLAYFLIVKVFNSSNITDLKNNLDIFNENILYTEQFYQM MMTDQILQKYAKSHSNLAIGNMIISQYQTLIKESYTQVVYISSMITSEANAKYFDLLQYL TNDSCVLIDDPLCTTVIDGKLTEGLIYSTNYFADKVSENIQNNYEQGKLIELSELDLIGL NFVSEAFDNIMDQGQINLINTLETEIDLRTVLFSVFISIFVVQQILTSFLLYRFFRNRFY KIRQLPYVLPPQSVYGEDSFLKTLVYVQKIYDNLLQ >CAK91746 pep:novel supercontig:GCA_000165425.1:CT868664:290571:291105:-1 gene:GSPATT00024477001 transcript:CAK91746 MNHEAESAPLIQEEYAVQQSEIAVQDQPKIVSINKLFLQNTAQAFSPGTMISKQLYIFTR TLKKSIEQYRNNESIQFLKNVSTSLEEFDGVSYSNEALNQDQSMTAKGFKFFGKAISKIR DKFRGEQSQQEPQGNQQQNRNESLIPEQSQRIDEEHV >CAK91747 pep:novel supercontig:GCA_000165425.1:CT868664:291413:292346:-1 gene:GSPATT00024478001 transcript:CAK91747 MQLFSWLSQKIVQLSAITLISIGLTLTGYGIYIVSLILFKNYDGYFKFDSDFGMFGQKYG ALVIGLSLIIFGIFGILGSTAKKVCFRRGFLILHHLSVLLFGILFVVLFYLLNFKAKEYF GRSCESTQNFKALSDGVKSANESFCSIKCPCNLDATKFNDKSVLRGKVGFSSSVLPSNVQ SCVGFDTEEYKYPVQLMNILEMNFSCSGWCTSTSIFVFSDINRGNTSGQSCFLKFQSYYE DYVTIMGYISLCLGILFMLSFSFIFCLYCGRHDLEKQRAQELRLLCK >CAK91748 pep:novel supercontig:GCA_000165425.1:CT868664:292430:293820:-1 gene:GSPATT00024479001 transcript:CAK91748 MQQMLIPTSSGQTSLANNANILYECIVERHHFFLNRKYYLQLTNQSLTLSEKQNQQDPKY IYQLKIDKVFTWSLQNNKIVGFQLPYQDKIKDFFGNPNDMVNLKAKMGAFVCYEKIEVFY KKEEILQTGSFGKVTKEICRFTSNRVAIKSVKCQNNQSPAIKNEIEILKRLKQSNALNIL EIKEVYKDELNYYIVTEYIPGSNLKQHLDRRNKPFTMQEALSIMEALLKGLQSIHQNGII HRDLKPANLMYHNNQIKIIDFGLACLNGKQLEQYPSCGTAGYSAPEVLNVWNKKQAYDFK VDLFSAGCILYKLLTLDGLFNSENEKETFRNNKLCQFTIKEKGSVFDLVQLLVKAEPQQR LDCCQAMEAVQALLDYKYFDVNTWYANKLKSYQKGRSQCEFNQEPQTKLSRQCSLTSIKH QEPQTERQRNLPKKIEQN >CAK91749 pep:novel supercontig:GCA_000165425.1:CT868664:294374:295145:-1 gene:GSPATT00024480001 transcript:CAK91749 MQDNVLDFVITVSQSQKTEQTVYYQLNFFNQKNQLVFSNQQRYSELKKFHQALETLKITL PSFPGTHWWKSVNSDPDLIEERKQLLDQYFRSLTCSKIVRDSLIFKNFILSAQKEAEKKL LKEQREKAKKAEGLANQNKRRLKTAKYQTPATNPVNLPPTPGELKERSQSLEHKPSSCKI EKENGKGSKLQSPILNFGGSKIFRGILSNTAKQ >CAK91750 pep:novel supercontig:GCA_000165425.1:CT868664:295314:297416:1 gene:GSPATT00024481001 transcript:CAK91750 MGSQREGFEQLEGGGEDAKRSLIKWNRNFCVLLTILLIQIILQLTRMNESDKENKNGIRL NNRRIIGNYQLIKTLGEGTFGKVKLAVHLKSQEKVAIKILEKERIVEVADVERVSREIHI LKLIRHKHVIQLYEIIETPKHIFIVMEFANGGELFEYIVKHQRLQEIEACKFYQQLISGI EYLHKLCIVHRDLKPENLLLDFNNSIKIVDFGLGNTYKKGELLKTACGSPCYAAPEMIAG QKYDCLMVDIWSSGVILFASICGYLPFEDQNTSALYKKILNGEYQIPKFVSNEGANFIKA VLTTDPKKRITVEQMKAHPWFNLYQSQSKISPGIIVGYNRMPIDDSVVDSLSQQGYDKEY IIKCLDANKHNDVTTAYYLALKRNLINGIQSKADINSSVFEESLLEPKQRPKKPPISNIV ATSIFKQNRSGSVQQNGQTNNNSQNRGKSVPQAISDDQTQMLVNYAHKMKYQPINPQQKF AIPTDVTQIISLQSVDDTLERPKSISYHIPKKTPTNHQSSEHNKSKTGVQRDSVSPQSKS KQKKSLQSKQVNSSFEYVSANNYSTKQTEERKKSNSKHTTKDLFDLTNYQKKLMIDCNQK PTSQLWQLQNNFRQNSRLYEIPTSTKTSNSVNKRSKVIKQENNNKSTNQKSTLNNSFNFD KKSYYN >CAK91751 pep:novel supercontig:GCA_000165425.1:CT868664:297607:299137:-1 gene:GSPATT00024482001 transcript:CAK91751 MRCGQLKQAKSIMFQVAKNQKYQLFFELLEVRNETNQQKIQKLDQFCDDELFEQCYLIAF DSGSVSPVFENNHFPQDQDLFLWMTLKTTHILNDNESDNWSLQDLQDAIPRKIGYKMDIL LQRYEEVLKEIYNSSASLVSKLEYFTLFFIVQSLLGIKNENTMLELNLILNEILGLVFEK YPDIGGLLIILTEPNLEQAIDKIQAEMMKYKYGVEVFQNKKFFENFENMFGDNQKTQDFI ESIVQEQFQQNLLEYHKDFIENIIKGNNINELLATHKAFINNIDMRMEDLKSYLEFRKTG FTHLAMNLYYISGIILLTTECQFNLKAQELRFTSSATPKFFVKNNNNPKQYYNLFSTVYQ IAVSNPRQKHTFDLLQAFNFLIQCSKIEQFNENKFKQYLVLIDISKLTKILLANKQLYLL KLFFWMIDLTLEIHDKKIEEYKNMKETFQRMINNKSYQNIVLNSLFLINREVDLHFQDFK LMPK >CAK91752 pep:novel supercontig:GCA_000165425.1:CT868664:299208:300527:-1 gene:GSPATT00024483001 transcript:CAK91752 MLITEISYPPKLLRFFQIRLLSIMKGGQQFLIFQIKSALMINFGLQSTTIPNPQPNTQQP PSIFGQPQTLPPANQTQQGLLPQPQPAQQLQPLQPTTTPLQAQNQALKKSEFENLVKYYK EKPELTKQQELQVFVQQTKYLKNRIQKKFQKQINVKQTQYLLVDTLSQKVCQLFQKFNLN ERNLEYTKKDELQRLSQRIKADQEKQNLLFQSQLKVKELYSQQVSNYLELNNRVQTNKNM INSIQKKEALTQSRHTKELINLLHENKADQVQPKSVSIKSISFNTHNQFIIEKLFIEQEF YQKLEQFLEFQKLRQMKENIDKADYYESLFSCFVNIQSDHKDIMRLLSLQFKGIQFQSQK IQKDLLQNSIRLLESEMSRRIGVMDLANINCNPYSAIFREIQRYLL >CAK91753 pep:novel supercontig:GCA_000165425.1:CT868664:300589:300801:-1 gene:GSPATT00024484001 transcript:CAK91753 MSFTPPKSSSKTTYMHSLPFADKFQKTFSDAEMQCVQRKLDFGEMDFQQKKSISTFRITK MHKSTIGKLR >CAK91754 pep:novel supercontig:GCA_000165425.1:CT868664:300972:302395:1 gene:GSPATT00024485001 transcript:CAK91754 MNNKGMFKHRDINTFLTNHPAAEKQKKKPFEYLNLSYSKQFDGSPMKKQPYTSKANENQC KSQQEPLFLRQNTLPNYEPTRCSSLKNGIIKAYAANTNQGLVRDYNEDRVSIILNIIKPQ SRENEPWPKCSYFGVYDGHGGSACADFLRDNLHQFVVKEPDFPWNPINAITKGFEAAEKC FLQMAQDSFNQGIPERSGSCAIVILMIGDSCYVANVGDSRAILSAESGKKVIDLSKDHKP ELERDRIIKGGGQVYQTHGVNGEGQTILGPMRVNPGRLSVSRTFGDIEAKLEKFGGNPKI VIAEPEIKHIKIVNDHDFIVLGSDGIFDTMSSKDVITQIWKDVQQSQNTNDLHDMMSNAV ESVIKESLLRKTTDNVTLLIIAFSVTPLREQEVRVKTTSYIDKLVNFPQSSNSTNLRTKK YNDENNPFFMNAQKLKQNPKLMKSNFEDNFQYRLIG >CAK91755 pep:novel supercontig:GCA_000165425.1:CT868664:302446:303609:1 gene:GSPATT00024486001 transcript:CAK91755 MSLANISQCSHQEKQLKITGFQKAIQQYIVILINHLNMSNFIPSQKLSDLLKHEGRSNSM HSFYNNRSSCYSTINLNSSLLNGWDTQNHQPISILTSNRSDVKQINASASKQDITNEIVS SKHERRSSTHLFVHSGLDAYEKYQSQPRRDMKIYPNFKQELLHEVVKIEKPFYITSVEEV ERYWKAKELMLFRQKSQLEFEIKLQAQLANKKPVILKVEPLQEISQTEVEIQQLEILLKN QNKKVNELKLESEELDVKFENLLDQDNDTQFLRYEKQLKHIQQSFKDLNRRFHETEEQIS MKENEIESRKKQMQRKSSIIMPSNLRNSMTDSKFKQRSSFKRQSINSGTMSPTRVTFSQR SQPSEECIKFKH >CAK91756 pep:novel supercontig:GCA_000165425.1:CT868664:303647:304522:-1 gene:GSPATT00024487001 transcript:CAK91756 MYQDQISLADFVLQEAREKCFEIEVKAFKQFEKEKKQIVEREKSNIQEEINTKYKKKAQQ ERIKHSALVNGARMRLMNARNQALMKIYSDSQYQIYKMIRQDERFYEELLKNLIVQGLIK LFEHEVVVRCLHRDIRHVKNVIDDAIAEFQDILRKELNGLEFEVKIEVDEDKCLDERTLI DNSIKGVQDYSLQESASEVISKTENDKKCFGGILLTNKEGLIVCKNTLDVRTEQTFQDSL PIIRSTLFGK >CAK91757 pep:novel supercontig:GCA_000165425.1:CT868664:304542:306730:-1 gene:GSPATT00024488001 transcript:CAK91757 MIFHKYKRCLHLVFAIKHLISASISKNVHLIAISAALIIVLLLLFKSERLVWKYFRISLL LELCFYDAPFTPSIVATLYSKITHKWILLVFYSVRISTMNAFNFEIIDWVYFGVQFVALF IYLCEKERKPFQRNSQLINRNQNYLNMGLMMESVELYDILNRIPCGVCLLDNNLQVVSSN NKVRKYISSQIENNLQQALFLMIQRAYLQSQQNGSFRKNERHKSIFESLDEQSDFPIKRL QSFCQQRRATLQQQKTTNVSNVSDIAQIIHKHKIKNLEETKINVLKYKDTNTGKTFEIRI YDIANGCMIVIENITDFEYQQDMQERYRFYSKLINSFSHELRTPLNCSLQLLQILDQNLK GELNDQYLKPAIISNKKLLHQINDILDYANFEAQTFKLRPQLFKLSTIIKTIEDYFKAEC EQKQITLTITSCDDTYISSDYDRIMQIIVNLMNNSVKYTKQKGEIHFVVQRAGSIYQFEV FDTGCGIPVEKLYLITKILKNCELDWSRRGDEDYMQYVGLGLKVSSQIARKLCDTGDLSI FSSVNQYTKSKFYVKDMKQYLDQTVEPTEDHIQEPYKSIGRIKCQCVSVLICDDIPFNHL ALSTVLKYFNVKVDSAYDGQMAIEMAKQKVTQCKCGYKLIFMDIDMPEMDGCQATKEILQ VFSHHQIQCVVIMCSAYDSKENIDYAMKSGMKEILPKPVDTNLLKKILQKYYF >CAK91758 pep:novel supercontig:GCA_000165425.1:CT868664:307057:307992:-1 gene:GSPATT00024489001 transcript:CAK91758 MIVLAGSELLIANSLIALASACLNSVTSLVRLEEAQKANGINLELEKELERGQFCKQILY NDKPINAIQLSEVMKEKIIQIDRCCQSIWQYFPSGIATIFTWALTGQAMRHEFVIIDTTN HICSLELVKDKNEQQEQIQKLVFKIYGLVTEEQRKKIRYDQILKNRHYDRVLSSIKLNHQ MYLSQINQFQNLILICWEKMYENKTMLSEWYEFFQKMSKQYARSILYFSQEQPNYHIVLQ SIIGIFTDKPFYFRRIDMEIIEKLIWAVGQGQNEEMKSSIKKFIGILKFIREGRDFSQSP SIQLSSIYTQN >CAK91759 pep:novel supercontig:GCA_000165425.1:CT868664:308197:309111:-1 gene:GSPATT00024490001 transcript:CAK91759 MIALVTGAEAAWIGDVLIELVPLLANSITSLVRLSDTQQTQGINILLEQELELERGQFCK QILYNNEPINDIQLSEVMNETIIQIDRCCQSVWQYFPSGIATIFTWALTGQAMRHEFVII DTTNHICCLELVKVQNEQQEQIQKLVFNIYGLETQEQRKIKRNQILAKRSYDRVLSSTKL NYQLYLSQINYFSNLLLISWRKIYQNKSRTANFFNLFQKMSKQYARSIYYFSLEQPDYDI VLQSIFGIFTDKPLFLPRLDMIAFEKMLWHGSKISDQMRSSINNFIRLVSSIRNGIDFSQ TLVN >CAK91760 pep:novel supercontig:GCA_000165425.1:CT868664:309585:316313:-1 gene:GSPATT00024491001 transcript:CAK91760 MAKEIEEYFIKRLSSYLLEYLQDFSQNNMAKFSLGISSNIVLKNLSIKKQALLNFKFPLY IIDGKINSITLNMPLNYKKHQPELIIEGVELLVCTIQEINKFTQNKDYQQQGVENLKKHK LKLWEEQMAKYFEKLSPPNWIQKIVDGIFNNMSIIIKQFNVRFCNFSILGYETILRIKFD ASIKATDSEFKQNFNNDLNSTFKLLQINKLSMSLKKEASYILSKKDVQMILMPIDIEIKY TLNKNYEQLTQPIMVLEALIKSPVIIQLNKEQKNYLIKLNEVLSCQEIIQDNFHLRPTKE IKNNYSDWWKYFINSIILKQKSQKLDLSFSSKKLVSMKRYIQLYKRKQNIVLVPWLSQWT AKDESKFKICEDNLPLKDLLKYREWAFQEIRMEAKRYCNSAKDGQNDQSVKPLLEIWTNT INNQNSFKDHTKRNDDIPIELEDDEKINLYEILERDKTNVLTSYLKGENNHPNQLKIDFK LKIHSIFFLIFEQRELNCVKYTPENTKIFKFCQCRYHLKLLKKQASSRKKVRQSSNVNTL TQVYNEMKQGEDKSFHSVNYIDSFYEDMEDLNGVESEISQQSNSLEKVNNQEQKQNADQP LHQKFVLLISFIGIRVPLHIYQNGGIQTPQPKQRELEEKIYIGDIKLIAPGILLKIMDEK EPRNLLQSPLFGKSPEEFLQEKKQSNQNSKSSIKYCEFFNEIFEISVTKDSQQFLNSEIC YQMLGEFLKQNRMQNIKSFLDDYEKDKNGFQDFTTEQFKSYDKYFDDRPEIEDPLFIHIA KQQLPNEKSEKNEKNHWDFLRNVTINANEREKPNQWIICDNNNKHVFLQEAHNKIVDVIR RVLFIPFIEEFGEMILPTCISNLRDKLTFPQMQTKKEQDQQIYALQVSINFTGEHSEYRI LRNNEVNKCNTKETNYQNKANPKSKIKIKITAFSFLLSTKAVTSLIYFMDHQKQQIFESP SYKQCKEDNKLLQCIVKSKPLAKETIKQQQWKFSLEMLDKFKIRIVTDSIASKIKTELKF QFKNLFIKTINLEKIRQNKDFKSFFTSVDKNKMDNFFEEQKYYSINKIILEQFQISHKYF SIDYRYYNEEMKNYEKQMQSQRSGKEQQGSPPLSFQRNNSEQLQRPIQFPLRHAVTSKNQ QEDKQPNLQYSLQSKPVNKISGIKSIILESRFLLFSFDSLVENHPLITDKKLYIQIPYID LQINDSQICFIELLMIINKKLKQKEKKRPQEFRYQMSKDSLMRAELLVLQNDHKKQKKDC KHCILRFRKSLSLSNIIFGSIPRIQNDINLFSLFEFENQMIYLRTQMKKIEEQQISKKHS QVTFVETHTNSGISISFKSTPKTSKSVCYVTVFEMPLFIITHDKGYFKDTIAIHCQKSKN DVEMSQSNKLEIPPPPPFSVEKSKSHYEGASLRPMPYRLPDEIDETNIEKTHIVFEPQLF VQESQILSDKLDDKFIFYCRNKELEMFNHDFAEYEEKDQQSQNNLIDQQSVKDPIYLLFY FHKPLRANLLLNVAEDIYQERHSKMKLFFAIENIKATFSNQFATYNVITILKNISTIMNI QENAFNLVNQDLLKKEKNKVKLKENPLKVIDDMIVCAIIQNIYLKSNETFFKLSQLRAFV QIYLMERQRFNPIQKRAIQSYYPQNISNIQIPKTRSSINNYEEDQILRCDTIKFNFNQVS QVDIKIVLVTKSKGELSLSVDDIQISIMGKQKENLLVMPGKDIGGQRAKYALHINLSFQN SYPLVKAKVEQAKILLSQQKVQDLLIAINSFYLINIEETISLKQHLLKYIFQQELNAFDR EEKQVNIFVNQINNYKKAYKFASELIIESLIVKLQEQEKDFFLFQFHSIYLNKRNQTNLQ LSIQQIEMKPQNSPGEKTVYQNLIEPIDSKQVVVFNIENKIISIRNMRFYLISRYINELQ AFKQRVEAILQKNLDELKEKYQKDFDLQLQIKHEEDFLKEKDNFNYQIEITNSQFVLPET SQDYNVIIATFSRGQINLKKQKIQLKLPDIDNELTQQEQAMNESLFIDYREQIQPENGEF YVINMFGCFENVLVKYQLNNNQKTGELLKAEQITSNMDIPSFEQNCGQTQWTFKDQCKLE INKLKMSLDLGKLMKIKSLMTKNQEEQSPLFHFKRPLLQKINFEIKFSDSNLALTRFNQG EPEKLKQIKEKSKVYTQSTRKSKHFSPQHQQK >CAK91761 pep:novel supercontig:GCA_000165425.1:CT868664:316472:317820:-1 gene:GSPATT00024492001 transcript:CAK91761 MKRNKTENQFSKTNYIRLLNLDKIQGSVPTTPRKTKFKSLVPNHQDVQNHPILLSQLLCD IPFIHTHVRQIPTLPSSNHYYCKSTTKTHTPTASQIMQTKKLQLNHKPQRFVVKLNKKIP TILSKQSQIVKSQTYRPNQTTTKFHSLSIDPKYQLVSDITKSDFKSEILSKQTQPQQPSV TQFTSPNQLSRYRDCSEIDDFNNKLYGSKQIRGLLNFQKFPSENIPLKHKYKTIRASLIQ YLRHLEKLKLTTAEFLSHKVFPNKPFEHPQSKHFFQLCKQGQDKEIIKCLEDNKYLVYEY DHLLMTTLHWCAMRGLESTAKILLKYGADPDSQDIVGRTPLYLALIHKQNNIAYFLILNK ADPWNKMNLNYDESVSENPEGKTMLHQTRRTHILLRMTPADQRQFIWQKEQLQMYEPKKK KLVSTQ >CAK91762 pep:novel supercontig:GCA_000165425.1:CT868664:317843:318908:1 gene:GSPATT00024493001 transcript:CAK91762 MDKDIDVDKIIEKLLESKGARNGKPVNLTESEIRSLCVKSREIFLTQPMLLELEAPIKIC GDIHGQYTDLLRLFEYGGYPPEANYLFLGDYVDRGRQSLETICLLLAYKIKYPENFFLLR GNHECSQINRIYGFYDECKRRYNIKLWKTFTDCFNCLPVCAVIDEKIICMHGGLSPELTN LEQIHRIMRPIEVPDTGLLCDLLWSDPEKDIQGWQDNERGVSFIFGTDKNDLDLVCRAHQ VVEEGYEFFAKRQLVTLFSAPNYCGEFDNSGALMSVDETLMCSFQILKSQDKKNVPQARP RTPKYVN >CAK91763 pep:novel supercontig:GCA_000165425.1:CT868664:319186:319500:1 gene:GSPATT00024494001 transcript:CAK91763 MDTPPQNNYKHNVDDNFRHDLCQRIQTKTGKMPQNQNQQAKQFSEKDQLQKIQNELRAQL KFIDETNWMFEGLNNANDNF >CAK91764 pep:novel supercontig:GCA_000165425.1:CT868664:319813:320292:1 gene:GSPATT00024495001 transcript:CAK91764 MDSLQIQKQLMVEQLNKLEYMDKILPLHKDEVQAEKKLQVQINSLNFKRIIEGQENNKLM AEIEESKLDVQKSRHKAFQKYFTSFMTEQKQQNVNILEFNQSDFEVFQQMKERQRQLAQE DLTIARQKEKSNQIFEQNLMKKWDRVRKSSILSYSKNCE >CAK91765 pep:novel supercontig:GCA_000165425.1:CT868664:320467:320775:1 gene:GSPATT00024496001 transcript:CAK91765 MNRAISFLPDSKFKKYWQYVLMILLIYTAFITPIRLAFIEEFNLVWFTLELIVDILFFLD ILITFNTAYFNEEGVLIVDRYLDSKPISKNLVNIRFNGCISY >CAK91766 pep:novel supercontig:GCA_000165425.1:CT868664:320824:323071:1 gene:GSPATT00024497001 transcript:CAK91766 MHINLRQYNRLTRLPRMYKLISISRMWSTVQNSQDHNDCFSAIQDLINLNSTTVRVLKFF GTVLVCVHIMGCLWYLVAKLNDFGPNTWVYELDLLNKSEYELYLTSIYWAVATICTVGFG DIHAFNDTERIVCIFWMFFGVGFYSFTVGSLSTLMGTLDTRESHLQSKITFMDEFCEETK LSLQMKHKIRKVLEYNSMINIFSSAEVDEFLSEIPTNLKYQIAQAMYAGLKNRVSFFKNK DSVFISTLIPKLQPLKINAGEFVYNKGEYPNQVYFIVNGRVNMVIGVYSITFKTYVTGSY FGEIEIFDNSARFHSARAELECELLAIEQDVFKKILQAFPEYFEEIKTISLEKLKREKEA IEKLQDLTGLSQTSEFFNKKRTQSIYKSIKQRESVQFIDYSEESEQDMKSMRSSKFGFFK KKTNHLMPEESLTTRLHTQEDTSQKNLVASDSSHKKNEELEKVITLPIPDQVKRQSEHKI KLYGEVPNPISQFNEDTDQSQQQKSIQRIQSEEPTRPSKPKQVRYISQNLRCSPKRNDNR KPSIQINSTNENSNSDTPFISSVRSNFIKPSIKIDEVSHESEGSNKNSPKMPILSQNALS PQNSPRRNSIPSRVPKPSPFQTQQSIFKAASNQYIEASKLKNLPRLGGFRRTYRKEREIE VLEETIENEDYTQLQSKLVELLEKIKKQKEEQKTKKQKIQFNIINPLPKRIVLQQKDSIS ISDLPDVEKQQLDSNSSGILE >CAK91767 pep:novel supercontig:GCA_000165425.1:CT868664:323087:325121:-1 gene:GSPATT00024498001 transcript:CAK91767 MSIKSIGNYILGKTIGEGTFGQVRLGQHTITNETVAIKILEKDKMKEETDYERISREINC LKKLRHPNIIQIYEIVQTVNSLYLIMEYAPGGELFDVIIRNQRLNEKEAADYLMQILSGV QYMHENYVMHRDLKPENLLLDENNKIKIVDFGLSNQFKDGQLLKTACGSPCYAAPEMIAG KEYDPKSADTWSCGVILYAMVNGYLPFEDNNQKQMYKKIVYGEYAPPKYMSPLCKDLLEK ILQVDPLKRYNIHQIVKHYWIQTCVTNPILTPGYGEINICNEVLQQLATYNFKLPQAYAY LKANKHDPVTTTYYLLLNKYLREKQQDQEEAFQYKLIQIPPPQHPQEAILKETSNIQPQR ISQADTKENKTPQRNTQEQKSTNSIKTFNIQNSQQEKISQIPETQNLNDIEEISENFKEI QNLINLSQIEPVQNNQFENNQDQLQDNDTTTNNQLNLSAQIPFPDRPSIQTSLNNTPIYQ SQFNYNYNQYDCTSRARQVSLSIEKKPETLFPTVEQIKLKAQQFKQRALENEDRSPVPQK PRTLKTPQRSAVYRAPNFNNQHSYERPQSPLIVVKQHEGPYHLNQLVFVDPQRMTQKIIS YLQQQNYEVKRSKFELKISKCDFVMTYAIALLCDSVYVMKCQKIQGDSEEYEKIYKQIIK LR >CAK91768 pep:novel supercontig:GCA_000165425.1:CT868664:325141:327730:1 gene:GSPATT00024499001 transcript:CAK91768 MGSVCKKQDDENELNSLIPKKKGDTITISQNHGGGSQIIQKKRSTGNKGDYEPDAVVEKI EVELKRNDRKKLVKVEARQDQEEIVENIQKSNKKKSPFDYQLILNSFTTNSLFQSLSQQE QEAILEQMFYCTTSDGQFVFRQGDLKASSFFLIERGQCQIIIDGEVKKVLKQSDSFGERA LLYNAPRSASVRAVGDCAFWAIDRNLFRKMIEDMRMHEFEENRQFVENLKSFEFLTFDQR TAISSVLFTVQFKKGEIIVQQDETATSFFIIKKGSVSVIQNDNEIRKMKKGESFGEMALF QNSKRGATVKAAEEDVRCLVITRDELTKILGDKIQVIMFTNKQIWAIQKHQVLGQLTELQ IQKIVQNLNQFNYEQGVVVFEDKVQKLVIVLKGELIFTGSKMKAASEGQVFGDAYFNKQQ LSDPVIAKDKCILAEIDFKRFEEVIGGALDQVINKNQKISEKHKKQESQKHDYSHLKLEE FISLKKLGQGQFGNVYLVKNPKDNPIHFYALKCISKAQIVDQHLEKHLAQEKQVLTSVHH PFLMRYYCSFKDSNHVFFLVEFIKGMELFDVIRDIGLLSAFDTQFYIGSLILCIEYLNKQ KIVYRDIKPENIMVDEKGYVRLIDMGTAKFLNHKSGRTYTIIGTPHYMAPEILQGKGYTF PVDIWSIGICMYEFMCGQVPYAEEAEDPYEIYEDIQKKQLSYPQFLKDRKAKKFMEQLLN KTPELRLGGSYASLKANSWFDQFDWDKLYDKEMKAPYMPPQQKMPATGKDVQGTPIMKEL QNLNAQVYKKEKAKDPNWDQEY >CAK91769 pep:novel supercontig:GCA_000165425.1:CT868664:327824:329739:1 gene:GSPATT00024500001 transcript:CAK91769 MTIYFHKLLDAETERQYNCFQNEQIIKTQNKQKVLILLLLSVFTIQKGIEKSWTSLTFNI FGFIFITISFKFVKKASMYYKYLLLVIVLIFNMFYPLNRYMGTLPNQDTYLDGYFICLGS LSILNSVEAYAKYIVMIPILAFNLYVGPYTEAVLWSQFLKFGIMTVMDIQFGIQQEICRR KQFSKLNCNKIIQNYFNSQDLFDIFKVKFDENVKSIKLSSLLEKQKFNEFQQLDFKQRIR KILVHPKKYNTEMNKNSQQNQFQMNKINLETFLLYYLKGIKPKADFKLFESFKNKLKVDL EEISVNNLGQNEISTFNSKQITPQALIILKQHQISTKIKKLKLKQENYKLIIKYFISIFN SSFKKCLTILQDFNQFCDINITSQQQLIFREHHTQLNKAWNSFRNMVDFMQLSCNINPLA TFNILLMVEQLTQAVKYLHHDNHDNAVDLEIINKLKSSFVVSNNAKMKQLFLNLFYYILD LNYGKIYIMLEEEQNSDDNIIYVKIKYQSESIFTKSELQSFPIINPMSFSDLQHNSKSIL NLEIPISIWLVRLLGPKDKIIIRKMKQQYELEFQLYKILTADMSLQQYYNIKLENQVILQ QDEQPLITNCISLQPCINGQ >CAK91770 pep:novel supercontig:GCA_000165425.1:CT868664:330120:331929:-1 gene:GSPATT00024501001 transcript:CAK91770 MNQKKFDQAIHYLKYINVFVKPVDLFLLKQGGHRTLLGTFMTMGIISVLLIQFINIVSSF VIHDNPTLLTNQSYSQQQPPIYLSKENFTITISSLNYDLGDSYTVSMWLVSQIFEDKTNS KMTPNIERTNIPLIKCQKQEGLHDYFVNTSLVQYCIDWESVPHVIIEGSLDSDYYKYIEV SINQCEETSSRCPLIENDEIIIKTLSTYADTMNFDQPHQLYGKTFKNVINQYYTKRFNGI FQITEVKQDHGYILQELETTKSLSINNLYENFDSQDEKVYATYRFYLDNLTQIYQISYPK IQQSLSDFGGLCEIFILAALFIVTPLNELSYKVTLLNELFNFNLNNQNEEQQQKIHPSST AKQRLKSIQLKTSQLERINRQMTTNGRNSEKNDHKFLINALQDSIKRFFDERNSKLELSF CDYIPCARGQKQQLISYSMSKINNSLDITYIVKKLQEIDKLKMILLSPDQIKLFDFLPKP NIDLHSSNKQSYFSILKPEQSELEKAIEAQTAFNNLAKFKDDQINQHLVEFLDDDIIQLF RINMRKEVKSLTNFSPVTQTHFLSDLPSRMPQIEQQDDSDSESSPSIKSIQKVDS >CAK91771 pep:novel supercontig:GCA_000165425.1:CT868664:332061:332666:-1 gene:GSPATT00024502001 transcript:CAK91771 MSKEILPIIHFDKQTLTTKSKTQMSSQSRNLIYKTLYVPKDEKNTHVNSSIKTENESYDY VVNQLHQHKQLRLPPSRLINQLRRQKMNVDKIPRPKAKKLSKPSFSINLNTKDKHQLQKQ ICNYVQQANKLQQYKLSSIHSRRQDFKPYFNFDEFSFMDEIFPHSNLVKKKFGLNEQIMF RNSMGLEKVILQRIIQSQEDE >CAK91772 pep:novel supercontig:GCA_000165425.1:CT868664:332668:334080:-1 gene:GSPATT00024503001 transcript:CAK91772 MSASKVQKLFFRFSYYKNLDLTSPYPSFQARDMTTTHTMHYITKPPCDPKIMTFGAFHTD HMLEIDWTEKMGWSRPQIIPFKSFSIHPFAACLHYAIECFEGAKAYKGPNKTIRTFRLDC NMYRMKQSAKRLSLPDFDGAELQKCIEGLLKVDQDWIPDRPGFSCYIRPTIIATEEALGV RASSRAKLFVVLCPVGPYFPSGLKPVRVFCNTTTIRSAPGGVGGYKVAGNYAPTVMPLKE VQKIGFHQNLWMLPDGLVQEMGVCNLFFFWKNKQGERELVTPQLDGTILPGIVRDSLLEL TREMKQFKVIEKKVYIQEVIEAISEGRMIEMFGSGTAVSIQPIEAIGYNDKIYEVKYDPK LNAGELSHQLFDMITEIQTGSKPHKWINVV >CAK91773 pep:novel supercontig:GCA_000165425.1:CT868664:335053:336886:1 gene:GSPATT00024504001 transcript:CAK91773 MSVPKINQLQFWKSVNDIRYGEIKVYKLNDGHAVAVKDHIFQDDEQWHLFRQQAEQQMQS RQKDPFLIEMLDLQFITEKELCTQMTQAHSVYEYFDEYLERLIVEQAASKQHFEEIEIAA MLHCTVLSLFISFQLIAIQKLSSQQRSHGDIRPLTISVTSFPKNCPIRQHEPYPIYKLTD IQSLTDLNAFKRCVSKQVGNYNLSPEQLQFLKQKVLRPTYDMNKSDVFSIGLTALQMTTL KSINDIYDYPHYLINTEKLDTYIREMKTLYSEQLCSIVCQLLQLAPETRPNSRQANDLLI GYRFQLEDYFRQSTLQETLPNYSIDFRLQNSNQKIEQQVVSRVVDTTDVYEQLELLEQRT KIALQRSQDAQNKCQKTPGKQLEQTRSCQLYSNLTPITQQELQLQLSDYKQDNLNQQSPY YHQHANYQKQEINQFQIQEHEQYDYNQQEIEDKPKQSVVEQFTPSNLKTIEQRPNIYNAT TLSQQQLFESINTDQAKSFQQQQYSQQYQNQSKYQPQPRQSQLLRDQELTKQLTGQQEII SNYQQLQDNHEQSPYNSKQDIHQQLSDHFDAQQQVAVRLSGTQAQRQRQQTGSIVETRRS NHQ >CAK91774 pep:novel supercontig:GCA_000165425.1:CT868664:336950:337902:-1 gene:GSPATT00024505001 transcript:CAK91774 MSESIFKVRTKKYLKPILETQIIGISRVILGLPIDHVFDRFKTLLQAENTQSSMKQLLKD TYRRNGVLKGVFAGFSSQISIQLFKQYYRWPMMILIPKYYRELLPQTWIEYHPALHKGLA GATIALFESFVTCPLERIKCQLMTQHQSKSILKQLWRNERSLSHFMRNLYTGMEAMVLKQ IVSWTNYLYWDHKIRYSFKESPSQALTLPQIAMCSLLTAIPNILFVQPFDAVKTAYQMEQ NGNYKQLTLPKAFIKVYMDKGFIGYYAGWQLRLSQFMVQAALTTPVMDYLERLHGLPCEL >CAK91775 pep:novel supercontig:GCA_000165425.1:CT868664:338707:339818:1 gene:GSPATT00024506001 transcript:CAK91775 MKIAYLLLCLVVIQGIEFESLQKAIDFDPASIDSPNCKTDDEYTNTYEAFFPWITILEEG EVEMQEDHSVLSQAKEKIIQAREFIESLDEELQQEKEKEPENQTNESSSQVQDAQTEKTG EAVEEQVKQEEKVDEDDEEDPFGWGTGEIPQLLVHKKERKAHKNTHAFIQIMNGNKLSKF KSKISKLISMATEMQDNQDEDAQKRRQRKLELCDEIIDELTDMILFLEKVMNDYDYQNEI YELFKKKQQQIQEVATNCGQRVISYQDVSLIEEKKSQEKKKIQHQIKSKRRNPVSFLQIV IDDEESQQHQLEYQKQILQSQGMLGFLRASGKQVKLIQ >CAK91776 pep:novel supercontig:GCA_000165425.1:CT868664:339840:341389:-1 gene:GSPATT00024507001 transcript:CAK91776 MNPQYQYDIFVIGGGSGGLTVVDEAQRLGKRVGLADYIKPSPHGTQWGTGGTCPNVGCIP KKLMHMTALIGEIRHELTATGWQGVDPHSKNDWNILVNEVQRQVKGINKGNDDWLIATNG ITYYNKLGKLKDDHTIELIDKDGQSEFVTAEYIVIAVGSRPSFPTDIPNVKQLTITSDDL FSLKKAPGKTLVVGASYVALECAGFLTGLGYDVTVMVRSILLRGFDQEMAERIGEFMKIH GTKFIRGTIPSSIEDVDGKRLVKWVLNGQEQSEVFDTVLLAIGRSADTQNLGLEQVGVQT NKESGKIIANDADSTSVPNIFAIGDCVQGRLELTPTAIMCGKRLIKRLYSNGNQIMEYSD VSTTVFTPLEYGCVGYSEEAAIQKFGKDNLKIFTSEFTPLFWNFANRKGTCYAKLIVKKD DDVVIGFHYLGPDAAEVTQGFGVVIKLKAKKSDLDNVVGIHPSVAEELVQMQTWK >CAK91777 pep:novel supercontig:GCA_000165425.1:CT868664:341412:341729:-1 gene:GSPATT00024508001 transcript:CAK91777 MQQKAKQFFATYGKLGIGVYISYSIVNYGIVFFALKQGVDVKQVGQKYFLSFLIRLGFDT TQGKWEQFETYGTPTAAYIIYKLMAPIKWPIVIGTTAWICRKGKK >CAK91778 pep:novel supercontig:GCA_000165425.1:CT868664:341850:344790:1 gene:GSPATT00024509001 transcript:CAK91778 MQGKHNFIFSLDGEQKSFFVSNLDVASFCRLIRSAFQVDKTITALQDAQGKCNNIIGNIC DLHYFCNNLHAHKDKFYIILTEQRKAERQSRKSHKSKNSRVLTTNEEPSYYVEFGAFLQE IQQIRFSPQHPVLTIVLVFDNEYQAGIFGQPIVMRIYKTFAFLQAYYTIIQEEESDSLLL TVFENQILKHEMEMKINHSVAEDVCYQIEQLLCEEEEPEPILQGSFATPNSNANNNIPLI VTRGNEKQILQVEANDNFHSSQGKRTHGVMRVKKAVDKFRNRFESREYEYLLGLIIGQDQ SVFAAYQLFQKQQDDENFIRNLKLLLPQEDNNNLSFQNNLKFITSPSRSDLHQSVNFPYD CNPTPGLRSTVTIMEQLLNSGDLDSIESGMLRELRMDSDLDDLVKSLMEYPQDVLLQIIR QYLQSLFQQEITKTFNSHQVEYFLQENANKQNPIYEGFQRFGQNGQLQELFQFLKDNVGQ LEEQLREENSYSYYLMHKRRKDSQDEHFHFHINFPQINQQEERKLSVDSLVLESKKEINF IDKYHVKVLQKYSNEDYEKLFMTVYDQCSKFCDEHEKAKLHGYYTAQNQRLLEILDKYGQ RYDIDCIKSDLNDLLTLQNKKIDEIPSPTHGAVIEPKMHKYQNFKFIINFLYEIDKVITL RQAKAFYYLYSIEDMSVMAAYEVYCETKEQDEFLNTLNLIFKVYSSSSRFDFNEIEQFDS LIEQQLTILFAYRRCLNQEQRLALEQNMISCDNTLLKLFRDFLRQRDQRIFIQKLSDFAN SQIEKQKDNQSMDPYMKKIDQNNQHKDLIKDICAKFLKSKVKQIDLLMDAIDKDNIMLKS SLEVYDYNLDKQDLVENIQLIYNYILKSNVKSILKANLIELGRSKTDLTYLLRNINQDEP ILKGAFELYFQTKDEAELKDTISRILKFSNI >CAK91779 pep:novel supercontig:GCA_000165425.1:CT868664:344804:345374:1 gene:GSPATT00024510001 transcript:CAK91779 MYKVELVDSSEFKDRSKYYKHLLQTYCQWSFLKYFVYSIIWLIGGRISIHYSMQGLYVII TGFALIFTNLGTREKGTLSAYSIFNKGYKKLMGQMTEEDVANMYNMGGVKKKEDFSDEDD DNISKDFDGLSMEQMMAKLTKLGNKQCFCNSGKKYKKCHYFIHQRIKQQEDEERRKGIKK N >CAK91780 pep:novel supercontig:GCA_000165425.1:CT868664:345394:346317:1 gene:GSPATT00024511001 transcript:CAK91780 MQQDSPLNRECFECGAPNPTWVSLPNSVFLCLPCSGIHRSLGVHVSFVRSTNLDSWSDKQ LKMIMMGGNDKLKEYFTSIGVYADPSKQQDISWKYRTKGASYYRECIKAKTEEREVPPLI PIEEALEEDPQLAKSNFNPLPRKGEQQQQYQPMQMKEEEDDTLDKMKTFFSAGFQKTSEA AKEAKKKLEDKYNDEEFQQKLTQFKTDFSQKTNEVAEKTKVVAEKSYETVKEGTLSAWNF LKTKFNDLQKKDPQPEQFEILEQPKNQ >CAK91781 pep:novel supercontig:GCA_000165425.1:CT868664:346317:347695:1 gene:GSPATT00024512001 transcript:CAK91781 MAYQSQCNSQMNTNHSLKPPVDSLLGKVVCKNYLIVSKINEGSFGKVYKAIHQIKQDYYA VKIEAQEHRQKKGETLRLEAEILKKLNGERGIPRLYYYIEDSTQRVLVETLLGRNLDELY SLCNHKFSLKTVLLFMDQAISRLEYLHSQGYIHRDIKPENFMIGIPPNENLIYLIDFGLN APIYDSQKRLLPKLKGQPLVGTARFTPICSHQGYSQSRASDLESLGYLAIFFLKGSLPWM KVEAQTKEERFYLIGQKKMRETPMSLCVGLPREFEGYFNYIFNVSHDTEPSYGYLRKLLK GLMHRMQYNDNVFDWQEIIKKEEESKKGSNKKIKLNAQTQQFKPIESEDEQDSPKVAMMQ SKDSKKSSSNFLKRSITDQLNFQKQQSINQRNNIELLISQNELLNLRLKKNLKILASEL >CAK91782 pep:novel supercontig:GCA_000165425.1:CT868664:347775:348522:1 gene:GSPATT00024513001 transcript:CAK91782 MKKSPHLKLQIIKMRPIVCNTELAFVIPTDKERKVSMKRKMKTSDEQIKHARLRYQELFY QQEANLEYLYDQLTERMEKYNLQKQKQLASKAKYACDYNFRWKQRLQEQKWLDINMKMHF QDFQKRHTRSKSLALPKIEIIKKTEPCPTIKKREEQSFDKQRKIIYCSYQRDLKQMKRSF EIAQKLDQDDHRIMKQKTLDIKPKQKVPQKHDDNTFLTYV >CAK91783 pep:novel supercontig:GCA_000165425.1:CT868664:349387:351145:-1 gene:GSPATT00024514001 transcript:CAK91783 MGCCKSFPNGCCASKSLENQTNSVILKENSEQFQNEFIQSVLNLDANCSVQKEKEINFPI KNTSYTKDITDVEIKQEYMKTSSKQWNIKQTMFVRVNSKKNINESYLIKEMIGQGGFGKV YKVVHKQTGMIRAVKMILKEKMKQEDEERLLEETAILMDIDHPNIVKLYEIFSDTYSYYL VSEYCEGGELFQKIKLVSILTEKEIANFMKQILSAVSYCHQKGIVHRDLKPENILFDQKH DQASIKIIDFGASAKLQNCEKLQKRIGTPFYVAPEVLDANYDEKSDIWSLGVILYILLSG YPPFMGTNEQEVLIKVKKGEYSFDPNDWGKVSNTGKDLIRRMLLYNPTNRISAADALNHE WIKNNKAKGQINNLTLSKLQDFDSKNKLKYAIFQFITVQVVTNQEKNDLLKIFQEIDKNG DGTVSKDELYQAYLKIHKGNKLAAETVVEELFPQLDANGSGIVDFSEFITATINKEKSLS RQRIEQSFKLFDLDGNGLITKQELNELFDEEIDEEMWQEILDQCDNDNDGMINLNEFINL LENKISKTPLFKS >CAK91784 pep:novel supercontig:GCA_000165425.1:CT868664:351362:352907:-1 gene:GSPATT00024515001 transcript:CAK91784 MGNKSAKVERQDSSELLLSQHTNTIESSQVENSKIFVQENNLSYCFACCNCFGLIKTQVV EIQLSWIEYTIRKLTRGQFKHYQWSDLMQLRLQSIDIKNFEELQAIYLNKIRLIISCYET TSSFYQNKISNHFEQNEQSKINIFEYYEEEVNTIFEDRKKSGLNEKKFFREIMGMIYQQI QIADHPCGLILQTFQNYIVNYTGPQVWFRDSFRRDKNKNQLIKSTELCDEIIEFLKFFVN CIESYYMIDKFVHQTQLLNCFTSTNTIAFVINVLFLDERIYQKILKSFSELYAYENSQYQ FNLRLIKNNKIDEFDVPQQLLLNQQLEIAFQPQNDQLKGNPSIQFSDFEDYNQPYQSAIN TLKQLEQVQSPTNQLKIISKTFTEIHSCIKEYYDKHPELESIKSYGTDDIVPILTYVIVK SNIQNFGIMLKIIEAFTPKQIMTGILGYYLVTIQGCYMRISQYEKFEQVRKTIKKTKSEV EAVDYKQSKQKIKSSDQLNF >CAK91785 pep:novel supercontig:GCA_000165425.1:CT868664:353176:354471:1 gene:GSPATT00024516001 transcript:CAK91785 MIQSLPSRNKQLIESPFVWLKEKAERDRQRTGVPSKLWYISGKIYDLSQFLKLHPGGDYF LELTQGQDITEMYYSHHLNMEKCDAILQKYYVRDADKIEQYFDYDEKGFYLTLHKKVSDY FKTRDKGPTLIMKLVVFIALFSFIITFLLTCIFQSYLWAFLCGYTLYVCFGLGHNFLHQG SYEPRRYLADLSFFSHFHWAITHCISHHHFTNSNIDLEVTSFEPYYKTQKSKLPNNKYMC YYMDIFFAFVSTMQFVSTIQQVLKGEERIRKEYFIPIVECLILQYFCGDILQGFIFFMII QSTSSYLTLKYSIITHHNNICYTDGCSIVPSRDFGIYTLQTSQDHDPQVVFPFNMFLFQG FNQHTLHHLFPTVDESRIPEIYCFLLETIKDFKLQHIYKQRTVSELYKAHNAFVFGK >CAK78578 pep:novel supercontig:GCA_000165425.1:CT868318:10688:11149:1 gene:GSPATT00001619001 transcript:CAK78578 MNLGEHILLSNGFSKIYEKPTTFPFTEELLEKLRFDCQENTIICLGGIKTIERNKLILCA IDFAQELFIITKDSLKSRKSQNADIFWYHYKNRCFGFSKNEKISASNATADENQIQAEYR FSVWLDGDIGFRIGNNKNLKFSNEFSYVIYKKY >CAK78579 pep:novel supercontig:GCA_000165425.1:CT868318:11388:12003:1 gene:GSPATT00001620001 transcript:CAK78579 MAPSKSPKAEAKKTVKTVKTKKSTDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAMN IMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVTK YTSG >CAK78580 pep:novel supercontig:GCA_000165425.1:CT868318:12148:14500:1 gene:GSPATT00001621001 transcript:CAK78580 MANDNITSFILKLKQLFQLEIRLFKGKKKENWNREIDLNIYISISLYMIIGFFYIQVISK PTNSDFIQGDGYLRIWNAICLIINVSVQWPLFDHKIITKLIILIIIVTSCNITQSMSELE SFGVVSITVIVVLFVYSISGAFFEHKHIHIIHETGLGILLGILSGFIFYLLFEDTLRSLY TFNGTFFFYILLPIIIFCGGYNLNKRRFAQNFFYIVLFGLLGTIFTFIFILIFTWIVNEN DLITESFDKNVIKLSFENIMIFAATICASDSVAALTMIKPEKYPKLFSVVFGEGMVNDAV SIILFISVELISNEHVETWKMPLELIWLFIKEFFGSMIIGILFGLFTSFLFKRLRFLTES VILEIIILLYVGYASFAICELLELSGVISVLVCGIALAHYNFYNLCNLGQISSKITLNSL SLFCESFIYVYLGLALWAIKGDEKNGIDTMKTSWVFILLEIAICFVSRGLSLFLLTMISQ IFTGRQNFKLTFWELNIVWFAGLIRGSVAYALIQKLHYEGDEEQTQVELMQTTILIIVII TTIILGALMPFYISRNLQIQEHRNKKKKVQHCESIRVTFLGEIQGGIGENEEKYTKKKSK FYRWLAHIEEKYMKQWFIYNYSERKQEIKEQKQLQKKANDGNLPRRQTVQFQRQDSQGYN TRVSKVIHRSTINSKYTGVQQIILSIDVPNEVQQYSNNEELKTQMQDQNDIREVDENLEQ SNIK >CAK78581 pep:novel supercontig:GCA_000165425.1:CT868318:14531:15248:1 gene:GSPATT00001622001 transcript:CAK78581 MEKNKKLKKTKDSILIRKNGKYSENAGEVARQRSIYMLLLECGILITYLIFLILYVAQGK FKMLYLTLQIVIVSLQILCNFFSIHSMIFFKEYIITKSSNLYLILVNLVEASQFIA >CAK78582 pep:novel supercontig:GCA_000165425.1:CT868318:15270:16445:1 gene:GSPATT00001623001 transcript:CAK78582 MIQTNISFLCESGRLTLDNGFYTYQIFLKENHTDYNQFTDTDQLWVMLTNNGCSSILHAY DKYGPFTLKNGSLDLHPYSYNKFVSLLYIDQPFGVGYSSGSGGKNGFAINILEFIQEFVW KKGINNMKIMLYGREYVASLFPKIIEYSVGYKVNIDGIILENAWVSPIHQIGYYGSFLYQ LGFIDDQRRDQIYYNTTQIQVQLLNQNFTNITKLKALINQSQSEFELIENNENNYLEDII KFINDHSIQLYEIAFDAEFKFCNDVQHEAAHSQLLNSSLNEIEMILNKQKKVIVLAKQIS FTVTTPGIATWITQMRWQHIMKWRMSEKRFIQEQIQDQGKNTTTNKTVGYIKQFDKLKYI VAYDEQFKLLKQIIIDN >CAK78583 pep:novel supercontig:GCA_000165425.1:CT868318:16462:17475:1 gene:GSPATT00001624001 transcript:CAK78583 MGPCSILCCKKDDNQVVEKQIITEINHALTTEDRRVTEYQEKITSIIKIQAHYRGHSVRK KMIQQESKQFIKESSLSNRICIIEPQKVEDQQLIKQTDSKQEPVELPQIQMVDGSQYKGQ WMKGQRWGFGRHQWADGSVYEGEWKQNFAWGRGKLIHADGDEYEGEWQRDQADGFGIYLH SNGARYEGQWKNDQQSGKGIEIWKDGSKYDGEYQEGKKHGYGHIFFADGSQYVGQFFENQ IHGDGEYTWLDGKSYNGQWKNNKMDGYGTMTWPDGKKYEGEYTNDQKHGYGTFYWGDGRF YSGQWLNGKQNGEGEYTTTTGENRKGIWEQGKRVKWL >CAK78584 pep:novel supercontig:GCA_000165425.1:CT868318:17548:19090:1 gene:GSPATT00001625001 transcript:CAK78584 MKINSASQQKLAHARPQSSPQKQGQSPIKKNKYLVSSGISTITKTDNKVSKSTALSHDLI SLIKNGANSQNTNQLLDKKQSSRSKLILDINDANLKKNRPNSRLLIQNIVKNKKTDCNLK YHESLIQKQFQKLLGLQKQHNQTHISPPQIHNRAMSNGDLKPTSDIANKLIEYIKKQSAK QLKGYRSISNPDIILTDRRLIQKYAVKTQAGLLHTKKEKVNQDSYAIHERIGDIDNSYLL QVSDGHGIKGHEVAQFVQERLPTILDQLLKLHKMGKKDQDMIIQMILKQAFERTTKELYQ SGIDITYSGATTVCLLIIEHTGWCANIGDSRAIIGRQKEGLHVVELSHDQKPDLPKEEKR IISNGGRVQAYSDEEGNPIGPARVWLKDENVPGLAMSRSFGDYVAAQVGVISIPEIIKHT FQNDKFLIIASDGIWEFLDNQWVIDIVYSYYLKNDAEGAVERLVIEATEAWKKEDEVIDD ITCIVAFLN >CAK78585 pep:novel supercontig:GCA_000165425.1:CT868318:19348:20308:1 gene:GSPATT00001626001 transcript:CAK78585 MSSAWIDMKVKETYHTSYTLRYKFVWVLLVIVTLILEGVLNRSTPYVIMIPIGCQFIVYC TMMKILYDDNKYFNQSRKQFRRFVRYPFLFQILQTLNMILLGNTVWINLIQIFLLFSFYE YLVDKACNLQVKDLRKHIDNCEKLNIICNSNVNLNQHMNNKQFLDDSPNLQHTLLQQSNA VRDTPQFDNFGTDIESDSGNEDHTIRVSFSKKSEIGNITINNLDNEKYEINYQYKEKQKH KVVEVSEMNKFMQLLNLNEFSQIWNDQNRLNYFIQYLESKNNQIWCENFSRQEIIDTFMP KSSQ >CAK78586 pep:novel supercontig:GCA_000165425.1:CT868318:20419:21723:-1 gene:GSPATT00001627001 transcript:CAK78586 MLKIVEKLKELVSHELWIKFFNQINGRLMLKLIMLKSVVFVMMLGILFGYIGRSENKVRF NMTEDLDYSQKLTNTILTKVDGYYKQLILLAYLSEKETTNLVYQPISFNNFTISNDTFTE KYIIQHKLNQNNISLYRSLYKATEQMDQEGWQQTIKLAQIDKYILEMMFNYDDMIIFFHQ YYLINKINNIIHYFPVIENMNESYLQLEQQQQILYSNETIIFSYPTSYGMVLGIGNTSVI GQILQNLESSFFIKIFKTDNSFYVSNFQSVNGQCECQMNRILNISFDCEMPSGDNNYYSS GSCNSLYVRDISTNSQIKIYLMILLMPQIYLVWHGLIIQLQLLFFSLIFQSQQIELICLL EILLILLNKSLIKLIRSQAIYFKYEQQTRYRQMIFQQRKTLKCKYYFMSFLVYLNNQEI >CAK78587 pep:novel supercontig:GCA_000165425.1:CT868318:22085:22750:1 gene:GSPATT00001628001 transcript:CAK78587 MDLQYEEGEIFAQKSDKLEGVDSESSLRSLSDTNREERVHKTIKDELSSVSYVCPIFNFI GRCTQVECKKRHMFIQDGLDELITEYQTLLDVLVRNGEKVRVPYENVLKNESKTTRHQIL KPKVISNYTQGFCEKNKRTLIQKLRKDIDKMQSKLKIINYLKKWTQHKFVDESDFTELYY SLNPTQQIHFMNIFRVLELQPPSFQ >CAK78588 pep:novel supercontig:GCA_000165425.1:CT868318:22751:24509:-1 gene:GSPATT00001629001 transcript:CAK78588 MGSKPSKKPDPPKSNEAQLEDQIPVYKRPKSPDYGQQAKIEAVGIKPDDNIDREAANKRQ AEKQRNSKLEGQQKIQLPQKKIEQPKKKSQKFVIQSSQQNNNSLDLSYDQFVNGLNQNDI KELERQLESDELSPINQTNTESQKQALDEQKIKVAEQKIWKLKQKIKRSFDWPAQGQVYM VSVTFKYNYQYKTQKLAVTQPFDNYVNSILSWKNNAQMGVFEFKLRDVDIYNTETGDVQQ LKFNEVEFMVDYNGVFIGVKHENDFIKKLSQQKRYYEKEQIKEKMDRDQLLNNQLSNLER LANNKLQVKQSAKQKKQKPEAIIQKTEKQLEQFWTNAFQCWYTLNEDEAEKVFYAGQECV VLQKKEEDPQKKLETFLIKKLQDPEFFKSCLNSVKTIKDPLKKWGMFSNEEILEYLGGAK HSVVQIQKKITFPILEKYKIVEGTLNNSDLTPLVVKWNQCRKTVIEHERDLFVDIEQEKK LLTFIWDKQLDIKMKLRSENIFVEELNKFRDECEQELKEMLFQLSTIPNFPMENRPKYKE KYFQIL >CAK78589 pep:novel supercontig:GCA_000165425.1:CT868318:24870:26294:-1 gene:GSPATT00001630001 transcript:CAK78589 MDCFNLVLLEKLFNKYTKNGCIDDLKQWHGFLEFLYVNQLFDPNDMLKIKDSVIYFPLVF ENLKKIFANANIVPALQEMSRELDKLYNQVNDCRTTLKNWNYQTFSVEDMKYTTKTYEEI EKEFQDFKNEFDDFATDVIIVNQPIQSLKSVVKSFSIIESNPLEFLSSNRDKIDREYAKI RVKSVQYRNQAIKILKQGSIKVQVGYDHMNDAPVEVWLIPKCMWRQQEQCELLNTFEAHH ELILSQFFPKYLGQDLLSDENNFQHFMELKRGHTLKYLLKQSKKPLTPDYLFFRTTMRQV FGGLYDILRMTRFHPVLPITLANIQVGNDGVQIYLRNVKFSELREDDQNLEATLLENFAQ IIMSALGMKRADVGKLGKYLDWKNLLQGLFNAEEDHQLYKKGVIQQRLTFCQLLNHDLFD DELLSNFEVSEVVQSYHDWIS >CAK78590 pep:novel supercontig:GCA_000165425.1:CT868318:26333:26750:-1 gene:GSPATT00001631001 transcript:CAK78590 MRRTTLQSELKLNKKKMFNKENIPQPAKTGRKHFEDSQCQQPQRQNEENPQIKKTIMLFP EKKNITNYDNVIERKPSIRIFQQQTTNQNFRLCDKQSQITTSSTKFQPLRSNSANIYSIF NNDRVKNQKKF >CAK78591 pep:novel supercontig:GCA_000165425.1:CT868318:26795:28441:1 gene:GSPATT00001632001 transcript:CAK78591 MNKDYKQLAIQYHLAFMNLKQRIDLSQRAYNTLDYKVQLELLYQQKLKSIIDKYEDHKYD EVFQYIITNCSNKYQVQESLITDLKRAREEFSNHINTYQLQLNNLHDKKEKSINKLKTAQ QKLAQSQSFYVSITKVFERNVLTIELVNDNVDQKLGHMKTIQDLMKNKENTFGNYKIAVD KYNQQYNEILPELELYVNQIKELEQNVAECVRQLFQKIALAEVSALRNRQYDLDQVIKYL DKVDFTKINTVFKFTEESFSLAKVEQIQTFSTKIVTSYLHKFNLIAQEEKNQPEQEQQLQ QQQEETLSEEQKQKIEEFSVALLNKQELQEKLISSIEQSINQNNAHLVLDSLSLRIKAPI QIGKRNHLLIQSLFNKLLECIPKEGFNVQKIQKLSTFIYTQQEEAVSIFSVLCQNPIFSK KTDYWEWLIFWQVNQTCEGVEESDRSLIIFQQFQNTVQQMVQAKIPVAIINTFTSDLAQF YGLKAQQIEELKKLLSRFILASQSNPNSKLQIQSFDSVE >CAK78592 pep:novel supercontig:GCA_000165425.1:CT868318:28600:29754:1 gene:GSPATT00001633001 transcript:CAK78592 MQTREKLGAQAKRMLKILSDFKGQIIDGYKVMLCNNQVILTCRDLVLPDIKKLIELLFIF EGLGFITRISKKRFIYSGLKGMTARILEGVEIALTRTQYDREHWLFSEDFIIENKKYSIR QSVYFGLFYQEIITQIFSNQGRMIARDTIEKLVEQLQLTAKEQSSALIINEMASIMTSLN LLDKYFQFVIIHRRSPADAYETYLWIGPDILHQFMIEQLNPYSLQLKEQLTSKVKLNFEK ESTYFSNLFRDLVEFQVQDNEVKLPLVNFPTAAYALLKGKHITYYIKKLAIIIGRANQTK NSKYEWDVDLALDLGQKISKQHAVISYNMDKELQYLKYESIVLKQGAQAIKNQYMQTQQQ QPLRTIPLF >CAK78593 pep:novel supercontig:GCA_000165425.1:CT868318:30345:31446:1 gene:GSPATT00001634001 transcript:CAK78593 MAHKFDREMYNIDPCMKRRKQTRVRKQLVKANLQDESEENCLIEVQQKVTKKYQNYLHTL RKMGDFDWTDIENTNNSKVIYPIQFLQASTLQFMIAALYFNCDQEYLQQCLHEIEKILKK NESIYGTQIQDDCKKQKNKKGYDVNDDIFILEMRNLGQKDLDDLITTQEIDLEQIAKQNE ENLQQYTTLLPTVKQHLKTDQQNNLLRDIAGLTEAEKQYIEKTEMKIQADEQNVVVQETG KKTQGGGIHLQPNLDDALCQVCNDGDYTDDNLIVFCSKCNISVHQKCYLIDIIPNEDWIC DVCQTFGPNGQYLRCALCPKLGGAMKATQIDASQLLHLKFNLF >CAK78594 pep:novel supercontig:GCA_000165425.1:CT868318:31492:33270:1 gene:GSPATT00001635001 transcript:CAK78594 MQQYALNQVQQIDDDQELYYDFGKLPNRDIRDLENNKEIKEPQPQKVWIHLSCFYWSPEC FLDEKQDILRGVDNINAKRFALNCSICNIKKAGMCIQCARGSCATSFHVECARRSGIFLT QTVINNKPDYQIFCLKHVPLKVKRILESKQRLYEREIIDFFRAYEKCRQVHKKSVQKPKK LKTNKEQKQKQENQEHTENEFDLIDFANKLKEFINQITDQQLIVNLKLEQGQYAIESISG PVLKPQKQYKLTILPEVYQNKVDANGLLVTQFYKSTITATDELWKHFKYRDYEKDITYKM YEKLRRRASKYMQEINPQKKQAKLIDILMLKKYKKNNKAELKREIIIEQVGDEFFQRDDN LYCVCRGKFKDGDPMICCEGCDEWFHFDCLEMTIPFEEASQIEFKCFLCVDDLPQNERVP IRNIQSKIFKDSSFKLQRELAEKCKLDELRRLRLKDMYENKLKEEQHFDIDNHQIQPDNN ALIEDQNNQEKQCFQEELNISVDNQFQINNQTFYKDQIQIEIQLDGNEKNKKSDDHVKTP SKTESVEDQENHKLEVPETQEKVNNNKFKQKSIRDYFQKLK >CAK78595 pep:novel supercontig:GCA_000165425.1:CT868318:34166:35218:1 gene:GSPATT00001636001 transcript:CAK78595 MKVTITLLVVLALANAGMVQKFPLTYGGKRSLMNIMVEVENKIKSHSPLDTIKGVLDNFK SAVAQEQGTHDEVYTAQLAECESEISYRRAEVEDSVGTLKIANGILKTSNILLTKTQATL GETENILNSVSLHIDLINDVRKEDTQSYNRGAVTFNDAINAIDDGIDLGVALVKGEASLI QVADMTTKLMMASVATKMNKAFMAPLAALASIKQEEDAAGAAERLVQLLQTLRSNVEEAW ASYTTENTKALALFTQQKDLYLATQGRLSDSKDKLVIKAENLQGVISVQTAIAQAATNKK QRNQTLWDDAADLCHSFDVEYEAVTEGRRQELILVQELERAVERRAAEQI >CAK78596 pep:novel supercontig:GCA_000165425.1:CT868318:36080:37181:1 gene:GSPATT00001637001 transcript:CAK78596 MKVTITLLVVLALANAGMVQKFPLTYGGKRSIMNIMVEVENKIKAHSPLDTIKGSPQNKE HTMKSMELKRLNAKAKLLTEELKLKMLLAHSKSPMVILKTSNILLKKTQATIGETENILN TVSLHIGLINDARKEDTQSYNRGAVTFNDAINAIDDSLDLAAALAKGKASLIQVADMTTK LMKSSVATKMNKAFMAPLAALAQITQEDDAAGAAERLAQLLQTLRTNVEEAWASYTAENT NALALFTQQKDLYLASQARLDASKGRLSNKAENLQGVISVQTAVAQAASNKKQRNQTLWD DAADLCHSFDVEYQAVTAGRRQELVLVQELERLAERRAAEQQ >CAK78597 pep:novel supercontig:GCA_000165425.1:CT868318:37547:38194:-1 gene:GSPATT00001638001 transcript:CAK78597 MKNNHHRRTKSSGNYFPIQTEFLVQDDDNDTNETKEIIKKIQQQPILPHQIVEKLDDQNY GLFNAMFNFGQMKFKPKQSLDLSTITECSSTPSTKDAKPKHRKCGSQQQQANKQPIRHTH SQSLQIQRLEKELEQMKVQQAEIQNVNMMLIIEIHKSRQELQISQQKNEVILQRIMKLEK MLRDDQKLSSTTNDSLEFS >CAK78598 pep:novel supercontig:GCA_000165425.1:CT868318:38379:39300:1 gene:GSPATT00001639001 transcript:CAK78598 MAQLKQMEEINQIIFKHGTTTLGFVFKEGILLAVDSRASMGSFLSSEQVRKVIEINEYLL GTMAGGAADCQYWLAYLANHCRTYELKNGSKLSVAAASKFLQGILIGYRNSGLSMGCMIA GTDTTGQHLYYIDNDGMRLKGDIFSVGSGSTYAYGVLDNHYRYDLSLNEAVELGVRAIYH ATHRDTASGGVVRVYHIHKDGWTKVHDGIDVVDLHYKFAQQKGLVGDGDEAKQRLF >CAK78599 pep:novel supercontig:GCA_000165425.1:CT868318:39460:42404:1 gene:GSPATT00001640001 transcript:CAK78599 MGITEFDLQPLKQIKVPAEYENDVQFYTEAIMKQHEKKRQRLLIEYNELLNFKQVESPKD PKSTVQFEKQLDVENSKINEKLIKVKKISQELREQQLLLYSQKEQLIESRRKQISSEREF RAYQKEILETSRMEQVYRNRAKIQRLEQDKQQKRKMSYDARLQKLKSRLYETIARHQKSV EDRKLQDKERLRKLEESLEKANSKKSSNIIEIKRKGLLEKLKLEDVLFNRERQWHAKQSR LLEKLQIKTEVVRLNQSEPKKKPYPFPKFLSHKDQRKENSIYITRFASEIVDSRLNYQSC ARPKTKYKQINLLKLIICFYITDMDYLTQDEVGGIVAKGLAALYLERPQFPIDYLAKWLL TYSELLKKQKTREDRQHVKETKIKEFKVLTEQLAYLQEESLRLENQQKEKHEQFLESIKQ AKYHDEFLGDVFCDYVAKRFRVNSYVCELDFPKKEIDLNQEDDENAHINLEGTKLLTYIY ADQNSKFLIGQNLLPETGVTYDALKEPQPDENGEVIQTNIIYVQNVVKEPRIVFHKWPKL GAYYAIPLTYQSCLYEQSFDQGIEQRQQYLILKENQDKERSTKEAEFEERLESEDPAIIE QEKQAYLASLEPLLEPSFAQQKKEYVFCIDTLGQDREIPENHRQEIFDLVNLLIKQWELQ EIQSMKADVELQLLYQSNLGAPFKEVLENWTIEEEQYIDSHSEKLAELKENEKLLAYETD CLRLERLREKLSDKEFIQPLLNLSNCRIIKFNTIIQAGLYLIGANKSDINLPDNNRLNIR KLVLDENLIQSIVAYNHKGPKPEPQYKWCYVDRVQKRVEKIEQEQVDAYNLILGRLLKFL QLTCRLRKLDIEIRRENIANKRKQIEQLKEEAQKLLEQKELALREHKEQLTPEDLEEFNQ EEWDANFDSEHPMPEIPENPVDEIDDDCIMEPPPQ >CAK78600 pep:novel supercontig:GCA_000165425.1:CT868318:42560:43558:1 gene:GSPATT00001641001 transcript:CAK78600 MTTIDIQPQSQFFSNNYWRKLRQVQICKKDEEESITETVMGKVKCDINQQFQHLKSVISA KYCKQRDILATTSIDKIVRIFSMDGSLKHQFENSSDYDKTLSFSYNGQFLACAGAYDKMI RIWNIDSQELQFQLKGLKSRILKVTYFNNRDDRLISITQDNQIQIWDIKSQTIIQSLTGQ QSLITSIQISNDDFRCVTGSVDGMIIEWDLKNYKLKNQWKGHQKGCFALKYANGGHTLVS GGADKKIKLWNMDKYKLFKQFNGHSSPIYAIEVKKDTVISCQFNQTLMWNMKSLSIVQQI SSTQLDYCFVEIMKDSFVIGYNGNGIKVCDFQ >CAK78601 pep:novel supercontig:GCA_000165425.1:CT868318:43599:45347:1 gene:GSPATT00001642001 transcript:CAK78601 MFGKVIVLIVSILSLLLTYNFIRTSLIYKNKQEVDQILSYLNKYLTQTYFAPIATKTQYN SILVKPEIDYCKRSDLYKFYHPDIVMKDTYTFREARGVIRETLRSSYYDCIPQMTYKQKN TQCKFNYQVMLDLPRHVLPIQLTLPFTYYDQLVHKFQVGQESLCLFQKYNHLVSRHVLSH KDNLMNNQYQYLDTLRKSGVDQDCINNATFVPKTYRLFNKLDCEEFFAYLNSVEYQALVE RDGPQFITKVGLEVHRGRGINVLFPDETKILQDTYKYGKRCGIDKSLLVAQKYIGNPMLF NDHKIEFRVYWVLASTNPIIAYSYDKTLIRRCITPFDKFSLNKEAHVCNTAIVQSTLQKK GSNLFMNDENSDESELYIDWKLDYLQDLLLKQGKIKDNKWLQNYLHPTIDRMIIHAIRSA QSKFNRDSRLAEFFAADFIITDDLQIYIMEMNYNPQTLKTTLAREKQHAKMTQDMIEIQN AYLRSKYLRFQKIISRLASKMNKDKRPLDSLLTNKTIDEINEAYVDKLDQGIKISEDNLF RLVMDESLSGTKKYKDLIQEQCI >CAK78602 pep:novel supercontig:GCA_000165425.1:CT868318:45371:47044:-1 gene:GSPATT00001643001 transcript:CAK78602 MSPYPIKLYHRWGNFILWGILVDVGIIYASCNKCQRRTNIHGNIMTFVVINSFLASLAYC YLKPYNYSYDNYSKLNDFKQIHLVIGTALMLMMIVLSSFGYFVKYQLGNSEGNKNVIYYK KVHSALGQITYLIGKLESFVGMFMSYRTQEWFTYIWITYLVVILGRITFEWIIPALKSTK IEDISEEQLKLITYESLSENLENKQWFIFQNQVYCLDQNYIHPGGQIIWKHIKHIEIGQY FYGITQLPGTNILHYHSKYAKEQFNGHYYGTLCNQITFPNNPNKKWELKNSSKVTETVSN FQFQHPEIEFEINLKKLTPNHFVFKSITDKKVPPRLYTYIQCMQKPAVEYMQSLSDLYDK KENVRFTNNFKSTSLSFLIKYYNTPHGFSKYITKQNPEMIDLQGPYQTMFKDYLTEGQII LICGGTGILPFLDLLNYHLLMSYNELIQHPNLLKVASLNRYITLFYSVTAEEELLGDYIF LKLREIQNHLKKQNFTLILKCRKQIEKCETTRNRFTRDFIEKQFKFDNKQIFVCGPQILR NSIYKEFKDMQNEIIYL >CAK78603 pep:novel supercontig:GCA_000165425.1:CT868318:47770:49052:1 gene:GSPATT00001644001 transcript:CAK78603 MFIIVLVVLALTEAKSITQTFTMKQLQKQQYIPINRFGSRGIVNYKISTRIIKPPKDYEN KQFDISFEFFQQDRWQQALKKDECRRQDDANKIVHQTIEANQDQKVSTQEGQLIFGQYHN VWLAVFNNCQHAIDKVIKINNKNTKLEITVWFYEEGGQEFSLEEQGLLTVVSILTVFTII GFIYNYRIFRQEQQKYGEWDYAYLFILVVIGLEALQNLLNFMHLTVYYVNGKGIGTFSAI SEILQVVDNFLLMILLILLAWGWSIEFMDMEDWDIYVPLAFLIAFAQALIIGLGRLVSSQ TDNHLYEGWVGYTISLIYISLALYFYYSTNQRKKKGDAVDNFYFQLKIYGMLFFLAFPFF LFVSKLVDPYKAYKIISIGNMFVRMLNIVLMARLFTGKSSDYQKICIKGKSFLDRGKFL >CAK78604 pep:novel supercontig:GCA_000165425.1:CT868318:49341:50538:1 gene:GSPATT00001645001 transcript:CAK78604 MAEENKYDGLFMTIMQQTKDINVFFDASFGFLRRHTDFFLDQKQAEKVITESCAKNFLIF QSEKVDKDKKEEQRKQREEQRKREKEAAEKAKKEAEQLQQQQLLQQQKEKLEQQKQQQQQ QQQQQQQQQQEPLDPSVPIYQPVLKVPEQKPKEPEKKEGEEKDGEKKDGEKKDDNEKAPL GNGGRTERYIWTQTLEEVQVYIPIPSTVTSKQLTVKIEACSLLVGLKGQPPIVNGQLFEK IQSDESTWLLTDGEIQDYKGKYIHISITKYSGQMNWWACVIKGDLEINTQKISPEPSQLS DLDGDTRGTVEKMMFDMRQKQMGKPSSDELLKQNKLSGFMKAHPEMDFSKCKFN >CAK78605 pep:novel supercontig:GCA_000165425.1:CT868318:50724:51704:1 gene:GSPATT00001646001 transcript:CAK78605 MKSARCMEYLIDQFHLDDVIQYKEWNALISSNEDATIIVILQQHLLEHYEDVDGRIRLIF QQEFSQFKNTVFYGHQKLPTRLALNKKGDILLIEIQKTKFNLYYKKAQLQQWTLFYSNCM VLRMLDYTIYSPCMLKSFNSQFSCFLLQAQSIPTTIVLNIKNTPQTKKLIQSQIQATDCI LNEENNLIVGRESNQLHIYKQKKLIPFQKINLPNGLLYAKFDQLNNLILLTKAHLEIYFQ QLGYTYQKIAGIPIINNNQICNIVPIDAYIIIQRTYLGAEFAQVCQIEDGNIQIVGKIIC RQIISSSNHTYYLVIKEKQIEIYRHN >CAK78606 pep:novel supercontig:GCA_000165425.1:CT868318:51820:52507:-1 gene:GSPATT00001647001 transcript:CAK78606 MIDIKLIIFHLELSRCISLNQQIFTRIKMAMREQPCPYRIIDDFGGAFSMGCFAGCIFYF LKGMSFAPKKERFFGGIQLLKRRAPILGGSFALWGGLFSITDCTLMHLRNQQDFINPIVA GAFTGGFLAIRAGTRIAVRNAIFGGIILGFIQLAEVGMLKMQMREEMKRMQQQQQQQMAE MQEMMEMQTNKANKKQQPKVEKY >CAK78607 pep:novel supercontig:GCA_000165425.1:CT868318:52564:54275:-1 gene:GSPATT00001648001 transcript:CAK78607 MSDPTESQQKQNNDVIYCKHHPEEQVTRVCNSPECNKDGFICSKCVHEGAHEGHPSFGYA KLKAALIKFVNSSRTQQDLTKEGQLSYARMQNYLEETSQSIYKQAQKLNKLSQFMENLNE TLLSLQEIGEEVDIAYTILYNMDKKETGVDVSTLNDYLNQFRLLMDINLDSQNHEVSIKP SSISQLRIKNILDKKADFTSLLRKTVEEHEQHFQKFLKEMGDLLKENKQFLVPKANETEE NRNAEFGKRALYNSYYKQDTEKTEKNKKKVKGQVKGKVKHEDDEEMSIGREYQIGAVEKC GIPKLDQGQPPQGIKKQMFKLCNYLIFYNTLMCDSILPEPILRGVPLKKMQQGELKFPIR CAFCRLLKIRSFVQFYFRFDLVSRFGKLCLFKDKEGREICSHEMCLLWSNRVQVDYNTML VDIATLIQAVQFAQAQMCRYCGSIGASLKCNKAECHVHYHFNCLKQVRLMGLQLDHEQKF RFFCEDHVRHNYQGQSDWFITRIRMKHIIQQGGQPAFTKKNPQIDWSTRDSGLNNDSDME EQQFNKKHRY >CAK78608 pep:novel supercontig:GCA_000165425.1:CT868318:54539:58278:-1 gene:GSPATT00001649001 transcript:CAK78608 MIQFFLLCCLKLQAFQQLILLSSSFDSNSFVDNHGWYITKGLSNNIYQDCGTNRIFGGIS NFGNMTLISKFFTLPPHSIVQVKLDFWKLSNWANNETISVHLDGLKVVSQEVNLLPTGSN ICGGNDYVLSIDQTILHNFETLQVIVTANIAMLGQIQVVFALMQGFWGIKNFRLFIQLCP AGCQLCQDTDSRFDCLQWTLYVSSLTETELQNFNYDGWNVENGEQQYLSECQMLPMICGP SLCGINSVVKYQQILPPHFKLKIRFRYYYIDSWDSEHSYLYVNDEMKLDLLLQFGSGLRN YSLCGQTRFNDLSEIGDVNLGHTQQLITFEFKNNLDQDFIDESFGIRDFRIYLCNKFKDD LDCQFGDSPSQQCSQFCGDKIVQQTEECDDGNSIPFDGCHNCQYNCVEGCSNCVKGICLY CYSEWNYQITTKNCLWMTSEQLVQACLNDIDCINQVEVWHQECSLNCIQCYQAICLECQE GYNLLAGECQEICGISAISMFQYPNCFCDQNCQLCNFGMCKQCIDTYTLADDKCLVICGD GLVTIGVEECDDKNDIPYDGCFQCIYQCQESCNTCEKGVCIDQCKNGMPKVNGQCQSYCG NQIVEENEQCDDNNSIQFDGCYLCEYSCPLYCSICEEGKCQECNLHFYYDNSTFSCQSVC GDGILTSETEQCDDSNTNPGDGCSSVCMIEQDWICIQNEECIYYKYPELLTNYVSQKNQY QYVQIEFTQQLKRLSDTQVDYFNFISATIISMDQSKYNISIIPKSNASATLSWVIYAVEI YIDQELNDPPFLNISLLEPLYNEYDIKLINQISILQIKIPNYLSKEDIEISQNLLAYSEK SIYSLGALAGFLLISGNGLAFWTALEILQEQSYFKYLNIIYPQNLMIYFEASEIISLRTL YNSFNYQELPSFYKFRYFESNEKFGFYQVNANIAENIKSLVFSFVVLIIVYIMSYKAEKC LTILQKKRYCEDNAFLSSLYNKLKFRAFKNKYSIRKYGLNKLFSASCWDIFFMVFLQLSV KQFNHLVVNQMIALTTLFASLIYITSFFTGKTLFQNPQQYWRDKLQLCLNLKKCFIMYSL VIYQSNYVLQFILICIPNSLYLLSWIYLKTFINTFEYYQTLILQSTLLIFLLTTPIYWDL DIFNFQYSTKLIVGWFHIIIFLFVIVFFLIADTILSLKQVLNFINRSLQTQCNQEKEEKE QQKTELQSRPIKFIACLLI >CAK78609 pep:novel supercontig:GCA_000165425.1:CT868318:58543:59566:-1 gene:GSPATT00001650001 transcript:CAK78609 MQQINQIADHLYLIQQGNNSYIHRQLGESDTVVIKSVFHAQLFMEDDSACHSVHQRECQD LQNEWKILSQLNHPNIIKTQQFIPNHQLDPAGGNLQCCSLFLENASCDLHTLCKSNETSF KIIIKYFTQISIAVEYLHSQYIAHNDIKLENMLVIDRNVIKLADFGFSYRPSYEDLLSKW LPRTLTSYSSPEIVNFRINVVDGEYDEFCLFASDVYALTTALFLAVFQLWMIQYYQLLIN QPDLFWQLDFIQKVDSQLMREHTPKQLLENLKDLIEGGLADEYNRITIQEFVNHEFFKYA KQIEGEQI >CAK78610 pep:novel supercontig:GCA_000165425.1:CT868318:59596:59938:-1 gene:GSPATT00001651001 transcript:CAK78610 MSDIEETINRIKTHKSVQGIVICNNDGQIIRSTYGTESKEGENIARTIPTLAAKAKSTVR DLDPNNELTFLRIKSKNNEIMVAPDKEFLLIVVQGPKEEKKEEE >CAK78611 pep:novel supercontig:GCA_000165425.1:CT868318:59952:62013:1 gene:GSPATT00001652001 transcript:CAK78611 MRATLFQQLTKIRAESAKSGTTRNKPTLDTSDLLPRSSFHRKRKQEEHNNSFNEYSSSFR FPDKERYEQEIKSLKSNMHSLENENLRLKTRIHQMEDNQLKQEKIIQELDRIGPVKAPLL QALNFSSAQTALKKEILNIRQELQKKDKELQNLKKTQRLSQITELQIERAAFQEETIRLR QQIDSLFKASLYNLQQNNVEQNIQERIFALVAQIQQHLIQQRELEKVIDRLKKECLKYRS QQIDSEYRNKREIKKLIAQLKEETSNNQKENNRELKQNPTKADELQNLTDLMFAKQEIKA KDSEIERLNQIIFDLEVQIKEMQLSSNLEAQDPQNQSQQLDATSKSKQVKISSPVFATEI LQEIALPIKKSIVIQETQAVSKQPPRRRIIPVKFEDIKIIGETLKYRLMAMDIGIQQIDE YLYEGESMTIKELKDKLRLYPFNLAKDESLILARYIMEGENDIYELEDVAQNPAPYIRSV FRKILFNYKLEIVKSQFEYSEKIKDVLTRFKPFIISNIKQLYGKDCIRVSKSQFIDALTS LNIELNQFEIDYLIVQGILESRSVESLNYEEMLKYEPIKIEDQQLSFLLTEIANENKQKA EMSVIMECPEKVSEIEQQEQANDSNANFNEEQQLDIDNQYITVDQYVKQQFDSENEKFKQ ESSSDYNLDQ >CAK78612 pep:novel supercontig:GCA_000165425.1:CT868318:62073:62498:1 gene:GSPATT00001653001 transcript:CAK78612 MSFRVEESPQFKEEELNLSKIYQYRESHFSGFSFPSSVRHQKRQTFQASVETKTPTPNQT NIENSPCELSYEIERMVKEREQLFTDVQFYEPKVTFNVDESPQRIRIQRNSAPMPNTQLY HLNQQIIDAEHTYNQYCYQLI >CAK78613 pep:novel supercontig:GCA_000165425.1:CT868318:62540:63671:-1 gene:GSPATT00001654001 transcript:CAK78613 MKQQTLHPDPRKKQILLDMINKGGISEMKPEKTLQAQIEAMQMKLQEKDQELNQKEEQID KLCAVIFQYQEELTQKNREMEDLQLSKAQLEMEVQNYVEMKEHCDHALKQLHHVEMQMSE LQEQNSQLKNQEQQLLSQIQALQKNSDVLLRDAFEREKKQLHKKLLEKTKIIQELNCQLQ KQNETQSTIHQSMMKMKEDHRRQEQTIQQLREEIENLKGDGAMFANPLNQLTSPQGQRQA SFNTHKDFDTPMRQSSYTQQKPQSSNSLCSNFTSQESKKKMMGSFKRLTTMAVGMYSNLL DYSSLQNGSKLASELSQARIDLTQQVSRASLLHQEYNDNN >CAK78614 pep:novel supercontig:GCA_000165425.1:CT868318:64560:65042:-1 gene:GSPATT00001655001 transcript:CAK78614 MPLVPPQPQIQPLPQMVMPLPIQPPIPAQPKTDQNLPVQVKGTKVKLNLKMIKDIPQEED SVLDYDEIKKQSGDRQFINLKRKRRLLDQQDAPLMQTRRRSEQSQCKRMAKQLDLEIREM LNVDDKDMTLIMEAYPDTKSLLQELRNGNLKQEIEDLLLK >CAK78615 pep:novel supercontig:GCA_000165425.1:CT868318:65069:65509:1 gene:GSPATT00001656001 transcript:CAK78615 MEFITFTAFVVVVASKSIRAYSSCKVAQIFIIAQTIKYRRYKNVGIWVNHLGLWSWLHLR VHLRLGFEHCQVILVRKTHFSDIQIYLQEQNTDLIFRFTKDIMPFQRGLFHSRTLEFTCP ILNKWQSKINQANKYS >CAK78616 pep:novel supercontig:GCA_000165425.1:CT868318:66656:71409:-1 gene:GSPATT00001657001 transcript:CAK78616 MAFVNHSIHCIKNIQVIQLIKISYLMRKFLMLLNVMVFTSVAQVCDTIQQQYNVYATVGE TQEWDMRKYFFTGDNLKFKVTDDCPYFETIDPFAEIGESISHGDRKNAIIQLASIGIIRS LKPYRSFENGAWLNDFIFLEQNNSDVDVFYSYGDPQKMDRVPAFKGQINARNKTRNFVCF DLDFLTNNKIVVDCYQQDLDDQTKGYTNGFIVVDIASPDQYALFPNEHPQGYNYNHTDYR KILFHNYTYSNRDRQALLFRGEPAWATEHADMAQNKLDDDCELEVYRFDNKFQLSNPAPV AVLTKTVIAKLLGTSETTQKFYLIDFQLEPNGEIYVLDAFNGVYVLYFESAQLEWKLKTH IRPPYLTPCYGFDFNYLIGSEGNVTNHLVLVFENSVNFYENDLQKFSFRTPNITSYKNLQ VQMSQLFLVLKIDTYLYIYHSDTGSKLYTFTQNLFDQVIINPYEPDLVGVNENSAHRFLI SNGLLRLKYLDSVNDGLMPYTLKAISLDNPSQQCQVLLNVQVLKQSDTTIRQQSSHPLPA TLTLPSPPIGIDLIASGPNLQYVSIQGNQNSRGNNKVVLTVKSAWNLQLNGITLPDAKDV EYSDVLVNENSGVFYLLLQIPTKEIFVYRCSHKLTNHEEADCKNYDKFQLDHIIDKTKHS FAWWIYTLYINYMYQDTDYTIQIYASAGGDVQHIDTIRVDDGSTDNKIDSVTFLEDEIYL VQSKLKKVLIYSRYMPFQLLYEINDSKLAQFNVKGNFTPQRVFGNAKSKSSLIFIQTLSS LFIGTFSNDKISSFILQKEITILPGAQIEVAIGPENFFIVQKQDDLDLIEEYNYKHIQRI YKTKVLPLYDYKLQKPLTVDYSSQTGWLFLRAKDQKQTVVLIYEPGVVSHICLHKVLETK ALDQDGKTFDMAIDGSKQMFAYFNNNTIHRFISILADAELYVTPFLDSSQFVTETIAGIE ITNFPGNTPYKLQSNIKILNSQSTVIVKQAQFDNMQKVFKFVKQEAEQVIEMGTDWYYGQ VTSFHVICKKCGSNLTIKQNIERVKDGKDLPYDISDGAAFGTYGQVYQTRNSLIFQDKKG NFSSRFDMRLGTESCELLTVSDDLSYIFSSWSNTKNEVGVYVATCQQQTCQQFKQGTQTI GGIKKISKIQLADKKNLIILNTDPENFSSLDNLIVVATFTSDEKTFSISNKYLINDQYVG SKFLQIGDFDIAKYSINGVTYNTIVFTDINQGLYFCHFAYDAAGAFSKTTSELFKLQTLQ DEQFYFNENTKFYQVRVISNTVSGTTLSLNILVTTSNTAHYVIAFDLDASKATTTISIIK QNTKLLYILTPYGTWPAIDKLAYVNGHVAIPYTDETTVIIGIYDLPSDRPTAVKSIKFNH YIGANYQKVLPIDFALLLIKESGASYPSVYVNINYDSKSEEYLVEKYDLRADPVLILKKS SALDDEVPITLQLLNDFTETVGYAYLSVNGSPNPPNPDDGNSSSKWWWITLIVIFGVAIL GVGGYFAYQKFNNRRVDPLIG >CAK78617 pep:novel supercontig:GCA_000165425.1:CT868318:71558:72549:-1 gene:GSPATT00001658001 transcript:CAK78617 MNIKVVFENKIHKLPSSVNTFNQIIENIRLLFPNKLRKNFEIYTQALPKQTPFRINDEDH FNELKQLYGHMKLKFIIKKSYEDSLEQEEIQALNQSILIQYKDSDQQLQEGYKNFEIINK KSNEQNQQCNMEQLVNQLVDERLEYHGLLQTKTQPLFKMVIEYSLQKINVIPGKQFLWSV CLRNISNVKWLKKDVYLMCIQGEYLNQKLFFNVDIPINELCTIGTKLIAPKVVDNTPQIF QLFHKSQHFGKQIIFKLQSTESLEKQKQDLQIKLVIMLSKLKLQFYKEFLQRLYEIRG >CAK78618 pep:novel supercontig:GCA_000165425.1:CT868318:73040:77637:-1 gene:GSPATT00001659001 transcript:CAK78618 MLCGIISLILLIESSYQWCETKQQNVTYYTSKGEQIRWRADSLITGNGLQYTLIPNSTIM RYHPAFDQISEEEPHYGTLIQTGAIRAYDTASSGAWTNSFAFLEWDDKKNIYDIYYQQGN VLDMAKTPSFSQKVQLTNSNAIIDCFDIEYINPDLWIVDCAERNDATPTQPMKNYLYQVD QLDNPGTAGAKRQEVLNRKQYKQVKGRKIQYHVYYKRGTGEQIGVGVDRPQPPQPIRMLL RGQQAFNSETGNQTVLDTDCSIDLLIRLDNGTMKDTSVLDKAKISADTKQTVNFTLIDFK VQPNGDVYILDADQGVYVYQVTEEGQWVYVKTIQTLNQKAYGFDVVEMLDEDGYPELALT VLYESNLLITVASAQRNGYHLPFKASFPVSVSFSDKYVVVVHQQKLYLYNMELPYLLHSE ILISETILVNPFAPDVIVVSSTLTRRYELSDGYLASENSVQIEKSTIKFYGTDGTKTCSS EINYQVLPIEDANIYDLGHDPFPSMVTYPAEPFLLQDLASGPNLQYITPDFHQDHVEVLV HFLWEIELKNVSLFDAKDVAYADLLVDPHHHNNNKFYLFLQHKNKSVIIWDCQSHSYNDN HTNCEIQDRFDLPVQLSRTNSQFDWKTFEQEVVTFQFQVNDYEIVFYSNYNGDHQKIGNV TYEAKPEFKITSFTALKQTIYIVQHAQKEVDAIFGVNPTLIKYSIDAYLIDEYQTGCSWT PKRVFGNSFTNSEFIFVLTDDCVIMGELRTHFVLLKAIPIETDAEVEVAVGQKTFYIISK GKKESIKEFNYENLNDIYLMKSMPFYGRYSLQTPLTIDFVFETGFLFVRAYDSIEKETVI LIYESNILYRNSLHKVLKTHQLIPDGQLLETAASGQEQMFVYFNDLKTQRVIVVLKDSLM ELVPSHLSDAYTTSMKAAVGISNTLSDQKTSVIYPIKFINTQSIVRVNITLLAKTVFHFN STEKTQYLNFTNDGLYYGHVTKFGIICKQCDGKLISILNPLTKSSEGTQFANYEIIAGAA FKDSVVYLADPKSLVFQNSDDDTVKFVHKIEPGTHCTQLTTLNEYILVTCINNSDTLLYI VNCDIAKLTCSSIQSDTPNIGKFSQVSKVLYLNSYLYILDADQDHPLTNRGALHVYQLTL DQKWSVKNEKVLDALYFKLTPSNDYYITDFDVVQFQQGNTFYQKIMIQTAIGQTYFVQMY NDGGLIKDNHQSVFNLASFLNKDYAVKADTNFQQIRAYKSVTNANTLDATVIVTTNNVAQ YAITFSFDISEPLNKGSPLKDTSVPFLLNHYGTMQTLNKFVVGQGHAAVPYYNSSHLMIS VYQLPSLTALSADATAKMITISGAETVRHLASNTQFALVIQKPTNQTEPSIYTNIEEDSK SYNYVLKKYTIHDVPKIKIVSGDQVQSQIIELQIENDYSSALGAFEIINNNNPPPPPDDG DSGSSLVWLWILLGILGGLAILGGAFFVYIKFFKKGSQVSSSAKVSLMNQ >CAK78619 pep:novel supercontig:GCA_000165425.1:CT868318:78532:79482:1 gene:GSPATT00001660001 transcript:CAK78619 MFRKSEKDIKNEAIQQAVPEEKYRKPSPLGGNKWDEEDFLQFYGVQKDQQIKLHTYRCKT TSSDPKSVTVFFHGLNEHLGLYAHIAQAVSKQANSVVVGFDFRGFGKSQGLRGWVESREQ LMNDCSRFILQIRTMYPRLPLFALGQSMGGMASYLMGQNDLCEGTVLITPAIMDNYYNEP FMKKLGLCFGVCFPTWNPFPPVVVTGSRNPQILEENLKDPYCTQVAVLPGTGRVLVSTMR SLPQTFTQYKKPFLVISAGMDQIVDPDVGHELMKQSPSQDKQLIHYENMWHDCVQEQEIH EIIPKIVDWISQRSLK >CAK78620 pep:novel supercontig:GCA_000165425.1:CT868318:79526:80541:-1 gene:GSPATT00001661001 transcript:CAK78620 MKQNDLRFAIASQGATICVQFLHPLDIIKTRMQSHDGQTQKNLVPKYGSISNAIKQIYKE EGLKGFTKGIFWSLCANSIARVLFFVFYESKKEECNSYFGHGSKKGILIASIYASLLAQI MTQPLWVTLTRLQLNVGKMNGFENVRFTVQQIYNQHGVLGFYRGLKMALLTSCHGMIQIN CYEWCLSLLTQFEQHKDFNSFIAGGFSKGFAIFCTYPMTTIKTRIIQNQYIGTDNPKYKN NFDIANKILEHEGLRGFYKGISASVLKGMPSKAIYFFFYEHFKDMLNVGRTKNIN >CAK78621 pep:novel supercontig:GCA_000165425.1:CT868318:80549:81573:1 gene:GSPATT00001662001 transcript:CAK78621 MRNINSEYQVGRMISCGTFGQVFEGMHIQKKTKVVIKREIGKRQTLKQEKKILKSLQGIK GVPKFHFSGQLNGADIIILENLESDLAFQRRAHSKLSLKTVLQIALQSVGILKQIHGRRI IHRDLKPENIMIGGQYKGQIYIIDYGISKFYMNKGQQIPFRKDKYFVGTIKYASISSHLG HEQSPKDDIESLLYVLVELFNGELPWEAYQNAEVTGKLKQQITDTQLFKGLPQQFNQIYL YIRKLPYHQNPNYDNILKVLESIATDLDIKIDEHFEWNKFDTHLLDTFNILKSQIVSTSP WINDSQVNYESEEDEEEETLEQKLV >CAK78622 pep:novel supercontig:GCA_000165425.1:CT868318:81605:82430:-1 gene:GSPATT00001663001 transcript:CAK78622 MDATGELPPWKFIYLEVSMLLPSVVTGVVFWKYGYPMIALLIILLFCYLIIPGLLLYVDK FSTHVTRLSSSFAKIAFEKSEQINKGMFWFGICLTISFLSFFISLSYVPFWSFHRINFFY MANTEIIVISVIMLLLDPFLEEFFWRQFLQSGLAGGLTYTNPKEWIIWIVAIHYGLLYVF IFAVVTSKFMYAIFCGIPFVIFSRKFSYIRNSGVLTAILAHTGFNLGFVIVLNLWYWNDW EVHGWKPIEF >CAK78623 pep:novel supercontig:GCA_000165425.1:CT868318:82741:83781:1 gene:GSPATT00001664001 transcript:CAK78623 MFEISNCISLKREHLRVSIRRQKNEEIFSKSRKVIQTDNAALMNYLIMRNEDEVIFNLRE FIENRSQHIYESQQILKWLLEYEKLNQSNQAQQLTLFFLHQVSLNIEVKYIKLAASLLKQ LCFQDEEALILTTRLSHKSTMRSQIFINELSNEDFIIDLMGSQLNTLELICNIMADEQFI YLMFSKHPYFLDFFVAKLHDLRSCQMAIDLLYDMANSEKLCPIIANKNLIHDLVLLIPSQ RILEILILIHQNSYNAELLEETNLIKYYIELLDQKMFLAEVLFLMNFMLDSKLNQFLLKQ LLVRKKHIQDLCYSQNQQVNQLATIIVDKYL >CAK78624 pep:novel supercontig:GCA_000165425.1:CT868318:83802:84481:-1 gene:GSPATT00001665001 transcript:CAK78624 MSKKVKQLAEVIALQEKTIGKLQKIIETKKSKQIGSRKNQSIKLDQKYLVGTNAKPPRLD FNETNRSYRCRPSISQTHNQLSQISTDYIQDIQSLRGKIEGLQKEKQDRSLFFQDKENWN NNNNNNNYQKVEILEKQIKDRESTINVLQEQLVQEKQLRQQTQQDLKQQRTIWNKMYSEL SNEIKTLKTELRAFSSQPKKRSNQLFFS >CAK78625 pep:novel supercontig:GCA_000165425.1:CT868318:85299:85763:-1 gene:GSPATT00001666001 transcript:CAK78625 MGDDSNETEIWIIVCILVSFFVGLIAFLILQRFRRKNKSKKLEEEIHKLQQYVNSCDRKL ESRGDNINTQPQLQNQSSSFTKTHPIDQQDQEGQTKKNGKIYYDDMDKLEREIINQQQSY SPNNNEKDDQGIQSGYKQSVGIKKKNLKIQIPQD >CAK78626 pep:novel supercontig:GCA_000165425.1:CT868318:86090:86497:-1 gene:GSPATT00001667001 transcript:CAK78626 MFKFNQNLKRYEFFKIFTNNITIYSIFGSFDRILILGSNKKYKQYGVDLEQGDMKCLGET QDSGSSLSQILFLDGGKTFIEIGFSKQMNLWKQDNEEIKISQTIEFQQRISSASVSKNKN ALIIGLNDGTIKLYA >CAK78627 pep:novel supercontig:GCA_000165425.1:CT868318:87653:88931:1 gene:GSPATT00001668001 transcript:CAK78627 MSELIQLNAGWNSNEISLKYLEKLIQDHHLSQQQMNNRENKDQFFERISTCFEEHEKYRS FRGVFLDEQQSMDQILTMQDQGFIDPNYMIGKNVYLDGTYYQGKNIFAQVYQQEFEDKLR QIYENSDQLFGCNLIHSLSDKFCGISMQLMPSLESQLFFSSTSIFPNLQSCSAIEIYNTI LSLNQLIFNCNYCMVYDYNSLSKRVQEQYKYPTQSNCHDIIAETLLQNNCSMRFPGYQNG DLRKLAVNLISFPRMHFLSNAFCVISPSKILSNQIYKLTEPANQNFTFSEDAKNFYRMQA TVSRTKNLYYEIQESTSKCKNTTAWVKDGFCNINCKVEHYELGDTVLYIGHHNYFGMYCR ELCLKFLQQWRRKAYFHYYASEGADELDFVEAESSVSDLFSEYISYDITDQESNGLD >CAK78628 pep:novel supercontig:GCA_000165425.1:CT868318:89171:90406:1 gene:GSPATT00001669001 transcript:CAK78628 MQKLNEYQEYHLPEQFDEDQARGRFLMPSFLPYSLSKQDVKLEERSMVQIDSQASLKDEA IGLQNIKGHVKNAYIKKIHSLLSVEGENEKIVKKIQKEPSSSSKQLENEDQKMIRNRESA RNSRQRKKLYINLLEKKVEDLNQAIEQLRKSTESTFQSIHSVLEQNSSIYDMIVEQTSLF EQLKIKEQEFNELQQLLTESYKLKFNATGTKRKQYMRYCFQNVARLLLDGNYGTLLFGQS YFSKTYTMHDEEELKDYMKNLRDATGICDDTVFLNLYAIVRRVIDHKKNFSFLIKQIKLK QKELRICQQQIDDQIDDVQLNGVQFANLIHQVNKDQQNQTQISQPLEIPKQNQMILKMVP KYPFELMSNPFLLPNSLMIDPLQIDLLTKNLRQP >CAK78629 pep:novel supercontig:GCA_000165425.1:CT868318:91704:93144:1 gene:GSPATT00001670001 transcript:CAK78629 MVNICLICDFFYPCLGGVEMHIFQLGLCLIERGHKVIIITHKYQGRSGVRYMTNGLKVYY CPFIPAIQTVVLFTYVGTLPIFRQILLREEIHIVHSHAATSYLGGELLLHAKSMGFKTVF TDHSLFAFNDAASFHVNKILKYILCEIDHSISVSHVSKENLSMRASLDPRNISVIPNAVD CSRFTPNPQKRYPLNTINIVVICRMTFRKGVDLLVDVLQIICKQHPEIYFIIGGDGPKKK ILEETIQRYNLQNQTELLGSVPGHQVKDVLNRGHIFLNTSLTEAFCIAIVEAASCGLCVV STNVGGISEVLPKNMVLYADPTPEDISHKITQAIPIAKNFQVYQQHELVKKMYSWEQVAE RTEKVYYKILQTQNQTILKRFKDCYSNGQIYGLFLMILLIFDLIFLMILDFLQPHKGIHK PGIFNQIYKNQKEKFGDHLFKAEQQN >CAK78630 pep:novel supercontig:GCA_000165425.1:CT868318:93267:94456:1 gene:GSPATT00001671001 transcript:CAK78630 MMSLIFYGLLPLALSQFSSVNCLFEQQLDIDTYEDLVDKAKFGNGSIDVIQTTKKLILLD SDLNILNSTLGPKTTGYSCNRVMGYPLGNIFFVGCQQNGSVAYLQGYQCNGLNCKAFGDI VYIPLGVQLFDKAVVIGNTLVMLQTDRVIFYTVVVQATSWYLRTTSYVFDKSYFNKTSLY LTDFDIKSYYMDSQLVYRILMTDRNDGVLWVDSIFRTNNLVPYSQGSILLRQTIINLKTT TKFKSAAILNASENSTEFVVSTDFEDNYQILYQSNKAILESVLNRYKDWTPISYITLKGN AVAIPYQNSQGTIVTPLYKIKQFSESTDLIQLQQDYTDLLFSNSLKHFLIYFVDGDYVIY KSDTDSFTKCKLGQYNNINQ >CAK78631 pep:novel supercontig:GCA_000165425.1:CT868318:94751:95519:1 gene:GSPATT00001672001 transcript:CAK78631 MSLGFKVYNTNGNNEVINKRRKLSIGSEGSESTGEKLNESFNRLNLSGGNPSSSFQPYVK IAIPQVPQTERSLPKPPQLVLGIQKYLKLGEELDVSDLLTKPFNDLQQIQNNLAQTQQRL HFIPFGMPANYEKLMAEAQQVLKTEIRVEEERQKRSMDDFLIEQKLMNPGQKLSREERAA KVAAYLEKKHNRQWKQVRYPVRKNLAEFRQREQGRFTKTDKPRFNQSLIMLDKEERKMET LANSYS >CAK78632 pep:novel supercontig:GCA_000165425.1:CT868318:95556:96668:1 gene:GSPATT00001673001 transcript:CAK78632 MSDQEILLVKIFRKYFLNAYKLIFSLDQKQADRFKEKNTYYYTQQHKDCHHEHKDDDRNC CGSFFAKVYIPEKERSALEQIVFEQLSKNNIRAKLPQILDYLQQRFNSIKKSGKKDNLQW DVETEVYILNEVLREGLQFYFLKEIRLEMQKEQYIDSKQQMLVATPQTWINKYPKIELNF LSADFMYDLMNTGWSVMNKFINNNDYCHALFKELDFLERDGRFEEVQTELQRDDKTFWLT LSQMDKDSFKNLYFISHILSALPYELNSKNKDLLVQISESYQISFFGGKDKKHKKHFDSS FDKKADTGKKFTFLYVVNPVTIEIEEQKITLQPDNIVGLMSRKIPYALQSNDGRAFLIRY FIDGPVTNIC >CAK78633 pep:novel supercontig:GCA_000165425.1:CT868318:96853:96960:1 gene:GSPATT00001674001 transcript:CAK78633 MEYEIMLLCNTLGIGIVVMIILYHLIGTKEDKKTY >CAK78634 pep:novel supercontig:GCA_000165425.1:CT868318:97529:98019:-1 gene:GSPATT00001675001 transcript:CAK78634 MRTRKVQDGQVGFEIRGQSHPKFKRKPQVENPNDIQLDIPNGDLVEGRTYYKTNCGSCHH LDVDTNLGPSLRTVYLRRFRTNWSMEYGGGDLNSCQKFIWNRKKLWEFLQNPEKMFLDTA MQQDGINDPFVLASVIDYLQYLRVFTADSKKIVI >CAK78635 pep:novel supercontig:GCA_000165425.1:CT868318:98280:98696:1 gene:GSPATT00001676001 transcript:CAK78635 MDEYDEFSDNSIEITINEYQGKESHITEKSIIRYLKNLGLKMKTNPMIKQQQYERSNNQI IDVLTSKALSSQGNILKETETDYNTLFQKNPFWKRIRKQSSSLKVLHPT >CAK78636 pep:novel supercontig:GCA_000165425.1:CT868318:98825:99775:1 gene:GSPATT00001677001 transcript:CAK78636 MPPKTQKQKEEEAARLAEEQRLREEEEERQRKELEKYNISKLNTTNLPLPITKYCIEYVY PHPEPHKATIEYLDKLAEINNCKDQLRDIDFQVAADILINDLIFAKSLKGLNDESVQVLV NILFLSFTNNNSKFSQEMRYNDTLQHKNKTTDTELFNNLLKLHAEAGYFRSMHILPIKDH FQIYINHLDLFNQAFKSDQRTLELHMDLQMDLPLQPLPLDEALIYRPFEQKTEDDGQIET VDLEDQQAPPEPTDEELLDPIIMEAIQKKLDLAKQELEEKLVARQKDMEEKLMNMATKKK >CAK78637 pep:novel supercontig:GCA_000165425.1:CT868318:99914:100756:-1 gene:GSPATT00001678001 transcript:CAK78637 MINNTIKSLFHSSKSIPSSNQFHNRCVCEICKCGKHHCPIHSDNMHGDFQSTFQHDYLPW KSCKQKPFNYERQPQKHAYDPSTLLSSYQSEYIKRPLPDKEIRELPKIPTSQPFTSQSDY QTNYQRFPLPLKPPVTKKQYKSAPRQVPWDTTYGVDFIPKPIGEKEQFKPTVKDGPNCGT DFGSSTYRTAYIPMPTCKQDKYRDPHQRNLYGDPGQTGNSTYQMDYIEKQNINDMCPVLA LPKRPVKLQGGKSHLNYDAISNRWI >CAK78638 pep:novel supercontig:GCA_000165425.1:CT868318:100764:101640:-1 gene:GSPATT00001679001 transcript:CAK78638 MNYKPNLKMDSTSGSFKKQEQTLSLESTQKSEYNGISIKPNFACKPTFNIRNRSPQPQEQ EPQLTNTKDDFFKTGTFQEKIPLKDRIQRSDEKKNTAPVSKGLYLPGQEELFRKQIQVEK KETQQPQEKKDVQSVKTIQGEYYMPSIGQQQNKREAALLGSKNPLENKLAVANQERVLQQ LEEAEPIEELELLLCPEGCGRQFKSDALEKHVKVCRQVFQQKRQEFNSKQARVVTNDQQK LQRQGQIKEKQLQKKQGKAPLDPNWKKQSEELRNLIKESKQQQ >CAK78639 pep:novel supercontig:GCA_000165425.1:CT868318:102027:102536:1 gene:GSPATT00001680001 transcript:CAK78639 MDILLINVDLRTERLDMIELRLNQIINQILININTYVNLNLHIKLCQIIEFRDQRYLQNL QQISQQTLQYDYHKFEKIQTQFVIKLYWWCNPIYQFILYFINNVQKFQTVTQDYRLVRRS KRDKYIQNMAQINNGFTSKRVICAKMKKEIYESGQADLALRDQQKWKIR >CAK78640 pep:novel supercontig:GCA_000165425.1:CT868318:102781:103953:1 gene:GSPATT00001681001 transcript:CAK78640 MEIEQVEYTIQELTPPQLYIIKITTQLNLEQYFQNINIRLKKEFMKNNHLRNCYLDIFEK DSIQRKQCSTTELLINLFKTSYSELMNKQIDNIGIIKLFIEDNIDAFITGSLIPPQQVWH DYKLLILAIIIKIMRMKSITGQLIYECMVAQLYAKLGCIFQNEDPYIPEFKDYFEWNFWS CDRNKQLEINELTEDQQSQLRIVSIMRKYSFLLWSLLWIDPNQILSLNPDLLQFREEQKI PAYRYLNKDRQMFAILVHKFVQCHTFAFVPALNSLYDVVQDKKILLPIFNRFQDKLSNDD LLESLLDNLGPLKEQAKSEFFVYPQVHDKGLVKIVQGKLKLLLDEGSQTENEFYAKLFLN DQRPTFYQD >CAK78641 pep:novel supercontig:GCA_000165425.1:CT868318:103958:105063:1 gene:GSPATT00001682001 transcript:CAK78641 MLTQNYYDLRGGDKLSNNNRQLERYEKLEKIGEGTYGVVYKARDSVTKELVALKKIKLEN EDEGVPSTAMREISILKELQPHPNIVGLKEVIYQPNEKKLYLVFEYVEMDFKKFLDQNKH NLTLSQIKHFTFQILNGLNYCHSRRIIHRDLKPQNILIDKSTGIIKLADFGLARAFGVPI KTLTHEVETLWYRAPEILLSQKQYSLGVDIWSVGCILTEMVEKHGLFCGDSEIDQIFKIF QYHGTPTVQDWPNIADLPDFKPTFPRFRPTPPEQFFKNFEKNGLDLVTKMIALDPAKRIY VKEAMKHPFFDDLNKEDLIKYFPPGQQNLAMQYGK >CAK78642 pep:novel supercontig:GCA_000165425.1:CT868318:105537:108134:1 gene:GSPATT00001683001 transcript:CAK78642 MTSSAVSDFEIQKQAQQQQNNILAILKSGNATVVNEKLQRCKDFKDGKDITIPFCYKENS PKEELVLEHVQQFQKQFQLHYDERRNLFLYPKNECDLYKFICTTIRPQKIGYLELYNYEQ CARYMSFFIAYEQLDPPDQFPKVIPSPTNVARWQKGDCFDLSILLCSVLIGVGYDAYCVY GTAPRSITSKNESDLDYIFINNGIKEDDEDQNKDNDELKDNEFAILPKEEIISKYDTKIQ KQKEEYEKEQRRIALTIDDDEPDQMGHDFYAGRRIHCWILLKAGKRGVERNLFIEPSTGR IYPINDSPFLTVDAVFNNRNFWINMKLESKVADLNFDEMDTSMNWEYVMLDTLVEQAQQD DEDYNYGEDHNQQQKHVDPQQQQLQDIVQILDMPPPWPPKVFIDKECFLKGTPLGESTVF YKKCKIDSFAPYSQEQDGLVQRFTIYQDYKRLKIQEIRYFYKHRSDKLVLKRRFPYEFKT IEEYEPGNKPQRKTITTIDRQLRIIIYYPTRNHDGLIKRIEKIGEKTIEEYENRDDRVIY RSVRFDPKDKKFEQRDLTHNDRYMGQVKITKMTQKYELSELYPASEQPQKVVIDLQKNLI KVYYHMNKGEINPQFKELKRENMHSLGKLTEPGVEKKNEDALVSQENQRIANLEKECLTH IKNQEDEVKKDEEQLRSQDLKLEKTLHDKARERYKETLKKSEEEKQREQADKDYLYPYLE KRKLQGKDVLSYNEALEIQKDVMTKLKERLLSRAAIIQKKLEEERAKLDQAEQMQQKKSD PDDNEYINIQFRIDILEQRAIRFESQALIKYEEMDRKLKEDKRLSELKKK >CAK78643 pep:novel supercontig:GCA_000165425.1:CT868318:108216:109434:-1 gene:GSPATT00001684001 transcript:CAK78643 MNQFSNQYLQGTQRKYVRLFVSTEQKKFIATVDSFEQNLSEQIANSYACACEQFQSESCS NRYLVTNIRQNGFSVIIPKELNIGQVLNDQSYITCKICKEKNKNKKMNNYQNSDKKTKKT LKESEKIENNLPDISPPKILIQSNIPLTEKREEKNQGNQKKKIKRKKKKNQQIQNQSKQI ISGVQQNNQIEQNMFNDILQGGVSQQKEKAIDKNQNINLNERIDNIYCDDLISYDKDTKQ QAKNINIYQQDQKKNDQKLNQELTIVEKQDANNKKNNLQVNNNIVISNESNRLLKDTLEY FQDSLNNSKEMLKVPNSSFQTNLEHTTRYKKQQKQHQNNLKQQQQLSTGQLTQQQKQNDI VIQNANQSNNNIHKNNTRKNKKKGKNDDI >CAK78644 pep:novel supercontig:GCA_000165425.1:CT868318:109821:111180:-1 gene:GSPATT00001685001 transcript:CAK78644 MWNLLDLDYEGQSPVINQQDEQKNEQPFQQTQKQNQQIHQLQTLEINKRGSDKLDLINMG KPLAISPTAQKLQEIQQSMPIKPAPEIYSFSQCSKLQCKKLGFNIFAQGVPPIKIAGYVN IILCSFEKKAGGLFKKDYVVYNVKTGEEYTVQRRYKDFQWLQQTLLKLFPGVGIPSFSEK KAKKTAKNKAQKRAILLEFFLNELAKSELFRNSKYFVDFLRLTDPAQFKQLKKFGEKLSK PNKFESLLNMTGTVIFVPDIKLNNFETFIQQEEPVYEKIKDLFKAITQHLSDVSKLIKDI EDSLQTLTNLCQKYEINQDYDPLQEMAKKLDEQITKCSSNQYNRMYNMFRYQTQNFSQIK SILKQRNDLQAEFSNRKDFKVEQINELYSSRENAKQIDELEFPAKNSKLLAYQLGYMNWR TIEEIKTFLQHRQIGLQAFSQEVLN >CAK78645 pep:novel supercontig:GCA_000165425.1:CT868318:111232:111488:-1 gene:GSPATT00001686001 transcript:CAK78645 MNNNLRHQKETYLQEEILDKGIDPNHFQEFCEKIKGEESDIDKWTFEDIVQLVNQYKIEH FTTDFNKPANDLILGWN >CAK78646 pep:novel supercontig:GCA_000165425.1:CT868318:111908:112639:1 gene:GSPATT00001687001 transcript:CAK78646 MNSFVYSFKNPSSEFVESANFVQNKRPNQFCLKIFNQQSYEDFTLEVLALQQPLQQQSQD QYPQQSISQYFLNPQLSLELKSKLPSLDKYIKKKKIHKKAKLQSAAIRDYKKNICRNILR HGIKGINNTQAQQFLLEKFRNNNQKLIEFQKFYQQNLEIISGFRVLKDHLIIKADEPQDE QERKRIFQQYLIWFLSSQATKCILQSEANNISEYVKYKNDVLLYYVQQPSQWYSNKPLWR QKE >CAK78647 pep:novel supercontig:GCA_000165425.1:CT868318:112847:114098:-1 gene:GSPATT00001688001 transcript:CAK78647 MSSYRDQAFGINSRPSNDPLRFPQTITADIYNNFSQKPLANEQSSGLPFQFGSAPARAYA QELQLSPDIQVLAKQQQMQQHPAALPVQQKVIQPQPIYQEIQHFDKPVKVVSRSDIEEPW RNKCQFLERQIYDLQQENIRLRQSNIASEKVTYFEDTGRVNQLMQEIDRLNKTILDLNQE IDQWRQRYQSLEAQLKTRQNVEMEIERMRRSVQDNENIMHVEMNRLREQLDEWQKRCQSL ESQSQDAQTYLAKSRTNEQQIFNLQADLKKAEMSLKSKQDDFEALQQKFNRLERVVRDSE YQSQEMANLRKIIDDRNKEIDILRRQSHSQFGNKSAKDLEGKLALFQGECERLNQLLNHK EQELQLYRDQLKRQSHYSNKQ >CAK78648 pep:novel supercontig:GCA_000165425.1:CT868318:114932:116526:1 gene:GSPATT00001689001 transcript:CAK78648 MDPFNFIVLYYDRIQEMKISDCQIYNSLRNLKLKLGEFLIIHGIAFKVFFLNPLEGHLNQ TTRIICYPSDLQQNVSLQKVHFMNLSNEKRELITQLPLKLHISKDQQIIVNGTLMQAIKC VPNEGIFTPETQWKIINYQDNTIEEIQLLIQNQTDNNKQEIFKKYIQPYFLVPRFIKEGS KIKIQDIELIIKKSQPKMGLITCNTQIFLIDNEQTMFKSLNEDDEQAGLYMQINTLKILE VNTASRNQFVQKRKQFYGMMENFAQYDDKENQIIFSSKDLNSKGQSINEFPNFPNTFLTK LHKDNEEIEIDLMDFDECNFGAGLHEQNMKLSQMTLPVLFKNIFQKKKHGANRQSQLQQP EQPKSDESFLDQSFESDANAQLISDASFLIQEDQPHLATLPRYFDDIVLFNQQRWLPVIN DGNNKNFIQQFPERKIDLNWIQNKGQNINEDFCKCMICLMDYTDEEIVKTLPCLHYFHNE CIDFWLAKSIKCPVCKYRCDEQVKF >CAK78649 pep:novel supercontig:GCA_000165425.1:CT868318:117121:117820:1 gene:GSPATT00001690001 transcript:CAK78649 MNRRKSPIYKSPGLSPNIKPGLFSNPSELNKLNKQKTVKVDESQSPLLKESKETKIPPKL QKSYSATKLFSNNIKVAKQQPEEKDDKIMETLQKLLKELMEFNENIDQSQVTNIEEWCCE ILEKLNQIKANIERKEDHSACDALMQQDILTKLLKELQEEKKERYRIEEEATNLITEQEI EINRLEKELRLLEEKHQLQ >CAK78650 pep:novel supercontig:GCA_000165425.1:CT868318:117821:118694:1 gene:GSPATT00001691001 transcript:CAK78650 MLRDAGVEQTHQLIDKIEMRVKNFLKTQSTKQIQPLQLNNSNLDFNNRHISMYSKKEKGD SNIFSQLENIPPQKQLTQCSRTSDCQIPLLKEIQEIDQSYKIEIDQLKNTIVQLKQVIEE LKECVTGLTQQLDFKQKEVDQINKENENNKILVQTLRDENSYLTREIQQLMNAQNPGNPK VSSLTIEVQEERKRSEKLQLEISEIKSRVEKQRDSAKTNSDFQIIEKLVHKLEEAQEQQQ RQNQQFMKYVIQYLEKQQNQSLRPSSTIFQSNHKKQKSSLKKK >CAK78651 pep:novel supercontig:GCA_000165425.1:CT868318:118734:119912:1 gene:GSPATT00001692001 transcript:CAK78651 MAERGHALKQDISKLAWEETDFPIVCNNCLGENPYIRMLKDRFGKECRICARPFTTFKWK PGNNSRQKQTEVCQTCGKIKNICQACFKDLEFNMGLCTRDKFLGDQKIEIPEHTANRDYW AEQANRQIERLILPYDKPLPMFDQILKEPRLADVNNQLNDGKSEPANQIYAEPLENPQDI DLLYKEKFEAKGLLAPDDPKICSLYVSHMTADIKESDLKHLFSKYGKLNSIKIMEHGQSC FINFAKRKDAETAVNALYNNIIIKDIICKIQWARAPNKKVPIKDLIQSLQPEENKKAHPP QAPPQNIDKRQQSEDNLLIGLLNQQMTYPNQNPYQKGGVNNKDI >CAK78652 pep:novel supercontig:GCA_000165425.1:CT868318:119978:120382:1 gene:GSPATT00001693001 transcript:CAK78652 MQGAKSVRASHILLKSTQSRNPYDRVRDKQVTRSDADAEKGIREIRAQVENNLNLFAKIA QERSEKRQCSSCQKGGDLGDFTRGQMQKQFEDVAFALKVGELSQPVKSDSGWHIILRTG >CAK78653 pep:novel supercontig:GCA_000165425.1:CT868318:120416:120951:1 gene:GSPATT00001694001 transcript:CAK78653 MKFIIFNILVYFVVSEVETVFEDGIEWTKMPIEFEEVEQPIENLQGGEGTTNYGKVDYGI TEEMAKMFKTLNDPHYDVITRPIIKEIGLDFFESHYKSFCNTEQFFERLIYQGSNVEFNT INMIYHVKMTVNEFMVEFSLKNWDSEKNDFQIKEFTKCEIYIREQKKQDL >CAK78654 pep:novel supercontig:GCA_000165425.1:CT868318:121928:130410:1 gene:GSPATT00001695001 transcript:CAK78654 MLDWNNSLYFTVEQIYIPASYQYSSYQSNSIKYFIIFAISSGRVTDEEVQNVFLQYLKID TSLKTLTHYFEILTQKQKYLQQVAVTSLNYEGFWYSLEIRNDALQKSLNITYYQNSNKNI IYQKIYQNEYFAITDYFSFYLGESKISKQLGYYQFQGVIKFQLIANTTKFSPTAQSACSS SSGYAMKSQFNFTSDFYYEHTIKDLVFKSYGVTTWAKIDENKAVDNNYINVLHIQQNPNS KYQNYIGGKLAQVTYFINSTKQLIQIFYQTYTFPSLPQLSNTGDPLEKSVIYELDNQYSL FNWHYFSYSFRNNQIYLNLNFVKQNYQFIKTITSVNQFSDLMLVVHLGGTPTNRSNTSGQ FNLAYFYPCLNSYTPKCHYSCSTCIGPQSNQCLSCTTSSNRQLSFDFKCICKLGYLDLSL STCYSISTATVQDQLVPLSTSKEYDQQDPYIICSYGYFRYDDICYQCPKLKKLYFCPECI QYTNTWVYNPICTKDYQQYNQSENNTFTQDIIAPLQILLLNIEPYTVYLFNDEQLTMCAY CNSLCLTYPYSSSCLQSNYYHLQQQIMVICSQGAVLMDGECKQQCYEGCQKCDYINKEFL CLDQDPIQIVTRKCQYISTSVCKQCYDNNNYFLNMEQNDCYPCTIPNCKYCFQYLKSDFN YNSIFQHQPFVYDQEDLAVACALCQSGYAFSFFTGQCEKQLNILLGDSCSSYYINQQGKS TCLVDKDFSLSSTVYDCRQYLSQCITCTRVLQNTYYCLQCESGYYLHNNYGVCMSCIEYN PLYSECEQVQVFEPLFKIELAPFFFSLTKQYPGQQVLETLKIKVKSCISTYGIFSDTICS KSDSINCEFYDRGCRTCASTNEGTKRLTLINLKCSDCPYFCEYCKPREIQDIYLINPYTN VTATLPELTYRCIQKSSIHKTVFFDNRIQLVRICSQEIPKCELYHIQKFTNLKTFYSAMI SLSTTNALQYYNLRGSSGFSFFINSSATYLMDNSFFSLYDIPNNYISQIVSLKYTKFTYF SQSISYALIFGKLRFLNFQEIQFIQTSILFSLTQSSQLIFESLGSINLLFENCIIEDSNT TFSYYNQIFDVSISSRSYQIAVQNPYRINFTNVIISNISLLNTTFLNLTTVNKQINQLDY IYFQNVTFFNCSFSQTNIINLLEANQYKYISIIQLSFIDCNFSKSYFIHTFGLQLKGKFI LQNLVMKNCFMRNSALITIPVTITTTINDLHLQSVQFYDTDFIQVSSSAFIQNIIVQDSK FSNSKIIHKIKSLISSAKEEYLIENLQIFDCYYQELNIVLMPQYSIEDIKVTLRSIQTQS LHSLNYYLNMDYPLFQFQVAQIIIEDIKLIRQPGSKDFIIKGCEYVSISDVQILSNLVQI SSDSSELIQQTLNTIFIVEAQQLKLENIHVQNQLSVNVIFFYFNLIKLQDSQDCYLRIQN IQLDNIQLIKQQFSEISTIFYITSLIAQNISISESQFNGIFLNEFVQDTKISSAAIAVIV SEQSNIKLENNLINDVVVLNSSNSIFLIQTQYTILENITSVNVNHFQSYINKYKQKLLMQ FDLINAHFLINSYGGLYNFLTQNLIIFSCHFENSVGLWGGVLSITTKFFGLIDIQQTIFI NMSTNVHKSQEGRGGSIFINSENSYLNLTIKNTQFLNSTSGLEGGVMYIKPSQYQCYLKL QNVTFKDVFAVHYSAIFFQIIESNFDQISSIELFNIEIENQLLQFISFLKAYSIDLTDSK INEQSALFSLRNFQIYLNGLKVTGFFIQPILYITYVKCLFMDKLILYNITSLYSPLIYIE NMPQHKSALLIKSTLITSFNELDQSLIKKYSQIENINLSNLNFESLLEIVLNTNLTSAQV VSLNMQNNNCKTCQSGLVNINNKQVIKKVRLINLYFFQNSCGLGSCLFLNSLISEIFHFL SQSIFCYNSAQTGGAIQLTNFRLKIHQTFFLSNVAFGSGGAIYYQTSNQSQLLQFKYVSF VNNQAQIGGAIYDKNKYSLDSVSFQLINNKAHYFANNLATEPTQLSLLINNKPTNKKSIM VENKTIQIISYLNDSKLTKFIYMPSGVSLNSYQKFNMKTSAYSMLNYNYTLQAVNCFNEQ IYDLKQTQCQLKINQIQKNKSQEISRNSEIKELIFDEEEQSYDLKDQVFTLDPYQNDSYY LQIDTSCNSFSTKDYYLRFFVKTYHCQIGEYFENNKCLKCDSLQGYYSVELKSTQCNRMN IQMIKSTTGAMIELQPGYWRPFFKSNKIEQCKKYPNRCLGGWDVSDNSCFIGSFGALCEE CDLFNLRGQGSYLNNKESVCQSCGKFSVQLIFMVLESIWIILLIILTVRSNNLSNKQLFK LKCIYRHYQTIYKQMMDQTSTLIKMANNNFQILSLISTLQISIFSSLSNFVIFLGDSTYI ITYQLDCSIPSIATIQYEYAHFIIVLLLPLFHFGSCCFVFLIFLVKKYVTFSKSYIYSSI IYIYCLNLQNIMKWGVSLITKRQLSGIDWIQANVASRYDTETHSLWSKRFIYPILILLGV LIPAFLFVQMKKIRKSLDDRNSIQKFSFLYNEYTDKSYYWEIIKMLQKISIILIVNYFEQ SILIKGIFMFLIVLIYYKLCLEIQPYKLQSISQIDCKISFLLSITLICSVLLYVIQQEDL FYLSYATQIIIVFLIYKQAEFLLRRILIVYVQRLNLQLDKLRQEILFRFPRIYIQYVCLR PYLMLRKEQQERIQLRFKKIRQYIKTQQRYVKEKNIYFSHTQRESSLIGSYPPTYNSSRR LYTGENGQILKSSE >CAK78655 pep:novel supercontig:GCA_000165425.1:CT868318:130543:131481:-1 gene:GSPATT00001696001 transcript:CAK78655 MTSIHSLVSSSSRNRLQKKKSSQILHKPITKQKTQFVKPSRCNHHSLYLARPNQAEQGNQ RYQVTCSYRVEQSQKRNGYTSRPLGALFIDKFLMKGKILEGKYDKEFVEYTLSFFSQNTG FQGRHNLEDDEMMNFYSRLPCEAITENARIQLEKNIDKPYERLNLLFVDGKLIGIKKKMN YEFLRMMGINEEILEDYISKEDLLPACWDISQIIKVREGISFISNLINFQGGIFGSRIII KNFIQSDSSQKISNQYIYYIYSCDRRQLNVDNLERNFLTYFQLQALTLPQRVAIHQSRIK RPCVAKTILDFQ >CAK78656 pep:novel supercontig:GCA_000165425.1:CT868318:132163:132474:-1 gene:GSPATT00001697001 transcript:CAK78656 MINFKELVFSFWAQVIGTHILIDFRDLKTLLIKHQTYSIEKKIDTIFVYDLIIFLCFRNI VKKPQMDCCIQINFQKYYEDRKRIEEIEILHRIQKQYQAWKQH >CAK78657 pep:novel supercontig:GCA_000165425.1:CT868318:132497:133976:-1 gene:GSPATT00001698001 transcript:CAK78657 MKSRYIQIDKYMIDTQKQLGKGSCGTVYLGFYAKGTGDEKVFLAIKEIPVKASPEVTVSL LQEINVLRKINHLNIVGFIDAKKNEEYMYLVTEYCNQGALEDFILNHNLSEEDVVVFFRQ IASAFKYLVSKKIIHRDIKPQNLLLHNGQVKVADFGLAKVMDQSNQSGKFQTFSGTPVFM SPQIIKQESYNSLSDMWSLGVTFYFMLFREYPWEEVNPLKLLKKIQQKIDNLIPEGCTLS EPTKDLLKRMLVIDENNRISWQDFFNHKAIKIEQDPMYTLSTQDSVEDLTLNNFLLQSAS ISLSDKEQDEMQEYGRRQTLNYLAHQNILNRARKAKDHLEFEKSIGYYYLQVAKELESQK KIDQANLIPEDLYIKSMFLLYKRIYCVFSSLNQMLLFDCNESGIIEIDPQDWLELMTSEY HQNDLQKIRQSTQRDFDISRKEFIKWKEQLYDAQFQLEKFSIIERGDAYKDSSIRGK >CAK78658 pep:novel supercontig:GCA_000165425.1:CT868318:134284:136792:-1 gene:GSPATT00001699001 transcript:CAK78658 MVKAYFGYKFETLFGSIGNNGGVIINDIAYTIVDQYLLLLNIKTQEIIQQIVIEGKNIKI TKIAIKDNTLAIGYHDGEIIIYNLIDNEVQCRFFNHQSEITQLVFLSEAVLISGSCDTSI IVWDLADQQLQFRLIGHSNQITALDIISLEDQSLAITQQFIVSGSKDGLVKIWDLNLECS IATLSSMHSEVTCLCVYENYLLICTNSDEVLIKSCQLISGKCQIDNDGIIKRQSFIRAVQ CQFVQQKLLILNNEKKIEVYKSKQGKKLQKAENFSQSLIYSTVISQLNKIVYFQAMVFKN LLKIYIFTSDNQIVIKELNNDNVDENNNVAIQQITGQVKQIKLTQNDHKLVVIASNKCYQ FDNETAQQVNCLTNTSNLTSLCILPKNKYFMVGDEQGTLYLVDMNQNEIVFEQKIHNSNI NSIECHENCKAFNGLVIMTTEIDKKVNFVELFFNKTQKCLEFQVVNTIYLKENIRSAQFS YDGNYYAFAQMNNIVSIYYCDSHKLYLELYGHSLPVLTFAYTSDDTIVISAGADKSIKLW ATDFGNCKKTLKAHTAEVIQIKTVNETHYFFSGCKDNIIKYWDGDTYQLILQFNEALAGV QSMAVGCIGDLFYSATKNGVIRKYVQTKEQIFVSEEEEKRLQETLMQELQNKNKQQTGFD QGLDQVKKDLTLVQIGQQKLLETEQLMDILEKIKQYRETIDQGEVPNEDLFYNKNVTEYL FDNLQNSDLSSLMKFLHHQHLVTLIDEMNQDLALKQYPIIINKLITYIFNKVDGFGFNSH EIMMKVINIRTQLQIYFKKEKKIAGYNLDILNLMIQDISKNQDDFIFE >CAK78659 pep:novel supercontig:GCA_000165425.1:CT868318:137049:137344:1 gene:GSPATT00001700001 transcript:CAK78659 MVLAKVALGTAGAVTVGYLLVPPFNRKLERNVLRPIRNYRRHLQQEDSFKTEEEFYQFLG SVALGSLKMFTIESD >CAK78660 pep:novel supercontig:GCA_000165425.1:CT868318:137506:145537:-1 gene:GSPATT00001701001 transcript:CAK78660 MTIQIFLVLIKLVSGVDNFYSCEVKTTGQINQKGDYSFKINRPGDSLTHPIDLYQTYYIQ IDFPWNINSDYTCYNEQAVLISCNYASSTRVILNSYFVKDYKSLDYDNTDGFTFSIELKS VLNPAYSGKYDNPIQISIMSSTSTLLEQCPNGGIQPTVSPGVGTCSGDGQQFKVNSSNTD ISLQMFVKNALAVESYLMVKYTRYWQIDKITKEMIPGSITCSVYANNILIAGTTCTKDST NFQIKSTLGTGHTGSNITLVINGVNSPSSTTPDGSFILQTYNSNNKLIDECSLSTISGWV PNVVVLSSMTWTNIVNQVEKLNVQFQLNSQILTGDTIQITIPKEIKISSATLIQIRDSRS YFFTSPINQLGFTITDQLVTITSTKDFSTTLSNILLTFVNVAAPPSIKPSSTVAISTYRG SYIIDRSTASLTIAASNGAISSVSIVALNKVINQLSAYDFVITLANPLFSDGYLTILFPN QFDLTQITKIQNYQINSQAYDAQYSVSSQKLIITSLYSTNIAASAQIKFQITQIKNQFSA NISNTFTVQSYYSKSTEDFLVDQHTTFQMSSFTSGSLDTNSISIKVDNYITGIVDNYEID LINTNVISALGYIVVTFTSDFIMSGNPTSCTINGVSSTCTSTATNTIKIITSTSIASNNN IKLKITSYISNPTTLQLFSNVVTIKTYDDQNLLIDSGQGGSIQMLYLSTFSLTLSSRVSQ TNGVKNAYTFNINFQVTQINGIYLIIEIPSDLSIDLQSCQSIAPLQTTLPCSFTNSKLQI QLLCNSCSSILKTTDYKIAVNQIINPSSFKPVTISAASTIQNLLNDLTKQSQLKDSLTIT NTQTNTIRTFTQAYENGYLNQLDNYLFTFEVNNQLSENFKITINVPTDIKVFQSTDTTPT SLSNIVNLPSDVSININSQSIVISGYSGAKILTFSFKINNLLNPNTPTISTYFSINTYNV QINQDYQMDSGQLGTYTHKCNLPCKDCDTSILTKCSSCYDSTRSSLVSSKIYFMSDSNSC VTDCYSVDGYYVTSGEYNCKKCNTECKKCKDVNSFCLECSGTYKFLNNKCLDSCPKTYYN NQQVCQNCINNCDTCSNSTTCTVCSTNFYLYQQSCIDTCPANITITNTQQQTCDTCTNNC QTCQNQTSFCITCKTNYYLYNNTCISICKDGTYLNGSQCTDCLTKCKTCSNGTACDTCAD GLLFYQNDCLNKCPDQYFAQSGKCQQCNFSCNTCESNQYRCTSCVNNTFLYNYQCLGQCP DEFYGDIDTNKCVQCKAPCKTCKSDQICLSCVTQIPVLYYYNNSCNTSCLQYYAPEKGQC VQCRNPCKQCTTTPDTCSECYPNTYLLRDMCVTDCGDGYYKDSQQYQCQLCQDPCVNCSN KTSCLTCKDDLYLFKSTCVSKCEDGYYQQDKQCHSCLSICLTCVDSISCVKCKDNLIQYN NQCLSSCPTNLFEENKTCVGCRAPCLLCGTSPDNCLSCVSTTYLNAQSQCVKQCEEGYYG DQVSNKCLQCVTPCKTCASSTSCLSCIDQIPVLFYYNNSCNTECPNNQVPENGVCISCRL PCKSCKTTPDTCYSCLSETYLSGNKCLGTCDNGYYADATTNECKQCISPCLTCSSLTSCI TCLQSQVNKIYFDGNCISSCPTGYYESVGTCILCTIDSSDEACNSTVQVLQSIQTDKFIP MPCTILTLVFITTVIVARITKPETFIPGAVTSIGGLFEWGSQGVLLYLSYSEWGLFNLQN YIIMGALGINYLLNFIHLISSRCTIYNDPYFQQWLNSRHVNKITYALISFIGLLTTFKIN KIYFSRYFGFYFFKACIVDVQKFLIFNIISLLSIFLITLPVIVASAIILNNYDEKDQLYV STIDCIIVTFIIFISIIWESQKNEDYFKENGLQALNYVVGQSFIAGQSEQQAADQSGEQG EVNFNDEVQNQQIEEPQKITSTNNQKKSFLIKNNSEVIIPGKKHSVAPEDGPFFRTQNND SQTSQQRKDFEDFIQNKKVTKNPDDQSLNLSSIEEQLQHPLNETSQDKDFFNDYKVLQFQ NPEELQPKFLQTDSQQDLDFSSELECNNLNLKNNQLNTPQNNANKSSRFKKNKTIEIVID KIDFDKSIQVQDEDILNQKLEEEKLRIQKEEELKLQQLRQEQKQKEEMYQEQLQQIQLEK QRIEDEKKQLEEAERIKAEGILKETQRREREILLEKEEKERLWASQLEEQQRQRALQEQR EFELKQELEKEKQEKIKFQLQLQEKLLREQELEKLEIEKKNKAEMERLEQLKLEEELRIF KQKELELQKQLEEQIRKEKEQQELRIQQEKEKQRLQEELLLKQQEEELRLKQEEEIRQQE ELQLLLLKKEEEFKKKQQEEEQKRIQLLEQEEQQRLKMLQEEEELRLKQLEEQQQKMLDH QNEDQQSVDLIDFENDDDEISRRKDGNKNKKQIFLQLDDLDHEFELHRDQRLKSDSVEFA EEKIVDQNDYQNTDYLRQFDMNDPKEMFQQKISSKSISVRHSYNNPQQLHKTIQDQPNSR NPQIGNQYQFIPKKKNSNVIGINQQRSEIPKRPSKSSNPHNIVHQQSQIKNQGTPTNYQQ NNINFIGNAYNTFAPKTALNKEKDERYLRLKEEIYLQHIPNYYEKQVLEDLKKKKQQQPQ KRNLKSSQHRQYEVAEDLHLDF >CAK78661 pep:novel supercontig:GCA_000165425.1:CT868318:146257:147040:-1 gene:GSPATT00001702001 transcript:CAK78661 MNIVQSSFIHLLKLGNIPNHVGVIMDGNRRYAKQRRIEPTEGHIQGYQSFLNLLEWSQKL GIKEISVFAFSIENYNRQRDEVQFLMELMKQKMHHLQHDLNFIDQNQVRVKCCGDLDILQ DQELKSKLLELETYSSKYSQYKLNICFSYNFTNELEKAIQSMPKGLTKQEFFQQLSSHLM VTNSPDILLRTSGETRLSNFLLYQIRENTVIHFIDKKWPELSFLDFCNMILFYRKNKI >CAK78662 pep:novel supercontig:GCA_000165425.1:CT868318:147217:147860:-1 gene:GSPATT00001703001 transcript:CAK78662 MSNAKSDGENPKFMMGDEPVRFQNYVGGQDEQQVPQQDQNLEYYNEYLRLYIANVVLTNQ LKELLNEKNELVNKLNKLERRNQELNSNVEETGEERKKRLRRPAQEIERHFTCPVENCQK KYGAEGSLNQHIKLKHPELVKDRAFYKSNEQSQQQGEPESLSDPKQEQIQ >CAK78663 pep:novel supercontig:GCA_000165425.1:CT868318:148141:148731:1 gene:GSPATT00001704001 transcript:CAK78663 MYVELNQCVINEKENVKYFEKAQQNRIFNIIFGLLNQYRLLVESRIIQYSDNIATFLEFN IILMKNLIYYQNLFQNYVISIEYFIIFLLIFTKNKDVRYLKCIISNLKCELSVYGSLINL DLIIFFNKFLKLHHYQIMPQQYYHFARGSKISSGNKLLSSCGGRQCVIFTQKKNSIVIRV FRRNKLDSNGINRLLI >CAK78664 pep:novel supercontig:GCA_000165425.1:CT868318:149260:151238:1 gene:GSPATT00001705001 transcript:CAK78664 MIRRDSGEMQQHAFSQPYLCKSTKEIQTFRKEYYFQKLPSLDSPQSNNSWQVRKGGSAKC IDTDRVNSALKSLTPQQQKQKKNSTIQIEESTQFDLGSSRNFQRLFTVKNHNKSTTGSVK IQRDDNTNTITNHVVLRKSHYHQTQQIQQSQQQAQQQQCPFQSGKSLKDIISQFTDKKTK NGITRHSEFEKMEGLDDYTKELGSILNDDNLIGPILRDVSEMKGVVKSLIDLSNGNKLSY NFETLKQLHSTLKITDQMFNRFKYLYLKYLIQLKVKIDTVFKCAQAVEFYRGAIVSESRS VQHVKDNVRSIAKNMYSQIFEDFSLSPLFKGTKQEEQALKFSRIFGFILGSAESTNYVMD SMRDFHKSFEITSVQYSIFKYYLSGSLSKHTQKEVIWYVLEQTDAYKAAVINQDSIKDLV YKQQGFDNFTNEFLKLCQQDQFIFKNFIHKVGFDQFVEHTKYFLHYAFNKQNNCFTLDDL QSFHCQHSININLYQSIKDKMMLLLSKLNPQRVIFQDFEEEFDLILPYITNQKLPCDIVG ERLCEFIPIVSDELKSNVEIKNIFDSNDVNVNKVVLKKFEYLLSGRKYFKRSDIQAIHSR LKISEHIFERFVHLIEKAIRQYDTSLMWMIDAIKLWKYIIITV >CAK78665 pep:novel supercontig:GCA_000165425.1:CT868318:151389:154401:-1 gene:GSPATT00001706001 transcript:CAK78665 MFVSHNKITEQLIKSQGEEPSKTTKLSLRSMKLSKIENLDQLLLLQELNLSHNSITKIEN LRLPALKELNLSDNFIKSMQGLEFLPSLINLNLNGNQISEISLTNHTLETVKLSRNQIKD PLQLLNLKPLLNLKILSISDNPFCRTFSYIEYLCFLTPTLQVLDNKVISNQHEIARQLYG EPIPSDHQQILQNIRLVQLKKQEIDAEIAQIENKMQRASSLLQTYQNDSDSEDESDRQVT NKAFLSLKSQLEEHYHVRQDADEALKELKQQLESFKQQPKEKLPTEQLNKINSLFVRLQA VLQTDLTQCQRSLFEMALQGSIEQVLQSIVDLLETVLDRIPLLPQESVLQEVAAQIHDQI QELYSKVSTNSLNSIGIQDKPIESSIVLLKKLFKRAEKKYKKIEEFNVIKAQFIKDFELE TQQLTDKWKDYENKKAQLLQEKETISKELEEQFEQIMQQKEELKMQHQQVEVQFTKSNED FKIFRAKQNEARKCLTDEITKLGRQQEQLCEEVQELQGEMERLKDTNAEKEESIRLLNEE IEMLQQRIQDQYQVSFQIREDQQRALNNIEYLNTQKGLLSKDIEDLQFEHSKKEQDTMDL QIKYQNEHKKMKQLLEELDTCHSKLKNTEQLCREADKVLKQLNGAISDKEQEMQKYDKIM QESNIEKEKIKQTKNENLQIKKESQKLRTDLDNLEYQIQVNQDNLLKSKKNLQQVTQLTI EKEQELLQIEKQLINTEKQIQDQLKQKDFLGNDYDILQKELKKLNIDKAKLQQYIDDATI ELQSIKQEYTIQQSNLQSLNKQIEQKVTELSTQTHYQMHQGHDQIINFQIQLQKLQEGIE VKGQEFKLLVDQIDQLQQKRDYLIQSVQHLQSSVLQEDQNTNQKPYIVHDEESLQSQSYD KQRSDWLKPSKNSAIKSQTNFALLSDLSKKYQPKSANQSMLRKSPQGKDQSVQSVIQNLE ELNQNLDRMYMESLERFEQ >CAK78666 pep:novel supercontig:GCA_000165425.1:CT868318:154418:155834:-1 gene:GSPATT00001707001 transcript:CAK78666 MKTIFTEMDSKSDQQLVKEINYLSLRLHFESPRTQQAMKELNLTKQQCQLKNLSEFLQYP NEVDVMYLNHLQLLKDNLSNLVNRRRDIIGPSIKIVFTTPKQSKQFNFLKRIFDHDLKQL YQSEMLIKQQQQRTTDKSFKSVEDNLKSISKKAKAHNTTVERKLSLLKQTREDELDDRLN KSLDFDSKFEPIQKSLQESKTKFKVMMSSKTQQIQDYHLEKKKNICDQEELRRTNLQFNT MEKSQRSTHFKQGISSQDRIELVQKQELEQQQNVINKIVKSIQRTSTQTQQNQSIMQNTI QKMKEEQDKKITKVQQNLTSKSLFQSERENATTQDIKEKLISSNTKAKQRLLDIQSKLKN LSQQKQEKQQELLNNYTSKMEKRNETIIEKHLKLRQKLKKNQKSNTDMVQQMHQLIIEKD ELNQNITKAQRLIVTKPTKNIEELLNHVLFN >CAK78667 pep:novel supercontig:GCA_000165425.1:CT868318:155857:156503:1 gene:GSPATT00001708001 transcript:CAK78667 MIEFRTPKYICLKKIEPPVIRYQPRTMFVKVQHLLQTRGSVDTSLTLSQTDAKMQSQQSE ISNLSTFYQSCDQAKENIQQPIIDVVLKKTNSFHLNSKMRSNNKISRISKNQLMDVLQEI TSPTQTKRKQVVNREWEYSYRKQQGNSTFFQSMDSSNEQATALPKCRSFSMKQQSTQQKS QQLKDMIDKAINNTKTFDEYLLSLVSG >CAK78668 pep:novel supercontig:GCA_000165425.1:CT868318:156718:159032:1 gene:GSPATT00001709001 transcript:CAK78668 MHQQDKQFKTVKVKSNFHQFQLLPNRQINRYKIELTNYSDINAQNAITTYRQKLTESLIT FYCINFNIYSPVKIATQVLGGLNEGNEVQNVVTLKYIESIDNGTKLQQILAKIVKQIIST QQGMVQIGRDKLFWPKKSHSFHEHKFEIWEGVQIVQKQFGVVIDCAYKILRQNTLLDDLL ITKEVDRYDGMIVMTKYNQKFYKVDLIETGMSPKDLFITENGQETTFKEYYKQRYNIKLT DKQPLIKTMLKIKGKQEEKVIYLIPELCQLTGLNDTVKNNFSVMREVAKITKPNAYDRID LSEKFAKQINSTVKKGTDANLLDTWGLKLNNVSMNVEAKTVLPGTLMMGNDHIDLSSQNL NLDQQTQKKMYQMPDKKLVWVLIHHYKEKGQEAKKLLLENIKLAISEYQFYGFYSQPMIK QLQEEREKALIQLCDEIKQECEQKQQNIEFIIFLLPGQKKNSRLYRCAKFISLQKIACPS QVVLEDTLTKNTRSIVNKIMVQICAKLGGVPWAIDKLPKLFQQQHTMICAAECYDRIHQI KHLAFCSTVDKNMTKYHSQILKGADYKGDNLKKCLIAAMQVYKEKNTAFPQIVIIYRDGV SDGQIPVVLGDEFPQYDQAIKQINPQTKLILVVCNKRVAGKFYQAGHRPDNPASGTIVDS KEICEGQQPNFYLISQITRQGTSQPTLYKILHSDLPNIEDDIKVLTYKLCWLYYNFAGPI KIPAPVRYAHCLCEFIGSRYQRNDKDPFIPVEELVKKGVLFYI >CAK78669 pep:novel supercontig:GCA_000165425.1:CT868318:159045:159498:-1 gene:GSPATT00001710001 transcript:CAK78669 MKFTSTDNIEVDRQDQQKINSFSRFNLQYHELIRLNQARKDELTRLSDGKDEMELMEDDE KIPYKFGDSFVRVSVEKARTLIDEQVVQIKKQFEEDTKKIEETLKQMNNLKAKLYAKFGS QINLDDE >CAK78670 pep:novel supercontig:GCA_000165425.1:CT868318:159973:164433:1 gene:GSPATT00001711001 transcript:CAK78670 MLQPIKWAQINTPCWIFNDQKLIPGVVLQVSPFLIKTAEGSIADSKNVYQRSLDNLQELE DLIDLSILNEAEVLNALHIRFQQKKFQIFCGSSFLSINPIQKENFKSQLLQQATLNAFHQ MIDLPQSIIMTGESGSGKSEISKQIQLQLSKFGNLHTKLLACNQIIEAFGNAKTQRNFNS SRYGRFTKMLFDKEQKLCGVHITAVSLEKSRIYNVPQGESNFNIFYQFLNYGQLSIFNIN TNQPQTLIPNPLNSKQPQQLSQKEAQQFQDTLDALKLFNINIDVVLGILASIIKLGNIQF EDHDNNCTIQDQKSILQISHLLGISDKELVRCLCYKQRQMSKNEVIDTPLSRIECINQQQ NVIKQLYERLFEFLVDSINFQMATQANKYHINILDYFGFEQNSFNSFEQLIINYSQEKIH QFYLFDTFQADNKVFQQEGLTSNQAPINYINNIHILESLERAPLGILNILDDSCAVAGTD ETFLTKVKNAYVHNQIITYSKSQSQPIFKIRHFIREVEYNVIGFRIKNKDEVNKQFQILL QKSKIQYISTIYQQIITQKYVGTVARTELQNIIGSLKDCNNYFIKCIKPNDSLIHDQFNQ QLVLNQIKYSCIVECLQMRKENYAFRRTYQQCYIEYFGVNQQKKVDQKTVVINYIKRNFA FTDDQILFGSRMVFFKYQSYRIIQQEINKQNSLKQKAASKLQNAWICYKNKMIFREFQCR VIYIQSHIRGYLARQRHIRYMQSIVFIQFKIKQFLKRISYKRYLQASKVVQTYFKRLCAI KQMIVEEQCVIKIQRFLRQKQQYQEQQVENDIKKLLIKVTDQAWKVIVYRAATKIQKIWK GYITRKNNEDNIQSIKLAGFLVTANQAAIIIQKYVRRNQQTRYYLSLKAATAYIQGWIRS KWLSDLFQRIRYATIVIQRGVRKYFNQYRKQKKYEDQILKPLENELNRIREQEFMNLHDS YIESVLEAEQENTPGKKIDLFSQVIDLEILTDVSEIYDTLWTSLYKRCFKECYEKQNYTS TYSIGECHAYVGTLQNKIYSWGLNDQLQQGLLKQNANKINQIQFQFKVKSIKSGANHGLI LSQDNALYSTDEKQCILKNIKLFSVYNDDNVAVDENNLIYIWKKKQKKPVELKSWTTFIR MSQIQQKIQVHQISHGLQFFIVLSTNGMMFSMGENTVGELGINRGHSKNELTLIELSDKI AEVHCGMKHTIAKSTLGKVYTWGWGQMGQLGHGNLKDEAFPKMLPFESKVLQVMAGHKQS IVILDTKKVYWWGTNSCLQYQQKPVEYMTNYPAVRVLSSWSRTLSIVYITFAKTYKCIDD NIKLKNKIINQMVSKWSENDIYSLDPPYCDNLANYFNQMKKPQQKLNIKFASKIEHQQLV KQLQQSPFNLLDDVKDTSCFFSFKNESNIQLQRQLLEHSENTDIINSKSYHIDNSNKLKL LKAVKKYRNDPQLQEILKQSELSDILQYIN >CAK78671 pep:novel supercontig:GCA_000165425.1:CT868318:164466:167293:1 gene:GSPATT00001712001 transcript:CAK78671 MEQLVRKTKEGYFDQLLKRVLRKTQEPQHQISLKEAQAIVNTASRSSDLQQIKELGFKDF VGYLQSIKDVYIEYRKFQKQVQTPDIPDDLDEMVLGCYNTVPAYFFDQPFRFNYQLFSLG KDKINLYLEEIKDHLDDVEITLFFQINSRFDKFLSVILNLNEMNQIIDQNIKKVKRIREI YSVTREHMIKKSTEITKKKQTIEKVENILFTLKRIQSIQKSFITLTELINTKKYSQAVQL LKVSEQTYQTIKSITSLKFIPQKLELLQKNLYTTILNHLLSIMLTQMTSLLTPNKQLINQ LNHQLNDHDDSVLANSYVNDSWFEQQQQQIISINKQSDDSFIKTLLSSSGIIDMGDKIFK QKVLEELQGYYQEFLIALKNFFNQEESQQQSKFDEQSMMEQLSQYMEEQPLSIFIKIVQF FSENLKKTINLQIALAQSIAKNVLDQPKHMEIIDEHFSIPVAMLKFANEKVALLLSSKRV ASESSVKDFIDLLQIYKFWDQFLLQEMSRINDDLQINDKIMQKIRGQYQKCSINQLIYMR SAQEKQYLQNFHKEKKTQLNKYLENETWLASDVSFDNYEIITYLLDLEDLKQVEQLDESV LLNNSTMAGKNLLPSYVNNSHFKIIKTEIIILKDNSKYKVTQAFLFFLQAISQYLHLFES YSVINYEQAQKLVELIKSYNSLATQYILGAGAVHFGKISTITAKNLAISSICLRLFLYLL DPLSNKLFKVINQQQQTEFTNLQQIQNDFATIKVDYENHNAEVMAKLTTIVQDRFYKHFE DIAKLDWNDSQTKITVPTKMTNQICQNTRSLYVAIEDIITKEDLCKVFGHIVSILNSEFV KIFKQLNITSKIGFTRIKEELDYFMNSLKDIGQLPQLLADQYKSIESDITTVIESKQQ >CAK78672 pep:novel supercontig:GCA_000165425.1:CT868318:167459:170602:1 gene:GSPATT00001713001 transcript:CAK78672 MNQKETSSVNTSHQQQQSHQNKEESKLKSLLQGSYDYIHRETGKMIQKKLYKKAFQLLDK FASQRKDLELSEMVMLNRRIINCINKILKKGLLKPDIEQDRPEVMILITQRGTQAIFNLY KYLNLYLQKVMPNEMKGVVLEDVSHLTSSDEEAQEKIKEHEVTVLFYKINEYEKPKKERT KKQAKEVNIYDQEISESSRIANMIVKTLKPLNIQFELDQYFYNLIELLLISNLVFKKANK PKVAYYYLLEAQRIAKQMLDTPNPNLVNACAKAKVYLANYYYEISEYEESLKVAEEAIVI LCGEMRIRINQEKFTQRKYKNRERKRMKRCVITTLSALVTMMCNYEAQNNYHRIVESLTT ASWLAEKYIQGIDEFKKHIIKLASEGKHRVEQNLKDLADLSFIAESTLDSELNKVKKKHR DQKEQSESQYLKKFNNDMYHLFQIKPLNKQLYLKTQVKQEQKINKQFDQKVIEQSQPHGT DASINIFDSDSNSQDSFFDGSFFAPSIDNLQFDNDIFEKKRTQSVAKKPITNNRKLLKLN RKLGKSVGLIKIGFERPLDHKSKILTTNQYFNEFSKPKKQEEKSLSNVGTQLKRQLENIE YREHPDRDEHHKQDLDVYVNKMVTGKIETNEFQDYNDILGQLFSLRKKEDFDKKEFNFGR KMLNLKAKEEIMFYQVQFNSPPVKVEIDTKVRDQAHIIDGKITAEKDLKELKRKKIDKMV AGKKIVQTNVNIDLKDEEETIYLRKVLEKSKKKKLKDFIYKHREALGLSKFFANLHREQK EKERIQKLKSAFEKETLVEVHENQNVQQQQQQSTRHRKNVRILVQEIDKKPLNHQTSLQP SQGRNSLLLLDDVKAQSTQNLPQQKSSSMPKSILKKAPETQKSSQVSQSVTHLEAQGTDL ILQQEKQQKVQKQIRDQVEKQQKKSHQVIGMIIDGVERKMDIENKELSKMLFKQKGGQKQ YIDDDEKTFLKFTPKFSDMSRSTYLMYIKKELAEKQLFSLEDSQPPRVAMTKKNQLADMA QMFKELGQHL >CAK78673 pep:novel supercontig:GCA_000165425.1:CT868318:170740:171792:1 gene:GSPATT00001714001 transcript:CAK78673 MTSQTLLLSLGVLMVLGATAYMNYDSNVSSIDHGFTADFASFIKSFDSKYDFIRSDITWS TYGGAETRPTTAPSKYPIVFIHGNSDIGAGNGGTVGWQTGFTQLIEYLQNNGGYTKADLY VTTWGPANPNLASQNSHSEKYVMTVRRFIEGVLAYTKASKVIVIGHSMGVTLARAAIIGG TYSESLLNKFTVGSPITSSIAAFFGLAGANYGLVDCTYATGLPTCSTYNGFSPSSQMLTS LNSKTHREGGKVYSFWSPNDDIIKYN >CAK78674 pep:novel supercontig:GCA_000165425.1:CT868318:171876:174938:1 gene:GSPATT00001715001 transcript:CAK78674 MQMRHMNKRGVCLNYKQLIYQLLFNYNYFNLDTPPNTNHLPNPIYINLKLQKKGTFIEIV YPTKSSDEETIVKKRIVNNFNVNPTFWIASEQVVPPTLDFESLFKSPFPEQAVRHNETFE KLEVVTNTNQKILIDVPSRPAAYTLEKLNLKGKPTLEQLFQSIPNCMDYKERDKHFKQGG YKLNYQSGYAPVEIQNRHKDPEDYTKKQHFIRQKQSQANLNLKRTCGVSYVKKSESEQKD VSHIFKKLPPLVYQCSSGCQPIAGYDEAFDNLFQNLLNGKNLIVFTLFNSSDESALREYL LFPHLRNKISRERVMMARKFLNLWMLFQDALCLVIHYNYDENQLLRPIQLNEQEDIKQQL VDFICESEISICLLFKKSVSGRKAFLKSVGLWNEGNDNSSVCTETQREDIQTKFVISVPK VPINSNSTPQSLFQEFTNIIQQQENYVLEARSQTQGPQTEQQNSNNEKQTKKKKRAKILS STDVRKDGGASDQLINYLIKEDGNHNINTCSIRMEMYVAEEKWKSANDHNKDLLNAFIKR VEHHVTSSYLIKMMNKRFKANEKGQDYIIRRSNKIYEIPTDNVQIKQNKKSINWTSKFTP ENFTNLQTYKLSKKYQPISLDILKMKELSFLKQPLYCITTRDSFAFDLITKVTKVKLGDR LVDRLDFFFEPNNCPCNFKSFLPMFPEYQVGAHAYVGYFTNEELLQMEKDIYEMELKGFD GHYLPMTAQISHAQSNHHSSVRRTKFFFGYRYMWTKCQLAEPHSMVAAGVRRDVSAPPLW MRNLITKLENDNVVPKKFINSIACNVYHDGKEGLAQHFDDAVRFKQPIYTIRVFSDCRLS FGSQFYGFCNGAFAVPLPRGCILCMEEGSYAANAIKHCVRPCDMTGKSAALILRQMHDQV TQEAVKYDEQVDLPCHMSTLSVEDNAVPFGEQKRLEAELLS >CAK78675 pep:novel supercontig:GCA_000165425.1:CT868318:175050:176651:1 gene:GSPATT00001716001 transcript:CAK78675 MFRISDKVQSITCPMHKKAIKYLNIGQSCSLEQRVGCSSCMGGNFIDFELAEELLDKLNN GNNEDDMKQQLKIDFMHTLNQFRNQVNKILDNMEKLVESMNSSSDKLIEWLNQIRGAAQF NIDDLKQIAVRLGIYIVKQEDQFQPRVNGQWQNYISKYAQILQKTSLLLNQKILEKELNP IEQSEVVTSEEPKKNQEFVSKDSLQTKQDIGQEIQQPYVNQQMEIDEQKKKIEFLEGQIE KYHNLYNKLRNQNENKEAAFETPKANMVINKQEPEKKEEQQQYPIQKQDKDQLKIQKYLD EKTVNHPLWKEFNNEMEHIKNQLKWLEFKYVEQLFQFNQSAFQEEQKKVLHFSKKTQENQ NFSKFYLNQLIAQLQTINKLLLDSQTMYKMDNIVVSKNYQDEQDLKKKVQETIDYIRSHK QHLDVCQVELKYVQELMSINELSKCNMVNSRDLQKYFQRLTDKNKYGIFYINNNVLLKNS RSLQQVIIKTLQDSQLKDNLQIDKDFIMVNKFAWKFISTLYFSSGPEILLQEN >CAK78676 pep:novel supercontig:GCA_000165425.1:CT868318:176983:177355:1 gene:GSPATT00001717001 transcript:CAK78676 MQQSHFYYDMFKIISLLFQQDLINKQEKDDLKFMVLESQSELMFQLQAIYRSSVEGKQGY MVHEIKKYVESHRQGSPRNSSPRSSRYLEASRMWSIYEENEEEERDNEIKLIGIRR >CAK78677 pep:novel supercontig:GCA_000165425.1:CT868318:177405:177841:1 gene:GSPATT00001718001 transcript:CAK78677 METETQYQISPQGVLLIISLDVEFLNDKYRLVFTYPTAAFAISAVILASTRFLSFPKFFR NPLESPEGNALFYQRLAKMAKPPLLLYGACVGGAVGLFQYELAKYQMFVKYKTLVNTYLD ACEAVYVSELKKSQEK >CAK78678 pep:novel supercontig:GCA_000165425.1:CT868318:178013:181566:1 gene:GSPATT00001719001 transcript:CAK78678 MRVAVNPSQVSRSLPPAPMPVMKTPVMVDRVASLHHTSTFNKESPINTPNEQQLIELETR ILNLEQDSSIKLKCENLIQENKKLTQQVSLQTESVAKFKGELSLARKEISKQNFKLLSRL KKVLDIKEEALTDIRIKNHTRNLSQSDKLKNDKLIQQKEIMEQQYLKEKQQLQNQIQQLE ELLEQQCSLNQNNQPSLYLEAKQPIIPNQQIDYSQPCQQTSQYNNVKQNEQYSTSQNRKP QTSNQEGGVNSIFYRPNHQDKLYQVPSKGLSQESSSPKFNNQSFPCLKFNSIPQESIDFN SQFDLKNKSIDPKDSFKAHNQLKDHNMIQRKSLSSITELIQQNGQNQSKENHSRYFEKNS TQSPNYQFSNTSQLLQELEQLKNEVNSQKDTIQESHKKISQLEYEKSTVIEKNEKLLREF RNQVEEIKQLEHNKNNIQNDILQLEEDLQSQKIHYESCIQDQQHKYNLLEQQFYENKRLL QEKLKESTKSEQDNQCQQFKKQLEEKQLEIINISQKVLNYKNKNDQQEIQINQMKKQELD LNQEFEKVNKQYQQIKRQFDDTVKNLNEAQNQIQIMQSQYLSEYQLREKIELNYAILQKE FSQYKENSVLQIEDLQSCEKFRSNSKNIEYIQNQQDLQAKQKVMLENSNGFQQLDQDITK QLQKANNTINEQNKQIIQLQQTNQKLEDEIGDVKNKFSKQTLILTKLKSDQNYKCDKCKS LKLDLSGILMDEQQIQDMYYQQQQLDQVILENDSIPIIYDQVNTERNQLLQKLQQNHQDL VIQKQQNDELVQIHQDQNNQICILKSQLLQLEIITQKQKEQINQYENEFKKVLKDDNILF QQKQIDLRDYNEPTTTKGYNNLTQLINQQVRQREQDNKYFKKPNNLSAYEIQTGKDDVSL LQKKVQQQNEIIKQLNQQLDNINFQYQNLLIEQQSNNLQIELQKSEYEQMKKHLQTTISK QKIFLEEKEIEIQNIKQQKVSLNDMNQIEIDKINQLLTMSQEKIENQKKELYKDMQKNQA IQQANTQLRSQLERVQSENADLHEIIIELQKENVNLRDNNQKLTSKFDDNIQTKEQNMNN MVLRRQSITISQQCENFCSEQPKPGFITSKNNRKLDEIERENLTSQKEISKLKQMIGDLS NNQNYNPERKLSNSSQHLSQKKHLFDQNSIKCKHN >CAK78679 pep:novel supercontig:GCA_000165425.1:CT868318:181771:182221:-1 gene:GSPATT00001720001 transcript:CAK78679 MSNQDIDFLTVTPEVRELYSIPTDQRIIDSYKFQINQECISFSQKFFNSNFISPIASVLS AGVCTISYIYLRQPAGDVARQIKFERMNPAKRLVLRGTPALSLFAMVYFARQCKSY >CAK78680 pep:novel supercontig:GCA_000165425.1:CT868318:182583:190012:1 gene:GSPATT00001721001 transcript:CAK78680 MKLLLISNLFLIVYCIDKCLEYRTYSDCILSTDSQCFWNQEYCEFNKNLQLGCSRFLNKM ACVKQLSNSLNERAKCIFKNACQPVIELSVLTCNDAITKHACLEITNIDQLCYWDSLTYQ CMKVSKENYQNDFQDVLYSASVCSRIENYLVIHSSIIWDAMSYTPDFVTESEDIYNQDLG LGTQENKLSYETGQLVNNYVYNVCNEPNQVSYFQWYILNDLQGKQLKNLKISDRKREGCI AIQITDDDDYNLLFSNKKESVGVNKIYCRYQGGIFINYRCFYYDDVTILTNPSFLQENQI NCYQLNIKECAVVNQNCYPIIKDGQNEQLITCAASLSQLVSAQGCLSNVQVYQTYKDCIS DANQTVGIYLDFDISPPACSSKCYVLEQSNCDLKSCNWTNEGQGFYGCIPKYGCDHPGLN LNYCKTMAQLCQWDSVKNRCYQIKYYQLFNLKCSEANCKYLCGNIKTFGQECIWIDEDLK CLNILSVPELKNFESFPTKYVVNRELCMNQKGKHIYKNYICTIDSSPISCESEDTGNFGK ELCLSTQNCHWNVQIQACEKAVLKSFCDEMTNVSPDCCTQFNDCIYDVSKASCVSLSSQI FCTTPGISKSACLALNSQNCQWVGFQCVELFIFREPCSSYKSVTKSVCVLQETNLCRYNN GNCELVETQPDDCSNSLNKLACQASQGSCYFNINCQTFYQLGQLCEGFYLSQSACIALET PGEQCIWQNNQCKYITEKFNCLQTLKINKWGCVGIAAEITGYLSPQYYCEYNAISKTCDS LTTSILGCESNGNFNIHRCSAYATGQCIYKDLKCITVDLTHKYWDSQLDIIDCAQASLQI CTRVRGKVCQKINQSWNSYQDVRCGEVKTSIIPCGRIDFDYILSYDAYRIQEAQGQFNPQ VCAQLQGFNLVPCLYDKATYKCTQVTTTNRYACNALGINKWICMSQSYGQCAFVNYVCVD ATLRNEECQNLNKWACLNSSRNCVQQYTRQDDLCKDRSVDLTKPCAAQDYQGCSLIDNCY ANQFSCYSISASQVSPTSCNSPQIGKAVCYQSNMYCQFFNSKCQVISKDLCEYQLTSEQC ANNLYYNCVFINNKCYTQNKFIKCDVYESTNYKFCRQFPNCKYNFNSLNCVDLTLHKGIQ NNLIKYGTIDCSTFLNQFDCLSQWKVICTYTQAQGCKNTSDNPSQCPHLMQYSKMMCQKY ENCDFQFGYFCVDTTQTLSCSQLSNSLCLSDISDTLACYWDGTNCQDVTTQVCSDVQSYL INYSGCSKIFNQDNKKCMYQFSTQKCKILKEINDCSDFTTNIECAIRATTSCLLGNPNAQ TTSCSTSSTFNVNLNLYGCTQLTGNPYYYDIYNYKCDQLTVANYVNVQGCQYLNKKSCIE ITSEILTINCGWIDNQCQQLIDLTKLNNCTLLNKYACINIENSNLVCQWDIVTLSCQQSN KTPCFVPASIPSNPTILYSLSLCSKGDNTNACMANSSNTGCVTFTYTIELCENMGLNKKA CVEQTTGYCKWSNNQCSNSQLDNLNCNTDVNKNTCLAINDNLCDWNDTTKICSTKTLTNC TDAINYNQCMNVPNKFCQYLNDRCSQLDKVSQACKFGYNKYACKNVEGVTCQFQDLKCTV LFQIDSWQRCSKYVDQLLCESNHCEWQDSKCISLTICNNVTPEIKVDYLPYKLNPCVNYL PQACIQIYNKYGCLSSSLYCQWDDNLGCSSYTTYLSEIQCLETLKVNRNVCDKYAPKFCE FKTDSCSPRITDYYFIINPSQTLASTEISHQIETQTCDSYGTKQDCILSTAYECYWNGSC IQITNETSLDAQSLNINGCNKFNLQWRDRQCFKFTSIQNFNEFLDKEITQCETKLISKQT CLNITAQPCQFDNATLTCKRVPYLNYSCSSYTNVNRRTCQLLTKYACDFNEVVNSCVTAQ TIVTVYGGLSKSACLSLSTAAYWDDQCIPVTIFECDQKYQSSSAACVLSLTPCIYDDKKQ SCISQYNLNAVFCDTPGVSLETCTQIIREPCIFKQNKCQRIPSSYSCDQAQLVNEMACAS LNQACSYDQLIRQCQVVSNSQKCSTLGLSKNACLYNPSCKFNNDLLKCECSLVVSPQFCS DLSKENCQQNTKCYFDQKLKTCRRRYCEDLIKSECFGSLNNYTCYYTGYSCQSAGKCEDI FNFSNSQCENVIFNGQPCVGTNNRCFTYNNYLEYCQNSDCQNSFCSYNEENICQVKKCSE LSNCHQLGNYCKTLSNGTCVENQSCQQLDSEICDGQTIRTMDTCSLQKYNIYLNDVLCTS QVCALYGISELCDGNQYGNYACALIDQKCQPCEQIKDACQCNEKVEICVWDDNTCRSFLC NELGQKEQCNAINRCLWSTLNNQCLIHCSKIIDADECNSRTNECYYDEAINLCQTGTFNP PSLSITIEISTTYQMVLLMIPTFFIAVF >CAK78681 pep:novel supercontig:GCA_000165425.1:CT868318:190336:197685:1 gene:GSPATT00001722001 transcript:CAK78681 MTHSYRFVLNIFLILFSLSQVQCADRCIEYRTYDDCIRSIDDQCIWDSDACNFTKNLELG CSELLNKKACNKQLAYASGELAKCIFISKCQPIQDLSQVNCQDSLSKHGCMSIQKTSELC EWNENTYSCDYVPQMDNLKDFQNKLYSASVCGRIESTLVSNQIISLYTIRYCGHQPSINQ ISFQNQSAFTEKTQDCKQKLIAKYMKQELQKIISQALVVTFNGMNQVSHLEVDQAIVLNN FNIVKISDREREGCIALEIVDDNDYQMLFSTKIQEVGVNHIYCRYIKAIFTHQKCLLISQ ELQLQDQQFLTANEIFCRYVDRNRCSYINLNCLPQPLSSDGLEKEFSCISGLYTQKTTCV AEALDTFRRCKGISGNEGCYLNTLNSPPVCSQECIVSQQSLCNSDNCNWTQESNEFIGCV PKLGCKQPGVNQYYCVNMRLLCTWDDTNKQCIQLQDYQLNILDCGAVVSKFSCGSIRKMG QECIWLDNEGKCINVLSDQKLKLFQSFPKTMVVNRKLCMYSSGNRKYTNMICDQYQTENT CETEDSSSFNQELCLNTPKCQWDVLYEVCKVLVTQTSCDALINVSAPACAQFMNCKYNLQ TKSCQTQSTNLTCDDQGIKKEVCLGLSDQPCKWSNGVCSQVTSFNQYCTSYNNVSQKVCV LQSTERCQFLANKCILVTVAPTTCQTYFNKYACQFSTESCYFAGDSCQTLSATKYETLTC EGFYLSKKACQQIIKTNEKCSWDGEKCVYFKSTQRYNCLANTSLSQAGCISIISPVPRQS LDEYYCAYKFPDRLCASITSSTTVASCGNANLLLNRQTCSQLTSGNCIFADQQCKNEPSD ATSNRYWDYHLKRISCDQANRNTCKKVKNSVCQLNSNFCFMVIDPKLICQFPGNISTYNP IQYCANSVYSDNTYATKVRCKINTAQTNCEVPSNTNYYSCDEPGINSNICFEATYGQCAF INGKCTSDLTNVTSCNQLNKQACLNMNMECGYFNNVCTQNNTSTKCPAAITNYSWYLCSN TSSCYGILNGCKQMATIINLKCDTPGVGKTVCEKSLQNCVFTNGVCKNISKKQCEYEFTK EDCINNLYYNCVYDEGQCYTQNLTVKCDKYDYTNYKFCRQYPYCQYINNKCKDYSLLSQI ENDKINYTKDCSTFLNQFDCLNQWQVICTFIKGQGCKNTSDNPSQCPHLMQYSKMMCQKY QNCKFQFGYYCIDTTQTLSCSQLSNSLCLSDISDTLACYWDGTNCQDVTTQVCSDVQSYL INYSGCSKISNQDSKKCMYQSSTQKCKILKETNNCSDFTTNIECAIRANTSCLLGNPTTS PTSCSTSSAFNANLNLYGCTQLTGNPYYYDIYNYQCVQLTVANYVNVQGCQYLNKQSCIE ITSDILNINCGWIDNQCQQLIDLTQLNDCTLLNKYACINIENSNLVCQWNVASHTCTSSI QTACYVPASIPANPTILYSSSLCSKGGNTNACMANSSNTGCVTFTYTIELCENMGLNKKA CVEQTTGYCKWSNNQCSNSQLDNLNCNTDVNKNTCLAINDNLCDWNDTTKTCSTKTLTNC TDAINYNQCMNVPNKFCQFTDSCKSFETSPKICQLGFNKYSCQNVTEQICQFSNNQCSIL TTFDSRKECSKQLSEQNCKASPFHCYWQNTTCVFKDTSCYKKDSDNTDCYNFEYPCSLTS CTPTKPLSCVQIYNRIACLDSDFFCYWDSTKGCQNYYSTQSSVNCETITLQINRKVCQYY SSQNCEYRNYVCTSNLSDIFYELNPNYSVQSSVVAIQSNCSDNLTEFDCVTSTQLKCYWN TNCKSIEEQTVSCTSKLNLLACQEKDCLWRQRKCISKSDYVFQPNPILDLQVTDCTTLYI SKATCLHVPDVSCTYDETINQCSNVIFDDIKACSDYQLVNKKTCQMVPSKSCIYDSDSLT CQDFAGTITDIDTLSKPACLSLTQAAYWDNGCHLTTSFSCDQVFEVTQATCKLVKTSCIY NQELKKCTSEFNINSLLCDSPGLSQSACTSILREPCIFIDGQCQVLPSNYTCEQARNVNE LGCATLEDSCSYDILNKKCKTVTNSLVCQTSGLSKSACLLNSSCIFNADYMQCQCSVVAT PSVCANKNQIQCVQEQLCMFDNKYNSCRRVRCEDLGQSECKYSKLNMVCFLNNGVCQSAS QCEDIINVDHSICSSIEFLSGEKCIGINSRCLNEKNYDLYCQLSDCSSNFCKKSNICEAL KCSDLTNCNQLGNKCVLSGDQCVDNISCQQLSSSQCIQIQLYNAQTCVIQQQNIYQDEFI CTSQVCALFGSSNLCNGNQFNNFTCLLKDSQCLPCEFIVDPCTCSQAKNICEWKKDSCAS VSCTSFLTTDICNEITRCSWSQYYNSCQLHCNLVISQEDCNARQDECYYDLANNVCQVGS YTSPDLSVNIKISTISELIIVAANALLIFYFI >CAK78682 pep:novel supercontig:GCA_000165425.1:CT868318:198315:199251:1 gene:GSPATT00001723001 transcript:CAK78682 MAYSTQIQNLEQYVEILYKNEYKELLKVVIQGEIIKSTKDEKIILIEYSLDLGFKSDIVP HILSGKEKQQQQRKRFALLITEPQYYLKYVNIMEALHLGIYKEVNEDWEVYLAIENQYPD LENLEGIVITGSTSTAFDMSENWKEPLVNFLREADKRQIKMVGICFGHQILAHCLGGEAR KMTFVPHMQVGRLALLTGLNFGEFQIKNLNVYQIHGDYVFELPKDSELLMSAPHCQNYAF KSNHLLGLQFHPEFNPIILIYFFWDLENENLKEVYLKECYESFQKGEDNQFAIWEYILNF LKNK >CAK78683 pep:novel supercontig:GCA_000165425.1:CT868318:199717:201703:-1 gene:GSPATT00001724001 transcript:CAK78683 MRSHAKQLTIIQENHFQNQIIEITQTIRSGKYRIAFQRINKEFERSLIMQTGWRIRLQLL RRGIVCLIKILLSQSRDGFRHENQLHLLRKLQQFIMFYFELLENQAKEYKIFYLKEILYR ISQIHLLIYQLSKFHEDVRCMLFWKPEIYFQDARFNKTKFQYNLQVGHLHSQFKLFDSAI FYYKEAIGQCQTLLADIIAEDFHLKKLSDKYSRVISWIITTLYIMTFVYELQSDYNKLFE TYRVAIWLSELIDNQGLATILEEQYRLQQNQYRTFMIEIKEINQVLAPIFPQSNINQDNT QKNDYWTITNDKFFKKFNKEVNCQLYSILSQQEDINYKKQHQSRLTEQETTIQSNIHTPR QHEKCKTFNSVDEFKLSKLTSVHQSPQHSHKSSATVHHTKTNSFKFTSDIDIILKRFPKR EIESFSSLKAKKELDLYYQQKVLSSFDNEVQIKSLKSLRQQISSQEELDKVCQSDFIVGK KLLKFQKYTHQKVATNQNIMKITSGISKEQEHLEGVNSARLLIQGSQDIEQKIRVQMHQI IKQKSMHNEGELMNLKALVSDYELNLSKAPTTNREPQIEQSQISKIIKEKNYSILKSIDQ TAKRTAPEQIKTRKSFLSRIQESLFQK >CAK78684 pep:novel supercontig:GCA_000165425.1:CT868318:202505:203131:1 gene:GSPATT00001725001 transcript:CAK78684 MLSIRAQRTKKIMQVQGEVGQHKGYTAIKIAGNAHELIRKNKSATDNIGELAIQDVTKKL LNDQFNSIKSYDIARGEEKPGQQFKSMHSMYDLQTLKITKLYKKKERNDLEKQIRNRLAK DPEKQLLLSEIYEQLEDNSDGEVLKKKQKNREESLATQQEVYDEAQDQTADLTQWTKRLK DQRKKEESKKENMSTNIVPFKKQIKSIN >CAK78685 pep:novel supercontig:GCA_000165425.1:CT868318:203194:207433:-1 gene:GSPATT00001726001 transcript:CAK78685 MANSYQLQTAPDNQQLCIFDYHAIELVPDEELEAFVQNPANYTIHQFTFDYVYDQESTQV EVYETTAALSVDSTLQGYNSTIMAYGQTGTGKTYTMHGFSFTPNSDQLGIIPRSLHNIFT HIQMKSNSMTTFMVRASYLQIYNESISDLLRPDHQQLNIREDKKRGVFVENLSEWAVRSP PEIYQLMRRGNAKRVTAIMQFLSLQLKQIEETPEGKRARVGKLNLVDLAGSERVRVTGAT GIRLEESKKINQSLSALGNVIAALTELKQPKSHIPYRDSKITRLLEDSLGGNCKTTFMAM ISPAIEAFNESLSTLKFANRAKNIRNTPMEPCLENINQKSRKLKQELDERSQMPIDSMVA ELEKERQKALEDKQEVMSAYEQRNRDLVQEREMRKQLEEKISALNSQMLVGGQKIEETPQ FQNALEKQQKLQELEKERQNIEEDKAQTDKYKQLLLKQRDIMIALTNRLNERDETILQLQ EELDAYDKLQKELEDINQTKESRIQQLVELLKQKEVEIPMNLDLPANSNNNNAKQQLLLA EMPSSKMILVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLKDLGVLEGGTEIQY >CAK78686 pep:novel supercontig:GCA_000165425.1:CT868318:207594:209468:-1 gene:GSPATT00001727001 transcript:CAK78686 MKQREKEGSNENLRVVIRIRPPMAREIKDGKFISTVQAAPDNQQLCIFDYHAIELVPDEE LEAFVQNPANYTIHQFTFDYVYDQESTQVEVYETTAALSVDSTLQGYNSTIMAYGQTGTG KTYTMHGFSFTPNSDQLGIIPRSLHNIFTHIQMKSNSMTTFMVRASYLQIYNESISDLLR PDHQQLNIREDKKRGVFVENLSEWAVRSPPEIYQLMRRGNAKRVTASTRMNDTSSRSHAV FIITVEQIEETPEGKRARVGKLNLVDLAGSERVRVTGATGIRLEESKKINQSLSALGNVI AALTELKQPKSHIPYRDSKITRLLEDSLGGNCKTTFMAMISPAIEAFNESLSTLKFANRA KNIRNTPMVNQDQDQGALLRKYQLEIQKLKQELDERSQMPIDSMVAELEKERQKALEDKQ EVMSAYEQRNRDLVQEREMRKQLEEKISALNSQMLVGGQKIEETPQFQNALEKQQKLIRQ QYQERLQELEKERQNIEEDKAQTDKYKQLLLKQRDIMIALTNRLNERDETILQLQEELDA YDKLQKELEDINQTKESRIQQLVELLKQKEVEIPMNLDLPANSNNNNAKQQLLLAEMPSS KTDFSC >CAK78687 pep:novel supercontig:GCA_000165425.1:CT868318:209477:210165:1 gene:GSPATT00001728001 transcript:CAK78687 MLYLLLNIAFANILDNVFANFDAPYVHIPNDFHVVFGTQRTSSPNTLSVDYSTKLESSHI ILSIDKIGEVANAYVNLTTNKVYLNYYHSFPPQLKCQTFNGPNLSNKLQLQSGNNAFILE PDVVFHLMSLYMGTGDDDLARFELSSLLQGKNKAYALFDKQGNLDSIEIDLENKRQIKFY VIEDLVEKKFVREDFQVPWECQESDVNLSEIIEKLMLLLK >CAK78688 pep:novel supercontig:GCA_000165425.1:CT868318:210167:212281:1 gene:GSPATT00001729001 transcript:CAK78688 MKSLQQSTYKHQILSDVFSDINFYKYRSQSNTEQYTPLKGFQIVKLRGPSRLSVQQSQQS IKTVKSIKKRNNSQSNVRFDLFKHVMEMDDSEMHEKLMNLFEIDLKMRKNLEINRMIVQD KQQSSAQIDEIYQEILLMLSNTIERIHQYINANNLERSNLKKAHRRTAVEIEHQMQEFIN MMLTAIAKRDMTLARLIENAWKLISVAIEMILQNGKAEQEYIQQEVLNELQQEVVQLKQQ NEDIYHKYMEVSELNNKLTHQHKQEINNLTYQLNMAKVEFNDYKQNQEAQSTLENATGSL KDVELNLKDTHKILNKIEENISNRDTQLYQTLKSVVQQHKMDKDSQMNKAQKLINRYQLK SLNTKQCIIASEYFNHPFVQYLDINFEYNQQDEKCIQQLLIDWFNQECMKPQFGTHPAQQ RLEQISTYERRSNAESFIHFLTKNDNLNQLQNCIAYISQNLEMGDLNQLNKVEKFNRQFC IFFGVIFQFDINNIEIDLLTYDRIRFLYSGLVQTNQEEQIQNQNKFQWYNFIIQNLGDLC ASIFLDYSEKVSNSQQTIIQIFVYIMQCESDKRKHHIKKLIEDFKNVDIELNLQRERMQK YVKELCSFYNYQYNELHVIQYAQALFDRYIRVYQTGRKRNGLEIGEWISFIQELQQIMNK LILIKPHLHHQIFMLEDLQYVNKEFQVHTRTTQIERRESQIRKK >CAK78689 pep:novel supercontig:GCA_000165425.1:CT868318:212497:213010:1 gene:GSPATT00001730001 transcript:CAK78689 MQNFCNFRNYVQTEIFSSKIINGKSQHVEKQQECSIIKKSTMLNLFFSIYSSAYYSSQML ITQVFEFIVLFQVIYYSIILLRFSNLKASDILISIPMQVNNLQVSLQLRKENALKQDFKN TSTKPTIKMILFEKRKTSLIHFRIENNAKLILSKSRSIQVKN >CAK78690 pep:novel supercontig:GCA_000165425.1:CT868318:213123:213910:-1 gene:GSPATT00001731001 transcript:CAK78690 MNTHVDQHMPIQLPPEMFNHPECNTILIDTKSQPNQIPEQVKIADVILLMYSIDNDTSCE RLQNFWFKVLKEKEFQQPIIIVGNKLDLIGLDCDRENYRVYKLIKQLVKDFSQVEIGIEC SSIKFQSVRILFIPFVTLVQPSNQINYRGLQKALTCIFRICDEDGDGVWSDQELEQFQKK VFKRQLNKSDIAGIKDMIEEELKDESNKKILLLCKASWFQKDRNRIDEDLNQLDHHLLFY LQRLFNN >CAK78691 pep:novel supercontig:GCA_000165425.1:CT868318:214067:216620:1 gene:GSPATT00001732001 transcript:CAK78691 MNLEYPWDVQKIKFKNIQVFRSHQKEKYILIGNHTLSQQFCILHLNILKGADLQQKDLKQ YIQEEDRQFETLEDAKQYLQKYNLTYLYTVSGIIGFIKLVQGYYVMFIKRRKSIAKLGKH TIFTIEERQIVELFDGPYSSVESKYKKLLQDYDLEIGFYSSYTYDVTSSLSKNIIPSEDV QNKNQKYHQIGSYRNLFMWNHYLLAEFDKIIKDKRWVIPIIHGYCEQSTIKTVANYFSIT LLARRSTRHAGARYLTRGINEQGYVANFVETEQIVIELDKSTCQRPACSSFIQIRGSAPV YWYQEPKMYLFKLPIKIPQSDPYLYATKKHICDLISSYGRQIYMVNLVKQNEHNKREQVL AEEYFSAINTVKEEMQNQTEIEIGYLGFDMKAQLKQDKEEFVNKCYSLAYYCIMKTGVFL FVKEPKCNENVIIEIQNGVVRSNCVDCLDRTNAFQQLIGEMALGIQLAKINQSSLKFNLL LLNESILQEFREMYEQLGDFVSQQYGSSLAHKQNIGQKSKRIELFTSLKRHYNNNIEDPQ KQNQIDVFLGIHRPSPEYIGENFPVCLFPDTKYLQQFTQHKQWWVNPYMEFQQKSLIDEI SRRCKEASQQAKKDYKTKIERKQTIFNFDIIEKMKHKANQKLKAKNVFILQSPYTKLREK PSNYVSKPFNLEEKLKKPYYDVIQIFEPEQLGDSPCDSHQSSPKQNTQNLKTRFSLLKGN EILEIEKYTRIKTFDELTDEANQIRKYLKYNLSSDEEDKLRSNLKRYLNGEQPKDQVAPI DKNPDVQKQYSEVKQSIANSETVQDVSTQQAKKIPVEQKSVKFLESLLKKNFAQSQKLKI NKI >CAK78692 pep:novel supercontig:GCA_000165425.1:CT868318:217282:217755:1 gene:GSPATT00001733001 transcript:CAK78692 MGNVCQATQVIITPEISDESARSQRIMETSHRAIFKEIYKKEKAEIPELSYSSPPRNYLP LLNLDLIEPDQFFPQIKSSRRALSFVNEKTKRQIVKSRTPSHKPGPESMSITKQPIKSSL KSINSKISSSNKSQKSVRWGSDLSVFLNLTHYQNQQI >CAK78693 pep:novel supercontig:GCA_000165425.1:CT868318:218989:220894:1 gene:GSPATT00001734001 transcript:CAK78693 MSQQTVFKTQGHRQAVSTPSSNTYFLNSQRKLNFFTTSTLQSISYVHTSQVLNQTPTQYE IRQVKKEQNQIQAIPASFMELYDEFENNYKIVQKIKTDLNISIDMKSDDQVQQPQVISQN SIRNPSQAETKIANLQFCDIDQELNQRLHINRFKFYYFRASISKQQSPLLLTIQFENQIN SAQYKLYLSTQHEFPTKFNAEHNLTSNFCKIYTSGHESTFQENYLYITFYTEIDVVVKIK ITFGRVQQSKSPQKQIIKKEYPKFPGLITNIKIDLIKRNLDTSSFQLTRRTDLLAGLLTE REQKRKQVLLKKQEIVCEQKIKLKTQYSAQMLRNDYRFFEKIYKLKLNKKIQGELFWAQL VNLINFCAQLKTKLIQFKAQMSVKANGRIKALKCGLNLLIYIRKFGPTPERRSFCKSLLA VQMFTAQIRFTRKRKAEAIATSFIRECISIIHLGHRLLKTRHYVIILQNKFRFFQRQKKK YYEDFWQLVMLNYKEIIRSLNQSNQRSRSRSQKQIYANQIDNQMMQFQIDNYYQRQKLIW LGFIRQKIHDKQLDLYLNDRKSDEIRKALIKFQEPKLFQLPQINEVAEIIELYAKNKKMI >CAK78694 pep:novel supercontig:GCA_000165425.1:CT868318:220934:223057:1 gene:GSPATT00001735001 transcript:CAK78694 MRKSSSQSAVLSTMQNQRFYCGQKLKSPNKEFLKSNRSEVSVSEQQDSKSRANTLFEKIK AQNANIHRSENNLFLKVEGAILELYNQYEQNYQGLGSLGRIKTVQENLSVEFKKQNDQLI KTFDGLKLSDLDQNGVNQIQIDRYQFYYFRLRILEKPTPIHIHLQLPESLSFLLFKLMLS VKIEFPTKFNADQIIQSNYAKVYSSNPQAHYFKEEFLYLTFYSHIDFILKISVHFGQLNT EKTPSRQNNSRSPQFKMVLSENAKLIQTPDRRREKKGFSQIRSNIYQSSIEKETECSRVQ TENSDKRKFIKIQPVQSKLSTLLTMIGKEFQGMTKEERLKKIEEHQNQKRKELHQDYLNK QKTFLQELEKRKLQIENHSKLKRQKIELVQQNKKNYQTERQQSIRTRLLLQEQISQFREI ERSFSIRKAYAYKVSLSWGRIIKYILFIDTLYNVIKESKRKSQVQARGKLLVWTIKTKAL ISAKEYGFSTKERTITKSCIALKMIAINLRKKIKQKSHLILTRYLLQMRLAVTIVSQHDK TLKKIIQIQRNFRSLKQKKLTFRDKFIKLIKDNINQTIDELQRQQGKKTFYDINDKPVQQ MDIICINQLIDEYSKQKKQIWYEYINNKFFEKDFHKKMKDFSINLKEPQLYELPKRKELI QIIEKYGKIKKLL >CAK78695 pep:novel supercontig:GCA_000165425.1:CT868318:223138:224887:1 gene:GSPATT00001736001 transcript:CAK78695 MNQSITIINSMKRQSSILSLDKQTEENGTAKLMKKISQKQTHGSMDDISRLLPLNDNLIN VYNHFEDNFRVVRESEKFHCEAPSTGKYESIQINPSNILKVVDGLHLCDLNPEKLNRIQI CRFRFYYFRARLKNKLNPLQIYFTFPDKITNHIYKVFLSTTVEFPTKFNCEQSTSSRSIK VKTKSGTKFFTEDFIYMTLYSESDFIIGMHLVFGEFHNSILSAKQQEPSRFYKFWDEDKK SMSPKKDKILQNLDQSRYKSTQKLKDFLRGAEVSAKKIITVVQRGKQIEKDRQEERSIKM LVKSQIQEFRKVEKSILQQKFDKQQQCQSWQQMIQLITICGQIYSILHERKRRLRIQAKA KLIVLRLKAKLFIEVKQFGQNPFDRCSNKSLLLLKTMSIHLQEQSRKRAQKIATNFLKKT LIFQTALIAHHKMVSKVRIIVKVFKTKKFQKRAFKDRFWRLIKTYFNQNNGSYLFATSQV KVIQIDKPLMSKIIEQYIHTRKLLWLQQYQQNKNGNENVTPVPQVSIYQLPNDKELKQIM KEYYYVSKKSN >CAK78696 pep:novel supercontig:GCA_000165425.1:CT868318:225020:226205:1 gene:GSPATT00001737001 transcript:CAK78696 MNNTSILFKSLKVGELNLPNRIVMAAMTRMRCNNDTKVPNDIVADYYSQRASSGLILTEC TLVSQRSNAFPGCAGIISEEQVEGWKKVIDAVHKKNGRIALQIWHSGRATHSNLQGGQIP WAPSPIAINGVNYAVKQPHEVPHEMTTEDIKLVIQQFKEGAYRAKKAGFDAVELHGANGY LVDQFLRSYTNRRNDQYGGSVENRSRFCLEIIDELVQVFGKGRVGIKVSPVGRYNDMFDE DPVKLYTYLFKELDKRQIAFIEIMNSKDEENAYGYGHPASEKQVPNLLQLLRPSFKGVII GNVGLTAQTAAQGIEQGLFDAASFGTLYIGNPDLVERFKDNLKLSDSDPKKYFGGNHEGY SDYPPYQG >CAK78697 pep:novel supercontig:GCA_000165425.1:CT868318:226261:226452:-1 gene:GSPATT00001738001 transcript:CAK78697 MPQLKDQKAVDEEGKFEFDTSQKKFFIFVQPETEKQDLDIRKENGQHALLVGFYQKYKKC LQN >CAK78698 pep:novel supercontig:GCA_000165425.1:CT868318:227033:227990:-1 gene:GSPATT00001739001 transcript:CAK78698 MKIQIVDSAAKIYKAFDESDSSKCVRFKFFTNYMLVENQRLKIFDFSETVLYYEDHKKFI IARINEFTSELEIHIADSREIKENLDSIDQGLLEIQSQMKESIEMQKFKKIVSIDLKDDL FIIVMTDRLLTYCLASLIRCSSEKVSPQAEVLFYPILVSFKPYVSAYTFDTLYLFQKIAS YRSKTNQLMINYKIIDFKHSDKPQCNQKISFQSPQVAQSTETMLSFIKKVRIQGKNQLHL SICTQFKNQTYIQKVVHEFGETLIIKQKQQYFKQSDVHYIQSISNKSKESKVWTK >CAK78699 pep:novel supercontig:GCA_000165425.1:CT868318:228025:229525:1 gene:GSPATT00001740001 transcript:CAK78699 MRLQVLSRNSKTQNELMINLIFLFSLVNTQESSFYNQEQAGGKNQGLQSPSNVFLYDDEQ LRYFTSITLISSFNGVEKDGKYKIALDVDLPFIFITELNNSLHFTKLIPTDDQIELIISY IKPKFKEIEDCWGNIFYVLNSSLWINENIGLDVSFFYYTGYGAIDFRQSTMLDGYIGLGK YPLVEKGNFNYIMNLTHQHLISHPSYSLYFGNQEGFIDSVITLGNYQESFKQNGTEFQQL KAIPGCNQTWCFRVNQISLYKNDTIIYSSSNHKPYTSFISSTFEFIYMPREIAEAIKFHI QKNDNIDCDFINYPIQNRNNTILICSTKLQISIPQNFPVVEFVVLQHSQKITLTFNPQQY IQKCLDDVETYEFKCLTYFAIYDKKIDQYKPNFDIVFGLPFLRVYYTYYDLQNSTILFSQ AYQFDDIPLISRFWYYSFLALLICWALVMMSCGIFFKEQMDQMIVFVINILKYIQGDQQA RQQVLRNYLGDD >CAK78700 pep:novel supercontig:GCA_000165425.1:CT868318:230083:232465:-1 gene:GSPATT00001741001 transcript:CAK78700 MITLCKALNKGRVLQFFLMIDALIIHMQSYFRGDNSFLIIGLALAGFHLIIFCLEYICQK RFNLILIILSLIKGIVVIGASYLVMPQWQIYTGIEIALIIQLNEQHSKLTSLFFFLTCIT CICFGDVPDITVEVLRTIVNFYLILQIYRKNESEQFTNMKGLLTQISSEEFCILDMQYKP IYESKFFYSILKEQVMGMSEQNLSRIFTPRDLSQNFVRQSNCSENIATRNPQLISDIIDQ LKKKILKLEQKLILEPTNSSLYYLSIQKLKIENQQFILIIKPKINNIESTKLQSQQSQAQ VQTMIKTLHKVSHDMRNPLNAIINMQLCLQELIDPALFQKFLKPSLNSCHLLLNLINDIL DAAQIENKSIRIVCHKFNLPKLIEKTISLFDLLKEKKGLNITFNYDQKLPIKITSDKLRI RQIFMNLLSNAVKYTQPKGSILIECILNEQKSHSIIISVQDSGLGIKKENLKQLFQEFSK VNDKENQNANPFGIGLGLMISNELAKLLSSGHSSGIQVLSEYGNGSKFSFEIKNEESAQE DISDSQISHHLAQQIPSSLDFKILQNISQQRSPTVSIAIPMRESSKKMISKKNLKAFNFM TNSLNYQVTLRHRNESERNLSNKTQIQNRLIQCLLQKWNDCTQQHAPILIVDDNEFNILA LQYLLESCGISSDSAMNGIISIEKVNVRVRENIPYQLIFMDLEMPLMLGLEASNRIRKLD KNVTIIACSGHQLTSEIIEQFKDAGISFGVEKPITKIKLKDLLIKLSDGIRCDSSQFSQP F >CAK78701 pep:novel supercontig:GCA_000165425.1:CT868318:232952:234367:-1 gene:GSPATT00001742001 transcript:CAK78701 MKYSEYEPQRILVGVTGGSAAGKTTLCETIKREIQYDSDVDMTILSCDSFYKGVDKQFID ISQYNFDHPDSLDFDNAFEVISTLLQGRPASVPIYCFVNHKRLDQYQVVLPAQVIVFEGI MALYDERIRELMSYKIFIHCDDDIRLCRRILRDVKERGRTVENVLFQYTKFVKRSFENFI SPLMSQADLIIPGHRQNHVSVAFIVNHLKNMAKQNGLLREKLNTLLYFGDLLYSIDGFMR NRTKVDFSNPNASFKQLFFPEENMKPDFLYITQNFLAGKLVSEKQIYKVVKLCFKSTLFM LEKCIQQDKYEIDQIQCVHLKEILSNNFKINENTKFLVLAVPLLFKSGLTQLHQIEETIK QFQEVQLIVVNVFSDIKTITEINWAFKKLKIYINAFLVGKLDKFMQIMNLPAKSQPDLMY GQEKFFQKLNRFIIKRQAKKN >CAK78702 pep:novel supercontig:GCA_000165425.1:CT868318:234801:235176:-1 gene:GSPATT00001743001 transcript:CAK78702 MSSTQSQFNFVRKPLPKAPITRQFSREKSQPIFNPITPSTKFRIQNNNQFLGRDKSQKNT NNFFNNTSESTKITKVQNQSLLKKYDADQKQLIFDREDLEEEQQFKLLCQTLDQML >CAK78703 pep:novel supercontig:GCA_000165425.1:CT868318:235769:237773:1 gene:GSPATT00001744001 transcript:CAK78703 MSFGVYSQFQTFGQSQELENILKNNSMTLEELLERDNFLFDIKAGAMNAFTEFVWKQPEN LEKMIHYIVISNEQMDDNEKSRKFPYIISEIFAIENTRLFDLLFLRHRNESQSSLLSNER LTTIEDDILPEYQMHHEDQCKEDEDYNGGGISGISGTSIQCASFKSKSGMHLNENVRLNI LNSFFKYLETPNINDTSMGYYAKILKPVASKYGGEFWDHIVVNNNIISDLLQHINHYQIV EIVVKLLILDYKINDNIYINQKCYNYRKQLLEEMIHQMDKFSDNITMVADITQIFDLLLE QGIQQKLYEPLRELFDNVLKPYYFFKIAKKTGVLSVYKVFSKILLFIQVNSLQDQKTSDL IQIIQQINPLIVTYLQDQENFGLKNLCLVQALEICDKLNNPLLFESMFQAQLYQSLIEYA LKYQWNNQLQIYVTSIIKSRIQDEKYCQRILKETDILNQIKKNLIDQPTNSSFKSKCSHG YNGFLKTVGYKILQKQTASKKINQLLQECNNQYDQLEYGQIIEALQGLQQLEQTYLCDVD PKTHMQEAPPDISIDRQIEMLIDSQKEKEDQLTKSEQQ >CAK78704 pep:novel supercontig:GCA_000165425.1:CT868318:238007:239713:1 gene:GSPATT00001745001 transcript:CAK78704 MFLRNRFHSLRKLAQFCTVESVSGKKAPATSAQQTKVERNFQLQFSKSALVDFGELPTGE IPEALKYDRPQALTQLETGLRVVSEQYNSPLASITVAVKAGSRFETLESSGVSNFISKLN LRGTTTRSREQVEAEIDYLGGALKVKQGRELQTYTLTFLPSELERAVNFLGDILTNSLYS PAQIEAEREGIYRESVSINDQYRVVAEAAHYTNYRDHYLGQPTAGIRDNIPNVTEEQIRQ FHKANFVAPNVIVSAAGNVNHEDLVSAVNKAFKGLGTSAPTEVPNSEKPYATPSIMLMKD DELTNLNVGVFFDAPGWNHPDVFALHYFQRLIGDYRADKHTGFHLNSPSRQYNTMHSLLG GLPDVTYQRCAYYAYSDTGLFGNYLIGNEVFATQMAYISQMVLSDYASSVGQVEVFRARA KVFNELLSQESSAKQSREIAQQVFYWGRRVPRSEFARRISALDAGHLTRVATRHFWDKDI SVVVWGPTHLLDAVAHYNRSWKRSTLGGYAQPYYEG >CAK78705 pep:novel supercontig:GCA_000165425.1:CT868318:239713:241401:-1 gene:GSPATT00001746001 transcript:CAK78705 MAAASQNVFNNQYVIQKKISSGSFGVVYQGIDLRTSDQVAIKVEKQEIDDLFSLDREIQI LKNLHGTPQVPKLKWAGKEKGHNVMVIQILGRDLTHYLRQRKRFSLACVLGIADQMLTIL EAIHSKGVIHRDIKPENILAGKERERNLIYLVDYGIAKQFKDKDDKHIAFKENKPFLGTS RYASIAAHKGQELSRKDDLESLGYMLIFLLKGSLPWQSISYKNEDEKVKMVGLLKMRITS QELCQDIPTEFMRFIDLVKKMSYREKPDYRYFQQLFRRVSIQQQIECRFDWYDENNYEKK SGSASPKKQQSIKIVDQSYLIQQIYTPNKKKLSEEYDDSGQSRRESNGRQSLVNNNSNNL LLCDSRMNLKNKSLSSYNESNLQYSDVQPDLSCLIAYQRNLRYGSFHNEAEIPKQPDRST SAQEKMKVKHKLSLDVKPNCYKSIVECQLGLVNIPSIMDFTVNSQNQMNEDESPCLDDKF SILKIGSIDNKFKNPIQLFRINNKEKSRVKNN >CAK78706 pep:novel supercontig:GCA_000165425.1:CT868318:241467:242120:-1 gene:GSPATT00001747001 transcript:CAK78706 MAEEKEIEIKEEKEQTQEHHHDHDHDHDHDHSDDGHDHDNQGDDKDKKANRGEKKFKKAM LKLGMKPVAGITRVTIKRGKQFLLYIDNPEVLKSANVDNSYIVFGEAKVNDPQSQIGKKE ADNLAQQVTKQVEEKHEQQQAQNPEQVSDEGIPPESIKMVMEHCKCDRSKAVEALRKSDN DTVQAILTLTG >CAK78707 pep:novel supercontig:GCA_000165425.1:CT868318:243052:245108:-1 gene:GSPATT00001748001 transcript:CAK78707 MSTKKQVSGGKTSRSNSKQSVSNPISVRKRFSSLCSPPNSQGQSSCVFCQKFKSKKVETY APLLNKALFAKYSSSQNYYYSKDINDIIDEESTPAVVFYRDLECIVEEEEYLKRSYAKKE STSKIKALLEYYKYHKDIPRLFMQKVYITINKFHEKKRRIEYANIKRRLNIPDEDIQQSQ KKEKKKKQQHSDEDVTVGQLKHLLKDLKLDTNSYLQKKVDISSSVQLREFVQQIGQKYDY LGQISGLLSIDQSNSFLLRSQDLSTINKNLKQNYLINNKPQTNQNSKAQILDSKTTHQIS YQGSFDKININRLQFINQGEVSSIKAENSIKAGEQTKRSNQDSSPMRNSSQLQTIQKVYS QIEGFASLVQKQQQSQQQQQKLNYGQKSNSQRKVSANFNSTDCQFRLPSRQNSTQKQPPE LQSYQVNFLQIYIDNLFVEEPEWRPNYKNMMMIIRQPLATDRIQRQSVYNVGEKNQQKSS SKNIQKVPQKFNQYALATLRNLQVKYSEVKKKQIVNNNNMQGPILNSQLLHYRTKSQDAV GTYKASPPKQPLRQDNSKDPLRITNNINVQGSSKKVGGVYNINQNNIVNIYIEDLKSSAK IKQGGSQTARINSPLKNNPKGIFEIYNTQRSNQSPASKKIG >CAK78708 pep:novel supercontig:GCA_000165425.1:CT868318:245180:245619:-1 gene:GSPATT00001749001 transcript:CAK78708 MQQFLEEDSSQLNQKNENSIQQLLNPYLFQLIKEEEIQVKKNVYLDYLYFSPVTGKPKNE IVKIYLTNKLSNQSLKTLIQSNQKFMNQRTFKLQGYFKRIKNLKQYRKIRQEWSKNYIFG AKIKRQQEQETKQ >CAK78709 pep:novel supercontig:GCA_000165425.1:CT868318:245853:246559:-1 gene:GSPATT00001750001 transcript:CAK78709 MNKGISIQSNSEDLTSQKIVWFYFKRTFWVERADSMWDDIDKKFISSLYKFRIMIKKVYT VPTPREQKPKIQQKSQQDSRKQTQFAYIPDSTTQKEQASKMARSYLMFKPKLVEEIDENN MKKMKLSFHNSITDLQTFEELAQEQQQRESTPNLNQAPQEKTKTFVRKSMRHLTQL >CAK78710 pep:novel supercontig:GCA_000165425.1:CT868318:246724:249734:1 gene:GSPATT00001751001 transcript:CAK78710 MIDNHTLQGQSGRSLLDSGSRQKEQTIAKIKKALQNNKETLRTLRATDLVSDNPKLNRTG QSQIKLSHYGPQYTGKPPKNKKNKDESPFLLDSQSVVETDGFSNQAWKNYPLEILMIILR FISFITKSNFATSFRLINRNVFDIIGDKSAYFRYYLFNDYFKYEKPSPYERMKYQLNQQV FVPLRKLKVYNYLNKNKLILRPESIIAIAWNIYILTILNMNVLYVSARVAFKFDTSNSLD DSFQQFRWVLFDVLPSYSFILEILIKFNTCYYYKGTVIENRYLIARNYIRTSFFFDIFVI IPFFLSLRFQLDYLDLVLILKVFQITKFSGNLFDRLELTSSQIAIFDLVKLGYTILAVAH FCACLWFLVGTTGSDQNTWVIKNELQDEPWHAQYLTSFYFSIVTMTTIGYGDITPQNLRE RIFTIGMTVAAVGIFGYSIGNINNIYADWSRKTYEFRQNMNDLKKYMRLKGLDKHLAEKI RKYFEYIWYDAEEENDREAFRFAEQIPAQLLEEMKIDINMKIVKKIKFLTDNFSDQFLIQ LSKNLIEEKFAPEQIIFKQDEVSDYLYILQKGEVQFYVTLKNKQESQKVLESYSGDSQPF GVLEFFQKQNYQVSCKSTQFTYLLKIHRNQVIELLQQNSKDYSTFCQMRDQVAFSNAQVI VDVSCRACNKTSHVIRECPLVCGYPNRSKVLLNYRRNVPSDRVQYRRSLNRRVDTLLESH DVKNSILLFICKNKLIEELQYKTDYEEQEESEEESLNSFKVGYKLTITQQIESKRPLSFQ GCNDALQDEELQDKIKEIVKYFKKKQLSDVSSEIKQGNKLEGDKTMNFNSLMKGSETQNL QFLKQQSSPSDQVQQDSNRRGSRTTQPKARNLFDIVIQGSFLSPQNKLPSYEQQTYEDEY GKKRGQYIELFEEFERFKNFDNYLQHNNLAAIIRRRERKNKYI >CAK78711 pep:novel supercontig:GCA_000165425.1:CT868318:249749:250285:-1 gene:GSPATT00001752001 transcript:CAK78711 MQRLSSLLIGFGLGIFGMSLLYDPWGQERFERTVRETARLENSILNNVDIYIQMRQFLGY MLVACSFVTMNRIGLLYVLSIPALIVYAAINYNHILYKSERLGGEQTIQGALFYIAFCFA LIDALIKPKKVPLQKSTQNKVDKKKND >CAK78712 pep:novel supercontig:GCA_000165425.1:CT868318:250610:252123:-1 gene:GSPATT00001753001 transcript:CAK78712 MKSLRINNQYILSRKIASGAFGFVMLGFDQKTGQQVAIKIEKPENQHIRSIEKEVDIIQK LEGVSGVPKLLYSGKEDDFNVLVLQLLSKDLSTLIKQQKKFSLKTILQIGIKLVEILEDI HQKGVLHRDLKPENIMIDEKNKFYIIDYGISKTFLRKNGAHLQNDNIIRPFKDRQPFIGT SRYASIAAHKGNELGRKDDLESLIYILLYFYLGKLPWQNIKHVPSDQKIQKVGDIKQKQT TDLFRNLPEELRKTYEYLRKLTYVTQPDYKSIIILFQQAAKNAKITIDSIYDWDIQNTAQ TEIYSHYGTIQFDDSVQIDKYQSNQLINIKQQNNNQNKRKTVCPSLIKETNNQNLYQFGR DQSGQSSPMVNLHGINQLSRDEISEDTEYIIPEELQQNQNLRVRTLPDYLKKPKMKQNPI QTLIFDEDWLMDSDNHLMDNYKKLQSLSNQITTIFHNKQK >CAK78713 pep:novel supercontig:GCA_000165425.1:CT868318:252254:253368:-1 gene:GSPATT00001754001 transcript:CAK78713 MSIQLNDRHITQLEELESLKGKLQCPSYRSRNLSSDRQYTPSLTNDFRSTRNSDQQKDSQ SVEKFLNLKKQNQNVDNLSQLWKQQKQSIQLPQSIQSQNSISISDFYANFVDKQEIDQQI PKYTHLVIEEEENLVTEQDANKIKVVQAANNVRVNGKFPNATKQAGQKKIQQSAQQIQTS NNQIQTKKTNSNNNTYNNNNNNSRPQTTKSQVQVPQTPLTQKKTVQKSNTKSFQDPFQDV PQTPSQKLQQSSLLEKSRQGKTNQAINKVITKKNPEEDQRNLILQLAKIKESVTKLYDSR FEKQLTHSSQFIEKNFDQIINTLKLDFRFHENDI >CAK78714 pep:novel supercontig:GCA_000165425.1:CT868318:253790:254557:1 gene:GSPATT00001755001 transcript:CAK78714 MNTQIRLIRPPVPKFNLNVFETSNLHIIPIEKQIKDSKCNPTSLDNNNSDTSSQSSQECN FDRKKLSAIVEEMKNVISILGLNEDLLKIAIQLFQPQLLSELKQKKYTPIAIAIAGLIAV IKLTCIPITQKKIFAKISVSKYLIKKILLKIRNNNKFESVVQIFIKTISYNLGFNERFIK FCVQIFKKIQQNNLIQKERENVIAAAIVKYSGDIIFTHRGGIRPSVIAQQAKCSIGTFKM FYKKIYEKFSFLSKN >CAK78715 pep:novel supercontig:GCA_000165425.1:CT868318:254613:256177:-1 gene:GSPATT00001756001 transcript:CAK78715 MSKSPYIPPEVKAYIEQYKIEDIIKDAVNTILSQKPADPYTTLSQYFGKIQLSTPVIELD KITGLEVLNNELKSTIQLIFTVQFKNQKLHFNSPLYFSQAFSEQEIFYDDEQKNMKQAIQ EIEKVSLQLRGKKSKDQKQVDDNLLKYLTEHPNRINVIQQISFSLLPLHAHFMNQSLSKL LCQQFNTQEAKTPKIFLNLLQGSKLVGSKCKIYKFLLICDGEGAVQKIQNVVNNVKKTII SGKKGEAGLQYYQDGTFICPGDTIPDNLKIIEQAIDAAQLKDQVQLGLVWLAELFYVPEE KKYDLDNPKKLLDADQLIDYYFKLCQEKPNIVYLEDPIHHSDIVGWTKITNKFKDSKVKL GSRRLYSNIEKAKKHCEVLTLQTNPELSEQQINQMNNERINIDYIYKPLYEYNTFTELQN HLSYLISKKSTLQFIIGDSLVDTEDSYFIDVAFSLPNSNINIGPPIKYEKIVKYNKFLKL CHESD >CAK78716 pep:novel supercontig:GCA_000165425.1:CT868318:256202:257131:-1 gene:GSPATT00001757001 transcript:CAK78716 MFSKSDRICFQPTYNPPVGHYEINHQQNAKGIDFSKSLARNINTRQNVSPQNNTNNLPRV SLASPQLSAYAKPGERLKFEQKYKKPERRSFSYSQNADISINNFESLPLRQKGKRLKIVY QKQITDQENSIEESTQLQVQGYYNSRAQTPMTGLPKRRKPKTHRNIFSLFPSDSLELAQQ REKMKDKPVPPPGAYYNEFNGSSIKVEKIPWRFQCFSSTAKRFSQQQQDAGPSVGAYDIK TKSKAIGVISLDKYSKREDKFLNFPGVGSYDVDQSFTQQKPKQKQQQEFPSPFGSSGKRF >CAK78717 pep:novel supercontig:GCA_000165425.1:CT868318:257151:257616:1 gene:GSPATT00001758001 transcript:CAK78717 MLGFILLLLVQAQIRKYDWINNYFALQCTCLSECCIYGSFILKNDKVMNTNFVQIDAKLQ GSGCTQYTVEDVICTLESPTDVQTTLSCPLQGWEWLVSRDSNTGSIYWTDNNNCQGVWNV IDLSANSVVYIFSPIILVGTIIYHLL >CAK78718 pep:novel supercontig:GCA_000165425.1:CT868318:257799:259313:1 gene:GSPATT00001759001 transcript:CAK78718 MSEIEQLTKQKEEIEASQATLKIWCSLKKNLTQKFKNPSEAFKALKKEGKETLSVEDFAE FSKGLDLTTVFKDTTLNEENFIKCWEQWEYQAKQNEHRLQMIEEKLELLNILEQKDKDGI KQLEAQKNKKILNIIQNCDNLDQLQEKLDTLVQGGQQQQKEKTDIIQNESECFNKILQKK SEIKPSELSISKLQQKQNPEASEDSQIQNKKKKVSYLDGSTNALQKSKINLNISSSQLQT SRLSAANQNKQSFIYESQVQISPTKGQQFLKNPPITQQQQLKSPNEERESERRSHKSNRM RGDLQSYISELFHNEREQSLRQEPRFTREIDRPSYSSDQKGLSGFPNFRKYFKNQQQQRE PQQLEPESTNISKRLQNIRYKLDDFQNQKLTQYRTKPQTLQDWSPEKPIRLSQQKPKQGS RRSSPQYTPGNTHRLNLDKLRQEKQQNQGSGQQEQNGAESGFLNMEQLNQTLK >CAK78719 pep:novel supercontig:GCA_000165425.1:CT868318:259337:259959:-1 gene:GSPATT00001760001 transcript:CAK78719 MNQNQNFQGNLNLFPEIGTHGARGKQYGGNNITMRGNEIFEGLTTGRPSKNIIQAHFKVL RFYRKVCRLIPFLLRIHDMEVTCNSQQAMVNVANVIRKRAYLRDPDAVDRWVYRGYELLY QAEWHMLNRDHLFQYFSNQNRSDAGYSYLENQKLKGKSEFLKDFYIGNKTYEY >CAK78720 pep:novel supercontig:GCA_000165425.1:CT868318:260224:262071:1 gene:GSPATT00001761001 transcript:CAK78720 MENNQEGNNHNETEEEHQENELQETEKNGQQQNTNPRPQENSPQKQNREQQNYKQQQESN PEVEEQYDEEDIDASSFTPRMKDACKREGFKKEDLIQKGKDLIRFMLKQKDPSGQLANED VISMVFDHFEEKRRQKIQVVRQMRQKILQEESQGLWPSQMSNSSVFRSTSSLAEKREKLL NSIRKRQELEIEKIINSEWKMEELARNNVEKEKRIQEKEKQRELKVQWLKEQAEKKRLQQ EEERRKKEEEEKRIQLELEEQYRIKDQKRKQREEELERRRKEENKLKEEQRIEKQKKIQQ DREQFLQRQQEVQDIRLKELQDKDLRRSQKFEDERIKRMEKAEQERLEQEAKLEQVRKLN EEQLNKKRLDYEEKQKFVEQQRQLFESQQQEYKKQKEKEGEMKQEEINKVIKQNEEQMRK KVLDYEKKQQELQLKKKKLEEEQKFLQIEKQKQYYQKERKIQDVLIRNEQQAEQHKKELL KKLDQAKVKCEKIEKEKQEELTRKLAFAFIKELDKQDNLQRREQLDELRKEQLEQRIKQD LIKSQQIQLEKEHLIKMSEQLRKEAEEKRQQQLLEIEKEKKKKHSMNSHRIVTDMSKSKL SNRGGEE >CAK78721 pep:novel supercontig:GCA_000165425.1:CT868318:262590:263147:1 gene:GSPATT00001762001 transcript:CAK78721 MNRQTSQLSHNSSTQLFYSQYLKQQQISPIAERFSGDEVSELRMKVDQLTKQLEFKEKMI SEMRAHQKQLMNRLPEPKQFQQDNFTERLKEFEQKMKEKEVQFQNQLIESSLLMKENQSL KQEITRLENCIKDPSIPKFVNSIVDLVIQCHPINHFPASKPDLRQCWRWLKKILNDYIQL KQQKH >CAK78722 pep:novel supercontig:GCA_000165425.1:CT868318:263164:264724:1 gene:GSPATT00001763001 transcript:CAK78722 MNKDGLETKLNFLQQEVRQLQEENKELRQLLQLNKEIVHLILYFLKPYFKQPSTNNSRKE DIYTKQCENNSERGFQSLFSQLYEENNQLYALNEVIAKQRDEARSQALIFEQICEDSNQR NMELQLERQNQLLDLQRKLNEKDQQLICLTEKLFEFQSKKKIKQKIMVSPTEDVFAVLNQ IEQMRMLIGQLIKENKQLRDEKNKIQILIDVMQKQAQRNKSDYTPPILSPDRSNDESPKN QYIKENKQVSGAKVDESSYVEILPAKVNIQFQKNSNMSVPKLDLAKAQKLQQLNIQKQEE IEEKEEIEIKAFKLQQQVKNNQQKAQTPSAGNALNMFASPNKLCVQLNQLSDQNKSLQKE LAQCRQKLQDEILLSKTLEDQVSELERRISDLESVNEILINSQTKYEQKWQKIHLQYITY KEYFEAHDQVYESARDNRQTLQTMMSLPMSAKHRKSNVSQNLREIAYTLYNKCKIEERLK QMEFKNVSNKKRSSSL >CAK78723 pep:novel supercontig:GCA_000165425.1:CT868318:264747:266461:1 gene:GSPATT00001764001 transcript:CAK78723 MRKNQTTLKTRPARAISQVVILSFSQAKDPKESQFPIIEQKSIKPSSVMRSSKKSLDFNR LIKNPKLNDSKLEEALEADLGLKRMEIKDVKPLKNSPQKPNLQLSSESSQAEGEQRASTP PRKNRKEGQDFNVRSESFATLEPMHHAEETLAENLRILIKIYEIMYSLLNSMKKNTTLEL INQINQYMELTEDTGFQYLEELLQEHVKQIRQIFLILRVGIVVLVNCFFDLHFYLSSISN IKNILLYNIQNLSHLSDILLEKLKDKPEYPLYLQLYSQLQFNINKKNNISKKHEYWTYIK QNIELLQNLYKSLTKGQRDLYQFLIIFLRSIQNYTIKESLRNLQEFISFYFTIQQSYQGL LGIPLICVAPQPYLPYANQKTYTLVLDMDETLIHFTDQTGHFLIRPFTHQFLQEMSQFYE LVVFTAGLPDYANWVLDQVDKNKNISYRLFRQHALQYSNQFIKDLSRLGRDLSKCIIVDN VPDNFQNQPENGIFIKTWYSDQNDTALAELGPILKSIVMKKAEDVRLALKEVRKMLTQSI QLPPLQG >CAK78724 pep:novel supercontig:GCA_000165425.1:CT868318:266516:267957:1 gene:GSPATT00001765001 transcript:CAK78724 MQQSDQVEVEYRIEMLTSELERSSQTLQQKSIECEEWRLKSLGLEQKIKELQAHIGSQNQ QFEDLAENLKQKAIEVEDLRSKYNRVQFITIKGYEEKMEQLVGEIEKLNQLLMQKAQDCE SSRQQVMTKIQELQEERQKCFHLDSFIKQIKSEKLEALHFLNEKNKELEELKERFREADQ YRQKSEILENDIETIRVNYMNIFNQKLEEIESLQAQIDTQRLINENTIRISEEKYAQQIQ IERVNLQRQIKETVATSIVQMENQVATLNNLLEAKQNEIDKLKLQRHNIETEKMNSMLID KNIKMQDQQIKLQQLERQLYEKTNTLDQLTQQIQNGQLIPATSQSLLERSYKQLQDDMHK QNIEMTMLHQRCLELQEDVQKKANMKANYEGQINTLLIEIANLKRDVYNKSRINSQHSEN QRLIQIENEKRAIKQKYEQELKIAEDKIKYLLSIVDQRVLEILKSQSCITVNN >CAK78725 pep:novel supercontig:GCA_000165425.1:CT868318:268014:269537:-1 gene:GSPATT00001766001 transcript:CAK78725 MLILIETPAGFALFQVANTKALNKIDNIYDYLQNEKQAKKLVTPFAFQQFKDTQEALVAT SKLINGKIPKKLSKFLEKNVISQEIQDQIAVQDKKLAKQLQEQLGLSCIQTPVTEQLFRG IRSQLTNLIEGLSESELKNMTLGLAHGLSRYKLKFSTEKVDTMIIQAIALLDDLDKEINN YMMRLREWFGWHFPELGKIITDNLIYAKVVKAIGMRIKTSSTDLSGILPDNLEADVKQAA EVSFGTEITVEDEKFILCLADQVIELTDYRSQLSEYLKNRMQAIAPNLTTMVGELVGARL ISHAGSLVNLAKYPASTVQILGAEKALFKAIRTKHNTPKYGLIFQASLVGSAPAKLKGKV SRTLAAKTALCIRYDALGEGQDAEFGITNKSFLEKRVHQLEEGVNYRDVKAPQRGKAKPI QSQTQYQEEADFQPQGAGWMQKFQKGEDKRQATQEIVQRTQQKKVKQQ >CAK78726 pep:novel supercontig:GCA_000165425.1:CT868318:269582:273921:1 gene:GSPATT00001767001 transcript:CAK78726 MDSDNNKRFNFEQLSQGQLKGRLYKNINRYDPNERKLTQQLSVISLPSLVPKVSKQRLEP TTQTHSALGEPYRMANFSLIAEDINLQKVNDDLINKDLRSDILIQDQLKKPKNLSPLGHR LLSDHGMSILEDVQNPNSTINDSIIGLLQQPEKKKETQMIKKALRKPKKKQQQQSYLSPM DFIYLIRTDPEMADEFCYLNKRDHAYDYQIVEFEDRNPKEYMTISARGITYFQNDEITFL TIEEWQREAKLYQDLQKIDFFRKYKLWKNFFLWKRLMRKNILAKNQDLMISNMFSTDKQL RITLLEVRRICQQMAQDIRFLDTSTTVPQTHENFKQLQDKHLMSIMDIKFDAYEQQIKQI IVECCQKSLVNFKEIHRIPLHEDDNEERAPLLVGDESGKDMPYTTEATIRTHYKRLRKFV KYVDYIIIDAKLQMMQNSVEHIVKQIRDFNEQYKESSGVKRKGYYGKGQPQCWIIIEAVG KQEDIVFNPVREQLFRIFESVVTNSVIRITTRHREMLSMPELQQYIQDESNQQSEKVDVK AIINGSENFQILCGQMRKELEIAFDYLEQYAEKLKPFMKWYVENTGVNIEKQFADKEVEE YRNAINQYKDQDQQFQDIEPTQEIGMILFDNQKLKAKIKSSAMNCIQELQKFIPEYIYKK AVIFTQKTAQLYSTIAISPITVEQFVNYMEAVNVINNQFEDLSNVSQEVTAMALLMDELR IKIQDKHKQKFAECNQQVSQLRKKVDDAMANYDQNLNKFRKDMERMIPQVDSTVKDLNER VSEQPLSSLAADLSDMVTFVQGVRKQVDELKVHAKKLNDYQIALNMEYTPFEKLETFNGE FTLLERLWCGRNEWISNYSVWLKQHYTDINLDDMNNLMEKLQKAANLCAKELDKNEVARV FKSDIEGFRGVYQVLQALKDPAISEKQWNQIRALILESQQLFKEPILEPFTPINDPKYNV LWITQAGLDQVKDKLSEIALRAAKEIELVKMLEQVESIWRSAVITVQPYRESKDVFILGN NEDLISKIDDTLLTVNNILASRFVEGIRSDVERQQQLLRYFQELFDEWMLHQRNWLYLEP ILNSPYSAKNLAKESKIFQQADTQWKKLMRNARDSSIARKWADDYQNRLYFNQLRQNNNN FDVIQKALDEFLEKKRDVFQRFYFLSNDELLEILSNAKNIQAIQPYLRKCFENLVKIQFD SQENAIGMISAEGEIAVLKGYSARGEVEDWLKALEDKMKSSLSGVMRQSLIKYQLEDTQR KDWVFEFPLQIIITIDSIFWTKITEENYLQADAEGDLDDWYDANVAMLDELTLLIRGNLT ELQRRTLVALVTQDVHFRDIVDNMRNESVEGIMDFKWQQQLRFYHDEESVHAKQVNAKLM YGYEFLGSTTRLMLDDYYRSFGNQIRCSTIRTSRYWENRIMQRFSHGPRQRRTWKQLC >CAK78727 pep:novel supercontig:GCA_000165425.1:CT868318:275118:280681:1 gene:GSPATT00001768001 transcript:CAK78727 MAVGLIKILRMVIQYYNQQFNCNLRDEISKKHIEKIYVWVFAWAVGATLISDDYPKFERI VADTFPVEVLPRGSLFACLVRITKTDGLVDINYTQWNDIIPQFEYTKGMSYFDMVVQTKE TVAHGWFLEQAVNTNCPMFITGVTGTGKTIMVNSTVEKLRDDGHIALMQITFSAKTASFT TQLSIEQKLQTQRKKGRTILMPPPGKKFVVFVDDVNMPSLEQYGAQPPIELLRQFIDYRG VYDRKSFNWKDVDNTILICACGPPGGGRSPITVRFTRHFALLSVPNSSDETLSWIFSTIL KAFLKNNHFKSEIVDLSENYSIVNATLQMYSEIQKALLPTPEKSHYVFNLRDVSKIFQGI LQAKPMIYQKSEQMVRLWAHETCRVLMDRLINQQDQDWFKENLVKNIFLFFKIEYKVNEL FDSQPPLIFADFQKRAELADRIYEEVRDYNQLIKVINEYMMEYTKMNLVLFKDAIEHLTR ISRVLRQQRGHYMLVGVGGSGKKSLTQLGAVLAGCKIETIESKKNYGKKEFKEDLFRMMC AVGIDNRLVAFSFSDTQILQEGFLEDVNNLLNSGEVPNMLTKDDLEIINQGLQAEARELK INDIYPYFVQKIRSNLHVVLGLSPIGGQLRVRLRMFPSLVNCCTIEWLHKWPQEALMSVA EMFLESLEFDGLTKDMRQNLYQMCVHVHQSVEKKCDEFYAALKRNVYVTPKSYLDLIESY KTLLMMKKEELQSNKMKLSSGLHKLHEANSIISDLKVKLTEMQPILKQKTIDQEQLLQKL QIDSTEANRVKQLVSEEERQVNEQASRIKETKAEADKILNEAIPTLNAATEALNTLNRND ISEIKSNNNPQPIVRFTLECVSILFEEKLDWDSIKKLLADPNFLSKMKGLDVGSKLKQLV IQNLFQIWFKKVSVAAKSICEWVRAVSEFTDVNNDVEKKKSQVEAMNQQLDKANKVLLQK QSELAQVVRKVTELEIQFNQNKQEKDRLDQDIQTTEQRLIRAEELTVGLADEQERWKIKV ESLAEEIQLLLGNVFLGGSTVAYMGPFTGTFRNQLIQNWMEKATELTIPLSEKYNFESVL GDALEIQQWAANGLPNDTVSKSNGIIQKYSRSYPMFIDPQLQANTWIKNSYRDHNLKVLK ITQEGLIKHIENAVQTGIPLLLEDVQEQLDNFLEPLLLKQFNVINRRKMIKIGDREVEFD PNFKLFFSTKLANPQFLPEIFIRVTVINFTVTEQGLEEQLLGDVVQIEKPEMEEEKKDLI KRISTGNMNLRKNEEKILNLLANSKGMILDNVDLIENLKISKQDAIQVKESLVTQEQKSA EIEAARQQYLPVATRGSLLYFVIADFTLVDPMYQFSLNYFKRLYQNVIRNSEKNDDIKIR INTLIDGITETIYSNVCRGLFNQHKRIFSFLMTVKIQLNAKQISFGEWNLFVRGASLSVQ PPAMPNTVKLSPKVWNELYQLTTVHQNFIQIYNQTLTNFKEIEQLIQSDNPWSLLSESLT PFQKLMIVKVLREEETLYAMTYYVDAILGKKFTSNNQASIEDIFNDTDHKTPFIFILSQG ADPLSSLMRLANQKKISSEKLRIISLGQGQGIIAEKAIESGVKSGDWVILQNCHLGKSFM PTLEKRLEWFEDPELQSSFNTGFRLMLTSMPCDYFPVSVLQNSIKLTTEPPKGLKSNMFK SYTDLSSEQVENCEKKEPWKKLLYSLAFFHAVVQERRKFGPLGWNIRYEFNDSDLETSYT LLRNFLDLPQDIPWDAIVYVIGEITYGGRVTDDWDRRCLLTILTKFINEDALNDGYSFSD SGIYKQPGEMNIDGYRNLINKFPDFEKP >CAK78728 pep:novel supercontig:GCA_000165425.1:CT868318:280737:281722:1 gene:GSPATT00001769001 transcript:CAK78728 MALSTILSIQPRESAQTSDSEQKTKTPDESVLELCEILAQKLPFQIKEQEKKKKQNAPQH TSSEIDSLKVCLNQEVQRFNKLLSVIGNSIKNLQAAIKGEVVMSAELDKMANRAYPSLKP LASFYDDMIKRVNFFRDWFNLEFGYPKGYWISAFFFPQGFLTSVLQTFARKNQIAIDVLG FSFKFFNYVDSEMITSTPENGAYIYGLYVEGCRFDLNKGIIEDQLPGQTIYEAPIIHFIP TQDYKPNPNEYSMPLYKTSLRAGVLSTTGHSTNFIRAIECPTKKNPDYWILNGAAFTTQL ND >CAK78729 pep:novel supercontig:GCA_000165425.1:CT868318:282382:282846:1 gene:GSPATT00001770001 transcript:CAK78729 MIYDQPEHFGLFEKTFTAKEKEEVKQFLKYDRHVPLKLTEQVFHAEADASRFERLGLVGG AVYSFSFFFFPIIKGLPIKQRLFWAAVPGGVVAWLGWRIKEELEWNRVYNCYQKYQVAHS MHKKVFI >CAK78730 pep:novel supercontig:GCA_000165425.1:CT868318:282846:284076:1 gene:GSPATT00001771001 transcript:CAK78730 MFFELDDIRRRHSLYFDCYNAGVWTDAPENSWRWNYTRGAISGLLGSVVGEAWHNFYENW KLLLRSYEQPNTVNELYKFSKATVNLENFKRSMGTRMQFAFASGGIDWALRLAAFRAVNH GWQRTWGTFEYGFLRKVPGTMFISLLTAPIGIPFEVARMAYYADKTFPKELQKGYTSFFN ALWRIPFEEGPYYFYKNSFPLFARNFFQTLTLFYSFDWMKDKLSVLTRVAEIPYFPVKVL NCFLSTYLAILTSYSLSQVTREMVELWPKQNGVCPYDGNYRKAATHIWYARNLNNYFPGM LRNYASRQFLPMFVTLWWADSFGLFTYWKIDMFSGAGSNTWEDSFC >CAK78731 pep:novel supercontig:GCA_000165425.1:CT868318:284119:285324:1 gene:GSPATT00001772001 transcript:CAK78731 MKTTLLLVLVACAFATNTAMFDRIESSDLGRTLLNTIAIQMTTGEPLERIFQTLYDLEDR YIADQKEDDANNQAFQQVCDADLAGLNQELANLEQRNTELQAVLDDLVPIRDQKIGQKKA KELQKAELQKVIDETTAKRQEQADDFEAQRQEYTFVSSVLAEARRLFTDNLQAPSFLQKG EEKVHVTPQIMAQVASHMSQGAHKASTMKHVRTFGKAIKLLANLANRTQQFANQDLTGRV IKLIDDLQNQLSQAFDLARKAEDDRTRAFQAYISLLNKDMNKYNSSIANLTAEIQSLQDR IDATTASQNDVLQRIQAKTQQRDDRRGECQEAAYDYQQRRAARDKDRQTVSDLIGILNTN MRDLKEYIALRIAAGDKDLE >CAK78732 pep:novel supercontig:GCA_000165425.1:CT868318:285418:286098:-1 gene:GSPATT00001773001 transcript:CAK78732 MQKPIFSKIVTLGDYAVGKSSLIQRFTKNDFTDTRTQTVGAEFSPKQILRDGRLIELQIW DTAGQEVYRSIAKLYYKDANFAIIVYDVTKPKSFEVLKFWLDKLFEEGLSDITKFIIANK IDLESQVPLEEVKQYANSFDPKITVFETSCKQNIGVFELFNHIADLVNEKEKQQQLQKRE EKPAIKIGSSENRNDSENSCC >CAK78733 pep:novel supercontig:GCA_000165425.1:CT868318:286102:287638:-1 gene:GSPATT00001774001 transcript:CAK78733 MSGLEDIPEFYDDPQQPTKQDIQKYDNYNVSQFKNFGLKEELLRAVKEAGFEHPTRVQAE SLTNALQGEQLICQAKAGTGKTAVFVLTVLNTINTESNKVECLVITHTRELAQQARDEFL RLGKFMKSIKVECFYGGGEPVTVNISTIETVKPQIVVGTPGRLKDLICEKKALKVDRLKY FILDEADTMIEDLNMRKDIQDIFLRTPQDKQFMAFSATFTESSRTSLKKFIADNKHIYEI TIKPEQLFLDKLKQYYLKVPETLKFHYLRQILNTCKLNQCIIFVKSSEKADALVAELKKK GEDSVRQLYGGNRLGPDHQKMRQKTYEQFRNGHFRLLVATNLMGRGIDIDKVNYVINFDM PDSLETYLHRVGRAGRQETNGVAISFVKYEEETSDGKKQTDDEVLQQILKQYPDKLQQLP QDLSTLDKF >CAK78734 pep:novel supercontig:GCA_000165425.1:CT868318:287890:288943:-1 gene:GSPATT00001775001 transcript:CAK78734 MGGLNNRSQKNFEEVNSLYWAGRYEDCISKCNAYQKFHITDVRYSLMKGDSYLELKKFEE AIENYEIAKEMDDTNYYAYGKIGDIMLNTGKFKEALVYYDKCTTINDQLQEYYFKKGSFL NILAKIYQKLKQLQQSLECVDHVLRLNSSHIEALQMKAILLQLINNKQSGIQEFQQNLQD SGANIRQMLQYQLSLRGQLENKSILGQILSEDESNKQFQKAFNELGKNPKKTLKICDEIL RKDKTNFQFLILSAYALKNLGQYEKALQICDFIKQLDPNNQEIENLQLVIYELIQ >CAK78735 pep:novel supercontig:GCA_000165425.1:CT868318:292664:294235:1 gene:GSPATT00001776001 transcript:CAK78735 MERRLQRLKLGKKYRTQIQRDYSSFFEPDGKPLLQIKPFILYKQEGDIDQSFKQQYELLC QLYQSTKLLQVDFKEVATKLRTKLEGKPNLNNTNTQCNNIELKSKKIGKSTLLNSRFCKF KLFMKNNRLRQTQILIEKLAQISNQMLSLQTKFVELNFENQKSIEIKIFINTDLMLNITN EEDHKNDKIQVKMENSDSKIEETRILNHSCFFKNEQIILNQRKSLTYINENLEQKPPLIN RSKKISFKELVQKIDLENKLNEKKQSNMQKLGSEIKNQEQKLNNYTQIKQEEVIINNQDY LIQNEEQQKKEKQSFYPQDQQEVETPDKIQGITNKASGIENTRMDYDSISKEKKSTIINI DFQDNTFDEQDSCQIIFINQPNQQDNQNEFNSKRTGQKDVVNNQDQQDVLEKQRLLRDEE NSNRLRIKMRFLLFRKYINFIKKTSKASYDRDINLRNNNDNQNANNKGTQENKNQEIQLN QRFRREKDSEKQKGKSNQRKNQRRENLNKKRKIGQMK >CAK78736 pep:novel supercontig:GCA_000165425.1:CT868318:296437:297409:1 gene:GSPATT00001777001 transcript:CAK78736 MKNIQLVLLIAFFGLASADIIINKSKRCECSSFIKSECDKWYDCKWNESSCLKKECSDYA TEDKCTGDCQWKGGKCIDVKKECENMPTEESCSNMAGCGWKDNKCIEFTQCSDFIVTKAE RCSVLQGENGERCQAKGVSVTTLFYKHLAVAAGFQCENKVYVDCSKFVTEATCKGVATAT AKCQWKSDGKCYAFELKTCRDADGFNQMCDPKYCKKDGQICVNKACSDITIQAQCMSLPK IDSSKSTLCKWGADNKCAIATDATHLNEQTCNDVTFGSYHWVTDTCQQCSSNWILSIMSL IILVVLI >CAK78737 pep:novel supercontig:GCA_000165425.1:CT868318:297705:298174:1 gene:GSPATT00001778001 transcript:CAK78737 MHRHLRSLRRMKIDQGWIHTLLEEAENERIHLLTFLKIKKTLIIIQNWGSFSYLVIPISS VLKSVIGLLDTWKMWQDGSPPIHQWTLRKANHHSIEYWGLEDDATLFDVVKGIRKDEEHH KDINHFFADDYTQFKQNSFPPGK >CAK78738 pep:novel supercontig:GCA_000165425.1:CT868318:298749:299940:1 gene:GSPATT00001779001 transcript:CAK78738 MILQNSGQGIIIFIYIKYPRFSQLGGCEVQIQKDLVIDSLYIQKRIFIRNGHQLNFELIS VVGQGGFGKVYKARLIKTRNLVVALKVMSKVRVIQKKSVSSVMNELQILSTLRHEFIINI ISAFQDRCSLYLAMDFLAGGDLRFHLCKFRKFSETITKHIAICIIIGLDYIHSNGIIHRD IKPENLVFDSQGYLRITDFGIARIWKPQNSHETSGTPGYMAPEVMCRQNHGVAVDYFALG IIIHECILGKRPYTGKSRQEIRDQIIAKQAAITEVPQGWSAEAINFANALMQRKPQSRLG CNGPEEVKNHPWFKDIKWMEYEKKHISSPFIPDEKLENYLKSNQLESLEDQSILHSEQVQ CNYVKNHRIIFWV >CAK78739 pep:novel supercontig:GCA_000165425.1:CT868318:300538:301134:-1 gene:GSPATT00001780001 transcript:CAK78739 MQKTKSFDEISYSLLSKEFDETSNENPPILMYLDGPLPSQTDSLDQVLDSLPKSKKRITK RKKCDFHTKKRKKMNRKNLVFEKHTPFTFEEDEKILNLVIEHGPRFQIISQFFLDRSQNA VKNRYYKFLRYNWDLILGRYTSCLFYSNYQHLNYVVEDSILCQSQSDEIKNFLEDMNFYP EVTDIMLSLITRVDNHFR >CAK78740 pep:novel supercontig:GCA_000165425.1:CT868318:302847:303512:-1 gene:GSPATT00001781001 transcript:CAK78740 MKMPTLSIKVEIVTKFQENLESPLIFFQESQMSIFLQESIVEYPQISAQKDYDEINLQQN NCYCNNDYPILGLKKPRKKRKKQSDVVKKRKRRQSKNQIEKVQQSIPFSPQEDQSILLYV LHFGPKFMKIAKFFPTKTINMVKNRYYKMLRYKWDSVLGESYAYLNEDTGSKIENVNQIN YRDETQIFRNQKINVQI >CAK78741 pep:novel supercontig:GCA_000165425.1:CT868318:303967:312869:1 gene:GSPATT00001782001 transcript:CAK78741 MSSHDVYQRKDYLNRKSTIIIPKKSRFDKKCEVLQKHLEIYKTFIKTLLGFELEEDEDLN YNCILMNFKKELKEAMEIFPDCVISLINPDFKQQNENQNQYLVNTSIELFELLQSGLRIN QKSLEDLLLLKAIESIYFNLPVYDHYYHKKKESTAIFTEDNQEQRKLRDKENQKQILLAD KSDIRYNQIDKNEIIKNYVSQLEKQFHNFLQQDIHANIMDCLTLNFKELKQTPLRRWKGG QFLENQNDLWLRSSSPTQDVSTLIRNALIIKLNKVGFNVQSFISEDGQKFFVMLNMQDSN LKIVADQGHVLKQLNFWFTDLFSLEPVDKSFRPLRINNRLWKPQDYEVSDLFLYLKPQMI KLIQQINFKRIARETNQSSINSQLFEYGKLDFSEKDDGPTDEEWIAYHKYLTHLEKCIRQ FRQSQLIDNELAALLNKQITPLQIYQKRNQSNKEIQNLNYQNLHEPRYYEHLEIINQIEK QAERVMDEFSNFQFSLQIPKVKALKLLKKESVSLNYLYSFQEALRVANGEQIKLYNLWER SEMPPFDMYHPYQMPNKQNTKTQQAKQELSWTKYVKNENNEISLFSSQERLKLVYQKVFQ EIDLSIMHQLGIIRQIFCLNDNYELFGQCSNIQAQITLDTRFFKKKSFQLIDEWKLDYLR PWISPCDLICGYYGEKIGLYFYFMSYFTEMTTPIAISGLACSLIQWIIWDNESDFYILIT MIFAFVQIQWSNVFTDLWKQKQIFFNLKYGQNNQDQQQVQRSKFKGKHIRSLVNDQLNSI EVLFGEYLKRTLISSMLLFIFILFYIGIIVSLFVFTVYLHNKYSEELKQMDVATIEVTSA AAMNFIAQQLFDIIFDKISSQLTEYENYKTVDAYETSFVLKKFIFQFFSYIAPLLFLDYL NRPLNLYCSITNCERHVKYYFSTIVILILFKQIVKFGIFLFKLTKIKIKCYDYNENEIMD FVEEQSSRQPYSEDFERYGTMQDYMELFVLISFLSIFGYTFPFSFFILWISNIMQIQVKK NTFLYYIQRPWPKNEFSLGIWNNFLEVISLLCLLTNTGVITIQYNKKYGYEIILVFLSIL IFNCFGKFFIGVIFGQIPDALSDLMKRHKYLIKATIQNKIKEGKSQENKDALKRFPILKV YGTLNSAESGKFETISSDDELHDHYEIPEVKEKVNLIKVELYTKNLDKQYLGEFQFTKIL EYFSQREQNWAFRCVFKDQTEKQKLRLLLKIYSLLYKAQVLTKYRQLWTDVRVSQRFIHM RRKIIQLRNLDYRRYLIYSSKLNQMKTYYDEKAQQKFRKDFQLIGQAKDENGDENIEYTN LVKKQQKYVEKHAWLNTRKVVMFKLKALTFKGFRKQVIKKPSLQLIQEFYKITQIIEDLE PENPLQPQLDSQIDYSTLDKTQFIDFIEIFNHIELKQKVQWFLPLSSKRNNSSNYYVEEM KSAEYKNIVDQCKDSSIFQKTTIFLEDIILQYFQIEQFPIPQIQFIKYMHDNIWIVKLGQ SDVISLLQFFQIKHGEQIHLQKYTDNHEGILYTESKTYYRMVNLVDQIDDFYIKGYCICI YNAFEPRSFLQVLKYRKTYGIPYSEAEIKQFLHAALNLLKVADFKSLSSRNIFLIRGEYH ILNSMTKNHSFFELGKIVLSMIYLEEIDDIKPYFEKLQHPLKWLINELLFLEDNLQKLQD FIQKQYCFTDIKFEIEQQQKQQQTQGLNYQAFTWSMLHKVNLNYRMKQFNDTLHLTQEFE DYIRKEVFEIHANTFSYFCIHLIEDLNSYLLSSHSLKRNLDLILIYYYQIATKLQLKYDV SKQLNYIIENLKQLSFELKIIIKQLTSNINVANLNQTEQCIILKTIQGDTDQFSQLLSKS RQSLLQQLRKNLNLIDNILVFEQQVNRFLNQFYALFTLQQYFLEHYEFAKLTISTVIETQ QKIMHKQKLPEILSEAQELSPSQFNFDQLSPGMEREEQEIIQEDIWVFSIHQEIKQNPLY AIQYIYYLYLQVIILHDCKNELFIIKQQEFIDYNFKDIPSYTYFQQLISSLKIDFEINSK NEQFYQEESKCELGQYNVLWIKAKIENKQYEQSQLEESTNLEFNWRQIFSYNNLKCSAKN KRVLLYILNQPSTNEQMKILLNIRVIHFLLKLCDYCPTNQKLRINILNKEQLGEQNLENQ SKILQLQSLHKQYAQGVRIDYFSNQNNESSSWLFHQGLLPLRIFIYQSYCYTFSKRHFEN ITTYINYKEDIFTQISQLMIQGDSIFYKAKNYQILQIPIDNMLINIQSDYFSYHQYFLTQ TRIMLQQKKKLMDIYKSFEQYMNEMFKNSVFILGLIQIYYEFEEIDMANLMISFTKKLIE NNAIILNDQHEGFLKDLHIVEAVIKQKGQQLIKSPYNRLYHRNDFIDYFDDETLNARYFL FNLPYYFNIKFSQSIMDDFLVVNFLKLSPTLQQIFKTAHCSVKAECGDEEILTQLKIVSE YKFIEGCLTWAFHNHFMCRYYLYMIDMEKAYDYSILLLSYLFQLDLQQGFYIKENKIGYK YQYTCVQSEKNIIKKICQPKPILITESIEDDLFDNVIDEFFIMECIINHLEILSKSKFQI PSLSILLQFQLKLQIQRHKIQFWNVLAHFLQRIAKENLIPDILSQLQEVKKERLQELKEI KKQIKKKRNAKILLREITQQEFDEFDYQNNLITFQFNIYKLDENSNDKLFILFSCQAADR ALTGYSNQCRDKTKTNKFQMSQLKLLLVQNYIWLNQIGNATTTLSDVEDLMVEWFGKIKH PLKGLYFYNFGLIKISFYQQILKTLEIFIEANAFNLMEMRLIIQGLTVMKPLLWRHIDMF NAGQIQSLIPFFKNYMLEVGDESIFDCPKTHYSVNDAVIILDGVLQSNMAYDLSGITEFI DAENCFKLFETDNFLTKEISNLIFKIQNEKLKKQGT >CAK78742 pep:novel supercontig:GCA_000165425.1:CT868318:312958:315761:1 gene:GSPATT00001783001 transcript:CAK78742 MARAPEEGLSLPALNKEIDQYSTDPQFIQQIEKHFQDVVRDFSGDPDLELFKIKYETLYN SLKESYENEVRWTKKCKEHNSEIVIYTSKVQMSLKMINDDNQQSESFQKELEEKWRKIED LKIKEKELKERIVQAKIEVENLKKQCDRVVEDIEEQSQIQLNDKKRLIDEIKKNFQSAKS KFEYLEQQNKNLKHDLDNEEKQYQDQIQKISDLEDKIAKIDADSKEQEKRKKKKEVKMAE IKQKIDLAKKEIEEKQSRIHDIKKQIVSTNNNILIKIKEKESQHTKQKEAEDKFAAAQKD RENQGEQLRSYKEQIKIQQEQLEKLQIEIQKEKKEVKKTHKEQEITAKEKNRIIKEKEEQ LIEKNTKEMLIETEKIELQQKKDVLDKINDQNSQRIKSRTQQQKTLKKQEDVQHQTDEEI VNKVNKLKKVENQVMGYEASNERINKMILQLQREQEKYGIEASQAHAKFYQTVEEVKIKN NQIAEQQKQIAEAEARLKHQQQLYETVRSDRNLYSKNLLESHNEIQELTKKYTRMKHQVD QLKEEIKTKDQQLVKEDLEFHKVEEENAKIEQDKAKVEKNIKADEDLIKNQESHISRLKN IIQGAQTEKQRQQKDYEMVVNERDILGTQLIKRNQELQVLYEKIKLNQSSLSKGEINFRE REIELKSLKDELTNLRNELKSTQDQTACIDELRKEINNIEKELLNEKNKVKALSDELENP MNVHRWRKLEATDQENFERILKIQTLQRRLIAKTEEVNEKENLIKEKEKLFMELKNILSR QPGVEIHQQLAQYKESLKENAAKMKTTLSQLKQSQDYVDMLKFEIDRMKGDLQEMKKTYF SMRKAKDNDLLQNDQNGEQDMPQNNGGANQNDLQSYALFGVNANRIGN >CAK78743 pep:novel supercontig:GCA_000165425.1:CT868318:315799:316367:1 gene:GSPATT00001784001 transcript:CAK78743 MCLPFDNKKPNTFQGKRSVFQLQLIAFIQLALLFARQVAQFELGELFEIFFIILLWSAWS SLGSCQCLFYIVITLYYILLKGLYFATRFQNELPLLGETGREKILAVLHLATLFFYIIAQ YIVFLAYKEFVALEMEKDYTVEEPERRPLQQNERTQQPIVVKNSAFTGKGITIG >CAK78744 pep:novel supercontig:GCA_000165425.1:CT868318:316442:318416:-1 gene:GSPATT00001785001 transcript:CAK78744 MLIRQLYSINTFQGNIKLIVLSVLLMAILFLCLIFVKMQIIQQNYNVLQTNINYVTFPET LNFYFIKTAYISWMQLTQELELVKYSEFIQKQYEQELQSMKEIIDIKLNELYQGIIQYED QIITNDLQLININEYEAQSQQLDLTQLIQLIQIHAFNFIDKFNNITSYKDVLFFRLNLPY IYQFAFKYITLLNENLIYYQDKIINDVLQITMIILGIVTGIILYVTFQIQNVTMYEKQIL MLISRVSYKAAEETIDKLLDIKSVLSEPTQLVWKKINFFDLNYEGKENNNDQNKMIVAKS LKTTSASRSNYQNTKTKSKSKRNYQSNSLAQRIYDLSLVNRMNYFYLIVAWLIFCLFIVG SILVTVNQVSDIKPTLNLNLQLIRFKFRFDSLIVYSEVIKSQKIIEQYLQNQYKNLDMQV DVILDLFNQLYNGFQDSMKQIYDSLIGNSGLMETQKMELLMYFEQSLCNFMSSEIPFCNI QIQSDVNFTVPKSFIDQYGQPVAEDNNYEYVSGGIINMVQEFSKTLQMYYYTELVNKNLS TDSAHEISQYLKSKVHTTQQVEYFLDTGKLLSTVGYNLFEQNQSKLNQATTLTQIYVYLT GLSVFLIFILMSYKWIKQITARLQLMRLSLTLIPYDILLEPKTISSLKQL >CAK78745 pep:novel supercontig:GCA_000165425.1:CT868318:318490:322216:-1 gene:GSPATT00001786001 transcript:CAK78745 MEKLKKFFRGYMQTMLYGIEIRPKYKVQIMILDQMDYSFYIILHTINQFQLLAIIFQTSY DKRYSDFKLDFIQSFLEFFLVNSLLKQSLSSSLAIIIITISFLSQLILFLFLCFSTLIRL YTANLIKNSDKGTLNSNIQNWVIQFNELLHWIFVTYPVIYLQIAVLSFSTLSCNSLSLIP FKQCEIIPLLQVLSIIQLLISFFNGQILIYTMRNHRFNEINSLKRRYSSLLLFNNTIVLA IIFFHYNYEFFTLSDAIKYALANLFTINQIIDQLNNYPYRDPIRLPAITMTFIQFWIVVV LTIYRFSDKIDENQLFFLMAIPLPLIYVIGQGLALQFHYYAIINSNTNTQIKEKHLIITA DYFYKLYLDYNKSQECQIKFQQYIRTHKYFCKNKKCYSQDFKFRQVDLDQKKDLHQLSLS IIKCIFKTAQQWLFSLANQNQDLQFEQLQLQYISFVSDIVSKPLLGYLELRKYQNSKNNN NSIYFIEITNKLADQLQVQILDNQQRQTSKDVLIQEQKSQLIEISLQQQWWTQNLYESLL KDYIYLLNLKVEHWSNLMIGYQSLNPFQQNTQQLCAKIQQLKMQLEKYIGVPEEWFDLKV TTSKGHQVKKLSNAQLNINCITLKLYSLFYSIMMNDYDRSFYIEQYVKDLTSNDRQKEID IIDNFQLLNDRTTIILVSLVKNKGKIVNKNQLALANFFQYADSNNFKDNVSNIHKLLPKS IMPAHELLIDQYMQRGYSQFFVKKISGYYENAKGFIQKSYIKLGNLFEELDDYVITASML KCNLSNQTILFDIEGKIIGISEKLYDLIKKINPQIQIDFFKEFCRVFLIFPSILSSLKQL EQSNKEKLDEFILDNEDTLLYIPFNLIELNSLFIKEQYDKFGQKVDFGLESENLSSWRSW KSLTKSLYSQDNNQDKNHLYKITDLENIDFSLQFMQIHKELFQQFTILKTKVRLLQQQLK VKSFQYNFFVLELDHITEINSENKHFNKYPSINKIIEFQLNAGQTVVFNKTYPDSHIDSL DRSINNFNINQQLYDQKYIKELRKQQKSQFQNEKSKQSMYNEVKSKEYEDRINDEYNNLS LTIQRDKGPQLWQIPLQKIDQDDEFEFQMIDGHFRQFEQDQELQQQQLQQSSNLRNLSKD KDESQIEIDQNQAKNSSDHDNEVVKADLMEILQINRQLKKKNKQFSQSILQ >CAK78746 pep:novel supercontig:GCA_000165425.1:CT868318:323981:324750:1 gene:GSPATT00001787001 transcript:CAK78746 MNMTVKLIRKSKKQYIHSLKHGNESNKEQSGLQQLPNESFQSKQNVENLVYDNDSSLQSS SYNNSVGDITENFESVYSHKLMNKNHQQITKASNDSKNKQIRYTVKREKDMKRKKQKNRG KQFSQEEDQRLLNYILKKGPKFHKFARYFPGKSTNMLKNRYYKSLRFEWDQVLGSQYSYM NAPSEEITPIIQQESPVFMFDELIQLRLFPEAEDLMSNFIGNLSQTFNDLHSSFPSEDY >CAK78747 pep:novel supercontig:GCA_000165425.1:CT868318:325717:325923:-1 gene:GSPATT00001788001 transcript:CAK78747 MGCTSTKLKYKRDLCLLNVPIQQGRNMCKEEGITSREYKKTSSQNRTQYQQQIIIEGSES PQKQEQNQ >CAK78748 pep:novel supercontig:GCA_000165425.1:CT868318:326236:327447:-1 gene:GSPATT00001789001 transcript:CAK78748 MQTDELKKKYILQKILGYGQYGTVYRGVNLRTKETVAIKELRHSNADQGINVQALREIEI LKSVKCEQIVALKDLACGQNKTYLIMEYMEEDLLTALRRDTFSEQQVKLIMFQVLQGLAY LHKQGIVHRDIKPNNILYRNLEIKICDLGMAQNLNKQKPQTTRIQNHSYRAPEVFLGQKY CSKVDVWSAGVLFIQLLFKSNPFKGPSEVASFNQILKFCGTPVEENWLGVTSLKNYSTLI TEDPKERTLHTLLEKKMPPLLVNLIDSMLILDPSQRITAQQALLHPYFHGMNIERCLNKI QASRKKIKTESQTQTEVFNYPNGNKIIVVSQISQKSKNCF >CAK78749 pep:novel supercontig:GCA_000165425.1:CT868318:328725:329525:-1 gene:GSPATT00001790001 transcript:CAK78749 MKKLSLAILLISITYAQLTFNALNKCTCGDLTTQQDCAQSQPICSWDSKASSCSTVSCSS ISDQTNCANNLKCMWNGKGCVDFTLCNQLTGANQADCLAKSKNCPQSDGKNCASEEALKS CSSYSNQTICDLTISSNGVCYWSNSGCSEVSSCAQLNSNSCSKVGNACLWNATLNNCTQA SCAQYGNNNTCTYYQTQLNKLIFQLCQWNAANSSCISATDTSALNINTCYNQTKYTYRWS SSSNKCDVCAGKIIYVLIMAIIMIMI >CAK78750 pep:novel supercontig:GCA_000165425.1:CT868318:330524:332700:-1 gene:GSPATT00001791001 transcript:CAK78750 MNFFDALPSYLEKIDQEQYRQEMVPEWLRLYLDYETLEHLMIVAGEFRHQKNSLKIVKPM KYYELVQNLEIKDKIDKDKSSLLLVLDQELQKIESFILYKYQDLLCKSQQLQEQIKLMQI QDQYHKRKKECLKQQFYELYMQQLQFQSFIQLHTRIMQQLQYEVQYCFKIDILVKDRAIL DQLNLKLDDDKSKTHQLLSFNFYPYDPDTCRKNLEKYSAKKKAGSTNIYLFGLFFGVSVV VITILLLMRLEGMLDPENEELFSPIFPSIRGGGLLLIYYWLLTLDQYIWIKYQINYKLYL GFNHHFSTLTEVIKRVSFLSTIYLLLFLITCIQVEEIAFKDYKQIVKILPLLYWVIFFGY LLIPTIKKFNGQGRRWMYRMLKGALFTHFLSYDARYTFVLDQFVSLFSPIRDLEYTICYY SNDLFNDNEEIIHYKECESGQRIVGDICLVVVFSLKCLHCLTLAKKNGKFYNTLEMWNFL KNLLAVSVGIVGCLNKFDKTDAILWIILAGTFTILQQYWEIKNDWLFLQPDSKFKFLRSD LAFINPHFYYFLIILNMFVISAWTFTISPQMYLYLKIPNQQLFIMIVGIMELTRRFIHNL IKVEKEHILNLRRFRSSKDLVYPFEQKGEILAKVRQSNAYNISVLEFQRLSQEFTRNTQL NFNKQAIEILLQQPYDQQIMKMNSSIDRKKIRQQLQTEYYIKLINQYS >CAK78751 pep:novel supercontig:GCA_000165425.1:CT868318:334090:334840:1 gene:GSPATT00001792001 transcript:CAK78751 MNYSTILLIGLVVSINGAITYKIGSEVCSCDTFSTSADCGQLASSCEWVSNECQDINCTT KTTIGDCNKNGCAFTTASKCEKKTSCANYKYDSTTGCNNIGCDAGTKGTDNLYPCKDFAA TTSYIKDCGTYTAETDCAAASCKWSTKCVPKTCADLTQQTCTNIRGEVYTNRTLCTWDAT KSVCADATTGLTKSQCLSYTFGTYSWNEDSSVCEECSSSYGELIITSVVLINLFL >CAK78752 pep:novel supercontig:GCA_000165425.1:CT868318:334872:336749:-1 gene:GSPATT00001793001 transcript:CAK78752 MSFYELIEKFYDPQICQQIQDPRQLLINIASSQILKDKKYYADQDRTRDELFQSLLQIAD KDPEFILQVAYYTRNRLLVRATSNFILAFATSYDACKPYCRKYFNYIVKLPTDLIEVAEY SHLMRYYLKLEWQGYQNGYQRCIPYSINCRKELNFSKMLQKCGANKFPQFTIHQLAKYCS DRRRKRIIYRFSELVDPTLFMKRYHKRGLKLKFQQLAEKKLKKKVIIKQDRKKARQIQKQ IQKKLKYSKKQRVVKSLERKFISMKDLIQMFHISQPKYNVMCILRCRYPLNQDEFKQLFP QDDRVFEQQKCGKRMKLPKIVTWERELSQQNNDKVWDKLIKENRITFLATIRNLRNILSG YLSPKAFSLLQQYLSNPQIIQQNKVTMLQYYKTLEVLIQMKNDRANLIYKLISNAINMAV NAIPTIPGKTHIFVDVTGSMSYELGNKSLQTVAFVISQVLRIKCEYMEFYIFGGQKNNEP YLRVNFTDEDLYESVKKCDMVRKQIGNYYAMIGQTIEDLLYQPKIFCDNIVIISDMAVQK CFRKDNVSFEYLLNSYITEVNPKVKIFFMDINGSGISIGAKQNCHFLSGASERILEYITN QYLDQVQEVISFANTLGNQQILQIE >CAK78753 pep:novel supercontig:GCA_000165425.1:CT868318:337222:339107:1 gene:GSPATT00001794001 transcript:CAK78753 MIKKQISSIQQVVKTGTQIVEYSWFQHHQVFSEPPISNWKQTIMDNFEWFLILMVLVYCV TFIFMYKNFSQKSHKIIVNVRKESATSDELQNSVRLGTEQNEKQKKDQTSSTESSPRNIQ NKPKNNTLVLTDQAFNLKGNKVSESREKIISWERHQEENMITQIETKKVRIKASDDHLQF VTSQFGDLNQKNAKGVHMIEQDNGDGKAERIIHILTDWSRYCETGKFQKLYTLPKLIGKG AFGEVYKSQKIIDLKEYAVKRIYFKVQNEKTLRDHPIFREIGSLQEINHKNVVRYYTSWI EELTKDNLADIAKLHKIVDDQKQAKLNEVQNSYQPQLMDDMSSINNYVQFIGGNDADNEQ QIQTISKQSKNLVSFHLSDCSSHIQSQMRRYHFNPNQKDEQYQLFTLYIEMELCDYTLRD FIDKVDRKKDYPLIKSIFIQILEGIIYMHTNQYIHRDLKPQNIFINSKNEVKIGDLGLCN SLIIKMDDEFTSSSGEYTNNVGTPLYMAPEVKDDLYGSAADIYPLGIILFEMLWEIKTHY EKNRLIQSLTKDSILPPDLFKNHPVEAELILKMVSKNPNKRPTAQQVLDSLNKQ >CAK78754 pep:novel supercontig:GCA_000165425.1:CT868318:339123:340961:-1 gene:GSPATT00001795001 transcript:CAK78754 MLEITRQDQDKGIGECWIFVEIRLEEVCAKDIKKEFGLKQKFVLDGEVHSYQWINNFRIL LHKGEYKKGQKIGVWNIYKEGSDTIIGGGNYIQDQKDGKWTDVEIYCGYYSTKYKQGFDF RTLIYTGDYNLDKRIGKWVISEQQEGVIGGGLYSRQGEKESDWVEVEMQVGWRCFVQAYK LSKRIIISEGEYSSGKRSGLWITKLYKGNQLGGGCYNKYGFKEGGWKEVEVHVGVGKYLT LFHIGEYRSGIRTGNWVVQNEQREVVSSGFYTKSGSKDGEWVEISAHDGIEDTITLLHIG EYNHGVRVGRWNIEKVNKELIGGGSYSLSGIKEGQWQEVICYIGYNSEVVLQYNGLYSNG VRQGKWAITKVGGEFIGGGQYSDFGTKMGKWIDVDVRVGGGCSMQLQLQKKEFLNILEIM MMEYELENGRLLNMDLAQLSKYKNEIQAVGVDTPQKEQRLMGGQKQCHILDIAIQCITLV SFIYRILKYIGEYKNNTRVGSWSIMHQEEIGGGSYLVNGMKNGKWIEVFQQYFDSLTIMT GEYVNGNKLYNWEYVDKLLKDL >CAK78755 pep:novel supercontig:GCA_000165425.1:CT868318:341021:342316:-1 gene:GSPATT00001796001 transcript:CAK78755 MNAPKCKEKNHFEREITLFCLHPRCQQKWGCQKCLSSHHKSHTECCISVSELEDTVVSAK KKIRDIVEMKFSDAIIQLDEASKLLVKKIRDVINSVQRASDVIQDQIFKELELFDYLIDG FQSQSFTTSLSNEHLDRVIQLMMPEKLQQMADHKQSQINQLLQYSKSVLEQASKFTIYPL DEIALGIKLKEFQEGEYTLAVKEYNQNNIGICYLLTNGRLNKIGQYDNGLRSGMWSEVLY YNHNRDFSITFRGQYHQGLRVNNWFYYNQDQSVIGGGQFEGGQKIGLWVEPEVFIGKWGR IIVIHKGEYVNGVRHGEWATIFGNRGQVGGGAYLQGLKHGLWTDVEGYAGFWCSMGILSQ GEYVNGVKVGLWLISELNSGQMKWWRQLLIDWFKRRIVDGS >CAK78756 pep:novel supercontig:GCA_000165425.1:CT868318:343250:347744:1 gene:GSPATT00001797001 transcript:CAK78756 MLNADYIQALALQFSINAEQFILYDQHRDPIQKQFICQYWLRGTCCFTPDFCHFAHGIQY LEYKIDSLTNLKPLPSLEDVKLKYRIDGFPKQYFVVEKFQSHPKVKEELKQYHEYIGKYY DPDKVYTLREIKFERSIKPIILNTMYLLEIQNFYEKILEMLQVKIIPRAVFIHELNRVGY SFMGSHFARPKTLIFHLQNSGSCFSKTLRTSIVITGYDESILRKQNSLKVLKQGVVKQQN IEQNENQDDANKEDNDDQDDEEDDEMIGLEDPLETQEGEKDIIFLIKVDDKKELIKSFAQ KLSEILTAQPKLAKLTDMDDFQLAIDEIIKEENQKNFQNQQSIFPNLHQILTIQNQIWDE FAEEHGFQLIKFTNSRDSGIPISSIVKFFTPEQKLELTNYLQKPKIKYFLCQYWMRGICI FQKKDCRFAHGLEDLELNFDIARDFENLNQEQKPLRYNQKPLINHRTYQILFLQQYKMID YGALGLHQKKNIFQIDNLKEYRDKIREFIQREMILNLYKKISNGKPQNKGEIMKYYNLVG FIQRGIDLSELKIIKLLINNDAVFEKESIVKVHQIEQDGLSKKEKKKVTQKQILLIPVLD YSIYNTFYESIILQALIIVLKNKVELPVEESIVKKEIYKLDQVLEMPGLYQFFLRHPKKK YTLIDYFQENILGQVKNKLKDQIHPEVFEQISEDGFNLIQFEADIPQLMAIIQAIYGNTL SHNQIPINFDKLIKQIEMKASDNDKYNCLVNYLHKDKLHKFFSINGSLYAINQHGKVADK IKKCKCGKQYQAPAKIKQINDNMLIQKIMEIQEFIDKEVRNTIVVDSAEKLLLVQDFMSF DVNYIGIDLEGQLRKGDIWLVQMGVMVENLRIIFIFDLMKAKYLEADLVFHEQMLSVIRS ILEDEGICKVFHDCKRDSQALHINQICPRNIADTSSTYIFLESLKLNDQEQKKVKKNPVQ ISKEVLQVATPPINSVLTKYGAMHGGNELKEEMHAKFNNCKADDFFARPNPAFMYYSARD VEDLVQVYLQMEMLALEYRYIIEKMSNKNKEIKFRISDLMLPKMLDASFLYGEKRFLKKL NRQLDENNEINQNQEMTFEEVLSSNIDCREKIEKLVSDPNLQNDFLIKAHNINKDLKDFY YLPLVALTLDPPSYYPVDTIDIETIFQHFGQILKVINKDKIAYILMRSIVECWIGVKLLN NTPIELPGKFGTNQIHTLKVQLCFEDSSVHHIYQFNHRNLTEQGLTIQKTPDLFDNLEKD YKYISIFEIDVDVFQKDFNIKQRILGLKGSNIIRILYLVEKAFAFQSKENASTRQELDVK LLVDDQNLKIS >CAK78757 pep:novel supercontig:GCA_000165425.1:CT868318:347926:348180:-1 gene:GSPATT00001798001 transcript:CAK78757 MNKRANLGDNQKRLTRILNQIKELVNDKGESGQKISDINSNSQNQFSKEEMIKFIKMEYQ QLCQEVQNLQVYRKKTFGSPPRDK >CAK78758 pep:novel supercontig:GCA_000165425.1:CT868318:348764:357077:1 gene:GSPATT00001799001 transcript:CAK78758 MLRGGGACTSQTKQQSLSISSLQISNQFENVLSSNVLLLSKSAEDFNNNYSQLYNSLQIL LSLDQELYNLTKSRNQLNKQVESLEKWLTEVLESCLKLKNPQLRYLALQFAQKLSRTIFW YYCQSKSIKDRMQQQYISTLNKIETQNNASDSFYKNMIEYEIMVCKMIFVILPNDLQEGL EVMALFTSYNQILMTTLPKSTQDTIKSGVVQSIRDATKVQYRKIYKQIFNIDTMKWWVIQ ELRQSNHSAKEIITELHNFHKDISKSDYYVHYAWIQAVSDIVSYAPLISEDHIQIINSDL QDLIDMGCLQKIKNEYRVTFSYIKMKNQYCQQIYEKIPIFTMLKQIQSQIITQDKFFNSL YKKKEEQKESQKQELETKNVFEYLFQLFVEIDIEANIDLIKKANQSYHELNENITLIKQL SLNKWIRMLEKKSYDDPVVFGEFEEKQKQIKHLINQVKGIQRFLIHFDYILLQWNIMEQI ASSEGKQTQLQSIFLENQQNYLDCHLISKANLENLKDIQLLNINFDVYFSIITEFIEKQY QTVIKVVQTTRSTDPIISLYKQNKDITDIVQNSLRKVNDKIIQFKNAFMRISLKLKQVDY NCIQQFYLVSLRLQYLEFPNQQFEFRNQDHKTLFFIQGYEKQIIKSQLIELHKEFRELFA SSQFQDYEQAKVYIRSIQFISKTIDNLINQNKKIIQHIHNYKLSLQIDYAYDYYNDLINQ WNTMFQMAEELDYQKYDQSFIQIKYDELKKIYEYICQINTSIRWPVNPQLASYFKMQSQL EGLIQELQIYQSYNLSQDLNSQIRYQSIITNIKQICLSQVQKVKSILEQYTQGVDQLSNR LENQTNFQIEELQNLLLSVQEALDDTLNLVQSRLLTSNQNSTSGSINVLQSNKKQDFETF YNYKFSTETIIVMQFIKFNFNFIDQNAILEILKSDENELENLHIVQSAMESPIIRSIVIV NLMNVWNCCLVEEDFDTISKLILQIRINETNVLIKREIKSTYKKEFNQIVNNSLQGELAW FELDLKQMIQERNSLYFQIKYEPTFELSQKLEEKINKLTNQIQTRIQNFQELEQDYNISI PFSIKVHNLQNYKRLAFIKETQLLNYFYQGWIILLEKQKQPKLKILMLSLNAKTEAKIKL DYYFSQKEKRNSTMDRFLDDLQQDRLLLLQGESGSGKSLATKMIEEYVWKRNDPIKIIPI IIKLSELKDPINNAIIETLRSLNYKLNVSQIEQLQAHIRSNKMQMLFIFQGYDKLNQNQK SINFLKSNNLIQWNTPCLQNTPKYIVVSRSEQFKNPNHLRWIDQNEENYKIKNYWNLKIL PFDTDQINQFLIQFQEISIRNVVMDFYQLMCEFPHQDLLIYNFISFWKKINFNFNEQSLG NVLLSQPIINKLIITLQQDTNIKNFKGSITQQLSLQLGQLKSSYYYSRILETLQFDQSID NPQILKVFIYALPKLILNLSDLPKIKNQFFNVFFTQNYQSLCDISEATIDLENHWNQLVN SQFFKMYNLEYSVAKAKLIINQLFLNDKALINKLIQAFEKIQITHYDLYEEYMNYQFDKS VVNFELNEQGIDLTIFRQEQWNFSLNFAQQLAINELFSIKLNNQNSSKAIGSVSDLQIIL QDDIYQIKDTLISKRYLRNSIPLREQFSVYSFESKIIQEFLVAKVIIQQIEQVDHVPKNE LFDNTIIKMKNVSNPFYIGTVNFIVQKLKSNFHLKQKLMKMIQLSSSNVDYCQLSSNAFY LLMQLDGSIRGGNLMNVKLKDIVIEDCYLQDCNLSGSEWNNITVKNFSLIKNNMSNMTCK NLKLASFFIKNTLKSPLFVRIFQSGQIIIVYKHSIQDLFGNLIINGTFQNCQIYQIGDDF YITTENIFYLLRETNNKINSYQFDIQNVISVTQFDQYIMLLQLMAPSDAKIFQLNPIKQE IQFRIPILLQDIIKYNIKQFYDMQGNLIVFLTQTLQVQSMHYQIEGGQLSFDNLTFHTTL NNIERISSIKYYQDLDITVVTKEIVDAYQEYDVIYGTFNQLIGKFIVIENQKKQFLYLNN TNLQLISQETFKMISECELYETTLNLKKSQCYLMNHETMLLTFQDSFIILSLQPFEVIKQ IKIQANDLIINSKYNYIQILTECELLCIDITYAQDRQDKIINSAFQLDSVYFIQNSCNFL GKMSKSEDIYIVTLKKGIYDFKNLGQSDNSQMYLSNDENYAIFSKNKETICYDLKSNIKM NFVALLYDRILWIKDNQNFFYLQHGFIEEFRNSLITVKNKIINKQSSRQIKSAQSTEKRL FITTNTNETIVLNQKFQQEYVTTKYMIKKYREFYITNNDLRYESNVRNTITIIYDSKNKI KDFWIFTETILIREQEDNNEMSTLVLLRKLDNCILAQIQIQIDHIAYTDNMIQIISNRIL WLTYSAKDFYQLQSNILLVNQIQMSSNSYDQVAIVSAFSEITFWSTITYQVLQSLKNVEL IQYFKMIPNKDRQFYVADRDVLKLYNQNQVRFTIQLIKKRIQIVTNTWGAIHHIYQVEED KITLNSMNEDSKIINWKKVVFELDSNREQIDCIDNKDQKLIYFSGQKLQLSNLDSFDIIK SIPFPETFKAIQIKYNQDCSLIILRSNDKVIIIEALELKILNSISLNEIFPQFFEYASQL EIFIQGQSNLILKQIKDTQNLIYTYSLTSKRLDLITLSNNELMIGPNSQGLVIIDKKTGS IQLYHPIIIETQFNSISYIKTIEQNKSMLAKCQLFGNQLINCNITLNNQINISDIWRNIV >CAK78759 pep:novel supercontig:GCA_000165425.1:CT868318:358371:359657:-1 gene:GSPATT00001800001 transcript:CAK78759 MLNQKIKKKVEKQEKIHSRTDKPMRVLDMVQEYQEKRGEKEKYLVSGNIKNKYKVIMMKK IQLENERQKLQMTQTQQLQKQSLTKTQQMPLQKSKINKHLNIIQYKIYKIKYKVKQIKVI KIKTSKIKHKPSNQIKIPKEIVDYFRMKMEMQVRVQKHNQKINKVNATVFKQKIGLQAEF QGKVNDTGATGYLQACISLNGDCVVVLHKRDFQYQGKPLQPVKLQGTKAQRIFGTVILIM GYPLTIGADYNLSWGFTESLIKTESELGISENLYASLGVTAYGELNIIYVIKIRAGVEGH VFKGSATGVAQFKFNQTDNSIVPNRYMVYLDFQIEALTFDLYASWQHISLNWVRRCIGRR WWKICWYFPSISYSNWSDVFRKSFQLAKLQATQRIFQLASKCF >CAK78760 pep:novel supercontig:GCA_000165425.1:CT868318:359968:360962:-1 gene:GSPATT00001801001 transcript:CAK78760 MNQQNNSEEKQEIISDSSPGFQIQQSEQKNQGKKYFTTKCKWISLSVSFFVLSGIGIFLG IYLSQNKSSAPTLKKFQRDEFSQVDEICISHQSNGFYNCTQISMKTKRVVYDVNQKNSSS LLILTGLKVQTFQQNSEGTRQYEEMIDQNTEIEQKIKKLLRILQATSSTGGQNLCEGIST AECGNVNEVPLITVVTDQQTGSIQQIGVPYEVPNELLQPLVSNVIHIAPTVDPADSIGTD GKRLLKEDYTNAYFVGQEVFIPKISITTSWLGNTKITKKVTKSDKIDGSNMFEQSQETSL DNNNYLQFCII >CAK78761 pep:novel supercontig:GCA_000165425.1:CT868318:362364:362937:1 gene:GSPATT00001802001 transcript:CAK78761 MQQGRKMQEGKGKLQRCMIWQKGIQGQKFQRFEMQQNRQAEDAQKQNCNFQKKRDYHRNR NLYGCLLCKRRKILRNQEGDCCKICLEENQRQKFEIVNHIQTFKNWEIRTIQEELRQTEN VFLYQLLKSKISLKMKLMKLWQILESRFDQNAQANQEKIRQMLIDLIQKLKANQILNIVY >CAK78762 pep:novel supercontig:GCA_000165425.1:CT868318:364262:365488:1 gene:GSPATT00001803001 transcript:CAK78762 MLFTIDCINIKKSKKVLLTNEAEFPILVRIIENNSYTVIPSFSLLKSKERKNFQILHRLN TKPDSLIKIEAIEFDETKLDTFSVPPFWNERVKGSLKTLSQSLHLSESSSFVSYDRPPAM NQTFQDQNQVQNNTNVCQNKFHTKWLKQNSSIHDNQSDQFNSKQHINSQQSIQVIGSTKI AEENKFHQSYQQERKHSISSFESYGYISTTQTQQQILKMPKDLNNFLDQIDSSNSPFQIQ TPSQQQSQTHSYINIPDNAQQDVSISETTSIQRFGYRKLSFLQQSRLQFNEKPKLRVSQS FINHPRFTIQNQQILSKFKELENQLQKQITGLQINKGVLQQELKKLEFKVMFNNKNGNSY DQQHYFIWHILIASVLCLILGSFLKKIIPQF >CAK78763 pep:novel supercontig:GCA_000165425.1:CT868318:365627:366034:-1 gene:GSPATT00001804001 transcript:CAK78763 MRLFQKYSELDLRYPLQEPTLMTISAIEFFIMGPLCVWIALRIKYQRNEILTNYLIGITS AIQIMGTIFFVANEWSRNFKDVCQQSCFTYTFENIFYFWIFFVAANPVWIIVPLLCIRTA SINLQNKLEGKSKRA >CAK78764 pep:novel supercontig:GCA_000165425.1:CT868318:366339:367823:-1 gene:GSPATT00001805001 transcript:CAK78764 MIIILNKLKIKKFYAQLLQKVNEAHEVHVNLTELKKSCDSMKITGNRKEKDIENMRKEID QMTIQVDQYNSTQNNLLAKEKELQQKLEGQHKLLSESQYDRKIFEHTLNRMKKDQLIYQL RANQYERHLQLALQQYHSSTYKTQQIQEIYSKTLMALKDVTEGIQQQNKNREKNLQRFKD DLRQRQDIEQKRDDRIKRQQEIAEVAAKDIKDSALKKWRKLLLVHKFLNSFLKNKMQRGI AQYQNLEMTFQKIKASTGITDANDIVQKFLGREQTYAHLLISISDYEKKINALKNENQEL QTQYSNLKQQYTDMDKEFQVETYRQKEDLFEQDKLVQEVEEKATVAGLLENKLRNWMSRG LKKLGLQKNQGFQAIIDSVREKLVNLSTKDQQDLLNKSMLNAVSDIKDQEFLKRNVRVKP KKQLTQSHTNHSMDQSSIKDDEDMFDELPIKEDEEDELEEEKLIEQLREEIKVKNHKK >CAK78765 pep:novel supercontig:GCA_000165425.1:CT868318:367850:368014:-1 gene:GSPATT00001806001 transcript:CAK78765 MSAMELPDIRLYSNKSNTRQSKKSDYEKYRKLAVIKSVDKLIKKK >CAK78766 pep:novel supercontig:GCA_000165425.1:CT868318:368185:370069:1 gene:GSPATT00001807001 transcript:CAK78766 MDKSDTSDKQDKINNINQNSPRFLEALRQQNWKLIDVQNISIEQLKLEPKYSQYDNNILQ HICMQLQQQRDIKSKIVLTIRQKIIEEEINKSELRRIQKQQSEIITVEMQDQMKKKQLNH INLQMEYDVQLTQLNKMLSEKESSFKEQEFKLQNQTIEQQRKNQLLKEQREFHIEYQEFL RQQKAKEEEYQNKVKSGQFMTEALYKKKLKDFDRLKFQKEQQKQQIKEEREKQQQDRMQM MEKVYEEKIKKMVAKEIIHQKLIAKERTLYNQQLLEKQEKLQQRINENKKRLSKQNLDEQ KMNERKQIEAKSRLEAMKQEIEFLNKQKKKKFEKKQKQKEIYKKVNERIQEEKRQQLLDK FKEIEEKLEINQFYKDMDFQDLEKFREFTEITRMKVLRLTDDKLIEKTIYIQQRIKAQEE KVKQVLHQQEIEEKDKKFENIIRKIDQEENFMIQQRKREVEKEFIMNKIQQKKKKNELLI KQKQELEQNGNKNRKMNLMKKEEMKIKFKEEMNKELKQIQENQLKQQNVNQVLLLNIKTK EEFVMEEKIKLNREMNKLLDEEHEKVQKRMEMIQNAKGIEVDKLQEQFRIEKEQFDLLIE NKKTLYRELSREKLQLNSY >CAK78767 pep:novel supercontig:GCA_000165425.1:CT868318:370387:370877:1 gene:GSPATT00001808001 transcript:CAK78767 MQERYKEALLSPQFKDQDYDLSTQECRKFSSIWAAEFNTPETKYRSTIGANRIDISKDAN LLHFRNRTAKFEDEQNQLYIEIQNLYDKRQFDIPNLVETKILYKTETVPNRFIIKRKQNE PKQKSQFNDQVLIEKMHQANKQSLKNLFSHKF >CAK78768 pep:novel supercontig:GCA_000165425.1:CT868318:370988:374309:1 gene:GSPATT00001809001 transcript:CAK78768 MKYFPIQREEDCIKKILSLNIIKGFLEGYKANFLLRGILLLFLKIASVSFEYIPPFILTQ YEESAEKYLLISFALYVDFFTQKYYGVYLSEFQYLVNAKLSQKLFSQCLKVHNQTTFQGM LKELRQVEKAIRSFYDLVAIAFELPFVYHLLKNESLFDSIKYGVILSLFALLAASVAQIY AWRIQFFSDKRLRLTQDMLCGIKSIKYLQWENVMLNLISKVREQEFLGNKGIQYVDTFVT FFRRMTQIVLLFVAFYKLQELEKEQFYTILIFFDKLVSPINSFPWCFGEFLGSIFSVIKL YDYFKSTEVEAKLIKENTIEVSSIKKIRIFDRVINFIKGDSFNQATQTRFQVTFTFKEIK RNKLTCIIGQIGSGKSLLLEYFCDGSYVSQNSWLFTGTVRQNILFGQKFDEQRYQQCLKL AAIDFDDNKQVGFNGTNLSGGQKQRVTFCRALYYEAQSYYFDDIFSAVDENVADHMFKSI TTYLKGKTVVLVLNQLQYLQYVDFVIKIENNIATLEEMQNFQVGSVHFTPKPITDAIEPA QPEKEIDQETEQNLKENYQFYINALGLKTLLFFVVSSLLHQIMKGGFELWIHNNISNLKN FDKSEFLNVFLILSGLTLLRGFSYSYATIISAKNIFDLLMQKFIHLPTKFYDIFSSSFYI RRLFDDMNEIDDLPLNYFFTVSLEFLGLFILISSMQIEIIPYVIISGYLLIKNQMTHRKF IVSRQVEINRYFETQDFDSFIIETEKGHKFIKYFKQENKIQSQFQKILLYKYANALSQSQ LEYTLKLRNFIVESTVLVLLIITGNKLSYTYAYLLFGNQFLSKSVSSWRRITKVSQSLQR IKQIVSFPEEQKASIQEYKDNLIIYDNVWLSYGMAKGLASADYALKGVSFQISKGQKVAF CGRTGSGKSSLFNLLVSLYEFQKGQIYFFGNPISNYGTTQLRSTMSVIPQQGVILPGSIR QNIDPNQQFSNQEIEDIFKELEFQIDLNKDTQNLSNGEKQIVNFVQSILLNRGIILMDEA TSNMDIQTNNKIMKKLFNFVQNKTLLLISHRLENLQYFDKVYVMGEGQIQACGTYEELLN NDKFLELKSIL >CAK78769 pep:novel supercontig:GCA_000165425.1:CT868318:374322:378399:-1 gene:GSPATT00001810001 transcript:CAK78769 MFEPIFSFFGGLATKAKSKIIEKTLQPIIDYNFENIFEQKIAIKDLNKGITNVKLNTKYL NGMMKTNTLKEFSIKLIKLEKLQLLVSDVDLTLDLNTQIKQEEALESLEVEDIMNKSIQK QIEQLKNEFLNQNDDTFFQEEIITPPAQQNEQKQPSSKIVQILRIIDSIFGNIKIDIQNL RVKFTGKIKPDTESNISFRINKIDCTMQKPQNSNQILFLAFVDQIKLYLDENKQIGQINN AVKLDVFLTQNTEPKIKIQAEQLQIVASLSQIDQISSLFAQSAQVLEQRQTVLNEVLKLS SNDLRTGNLHNQQSEQLRKTINLNKYMLEEYFKKSYNLKYTFIQEIEESRIIVQDISDTK IQDFCSSVKQMNEQQEFLNFSFKGLILYITSTEVDQEFNYQQQYFKVNLENYYSISLYDI RAIKNNNKLNIFVNSAFIYELQKLDPRFKSIRDSVQQVEQDFDIIGDQKCLCKIILKTGK DQYSGQDLFKDGCEILIEEPTIFVSQIQFVSPIKLEIMDQTINMDMAPFNLILDQNQLKF IMSIVDIINDNQPKTKNQNISKGKFQLSKTKFSAYYHVNESQSIISSTLQPGNEDYVELK FFDFFITTPEDDKQQSSSYQYKNQFKFGCPRISGVDNQILINNLSGYFGSLLKISTERLK QLDEQNKPQILKYLKECKQRQALHFELELENVYLKHDQLDNVYTMIAQLNQVFNGNQKQQ QNNQKKQQNIYFSTKIKTIEATIFDTLIFCFKQFKYYFTIDYHFILLEDLNASFKNNPLL LLQNQVQDKWVFSLIMKQNRIKLEFSALKLNLLDFNFQILSSCIIRLIKSVQLMNPPQQP EGEKKQILTSFKQIIIDALPFYNEEVILQKKRQAKLRYSNEQYPSWTRSIFIINNTLITD NLIQIEEIQHYFRNNNTAIRNDELINLNQYQKIGQIQKIELQNNKVMKINSIQFFHISKQ IVVNLIDHFEHIAKDFKKDQVNEKKNYEKIDKIEMKATIFEIFCDQLNLTTESHSFELNI HYIQCKLGDKCCHLKLGRAYILDLHQQSKFKYMLDEEDLNEFEEAKNDLIKESPFLDLLM QFDQNSLVFKLQLKPVRICLSGYQFQILLKSFSKKEKEENSIFTNNNEEDDESFEIIKQG EPFNLQVELFPIQFIVSFDSEGLDAEGFKTQVLKLGSFNNLILATNQILHFYQQNSTSKQ EFIQFLISQQLSTFRIIFQAYSTLDITKVASSFTDGIINMFSKPFVSNNGFVINNMILQL YGLIEGSYDFTCGVTSAVLQLAALPASALNNVANYIGFGAISIPFSQLEDFCKKLYYKIN PEKGIPTRFFKDKYQ >CAK78770 pep:novel supercontig:GCA_000165425.1:CT868318:378735:379555:1 gene:GSPATT00001811001 transcript:CAK78770 MFIHIPAKDLYVSEPDPRYFGNPKNDDPKVNWLKSRFHFSFAEYRDPQNQQFGVLRVMND DLVQPNRGFGEHPHSNMEIVSYIVQGELTHGDSKGNKESLGRGSAQYMSAGSGVYHSEFN LSKNEVCRFIQIWIMPRQTHTKVQYKSYRGQDGQADNKWFHLVGDLQQNSQAPAKINQDA NIWTNEFTTEQRFEIKEGRQAYLLCVEGSFELNVDVCLPLQDVKSQLVNQHDGVKVFKSI ILKPKGKAHVLLIEMNK >CAK78771 pep:novel supercontig:GCA_000165425.1:CT868318:379619:383220:-1 gene:GSPATT00001812001 transcript:CAK78771 MICPLYLLDSINNCNERVIKRAYERLEGKQVQTKLNQESSRGVLIIEIISKDTIKFTKRS GKKDGQQISIKYLTDTSSLALLLLALCSYKLNDFNLSHLISYFGCSQETPSIDSQEFDQV EWLKLELEWISIQSLQKMNQLQECIAKIEIVLNQISKSKNKKTWAQLESILQQKKSYLQD YVDNKLKQFQMYTDEEKAALAKKLQQLLIKQEQDRYFEQEGYPAYLVSSQWIARFSLYTK YYELTKENPDQLKSFIAKKNNSMEDETFVEIFKQYCNQQTYEELERISNSTQNNSDIHIC PIYNDDLIDIQTQFEKDPLKAKSYSNYALNKSIRENQNFIFVSSQIWRLLYALFGGVEIK RIILCRSRHAVETHLFQVRCQLFPQVQQRIINLQFNGNERMEDLVNKVNRITNQKVRNIW KIPKEANQEELMKIQLIVGTKISRDNILEQAEIGPEEVLLLELEQDQYRLEKKENLQTIS IPNKCMSCGNQDSQLYLCECRSVKYCNETCQAQDLKNHQDICKKIIEKDSLINQLAKKYK VKPPAKIVKNSAKGICGLSNLGNTCFMNSSLQCLSNVTELTEYMIYNTFLQDLNEKNPLG TGGRLACNYAELLKELYESTSTSVAPWNVKKIIGQQAPQFNGYSQQDSQELLSYLLDGLH EDLNRIKKKPYIPDVEYKGQSDQEFADLYWDNHKKRNDSKITDLFTGQFKSRVECPECNF VSITFDPFVTISLPIPSKEFVQFQFYLIFRDSKQTPLKIQQTLQSTQTAGEIIEIISKLK NIKAEYLKFYSLQDSAIIDNGISSEQTIKQIKESQATTFLYEEFDEKIDKPISKYDSKIK SPYHQVLCNVRKFEENRLNQQNYRISFTRICYVDSQTTYQELHLIIYQIFRTHILQISDI TSNLNLNKEFEQFLKNIKKCIYKDEFEEYQELLKLKESIYQLQDDNNKLLPFTEKQIEGN GKPIILNAQFKLRAELLKLNRCVDAEFTLQLPNQSSMQAITLNDCLNQFCSEEVLGAGNE WYCSKCKKHQKAKKQMQIYKAPQIMIMHLKRFRSSRVTQFYGTYSVGGVTKIVQYVDFPV ENFNIQPFILEKESQQPYVYDLFAVSNHYGGMGGGHYTAYAKNPMYNAWFDFNDSQVKEL RSSPVTDAAYVLFYRRRKVK >CAK78772 pep:novel supercontig:GCA_000165425.1:CT868318:384342:386701:1 gene:GSPATT00001813001 transcript:CAK78772 MQRTQSNVSILNAWFNLEKALIDAQVPENEQVAIISRPPTSKMMRDYSNYHSQQRAQSLR PLTGLTRPSTAITMKKPVEYQEKTATIQQSQRKIFRKKVNQRPYKKYGFDNIHIQKEEQE GDISEEELNSSTVIHTLDVDQIIDLYNSKCKDRELEYQPEHGVLFIEKFKKLCIDEQFIC EDNQLGLESAKTIRDILLYNNHFSTLRLARNSIGDEGLVELLEMLKVNNNIVHLDLSSNN LTADGAFYLFNELVPLHSIISIELSSKDGLNRNKVSVKGCEPIETILKYNHPLQFLGLRG TSIQNAGFECILSGLQTNNTLTYLNVSNNDLNEEACNYMVQYISVCNLVELDLSYNPLGN NGMDYLSRIFDKGSFMLKKLNLAGCEIKSLGILKFFQSLSVNRFLEKLILDENHFSGNGV NEIENVLTSRIPLAYLSMAKCSINATGAEFIGNGVERNRTLKTLILRDNRLTDVGAECIF KGLFFEKLDLKRCNLTDKCVLGLCSMIKQSTQLTTLLLPDNGFHDSSGIVICEAIRVNSS ILNLDICENPLSYKYIDQINKVIETNRLKYELDRVPMYKREIVKLNNIIQQKPTLEKDQN ENQQKKARSLQTLEKTIEEKNRVKIEQIQKTTDMDARLIQIQQQSRQMDIDKIKFDNESN IYLIKMDDEMNEYQKKMSECHQVITRLEKEIRGLKDQRHVRKGQIDSRLEMLRQLNRAEE RALQRAEIEKKQLEERIKAMQGKESITSRRKTKQLSQIIQQKQ >CAK78773 pep:novel supercontig:GCA_000165425.1:CT868318:386783:388239:1 gene:GSPATT00001814001 transcript:CAK78773 MQLQIRIFFNIQYPFLILQLSSKVFKIRHWKNNFKKFIFGRVCKPLDHRLISQFIIDQRC SQFIFWDWVIDFKRLNLQYSQQYKQYSCQQSVEATNIQKDIDRTFSQHQYFKQIHNRQRL QRILIALSKIQEQIGYIQGFNQIAGCFLINGLNEQQTFWIMYYILKKMKYSTIFEDQFNE LKFLNFTVAVFLRNYVPFLSDEFLQNKIDIGIITTRWFLVIFGYDLPQQLLIQVWNMFLL KGIKILIRVSVAIFKLVSESQGIDDLYELLKENLFDLLETNVQLQQKLIEYFLTIKITNR LIRELRYKFETGDESLILSFDSDQKKHYWRKGADGARSLISSFNEIISEIQEEKDACFQR SQLFMNAFFPKLINKNYLESSEGKKNVSIKIEKQPQLIKGQIISQQFNLSIPQSANGDQV MIFNNQESNIYADELQSRMSPKEDESIELECTPEKIFKFSNKKR >CAK78774 pep:novel supercontig:GCA_000165425.1:CT868318:388718:389297:-1 gene:GSPATT00001815001 transcript:CAK78774 MFNPTGSKDKPLCMEFQKDNCIKPQCTLEHKYWPCPDYDKGFCYLGPSCDKLKLKHIVRK LCMDYVYGFCKDGPECKMQHVKLFDLNDRTQELKFTEKFYSKLKQEQATFKQSEINVKPV ICKSCQEVGHKSNVCKKTLRLSPNRKILCGICETEHTILEQGKKQDCQYKIPLSQQQQLF QQQSSQQ >CAK78775 pep:novel supercontig:GCA_000165425.1:CT868318:389330:391160:-1 gene:GSPATT00001816001 transcript:CAK78775 MNLSEESTITVENRRPRNKTETKFKSQSPQIKPQFTQSQLQHKKSKTKERVQQKQEQLPN VTRKLNPKSTSFSKKRNQFKPAPQDFGLKVNKQKQFKQYYVGWGNNEALVKRVMSKRTQW KETTDSSSMFVNFKWQQSERGYRYERLIVSQNYKQLVNHFEHHREISNKSYLIKNLSQYC EKHKLNVFDYTPLTFVIDFSDENCDQNITQFLKTYEQFAPKKPQAKQMLDVKRRLRGNFC NSYQRESSSQFQKIQMNNTFLSEDSTYMWLLKPTFLNRGRGIQIFDNLETLVKLVSEFQE GLKEKTLNQKDESSGEEDPPKQVQSAQGTKKDPNQYIRQQPSGPCIIKSQSFVIQKYIER PALINKRKFDIRVWGLVTQELDTYFFQEGYIRTSSEDFTYNIENTFVHLTNNAIQKYSKN YGEFEDGNQLSFKNYQEYLKSQNIVCNVEDLINKMKERIWMVFNSVRSKINFEDRKYCFE IFGFDFILDADQEVWLIEVNTNPCIEESSPLLKMYIPRMLDDAFKLTLDVLFPPQQQPHK QSLPSIYQLPQIKEEMHSDYPVIGYPNDENMWMLLGSLNDRKVKKKK >CAK78776 pep:novel supercontig:GCA_000165425.1:CT868318:391200:392737:-1 gene:GSPATT00001817001 transcript:CAK78776 MKRLVHRRREATQTTTCFSEHKTEQVEPKQQDDRLKNWNIMFGNFLGEGLVRQKKRNKHI DPAFLREAPMFLGNNVYSSPSVRGNFEKFIKGEISACEFLKLKNQSELLDRQRPNSPKKF KLFRIEQDDSNARNQPQKQKGFLKLLKDKSDDISKKSRIESRFGVLRNLIEHKIKLKEEE EIIKRSRELKNVGVIKQMYDISDEYIKLISRRYMEKKSNPIIQKNIVNGNQLKLIQHSNP YQTVKTATYENETKNKYDPPTQTSAIKFQINQKAKQYIDELQVRDFIQNEKAKKKIFLNS QYKKIVHQQSQSLHSLGNVKQIVPFIKQNYLKNQYINNDLELIKYITDFQVEDPFHDKEI QSKVKKSLLISAGIVPHNKIFKIPQKFHKQSYLNLKDGISQKSSRSIDDSLNSIISLQNK LLEKQHEGFSRTIRTIQKNETLKKEIIQQNVSKLQGLKRLKIGE >CAK78777 pep:novel supercontig:GCA_000165425.1:CT868318:392748:393547:1 gene:GSPATT00001818001 transcript:CAK78777 MIFNRRICKVIFHPTPRFCFFQPPQFDINKDYYKILNSQPTDQEQKIKLEYYKLAKKYHP DVNQGNEEKFKQINEAWNVLSDKDKKQQYDSARSYTNNNNETNNKTNQEQRQQQNHEDFF NQKGSNGFYQQYSQKSSNNSQQFSKRQMDEMQKQAQEYMNMFQNGQFSQMSQAFRQATKG DPKFNRINAFMNLAEMAGKFYSERQRSNMQNEKNRQQNVSSFQDKEDQLKDSLKNIKNGI KGLWDQFTKK >CAK78778 pep:novel supercontig:GCA_000165425.1:CT868318:393759:397008:-1 gene:GSPATT00001819001 transcript:CAK78778 MSKVQFMDQLLRDFREVSAVQNKSSVNESFLKEESTGPQIILTNNKNRLKLKEYSKKLSH YKQEMVNVSNQIDLEYARFKESKISMATIITREINKLQKQDEDQIKEMLTFVRKLIEFNQ KITLEIENVFENQQTVSVQEVEAAAQVFQEVEQQVLALKEKRLDLGSMDFKLLSMNEYNR MTLQLQEQKLNSQEQQNNLFRNEQKINLMLSQQLEEKNQIIQQLQNNSSWEQWRDLEQMI FNQLERFRIPQPKSNGNLFNYVFDHLDQMLYNQECEIKDLQNQIFKYQKEIDEIKQENEI IIKENKQIQNQVKQRIDEKHNEKLQLQDEMKNILNNSIEIEKENQVYNNQLQIAIRICIR NYRNTRMMWMNQMTSELNQRINVKNIYQSLNRLNKQNNELESILYQSKNDESDEIKQYIQ LSKSQSSRIQQLETELNSTQLQHSKLLDQQKESDNLLKQLRDQVQDLQKQISCQETEIKK QLKLNDVLQKQLKESYELSNTQNSDLREIDEDIKYQIKYNEIQKEYKEYKVQTENRLKKV LTQSQELQQKVQIQDQEKLLESHKMIEKETTLKSENQILNQKINLLQEQLKQQELNYLNE IKVTKLALDQTQLQYQQQSQLFDKLKLQASQLEQAVLEKEALIQELQQELDSNSAQYEQQ ANEVQLEYNSIIEEKQSQNQQFQQTISNQKHEIMLLQRQIDQLEFQKQQDLLQYKIENDK KSLQFAKELEQVKDVLNSSMSFQQIKESEKSFQKKMQQVQETSNKSIVQTQQKYEQIIQD LVQQYDKQINYLQDQLNLQNRLDYQQTRNSQKPPRAQHKSPNCYTNSPASSIQQSFYREV AKFSKDLDDSQESNTQKRPTGIIKKNKHIFIEDDNNTSQEQASSVQNYSSSGRAQITDHA VQTEQQNEDIFPPFDHRICLRCKKNDMIAPNFCKFKEVNQFTDLSNSQGSASRLKSSRVL KEHIYGSNLSWRQRETNSVTKSNYFSIGEKTSQGVNTILSLVNIESTQIKRASSQRRNKR NSESLSISFSSKMDTNRSNQ >CAK78779 pep:novel supercontig:GCA_000165425.1:CT868318:397048:399665:1 gene:GSPATT00001820001 transcript:CAK78779 MQFVNCTPSPRKSPITMQGKQGKTYKQKKSMKSTSKQLEIPPISTQRTMKTTDQILSQRS PITGTTKTTKYTIETKRSMSPEELKSFRKSNKTQKNQEDTNNPKQRSPLSTEKKQHSKKM SIQQYMKDKKKSEQLKEKLLQQKVLNEQQKKLCNLQKLQLETKQIIQNAKKIKKKSSKKR MKCKKQILDQIIKRFEEISKRYEKVQLDQIDKFQQEVAKHQQSYKTQTEIESQINYNQED FDAFNEYYLHQAALLIQIHWKEHKKRKNQQNKKASQSKLQQISIDWEPQQLVSQQTSPSN FEDGFLFCQGGEKQVHISNIRSSIISEESSPIVSQLNQEFENWNLFTQRIVKNKDISSIN KQMQQQIISIVQTHLKKSENISERRSENEVLQEKSINKHQKQLSEDLSKFNVLQLQKQSQ EEENLVLNYREEVLYLRYDVEQKNTTGKDHQLLEEWLNRELEDLQNTRKAFEIGHRREIS TLKKIQRDILIASAELQSDPQDNLQIQQIQQQIDEQFNGLKVTRQNNNKLQEEIHQTVDL VTTEILNDVMMELTEEVLNKREDLYLLISNLVVNQDEPPCVPTSMVDISQYIDNLFKYIM ENYQQSLISNLSAPFGFTPKQRLRLIHGNEDDDIDNNKELQDQIAFPIDEAIFVEYENQR MPATDNDDEIGCAILELQHIHNKAIFDACNEVLNRFRPFYYNSGIKYPWEQKRNSLEKIL NTSLVHIMEEVKSTVLKQSKVLCGFYPTEDFEIIKQEKQEIIDEKNILMLMQQLNLVENP LLIDTNIQFDNLALIRDEQLYKLLIEDIKEQEYKWTLIEDDRAEYLMELSDQILENLIEE VSKEM >CAK78780 pep:novel supercontig:GCA_000165425.1:CT868318:399951:400880:1 gene:GSPATT00001821001 transcript:CAK78780 MRIIVFNFQEQIKIIIVCVMLTESLQINSGHSSMIHDIKYDYYGDKLASCGSDGYINVYD VSKKHQVAQIKTRDSPLFSLSWSHPRFGNVLAASSYDGEISIFKEQKEWNKVATYQNEGS VNCVQFMPRELFLACGTSDGFVILLDNNKNWDVDQKWQAHESIIHGLCWNQDGSLLATCS ADKLIKVWEFTINNKPQLKYTIQSHLDVVKDVQFHPLENNILVSGGDDGKLRIHRLDEDA LESQVIDFHMTIWRISFNMLGNLITVNGESNGQSNLKTLKQENNFQYSVIDCN >CAK78781 pep:novel supercontig:GCA_000165425.1:CT868318:400880:402272:-1 gene:GSPATT00001822001 transcript:CAK78781 MFRFHRIPKYFLSLHEYQTAEFFKSFQLPIPPGRICKTPDEAYKSAQKIIQEGSERNSFT DVVVKAQCHTGGRGKGYFKENGFNSGIHIASTPDDVKEYASKMLGNTLITKQTGSLGKKC EMVYVVERNFLRKELYLSILLDRNTGGLGIVASEKGGIHIEESDPNYIKKFSIEMPSNVD DIDFSIYEKVSKVYKLNPIQHNQMKDILKKMFDIFLQTDATLLEINPLGIDLQGNLIICD QKLNIDDNSQFRQHAIFHMEDVRQKDWKEVEAQKHGLNYIALDGNIGCMVNGAGLAMATM DLIKQYDGSPANFLDVGGKATDQEIVSALKIMDKDPNVEAILVNIFAGIARCDQIVLGLL KGLTVLGMKKPMVLRMKGTKIDEAKKLIEESGFNMMFTEDLDEAAKKAVRMAAILRLAKE ANINVNLTS >CAK78782 pep:novel supercontig:GCA_000165425.1:CT868318:402385:403570:-1 gene:GSPATT00001823001 transcript:CAK78782 MPSQFYDLRSNIQAQPLPFNYSIILRINTVNLRYQQIYQLLRAAELIEFILMIIQDDLQN YYISGVLFTNISVVTLQIIINFIQDLRTCFELQLVINYQQLIYQNLKDAKYLIFVELFLL TIVVKKQWNIVDGDIEIKFDMQIYILLLQTIIVRSRQAIKLKNRFLKSSFIISNIYQRIN IILNSIPMTCQKSISS >CAK78783 pep:novel supercontig:GCA_000165425.1:CT868318:403670:405519:1 gene:GSPATT00001824001 transcript:CAK78783 MLSTAAGFQSMLKEGARHYQGLEEAILKNIQACKEISNMTKTSLGPNGMKKMVVNHIDKI FVTSDAATILKEMEIQHPAAKMILMAAKMQETEQGDATNFVITLAGELLQQAESLIKLGL HPSQIVVGYETALKKALDLLDEQKVWEITDVAEEQQVFQAIRTSLSSKLSDYSNLIAGLV AKACIRCLPREKSNFDSEYVRVTKILGGSVLDSHVLSGLIVTRNVEGQINRLENPKICVF NAPLDPQQSETKGTVLIKNATELKNYTKTEEDLAEKIVKSIADAGVNLIVAGGSISEIVL HFVEKYKMMIVKVQSKFELKRLCKAVGASALSTLSAPMPDELGTCDRVHVQEIGSQKVTI FEKQSDTCKLATIVLRGATQNLLEDIERAIDDGVSCYRSLIKDSRFVYGGGATEIKLAQQ LEQEANKIKSIDQYAYRQYAQAFEIIPRILIDNAGLAQNEMMAQLHKLNSEKPHSLNISN GTLTPSSELKVFDHLKTKWWAIKLATDAAITILRVDQIIIAKPAGGPKMPDRGHWDDQD >CAK78784 pep:novel supercontig:GCA_000165425.1:CT868318:405630:406753:-1 gene:GSPATT00001825001 transcript:CAK78784 MQISFQCGVYSDLILADKREPLRIVTTQKIIKYSPSWTSSFDIGRFLQIYIEIQDEESGF IKVIGYAKLELNEIMGKESIYKIDLEYGNQVSIFLKCTNPNEIIRNSVQMQSQISWTKSL RTEPIVQKRYGSPQPCQSRARQEVKKVNLQDLQHVKNIQLPTQSMPNLSESRASLQKGRE SYNSNFTYAGYSVTNKCSQYQQTENTDNNIKFKQMIKELSLLLNVPQDCDSIVYAVQKVL QNFRQQSEQLIKIQCDHQILKTEYQTLYHLKNQIETNQEDFKMQIKQKLKNYKTLFEENV QLKKTLKEDLNKIVELNLKIKELKKDDAVLTEVNSLNQQIKFINQSVQQSQQDFAILVEE INLIKQ >CAK78785 pep:novel supercontig:GCA_000165425.1:CT868318:407077:408704:1 gene:GSPATT00001826001 transcript:CAK78785 MSSKTHQRILTFIKKYKDELSPTTGIDEFADLLNECFSFKQDASRREKVNLIVENLVLDD ESKLVEGDLQKYVERLIDFVEGPSDDPLEKNNLKQRSSSGSSDEEDNRGHNRQQNKHKRD RSRDREKNKKSKKNQKNTNILSELQKAIGKDMNIKDLLSSLTNTNNRKQQHNNNNKNVVF LQNVPREMRSYTEIEALLQGRGELIKIQLLGRVPSVQFKNHSDAQALIDKFIVHKGVKIE FTQSLNKQQQHQDDNKNHKIIILDKEGKKVSNQASQPAAPLQQTQQQQQQQQQQSQQQSQ QQQAVQKQDSKMEEETDQKKTKEEQELNNQIDQIKGQAKTLVVDKVRLALLLNKRKDNYS PQLSAEIDELKKQPLQLKLRMLTQKKEEISKMNSLEQLKAELQWLNENYDYTLLITQIPE QLFKEKTVTKVLNEEFQKYGKIDNLQIKIKDKAAHLKFFSFDSAEKAYYQANENSNFKVE FLNQGIKKVLIAQS >CAK78786 pep:novel supercontig:GCA_000165425.1:CT868318:408959:409945:1 gene:GSPATT00001827001 transcript:CAK78786 MLQKFGKEAYDLKFEKYFDLVDDTFALNPQTMAISQNGKYIFYRHGTYTINSQHTFINSQ SKVILSISGIIQACFSSDSKFLLFSERSNENKTYLCLLNCEEEQLVWKKEFNSGEFEKDS IFQIQFSYLNEFAIISTRFQRYFQISLDGTHKEMVLKQYQPMKYFDQLKFLDGESFIIGK IDQTQREKKYSYYFIYKQNRLIFSWKSVASKNQRFEIQNKFLIVLRDTYRFSLKLATTGK LIRSLLILQEESLNFIYYNNVFYQLGLLNVLKYDLSQGKKIQTNCQMPKKHQNELDHLRK DQNIIRVHTFDEGFVRRLEFFKLNQYYQ >CAK78787 pep:novel supercontig:GCA_000165425.1:CT868318:411909:412347:1 gene:GSPATT00001828001 transcript:CAK78787 MGCICSQQRRFNSQEQTLILESLTDIKDDDDIMIMQMKLIQPKFIYQQLNKEKSLKISSR FLGSKTPSEDGVHLNPKRKLKGILKQQQKFQKKRPNSFDQAKSVRFNLMQQGQELSGQNL VKLSKLVNQRTINKE >CAK78788 pep:novel supercontig:GCA_000165425.1:CT868318:412557:413627:1 gene:GSPATT00001829001 transcript:CAK78788 MMNRYSLYFKDIKLEDSYQKYWLDINRRPLLKRLVITTTLIQITDLIQIIATQQYELLNW NYVLVHYLSQLFLNIILILILIYKPKHVRTGLLVYNHFFATVFVIIEYQKATYSINYSKI VQLGIIGSQLIIILSSDFIQAAYQASVFPSVYIFIWSYGEESIYYPGFVAMLLSGLVILQ GLYDHQVALRSQFQLGFIDNQWENIFTQLISEQYLIFYFDNPTFSFQLSNSKNYIYKIDT TQQLKTYLRAIKLNKKQNFEQFCYEQIQNHTNFSKDDLKQNLSIVFQGKAQIIQYSIFYS ARPLILLQIISKDKYSYEDGNINQQKTLSLRLKTTNFKYKKFIYFIISAHLKKRCK >CAK78789 pep:novel supercontig:GCA_000165425.1:CT868318:414425:418919:1 gene:GSPATT00001830001 transcript:CAK78789 MNETYQNLVLQYFEKLKKVLPQKLNSYKETIHKFQGQDKSYFGDHIIAFKQGMQIKQSKI KELTLTTLITLVSKGYIDGGLQDNTENFQQSDQIEITDTAGKKREQPINVIESLIHLVQQ CLVEKDEIISLALKLLISLVMNPYCNMQYHELTKVIKTIVYIYAQSKSQNIDRLCKSIFL QIILITFQGSNSDTLVKITLDNVINKVSEIKQCQYCGKEGKFECRQNKVTVCSYRCKKYI QETLELKQKNYENAQNLFDLLIRLLSPKQNQIILLEGIYFICDNYDFSVEAQNIYNLSSY LLKFCLQNEQQLYSLSFKIFQRLTFSKHKEMINQINIFINQIYISVLTNKNTTDQHKQTT LESLWKIFQRKHASLEFYLNYDCSIKHEFLMENIINTLHSIFQQNEQFRPVITQIYQAII LGIESTFNEKAISNSQQEQQQPQDIDETVFINQLEMQRQQKQEIQKGVDLFKKNPEKGVS FLIKANILQDDPASIARFLIENKSLPKESVGQYLGGHHPINIQVLSEYTNFLKFHNIIDL FTLPPESQQIDRVVQKFADKFYEDNQSNAYFHFKSSSSIYTFTYLLVMLQTDLHNPKVVE KMKLTDFIKLARQINDGEDLPSEYLTVTYHSIQKNPLAVRESNTPMNSLTPNQYQKQMEE LLKKIKDLIKRQSNSNYIQIDQETILLSKGLFEQFSGKFLEILLVTYENTPNGDSLIKSI LQLIKLSSKLSMKIESLVQEVIKVGLNSLKKGSTMLMISLLSTIPTIGNSLHEQGWKCVL EAVSQMDEFRLLDSDHTEKVFMCSKDLDNSSIEEFILQLCQLSKQEIIQKHRIYSLQKLV EVSDYNMDRVKVIWNRLWSIVSQHIQETVSVRVKKITIVAVDSLKQLNMKFLSKEELYNI EFQREVLKPFELIYNNSDIEEKEFVLLCVKQILQNSKTYIKSGYKVIFNLINLGLKEEND TLSKLAFDLLRFIEIQELILIDLIQTYQILGKKDNENMAINSIDFVKQCQRFMITQEQQT LQVPLLGILSNLAGDKRIQIQTQAVETLFYILEEKGNLFNEEYWIMIFSSVLRPIFDEIQ FTLSTNPELNQYWFKDSCQKVFQNISSLIKKHYTKLKGQLPDFLKLFQNCIQNQNEKLAQ LSIQAFKTMIMEKGLQFEQKDWELILSFIQQMLKYTIPTKLRDIDQSRQKSLQTVTNNII NECYSQCAAQLLLIQTSRDICELYHQNWSLSQLDNLEVTFYESYQFAKLFNQQIEQRYNI WKSGFMQDMNVLPGLLRQEREAFSCMIMIIQFKIEILKQEQLKVHQQNQEQVNEELQIKE PYEVVLNRYLHILLDSIKQFNSKHIQFINQQQESGEDPLYKIRQYETERDIQNLRTLLDV SILPRILLLSDQEIKLKLNEFYEQLLNACSFVSEYCILQMGCQDCKKCVRCLNQQKNNLF FQIKKCLLKLFELK >CAK78790 pep:novel supercontig:GCA_000165425.1:CT868318:418938:419878:1 gene:GSPATT00001831001 transcript:CAK78790 MDQIFISGIAGGSAGIITDFIFFPIETIKTRIQASNNKVDYFKTAAKVNKYKGLLSQITV SFPSAFIFFSTYDTSKKYGCSHMIAGALGEFVTNIIRNPFEVVKNQMQVGLDASVRDTLR SIYYGQGFRGFYAGFTTIIMREIPFSAIQFPIYENMKIHFGNDGFVDHALNGAVAGGTAA FLTTPCDVVKSKLMTQRNQFYDSLRGCIKSIYETEGILGFFRAAHIRTMQISTSGIIFFS AYERYHDYSFLLRYSKSEQELRTFSNLSTKDICFPCNILYVLFSSTVSFSFIT >CAK78791 pep:novel supercontig:GCA_000165425.1:CT868318:420041:423084:-1 gene:GSPATT00001832001 transcript:CAK78791 MNNYYIEILHNFAKGRSIPIDFIKNLNKDPSNLYELFQMFAQMFEEYPESPDLIILTISL SQDVPTFYCVQSLNNLTVLLFQAHMIDLAKKVAKFNLKYFEQDIPQLHMISHLNLSRIYY FLQDFKKCLSITEKALVNYEPYVWLYFLIRSDFQGNKKFMQTQRYCSSSKWVLGFCQDLD FQQFFINGAKLGRKYFGPQHQITKLFLFSERGSNLKKSINNYIPMKKPMGKILNSSKVCF QVHQLLKTLKSGKTALFQNPRFIERSISEAQGFKSFKRPDSVKPQSYRHDLNQQQKIQQT NIHKGSFRIQSPKLVQSQPVQSQNDIEQIIQRKIDTFMKSQGQKKQEPKIVELEKKIDEL TKENKRIQNQRKEREVEIEELKDKITQLSAEALKIKFRLQEQETAQEKMKLLQQQQQQQQ ILQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQNSQISTQQHQSSEFQPGIMQERMSFG VASNQSQKIQSLQIRKQERQSTIDIHNLINGLVDTDEPKINSISMINDTDFTIDLNLTIL DQMDQSKQYNINLTTDQTIVKKALIDNVLYEISCGVVQKNKELSIKISINSKQEHSTAKI EYVELSIIKDLIQFIDYKNVLPYTQQLKCITTFKQFIQYLIIPFISIKEEEGEQKISIYA QPQSLLNDGDKIQLCDEQCIPQLYPLEDGIFRLILNTIALDLQFDQSSLDQFFDIYGQDD YEREEVQEMIRIQENLEKSNDTVKPKHKVYNIRSYIVKNQQKLTQFIQKLVNDLEELLYR IFNVQKFQQINKILSGTQITMPNKSQAKVQMCLIKIWDKNTFQRIIFIADNSREQCFELF LSNTYETYGPRQSKVKAMGHTQIQYDNVCQKLGLNVSNLDQADSLLICNILCNCYTLLTF TKELDADDQERFKENAILSVDLSCECNCRMFLSKFQNKISKPTQHVQNTIHNVLFGYTRQ TYRHKSIDL >CAK78792 pep:novel supercontig:GCA_000165425.1:CT868318:423097:424389:1 gene:GSPATT00001833001 transcript:CAK78792 MQQNPLQLLLSLLAAREEIIFMIRIIGHQMHEDCRNKLGTLREISDEIMRGEQKRENLEH YLFLNLTESNRKLFLPKVIQKYIKITQYYLVKTQIYFPKQGQVICQEVINQPNLRNSISQ ILQESLVTILKFICNLQKQKLKHELAQMFNYELEDRCNRNEKFYSEPLVLGVIGLRYLNE LHKKYCYSACRHSIRNVYDVLLLDDNTKQLYLQGGGFSSLISSITKLKDKSVDNTQSVYI PVESTVEIITQRYEKLLKEILDQNYHVQKSVNRYYDQYKKYKMKPLLIKGYATPKKQETD FKSQRIQKRSIESGPILSDMGRYSEPISRNPLTQDYLNSVRSKQAKCRTQKQSDIILSGF ISPKQQTIVRKHRSLKNYDPSLLKPTILQNFYDSNLRITHSIKKAG >CAK78793 pep:novel supercontig:GCA_000165425.1:CT868318:425047:426056:1 gene:GSPATT00001834001 transcript:CAK78793 MNPSRKQQSLQKSKTQNIQIVKMHIRSKHQEKTYSKAIHPSNLQTKPFSLKNLDLALPDN SISQSNTSFQDDKSCCCIDCGNLSKKVKNLQKKFTVFRTEMSILKRQRLVKRFQNAVRCI IFLKEKWKKIRQQERAKRLFRFKTKVFENQPFFQTQSPSNQAQLLFLNSQLQSEEKQAIN TQLQSIQVKIFDQKNKQRQSVKLYLQQKLNNKNDVQGLLPKLDHNRQNVSISSSIFRPIR ILKTLGDEKKSLQKEQNLSTQNPSVAQSPYLSHLTHLSGNKQQDSQFQIIRSPRQVIKSF ASETFFPQSTQKNDQRKLIAQKLKRID >CAK78794 pep:novel supercontig:GCA_000165425.1:CT868318:426316:427053:1 gene:GSPATT00001835001 transcript:CAK78794 MMLTVLKDIFYRMTIDYTLFKAQIQLIDSGQDGLTIYIVRIFLSLYLIILYFITNKLKKY NIHNLDLALTGLYYVSAFLTMFFVTFNNQQRFLISDNAEAYFFAFSAVNQLSLKFKYMFF YILLQQAAWFVQLLLHEHNNLLVFIVLSTIILVIVSHNNFELTIKNFNSAANENLKKSRE ILYQLIYCRLVFQQGSIRIAKLNWNYQMFQQMQLFCLLIQVDSQHTQQSLSRISCKNAQG IDDII >CAK78795 pep:novel supercontig:GCA_000165425.1:CT868318:427130:427558:1 gene:GSPATT00001836001 transcript:CAK78795 MEQRHPGQEAKNVLEMGLEMVEIIKRVRKEVNFKDLNMRIGIHTGQFYGGIIGTDIIRYD IFGIDAVIANKMESQGEAGKVMVSEDTIRLINMHFPGEYIFTPNKEVDIPVAKQKVQAYF ATKTYILNPQDNT >CAK78796 pep:novel supercontig:GCA_000165425.1:CT868318:427595:428462:-1 gene:GSPATT00001837001 transcript:CAK78796 MYQKFETTSFSQFRQQYFNNLREQSCLLAALEELCGGWTQETLKSILQKLTKKNQAPLPL FFDSSQAMDSISNKKQALATVFQQFDSRGIGRIDATELFSVMLLLSTGEISQIFYNIAVI FGSDKTNHITSDEFFFFIDCLFRGISKVLICKGENKPIGLNKRLNDQDINKFMQQIFKGQ QKVNKDELYASVKQSQQLFEFIEYISTSMQASMEYTRQQSLLMMKITMEVKKLMAQMLAQ IDGTAKK >CAK78797 pep:novel supercontig:GCA_000165425.1:CT868318:428746:429055:-1 gene:GSPATT00001838001 transcript:CAK78797 MRVLGLLALVLIVGSYIYYCSWLLLKPFLPEDHYSYYYFPDQKYVYYLPSLFLLLILAMV MSTLGYILINSEAFSKPQVPPWVKYGYQGPKISQQ >CAK78798 pep:novel supercontig:GCA_000165425.1:CT868318:429194:429591:-1 gene:GSPATT00001839001 transcript:CAK78798 MDPQLNAQYEITRKDLNEFMQECYQYCISEYKRGQEDVIKQMLKYAQQESNGDISKTNIN NLLNYLKQRRNQLLPNGNNTPQVENQSNHNTNKKTFVQVQQNEPQKFIVINHERLQRAFE ELK >CAK78799 pep:novel supercontig:GCA_000165425.1:CT868318:430220:431911:1 gene:GSPATT00001840001 transcript:CAK78799 MDSIDYGDAKFKFLGIRKHFFKDVAYHITVFDEIVIMGIVEIVNQNQTADCQNPKYRIKL TLDTKINWEISKNKQTLECFEFPYQNKKKVVHAQAKDLQRFKELLAGKVLFEGIGDLFQP LFQVGKGSSAKVYSARNVLDKEVYAVKAIEKSFLKLSENGNGMQAFQSEIQVLRTLSQYE HNFLVLSEIYEGDSTFYVVTSYLEGLSLSGELEKAKVLPIQSIKTIMKKLLTNLKVLHSH RIIHRDLKPDNLMFARKNDYSSLVLVDFGLATSELLDKYLFPKCGTPGYVAPEVLSTRSD SKYNCKVDIFSAGCIFYKLLTGRSLFLGQNFDEVLRSNRHCHIDLDIPLDGIHITDQSLD LLKKMLNKNAKNRVTAIQALQHPFIDSNSEQSTQAIQTTGQQVIKNAIYQLNLAELESKY NSQNDIGEEQNQKINDLKRYSFITEVQQQQMSMSAKRMSGQFSGTFYQKDPLSAVRTLKL YTETSQPIKNSSHYSQNSASQF >CAK78800 pep:novel supercontig:GCA_000165425.1:CT868318:431946:432676:-1 gene:GSPATT00001841001 transcript:CAK78800 MAHQLGVIQETYQDDLQELISKMECQNSIIDKRVPVLKKRRDLLLGALKDCREGETKLQD SKLSCSTALFSSIHDDTDQIQKLQDEIALKDQSIQELKDQVETLHQKLNRIQICNEEMQF ELQKQQQQQQAFQRGNKLSQSMIVPNLTTSTEKAQATLNKFVSPITSNSFKSIDNKEPVS KEDMRKMMRRISQQASASAYILAAKGDYTTLAAAQEELQSQKSIGSQKSLSNK >CAK78801 pep:novel supercontig:GCA_000165425.1:CT868318:433439:434638:1 gene:GSPATT00001842001 transcript:CAK78801 MQILSLLAILCLLTTIKAACELVNGACPSACNLVQGECVSLSCFDFTAKLGDSQTNPFKG TTAYVDGTVDLNITITYTDPKFTDTTLKGITKEENTESCLSLKLYNYQDSSKLVRTQSSY KINNINDGSSTRAYQFTIPSKDFVKQLQISDNGTSFIFHGYYSLDFSIGSSLQRVLYFDF LAGIERTSTNNLDTSFGSLSSKVQLDCQGSCQTTATSTLQFCADKACTQVTDKADLYLND EIWLKHTLTKSGTEVYYLTNPEIYFTGDKLFKKATIQQSKLNQQGYSLYLIKVEIAWRSV TIVAKATLSSTAGSTRILDEQSRLLQQNSQQTQGLTSEVDCVKDKDSNECIDCDESLPVD NYNDPQEDGCPTGSNSYLIFLSMILVALFI >CAK78802 pep:novel supercontig:GCA_000165425.1:CT868318:434696:436055:-1 gene:GSPATT00001843001 transcript:CAK78802 MENLHPAQEIIFNIVSQIQNHHDFINYMNSNSQKTKQGQWIQQYFKNEPNLPITHNTIRV VELQNEYIPIKQHFHHILVHKQNVPNLCGYHATFNLIQCVQSIKYRIPPLIYDIAAFWTY VRRTQDFLRQYRSKYQLDSTTWPWRDSDIESGDFERSYLKSCLHAKPLFKTTFQNEIVQD VKYLVTNDTIFFQYGNVINGYNERVALQKKFDQFKEFKPSKNEELIQTYMLGVTNHWISF LAYKCVLGTQFIVMDSRNRDFFLWNSQQIRDFLQQDQLERPNRGQKPLNQFYLDLYEQGM KDLQQIITLLISWITGQTKLESYVSNQKIRVFLNPLIELLEVSQEEYLNLKFTNENAANL YQILALWSDQYRITVSEFIGNAIDISQINKALFLKSIELAQSALEFQTKNGLWNQQKQSP LHSIIKCLKIINQSI >CAK78803 pep:novel supercontig:GCA_000165425.1:CT868318:436242:436978:1 gene:GSPATT00001844001 transcript:CAK78803 MIIYLILIQLTQGNWNVPIQYSSLCTCSQLSQPNLCSSSQFCQLNLDFECTDLLNYNCSY FNEQTVLYLCSQLDRCVLKDGKCIELNNCTELTGSTNQECIQQSNRCGTSQNNICQLTEC SSYLNQNDCNNSLFNIRFDNIGYGSVCYWNNQNSQCNNLLCENVSVDQCLQYTNLCQINT AAENCNFVWEALCYNGWHLSKCKQCLRVEQHTRLHLKHFIYLYLYT >CAK78804 pep:novel supercontig:GCA_000165425.1:CT868318:437067:438817:-1 gene:GSPATT00001845001 transcript:CAK78804 MIIYKIAFASIIFCFIYTIVAQIHDYHLTITKKLKTNYVPLMQTYMMDHTNYSKFKQSYN ESYLNMIEEPSYCIESDTLKLIHPDILLQDKYIMRELDKGNLQREIIKSRMFDSIQNIQP LQKPTTKFQHRIPPQLSYFYTFKPRLQDNYHFGQGLGCMFQKFNHIPGLYTLTSKNSLIY NYAKYIYDMKKRNASSYCIESASYVPDTLRLNVKSECQSFFKQLNKLQDEYKSNIRQYNG PDYLLKTDEHRGEGIRLLLQSQIENITTVYENGELCGNITEKIVAQKYINRPFLYKGHKI EFRMYIYLASSKPLQLYMYKRALIKRCANEYNPLSESIPAHICNTAITEKTHKNSSNSGE SQEEDEEMFIDWNLEGIEELLKEQGRIPKKQNWLQEYLYPRIYVKIIHTIRSGQYAFYQD SRFCEFLAIDFLLDNDLDIWLLEINYNPQILSVTPDRVRRNYKMIEDTMEIAFAYLKSKY KRIKQFLEDELMKYQYTGNRIRQVDFRNQFGKQFSQLLDDVSIDQEFSLSKDNLYAHIID ESKQGSEKYFNLIDAECI >CAK78805 pep:novel supercontig:GCA_000165425.1:CT868318:439181:439764:1 gene:GSPATT00001846001 transcript:CAK78805 MGNNHEKFFSKEKRKLLVLGLNGVGKSTLIKTLNQGNYQDKKEDLQIVDIKLKNITLCVF DMGGSERQRIFWRQNFYGSQGVIYVIDGNIDISKALLELEKIIIDSDLKDTPIAVFVNQN GKDNPLDRTEEFLKNKTRKVSVFKGSTLVYKDIMNCIEWLGKEMKPI >CAK78806 pep:novel supercontig:GCA_000165425.1:CT868318:439781:440870:1 gene:GSPATT00001847001 transcript:CAK78806 MFEDEDNENNENNVNVEESNYRDRAEERRKGITTQVKNGEFVELEGFEKDIKLNGLDYTL IQQPQSNTSNQENNKSSIIAKIKKDLTSAKEKIPKKATHPMAIKIEAFLQNKNQIVPLKN TMTYIYKYDIDPNYGVSMPQFFTKKYNKDGEDPIQVIYDEKSIQMIQESIFNTNNLSIKR YQERHKFDQKVLIQSVDQDDESDDIFNDARPINKPSVDVNKMLKVEIENENFDITEYLSK NQFDQKTYNQLKQIQEQDEKEREEKLRRHKKMLEGEADYYYECYQPVGLSIAEEKDHQAE VEVKKQVDPNFKNYDFIKQDLKKKKKHRQNYQKQLDQIEDIIQKKNK >CAK78807 pep:novel supercontig:GCA_000165425.1:CT868318:441076:441418:1 gene:GSPATT00001848001 transcript:CAK78807 MSLTKPIKEYRLIQLQNSLYKKKFEYKNKDKFLKILRISISDEHILKITNFEIDNLNTLE IPAESSITIHITLQASKNSNVYMKFIRYDTDQIEEELLFKIDLKQ >CAK78808 pep:novel supercontig:GCA_000165425.1:CT868318:441533:442286:-1 gene:GSPATT00001849001 transcript:CAK78808 MEVLCYKYFQQFIMNLDDTDFKTPQKQQLSKSPITINKQSSPKKAKEEIKKIKIIKKLEF NDDQLVIRQDGILGRSKRITSKENKVNSSYTLGLLNSIELNQNNVIVNKLVKPSDDELFN LSRDENIVCKCRKSKCIQNYCVCSANNQECSFKCECYNCSNKQPKSAISKQSGSEYLGCN CRKQDCSKGYCECQKRKIKCTSKCRCCDECKNCDIQPLPFHLDSLF >CAK78809 pep:novel supercontig:GCA_000165425.1:CT868318:443055:444687:-1 gene:GSPATT00001850001 transcript:CAK78809 MLYKNGQIIFEKVKQDGGFIKVYCQKELPQYLIEDNWVDMYKTKIISYEYNQIVNALLIQ KPHYCSVTEVLDIWNLDSLAWRTSQVYIISVPSEMTEILTLNFSLPQIKQSHGFTKVEVE TNMEGSRKIIQEMQVSQLIEKIQEEQSQNRTPYFTTFNLHKKAKEIKHIKNFLPKSIRPQ GKNDVLSYMRNEIPSINIPQFEIRYRCNWRSPSKDQMCFTQVNLNLGPNSCLWITIDKEN VAELRQKIIQIEKIDIFNEDKVWFKDADYFLLNNIPFRYFVQNEGDLVVLSPGTFSWQKC EGLCMSCSWNQATLDENSLKTIIDQEKILTELNFISRVPYRNLILDVVIHENSLDQTLLN YLRSELQQFIFEENQNLQSLNQKNAPVFQEKRENLFCTKCKRELFIYFYEEDQNCLCLIC LKEHQNINNSNIKQKYRFQCLNFLIGSQLSSCNIEFCSNYTGKTKCTIKVDEQQNYKNKV NKKGLIKPQSENNGKNKILEPLAENAMESSEEENPKRKNKKQDIYKGQKFLKQKKL >CAK78810 pep:novel supercontig:GCA_000165425.1:CT868318:445110:446335:1 gene:GSPATT00001851001 transcript:CAK78810 MKYFLQKMLLYYPNQNILGSQNKSIHVPEAGLIHYTTPFPVYQFFMKVGILMLDSMFDAV IISPIRIILSILTNNMISIEFRLDLIQTAMIWLITWLFTFNYFEVGFWYHIIRSQSQIKL YGIIQILDLLDRLACTQGDYIIRQLYWQNVYKNQSVFNRYLPYSFIYIFAHACLLGLQLT VYNVIFSQKVQLLYLALFVLSLIKLKGSVFKKQDEKSCITTAINDGREYFQKALYIILIS MSVKFTPVDFYYKIGFYFFIELLVDSFKYISIFHLNSVPINVLNEQTKSLSNFMYAVSIQ QDQIKELSLIDQKDLCIVLQNVPLAQAKIIGKFNIVIFPQVIIILKMLAYNYQKHKSSLD KEDYIYIGFIIFIMLSFTKMIIFFYHRNSQLYLHINKLKD >CAK78811 pep:novel supercontig:GCA_000165425.1:CT868318:446372:447287:-1 gene:GSPATT00001852001 transcript:CAK78811 MDLLGPSLEELFNKNQRKLSLKSVLMLADQLVQRIEYMHSKQFIHRDIKPDNFLVGVGSK SSRLYIVDFGLAKRYISKEGHIPFKEGKSLTGTARYASINTHVGLEQSRRDDLESLGYVL MYLLRGQLPWQNMKASNQKEKYQKIMEKKQETTPEQLCKGFPYELTQYLQYCRNLKFEDK PDYHYIRNLFKEAFRKQGFEWDYKFEWIKDEIIKEEKFIGVAIPQKTADIPTTTKQSNFQ TIRAPLLMQYQQKITQQPSQEKKRNSSYSKNNNQNKQMTGLLAPKINTNKDRNRKY >CAK78812 pep:novel supercontig:GCA_000165425.1:CT868318:447322:447595:-1 gene:GSPATT00001853001 transcript:CAK78812 MDSILGKDLTNYTIARQFKLIKKIGSGAFGEIYLVQSLSKSEYAMKLEKNQIIQLPLRTG LFIVQFKGRHSKNS >CAK78813 pep:novel supercontig:GCA_000165425.1:CT868318:447608:449237:1 gene:GSPATT00001854001 transcript:CAK78813 MIQSLGMQTKFHKQMEKMKGFLVSAHNISPINDDEPIRKTQTPLIGQQVQSISFSDDEMD VEDFKQIGVKSTNFDDEFTLGKKLGEGTNGVVRICWKKDNPQQQFAVKIIQTPDEEQLDI VRQAFVNSTIIKSPYIAKCYKLYIDINVIYMLMEYVPFPNLQTILQQRTQLKEQEVQRIA CSLLKSARCLHSCGICHRDIKPDNVLVNPNDYTVKLIDFGVSRRFVTFNNSTFRYMRNQM LTVTGNLHYRAPEIMGSHIYGYNQQVDIWAIGVIMYQSLTSVLPFTSDNTADIVALLSNR YSISFQKPQFLSLSSSCRDLIKRLMMWNPLKRLTASEALKHIWIPNMQTPQKPLCKKIKI VIFLINLQLIVQPFQILSYKILLSKDITKSQKSLGFSIKIKREKEIQDFKAKLKQFKLET STKIYKRNNSNQNNAIQLVGALVDDKMNNSIKLDDEDDIFGIKVCGSHHSLTQIDEPIQN EQQAQHNKLDPQLLEKLLEFNL >CAK78814 pep:novel supercontig:GCA_000165425.1:CT868318:449253:450005:1 gene:GSPATT00001855001 transcript:CAK78814 MNSSKTSRTVKAMHLFHLEQKNSNLHHYNLPTLRETAIPTILKPFRLSPTKQSFPTSRQN QDTGYRKLSIEKKVLQLRKEILNAKNIKQKQLFQSNKLQIKNSFSSVEKLLDKQPKPIQL FERKSNSKIKLFEIPITIQERQLQQSTLSTQITKIPILKLQEKQTSTMEDGINDIQMLPQ YQSSIKLPSEPDSPQIIKKLQSYQFTQRSVSQGNVEKPKKIEWTPWPQNFEGWHAPQADE DLLLQYQQYF >CAK78815 pep:novel supercontig:GCA_000165425.1:CT868318:450113:450647:-1 gene:GSPATT00001856001 transcript:CAK78815 MGKRLDKNLGDARTLGEDFKELAQIKIPILDIHQQIRPRHFALPTIPQCYLIVNYQDQSL DAPYIDNVTINLRFDVRLSFEDRINIIKQQKELKGGLIEKFQEKLKQRLAQMEQLLCPFK LNPKLQYVTARGIGTKSDFKDEPIKPKSERNKEDIRPPKEREACCIIQ >CAK78816 pep:novel supercontig:GCA_000165425.1:CT868318:450667:451305:-1 gene:GSPATT00001857001 transcript:CAK78816 MADQLNASFIKQSEDIIQSKKEEILQFFEQKGYKADSAFDDQLWFFPEINQDQEHILWKV ISDTIGQDQVYDENFASEATIETFVQKLLDQAKMIHLKILDFQKNIDYFKDELTNFDKFS KVIEANKPEYNQENALVISFVDQVNQISEMQKFYKLKVQSWNNSSKLSTTVSREFKQINL HFFGQYY >CAK78817 pep:novel supercontig:GCA_000165425.1:CT868318:451369:452134:-1 gene:GSPATT00001858001 transcript:CAK78817 MSEVNMDEETEKKLNEIFHSIKLEYDQSQEALKTIKKTNDLVGQVRNCKSLSTLFYHAKN LDYANKEFKTLKINNLNISLNQDIIIYTKEDGQCVAKTIEIVGVMRFQQYTPIIRVQWYY SKNNLKEIIGKYIGCIGERELFLSDQYDFIQPDTIISIAQVLDFKDFDKKELVDDFTFYC RSFYRNKQIIPPIQKWEKHCLCKLPLNPDQQYVLCDICQKWYHYECLGIKNIQQTTYVCN KCKKKK >CAK78818 pep:novel supercontig:GCA_000165425.1:CT868318:452265:453414:-1 gene:GSPATT00001859001 transcript:CAK78818 MSQIVQAKPKFYADFNKNQPKEYWDYDNFENEWGDNDQYEIARKIGRGKYSDVYEGIRYP QNQRVVIKVLKPVKKRKIKRETKILLSLKGHPNIIELSDIVKDPASKTPCLVFDYIDHVD FRSIFPKLTDIEIRFYLYELMKALDFCHSRGIMHRDVKPQNIIVNPQKKLLKLLDFGLAE YYHMGQDYNVRVASRYFKGPELLVDNVYYDYSLDIWSSGAMLASMIFKKEPFFQGNDNYD QLVVIAKVLGTEDLLAYVKKYRLKLDPVFDNKLGNYPKREWSKFINQDNKHLCSEEAIDL LSRMLLYDHALRITPKEALDHPYFLPVRDQKK >CAK78819 pep:novel supercontig:GCA_000165425.1:CT868318:453849:454150:1 gene:GSPATT00001860001 transcript:CAK78819 MINESLINQEPQHLYDYFPTNNSCPQADINQDESPIRQHYISSLCFQLRKKIKRQKNRPS LLKIEDSGRNVKLYSCSLFNNKEKPENDTE >CAK78820 pep:novel supercontig:GCA_000165425.1:CT868318:454897:456539:1 gene:GSPATT00001861001 transcript:CAK78820 MSSLIMYEDEAIDHYNEYLMSKRKEVTEIKNYKFNGKFNSKPTKAFIIKNKKIHYLTQSQ ILALVVLTFAVEYGFAIEMVFISPLFLKLDTPELLDSSIWLFPPVINLLLYPFIRYYSES KSHQLKNAMIYFIIVSIMGIGLLADTKSLYVDSINKKTSVLIISIVSFTVMDVGLEMLGF ACSALLEDYVNPKQTQKVQQYRTFVDSFGKFTGFLVSSVLAFNFIYLNFDNFIENLSFAY LVGLIMILIGFGMVLISFPRKGLDLVQQNHKMRFSINSFLPGITFISQLPKNMKIFLLSH FFTCGSQLFVSVYATLWSGITMLEEGPQQYNEAIRNIVFDIGISWGIVQMIYRGALALCM SAILHLLTTLFLKQLGNRYTSTIYMIVNALAGASLLYTWSVNEFYSIFITLPFWGVQTTV LSELPYKLANQLEDDQVRDTIKGLLPQMLNLTTFFSQAFMFFIIPLGFLLLSSVDDISVS MFLSGIFSLIGSFLVCFL >CAK78821 pep:novel supercontig:GCA_000165425.1:CT868318:456598:457563:1 gene:GSPATT00001862001 transcript:CAK78821 MTKILTKQLVMQKAKTDVMENIKSINLWGNDLEDISFISQLINLEIAQLASNKINTLKDV VKCSQLKDLNLRNNVISNIEELQLLKLLPNLKALNLLYNPVTQNHNYRYQVLKHAPQLEI LDEIAISQQERRQVQQEEEKENQIKQKVLKNHQKIKKIESKISIKKSQDKKQKSIVDKDF VQKLSQQQEVNKKLQEIDQFFNDQSQELQDSFIQDSQEINFSNENKNMQMLVGDRASSQS QINNKIQEEEIPIQIQKSDQIIKAMQPKQNSKKKSSSKRKEDQGNSSQILCAILSLLEVL KKKEISFLRRKVEKKIKKYKS >CAK78822 pep:novel supercontig:GCA_000165425.1:CT868318:457824:459403:-1 gene:GSPATT00001863001 transcript:CAK78822 MIRSQQETIVFGNKTTCGGIDIPLAFQQKEYNQDLVIFVSFQYDNSNNYYAYSGPCKITK YDLRPIFGVINWNLRQLQMKNVNSFAIQQNIQTSIHEIIHALGFIKILYQYYYDPQTLQF YNLTSEKDQNNHLILSTPRLANTSKEYFQCDLITGAVMENQGGDNSADHHFERTYYFNEL MTGSQMNGQSVLSEFTFALLSDFGQYYRLLKYKRDFMTYGRAKGCDFLFKSCKELEVDEF CQENEYTCSFGNIGIGKCGQDQLSDQCSYRSIFKGMDCKNPFQFQTQEQIDHFSQTKSTV SENSLCFQISYKEEKVETLSERLSQIKESCYRIKCKNDQIHIIFQQNDNDYIQVHCPENE TITFSEINFQIKCPQNSKQICANQNDCQNQCNSRGFCVNQQCTCQFGFSGYYCENECNGF RKEDKCLIECDEQDYADSNSMYCLTCSGNCKTCKSIIECLECEDGYHLVDNFCEPLYQKI QSSSIQFYSTTQKIILILLIILI >CAK78823 pep:novel supercontig:GCA_000165425.1:CT868318:459708:461316:1 gene:GSPATT00001864001 transcript:CAK78823 MCSYEESYEIDDDQIGSGQFGVVKNAKSKKQKNLKICVKILIKQLSFGKPELDKQQEGEL KMCKDMINKKYENLVQIYDVYDSVDKDDKAYIFMERCDKNLRQLIEEQKEKKEKFSKEKL YCIIKQIVKGYIFLIYENIIHRDLKPENILCNINDKDLAIKIADFGLSKTCISNENMTKR VGTPYYRAPEIRDTENTNERVFYDNRCDIFSVIFLLILQLGIIIFELALLEKQFKQREKA SLQDQTFSELHKAILKNENFKLDEDIIKLLDKMIVYDPDKRITWQQLGEQFEIQLPPNIM MQPQQTLPTFVQFNPILNSKQTSPVLSKFPVNQQQQHFQNVAQEQTKPNIIQENMRFQKQ NLLEPGNEKDEKEKIRFATVKQPQFNNFQQCGYQNSKFPNGQPLGQGLNGQQIQQVFKGQ FPQPTIQKNQLQSPPFQIQGQPVFIQKQPVQIQGQPVQIQGQPLQIKFNVKDEKQGEQKQ KQPSTQINNNNIQFPICPNFQQNNIQIQRYATTKPIGN >CAK78824 pep:novel supercontig:GCA_000165425.1:CT868318:461383:463553:-1 gene:GSPATT00001865001 transcript:CAK78824 MASRFSLYILKTRIVHGCDCENIEPLDILSIKVLDPHLNFSLLKEIKHSEVDFSPYFFEE SHNNKQINLYDTIVDRIKANKLDYIEKHLNKEIANQSNKEGWTLLHWICYFGKLEILKVY LPYCLDINQETLDGWTSLMISIRQQHLQITRYLLNYQSINPNLVTQQSSALHLACRMENT QAIQMLQEKDVDFLIQDRQNNNVFNIASLKMQLFLLSLINKMPLVTEIQLFSQINPFIAK GTYFSPGSSPWTIKQRYLVINPLGDHLIRYKDKCQTEIRESIKLSQISQVNSNYTSFKLK KGYFYIQLQGESHHDIIIGLPTIDHLTRWSNLIKKGITYTKNVATGLQLNNIFIKLEEID LDSPPSSIAPKRDSQTPIRRKISDLSSLMPKLTHQIPKFKDFVLKSLLMSDQISCVFKAY CELDGSTYALKCLNKQLLIQNGLLGEAIHEVRTLTSVDNPYIIQLQYAFQTPKYLYLAFE HCNGGSLYSILNALERITINQAKYYLSQILIALEYLHSQNIIYNNLCLNNVLLTSKGSIK LIGLQNYGFQSIYYKTPEYLDEGKIGKWTDYYQFGILAYELLSGCHPFEGTTSNQIILSI LTCQISYPQYFHKEAISLINKLTNKNYEKRINLEQIRQHPFFNDTNWEQYFFQKLQNPIR LNQLRNYLKLEKITFQDEDYELHDQINFKKVIQYDYIKFT >CAK78825 pep:novel supercontig:GCA_000165425.1:CT868318:463755:465024:-1 gene:GSPATT00001866001 transcript:CAK78825 MEFAFFLFVNPTSGGNRAGVYLQLDAETIKFNLNQKPISVYFTSLHQRDQVEMNLRRIKE FQQRSVQVRVIVCGGDGTVMWVVDEMQKHNVDFVNCPIGIIPFGTGNDFSRVLGWGGDTD GDLGACLRNFKQQISQWLTAKIHDFDLWEVNITVDAQTGSFKRIKKQGDLFQKEVLQKDK EVLKQLDKRMSNYFSIGVDARIGFGFDKKRTQSACCNKCVYFCEGIKKMCLKNPTTNQVI SGMQILKEQNVTIEQMTNVSTDVLFKTKGVNTREDQQPLNESKFILSGDPVTLLCLNIQS YSGGAGAIWDNCRGKVAVKEGTQKIQDKFVPQDFGDGKIEFVGFNSMIGMANERFIHGNA VRIAQGEGPFLISFKKNVSPYFQVDGEFFQAVNPTLALLKRSSIRIKVLVKAQN >CAK78826 pep:novel supercontig:GCA_000165425.1:CT868318:465849:469486:-1 gene:GSPATT00001867001 transcript:CAK78826 MRNSIITQLEFKDGIYQGQTLQSRYKQGIGVYLWENGSAYFGEWKSDQIDGNGILFLPPK TTIQGQFNSAKLHGNCLIQTRQAKYYGKWLNGLPNGNMTAHFKQGEVTIAFQDGKPTRGL SQREQSCEIPNLKSVQCYNSSALQTIDWLEGTFYGVTGKNAANKVAPNGLGVFVPKNGLF QCGQFKDGALNGLGRLQQPSGEVYQGFFENGKYHGRGIYLFKEDELQWAKGLWRFGELIE IFQNGLVKNCAAPKDLMFPYSDHKNPIQIRQPITLNNVKEIEDLFEIQFQRQEPIQKQTN ASPSPEQKSKLSNYKYFQNSTTNSYKRISEALHGSKYHSSPERNSIQLINYYKGQLKDLN QNDQSQHNKSNKPQQKARKSHSNSQQYLIQSSRKKESVNNKSSSKLSQSAYVEEIKKLQT QFIKPFDQNKSVQSQQSQIYQAILDSEEHYSQRSDQFQEKQPSSSKDHIEQIIRKSKQYK KEQSVEQSRKSLLDQSRQSNQSKQVKSPKHLQQSKCKSSEGSKKLQQQHQLEDQNQSKSQ RNKFQNEGNQQTEQLPLDTSQQSQQLWESRQIKEFSQPRDSKRSKQSSQPASQRISIKSI NQVASQQITPQQSHREQQDQNKQLQLQQQQIQETEQLSQIQQVQQQNQTQQQMKQQYDND HNKKISFCQQDFAQDMRNTAVFNQAESNQSSQMKFHKHKRSQSQTDFDKFEINDEPQMRL IKQYQQHMQQLIEQQQRELELLKTQQQQLEDENQISSSKPGFKTNVSMDSSESYPYQQSE SFQQEFIKSVSDVLKSELKKKQEDSIIADNNNNSPHFEQSQIANNQQQSMLFNDIQSLDN VNVDNVNVNDRLHNRRSSQQQEDGKKSRSDSMNIKKQPVSHSELDDLQIDKLQDAQSRQS RRSGYSGENKQQRSQSHQSSQQNYPNQHHSFNQYQSQEQFYSQKQPLIIQEKIEQQGQSQ QTKIELINSYKKQDHLSFEDNKQDQSLCSQQMSIISNQFPQEQNNLKKINQEDSIVKQNQ LNQQDNNILKQKQQNTVQKKRQDKVQSRNEESIEELMKKQSQKQISQTQLKFVACPSQHS LPGQISFSDKQFQIKPDQMSENIQVTIVDDQSDTQQNIQEIKLQLAAQKEILSQLYHSIQ ESRQMKVSRFDSDKRTSEQRTVKRGMANGFVDPCSSPIKKVIITKGAYPAFTIIKSLSPI RL >CAK78827 pep:novel supercontig:GCA_000165425.1:CT868318:469514:470990:1 gene:GSPATT00001868001 transcript:CAK78827 MPREIITLQVGQCGNQVGIEFWKQLIKEHGINNEGILEEFALQGDDRKDVFFYQADDEHY IPRALLIDMEPRVINYIQTSQFSTLFNPENIFISKDGGGAGNNWACGYSQGERYQEELME MIDREADGSDSLEGFLMLHSIAGGTGSGSGSYILERLNDRFPKKIIQTYSVFPNQNETSD VVVQPYNSLLTLRRLAQNADAVVVLDNTALNRIAVDRLKIPIPTVSQTNSLVAMVMAAST TTLRYPGYMNNDLVSILAGLVPTPRCHFLMTGYTPLTIDRHISSVRKTTVLDVMRRLLQT KNIMVSTSTKNGNYISILNIIQGDVDATQIHKSLQRIRERKLASFIEWGPTSIQVALSKK SPYIETSHKVSGLMLANHTSIHSLFDRILKQYHKLRNRNAFLDQYKKEPMFKDSLDEFDE SRECLESLISEYKAAESKNYLDWGNDDNMRIEEQQ >CAK78828 pep:novel supercontig:GCA_000165425.1:CT868318:471019:472040:1 gene:GSPATT00001869001 transcript:CAK78828 MGNCTKMCYVNEGVQQLTKKEEFAIETGIKSDDLIMHSTQGKSKENKDDQYSQCSNKSAL PQIISIEDELLIQSTLNSSVPMKLRKILMEDDAYYEGEWMQGKRWGQGEQRWPDGSTYIG EWKNNKANGYGVLTHSDGDVYKGEWLNDQAHGKGVYINFNQAKYEGDWVKDRQEGYGVES WPDGSIFEGRYYKHGKKEGVGKLTYPDGSKYEGNFQMNNLHGQGKYIWPDGRIYEGDWVN NQMNGKGMMKWEDGRQYEGEYREGQKHGFGTLIWEDGHKYVGQWVMGKQDGAGEYFFTNG TSRKGVWKEGKRIQWE >CAK78829 pep:novel supercontig:GCA_000165425.1:CT868318:472057:473813:1 gene:GSPATT00001870001 transcript:CAK78829 MQNHNPNDELNLENDKFSKQLDQDERILLSCNLFKFNDYKKRQERSLLVSTKNVINLKGT SIKRKIPYNKIKAITLSSIGTEFVIHVPDEYDYRYSSYDKRNKIVSKILEGYCLFTKAKL AIYFKEDVSLYNYATTKSDKKKHVSKIPSEQPQYMDNEIFAQILEGETKEKDDARKKTQT LWAREKGKEVTLDDFSILKVLGRGAFGQVMLVEKKDTGEWFAMKTIRKEDIIEKDQLEHT KTEKMILEHVNHPFLVNLAYAFQTPQKLFFIMQFMKGGELFQHLRMARKFDEKRAKFYVA ELLLGLGHLHSKDIVYRDLKLENILMDDVGNVFLTDFGMAKIVRKNELAMTFCGTPEYLC PEVILGYGCDKTADWWSLGILTYEMMYGLPPFYNKNQTIMFKLIKEAELRFPERPEVSKE AKDFISRLLVRDRFARLGAKGDFQELIAHPWFKDINWEQLIQKKVPTPYKPRVQGEQWLD GFDKDFTSEDPNKVIDDDHSKPQKDYDQQFKDF >CAK78830 pep:novel supercontig:GCA_000165425.1:CT868318:473864:474656:-1 gene:GSPATT00001871001 transcript:CAK78830 MRYVLKIEQQNIALLLDINNPSNVNHVYANNFTLIPNKFVGRGIKKTNQYTTKLSRIEWD LMQKQFWDSRKDCSEWAIIKKAILCDDECMKTLVLQILGEANLKVIGNSIQVLVQDKHIF QVPIFVINEPTFCSNNKFELNFETSMLKVIQKTIQVRVRSSKLPQDFEISTQSTSTIQEI KQIILSAAKETTCRLYLNGRELIDQNQLGNYSISSGTVYFNNYTIRQSKLFCDYIYIYLY F >CAK78831 pep:novel supercontig:GCA_000165425.1:CT868318:474734:475898:-1 gene:GSPATT00001872001 transcript:CAK78831 MSYRELRNFCEQMRALGYHRIISMENFRRPNFELVADILYWLVLKYQPDADISDNIDEER HRVEFIKQITTLFVSKARLKINAKRLYMADVYAVQEIFKISTYLYKAQISQPAEEDEVHE FSLPSKLCNIKSHKVLAQEITDLATRLYDQLGKEDEVRVAREKALQFLQNVSRGGDSQSE QSQIKMCIEQILKQQDSNIQDMSKYVSGLESDQKQIDEKIKRKAKELEQAEKRLKGMTSV KPVYQEEYDIQEYELDKLYQIYMEKYRNLVYLDNVLDAQNKQAEIELKKWNQFIQGHQCG GCTIFHTDEDGELDEDGKVDDLDDLSGGEDEEEEDEQDVIENLDDDGEDEDF >CAK78832 pep:novel supercontig:GCA_000165425.1:CT868318:477157:478741:1 gene:GSPATT00001873001 transcript:CAK78832 MNSGKFWNNQNFPQFDHNETQKVLISTFYKFGQRLGQKCFRQYILIRQYLLYSDVGNFKH IKGSVKLDGVYASFQISNSEYQIYLKNNGFQIVLCTDNTNQYQLWAKLLSNCCILTTFNE DMILGKLIGNGSFSTVFMHVNKVYEGRNKEGHVFAIKAIPKTRSKVSTINKQYEEQLLSE ISSLRELDHVNILKLHRVYETAEKLYLVTEFIHGYELISKAASKPIFQGSELKSFIHQML LAIKDIHQHNIMHRDIKPQNIMLKNGQLSQPCLIDFGLAVSTKKKGFPFPSCGSPGYSAP EIIRFDETKKEYGGQCDIFSFGITLVVMLYGYSPFKAQDQKQTIKRNAEAYFEFPSNIYP QEQHLILQMTKKYPKDRITAEQALSHPFFQIKLNKTIQLPKAILSKQQYEMSKNFNNINV HASLEMDREFGLNYAIQLCSCSPQNNKNRTCTLSSNPNKLQQIDEFQLDCVEDSIDSNHI DKLKMGQRHFYKQKSQINSQF >CAK78833 pep:novel supercontig:GCA_000165425.1:CT868318:478807:480920:1 gene:GSPATT00001874001 transcript:CAK78833 MNQQRDLLTMPLVFNVINLKEKDPTIFSLTIEGKLTLLHKLINSVDNVKQVVNSKDQEGK TALFYACYFNFQNIVMFLLMKGADPFIISTSGYNVFYICAYRGHIECLQIIYQMLRHNLN MSSMEELKHTMKKYRFKKTDSHHGELTCSDKHLKQVQQRFQAFQTEVHDQYQKYLDQNLL HLNKAIYTNDQYQRNPIHYASLSKYTKCIQCTKYLMLYGLKIQGWEFFYEMFMEVQLLES SSDKKIDPRQYMHYNDLASNFIEKYFLEQQQFNFMKKIKSLQKDLANQQDSDGYTPMHIA SFAGDFAAIQFLLSIGGDPKIKCRKKLLDVLEYASNDSVRKYLMDLKNAAKEGDEKSFTL LVNCGQKVNGKATIYGIAPVHNAIEHTHKVQNKAMLDKVLEMEADVNVIDTNGWTPLHHA AFYGELDAINTLYERGATQNIFSNKGYYPLHAAAINNQAKAVKLLIELSKKQKDKSINKK DTVQFDRGGHPDCQDDQLCTPMHLAAKKGFAEVVKVLFENGGNIYALDNRDWTPLHYASF NMHKNVVHMLSRYDSDEDKYSSMRTTKGQTADQIMTNSDVKFAFKTLWGAARDGDLDTVR KLVTLKHDINEQSYVNQFTPLILATRGNHFLVVKYLLSQKVDTTLKDKYGNTAQDYAVKQ QNQKLRELLQGQ >CAK78834 pep:novel supercontig:GCA_000165425.1:CT868318:481422:487793:1 gene:GSPATT00001875001 transcript:CAK78834 MNIQAFLVLIVLLTIGVKTQSVSTDEKCTCSTVKSKFDCVALGCTFTPSTTTTAATCTST PTALAVVSVYCGSISTPVTNCPKTKGCAFYDGKCQHFSGCQAFLKTTTKDCQAISTYCIS DGVSCIDPKPCESYQTQAICNTNVSDTSTQLCIWDETATTKCRAPKCSEAPQTLKTDIEC NLFKMGCVTIGLGCADQKSLCSQYKSDCYNMVGSDGVCGSATDGTCTVRSCDSAPLEYTT DDQCNSYVQGCITNGSGCSSSPLPFCADYKLDAVTCLKRKGTDGNCVGSSQNVCQVRTCE NAPAEFFSATLCNQYLSGCKYNGINCVNDLQNCSAYTGTKDTCAKYIGLTGQCWGAATND STSNCRNKMCSDGETSYNTDKLCSDFLPNCFTNGQGCTGEKKACSTYTGTTTTCSKWIGS DGRCEGSDATTDKPCQAKLCVNAKGDNYATNDNCKAYQFGCLTNGSGCVQSDTCLATQKQ VTCTGTTDCLWGGVCVDNDCSKYNSVSMCSNNLAKGRPCIWNGTVCREKLCSEADKATNT SDELCGKFMARCVYSGDGCQDTNVDCTYFKGDKTTCPNFVAKSQKCWSTSETKAACSIRL CTHNTTATSDSDCSTFLNGCVTKGTGCISATEPCSSYVGSIDQCKQFKGENGAKKCYKED TNQQCRNVKCIDNLTATTDGECDSHLTGCLTKGTGCVPPETPCSQYPGNSSDVCSKFTGN GIPCWWENGSNCVDKKCSHKTTASGNDDCSSFLKSCVYNGTGCQDKTLACSTFSGNETSC SQYIGNGQQCTRRNSCIDSECTVKTDATSHQDCLDYAPSCRYSGVSNSCISVASDCSSYT TMTADLCNSTTNSSGGKCYFSNSACANRTCDLLAAANSQSDCDSYLAGCVWTGTKCASKV SVCSSYTNFTQGACQVAKDSSNNFCWKSTSGTGTCEVRACSQVSKSTATDCQNHLSTCTS NGTSCVSKQANCTSYVTTFTQTACKEAKTTGGALCWMETAGPGSCIARSCDQTISNPSVA ICAAHIPNSCTFNGTNCVAIQGCTSYTGLTIAQCQQIVGTSGYPCQKTSSILTDSCIERS CTDVTSPKKQSDCTGYLSKCTFDGTICKLIQSTCDQYIDFTQAACQATVTTTGEKCWKQF DEIGKCEVRTCTNAPTQTSETVCRSHLSTCTFNGSGCVDQQTTCQGYTDTNALNCQKLSA FNAGVATPCWLVSGTGSCIDKQCVHNTTATTDQECEAFLSGCVTTGKGCTSNTTLCSQLT GTQDGCAALVGNFKRCKGASDQSGECSIKYCQDNSTATSDTQCTSYMAGCLTRGKGCIAS TEPCTAYKGTQTQCNNFKGAGRTCTNTSQATSSTSCIERKCSDNTTATSDSECSTFQVGC ITNGKGCTSLTSQCTSFFGTQSSCSSFVGNSGKQKCYGTSVSTPSACAIRVCTHNTTSIT DSECESFLTGCITNGKGCVLPQACSAFQGTRISCQTFSASDKPCKGVSSTIVGACKAATC QDAPNNYDTDEQCAKFKTGCVTNGFGCVSSIACKNIQSEKSCTANSSCSFIGSCRSVALA SCTTFTDASTCVSTPVKTQIGRCSWDTTTNGCRNWQCSDAPLSVNTHAGCQALNLSCTTR GSGCVTKAQCSEYLDQSICLSAKSILDDTCVWDTTTTTNVCRKRNCSDAPKALKTDEGCA AYLPGCLTTGYGCAAPPFDCTANITQTRCLVDSTGNPCIWLNTSNKCQNYTKCTDIQKTT FQECQAFSLFCTSDGINCVPFSLCAYYTTPNSCLQGTDGLCGWQADTNKCIKFNSCEQFV STLTTQCSTFNSNCISNGKACITKLKCSEYTVEMACQSGGTDGFCKWENNKCRIRQCSDA TEDTTVFESCWKFSAEKVCTTNGSKCIDITNCSTYGKNYCKLGTDGYCTYDDTNSLCRPM ICSDNKDTTSAVCLKKVGLKCVSDGTKCIDIAKCSAYSDKEACNGGGTDGVCVFSPSQNN PLVGICKLMTSCQSAAQDQIACSKAPCIFSNNACGPQTCASQQQGTKCNSIQSFDKKTIT VCVPDGNGGCSQGDASSLSSSLCFELSGRTYSWNPSKSKCEKCAKANNNNSTDTPDDGDG EEQTTHAVSLTTAFLVLFSFISV >CAK78835 pep:novel supercontig:GCA_000165425.1:CT868318:488040:490226:1 gene:GSPATT00001876001 transcript:CAK78835 MSYINKLLVANRGEIACRVMRTAKKMGIKTVAVYSDIDKNTLFVEMADEAYNIGPPQALQ SYLRSDKIIDVALGTKSQAIHPGFGFLSENAQFSEDCQKNDIIFVGPGADAIRKMGSKSE SKIIMTNAQVPVVPGYHGENQDPNFLLQEAEKIGFPVLIKAVMGGGGKGMRIVRQKSEFL EALEGAKREALKSFKDERVLVEKYIEKPRHIEVQIFGDKHNNYVHLFERDCSIQRRHQKV IEEAPSALEEKIRYDIGEKAKAAARAVKYSNAGTVEFIFDLDSSKFYFMEMNTRLQVEHP ITEMITGVDLVEWQLRVASGEQLPLTQEQIKRKGHSIEARIYSESPNNNFLPGSGKLDHY SEPKASQSVRIETGVREGDTISIFYDPMIAKLVVYGENRQLAIQTLLNALQNYQIQGLPN NISFLKTVLQHPEYVNQQYDTSFIAKNQDTLLKLKDHYNPIDIALAIAGRHFLNSVNLPK SLLNFRNGAQIHNKLSLHIQSASYAHQKEIKITHNINGNTHELEIKGQKIVINKVTKSQE HNNLLIFETNKGIFIRTIRNGQNLLIFDAEGDPITITVSSDEVKKVKSDQHGHGNNKEIV APMPCTLTKVNVKVGQKVKRGDILIIMEAMKMEHTIKAAIDGEVKEVRYKEGQFIEPGAL IVKLE >CAK78836 pep:novel supercontig:GCA_000165425.1:CT868318:490843:496754:1 gene:GSPATT00001877001 transcript:CAK78836 MKLILLSLCLLLLTSEQNCHYSCLSCDSGDKIKCKTCDEKTRIFRDGKCECKDGFVEMQT LQSSECLQCSQNCIKCDISQRNHCLSCGDTTQNFRELKNDDCVCIAGYHAVPYQYACESD EWDQRLYTFKYKIDTNKKYWNQVVNFFDNTGIQDQLINLIKKSYLQDTQESSVQKYYFDL ENNQKKFFYRDKNPIGEYYQQLDQNVFAVGYLQPKSPQSILWGYGSTEVGWESNVYFTSR TLDVQWNTRLFQYLKYGQLFIQTILSEQLQITSRLLSEDYSKFNMIYFFYIGYKVNRFLN TYELELLIDKLMNLVNGCKSYCSDCLFYQNQQFCQSCDLNRENNAGNCKCIYETNDQAKC FSPCNLESCVTCVNGVCVKCPDGQSPPCTTPIIQCDDGFYLDNSKCVLCESPCIKCSSKT VCNKCMDSYYLDSTNTCQQCKSPCNFCQNDSNCTTCVQKHFFLDQKCHSCTMIDGCEDCQ EENKCLTCIRGKYRDDQYQCKNCLNSCVSCTGLNQCLECKDGYYNTLGDCKGCPSICSRC LNSSQCTKCVQGYFLKQLNCQPCAAGCASCFDNKDNCFLCNNGYLLMNNSCVQCQSPCKS CDKNITKCISCVDKYYLNSNNECIACDKGCVNCYSQQFCLSCQKGYYLENNFCFQCLSGC QECQNIQTCDSCKIGYYFDSNTKICKSCPINCQLDCSFQNDQITCNTCSKSYYKNPTTLQ CENCESPCLNCKQTKSSCDDCISGYYLDNALCIKCNIVNCKECSSSTKCNICNDGYLLND SNLCVLQNSTCGSHQIQVQISQVCQECYQNCQSCYGTEQNQCKQCYQGDYLNEQNQCRNC KYPCNECTNELVCTSCQNGMYLDGSNQCHFCDSNKCQECDPTTLVCKQCFDGYYLKNSIC QSCQPNCRSCQSDVQCLTCFEGQYLNESSTCIKCIDDNCSYCPNSGVCLQCKSAYLIDAN QKCYLKVSPIQYCFKSFNEIECDVCSQGSYLDTEKKCSLCNDNCRTCSDNSTQCLSCNLK FYVSPERKCEVCTAGCLECKDKADFCQSCDSGYILIQSDQLCQKCVEKCKTCIDKNDKCT SCKALYYYQNNDCISCPNGCFTCDSSTKCTSCIPKYFQKDVSCLQCPQQCKECTSYAICT VCIQNFYLDGYQCKPCPFKCKDTCYKDGSSLRCDNCNIGYFSKDNDCLKCKAPCETCQSK EDECTSCIGGYFLAINKECPKCRTEHCRLCQSLTGQCLKCDYGYIMTDVEVCSICTTCTC DENKYYNWDNGGCFECDSSCQKCYISPTQCTTCKVNQYLDPTDNTCNVCVNQCKTCKSAT QCSSCENGYYLSSDMQCLLCQSPCVNCLDNYKCLNCVNGYYLNDTTCVQCNSKCELCSSE AQCSKCVQDGRTYFDNISKCKNCESPCQECYNAGCVKCPSMYYISEQQCIRCSDNCLQCS SSECLQCANGYYINGQTCEQCSENCLSCTSTECTKCKKCMDNCNVCDSTTSCITCQTGYY FDQSLLICQKCNENCLSCNAASCLKCVEGYYSASTNCIKCQDNCLSCTSTKCNQCVVPYQ INQQFCILCKQGCKTCSDQECYICDDKYYLDSKTKQCVNCNPNCLSCTITDCLSCNNGYY PKGQTCVHCDANCVSCNEQGCLECNSSFYLDQGKCFKCQDNCKQCTIDSCLECGTGYYLN GTKCEQCNVPCIECTSQTVCLKCSKGSYLDQNKCVNCESNCDSCTQEGCSSCVAAYRLNE KDKSCVSCSVKNCSTCPQDKCSLCQTGYCSADSECQKCPSSCKLCTENAYVKCSECNQLN LGCLSGQYLDKTTSICQFCQINNCLECSSESTCLSCQYGYSLSDAQDQCIKNESSLNTDV IAGVIGGGAIALFIAIAAQKFSMIHITTKLFKSASIQFETKLGSTGAAPSNWEQIPNMTS DQLDKILKDQSFQML >CAK78837 pep:novel supercontig:GCA_000165425.1:CT868318:496809:499382:-1 gene:GSPATT00001878001 transcript:CAK78837 MLQRQKDSGNQPTNYVPGRGRGAVGFITRSDIGPAKVDIGIEQDDDQNDYNDAKYDEWNG YSIPLFNMGDYDDEDREADLTYNSVDRKMEERRQKRMPTNLPEKPSIVNQFSDLKRELAK VGIDEWLSIPDIGDYSIKKKKQDKITPVPDSVIMSAQLSEKNLQTKAHDLNEIGEARGAL LSLKLDKISDNVSGQSVVDKRGYLTSLASLPHLSENEIGDFKKARLLLKSVIQTDPKNSF GWIAAARIEELDGKLAAARSILAQGLQQAEDQEDIWIELSRLETPEKAKLILNKAIQTLP HSVKIWLNAVNKEQETEAKIKLLKRALEFIPNSVDIWKELVSLSSESEALVLLYKAVECI PKNLSLWLALAKLETYENAKAILNRARQNLPQEPTIWINAAKLEESAGKNKQTIAIVLSK GIKILKKNQVKIVKEDWLQEAEIAEKCSNVNTCYAIVKAITLEEDKQSDNSWKQDFTQFE QRASLHTARAIIAVEAERQNNFEIWKEYIEFERRHKDEDAQYFDQALELAVTNLPQIEQF WIELILRKQELQQNYLEVFEKSPKSENILLTLSKLEKQQGNYEKAYQYLQYIQDNLIPSD KTWVKMFKLMLLMNKQIEQAEKILTQYPQSDKLWILCGQAKINQKDYQGARSIFEEAIKI LNNSLNVWLTYIKMECQQQLYTRARPLIDRAREKNPKSSQLWAQAIRLEIDAKNHKAAQF LLSKALQCCQLDGELWSLAIELEPKTTRKKKSADAVTLCVDNPYVYLSTAKVFLNEGKIE KAKRWLEKALILEPRLGDAWAYLYLIEKEILQQCISRRPKYGRLWKQAKETLNQKYDIEK ILIEASKLAQKDIAKLE >CAK78838 pep:novel supercontig:GCA_000165425.1:CT868318:500293:501558:1 gene:GSPATT00001879001 transcript:CAK78838 MRSAHQLITQFELNGFTLPQMEKNMCITFLKDLLQQNPIDMEFILTNTSPSESERKLLTS KSNMLQLLNEDKDPSVIKPIFDYSQLENLIPKKNRNKINEIKTKVETLLNSNNIDPPLTI KKRWWTEEEDQQLKELVTQHGAKNWKKIASYFEERTDVQCLHRWQKVLNPDLVKGPWTQE EDELLVKLVINYGPKNWSQIAKHLPGRIGKQCRERFHNHLDPKINKERWTDEEDQTIIEA HKKLGNRWSLIAGLLKGRTDNSIKNHWNSTLKRRLKMQNRWEDLQVHPRQDETSVKGVPR RQVQRRIMYYKTPEKLIKRDPVSRQLNFQTPYSNTTPKSEQTPKNLSIVYPNLLVKDALK IDSCSVLFKQLSELTNLNLDFNKQYSYK >CAK78839 pep:novel supercontig:GCA_000165425.1:CT868318:502086:503032:1 gene:GSPATT00001880001 transcript:CAK78839 MFSNMLSDSNFQDEVFPYQKFSSSQSFEMEPFSDFEQNCHLSFNTNEGSQFQDAEDREMM FKIKEDDTSLNALNQDLMKRKQKIWNDEEDSRLKYLFVELSGKWNEIAKHMPQRNASQCQ QRWRRINPPKDTRHIWKQEEDDKLKQLVQDIGKQWMKIAKCFGNITGKQVRDRYINKLDK SINKQPWTYEEDMLILDQYNINGPKWTKISNQLQGRPENHVKNRFYSYIKRNFLGEQNRY QIIYS >CAK78840 pep:novel supercontig:GCA_000165425.1:CT868318:503145:503729:-1 gene:GSPATT00001881001 transcript:CAK78840 MKILFVFVTLIFLLEAAKKKKTTDDRRPTTVEALLYCNSCQAIVRETLKKVKTSTRESDI TDALSEMCQMKNFSVYEYPPPDMKKGCEAFMSGWSEEVTEALMKRKGNDSIEDEICYKLT NSCKDIEKGQRKQNDDYVTINGKKVKMGDDGKVDINMNKPAPDDDDL >CAK78841 pep:novel supercontig:GCA_000165425.1:CT868318:504156:504576:-1 gene:GSPATT00001882001 transcript:CAK78841 MKQQNRKPYSKNNQLIMQIRDLDPWFKLKSQGTTVIQEKDHTYHKKWKSGNKSVSKSIMN ITNVKKSQLRIGIPQKEQLKKVINKYIPGSHLDLKKQSLQQQGEQLDPNPKQEELDNKQE >CAK78842 pep:novel supercontig:GCA_000165425.1:CT868318:504684:504986:-1 gene:GSPATT00001883001 transcript:CAK78842 MQMKNNSESQLESLQGDISLYRANATDTNSMQAEFFAISTQLEGQIAGLRRQLADLELLM LSLRVIMKDQNNPIKIKMQNSNNQDYNYHNYRPVLRIQFN >CAK78843 pep:novel supercontig:GCA_000165425.1:CT868318:505832:507712:1 gene:GSPATT00001884001 transcript:CAK78843 MFEDRYLETIKQQLLQSKVVPVTINPKNILKSLLASENKLDEENLYLSICKKQELIIKNL NEENADAIISICKFIRLEIMKQKEGSKEEIKYGVLKQIEVECINWPQYKSPRWPEYLEYF NGLTILLINNTYMLMEQILIDLTTKPFVCEEFHQYITDKLEHCMVVLSMEISDFARVIEN ETFYWELKKYFNSIIQNVHLYINRTSLFCNTQMEYLNETLTKYHTLANIRTYQILQIETS QYIIDQCHISLREMLFHRIEFEIFDRDLVKQIIRHFYEYSPLNLGLYEMSTLTKSFQDAI QMYYILDDKYFETADLDSKLFSQDEECIKYKIWLDEKRKRQSSLANQPVLKGSVGAGLGC LIVDSVDPKIPWDEKLKRAGISSVATGLVGAALRIPVVGVILSQALLFYAIRIQANNKVV DKSEKLKNIAHLGFQSSFGIGTAVAGQILIPIPVLGALIGGTVGGVFMGLYTKFIIPKTK PSIKVMINELMERQYADGLFQYDDQVLKIMKINQQHYFGLKPDHLSECQWFTILMVYMIN EIHYLTNVQGLEKINELQQQIEKKPKEESKLIKQIKEVETELEQQEIINVKMERSRYYIQ EQEINTLQIVDKVGEFINGMIANFRL >CAK78844 pep:novel supercontig:GCA_000165425.1:CT868318:507722:509924:-1 gene:GSPATT00001885001 transcript:CAK78844 MSLILYFSPLSPPSRAVRSFLLLSKAVFSGKVVDILKGENKSAEFQNINPNQSLPALVDG GLKLFESHAILKYIAVKKQLYQYYPKNLRNKAQIDCYLDWSQTGLGAIGKYVTQCFLFPK FMNKPVPDNKQELHQDVIDALKFFESTFLTGRYIFNQPSITLADVKATSDLSQLLISDLD FNQFPKIKEYLQEMFSIQAMKEAYAEYLQMINSSNPNDQVQYIINGIDKSQSWKFTLYFH PLSSPSRSARTVLLYSGIDYNEQIINLLSGENEQPWFSAINPNQTIPAIANDSIKLFESG AIMKYVARKFNLYNLYPTQLKAQAKVDEYLDFHHTEMSSLPNYVFSCYFGPQMMKLPMPA DKDQQLQNVIGTLEFFWRVFMNNGKWTFINDSPHPTLADIKVGNDLAQLFITDFPFEKIP GFDLYIKRLFEVPEMKRSHAELFGFLQSQKIGKFAISISQAQPVRNQTRIILYFNFFSGP AKAAKTALLLGNIPFEEKTIDIQSGENTKKPYTDINPNQSIPALSFGNFHLFESHAIMKF ICIQFNLTELYPNFPLRAKIDSYLDFHHLEIRKLSLLAMDFFVGPKFFKKEMPKNYDERV REVNELLHFMVNAFFGGGKYKYIMGSRTPTIADLAFTAEISTLFFVDFDFSQFPTMKTYI RDVFSIKQVRETYSKYFLAARFMAKSMNPFIESIAKGTEEGGSTCCQLI >CAK78845 pep:novel supercontig:GCA_000165425.1:CT868318:510452:512129:1 gene:GSPATT00001886001 transcript:CAK78845 MNRRLSSEQDNLRIKRALMRQQINQSHRVDREFEIDERFNSISMYIKHDELEIDDSGYYD HQTWERLDRFDQALPNQRNNCSWVAYEDFLYIFGGFTFNGRLDDVHRYSFSSNSWQRLNT TGQKPSARENNGAIQYKDHMYIFGGCDGLLWLNDFYSLNLKTLQWKKIEPTGQCPSERFG IACGAYQTKMLIFGGCDGNHYLNDAYVWDFEEQVWNKLQLIGDIPSARSCPSYSTFNNYI YIFGGFDGVNRLNDFYKINIFSGKVKRISQHGTIPCPRYFHTSEVYQNKLLLFGGFNGQA RLNDLYEFEFGSKTWKKLEVHEPPKGRSSMVFQLYNDSLYVFGGYDGDELLSDIYKLEFK NAQVPRSSFIQDLRSLINNSQMSDVVFIVEDQQIYANRCILGARCEHFQTLFFEEFRDKD QIFIEITECTHQTFMDMLQYIYTDQLDSCLNTTRLLSLIILSDQYLMQRLKYLCEEQLIR KINCNNVIELILFSKKYNCRLLRIQTMKQLVDNIATIKRRKDFIKLAQEPEILLEIIQKK C >CAK78846 pep:novel supercontig:GCA_000165425.1:CT868318:512304:512984:1 gene:GSPATT00001887001 transcript:CAK78846 MFFLFIYCVVAHQFKSDSRILQLNGEQLESELQKSEPFLMMLYAPWCGHCKHLIPVLDQL ADQVDYKFIAVDCVANPDAKKRFGIKGYPTLLYVKDNKTHKFQGQRTPELIIKFIQEDYA QSKEISDVPKYEPYQEGFIDKYFNNIWIFIAVIVLSTLTIVVTFLCINDYKENKKLDQME KKLQEKKK >CAK78847 pep:novel supercontig:GCA_000165425.1:CT868318:513484:515472:1 gene:GSPATT00001888001 transcript:CAK78847 MCAYVITFPIWKGQQWSAFRGHVRLSNEQVLIARFNDTAYIEQELRFLEQHQRVKSKIEG KYIRPVYNLLPMIVQIIRTPTFTDVLFDNCVDWQLTGGNLQHLLRIYFAYDLYKGQPPRD CTLENIMRNGDSIVVLDFGLRKRTENYCAYWNPMILKGKQCLSQYQWSLGIMYLVMTNGS FIINEIHKRISIWVNGGKLDLVSLVTTKRQSVISLLQQLLNPENPIPWDQIPHHSAFRDD PKCKTILKMYEVRSMSDLKIRSASRLSSKQSGRKTQRTSIVLHESTSNSNQSTSNQSLIS KISSLYKNKFIEEHKQRCNSSVKTTKQINNFFSNNKMKLKFATKQSDHTVQLSCDLRSLR TGSNLSNIGLTQRIDESEDKKLNRRFSQQEIDYDKIRSRVSSIRMAIKEYKARSLRHLSQ DSNIVIQNSDEAVQPDNYIQLIKRPQVEIEILTQKYLRSLECINIIGQTVAKAIEFLDAL QNFWIIPLFLVFKRMLQLRLEVEKFLEAQINSFNIDQWKEVCNSREYLQFLSRIKSDNCL VKNEMILLMQASQTKADQLDKNKREKVLWFLNDNLDSNIQPICLSYMRDQLLVAVNDKRG LSREPIEWLKLQLSLKASIVITQLPVLVCESSEFSYEQYLECQDSQNYTQIQKYCEQLKI NI >CAK78848 pep:novel supercontig:GCA_000165425.1:CT868318:515718:517187:-1 gene:GSPATT00001889001 transcript:CAK78848 MMRIQYACIEIQVIFVNIENSDKFYKAYCGPTVYDEQSLRPIMGLMSWNMNYTKIDKNNK IIYESNLESAIHEIIHGLGITYDYFSKYYDSVTGKTYELPNFYKQDNVTYLSTPRLINFA RFYFNCSNLTGIQMEDNGGPGTSDYHFERLLLYNELMTGSQLTGNLLITDFTFQLLQDTG FYRLSDYNPDQTQWGRNKGCEFVKNYCNNNFTEFCYERNVESCSYERTGQSICMQETLGG QCMYQQIYTDQLCKNDQNQIQNKSSQIISYYGSDSICLKGSISPIESFQKFTCQKFYCDV NNNLKIVIGDLEFDCSQQAQIQLPNGYFGNLECPNNPESVCLFRNDCPNACGNNGFCINK QCICAKGYSGLDCQQKCDGYRYQGSCLENCPNLTYRLDSIKFCIGCPGNCESCSNYNQCF KCKPGYFLREGFCDNFLLKKELDSSNSHFVNEQQSSII >CAK78849 pep:novel supercontig:GCA_000165425.1:CT868318:517722:519631:-1 gene:GSPATT00001890001 transcript:CAK78849 MKSLRLKFFTQVNSFGKKLISTSDYFALQPQLRIQKQKKFSTSLGAFITCCIITICIIQL ISQIQDIFARNHPNVITSEQIQSQIKEYQLHPHNYTIALALLDGKLQAIQGQNQYFNISI MNCKRQRYLNETNGQRISTLDCYYYPVEECTDEHFQNDFQQKYFQSFNKSGLYCAKRNDW DKRPIKIQGTPQSDVFQYIDIFISKCKNTTEFENCSSDAQINSKLSGNYLVIHTSDSLTK MQEYENSFENIICAKYYFYSVSITKTMLSQLKLIEVLSDGGLLTTHNEKDFTFQQLTVQE ASEVYNNQYIFKYGIIIDQRMTQYTRTYQKLQNAFSSIGGLFQMLSLVSQIFLNPFTSFL TEIEMANEYFRFESNKKGKSTLNKKQTNFFIPQNLCQEIVEDELPNTSGRMQKEKQKQII QTNRLENSIDIQKFLNYQQLHQKMSKQVIFQLAVCCNRQKKKQIHYAIDKVMSKLDVSFI IQKLQELDKLKYLLLSNDQINLFNYIPKPLIPFGVFEQEFQENIQNLEKKISYKLILDDE KSEITKINEAFKSYQNLKLKAYLSKTDKQILEFLDDEIKFTFDQLFVQNFKGLDSKDIRP AFDLDSVCESRFPVRDSMDEN >CAK78850 pep:novel supercontig:GCA_000165425.1:CT868318:521043:522126:-1 gene:GSPATT00001891001 transcript:CAK78850 MSDDCDILGPLGFSIQILLGVFSFFILIYKRIVEKPKRQWKVWGLDTSKQGCSQVFAHFL NVALAIHLSGDSDECVWYLATLLLDTTIGVLFSYVILKLVELTLEHFQMANYRSGNYFLI IMPDDQVKKNEMNNKDKVPAGTNLIKPIIKIDLKAWFIQLILWILVVSISKFFLFLLQLV IGFILESISQFILSPFSDLATFKLIIVMCIIPVFMNGLQFWIQDNFLKKTEFTIQEKKYV LSEMYVDEELTNFAQLDNFVNNPVCIFIKFLTQEVKSDHCLDKLQMEMANVGVGVQQITK GYQKADFE >CAK78851 pep:novel supercontig:GCA_000165425.1:CT868318:522169:523314:1 gene:GSPATT00001892001 transcript:CAK78851 MNQIKCEKCQIVPEKFVCLDCEHTFCLSCLAKLFKQHENSIQCISCYDITMLDDETINAL IEAKLQQLQPPVIIPYTTSKKQINQKDLIQIKGHPFIEKLNVSIEKCLDNIRIIQFDKQK MREISNKIKSDLESEFKSLHTYLDDKLEAFMNEISRFETLNSTNLQKQEEGFQIDIKEMQ IMKDEIKSLLQSQTGIQDDIEQFKIILNQIDEITIKANQQYQTQLKQFQDEIYKIPLKWT DFSSSYKTLFNNFDQTKPLQASSQQQTQFITANRLEKIQQSLVNSISHTNVKPIKASHQS HLSLCSPPRNSFLQDEHQIQRRSSRIELISKLQSPGEIRVSSMNRRNTRTLVPNLNLTGI SSIK >CAK78852 pep:novel supercontig:GCA_000165425.1:CT868318:523900:526733:-1 gene:GSPATT00001893001 transcript:CAK78852 MKKWLQKKLNQKLSDDQNKHRLTRKERYMRKYLNSEKCYNYWEIMTSNNLIQVNLNRDSQ GFSKQIQEIFKSPEQIFDVELQQKLMDLYALQQEQTPVLAIFAVGFHHKRGSEIEYCYPE LSSLTNDIENIVNTVTTCALPDAVHNANEDYLYFNFDAQINGQTKQLFGVTCFKQIKVTE ELKKQNPELTRGHIQKAICLLSFVPLFGYIKSRLEPTIEAYFQLQDFKDMSILNYAFDSI QQTFDLRNVEISALYTDTNLIGVFWIFKDKILKILKAIQYQMKIIIYSQSSSVCSRFIIS LLSLIPGLLNFNLQTKKSLLQASYLSNFGLPFKIFSETYRLFMHFSVTDINMLQSKNLKG YLIGTTNKFIKGLNQLNPDIIIDVDTGELNILREQHKKLLNLKLIEKQLYSAINTDCLKQ LDLNQQDLLKRLIPIQSQKITFQGSEDWIRKIIHDHQIKLLSEISYFFINLQTFDERINK LTAIRTRKSMIIKQEISPQDSSDDDDNASQDPQKLEIKKHKEDLVNELKIKEYRNLLYDL MRVIRKHNRKGIIHWITKTENGLKWLKSFDYSIITNSECFDKNSTVPFYKVFENADIFIG NMQYGGMIELFQKRVYLGQIQDFLRHGQGRYEKLMDKKFQYKGQFKNNKFHGDGILIVVN EFQYDGHFENNQFNGYGNLQKENQIYEGWFKNGCYSGTGKLILPNKDVYVGQFSEGLFQG EGQYVWANGDIYKGIYKAGKRHGMGIYQSKHKFHLFLAKQYTYEGEWLDDLKDGFGVITL QDNNCKYQGQFSQDEFVLNQDIIIKFPNDSIYKGQIKNYQPHGKGYLQFIDGKIQDGNFK DGTFIMEEEVEKQNDQISLPQVNQIQGNNLQTDCQKQQEQDIDKSSNQNEQLQSQIDDSS NKSL >CAK78853 pep:novel supercontig:GCA_000165425.1:CT868318:527357:528771:-1 gene:GSPATT00001894001 transcript:CAK78853 MSSFTGFLVKKTIQDHPIRQQVGFYQDYQRQNLTLYINKKLADMRLSNGTNTVNAQSLVS LNIQTNIDTPNKVSKFQKREISQDESYSRPKISNHKKSHKVQPKPEQKVASLIHDELMKQ NSTSSLYQEYKMANLKANLQKLQAQKKCNLVELNHVELSDDQQINYFLTELCKQHPEVDI GKLLNVDVEKVSMTQHQDKLNELIERRINQEELDQIISKDEKNNLVKVYKESRFDQFEPE YSKKNKLKMTTFKKQKEIQDKLFAQIFENEVQNVTQKADLRKFYRTPPQQQIQNKVKRRL KFGINNKPLSHRQSPEISNFESRPQTALNTHTHSQTFLTTKQKWNGKGVDPDTQHQMQDI IGYCVELEDEQKKEKKQFKRKIRRMNQEIQRSARTVSEQFQNEEKQTIQHEEFKKFQSEN QFKRKLIGFLLNQVADKNEILSQRARKEQTNKFIHKVSKLSSEL >CAK78854 pep:novel supercontig:GCA_000165425.1:CT868318:528810:530442:-1 gene:GSPATT00001895001 transcript:CAK78854 MQNFHDFLNKLRNYQEIDIPCYYRNQFKTKESLINPNFYEISEKLLTQNYHKLNKKWDQQ CKQSFILAMIKYFQMKNKKTINPTSEEWKELTSIFGQEEQTLKQRWITLITPMAKSLIWE KEEDDIIKSQMNVKQGKHIWTEIALELYNHNNGLYVRTPKQVRERWMNYLNPILKKSSWT DREDHQLLSLAIENGKRWSMISKYLDGRTENQVKNRYKSLLHRIYQEQDDDDIDELIAVK QYISKRPLRSPDHKSKQDSNLMETSKDKIQKTIPHKEEKQELKEDILIQSKKVKIDTEKL DQNAINQENQYIYLLQQVKNQFCYSTQGNCDTQSLGNRSSLSDFNYLGSFKVQEINNSCN EQNKISTQIFSDSFQNLEQPQLSLSNSKIKEQTNEQDSNNVYQSIGVGINVHQCCKDQFP NLFEFNQSASIVHTPLYFNNSQSIGKSPLLSPLQSPQLCIYQQELILEQDQSDNQFQFLN KSDLISKWKNKRKCELQNTND >CAK78855 pep:novel supercontig:GCA_000165425.1:CT868318:531295:531744:-1 gene:GSPATT00001896001 transcript:CAK78855 MNTNYIVYQQWQERLERQPNCLEKLCSCNYFFRKKTHKTNEKYQLKESIPQNYIKICVLY LDETLVHCQYKPDNSYDFHLIVKFIVQSICDNQTWGRNFIQTLSEYYEVIMWTASLKEYA DPIMNIIDG >CAK78856 pep:novel supercontig:GCA_000165425.1:CT868318:532070:532765:-1 gene:GSPATT00001897001 transcript:CAK78856 MNSQAQLRQDNKKQIHFSRPSSRNNSFLPEIVQTPSQNQFQKQQSNSIFKSSKGMDQLNL FKISQVESNADHITSRMEIQRKELIREIFRQDKKHTVLKPLIKQQESTHRSRLRKDDQIE DQQTQLKSILKRKSSNSREHADIFDFFELDNQDLKQQQQKPNFNRLKHSKQISLQPIEKE DYSPQFNPGKKIVSFNKQIQIKVIDPNEEKPKSNQKTFRRMYTVADLLDKS >CAK78857 pep:novel supercontig:GCA_000165425.1:CT868318:532901:536326:-1 gene:GSPATT00001898001 transcript:CAK78857 MTERDESMKYYPSRESENDEQRKPSFAMMNIVINQPHPVYITMLFFMQKTQISMQLAKEN QMTKNNNKAICQLINKNPYHIEEIEAQEGKIRKVRSPSSVGEINDQKSNKSQSSARSIKS GKSGKSAKSSRSAKPMSNQQISDEPKKKQIRKMNSKIKARFQKKQSLKQTSAIQQFVSQF IEGWAFSILMAIVTLYALFGDDIRILSVNKDLDDIFFILTIISMSFFTIEIILTSIANPN YILNFYFFLDVISTATMILDIGWITDLWYGDEGDIGNAATIKALGRASRVARKAARVIRI IRLVRLVKLYKHARQQYEKDQQKKILQDILKQNRLISEENQRQQQQQQKINSQSQKQIYI QRNQSLPSPPPSRAQQYKNIDDKQEQSELQQQIKETCKPFLGQIQQQQQQQQQQQQQNSQ QNNLNSSQLSALSIQQGQPSDQNAQGSSSNEQIRQSQQSLQLSEKVHESNVGSRLSDLVM RRVITIILAILISIPVLTLDTYQEIINSYDSGIFRIGQFRNDQKLVQILTRQYVQFHQDE IFPILAVFVLRNDVTDQFPKLNETNFQIFNYSQNPDWFSSTNQNIDEYRFSDKQYYAAVD INNKLITCSVGDLVDYNQTNAILSIFQTVFVCIVLASSAILFNKNVNDLVIDPIEKMMEK IEQIAQNPLEAVNIEEQEDLIMQQLEQNEDHEKIKEKYIEKQMETYILQRLIMKVGALLA VGFGEAGSEIIAENIKKGGSVDPMLPGKKIMAIFGFCDIRNFTDATEVLQQDVMVFVNEI AEIVHSTVDQYGGSANKNIGDAFLLVWKYLPMEYHPNPQNPSKLIVKTDHHIKQKGDMAV LAFLKIITSISISKKLDRYKKHAGLNARMKDYSVKMGFGLHMGWGIEGAIGSSFKIDASY LSPNVNMASRLEAATKQFGSIILISGILKQYLTQECQQQLRMIDIVTVKGSVEPVEIHTI DMSIKNLIQKSKELKDKFDTSKMNQKEQKQFRVLSRFKREQLQKDVSKDKVNVAAQFLTD EELLYAREPFTIEFYNTWKEGFQHYIKGTWDKAQLIFQKTLNMIPEHKDGPSNTLLDVIH SNGGKAPHDWKGYRELTEK >CAK78858 pep:novel supercontig:GCA_000165425.1:CT868318:537560:538051:-1 gene:GSPATT00001899001 transcript:CAK78858 MDTTTAHNQPQFETFESKLITVQGTSSVPAEHLYRLRKTLHPQTTANQFHLNKILNSSVN GANHFCHFCSKHTSTPTAKYCACQDRQYHLLCLITHIKLEYKQGNGIMQCPYCNLYYPTV LETKQILQFKLAYHVKTQQESSRSHMHLPFLFCYQQQLLKWPL >CAK78859 pep:novel supercontig:GCA_000165425.1:CT868318:538076:538849:-1 gene:GSPATT00001900001 transcript:CAK78859 MQTWDSELPQTMQQKLSLLLEQRQNLKQQENQLKQKDLLFAHKKSLSRLQNQNQQTVETL LENEKTELIDILDQELITQNQRRYSSRRMPTLEQSAISFQEQSAVFHKSNKTNRSASTNL SYFNTQSPQRLSKFKVDNNTSRIQDQDQTIKQLQSLVAEQQEKSLIQNQEIQMLKEKNQT LTRQLEISEKNFIKFQKQTDLFKENLVEKLKSYAQKLEVLNENEEKILMMQKENARLKQE KEELLFLMRNNNTGARK >CAK78860 pep:novel supercontig:GCA_000165425.1:CT868318:538864:542334:1 gene:GSPATT00001901001 transcript:CAK78860 MNLQPVSSGSINMSGDSNQNGLDHYLNELNNQNLMQVRRPNEEQILAFIDFEGIKPDQVI QHKTFPDSHKENKSKGSKSSQQNRRNSVDMSEVSPLKQSLRDDLIPLDSPNIEFKKLGEP EDHRKSKTVNSPAVQSSKSPKRKSKHFSKIFSKLTNRMHLARKFIQKIKLLSPFAKKIQK SQLQLIQDLSSDIGNSNEKYNNISFIKIRDKSKQKHALKRIESMKAFIALKTYFSVCKIQ QILDKLKNETIDPQGNFLFIWELLKFFVTLASLFQLSIQICFNLNVLNWFFISEKENSQI ILILMFIYYSFDIVLGFRTGYYENGEVVTKQQRVARRYLRKYFFVDLISIIPIFANLILI QSFETDNTGIKIVNCLSFLRSNALSRVYHSLEGRLLSNPRFVIGYRFLSVIGTVFLYAHV FGCLWYVVAQNNQNNWINKAGIVEDSWFALYSYSIYWSVMTMTTVGYGDLTPANHEEALF CVCTMFIASVVFAYSINTIGMIITEMNKFDEKINENMAIINRYMQRKNFEQSLQFRVRQY LQNVWTQEEKFRIVDENKIINCLSPTLKEEIQICLYGQFITNIHIFYRYFSQECLLELTK NVQEYRVAPNSYVVENGTYDGIALYQLVSGEAEMFVDLQDRKYYIGKMKQGDIFGHGPFF MNNVHPYSIKTDTACSFSYLSKQMFLDILQSHPGDYVIFQVIQQTYRMIIDQWTFENQKL DLGVKCFGCGETNHEIDQCHRLHLIINKQIVLAKHLFSVPNKRIQYQRNCRRTTNAKFGQ RLFEDAVSLFQENNYSFSSEEEEPNPSQIERTHQTKQTQEMRYQEPTHNTHRSQQQQHQQ SKNVRFRKRSRSLNSISVHSDEESKFQKSISGYKSNDSQIDKISGIPVKVQQLPSSQLSQ SSFNVFLKEHPKRKISKQQDSDKYSNHSIGQRQQQQQQQQQQQQLYQQQQYQHKSSSQSS SGNIPQSSIGISPLPTGSPPLLYQEEKQTFQKGFVDDSLFVAQERKKKSLIGTSYTNTKS IKNPLQAIPSVSEMNETEKNNNNLKEASYTSKQLSMQSRSRSQRYTISIRTESQKIREDT KHDTNQKHEKHDFKLKTDTYKQYHESSPLNEEQFHNTFEQACNLNSYYPQYNKDRIIEKY KKQQCRKNIYLYQFKS >CAK78861 pep:novel supercontig:GCA_000165425.1:CT868318:542440:542646:1 gene:GSPATT00001902001 transcript:CAK78861 MNKHSGASTYQNSQRQKSHYSQQSNLPEKIRLESHGLIVAKEKEKKTKKEEIRKYLKSQN QKYIKDLY >CAK78862 pep:novel supercontig:GCA_000165425.1:CT868318:542699:543432:1 gene:GSPATT00001903001 transcript:CAK78862 MNRQQQEAANILLKRVRDALNARGTKTIRSLGICFRCLDSYDGNRKLDKNELKVGLAENG VQLSWNEIDILFAAMDRDRSGTIDFDEFLVAIRGQLNPTRKAIVDQAFKRFDKTGDGKIT VDDIKGVYNTKLHPKVKNGQMSENQVLDEFLVNFGDVDRNGQLTYQEWLDYYAAVSASVD NDEHFVLLMKMAWKL >CAK78863 pep:novel supercontig:GCA_000165425.1:CT868318:543639:544389:-1 gene:GSPATT00001904001 transcript:CAK78863 MKVNKNLQDAILNLNSLSIPRTEIVIGRIFSKPGQLYKDLFTEDEIKKFQNNLNIAEQQL ANIVNAFTFVLMHVVAERNLSQVEEVLAQNGMSQDHIEVFRQQWIQYGNEYSIRIREKPI AVQDVLHSFNWKISLQVDESRLPQKISITDVANFMNVDGKIEDANDLYSYDARNPATTFV FETKPSGESNKVEKFGIKFQKAQIQDLFENLESIQESLDKLI >CAK78864 pep:novel supercontig:GCA_000165425.1:CT868318:544473:545241:1 gene:GSPATT00001905001 transcript:CAK78864 MYIPVNEVQKHFKSETPMMSNITHKSDQWSPYHDNSGTVLAIGIPGAVLVAGDTRLSNGY NILTRDATKLSQLTDKCVLATAGQYADFIALRKFLQQRLQLYEFQNEVQASTQTVAHLLS RELYSRRFFPYYTFNLLAGLDENNHGVVYGYDAIGSYDQMTYGVQGSGQELVVAVLDNQL KGYNKINKTFPQTQQEILDLILDCFSCAAERDIHTGDNVEILIITPTGTERIVKPLRKD >CAK78865 pep:novel supercontig:GCA_000165425.1:CT868318:545282:546664:-1 gene:GSPATT00001906001 transcript:CAK78865 MKSVAQGQQKDREVNNAIIECYNDKLLMKWFLKLRKASKILKKRKNNEKTIIKKKKQLYF DKWVIELQNYRLHLQLLQDSTIYYNEVLIKKAFKGWKRHMLDSKKYQTQLEQVCKIFKNW RNYTKEQNNKRNSKYQPIHNKWRKQTFFNYWKDATLDSQKDKLAKGFYMYKIFQSWKQYI EEIKQIQLKLQDKELILTVQIEKKRKKRYLLFWRRAYESKIEKKGLNKVMLQAKLKQRKL ELLIQGFRSFKNNWKLNKYSQYKRIKFIQMIFYSIKYFTDLSKMKMEQLINYQNKKRLQQ LSKVFKSLKYDYDLIKQKDEKAQSYYNKRLQRDYFQLFIKGVIKQYQRELKLSSQFYIQR RIIYTFGALKSHWESQRRRKINKKKLEKYLGSKQFKLLKQSFKKMRAHLLSQRKGKKKLL QLEFNVFYQWRLQTKLKMLKKQY >CAK78866 pep:novel supercontig:GCA_000165425.1:CT868318:547022:548999:1 gene:GSPATT00001907001 transcript:CAK78866 MEQIQYGSASEYKSHIFQKNVSYYVELFIFQKLGQEAELNILCAFSRLPVKIPVRHQNIV NIECIYDLEVWMEFFAIKLKEQNNFSCPGCKQYVYFSDFGIDFGLYHILAEKQLLEQSGQ KLLGNKIIYSLNNGKVIYYALSKQDKKTKLKIPGTNPIFRLQVSKNNLNMKNLNPRQQDI LDQMNYTISKIKDFISFKMHQGKQKGIQINQMKQVLKAKLEQLKTTFRKSQNLVQLGVKS LESQFIFGLKKLQKDGKNAGSVLIVYYPHLGIWQDYEIKTTPEKHFQYEFQLYAKEQGSE KENIIYVIGGRVKNFESSNLFVRIRFPKDPFTLEKSVYVDYLPNLPNAAFNYLGGCYDNN VYIFCGQKRTLDQQGRANDTIFDVGYTFKNGQWNKLNEKVEKRYDGSCTIINHQKYDKCL ILYGGIEQLLDGRVGYNVQQQQHVVQVFQFKQEKFLGKGFKLKFSDNHQDNYQKYMFSCP IFSIPYGTHSELLIAGEFLKTNYKEGREVYIFDWQEGTITKNQLQTQPLEQILLSNIQKN YNYSAPELLQPVQDIEGAFLFGNYYTIHQEEIQIDSRTTFQNFQLFEYKAANGQVSIRPF LQREVSIKQAIEALQNLPNQENKNL >CAK78867 pep:novel supercontig:GCA_000165425.1:CT868318:549090:550831:-1 gene:GSPATT00001908001 transcript:CAK78867 MQSNQQQLKTSNQLKTNKPPIDIRSSRNNYEQSADKSPINKSFNDKKKSIPKAHAILQEL FYKRQNSQEQLFKRTSSPKSQLDNTSINKSQRSISPQILYQMPFEIKKIYQQINQRLDQQ KQQQNCKNKDTQKYKTEESKSHKNESTSSTINDSDEQIQNLINLEEIVFTIMAVISRKKK VINQCKNYLNRISHFILEEKDKITQQILSKQIHLERIGILVILYKALSDTFEEDQQNLKN LLFYIHNSMILHLQLINQSNTLSQSQRALIQARLNKVRPQKNYQFLDINLIRKNCNVVYS LLVLFVENSTDDKLSSLEKTLANLDRITLHQGTEFIKQEYQKILSHIEQLRLTMDSQDFQ FDYEEVIDCYQIPYLSKTNRYTLVIDLDETLVHYQELVDEGQFLVRPFAQQFLKDMSKFY EIVIFTAAQQDYADFILDLIDEDKSITHRLYRQHTTLVKNTYVKDIQKIGRDIKKTIIID NLAENFQLQPDNGIQIQSWYGDLDDQALLLLSPLLIQIVQKKIPDVREALRKFRDQMQRN IEAGISDPHLHLSLE >CAK78868 pep:novel supercontig:GCA_000165425.1:CT868318:550884:554174:-1 gene:GSPATT00001909001 transcript:CAK78868 MHFFVHEEINLLEAAQDGKLDFIKKQLLSLTSKQQDSLTSKHLQDACKKSDYYGRNALHY VNKYFIKAAYRGHYDVVEYFLDLHCLDLNSKDNQGNSALMLVCVRGYNQDIDESTIKPRD KQLIAETKYKIAKLLLQRGATLGEYLKDYINNPLHWSCFYGDFKLTQLLLWKNPNLSKNN SKIVLKKNDRKQFPIDVALMKGKDQETQKKVVKYLIVKFLAHYFKSEQHIQQLKSLDENM EESEFELNQEEIHKDTVDRRKYEMVGLNYLFWASVLGDLELVKPLLKQQFSPFAPSYKGR NAVHAAAYHGHDKLVELFFESENQIKKFNVKKLVNIMTIEKPQSALHIAVEQGHEKIVRY LIKIGADHHLYNFRNQTAFASSRSVAIKELRQTLLKTEKNLIKSGYKYVLVGTNASENLV KQQLDNIKTKVKVGKFKAVPIRSYDESCFYYIIKVSNELKNLVADYEKMMIYNFKEGIIC QFDRNKAETYENFHHYHDQQIILSLLYDEFDLDQFLHDKLLLDHFPLHDDEEKVLIYNQW KREKWELLKEPLSLKSNQCRTPSAIKAYFGAEVGFFFVFLSFFTTWLFLPAVPGIMLGVY VYATNEINSLFVPIYTIALAVWATIFFEFWKRKQSEMMFQFDMHVETEEKQTIPSFKGQF WIEDVTHKIEIKYSTRDRWKYYKSVTPLVLLAVILIAGEQIGYYYIIQLKERTTEFTILC SIGLGISIKITNEIFNYFARLSLEYENHQYQNELEDVYIIKVFSFAFLNSFGRLFYKSVI DPDADELNIMSITFTIVWAVMHYLRFTIVPLIHYSIKKYFLNKEFELFLEGKWRKMYTSQ MASILDNETQNQTNKSFDRKSMGYKKFLRSVEQNKIMIPTPNHVDQFTYFILQFCMVTMF SASSQLIPIAILLFNIINLDGLLYGFITFVKRPRAEAKKSIGLWNSVLLIVGYIGTIINC LTIYYANQDQLNNLIGKVDETDESSQALRNFLLLIAAEHIVIGLKFIIETVIPDEPGWVT KVLKRQEYLLEQMMKNVENDGSQCEKLKDD >CAK78869 pep:novel supercontig:GCA_000165425.1:CT868318:555179:557218:1 gene:GSPATT00001910001 transcript:CAK78869 MEQNISIKCPIHRRELIVTGCINPNCNRSPLICQMCPDAHSEHYSNIVQISEWLQKVAKT IQEGSKTLKQIKDINQIDSVLKWCEDNEMHLKKVDEHCKAQKALINEDFNYLKDLFVEKC NQAQAQLEQDIEDFYTKYKEQFKICKLIMDDSYILQKQCNPYSNVHNLIYKLQQSNSKEA QELINSIRKLINKPYDPIENIKQCAESITEMTYNLPVFAFQDGVDSFVNQLADQIHNHFK TKLNITLPRKIVSPIKYQPKNLHKQYSLPTENMTHNYNSNQNVSKSPRINQKLTFSPQNS IAMHSKTIVGQCSDFTLKPLKKYQLDFNVSAIEVITPQIFAMTCSNDPHLRVFDTIQKRI HTLSTHITPIIQLHKSIDQVVPLQQHNNSKPSTYLFTLSNEQLVVWAFDFTNSSMIVPYI YHKYLFNNQITQTCYLQDNSCLVLGDQVGTVEVYNFQSSKLQQNGIKGKHQKQISSILLL KKHDKFICSSYDQTLSIWKMLYNQQSFENTYCESIIQCGNMLGQIQIINQFQSNPNLLLV GNLEGSLKIVNLMNQCVILESDIQKNTNSICDFIIAEDANKETISVITYLQSSKSLRFWR LQNNDMGWAQNEQKIEVALSLSENMIRSKLQLVQMPSSTQNTVVLIANEINKELLVYEII NKQ >CAK78870 pep:novel supercontig:GCA_000165425.1:CT868318:557243:558864:-1 gene:GSPATT00001911001 transcript:CAK78870 MGLCRSKARQDKQEISKQPTTKKQETFEVGPDNFATLKQGQVTSYYRVEKSLGKGKWSNY NVGAYGEVRLVIHKQTGQRRAMKQIKKDKIVKEDEENLLNEVTILKQLDHPNIVKLYELF QDKNSFYLITEYLEGGELLQRISEYKTFTEKIAAEFLKQILSAVMYCHERKIVHRDLKPE NILLESMKQDSNLKIIDFGTSRRIQENQFLTKKLGTPYYIAPEVLKKKYNEKCDVWSCGV ILYQMLSGQLPFDGQSDEILLKIDIGNYSFPTENWNGISDQAISLIKKMLEKEPSKRITA KQAYEDPWIQNNVHVAKIDARQLKNLQSFYSKNKVRTALMQFITTQVMTNQEKEELITLF KSIDKNGDGLLSKEELLAVYSQQYDPLKAQQMVEEVFEKVDINKTGAVDFTAFVSAACQQ EKMLNKIKLEQTFKIFDINGDGQISKDELQEIMGGIDDQLWQEILQTCDGNGDGEIQFDE FITYLVQKY >CAK78871 pep:novel supercontig:GCA_000165425.1:CT868318:559292:560091:1 gene:GSPATT00001912001 transcript:CAK78871 MYSNSLQIILVLNTNQMIVQLINIIYFGMWYKNFSKQSWNLRVWRKANILFNQDDIGMFK TKGVLRWKDTVFRMARSEACLRGFNFFFFAGMIGSFIWVKSNYYDPKYVAPKKVESEKEL ERLDAEADKILFKNRLEAYSRPHRSLEDLIAFLSGSKTFDQFD >CAK78872 pep:novel supercontig:GCA_000165425.1:CT868318:560193:560610:1 gene:GSPATT00001913001 transcript:CAK78872 MDLFDQFQRFKTTLKTQIEHRPHSQQRNTVLKTKFNQSTLQTQQQQQVQDVQRKKTLQHH RSSTLFSAAKENQDKVQKQQQQQQRFTMVHKQLPEVLPICPIFQLIVVRVKQTRYQPNMS KSQLNYQALLCIK >CAK78873 pep:novel supercontig:GCA_000165425.1:CT868318:560628:561643:-1 gene:GSPATT00001914001 transcript:CAK78873 MTQERGSDKKQNNICFVRGGNELDDNFNEWNLAESGFGRQSFQRNSQAPRMQYLMDRESQ QKQQDEEFVEKKSLMSVEDQMLQKQSIQSFQSRGSNFNLFNRFSGYNINDQQPKNSLTFS QTFEQQQKFSLEKSEKSEKSEKSEKQSLEQRNSSFRPSNISNRKSKENELEIVFEWQDES NNQNAIEDLINKLTLEKQCEIIPDRLSLIPLSKQGCICKKTQCLKFYCQCFQQGKFCGET CECLDCCNNEQNKELIEQTRSQINRNLNDEVKCFCKKSKCLKKYCECYNAGKKCGVDCKC EGCMNYEINLHEAQRLSEFQIQQQKRVQLS >CAK78874 pep:novel supercontig:GCA_000165425.1:CT868318:562114:562853:1 gene:GSPATT00001915001 transcript:CAK78874 MSGFKAQKARNKPIVLNLLNCIKQGYDGKLYDVMDVNTYIQLVSDKQQSQNIPKSLKCKC NKSMCLKQYCDCFANGNMCTTQCQCQGCHNTEDYKEERGEAINKLKLQNQSIEKEVPVGI SCKCKKSKCLKRYCDCFQNNQKCHESCQCSNCSNQQEKVEQGDQRLMMNSISQFDENSKL PKSPIVYNRQGFFRRNDSMNYSNSFGYSRRMLVQHDGPYFLKQDSQGFN >CAK78875 pep:novel supercontig:GCA_000165425.1:CT868318:562897:563132:-1 gene:GSPATT00001916001 transcript:CAK78875 MGQCQVPQMQQIEDDFAAIQRNAVTLKAVPEKLNQLEAQINGIKSTAEQLTTSVNHITQT LENIRKSLP >CAK78876 pep:novel supercontig:GCA_000165425.1:CT868318:563183:563782:1 gene:GSPATT00001917001 transcript:CAK78876 MSMSDQSKTENRIFVTGYSTKDKNEEDIKTLFSKYGEISEFSWKGRFCFIAYSKAEEATE AVNEMNQKDQDGNTFVVEIARAKKKDGECYQCGRIGHFARNCRQKRRSSSSSSRRHKRKS KKYKKRSPSSSSSSSSSSSSRDRKKKQKTKKRKQSSSSSD >CAK78877 pep:novel supercontig:GCA_000165425.1:CT868318:563804:564907:-1 gene:GSPATT00001918001 transcript:CAK78877 MSHKSLLVLLLVTMAIATESPALQQLRKKLEQSEYNSQLVDMLELSLAGGQLDRVFELLQ KMIDDLTGQINSANLEYASRMAAFQQSIEQLEANLASLQNEVQTTNRKIGEITQSISTLT STSVSIKKQLEAINQREEQIRDNRAKEIQSVQTNQTAAQKILGALEEIHDKLVKAVLSNS GSFLEETEKQEIIKQVKQELGHKHPLALLLDLSVKFDEVTARKAIELIEQIIASIKDGQQ FREENQTAAEQNFNSLINEVSILREKLGQDNQKTSSSLKNRQNDLNIVQRRNKQLTLNQE NTQQLLETTRVQKDLYDSNFRSNASKREGQLNSLKTAFQILRDNEQALKK >CAK78878 pep:novel supercontig:GCA_000165425.1:CT868318:564938:566216:-1 gene:GSPATT00001919001 transcript:CAK78878 MTDLIKQLDGMFTREHLEKNKYLLKNLDPNLNLPIVALQKEYSLAKHSIEEILGALKQCK NCKLHEGYVTLLIPPHTKNIIINEISQTNADFKAYLIEKYLKNVECEISFEGGCCRVTFK DDEIGLKFLDQAVNDPKDPLKAYVEPENVYQSLTKSLGFNNQAQYLYQYNNQMFQNLYIR KASDDVAAQTQQEKTAKPYYPPVQQQQPNPRKQSGQERKGSEQVEHQDKKTKTPSSGPKE IYVEKQSLEKQEQNVKNVEKPPKVQQSPYVEKKSTSRKTSEQIQPAKHKGSKDIQGSPIR KATIQYKKDNLIEIFKQIQGNLKANPKLQKLSEQDRALLLRQNGELTLEAIHPTPCIRKE SYASPQQHIKQSPAQIPQRKPQQ >CAK78879 pep:novel supercontig:GCA_000165425.1:CT868318:567247:569057:1 gene:GSPATT00001920001 transcript:CAK78879 MYNYNELDQLVIKGSTRFQEVNFNQPRLFQPKLNIIKLKGFQQLVDLIKQQESFSKHNQI PQINTQKNLPSILAYPPKAELITTSKKEISIRKYSETRVYGRKSFTETAEPHSLEPRRIN TIRNKFAIKKAFPNKQEEQNMFENPSDFATILSFSNLLMIIPQEKIVYKAFITKGNNGQL VRQLIKSRSWWILLDTPQTDVNLYWTQLRKQGFYKDLITLTGNQSTFTKGQKKQVYDKLK LKLDEEKYTNFVSSNILRVHNHLEGNFQISNKKALYYNMKSYYESIGQDPFQFIPLTFHI QDGIKDPVYQQFEEYARKNNINVWIIKPGEQSNRGNGIEVANSISQVKRLVSYKELHSNG VKKTFIVQQYINKPLLYNKRKFDIRCFMLITCINHQFKAYWYQEGYIRTSCKEFNLDDTD CKYTHLTNDAVQKYSKNYGKYETGNKVSFNDFSKYVQEIYNLNFNNTIEQLKSLCSDIVK ASYQHLDPNRHFYTFELFGLDFMIDSDFKPWLIEVNTNPCLETCCPLLSRLINHLVENTI RIAIDPMYPAPTKKKPLPEFKNNFELIFYTSLKEQCGKLPEIQQDDDDLDVDDDN >CAK78880 pep:novel supercontig:GCA_000165425.1:CT868318:569079:570268:-1 gene:GSPATT00001921001 transcript:CAK78880 MSQSTRARANSQQSQISKKSIRKYSSDYYDSDASDSEPMIKKRIVKIWTPEEDQMLQDCY EKFNGNWTQVAQAIPGRNQSQCSQRWKRINPNRIKMRKQWTEEEDRQVLRLIQKFGKNWK RIENEMTGRSGKQIRERFINKLDKTINHDPFDEREDEEIYKLYISLGPRWSEISKNLIGR PENSVKNRFYSHIKKHYNIQTKESDSDGKEITPQQQYSKCDFTGKDYLIEQIQKEDKKLD TIQSQFEQENSINNLQQHNHYMQTSYNGLGKADSLNSNGDFLQFAQQMSLEQKHLYATDI NQQGSNIFQDVQLPFHDLLDDQQDQQSLSKKASLYLRTDSDIVNQDLQLQYQLSKMSMEL E >CAK78881 pep:novel supercontig:GCA_000165425.1:CT868318:570428:571312:-1 gene:GSPATT00001922001 transcript:CAK78881 MDSSNSQDDSYQKDSLLDLILKQKKPAGYEKEKISIDFSNSIMSLGMFKKPKQIYIQNTH HTKEIHISMIKDQRSISLLSKIDILKQGKIQRIMSYSRQIFGEDQQIEARVQRVINTVRR KSCLCSNCGQQSEFEKRSNTFQVRPQHQINSELRIMKYNTRNQIKQQSLIRMHKIKQLQS KKYHISSLSTQMENQFQYNQTPVLVEPPLVDLKLDFRKRITPKPVVLKQYLPTQKNYFVN QYHITTRVKANITPIQKTLPSLQSITQSRKHLFQSKFI >CAK78882 pep:novel supercontig:GCA_000165425.1:CT868318:571477:572736:-1 gene:GSPATT00001923001 transcript:CAK78882 MYRFRQLWKFSTLQFHKGVSEHQNVSQNVVLRGVTLKGRYNDLPNMLFFPEACDPVENWI PFFSDPANKILDYRNVHILSPRNFGTSDKHYSFDVEDLANDVVRYMYYNKITMATLAGHG FGAKVALAAGSYHPERTTGVFCIDYSPMDQRYHEAFTEFRGFIKKLSEINTKEMTKSQIE AFLKENIECVKWRSIFSDNLVKLPSGQWDWKFALKFLNDNIQFNKADSLAFWPVKAGLYT GRAHFAFPEFSRWVHLGTNTLPMLKVCPQVRGFGHDVHSVQGDNNTLNHWIYEFKDQSFV FASRFTKFLSMYDGVHLLLKDRTEVGKEFVPSIIYSKKDPNHVYSDYSPAHYYHNWRFNN VYKNLDTQNK >CAK78883 pep:novel supercontig:GCA_000165425.1:CT868318:572761:573539:-1 gene:GSPATT00001924001 transcript:CAK78883 MCPNLTLIMLLLLLGVGSSQLCTWTDDSGYTYNLKSLDKDGGWQLKDETSGMGMFSMVYI FNFCDFKPIRCHDRQVGAIEALAVMGQITENCDVAGLVETQTFEHLDQRDINKGIVVKYT QGDLCMDPKQPTGVMQPRQAHFFIECGDDDATFTVLPDNECIDQFKIRHHVGCRNASSHW FLKFIFIICLYFGGRFLYNRKKLQIEGEEAIPHIHLIKTLIPQARAIFHFGVDRLQTQVQ RFRHGGYDSI >CAK78884 pep:novel supercontig:GCA_000165425.1:CT868318:573580:578494:-1 gene:GSPATT00001925001 transcript:CAK78884 MSEQQTPNRGRFLSPQQGNQQSRVNSESHIIIPNDKMKRSSAGSSVRVINSPPVAMTQSA VVNQLEYFQMPNGSIQPMITSRIVPTLVRPQQTIIIKQDGDGSDLDKVSQLQIEVEQWKA RFFALQITSGGTGLEELTGMYEMRLKILSDENGKLLALIKQLINENEQKDLAIAQRDNKI YQLELNQHEHDALQELLKKKQDENLELRGKLQGLEELLIDARKQEIENFELQSKIKNLEN ELAMWKDKFKLSEEDRNRLLQELRELLERMNQLNLDSVKRGQLSTMDLLEDENKKLRDQI NQILNDLDQWRKKALTLELQVFDLQVQVTDQDNNNKLLVKEIERLNQQLASKQQEIERLK TNLQQAEDHIKELQGLKQQIQEKQRVIDELKRKNDEQAKQLLENEKLKKQLEQIRSYNTD LQKQNGVLNYQIDQLNRTLENKQQAYDKLNNKLKFVENDNNTLRQQVLEINELQIEKQSL LSELSSLDKELKLKSEQLANVEKELQNLQEKLQQLRIIELELNRAKSQLDMKDRDLAELR KQIKDLTVKNFELEQRLKELISKETEYLKIFQQCETYKSMNDQLNLQLAQLEAENQQLQS QVDGLAELQSQLKVLQQKYEQTLKINSDLSSRNQQIQQQLQNIQQQKNVNNLRDNQINIE LQRNNTLLNQKSQQIEDLRLKLAKAEQQIDTLNNQLQNKQQELDNQIVLNAKLQDRIKEL ESELLNLKKTVQSLQDQINTLKNDHHLQLQELREEILRKQEEIKALQKLADQYKEKYLEN DRQLADLKGLERKLNDVTIQKNQLADLNTNYQDQISKLQSEIRSLQIKIQETHLFEDQNK QYEAIIEKLKAENTLKSKEITELNIKLPTYMQQVSDLEKKLLRTDQQSQIYKNEVERLRQ EKQNLIKEIEELKRKNKALEQKVNELSHLQELIPQLEQKANRLQNQVDKLAKQNLDYNDQ INLQNEQLSQQELQIRTLFQVKSNLQQLESNYSLVVQQLNDQRLQSAKLEQAFFNEQQQH QKTADELKKATKELDQLKNKIEQQEKYIKELEGKIIKDRILEQELKEAVGFNLTLDTKLN QVRQENESLKNEITQLKEELEQWKAKYLQKDNEVKTLQVYKAEAIQMRLINDELTDNFNK LTKQLKAITLEKQIMEEDLQHQIADLKQRIAELEQLVAQIEPLQTQVNDLQQLVNKLQGE NKQLAKKLGEAQTKVKELEGKIEAYEVMEKDYQKLQTNYAEKAQDLRLTKEDLDKTTLQL DKVTKEKENLDMRIAMLAAEIERQKHLNKNKQEKIDELTNQNTDLSNVVAQLEPLDPENT RLSEQISEMKKKADQDQLDLMKKDDEINKLNNEVYGLQNKVALLGPEIERAKLKYNGAQN TIKELEEKLQDYEHLQQELQSLDNALNQAEEKVQNLEKENSTLHQTLTAKSDELNQAKAS VNDLQNQLNLVNEQLINQQQLENELQQRDHENQQLKEKIGQLQQQIEQLEQIKYDNEGKI AMLATQIEALKYKYQVAENKLKEQDDIIGQLNDDLDNFDKHIQELESENQDLKAKMQQQS IRAY >CAK78885 pep:novel supercontig:GCA_000165425.1:CT868318:578729:579238:1 gene:GSPATT00001926001 transcript:CAK78885 MSEENISQRNQFELVKHANAVKRFENLEKLKLIDRLETLEKIKKSNDRLEIKKFMFQLQQ RMFDAPDQFSIIKFSIGLKLILENMPKEVQRNQSVIRNFLALLIHARLQQPRNKQKQKKL RLNHLKCDSFNQLYMIAIIHTNINQSNIHLTGLNDDQFLLFKNYRIIFI >CAK78886 pep:novel supercontig:GCA_000165425.1:CT868318:579460:580011:-1 gene:GSPATT00001927001 transcript:CAK78886 MGSQPCCCKTPQDPIQEMKLKMEQRNTNFQIFVADLKKPIPLEQILPLQEEDDFALFLVM EQYDRLITKNKRKSKSYSPHVDILSISKENLTSYYLKSKSKQKPSKKTRSLTTKLSTSFQ SQGIRSILKKKELINNKSQYFQQVSDVQKSVKSVHFDVALSPKNSINRKVNVLKKKIFLR SYL >CAK78887 pep:novel supercontig:GCA_000165425.1:CT868318:580647:581174:-1 gene:GSPATT00001928001 transcript:CAK78887 MGQMQQICAKNISNCDSSITDLRERTIKRECFKNKSSKKTYQQQCAVITETINELKLQLP QDEDKQNYDVETNISIVESIYKQETKNHSQRELDEITDLNANNKTDGIKNRSKSVFIHNI LPEIPPKSILKNKEVIQDQEKRNSSQQKRVRFSEKLLQKYKLLPKQTGYKIVSYI >CAK78888 pep:novel supercontig:GCA_000165425.1:CT868318:581297:581803:-1 gene:GSPATT00001929001 transcript:CAK78888 MGTYPSCFCCPQSYSNGQQDTINDNEMHVTFFKQPLFNQAVQINQDDDASLLEIMATYEQ LIIRKSISTSFHCSSNIRSRSISADNKQQMNKESIKNAKHFKRRKLLYNRSLSANQLINN GSKQSHATYKPTSILKKRSYTQESQLQKRFKNNKVSFLPFILQCNTKI >CAK78889 pep:novel supercontig:GCA_000165425.1:CT868318:582606:585061:1 gene:GSPATT00001930001 transcript:CAK78889 MQGLNNQNYNPVVRPKTLICYICGREYGTKSLEIHLKTCQEKFLMEEAKKPKNQRRPLPQ PPKAMGGSGNYDTESYNEQAFSAYKEQSLEKCAYCGRTFNRESYPIHLKICKADKPFKPL PGFVQNPKEVQQQMNRDNGGGQQGKSNSNGYQGEYKAPVRPKTLVCYICGREYGTKSLGI HIPQCKEMFIVQEMKKPKAERRNPPTTPRGLWDLLNKEDITMEDIIAYNNGAFNKYNKEA LVACKNCGRTFKPEALEHHIKACTADNPFKALDAVEEGGTVELVPCKKCGRKFLPSRVEK HESNCKDIKGASQTQANGFQKQQQQQQEQKQQQQEQKYETPQQNQKQQQQQQQQQQQQKQ VQKQQSFSKTSVNFNDKSLKCYKCFQDCNNVQDLKQHVQNCKNQGTKPQIYDDLIQIPNP SQALQTIYMSSVFENFQKNDNSSQKVNEQPKQLSKQQSQHQEKQSVQNQNNYSDEDQQNQ EDEIDLIACEKCDRRFAQDRIKKHMKVCKGKKYFEKKEHVVEVQKAPKTGWRKYHEEFIN TVKYNRQVKKIQEEGGDIKQLGPPPVSSNSNYVQCPYCQRKFDPSKAEKHISICQNVVNK PKTIQEKKQNQQIPIPQQQQQKPNQQNYSQQQKVQQTNQPQQNSSNPTYGRVFQLNDENP QQKSQQQQQQSNNQQLKSSSTRQSISSQKTPQPSSKAVGQQQQLLSPPQPGNQFRIRSPQ TTQKTQQVKQSQNPTKIDNNIFRPPTSGRTQELTKPNSQLHLKPLSQNQNMFRKF >CAK78890 pep:novel supercontig:GCA_000165425.1:CT868318:585180:586067:-1 gene:GSPATT00001931001 transcript:CAK78890 MFLVNCLKKIITPVPNCQHYQRNCDKKAPCCGKFYPCRLCHDSDQKGSTSDRCKTEIMDR YNVTVIRCRKCLCEQPPTNKCIQCGIKFAKYFCSICKLYDDDPNKDIYHCDQCNMCRRGV KENNFHCNTCGICLSKSIQNSHKCLNQAAENDCPICLQNLKASTSYIMQLPNCVHFIHSK CFNQLIQSNQRNCPICSFPIFKMTLNEIEQYDKLAEDSKKLLSPQVQKQKVQIKCLDCRE ISNDISSNYYLKCNHCGSYNTKQ >CAK78891 pep:novel supercontig:GCA_000165425.1:CT868318:586949:587308:1 gene:GSPATT00001932001 transcript:CAK78891 MKNIVFAIHAFAVFRHTLRMVIDGGFEYRFVGVTKILQELNQIVYRQNVAEDVSFKKNFF FRKNQANVKCINSPIQVERENFEIVPLEVIYNQIPIQNTILDIPKNLFDQNIQKSTQNE >CAK78892 pep:novel supercontig:GCA_000165425.1:CT868318:587500:587979:1 gene:GSPATT00001934001 transcript:CAK78892 MTIKGYFGIIYYNITQKIAQQFRIKSNLHKMTLFLKQNIFSSQNAEQLPQTVQVIQLNQY ICFQIILPKRQAYLINLILGCDNRKLSISQQKEFLNFARIDPYGHSFMIYNSIELKNKVN QWQLTLPWITPHYAIKSNPIEPLIQDKVIVLLVNNQLIE >CAK78893 pep:novel supercontig:GCA_000165425.1:CT868318:588012:589093:1 gene:GSPATT00001935001 transcript:CAK78893 MDLMELLIVLQKEKSKCQSWFIVIQLRKKKTSSLQRAKEFKSQVQIIQKIAPQMKILWRI SIVEENPGQMATLFSGKFGDDVPNLDAAHKRFKQIQQMGIQLHGIHFHCGSAVQGSSSFG KTIDLAQDYGVVRYRRGFPTGNIHENAIKALKRTENDPLGYEVIAEPGRHFSANSCSLLF RILTKRIKHGRLCYHVNESLYHSFNSILMDGISFENLNDQFYSVLNSDESQNSQISDQSN VSIFGMTCDGADVIANNITVPTDMNVGDWLCMQGMGSYTIGPKSTFNGMKSTTKVYQWSG QLEQQSQSQPQIAISQFF >CAK78894 pep:novel supercontig:GCA_000165425.1:CT868318:589373:590641:-1 gene:GSPATT00001936001 transcript:CAK78894 MSEEDSQELESEQEEDRVRKKKKNRNRNNNLQKMNQTIFRKYNAFSSYAQLDRGQQKQYN DHNPLEYYYQNNVPIPYQPQVNYQDRLQKHQYQIDNANLAIKMLQNPKMQIHNYYDNYPP SAPHYPPSEQQYNPHFYHQPNAHHQPNHYQQVTPSPQYQRKRSHEEIIPNPYLEQSNDQR RRNSFKEYNFIPPSHQNMSQYPVQEQYYNQSPNQYYPQQQQGFQQPNQFLYQQPIQDEHD YYQQQNYPKQSQDNKLYQQQRPSRPPLPQQNDQKEEKKPNLQKSDDQQIDQEFQEFIRFK EAAKKLQKVIDDNEYQDFLEFKKSKLQQKTNNIAQQTNHAVQQTNNVGQATQQKQINDLY KRTKQIQSENELRPKFTETKFERKPGENYKQKYIAPKLDTNLEIQRAMEVLMKK >CAK78895 pep:novel supercontig:GCA_000165425.1:CT868318:590675:591065:1 gene:GSPATT00001937001 transcript:CAK78895 MESHENYRSYHSDNSSFFEPKYFLMFMILLFVPYFLWKNSNTKQEPIKDKVWKKFAFSYQ DMMNTFDQTDRELLKNTFHNTIFNTKPSLVTKDVRKLNKKKLSVQFDLNKNVTHLFQYNG C >CAK78896 pep:novel supercontig:GCA_000165425.1:CT868318:591247:591583:1 gene:GSPATT00001938001 transcript:CAK78896 MSKEVVIVEHNPKQIKSSFQRWLVLFSYSIIAMANVMQLVSYSPIWEQAAIYYGIPPQDL QWIGNMYYITFFVLAPFCIKPLLVRLDISLHLIGVISAIGQQS >CAK78897 pep:novel supercontig:GCA_000165425.1:CT868318:591635:592712:1 gene:GSPATT00001939001 transcript:CAK78897 MTLIGLFIIGISDAMILIVPVCNQIVHYEVLSEKWFSVFERLLATSLGSFFQYVGMAFAY GFSSFYFNVTDEQSVVNSKINEMNLMIAIFNTVGAVFLLMFLRNRPPQPASNSDNIVKDT IWKSTVKMITKEESVIDLMALGIFIGLGWVYTTIISLEMYPFGYTQAEVAINGTVYQISG VAVGLWASIKLDSQAKQGIQPDYDRYIKIFTTIGMITLILEAFIIEYLGFWMLLFVNFAM GVGLNSFYPIAIQAYVEKLYPATELVLVTGLLCLANMVGFVLNYLIVLPEFEQFGLWIGC LTITPGYLYILLNYKTKYRRFEQEI >CAK78898 pep:novel supercontig:GCA_000165425.1:CT868318:592756:595037:1 gene:GSPATT00001940001 transcript:CAK78898 MNNRAMQLLGMSLNVLISVLLALIECFINELSDSIIMDIVFILCGTFFSWIYITQQLGWN KGDISPFFYWALAIKRITLVGINSSEFIYFLFGFLNGIYSNKLVVKDQKMYYKQVRNAIQ VILISVLIVYNFLTNPCNHQIIFIISNIILLVLLGLYDNYESQFIGSKQEGFNTNQRNSQ VELKKCQTIQQPQSTKSIWEQFNCLTDDWICKIDINKFKFNRTLETKEQSLVLQNFLQEN KSNLSQFFSNLIIVRQSQNASIISQFELQENNTFLQWLEKNYLQETPQNFIKQKLFSDRQ NINQQAEDDQKSMLSHDRRYINQNDLSQDLSGLQPLPLNVDIQTSNNKTFLQCYLCLNQI VYNLSLSIFLVDDDKTNQSKQQSIIIQMKNVDKLIKSEILDQQRSIFYKHIGRLATHSGE ILKSVNVLKKSLQQQLKEFDYSLSFNDTIFKKSERYIGGISNPYQAVLNHTPESNTNQKL TSTHSNPHESQILNLQQLQIAYYQQSQEILKQIEKLNFDLIMMKQNNFNFFVLFSHAQLD IEQINISSSFNIVKDIFQQNPILNQHNIIITQEINHQTQVIIKSDKMKIKQILINIIKNS IENFELNKQEIQNEKNENLQTQQRQMSSKQDLKQQNMIVFKAHSDQDKIIIEISDNGGGI NEEMLKNRLNDCRFGLEATQKLLRFLAYDTQKPMEIINYVKGTTGVQGTVIKFALPISRD NFQIHEENKQHESLIINKRTDKT >CAK78899 pep:novel supercontig:GCA_000165425.1:CT868318:595331:596539:-1 gene:GSPATT00001941001 transcript:CAK78899 MKAQQIPRLFCVADYQNENFYCAPKHQRVQSSLAIREKTSNSFHSMIPPQKPQLKSNDEQ SYRTREGFQRVKTDSNMPMPLRNGRNLSITSGITSILSNKTIQLPSKIENPQMVNAYKNE IVTYMKDRSCKSIFKMTAFQFQTEITEKMRSILLDWLVDVHHKFKLDPETLFLTISIVDR VLELHQIPKSKFQLYGVAALFIASKYEEVYSVPHVRDLVYVCDNAYPKEEILEAEGKIIS ILSFDLLTTSPYRLLNVYQETAKLDLKNLMLSRYLIELSLLEYSMIQYSNNVLASAAIYL VHKIRRIHPSWSQDQMVSITGLNEIDIRTCAKEMCNLLQGQDKKQFNSLRKKFSLPKYLE VSKIRIEKRPSQNLQTLQY >CAK78900 pep:novel supercontig:GCA_000165425.1:CT868318:597177:598437:1 gene:GSPATT00001942001 transcript:CAK78900 MQQSEQEQQKEQVIAQNEIPDLIINPYEVVNNSKKQIDYDKLIQSFGCQRIDQAHIDRIQ ALTQKPVHHYLRRGIFFSHRDLTQVLDAYEAGKGFYLYTGRGPSGDSMHVGHLMPFIFTK YLQEAFDVPVVIELSDDEKFFHKSETTLEEYQRYGYENAKDIIACGFDVNKTFIFLSSQY AGHMYHNICKFQHAITYSQLRGIFGLNESDNCGKVAYPAVQAAPSLSSSFKHIFGKDEVM CLVPQGIDQDPYFRMTRDVCYKLKVPKTGCIHSQFLPGLHGFGTKMGTTDPTSAIFLTDT PKEIEEKIKKHAMSGGGMTKKEHQEKGADLTVDVPYHYLRFFLEDDARLEEIRVQYGKGV MMTSEVKKELITVITKIVTEHQQRRALVTDEIVQKFMELRPLSNYPPKKNN >CAK78901 pep:novel supercontig:GCA_000165425.1:CT868318:598469:599538:1 gene:GSPATT00001943001 transcript:CAK78901 MLTGKEELDKMNTKSGKGNAESEELPQYLRSSYSQTYQQLEPTKILKGKKVFPQFAYGNS HEAPTYFKLSNSLVCRESYDNPYIKTKVPPLSKLDSLKGSTLNNYEFYNISDQRFTNRKP TGYITNKITDTITNQVKIVQKPFNDDNGQIFTFESAYKSIQDKLFNRKRELFTDFVEKND LLNVYGPLNMRTRPKEFREVKNYALDSEQLKLLKEQRSRQQITPTNRSGFTDLIKTVRLP TISKMQGLDTDKYYNKDQNYLNQLSNSIIVEKIDQNIKHKNKTLQSFNKNKRFIKHNTED TENMQGIIDIFEKHLEFEKQYNG >CAK78902 pep:novel supercontig:GCA_000165425.1:CT868318:599565:600340:-1 gene:GSPATT00001944001 transcript:CAK78902 MYSQLKKNKTPDVHPLISKGQFSNDQKFYQELTQKLWESHPTDENLETSVEVPQMQTKRA QPYLTEENNLTLENRKLKETIIQMKLEMKSKLEADLLKQKNEFQTILQEYVAFIDKILQD KKDLQLQLAQCQRQEAQENQNELIEQLHFLQEENYKSLQQSEEFSNKLVQIETLLINLEE EKKSAYDKIEQLKLQNQQLKQHYEEKLQNLKQQHFADITKFESIVEEALNKKDCKIQRLK EQLSYYTDQF >CAK78903 pep:novel supercontig:GCA_000165425.1:CT868318:600379:602335:-1 gene:GSPATT00001945001 transcript:CAK78903 MIILRTLLKQRFSTQTSFINFSTRDGFENESDDDIDIIDSPKEEVKVVQNEQELMTLISE HVDPKTLIDIFNKNKYIFSLQHSLLTIRIIAHFLNSVKYYENEPIFRKGMGDIAILLNNQ IEQLTPIDLLELLCFKSKYQVKGQSSLLQNLDDKMLANNLNKILQNCTDFSIRHYINIWY DSQVLNMQLPQIQQLVEDRLQAEPLSSMEVILIIRCEMMKSQKKKYLNSSLIEFCISHYD ANSFYYDFQQVTTFYIMLLKMKYQYFNPYLESHPILIKMRQFLTDTLNVMDEHTIISLIQ NYQFLPVDVYPVLEIKIKEFLFKQLSLRPQKISLHFIQQFLINLEQICTAQEVEKLIDEI IQRLSLETNQHSLFQANKVSQIFLSLNKLTLTVNSRQKLSTFSDYVRQVCEFSFPENGLL YLRFICEQEVDSFLNEENAFARPLHSYAVTKNEKLKLKLSGHLNKKIKDNPIKMINEILS FQYQDQQIFKDLFPIIIIEFMKKTHYQERYLPHLFKLLNDVKSLNLLKDCLSYKKSDVYR LANKNNLTELQAQIFGGRLLQQIERYQRHGVLNLILNSNLAIQIGKKNPEYLATIMSIIK QQKDGIETYQFCKYLKDFNVDYRQLDQKKQWIYIILKNVQRRK >CAK78904 pep:novel supercontig:GCA_000165425.1:CT868318:602358:603876:-1 gene:GSPATT00001946001 transcript:CAK78904 MKLSLIFCFIALILAQDPTEAPAQEENPQTVEPPQPVVQPITALPTNIDTLISGHPLILI EFYASWCAPCKQFAPEYQQLTDKASKHSIACAAYDSQRDPDRYALEKFKISSFPTFIFFI DGKPFQFTGQRSADSILQWMLQLVNGPNPTEILTQDQFNQFLNDNDVVLFYQGSENNIND PNYWTFFEMSKTNSDAAFAFSYLFPIGKPGRLYYYSKEISEKKQFNQAFTKQNIERFLLQ NQLPDVPQLNEQSEKLVYSGATPAFILFSSLDEQSIKAEKAFLETAQLFKKTYQFSFAKI TDEKFFDQLNQLGADDNVFPKIIAWNQGLKYKYNGPDFTVKGIKNFIFDFRQGKIEKFIK SEPVPDYTQENTYKVVALNYEEEVIKSKKDVLLEFYATWCGHCKQFKPLYDQIAYELRDN PNIVVAQINAPDNEISDVYQPHSYPDVVLFRAADKQRKAIPWKGDSRTVESVLEFVRNNT IVTK >CAK78905 pep:novel supercontig:GCA_000165425.1:CT868318:604858:606500:1 gene:GSPATT00001947001 transcript:CAK78905 MVYHSYYYLLEQQTHLQLLRKYKLSPEPKNRYNQNGDVSNPEVVLIGEAELQRMKNNAII TTKEEQLYQKKLLEEQKEKQMAAAKAKKQKMLQMEEEKKRQVPLSTQQEEDKVVKDSLLA RAAEIMNEQMDDVKEMNKMVMYAKCVTVRDKQLYEKKELHEQYKVQEKRKDLMMEIERLK SIKYHEEKDKQRKVEQKHGHDIIIEQIKERELIRLKDKEEQEREGQVMLKQIKQLQVEEQ QKAMQKKVTQQKVQEEILEANDRAILVKEKRKLEEREEEEMIVKYNLQKAQKEAELLEEQ RRIKEEKEREVQRLREMQEKAQDRQAELDALRAKRAMEQNERQAREKERKEAEWKMKLNH EVHEARKLQQYEKQERLEEQARLERDEFQRVIQKQKQERENELKLHHEKEALVKKHADEL RKQISLNEEKRKQEERDKLEEGKKIRDKMLNEKKLLENIKETKLKTLNDNAIPDKYKAEL SRKKINIQI >CAK78906 pep:novel supercontig:GCA_000165425.1:CT868318:606602:606997:1 gene:GSPATT00001948001 transcript:CAK78906 MIVYNNSSIINISIFFSRNYYSFSLHQILIILISILILLLNFLFPSGQIQTLVEYQQFLQ IIVFYQFSFFLSLQALLIETAQREINFGMLTQILLSSFLNKNSLILKTIYQIFVQSILVI QVAVHQSIQPL >CAK78907 pep:novel supercontig:GCA_000165425.1:CT868318:608316:611189:-1 gene:GSPATT00001949001 transcript:CAK78907 MMPQQSPFQTLFENIIGQMKRGESQSALIEIDRQLKKTKFNQTEKKQLQLLKGSILVQIG ALGDATKILNDNLNQAELEVLQLYSNLCKDLNMMNNYFEKFKPQNLEQTKEFYSQLIQAH RFQEASTYAIKIFRAEGEKNQEYMMEYIVQLSQKEDMGGKIVEMFVDKVAKNIKLENNLK LCTNEELGAQLIKFQLKFYQNNKNKNVDKVQQILDNHGPLFVEYQQNAAISLWIYQNNPT DFTYEQTLKYHYQVYNSLATDQILINFECQEQLVLAFYTEPFQLEIEQIEKPFQIVPKDK LLSHIYNSCQLLLKTTTNSLALKQLILISLKIINLSQNPKTEEILKLVELLFQQQGDKYT FCTELFRAIPKLQLHKEIYNTIQKVKTQSKSKEAETIAHINLKKLEIYISEEPIKYLDEL VKLYNSHPVPEKVEKGDRLLQDEYLMIAIDILFDVNDFEKILRSLELIDLGLKNSPYNFD FLFRQIILLCELGQVEQAIESLTRLDIKGVQFETLGMTFAKSFLEFGGNLDQVERKNQQA LIFYLENVRESKKNLLTSFKMKNYVPFESFHQFENWISNSYIKLIYYFVQCTIFNERQKQ QSNVEYFCQQIQNKLKSASTFSIQETHFQSYFIREFSNSNQKYDNYIGIYKSQKQLLLET LFLTFNNEFNELKNDIQQVQSVFNVYKEIVNVQQNYNEAHLNYRNKLENQSLQIKYLEDY NEFINLERELRLSIARFYISLYQPQNDIPTNDFLVDLSKVQDLQKIIQKILNGQEMPTNS VRILNRFYKHTFPVLIVLIKQQAEIKQKLFSLQKKAQGDSKNILEKAQKQIAQTLSSLKD ELVQSSTQQLMFIKNELPSQIKQQLNKTINNKELIQTLESNLQFQALSIQTMIEEKLKQM K >CAK78908 pep:novel supercontig:GCA_000165425.1:CT868318:611421:612203:1 gene:GSPATT00001950001 transcript:CAK78908 MDQQQQDQVQYTTYENLQDQEIQKNDLSDWKQQFLFIDSLRAQNKFHNDQFKIQEWWDQL QPLTDSIRSNVSKNALMLIKETIQQNNVYDEKILHKLFEKCESDFKFLKNEALQTLEILS HKPYSDQLIQILCNITLQSNYKLQTHSYPTLVKIVLASDFNCDWDNIIKVTVQVYNGKSV ECKKASDQLYLALQKQRPEVLEKEEQLKLIGERINKKGPQQGFKDFLSKQKK >CAK78909 pep:novel supercontig:GCA_000165425.1:CT868318:612816:613507:1 gene:GSPATT00001951001 transcript:CAK78909 MDQIQVILVKEHFENDCTEIRFLVNNQEVLPKISIKLIEDYSEEFSSALYKHLLNHVQKE CRFLYFNEPLECKKQKRFNLISQLGVDVILKILNLNYIPIIRTNYSRYILEDVIFTTQPF QKGKRSVIEKLNQSWETQCRLKSKITKEFLQIIEEFKFYYRIDILITSNFKPIKQEKYSD YDVLYVPTEELIFLKTNKKQQLSLLFQNLEQIIKHDQLI >CAK78910 pep:novel supercontig:GCA_000165425.1:CT868318:613600:614704:1 gene:GSPATT00001952001 transcript:CAK78910 MAQQTPKHNNSNKINSNLQLSSNQKQFVNASQTIDNDVISSKKKEVYDRLMSSQTNRDNQ TEVSQYFDHLGGKCLCALCNCGKHKCNGKNCLHKPQLHGNYTIYQKEYQKKTPETGSRYN QNIFTQPKAEGDLGNVTTYKHDFPGYESKAELHKNSQKPTVSGVPFSGLSTYNNMYLNWG MGDTPQLLPQNNPTIIKEMPFMGRSIYKDSYQGVQAPPAQSCKNMNKAMKSPLSPPDLQF SAESIAKSSYKPFRTDRVPTAKSQQNANLNPSYNGQYNSEYRKEFDPKNLNQCPAKEVLE EVARNTQF >CAK78911 pep:novel supercontig:GCA_000165425.1:CT868318:614741:616542:1 gene:GSPATT00001953001 transcript:CAK78911 MSGRDFHFYRRRDKYPRQDSFVEQQSTPNFVEDGSFVGTIERKIQHQNQTINNLIKAFED SRFEQQRQIQYLERLKQAEEQKYSIQILEELKTLKQKIKKIEQSPPVLQSTPQMYQQPFF PPLPGQFQQGFPPQQYNYAQVPYSQNPFHQQSQPIQPQQYLNHQQQLKPKRPKEMDTLHK FLLKMLHEKEQQKNKDNKPKDNSDIRYVTDIESSQNYSSNRQNQQTPLLKSRSQRRSQKL KQNGIRKGHSENSQYIIRKQSQLSQQQSLGVGSQKPSSKKLVLTQLRLKQLMRKFKGAVR YFWIALTYLKFCKKIWNQKLVSFKQYSQDQIGSYDPQFNYLSVISQFYRECTLKKQLDKS WVFTQTIDIQARCQTMLNALEIFFRYLIVQPLDFTKEHIEFMRKFSLPKGYLLIGHSKYV TSRMNLRPNNTLNIETPEQSQMLLMEYSFIQILLPQIVEADFWKKLVNYKEALKVFVSVL HYLFIDRFYNLPLSKQQLPFNKDYVPIFDFSRNPVEGFYQLIDSNNPQYVESKEEVILGL YSKAQLHPLILHVGFKKVQENFKQYCDLIYSLIK >CAK78912 pep:novel supercontig:GCA_000165425.1:CT868318:616789:617448:-1 gene:GSPATT00001954001 transcript:CAK78912 MGELCSTGKKIKIKIYNSQEIMKILDEEDTRKNLESISIQLRNSVLNLFLIIDSQMTIIK QKLLFESDRSIAHNTLHKYLRILINSYYIPFQQNFLNVAFPMLELCVVKVRSLFKQILIE SYLAIKTLNSKQEIWWNSNYFQIRKRVLIQEFKMDVKSQKPSIEAPFIEYLQLLIDIIEV QLNSACVFNLQEKKNIQQISESHTGTNIFYSELKRNIEL >CAK78913 pep:novel supercontig:GCA_000165425.1:CT868318:617672:618794:-1 gene:GSPATT00001955001 transcript:CAK78913 MDTTNAPQIIEHLNKSLNFTPFVTRWIPGTAKFVLCGQPPKANGIIEILQLNKTELQTLA SIEQPKGIKCGQFLDNLFAFGDFDGKLKVIDLETRKTTFEVKAHDQIINSIDTCFNIGAP EIVTGSRDGCVRVWDPRQAAPVVSLEPIGKDVIPDAWSVAFGNSYNDERVIACGYDNGDI KLFDLKQNQLIWDTNVKNGICGIEFDRKDIQMNKLIATTLESKINVFDLRTFNNGYASLT HEGQKSTIWGVKHLPQNRDVFATQGGDGALNIYKYSYPSQRQIQDAEGKPKGVIGKLELL NKQEICQQPISSLDWNSDKLGLACLCGLDQTCKVIIVTKLNLY >CAK78914 pep:novel supercontig:GCA_000165425.1:CT868318:618862:619128:-1 gene:GSPATT00001956001 transcript:CAK78914 MNSEAVCLKLASKHFDEQIFQELLSEGDKNGLEYVKQVWEPTEVSTSVSMESSESCGYVK KIRLLNHINKYLDSSLHSTTIKRLRELQ >CAK78915 pep:novel supercontig:GCA_000165425.1:CT868318:619444:619788:-1 gene:GSPATT00001957001 transcript:CAK78915 MIHTPLMNKSNLTYTALYEEQVQIIQNQDQELIKKSEQILFLKNKLSELECKLVDTQGIL LNLSTRLCTQISTEMNEIITDQTVRERKSKSFFSFQKPKKNNRQFITYLKKQNK >CAK78916 pep:novel supercontig:GCA_000165425.1:CT868318:619986:621981:1 gene:GSPATT00001958001 transcript:CAK78916 MCDTKCECIPLQLIKSLQKKQKISDIDLQGCNVEHLTQLNQIKQEVEKLYLASNRIQNLE YMPLNLLYLDISNNSIANVDYLQQLQKLRVLRCGNNLIEQFEIHLPQLEILDISNNYLDK LPNKIPNLLSLNIYANSFKNYENDAKLKYKKLQILDGCIIQQSLETEYIIKLQEQQLAQK FQMNIDYLNKWRQEVFKQLVQNKQYKLLTYDHFKTIQQLVLKNFGQMKYLQMIEKRTRQL QQLQKKLFKCSSKLKRQQQQKQHEQQFLIYSLKHLLEEETQDEKLDVLIKKCSEQITKMK QQNLSIQIQMQGIQQENEHLKAQVDHFKSILLTQYLLEQINLKNEDSSNQDKSKGFVNLY SQIKNLEEEKLKLQFELNSCQERQNVEILHIKAQLEQQIALLGQENQFHKQKFNLQQEEI KIKMDQIQQQYEKQLSLQNVEHQTQCDKIRSDYQQIIDNYVLKDQQKNSDILKLRDLQND LELQIRQIRQEGDFSQREYSNQIKELQLQIRQQKEKIIDQENLILQLKQEMQKQQEIVEK QLEQANLEQQEIQNQLQKTIFDQSNEIQEQKASKNKLSKQNMDLLKMIEMKDGVIRSLTL ESTKKMENKQLQATGEQKYQTLPDQKFSTLFNQSEQKVLSAQSELERKALQILQDDDY >CAK78917 pep:novel supercontig:GCA_000165425.1:CT868318:622015:622812:-1 gene:GSPATT00001959001 transcript:CAK78917 MKARNIQVFVVLCILINASYSLEATTYMYILAQEWVGSVCNVEPSCPYMGKYDGQRWNIH GLWPNTQLTSSCGSIQYCRTDPYDSSKIQQNTKDVLNLVWNGLYSDTEAFRGYEWEKHGT CYPGEVSQNYFFQTAAGLAQKYNYYSILAKSEIYPDDSRPLVESDIRNAFAAVLGSSAKI TFSCFKHQVTGKFFLGQLKVCFDEQLQIRTCNCKLNGEDEEIEEKENYSQFSAQPLVSCG SVFYYPEL >CAK78918 pep:novel supercontig:GCA_000165425.1:CT868318:623462:624437:1 gene:GSPATT00001960001 transcript:CAK78918 MSKTILALGTIALIGALLMANQPQSVDYVSKFEAFKQRFGKRYGSTEEAYRLAVYTQNLL FAEAHNLQKGKRVFGETIFFDLTQEEFAQIYLTAQATEEDLNVTRVSARSNNLQASVDWT TQGAVTPIKDQGQCGSCWTFSTTGVLESFFYLTTGELPDLSEQQLLDCSTVIDFNKGCDG GLPARALNYVKRNGITTGAAYPYTAVQGTCKIKGGAYHIKGSQVLAKDEETLVAYLNKGP VSVGVDATNWQYYSPKDEKVFSDCDTKMNHVVLAVGYDDKAFKLKNSWSTSWGVKGYIFL ARGKNTCGIYDTNVVPI >CAK78919 pep:novel supercontig:GCA_000165425.1:CT868318:624745:636816:1 gene:GSPATT00001961001 transcript:CAK78919 MSGSPQVRKLNFGPLVKIKKQINLPKIRKQEESFQAALNISDYDPQSARDRSFNNRSFRK LVHTTNINLSTDKKISEEYAVYGLSKLKIERKEQPFQSERTIKHLYYTQQDENTNRDWFE ICLRQPPPHAQAQFYKQSEKQYEWCDVQILDYNEESEKFLIQQYPKGPKKQVDRLQIKFN NQNQQFFESMIQQEQLQLHEKQTELAYVNKVMAVDSKLVNSISDKLKQKLLIRFQKYKNQ NLVTNLIAQVEDMYHQNMKKCVYLKDLTKYKQKFAPIPRMKIFYTSQQQLKKSLMFVNKA VIDCWLQMIRFMNNFQVNLFEFDKVHLPVSWEKFEQLIDANMNKIEQQLKKQRFFIYAQI QENLQNTFNFFNITMPEYVASDLKSVVQRFDLHYTEYLYKLLRDEQQKIIRFYQKFQLVQ QNIRLVATSLFQLRIVGMNKSKLEKYEQTRNSINDDLELITLDTSLSQVINIATYPNRSL FNIISQLYKIESEIVPLLKIPQQYSIERENLAFFEVLKQETADLIEKEYSKCQQFCKKFL PYQYLLIPMKTKAINKIFGIEGKEKPTLHQIRLSVIREALDNLSKAQREINYNFIDTQVI GLFTLNSKEIKQILIQRAQENYQLIVQKLIEIIKHNVTNIKTHYQEMYAKTSTVPQTEED LIELKLFLDEIKIQFSKQFLEISQIKKFINYLDEIFQEYDLMLMKEYYYLLQWPKEIKRS IKTNSRQIESIERQFFQKLEHDKVEFTEKLFQMKEQFTKIKGFNQFGIVKQQANEMKILA DWIFQGQEQINSFNQRETMFQIPLSQYREFHQLVTEFKPFQYLWELANEYEFQKESWLYG SFKNLNHQQILQKLTYYVNETMVLSNTFKEITDENGQSVTRGFRRALDAFKDNLWVVETL AIEAFTKKPVLWRELFKECRIQNFDPKEDFSLQSLIQRGILNVKEQVVQLSQKVEKGWNI EKRLNEMYDKLVIIEIELQEFRETFIQKNYEEVQTLLDEQLNVLSMLKSQQHIKMVLGKA NQLEYKIVLIQDTLELGMKCQKHWMYLDPIFASEDIKRKLVEETEYFKLVDQSYRQQMAI LNKNKILWDSIDNEKIKQEFQNNVQLLDSIQKSLSKYLEQKRTLFPRFYFVSDEELIEIL ALVKDPNLLQKHIFKCFEGMHELKFEGNHSLLGMMSSQQELVIFDKKIELLKGEKQGNVE QWLCELQRIMVQTLRTQLNKTLQDLNSQKQDYISKWPTQCILLADHIKWTRNTESAIREL QKMNLHIHLEILTKQLQETVQLVRNEERMVMKTTLEAMVVMEVHCKDIVQQLIHQDVKSI YDFAWVSQLRYYFEDEGVNVRMVNAQIQYGFEYLGKVTRLVITSLTDRCQRTLLSAMHLN YGGAPEGPAGTGKSETVKDLAKAVAMPCIVFNCSDGLNYIAMGKFFKGLSSSGAWCCFDE FNRIDPEVLSVVAQQIFTIQKAIKEKKNRFTFEGEEINLIPTCAINVTMNPGYAGRSELP DNLKILFRPCAMMVPDYAMIAEIYLYSIGFEKARELSPKIVTCLKLCNEQLSPQEHYDFG MRVLKAVLSTAKQLSTGSEEVICLTALINVNKPKFTQSDVQLFLAITQDLFPSINPLNQT SQILVDYCCENNYQPDIDFQEKCQQLHNTISVRNGVMCIGKTFSGKTAAISTLGKSLGAQ TLKLNPKAVTTDQLYGKLDPDTKQWSDGILPILIRENLNKPTKIWIWFDGPVDSLWIENL NTVLDDNKKLCLTSGEILKIPQTMCMLFEVEDLSAASPATISRCGMVYFLQIDWYLQVQS IQLPGGLEKQYFIRRMRFLIDHSYAWVKEYVQFPVYDTINLMVQSFISLLVQLLKSLSEN QQNSNNWDSCLIFALVWSIGGCLEEKGRSLFNDFLHKLFRTNKSGLKTNFGDDLELKLNF PEKIEFFSCVFQDGLWIKWTDQQLTEKFEVQPGMQFHEIIIPTAELMRNDYFCKMGLHFL FCGPTSTGKSLSMNKFSKFQITCSGQTTSNSLQRLIETKINKRRRKGYYGAEEGHIMIFV DDLNMPFREPQGAQPAIELLRQWMDQGGWYDLENKEFKYMCDITFLSAMQPASGGRDQVS KRYLRYFNLLYIGGFDNQSISNILQVFVEWVFQKIQPSQDILKMKNDIVFHTIQVYDKIQ LSLLPTPAKSLYIYNLRDLIKVFEGMSKVARINNQYNLVKLWTHECLRVFSDRLIDSYDL KIFENVLKESTTQIGYQIQDYKNLIYVSFLHNAYDDAGNVVNVKIKLQQVLEEYNQMNQQ HALSLILFNNAVLHISRIARILLAIQGHALLVGMGGTGRTSLSKLANYIIFGEHVQTVDP RQWDDQLLGILKHIGLDDHKSTIIFNDSQFQTESMLEDVCNIMSHGEVPHLFPPEERIKI QEEMTYPKFVLNCKLNTHVILCMQPVGTQFRKRLRMFPTIINCSTIDWFMSWPDEALEST ASQFLPQNLVKIAVDIHHKVLEIKERFTQELRRHFYVTPTQYLQLLATFKKIHTEKNENS RISIDKFETGVEKIIQTEKEVDKIRKVLFELQPKLEKATVENLELLKNIQKKQQEADQTR LICEFDEQQCSLKREEANQLKDICQKQLDNVLPLLSKATEALEKITKDDMILLKSFQKPP PSAAVVMQGLCYAFQEDEKVKGKNNGKEPPQMEDFWEYSKKYVLNEKLIKRIKKMKIEEI RAIHPTKIQKLSVFIQNPLFEREKVFNASMAAGNLADWIRAVYSTYEAVEIIEPKKAQLV EAEKNLKLAEEQVEIKRQALAEAMLVLSVLSVEYQKARKEKEEIEKKVSQIQAQLNRAEK LTNGLGEEKQRWKRKVIAYKSEQSNADGDCLISAAIISYLGVFPIQYREQCLQFWKLKLK QNQVNFSDDYSLQAQLCDPIQINKWIQQKLPNDSFSIDNAIILKQSTRWPLMIDPQLQAN EWIKNMEDIKVLLILNSTQPPQQVQLQLEHAIQIGYPVLLENLGQSIDPLYEPILQQKII RKQNKCSIKFGEKLIEYSNDFRFYMTTKLNNPHFQPQVCVMVNMLMFQVTQEGLVDQLLN IVVKIDEPQKEEQRIKNIQSNFINKNKLIQTETLILKLLSESKGELLENEDLIQTLQKSK DESLSIEEKLKGLEYDRVNFNQIRQFYKPAAEKVASLYFILNDLAVIEHTYLWSLDFYFS LYTKSIKEAQYEKSKRNQNIIEKFILTLYTQINRSLLEKDKLIFRFLLCVKMMNVPTDLI RATVMGCTLTSTDIQIPKGFPWLTSKMWLELVDLTIQFPQIFETICSEFVEQKTFWDSFY QSQTCYKMQYKELDQYQVNMLIKIIKPEQFIQATNEMIRKQIGSAFLENIPTTFEQFYLK SDNKIPLLCLITPGADPRQEIIKLASKYEFEDKFNQISLGQGQSQLAIKMILSAMSQGSW VLLQNCHLASSFMPDLEQLFETQYKKEINADFRLWLTSQPTSILPHNVILKSLKITYELP RGLKNNMLRSYQTLEADKFEQCKKLKEWKNLFFSLSLLHACLLERKKYGPLGWNIGYNFS QHDLEISKEQILFFLDQYSEIPWDALHYLIAENNYGGRVTDPMDRKLLKIYVEDLVNQKT ISQDYIFYDIYQTPPQMNLKGYIDHISQLPLNDPPQLFGLHANAEIYSAILETENLSSVI LQLLPRTQGDSAQKHTDSLVCKKSQEILKNLPSQFNIDEVQQLFPLTLDNPLNAVIQQEI TKYNRLLAKIQDSLSVLVQGLDGFINISDQSLEIYNSVFDNKIPEQWLALSYLTTKSLGS WVTDLKQRIEFLNKWITKGQPRVFWLGGLFFIQGFLTAILQQYSRKSKIPIDQLRFDFKF HQDEPSVSGNEVYANGISIDGASFDFQESTLTEPSSSILFYPCPIIQFCPTQEIVKTYKY YSCPLYNTSQRKGVLTSNGLSVNFICKIKVPINNNKEHWSKRGVALIIQAN >CAK78920 pep:novel supercontig:GCA_000165425.1:CT868318:636958:637404:-1 gene:GSPATT00001962001 transcript:CAK78920 MIRMLMKRCFTVQLKDLEETAKVIRLNKQNKKAETEQFTPIPTSPVLGPIKIEDPKVSNK TYRWCACGLSQKQPLCDGSHQGTSFKPYRFTLEQETDSLELCGCKLTKSVPFCDGQTCVN LRKQSGQP >CAK78921 pep:novel supercontig:GCA_000165425.1:CT868318:637880:639777:-1 gene:GSPATT00001963001 transcript:CAK78921 MADDFIKINNEKQSEEQNETSEINQQDQKEEVQVEKDKNNNPEVENPKEKEFVLDLWETI VQGNFDIVYSYEGIIDYNSFSQQGFAIVHYLATQGQLDGLKLMKQRGANLDLMTQTKQNA LAIACNFGYIDIAKYLIDQGCSIDNRNLSNMSPLTYAIKNKKYALIFYLLTKGQFQNIQD SSGNSFVHYSAIENDVFMLQFYHAFQLEYNLFDKQGQTPLTKAINSCAIDSIKFLLRENP KLIEYAIDLDVVKSNERIKQLIKYAKIQKMLESSQNYQKIIIFIQTYQKYILIVSLVLFI FFELILFIISEVQKTLNDGFLGLMFDIGFIIGMLYLIFYVVWFMLKTTDNQNQGTKLIQK RMPELSSQNREASEVNVVIKEEEQKQIEIKPIQQKETLRYQRFQADEYMPLAGVMNAGQM QEENGNEEEEEENEDINNNQEEEGLNEKQQFLKKFQKDSRLKFNLYQNDMTPSFLEGITL KDFLQLNYKPVEDLIDQMHTKPSYYFKFANKINQFKQEINSLLEINDPLWYNGFTIIHIV YFLFETNRFKLLHRIDWNRICFTCLSYKLPRTYHCSSCNMCVIYLSLDLGQRLSLPFQIF WQMHQFNQPFFLFSICSFIYNLD >CAK78922 pep:novel supercontig:GCA_000165425.1:CT868318:640526:641449:-1 gene:GSPATT00001964001 transcript:CAK78922 MNYPIDPSMDLSQFNNLLNKQIKRVNSKKHRKAIHKELKCPNKLKNEAKYICELIRPLAE QEKQQQEVSNTNEKSRQTFGYLLRDIFSQYFKIIAMQNQKNELIVHGLPFQQYKIFELKI NFPFYLFLEQVSNFQVCFENQKNIFSPKQLELPQEIIEFIVDHNQIVIGCNRIVNKWWLK MLGINSDMIIHYIQQSQSFPIGWIADGLKSYSLYQDVMQTKSISICLTNYNGQKFNAQIQ VKYEQEVKSKNKIFERYIISYFVNRQQLSLHQIEQNFAKYFGITQISKELASTFIEHTNK QCGIKFI >CAK78923 pep:novel supercontig:GCA_000165425.1:CT868318:643699:645694:-1 gene:GSPATT00001965001 transcript:CAK78923 MLKYQKKNSHMLKNSVQGFLSGLDMFGQPPVLRILKKNKFTTSTGFFCSSAITTICVLYL IYQIKQLYDRKSPVVVFSEYQMADTAPVPLLMNNFTMAISVANLSLNALSTLNTHFTLTV RNCVRLRVIDETTGKTKIQQNCTEYPTEACSDKHFVTNIQKQYFSSINLGYTQCLNYEQW QKSPPILQGQIAGKSYQYITIMIQECKNSTTYNQCAPIENIQKELKTGYYVVHLSDNLVQ MKQPGYPFSEYISLQYTTMSIANSKTIIQNLKVTNVITDNGLITDDKTETSSLIQYTNRE SQEEYNDQFIVLHYITLDQRQAEYSRSYAKVQAILSTIGGLYQVLFLSICSILRPLIQKF MNLQMANKLFRFEDANDFFDDDQNVQENYVIQSPKQQSSQRVIDFDMKKSSFSKSLGKSL KSKNFDKIQDSKTMSQFLKSKKQSLNLTLRDMIVMSFGCKKKEQMQIQYATDKFMSKLDV AYIISKIYEIDKLKLVLLNEDQLQLFNYLPKPVIPSALFGNDAEKKVKEIESKKAYQFIL QDEKSDLLKLQEAFISFAKLKKKKELSQIDKNIIEILDEDIIELFYTLNKDQTKLDQIFN QKLSLNLQVSEPDYKRSHSIVDVDCSDDLPNSIPYLRSRS >CAK78924 pep:novel supercontig:GCA_000165425.1:CT868318:645756:646270:1 gene:GSPATT00001966001 transcript:CAK78924 MDFTKTKTKDVADPNQNEDDSYVKEMKSRLLIKKHKGTLGEENLGNFYIEDEQDTDRQIR FRIEYSVNFWVNKQSYQNQSALLLAAVLSRSIYPFSVRFVKNRLKLQGFLNIHMVQLQLY FQAILPFIFISNGLVLGVYEGLWAKYWFDKEVEFKKKQYTRL >CAK78925 pep:novel supercontig:GCA_000165425.1:CT868318:647749:648957:-1 gene:GSPATT00001967001 transcript:CAK78925 MNKKYPTYIVKETPRICLDNGTQQFEEPLNKRILVTQEQTNQSFKDIQQSNSKNEQKKNK FVLQKEYDAKCIAYTDQCYSFLINQMLSRFASLESASQAATAQPLFKMLRGVTKKFMFNE LEVIFFLHIIESQKWRFDDDPLIQNFIQYFKQDFLCNSEIYNLEGYKKLLLFLVCCGYTI KCFFNESNDQDILLITQHIQSYCNDDFKKFIENWRQTYMNGALRTAPRIINKLFCKLMRM PKDGKHDLQLDYNALVDSIIQLSPPYQSNDTKTQKKNDKLQDKEQQQQQQQQQQQQQQQQ QQQEIYQQDQSFQSINLQQNPQLQFSAQFMLPFQSNQQPNLNLFNSLQLNNSDFLDQFKQ QDGLFVSNIKQQECQSQLYNSTNIFKK >CAK78926 pep:novel supercontig:GCA_000165425.1:CT868318:649220:649606:-1 gene:GSPATT00001968001 transcript:CAK78926 MGICGCKTQKKNVQAKQGSLPKQMQQQQSVSLIQQNQQITETAQAGNKVEFREQDLQKEP AKVQETQAINQNPEIQQFNDEIFVNDIMKSFDELNQLFNQMAQEFDSISQYLQSMSGYQE >CAK78927 pep:novel supercontig:GCA_000165425.1:CT868318:649663:650008:-1 gene:GSPATT00001969001 transcript:CAK78927 MGNNSQKQQTIEEPKTKESTIQKSQSQNLLDINNDNLIKKDDPELDPLEQQVQTVFDELM QSINELDKDIEEMVKELREQQQ >CAK78928 pep:novel supercontig:GCA_000165425.1:CT868318:650110:651816:-1 gene:GSPATT00001970001 transcript:CAK78928 MNIKEEKIKVILQFLRQYKYDQTFQKLQEQSQIQLDPYSLELITQSIKNYDIKQLEQILN QYVDENTKQQCILKVLEQQYIKLLKLHNVQEAVQLLRNQMTKFCQDEDQKYQYASMIYLP ELKVKQEQELIDEVMQLCYKQIGLIEPNRLVTIIQQQQAKQILDCHFHNKLEQDYKIIQK HSCNFQLNIIKQKKNISFCLFSSNGQYKALVHGLSIYLYQFDNQIKEYQKKPRKIPTGQT SVITSIVFSPCNKYLGTSSEDFTVFVYNIQTEKKYKLEGHNAVVQAFNFVLCDQQKKKQK NEYDIYTISKDGWLYEWNESERKGGLKIEEKLLNLHIHQQKELLLLTSQNKISLYQLYSK KQISQTSTIKNNQNSIVDKDFNFVLLFVSDTITQLHLYSISELLLIKVFQPTSSITTLST QLDFGCMNSNLIAAANSSGQLLVWHIQKSSQPIEIFQVSEQQKEISCFKFHPTQNQLYVY QQKDVKRQSSQQQQVSEDIRAQFLQQRHQFQRHPWGMQQILNFLQRIARQEQMSSNQSSM QEEDKGSSDEDL >CAK78929 pep:novel supercontig:GCA_000165425.1:CT868318:651830:653632:1 gene:GSPATT00001971001 transcript:CAK78929 MDIVGNVLPQVLKDEATEDKGEMARLQSFVGAIAVADLVKTTLGPKGMDKILKPTGPGQE MTHITVTNDGATILRSMYVENPAAKILIEISKTQDEEVGDGTTTVAVLAGELLREGEKLI QKRIHPQHVIAGWRIARDVALKRLRDISSENDINSQEFHDDLVKIARTTLSSKLITTDRD YFADLCVKAVLRLKGSSNLDYIQIIKLPGGTIRDSYLDDGFILKKQITIGCKRRIENAKI LVANTAMDYDKIKIYGTKVKVNSMDKVAEIEAAEKEKMKHKVDKILKYQPTVFVNRQLIY NYPEQLLADSGITVIEHADFEGMERVAAATGAEILSTFDAPERSAQVLGHCDLIEEIMIG EEKMIKFSGCKKNEACTIVLRGASTHILDEVDRSIHDALCVLITTVKNRRVVWGGGNSEM QMAAACEEEAKKVQGKQAFAIEAFARALRQIPTIICDNGGYDSAELIQNFKVELQKGNQI CGLNMTDGTIGNMSELGVKECLRVKEQALMAASEAAELIMRVDDIVKCAPRKRERA >CAK78930 pep:novel supercontig:GCA_000165425.1:CT868318:653668:654441:-1 gene:GSPATT00001972001 transcript:CAK78930 MIKIQYQIQQYYHLSEDYKTLEFEAITQIDDTFSSLPDQDTLTKIKFKPNNQIRMQVEVQ STINNTCALITVSPQNYHKNIKNLRLMNAKIILKNDEQETFLECYFQEENNDQSCLYYDS TLFQFQVNWPFENNTQYYYELSGNIKKTVDDDPFLFFQTDIEHFPNINIEVETNKGYWIG YLLLIYLILPLCIYLFCVICILSIKAYHPYIGQIIETDENSFQPKPQEHIIHYHTTQQQQ QYSEECGEQNLIQENEQ >CAK78931 pep:novel supercontig:GCA_000165425.1:CT868318:657575:659242:1 gene:GSPATT00001973001 transcript:CAK78931 MIRQNQQQSEKTASISDAILLMMALLVLNQIIIDLFNRIQKTSPIKKFINPPMITTTIGI LAGIVMNEIEADNAMNQIRRGFSQLFLIVLLPPILFESAVNMETQPFFQHFGTIHLYAIF GTLIAILITTGMIYLGGLTGFVTAFPLNLAFVYGSLISATDPVSVIGALKSMEIEKMLGI LIFGESILNDAVSLTSYEQFQAALDFYNDSDQGVGWVILGFFLEFILSIIIGFVIGCLSA YILKKRNEDSQDSIAELENIIMFIVPWVSYLIAEALEFSGIVSIIFCGISMARYAIPNLS KSGRKSISKIYHSMSSIFENLTFLFIGIGLIGFDLYWNEMGAGLFLITFFAILFARLANI VSMSFIANWFRSTNKINKSQQVFLWFSGFRGAMAYALSLESCLQFEYYGNIMLTMTILIA IINIYFQGAFIIPLIEILNLKLPQDSNEEEKPQVGCLARCKYAIGQFDQRFISSNLIKSD EKKDIELQDVEKQ >CAK78932 pep:novel supercontig:GCA_000165425.1:CT868318:659330:661227:-1 gene:GSPATT00001974001 transcript:CAK78932 MMIEQQSPQYWDDLILSSSKKESKFQSQTLEFTAQKLQQQLEKNKEFNHEYMMRIQRSQS DHREQYISEPHEEECEEVEQQKTYLNKLMEEFNSTYSPKIKRPCCQPKSYDMPRQQQKKP LQYSKEEVWQRLLEDKRNQAEQRENEKRKYIDELVEQHCTFKPIISDIASRRNTDQPVVE RLYQDGIEQKKRKEQLKFDHINSEQFSFKPEISQIGTVLKGEKQFTKPLYERIDEVMKKK QEELLQKQQELQQQSDVTYQPKISQRSAFIAEQKQTSKSVVERLMEDAALKLQKKMNQKN EIQEKDECTFNPQINPTTKPVQQINQIYQTQYLMADFMREKKEKLIQEFIKNSDVTFKPQ INKTSELMMESNEERLQENMSDKINRLGVRDYEKNQILKEQIQQAYYQQYTFKPQINHIS SIIAQKRSLDDLAYNPERQEKLNRLKEEQESKQSFSYYPQTKKSQQYQHVKSKYDKKLIR QNMEIEKELKERKVQDLKRQMQYEELKECTFKPITKQFQKDEEPLSQKVKGVDSFFQNKE NLKKKAYQQQEREKELFHYELKYDFKNHLEKTKPEPFKITQQNNTNKRQLEEEAIQKERE QCTFHPKINQKYVFS >CAK78933 pep:novel supercontig:GCA_000165425.1:CT868318:661583:663054:1 gene:GSPATT00001975001 transcript:CAK78933 MIHGPNSQFSGAYTIGKRYESAIYQTNPNPGPNHYSINPIDKPIGFKFSKSNRKPLYQPS IAPDPGAYDLKFQTISQTSPSAVFTTAKDHQERVFEIGPGSYNWTDQKKAPAFTFQSKFD SIGNQILQNPGPGTYEIKHYHTHQPQNKGLSTSQRANHLTSSTPGPGSYDVEIPKYSTNI KFPKSQRSLEQSEFGPGPGAFDLSIPKQQGITFGVKGNQQTEKQSVPGPGSYKLKSFVGY DERDLKQNKVKGAKIGTSKRSSQNFLKLGPSPLDYDVSKYKYPTRHASFGSAVRSSIVPN EKTPGPGQYMVDSKLRRNGPVIPKASKDFNSLDNNPGPGKYNPNISMSTNKGPSYHIAGK YEKPQEDSLVGPGRYNIQRNINDGPKYTFPTLEKSMDNKSLDINQQNPQVYLIRSHCYEI QQTIGYIPPYNLQN >CAK78934 pep:novel supercontig:GCA_000165425.1:CT868318:663090:663745:1 gene:GSPATT00001976001 transcript:CAK78934 MKIIVLIFLLGAFASNIRHKKERHSDSQTLSHQQSNTKELLQVTDIDNQSETLVEETLNE QQNLEEPKPIYNNDGRSPDMGLSEVDNNDASEAPMMADNVYDQSAQEEVIKGLSLIQVGE IDALADIDTSMPLLDEDFKYDEQALQETPLNVDIVEEVPQQQEQEVNEIEDQLQQEIEIE DQQDQLINEVQLLQIGGFYY >CAK78935 pep:novel supercontig:GCA_000165425.1:CT868318:663784:665634:1 gene:GSPATT00001977001 transcript:CAK78935 MNKFNISATSINFRKQKTTNNQTRIDSSTSVNQNNPTIQRKDDKNLQSNTERTKINSISR EKIKGNKNSYIKSKVNNSSVDKIFNNQRALSSISRQSNCSPTSKRTASKNKLSATPQRDK SKQTTVSEQKKQLLSQTSRQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQQQQLQQQQQQQ QQQQQQQSKKTDRQHTPKSTSKQMFTSPNVKPLSGNAINKMKPTFEKGKSLPFKKESAPV KLISQNTNLGYTAQKSSRKPNKNLSQNKETPIHYEEFTLQASQAKNVQTEGLSCLVESIF LGSKYYQSSLIHFHYEQIKQKQSPYNYFFDLHYSTEVLKDYFKELFQEHFLQNYNCLQYC KKYTQNIQKNKKYEFLPGQSQEIKTLVFDLDETLIHCNDNNNDPTDHVVQIQLPNEGTVE ARINIRPYCQQMLKLLSSHFELMLFTASYQYYADKVLELIDPDRTLFQYRFYRDSCIEVE EGLFVKDLRIIGNRKLENILLVDNASYSYCFQIDNGVPIIPFYDNKYDKELLFLTDYLIN LKKSDQSIKQNRMHFRTYFYQICPNGDECLKELFQAHDIVQD >CAK78936 pep:novel supercontig:GCA_000165425.1:CT868318:665731:667339:1 gene:GSPATT00001978001 transcript:CAK78936 MNYEALLEELGLDGTPQKQTSKSPQNQTRATDNTYLTKTPETTKQKKIKLILNVNLSSNQ VEQLMIYQDDDPKLKVSEFCHLHQLDKNAQNVLIKQINSNTNSENYQSQQKLQQQNYYSR NLQSYSTKESEQPGERLYQLAQKKKEQRENQIKQYQTEKQEQEQQQLQQTPKLSNQTKIY LEQAGYRKNGYMREKGTLKDKQIQQVFLDEQGKEYNFVPQINELSKQMSHYIREKQHYEN VFDELYEQARNRMYSQPEYDIPECTFKPSVNQNIKIDEDFLTRMEKKQEEQKERRELIKM KYENVDVKTRQLLYRPKICRSPYAQTSSEKPVHEKLYLQSKLKSEKLQLMSEQKIMEEKE SQNVRLKNELSEDIVFLQRKKVLKQLFAEMDSNQDGQIQCSYEEINNLPPNIIQTLWPVL KLLQERKRCLDFDDFFENVYEFCINLPQIQKDIIFKKSKNNYLTMKDQDYTFTPKTNKKS KSMKTVHYPSCKCEICSKIAIIQRQLNDQ >CAK78937 pep:novel supercontig:GCA_000165425.1:CT868318:667450:668313:1 gene:GSPATT00001979001 transcript:CAK78937 MSANCIEEKKFALINEELTIDNMDQSTTNQSKQSNATLSMAFPNADSNYRYKPIKTQRSL LTLTPQISQRRSSRSLIEQTYVIEEEPQIQGQNEVFLIYWYDQNIDHKYEMIIQTHNNIT VGDLIQLFIQQFNDQNQYLQTPFSSDVHNTNIYELYIPKKKKGTPNEDFPAFANQTMLNQ TNLKEFSLKITTKQITNYSSPLLNSQNSSAAKSNTKQRQSLTNSSQKKKKNFFQKLFFCC NHQAEEF >CAK78938 pep:novel supercontig:GCA_000165425.1:CT868318:669237:681187:1 gene:GSPATT00001980001 transcript:CAK78938 MTASFSRGLKTTSSSRDRKEQKKVDENYTIYGLSKIKTARSPLQTQRQTPNPYETEQKLE RSNEEWFQQSLISEAPQAKVLFFNQRKKSFLWEDAKVINYNQDTQKFLVQKYPDGSTKSV DRLSIKFINQDEDLFRAATVRSQNIRQHQKEEMKKLREIYAISDNEVNKAPQSLINSLFS RFQNTKKQNLVESLLEEVNQNYLLFQKKCSFIGDTVIYKQIARVEQRYQFFPTLQSNLEK EAVLIRKDGYKAMKQLLFRLNQINIEIIHERDFKFPIILNKFDAVLEMEGKEIKKEVRRE KFYIQSDLQDILGQNYKFVESNRKRYYDCGLSRILTRVDLMYQQIIKEKVLHQFNYTKEF YESFILNSQFHKPLKQKPLLQLHVQCIVQVPVKKSMRKLIKDSIKGDEEIIYIEPNMQSI LTAFMKPFDYLYQLIIEGCCIQSEIMTLLLLEKKKISTVMDFGQLNSIKEQTINLISNEY KSCDDIAKYYTKYSRYLKAFRSKDLYDIFGLDPKEAAILSQMNFSSIESYLYELKESKLD ILQFHQNQKRFGLFSLNIYELKHTLVERINDQQIQIFNKIQEILKHNIQQIKQQFQVMRE KSQQIPQTEQELMELKLFLGEIKVDFSRMQFEVQFVQISYKYFYSEQYINLFEEFYIPFD FQLVIDYYELIYLPKDISDIIEANKAVLKQQEDIFLRRLHNDKQEFIAKILQMQTTFTKI KSYCQYEKLKENIIEIKKFQEQIENSKEVISEFNLRELTFQIGVTVYREFENLLHEFQPY YQFWDLAQRFDIQKEQWLSMSIKQLDHLDMQLLMQQFNNEAISIFNYFSDILDDNAQSLV RNLRRQLDQFKDNIWIVESLAIEAYKRKPIFWKDLFRECQFPQLENKEEITISNLLKKGI LNYKDVVLKLSSQAEKSWSVEKRLNEMFDKLSIIELELQVYKDTFIFKNYEDVMAQLDEQ LNVLNILKAQPHAKFVIIKAAQLENKILLIQDTLDQVMKCQKHWIYLDPIFASDDIKLKL KQETLDFKKIDQSYKNYMIILNKNKLLWDQIENDKMKQDFAYNVQMLDQIQKSLTKYLES KRILFPRFYFVSDEELVEILAQTKNPQQVQKHIFKCFENISELKIVDNEVITAFYSSHQE KILLDDVINLKKGNKIENVESWLSEFQTRMQKTVKKSSCNALKDLNSTKLEIINKWPGQS VLLSNQIKWTRNTEASIRQQQKLNLKLLLQLLNKELQTTVDLVRNETRLIQKTTLEAMVI QEVHNKDVAQLLLNQQIEVINSFLWISQLRYYFNDENSIQVKMVNSSFEYGYEYLGKIQR LVITALTDRCQRTLMEAYKMNYGGAPEGPAGTGKTETVKDLAKAMARPCIVFNCSDGLNY IAMGKFFKGLVTSGSWCCFDEFNRIDPEVLSVVAQQIFTIQKAIKLRQEAFIFEGEEINL VPTCAINVTMNPGYAGRSELPDNLKILFRPCAMMVADYEMIGEICLYSIGFERARELSKQ IVTCLKLCNEQLSSQEHYDFGMRTLKAVLNSIKNTRNGTEQEKCLTALIIVNQPKLVKND IELFKAITQDSFPNVQEQEMDKLTNFKQQTENMKLIYYETLAIKCEQIHNSIEVRNGVML VGQTFSGKSTSLQILSHLLKATILKLNPKAINSDQLYGKLDPDTKQWSDGVAPILMRENI QREKYVWIVFDGPVDSIWIENLNTVLDDNKKLCLTSGEILKIPERMCMIFEVENLSAASP ATVSRLGMIYYSIFDWRYLIDNIRLPNHFDHKYFIKRIKYLIEHSLAWMQKYAQFPVYDQ QNILVSSFLKLFQKLMSLLDQNESDTFVFDNTIIFSITWSLGAAINEQNRNPFNTFLHKL LKHQSTGLDLEINRKLQFPINERIDYFLFGFINQKWVEWIDISEQLQITLSTDFHQIVVP TSESNRNDFFCKLGLHLLYCGPTGTGKSLSMTKFSQFLIACSGQTTGNSLQRLIETKINK RRRKGCYGAEEGQITIFVDELNMPFREPQGSQPAIELLRQWMDQGGWYDLDNKEFKYMCD ITFLCAMQPASGGRNQITMRYLRHFNLLYIGGFDNASVTNILQVFVDWRKSNHFKQQLVQ NSLNLYQQIQESLLPTPQKCHYIYNLRDVFRIFEGMSKASKLQSKSQLMKLWVHECTRIF GDRLVDFNDIKIFQNIIKDIVVEDRINLNNILWIQGNSNQHYDEVLNIQYLKQRVQGMHD DFNAQRFQSKLSLILFTNAIMHIFRITRILQQTFGHALLIGIGGTGRSSLAKLATFIVFR SEPIIIDPRSWNDELLNTLLQVGLDNQKASIIFNDSQLQQSYMWEDICSLLSHGEVTHLF TPEERLKIQEEMPFSKFLQICKFNVHVILCMQPVTELYRKRLRTFPTIINCTTIDWFMDW SNEALVTTAEEFLPSNSLVNVAVNIHCKVLQITQKYNSEQRRQFYVTPTQYLQMLQTFKR LQREISEQSLALIDRFESGIEKIIKTQKEVDKIKLQLFELQPQLEQATIENQKLLQQIKL KKEEADQKRKLCEQDEMICQKHRDQATELKNICITELNKVLPLLGQATEALDKITKEDMI QLKSFTNPPLSAAVVMEGLCYTFQEDEKVKSKNKELPVMQDYWDYAKKYLLNDKLIKRVK KMKLEEIRSIKLMNIQKLQVFVLNPLFEKEKVFNASKAAGNLAQWIRAVLETYQAVEIIE PKKAQLLEAEKKLKEAEADVQVKRAILEQQLNELKQFEKEFERANQEKQIIQAEVETIQK QLNKAEKLLFGLQDEAQRWKKKGQKIKNEQIAIEGDCILSASIIAYLGVFPIQYRDVCQN YWKNLLKQNNVKFSSDYAISKQLCNPIIINNWITQQLPNDQLSIENAIILKESTKWPLMI DPQLQANQWIKNMEDSKYLLILNANQPTQQIQLQLEHGIQIGYAVLLENVGQTLDPLFES LLQQNAKSRSKRATMKLGDKIIDISSDFRFYMTTKLSNPHFQPQVCVMVNMLIFQVTQDG LVDQLLNIVVKIDEPQKEEQRLKNIQQYFQNKDKLMQTETLILKLLSESTGDLLENEDLI QTLSKSKDESIAIEEKLKSLEYDRINFMQIRQFYQQAAEKVANLYFILNDLAVIEHTYIW SLEFYFFLYTRSIRESQNEKLKRNQNIIEKFLQILYTQINRSLLEKDKLIFRFLLYVKTM NIPSELIRATVMGCTLTSSDVQMPKGFSWLTNKMWLELVDLSQSFPNIFGSICQDFISQK QFWDSFYNSSNCYQLSLRGLDQFQMNMLVKIIKPEQFISATNEMIKQQMGNLFLENIPTT FEQFYFESDCKIPLLCIITPGADPRQEIIKLATKYDFNDRFNQISLGQGQNQLAIKMILS AMQQGTWVLLQNCHLAASFMPELENLFEVQYKKEMNSDFRLWLTSQPTSILPHNVILRSL KITYELPRGLKNNMLRSYQQQESDKFEQSKKIKEWKNLFFSLALLHACLLERKKYGPLGW NIGYNFSQHDFEISKEQIHYFLETYQDIPWDALNYLIAENNYGGRVTDPMDRKLLKIYVN DFINSKTIQQDYQFYDIYQIPQQMKLKAYMEYISQLPINDPPQLFGLHYNAEIYSSILET ENLSQVVLQLLPRTQSDSNQTHPDQIVYNKSNEILKNLPQQLDSQLAKQKFQQILENPLN AIIHQEITKYNKLLAKISESLSILIQGLDGLINISDQSIEIYNAIFDNRIPEQWLTFSYL TTKSLGSWFSDLIKRTEFIQKWINQGQPKVFWLGGLFFIQGFLTAVLQTYSRKSKIPIDQ LKFEFNFFSQEPQTITRGVCVNGISIDGAQFDLQEQTLVEPQNNILFYPCPIINFCPTQQ RKIIKNYSCPLYNTTQRKGILTSNGISVNFICKIKIPINNNKNHWSKRGVAFIVQAN >CAK78939 pep:novel supercontig:GCA_000165425.1:CT868318:681245:682728:1 gene:GSPATT00001981001 transcript:CAK78939 MYFYILFIFIQSQGFELFIEKDKQYLIANDNKTDIRFQDETLEQSQCHPSKQYLENSNEK HTKFIFNNNHQEDQITVVMHPLVYDTDVLNILYSPKKKPYEIEPNSSEQFIISYECKATK DQISWSLIVLEFQVFFNQNHSQYYAIYFYKQCLQTELQIHPLIILLILAVTLIIIGTNYG LQEIKMLEQIKTDEFNAKTSVLFILSASVLLFCLFKFPQIGQLVLSVVIFFLAIMSIQII IEDQLQKMIGNNTLLKIVSYLISFGIVFSYFYYKHWIINNIVAFLITLLMFKIIEIDSFK TATLLLSLAFFYDIFWVFISPYFFGTSVMAQVATSIDLPMKFICPPLMISNTSPLMRCSI LGLGDILLPGIVIKYVLKFENLLNKGYCMYITSIIGYCIGLIVCMCSLVIYQQAQPALLY LVPIILIPVIIMSVIRKQFYQLWKGQVFKSQKQAGVYELQQSEQV >CAK78940 pep:novel supercontig:GCA_000165425.1:CT868318:683001:683300:1 gene:GSPATT00001982001 transcript:CAK78940 MNMKRYQLLKKNDLYIQTDDDNISITGIEQINTILDKYTEGDLKFGDTKNKKRNQEKKIS YQEKSQIVEYAIELKEDGLVNKISIQLRVIQTIGKRMNL >CAK78941 pep:novel supercontig:GCA_000165425.1:CT868318:683563:687220:1 gene:GSPATT00001983001 transcript:CAK78941 MQAQTLPNITTKSPQSKLKTLDSMLNAQIKIRQPKDIAFKTLPNNKTNISFLRNEKFQTR QDQYYSSPLLTKSQITEVEAQNRQSRNDFYSQMLSDNPYKYNEYSEIDQEYNRNINVNLL DMRNKDKIKEFLQESASFSNHLSTHTQRLKKVNVLTQRQDVIKLAQWLDYQMQQVVSNKK MMKQQQLREIEKIFNLSLKELVREISLDCVEKSVLLEKIWNQYVNYNTFLLKSLDDEKNN QENEYLQQLKSLHQTYQNSVKFLEDKLKQIDDEFNSLSTKFERKKFKLKNIKDNFFSIQE QKKEIEQEVEYLKNQRRDLLEIKEALQNQVENLTQENERLQTAIYQKSQELRTAKTKRYS QISIDSCFSEQNHKGTTMEDFSEMMSIKKEKYTQTFDHDKIKISTANQTDIKFIFHQGTQ TGLHNEDDYYQPHVSENNDQMKRLLDQKYDLEEKLKLSNNIISELQRAKQHLMREQEINS HKLSSQEISLSALQKEIERLQSELQSLLQSRQQKKRIIVSLEENNGEQMSLGPVREQQRT PQNTLEKLVDKNNLNNTQKSKQQNQLQYQDNTVKNGKNDKAERTQGQNSNFTSNNASQTN SQNQTPLNKELSNNTASKLQTSNSNNQQQQGKATLHQKKPSDNSKSTSHNVNSNQKQTKV QNNKKPNGEQINNQSSGQQNNQINQGVQKLRYDTNQIGQVESSNKEQDQLNSSQIRNTLL NLNEVNNDDDQFGENQIDQNNQNEQVFKRSSTKKLSYKFNSSQPNSQNNSIYVNQLQRKG SYLTPPAPETKTIRDKEQQLKETALEFIKQFVQTINLQHPENIEQTMQLQNVFKLITQFY KERLERKQPIYVICYEFYMNTFGLKQIAENKLTMLCQAVIFYRSIPRVRLFSRFLQIQDP INDEDLDFYFSTLQHLDVQQKSENLISCTQNYEGVLILYDKALDQLASLDEHLMEIQQKF KSQIQILNGNRHIDLDLFFMESLQQFQLMKKIHQDNFKELFQAVDINDDDTISVEEFTIL FNLIEEGQKDQQMFIQKFIETCGNSDGLSFYQFGMYCMSNQLFQKDKQDAFLQYNNEQFK ILQTKWNEQRKQLTQRLKENLVFTEYYQNLVRKLDDSLKNNHPYSWLLWRILEEQCKRLT AQSK >CAK78942 pep:novel supercontig:GCA_000165425.1:CT868318:687447:688007:-1 gene:GSPATT00001984001 transcript:CAK78942 MFSIDRPLDSLLRYKKFPLREPLRRNYQTCNTNPFGRKTPDLTFTQSLQKQIKIPLNLNK LYQKKTKNIIVHESSSVNTSMRLQPPIFIMKTINIRTQSTDEPKLQKPLIINKKSQNNSI YCDSSDNSDFNELRRTYLQKYCRPKLNQIDRQPRFRIHSVNEDALDPWTVDI >CAK78943 pep:novel supercontig:GCA_000165425.1:CT868318:689217:690006:1 gene:GSPATT00001985001 transcript:CAK78943 MQQLKDASEKEFNILAIEYPDYGLYKDAEPTEENIQQDALAAYDYIENTQENAEIYLMGR SMGTGPACYLANLNKGKGLILISAYASFSKIAHEYAGIFGALVKDRFQNVNYAKNITIPT LLIHGLADDIIKYTQTIEIYDNLRSIVKPIYLNQDMTHTKYDMIKDISEPLEDFFNLVTN K >CAK78944 pep:novel supercontig:GCA_000165425.1:CT868318:690029:690734:1 gene:GSPATT00001986001 transcript:CAK78944 MSLKRQDGRNLQQMRNIEFKLAIDLSVDGSCLYKQGLTEVICLVQGPRAKTQSELLLIEY SVSPFSNIESKRSSKFDKDYSMFAENLKESFENLIILDENGKSEISISVCVIQNDGSSKS AVFNAITLALLDAGVSMKDFLVSVTVGLDQGNLIVDLTQEESKTAQGELTISYQSRKQKI DFYELKTLKLQQQEMDQLSKLAVSKADEIYQWMKEEIYQIKQKQIDQ >CAK78945 pep:novel supercontig:GCA_000165425.1:CT868318:690771:691284:1 gene:GSPATT00001987001 transcript:CAK78945 MQQAIPSLTPEIKQRLEEQGFQPFQYRPLPGYANPHTLSYWLTNAGLGVLCLIGRHYASQ QQSIRILWSTAAVAIPLYAIGTNAKLDGLRQNNFYRKTLEDRLELHPLTRRAWERAIETN KGYQDQLREQIATLEAELRK >CAK78946 pep:novel supercontig:GCA_000165425.1:CT868318:695005:699192:-1 gene:GSPATT00001988001 transcript:CAK78946 MYQTYDGVRDEFVFSFVNFNQIGLQKGILMLKLVILTLLISNGNRQKRYMRVFLITPTSK IIVVYYETTPYQYGINACGSSNIFYIDNFGTQYNVLVQKSFGLGPHEKVSIEFKHWKIDN WNSNTFLIYVDNEIIYNQILSCSSSATDICGTSQNDEVTFISKTIVHDRPSIQIVMLAEN GRWGISEFILKIEEVNTNTKIQFFDFNGLLDQWLFKESFTSIYLGSLDISDQWKYSGTAS TVLDFCHDLYYHQSQGSSFEKSISLPNHVAISLKLKIMIFNSGSTTITLKIDDIIVQNWS YTQQWVGYDNPATVYDGFWYHYTQKNANIIKYAHTNPSIKITILTTTSSVYTPWAPWFGI RDFQLFVRQPSFHICDDQNIYPFDGCFSFNYDCVEGCINCINGVCINCQNGWHYDNGNCS PICGDRILISQEECDDGNQIPYDGCNNCKFSCPLDCDICQFGLCLQQGQSKQQELQNVFQ NCKPNLILQNDECVMACYDSEVGRIIENFGCYLQRTTLAQELIYQKVFIEQLVAQQIYET FIENIIYLINQINFNQIDQCNQIDQEICLQCENGYALGINHKQCVPNCGDGIVQNLEICD DSNNIQQDGCYKCQQSCQLECFNCVNGICLICLDGWLLINNSCQQICGDGLIAIQSSEQC DDGNQIDGDGCFLCLFECSPYCLYCIDQNECLYCQDHFELIQKGCRPICGDLYIVEGLEE CDDGNQISNDGCYDCQFQCEINCQKCIKGKCFEYIKPQEPIINDTTIIIEQLDQICSQGC LICLEGKCQSCDKFSTLKDEQCIQYGNGIIQKGEFCDDGNSLNNDGCSENYNIEQGWDCH IPNDQFSQCYKITQLSLNFLNQSYNTEYLSLTYSKKVKLNQSNQIFIDQASIFIQDLNSD QYNLSIEPVTEIIFDLVRDINYIIKITFLEKLENTPTLTVSISTVLLDENDILVPSSSSD IALRIPQVMGVVQMLNTEKLTLFGQSIMMGLTGFGVFLLIFGQLAQFLEILDILQYQSYL KFINVEFPQNLYIYFQSSDFVQLQNIMINFRIVETLNLFIYEEKVQSHGKFYQYQLNADL LSNIYGQVAQILAIFFGYWLVMFYISLTNNNCFTARSFYNLGIINCKIVDQMAIKFYYLY RSIHKLVRIKLSQQFIFFFQSNSFDLIFKILLFLDSNVQFNMRSEISFGICLMFFMIVIN CIFKLFQRETKLIRIKNIKEQQLESIILLKKFVFLLILIKVQVQPLLQCLLLSFSQLLFI EIIWFFQLANSKFEFIIIFLNEFPIMLFTFMIAAFSLDFSQYLNQNTKLSIGFFLIGILL ISIFGPILKQLRHVYNKIENYLRERKEEMKKINIQSLFYTFKIN >CAK78947 pep:novel supercontig:GCA_000165425.1:CT868318:699410:700270:1 gene:GSPATT00001989001 transcript:CAK78947 MKVIQNPTQYFFEQSNNPPDEYLNKINAFIGKLINQKQCLLCKQDYNIKDRLPRILIHCG HTICTQCLGNFYRNKRVRCPLCLKLVKHLDSIDRLPINHTIFTKMAEEINKKSRFHGGTD VIDPQQYLFTQFQQSAVQAQKIRQQQQNQYPQIDPDSGLEYCEFHNDRVKHFFCMKHKVT CCRACSEMIHQKKDCIVVDLYEIEDVPAFLNEAYKLNQEKNCENQNIEFLPGILFFLLDD DNNVNDDYLEDEFAQNESLQSI >CAK78948 pep:novel supercontig:GCA_000165425.1:CT868318:700292:700791:-1 gene:GSPATT00001990001 transcript:CAK78948 MDDRKRKIVIKGANLTRSTKLFSKMNPFVKIITQKQQYRSSISKAAEKNPIWNDTELIEV DTGENIKLQILDFNSRVEPELIAETTLTSEELLGCVLKKDVFYQQQVVGQIELEIQKTIR QDANYVQNRVQEFINTVQQQSLAPQFQYP >CAK78949 pep:novel supercontig:GCA_000165425.1:CT868318:701217:701888:-1 gene:GSPATT00001991001 transcript:CAK78949 MAKTTCAKALQNWEQEHPGEQPSEAEDIRLIFQNPPLDKLDPPVLNTLAKVKRLSLSSNA IEKMVNLPGLRQIEILSLSRNNIKKIAGLEEIGQTLKELWLSYNYIERLDGLQPCVKLHT LYIGNNRIKVWDEVDKLKDLPEIANVLFVGNPIYDGQKDDPKLLVLKRINTLKNVDGTVV DDSLLEKVKALGDGVPTTTVK >CAK78950 pep:novel supercontig:GCA_000165425.1:CT868318:702501:704968:1 gene:GSPATT00001992001 transcript:CAK78950 MSEENQLNDDKNQNRNNLEKIIKLDKKNGNFDIYDKYSKRKLKIRTSQNNSHINSSYQNE YILCYFWRHINQVYFKKIDEPEQERLEYLKAINEDVLYTEFPVKYTDYKFYQARQILNKQ QLKSENSTYNENILKHIRLSGLIHKAKDLKIPQIDKIYYMKQKSMVKFKQQQNISSLIQE NAQLDKLLDKLNEQIELRTDQKDEIFQQLQEYSSELQLINKQNKKDLQNLNQKIKSDQPL NVFGTQVHIYKQPRNNNGLSKSQIIYNNEALLIYLRKSLEQLDYIQTAESIVKVVGSTHS ANGFTFCVAFESSLNSNNVNIEEDIMYELDKLRDTSLFKFYNIPQKTKYSHIAQNFIGIN QVQHNRQKINPLINKNLTKEIKITSQNENDTNLSRYEACHHCKMLFREEYLISCNYRSGT MGLPIINSSITDSYIFSQIDDEGIASRRQVPNRKKTAYSIYSKKNGELICQRKFCRMCLK QNYDIKIEEVAQKTDWVCPFCQAICFCSRCQRNDIMIKLKDLFTICGGDLEQLTKDSIFE KYVRPLTEEQIYKRKPSQLQRTGQSIVKQQLSNFRDMQTIRLDFENLRLLCSSILRREKM KWKILEQDILMWNEYIKSNQSKNQKNIQKNSKLQSKTKQITKKIKKQEIDQEFSPSPYSS SSEYLQSSEYESGENLNQIEKEQQITKSKQKMNIKSNSKQKKQKQQSINQILQNYKSFPA KNLKREVAYLLQFQDTDTYSLILKKIKQDQTKNPSRR >CAK78951 pep:novel supercontig:GCA_000165425.1:CT868318:705017:706093:-1 gene:GSPATT00001993001 transcript:CAK78951 MVLSVYSIVPVTKLSLEYNFSKGYLRVFKNPKSAKFLLLIEQLAIWKQILMLTEIYEYLE KNPFHNKETLKIYYFRHTVYQLRCLIFKLKAGLSPHMAMQIFYKKTSICLEGFALAYKQL GKKMLIVKAGFRFVRMNYKKNYYPKEIGKGSKELVYIVEEHQQYGFINYSGEVQYGELDI QITLKACSVCLIAKVDLANNIFCRHRYCEHCLKIYFRNKYSIVCPVFGCNAKLIRRLITL KNQTQKFRPSSAEREKKQPKIQDAEPNAATLLMDPNWPPKFVGSNQPNYLESQFIQLRKI IEYQCGEKQFTQDDIKNNNNLCSQCLMTLQDAQVKARCNRHYVCIQCTLNAQQTCLLC >CAK78952 pep:novel supercontig:GCA_000165425.1:CT868318:706119:706566:1 gene:GSPATT00001994001 transcript:CAK78952 MSKKQTNDNADRYKGDAGNFQSLESDDGPGPMKSIEGWIVIVKGIHEEAQEDDVFDAFSK YGPIKNLHLNLDRRTGFVKGYNTQLKVIVMHQLKFNEYTHASDAINGINKSEGICGKKVQ VDWAFKKPPKKGALKQTKKQ >CAK78953 pep:novel supercontig:GCA_000165425.1:CT868318:706837:707094:-1 gene:GSPATT00001995001 transcript:CAK78953 MQNLTYNTLHLPSSPQTPSTPTFDQLDQEQSSKHTSRRTLFDLEEEYIIHKNPIFKRRLK SKQNTQIDYTTFLGRNLLENEFESM >CAK78954 pep:novel supercontig:GCA_000165425.1:CT868318:707926:709064:1 gene:GSPATT00001996001 transcript:CAK78954 MNQLLLKGLLQIKDNLTFSEIIEYYKQIMQSIKLQLSDDPELSGVCSALLQAFTENSRVL RHCSGGGNQTQTQNNFGDHQLESDIQCEVNINRELQKSGFVSHSANEESPEMKQQCEGGR YIVTFDPLDGSSIIGTNFTVGTIAAIWKSDDSLLIGKSGRDIVSACCCLYGSRTTVIIYN QKEDKVQEYTLFDSDKQGHWELTKGNIQIKQKGNIFSPGNLRSIINHEAYREVLEYYFHN GYTLRYTGGMAPDICQIFLKEIGVFSLFGDAKNPCKLRYLYECAPLSFLIEKAGGKSFNG QSSVLDTVISGYEQKSEIAVGSAEDIEFFRQVWKKHGLLKN >CAK78955 pep:novel supercontig:GCA_000165425.1:CT868318:709099:709696:-1 gene:GSPATT00001997001 transcript:CAK78955 MNHQSVHLIQKYAKNPWHHVHYGNDSPKIVNAIIEITKGSKAKYELDKDSGLLKLDRVLF SSVHYPANYGFIPQTYCDDKDPLDILVLCQVEIEPMCLVEARVIGVMHMVDQGEIDDKII AVAKNDASYQGINDLKDIPLHTTLEIQRFFEDYKKLEHKHVVVEEFKGKEDAYKIIEESI KLYEEKFLKNL >CAK78956 pep:novel supercontig:GCA_000165425.1:CT868318:710368:710514:1 gene:GSPATT00001998001 transcript:CAK78956 MQTKKRIEQTEMIGVDRIETTEVVELNNKVKLNYETEEEIQVQPRTIY >CAK78957 pep:novel supercontig:GCA_000165425.1:CT868318:711092:713611:1 gene:GSPATT00001999001 transcript:CAK78957 MEKNKNCKLHIGNLPLQITEETLHRVFSKYGQIKEVKIIRKNSQGQPLKDYCYGFVLMCD GDGAQNAVTELKQNSPLGTTWTVAFSKDKNDDSAGAGHQKSDKKKDDKVKKKEKSKERLK KDKSKPKKKKKKSESSSSSDSSRARSAKKQKKQKKRKHSSSSESSSSKLPPRSALVKVEN KDIAEIVFSGGDLQSHLIYQQQPQQQYQITHHVYVRELFISGIPQSKISADIQRIFSAYG IVERIDIVPKQLVNYAYVKFKRLESVLQAMQNSSLIAELLESAGQVKIYQSDPFRRVQIV GNAEDSEREEEMWPVMFIGFPPNQNYVLDEKFLKKMAEKFGGEVKGIQHFQPDSPQLRSY VLIEFSFLKDCKKARRKFCRYKIQILGDKKCDVAILSNYPANVQTKRQNNMFMQQSYAMD YMQVNQQIPMHQQMVSRQMPQQVQMLAPFQNQPLPQQHIAPTNLQNIYDYNQIPMLYPQQ QQNQQQMMFMKNQQQQLQIPAQTSVQPQFRPQPQPVPQTQQPPPQLQYYQQLNPQTQTQS YLPQQYSMDMIIPPPTMKQDEINSPFWCGYMNRGKQHRVGIDARMHKTNMDIKKINLPSN LEMSYKCTYQDAYQRAGQEQSAILIFSPAQETDFPKFTEYVQYLRNKQRAGVIQSEAFTI YVIPPGVSEAQLICNINQQEMIAIYCHKEQK >CAK78958 pep:novel supercontig:GCA_000165425.1:CT868318:713634:714774:-1 gene:GSPATT00002000001 transcript:CAK78958 MKLNRQFRRFYLQQQLPSRFSRLYIHQITGHRQNIENIVAIQTVHGLQYAHKDIKPDNVL LDENFNLKLGDFGLTDNKAEANDGVGTVSYMAPEVGTGKFYLTQKADIFSLGSSFIEIKT GVNPFSNNDREQVYQDLLQQPEKFWTNFEQHLKKEKNLTLHIEKEFKILIQNMLNDVPEK RPSIDQVLSSSYLNLYQTTEDQIITYMQQHLQLKALYEIDLTKVQGANGQAISETMEIEQ VGLNEFRFRNINKAKNFQKNVTNFFKKIMIESENIVFETNETLDDVMKSNIDIKEQQGRQ LSKFLIRDLDKTCQFGVILELMVDVVNLYIIDVKGARKNLNISKKYLRDSLRKKMKKVET QDI >CAK78959 pep:novel supercontig:GCA_000165425.1:CT868318:714778:715166:-1 gene:GSPATT00002001001 transcript:CAK78959 MNEIQSRYELIRFLGHGKTCMYSTQINLRVVLGKSKMDNKEYAIKFYKPIYINQNKVDGK TYCFGNELNFLNYIEYRISMGESSIYDFKYINRYKDSLSILENNAVMHVLVLEFARNGTL >CAK78960 pep:novel supercontig:GCA_000165425.1:CT868318:715300:716404:1 gene:GSPATT00002002001 transcript:CAK78960 MDYQQNQCQHIDLIPFNNKFFCKSCGIHMPEDGSVAIKAMNFCFPGYLNPIQNLKKQWNR AVPIGSLPSEYQKQRLSLIDYMIEWSEKLKLSMNSLFLAVQFLDYFVSQKKVDPVQYRLY GATCLMLAAKSIELDERIPFISKLRRYTYLPYATLDFRKCECQIIKQLNWNLQCTTLIDW AEAVISLGIVYQQDELTQSENILKEKSTNILQQQTNQQIKQDIFKEHLDTVFKQPTTGPK QINEKVQEQLIRLCVSVLKDGSYLDKDPAELTVSVVGCARKASGLKTSIPKCLFELLENV EPKFQESLTDHFIKQVKQPTSVLGRAFTTDLDFFSLQNYKHRIM >CAK78961 pep:novel supercontig:GCA_000165425.1:CT868318:716442:716762:-1 gene:GSPATT00002003001 transcript:CAK78961 MKHKKQITLRSANHSRQSSISSQGSESSKNKFNGCYIQISPRFDANNGLAFKNELKEVKI TSPKHEGISTHQEIIPHHRKSVQSMREDPLKKSQFSKVVHTIDELK >CAK78962 pep:novel supercontig:GCA_000165425.1:CT868318:717003:717396:-1 gene:GSPATT00002004001 transcript:CAK78962 MGAAIAVRNRHWWFRGLYDDYIGRETRLSFGLAAVIWIPHYVYGVYLNRTIETNTSHKIY SMEVGPSRNRLTHSMIFEQFEMVLENWEELNKEYAEKGKKMLQE >CAK78963 pep:novel supercontig:GCA_000165425.1:CT868318:717612:721554:1 gene:GSPATT00002005001 transcript:CAK78963 MNTYEDEKNRIALKSIIDERNKKIVKTPLPQFYLDQVCGIADRPISNQLTFIQGNLIVFY ASNMIIVQNVETGQQQFIPGRYKNVTAIAAASRKDGLMIAVGEHLEQSSNLVIIIKDKIR TISLQCKGAITLIQLNVEKQYCGLQISNQIQIWNYEKERLLASAFVKAPFDKFSFHPLKH KHILLSGHNYLRLWEMQFQEKQIKETHETLIPLKIEKENKFLDHGWVMLSANQALLVLLA AGNKILILINDHFKKPIDIDPQNLNFIDAPVQDFNAEDNDDVIGINSALEDVIKDKVGQI VMNNQTKLTQNTTIMTQQQLEFQCLSTTKKGFILGGTKGAVCIYEFDKNYNIVSAMSFNM KSNRGEHKVIQISNSGDQLISIISLFGNQLYYSILNTAQMDTEVSPIQPFFAAGFHNKRV NSISHAKIKQVFVTCSEDNSVKIWNYYQNENNDKKGVLSKYFKEEPVCASMHPFGLFVAV GFTNGFKVFAILNEGFFPLKDVTLNNCKIVKYSHGGHMLITNEKTNVYIYDAIYYELIHQ FEFHNSPIKDIAISLNDQYIVSTCTSGYVYCYNLAELNNNVIREQKHQEQGIFNSIQYDE YFIGCTNEKLMVIFDKHFKLITEFHVTDCYLTKLLMTDQHIIAGTSKGTVRIYPIRDEDN LELELINQKNETMYKIPECYEIAVHATAITCIDYDGQYIFTGCEDGSVCLLRSKSEISEE RQRMAAVSNDLYLEVIFKIQKENDRIQSKNFEVIKSEQTVRIETAKLETQFKQKIDQMTK KFQQTMSDDKNLKDKFVEKSEQDYDQLYSQYMEEKVKYENEINKLKQQHIEKIEYEESRN QEIEDEIKKVQEQHKDDLQSLLEQHKQELKQMKLQFYEKCRKVQVKYSSVVDNAKNYGTA FIQRLEKEEAEYEKEIDEQIKDLENQIAKVIQDNEKLEKENRELASQFEQLKKDDDDLQI QFNELFDEHNKIRLENLKNDDDILKMQHQLLERLQVIDSKEETCKAAKDEQINLENFRYM LDQKIKSLQNDKQLLLDKITDKEYKLRVMFKELIDESNKNEMKYQQLVQLRGQLEVIETQ IKKTEIQIFLNANKLKNYESTLLSIMKSNDPPSLIAQKLRQIINDKGEENEEIEQLSQKK SISNKDIKDIIKLKQDKPNELNEELLRQGQWMTKKLYLIKVTSDKLKKIRDDNINTIFNQ NTKLIEECNMLRSENDRYSKKIKHVEKLVKDADRLLQRLKPKLNKQPKLNQIEKQIEEQK QKVQSQKTKLSNLKDSMSQILKKSVVD >CAK78964 pep:novel supercontig:GCA_000165425.1:CT868318:721864:722868:1 gene:GSPATT00002006001 transcript:CAK78964 MSQIIRNISSLREEWKQLVKKRIIKNKRRNQYTVPYQFNNTQNKNIRKADLLLIVKNIPY TIPNKNVFKLKTNIQFSMSINNEYSLGSLSIDPHSNNYKVVRIDKRKNLTILTLDEIHRP ITPSDVLYQIFKTRNEQLAEAFHLYEQRNLEPSKFKINQISEMIEFESTIKGSPYACYVQ IYENGMWRTFQKIFNQKMLQVLAISEEMLINYCNETKLIPLSAYMDASDEQLKVFQKIFS GRAGQLESKRDYINYNGDRFTATLKIKSFFIKDENKDQLFEYTYCVTDCENKWISEDRAK RNQREYFNIKPSNSTTDESSYIECQKRCGFKQMI >CAK78965 pep:novel supercontig:GCA_000165425.1:CT868318:723163:724767:-1 gene:GSPATT00002007001 transcript:CAK78965 MNQFNKEEYLFLAKIAQQTERFNDMIEFVKQIDELELTKEERNTLQSAYNNVIGIKRAEL RVLQEIEERESNRQNDGQVLLYIKNYLIKIEKELQQKCQEIIILVRDKLLQNAKQTESKV FYLKMIGDYNRYLAEFHLENDQHNSIDQAKEAYKEAVLMAQTKLSPTLPLYLRLMLNTSV FICDILSDVEGARELAKESYEKGILFLDHVKEENIKDYQCLLQLLRNQFRNEYIKLIMNK FSREEFLFLAKIAQQTERFNDMIEFIKHFLDQELNKDERSILSAAYKNVVGNKRAELRVL TAIEQKESRKQTDQYTLNYIRNYKHKIEGELKNSCAEILNLIDSTLYPNAKQVDSKVFYL KMKGDYNRYLAEFLLDNEYHAAVEQATQAYKEADVLAKSNLSTTSPIRLGLHLNQSVFYY EILQNATEAIRIANDAFEQAIAQVDTVNEENYKDCTLIMQLLRDNLTLWNNPEEEANDDQ >CAK78966 pep:novel supercontig:GCA_000165425.1:CT868318:725692:727088:1 gene:GSPATT00002008001 transcript:CAK78966 MNYDYIGAIKIKDGLFLGDQFAAQDLEFIVTNKVSRIINCASKQIPNHWESIGIIYMSFP WMDNDQQIILQQDEIINNIMKFIDDALNNGESVIVLSIKGHNRSVATLCVYFMKKYRWTL YKTLQYMHNRRPDLEIRAHFFNQLLSVETRLQKQGYGAKTYNWDEIYTQGENDEILLRNT YLNSQAQGVAEFKDHDPKPKESKLKFAEKVSMYIPPYDKIVFSKAKSLPQDARPIIKMSG SSKQIDPSFQQQAQKSQSMNIQDQSNQQPQKDQQKSSLQQIQPQYPQRPQSQGAQQQRLT KSDSVKSGSVFDNNAACIQHLLYWIEPQRIQMNNFMDSRDQFLQKSQQQNGTRRPQTAPN QLKAPRVQTTPYKRNTSSGQRQEAGSQPNSQFKPMRQRAQSPNSTQNMEKNEALFVLILF AHQIKF >CAK78967 pep:novel supercontig:GCA_000165425.1:CT868318:727370:731819:-1 gene:GSPATT00002009001 transcript:CAK78967 MFTIYSIRIYNLLMVMLVTVFVMPFLSIFLAAFKCEGQMQCDSILYYIMVILSSLGIVML LICLIFFEIFLVDYNPFTPIPYASKYTKLNWIELIFKIYLPFFAVMDPQQDAEKPFITIL CIMYAMMITMRLKTRQFIENSVNISKLSQTSLLFWTSFVSVCHAYLDQGDVDDMGFFYEV VCSPIVVYCCFKLNKSPELATHMKKDIQLEETVQEMIFLIENREKPINRMKLEGFVKLHQ KDCLKSVEQCPCSSIHQDTLRNQDEKTNDKQSLDKTIRWYAFVQALVQNSSDRLQTTRIQ IIRSYVLQKKLKNKFKAFYELAIAQKQRLQFQDEFCIYRVMNQIELEMIEQDKNQEIDVQ QIVNFQNFYIQFETAIEKSVNLHLEYWRELQEESPDIGKLQQLGASITSSIDETEQLYDK LIKINSIHLKTLEIYGNFLTHVVNNDIEGTRIIDKSDQISKNLEINRIIDSEKFKYDQNS DTCIITCSVNIENLGAITNCNNEIQKLLGYKKADLLRSNVSRIMPRILASVHDDFIRNFI QTSQSKVLGIERQVFALNKSGFLVPCSLMIRVLPNLKNGLQIVGFLKKSDNTDKFFYLMF DELSHSILGFSETVYDKFGVPAGVANGQLDLPILDIAPELLEFCKKQGAFNFTIDTTGLS NNYQIAQDESLDDIQQQEDQSAFYGISRDPYEQNVLLERKEYSQINEKTANNDKSMFNEK TITQDPKLINHKSLKYKSALVKGILVEDSEYANAKIKIIQFIEESQEELLNKVIEDKKVE EEQPDVIENVIQSEVSGGSGLSVNEEIRQIKEFKIQMGEKSEASKIIMLNRIIVLLSTIL IVLSSVQLGYRLQQNDELLNGHSAITMAYYRTGVMADVVFYTRYLQLMAYGNVTYDQSFR DKLSLQVNQLKSTQYSLIKSRIDMEAKKNVFSDTTINVTYLYENVEQNYSSSLSDAIFQL VTSASIIRNASLTSFIDSNSTENTVKNVFFIIRNGYQLLRQGSQDVSEIYYDFFVFIIGD YDSIFYAVLSLAIASLVIAQFFLIPIVLKVHNINNKVMSLFGLLTQKDIKELAQRSEQFK NTYLSNMQNTIKEQAQAKAELEKLQEKQKKLKNQDQNLNDQSNVIVEINNEQPQPSNDAP LSSNQQPLPSSLPTHKNIDKFQLQQQQEHDEQQILDSEAMRQQKLLNSKASNTFSVSMKF VLMMMIFMAYFIVNITLEQQLTDQSVIIYNHLKYSSIRAYDLKYSIYFTIEQILQATNYK EQYIEALYENERLCLESLQQSFSSKFDPYISLYNQFNLQSLCLQSFSTEQFVLDVNQCSV LSGGILDRGLRNSITSLALWASNTISTDIKILDSQQVKNYIEQVYYIDQAIVYLNAQYSL GMADFVDSEQQIEKIKFSVFIIGLVFIFLFIWTPYNKEMSRQIWRTKGMLNMIPFEMIKA QESLKNAFLKGNIIAAVK >CAK78968 pep:novel supercontig:GCA_000165425.1:CT868318:732215:732915:-1 gene:GSPATT00002010001 transcript:CAK78968 MIQIGQKTIQIWLVRHGQTEGNKLQILQGQDDGQLSALGIKQAEALGKRIKKEIFDVVYV SDLARTKQTFELIQKHHSKQMMPNFEPLLREKHAGQSLTLPKKLAAEQGVDIRVFKPDQG ESWVDVNNRAQQMLQLIIQRCSDLKQNNITVLLVTHGGFIMEFMNQINYMINKKQPVYNN SALNCSITIVKYILPKGEVKIVTQNDASHIQKL >CAK78969 pep:novel supercontig:GCA_000165425.1:CT868318:733121:735358:-1 gene:GSPATT00002011001 transcript:CAK78969 MDIHNQNCKNNAEYRKKQVQINLQVARLCEKAYMKKHQIHLKQGLKFMNEMKLRKWQGIK QSEDTRQVYQEYRVLKLLISYGEKVANQELDAKYHLITQNEIMAAQQSIEDQSIFDLIEQ MNRLINQRLDYCYKSKMQNFGSISFRVSKFRQDSPRGIPDTNKLNSFSDYLSLNFTLNSD QSGPALGLKQTTHAKSSISIMGKLKKSGKISQFLQQQQSIKSIQNNDLEEEQLSNFQTKK KSCFAQTASSESDLDISIENSDLQMETLESTKQIEFQQDCFFASEKGYFSDTEIIKLDAE NKNQERNICLKDFQFIRQIGQGAYGGVFQVKRIATGDQYALKIINCSNRPFERLLTQLKQ ERNIFEILTGEYVAKAFYSFQHQSSLCFVQEFMVGGDFAKILMIEGAFDENIARHYFAEI LLALEYLHSNNIVHRDLKPENILLDQNGHIKLADFGLSELGFNKMMVKRKTSQRDMVKQD LSSSPGYIIQRGASFKKSRSTNSQYEKGSEEERRKVVGTPDYIAPEIVKGISFSNKTLDY WSLGVILFEFLVGIPPFNDESVDKIFSNILEGKIEWPDIGDDPETQISECVYDLLKQLLN PDYKQRIGHESIEQIKKHPFLNSINWNKLRTQPGPIIPRIPQNTQQFENVQEKLQKFLQR SERKHSQIVKKLQDELEYLERVDLLIATNEQEVIQIKSQFNL >CAK78970 pep:novel supercontig:GCA_000165425.1:CT868318:735872:736111:-1 gene:GSPATT00002012001 transcript:CAK78970 MNRNFNNLRVQSKFEFDAIISQLDNGSPLTQIQVKKFSGIKNLDLFEAQDQDDKYETPKE SSNSLMDEGPNIKERNKES >CAK78971 pep:novel supercontig:GCA_000165425.1:CT868318:736229:737674:-1 gene:GSPATT00002013001 transcript:CAK78971 MEEIKKGDIIAEEYEIINLLSQGSFGKVYFGRSLSQQIEVAIKVEKNEVAHLNSLQKEVT ILKQLEGIAQIPNVYWNGYYKGMDVIILNMLGKDLIYYFHKFKHFSYQCVCNIAIQMIEI LELIHKRNIIHRDLKPENILGSPDSSKIYLIDFGIAKDLEQNKKSKDKLSFIGTTRYASL AAHLGVEQNKKDDLESLGYILIFFINQTLPWTNIDKEDVFRIEKIGQMKEEITLETLCKG LPSAILKYMKYVKQLQHKQKPDYQSLKNLFINENQIGNCLVFDWNKKEFQELKLKSSKSL RSLNNIQISKSSFNNKNKKQFQSISNIKRESYGKIQSKNNHNTFGQKESSDERSNSIKQV SNQKSIFVDYSISYEQTKVSKVSQFRQKSSKSIFGKKHQKSQQLKESSIIPIQSEQQLYE LEESDLEIKYNLLHYTSVFYNYKNPIQEFKVIQFS >CAK78972 pep:novel supercontig:GCA_000165425.1:CT868318:737748:739299:-1 gene:GSPATT00002014001 transcript:CAK78972 MQQDKGRIYYGRFVQRDKLSQGSFGVVYICHDKVTRDYVAIKVEKENKDLMSLEREIQII EELRGITGVPKLYWYGNEYNQNCMAMQLLGRDLSHFQKKFKKHSLKTVCNLAEQLLTILE EIHKRGVIHRDIKPENILMGRGNDSQQVYMVDFGISKKFRNNNQHIPFQENKPFIGTTRY ASISAHKGYELSRKDDLESLGYVFIYLLRGNLPWQNITSTSDKEKTKLVGKLKMELELKD LCKGLPIEFQKYMDYVYKLKFASTPDYQYLQGLFKRIAQQNNFNFDRKFDWMDNQTTSTK SSDQAQQSSNEIDISNIQGDYFKLKMSEKRKSYQIDQTSQQSSVVLNYAPSIVSNRNNKN ISRSRQNSKQSYSRESSLVKQPKGQKFQGVFFQNREFRDFDVQENKQKKSPINFSEFDDF DDELNDDDEQIYQVQRKVGMINVHFKEHLSKQ >CAK78973 pep:novel supercontig:GCA_000165425.1:CT868318:739619:741135:-1 gene:GSPATT00002015001 transcript:CAK78973 MYKPSRSLRQSQEKFYTNNKPSPQTRAVSFATTMEEPLRLINREFDRDLYKQKLKVQEAE LLEAKGRHIQFDRLEQQSQICIELNEQRLKNKQQQQVIENLEFKLQIEKKDSLIYQQQVQ RLEEQYNYLQDNYDTQKQQIIVLQESIEQIQKKNNLEKEMRSEKEFLQEKEIKFQQSEIK RYQEEIVKQREHILQNSQQQNANEFILILENKFELVQKEFIFYKKEKEQEIEGMKQLIDS YQSQIQRLNKENQLLLDKQSIISTTEEHQQQIKTLQIELESQIQICKQLNQQITILQQQL NQTNLICQNLKEEKLGAIKEKQAIETYYLDQLQKQDVQLSQMIKSSVQNEQKAQIQKLQI IIEQKDLMIRELSMQKDCNCNKQIKNEQKVIIRDLEKKNATLVMEVERLNKILKQKLTEL ENQTSRSCEENHKKYLEEIDIWKSKFLSINKQYHISQEQLMVVKTELDGLKKAKQKENID PILNNKVG >CAK78974 pep:novel supercontig:GCA_000165425.1:CT868318:741336:742408:1 gene:GSPATT00002016001 transcript:CAK78974 MSKDFSEYLKGMKKEETTSNIDLKELESVFDQKKYEVKWWQIVIGNSFTTTALGILTQPL QVIHTTFQLSIKRMDFQVNNLRDIMEAKITLGQQKKLEQILKLKIVKYQGPSYQNYNAVF KGLGEQGVLGFYKGLGTGILYQITNSQLRYFLIQKYFNEKKLIQNLYASLIGMAVDVLTN PFMLIQSKMIHQNRLPNFRTYRSIYDLLKKERNLYKGALGHIYKHIFISLSQMPSIYIND SRLCLSYLLMIQQVVSYPFLTVIRRLHCQGSQPFMLQTNYKGFWDGAKQIYQQEGILTFY RGFAAFGIANSFMAFVLYSTQLLGESQE >CAK78975 pep:novel supercontig:GCA_000165425.1:CT868318:742509:756156:1 gene:GSPATT00002017001 transcript:CAK78975 MEESETQLNVKVQEQGKLYSANEIENFNQYLSAICLSLLIIDKDQWNVACHEDVNQQNIC QFLSDSQIKALIVSKTVENEKFNIQIRSEYEASNNYAHTICFLKRHTFQYDNQLQPQQFS NHVQVINVGYAESQGGANPFTLSHNYVQNCFIPIFTQYKGEIDKKRIVDQSSYNDLIKKL NEVNLAFIKCRQNVEVPEIILQFDPRIKEAVKQRGGKPTIEDAAQLNKPDIVQSISQTVT RWISDINQISNTKLELTNASIVDEINYWMSMERSLFFIENQLKQPEVDFTIEVLTQAKKM NITAQFKEIALKQSLQKCQSCNQFMKEFPINNLLIATNLVEIKDAMIQIFQHMKKLSNIQ ETYTIPRSLQLAESFSRELTNEMIKYFKGFQILHIKYVDFKGLIIKTQEIFSQWDEEYKI FKQSIVKKSVHQKDQYGQFEHIKLQKQIQHIQRLREMHENLKEVIEQIIQNDQEEQKENV QQFATLQEIQQAYDIFKNVEVFDLSRDGEDQFFRALKQYEIAIESVEATITTNLRDSLGS ASSAKEMFRILAKFNKLFSRPRIKGAIQEYQSQLLKTVHKDIQSLQNKFKETYQKSQNSR LASARDIPLTSGFVIWSKQLQIRLQKYMQKVEQILGPQWAEDTDGKKCKEMGETFERILD SGPALEDWKQEINHHNKAVSQNEKLFEVVTRRRGLEIRVNYEKKLSQLFKEVRNLSNMKT KVPYSISHIANDAKASYPFALSLQESLHTYIQITSQLNAKSAKLVAALRKEVQLQIGQGF NYLWTHKTQLQPYVKKFTDKVFELEQAVNGLNERIGQIESLCEAMKTCPVDSLADKLKDI QEVIDSLCFNNFSNLHIWIQDIDKQIESILCDRVTVQMKEWLNQFINYQKIQERGLVNQT VVHELKLQDQIIYVDPPVEYAKYFWFQEFHKMIGQICSLPRLVANRFDNTIQQNTGPWGT QRDLDYSTTINKINQQLIKDAYSQIGQLLEDMEQYVQTWLNYQSLWELDIKQVEQILQDD IEKWQQMLTDIKQGRATFDNSTTEEHFGAIIIDYRMVQVKINHKYDAWHKELLNHFGNKF GEQLRVFNKNVTTEKEKLLKINFQDLTSDIIESITIIQEQDKKFPGWSADIESFKNGQKV LDRQRYQYPGDWLSFEQVEMQWNQFKQIRSKKLQSQESEMNNIQSKIQQDERYLNQQIQE IEEQWKTSKPDSGDCSPNEAEQILKSLNEQLISVQEKYEKCSQAKEILKMDPPTHQQKLN VLLESISDLQDVWQELGKIWKVMQSIKEQLISALQNKKIKDTCDEAQKQLNGVSTKTRNY DAFEKMKEKVKNYIKMNKLIMDLKDESMKERHWRQLLSKLKINESLNQLQMQHLWNANLL NYENLAKDIMTVARGEQVLETMISQVKDFWNSFELELVKYQTKCKLIRGWDELFQKLDED LNNLASMKISPFYKTFEAEISQWDDKLQKVKLTMDIWIDVQRRWVYLEGIFFGSSDIKTQ LQNEYNKFKDIDSQFTNLMKKVAQKPQLMDVQGIPNLAKTLERLSDFLQKIQKALGDYLE TQRQAFARFYFVGDDDLLDIIGNSKDVTNVQRHFPKMYAGIVQLQSRKDGNDDVVLGMSS KEGEVVPFSKEVKIAEDPRINIWLGKVDNEMMNSLALDLEKSVLDIQANQQNRMKVIEEH PAQIILLALQVGWCFSVESSFNNEQQMKQTLQYVLEFLSELAESVLKDHPKQLRQKFEQI ITDFVHQRDVIRLLMNNKINSKNDFGWQYHMRFNWNSKEADPGKRLLIQMGNAQFHYGFE YLGVAEKLVQTPLTDKCFLTLTQALHLRMGGSPFGPAGTGKTESVKALGAQLGRFVLVFN CDETFDFNAMGRIFVGLCQVGAWGCFDEFNRLEERMLSACSQQILLIQTGLREKQKQIEL MGKDVKLSSQMGVFVTMNPGYAGRSNLPENLKQLFRQMAMVKPDRELIAQVMLFSQGFRT AEKLAGKIVSLFELCDNQLSSQPHYDFGLRALKSVLNSAGNMKRQEMIDRKQEPVPQSEI EEFEQTILLRSVCDTVVPKLIKDDIKLLETLLQGVFPGSCIPEIKEEQLRKELALACQRK NLQSSKNFIEKVLQLYQIQRLQHGLMLVGPCGCGKSAAWRVLLEAMYKCDKVKGEFYIVD PKAISKDELYGRLDNTTLEWTDGVFTSILRKIISNQRQESTRRHWIIFDGDVDPEWAENL NSVLDDNKLLTLPNGERLAIPPNVRMIFEVETLKYATLATVSRCGMVWFSEETINDENIF YHFLERLKQDDYDQQKSEDDNNKQVNSQESELRTKCVKALESIIKFLSQFLQIAQKPEYK HVMEFTRIRVLESTFALVRRSISNIIEYNENNSEVPLEDDQINDFMVKQFLIAVMWGVAG SMNLYQRTQYSKEICQLLPHNVILPQFNDSAPSLIDFEVTLPEAQWSQYKKKVPQIEIDP QRVTDADLIIETVDTLRHKDVLCGWLNEHRPFLLCGPPGSGKTMTLMSTLKALTDFEMIF INFSSSTMPQLIIKQFDHYCEYKKTTNGVFLQPKNQKWLVVFCDEINLPDQDKYGTMAII TFLRQLTEQHGFWRSSDRQWISLDRIQFVGACNPPTDVGRKPLTPRFLRHCPLILVDFPG PESLKQIYGTFNKAMLRRTVNLKQYSEQLTNAMVEFYTKSQQHFTADQQAHYIYSPRELT RWKYALNEALEPLESVEDLVRLWAHEGLRLFQDRLVHEHEKEWCNKLIDQVAYNNFNNLK DEALQRPILFSNYLHKVYQSVDREELRKYIQGRLKQFNEEELSVPLVVFDDVLDHILRID RVLKQPLGHLLLVGSSGVGKTTLTRFVSWINNLTVFQIKAGRDYQLADFDNDLREVMKRA GAKGEKITFIFDESNVLGPSFLEKMNALLASGEIPGLFENDEYLALINLLKENSNQNKQF DSSEEQLFKNFTYQVQRNLHVVFTMNPKNPDFSNRTASSPALFNRCVIDWFGDWTNEALF QVGKAFTMYIDPPENAFSKKIKDETQRQHILVSTLVYIQNTIIELNNKLQKGAKRFNYIT PRDYLDFLKHFEKLHNEKKSQLEDQQLHLNVGLDKLKETEQQVLEMQKSLDQKKVELLTK ERQAGEKLQTIIEEKKIAEKKKEDSTRLSSDAEKKAKEMEVRQSQVNKELNEALPALENA KQCVNSIKKDDLNQIRALGSPPALVKLTMEAVVCAINSLEKSPEWKDVQKSMANMNFINN VINFNTETMPPKVKKFILTKYLSAQEWNIDRINFASKAAGPLAMWLDSQLKYADILQKVD PLRQEVAKLLQESDELNTQKKIYDDEVAAAEAKIHNLQQEYSELISQKESIKSEMLKVQE KVTRSQALLSDLSGERVRWEEASQNFKSQLATMIGDVLLSSAFLSYIGFFDHFYRKVVIN TWKDYLSGQANISYRQDLSLIEFLSRPSDRLNWQSHTLPSDDLCMENAIILYRFQRYPLV IDPSGQALSYISSLYKDKKLARTSFTDESFLKTLETCLRFGCPLLVQDVEKVDPILNSVL NNETYKTGGRVLIRVGNQEIDFSQGFTMFMITRDSTARFTPDLCSRVTFVNFTVTQSSLQ EQCLNIFLRNESPETEEKRLNLMKLQGEYIVKLRELEDQLLDSLNNSRGSILEDEKVIQT LEKLKKEAAVIVQEMKQADTIMNEVMNTTHSYVPLANTTSKIFFSLTSLANIHYLYQFSL QFFMDTIYNVLNKNEQLQKIPKQDLIKRRILIFNEMFKEIYKRMNFSLLQEDKLVFAITL AQVKLGDNTLGQEFLNVFKPPTVMETTFSNTFLQGKLSIQQLKQLEGITQQNQTFNRLID NLNKNEDRWLNFLNDEAPENDIPTQWYNEVQRDDIRQLDDLHILRIFRADRFQIIARKLI NQILGEGFMDEQTVDMKLVVEKEASNKIPILLCSAPGFDPSFKVEQLSREMGIKLTSVAI GSAEGFDQAEQAITQSVKSGSWVMLKNVHLATSWLNDLEKKLFRLTPNANFRIFLTMEFN PKIPTTLIRQSYKLVFEPPDGIKASLIRTFKTVLSQQRTDRQPVERARLHFLLAWLHAVI LERLRFTPIGWSKTYEFNEADQRCSLDLIDEYVDALGIRQNIDPSKLPWDAFRTILTQNL YGGKVDNEYDQKILQSLVEQFFTEQSFNHNHPLFFTLEGKEAITVPEGRTYLDFMQWIEQ LPKTESPEWSGLPSNVERVQRDQLTQKLITKVQNLQQEGEEEITQIEDNKKSDQVQWLQD LLEKVEKFKAILPNKISPLERTADSINDPLFRFLDREITVASKLLKAVRQNIEELIQLAQ GKILATNILRQLAKDVFNNIVPAQWNKYNVITMPLNDWVGDFKRRIDQFDLLGKTKDFQK GQVWFGGLLFPEAYLTATRQYVAQANKWSLEELELQMIPEDQGIDEDSFVIEGVSMEGGH LDSKTLQVRIVNEISVALKPITLKWCKTSQKGVVGDDEIVLPVYLNKTRKNLIFSLKVKM GKLNRYTLYQKGLSFILFN >CAK78976 pep:novel supercontig:GCA_000165425.1:CT868318:756315:758321:-1 gene:GSPATT00002018001 transcript:CAK78976 MSNENYLKDSTKLIRKGCPRKNIQLLERVKNNNIIMNKLEEMEILRRQVYSLNKILESYL NRNASFYKKTALIVISKAKLFLQQWYELIRSHFKYKKPNIKMIQLYLYELLKYTQNLSIK FQREESYHIAYYYCNASKQVLEQLNGFKFKIWQHSSRDVWTDLIMGNMLLQNQNYELASI EFQDSLVRIQKNINQIINYKIEKSLPKEEVKKYAIRQMIMLFHIFFLLCISYLYSDNLSK YQELLKLLYYLNKHNQYNGEQSKLLKDFIKQHNLKLQIHLQEQGEIQKIMSHMYDVPEIQ AEEKPNYIDEHFYKKYQVKEMNDQAFFRTKHQFTIIKQRCSIILKGKDKRQNSPTTMQQT QPLSQYYDTTIHPNVKQTQNQSLSPHSSFKYQQSFLKKSIKKESFSYIKSDRQSMKYLDT QIQGSLKKESFYSYNELSKDMETNISINSDEQKQLKTERSMLRSKSSRENKQEINQQTKM AIFNLIEAKSLYYMEVQKASSKLKNIKSFKDQQQKKMISDKLISDMKYIQKQPSMLTSTD QLDEKDGKQIYKDVFNDLKTLADNYVSKLQMYEKSQARQRIYTQQQQGMNCQSNLNTTIS KCKELSKSIQQQKEFF >CAK78977 pep:novel supercontig:GCA_000165425.1:CT868318:758354:758800:1 gene:GSPATT00002019001 transcript:CAK78977 MYRTKQSYSEYDSSNMNNSKMITQKYQNQQYYNDNSGRLGIALPELQKYQKQCLQQTNPT QRSDFDRDMTWTKKQIIKEQKVQHNRRVRQKLDSDNSFSQNYSVDDQIRIRRKANLSSYM FGGFVLQDYNEQVNKLHKLLLKKVILNR >CAK78978 pep:novel supercontig:GCA_000165425.1:CT868318:758930:760654:1 gene:GSPATT00002020001 transcript:CAK78978 MQPYYDKDTDDECKFNKNPILASDNASVEGMINRPQTAPPSPITELEEFKRQDEFNILDR AQRYQIKLIQRDFHKYDLDNPEGQRSCKKYLKILEEMCIIYQVKTLSRDYRNTFSKAYKI LYKEDRLCYLPEILDSAQEGFPYLWVNSEKFVFSHDVLSKGSKLIELFYKVQHIIRLSYT RTLKESPDFSSKQLKQDIVIILEDFDQIWVDFEKLYVKELMDIEAKARRFILLAIEIDKE MTSIEIREKLRGKILVTSENYIQKKEQFCKVIAQINSVANVEGKGRDDLGINILLEAEGI TRRVTKEQSSAVRNLADSIKANFQKFREQMRKYEGNIEMVDPQLKNNQELVDLLVEYESQ WEKGLYYLLDPTKCQQLMYFSHIIETTAEKYQQFQEQLECRDSDIFVTIPCLIALKYLEN EDRNICTYFLPTLKEESSKLYQYYASLKNQFLEWRNLHAKQYEYYNILEKQLLGIPLNEK EQIALQNFKLDNIMQKIRQMSIELQRYNAIEWNYFIDAAINNN >CAK78979 pep:novel supercontig:GCA_000165425.1:CT868318:760847:762993:1 gene:GSPATT00002021001 transcript:CAK78979 MIQIPYAKHHQSQIQFAESQITNLDKNIIKVLQLFSVIGQLEMDIENIRLELSENSHFYP EALFQYLKLYLQPIKPEPIPEKPQFFNFTDLQIQAPLVINVINSAKDGIIKFENIIAFMQ DNTSVPPSAESLRTIERVFHINQFAFQKDNVLDTFNNEYPLPQDVIPLSQIPIIQIQGTS KVPLQQKENIEKVNSGDGFNYATFQKMLLSKSIPQASQKAQKRKLIYETNQRQQVDSSIL KLFINLLQNEIALIEKSEQIRLVLNTDSSYNHVTMFNIFDSQGNGEIEYRYIEQLMNKAQ IPFKPFHFKSLIRRANILAKNASASETLNFEGYRLIATVQCPYFKIPKHEEEQLQLQHQY SRILGAEVNGQNSSQLSISRIPEKIRNNSQNYRSTPLLSTYNSGSPYVNETLKKQSKIST NNYHQDEAIYQDNIVYGTKKEWDELQHSLCQNNLIDSRSLKSQILRIQNPELSVNNQRIG SRNNTPRENKNQIELIQQQALVENVEKMRQNDMSKQLQYAMGANTKGLYSNFVKIERDNK MKEDLHSFYNQAPWYQTDNKISKNIDIIKLQQSQIQKVSGVQNKVHHESQQQNVNNQNAE IRNQIANNNQQSAIDSQQQQSRIQQNQSNIQQINKLSPVSPRIFSTQKLNPLIGNDNQQI IMDYNYDIPKRVFDHQN >CAK78980 pep:novel supercontig:GCA_000165425.1:CT868318:763084:763330:1 gene:GSPATT00002022001 transcript:CAK78980 MEKQARLMAEKYKDGPKKKGALVKKTQERTQFDSATHEMQKQAQQHPPK >CAK78981 pep:novel supercontig:GCA_000165425.1:CT868318:763544:764422:1 gene:GSPATT00002023001 transcript:CAK78981 MKVKIFLGEYAYIYRGNIDLREMNEYVKTLTIRPFILKWKDQEEDLITISKKQDLVYFYQ SWTQNETTQSQPFRLYVQEIPQSIQNTQITQLQSQSHINSKMISPSQKYSCTRQYQGVTQ FTFGQQINDLPRSQEAQRERHSQQIYLQSIKEINGSSKNISNQNLDQLQFYNQYNEETTK FKIQQDFNNIPSQDPSSFLNMDMKQSIKNQFIDVQMPNEEEDVLDIKDEEDEEIFDSKNI YCDYCSDIIEPQDEKTILYICQQCEDFHICRLCYDQNQEQVHVHQLKEIPIL >CAK78982 pep:novel supercontig:GCA_000165425.1:CT868318:764555:764857:-1 gene:GSPATT00002024001 transcript:CAK78982 MKSQDEELFLNFKIRLRYYAKSKTINRIRIKKKMGFTPNKVCNQFNCTQQNPKQTICIPS IITVSLQNQLQYQKPLKTQREPQVSIACFTKSVPKLNNKV >CAK78983 pep:novel supercontig:GCA_000165425.1:CT868318:764993:767003:1 gene:GSPATT00002025001 transcript:CAK78983 MESIQDLKNLIGHNFNAGNFSKYMINNDIADVDQLIKDCQLENSGQRFNIWRLFLRIYSK EDSFTQKIEKLTKLRENYKELENKHLKTSNTQAQNKTEDSTKKGPLGGPLGGPLGGGKQK QQSSNAIDNVELRNEIRKDVERTYQEFEFFSSKRIQQILTTVLFIWCKENSEISYRQGMN EIAASLIYIYTKEALYKEEVEKYEEATEEEINILLQFNSWEYAEPDIYVLFTALMNDAQH MEMFRPNYTEQQKIKLQSKKPSAILTRVAKLQDILLKQVDLQLFRHLKLLQVEFQIFLLK WIRCMFTRELSLIESFHAWDAIFQDFFLQQCDTLFFVDCIAIAMIIYLKNQLMENEESSQ CYQRFLKYPKISNLANLLDTATQIRNILISQKSSNNLDDNLEGTEKIEKLISPAFFVGDK VDTDPKAQQDKVKSREQPQQPQQKSQPVQQQVTQNEEEQSQSPQSQINEKQPVKQQEQQT VFFDDKIMASNTKAQKSQFSVEENAQQKEVVQESEDKQKPIKLSIKAVEDICTTIEEAFN VIKKSNESQNHQELIISLAELKSYLTKQITEVEGRQGNSQDDGQRNKNGGFVIKKQLTGQ QLPQEDNGIKSKMAGFFKKW >CAK78984 pep:novel supercontig:GCA_000165425.1:CT868318:767164:767852:-1 gene:GSPATT00002026001 transcript:CAK78984 MSTSGEYPPVRQCFNQYCPSSFDLKAAKFSDSTILLCCTCYELYKRRKCCYFCAQVYKDD EQNFLDGKKWVQCDNQRCGKWTHIDCEVSDIESQLQHRSFRYKCPWCRIEIDKKKKQSQI TKSSINQDQADQSDQDPEYIHSLIKKISLEEASIQQIYEPWLKKNTFLEELLKKNGEFTQ CINQEELQSDLIKMRNLLKK >CAK78985 pep:novel supercontig:GCA_000165425.1:CT868318:768001:768736:-1 gene:GSPATT00002027001 transcript:CAK78985 MSFLLPLVSVVGFLASIVAFAISVDLKVQLGSMSLDISRTAFFRTREIYASQIPYLESVA FCIFLFVAVVRTVEYFKIDFQMVASMNDGEPETYYLTRAKLKQSLEWSNIAVTQAFIILT LALALSIGIVFSSNGHFYAQELIKKFINLNKLSDSDKGTLSELDTQSIKFIVIIALNALA LACVFFQFLIIIIKKLRL >CAK78986 pep:novel supercontig:GCA_000165425.1:CT868318:768775:769455:-1 gene:GSPATT00002028001 transcript:CAK78986 MINVSSTFRSPKKNKQDINDLLTIIDVFKKNQKIYLSQNPKLISNIQYDEPSQLASINET LPNKSKNKKSRSTEQINLKLILETWQAKQIYIKDNIVSQNISRNIINDPDNLQSFKYYYG KKQQLAITKSQQNQQFHSQRTNSISKNNQTQIKLPPLKSISKLLATESDNKPKSTLGSIT KKMFSSDKALSHDVYMPTAISIFFVFIEIGVPPIKLPKIVLNKTQD >CAK78987 pep:novel supercontig:GCA_000165425.1:CT868318:769483:771138:1 gene:GSPATT00002029001 transcript:CAK78987 MKKVLTLDGANLSIQDLKDILQGNLDVVVEIQEHHILQSRNTVDLIVQKKIPIYGISTGF GKFKEIYIPHQEMVDLQKNLIISHASCVGEPLKPNIVLVMMILRLNCLIRGFSGVRMSLI ESLQQAINKKLIPKVPCQGSVGASGDLAPLSHLALGLMGIGEIWNDGQYIAAEQALQMHD FEHLEFMEKEGLAMNNGTQMMAAINLETLMRSQYVMNAADLALGLTSYALQTNYHFLKNY SKNDNKSKNIVLSLIGEGWADQIKTNPKSISTAFTTHQIIRQSIEENLRIVEREINSTSD NPIIDNERQSARSGGNFHGEYMGMLADNMCIALQRLCIFSERRQERLVNSEVGDCGLPMF LTSKGGLLNGYMIPQYTSAALVSENKVLSHPSCIDTVPTSANIEDHVSMGAYSARKALQI VQNAEYIIAIELMCAAQGLEFAKVQLPPKIQSCLKFIRNIIPDVKTQDIFMNQYIELMAK NLRDGQINQLMEELQLL >CAK78988 pep:novel supercontig:GCA_000165425.1:CT868318:771405:775206:1 gene:GSPATT00002030001 transcript:CAK78988 MDDTHEEENNNAYLYIGLWQKITVTIRIVVEYIYSDIKKRPRSFKIGLFTIYLVVMFLAL IQSAFSLSPLIFIQLAETTAGDTDLVFTPVPTENKTRSNTDIGPQNSNFQASQNLVNGFS LVNQTEIVKITKEIGEIFDSTPRWLMIGNLQNPKENITKISDQIRSFFLLIDSEKEISIG LGRRLDTNIINNNEVLVTSSGLRGLNATIGDILNMNIDVIQFLSTYVITENNNNDPTTFI MAAFDTYLNRPLELIYGENFTRQEYTGYQLKELSSEFMIFTDILDSNPDQKQSLKESFQN QINQSGISGDQKDIANTAFDVLFNQELGYFSRLLATFIQSLGDNDVVSIYMVEEVVADII ADSLDFDIQLRVKESIKSPKGKWADGLGNIVVMDFRNATIILREAFIITLNNTIEDYKQN SPTNSIEEIVAYNIPAEDLFGYIRNFTDAIKLEEFSLTSNLVVRDRVSKYTNQDNIDNFV LDVSNSFFDKVGYDYPVKISVPLATAIKQYLLLGNFVDNLVVSATFLLLMLSILLIYSLM IGDVEEKTYEFGMLRALGFQKWWLIILLLLQAFTFAIPGLALGLITCYILNSLISMFIFD SAVLMSSYDIATSAIGLSFGLGLFIPLASNILPILRALSKSLRDSLDLYHRAINDILVNV IKLEKMGISIDQFFSAALLVIMGFVSYYLIPMAFIFSNIQLALAVINIILIVMIIGFTLL ANLLEEPLEKGILKLILCIFKKDKNLEKIIFKNLEAHYSRNWKTTLMYTIALAFLIFAGA GFALQTSVITDVLQSFLGADISVQALQSDKRGLDEYNIRVFLNKTITEDPSLIQDYSFTS LMLSQIPEMPQSHFISTLAKFPFKKVFLGAVEQNYLSACLDAFYIPNDYDAKVKYATLTD GVKDGVSGIYDRSDVQDRDAFYDPFTLVGSQQIRQQWYKDLSYEKPNLYTTIDREINIVV PQGYIYEAGINVDTPSILYVDRRLYRTNVRHMATKVPGYLFSSYRSMVGNGLISMEDALY IINDYFQGDIREGNLENERANLYFQSLPKNLSYGLPKDNLQIKFGRSTTEQERVDFSNAL RNYFLNEQTLLFDVITLKKEAEDTFYFITIFYVLVAIVAMTLSFFLILVSFVSNVKDNSW EFGVLRAVGLNKAQITRVYIYEAVSLITASGLIGTIVGLVVAITLTLQILMFTEFSFKFI FPTEIFLITFLGGFITATGASYLAVLEIRDKSISTILKGLY >CAK78989 pep:novel supercontig:GCA_000165425.1:CT868318:775264:776236:1 gene:GSPATT00002031001 transcript:CAK78989 MGGCPTKCEVKCGGGFRYEGSTLELNFEQQPDILRNKDRNRSASSSHQGESATLPATNFR RELEYTDNILQTQSDPKNELIASNIEVELLPIFVITKQINESAVSYRQNRFMEDLEIEVK ARIQEINRQEQEERQRLIIKEIVKKQLEQEKQKSELISKSQTNQQQEYSQQFNQKQSLSP KKNASKESKDSQLASFQSSSLQLSSEKQSNIQSSINKTDPLKYSSKNPHQMQAYEKLISN FYLEDGSNYSRHKGILKQNTQLKFSHTSKSLPNKRMVQSKVFKKKRVHFSQDTNFNFEKK SEEKKASKTWWKSLF >CAK78990 pep:novel supercontig:GCA_000165425.1:CT868318:776284:777148:-1 gene:GSPATT00002032001 transcript:CAK78990 MNPNTPFNERFAKISEKLSSIQLHHDSSKAHRIDVICGRVSGVEERIQDTITSYNRKLHS LKDEIVRLQKQIEEENNAFETQFEQRVREVAAFESRITTKLEQEISIRKDGNLKLQGYLD EKVVYLKSDIQTESKIRQEQIENITTSLENDLPKLYDLVKTEGQDREDSDNGTLRRAGDE IKRLNEGLGNQKKLREESESAIFEMLKDLVSRVKSEIEEEKKLREESQENLLGLLEDACN KIYRAAKD >CAK78991 pep:novel supercontig:GCA_000165425.1:CT868318:777186:777870:1 gene:GSPATT00002033001 transcript:CAK78991 MNKQQCDYHYSFKFIIVGNAGVGKSCLLHQFIEGQFKNNIDSTIGIGFGQKDINYKDNVI RINIWDTAGQESFRSITRAYYRGSIACLLVYDVTKKKTFHHLINWLNEVKQDSSAEIMIV GNKIDQWGREVSQDEGQQLAQQTGCLYLETSARTGENVILAFETLVQRIYTKIQNKEIDL NDPQNGIKLGTMTEVKPVINQSNGNQNSSCC >CAK78992 pep:novel supercontig:GCA_000165425.1:CT868318:778358:779544:-1 gene:GSPATT00002034001 transcript:CAK78992 MSAQINNRYIAKFEELEQIQEKLAQTSQRTRNISSDRQQTNGINVPEVKSSRNSEKQSQS VEKFLNLRQQNQNVDNLINSWKQQKTHSVSLNQGTIAGSIIQESPEHESPQTKSGTYPHL ILEEDEQQQFLESVSSVQPTIQSKAIGKVPSTTKSTLKDQVNNEKKKSVVYSKDANIFAN SQQQTISQQNQALIQKKNTTNVRPQTSKVQPQPTPNQIAQKKAVQSKQINMKMSQEIVAD VPQTPSQQKMKQSSLLEKTRQVKMNQTSTKASTKSNQNSQGEEKILISQIQKVKETILKL YEQKFEHQMSHSSSNIAKNFDLIVNTMKLDFRCLEND >CAK78993 pep:novel supercontig:GCA_000165425.1:CT868318:779597:780947:-1 gene:GSPATT00002035001 transcript:CAK78993 MQVFARNVFRRFAFTPFFTFSSKRDLYELLGVPKNASSNDIKKAYYGLAKKYHPDANPSK DAKEKFAEINNAYETLSDENKRRVYDQVGMTGDEQDQAGAQDPFAAYSSFFRQGARGGRG QEYEFDESIFGDFASFFNMGGEAERTIKGADIYVQMEISFMDSVQGSQQTIQFEKIGTCT TCNGTKCKPGTAPGRCTNCGGRGSINYRQGAMTIQMACTKCRGTGISIKNPCTSCRGMGI QKQPTNETINIPKGIADGQNLRMTGKGNVGENGGKAGDLIVKIQVKPDPYFKRDGYDLIT NAYISIAQAVLGDTINIKTLSGDKQVSVKPGCQDGEKMRLSGLGINKLAPNQNQKGDQVI NFKIQIPTKINDKQKEIFQELAKLEKAQSQDQTGDGVFDKVKNIFHK >CAK78994 pep:novel supercontig:GCA_000165425.1:CT868318:780977:783304:-1 gene:GSPATT00002036001 transcript:CAK78994 MKLKNRNSNSVNKLQALKQQQDNIISSINDLNDKNQQIIKDYMNKQQLLTDQLEKQHQQI TIQELKYALHNNNWTKKVGFFLNPLSQQNGQKITIQQQQILLKHSESKLIQLERIFQTES KIIQFQEQKKMIQNDVEGRILQMDTLLIEYEELEQIIDSQQNKSQCNNVKTLFEINKSLS QLKHNYILLANQRQEKEIIQTDIIKVINNLELQTKSIQIEKQMKGLQSSWFNVDQLYNSI VSQAKAEQQLDLFCNIVLLINDKIVKGLNSKVNQNVIIDSIRQIENDNKFRVFNSTVFQE YLDQAQIVEYSQFLTNELTKRISKFKIESKLKQHKAHLVDIQRQIHQIMYEQSMIQTQYN RLESNLPVLEKNQTALRLQQILDRMNHIECLKSQNKTLFEITFPAQIKEIQNNVNQMEQQ LQSQIKELHYSIQIEQDYYYKIFSSQSQKLPILILDSNIVNDNIEQQIEENRRQYQQQQI LYNQNIENYRQKLKQLEDQENQMQTKYLMELNESILKTKKENIPPSDSRDVSMIGTIQID MSQERTTHKYSVLRSKKQLFMNEPTDRSLSAYSFLGHRSSDPLCSKTKLALKQQTSLSSQ TSQKNPLLSPQSNNHHISIEQSLSLHSSARRFPDFQMEKSPPQQMKGDRISIFKVLKRTF RQQSSSNQSGCSAFSSKFVKCNQKMKCLEFYNSNRMIHDLGQKESALCLRQIKEVKQCQQ SLILVISKHQSIELVFSKHEQLNEFMKMITQ >CAK78995 pep:novel supercontig:GCA_000165425.1:CT868318:783342:783828:1 gene:GSPATT00002037001 transcript:CAK78995 MGTKRKRVIMQAGSLLNPIFRYILKMNFVANPTDVHGTRLLRHLQYQTMNLNKKLHQPNF ESHYRESVECISSKWEIKFVNGWERTFYMKYADMSTLHQTIRQYNHFIEGQRALQGEDDE PEDTLLQY >CAK78996 pep:novel supercontig:GCA_000165425.1:CT868318:783838:785527:-1 gene:GSPATT00002038001 transcript:CAK78996 MKQQIDLKLLQQQREERFQKSLFGANQMTQSVDDKPASSKVPLLSNENFVQIYVKCTITP EFVAQKELRDDNVDNEIDIVFDDVIENEELGMKKEYNVFVERQLVQQIKLLKQNYIEKQD LIKMPVCGSNGIRLPEEQDLLLYFEFFKIRENSTECQLLIQSQDLKSQYGLLICGCLFND EIAQDLAFKFLTKKPTLEIIMKLLLVTVHYESKYQMYMYKLVKQILYFYNGILKEITDPL LNEVTQNLKQLSIEKPNIIRVNAKNFSLKINTTFLYPLYKQYEKERAQVFKPINEYTQFQ VLRNADIPDQTEDSEYPHIYIIKKSSDQQQYLYGIQLEAHAEIQIYDNKCEKYQKYNDSY LGCVERNIWGTSMVVYDDGYPESAQLQFPDWIGQKRRRLMKIEYETNIMANEPRYFDTVF LNLDTKQWEELITLRPHYNQEKDCYQLNFFGQSKIASARNFQLIKAGDDKTIQLLHGKME ANSFNLDFRPPLSILQAFAISMVSISSKALVS >CAK78997 pep:novel supercontig:GCA_000165425.1:CT868318:785569:786341:-1 gene:GSPATT00002039001 transcript:CAK78997 MSHQYLSDFESFDNCSLNAEENNKTKYQKLNLFKNDSFQFDIDFEQISTNIVNQPYVPHQ EVNKKENSQAVNKKIKKQSKKERNLKDYKKNICRNILRHAIKSMNNDQDCITYLSELVDN SKQFSLYYNKQLEQITGFRVLRDHLIEMKEDSQIVKNRKLAFKYYLLWYLNSKATAMILR GETQNPQEYLRYKNEVLMYYIHQPHEWMSNNPEWLNATTQGKEKQCQLSTGGSCI >CAK78998 pep:novel supercontig:GCA_000165425.1:CT868318:786678:787578:1 gene:GSPATT00002040001 transcript:CAK78998 MGSCSLKQPQIQKNIIVEKQCSSEEQNEETSNMCQPPLILNNENIRLNTASEECDLSKKI HQLQIKTLEPLTFQSIKPIAMHSKDGLEIQINLSMSGYGLISFNDGSHYEGYFQEGLIHG FGRFTDLDNNTYIGEWVKNKKHGYGKEVVTNQYTYQGQFFNNLKHGKGYVQYDDGTSYEG QFDNNNLNGFGIYQGLNGLKYEGNWVNNQMEGQGKLTLSNKSVYEGFFKQNKKHGYGVYT WTDGKQYKGYWKEDAQDGNGELIKSDGLPIKIKFQNGKRISNQKILSPINFD >CAK78999 pep:novel supercontig:GCA_000165425.1:CT868318:788043:789623:1 gene:GSPATT00002041001 transcript:CAK78999 MASLSEEKDLIGSILNGINNQLIPDDEISLISTVSQKRKQLSLKSKEFNPEQVINQDSFP TSNSSIISTGSASFQKWQIFFHTKIYIEIQSFYRQSQLSNPLNQTSDQEFFGSADKFQSA IDCGEKLQLKQKVKKYLKEQAKESQHKQFQLNSFQILDGNLLLSQKDLVNIIIKSSQEQI CGNQLVNRKLQNVLDNNDQNQKQIIFCQIEKDCVKASKDMFGNYTVQKIFDVADYEQKYK LYSLLICHFLELSKNQYACRVVSKMIQFVKDSHELIESLIKTLYPLINQLLNDVNGNYVL LQCFEILDKSTLFFIIPLIEESIATLSKSTYGCRLIQKVLELYPLEITQRILDLLISFSY QLCNQEFGNYIIQFLLKCGPQKEKSQICQTIKENFEQLSCNKFGSNTVEKYLDLLGPSQI IKNLCKISNDQFVFYNLSIHPFGNYVMKKVLISEDPSVHYLKSLFKQHPDLILQLKNSEF GQRVAQILDTL >CAK79000 pep:novel supercontig:GCA_000165425.1:CT868318:790061:791327:-1 gene:GSPATT00002042001 transcript:CAK79000 MNQDKEWSLDSWKNYSAVQLPIYSDVQLYQDTLQKIKKLPEILNFEDINAFKTQMIKVSK GEKFVLQLGDCAEVFDECTEEHWKEKFSFYDRMGQILDAIVIGRTCGQFAKPRSQLLEKD GTLNYRGDLINSLSRDEREPDPSRLLLGAHYTKKGLESLKQYEGQQIWVSHECLHLGYES AFVKQNEDQQYYLSNTHFPWIGDRTRLHDHAHSNFIRGIYNPVGIKIGQTINKTEFINVF KLINPKNEDGRSFAIIRLGKNKLDKLKDIINWKQEEKLNISFFLDPMHGNNQDKSGCKIR KIEDIMYEIQQFFTIMEQQNEVPAGLHLECTPYDVTECIENDEDINPKKYTTACDPRLNF RQTRKIIIFVNTLLKKLRNKQ >CAK79001 pep:novel supercontig:GCA_000165425.1:CT868318:792569:793177:-1 gene:GSPATT00002043001 transcript:CAK79001 MNLVIKGKELQLYFSKEQLNHMVVKIANQISADYQERHPIFIGVLNGAFMFMADLIRAVD PQLKFQIDFIKLSSYEGKESTGIVKALSGLKNDIKGRHVIIIEDIIETGLTITAVIEEMK KLEPASVKLCACFLKTGKQKINIQPDYLCTEIEDKFIIGYGLDWDEYGRGLDQLYYIQ >CAK79002 pep:novel supercontig:GCA_000165425.1:CT868318:793532:794223:-1 gene:GSPATT00002044001 transcript:CAK79002 MDSLFALFDQFFRINLAQYPQNQQAYLSESWEQFLCLLQTQPLDYGKIYTLINRINYEDL KVEKNERQSSSQRYIARNITAASSPRIVSSSVSKNSTNVLSKIAQLDSVASPKIAVSNLA SQQSLTNKTSLQQNVKQQQMTDEFNRHLLLSKIKELQFNYSVSTDKLYAIARISHSRGEN QPTSKSPIKYPLSQYINKSRGSNHSAEHYKIVV >CAK79003 pep:novel supercontig:GCA_000165425.1:CT868318:794332:796540:-1 gene:GSPATT00002045001 transcript:CAK79003 MKLGTCTEWLFFHLWKKNPNSGHSCNGVFLADTVIYRWAQPYFRYFTAQNGQIIRKTKER VFIEQVEQAFLQDNTVAVLLTSQAQSKQQEIINFEYFDKENFEGKGTKFNPSEVEISADI FRFIYPKSDHNSMIKVTWSPQFCLINRKTNINNMNDLKKPLYERVSTFDGPEYLSVSDSI SSPLLSSDLEQLCVNIVKHVQEVSGGNIQIIRMVLYFKVDQENRIWLMFCTGIKVKDKFS THPDKHRTDSPLFKIIRRDLEPVITGTENVQKVVKYNSEGQIEELLYQLESVCSNCDLFS QELYQLQFEQIIESFERSLVSNEFTRLPEDLQKIKLKNDKKSELLKLNQHIKTRSKQFIH KGISDIKVQLDYQLSNYFEQQSNQQISGTQSQQEILVEKYKLVNNQKTSDQVHAEIQELC RYLDEEQEDCKLNFKSVPALILKVWGKLSEDKYKQLKFNPSWRQLKTQVCLDCYLKYTEC CNLTQFERKVKTAAQNLKKKVEDQQANNILLQNTLLDINKSNFTQNFHSSLPPVMEQRTI TVGGKASVAKTANTQQRSTALNTPPQPHQTTLEAMPQFFNKFMKKEAKPKKAPTTQKIYQ NRQGLHMSLNHSTQSRSSRSTQDQSKISFDYMISTVSQLKKKLQELEDEEQSQKNQQNQK PQTLQNQSELNSLLQSSKLLQTKSQPHQ >CAK79004 pep:novel supercontig:GCA_000165425.1:CT868318:796653:797732:-1 gene:GSPATT00002046001 transcript:CAK79004 MRKKVEKIKKKPWSDYEDAQVMQLVEQYGPHKWTFIASKLQGRIGKQCRERWHNHLNPLI KKSPWEYEEEWILYLYHEAISNEWAEIAKHLEGRTDNAIKNHWNSGMKKRMSEFREKLQK IRQQFQQKGHTFLDQFVNPYERKAIEIIFLNKKYVSLITDTDDEEDEIDEPAIKPSQNTS LRTRSNLNNNVYQEYMKFEIRSKYISKHPRRNRMHRKYLIYKKNQMKEIEKEKDKENKNL NNVQPVQIESRQMFSMQQLDQTPSKVYNDDYYQTPAAFNRIQRLAISSSKFSQFKCAVQN ETFQNQLQEELKQNLFL >CAK79005 pep:novel supercontig:GCA_000165425.1:CT868318:798307:798501:-1 gene:GSPATT00002047001 transcript:CAK79005 MGCHIQTGRQVQLNDHNPSFEQQLLEIDKMEFFKNRSGFKIVLQPILFTFSQEKGETHSQ DEND >CAK79006 pep:novel supercontig:GCA_000165425.1:CT868318:798672:799532:1 gene:GSPATT00002048001 transcript:CAK79006 MSCDDNQLDASSISIIKKFLNSELLDESDFELEQTNQKNKKPQQFNLNQMLEQRKGKNCQ IKEYESQENRDLNYLIGELRLEQQNFQAELEHIQRQFIQEINFVMQKVFDQNKLYYKQQE YQLNLIQQKRQKLSVQSPQQSHSFLYQMNPNLQQYQKFNKEIKNSLVKLLHNIKTAKIYQ ETFTLQDQQYDSQTSRSPLAQLNKLFSRQGSLEKKQPFTNRITNSNMKLNLVMPMKRDTQ SFWNQPSERKCSMTSKLSSSPYEKRDKCQVQQALYKQSSDFLKFRC >CAK79007 pep:novel supercontig:GCA_000165425.1:CT868318:799842:800003:1 gene:GSPATT00002049001 transcript:CAK79007 MQSNNPQINSRQTQIKLPTQLEEQNLGSTSEVPYAITKNPIVQRRESKRKTSA >CAK79008 pep:novel supercontig:GCA_000165425.1:CT868318:800731:802617:1 gene:GSPATT00002050001 transcript:CAK79008 MDKPPINSRQFSRQKSQPLIKPITPQNNFRISNHNQFQNKHKIQRTSNNFFNNSQECLKN ENQNVSQKKLGQDYKQFYFEKEDQEEEVSFKQLCLEMTSKYVIEPVFGESHCYKYYIIIF IVVMNKSPGSSPKHSQSNNPRINKYRNLIKNSNFQLAHTQKEVDDLLIASPITSFSAPRG KKFKASFCKFLAGNIDTKQLIDHSNFPTTIENMNDKFEYVKSHKESVCSFKDSVVNKEHL QINLLEDKLAKLKNTNNKTQAENKRERLYELSLQVKKDKKKLSKQEKALLKWKKIKDVIQ NNIQLRRMIIDEKRKQTKFLDNLEIAFHKSSDFYIQNLRFHQLRTMTVLKEKKLSNSNNQ NLSPRHYHFLLSLQFKENSDDFLFNRFTTISEHLQNLMKNNDQIYDRVLNLYLNKKEIYL QWVKEQKERKIINRKQSKQQYSNDIQTSTSNSLGLNKHKPMQRVENPFQQTQRFKDYQNK LIEQVNDIEQIDQDSKSQLKQLLQKSNKKKNPEGVIKHNRFLSYPTNSNSDQIDDFQDNI SNASITEALLDQLYSGANTLQQKINNNKGLTFQRKVRDYLRLEEIKLQTIRANNLKLIPK QHDQIK >CAK79009 pep:novel supercontig:GCA_000165425.1:CT868318:802633:804094:1 gene:GSPATT00002051001 transcript:CAK79009 MTDDLMILKSPLNFRKNSEYEPQRILVGVTGGSAAGKTTLCETIKREIQFDSDVDMTILS CDSFYKEVDKTKIDITQYNFDHPDSIDWDYAFQVISTLLSGKPSKIPNYSFVTYKRENED GIIIPAQVIVFEGILALYDERIRNCMSYKIFIHCDDDIRLCRRIIRDVNERGRNVTSVLF QYSQFVKRSFENFIQPFMSQADLIIPGHRSNHVSVAFIVNHLKNMAKQNGLLREKLNTQL YFGDILYSVDGFMRNRTQMDFTKYDSNYKQLFFPEEQFKNELLYITQNFLGGQLQTKKAI YKMIKLCFKQTFIMFEKCLAQNKQTFDQVKCYHLNDLLKKDFIPDKDFSTIIVAVPYMFR NGTQKLHLVEEKLKQFQHIQLIVINIFSDIKAVTDINWTFKRLKILINAFLVGKLDKLKS LLLIQQEQEPDIMFQQDRFYKKLLEFVNKKQQKNLTNQDDDYC >CAK79010 pep:novel supercontig:GCA_000165425.1:CT868318:804881:807193:1 gene:GSPATT00002052001 transcript:CAK79010 MNSCIKSIGNAKILQIMLIADSLLIHIQSYLISNYNYLIIALSLTCFHGIVLTFEHFLKG KNKLFNSLFNAFKGVAQIIVHYLLEQNWLIFAGIQLVCLLKQDVLHVNYVSSIFFITQIF CVAFSKKDFIIIGVIRTVFNYLFISQIFRIEKQNKLIQLENLLKHIQHNEFCLLDSNFNP IFQLQFFNELKGEQVVGGQEFYNQTRIITPRDNTTNLIRDMPSNFEDEMKQTLSIQEILY HVKKSKVHLKKKLYYQLNNSNYNISIENILLDDYNSIVMIQKNKQFENSQSSNKINFMIK TVRKVSHDMRNPLNAIINMQMCLKDYIDQELVLKYLKPSLNSCHLLLNLINDILDQAQVE NKKLKLVYKKFNLEKLICKTISIFDSLKDKKDINITFNYDSKLPILVNSDKFRIRQIIMN LLSNAIKYTRPSGEVYIDCSESSQRKNFIRISIQDTGYGIKPENLQYLFKEYSKIEDGEN QNLNPFGVGLGLMISNELAKLLSNNGIQVQSEFGIGSKFYFEIENKQLPPEDISESQLLI NHQNGLPSLDVHFFTGLNSQKSPTISLALPQIESSKKIILKKSQKTITLMNNSVHNLTKH KGKNDEKSIARKSSLSAKQVEMLIQKWYVSTNYKPPVLIVDDDEINILVLQYLLEQMDIS SDSAMNGMTCLQKFVERQNDGISYQLVFLDINMPVMDGYELAKKLIQIDPLIILIACSGD ASDQHQLEQCKQSGILGCILKPILKNNLKELLVKLSEGIKHDSQQFSYYC >CAK79011 pep:novel supercontig:GCA_000165425.1:CT868318:807213:808743:1 gene:GSPATT00002053001 transcript:CAK79011 MLAKQIFLARAQNKPQQTGKIEFPTLLDLAIEQVALSFDLYSQLPGIPEKIRYQVFHLNN QQIISKAPKTLPVTITYNSIEDENYWKQACETKWKGTHRTINICKHSYSFKIAYMENFLE EYLKSIKSLDSQEEKDELQAILKAVNNWVYSLNISQTQCNIDIGFLCQYLPCLQNLTVIY GVKTTGIDQTRKEILGMKLSQAAELGEAISKHCRNLQSLSLPSNLIDDDLLRLLMTGINL DISIIELNLSHNKIGDSGVIRIAKYLMRSEILLKLDLSNNAIGLVGSKNLAYALLFNKSL EHLNLSLNSFNDIAGANFFSKLSQNKSIQHLNLSANQLGSLTAEMLEIYLSDSSCTLQSL NISNNNFSENNDIKDKNIFEKLKTGLTKNTSLIHFDISHSKFKRINAEKELQDIIVQSRL KQKKIPFISKEEFEYQQTQNRLKKEKLEKAKTKQVEEVISPQ >CAK79012 pep:novel supercontig:GCA_000165425.1:CT868318:809843:813356:1 gene:GSPATT00002054001 transcript:CAK79012 MSQQEIECSTDCKGIGLNTEKKEKTQNRITIDQIPNKGLSNCYCQLSKGQKSKQCQSMGK CKYILANEENQLLDLTLYKFFNDISNSPSSIEAIAYKKIIHCIRDFNSIKDQNAELNLKL KLINKALELDDQFQDQEPIEESYPKLAAELKDSPQDFKNNCFIRFAISLQEQIDQILDGE ETFFFFFFKQGLDCSIQKSIQFLQRANQREIEYLEGTSGCTSVSKLKSDSYKISLISIQK KKLDFEPLKKENLQLFNFYLADCQDQERKVINTSNINYNIPIENSLESLKVALKFFVKEK LKEFKPHIIIFFFEISNTLIKDPQVFYKLVKSFHKITQGRLIIVPQIDPQFQFNKSEIIQ LYINCTNNCFLGCLRNQNTQKLDQNSIIQYYEQLYPYFVEANNQKKQQYYRNQLKSLQIQ RQQINEKQSRQNLQKEFPLIDKEGNLVLLELGQEFDFTHTQYFVEYDDNNNGEYDGYIIF IQSKRVQVVYFSEQSQSKNSKLSILKIYQFPLPQNLNGVYFYDSEQKVLIYFFGYTETAE FSSEIQFLEISNQMAEWHIVKYQPQQKRVDDGSYSNPQQIINLIKCRSQFSVTKNRIDGY NCMESNSYVCIGGTYYSDKYNYGCIIQEVVIEFKQKVYSTNIVEKSKIDKFYKECPNPLL IRLNDAFVLYFEKNGSFNVNNNNPSIVKLCFWKSRQHLIQNNKINFKDSKTQHWFLQLHQ YLSSNHINIRMCQGDLQVENLSRFRILIEEQSHLKQSQLIENKITLRRYFILTVSFFSLR NEIHIDCKQFQVNSHSFLKSIQDLQREERQELYQNSIENLKNTFIFHYKEYDHQYLLCYI DETKNVVFKRFVFYDLKTQDNDDFRDYQELIYQDWAFSKIYLIRKQITLNDCQLRIYECH HIHFSDIKDSSDDILHQVPIHQIYLISDFKSTYQLIGFHVEIKNDENFEGLVLTNKNQYQ IVNQKKTITHTQTKLSKPLNVNTQQKFLFLKSKSSYYLFYKKLQFQQASVKNVSQNIIEI RYLFLDQTFQVLSGNTLLCSLNEPLPIFGPLDGLINTIKLQENLMEMQVLINSNTTIFKS SIICRVNQETNKLDQLNVNSQTPSKNEGFNMFPSIQSNRKKGDYIVKQSKDHSKICCE >CAK79013 pep:novel supercontig:GCA_000165425.1:CT868318:814128:814976:-1 gene:GSPATT00002055001 transcript:CAK79013 MLQFLIKQKILESLIYLAFNTSSLQFFNRISSLFCYFVQTNNKLQHNFLLGRKVQQDSTI FLQVLFKNEEKIMKKAPALFDFDILNKIETENVKNFSKCQLKQWLSQESVLLQKITKMQK SSKIIYIIINIMGLTILIFFGLILFLALIGIILKKFFFNKKTQVQIKSQEAIQNNQHINL GDQEAIPDSHQSQIHKQQSQVKTQKQSNTPNRKNKQKKEKYLIDEIAFQFNDDSDNENDK NSSGADQQQSINMREQAGFNFQQSEHNLEELVTPTQVNSQEI >CAK79014 pep:novel supercontig:GCA_000165425.1:CT868318:815048:817032:1 gene:GSPATT00002056001 transcript:CAK79014 MNRASRHSPRYQNLNDNKKQIQQLNELAISQSHRINDFERKLKNMLKKLNDSQSFQVDQN SNQISELEDEKEDSQLNLFLPDCIFKKTDCGQIFDQKENQDQQLNVMSNNEEQFFVVGQK PYSEQKQEINCQNSHQDKVQHTYLQQNQNNEGKEFFKAEIQLNELSFQNQYENLEEFNEI LIYQEESKPQSDEKQLSQECREIDNQNNQTDEIQNQVQLNQRKENQGQENKLQNFLLKIT KLLQDKDQKIIKLLNKELIQENILQNIVENEIEKLNVQFNKMSQKQGQQLKKLEMQLQII MSEKIDQIMAMVRQIFRINHQSKDEDLIDNLVVRKGSINYQQIEEYKVKQYELQYELEES SQKNDAQIKYEPSNNWDQNSNISDIVNKSIHKINDQSLSQNDEKDNNIQNFLNSIASLQQ QNIQQGEGLIINQKHRKSISDQFNNQKENIIQQKEVNMLDTEQEKYNGVNQTFNEINQSM NKSEQLQLEILINEKQNFVNNFLIDLEERNKIEIQFEQDEKIQDLIIKIKEIQKHQINNN VVEYINYKLNKLIRSNLQQQQQEQLGKNILIEKDNELTEIIQEKNQNKENQEEIKGNQVE EDVKQLLIYQNKQNKQEVKLEEKDKEKGNLINQINQEQKKI >CAK56686 pep:novel supercontig:GCA_000165425.1:CT867988:65:851:1 gene:GSPATT00027716001 transcript:CAK56686 MSVDQQSNLGTNCIKHDSNISTPSRKRWTSTEDDILHSAIEKYGTNWCQVAQSFSNRNPN SCIQRWKRMNGKNVIIIFNRKKQKLQKWSLKEDQLLSKLVGLYGRKWKKISKYFTPKTNK QCMERYNNCLNPNLNKNPFSLEEDQIIYENYLIFGSKWSRIAKCLTKRTHNQVKNRFYTH ILSSHLQLVNPYYTKLLPERAKEILIEVRKEHQQKLLQDSLKEQKAHLIQSAFDVDSIQV EQEDDYSNHHRFV >CAK56687 pep:novel supercontig:GCA_000165425.1:CT867988:2839:4894:1 gene:GSPATT00027717001 transcript:CAK56687 MEFQYILLAPVVLLVGIIIYFLKSKSESKQNQQPQQVISGEEKKIQCQDQLIPISIYFGS QQGTAARFAKQLSEEGKEHGFITTEIDLNEVEFENEMKKGKVGIFCMATHGEGDPTDNAK KFISWLQEPQPSLVGFQFAVFGLGNRQYEHYNKIGKLTNNLLEGQKGVRCYQYGEGDANS TLEDDFIDWKKDLWNELKISLKDLIDQAKNCQVQQEVQENPESTNDNTNTGSTFKLVVSN SEEINFDQALQGQYDFQTKQFLKAKYCQVVSISQLRQNQKDGSTLQIIFDTGREGIEYKT AMNLGVYPENDDQQILEIANYLGENLDTVYSLELLQQNNRAKVPFPSPLSVRKILKHFVD FNGQLMKNTLTKLSKISSNDEKLKNQLIQLTTEEGKAGFQNLVDNMKTTIYQLIKENNIK LNLAQFIELCPRISPRLFTIASSNLKNPQHVEIADSLLIDTLPNGTEKLGLCSQYFINVQ KKLLEGECVRVRVDFRESSFKLPDDPNKSIIMVGPGTGIAPFIGFLQEREIFLQSQPQRQ NEYILYFGCKHQDGDFIYKDQLREFERSKTIDRFYTAFSRDQEQKCYVQDLLKRNSEELY NQILNDKVVIYICGSTSMGNSVQNLIKEILSTNGNWTEHEAEEKIQSMEKQKLLIKELW >CAK56688 pep:novel supercontig:GCA_000165425.1:CT867988:4944:6104:1 gene:GSPATT00027718001 transcript:CAK56688 MKKRQLERDEEGNLVMNEQNIIEICEKEGLYEYPELNSKLYLHFKAFRKIGGLDNFINVK TLWLENNFITKIEGLENLQQLTHLFLQNNLIQKIEGLKENLELITLNLSHNCIKVVENLQ KLQKLSSLDLSTNKFKSVSDIWELELNQQISNLDLSNNMLEFEGEHEDDPLLLIFKKMPK LKCLYLQRNNYIRSIQNYRKYYLANLPELTYLDTQPVFPNERRIVDAWGKLGKEGEQIER QKIKEEEDAKKQEYRDEIKKQLPIHLQSKINFFQKNINAIETEIQEMQARKQNHIEQNSQ EIEITFLDASIDQKQSQLNEMNELLNNMKVRQIRQNSLTESQLIEQREEQQQKIQIQLDE ID >CAK56689 pep:novel supercontig:GCA_000165425.1:CT867988:6134:9659:-1 gene:GSPATT00027719001 transcript:CAK56689 MSSKKLLYQEIDVTYQLMTQYTKQQLSLQMSHQKTTLKEFSSYLKDYQGILKSISEQLIK LTRKEFTCREFENKTIKEFIDKNIISTSKISDILGLLSENVNQLEQDIVQTYLEIKQNKE NCDQQKKERDQLVSAKNVEKQQIDQFSQSAIIAMQNNIEAAIISEKKKNAKIIDSFKKFY IQQKEHAEIISKQLMLQIQFFDTVQPEQQALERIKNQLQAQSVNQSGKALNTSGAGQSKQ TEKPSINETDLLQSHKDKLLEIRKNYANCCNTKQLPIIIKDQVGIFNNEIPNNMDLAKEF QQQEFYQFALLMMLRYKCQNQDWPLLVTHTKNKFKINLASMKLLEQFSTTITSNMESSVT NSIFISLIKDALTVIKTPQLYNEIKNNEKHYVILKKLLLFSTLNQYWVQCLLLQAFHIQN SQLEQQKKYFTNLLKQIIIDEKDMAQVSFDSQVEEISYPLNQCLLELILSAIIQATTIDM DFVPLIYQFAYDVLKLSPAHVSITLLSLVYQKILQPALRIKNLDIKDAVVITQQLTQQLW DIINKEKVINQSQLQFVLKQKNSDRLYKDLLGLTTSFWSQLPSDQSFLIAFMNLFYIVAS ISLEFTECQNTNDLMLKIMEQTGHHYYSMIIKREQFQQNQLTEKTFNQVSILLSLMCKEC LELKEQYIDYFQKGIQHLLPDNKNNQNLFFLHFMTGALSEIFLILQQVELCIQKGLIPED KNGNAFSICFALALVDDQIQPNNLNSKAIQIIGRSIEMWFQQSQENTLKILQSLLSKEKY LDESLSFKELMQQTQTKSIEDFCSILFKSLEALQQVVKFKNDNLFKKTINSKMEHILYTA ILMYLEQMEQNLQQRANLVTPWFPAVVKSKQSIPLDQKQLAQQQIHLVIEMMMRICNLNF LQQQFQHLKEQLKLEALDHLTSDFNTKGKAICKSISKILINEIVSPNLFSPLHQDLKSMT DAKSYQQKSEWSMLQQIDEIKDFFVIFNQKLPVNYFPVFYDFFIGSFFDVLFYSIFDLNK LQNISQDVYFELLSLEFQTLQNFLEKQISSNVLQMRIKQQLAEIKDISIWFSLQNKDLIS TFEQYHLRQDNKRICSALYRLILLRKQDSKMKDLAKKYQKIYE >CAK56690 pep:novel supercontig:GCA_000165425.1:CT867988:9688:10780:1 gene:GSPATT00027720001 transcript:CAK56690 MKFVIFVVVGLALAAPLSGDLAQLSLLQTPKEEVLEQLGDLLSELRKEQTMDDTQLAQTN AKFEADILISQSQLEAQVNQLKATNTLIGDLSEEIYSISKTYANLNQQLSDLGARDTQLR DSLANEGAAFENESSQARRSINGLTQIISKLTDAVLSQQSLIEKQEIVNVMKQELGSKHP IALLVEVTSKFDKATVQNIILKLEHIQSQQEQQLIQSEQNWTVQQQTYQTLLNEISEVSK KLSFDASTAKTSIAKKSNEKVTAEKRKAQLETTIPQTEQVLTNLKQQQDQYNLAYSARKQ KRTQEVETLKSAFELLEKKLR >CAK56691 pep:novel supercontig:GCA_000165425.1:CT867988:10792:11643:-1 gene:GSPATT00027721001 transcript:CAK56691 MKIYLIGFTLFFIANSVTLQLGSVYQCSCENLLQQADCLSDYCTWDPNGSTCSNKACSSF SKNDCQGVPDPFNCIWNYKTSKCEDFTSCSDYSYKTTEGDYCYELIKCQVDADTIDLTAG TVKCMDRTQESALSIGSCDKIPYSECNWLVTSDGKQCVKNVSAQTCETKTITQCSDYTSI DVCNTNSCYWGDTCKPLSCSILPESSCMLFFSLDAKQVTFCKWDGTKCSDLDTTTLTQTQ CLAYTLYSYAWNPDTKKCEICQEPDSSSEFILYGSILLAIMLN >CAK56692 pep:novel supercontig:GCA_000165425.1:CT867988:11874:13973:1 gene:GSPATT00027722001 transcript:CAK56692 MDSSPRCPFGFQTEPSNDSPVKKVSFKEFLIQRQSVHKPSLPTVVQQKNRRWEKQLSSLL TPEILSFRQVQSNQQIPLNEIVKVARITNTKTNYDKEQEFKKTKSESLQIPMLNIPMMPT NGFFKFQPQHRMRKTGMYSLRNCNEQLEQINRKQKSNVNNFSLPQLTQEEIITQFFQTKF QKSNENDELQHKPIGSSARLDYEANILFTKITSDSILQQKYKGRHIKHLKEVYRSLLGVG YDKEIMMDPGRLKSIHSQLNIKNDQFLRFKYLFINQFLEMETPLDLFFKGCHKIESFKPL VLNKPLDFEIYGQDVGIQKITQSMYDKIFKDYTLSPYFILIDKETQAKKFSRLFAQLIDH TDSPNYTLEILRERHIQYNLTLVQFANFKFYLSMTLQQQQIGFKHIRQLLRKMDTYKYAI LNKESLQETINAFGYREFIDGLVKQCQTEPILYELFNKRGKYRFTAHCENMIHFFFRDNV KSITIQDIEQVHKCNAIIQEKVFSKIKEHTFQALMKITKDSLILSDFEEDWEEIKPFIMN KSKNQIVKQLGGQTFINNLASTLAFEMQQRPQLNKVFEDNDTCVAQNLKCKLNLMLYGIH FYKKTELEVLHKRLKISEQVYFEFQQATKHVLENFPKLSWLNKVLEDYKKHIVSDF >CAK56693 pep:novel supercontig:GCA_000165425.1:CT867988:14260:16066:1 gene:GSPATT00027723001 transcript:CAK56693 MPQACRSQGLIAIQTQQYDSDINSVKFKQFADSPSYKTSNHIVSYEKSSFSQHFRITSQR SSPQQKKVNLIRNHQLFVDLVKGTEKIKNDVICPHSPQLQKSQQQIISGYLKDLARKRRV VQKPQGIDARLDFETELIYSALTQDCDLAKKFQNKTGNHVKQIFRNILATGYDQQIYLDL NRIRSIHLCLNLKNFHFFRFKYHFMNRFMQMGIPVEQLFKCCERIENVRPYILNEKMEYD VYGGQDGIELCANQMYAKIFADITLEYYFVGIDRATQASKFAKLFFQLIYHMDSPNYTNE VLRERHVKYELTNVQLTNFKFYLCLTLQQLKVPFKYASALLRRMDIYKYAIINKNSLQDY VCNYGYNQFIDQFVRSCLEEPVLFDLIQRRGKAKFTCHCENIFHYFFRYNVKAITLDDLE EIHYHKTIITEKIFMKLKEKAMAEVAKLTNDRIVLEDFDEDWDEIKPLILSDPRMSFLKT KDRDLLINELAYNLENEFYSRQLNVIYETEEINMMRNIKSKLNLLVYTIKFFKRTDLEVI HRRFRISESQYFDFQQSFKSLLDRIPELHYIHGLLEEYKKYIVSD >CAK56694 pep:novel supercontig:GCA_000165425.1:CT867988:16144:16850:1 gene:GSPATT00027724001 transcript:CAK56694 MSDQFHQLSDKWTISEKYTQNKDDDYKKTIAEICSIRSVEEFAFIMKQTIYQSLTDLFSE PQQQKQFKNFKNNAIETQIEAIQFFKNGISPLWEDPENEKGGDIQFEVPIALIGIYNQLY TEIIHEIIGQQKEELRHVNGVRVLDKINAPKGNGIRIELWLDIDPTDKNEEVQIQIKKID DWILQLAELFDIRQLKLKTQSHKKK >CAK56695 pep:novel supercontig:GCA_000165425.1:CT867988:16882:17786:-1 gene:GSPATT00027725001 transcript:CAK56695 MDIKNELYYEDTHSNDNAYDHILVLIHGNLITCKYWKRLIQQIQQVNSKIRCISMDLRGF GRSQSNQNVDQITDLSNDVDILLKSLLSDKNDEYYQEHVFLAGWSLGGIVGMQLAVDYPN KYQKLILIASGSVCGYALMREDEEGQVRCQTKQQVKEHPKVALQQQKLSDKDREFMKMFF DKVLFNSGKYPEEQEYKQLLDETFLQTQYTNVCWALNQFDISSGGANKASQIKGPVLIYH GELDIVIPKVFAEGNAKEIGEEKCTLIIRPGVGHMPPIEDVVNLALEISRFIN >CAK56696 pep:novel supercontig:GCA_000165425.1:CT867988:17959:19527:1 gene:GSPATT00027726001 transcript:CAK56696 MILIAMRYQRPQFHASQVVKNAIQRRKDRKNVMQEHLERLADKLEYKAFPYHITHYDPAH EDYIPAQRQDYKQRLTVDTHNMLVDGVKRDVTMQRQVDQAIKNLDRPYLKGKSGVTKNIT GGLRDYFPIEMPYAQAGNLQNEELEYENVFRNEKRWIAQTIAPRERTESEKRHEKELESR PVTRNYHPDKGSKYDVETPYHLRFPYLADRLGHPEFLANPFQRLFRLESDMYHPSYNDQP FVQHPSADPDPTLNFEEGEVVYENTRLREWLKFVWWTGTFSFAWICWVLPYNIVYKTNLM FDHQIDASFYPYHLQSIYNMDYMRINVLAATAASAYLWYFHHMSMNDIGRNYVTKVQYSK DKELVFVTRMGSFGVVREDVFETHHLEVLPYYTKSGVQNMRQNDLGMYEISCLNKQELMY LYKEDAFWNPNLKTQFLSNHSQLISKQYFGLKRNEEQEYQKTQTVGELPLKDLPAP >CAK56697 pep:novel supercontig:GCA_000165425.1:CT867988:19532:21476:-1 gene:GSPATT00027727001 transcript:CAK56697 MNFDKELMKTEHLTSIQSTAFLIYLVKIQDKSYFGYILKQEYQNKYHLDEFIAIDMQQQN EIKYIRKLNKKVRQGFYTFETYNQYTLLSELKQLQEQVILKICQDILMALFALHQKGILG RCFNVNNILFIENQHSVLMEYGFYPDLEFQVPEMIYNQAYNEKIDIFLLGRVLFYLMVGK DLPQFNMKNLNEASTDINLAIASTKYSDGLKNLVISMLSIDVNKRIEYVQLFAKFKNNQL YSLQEQFYKQNTFKNLTKNIIEKREYDDIIKFEEPEIFEIQDTQDFANMIKDQQIKVSYN LLSDKSTIDQSQSMGSFNPPDYEDYNKFTSLNQQQIISKVSGTQKTTTIKQDTEEIKIKK TILENEQFLRVLPYLNSDLTQDLLIWNQIYFYLYRFSLMEKLIEELQSHLQCKNNYLISI AIYGMKKAELILKREYQVSLEKCQNLYYIPVEEWQKFINSVEYKKMSSKIKFDIDVNQRL LLQKDYVQLKKVLDQYKNEGLLKDLYKFIEQYLNDNSELNDFEFIKRQYRYILTNILSLF ESSEDKQNTYIKLLIMMCILIKRVCDTLSIPHHFKTICKFLKTDQINSIVQIESFLKNGT AQDFIAQYEELKQCYFSG >CAK56698 pep:novel supercontig:GCA_000165425.1:CT867988:22027:22702:-1 gene:GSPATT00027728001 transcript:CAK56698 MSNHELSEENKPPKLLLLWSKCSEFSEIDLENKQSPKMVKLRKLNSTIENNEQLHQVINR QVDLIVVKEESPKLESNKWQPCSCTKTNCLKMYCSCFHNGQTCVELCKCEDCKNVDEYLN QRHEAVEYIKKKAHRNKKVTQEKLFETKEVWGCNCKKTRCLKRYCECFIRQKTCTVDCNC NYCENGKDEDLFEEIKRQNEQPRQLKKNRSERLFNK >CAK56699 pep:novel supercontig:GCA_000165425.1:CT867988:22938:23295:-1 gene:GSPATT00027729001 transcript:CAK56699 MSNNSTQEANQRISPSIDHKPYSETTQYITDNVKQKYDEFNKKFQEPQVQEKWGQAKHIM FERTKEFGFLTFDYFIKTFKITKTTVIDLYGVYNK >CAK56700 pep:novel supercontig:GCA_000165425.1:CT867988:24228:24615:-1 gene:GSPATT00027730001 transcript:CAK56700 MSQNNSSQVDQNILPPIDHKPYSENTQYITDNIKQKYSEINKKIQEPQVQEKLGQVTNVL FTRTKEFGYITLDYFVKTFEITKTTFTDLHQLYHK >CAK56701 pep:novel supercontig:GCA_000165425.1:CT867988:24850:26229:1 gene:GSPATT00027731001 transcript:CAK56701 MNRLSVSDFEIIKKLGQGSFSSVYKVKRKSDGQEYAMKKVQMSGLATKELNNALNEVRIL ASLENPYIIGYREAFIRGDNLFVVLEYAGGGDLQQKLEYIRKKGQGFYVDEELIWAYSFE MLSGLNELHSKGIYHRDIKCANIFLTQDHKHIKLGDLNVAKVVKANQFANTYAGTPYYTS PEVWMDQPYDQKCDIWSLGCVIYEMAQLQPPFLANDLFQLQKKISKGSYEPVNPRYSKEL SEFIAKCLQIASKNRASCEELLNLVQIRNRQNSVSISYSNQKLLGTICLTKNYKEIRLPR PRYQTEINDSSVVISKIQTSRGYRAISNNRLDDSNSPASRKFKIKNKLSNHQNSLERNNS QNEQQSKENVRYINNLYQLQPNNHQHYPSAQEYKQNTGSRQITPTLRKQLSSPLNQKSPL NHKSPLNSENQQDKQLPKLKTKQLIFQTKDHLRNIRKLI >CAK56702 pep:novel supercontig:GCA_000165425.1:CT867988:26303:30145:1 gene:GSPATT00027732001 transcript:CAK56702 MNSHYFKDLDEYELDNEESIRPRWKETRESKIGMDSQNLQPFRKELLHVQDSIMLPKTTN DNYKMTDERLEAFYREKEIIIKTFENQKVPPPFLSWASAGFPIPILESIEQLQFKSPTII QSVVFPIILAGYDVIGIAQTGSGKTIAYLLPGLIQITSQKTEELNNTKKQNGPQMLILVP TRELAMQIESEIQLFTQNYRLKTLCIYGGINNRKNQFYNLGRFPNILVATPGRLLDFLRE GATTLANVSYLVIDEADRLLELGFEDTIREIVQQIRFDRQTVFFSATWPKAVKDLAFDFC QYSPIYVQIGKSNLTINKNIDQEIICLFQKDKLQKLLDILDTLKISDKVLIFSEQKQRCE QLSINMADKGYYTIALHGDKTQPQRDEIMKAFRSGYTRLLCATDLASRGLDVTDITVVIN YDFPKYFDDYIHRIGRTGRGEKKGKAFSFLAYDKDEPRIAKELLKLAQVANLKYDDSALQ NFAIGIFPQMRNDFEYNRFKQQQSRDNNQRVNFQFSKNSQEQYHYENQKSRYPQDNRGSQ GENNNYSKYSNIQKEDGSNGDSNYNFRFKDKFQDQQYNRDQYQGRNQWKEYGNQKDYRYD NDQHRSQNYYQNGDRKQFYGKQDRFDNRQQRQEQPFEQNKYNNDQKERYYETGRQERQFR QQGQDWSQNGQDKQENGEDKQTNRYDRPKWQQFQENQDGNYRQDKFDRFNKKQQYDNRQY KHQEDDKGLQNDRSEKAYRQYDRQEPSNRENRRVNFTDQNDDRYENNQQQYQSRFPPENN SNRWDNQKSQKQQDYWNNNKRQYPYQQRFNGGQNNPRSFDNQGEDFKNSRQEESKRQYTN QADEGSAQEWKPKQQENNDMMKNQKDQVRKHQVRFDVEDDRQHQFNNTFRIQEEDNKRNY KQSRSCIRDRHDDSRSQTFRNNDSINHHNQSQGRNYLDQNERRRNENFRDTRFSNNQQFD QYNNSRKQYDNQNRINRNQIEQNGNEDNKLKTFRNTQSLERNQQMQFYNSKQITRNTVKT DDQLNLDQQQRQVSNNIWRNRNNDLQQNQEGAVNNQEIDKPIKNSDEDKGQKIQSQIIQK QQEMEEINELQEYNFNYDPNQSSDDGEIEDEYDKIEQENNQIDKQLPDIQNHNIGLQSKS QTSDQIRTVIIQEQQDEKIDQVQIVEDDTQQIKSNINEREQDNVEDGSDNEFDFTRFSRT IEQENRLKFEDSQQQHTNNNRAIKNEEEEEVKVDPKQE >CAK56703 pep:novel supercontig:GCA_000165425.1:CT867988:30500:30873:1 gene:GSPATT00027733001 transcript:CAK56703 MTEGQSDTFWKSLYIYFNEMDFESQLYVKKIYKIIILTVAIISFIIAFFLERFSVCVYST IGASVLCILVLAPAWPMWKKNQPNWQQANWQKQK >CAK56704 pep:novel supercontig:GCA_000165425.1:CT867988:31100:31485:1 gene:GSPATT00027734001 transcript:CAK56704 MDYHKELKMILLDCVFIIYIIVLIKNQRLYQIETDKKNQILLNVKKNQEESRKRNQLYYN IMTSKIVSIGDLDRQENLDGIPLACQQKKFNCQAPEMYFQKICNYLRCKVKVGNKSQGK >CAK56705 pep:novel supercontig:GCA_000165425.1:CT867988:31882:36493:1 gene:GSPATT00027735001 transcript:CAK56705 MIFQQLKITLPPIGAQEIEKSIQKRMGDCLETQLGFEYEPFTFQYLELHPDLVTIKNQKI EHHPIENQKHVQTSLGCFSKENKIRIFLCENIHVLQRLVIIMVIVNTIAFCLYDYQIRDS VDNLSSRNIASIIIETICNVFFGIEIIIQCICYGAFFGKGTYLRNIWSCLNFLTFVCTWS IYFDQSITFIQLLRVIRLLRLVRLLQEIQYFREQVENFFSCFLTIHTIIIPVVIVIFGFS VIGLHLLRGVTGRRCAKNGIIDLNIRSLCGEWECPDGYECIDQFHSEDLNYLDFYYGYYH FDTIYDSFLSGFMFFNATGWSPTTFYFWKAVTPPATAIYFIFMLFILHYTLSDLLLATLY ESFLVSSAIKNSIKSNKSELFTQRRRTVTLINNQNKAQINFHKLHMITQISSQNRVTLVA PAEILSNETLKEKNESCIIARIKQFDQLLIIASTIVLCVDQVEKRHPKEYYADMIMNFLI ILLTLLKLIFFKKSRRKLYIIVDVILSLGLIIVIILEFLNFQSNVFIIIKAFKAFRVIKL IYKLEYFGVIRLLLRCLIETIIKIRHLIILWVIFAFLISIIGQELFAHYVQGSSEIEIHF NGIGNSLMAVFNIFYAEEWHVTMYQHALYKPVSSFIFFLIVFFLSHTLFMRLLRALFLNE FGKKLNELDQKYPQTDYLQRAWQYIKTSWFQQESAQSSSQSQNEDDSKAPLQEISPSGTS IKPNISQSKGQIYKRLVEHKLFRICLLLVVALSAVKSAVQNPLTDPHSQEKMILDVIQYV TTAIFMIELVLNCVAYGIIKFISQSFLNILSIINIIVNIISIGLGNPPLFILTLFDSLRV LSFLKTGADQYPILKQAVQALFNAFTKMIQLALFSLLILLMYSLIGMQLLNDEFYYCSLP DGNASRLHLKSKIDCFDIGGSWINQNLNFDTLFESINLLFCVATSEEWIPLMTPAWKAAG IDQQPHHDTNRYWSIYYQMFFFIGNTLVLGMFVTLVVETYIKTREESQNLHLLDDKQREW FQIKEQILNLKPKKKFKPPTIFILNIFYRLNQFLQIPFLIVILSNIIILSLYYARMGAEY EQALDQVNQIFIFIQLFEILITTVQVTDFKFKIYEICGILLSVVSSFLDYHILHVVSVAF QVTRIYKLTQHFKTIQHLFHAIFAVLPNTASMLFIMLVVLYCYTIVSCDLFAYLRPQTSV NGFDMHFRDFWGALMTLIKVSTGEKWWIVMQETTLEQSPAVACINIESYEEFQEIGFNGC GSNLSYPFFISFILVFSLMILNLLVANIIGAYEQYHKSEQSAISKYQLLDVIELWSQFDE DGEGFISYKLFWRLSSQIAIIFGLEQSDLLDVNNKKKFLKALKIPIYELVDSNVLCYRFH DVIVSLTRISVTIKYGVVNLEPVDKDIHQKVYGNKYSQVEPKFRETTLNSGDMVSIIFIQ QKFREWKQRSLLKNTIFLGGIGDYRSLIKIKSKTLSEKIHQQMNNEN >CAK56706 pep:novel supercontig:GCA_000165425.1:CT867988:37265:38468:1 gene:GSPATT00027736001 transcript:CAK56706 MLNSGGHSIDFEGFITQRLSEDKLLIVPEEVSAQIPPRVALEVHTMQNKVKKLKSIKMAK LPSKTQFILELSDNSVYRELLGSIEDLERNELKKAKSRLLKIIASSKEEIKQAQTKIEEK QSSVICIQAFYYLSKVQQSEGLIEEAIATQNMILQNFDIADLHIKGQLMLSLGNLYRILL KFQEAAKNFYQALILYERLNWKVQQADCLLQLGIVYALLSRQFNYCQDDYEPAKLITYEA LEIYRENIIENNIKVGNAYYTLGKIFYYSKAFEVATEYLLKSLKIHSDYYQNEYDFNFVK IYNLLGIVYQVQHQLDVAIDYFTLAVRCYRGSFDAQLGQILNNIGVAYLGLKELELASDF FNNADQVYSIYFEQTNILRKRVKLNLESIKAHQ >CAK56707 pep:novel supercontig:GCA_000165425.1:CT867988:38604:39170:-1 gene:GSPATT00027737001 transcript:CAK56707 MAIEFQLDYKGSKVYQNQHIYIYQLHLIKPPKLRVHTVTCSNYIILCNQIFIDKFSYQLS FLNTSVSISRDVSSFTRDKYLVSRDIQSSKCSSNNSFLFHVSVINCCINHITSPDNRSIK HDIIIQSGAPLYDPIPRLVSSKLFLQFGLKQSRCYLQNTFSFHLFLHALRLVCMIICKCL >CAK56708 pep:novel supercontig:GCA_000165425.1:CT867988:39222:39446:1 gene:GSPATT00027738001 transcript:CAK56708 MKQAFRMVKSQDMEWLHGFVLEQDQMKLEFMFLQRRLLKLQKKWVEKIIIFEYIQMPINA MMPEVFSQEWQPYK >CAK56709 pep:novel supercontig:GCA_000165425.1:CT867988:39513:39728:1 gene:GSPATT00027739001 transcript:CAK56709 MSGILMEVELPSEIFKCQFLGAKHLQFLRSVPAESIKSINHHLIKQPFSQDKSKSPYKIK FRSNQSTSLNC >CAK56710 pep:novel supercontig:GCA_000165425.1:CT867988:39856:41397:-1 gene:GSPATT00027740001 transcript:CAK56710 MHSEQILKQFLDELKIQSSDPLNLDSEKFFKKQTGQSEQILISRNVGKINKRNTEQQRTI VITSENLYNIDKKTIKRKIQIQNIFGVTVSRSSFEFILHVPSETDYHYKSHENRDIILFY LSIALKLKNKDGLKIYLVDQEDLQPFCQHQKISDLKKQVALHPKSKLLFLYPNDFQLQYI NMQNQPTKSLTLNHKITVLFVNDKLKFRVKLDDYDKNAIISNGSLTRIFLLSQKTDRQKV LVLKTIRSTDIDVDLMEFFLKSYEKEPFIEQLELCIRQTELIHFLFKFVKGGDLFAHLQN VGTFTESQAKYIIAQVGMALQSVHEKGITYGDLKPENILVDEQGYIYLTDFGYGKLRVYQ EFKKQQNINFSLEYASPEYLKYGDLTRMSDWYSMGILLYELLIGISPFYNTNKDVTIKLI CQGELHFPKGIPISIECIDLISKLLQQDSSTRIGFSNDFKEIQAHPWFSEIDWVELKQKK IVLPYIPTIIEGTLIQAQYIKLEPLKDDEKGWY >CAK56711 pep:novel supercontig:GCA_000165425.1:CT867988:41444:42920:-1 gene:GSPATT00027741001 transcript:CAK56711 MQQQQGQGQGSTIQDFNVLEKLGEGSFSSVYKVQRKSDGQYYAMKKVNISQQTYKERENA LNEIRILASLDSPYIVEFKDSFLDSEGKTLYVIMEFASGGDLNSLLKQGKLKGGVEETEI WRVLTQITIGVKMLHDNNILHRDLKLANVFIGKSPEGNIYKIGDLNISKVTHGANARTQA GTPYYASPEVWKGEQYSWPCDIWSIGCIIYELAAQQPPFRAADLQSLSRRIQTGVYDPIP GKYSKDLSEVIKLMLQVVPRNRPSSDAILKNPIVIRNSGSLLVEEEVGGKKVAKLLQTIK LPYNLKQLKGNLPKANYENKIKRSSSQSGIRVNTDISQTPQPVKKQPEESKPPQSIPMPI PMPKPGQQQQQQQQQQQINKPPLLKPPMAQPPKPQQPQTPQQLQNKVQQQEQRLLGQQKQ WIDFYCRYPHPPQSQVTPRAQSPRGNPQQSNQNRAAGMPSGMRRQYSAGPIQRR >CAK56712 pep:novel supercontig:GCA_000165425.1:CT867988:42940:44048:1 gene:GSPATT00027742001 transcript:CAK56712 MELNFMIFPIPKNTYREGDLKELIKIPFYEDIFKLLPTSPKTRIQFHKIHPFKPPEDIPQ VCDLIQVQDVSTQSVYDDQVDELPTQRIPHITQTKTIQPKPPIQTSRCGLRLSISPRVSF NNESLLPTLTNRSCKQIKEIPCLYLKSYTMTKRTIIYFHANCEDLKSSYNLVDFLRHNMR MNILAVEYPGYGIYQGEPSEEVILKDAEYIYKYMAFHSGVEEQNIILMGRSIGTGVACHV ASMFRPATLVLISPFLSLQEIVQEKYPILRKMLKERFTNKDKILRVKCPLYILHGLKDSI VSVEQAKKLYGISDFFNSFVDLCKSPCLIRTPPEMTHTRFQFEQDLSLPLLGFMRQLNIL >CAK56713 pep:novel supercontig:GCA_000165425.1:CT867988:44096:46078:1 gene:GSPATT00027743001 transcript:CAK56713 MIRIQQLHTQISETAGSKGQPLEQKTQYKLDWFKNNGWGYKDTKFILEQDGAVRLTGNKY RFSNQKMMKFKEWAEAKVGIDLSLNCEAQVEIPADPPIINEQFVNAIQGLFNEVSFNDAQ RILHSHGHTMQEIYELRHGKLARIVDCVIYISSHAQAETLVKLAQEHNVMLCVYGGGTNV TWALQCPKEERRMIVSVDMSRMNHVRSVDRKNMTALVEAGITGKDLEKELSRYGVVCGHE PDSVEFSTLGGWISTRASGMKKNRYGNIEDIVLSVKVVTPTGTLQQSLDYPRVSSGPDLN QIILGSEGTLGIITEAVIKIKAQPEVCKYESILFHNFALGTEFMYRLSRSKVWPASVRLV DNNQFQFGMALKTMPHNKREEFMDKIKKYFVTQFMQFDPDQMCLVTVVFEGTKQEIEFQE KKVFELAKFYKGFRAGAENGERGYFLTYMIAYLRDFAMQFQFIAESFETAVGWKNVPSVC ENIQRRIVEECQKRGVEKEPFVSFRISQVYDSGATIYVYFGFGYKGIEDPVKCYSEIEDA AREEIMKNGGSISHHHGVGKLRKQFMQRQIGDTGVEILKRIKQQIDPKNIFGNQNLI >CAK56714 pep:novel supercontig:GCA_000165425.1:CT867988:46120:46653:1 gene:GSPATT00027744001 transcript:CAK56714 MNKSDTIHNQENIDPNIIRNLSSQQKKIPRSSGQKTPWSAKYHSPIILQSTGEISHSTND DIEISCFQVGPVKTTQLSPNQAIVCQSRLEQYLTSNNVPDILSYLDEFEDLDWVKSNYIT HIIEMIVKQEKEYLKQKDCWINSYINKQELSAHSINHQDDLQCVIQNWSIRRLLCSL >CAK56715 pep:novel supercontig:GCA_000165425.1:CT867988:46821:47834:1 gene:GSPATT00027745001 transcript:CAK56715 MNQSKTSLRKQHKRSVTCFQDNQLNQAIQTIIGVKMFNEVLNEGVINLHPSDIFFNKLID YAFRKQQVTMAEFLLTFMRDNAKIQPTIVTINTMIDQYFKNNQKDKAWKTFENLKLTSTK PDNFTYTTLINGLKNSDNMDLRLAFQLFEEYKQFNQPDQIIYNCLLDACINAGDLNRGFQ LLNEMKQSQSIQLDEITYNTLIKGCGRKKRLNEAISLFEEMKQIGIKPNRISFNSLLDSC VKCNKMNVAWRYFEEMRKQYGIFPDNFTYSILVNGIKTNHSNRDELLRAITLLEQIQETG QFKPDEILYNSLIDACVKFNEIQKGMQLFKEMKNKIN >CAK56716 pep:novel supercontig:GCA_000165425.1:CT867988:47852:49084:1 gene:GSPATT00027746001 transcript:CAK56716 MNDLNGAFRMFEEMKQKKIPINDVTYGCLVDACVRNDRLDQALQFIEQMKSQNLPINTVL YTTIIKGFCKLNQTEEAMKYFSLMKQNQRTYPNLITYNSLLDGLVKNGLMNQADKLFQEL VESTIKPDLITFSTLLKGHCRRGNMKRLNETVQTMLHYQINPDESLLQLILESCLNQQQY HNGVQIYDQFQHQIPQSTQLLLIIIRLHSQDKQLSSAIPLLNRLYQLLDESRIQHQQLET TINSLLIHPLDEQTYPIITKIVTLALKSDINVDNLDNLVSVDNSELMLLLQNTNQLPCTK LSQILNQLPVQDQQLCRAYISKNNKNDLKEPLNEQFGQVFLQCNSHSNNNQNKKTKPQER SSPFNNENKENVYHPKKQYNNYAKNDLNEYLNNKSYTKRR >CAK56717 pep:novel supercontig:GCA_000165425.1:CT867988:49106:50295:1 gene:GSPATT00027747001 transcript:CAK56717 MSNDQPFEDVDQFLHPLAAQQAIPNMLQQAITQDQLLAQNVRLLQQLHEQQRMLNLQSLF TNSMLMNPLIQQQVNQESKKIKKVNKTIKTIPSTTSLKDCAQPIQEIKGNVKNAYIKKIT SLLSVEGDRLLDEDLQEDLDLESYSEMQDKSKLAGLLGSNNDLLNSESKNKRLRQSAKNS RLRKKVYLKLLEKKVSELDQQIQEYKKTTRQSFEYLTQILQSHPILNSMIIGNSAAIDQV LECSVPDQAQLVLDSYLMRYGTCGIKRRDYVKYAVKNIQKNFLKGNYGLQLMSWNQQIQN YDTEFNQYVEIVKDEAKLDDDNLVYRVLPTIDRMLNHRKMSQQYEQIQLQIITQIQLGHQ KLKIELTRD >CAK56718 pep:novel supercontig:GCA_000165425.1:CT867988:50661:52408:1 gene:GSPATT00027748001 transcript:CAK56718 MSAVGIRGERDQGQDVRTSNVTAVMAIANVVKTSLGPQGLDKMLVDEIGDVVITNDGATI LKQLEVEHPAAKVIVELSQLQDKEVGDGTTSVVVLAAELLRRANELIKIKVHPTTIISGY KLAARQAVKYIQSHLVHKITEDDTEILINAAKTSMNSKVIGPESHIFAKLAVDAVRLVKT QGLVSGKAKYPIQSINVVKSHGQSSNQSELVKGYVIQLQRASQQMVTKVKNAKIACLDIN LNKFKMQMGVQILVDDPNNLEKIRKKEMDVLKERIQLLLQAGANVILTSKGMDDLANKYL VEAGAIGLRRVPKDHLRRIAKAAGAKVVTTFANEETGESFDASCLGEAEEVYEEAIGDND YIFFKGMKKEQSASIIVRGANELMTDEIERSLHDSLCVVKRTLESGSVVAGGGAVEMALS IYLDDYSRKLDSNEQIAIAEFAEALTSIPKILATNAAKDSIDLISKLRVLHSKSQSLEVD EKGYKFSGLDLVKGEVRHNLRHGVLEPTVSKIKALKFATEAAITILRIDDMIKLEPKKEQ MPGRH >CAK56719 pep:novel supercontig:GCA_000165425.1:CT867988:52464:54157:1 gene:GSPATT00027749001 transcript:CAK56719 MSSDQSGDQKPLMQPFSVLDPEEKKESTYNSSKFEGKCVDPRLMTFCITNFVINVGISQI APFYPGLAADKAGLTYSQIGLVFSINPLGSILFSFVIGSFIQVWGRKKCLMIALVVQSSV QILFGCLNFMTDNKALFFSMSLISRFFQGMSRSVYSTVTFAYVPIFWPGEFQKKLAIMET MTALGLLFGPMIGSVLNYAFGYSIPFFVIAIFFLCAEIPTYTQLPPDSTMKSFEKKKKLP IGKAFTSIKVIVTIMNVMSITAGYTYFNPFFVNHMQSFGLSENVAAFILTIPAIFYIGMV NIIPKIGKHVKKTFMMSLALVVCFSGNMLEAPFWGEGNTLASVIIGLILVGVSQSFSMIP SIPQISEFLAPVVTDPQFKNNLTDMASALFIMSMGCGSLVGPLLGGSVYDGFGGNVNLTG SPPQELIDQEREAFRGAMICLGLWQLIAATLFFFFGDGYKGWSDCCRTLSTGQQPPQDEG QQLTKPLNDDTSDDVMSDNDTLSSEASVGNDNDLLQGPPKKTITIRKEAL >CAK56720 pep:novel supercontig:GCA_000165425.1:CT867988:54157:55521:-1 gene:GSPATT00027750001 transcript:CAK56720 MKIEDILTTTSFSLYSQNLKSFLEQYFYHTLMKAIFFALLIALSIANFSGTDVHELTQDD FNAKVQDQKTFWVIVEYSNLSSEQRTQVALAAEALKGMINVGALSNGSSTVLRVYSNGQA IEYPGEWEAQEIVSFAFDQIRDFAFKRVGKVPKKQGEKTPEPQIDESDVIVLTDDNLDET ILNSKDSWFVEFYAPWCGHCKKLAPEWAKLATALKGEVKVAKIDASGEGSKTKGKYKVEG FPTIRFFGAGEKVDGDFESFDGARDFNTLLNYARETNRRLKPLFFEQLVNQQQFTDNCLK STGICVLLFVPHIYDCDQECRDAYLNTYRETVKPLKSKPLVHFWSQAGDQYELEEQFGLS GAGYPSVLALSPKKQLFSKMRGSLTSANVDRFLNNLLSGKEQVSRFGSVVPIEEVKN >CAK56721 pep:novel supercontig:GCA_000165425.1:CT867988:56142:56540:1 gene:GSPATT00027751001 transcript:CAK56721 MNYRIEKADLYIRQHRIIELFEDLTTAISYEQPGDIKKFLIEQLQLKQKFGFKTGLFKPE EIDNIFTLFDLKQDGWISKKQAIDAFKVMAASQYQLKDESIFPEKVTKKQFAEIIGEHLG IK >CAK56722 pep:novel supercontig:GCA_000165425.1:CT867988:57185:57568:1 gene:GSPATT00027752001 transcript:CAK56722 MNKPYPKTVGGYSKQIIMSISCIINHKDAIFRICQWTNKRKPNVYIYAPISLSIIGGLYV KYCFIDYLGPQKKEISFGIPFLIQDMSSGSFINVDLINPKDDKSNEFGVVLKKNIDNTSL WVVFNMK >CAK56723 pep:novel supercontig:GCA_000165425.1:CT867988:57689:58981:1 gene:GSPATT00027753001 transcript:CAK56723 MLLENKIQKLDQAALAYLYCISLRKQQVSQIVLSILFYVVVIIGLTLLMLGKFYYTAKNS LQLYFNNQRLNSEVSEHGIKGNEPDHELPGYLPPIMSENESRCSSQQSQQEHNKPIRIKQ GQSLQFGRQQQQKDIQKIGLQLSFLGDFKQSNFQKSQLGLNSIKQSYMQVHPINQTVNLV RYSEDGESKIGILKTIKSITYDLAEKELEQLQKEPVISKADYPKIQILYESSQPEIQSYN NELQSRQSKQSNTNLLQQQAPFKDVKTEQSIMPYQQKPVFQKKISWIKILKQLIILMVFS LSVAQFPQQDLKSHIFMIIYILGYTFYQMSLFSLFVAEGLEITTQLREIIAWSLLILLPT SLAILIIHFQIDCFQFMAWLSILIASQKIKYASRNPALFNPFIIILCLSALFC >CAK56724 pep:novel supercontig:GCA_000165425.1:CT867988:59004:60054:-1 gene:GSPATT00027754001 transcript:CAK56724 MSSDLQNQYENFKKTMKKAEYKEQFAFEYVKDNNPTFFLRILHYILIEYNSSFYKSLVDK GYELYSKNDLRFTEQVFKMLQLEFNYKSSINIAQFLSEQYLEHKLILINDIFNEVLQRTK KEKKVNVFKGQENSSYIQNTQNIQNTQKQQESNNKQKPQVFVQRENFQSEDDEEDIEQPK RPEPYKITQQNELAPRITTCNQEQYQLLEQQCNYTQQCIYAQQYQQQPSPPQSDDKNDSQ NEIQAQQSFKQKINQVQQSYQTQPNIQVQQNYQTQQNNSAQQQNGVSHQDLQKLMQVILL SNDNIKSVMQKFSELQTTVNMALTNFDQRLTRLELKIRDQ >CAK56725 pep:novel supercontig:GCA_000165425.1:CT867988:60432:60716:1 gene:GSPATT00027755001 transcript:CAK56725 MEKNEGLKEEEIKIAKVTRLDKTGCPIVRGQKGHKITFCDEVIAGKPIHNIIFVECYKQF NLPSNDMEVADECCLLI >CAK56726 pep:novel supercontig:GCA_000165425.1:CT867988:60854:61105:1 gene:GSPATT00027756001 transcript:CAK56726 MEKVQSQTYLTSHTTLSTKIQKLNFRTDKRGFPILKGSKCHGISFKDQIKQGELQTVILV ESWKQLNYDNKQKKQATECCVIY >CAK56727 pep:novel supercontig:GCA_000165425.1:CT867988:61165:63293:-1 gene:GSPATT00027757001 transcript:CAK56727 MKVIQDVKKLHLDLQKPEKSHKYKQIIEELSEYFLFGDHQKEEIFDYLAEHNILSLFYQK LKSANHQLTIFIIERTSMVITNLQNPLNINYALSNPALHDFINHNYDFNNPEIVDYYVNF LKTIAIRINRENFYLYFNQRYCTFPLLWQAQKFIDYPDELVKNTSQNIVLSLSKLSSEPK KGKQTESVIVNQTKIMVQFKLYLTSSPFKQVYNKYTLQIQQMLGTFKIDSQDQLDKLEDV LMFFNDLLTECPFLSTLLEKLILDKLIQPILDGLLLGRKTSFTLGYEVGLFTIYLFLTKL PLVYSIMSFFCEDQIFIDETIQNQKEEKQQQQWIYDTKNVELEFQHIFYKELENNSEMKE LKNKKINLIVNPYRNQFLELLRSKHNTILHLYLSIWFVAKQNNYQIPSMQIINVKIISNQ IINLKEEIVYSKKLLELIILLTINEDLNELKQLYQQLKNKVVSIIQNLKVTNKKQSEGLF VNWDIIENFDWDNFTNSQPVLKLEDLKPYNHNNKLSDFNYVYLWILLKCSVKNYKKKGVQ NLYKINKEIEVYQACELIQLANQQNYLILDVNSGYLIHTVLQKNSQSGIVKFVQPLKAIE CSFMEDVLILECNNLCINQFQPYHVKIQSDVLTSVIDRIYQAQQSMSQLIINKLEALYDE KTDFLLIN >CAK56728 pep:novel supercontig:GCA_000165425.1:CT867988:63942:65771:1 gene:GSPATT00027758001 transcript:CAK56728 MFPLSTVNLTNNRIKTLRNLIERNGGTIELNSRTIMIVGSDATSESCQKQLEKMHLNFEQ YRQQFINADWISQSLQAKNLLDFKKYQLFSEIEQKQKRVSPQSTDTKFVYIESLAKTVPL KEDAEDSLDMESGEYTIIKPEMREKYEKKKQEFKRQMIKENRFLLDYEYDKDLDNYHHQV DDGYEFLLDNFQILKKEEFDAPQQNKFYGDEDCQITEIRKPSIDIFQGLDMGKALVNVDQ PLVNAQIKETKQFQPGSKQQIQYWENKREFFICDAGSAQKCYNNQIIEELEKLLKIYTNE KDKGRCIAYRKAIGYIKSLTFPIRSSEDLKEMPTIGEKIKNKIIEIIQTGQLVKVQKLQG QEKNVAITQLSRVWGIGPTTAATFYFKGIKTLDDLRKNQHLLNRNQQVCLQLVEELEQRI PRDEATIIYDIVKREIDDLSGVPGLYKATACGSYRREKETCGDMDILITRCDGKNTDGFL LNLIQRLEGKLLTHHLTIPRRGEHDTESYMGIGRISNNAIHRRIDLKFYPKEQYGCAVLY FTGSDQYNRSMRLWAQKIGYSLSDHGLYPTQRGSQNKELWKGEVIACEEEIDVYRILGLQ YKPPKERSV >CAK56729 pep:novel supercontig:GCA_000165425.1:CT867988:65772:66641:-1 gene:GSPATT00027759001 transcript:CAK56729 MSTSQQEFFEYMSETLQTSQEGLMEMQKSNPQNFQKLVEKLKRTMRREKAKQRKHKEEPE IVQSSKKIKRKKQDDDPLRENDKKTIQMIRNRISAQNSRDRKKQYLQQLENQAQKELNYN AQLRKQLEELQTKHQKLTNKSSKIRDQLSILQQLGRCSRLGKIGLALLTLVSVFSVISQK ETQQTISSPLVKVEDLSSESAMVPVNYEKSYSVIDTNTFFEEQKIGVDMSEESENSYPQL LPNALYRLNSHIEYDFLLQN >CAK56730 pep:novel supercontig:GCA_000165425.1:CT867988:67443:68306:1 gene:GSPATT00027760001 transcript:CAK56730 MKTLILVVLICYCGSQMLRGSPKSLIEEEQAIFESELEDQSFYVGSNDVSAETLEGQETV EVDASLAVFKSDDNPMVYVEEPIESNQEQNIEQQESLKIEKVDEEIQAQELPEATNDQAN FVDKAIILDPEQDQQASQNSQTDVVLNIAPKTESLTSSDANKKHIYVYNPLAKKPFVGIK YPPMPVTKDRNYLSNSESLTIKLPAWSEQSNHRVEDDLEFFQFIRPSDVSVNEY >CAK56731 pep:novel supercontig:GCA_000165425.1:CT867988:68341:72492:1 gene:GSPATT00027761001 transcript:CAK56731 MQQEEKKKGDGGKMETIKIMNGFTDKEWNHLDRIERIELTLEDFGRMNVIQVFKNLRSLT LINVGITIIEGLDELSKLEELNLNENSITKLNGLKGIVNVKSIYISHNAIQKIEGLENLT KLETLWLCDNKIDAIQNLENLVNLRQLWLAANQISYLRTSLDRLKNLHDLNISGNKICSF KEALNLNRLPNLKVLAFYDPHFGDNPICNLCNYQTYVLYHLRNIYKLDTLIISEEQKSFA EGTFMKKKMYYNMRIKTMQRTFSTLCKLLKKGKKIKMDSLCEDISNLNIKLAQEADKERQ QQLENKQEEYENQNDIYNSIKKKVYEYCNQSIHKLITELETGGNIRLEEGKASEKWYVSC VDLINSRFHSENMAQYGIKDIQIKRVVRIHNKFIRNKFEEKMESLVDVSNQSHKKSLEYL FYGVDPNFQSEIYNVIEEGFRGCQESKQIGLSAYTPLVNSILGADASRIQYILNGQDHKQ KLNKSFIRRRLQYNNQNVIPPGVLLICKVLMIKSVPDSKYPYFNPEQPWSEMFQKQSIDG KQYQDEYTVYRQMENDPKHRLWFVLDNNLVLPEYFAEFEYVMKSPLQNKIADFGSALGVL EQEDDDFITPANINLQKENINDQYNQLADDLNTYQFENLEEYPTYELKAQDLDRSECASL KPALINYFKYCLSRSTLYELNPNLVGTPDLNEILKNQTQFLNLSNCCVQDITFVKGQFHT LILSYNKISTINGLNELPNLVRLDLSHNEISNLNGLQHLNSLEVLDLTHNNIQDIDQIAL LKYNQSLKYLCVAFNPINEYKETRKEIVMILNTLQFLDHLPVTDEDKEKTTNQKQLITTA MLQTFSKVQMDWKQNIQSVMITHQKLSSMKGLEGLVQLRHLNLGHNKITQITSLQDSVLL EELNLEKNQIIQIQELDNMQYLKKLELGGNKISIIDGISNLINLMQLSLEDNAILNLKEF PDLKSLMEIYLGNNNITNQKEINNIKHLQKLIILDLSGNPFARDTNYRAYVLYIIPKLKV LDGISIEAQEQQMAKNLYTGRLTDEILYSRLQGQPANKITELCLQNCELRDFEDVFNAQQ FPQLVELDLSHNLFTSTKMLGFLPQLKILILASNKIDTLLYPNDINSKKGLNGCQQLQIL DISQNCLKEFNGLQYCLLKELKIMKCEKNEIVRVDYLENLKQLKELDLNQNKVRQFDPQS FAGSNPIRCLKIDGNGLKNFQNIQKLYKLLHLFANSNRINDLPDIEHLVPLTQLKELELV GNSLSRRPGYRQMVLRKLPTILYLDGRVYKLFYSYSRKLLQEERERLELVDRQAVLPTMQ IQQQPNTKVPVKLSSINFDGIFSK >CAK56732 pep:novel supercontig:GCA_000165425.1:CT867988:72872:73843:1 gene:GSPATT00027762001 transcript:CAK56732 MQQQTGKFMEFINSSDKLRMNELKQIEQEQYYISQLKEQRERMEVLKVEFLKKDQVIGEL QIQNQNLMDDLSELRAALQEQMKNQEKEMNRSKKYIQERDDLMRDFQELSDLVQHLRKEN DNLNSLHLDQQRQKEEQRMQWEIENEQLKAEIQKLDNLLFAMEPIKEENQILKEELKNYK SERKKIQNEMKQNRIEINKQLEELKQVIQDQQQEIKKLQQYKESHHQMKYQLNELDRQMG IVKQENEKMKQELKRFYEKEQSEIKRKSEIDRVRQDLISVRNQEVEKLNEIFKGMQIP >CAK56733 pep:novel supercontig:GCA_000165425.1:CT867988:74194:75242:-1 gene:GSPATT00027763001 transcript:CAK56733 MKSNKLEKYEKKEKLGEGTYGIVYKALDRNTNEYVALKKIRLESEEEGIPSTAIREISLL KELNHPNIVKLMEVVHSNKKLVLVFEYVEMDLKKFFAQFPKEKGMEPVIVKSFLYQLLRG IQACHQQKILHRDLKPQNLLVSKDGILKLADFGLARASGIPVKSFTHEVVTLWYRPPDVL LGSKNYNTSIDIWSVGCIFAEMSNLKPLFAGSNETDQLKKIFRVLGTPTPIEYPKLNDLP SWKPENFEQYQPDNLAKFCPRLDPDGLDLLIKMLKINPDQRITAKAACDHPFFKELPEQV KKLYVNVK >CAK56734 pep:novel supercontig:GCA_000165425.1:CT867988:75247:75671:-1 gene:GSPATT00027764001 transcript:CAK56734 MAKAAKDTRPIADQATSEAACTYAALILYEDNQDIDATKLAKIIKASNLRVEPIWTKVFE KALKGKKVGDLLHGSSGSASSAPQTQTTSTPAAAETKKAEPVKEVKKAEEPEEDVDMGGL FD >CAK56735 pep:novel supercontig:GCA_000165425.1:CT867988:75713:76580:1 gene:GSPATT00027765001 transcript:CAK56735 MLFSISPQPPFQIHHRKQTTFQVINLVDHPILFRFKNDNLIRIMPSYGMIAPNERKLISV TNKASQFDTDVLLEAINYVEEYLDMLEYSNPQLWVEKQPGVLPTQMLSIRMNINTDASST QQSDKKQTFEEVMKQEPMQLQRSQHTFSRLQEQIVHKNSLDGQESRTSNIFNNTPSISPI LQDASRLSANISRDVKQPTFFTETYEIPQEPIEKFQEKMDLEQNYQNEPSESGNFRCNDE ERSNHEVSGIRSQTSIIKVEQVQLISTLKQKEQQIIKQARTS >CAK56736 pep:novel supercontig:GCA_000165425.1:CT867988:76731:78620:-1 gene:GSPATT00027766001 transcript:CAK56736 MISSSRKLFGNVVLGKSLVSTPVFFAGKELSFGQECRQQMLRGCDKLADAVQTTLGPKGR NVVIDQPYGGPKITKDGVTVAKAIEFSNRFENIGAQLVKSVASKANDEAGDGTTTATVLA RAIFKEGVKSVAAGLNPMDLRRGINLACEAVVKDLKSRSKPVKSKDMIENVATISANGDV EIGKLIAELMDKVGEHGTITVSDGKTLNHEIEFVEGMKFDRGYISPYFATDPKTQKTEFE KPYILITDKKISNIQSILQILEHVVRENKPLLLIADDVESEALAQLRGGLKVCAVKAPAF GDNRKAILNDIAVLTGATVVTEDVGLTLEKSDHTVLGQCKSIIVTKDDTIIMDGIGSKES IAERCEIIKAQVTESNSEYDKDKLKERLAKLQGGVGVIKVGGASEVEVGEIKDRITDALN ATRAAVDEGIVVGGGCALLYATRVLDKLKGDNFDQNIGIQIVKKAIELPCRTIVENAGEE GAVVVGKLLEGKDEEFGYDASKSQYVNMIKAGIIDPTKVVRTALCASLMTTTECMIVEGK KEEKAGGAPNMGGMGGMEGMY >CAK56737 pep:novel supercontig:GCA_000165425.1:CT867988:78723:81098:-1 gene:GSPATT00027767001 transcript:CAK56737 MSKKQKHTQKQTKKVHSQDINESDQSNFFSLLADDYTNNGNIKLAQKILGDYKTLLEYHP SKFWATLSYNLNLQNSLDLFLRHAPRKHFLKEPLHFTGYYGVLIKEIFKCVFAIYLRLID LQNEDAILYEQIGVYDLVYQKWIFDIMKLTDICTIYGEQNDHGVKKICQFVFKYQAYQDD YKSTIDSFMKNVLGNIYEEMFAINNKSANENEIIINDVQRKMELLTLILDSIETAIVWAK YFPQQQYLFKTEVMQCFEQIFYELILAKGYSRGITQISGVWKPQFLQNLHHFLKQAVQRF IDFFVIYFKYLEELIVTKQIKQAQEHMNYLIQNFGIIKRKFKFKKVNSSQNKEWILFEAL YENGFNMIELLMNTPWNQINLPKEDIEEVTLQMTTVMEERERLKGKANNTEPENFDAFEN FDPTQSTFAVDIMQAIEQDEAENEEQTMQIEEDQPIQAEEKLIEIVSKKKQKLAFDQPLK DRIEMAEITAKLYNDEPDDTYDYQEALQRPEKEYKSSDEDEYDDYVPNDHFIRYAQPSKK SINSQINSGHSMSYQNLDNNNDKQVKILKKPSKQQQQIKQQHNEPGFSDEENDQFRRDNG NRNNNNNDNRHYQEKHIKVHVKDNHYQNNNDEYQRKNQNNNNQQYRNKNRNQEYSRNDDD CYKRDDYDRDDRPQGNYEQKKVLQKERNRDGGYVGTNKPKIQQEYEEKQESQQRQPYEQK QNNNNKHQQGKKNLNSKANDQDLYVPKEETANKEYQAQEQRQEKKHQRGQKRY >CAK56738 pep:novel supercontig:GCA_000165425.1:CT867988:81124:81936:1 gene:GSPATT00027768001 transcript:CAK56738 MMNSSADKTPLFKFIIIGESGVGKSCLLLRYTKDEFLSEYNVTIGVEFSSKTVEVDQNTK IKLQIWDTAGQESFRSIVRSFYRNVTAVFLVYNITKRDTLEKLDGWLKEAKENASPNIVT VLVGAQNDLEDQRQVSYDEGKSFIDEKGINLFFETSAKNNENVERVLTAKLVFLNYINET VRKNENKVSIALSESHDNPQQQLQPQKKKKKDDSGCC >CAK56739 pep:novel supercontig:GCA_000165425.1:CT867988:81963:82067:-1 gene:GSPATT00027769001 transcript:CAK56739 MGECRYLVKIQLKDYEKEQSSILLDQLLLTTQKQ >CAK56740 pep:novel supercontig:GCA_000165425.1:CT867988:83612:85326:1 gene:GSPATT00027770001 transcript:CAK56740 MYKQVLIKIETKYKVIEEAVQLLEWKITPSDIECHIFWKDTYVTDEEYRRLLPYQRINHF PGSYMLGKKNELCRNLNRMRKMFPDDYDFFPRTWQLPYQSEEVRQKQGTGIFIVKPEANC QGRGIFLTKKLDPFLDKHYVVQEYLSNPYLIDGLKFDLRIYVLLKSIYPLKIFMYQEGLA RFSTKKYVKPQKKNLGSVTMHLTNYAINKRSKEFIFNNDTNNDDVGHKRSLTSVLKYLQD QGQDVKQLINQIKQVIVKTIQSVQGELSHLYRSQQHNNDGIEQCFELFGFDILLDSSLKP WLLEVNHTPSFSTDTPLDKLIKRNLIRDTLILLDVKNKPKKIYLEQKRAPVYQRPIKMSL EEKEKQSAKMSHFEENHLNGYIKIYPDENKDYYEQFMPPKPKERENRESVIIQQLDQFKN VERAIKSQAEVKLPQQKKNAKRLSTNQSFSDLDGQSLQQLTGRNIVIPQTRTTSQQRPFS SITTINRTISAVRKAALANQYYNLNQSQNQKRLVYPPPVELPPKPVYKMIPIKTFSFQKI ENSQKNE >CAK56741 pep:novel supercontig:GCA_000165425.1:CT867988:85758:86672:1 gene:GSPATT00027771001 transcript:CAK56741 MKNCYIRAKQNPKIERQINHQHAPPDSFQGSFSDSSICNVDDTCREQQAIETKQVTLQNF QANNVEIVLPKKKEKIFSSKLKTCFVDQQYVDNFAVSKMTPGISEHKIKNPFQSTQQPVR KLSDIQSVTDKESQSRQSSQRDLNSQKESKQNINVIKQISVANKIDRSKVAASYSQLASK LYCADQSPQIIHNPQKLQEKASIVHQSLNKLHTVQNHLLSSPIDYNASPKSSNQKYILSE QSECFQDLLKDKKQPQQTTQLTQTQQDQSHRQIEKILSENEILFKQLNNGKIINIY >CAK56742 pep:novel supercontig:GCA_000165425.1:CT867988:86803:88057:1 gene:GSPATT00027772001 transcript:CAK56742 MKDSKFQYLNQYDILGNTILITTVKLYGFHKKYDELLKELLKMNPDPFIKNKLTGWSAMD ESLSQRSIYATALLFQQCYRNKRNEFLNQFHELSTVLQQVPNFQLDMNWNFDSPLPFIKL LAPNDTIKLYKYKQQLRLDSTLVGFSKLQCKRRNMSLLFRQNKLFQINRSNQFYTDPLEE LDTEEKKLIIYDILHSEPVSGALDITSCTIKQCVDWRGRKIIEQVGQYSCEKFDLKITYK SSYLKKSNDTQIKFAVERELYEEWILNDVLPNTDLLQNQKPKEQNETRTISLWICKNHSL QFKDFANIVSLLAKGNHLMEKLNGLFQRPEMQEIIELNGFPIKVQIPFQFSIHATIQCCN FVSLENADELFTIPNIKYMPRKEAQKILQTKKKRLLLANLYL >CAK56743 pep:novel supercontig:GCA_000165425.1:CT867988:88149:89217:1 gene:GSPATT00027773001 transcript:CAK56743 MHKTYQFDSNQKPKIKDLCQEDKKKIGKLIKRLAQEREEKEILLKKLAQMQQSEQKQQRL QTEIEKLDEEISQLQDQEQLSQYQTPQLQTQSKQKLLYKFENDDENIHQSPNFKVLNQIQ EDQSSSFIIPTTQRDSPIKIDQQIQTSIVQQSLQQQPIVQQKKSSKKKKEILMKKIQEFE KIVNRLNFSNEQSIELTTNRKSEEQNSQLNNTSYIQNVFQKLLNIDQQSLPTQQTVRSEQ QSEIQQIQFQQQPKQYNEDDLIIQLLNSDASSYKPQNQNAFSSASNVSIQQKKQQHNRTN STIIQNENKQNKQKIVFDQIPSPIVQDAYCRNVVEKYNSLLQELNRQQ >CAK56744 pep:novel supercontig:GCA_000165425.1:CT867988:89563:90241:1 gene:GSPATT00027774001 transcript:CAK56744 MVNLRLQKRLASTQLKVGVNRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKVLWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKAQRVKKTHNKFAA E >CAK56745 pep:novel supercontig:GCA_000165425.1:CT867988:90299:90876:1 gene:GSPATT00027775001 transcript:CAK56745 MLSNLIQADNKVQPAAQQAKGKKGKKNQGEDQPQQAVATLGPNVAGNELVFGVAHILSTW NDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKL RARGGVDTRQPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK56746 pep:novel supercontig:GCA_000165425.1:CT867988:93730:94538:-1 gene:GSPATT00027777001 transcript:CAK56746 MRIILSFLTLFFVYAQNQYCNVHNKGVNSGLANQLAHSLNQIRNQVATGQISFEGSSSAS NMNVMHWSNGYAKMAQTCVEKCPLKASTCGQFQNYGVLFFKRHLNQQLRTNQVMEKWFKE SENAKQLLTARSSAFGCGKAEDKDSEYIVCYFDEKYTGAKQAFLAGPVGASCKLGRSKMY SGLCATASSQTLIHQSSHIKNKKQKKQKKQKAHKKNKKNVEREVALIAMTDFNEFV >CAK56747 pep:novel supercontig:GCA_000165425.1:CT867988:94551:95327:1 gene:GSPATT00027778001 transcript:CAK56747 MKIANFISKTQKSVYQFCDIKKKFASIIFTNVAIDNFDTKFPGASQIFYFNEQQKEALVK TTENKKEIIQFNDSNYLGQYVNKVFNNLKSKATRFDYAHVEFSNKDYWQHMYEIVGTMDG ESSTLVIRIKENVLKECLECKLDNLEQYKSLFIQRILNIGMISVYQLSLISQKTLKGGIS EFEIRCLNTKEDFFASHQRKLLYKSGGRIYYQMGLRSMGHKPDAVVGEVYPQLE >CAK56748 pep:novel supercontig:GCA_000165425.1:CT867988:95351:95725:1 gene:GSPATT00027779001 transcript:CAK56748 MGSILNKQEVSSRKLSEMPLEQKSQHYTQEAQENEQARVVIQTYRDTKISRKVHNLDPEV KQTFSKQFPKKQDLSNAQGQTSSIQQKETFQLSPRSPDKESDCDWQDIYGDQCFTLSDGH AAQH >CAK56749 pep:novel supercontig:GCA_000165425.1:CT867988:96036:96224:-1 gene:GSPATT00027780001 transcript:CAK56749 MTIFIYLTYPVLFVFSQITLGFGIATYLLLFKSIELESLKIKFKIFYHDSHSLVITVFEF QF >CAK56750 pep:novel supercontig:GCA_000165425.1:CT867988:96370:97568:-1 gene:GSPATT00027781001 transcript:CAK56750 MYVLLFQLVILVYGYPILENGQLLIGAGGLACEKDTITHIKFLNVYKAYVPQVIFVLSNH TNEMQFSDFALDIHVELMKVNTTGFTIQVVCSKSCIKSVLYTWYAFGGDTYDSGCFPLQE KAQVTLEQIYTSPMQHSVFISGFAGFFKYGGKKPMLKLYSSFDNQNLTIQVESTFQYVYI CYILAQDMSSTTLNDTEIATLVQKGTYLDDTLIMGMESFQLFGNNLQIQMLNDKSFFPKQ IELTVKVAKLYDPTYRSCPKVYTECMFQGDPIYVCDETVKLTNIWKEFKSLRVYDQKMKF KLNIVSNDNILWLSEDIDCSYDMYDQLMEFKIKNQPIERQIIRKRKMMGMLYDEQTDEDQ EYSEDTILIGQA >CAK56751 pep:novel supercontig:GCA_000165425.1:CT867988:97806:98746:1 gene:GSPATT00027782001 transcript:CAK56751 MKEPPKVQKGKKILPQYAFGNSLESPSFFRNPNTLICRESYDNPFLKVRAKPHSKWQTLR SSTLNNFEFYNVSEQKFANLPNKGYKNVKIVNTVTNQVHYVQTPQIRGDEQTFQLAYKTL QQKSGLFGRKNELFTDFIEKNDNQNVYGHLNDRQRPKGRLRDVKNYSLTPQEFQIYKKRS SHYLNSSPSKGLQKTEVPIFNQNQQQKDQQVEKYYSKDQSYLNSLNNSIIITQIDKQLKR KKQDRYNADLKILDYRENDIELIAKFEEHLEFEKLYGN >CAK56752 pep:novel supercontig:GCA_000165425.1:CT867988:99172:100326:1 gene:GSPATT00027783001 transcript:CAK56752 MIGGVQSRLRKLDIYRKLPADLTEPTTAGALISVISTIVIVILFITELQAYIEVDNSSEM FVDINRGGEQIRVNLDIEFHKFPCDILSLDVQDIMGSHVVNVEGRLIKKRIKNGKVISEE VHSNHEGHEHHNQPSIDFARIEQAFKEKEGCQIAGYIIVNKVPGNFHVSAHAFGGILHQV FQRSQIQTLDLSHTINHISFGEEDDLMKIKKQFQKGVLNPLDNTKKVAQPQGGTGMMFQY YISVVPTTYVDVSGNEYYVHQFTANSNEVLTDHLPAAYFRYDLSPVTVKFLQYRESFLHF LVQICAILGGVFTIASIVDGMIHKSVVALLKKYEMGKLS >CAK56753 pep:novel supercontig:GCA_000165425.1:CT867988:100736:102164:-1 gene:GSPATT00027784001 transcript:CAK56753 MANSSVVLICIQNPDFINITVTHVYQNFIQFGTIEKILIFERNKPIWKALVQFDSVRSAL SALQLNNTIMHGLSILVYESNRKGLDFQARNQYARYYTAQQVQGIVHSTELPKTDPINKW LPHSQILPPQTGMPPIPTQFAEALNFDKADGASDYDSQEEATEDKQEELSNQFVKCMGDN LTQLFQFSPGQRISTSQQLPNNIQVEKQIFQSEILPQKKLITKVLYANWFDPKQTSMTMI YNIFSTFGNIQKMIYFKTKCNVLIEYSTEVSVKFLLTNFNEGNPTLFGQKLKVYPSNYEF IFFRNCEEGQLPKNTEEEEFYLGNEASFRYKDNNFKYLVSPSQQIMLTNLKKEFCEEGII YDTFSKFGQIEKIKIFCEEKNKNKCLIRYQELDSAMQAMAIMHNYEYNKRKIQIFFSKNK T >CAK56754 pep:novel supercontig:GCA_000165425.1:CT867988:102338:104311:1 gene:GSPATT00027785001 transcript:CAK56754 MPPKAKKIDPELQAVLNGQQYQKQFEQWKESDEYRIWSELQIMYKSMENNISETSKDLTG NWQIYHDKLLEVCQTFKCKSKIKQIEHAHIRSAFFAVEDVEINKTVVKQYLDGFYYSVEK QDKDRAKHVKELFAKIARTLEDHKFFDMNAENYIAERKVFVGLLNDFLKKLPILIKSSHK IIEEKLMLVLGPLRALLEINKKMMFFDLVNTSNQARQTKDFILKADVEQYCICLQEAQRL LLESKAISCNPNVKLIFNKLGYEGWQQNKIESFYLTPLQEAFDKMRNNLLCLMLKGINYY KAPLMDNTQFVEDVKELIDAELIAEHLMGTSLKRDQLNFAFQVLSVIFNSNAQAKEFLIK RDDNCIKGSIPKLMTYHTILYMRAWKDRKIEDEFKELKLQQKTQPLAQSNLFEAQSAMSA MSPDKKRQADDDLRKKEEENMRIQEKLDFEKYGRYWIWEYYAQDQIKANFEECVELIRHI NKAVQQDIEDVIIKEGMVPKNRPRQVQQNDPSQMFNKLQEKDNANVYVIQRRPPELWNYP KIVEEQHEFRAIAKPRDCYKDGRIQVLESKMEQLSAHLESNKPQSWNELIHRVIDALSNQ YNKKPSAIEPGK >CAK56755 pep:novel supercontig:GCA_000165425.1:CT867988:104324:104911:-1 gene:GSPATT00027786001 transcript:CAK56755 MNFKQEQSLSKQKSNQKLLFRADHIQVTNPKSIPNTPTSSKHQKVNSIKRIHTVNGSEKL DKLLDLKNKRIARKQQISLDSEPKQLFRKASQLTIQSDTDLTNFIQIKTTRTTREHKFED LPQMKTPNNQLIGCGQKQNKFQQCESQKNNNILDLLLLNTQQLKDIFQKVKEPQIRQKPK INNIKGFPSDFFSIQ >CAK56756 pep:novel supercontig:GCA_000165425.1:CT867988:104945:107234:-1 gene:GSPATT00027787001 transcript:CAK56756 MSILHRCFLQGYCLEIGFQVQPNMNQAISHYMHGIKFFADPLCAHQLEQYYNKNKNKILA SFCKCLYYLLIELVYEIKHQLKKIECEKYNEALQELAPTPDIPLNQLSNFLQALYLFREN ESQQHYDSLLMNYEQMGAKIHAYPLLIKQFTQQTKNPDKCPDTIYKQSQLVNPDPYLNQC LYNISLYGIIESTHLNSIRQIVFELSFLHRPNPYAEVIEQLYTRGTQYMIHRILLFQKRA KALKNQDLQEEEIKFVDSQNSLISLYILGKRQKDKSKNCMLCKAKELLKNPWPESPFIMF VYMFYQAKLELHHQHHDGYQKLLDQNLFEECKQYYDRNILHFKQVRTLQFYSIRRRLDKQ RDKVTFKNKQIQAIFDQKRVQQLDQSRGNFQQIQLSQIELRRSIINQSPERKVLDTIKQT QSIYVNSGNKRVNFDRTIQGPQILPQLEEKQMQKSRFIDLENKIRYSKLNLIQSEDISEI QFISKSNRDGQLSLGKYQGQLISIKTLAYMNYEQINQYFKYLKKFSNLEHQNLRTIIGYN IDDLQEQDVSGQIRIITYKYDYNLRTFLQKNKISTKEKWHMAVQIIDAIYYLHQHEVIFC NLHPNNILIDGGTHVPVLIDFDLQFDKEYLDIKYMAKQVIEEEMPLFTEKTDIYSIGCIL LYLFFGCEFQFQQSNHSTTLTLEAFQTLNPHQSTHVEFLIPPGSEALQERARVITLKCLN GEIELLDLLQHFYDYV >CAK56757 pep:novel supercontig:GCA_000165425.1:CT867988:107388:108580:-1 gene:GSPATT00027788001 transcript:CAK56757 MLNFPQNARDHFSCDCDPCEFAITHGEEIMPKRVPPQKPIQQIQDKDLGLLLRKLQAPNK LTRSVRIRIPETCVCNEGEIKFIAYYDESEGFIKFIQKPTFQQTKQFLNERRPPDSLAVI IKYIDNNMQVMTDMEFTILMMKRKIDPIWSQILYIQNFNSNKNYELQHYEFKHSFDSKYP EFDLARIEILILNGEIARASSDFVPMVREEAYENSLSQDQYCRYMVYKMVHYADVFGGIQ ITEGKFSFHKKTFISMEKMEYTDLDRKALFDSEILLRKKKMIDEDMFQFQKLIDQNVKKE REYALKVYREILDMDNGLDQQSHLLKNKLSVIGYDLKKYSQSIQSNFQQVMVSKDPASTL KELVIEQKVNEEKLTSILKPKKGEKTKKKM >CAK56758 pep:novel supercontig:GCA_000165425.1:CT867988:108839:109431:-1 gene:GSPATT00027789001 transcript:CAK56758 MQTFPKKIQNSYCQIQVLFVIAHLINILDNHANLMIINILYKLQLFLFPLPIWGLTLARV FLHKFLLIYRFIKMEIRLVETIFPIAMMFPTITQVNTINYLQDDQNIVNICHPPDYIDFF DDSPTSQKPIIKFHNTLDQVQLNPLDEFEFLLELDERIIIFQAGIFYYQQCQFTEIQRIS >CAK56759 pep:novel supercontig:GCA_000165425.1:CT867988:109440:109866:1 gene:GSPATT00027790001 transcript:CAK56759 MFKNWGVGDTPQLMPQNNPTIIQNLPFSGRSNYRDQFQEFNVEPAKSTKGLYQQKSPLSP PDIKFTATSIAKSSYIPIETERAQQQQAKKLQLQPLNPSYRGQYNTMYCKEFDSKFPRPC PAKEVLDEVEKQI >CAK56760 pep:novel supercontig:GCA_000165425.1:CT867988:109903:111595:1 gene:GSPATT00027791001 transcript:CAK56760 MSKQANRLPDLDNHSFRDSRQIQELRRKLDEQKEALKELVSLVKESKTESLKPKFTPQFR LPKSEQEQITFDLLEEVKTLRRQINNIESGPKSNPIQNPIIIPQYLPTPQQPLLQPLPPY MYMNPYFQMPQPFMHQQPQNQAPKKKVDPYKKLVLKILQKGEKQNHRSRRDSNQSDYSED SNDRPNSRPNRHRSQRDKSKDKARDLSYQHKTSKGSLHDSYVSKYTRSSVKIKTKKPKEF TQVEKLQLRRKLSGTFWFIRIGLVLRKYLRRVWLNRRQQYYENEAQQLIDKFDHEFNHKE VFILLVAECNKNRLFNKNWNIYDNRDIETKSKIVFQILTILFKKLPFLTKNSLSEEHKQF VKKISSPGGFLLPGHPKFVTDRVQLRPNVTIGQINAEVTKMIQMDYVYIQVIVQKVLLIH EWYSQFNKIPNHKEAMKILVSVLHQLFIDQFSTLKVYENNDAIYNKQQVVFCDFTQFNYV DIAITIDDKHFKYEVTTDCCILGLATKEELQQLYDQAGYKDLQTTFKEYCDYFYSQINF >CAK56761 pep:novel supercontig:GCA_000165425.1:CT867988:111658:113150:1 gene:GSPATT00027792001 transcript:CAK56761 MKLLDDTLDSKSTDVYYESSSRYLQLTLFLGALVSNIMFGFSLSPITKEISTIYGVSQTW LQLLSVSFTLFSAIMIIPGNILSEKYGIQYNIKLGCLLTFIGSLMALLVNYSFWWLYFGE LVSLVGFPFRLISASKFTANWFYPKNRIIIMVIIALLFNSSTGISIKIPLFIFGDYNVEL DALNDYSQGRTYVFQLMAFLAGIMFITTVPALIFFKAKPKHPPSYSASEQCVRENYTKAA KMLIKNSDYLKLAFAFSLILGPVLLLTVQMEFVVKPFGYNLEQISNVILVGVIAGLIGDV VVGSFVKKLGKYKIVLQICNATTTFFYGFLILSLVLKVHWLFYVCYFFVCLCSSILALTF EFSCEISFPVSETSTIAYLGLIGNGFNFLQAIPEILILQVGKESTSIITLIIMFGIGVFG NFVSYSINEKLKRQQVDNLHDTKQNLESQYDTD >CAK56762 pep:novel supercontig:GCA_000165425.1:CT867988:113639:113962:1 gene:GSPATT00027793001 transcript:CAK56762 MNKYQSFSNPAYEPIQTPATTKYDTVFSPLVQNTNSNENINQLKQESNQNNPIRKSAQFG SEVRHSARSSLSEKELRDIVKHKRYVACNIF >CAK56763 pep:novel supercontig:GCA_000165425.1:CT867988:114272:116095:-1 gene:GSPATT00027794001 transcript:CAK56763 MNSLRKKSVFQMKQVDTPSTQSTTRKSLLSKSKRAKTEHSYPEVSQFEPSKKRNREQLLP QTHQQYLKAKRDIEYTYLMQQRRRQKTQHNEIPFDLKMAYIIKRQSVTGRKILHKSSFEE SISSPITKKKLDSICEETFQLQPIKKDPILEQECLGYPSLHQEWDKRWESLFETFFEMQA VKSKNISQQNETRKDSRVKFSKGIVMKNNQRPINTRINNDEILVLKRELSKQPINRDILR LEPIFSKNKFLSRFNEIIRKIIIQQCQLREYQPDELVLSNEINCDKVFVIVLGSCKVVMT SFSKDQDILGRMVIRSIYDGYHINVDNLLIEKKIEDIKNMNNLSEANLQQYVKSEIYSQE KTVVIEISREIYDNVLTYAIDEEMREKIELLQKIKILGMNKDLRPLAAILHYHEYKFGDL IIQANQEIRHCYFVKSGRVKVTVCTRKQRELYNYLTQSNQIELYDFSEESSVVKTSKNID HCGKILKMSKHGHPFMEMNIHIDIKSLEVGDFFGGSSLLTQQEIITTNRYKKDKLALVSL IALTPVVELYSIDSMEFETLPDGFQHQLKELSLSQSEFDDFDVDKIVNDYDQWSMLKQAY YKSLIKK >CAK56764 pep:novel supercontig:GCA_000165425.1:CT867988:116523:117015:1 gene:GSPATT00027795001 transcript:CAK56764 MNQLLYFNDIQEMQFYAKRNQLSLFVYKKAIYDLTDFITQHPGGQMEIRQYENQDVSNVL FQKSIHKHKPYVIATLQNYLIGYIERRNEPVVRLKSSQLIIEKTRKILQDSTIPQQQQQC EDIPEDCSIEEMPILQTNKKLFHSQKSIQIQK >CAK56765 pep:novel supercontig:GCA_000165425.1:CT867988:117225:118421:-1 gene:GSPATT00027796001 transcript:CAK56765 MGNCFNQEETHNSSLKRITKIESRISQDLQDSEGGKISLKEFLSQGVIGRGQFGKVLKVK MKLNQKEYAMKAIKKQDIINYGLVENTMLEKKVLEYSNNPFVIRLQYSFQTENKLYLVMD LVNGGQLLKIINKQPYKRFSLHQAQFCTAEVVLGLEYLHDKLKVIYRDLKPENVLVTDDG HLKLTDFGLSKQYESDSMKFFTIAGTPEYLAPEIISNSGHNHTVDLWCLGIFIYEMLTGR TPFRDEANNTRNVEKKIAEGAIEFPDYLNEESQDIILKLLNKNPDQRLGHKSTQDIKDHA FFRNINWDDVANLKTKSPLLGLTPQPCQTTIEVAAPKKILETPQSQEAQTEENFEGFSVN HGEF >CAK56766 pep:novel supercontig:GCA_000165425.1:CT867988:118442:118941:1 gene:GSPATT00027797001 transcript:CAK56766 MSQKFKVRKLREQKPEDLLKDLEKLKGELIQLRTVKVSAGNAQKLGRIGLVRKRIAKYLT VINEQRRNQVKSTTKHASNLPVDLRGKKTRAIRQRLTRSEKAQKTQRQWKKLNNFPLRKF ALKE >CAK56767 pep:novel supercontig:GCA_000165425.1:CT867988:119722:121700:1 gene:GSPATT00027798001 transcript:CAK56767 MFINFQRKALKSLKKVDIFSQPVQLLIKSEEGHKTLFGACLTLGLISFFLYLLVINLYTL GQRDNPTSLTTEVYHAQPEYYKFNEQNFTLTFAIQSPDYATYIDESVYVVEAQITTKKTK TVDSQKIDEWTSQELPLTSCTPELIRQVELQEYFSHLNLPTNYCIDWNRINELILEGTFD SQSYSFIQLQFKMCNKQTKKTKECKSRDEIKQLLEQNYFSLQMSSYVVDVKNEEEPFKPK GEDIFTTISSKIFKEISFYMQPITVFTDLGLITEDNEIHKTLRYKRHTEMIDLNQSDLIM NVVIRLDQIEQQYYRSYTKIQFILSQMGGLWQVFFTIAFLIQKPINMLSYYVRILNSLFE FEQEKKKTTITQREDDRNQEAPQQELMTRKQLQSTREGLFVENKKKAFQRLQSIKIKKKQ MEVIDSLVVDPQSKEEAKLELTKAISFSIKKYFQSISKKLKMKWTDYLYFISCFVNSKNY KSLQIEYSVKKIIKQMDILYIMKKLQEIDKLKMILLTESQIKVFDYLQKPTIPLDPNSKQ FSINQHYYSILKPMKSDFQRAVDAQNAFKEIVENLDNPINVKLINSIDKTIVDLLKMRKN TLDLISIDDACISEQGQDRNAVLAIDIKQKHSSLANCQSEAKF >CAK56768 pep:novel supercontig:GCA_000165425.1:CT867988:121748:122710:-1 gene:GSPATT00027799001 transcript:CAK56768 MYYSLTKEQRAIRKKEKKVNQVNCPQCPASLQGLLKSKAFCIFCLNYVCKSHIVKQRKDP LDDDKIGKICRECEDQILYTKITSKHEKKEQELQVQYKELQGTLESVNGQFQDLLSQEQT IKYQIEKAQKEFEKREQQIKLNIKEAENRQEQCNQESLKLSEQYRRLVEEKQRKEKEKQV LEKEQENLQNKEQKLQIEKQQIQDQIDIMNENISKLNQEIASITNDQKGQDKGKKNIFSA YGQTTSSLGTIIMSSEISARPSNKKEIRDQPDREDGFCCMKVLPSGSKKKKKA >CAK56769 pep:novel supercontig:GCA_000165425.1:CT867988:122861:124341:1 gene:GSPATT00027800001 transcript:CAK56769 MINNPLKKALIQFFCPLGLSGSIYSFSNSNRFRYQSSRSQFQGSYHSRSNISFGEFARHC DQLRQEQQSEIVKSLKLIPIASAEEVMNFIKANKIHGKVFNIAQNFNFLPESLQETLKAR NITSPSSIQQAIMPLIFDGHDVIAIAETGSGKTLAYALPGIMHLQAQPPVAGPRILVMAP TRELAQQIQTQYDLFAKTCCLYGGIPKPHQYVSLSETPQVIIATPGRLLDFIKGGLTLKS ITQVVLDEADRMLDMGFEDQIRDVLKEVRKDRQTLFFSATWPQEVQRLANSLCSQDPIFL QLGERGLSVNKNITQSVIIAGGNKFEQLIEYFNQIKDKKVLVFCQKKIDTQKLEYRLSQH GVNARYLHGDLKQNQRDYIMQDFRNGKVNCLITTNLASRGLDVSDVDVVINYDFPENIED YIHRIGRTGRAGKKGEALSFIQPRDLDYRLKDDLIKVFKQSSQEIPLQLLKLKVY >CAK56770 pep:novel supercontig:GCA_000165425.1:CT867988:124456:125261:1 gene:GSPATT00027801001 transcript:CAK56770 MYINQPNPYYFFVPYYIPYQFNSSVLQEIPKTELHSESEKEQTKPLKDEYHTNASGIVRG KGQLWTQKEINNLVSYYKKYKGNWKQIIKHLKGRNISQCSQKYRKLQDQEKRTKKKWSLA EDQILIEAYKEFGRQWIKISERLPSRTSKQVRDRYVNQIDPSITHEEWSKEEDRIILEEY NKGGARWAVIAKMLKNRSENQVKNRFYYTILKKYQGEQHPYLKVQE >CAK56771 pep:novel supercontig:GCA_000165425.1:CT867988:126654:128869:-1 gene:GSPATT00027802001 transcript:CAK56771 MATEQKKEEQFHFNADIQQLMGLIINTFYSNKEIFLRELISNASDALDKIRYKSITDPDS AGLNVEPNFKIKIVPDKNNNTLTIQDTGIGMTRDEMINNLGTIAKSGTKAFMEALSSGAD ISMIGQFGVGFYSAYLVADKVVVISKAVGEQQYRWESQAGGTFFVYDDVENPVQLTRGSI IILHMKQDNLEFLEEKRIKDLVKKHSEFIGFPIELQIEKTTEKEVSDDEDENKEKKAEEG EVQEEKDKAEKKKKKIKEVSTEFEQVNKNKPLWMKKPEEITKEEYANFYKQLTNDWEEHL TVKQFSVEGGLEFKAVLFIPKRAPFDLFETKKKKNNIKLYVRRVFIMDDCEELIPEYLGF VKGVVDSEDLPLNISREFLQHNKILKVIKKNITKKCIEMITEISENAEDYKKFYEQFSKN LKLGIHEDSANRTKLSEFLRFHTSKSGEELISLKDYVGKMKEGQKDIFFITGESKASVAA SPFVEALKKKDYEVLYMIDPIDEYVIQQLKEFDGKKLKNCTKEGLDLDQTEDEKKKFEEQ KSSFEGLCKLIKEILGDKVEKVQLGQRLDQSPCVLVTGEYGWSANMERIMKAQALRDPSM SSYMMSKKTLEINANHPILTELKKKSDKDKSDKTVKDLIWLLYETALLTSGFSLDDPTHF ANRIHKMIKLGLSIDDAGIEEEDEKLPQLEKKEDANTEATKSKMEEVD >CAK56772 pep:novel supercontig:GCA_000165425.1:CT867988:129462:130212:1 gene:GSPATT00027803001 transcript:CAK56772 MAANKFWKKTELTNLEQQVGVALTQIEQSLADAKNLKLSSVVDYTAKINAKKQVYLVYIP HPCLSVFNKVSSKLLPELEKRLKATILVVAKRTIESKWVKSHRSQTRPNSRTLTSVYDSI LEDLVAPTFILGRRTRVRVDGTKFYRIFLDEQDKAELEPRLDAVKAVYKLLTTRDLEFEF RRDDVFYSKRGASKKVQRKQ >CAK56773 pep:novel supercontig:GCA_000165425.1:CT867988:131264:133226:-1 gene:GSPATT00027804001 transcript:CAK56773 MFFLLQKLISFQRIIFTLMSQFMKGLSKCDIFGQTITLRMNKQNFYKTAFGGCVSLILFI VMLLIFSQNLISFLNKENLTATVLTTFEEFPSLATLNDDTFAFAIQIEQNNFIENPYFNI QVEQMHTTRFLNGSKMENTSQLVLIPCTLDRFTNIFSKYDVNITKQFYDLDLKNFLCFDY NSTFTIQGTNSNQQFWYLKISVNNCVTNQSCASETERQNEIEKSGSFKLRLYTVNQILNP QNPDDQYLQTFIDDSFYLKFLPSDVLKTADIFLKEYEVLNDLSLTPFSDIELSKFYILDQ SEMKERIEYHSKTSNDIATLFFRKSPYKTTIYRSYLKIDELLSNLGGIQQIFFFFLGIIL SLYNRFQLLVELANKLYEFSLIQLQHEKIHEENLEQINHLTTERQEELRTRRLTDQDLEI KLVNPSLIKQKEKNGILKFGIKQQEDDQEINYQDDEDRNVKEAHSKFQRSSTRFIRNPFG NKIRPENGLQYFKQQIITIINRSQPVLLSFSMIINFITCNRCCQNKKNIQLMNKAIDQIT DQIDLFNILTKLNELDKMKEVIFTPEQLLVFNFTPKPMISLDTTKKTINRSYFEQRSKCD IEIQQ >CAK56774 pep:novel supercontig:GCA_000165425.1:CT867988:133423:134527:-1 gene:GSPATT00027805001 transcript:CAK56774 MKQKIYKLFCTFSKEVIQEQFVQFSSLYTQLNNTRFRNHETDEEQFETTMMRQYQKTVTS NMLDKHNRVGKQISQKCMKLTQHKSFSSYSYLYMPLSVHAILLEITQSNVSARYQEKTSF SITFNSLASTYAQQAILLNNTITSDMIDYYDAAVQQLGQLTTSLSLYQEDQNLQVYGILV ENLCSLFLSELSYSYDDFQNLFTLQQCQSISILEKGLSSVVQDLYSHQLDFLQGVTTSKL NGLKESYQDSQIQIQRLYAQYGFQVIIELLTNQIKSLIDSTFLINAVMFAFACIIMSISL IATKLSIEKVKSQYQESKQLLTLFPFDRLMENAYVISFITHDLHFSV >CAK56775 pep:novel supercontig:GCA_000165425.1:CT867988:134572:138388:-1 gene:GSPATT00027806001 transcript:CAK56775 MNKFIFQMKSYYVQEFLNVKETWRKSFKIAVVILLIENIQMLSIYTQKLNEFEYDQFLNH IRNFIDYFRSSNIIYIRFYMILGNSINLRIIFVIFGFLLQSILILLLLCQIFKLKVLRMK NQGLQFLAIEQVKLKQLNKNLETIAEQNHLINIILSFFFQIHHGLLQIPLLFCGFSLVTE LFNFQNYSYFELAGFIFAIITIGEQITIGLLINLHQFDHRMKNYDYLGKFQSQKLHLLYA FQLIVIFLSGIQASVLLVQLFGIIKSVIQILCDYHQQIFIDYRISRLNLRIVVFTIIYQL LLIICQYGQPALKISIVLLILVCYPPLIFLTNQILIRQDLKNTNYQPRDLEKYIRRLHII FKQQVELKKQQRLLDPKQSLEIYTFISKHLRECKMQRERKMLKNIKLKYKCFCQDFFLGI DSFQSLESMKQFAKGLIGQTLEDEIIEKSNTNLLLIYIYFLVQIKKVPTQAIYEVIRFSM VEKEQPLKQQAIVHKLKQDALQKFSELVKKNDLVNQKFVFKKVYQYEESLNVLKQNLCLV VKEEKKEFYGCILTQIIDIDLLLDIGFKLIKNIKILEKQFQLLFKTNPQNNECDTIFNIF HKYVHYNKFRPKLYRREGQIMMQFLQSAEKIIYDPGSCVIQITLLQPRGNVIRYTRSFQK AIGFKDEEIQNQNINRFMPQIIANDHDLYLDNFVERGRINVVKTAVRVILGKTKSQFVVP INTRLRIEASPTEFGATALITPVNLTYGYMMLNEQGQIEELTQNLFESVFEKHLGLELSQ LKGLDCLVFIPELAKIWNSLFDEHFDRLDKRFDCQLILPLITKQMSRSLVRSRSNIFSRT TSQSKIAKSFESLPHENVVYQISVHLMSLITINLRLVIVEIPEFKQLMNQKITSRQLVQL RNRSSQLINISTQNEIPTQKTTDLLLSSLNSPPGCGPLNECDLEYENLIEDIKMIEELRN QLATININSTAQNNQKDPFLLQNSENQLQGRIIDFQNDPSEKSNDFSEAESDIKDNAQEQ QNVQYNNGSVGSRSSQNNTTALKRQIKDCLSDSKGLTVRTKLFLLFVYVLILSGFLINFL ILYFNFEKIHQNQNYENLPFQFSYYYNEFIIDQSYLDEVQFSFNQLSRIAFQYFLINIKN IDKTIVLLPHINIVSNLTMESRTLNIVSQLTKVLNMNDQINYSEFYNNTDAFNIQINEIQ NTDSTKNLLSLSVLQFLQFQILVQLSNRQSY >CAK56776 pep:novel supercontig:GCA_000165425.1:CT867988:138427:139459:-1 gene:GSPATT00027807001 transcript:CAK56776 MEEHYEKMNILGKGSFGVVYKVMRKSDQKILACKELHYGIMTEKEKNQLVNEVNILRELT HSNIVQYYERIIDKKQAKIYIITEYCSGGDLSQLLKKCKKDKDYIAEDVIWKIFTQLSLA INECHNRTPKILHRDVKPANIFLDENNNIKLGDFGLSRILGENSQFCKTHVGTPYYMSPE QITEAYYDESSDIWSCGCLLYELAALKPPFEATNHLSLAIKIKSGQFDRIPLRFSEDLHQ LITSMLDADPSKRPSVMEILKLPLISLRIKEKKLKERHAQIKLKEEELRQKEQQLKMWEQ SLVKQLN >CAK56777 pep:novel supercontig:GCA_000165425.1:CT867988:139577:142995:-1 gene:GSPATT00027808001 transcript:CAK56777 MNQIQQDTEHPGSKLNQLMLTDEPIGEIQDFLNYSLSEGNFSIQGSKIQSNNNQNSQSSK EKVNKGKRVQFDSTLNSPSTHFLVNKTSTRLSEPPQHLHQQQQIYSVIQFCFIKRFINRI SWKKKINAQFNFYQYKILKDLGSSFNLKLFRDSALNLKPMISQFSNSHDNLNQSLKKNPR EFTTRFQKHYSQLKTCTNNLYKKIESELEKIPLITPESRSKIVWDCVVMISRLYFLFTIP LDLAWNQQQIIYGQLFATTIFMIMLLVVDFILSFNNSFYQFGQIVSNRATIAKNVISKSY GLEAISILILVIYAIIFNSSKHGFNLMNSWWDLLMLLFYVQSRNIPKLISQIEETLNLSK PSSSLLELFKLLLLLFFVLHCYSCLWYFVGYYSEQYSEKGCWLEFYHIQNETWQVQYLYS FYFSTVTMFTIGYGDVVPISYLERIVAILYMMICSIQLSYSVSTVGAIIDTISAYGQEKM RKMRKINTYMQNKKIQYQLQYQIREYLNYYWESQNQVENDELNEIINQLSEDLREKLMNE SNSMILNECPLFKNNFSDALKSKLVHKIKPAVIQPENIINFDSIFPQIPAGQLYVCFVEF GDIQIFIQNDQIDQILESPQIAEVSKVGKGSSLGIIGFISGKQSQERFRSIGFSKLLMLS RDDFLKIIQDFPEDYERFRNLYDSIQFDDVSALHMKCFSCNSSYHRVLQCPLLHYIPDRE LIIKRHQFSNQQIRNSHFLRNPYRQKGYFAARYDQEIIEHEASSFNNNNWKWAEFYEEPE DEALKKSEAQINQTNLEQSSSNLQIINQPQSQQLFTSTAFLSVLDQSSKLNLEPPIVKKR STLFKQRTRTIEQMDIDDQSMKASLMSKARNNLMIIKEEEQTKSSIFRKYQKMKPSVTIE LNQNRVRENIQKLKKVVKAITNMYRMKKKQKQKKETKSILNSFLEIIQDQAFLKGILNKV RIRLKQIQDGKKQILSEKDIADTMILEIKLKMEINLLNEYQKKDQQLTMESYKKFKHYQI QNNLDSILERMYFYKQQHLLNPQLRENQSQLLKYMIHPETFFEKYRYQQIIVPKFDIPES RRDSGLVTEHTLRRSKLLKKSIRNVKSSQVRPASEGAGKLQF >CAK56778 pep:novel supercontig:GCA_000165425.1:CT867988:143241:144073:-1 gene:GSPATT00027809001 transcript:CAK56778 MIKQINCKHYQRNCDKKAPCCQIYYPCRLCHDQNYKGPKSEGCKVETMDRYNVKEIRCRK CLTEQAPSNLCTNCGIQFARYYCEICKLYQDDEKKNQFHCEKCNMCRLGIKEEYFHCETC DVCLSLLIKSTHICIQKAFEQNCAVCQDYLKNSTQLVQQLTHCPHFMHIKCLEKQTRKGL RNCPICNIAIYKMSKEEILELDEISQQLQVEINKEQLENKVVNIICSDCQAKSNNVQFNI YLKCQNCGSYNTRQ >CAK56779 pep:novel supercontig:GCA_000165425.1:CT867988:144095:145050:-1 gene:GSPATT00027810001 transcript:CAK56779 MKSVQAGYLLRTAKSVLIVLKIDYYSNPKFSDSYVKLNPKYKEQLQVGDLFKFGRLECFI SELNNGEKVQTAEDHYHLDRHIKSGKVSGTRQCKFCLMEEVDQAEDPANPYLTNLCGCQG QMSYVHYECLKAWVNFGNRITCKQTLNTVQYQWNQALECEICKVPLPARIYLENQPQPLQ LVQIEKLDGPYVIFEQITRQDNVSKILIFIHSFGANAISIGRGHNSEIRCQDISVSRNHA NISFNNDGWHIQDQGSKFGTLRIIRDKLLIDDEVKEIQIGRVLLKMKLI >CAK56780 pep:novel supercontig:GCA_000165425.1:CT867988:145068:145232:-1 gene:GSPATT00027811001 transcript:CAK56780 MQVSIEIATWTQNNHGLFDYESSDLKVSKMMVQNSVYLILNSIIFVYVANRGCG >CAK56781 pep:novel supercontig:GCA_000165425.1:CT867988:146485:147196:1 gene:GSPATT00027812001 transcript:CAK56781 MAHNPIIDHQSNSTDHSIENLSDQNSEESFEIVQRKFRNKKCYQEEEPLKKKKDLCRNYQ INGSCKYGDQCFFIHTPAKTENYSYSTASTKTKPCKRYFSGFCCFGPKCQFLHNECIDLV EQREFVEKQFKELKLMVPLHPKKLDQSIRFDLQRFHHLYKIFGRKLNFKRDDLVMNNCKS RLKIFISICRKQDRFEQLLMSNNTSRKESEQC >CAK56782 pep:novel supercontig:GCA_000165425.1:CT867988:147240:148771:-1 gene:GSPATT00027813001 transcript:CAK56782 MSKYQSEFSDIKSKSLVLPSIKQPHTIITAAELEQIKSHITYTEQKPQKSFDSQKVWAQR IEKQRQQKEKEKFQKFILEEEERRKIDREEADYQEKLKIDQIGDANNKIFGQRADVRNMK SKMLWSEIDKANQKLIKLNKDKQEMQLMFEAQKTREIETQKFLSEQAELEKSQFKEQLKK QTYDSLAKQHHEVKERYLKEYVQLKKEGEIIKKQAEEEEIKKEKQKEEDKLKKQKLLVEH QQFLQIKQRKQQDEQKFDENESKERDRYHQQKDRVLEMRKEREIEKKQRQLKIRQQIYDI RVQELKKQDDDYMQRVQKHAEELQKHEEEVAKQKELEKSQMIQDGEQFRLQQLILKEKQK EKEQQELQQEHQLKISALQQLSSVEDQQKETLKRRNKEIQEYQKMQIELKKQMRRNQFLN ELKESKQMELRSQIEKDAFNNWAQSQISELKESGLNMYPLTKSFKV >CAK56783 pep:novel supercontig:GCA_000165425.1:CT867988:148800:152249:-1 gene:GSPATT00027814001 transcript:CAK56783 MNQSALKTDVNVTVREEEMRLNMGGFKFSAQQLQNIFTLNQRRRMCEEIDFLQQLGGLDS LLDGICTSITGGIVSNDISKRTELYGHNKREVRAPQTYCELLMDAMGDFTMRILTVAAFA SIVIQVATSDDEHRSLAWIEGFAIFVAVLVCTNVAALNDYSKEKQFRKLNAVSEKSKIVT IIRDGKEHRMHEENCLVGDIVKLVEGMEIPADGILIEASEIKMDESSMTGETNSIKKGTI QQCLMKKDELISEGAEFGEKDRFLIPSPALLSGTRVLEGEGLFVVCVVGDLSCLGQIKAS LEQEEDEETPLQQKLTIIAEDIGKFGLYAAILIVIVLMIRLAIERGIEDEWDHSKHWMEI LNFIILGITVLAVAIPEGLPLSVTISLAYSVQKMMNDRNLVRKMYACETMGGADSICSDK TGTLTMNKMTLTKMWNQNYIEVNYLAREQDLGVFGKIKQLMIESICCNSSAELDPEQGSK TEVALLEYMRRGQVDYKNVKSSVKYLQKIPFNSGRKRMSVIVSTQKNGLPVNRLYIKGAS EIIIKCLSHQHTFNDEIIPLKEQDIKQIENIISEMAKQSLRTICVAYKDLNGSEDLVSND GKVYEIEKSNLTFLCLLGIMDNLREGVKEAVTQCKKAGIKVRMVTGDNSETARAIALSCG IIEAGDSKAIVMEGAEFMNKIGGVVCKSCQTESCKCARTQSEAEKNGTQLRVDTLGDMGV FRTLYPQIAVLARSRPTDKYAMIVGLKECEHIVAVTGDGTNDAPALKKADVGFAMGISGT QVAKDAAAIILMEDNFSDIVKAVMWGRNIFQSIRKFLQFQLTVNVVAVGLTLIVSAVLKQ EVLKPIQMLWVNLIMDSFASLALATEPPSPVLLNDRPYSRAQSIITRKMLKHIIGQAAFQ IAIMLIAVFLAPHFIPEYEDGFDDRLSDWLVDKKYNEFPSNLNHPKYNLDYYPEQLMIRS GRMLTVDGKDDYEPVYREFMVPSRHFTFIFNMFVMMQLFNFLNSRKLNDEFNIFENIGNN SLFILIVFFIFALQIILITFAGIAFSCYTYYGLTIQQWLISILVGSIGWVVSALLKLIPE QAICPESIESPSMQEEQRKPSGVLELRRGSSIRRSQRHQEIQL >CAK56784 pep:novel supercontig:GCA_000165425.1:CT867988:152305:153024:1 gene:GSPATT00027815001 transcript:CAK56784 MQNLLPAIVADRMAPSFFYYMFEPYVDFKKIAQSDAVQVQLSTPENAFAKGRRCAVQAAR KSKALQMMGNQLKLSVDEQIESFAVPEEKGNPIKFEPYSLQRAALYDKGCITCGGPNISV TYHGERHICLKCRDHITTLDGDPVLIFQDDDGEVCVVGISDKKKLYFIQLDELKINGYRC YVTFSNENEIICLLHDSLNDKQKKDLVPPSALWQAEKDEQIRKPKGKNFVILNKQRVQK >CAK56785 pep:novel supercontig:GCA_000165425.1:CT867988:153120:153389:1 gene:GSPATT00027816001 transcript:CAK56785 MEIAMPPSARRIMPSMHNLLETLYTKKCEELRIQLQPDQMGRFQERVLSQYSGHTIDLSE LSLPANCTVLINKVVKNVPFVCSFTKSPL >CAK56786 pep:novel supercontig:GCA_000165425.1:CT867988:153415:154984:1 gene:GSPATT00027817001 transcript:CAK56786 MVSLTLCNCGIGPRGFAVLFQTLSINQTLYHLNIGNPFSNERNRLGLALNQLAEALKKNR ILAILDISGCGIYDLRKLNSAFAENRNLLHINVSDNELKDTLTLPSYLERLEAQNNMFGQ QVVQICEQLRQGSDSVHAREINLKRNKLTINQLFAILEQIERNTHLNKLILDENTFIGEN NICICNYLVYNNHLEYLSLRNCSLTQNFIEQLASGLCRNGSLKTLDISKNIIGDQGVLAL VQGFQGSCNLKSIIMKRCGMTDLSGLELFKTFLKSNIQEYDFSNNLMGDQTAMYAIQLIK KNKDIIKMNFKKNLNSYETNNQIEKLLLENIQDRKRNVIPHLRHKIQGMTNYREQQDYVD KKKGTAFWSKRFKLKRILILCQQGAKERTQTVEERMIKLREEAQQLTVQQLNLDKFLWNQ IAVIEDEQKVYADQLKNENALIVNLNKQIQEAQSKQKSIVQIYKQQMENLKLQDGIQKRK LDQQQQEYNSILSQLEFVKKQQKTTIKK >CAK56787 pep:novel supercontig:GCA_000165425.1:CT867988:157165:157736:-1 gene:GSPATT00027819001 transcript:CAK56787 MNTPKSQFYQASSTGRFQFKFKPSVEIGGFPSLTPCHSDKSASKLDWFGGPSDLLGNPYF ILQLEKQKKHCTSKESLHHEDLSIGKRTFIQANKETDGLVSLEDIMQRKSRVPTLQKKRN LQDFLFNLSIPLHYLGDKNYPYPEIDSNFYKQNDGLVAGSNIKSRIRHPYKVSKKFHG >CAK56788 pep:novel supercontig:GCA_000165425.1:CT867988:158619:161829:1 gene:GSPATT00027820001 transcript:CAK56788 MHLFSHDEINLLEAAQDGKLEFINKQLISLTSKQLQDACKKTDYYGRNALHYVNGLLKQA AYRGHYDVVEYFLDLQRNRNYEQGNTALMLACVRGYNQDISTLKQGSKFAICALLLDRGA SLQEFKKHGINNPLHWTCYFGDLKTTKLLMSVEPSLMMYSNDKDQFPVDLALMAGKELDD RVEDEKVLEFMIMKFLAQNLDNDEHKRRLELSSDEHESYRQLQYINLNFKSTKQLTQVGL RYLFWASTLGRLDLVEPLINQRYSPFEPSYKGRSALHAAVYHNRKELVEYYLESEAVKEF RTENVINRMTKDKPQTALHVAVEKAHIDIVKTLIKKGADPNQYNFRNQRAFDQSRLIEIK HLKRQFMNHLQKNYLRSGYNHVLVGWERSKNQLLEQQFYNIQQKKNVEKGMFKYLPIESI DKQYTYYCLKVDEKLKNLIADETKMMIYNSREGYLCPFNITIQEEFENFHHVHDQQILQT LLYDEFDIDQFINDGLLIEQYPVHDEEEKELILKFWRNERFHILFEPFQLKKSQNRTFSA LSSYFGAEVGFFFVFLCFFTTWLFLPAIPGLLIGIFDFVGEDSVYAITPIYTLCMAVWAT IFFEFWKRKQSETMYNFDMHVAKEQRRRIPQYKGAFIIEDVTHTIEIMDTRNVQWKYFKS NTPLVFLAIIIIGGEQFGYYYLKQINEGDQLYDSLWACVLAFSILFTNEIFSILAKHTLV YENHQYQDERENVYILKVFAFTFLNSFGRLFYRSIIKPDSEELDLLSMSFTITWSVVHLI RYTLYPLISFTFIRIKFKWDFNKYKQSNSKQIAAVQRVSIYDTETSAINKQSDKGQSSIY FLSQLELNRRMIDPPNHVEQFTYFIIQFCMATMFSAGSQIIPIAILIFNILNIEGLLYGY RKFVKRPLATPKKSIGVWNDILQLVGYIGIVSNCLSIYQANQKQLNSLIGEDSNSSNDSN NLGLRNFLFLIVAEHIVIGIKFVIEGVIPDEPEWVEMVLKREEFQSEKNKLKQGEHSKTY EIKTKKE >CAK56789 pep:novel supercontig:GCA_000165425.1:CT867988:161863:163572:1 gene:GSPATT00027821001 transcript:CAK56789 MNSKTNLRQMISKRTPLNERVNRNTYDQDVKSTNKSFTSGKKQSANKINSIISDMLSKRQ SSQDIQNPKASLIRLDTSNQNTSQRSISPTKLYQMPESLKKIYNQIHQKFADSSNSKNFT RPKSKLLKQRTEESKFSTQCTPTSDYTEQYLTNLVNQEECVFTILAVVTKQRKVVKLCQN FLNAIPQSQGDCKDIIQQKIVCKQMLLERMGIFVIMLQALTQNYEDELQHIKNLLLYIHN GMVIYLEFLIKQNLTEDQKHILQNRLSKIKQNRRANKAVQDITQLKKHANVVHSLLILFI ENSSDLKCARLEKLLQNIDQISLQQGTMLIKQQFEKVICEINKMRQTIDTNETEKTLEQE EIEKHLELKIPYLPKFNGYTLVIDLDETLVHYQELVDDGQFLVRPYAEQFLIEMSKYYEI VIFTAALQDYADFILDLIDKDNVISHRLYRQHTTQIDGTHVKDLTFVGRDLNTTIIIDNM AENFQHQPENGICIQSWYGDEDDRALYQLAPILSQIVIKKCKDIRNALRCLRNQMLENIE NGVEDPHLHLSLN >CAK56790 pep:novel supercontig:GCA_000165425.1:CT867988:163641:164938:1 gene:GSPATT00027822001 transcript:CAK56790 MNLLFCLFFYVLFVQSLIKEFQAIHDFLLIEIFSDEWPDMKIEVAENQLIMGEIAQESTQ YLSMYYKLKIGVQYKLDIFVLPDSNVTIQEVPQRNCPNNCSNQGICQNLQCHCQSMAAGY KMLIYKCRDRCQFEVAQLYNMTQYSANLKPHQTQIIGVFYQQSQMIDDQNQTRNFQIQSS SSINSQIFLLYPFQSAYSNMVDSKLIYEGQLKSTPQEIDYSSKFQRYHIEASYFTFLLVL KNMNSQKQDVVLKYQLLDNDQKFQDFILVAILSVISFIILLIIIYVVRRRCQFQQQSKIK ENQEEDFSDNIAIQFMPIVNPVKDQVCVICLDPLDDRLCRQTPCKHIMHDNCLKQWIQKQ LSCPMCRETFNDVEYIPRVFKSRNQTSNTLILSHQGQSIVQSERNSVRSMIITRTRQSRL AVFQ >CAK56791 pep:novel supercontig:GCA_000165425.1:CT867988:164969:165702:1 gene:GSPATT00027823001 transcript:CAK56791 MPPKVDPNEVRLINIKVFGGEGGPASTLAPKLGPLGLNPKQVGDKIIAESGKWKGIRVMV NLRCQNRNADVTVIPTSSALLIKEIGGYERDRKKTKNVKHNGNLTLEQVIKVARAVEEKS LAKTFTGTVKQVLGTAQSLGATVDGQPVKAIIGKINSGELKVEK >CAK56792 pep:novel supercontig:GCA_000165425.1:CT867988:166057:166629:-1 gene:GSPATT00027824001 transcript:CAK56792 MITGHNASTIKAIYQVYKKQGRINKKVKRDKVLNSTFQFMLFVADDPHANLSKISVEQYE FQKQIAEEQQMNLSNSHEQAITRILEQNKLKIMNLLDNSTALDTFNQEMKMISSQDHPSQ QFSTLNLSCFSCNEKNQPSFLMNKNTQFLPDLISENQEYVEDDGKNKLKILKKLNEQYRQ MKM >CAK56793 pep:novel supercontig:GCA_000165425.1:CT867988:167699:168117:-1 gene:GSPATT00027825001 transcript:CAK56793 MLKILLKSYINQFAHLLLKRGSEYLHFQIINFKNVKSKFSEINNNKLLYLSIVKVYHIKV SLQFIIL >CAK56794 pep:novel supercontig:GCA_000165425.1:CT867988:168916:170006:-1 gene:GSPATT00027826001 transcript:CAK56794 MLRSIQQGFSNLAKANPLKNGFRFENQNLTWTFGEFDTHSSAFAYGLIEQGWKQGDKLLF LLGRSNTSEAAAAFVGAAKAGVTVIPFRSNDQNEIENTIGVVNPKGIVFSPNQLIGETKF IEVLNNLVPETSQTQSGQILKSSKFSNLKWLIHTGFYSYPGTYKFRESLVYASRNFNRLS LPSSTGPITAVIKNGQLQSYSYQDLVKLSEKVSGSQHVIISGDPQTVTNFSIVVGGLERG YNTVFTGGDNLNKVQKILQYYGNYSLVVDDSVLGEHQKLDVNNLQNLFTTGDVNKAQNIF QKQAVQL >CAK56795 pep:novel supercontig:GCA_000165425.1:CT867988:170898:175650:1 gene:GSPATT00027827001 transcript:CAK56795 MKRFLIKIKAFYIKDFLYIKESRRTTYKLAVVLIVFENLQMLSIYTHNLNTIQYEQFPNE VKSMMNYFKQIKQSLYKGIHFICKLYYFQNYKCDYWIFNKIVIDGQNSFFSITSQLFLIY HQHKQQKQIYQKKSHYHFEECWLSTLLSWYFQINLEVLQIPLLFCAVSLITDLISLSTSY FYIDNLTGFEDFGLLLSFILIIQQLLLGTLLHVHQFEYRIKHYDFLGLKKSVNNEILYLL KLFMIFLSGSISNAVLIQTLGLIINSIQTLFSYKQLTYIDHRVLNISFQINSLILLYQLA LILCQFGQYQIKISLSLLILLFYPLAIFLLHQLSIRQEQRNDFKDQQDFLKRMYWNAKLQ VQFKKRQQHQDQQRLFQIYTIVRTHLQTCKQIRMRKILKKTNYTQKCFCSSFQNQNSIQN IEQCKEFLKLWLGQVIEDELKEDGAIQKTSTYICYLNDIKKAPISAIYEVIRISNVEKLP WRFQQIIHQLQQNSLQRFNKLIQKQNLVNQIFDFKKACLFEESLKVLKLNFFLIVKQEIE FYQVLFSPIINAKDIQDKGLALLANINHLENQIQYIFRTNPQNGECDTIYKLFQKYINYN KKRKQQYRRDGQLTAEFIQSVDKIIYDQHSCVVQITLLQPRGDVIRYTRSFQQLIQFKDD EILNQNIKKFIPSIIANGHDQYLNNFVETGRINVLKRELRIILVKQKSEFVIPINTRLRI EVNPLEFGAQALMTSVNQTYGYLMLNEQGQVEEITKNIYEDTFQQYLGLDLDTVKGLDFL LLIPELSKIWQNLFDENFDKLDLYQEGELVIPIIEKQTHSQIFSQKYGNKQQYNKRLGDY MKKFPENAIKTQINFHLTSLTTINLRVVIVEIPEYRQTINKQYQNSLKLIQVKPSKSQNN VIYQSELLSHKSPLYTPAPSKTLMNECDLEYDYLIEEKRMIEEFRNQLASLTRNNSIQNT YLKAPIIQEQQCQISTDIKIIMPQNITSRKGDEFEQKQHQQQHFLKSIDTKSSQNNNNTI KQQIKSCLKNNKKLNFRIKSFLLIFYSLLLTGFILNFCILLINFEKVDKNVNFEDLPYQL SYYYNEFVISKVYQSQNEFNFKSLLQVSINYYQQHQKYIDEILSLMPSINIINEQTVKRR TTNLLQQMSEAYHSNSSITGDDFFNNTQAFHILLDKFAGFNSLLQIQSLLNLFIFEEVII FLLLICFAYFYISILKMKASFYKLFCTFSRDFMKDQFIQFQALHNQINQSKFKTSETNEE SFEASMISQYQKNSRYGNLENHVRIGKQIQTPQISLKLYIFTFTIFIFFSFYSLYYFGTY FMYKQVLSSIELYDSKRIYYENIANDLIFAYAQQAFYYNKTITQEMIQYEDKVLGEFKQR ISQISQFQDQSNLLINRILLDEVCIVYQEGMQSINMYLVFYWILIIRIYLPLNSVNLYLH YQKVQFLWFKSYFKTTMALQRILIPNIKMKLLHFPIYSQLIHKQRGYIHNLVFKLLQKFQ EKMLNISYPQQFIQIYQLLLQQLFLLL >CAK56796 pep:novel supercontig:GCA_000165425.1:CT867988:176144:176760:1 gene:GSPATT00027828001 transcript:CAK56796 MSSDSDQHKKEEANRIYVTGYSTKESEMDIKSIFARHGEIQEFSWKGRFCFIAYTKPEDA ADAVRLMNQQEVNGRNLIVELARAKKKDGACYQCGKQGHFARNCRLNRRSYSDSRRHSKK KKKHRKHRSSSSSSSSEKKKSKKRKRKSKSSSSSSHSRSSD >CAK56797 pep:novel supercontig:GCA_000165425.1:CT867988:176767:179196:-1 gene:GSPATT00027829001 transcript:CAK56797 MFQTTSSINSQQSPNRFKFKSKVSFFNDNMVPATTTKITMQYKELLRNMLASLQEKASFA NLSEFDSLKSNQIAVSIQSCYNPKFKSTGVDETKEAFYDLLDEIKQTINRKFPFIKLFRQ QINHPDHYLQIQLFQQDVHTVVLYSRQFSKEQITPKLIGDLVWLHLKKFNLTVNVDCPIK HVVPVCEDGMQKSILEGLQVTVRQREAPTILQSAQTPTKQIVIRTSFCQQASSGQKSASK LDIYKSTENIRDMEKSVRVYFSPTKSQAWMTQTNVSQIDLSGQKQKVQSPEKKFTVKLFS QTIPITCFEEAKFIDLVQQTNSYEIEISGNCIQTTKSQLNVDELLDENTDTVYVSTRVER TDLIKCIVKAPEDATINITKNITETLTYDANEQAFIFYGRDKQEYVIDVSKKDCFSQKRT IFLQGNTDVKIVFDLVSCVETTLQFRVYNILLKSGQHKDIENCRLEVFQSDQADQEPIIG ITNEQGLFNCPGLMFKQVRVHAQRKGFLQVSYDFDVLANSTGQILNIPMIPDYYSLINQY HILIYVPNKNNFQLDYSMICPDGVKIDSKNRAHNVVKSKLEINRINQSTMLWNFSVHVQS LDPFLSDNCYQFFINNQKPKRKLLCQEPLSPQCKENNGTTSSKASCFKTVNLNDMFLKTR VMQTEIKTFVRQESDVIAQKINNFCAEDSVRIFVTFGHKVLETFMIQSNLLMQNEKCFGV IDLDKRLFIRDNDTTQQNIKRNLSKMPTFKKDMKNTNEDRTMTTILSHITNQRFQE >CAK56798 pep:novel supercontig:GCA_000165425.1:CT867988:180018:180779:1 gene:GSPATT00027830001 transcript:CAK56798 MKEPQKNSILKLWPHYQKILKSSKYSQWKQNDLICKRSMFYHTAIAFSAFYVLIRLIVEI IKIENMMNIFQLTLFIVLEIIITCIYTFCKKIQIYFLAQYCLISVYILITVQNEEINKIY IFLYMILVNSHSNLIIKIFLYLYFIFTVIFFYKFEPLEICLICSTAFIHNYQLESHFIAN YIKQLKLLSIIEQMPSAVCIVDQNTKQITYSNSLFEKLAQTLQVTDELAQSINNQSKEQQ QVDFIENSKFLLN >CAK56799 pep:novel supercontig:GCA_000165425.1:CT867988:180915:182767:1 gene:GSPATT00027831001 transcript:CAK56799 MCPNSASLKKKSKDIFSDINTNDYLLNSSRKSYVTTIHLQIQISHQPKSFILSAKTKRRK KSSNNMNLSKQSSRNLGQFTKVQEIQNSIYCENLKLSPNHTETMNHNLVEHSEQYKFIHD GFGQQSCTNHKVRLMVNLIQINDVLNEKQDYQIYCINELSPLLLQYTVKKLEQAKKTIMR SLSHELRTSLNAVNGYISEAYERIDNIQQLNKNLELSLKYITLMQLKLQDFFDYRDILED QFELKIEKFDLNSAINFCVDLIKVQCYEKKLNLNLEMPSETIIAIGDKNRFQQVLINLLT NGIKFTSQGGITIQVKEVKEIKQQQLVQIRIIDSGIGMKEELKGILNKKVYQDFIQFLSV DDDPKISKDSVGIGLGLSVCQYIIKAMGPQGLNSLFLESIIGGGSQFYFFLNYDAIQKYH NDEDIPESENKELTQVRLSPTKRSLLKRNATFPNTDCNSNDILIVDDEHFNLDILSNIIR NLNSHHKKYEITMAFNGYQALEKIQQKRIQNCCCLGFRAILMDINMPVMNGWDCVKQIRI FEDQYQVQRKIPIIAITGYGGKKDEEKCLKYGFDCVSTKPTNKQKILKIFQEYKI >CAK56800 pep:novel supercontig:GCA_000165425.1:CT867988:182940:185101:-1 gene:GSPATT00027832001 transcript:CAK56800 MLSNLIKKADLLVDPYEFNISHKEKSLTPIGFVLWLLIGGFLSILLLVFTSFYVVGVFQR SIQNQNAIYAGTQSDQSAQFILSNDNTHFAMEISKLDGTILTNNTDVKNYFTLSAQYIQQ QRVEGKIGFTRNYSDLNQSKCSQESIQKFNFNSASMTEEQQQSLLCFNGNFTLQGQFYEP YFAYIKLTLSVCNNKTSSSCKSSKEIEDFINQGVNVDMFIRGSKIKQSLNQTNPADIFSN NIFWRLTTGIGDNEDIYMQPLQMDLRKIQYFSGLFNVEDTEILYNSSVVQRQERRQEPIT IASGSALCTFYLRYIVRIHCNRASSDTSYYFVNQQDLPSIILSAVSEATALCLAVMQAIK IVYKFYNHHKAFEILMNSVFKFDFKAVQKRMQSNINQTLAPNRLNSSKNQKIQLQQILDY TINYSFHKYLLYRIRKLVKICFGKCFQISEEKELVISQIAKSKIELDLDLTNILKKLYEI DCLKLFLFDDDQLVLFNTFSSPVFQDQMTDQKELFDFLKATVKQNRSINPLQQHSNSQAK QDNQNLYQYAATSTIPINVRLAKLARFFKAQLGAENAEDLVENFQKINQNKNQNWQINQQ LMQFAMQNQSLFRLVQQNYMTFEIEQNQENQKQQMENYKLDVPDEFDEAKNNSEAICSKE SFKDLEINQSPDSIQNRLQ >CAK56801 pep:novel supercontig:GCA_000165425.1:CT867988:185352:187888:-1 gene:GSPATT00027833001 transcript:CAK56801 MQQQTIQDIETQANQLLESVYQRKSDMITTYSKLKRQEEALDLGFASVGFPDESMDCEAV YEILQPGFIFEVIVSKKNIAKGRLDVILQKFLAFSDKCITSQHQVRQADFQQFKRQKHLL SQLTQINVMRMKGVIYEQDVIEDFSIFTYYINFEKIQVGDHLNAVLIDKTKMTMSLKNKS ILGGKQWSLKSLQNPSEFDSWLYFRLGYAKQIGVNFQYQMMSEEEKQKTQEQINANLKFK EETGILKMDYQIEQDGFFPNKQNYPFMMQSLGLSQNTSFTNRNNIKSFMKINLDHRRRLY QIIYYFQTFKSICLARCPIDLRSQAEESKQQLKNNNIIIFTIINSSYQLNLLNSNQINYF IIHYASQVFKFFCFRQESINTYRIIQSISKEPWANFQKQNFSQLSMPPWYNQTSNQYLNF KYISFIIPKGIFQEKVTFILQSNSAINTTFINDQQDIILKFQLGNRMLSIITLDRSQKEQ KEDDQNMNVYLQQPYPYQQQQKLKIDFKLEDTDEIEVNGVDNIVTILTKGQIKQLKSEQT KKQIQILHLDIANNKPEGQTVQIQFKFLKEWYLKLGNNLLTAKKALQEFFKSLQIPKTKF TAFDNQPPGPDQPNIHLQPDLNKKPSIKPEGKQETEKIQEEVEQSNPIPEKDLQNLFPLV SEEFVQRQRESLEKKNCTAEEKIKRLFEEVRIKQYKQQSYSAEKNFFKCPYHKCQKGYKR PNQLKNHIKQNHQKLSEIGFTIDDNGEYKYNERILDYCLLLWKVYPNFVKSVINEMRQRK EQPSA >CAK56802 pep:novel supercontig:GCA_000165425.1:CT867988:187933:188358:-1 gene:GSPATT00027834001 transcript:CAK56802 MGACSSSKPIAKQSNQASNRQIQEDPVISVINYIVDGPAQVEIENSKKEDLNQDNLDQDN QYQENEQSDIDHLEINEEDIHKCRDEEILLDNTDDTIQKPADTFNFINTGQTLMQHRNQS DVKVLQKQRIFQVGKENMLLS >CAK56803 pep:novel supercontig:GCA_000165425.1:CT867988:188416:191205:-1 gene:GSPATT00027835001 transcript:CAK56803 MLRKIILLVLVIQIRGDTVLDKGCTCSEIQNETDCKRIQCKYENGQCKDREQETYCKLAS TIAKCPVQGCALYENQCQMFAGCTAYLGKTFDACNNIFDMCTSDGERCVPLSTCDTYLTK TSCYIDSAQQYCYYDESDAAKPQCKTVTACKNLPTTLKTNQECRSKLSNCTVNETNSGCV DSGKNCSDQKTKSQCVTNLDQSMECKWNETTSTCYDYTCANGNGKTVDDCQNYKDNCVLA ETSEGISNTCKDKDECVNYKFNDTCKIGVQGNCLWLVTQVEGKDVGKCVDYICTQASDDY TNDQLCSKFLATCTIDDDNLGCKTRETECSSYQYVTQCVSTIEGHQCYWNKSKQLCVNYD CDNAQVDTYTSENCNKFLSICTANAGQTKCVKKQCTDALTSQLCTKLGTCIWQDSKCVSF TCANAPTSMTTDDACNKYLDKCYTTGAGCSSSGTCTDMKTEPACKTDSLKQKCLWLSSAC KVKTCSDLVYISHSECNDQLDTCTSDGTKCIAQAAKCSDYKLSLSCVISKEGPCLWIDSQ CFLFLDCTSLPGTTHQFCNLANSKCTTDGTKCVPITSCAKTQQTGCYIGTDGDCVRNLDK SNNTICEKFTKCTQMIFTTHFQCYREKKTCTVNSDKKTCMDLSSSCSTYTIQDNCQITTD NKFCQWDTTTLKCRDQKCTDIIKTTHGDCQLANVKCTTDTSKCIDIQKCDGYTISDLCKY GSDGICIYDTVNSKCRLKVCTDITDVKQCTTLANCLADTSSCVAKSTCASYKTENSCGFD GTDGVCTWNNSACSVMTKCEDANSFEKGCKKKSDICKWTPKPSNGGSSSCKPYTCQSKNS GSTCLPLVAFSETEYQVCAEIQLTCQSANISDLTEDTCFINSAKNYYWDKTTNKCLACNG TTVTNTTVIENSYSWMLGTICLVIAFLQY >CAK56804 pep:novel supercontig:GCA_000165425.1:CT867988:192884:194090:1 gene:GSPATT00027836001 transcript:CAK56804 MQKLTRINSCIHHFKCIRKMKMQTQTFILQSFIFVLVFLIVISSQMINKFMMDDIIEKLT KEIEIKTNLKQINIQSQFIKYQAHHPLEYGFKFLQSFNKLNQLTLIDQKTSINLLLGCPK DEKSLNIYEKIPQFCYILTKSNTITSDKILINHIVGYQALLNSLFLPISLSDFQKTLFFT FLSDEEYFAILPSKIIPAVYLPSERPWYQEQIQKVENTQQNDTISISSIYQNFETQKYEF TITKGLTDQEQNLCGIFGIDQTLEHYKELLLYDDLNVILVDLEGRMLLSNLMIKQDIDLS KEKKYIYDSDATGFDENDWNQIVNEAQKQGQGNIECGDNGVKQFCRYNTFFKQDLIIIVI NISSQFYLLVQKFGSYYYKCNQGQIATGLNNV >CAK56805 pep:novel supercontig:GCA_000165425.1:CT867988:194197:194529:1 gene:GSPATT00027837001 transcript:CAK56805 MISTQMVSKFTIHYDHFFSSNRKKNQLAFFSESFNVFKQKLLDFTQSKSQDCYIIENFKY PRQQQIIVQELTSFIKFQLIVIIISYEIERSNKQSAQLKYPNHLIPKTIL >CAK56806 pep:novel supercontig:GCA_000165425.1:CT867988:195072:197481:-1 gene:GSPATT00027838001 transcript:CAK56806 MDPKQRKKSQEEIVQIPQRKAQRVAGIQQSSGGQHIYETYVQCQKQQQRSDIIFIVMCLK LHFVFSFLNDAQLNHLASQMFYCKLQKGQSIIKQGDGANAFFILEKGKIQVFINGQAKKQ LISGNGLGELALLYDAPRSATCTALEDCNLWGIDRATFRKTVEQIMKSEYERNRKYLENA TFFNHLTKEQKDAIGGVLISQKFQTNQIIVNKGDQADSLFIIAEGKVGVYTDDGLLIRTL SKGEYFGENALMQDNCVRGLTVKAIEESRLLALGRETLTKILGDGVQMIIYKNQCKWILQ QSKINLIIQIDQFLDLLTIRKLKKGDIIINKGQLHGELIIVIDGKVSDSNQNSVCEKGKI LLDKTVESNGTHDQDYFMLEDGIIAAIDYNIVKKQFGEQQQILEVYTQQANNQVNKVKDY SLEDLNYLKTLGCGQFGMVYLCQFKNEQSLFALKIMTRANIKQFGIAKHVANERRVQSIL DHPLIMRFFRSFKDDNNIYLLNEYIPGIELFDAIREIGLLNKNDSQFYISQMILQTEYLH TVHQVMYRDYKPENLMVDDTGYLKLIDFGTAKLNQPGQKTFTIIGTPHYMAPEVISGKGY NQMVDLWSVGVILYEFVCGGLPFGEDAEDPFEIYKEITKKPLSYPSYMSDKSAKIFIEQL LNRIPELRLGGSYQTLKQHAWFKDFQWDVLITKKLKPVYKPSANKIITNAQVQLMKRLPL FEQMLKDAQYFKKQQPNPKDSEWDNEF >CAK56807 pep:novel supercontig:GCA_000165425.1:CT867988:197640:198151:-1 gene:GSPATT00027839001 transcript:CAK56807 MFSIQNKYTINKITNNNMQKESFKKQYSKEQRLKESENILKHYQDRVPIIIEKDKNSRLP DLDVQKYLFLSNFRVFQLNTLIRSKLNLNKAEAVYLFVNNKVALRGGTIHIKEVYDKYQD DDKFLYIQYCEYNTFGL >CAK56808 pep:novel supercontig:GCA_000165425.1:CT867988:198406:199033:-1 gene:GSPATT00027840001 transcript:CAK56808 MNIINQLLAGTNLFRLNFQMSNNKNLNNAVNDKQDQLNLLQNTLFSNMLIASQMQNLEKQ TNQQQVPVVAKSKKISKRDNNCGHPDKEHYAKGMCSNCYHKYGRTKKPWICGHQKLYAQG LCQNCYINKYNQKRREAWVGDDKPKSEEQKQEI >CAK56809 pep:novel supercontig:GCA_000165425.1:CT867988:199688:201375:1 gene:GSPATT00027841001 transcript:CAK56809 MNQQFKWLKQRPTKGLAFPTSREGATLTYIPKYQSVVLFGGICNGRLNEIMIFDIQKDEW KVQQTQGRQPSPRCYHSGFYDESQNVIYYYGGQADKGRSLTDFYCLSFQNFVWKRLFLLE SPPNRHNHTMCDLPGMEKIIFGGACLPEDLMYNDVWIFNYSAIQFTNQQEIPGAVATKKN CKGEHPAPRQGHGAVVYQNNMFVFGGKCSDETTQLYKLSLDNYQWKRILHLGKAPGTRAF FSTSLIKDNVIIFGGIDNVANKVLNETYLLNLTDYHWSSPFTAGPIPSPRYSHCSCQIED IILIMGGIEQTYCSMDMYFLSQGSINQNAEWEQLKEPTELEKQTNDAANQIIMEGKMYLN QIEEAMMKERQKITDLQKEVQNLQEEADNLDTHIKKQTAIVMGEHQKASQNNKNKEIAIE TIFLMIKQEQTLTKQLNYKCQQLEECIQNSYPLLHTLDQFYQSIRQIQGSDPADLKVKKM ADQFANDIQKAKEAQLESLSNIYAVYQKFTALSNRNEVELNQWRDNVKDMNNDFEQLIFE TEHD >CAK56810 pep:novel supercontig:GCA_000165425.1:CT867988:201410:202437:-1 gene:GSPATT00027842001 transcript:CAK56810 MKIRNKLLKPFFLIVVLLLLYVYMKPRPKKFDLDEDLQPTLSQGRGITREHAIHFYEVFL NILLNKHNKFAEHAENVYLINYLIRNDVKWRVTETMLFQLFDVTGNNYISKSEYESFADM IEDVGDRQKLDSLRMLIDRNIDTKIMRTEWINFCNKVLRPKMIQDLQEWEKFHGALSNPY NITAQFTAINRDERNHRDLIHLQLDRGLQMLHDGFKTFVKHYEEQTKHTKNDKDLFVRKI GFWILERFERWDGLFNWIYQLLDDDGDGQLTPKECAAQLNTFAVSMVHVREIFEYMDEDG DGILLRKEWADFSETFRKLLSGYFNQK >CAK56811 pep:novel supercontig:GCA_000165425.1:CT867988:202519:202785:-1 gene:GSPATT00027843001 transcript:CAK56811 MQYINYLKELIGNYFQPKEEVVFIEEKVESIEFDRDVEIIQYRFQKKINKETQTYKRISK FKWVILKRLVLKYKNGRQKNQYNRDFLK >CAK56812 pep:novel supercontig:GCA_000165425.1:CT867988:202794:203499:-1 gene:GSPATT00027844001 transcript:CAK56812 MESFIDLSQLEPIPYKNTIAVLNEYMLQNIDFLNKFGHFNENKLFEMEVVLDEIESKVLL LEKKLESIPPEFYNGLVVPPLQQQLQVAQPQAVEPQNNQNNAIPPPPPPPPPPPQNYQQI VPNQEASYEAAAAPPQFEQQIQQPEQVQEEQVQQEEVEDERLEKYKKLLSYGVNHQQLKM KMQMEGLDPNLLDKYLT >CAK56813 pep:novel supercontig:GCA_000165425.1:CT867988:203831:204814:-1 gene:GSPATT00027845001 transcript:CAK56813 MGQQCCQTCNKATDEEKREILVPDQIIAQTVSIYNENSSSEFKQSIILLSKDEQYEEQPE NAFPIESIKIPKQIEEYLSTLPDFNYQMNIRGQYLGAFLLKDNSQYDGEWHKSMRHGKGR CVFPNLVYYEGSWKEDKIDGYGRLIHPEHEYYEGQFTNGLKNGKGRVTQNNGAYFEGEFV YDKKHGYGNEVWPDGQQYEGQYFNGSKEGRGIFTWANGDTYEGEFKDNKMEGIGIFKWKD KEYEGEWKDSKMNGQGEFRWNDGRKYIGSYKNDKKDGYGEFYWPDGKFYKGQWKDGKQHG IALYKGKDMPNERQCYFEEGKRTKWIE >CAK56814 pep:novel supercontig:GCA_000165425.1:CT867988:204836:205682:-1 gene:GSPATT00027846001 transcript:CAK56814 MKYSNNPQFQSIEKARRESPSTDRLKVLTEKWSNLKQGLDKEKNDKKELVEQHIQRIESL LNQERPKEEQKFKTLKDHLLKLQDQVYQEKNDRELFDELKQKDLRNFEEQLTQQVEDEKS NKRKLELQLLKQIEERFNSLNSNLQQNNGNCKDKTNKLLQSITLQMSELKYLLEGETQNR EDSQNNLIEQIDNQVNAFQDMLHVERQIRDETQKKIFNMIEDIHQRIQTELRSEKQQRES MADQLLRLLEDTCVRIDEQFNN >CAK56815 pep:novel supercontig:GCA_000165425.1:CT867988:205711:206149:-1 gene:GSPATT00027847001 transcript:CAK56815 MAITFLLVIIFLVALLFMAPNFITKIIRQPRQQEQTNSKLTQMQILNQLFDQKANQNSKS KGCITLRGEIFNEEQQEIISQLTSICDVICLSRTDQPNLPKGMLDAVQQQDHSNLNYILM INNMKIYIILLKSYHGQ >CAK56816 pep:novel supercontig:GCA_000165425.1:CT867988:206807:207933:1 gene:GSPATT00027848001 transcript:CAK56816 MKNKNINVAPDLNQMLQKKVKLCEDSQCTTLFNENSNSVESFPTLSKIASKYLSRPSLRE RFQLINETGNLQASKYYSLIQILSAIDTQLLFLKQRRESLFWPNIVKSCYDSTQLQVTTR QLLEILAVWQQSYVINWEKFEKQNGNYELLFKFPDKQLPNSQELNSRKEQFKEKLETYLQ INGDYIEPYKLPQKPSLCNPNIKQETNQQVKNDSVQFSQFINISRIFQDKETSNESKPLS NVSQKLIDKLRERKLQEKQKQEESQDDKQLSSKKQQVLIATMLHQYYQQRDVSNMFFSNV LKYIHERNSNVLMSNEQIKTMIINLTQSVDNWLQLIDNSGGQILRLNKEIDLPNIINQLQ >CAK56817 pep:novel supercontig:GCA_000165425.1:CT867988:208066:208411:1 gene:GSPATT00027849001 transcript:CAK56817 MDSTRFGYIYDNSDAVSQTQSWSTDDASGRSSVQDLTEEINNNLENRICQYLSDLCIETF TSPTLKEDNYNHFLKQRIFKSFDAFVPNKENSILLKKRKQHIKLGE >CAK56818 pep:novel supercontig:GCA_000165425.1:CT867988:208949:209401:-1 gene:GSPATT00027850001 transcript:CAK56818 MLNSKKQIKLQLQREQKPFPNLHVIHIDENLQQLCKLIENQQSFKTDIQQQTKKVLKTSK TIITTNKQSSLIKFIIQNKAKIFQQKENYQQSAIFKSLIIKQSQPLTKPFMGSCREKKIY SVQYPRKLSTIQNKINNSFQDIQIGPWEEE >CAK56819 pep:novel supercontig:GCA_000165425.1:CT867988:209809:210829:1 gene:GSPATT00027851001 transcript:CAK56819 MAQITNKILTLSLILVYASAQIQLNTSTENSVEKYLITSTEQLVVIGFEGQQEVRDYIFC RLKECVCEDMISIGQRSHPKLNQIQSTVNVECFDENKIQFERKENGYQPYVWNNKPQEVE SRPYQSQKRITQANTVAYTDSDMTSSITVGSQLELKWKFNTDDTIEMCVCLNKKAWVGIG FGSGMNGVDMFAINIIDGAAELLDLYSTREDTPPTDATQDISLISSSITDSAVKARIKRK LNTGDSKDAVLAKGSTYTWSYASSSSLVMEDHKNNVGEFKITLSESGSQQVSQGYLLQLV FGLIALNLII >CAK56820 pep:novel supercontig:GCA_000165425.1:CT867988:211062:212048:1 gene:GSPATT00027852001 transcript:CAK56820 MKRQNSANGTGSPSRYFSTTQNQFTDILKQITQNCNNKPVKKALSPNQNGQLQKRIKTQT SCSKLANQYNFNKKASSSVPKNRIEYFTIQEQIGSGKFGKVYKCILNSTKKVYAIKMIDK QQLKKNQMNQQLQREVTIQQMLRHPNIIQLTEFFETTTNYCLVMEYANGGTLFQSLMRQN NKRYSEPAASNMIKQVALAIQQMQKQSIIHRDLKPENILWCDGMLKISDFGWSIQDKKER DTLCGTIDYLPPEMVYGQSYDNSIDLWSLGVLTFELTTGKTPFQIQEGFALQFPDHLSGD VKDLMKGLLTDKKNRKSIDWVLNHVWLC >CAK56821 pep:novel supercontig:GCA_000165425.1:CT867988:212263:212854:1 gene:GSPATT00027853001 transcript:CAK56821 MYHQEMFLTTKSFDRFAPAFMRRSYLFFLECMYTNFHLLSYVNTPEYTIYANRYQHDPRY IDYSVELPKIKNQILELEVGWYIENLTNYLEIENKEECPSYLNLFQSKIQKSPSQAHSHQ SRIRTFRSYRCKNLLRQCQRFYKDIERYSWCLAGMVTLLNQEEQDPFQQNGIYQRWQNTR ITIQQTNPQQ >CAK56822 pep:novel supercontig:GCA_000165425.1:CT867988:213204:214168:1 gene:GSPATT00027854001 transcript:CAK56822 MTTNWQENHVPIKLKLNDDDDSFEEEIDRLRQQKEMQQKLKQQQAAIYDHNKKVMKQSTQ AEQQRQQIVKDILPKHKGLQLAYDYDGTFMLAKDFTQVKNNQRAPEIRKITQVDTVFQPQ KPVQQAVIENEKVKKEQLRQSKAKTNPPQIFEASIQKPFDGFTPNQGVKLIYQDQNQEIV RQQDIQNWGDGQRMTKKQFEALKNEGFKQTTYSMKQQMLQKINSDIVEQQEPKNTPIIAE QTNQINELKISQSYTNLKQPQTQKTIQKIPQTAQNRKQGSKINVVDKQLFDQLLS >CAK56823 pep:novel supercontig:GCA_000165425.1:CT867988:214785:215405:-1 gene:GSPATT00027855001 transcript:CAK56823 MNSDTDPAYTRVYHKYSLKYRRVIDKWIMHPGKRWGFCLLLLIYYISRLIETQSYFVVSY MLGIQIVYSFLRYYTPLGLPDIEDEDEDVDIQLPQHNDDRPLIRSMPEIQLWEQITSALI LSNLATYFVIFDLPVYWPFLFSYFILVTIITFKKYLKHMQKYGYSWGDFSKR >CAK56824 pep:novel supercontig:GCA_000165425.1:CT867988:215542:216974:-1 gene:GSPATT00027856001 transcript:CAK56824 MSTDFSVEDKLGLLENTIDYFWTQFAGIVVLLMQLGFAFLEGGCVRYKNMQSIMIKVYLN TCIAVICWWLVGYGICMGSGKFAGTDFYAGSNFAGTKHYSHWVLQTSVGAAANSIVSGGA VERMSIIGYCFLSVAFSSFIYPICAHWTWGGGWLQDLGYIDLAGSGTIHFMSALGALVLT VMLGARKNRWNEKYANEFNPSNTTYICLSTLSLYTCWIFFNAGSTTALSGTMAYAAGRAT TNTIIAGASGGLTLMVLHYYKNRNEKSKFSLVMICNGNLAGLVAVTGSCDGIELWAAFVI GILGGLTYWGFGILMHKFKVDDPVDAFPIHGGCGLIGAQFPGWFNTEKGIFYGHGAKQWG IQLLGTVVWLGWSVVLHAIVIFVLKILGLLNVTPEVEEKGLDYAQCGGGGFDYQLLPPIL STGGYENVKSAINQKQNNVPQFGQENDQQQVL >CAK56825 pep:novel supercontig:GCA_000165425.1:CT867988:217126:219748:1 gene:GSPATT00027857001 transcript:CAK56825 MGNCFVSKPKKEIQSEDQSPGKEALNLFKQKQKLKEDTITEQVKNGGTMLKQDSKHASDV IQGQDDHIKQRNEKKNKKFVNGILQKDEFVENVEKIEKDKKPHDYQVILNALGQHYFFAH ISDQHKQIFNYEPREDIVMKMFYCRVAGNEFVFQQNDQASAYFIIDQGVCEIIINGEVKK QLSTGDGFGELALLYGAPRSASVKTKDECFFWCIDRGTFRAAVEELVQKEYDENRKFIDS IKFFEWMTVDQKDMIAGAIIAQQFKKGQAIVNEGDMASSYFIIKSGMVKIMKGGNELRQM SAGDSFGEQALYQNSVRGASVIAVDENVCCLAIGRETITKILGDKIQVIMYNNLLRWSFE KNQLLCKLTKIQIEKIVTKIQTVNYEANQKIYTADQKCDKLVIVLEGKLCNKEKEIATKG QMVGDQFLPKKNRDKLVPYDIFLKEGGKVAQIPFEQFLECIGGELDQVIQKNENNHEVKY MQKQISKQQVDYLILDDMIHIKKLGQGQFGSVYLCKNKKDGKLYALKCIIKNQIVEQHLE NHLTQEKTVLEQVQFPLMMRFYKSMKDDNFIYFLIEYIKGLELFDVIRDIGLLNTYDSQF YIASLLLCMEYLHTHHIVYRDIKPENVMVDHHGYIRLIDMGTAKILKGKGRTFTIIGTPH YMAPEILNGKGYSYSVDLWSIGICLYEFMCGGVPFAEDAEDPYEIYEEITKKQISYPNHL KDRKAKKFIDQLLNKTPEIRLGGSYAALKANTWFDGLDWDKLMDKELKPPYIPPKDRLIG DKEIVTIEKQNKSIQSEIKNDLGDKNLYKKELAKDPNWDDKFN >CAK56826 pep:novel supercontig:GCA_000165425.1:CT867988:219876:220814:1 gene:GSPATT00027858001 transcript:CAK56826 MKSAVILVIGLLSVFTYLNYEENNSPVEFQSWKTKYGKSYTGEQEVFRFLNFQINLNKVN SHNSDETKTYKMRMNQFSDLSEEEFALLYLTHYNSDEIIEQQQITDDKESSIKKNDNIKT SVDWRSITQVKDQGKCGGCWAFGAVGAVEAWFQVKNKTQVVLSEQQLIDCDTQSFGCNGG YQNLALKYVANHGLNDANVYPYTQKQSSACQYNSGPYKTNGAQGVSSSNFKSLLTEYPLV VVVDASNWQLYGGGVFNECSKSVNHAVLAVGFDENDNWFIKNSWGTSWGEKGFMTLAPGN TCGITSYAYRAI >CAK56827 pep:novel supercontig:GCA_000165425.1:CT867988:220849:221340:1 gene:GSPATT00027859001 transcript:CAK56827 MFNQLNWREKNAIETLLSLHEESQNEIDYDKEMKRLFKPQENALIHNNSYIVQKPRSQLL KLICPKSNSNQHFEFRGRSLEKQSERIIVPDQYRPKYLLQQLKTQRNLRHVSEYRTINVP TIQIVQSLQTINLIERTGITQQKKLRKKDVQVPRFILKSRQKL >CAK56828 pep:novel supercontig:GCA_000165425.1:CT867988:221371:225235:-1 gene:GSPATT00027860001 transcript:CAK56828 MHKQYNFAGVDLLQEFPEQQSYFEQTQGYQNDFESSRQPVIPEVYQPTNLGKDLFITLQN EYRNTRKVTTYSDKALFDLWKVTARSQAGKPPPKKPGKEPENEIIRKQLEHKRNLHFYAQ ANEKDVQYTLKQFGEAEEQNANISKFIEERQSQLLQQKKNQEKKNKKMSKEVLNRLFPKP LIQQEDDLKTKEKKQREQFVEAVIQHYKAKNPDHKNFRYPDRLRQYWSEIQNNKPQGKGE DFDLYFQDSMEMINDQFYKFKGFYTDNVDDIIKIWKQTLQNSKQEIVTYESEENLKTLMT QYLQMKKDQEDVNLGLRKIEMEKSMKKKKTEINFQRVTEIAKPKDRLKVGKTLLDLKKMY PNDKILRKMLLQEFRDTKVAKYPLEYDVVDSDEENKQKMRHLSQKGGCVITLEEMEVPTK MKIEEIADLFEKHMEQYYSEKQTALRKEEQERKKKRQSEFKLNKYIEQMAKKYLELRKKR FEEKVPTINEYLSTMYKQMLISHKEATKENFPHLKYGESKKLRKKSFPSSLKRYFFGMMK SYVRRKYDYIKDETNRIGFWVPTNGKSMCVVHKDSLCPPGCTRQTLNQRVIGQSRLVKEA QLFADRPKTSVWDSDNYQSERCNIMMTFSDAKECTFQPAVCYRMPEKLKRVILQTHNWAQ NNVGKSGSLKHFLSQFGDNFKKVPSIYRFGIFKRSQVLYRKGDYRKSYQLLALSFNIRDL KLIFRDPEVKMKQPKPHPIFNAQNMGLDEDELKKVPHENLQLMEPEYQNKPEYKFLLEVY LFIKQMESYEDSLYQSQNNLKKMLKQDSRFYTSLQALSKSQSYDLRKLTVKDQMCPLGQD CPSIQTRWPNSNVSGVTPIGAECMFAHHPYELRFRQELRARKTALRGTLKAVTERLGGNL AIKAWNPAGNTMKLCIGCSEKALCSECAMKKKNIASLEILRRKAKKAYLKIKERPKFKER RKMEEKEAKNYNIKLSCLSRANALYNKERFSEAFEVIIKAIEIVKQEIEEQEKEFEVSQM NLKKQLQIDPDTDVTIKQVYESTVKMNPDDKQEAQKLNMYAKQTHLDADPEPDTRQFINR QIELLYKKIEAKLQDNLNDIQLMKQKINKIEDKDDQKAKSKTKDMSMKMCPEFIKEGRCK KGQKDCPYSHNYLLLDLEPTDSKLKSLQESIKMKEVSLRESKPPIPWVQSGMKDAEEYNN TAAYDKQLERKRILRSKSKQSKPSKSQSKDLYSQDFEDIKTPVYERKKKQNF >CAK56829 pep:novel supercontig:GCA_000165425.1:CT867988:225771:226377:1 gene:GSPATT00027861001 transcript:CAK56829 MDDYFEDVTTQEVPSEAPQFDQGQIQQAQYDVFSAFQSEVPIPSQQSVVYVSPEQQIRKD KLKVIEEERLGQIREKDQQERVLKQQKKEKGREYLQQFKSQQESDIQQRKVLNKQKQEIW FENKKNHSQYKNSWDQIASNIALKDGEYPGQKDVTKMRQAILNKRNDLTK >CAK56830 pep:novel supercontig:GCA_000165425.1:CT867988:226953:228126:1 gene:GSPATT00027862001 transcript:CAK56830 MLGRRSQQPKSTTEDNQNCNGKQVISLDKDINTNENQKQQKTKKQQKEISTKKQNSTNTE DLSGVSIKESQNGTYNLRQYTRRNYLDFGSEAGGDDNNDIDFGVKKQLNKERKNSDLDIN ETIEYDKLPKNKQGLLKLLSKVEASIESQKLEWFKEQNELPTLISSVPENSIPINADVLS FNFKSLIASQQKIAGKLFDAIMMDPPWQLSTSQPSRGVAIAYQSLKDDQLMELPIPLLQK EGFLFIWTINAKYRIAAKMIKQWGYQLVDELIWVKKTVNGKIAKGHGFYLQHAKENCLIG YKGNNKLNYYLKSDVIWSERRGQSQKPEEIYEIINSMVPGGHCLEIFGRRNNLRNNWVTI GNEL >CAK56831 pep:novel supercontig:GCA_000165425.1:CT867988:228537:229106:-1 gene:GSPATT00027863001 transcript:CAK56831 MQFIHDYAIIGEEENESKLTNALPLNQHFQNFSNLLQAQKERQKSKIFMPLDLNVINQTQ NAFKSSARSSPRNYFANKQVPSVDKIMQKMAIKHSSHHQVPTQKEEFYERQIRWLNQIKQ TNQVKNQHKINQEIQNCPFKPYTSPQQNINKEKLKENSKSILSPPQFKKPNKRNDSYSKI HLAKKQSLG >CAK56832 pep:novel supercontig:GCA_000165425.1:CT867988:229421:231544:-1 gene:GSPATT00027864001 transcript:CAK56832 MREQEEKEISQKRKQDLVVKLKSRYVKEEQKEIKQQVSLPSINTPESSKNRQSSLKKEDL MLEQKMRIQEKQRQQIYLESIKTRQLQEKQKKEDMIQRQEIIKQSVKQKVLNQLSEVAQF VTKDDLLEQDSGSDDQDAQKVKLKKYPFITDLKQWKKKQRIDEAVKVFIMVGGYGDIAKA LIQRGWVKNPDSGSPCFDFKFTLHNSEIDYNNLQDFQIVNHFAKIICLTTKVGLCKSLNN LIWFNNVDKNTFYPRAFDLSDEEDFENFREEFKLSKAEAILKMYLHLFKKKDANLIEKIK PQAIIALSVCRKMLQDINELIDQQKQTAVITQKEWDILSKDDLNVQALAQKKHQAWLAKI GEVKPLKKKKKSKLEKEQDDSIEDVDEFTQQIIDILQSFKNRFPQYYLNGVENIWIVKPA GLSRGRGIQCYKNLVEIEDHVASKGAQWIIQKYIEKPLIVLGKKMDIRQWVLITDWNPLT VWIYDEAYLRFTAEEYDPKDLENKMSHLTNNSIQKKGENFYKSDIEGNMWNQEQFSDYLM KTHQVNFMEIIRPQFEQAIIWSLQSVQDQVEQRKNSHEILGYDFMIDDKFHVWLIEINSS PDFSYSTHVTEKLVKDVSEDLIKVVIDRENDKKCDTGKFKRIYKAKSVLEKPASVGLNLC LEGKKIKKVKG >CAK56833 pep:novel supercontig:GCA_000165425.1:CT867988:232300:233026:-1 gene:GSPATT00027865001 transcript:CAK56833 MNRNQSRQQSAAQPTQQASKTQPPPPAQAPAKGKGPAPAQQAVQQQPGGFDASKYVKPGL SKEEVLKIKECFDIFDDDKSGSISPNEMKNAIIALGMEQSAEEIVNMIQDLDQDGSSLID FEEFLNIFGFSGTIEDEQVLEKLYQEFDSSGQGKVAYEDFKRINDLVSERYTDQELREMV EYADKDKDGSLSWDEFKAVVQKEYPNQA >CAK56834 pep:novel supercontig:GCA_000165425.1:CT867988:233471:234450:1 gene:GSPATT00027866001 transcript:CAK56834 MQKQIRIATRSSALAMAQTNYVIGELKQEFEIIKVSNEVGDVNLQDPLYQMPTVGVFTKQ VEQYLLEQKADVAVHSLKDLPTIIDAQLYLAAYTKFEQRGDVVLLNNKYNYKSLSELPEG FKIGTSSLRRIATIKNRYPKLNLINIRGNLNTRIQKLDEGQYDAIILAKAGILRLGLSER ISFDLEEKEFLYAPAQAALGIQCRKDDLQTIARLQILNDIDSQKRCVAERMFLNLLEGGC RLPIAVYSECREDGQVYIKGRVMAVDGSKVIEDEALDHFEKVGQILCEKIKVLGGVELIE SLKQQK >CAK56835 pep:novel supercontig:GCA_000165425.1:CT867988:234454:235042:-1 gene:GSPATT00027867001 transcript:CAK56835 MAKQETGKNNKRDRSPVAEMKIWQEAVRKENQHLKVYEHFTINPHKCTCNSYSLVYIIQE KPNNSIMLQKHLEKTGAVSKPAFDVNQITDDSPPLEKDIIDKLNTMNRTPRQKYQFPQTS NQELGWHSVNSHSLSPSKFTYPRKLCKETNYANDYFTMNKISPYSNKFK >CAK56836 pep:novel supercontig:GCA_000165425.1:CT867988:235057:237584:1 gene:GSPATT00027868001 transcript:CAK56836 MKKINVFVVPVHGTVLYPYQNLKLRLTELQFYDAKVNNNYVAIVPVVDQQVDGIQRIQRF SQYGTLVRLTSEDYTVYASNKIYQAFSFARIKIDSIIKSTPYYMVSAEVLGDEIVDEQGL WSSQIIDQLKELAKQYLEQFQMNPSPQFLQIIQEEKNINKLFFTIASNADLPYSQKLKLL QIDDHKTKITLLIQYLKTKVEEFAQTKALEQKVSKDLMQQMSKQVNKSSNLPTNLPGFPY QKQKNNDIEKLQAKIKEANLPDHVREIVEQEMQKIEKGSMGVDSNVTRNYIDLILQLPWN QQVEESLSIEKCKETLDADHFGLTKVKERILQFLAVKKLRKERKVADSNGQGSIICFFGP PGVGKTSLGQSIAKSLNRPFYRIALGGVSDEAQIRGHRRTYVGAFPGSFIQAIKKVKCKN PVILLDEIDKLTSNHRGDPSSALLEVLDPEQNSHFMDNYLNIEFDLSSVLFIATANQLET IQPALRDRLELIEIVGYTVKEKAAIANSYLIPKQLQKNGLVNEQVTIPQEIIHKIIKQHT SEAGVRQLERVIAKIYRNIALKYVTDQQNFKTIVVDQESLIEILGPSIHSDKHTTPLISI PGVCKGLAWTPAGGKVLMIESVKMEGKGKFEITGMKVQEQQFGWIKAYWPQIKILSKSNT NINFDALDIHIHFPAGATPKDGPSAGVAITTAIVSLLTGLKVKSDIAMTGEITLTGRVLP VGGIKEKILGAFESGIFSVIIPHRNKANLVDVEPEIREKMSIHLVKTIDQVLQIALEGNG PNFKMINLANL >CAK56837 pep:novel supercontig:GCA_000165425.1:CT867988:237845:239994:-1 gene:GSPATT00027869001 transcript:CAK56837 MQKRPEDAKKEEQLFIYLQKSYADAQKGIPNSMNKIIEEVCRKNKDIPQIGLWVELFNRL LLQLQRNGNDAKMVIDIVLYKIPWFTSDNHFQVLPQFKKILFTILSMSPSQLVTIYRFLV QKLSLQMLIDSEQTRDDIPCEVMGQSYPSKAALQQQILVMTEAYQLTAENQIVKLSESHK QFIKEILIHHPKADEKLKGMQDIGYGYFPSKNKSKCFYIIKKDNTQEDISYIKGIDGLYN DIYRDKYFKSSNNIHSDAAIQIICEIIQIYKLSKEKLLETLSDLFPHKNQSEIHQRVYAT NCMKLSDRIPELRSRIFKMVYIKILQIDSEIKYDPQCNNFIIKTTLQQQLNKKHRDDMCK KVDHLLFILFDYLDSKLGIANKSIFQMELNEDLIFKIFRELQSVFQEIMLKNQYYRMAHY VFLYFCSLPNSLQRINQLFLSQLVQNIRSNTLSKTEKINSLYYLSSLLVRCNSIKSTVFV KAVELLLEYLNDKSQQIEVELEHHLVQMMIFLISTKSPQLEILKNQIHEAILKKSSTLQH IHYDILVKFNEVLLRSKSDDLRQKLIEITANTISKHIGNNCQGDLITNYHSPNTPQKLIY DHESLLSRTSTQRTVVHKNQLDFYQPFNSMTLIYSEPFIKEFYRYDSELIKDEGGSLNNS HVEEKRIPHYHDGEKPQKKVKQ >CAK56838 pep:novel supercontig:GCA_000165425.1:CT867988:240539:241935:1 gene:GSPATT00027870001 transcript:CAK56838 MQILDYRLITKKGEGTFSEVLKAQSIKTSQLVAIKCMKQYCILILILVNKLKEIQALRKL QNHEHIIKLIEVLYDEPTGRLALVFELMEQNLYEHIKGRKILLKQEKIRSYTYQLLKAID FIHSNNIFHRDIKPENILLLGDHLKLADLGSCKGIYSKHPYTEYISTRWYRSPECLMTDG YYDSKMDIWGAGCVLFEITALFPLFPGSNELDQVHRIHNILGTPNTKVLDRFRKHATHME INFPYKVGTGLENLIPHAPKDLVDLIKQMLVYDPEERINAKQALRHPYFKELRDQEQQKL LETSLQSIKLLKKNDDSLTEEEQNTSHILHKKTLFNQTNKILQNSFKNKNIHLLDSVKLP TLTKKQADLKKAYGPTNFQTTKKKSIQYEYILYGKKANLGNFLNTLRHK >CAK56839 pep:novel supercontig:GCA_000165425.1:CT867988:242207:242806:-1 gene:GSPATT00027871001 transcript:CAK56839 MLTNQRSKPKLKPVFKFKYFLKTIGLPEVLKDQSGRLRKSVENNQFPLYQNISSSVRKNG STIQQSLLPTVTNRKSLVIEPISYSINNLDHLIPDKSIKNKKKNYKKFKNLSIYMNSNEY INLTNDELQAVQSIKNSPNKQQSYNKQQDQKKTRKQKLIEFLKGQHHNMQFTTILQKKTQ KDQAVLPSFIITKYQELQF >CAK56840 pep:novel supercontig:GCA_000165425.1:CT867988:242817:244604:-1 gene:GSPATT00027872001 transcript:CAK56840 MSQAPQAGQSQAQKSNTFNKSEKTKDIRLTNIQAAKAVSDAVRTSLGPRGMDKMIQDAKG QVLITNDGATILKQMDLVHPTAKMLVEISNAQDVEAGDGTTSVVVFAGALLKSCEVLLEK GIHPTTISEGFQFALEHALTALDELKKPVDLENKQQLIECVQTALSSKVVSSNSAQLAPL AVDAVLRIVDPQKPNNVDLKDIKIVKKLGGTIDDTELVEGIVFSNQKASQAAGGPQQINN AKVALLQFCLSAPKTDVENSIAIKDYTEMDKILKEERKYIIDLVKKIVASGANVLLIQKS ILRDAVNDLSLHFLAKKGIMVVKDIERDDVEFISKTLCLVPVAHIDQLTPEKLGTAGLVE TVHLNDESKVLRITKVPAQSKALTILVRGSNQLVLDEADRSIHDALCVVRSLVKSKGLIP GGGAPEIHLSLRLTQMANTLTGAKSMCVRAFADALEVIPYTLAENAGLNPINVVTELRNR HLKSQKFAGIGMKKNNIVDDITTEQVVQPILVTRSALSLATECVRMILKIDDLVISAR >CAK56841 pep:novel supercontig:GCA_000165425.1:CT867988:244604:245105:-1 gene:GSPATT00027873001 transcript:CAK56841 MKSEQQQKVATKTIKKIKVNKKAPVRLWVKAVFTGFRRSKVQQNENQALLKIQHVDDVSS SRFYWGKRVAYIYKAHSLKNNTKFRTIWGRISRSHGSNGIVIARFNRNLPPRAIGSTLRV FLYPNRA >CAK56842 pep:novel supercontig:GCA_000165425.1:CT867988:245689:247398:1 gene:GSPATT00027874001 transcript:CAK56842 MIQALSKIDPIQQYYVNDHYVHSISAKEFRTNQSYSPKALIQGYWKKDYQTLMALNCSTE EQRIILPQISAKSYQISDTKLEKESFETTNSFNKNICADLILQKYKIKPGNGNIQQQRKE KEQFKLPGKLIKGSFQQYNKNIGTRIYKKYNNELSIERLDIKQQLTPKPVIQSTPPSNCS LDCQINQIKPLFELSKPIKIQFNKPLTPNPIPEQTIRKEFINKVQLKPLLIRTINQQISN LAILQFDELLGFCEGSYYGLQSDFVQSDLYFEYQNTKEHYFQKLLQNQQVYVLKNLKEAL LSISKIYQIILITENMQKQLVEYVNLNKLPISAIYQIKSSNVAFIGIKTIDCYQIMKDIQ IKKLNKIALIQTYELLYKTNDAIKQIQEQNILYPYENETRSDHFFVLMLPSLCMQGLLKT EQQQSKLFLHSLYFIEQFCQSILFDNVKDNGAQKIHPLLLQDHYKDLIDIISIKIQNIDT LKQQPLIQQQRYSKKEMIARISEKFENQQKFQELSQDLVLRNKKIIKRISRYKQEQLNVL QIVKDQLIKEHELLHYCKKLLDNCYYIIF >CAK56843 pep:novel supercontig:GCA_000165425.1:CT867988:247813:249253:-1 gene:GSPATT00027875001 transcript:CAK56843 MKQVLILVFNLYHVLCQDELLAVQAIWRHGARNPYFCNYECDLNVAKGDSALLTPTGMRQ QYILGKWLRKRYIQVTPLLSSTFNENEIYIESSDVNRTLQSAYCNLQGMYPEGPNVPHFV DENAQLLLPPNKGAVTPAGIGDYALPNNIQLIPIHTKQKETDYALALSCPKGSEMVVQNL KTDLYKEVNDYSSKLYKDFNEQVNLTGDQQVNDFITLSDFRDTFVCNRYNGDKMPENLKA ETLQQIDDIANLAFSLERFQTQEQVNLYSTPYFKQVFDRFDSILNGTSNFKYYGSSSHDS TILAALSALNLTSAQCQADIYLKKNVTHPYCITKYIDFAFNLIFELYNNSITGPYVKVLY NGEYMPLCSIQGNTCLYSNLRSKLMATQVDYKKECGIAKDDEILIEEETPGWALVFFIVV LLLLIAGVGIVILIKKKIANLK >CAK56844 pep:novel supercontig:GCA_000165425.1:CT867988:249270:250825:-1 gene:GSPATT00027876001 transcript:CAK56844 MHIQKKAHSSLNLAKNDSFDTPEKKVTHSHSNNQESTQKQSIQVDIANYNHPPDMSKLIP VNKIYSAYKVLKNVVTRTPTQKSMKLSEYFGANVYIKREDLQIVRSYKLRGAYNKIISIP ENERHRTVFCASAGNHAQGVAYVCNLMKINCIIYMPTNTPSIKFNAVKSWGKQYAQIELV GDTFDESFRASREKCNTENGIYVHAFDDEKIIEGQGTIAVEILEDINEDQVDFMFFPVGG GGCGAGISSYFKQVSPQTILIGVEPEGSPSMYEAIKQQQIVELETINTFVDGAAIKKSGA KPFDILNSVLKEVVLIPEGHVCTTMMKLFNEEGILVEPAGALAISALDRFKEQIQGKTVV CLISGSNNDLGRMTDIRMLSEIHQGLQYYMFVNFFQKPGALKQFLIQCLGPTDEVTNLEY TRKNNREKGPALVGVKVKKPQDFEALKNKMEELKITHRILQPNQEIFKMLL >CAK56845 pep:novel supercontig:GCA_000165425.1:CT867988:250946:251701:1 gene:GSPATT00027877001 transcript:CAK56845 MRNSDDYDYQYKIVIIGDSGVGKTNIMTQFTRGEFSEETKTTVGVEFANKQLVIDDKIIK AQLWDTAGQERYRAIISSYYKGASGALIVFDITKQSTFDNVDRWMKEVQESTSNEISIIL VGNKSDLRHLRQVSSDVSSAYASKHKIAFLETSAKDGANVNEAFNKLINEIHSKNKNNSI YTQKKTNQQITEAVQIDSQKDSGCC >CAK56846 pep:novel supercontig:GCA_000165425.1:CT867988:251896:253196:-1 gene:GSPATT00027878001 transcript:CAK56846 MFKVAVCALLVLASTAINVQSSIWTSKDQKAFAQIHQSGWGKFILNFAELHLTTGGILSE LNSEIEKLIGEMEEELAGVHHEFNRRTDVHNREVARLEQEIQDKERELFNAHDFYDNVLI PQGERFAAQLEQLQENIAHNRQTLEQATVQRANDHETFESEVVEHNDAISAIDECLQLLS TIAAPSLAEVKKVQKNLAKIQNSLKKHNQFQIFVKVLLEITVDSNFADQGALRDIIVAFN NLRVELVDSLNQITADEAEQVADYNAQVIALNQEHAEFQRAVVVKNAEIEANTTKQEQTL DLIDELDEDLATLNGQLQAENDDYAFATDVYNATVAEYNKEINAANQALDLLNQPRFQDY VKSQLKGA >CAK56847 pep:novel supercontig:GCA_000165425.1:CT867988:253367:254263:-1 gene:GSPATT00027879001 transcript:CAK56847 MQNSHKTSQQKDERINELLRKIPSEIRQIYDSLGPLYDVNPKYQIIQQNDGSVYFVQGDG SRKIGTGVQIWPEQGNVLDGNWDNDQLEGYCRMVYSNKDIYQLIIKVISFEYLFKQGRAN GFGLFQTSEKTVKGIWIDNNLSGEGQEFRKDGQRYYGQFQDGQKNGQGIIYFKDGYKYEG ELRKNLADGSGTMIWSDCSYYDGEFRLGVIKGRGVYLSGNGYSLMGYFKEEAQKERKKKI QRIIYKNESGSNLIIEKIRQL >CAK56848 pep:novel supercontig:GCA_000165425.1:CT867988:255994:257196:1 gene:GSPATT00027880001 transcript:CAK56848 MLMSQAAADKKNNTDEEIFSQVIGDLTNVASLNKQQWERLGAVRTDVEAQIRDGYSWLAW AEARLAEIERRNAQLQDQRCWANGLFVKSLADHGDAVAVVQLLSQDVAGWLTNNAGVELV QKAETIADKLSAYSHLFQQDAMEKFQSLAEVKRDGTTGEQVLAILSDLQAELEATLATLQ TQEIHAAFALAKYVSDTNAEVAWLNSEHERRTGLVEKLETQLPAVLAQQAKALKLWKDSL NAVAGATADLEEKREFYASETARRQEENAIIDVVIQLFKDQVRALASQTSLGRK >CAK56849 pep:novel supercontig:GCA_000165425.1:CT867988:257216:257852:-1 gene:GSPATT00027881001 transcript:CAK56849 MQAGQKVNQDYARNLQEITKEFLCPISANIYNIQFLKFRIRDMESGQVLFEVERDPDEES IENLPPALQDDSRRIKYHFGPQFFELKTIGAQLTFSVGDKPIKNFTIIERHYFRNVLLRS YEFQFPFCIPNSTNTWEHIYTIPEIAEDIKQLMIENPFDTKSDSFYFVGDTLVMHNKAEY DYSPINE >CAK56850 pep:novel supercontig:GCA_000165425.1:CT867988:258263:258817:-1 gene:GSPATT00027882001 transcript:CAK56850 MSAPFYTVKDVPAQDFIRGYAEYLKKNNKIKIPEWASIVKTGLGKEISPIDQDWMYVRAA ALARKIYVRGHWGVGNLTHMFGSVNDNGKHESGSGKVIRYLLQQLESIKVLKKDNKSLLK KGSRIVTKEGQQDLNRIATQVALAARK >CAK56851 pep:novel supercontig:GCA_000165425.1:CT867988:259714:260611:1 gene:GSPATT00027884001 transcript:CAK56851 MTQLKLWLFLKKTSESQFKTSQQMNKLMLEKKPQETNNTKPLLLRLLPLMMLLMPLMKLA KLIQHLSLGASFVQVKSKYETIHKRLTDNTSHSQLLQPVVAALTELATHGVNQKALTKIA QLLSEIRQQLVSEKATKTDVEERQAAHWAEFSAHLSNEHTRLVERKAQLEVQIQEQKDTI EDAQSWIEFHTLELENSEERLAGQQAWYAVQSEIYETQTAEREAQNEIVDRLQEHISEKL STTAQFISKRN >CAK56852 pep:novel supercontig:GCA_000165425.1:CT867988:262720:264526:1 gene:GSPATT00027885001 transcript:CAK56852 MLHHLWRYTYNTSKALSLEQLTRWGLHNTQVVRNASTPELYEIAAMDPLSPDPETRPGSI SSTGAMVAYSGRRTGRSPTDKRVVFDEQTEHEIWWGSVNIPIPKKSHNLLEQVALQFFGN TRPRLFVVDGYAGWDPHSRLRIRVFCTRAYHALFMQNMLIKPTNEELKKDFSDDVDFHIF NAGPMSAPKLVEGVGSETCVSVNLTDKKMVILGTQYAGEMKKGVFGVTHYMFPKQGILTL HSSANEGVNGDVTLLFGLSGTGKTTLSADPKRRLIGDDEHAWSENGIFNIEGGCYAKCVD LSQEKEPEIFNAIKFGSVLENVEFLSKDSRVVDYHNISITENTRVSYPLDFIPGAKDPAV GSHPKNILFLTCDAYGVLPPVSLLTPEQAMYHFISGYTAKVAGTEMGVKEPVATFSACFG EAFLPLHPTLYATMLAEKMKQHGTKCWLVNTGWSGGKYGIGKRMSLKYTRSIIDAIHTGE LVNGEFEKFEIFNLQIPKKATGVPETILHPKNTWINKSEFERTLRNLANKFQKNFQKYAD KATPEIINAGPQV >CAK56853 pep:novel supercontig:GCA_000165425.1:CT867988:265786:266126:1 gene:GSPATT00027886001 transcript:CAK56853 MESIIIQQKFISQKKKIILKDNLKYVKVIEKDHNKLQVIIDNLCGKVDKIIETYENDFMI AYKEQKTEIQKELNAMKEILIQYLLNSDTLSTELLRTIEELRLN >CAK56854 pep:novel supercontig:GCA_000165425.1:CT867988:266401:266966:1 gene:GSPATT00027887001 transcript:CAK56854 MKFSSSTHTHKSKRQLLKLQIGGEEFLEELTYYNQLELSTSSKSNEKWKMDADEATIQFH DFKKIQVQKHNQFQQLPSQSCKIKKLFLRILKYNKQFHIELLSIPQTQDYLNSKDIFQSF FFFQVHFDRKNSQLKQKQNYIIHNWSNNRFKKFQEQLMFYEVILKINCYLS >CAK56855 pep:novel supercontig:GCA_000165425.1:CT867988:267173:267978:-1 gene:GSPATT00027888001 transcript:CAK56855 MQDKLNYEEQDQNSKQRISTCKEALKFLFYVNDKLQQSGDLDQQEVYQKSLHKWNLMRKE EQNQQQHKIDNTKFKEQNMLKRILQEKERSQEIEVSSLNSLQKGYQQVQIIQNLLQQEIY QELKPQPIQSINNINEDRNKGINVGNEENNSVKQQKDESQVQSQKLQEELQNNEEQKPHQ EGSDDEDQKKVMKWNPRMKNSKARQSKSNQKRVLSQSKKKQLLHQDLVNNVIHSYNCNNE MTIDHLKQLMESMLNETI >CAK56856 pep:novel supercontig:GCA_000165425.1:CT867988:268426:269489:-1 gene:GSPATT00027889001 transcript:CAK56856 MNSSQKLKESQIPEKEKDKNKTQKSKEDAKETLKKIVEQARQAILENRLNATEEVHKAMI EYKEILGGENSVLLLPGLFIQAEAYIAESKLKKAEEFLQAAYWNLYQYNKPKEKGSEDGN KMGQDISEKENAEYNGQLHKIFSKLYFAQKDYKKALAELTIGIYTDSCSSDPENPQTSLN YYYMGQIFQQKKQISEAEQFYAKTSQCWYKYLKRFYQYGTPSSENLPEEVIFKEALQVLK QIEEYFRKHIDDNNIKLYIIPITQNLQAQAFVYKRLNNEAQYRHYMGLAYQEFLMQYGEN HKKTKKVTEIQEIIKQRERDY >CAK56857 pep:novel supercontig:GCA_000165425.1:CT867988:270120:270323:1 gene:GSPATT00027890001 transcript:CAK56857 MSNYFIIHLRFQRESNLQLVVKQHILYLAFVKKMMLRYRIYRTFEYFLGFHNYINTIQQI RLRSIIQ >CAK56858 pep:novel supercontig:GCA_000165425.1:CT867988:270327:270772:1 gene:GSPATT00027891001 transcript:CAK56858 MNSSIIRKIFNEKELINQLSALIIKNLTINTLMIKLSMVQSLENYLNIPVIMNSQLLYLI EEVLLKDCIFRKLFQIHSISYKFMVKPKPEVSLFGSLKNQNKLFQIYAKGQIGHFTMDTI GDQSDNNKQGFQVIGLDCF >CAK56859 pep:novel supercontig:GCA_000165425.1:CT867988:271174:273392:1 gene:GSPATT00027892001 transcript:CAK56859 MIDAIAFIQKYVRRATETIQVNTQQQLITVQFRSDKVNMMSIRQKLKTMLKKLEGTNIYD YYYINMSSVQKELQISNCSDRGLYYDGSCQCDLGYFGVQCEQKLEELHLATYYTFIGFFL ILFTILLLFTLKQLQLSLKMNKIPSYQKGCNYIKNVLGSPLNCILCLTILFSILKILWLA LDPFQLYDYKERVCERILAEVVYTILFYIYGILLMVWYTMYDEISFNISEKKNNNLEQQS TSKSENRKFILAYYKDIMKIRLFLVLIIQLTVSTLNGLRLGQQYKTILYIAYVILLLNFM QVTLIHNSSFIFEFLLYGRSLNQCIEQQLKRLDLDNREQEREEKLKLAKNNQQTILSKTQ LNLQQEDQLQSPATPDQQILRLSSLSASDESHEQRDTSRAIISIEKIQPPSFLKKQVTFR SPQSIPTQQNTEVKREVLKTSMRTILSEESQNSLHVGEVSNHQKVNSCLLNEDDFDQTDF NWNIKKERANVKKIKKQQIKIVEKIKLQVNETKRQKKATIKNSNNIKDGVNQDSFQIEDD KKIKSQLAQAYQAEMLAQQKQIRTANLNADKKVLSKIQMLIYVGVLLEICFGALSIVILL TDLLKKPVGTICYLYISAMLQFLSLITVLKLFRDVKSQEVLNLIWIQKVGNRKNKINQKY YFTIPFDQTRQDESKRKFEQRINMHIR >CAK56860 pep:novel supercontig:GCA_000165425.1:CT867988:273748:274190:-1 gene:GSPATT00027893001 transcript:CAK56860 MQSTKKMKSYIEDQDMEMKSLKAQQISSITFKMYCLILSKNFSVNQEEYIRKSEFPQTKS ISNLKIQNRNCSSSDFGSEEQGVKLSYKEMKTQNHILELLETYNQQSKEREQQNKLIMFN FMIQNIIKKQ >CAK56861 pep:novel supercontig:GCA_000165425.1:CT867988:274591:275767:-1 gene:GSPATT00027894001 transcript:CAK56861 MRFIKIIHLLGLAKLKLFFDKIEDAIQLNIMLSTIVSFVKLSQFVLFWSRWLGCIFHNIT VKEASQDNWLHYYGIYEEDWSARYINSLYWAYTTIYTVGYGDFSLRTSLERLFGIFFLII ATVVLLLQIALVDKKAEIRKKSNQSRYRIKQINTSLLFGNKRLSLILKIQPIIYFMLTNL NLKSSQWYYMSLSTFTKSNCNEKCHILISIFNCTILGYYPLQRCNFKMTFLEQVTKTFKQ YTALYIEFIFFENEPITTEKHLYLIQSGNIEIILQRCNQKFNKLEYKGLYWFLYRIIFYS IFYIDKSLFQQLLKSNQIDLLLKLQIKLQERFHQIKNEIKFNKGYHQFNAFVMTVLEMVK QQKQWIVQICI >CAK56862 pep:novel supercontig:GCA_000165425.1:CT867988:276566:278205:-1 gene:GSPATT00027895001 transcript:CAK56862 MQKYPSLNPSQEFDRSITITNLKLSHLEYKNVEGKNLFERVKGFQNLVGSLRKQKIILYE RRNMSPPAQECYGMDDYGDIFYGINFASADYLGLCTNEQAKEAAATAAQEYSVNSCGAPL AFGASKYYMQLKEELKDYWGMNEVILYSAGWLAGFGVIKGLIRPYDFVIMDELCHNCLTE GAFAATKNVSRVPHLSLEAMEKKLSETRTANPDACILVVTEGLFSMDSDYTDLVALQKLT MKYEAFLLIDCAHDFGCMGKSGKGVFEQQGLQDFSNVLLMSGGSKCLSTNVGWVACNSFE VIDYLKFFSSAYMFTNSVNPVQCATALAQLRILKSEVGNRLRVKVLENYHYMKKELNARG YQIIGYPSPILPLLIGNELVCRVVTRLMIDEGIHCNGIEYPIVRMGQARLRVNLQPQHTK EHLDTFIETFHFCFVKATKIVEESLEKYQLALEQQEQAQETKDNQNKANFNDNRMELKKP NL >CAK56863 pep:novel supercontig:GCA_000165425.1:CT867988:279389:280876:-1 gene:GSPATT00027896001 transcript:CAK56863 MIQKKQIYRNFLCRNWRISNQICCFHLIITLLSVIIFLVSNLIYMYIVTEQLMAITQEIF QKETQNHLNMLSYLEKSSTLDVFQDSTRQLLSINQLYQIIDKDFQIEMPYDCLNNQQFND SYAYLFSFCYAFCNLTNGLNISKYQNILKLTSLLTETLRIYDLQLLTLMAHVGEEQFFSQ NKGYALSNYYPHTRQWYRDHIAQMGTGKQIIVSDPYVSWIDVVFISQTTNLTHKQFEGLI GQDLDFTRMPKIQTNTSQYYLVDSSGNIVISDLYANGVKVLIKMYDQSLTGFNETDWNNL IKEGKDIFYLYNSVYQKNIIVLRTILTKLNYFLFVVYDESQYLKQQQDIYNKQIGFQNQL IDSWLQILGTFFIFSLIVQIVIVHCLMKDLKQLERMATKKVVYFSKSTIQFRGQTFTSSV SRLYNAYMKLVKNFDSQGHQRKSELCSNIQSIEFPSKYRKLKCQIYSNGIPKKQNYNQTK LLQHFTYFKNETTFY >CAK56864 pep:novel supercontig:GCA_000165425.1:CT867988:281746:282054:1 gene:GSPATT00027897001 transcript:CAK56864 MESGNYYKLQFEQMGNSCCNHHEINQLQLLNHIQILALMIKSIENLDSNQDDDELILPMQ KYTTLSAVCKIELYYTLDKDEEDYTIANSKEISKNKPQQFIL >CAK56865 pep:novel supercontig:GCA_000165425.1:CT867988:282208:282565:1 gene:GSPATT00027898001 transcript:CAK56865 MLSEQNILKSLDHANIVSDFEFFQEVEQYIVVTECLPKGELFETIKKLQIFSERMAADYI KQILQTVSYCHEKQLSIEILKPKNILLSEQGEEIKVIDFGTS >CAK56866 pep:novel supercontig:GCA_000165425.1:CT867988:282586:282802:1 gene:GSPATT00027899001 transcript:CAK56866 MKKDLELLIKYIQKCQIRSIMKNDSAYSFKWLSSICLKNRQRNFSQGLSQKVDIQQKLLV NCIK >CAK56867 pep:novel supercontig:GCA_000165425.1:CT867988:282825:283173:1 gene:GSPATT00027900001 transcript:CAK56867 MQNLDIFKGQKQAEEKVNQIQMLSKLIDYPEFIVGAMRVAKLHLECLILQSFQSIYNGDG FISKEELKEAMGFLEPEIWEQFLNDCDLKQKDGKISEDEFSKFLTTL >CAK56868 pep:novel supercontig:GCA_000165425.1:CT867988:283284:284619:-1 gene:GSPATT00027901001 transcript:CAK56868 MRSQSDNSKKTNSEIISKANFLYTRALMWPQLMDIDLKINHPIQSVQLNQQNQRVIKFDV IRTRGNLLDQNLKTQLEQLLTYYVVSNHITYKQGLHEIAAPFIIFIKAGLDVSVVYSMFN EFIRRYMTNFFDDDYSGIQSILSVYNLLLKYHDMELYNRLTLHDISPQLYAIPWFITLGI NKASFPIAQMIIENTILINDRYFIFSFNIAIVIYKKQEILNNKGDNLPYFMCKIFLDDEK IYYDIIRIAIKIHTYTPKQFWIYLDSLNLFNHHAKPIFLEQEKQPLLELIQISPYEVQDM INQHILITEKWEIQDVNHPQVQKIKQIFSKQIYNPQQPVLIFDYLADELRDKIAQNTLVH IVDLSRQNLLKILQQLFNFKMTNKLWICLFTNTDNEHLFNPIYVALQEANTKYICKCDKN LFIELYQLQI >CAK56869 pep:novel supercontig:GCA_000165425.1:CT867988:284843:285454:-1 gene:GSPATT00027902001 transcript:CAK56869 MLDDTYAEICFNHKRQKKGTPSKQTTTFKSKQDVQLFVDQIQAQVKLPPLEHEFYLNQFR SPSLSKQHQYQCSKSVLVKKQTKNSRLNSLISDISTLEEGVLKTFQQIQDSFSKEKNRLQ EQESKFALLLENLEQKNHITNTNWKTLIKHHFKNLNEYIDFATKDIKEEKSFVYSHQISA RNHYMFVDNEIRRNFIRKRQTRI >CAK56870 pep:novel supercontig:GCA_000165425.1:CT867988:286182:287226:-1 gene:GSPATT00027903001 transcript:CAK56870 MDGSMIQRCQKIQLVTNSGQNVSEILLNSATDAISTINHNVFETPVKSYVFRRVSQFEFI GSKQKKPLQSGSFDLSLSTFKRGSSPKKRKVNKLKLQYNLTTKNAIAILKVGVENYQPFS KSLIKHPKLIVTNSMKVPTQNQSHVQREELIFYCKEGETPIQSQDQKLEYQNKQNYYASK DKLLKYHKIMDKKLVIYSIYQDQFDSSCESSSSKIFKVQFNATQFSKLNKINSGNNQCLT HEQNYSFFKRKASQSVVTQQVMEKIKIQKIFLNKNNLKQFKKVQMNLIQISLLKKEVLIM PDLINSCFKPKFQINCLHKFNRIINKLNLFFISQKQ >CAK56871 pep:novel supercontig:GCA_000165425.1:CT867988:288083:288416:1 gene:GSPATT00027904001 transcript:CAK56871 MSVIKGIARANLKLVELVQTGYIIHGKSVEERMMNFDRIISEHNRKHDKQWLFYDTRLYE IPRPSRYKDLYARELKRRNDRRTIAFLCKHVMAEKNVKIPMK >CAK56872 pep:novel supercontig:GCA_000165425.1:CT867988:288445:289030:1 gene:GSPATT00027905001 transcript:CAK56872 MQNQDSLFFQSQLYVAELCEPISLIEFVLEDVKPKQEKEQRLSPVIQVSDRNEKMKTQNE KINPSKSNVPHTQQSRKSNSDTTCKGKNWIIKKLNEETQPKKVVTCLPNLPKPIQNQGKL FSNTASRQMKQRLNKFIVDQNKNQFLLQNDILQGQNSSLPHLSNNVQIKIRFSKITPDL >CAK56873 pep:novel supercontig:GCA_000165425.1:CT867988:289311:290819:-1 gene:GSPATT00027906001 transcript:CAK56873 MDQNNSLQPGLIIDQRFKLLKKIGCGSFGIVYLTFDLDEKEYCATKFENRNLQLRMMNRE ILILKQMKGINGFPELIHNGKDRQYSYYMSTLLGENLEVLLKKCGGKFSLQTTLQLAIQL IDRLEVFHSMNFIHRDIKPENFLISREDTSLVYLIDFGLSKYYRAADGRHIEFSQKTGVI GTARYASINTLQWMEQSRRDDLESLGYMLIYFVKGELPWSNVKAIDKEDKYDQILQVKMG IPLISLCFNLPKCFIHYFQHVKSLLFQQQPNYSHLRNLFEKQLQDYEHQFYDWEIKQMEM QTQDLLIDPDSIPNIRLQTEIDLVPPVDEEGEVFHLKQENQHNVKFMKQLHEKYFDHNTC KQQDELQLYKNTSIEPKSQATSKQNNYQISNHTLIQVESQPQIHNNPNQFTKRKDSKSYT SFKQSQEHKENRKFRQSNDDYDLDMANDDGPNLDLKNLEVNYFLK >CAK56874 pep:novel supercontig:GCA_000165425.1:CT867988:290838:291449:1 gene:GSPATT00027907001 transcript:CAK56874 MLNNLITIIAECQINSEQIKQLFVDPHLAFQMLSSNGHDIDQSEIKRFISLNDEEIKHQI IQFNSNSEANLNMLSILNFTKQFLNFLKLNNNHMSEKSLSNSCNRRLLILSKFFVFSIEY SKIQILKEDSSYNPTELFNQIDQFGKGYIHTEHQKNAQIYLTTTSQLSLDFLTEIMMGEF QKPIFYQSQNPHGNNHLEYNTKI >CAK56875 pep:novel supercontig:GCA_000165425.1:CT867988:292166:293129:-1 gene:GSPATT00027908001 transcript:CAK56875 MLQQHGSPEEIVLCFLFDNQVRVTKTMEVVLDHLSKKGNGEWGIYDYIQGYRVHENNIQN SNKLWVFQLKDMRLILEFYQKHKELIKTSEELREMNQEMQIKLKDFNDSTRSQAIQQNTY FLQNEELKIKINKLENQVKQLTDEKEEYKSIYYEVMAQSEEFRKKLENVQVQNTTLKSEN EQFKVKCISLEQQSFMLENELKQKKLQNLTDKTSNIQGYDKGIQSIPVIQTKSNNKSKQI EQQNKKLHQPSSQTSDSSQEAGELKPEIKNEAPQHTFKGFLSQSEKGTIVHSEQFKSDLL KPEQEF >CAK56876 pep:novel supercontig:GCA_000165425.1:CT867988:293399:293607:-1 gene:GSPATT00027909001 transcript:CAK56876 MTWVLNAYYLLFLVMATHFVYVISFIKMGGYNKFTQTIYFVLQYLYEFNNSFISCTLSAF S >CAK56877 pep:novel supercontig:GCA_000165425.1:CT867988:293692:295269:1 gene:GSPATT00027910001 transcript:CAK56877 MSDNESDTKDQRPAEVEWRQKKDTLEKEHRDQIQRLSQQYGFKQSEVFNIAKRHYNKFEL VERDIKTLLKEENDDDCEGDWITNDNEKSRSQNKHNRKSSNREENQKNSRKKSDQYQKQQ EQRNSRNDERLGYNQKQFNPSQDQYNRKNRENTKNREEDDNHQGQIKNYRNDRGQNQHQK NTRNDQGNQVRTNQYQNYYNNTNNYINNKSCNQRKQYRNRNDDFLQQPIYVLKEQQSKQQ APQQQQQSQAQPTLQNDTKTQQFQGSQIKKEVQQQSQSTQQKQQQQHQQQSQQQQQQQSL KQNTQNQTQQPQQQNVQQHTSHSTNKEQTQNLIDQTQKIQQQHTTSQKKQETPQQQRQIP TQTTQQEQQQPVVQNFVTQQSQINHPQVQLQQIQQSESGQNNNLPLQEYNQQQDYMKFSG NSGQGPQQQGNQTVQYQQKQSKPMCQVPLLAQFLIYPNNCTSSTNLFPLTIQNLNNAFEQ LRQIALNYEQQQQ >CAK56878 pep:novel supercontig:GCA_000165425.1:CT867988:296089:296697:1 gene:GSPATT00027911001 transcript:CAK56878 MINIQSINSSEDSNPLSRSIHSQSDSSASINQSLPSNSKSENNLDIRKLTWDDFQGVPPE DDPALAHTRWKIGYNYQVHHEADKIQVMVDVWCKIDPSSWTKDKKIDELLHHQQGHFYIG MICALEFKKRVQEFSFSKDYKQEISEIFNKTLQEYLEMENKYNIETLNMLNTAKQRQWDM KIMKQLNSLSKYT >CAK56879 pep:novel supercontig:GCA_000165425.1:CT867988:296705:297667:-1 gene:GSPATT00027912001 transcript:CAK56879 MYKPLIALITTVLFATTSYLGQEDPLRRLYQEWKQKYQTRYTSQFEDEYRFEIFKQNYNY YQEVNSRQSSYTLGINQFATLTDEEFEQIYLGRADSSPIEIDESIDSINLPESVDWSSKM NPVKNQGTCGSGWSFSAVGAFEAFFIFVKGTHFQYSEQNLVDCDTNSHGCDGGYPAKAID YLNKNGAFLESEYPYVASKEKCRKTQGSTKANSRKTWTTATQAYEAIAQYPISVSVQSSN WKGYTGGIFSNCINTSTNHAAVAVGYDSKKNWLIRNSWGSDWGVNGHIWLAIGNTCGVLS RLDQVI >CAK56880 pep:novel supercontig:GCA_000165425.1:CT867988:298586:300315:1 gene:GSPATT00027913001 transcript:CAK56880 MKSYYDYSNVLFECIMVRKHFISDVKYYCYVLSDYLLMSRKAKDPAPKYVLPLQLTNQVG WVVERKDKKIQFKAFTMKYMEKYKDFVGNSNDLQKLKEHLRNKVTFYKIADFYEAQYNLG KGSSAKVIQIKELGNEQSKLAAKAIDKSYLQKSESGMQAFLNEVNILSHLSKQNYCAPFI KLHETYEGDHTFYLILDLMQGRTLADELDILKQRKEMFPMKLVKVIMKQLLQGVKILHDN NIIHRDLKPDNIMFRELDSYETLTIVDFGLSTFTDVTKYQFPKCGTPGYVAPEILNLVDR DSKYDKVCDIFSCGCIFYKLLFGHSLFMGNTFNEVLGQNKKCNYTLDGPEMTSIPFEAQE LLKRMLAKNPSERITTHQALESDFFNKPSSPLQSKKLKAFNVPNISSRNIFQPTLDGLLS EAESPFQRIGQKCKNQFDFDVDIQENKCSSIQIRQLPSVKKPTCVRLEDSTIGSFYAKDP LSSFKKLKGTSQKQLNETKEIKVNQFDVDQMQRISLFNRNEDY >CAK56881 pep:novel supercontig:GCA_000165425.1:CT867988:300377:303564:1 gene:GSPATT00027914001 transcript:CAK56881 MNRQLTPDGTKSTMRSTILPKIVNKSSKLEQQQSIYQSVDAEKFQKRTKNFDFSNLQRTI QTSYSKSRQKQRTILQPLSNTINNRIQTKQQLNRIINSLIRIMQNDAEFMNSCKHLHVNS QKLSQLLLMLTPLNFETEGFFTIHRKLKQQQQQHSYFIEIDRMFFAVSKLNIPVPLQHLM QLSQYSDCLYQDNSFGMVVNYQQMLSILKQAYQVHKNMQSQQELSTTTASDDEERLSPSA RIVQRLLSRNTARKVQFKQKRLGYINDQNMVAYYDSFTDLKKKLERDWNAKNYIFGCIRF GYIDLIKYPQYVDHQTKKELKIFGQQRSKLPISMQVVYKHKSQAMNQEKALNKLNLYQPK NIYYESYTKDPDFISKVQYLNVYSLSNKFNSIYTYKNDQITDYNIMNLDNICIKSLFTEQ TEYITKDCAFDDQQGGFHIKKREYRPLDLEQADSSGSEPDLDVYDPLYVKSIQSYPQYLI ETQIQFTFPLLYRFYYNKMFTNAKVTRDNYTELVDQCKIKPEQQFKEKFVNVPSPDNCNY QRHKYKQPEVVDLTDESDNEIYEQPLEALDKQDLSLSFKVQPLIKEEDQREHTQYLKSQS SIIIQDEQKVNDKIQFAHNIARPFICLQYSYALLLQLRFPLQIHMQIIPSIVERELPLKE VNIEASTIIIDNTLTIDQGIKDLESYPIIDIDSDEEPSFDEMPLEIDLPEQVEQQTIEQK NEDDEEITIDKVTEYVDLEIKDSLTIEVKNDYVSRLEIDGYPIYFYLDEHLRKTEPTIHK VTMEGKEIQSVQISCEQKGSKIYMKLFAIKALCDQRLESNIEKKQNYEEEDKQVNIYEPI TLPEQWNQGQFVINLYYLQREDVNQNVEWLKNVLITYFPQIIIVEDYSESFEAYIYGVGP KDFRDANGRTLLFRKDIEDWTQFNQEFQFKTLLIYDTICYLISLYGSIDQLSFVQNKYIS ENHSKHTKSKFEKLHLHPYKYVENVERERIRPTTGYSKVGQRRQNY >CAK56882 pep:novel supercontig:GCA_000165425.1:CT867988:303943:305037:1 gene:GSPATT00027915001 transcript:CAK56882 MDVSNTSLPQNYTIGQLFTKLFKNILSFGIKPDQPQYKANLLEHLSLQQKIKLFCKNHHK YFNDNIRDQILEDIENSTPIKVQTRYLLKWLYLQDDTTYQQYHPLIINIVLNQQNGELNY QSHKYISLLYKKLLQSQSENVKLFLKVYRDLAQEFMMSQNSRNRILLKLQLNKQLELNYP LNQIEQDCQKYQDLENLDHIKFYLKYQGNLEWLLKQIITKKVINHNHKTEVLELVNHIIK TNLCEQYYSQLFDQIMDAFEPEEVFYHELYQIFHFLLIVKDYPEMILVQLFRIFADKHML FDPQEFSAIVLLVNQFQEVNIQDQHQTYQISIYDELRDYYLKNSLSMTKEVHQIFIRQTT NKLG >CAK56883 pep:novel supercontig:GCA_000165425.1:CT867988:305140:305790:1 gene:GSPATT00027916001 transcript:CAK56883 MDPEAQMDLKTETPVIRGNDDLSVYLQTIYHLNLNDFKESLYQMKLLLINQRQAQFDLKY SQILGPIMELWQYVIIHTLEIQLKQPNNISFLLLIVILQLLVVFIYSILYLKHAFIIAIL KHLIINSFYGYSIVILLKRFIPDQHFHLIFVVEAFLYSLFLFTIYNYLCLVTTLINQQFI VNLLIVSPLEVNNMLNLFANYQFYYTIFKQISSKNY >CAK56884 pep:novel supercontig:GCA_000165425.1:CT867988:306214:307890:1 gene:GSPATT00027917001 transcript:CAK56884 MEKIENGKGLTNFSSLFCLKKLKVRTQVLIIQVIIQFLIGTLLTLISYLAYQYIIDMYSN ISLEFYVLQYYKKTDMITNLQVRSSQEVLFRSQTHLLKSDFLYQFIQSVELQYIQQPLNC LNYDNKLDKYQYEQTFCYGFFGDQAYSLTNKDFLALNYSSFLTQVLPIMDMDLDLLFSTV GDQMYFSIWPGDPFPNYKPHRRIWYTNHLKQIEQQNYNLTYFSDPYILWTWFLFMVTQTR NMTNLNGGLDGVFGSDLNFSLFHTLSLKQENVTFSIIDSKGQFLLSQFNISEDKYIYDTD ISGYTMEDFEQINNSLYQKPFINNCSKIDQLLNNEKLCRYNSVTKSEELIVAKILYPTDL ILLIQVDLLEKEKELLNQLTQIKNDFEDFFREYIIIGISTALFSIILSSIIIYVTLSPIT NLILYTSQQITKEFDLMQQQINQKIHLKKSKYSLKTIQELHQAFRKMNIKLFNHKEGRKN NTCTELEQIQFPLKPLETLPFESSNIQEDDLQVFYPFEFLKLHQKRKRHWYNYVSI >CAK56885 pep:novel supercontig:GCA_000165425.1:CT867988:308129:309553:1 gene:GSPATT00027918001 transcript:CAK56885 MSKHKHRRTQSNSEVDLRNALCQQDDKKHFIEIFNHASHIQPQIPEKEVIIEKLEDDYAM QHLKENCGLTFGNSKKKSNFGSYQPNNLFLIPEQNDLLSSVEQTQESGKKLTSGMKLNVN TQKRQTNSVEQKNNNDQKENLKNEHNRQNSTLISQIQAQLRDVTHLMNSDKLDLFNKMSF TKELQKKTAILPVQVPFSHQTTLQPSLNHNYSQQQQSQSQHQQPSTQLNNNILQQQNPNI QPQLLVHQNSLKNVSKHTITPDRKRTPSMAINKPSGIEAPFQKSDKSINESEKPKNNLSV SIFNNLFQQNKKISISHIANKPDQQNDSFRKLETQMKKIERELMSLKQRQDQQDEINKTI QQQLQQVIHDSRKQREQGHLSLKKLEQLEMITRRNEESIMYLRQTLGGHKRMDSMTHQTD STELSDQHRRFTDLKSLNRYI >CAK85067 pep:novel supercontig:GCA_000165425.1:CT868537:1526:1931:-1 gene:GSPATT00039718001 transcript:CAK85067 MQLIKIVSRGGGSYDDINSIKIGKWIELKYGLRDLGRVVCIGNYKNGSKTGEWHFQFNKQ KIDGGCYDEEGKGIKIGKWTELSDIFDDNSQNIYQGEYKNGKKIGIWELFNIFKNKQLQW IDYN >CAK85065 pep:novel supercontig:GCA_000165425.1:CT868535:2:532:-1 gene:GSPATT00039716001 transcript:CAK85065 MNVQQQQSLIISQQQSNLKPFTYQIIKEHSIKQREYCGAIAFNKDSSIVASGCENQIKIY EFKQGILKLIQVLNQHNSAVSTLNFMKKSNQFISGDRSGSILIWSSNNNTQWNCSQTIQG HNSWVSCLILNINEDIFISSSYDKTIKFWVKQNEWICQQTITDHTHYVKQISLNEQQ >CAK68064 pep:novel supercontig:GCA_000165425.1:CT868057:2329:6675:-1 gene:GSPATT00036847001 transcript:CAK68064 MSILKSLVLLHYITRSKTETLQYQNFFTSITLDWFQNYYYNSGCTSTTCSSSSIFGGYNC FNYNVFIVKTFELQPHYKLRIKFLFWRIDLWYGDYFKVYIDNIEKHNQNYDNSTSVTNLC GNSTYYDETFQLDITTDHSSPTVQILITGYRYWGISKFQLFIEKCPDGCDSCDQNGCYNQ ILYIKYFTALSLNYANFNEGWFQYNGLMYNSIVNIGDYCIYQFMSLNSTKTIQLPAHDAV SVSMKLVSFNQYHKFDILIDDQLVVVSNLQRQVIIYPNSIHSYQIISPIKYPLIFHINNN SITLTITMNSQQSYNSLSNGAGIRDFQLFIRPTLIDDSCFDNNIYPFDGCFAEIYDCIEG CVNCVRGDGNFMNKIRSVYRYVVILLLHILKKCDDGNTYPYDGCHQCKYSCQQDCLICQF GYCLKWKTSYQDIEKISYNKYLKLRLPITQKDLSHIQCQYLYDSLECNEYINEWAWLFLS CNSQQKMNEKKKTISKKKCGDLIITCDEECDDGNRVENDGCHNCKYSCPLNCRECRFGIC KQCLPKYELIYGQCKYICDGFESQEEQENRRCYNRINNMIENGHYQHNLFNNQNSKFKLI TPLTCSLQDFGIFGYFYNQCRIAAIKNCKESIYNKCVQCDDNYVLEYNRLACTPICNDGL MIEKEICDDQNNIQFDGCYKCQQSCLLECLNCVENKCYQCLDGWQLIDYGCYQYCGDGQV AQSSMEQCDDGNYDNGDGCYQCKFECVPYCKSCADRHTCLVCEKYFELSNDSCRPICGDD NIVGGLEECEDGNDIPYDGCFNCLFQCEKACQICRQGSCIECIDGYIIAKDYCEVNNQTF IIDDDEDEVVQNQCADAKYSNNEECDDGNKIDGDGCSSSCQIEPYWFCNNYPYKPSICSP NTIIKLQYLNQTQQIQYIQLYFSNKVKLNETILNFTDKIKTSIPSIEQDAYSITIIPVVE IDQTVLLDVSYEIQIQFLESITATNIVLAAEIDANLEDQNQMMVNTSIQTINLQLPKILN TDQLETANNFQALGTYMMIGLASSSVFLFFFGNPSQCLEILDTLQFQSYLKFINVQFPQN IQIYFESSEFVTVSPTLAKLQIHDFLQQLIGYEQLDSIGKFFEYQLNADLLVNIYGQLIQ VGFLSVLYIIVKCYQNIIYSYCFGIKYIYYFRRVNSRIIQFIGIKLYYFNKYICKIGDIF NAEGLIQLFHANSWDLLFKILIYLGSTHQLGLRDIISHTISIVYLISIIIILTKNFRSQN QQTSLKDLRITQHEDIILLKKVLFLVILIILQSYPLIQCLLLTCVLFWYLGSIISTPFTA NKLDLLIIIWMEGPVMIFTLSCIIYCSDFSKYFSTDQQINAGFIQISFLILALVSPLVRC GYQFYLKVKTYCLNKFQKRKPMVMNVRNIFQIIENNQ >CAK68065 pep:novel supercontig:GCA_000165425.1:CT868057:7648:8025:1 gene:GSPATT00036848001 transcript:CAK68065 MVIVQALQIIKYQYFNPNNVIIQTQLSTLLLIKDNLLQAANFRYWWNIFMALLFPSHLQQ QNKYPYQQKSQQYKCDKSVKIWQERRMCKIQEIDFKDSYNFGTLSDDGEYLVTWSEHCKE IRRIN >CAK68066 pep:novel supercontig:GCA_000165425.1:CT868057:8273:9209:-1 gene:GSPATT00036849001 transcript:CAK68066 MCLPIKTLKIIAFVQVFIAFGLSILAFIGAYYISKLLGKVDEQLSGLGVGFISLKFLSRP FWTLALGILVVAICGILGTIMKNRKYFLVMFNMGNLCFFVTFTLVGVIAIIVGAFMAQDQ TCSPSDPQYTTVQTMYNQAQLNLCKSPCECYYSKDITPQASTEVLVYSKTDESKAIKAQE CSYFNSAFSMDASAIQWMEEKFDCSGWCIEYPIQMFNDVNSDVKDQKFSCYKALGNYFKR LFSISGIVFIIMAFIMGLMFIFTCCLGYHPENQHKFDNYNKIRNQR >CAK68067 pep:novel supercontig:GCA_000165425.1:CT868057:11505:12315:-1 gene:GSPATT00036850001 transcript:CAK68067 MIIIIYNPSYKIEYENNLIHPTFQDLDNIGIQYPTILMSYYVSQILNIINYLTLILLLLN FLVESNYQGKNNITILIYKKDHKIFLLKLQIIQFLQIALKTINDMLQYILMADIKLKLGD LFFTNSNQLKLKQHHNNYQIYYIYFQAWSFNYSQNQK >CAK68068 pep:novel supercontig:GCA_000165425.1:CT868057:13811:14878:-1 gene:GSPATT00036851001 transcript:CAK68068 MQQDPAQKAICYELLPNSFIKQKSYCRTIAINNDNSLLLVGSQSIIKVYQFKQGVTKFIQ YLHKHSKAATTLNFFSQGNFFSGSDDGQIIMWSTNLISKAKYLQSLQNHSKSILCVALNN QENLIVSGSYDTTIKFWNSPFQNLKQWCCSETISVHTQPVYGLSMNEQNKLISCGFDCLL LVIQLQEDTKWIIKQKIKVELWGYRVCFINNEIFTFQSSLQTHLCIFQLDKTTKTYINSY NLAVKGGGQLCSSLFPSIFNSQKSVIMNKNGAHVNLIKLNKNKNRLGNEECVLKLEQSIN FGTDMYDIANIFGTMSKDGNFLVTWDANTEKIQIRVFKGQ >CAK68069 pep:novel supercontig:GCA_000165425.1:CT868057:15047:16272:-1 gene:GSPATT00036852001 transcript:CAK68069 MGQKLKVGLKYMRDIGGNRTITLDATKQTSLENIKMGKKQVFGKYKIIMTKCIQIVSFNR GGGSYEDGLKSGEWIEIHDNFQDNFKIIIEGEYDMGKKAGTWTSKIRQPSSQQQSIIIGG GNYNDGLKTGEWTELDQNYEKQWNFTKGKNQLNHLLRNISRREKSLNLGYKNKQYQNVSN LLIQVGEAHIYIQVKKMENGLSQMIILESIISYSQIVESLLSHQQRRVLKWEESWKLRNL IKRGNQEIQLNDREDVFLMSYKFRGGGEYDDQGSQTKKWIELYQNFWKQSVLINNRDCRV IFQGKYQDGKKEGKWESLFQSDSNHDYALFGGGLYNRGLKHGPWIEFQEKITLSPQQHLI VSKYDNGKGINQKQHQ >CAK68070 pep:novel supercontig:GCA_000165425.1:CT868057:16805:18141:-1 gene:GSPATT00036853001 transcript:CAK68070 MNSFCQIHGNQLITHICTFNHDCQKRMCPDCQYDHQKIVPGQKIIPITKFIEEMNSTIFE YDSTTEDIIEKKIKINFETILVDILNLSQKAQDNIRKIFEEIRQRDDSYLKLFGEHPLEM SLKDLKKLTNIRHNQIFEKWKNQKKILLQMVENNVNSIYNSIGNITMTLENQINQWIEEK STNIDHDSPFSYESLQFPLYFGIRHGQTSSKRLGVFEEYGQIKLNENMNIINQKGFVLNQ QKLKLTEFSTKILQDSGYLQYLRDGVVLKTERISDINQSLQIIKNLEQVQHLRWEGSHGQ QLQKVGLWSAFWQGKKLDIGGRYNEDGQKIDQWIELSNIYQSQCQVTETGKYQNGRKKGY WHTIYKGQLIGGGQYDEKQENKVGQWKEIHLNFNKFNYIIHLQLMPNIL >CAK68071 pep:novel supercontig:GCA_000165425.1:CT868057:18480:19594:-1 gene:GSPATT00036854001 transcript:CAK68071 MKNYSDLFMNQAKGVAEEDKILELLNSIQDQIFTQISNDVNHKLIKELQKTANLAQTTQQ PEFLRVTPNVDSDYMHSHINFAKTIQQNQPMDRQKFEQFENKEVVNFPIYQQNFLDVNAN TKFYYPKTQPKGLIETPKQYVDQTNVQNYLINQTKIYDFNQPEIKQIFPRTLVDNMIPTH PEPDYIKQQESKFNFSSQINQMGGLINSIGPMNQSLKQNEQRQFLNTPEHSLLTGALQEK VNHVPPPFVPVPAPPPPDPFLTNPQYRLYGVQQEAPQFNVQSYIPEKSQTQPSKFAGNSN IQQVPIEKQEHHEISRVQSKVQDDLKLNFLDRARQERKRREEKLIQ >CAK68072 pep:novel supercontig:GCA_000165425.1:CT868057:19642:20759:1 gene:GSPATT00036855001 transcript:CAK68072 MEGILLFILFALTTGSFMYMLVCTDSNSKTPMGMIRRKIYANGPSITKKLLGDKIFVKLQ QFYNYVFYTNNRLGQISYFLIFWVAFGLYGKFGLLKHFGNTPYVSHIHSVCGSLIFIFCN YFFYRTCTTSPGIITKENNDEYVKQFEQYYDEVQYKQNTSCSTCNIIKPARSKHCRICNV CVSRFDHHCIWVRQCIGQKNYKYFLLFLFTHIFLSLYGVVAGILCLFGIAQKQQLFKLTY KNAVTGEIHPATFFRVFTVITNRETFFVFIIFVCLIFFVTLTAFFLYHLNMIRKDLTTNE RIRKNDFEKSFLNEMYELQEQQKRKKDDDSIKRLAQLKQCWNSLSKRRVQGLYEGLRIVY SQPN >CAK68073 pep:novel supercontig:GCA_000165425.1:CT868057:20834:23045:-1 gene:GSPATT00036856001 transcript:CAK68073 MKTPFCVRLQILGIQPNDEVEYFENPDAFENSQKVFPLNNNGQIYGIYVRRLEYYIKKNY VQEGKIVKGRLIYTFYNYITQQFVDIFDLLCMENTFIKLDGQFWFKDENALQPLIIRLRV QIHYQEYQRFQHFLENIELEKKNLYNSIEKAKAELTINYDNLNNFKMRVNQLKQCYQIQP QYPEIDIAILYSHPLVNQNQKRVNPVQYYDDISNFKKRISALNKKVTYLITQATKENLRW VLKYNPKIIHIIAHGENDTNQLNQYLQFENNCTDDFVYREDLQLIMKDSKKSLVFLACCY AGEIAKNIQQYATTIAVDKELKMLDDAGILYFSSLYENLLEKKTLQESHEEAKKKVEVEL GEKNYQCCHSHSHRQTCKDVFGKTNDLCFGDKTQCECKKKSANLIKDRLSHLWGPDPKDQ CKEVCEVILQQIKDQSQRELVKMKLDEFAEDGVLRVCCCELAEKLMDDSFTFIQHTESEK FQIFSQSSSQSQIFNQVEDGNLIELNQLSWEEQYFIAWKRDAKTIFHKLSEIFYTNKRQI LKICAGHSKGEMHVVKFAHQVSKYFKFRKLKFYKRNEIDDIQIIQDPIENIQQLLKRSYE ARMSYIFIIHKINKELFLKSKDQLAQLNQKGTVILISNSELIELELDSNYYKIMLKDWEN LSEKKEKCDFFKIINEYGEEIRDKILEELGENNLKKLKYNDLLDEIENFLNKQQEQIVQN >CAK68074 pep:novel supercontig:GCA_000165425.1:CT868057:23092:27253:-1 gene:GSPATT00036857001 transcript:CAK68074 MQYRLKNVEKSGASKMSALELATQIEKQIQQKFDDVQLLRVDVNEQQEFIITSVYENNDQ NNKYNRFMDVQFLKDILQVEELLQDEEDEDYEDIDNEVVTDYKFQQLKQAIDCFFEFSKD LDQVKQMQLENKFKSIIGNTANKEQKLQIKILVQCKGSQVVHLHQEKLFLNGPEKDPAFI DLISQNHFVNPDITNMMNSNLIKVQSFSIGMLQFIQEQQQLQLQQFFTSAQFQRQMSMPY QIYIKETKGNEKCLQLILGFMHQFSIRIDIDLTLCQTVYQQDQMSFYFKMLSPPSCYAAY HGKWKYENNLIKSQFVKVDNIFLNHLVISEKINEIQRLLFLNNTTILVKLDQNSDYFRQK LQSFHVINAIDYPVAHIKLQNDISYSRLMNSKYLNFSLRFNILAAISQNKLNKQKSIQEL IETCESGYKKLSEKQTQDLNGIFEQTFNTFCKVTSNLSLIDEKETEKKNENHLRYFKNVF KRTQQDFIELRDPTMRLVYTRRVSMTPSGILYFNKQPEVTSGILRQHYNIVEYFLRVHYE DENQDMVLNIPYITQTYYYNFMFPTLKILGQHFELFTWSSSSLRAGTCWFIDFNGAGKQR TDIIKSIGNFTNLKYDEIAKNAARLGQNLSTSISVDCVGGINILIKDDLVDKNNKLYTDG IGKISQDLIDQIRQKMRVNSKIKISAIQIRYEGAKGLLVLDESLEQKTIVLRRSMIKYNP AKGYPNKIQILDFNKFRGGYLNRQIIILLLTLQVNEKAFQELQDEYLKKIEDLQMKDASI YKYFSVDYCDEQIDLPNIMDALRAYINIGMEDNILCQGVLSKLKQRGLLQLRKKTSILVE KAARVLGVIDEHNLLQPNEIYCLVQDENNDTQVEPENIQGEVLVTRNPCLHPGDIKKLNA ISTQEILKRNGSKNPYSQLINCLVFPACGNSLPCQIAGGDLDGDLYFICWDNRLLPPVQA KSMVYDNKKPNQVQYKKIDFRENNQRFHENVDKNFDTKNMFDFLYFYLNSETLGLIDNSH LAWADKSDKKANDPNCLELAELHSDAVDFVKTGKQVFLDVKLLSKIFPDYMEKEQSVTYE SQTIIGKLYRQVAQMGIQQDNSLSQGLGFKQNNLPKIEYKLLYNFDKLIAVCKQIKQDCK ITINLEEKKKEQNELQQEVQEEVIIEELIQLIQKYIDDNFKRHLDSALKLILLIFESIFG ITQTFAVKSEFEIYSGNFSSLQKGDGLYQKKKLNVEKYQKRIIALIGQLHQEVERKLQAL SEIERLQRISLINFILTYSPNSHPYPTYTILGEFISQLMKQIECFDAWKQLLNIHSIWYR GVGQYLFWKDLQKIFKSDEEELI >CAK68075 pep:novel supercontig:GCA_000165425.1:CT868057:27322:28350:-1 gene:GSPATT00036858001 transcript:CAK68075 MQEQNDSENEQVDIKETELLALKDKEFQIDLALILKTSIDQNFIDIMTEDQKTNELMSLK TIHLEFLNIRKIENLELFDKLQILFLQNNKISEIENLDTLINLEYLSLKNNQISVLKGLK NSRKLALLDVSENQINSIIFDQVPQMIEILNISNNPIETNVDIRKSILCHLKLIDEIDSV KIDQNERFQALGIFPKELESYQKKLTQRKMKKIMKFKKLEEVLDVDKVEVKEDELVEEDD HLTEKTIYDIHNLTETLITKAQQSNIEKLYQVQQELLEYQKLHQEIRNKIYEEQIQKQQI >CAK68076 pep:novel supercontig:GCA_000165425.1:CT868057:28578:30731:1 gene:GSPATT00036859001 transcript:CAK68076 MQYLNLFIQPISSSVVFPYSSLQLHNVDCYNQSLHSQYIGLVSQIDDTQSDVQTISQYSL YGTLVHLAKEQDDSSHSYKAFAFARFRINSFCQLSPFLVANVEILNDDIRNHDTEILTLF KEAIKIYMENFSLLQNALLKQKIDEEDNIVKLYYQVSSRIQIPFNQKIRLLQMNDNNERI STLIQYLNHKMTQYTTNYELEQKLKQELMSEMQNNGDNLINQTQQIIPQIQELELDYQKQ YIESLPWGIVSPETLSIQQCKEKLDKKHYGLDMAKQRILQYLAIKFLTKSTGSIICLHGH PGVGKTSLAQSIAESLGRPFQKISLGGVSDEAQIRGHRKTYVGAQAGMIMEAIKKAKCVN PVILLDEIDKINQYTVGSALLEVLDPEQNKSFTDNYVNEEFNLSQVLFISTANYIGSIQP ALRDRLEMIEIPSYTIDEKEKIAIQYLIPKQLELNAIDGQVDFNKEVVRYLITNYTNESG VRQLERQINSIFRKIALQQVRGKRKGTYNINKGFINQCLGESTFGYKNIKLQSVGMCKGL NDGMNILEVIKTKGQGNLYQQSKDQYIVEQGITALSYLRNCFKHIQFQNWDINVANQNCL GVCVVVAMVSLFMNKKVKSSVAFAGELSLKGSIFRQDNLRESIISAAETGVESIIIPSQN VGDIQNLEPQIKKRIRLVDHIEEVFQLAFEDGFTYYHYPNL >CAK68077 pep:novel supercontig:GCA_000165425.1:CT868057:30782:32296:-1 gene:GSPATT00036860001 transcript:CAK68077 MGDEIYNYDDEYDSIQIEKQYSLQGVEILDIKDVIQEIEQDVQDLKEKLYFNEDNTYELL MHYNWNKEDITTNYFNNQEALLAELRMKGIVNNHDGITFNGVKGCCSVCFFEGNLIELGC THRFCESCISQTIKQRVLQDKFLVVRCLQNGCNYRLPFSMIRKYSNAHEFENLLCRRFVD CSRYLAYCTGVDCNKILKPQCSSVKEVTCVCQNKFCFYCKEDLHPPCPCDLVKKWVAEIK KDEANVRWIVVNTKSCPFCKKPVERSEGCNYMMCKPPGGCGKAFCYICSQPWEPDHKDHF KCNKYVAPTANIEKEKEVLQRYNFYYERFLNSQAAKEKAMQRLKQIKEQYIISIFKHYQF TYQDSQFLEEVMKELIQSRVVLKWSYCIGYYISKTNQQSAKLFDHYQEIFEHACETLAIS LIKLFDEIEKLDHKQSDKPIHEQKKDFIEKKEKIQNASSKCCKMRQNLETAIYQGEIYM >CAK68078 pep:novel supercontig:GCA_000165425.1:CT868057:33039:33566:-1 gene:GSPATT00036861001 transcript:CAK68078 MNVGTNVSDDCVTEFNNLKLGKQYRFVIFKLDKDKNEIVVDQKGARDSTYAEFVSHLQNE SRYAVYDYHAQTDDVPPRQVDKLVFIFWSPDTNQPVKQKMAYAAGKEALKKKLNGLSKEI QANEPSEVEEAEIKKTVLN >CAK68079 pep:novel supercontig:GCA_000165425.1:CT868057:33566:35402:-1 gene:GSPATT00036862001 transcript:CAK68079 MGQVQQSKQHFLKNWQKVDSRESRVFGCEIEVYTNQMEEVAVVHKVYQSEMHYQKELKRL EQYQQISQMPGLIRLLDIQKEEESTLCSNLFKIDAIFEFGNQLPANLPWFQFLQQIIHTL IELQNRDRYHGDLRPQSFMYTNQVKLLLPNVDQYNRFLSGFEEYCYLSPELFFQLGRKVF KPEYNKEKSEVFTIGLVCLELILQEQIQKIYDFQEYNIKQEVLNEMLSRANNKLVSRMLS FKPEDRPNYLQIYEESIVELMQQSMNSNNKVIIPNNQNDILNEHAIEIQSRVLVREQQSQ AQSFIMQSNQQHPLLCQQSQQFTLQSCNFKDSNPTLTSMQQHPMFFQQENQLQKQQLRSN QENEEPQSMKKVHPFLSQKNSQPTVKMHSNNRSMNQTPSQQIQTQQKPQIPSNLQSFQSS QSSQQQAFYSTQVPQQANSLQYLTISQSPNHRYSQKSQQSSVSKHQSIQPTEYAFLHEQV HVPFNPGSDFLDSSRQKEQMDFVSPTRSSQRPSSKLKDISNKKARTVQNTTSTTLGTSNN NANKQVVNKKPQLLKSQRPQTQMRSKSPNVKKVPLKK >CAK68080 pep:novel supercontig:GCA_000165425.1:CT868057:36084:36512:-1 gene:GSPATT00036863001 transcript:CAK68080 MALKSAALFEKMDPFIKSQGADLVKKINAIYFFEVSKAKGETPEVWTVDLKNGSGSIAKG RVGTADATFTMVDDDMMAMAQGKLNPQQAFMQGKMKIKGNMAAATKFTPDLLPKDAKL >CAK68081 pep:novel supercontig:GCA_000165425.1:CT868057:36529:37460:-1 gene:GSPATT00036864001 transcript:CAK68081 MDQTNSNLIIIAPEHSYSMKLIQYLIKHFNSDELIFDKIDEDHQKIGTQLLINTKYYTCD VGLHTINYNYFNQENALEQLQTIKVDGVLFIVDANNMSGLDQFINLCDQILNQIQPGLQA IIYDRKEGTKINLELLENEEKKLESFVEQIYLDLNNPPIKQQIKQLSEQAEETLGFPRII EIIECNTWTYMRPKVQEKKENISKTKVSEKQSQGLDQLENEDDQFAQLMHDMTKIRTSKM TDEERREKAANLMNQLMNMCQDDDEDDM >CAK68082 pep:novel supercontig:GCA_000165425.1:CT868057:37503:38866:-1 gene:GSPATT00036865001 transcript:CAK68082 MISLVNLFIPFRQAHRLSRMNVYNQRRVLYQFCSENKKTEEIEKQPSLVIDILHTVKDSA IGSATKLTEKVKGSAVKFKTDANQNQETSIGKTCLNMAKLAWRKTFPSHDDEVKARMETV KAKLAERKKEEEKFAQMTEEELAAIQEKIPEWKRNAIQATDQATSEEASLKSKIAQKIQS RLKQSEQGQHIVNSEAYKEYVTFKAEMKQFKADLSDKIQNHPSNFVQVSLYAAKTVTNES DVARAIKQMRMIDPDFDLYELEKEAKVIFEQIYNLYLLGDLESLQKVCGEAALGYFKVLL KKQEAEKSEPKHKQLWNVDEIRFTRASIPDSVKLPVFIFTIKTQEIFCYVSKSDRSKIID GDDERIMSMDYQFALTPHSNPSSDEFGHIWEMIELQPQQVVKMLV >CAK68083 pep:novel supercontig:GCA_000165425.1:CT868057:39290:40354:-1 gene:GSPATT00036866001 transcript:CAK68083 MEGLASTTDSIQANCKFVTRLEKLKKLFDQSLQKKQENIIFQNRQVEIPQWVDLLNSYKE IEKEHNEFNLNNVGKMKKMDQSEIDLLNVVVRLYGDIHKLQPLLMDVFDWDQIGSILFNR HWKVCRSKWMENQATSIVDHPWSREEDAILSQLYEKYAEQNKYNKWSLIAMEMSKICKSS HVRLGKQCRERWINKLNPQVERGPWYKEEEIKLLVAVLNNGKKWSLISRRDFDNQRTENC LKNRFHTIIKRESSKFDKHKTQNKKQNLQKINLEDENKLIEQIIQELKDESFLQQQSDLW NTVSKKYHISQSDHLLDIIDNSFQVVIIKKNKINEIF >CAK68084 pep:novel supercontig:GCA_000165425.1:CT868057:41444:42165:1 gene:GSPATT00036867001 transcript:CAK68084 MSQIKFSLRQQVQFGQGLYLASSQNNWKIDESCRMTCIKVIKCSDMQSNRWELLFQVTDE QLEYKYVIADYDNPSLNTQIWERGSNRNLCKSKSIGSQTIKDKWEKRMISLKLMALNKNS LAMIIGSFPALPTPIQMKLLEKKSVMYFTAKFYIDIAYSDQVIQFQYYLLIKDPSNMFFD FQPPLNSLVLLQLLVFRKELKKYVNQSPFIELLYISFIFFL >CAK68085 pep:novel supercontig:GCA_000165425.1:CT868057:42394:43097:1 gene:GSPATT00036868001 transcript:CAK68085 MESSQIFFTINKQVNYGEAIYVVFNFANWNLREAFRMECQKIDQWSREIEISCPYFEYKY VIGQYDNILEGEIVWEEGPNRSSENLKLLQMSQSKIQFTDVWEKRNLMFFLLDKEQNRKR KKCLEHDILLFGQVKALNSPVKFSNCQLSQKSQLYYINLQLEPDEITTPIQIQIYMQTQF KKRFIEIISKNVKLDFRNKPINICEEILPESKCYLLK >CAK68086 pep:novel supercontig:GCA_000165425.1:CT868057:43587:46872:-1 gene:GSPATT00036869001 transcript:CAK68086 MQPQPIQRKDSMVPQGTSMSICSSLLFMIGQSWYELKRRPCGYCVSFFSVLIVVAASAVS QSIIDRAPLIFLKSAEGPAGQSDIALTANPSYLSQEHDKSPQYLMSIQSLTSRQKGYLIV DFLNYTRVKEVLGPERANQSSPRIEQQVNFTYLLKTGDCENSVNKAQQLLSKRQEFGSEA HFNDLRDGQQLGQKCGGSQPHPTAKFVALDTKKEKQIGLGWEYPFDELKETEVIMSRKLA ETYHLNVGDYMLIYGEFYDFYGAYYIENYFDQLGRQFNSTTYNDTFLNQEFSDLKKATQR FYLYQVKGLLDSTYGKFPDGDADKLIIVEHKHFFNNLAYWSWDKPYLKAMYTANPEDYVD EIRINIPNRFNIYMDSNYENIQGRITKYASNIRRDLGVYPCNMDLPVLQKLYDTRFGQLF LGIILNMIIVILFLLSVLLLYTLLLISVETKTYDLGVLRVLGFNKLGVVFIVLTQALSYV LPAIVAGIILSIPFLLYASNALKASIGIEIEATPTTNATFMSLGLGLLIPLISSYVPIKE ALSKQLSFALDINRSKSTAVKIEVDLEGKSLPWGRIQFAVIASLFGICVYYFLPLALLSF NIGLLLSIFFFILCGMLLGLVIFAFNIQYLAERFTVYLFLFWASSAKKTMVLKNLAAHRI KNRRTALMYALSIAFVIFIFQRRPFNSMAANLKQLPPMVIFPHLNLKKQYQIQEATSLVL HGSPNPLDSYMQRLGFSTTYMTHLGQVYQLRPKVVGVSHTLLDMGFNQFLKVEDQINSKL NPVEQLYTSRGSQSAMIGTSYADQLNIKIDIDSTFLLVVYNNTYSQYHQMRASSIITSAP ALKFSSLPSVQEQNVVVSLPTYRRLCGNLIDAVENYPMRRLLISVSSSGSIDAVYGQFKK YIDSKVTSAKIWDYRDYEKSIKQSQNLIQIIFSFLTGVVMVLSFFSLMTSMSANMLEQVK EISVLRAIGNTKFSITMVYIMEAFTLVFSSSFIGLMVGFVIGQSMALQQTLFTQLPLIFV FPWQMLIIIVIIAMIAAIISVVTPSLQILSKEIAQIMRMI >CAK68087 pep:novel supercontig:GCA_000165425.1:CT868057:47941:48925:1 gene:GSPATT00036870001 transcript:CAK68087 MKRISQEEQKYEEIEEQKKRVENFEDLLEDLTKSDNREIKEVKFNESNIKKIIEEVVKQL RSKYTTQCHEELSITKIIGGVKQKLSQRAVTIRKIIKQQLMPKETLNQYYFYIYIFHLSS MKKNLHSSMHKISGPRQMVIFDGEESRLQRRIFREHSTDKIKFCWEQSPINKVNDFNFQK INNVNQQDMDIHVRNSYQLPQLHKRKNLLNNECFVVSPQLTVESESKFGKYAKRYTQDSD IFSLKIPIDKQYSQHSSAYGQFYFQPEYTLTKTKPVFKYHTAKSQILGNSRLEYIKLRQE LA >CAK68088 pep:novel supercontig:GCA_000165425.1:CT868057:49264:49656:-1 gene:GSPATT00036871001 transcript:CAK68088 MGVCHSKKTQQQTNQPQDLTISNQQSLLPIISKLDDIVIIEKTPENDSQQSEVDLLATDE LLNNPQLAAIDQLHREQVKRHVHAQQHHQDKLKRYVVVFDKLYKQERKVPILISLNESTL LRRRRSGPCS >CAK68089 pep:novel supercontig:GCA_000165425.1:CT868057:49874:51184:1 gene:GSPATT00036872001 transcript:CAK68089 MNLIKILILLITLCTLTQAQILSQQLDNDKQKLVISLENLENEAVINFQNKEGQFVDQLF CQRSKCECIFTQSNTSEEHIEIQECSENKLIIQRNNSQLIASLSSSPQRRMVEVYSDSDM TDSKTIDSRLTIKWKFNSDGTIEFATIWNKLTWLGVGFGTSMSNTDMIAINISGSTVELL DLYSTSQNTPPTDSQQDYTLISYEVGSASVKTRFKRKLSTGDSKDKTLAKGSSYNWCYVY SSAQQMERHTVNLGFSITLADSGTSSSSSSGSSSSSSGSGSTSSSGSGSTSSSGTGTSSS GTGTTSSGTGTTSSGTGTTSSGTGTTSSGTDTTSGTGTGTTSSGTGTTSSGTGTGTTSSG TGTTSAGSESTAPGTDSNESSENEDSDSDSDSTKSSLQISLGIICLIQIILF >CAK68090 pep:novel supercontig:GCA_000165425.1:CT868057:51216:52591:-1 gene:GSPATT00036873001 transcript:CAK68090 MNETQDSDTNFEVACSQCQTTPDNYVKLDCDHKFCLVCLAYNYLQSQQQNPESQDTVKVA ICSKCQYETHLDPDTIEAIQYVIKEIIIPLIEQNQEEHNVDNDFDLKQSETIRESKGNFT AELAYDDLFEQKKDKNANTLQFQKVDQTKETSEVKDIKKNKESLIAKTDMTSALNERVQL YLERLDKSFKNKFESIRDIQDQKFQFQQKCQSTRDEISQEFQKQVIALEQKKNSLINEVN ALETQQLLEIQKQENEYESQIQLMAKYQEELRNLKGVPEDMSKFLIEIEKIMTAPSQSLQ IKSSLLTSQRQLIRIQSLTQSTEERLTSDSKMIPIQQKFSPIKNDRTNEIWNKLNESDKK SRLKESSISQQRSNQKSRWKETILEIFDKKEQSFERYSTNNKYNPLNRPYNIHQVLNMQQ QSFQIQKENLLKR >CAK68091 pep:novel supercontig:GCA_000165425.1:CT868057:52630:52972:-1 gene:GSPATT00036874001 transcript:CAK68091 MQHPPYQRKPQKKITQPLHAFFTLFTEKTQLEIWLVENDNLRIQGKLAGFDEYLNLVLDR AVEYDRKTKATKELGKILLKGENIVLVRTLEDVKL >CAK68092 pep:novel supercontig:GCA_000165425.1:CT868057:52994:54787:1 gene:GSPATT00036875001 transcript:CAK68092 MASAFPKLPGFVPTQELDKPNFRKVSAAKQDQNREIKQLPNKEYPVPRKQPTQVPSQTGM FNPDYLSTTHAMHLPKNSNNDSEELYQPSWVKMDRHVLRFSGFFKEAVVESALENYRIRK LTIFYYLEDHSISITEPKQENSGVPQGAFLKRQKVLRADGSKTFIIPEDFRINQDIEIFG KTIRLYDCDQYTREFYEGIGQPQEHSFTPQSDSFETKTMTKYIPQKDTVMKDYLEHKLGG GRVTSQKQFLENDRKVLKFYVFSDIEYVLHYYLADDTIEIKEINSANSGRVPFPMMLRRQ KLPRKFSLNQPGQTYAEDFIRPQDIQFGQPLIIYNRKFVIQGCDPFTRYYYQEKFNVDFP LGGQEEQQMEQRSNIIIPPHNGIGDEQDSLGYIYRLQPIPPKKDFFKWVDNQVNLRFLAM FNTTKPEDKDRVFVITYFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNAYNNHEFFSPK DLIVGNEVTINGWKFQLLDCDEFTKKWYAENFK >CAK68093 pep:novel supercontig:GCA_000165425.1:CT868057:54834:55961:1 gene:GSPATT00036876001 transcript:CAK68093 MGNGQCQQTNLKSEVNMIITEENVHSDESEHNLTSKITDRVYKVNFVRNELMQRLQSINQ ETQKFQNITKNSLLYLTELILQLNKYTVGQLKTMMIGAHKTYQILIQAIIKMKPENTETI PVLSEEFNNFKLFYELQTQPAQQLYYRPVYNENYEIVREIRVKRIRNYVIKEDNVTDLQK TQQRLQYWIQLSQKKHPNLLNLRAAVIQKNVCKYVTDYIDGITLDKFQNKNIQTKEKIKI LQQMVDSLRMLHSQQLVHGDIKPSNFMVTPQYQVVLLDLDQLGAERQSADMTYLYSFKDD QCPTFQGDVWSLGLCIYSLFFGQRIEKNWTIDDYKGRDFPAFNIRTYGPVQQILDACIVD SPKDIWYISTLSKQL >CAK68094 pep:novel supercontig:GCA_000165425.1:CT868057:56039:58884:-1 gene:GSPATT00036877001 transcript:CAK68094 MHVGLSNKFSIQGSKRKIASLQFSDKTVEDYSKAMSVGNPHDLNTSPFPQLYSPAGKRQM FQRVDRFSVLQPIKKEEDKLTDKDIMRQLQESPEQKLEDQIPKQLVGSQSARSYFQHYKV LTKVKQQNEYHKINDSIQTQMLKQAESVDVLPCKLGLIKLNGSESQVSINNHHYGDKYIS VLSEGLRQNQGIKEFLLSNNRIKQDGAVTILNQIGKQATVVDLSKNDIGSLGVDCLCQQL QMRENKMEVINIEDNKLGDKFVMRILKCLLNTQNKVKVLNISKNFLTNEICDLMKEIILQ LDNLEELYIHWNQIKGSGGQKIFEALVENKNMIVFDGSWNSFGISEKSNCTQKVCDFLSQ NKVMLHCDLSANQFTLPDCKLIASSLKPNRTMYGFHFAGNWGVVDPRGFLIIDENSQQKV LGETLRIKGLEQVTTLRHEDVCWICEGWQEQVFDWIPDGECDPLFLHMNFEEYKQVYVPK RNDGTYKLPLMVPAGQTQFLFTVIDNQTTGANYENMKFGHVIKLKVNGINVSCQLDNINV VKKLKHFQLMDKKEMKAHTSVLPRTPDPIYIPPKLKKQKRIWSFPISIWFKDFRFENEEF LRKCFEKDWSCSKISKVVKNPDEFNEVKNLLWKDYKMIKETYKWYSSYNPSGDVWSISSN VITDFSSSTELVDNKTFKLSDLDLKFIATCAASIEYKGNYRNPERALCRYQFMEFLVRVS DDKYLKQKQANNMVESVQMILEQCRPIMSQYNAQKWRDERYFNEQCDDCLKYYKTLLNYV YNRYSIKKVKPGQKKFMCLDELHEICGQAGLFDEKFVDRDADLAFNLSMMLQIDELESDR IFQMTFVEFLEAIARIAEKVSLPAAPDMSWEQRQQQPLHIKLERFLILLAQTCASEEYKS QFGNPQKSIFDVQPEDD >CAK68095 pep:novel supercontig:GCA_000165425.1:CT868057:59482:59841:1 gene:GSPATT00036878001 transcript:CAK68095 MNDSIYNITERIDDSQNDKSQEEPQNNQQHDPQQLQRKILPTQIQMLIQEQMPIQEQMPI GNQNSNLNKNQEKCILMEKDQQNLEEESMYDQKIINEIEDEIEEMEEEDNLNENGDNSF >CAK68096 pep:novel supercontig:GCA_000165425.1:CT868057:60130:61801:1 gene:GSPATT00036879001 transcript:CAK68096 MNRRPNGQDENLSWILKYTNEFEQEHIESQHMHILKQEQFGQLKQSDEILSAQLFTFWNG NLENSKFILMPNLLIKVTQQNGMLMPPQQQFNLGSGAFYLSLSECTITQKMIAHKSQQAF GMMLSNSIGTTYLFFSNFVTFRTWYKQMKQFCKLTGFLEKYKLGEKILPGFYTCTKKKKK TQYTCQIYKTDDFEQCKELEDAVYNEIQILRSIRHQSLLELKRVYENSKYLFIVYEYYKG ETLFNLLNSNLQLHEVQIASIIYQILSVVKFLNQHQFYHGSINPQNILINTQHQMLQITL INLSFKEYKVNDKLDWILNRAVESFLAPEIFEGIAPNIATDIYALGCVLYFMTYYDPKKY ELTNEEKDFYTVMDSFEIQTNRIDESEQKLKVGFQQNQSKSNVSSSQLDLLRKMLEQDSN KRLTIKDATKHHWFVNVKSKIKSLKVERKRKKPLPSLRTIIELREMSEMDVRMTIIQQQQ SGLNAINHMNSKLQSTPSNTKRTLVYTQQPSKLSQYAGKNEFDDDYESML >CAK68097 pep:novel supercontig:GCA_000165425.1:CT868057:61932:63364:1 gene:GSPATT00036880001 transcript:CAK68097 MNIPMPQVKPITQDNTKLQSEVIGLFKSGDLKWNTGGTIQEKKDGLNCVLQGLRKLQLWI EREYDVEKKKSLQNQYDARREETCKRLETLEKYEKGEIVQDTSGNGGSNQQQQKKQGEKD TKSELSNALSDAIVKDKPNVKWTDIAGLEAAKSALQEAVLLPIKFPDFFEGARTPWKGIL MYGPPGTGKTYLAKACATEAEGTFFSVSSADLISKYVGESEKLIKTLFTMAREQKPSIIF IDEIDSMCGARGEGQNDASRRVITEFLVQMQGVGHDDKGVLVLGATNLPWALDTAIRRRF EKRIYIPLPDVQAREYMIQNSLKQTKTTLTKEQFEDLAVKTEGYSGSDISVLVRDAVYEP VRKLQSAKKFKQIPVNGQLKWTPVAENEDGTPKTFMELSQGDIAIPDVCYNDFLLALKKS KKSVSQDQLGDFEKWTKEFGQEG >CAK68098 pep:novel supercontig:GCA_000165425.1:CT868057:63492:64851:-1 gene:GSPATT00036881001 transcript:CAK68098 MFQQAVAIKSHEMHSKRKVFEKQPLFIKAGLYYLNEYLEQRKLDIEQRLDFANELKDKGN SLFQQGKFGNASHEYEKSLSIFLYIENKNPNWKNEGILDDDLTYKDDNFDQRIVDLRIKL LLNLAICYIKEKQFKDAIAACDYVLNVQDNAKAYYRRAKVLVFCKAQARLDDINAEESDY KLAIQDLEKALSIDDDEAIRKDLTKAKLELKKMMRSSIEISQNVMKNIEKTSPKPQEIQP TEKQSEQVEDDSSSEEDEYEYSEDWKKYSFNTLSYEPSFEEDTSIQIPEEINELGKFIES RGVQILHLYETQGKNEEAEKHRQFLAQLMSTKMKIERISRSNFTKPIVNEKAAQFGIDLS DSSVQEEFKQLFLQNMKDLRKWLKEQNWKMRKSKIIKKVDKLAQKEKEVKLEEETGTNSK YDWLVLGICAFILLVSLYYYIFD >CAK68099 pep:novel supercontig:GCA_000165425.1:CT868057:65278:65811:1 gene:GSPATT00036882001 transcript:CAK68099 MYYFPQRVKNISLLQNLSDLLESLIEKNVMETNQDSVFNSGTTPEISLENYLMRIQRHAR CSEECFVIALIYLDRIQEINQEFQYTEKNIHRYLKIIQFRCFIIAVVLAIKYQDDEIFKN DYYAKVGGISIQELNDMEESFLNLLDFELFVYHETFSLYLTEINEWTRSQNSINFNI >CAK68100 pep:novel supercontig:GCA_000165425.1:CT868057:65912:66449:1 gene:GSPATT00036883001 transcript:CAK68100 MPVDEIWRQLSKVTETVNRNVERMSQLLDQLITHTLSNDGDYIEIPQRKEEHLKEREKYA NDYKKCVLRELNDKLSWSITGSKNICNKCQMICKTRKGYLLHLLQKHCEYKFYLCIKCYD KFDNFNKFKQHLCNNHDDIMIFQLTEQLQQLIDHGAIEISKE >CAK68101 pep:novel supercontig:GCA_000165425.1:CT868057:66875:67371:-1 gene:GSPATT00036884001 transcript:CAK68101 MDTETKLTLLGTVFAGLFSGASIYINIVEHPARLSCGADIAHAQWMPSYKRAAKWQASLA FLGGLVGVVNYFKNKNKLSLKAGLLLASVIPFTLIAIMPTNKILLGQQQLEQETKLSLLT KWGQLHAVRTVASLISFGIFLFALAKK >CAK68102 pep:novel supercontig:GCA_000165425.1:CT868057:67921:69248:1 gene:GSPATT00036885001 transcript:CAK68102 MKLIILALLVSTQVFGLQTSCNKLDPKQISDLHDAENLVFSGYLNIFEESASVLAFLFYG HQSAKTVDDLKNYPTLIYLNGLLGETSQIGNFIEVGPIRINSKGTFEKNVNTWNSQFNLL FIDLLVGTGYSYHNTYQDIPTNAEQISAHFVYALEQFLKADDGCVKKQKFTGLETADWYI FGEGYAGKQVVHIASKILDSQSATLNKHLKGIGLGNAHVDANSLLREIPSFAYNLGLVEP RERSELEKIALRGIQQLDKKDYEATHITIQTAIGMIHQFSGEINIQNIDQLTDIEQHISN FKTYLNLQTTKANLKFDENIPFQQSSGEIINALGQDFAKPDALPKLKELQTKLKVLIYNG QNDILCPIPSTLRYLSSINDDFKTKKLEVQIVNDKPAGYTLTSGQLTFVTINNAGFHIPL EKGEVILKIVSQWK >CAK68103 pep:novel supercontig:GCA_000165425.1:CT868057:69277:70000:-1 gene:GSPATT00036886001 transcript:CAK68103 MNSTQQPSNTIQNQQRQHSKSFSVSATQKLSQQQKRVERSYEEITAEKQLSNVEQQRLTS VFNYLLTFNDDRIKPLEPGINADTLMNALDKVDYLLPIKDAELFIWEVDEDGDKRVNYYE FQMMYKRCIFDSTLLEPRNLFNIVQFFMYDKAKHGKITVEDTLELLFVRHGRGGLDEEIQ AIFGREEKNSDGTEKTLSFTDYYEKTRIRDFQRRKDAEQTRKEVKLPPLEDKK >CAK68104 pep:novel supercontig:GCA_000165425.1:CT868057:70037:71309:-1 gene:GSPATT00036887001 transcript:CAK68104 MQINFCTPQFFFNISQKFPFIVYDFREYQNGSLKNSIHITNLDRIETVADVQKHFQYPEQ ISEHQKLEKLFNNRKRNYNFFVPFDTSDMFSLIFKHKVKSEGSQACDQFQIPTKEVIQLW EEISKITQKNNTHQRKNRAQTMILQSVDDEIVEDPQQQNLFQSGIKQTIISSRRLLEFHE TTISSGPKIKFRSASQPQRMDYGDDCFYTNSQIFELTLKAYQVFQKEKISQIFILLDPIQ ITFTNYPFLNYSVVRNRGFLDKTFPNEIIEKKLYLGSGEHAKDTEMLIDILGITHVVNAT VEIQNYSNQCITYHFDNIEIYDEPHVCIKQYFDQVFQFIEDAFQENGKVLIHCAQGKSRS ACFTVMYLMRKNDWPFEQAYDFVRECREIVCINDGFINQLID >CAK68105 pep:novel supercontig:GCA_000165425.1:CT868057:71324:72883:-1 gene:GSPATT00036888001 transcript:CAK68105 MSNPYKFEDNSVAKEVGDYIIYKKPLGKGKCGEVFLGKSKKLNIKVAVKQSKHNGIQNEE RLKEIMNSREIQVMQKVKSENLVGFYDFKVSSNYIYLFIEYCDGGTLTEFIKKNPNISDE QIVDIFKQIANGFQALVKENVIHRDLKPDNILLHQNVIKIADFGFARFIEGNPEQAGLYT LKGTPLYVPPQIFDDKKYSNQFDIWSFGCILYELAFGKNIHESIFDLGQLKIRLGSFKNQ KVQFPRNNRNPKILQMISRMLEYSEENRITWPQIFESDMFLQSPKQFLEIQHEIQIKDNN KYQEKLQNLQIFTKLSEQFEKINCDHFFLLKWKCILFYFWKFSLENFRQKINEQNTQSFQ INQRNGKITSNKGCPTLTDLIAQYIDDLKVEVQKQLQLYCTITKQWIEKPKNLSSKTSNF EELSLMSNIEKCDNKQLLFFVEKYGLGTFTDEIDLNIKQMIKIIKDELA >CAK68106 pep:novel supercontig:GCA_000165425.1:CT868057:73082:74219:1 gene:GSPATT00036889001 transcript:CAK68106 MSSQIKTLRYCKIAGHQAKRIIKICVSKQTCPNRYLCELCLKQSHQHFDQCISIDQFLQR INQIKLNYKDANKIEEMASILKNKINSCMSIIQQQFQQLEDRIEGIKGSIRNSFTNISQV LDQLSSNPLGFNDENLNRNLYYLQEESWNEFQMSINKGSHLMKQYFNILHEQTLRFKLSS GHSQQGSAAQLLQVPEVAWHLKGIDQSFEAQFQNRSDVSRFITYYSAQSQELMTTNKQQS SIRILVYERGGYYNNGLKVGEWTEIVRDNPFQYHYITHYFNNFGELQNVVDINYCDLSSD VLKILDEYEQPNFLSNSNSIAKDLSSRVFLSPKAYPIDRVRLGSYSSIGKSSRKLEKYQ >CAK68107 pep:novel supercontig:GCA_000165425.1:CT868057:74358:75572:-1 gene:GSPATT00036890001 transcript:CAK68107 MQLKQEQLLQIVKEELLKKSKEKHVEKVVPLLTPDLVQYMIVNDLPIYEDHLKELLYDQS KEHIGTVIQDLEYKKNLKAQQDEMERQIFLKKTQKRKQKLLSLQQNNPELFLQKTQPQQP QTQMMQLQNNFAKLIQNFTQLVAAQVVQEIKQPIRKGKGNGKKKHKRPSTPEFEQSLEQD KNEVKQQPSQGQEQQQTISKQNSKNKSITEKKHYSPSKIVQKNQQIQYQQKPQQHFEQDL EEDFLSNESFPKQINTQKVPIQNPIQQSYLTNQQQSSYMDPQQSMGYSQSNYDSKLQKFE EQSKQPTIPGSRSIKYDDLQQDYSSGDLESYDDVEPLQDNKSKFQNQSNAQNQFQQSSDD YPDDFIEESNDRFQQNTSNFYKPKYQAPAPEQVDFDDDIEDLLL >CAK68108 pep:novel supercontig:GCA_000165425.1:CT868057:75970:76485:-1 gene:GSPATT00036891001 transcript:CAK68108 MNRRFKTEIQEKHLNYDQDYESILIVKEEENKNYNPSSLFSLQFYQKQFIQPQKQPPKTV NRLKSNKKTTLSSLKQAQAIYSPSLHEKPQRIHAPPTTFRIKPTNFKYNLNAISKQSLHT PSTRIQDSQHQSGYQTPLDIKMSISKIQQLLSSSKLKSGQLDFTKFKKSIY >CAK68109 pep:novel supercontig:GCA_000165425.1:CT868057:76912:77448:1 gene:GSPATT00036892001 transcript:CAK68109 MNKIYSKFNLERLRLQHSQLDTIKLDSKLSQYVQGSEMKRGTGKNTIRKVFGIDEQSKHE YDIYEKQQLEAFKKLLDYENQFLQDELILRFLYANQFDFDTTIQVKITLQKNKHMRLHHQ WITNPSNFRWTLNAEEIIVRNRSIYQEIRCNIYKWERFGFQANRCDKCGQTGYVYLPD >CAK68110 pep:novel supercontig:GCA_000165425.1:CT868057:77479:78453:1 gene:GSPATT00036893001 transcript:CAK68110 MVVKDYMLASGKVESWFVIVEAKNTTAYKIPFNHLNQIFDILRLNFPCYLERVFILQPQT SIQIAWQIVEQFIPYNSRHKIEFIENDYSLLFNYIKPKQLEQRHGGRAPNVYDYWPPHIE DFNEVEFQQKQKMETQKIKEQINVLQKVMPFSSNIDTTVSDLLRKQYKPKTKIYQLETYL DTTNYFVNKSMSNTRLLNGPKKEHVLSNQSDQQLLQQLKMQEVNMSLEQRSANYNYQQGA YFSQQPQQQATVPLQSRFVGSKTKSNESQGSILNAANQNGLLQSRFVGLRTQHLVANKDI SIIVNREDRILNQLM >CAK68111 pep:novel supercontig:GCA_000165425.1:CT868057:78646:80351:1 gene:GSPATT00036894001 transcript:CAK68111 MKWTLIIVAISMYSLHANSDLDTVKQLLTDLKTNVQLDIEALDAAWELHKKNKQSVIDGL GFSTQEQRSECQKKDEDVESKKRDIKITNDFVAWMEKRQRLNSNRLGVLEQNRCQSSNNY VHDIKNYKIALALVKFLREQLDKLDQNASPAQKQEFLQKVTKFVQIYQTGKLLTMVENLQ INNDGSYVLPTVEGDEYDLNEAILSSTRGKRNANKVLLQQQQTTVIASGDGDDKADSAVV LIPSQCDDSINTVIVVQNDDGTNSDDDLHIKFTNGGSSTGGHTKPKPAPKPHVDPVHQED TTGEESGSHSTDNEGEDDEETEEQGTNTEEQDDNNAEEQKQQDDNTEEQGETTGDDDGNE EGDHSDAAADDGSQKGGDNGSGSNNAAVPEEAEDLSDIRKVLDAIEKHSKKSLDLEQEDE VRSSMIYIDFKLHIELENQYFDKQIAGEKENLIKLTNQLTNRVSVARQCNARLKQISIAF QVSQDDYNDSYQHYLELRKSKEDELATFEDIYRIYTNQVV >CAK68112 pep:novel supercontig:GCA_000165425.1:CT868057:80360:81916:1 gene:GSPATT00036895001 transcript:CAK68112 MRLDTSYLAYLTKDELRVLVAVEMGMKNHEFVPVQLIEKISKVKRANAYQILQQLLKHKL VQHVAKKYDGYRLTYLGYDFLALSAFYKRGTIVQVLSKVGVGKESDIYKCINSDGNFVIL KLARLGRTSFRTIKNKRDYIKNRTQYNWLYLSRLASIKEFAYMEACHKNGFPTPKPYDWN RHAIVMSFIDGYTLCSIQELGDVDGVFVQCINLIEKFASHGLIHSDFNEFNLMITEQQKI IVIDFPQMVSTQHLNADFYFQRDLDCINIFFQRRYKANLHSDLKLQDIKVIKHLDNEVKA SGFIKSELNDNKELEILEAAQQEQQQFLQENEKFQQDDDDEDNIDQDQQDNDEQEDDEDN ENDNDNNEQEGDDKDDEDNDDEYEDADDDEDYDENEENQNNQDDDDHKQKEQNDNQKNKV QQQDAQIPKDLTKITELDQKNIKKFVQKKFRKKMVVKKNINKTKGIEDSKTLAQEALHYI >CAK68113 pep:novel supercontig:GCA_000165425.1:CT868057:81958:83738:-1 gene:GSPATT00036896001 transcript:CAK68113 MSQQFTYYSNELCQIHQEPCTNMCINTKCLQPLCPECIEIHFREHKECQIESLKSCRTNC SKKIRSSIQEMNQILSLQEMKTIENSSQYIELCRESLQQLKQQIIDKIDSYNKKLLQDFS NNLIQAFKESQIKEVYNRIKNLIQQLDELGTKLETQSDCQIKTIRSIYLLNTTQLIQSSN QEAYKELLKLKFPLLVVSQNFNQKLDELFGQSIQIQFKKTNTTMFKIEEKQQLNNQPINI QEAPSLNQTPLLSQISEIQQGKKQSEFAITVEDYLLPSVQNKVLHYFKYNSKELNLINLD NPKEIKKIKLQIPFQIPVFHQSIITKTGQLYLIGGTTIDNNYPNTKSNAICLFDKYSLAN VGHLLTSRSSHGCCIVGDYIYSISGFGQNQVLEKTCERYNYILRKSEYLQDCLYPSIASC CVNYNNQFIYKFGGLYEKQQMNFIERFNIKDMIWQAIDPQIANKDIPLFKGLKQFAAGVQ ISPNSLMIFGGYDENSVSSDQSFLFTIKGENHILHMVNSRPLKIPGGFWHQQCMIYKQEL FVVQNQENKDGETVETKTILRFKDRWLTC >CAK68114 pep:novel supercontig:GCA_000165425.1:CT868057:83883:85553:1 gene:GSPATT00036897001 transcript:CAK68114 MNFSLPDALALIKEGRANEAVDQLNKFIEYLQPLSPQTQLLGQQLGIDCEFFRIVNFQDF YDPDPQVQALRFKYYAYKVAKWGYQIIQQKKKVKRRHDLIEKSEQLGIQNEIGRTFGVDM ESKQLAESRLQSISEKLQKKKQIFQKQLLCQLDIEKRRTDYKVQQEDKIEKVRKALKSDY DHKIVAVKDHLEKVNEKLKNNHRMKKKTEDELINKRNELLKNASLIDEKINKLEEMRKEQ IEHMKQARSLKKQRQHSFQEKQNQQYEEYLEKMKQKLDQKRVVEFHSESHKDFGKRQVYD NYEKNLENIIIKYQKQQPIINNLLAKSIEQVQQKKQIEIEKFQKVKSNQKLHWSTPRIEP RKVDDVLERRDKRLQEETILRREIYQQRVLNFLQNRQQLQRLQSQQVERYNEFYTRQSAR LKNIRSSHEQMKSTVNFALMQANRIEEQTALKIQRAIQLINDPDLQMQFKPNEKLNTQQK VAKKKQEEMQQLLKSFVTSEVDLLRFSKSVTEVKNEENQQQK >CAK68115 pep:novel supercontig:GCA_000165425.1:CT868057:85596:87763:-1 gene:GSPATT00036898001 transcript:CAK68115 MIKLATRTINQFMQQQKDLFAHYKQPSIKEDSYVTGLKLANSLKGSELVPFIPINGRQVN FYYCGPTVYSNSHLGHARTYLGIDVIRRTLRDYFNYDLLSVMNITDIDDKIINGAKLAKQ DFLEFTRVWEKDFFSAMEALNIELPDVITRVSDYVPEIVTFIEKIIANGYAYDSNGSVYF DVQKYLADGHTYPKMRPEMNVDLLQEGEGESQVNKQQEKRSPNDFALWKASKPEEPKWPS PWGEGRPGWHIECSVMASAILGNPIDLHAGGIDLIFPHHDNSLAQAEACFNCDQWINYFI HLGHLNIADRKMSKSLKNFLTIDEVLKRYTPRQVRLNFAIHQYDAVMNYSEEQVEQAISK DKAYQEFFQNTKIYLRESQVTGPQKWTQNDFQISNSLRNTKQIVHAALLKNFDFPTVIEA IDKLINQVNAQIAGKTVRAPLVQSVVQYVHFILGLLGLNYLTLNNNSIDIKSIMAEVCSI RDQVKLAARKGEFEAISNIVTQKHFQYEKNLSNLIVDNINQFQQQVLAASQEKNKQQLFQ LCDKLRDEQLFELGIQIEDKDKDQPSIWKQYDPKELKLEKEQQQKLIQQKELIKKKEEEK KKQEELEKLEKAKINPKDMFLSQVDKYSEFDEKGIPVKDKEGNELSKKQKKVVQDLWEKQ NKIHNQYLEKLQKQQQQQQ >CAK68116 pep:novel supercontig:GCA_000165425.1:CT868057:87978:88947:1 gene:GSPATT00036899001 transcript:CAK68116 MDIELLTIQQDGFSTAFQQRNQKSSMEILIKQSNYICHSICIYQIMKFVLFLACYQHTLA SPLRNAIFFTFMHDVITFLFYMILDALANKFSYQELFKEEDQVLNIFELNHSLIFLTLQE LLSFFRPMNGIVLLYKIQQKMFKYPLTIIRILYYFTSAYYFIQLSNSPQEQSFLIMEQIL ELIISWVTTLIIMISIGVILVINITLGKQIGFRFQNRLQQSISNKFTEIKFQDLNQSIKA CNLDCPICYEQINDADTIIQLPCHQKHLFHSQCCKQWLFQDLRCPLCRNELECNAKTNIQ TELETS >CAK68117 pep:novel supercontig:GCA_000165425.1:CT868057:89101:91157:-1 gene:GSPATT00036900001 transcript:CAK68117 MKSAKSAYSLSTNLASQYTERVYKNKKPALRINTETSQRIKSAQIGSSSRKCYTNTSRTI ENQTQSKIPLQIYQRYIEESFMSNNHDKIVKEAKLVAPTVINHLNINFYETQQLSHGMSL YDKHPNLLFKMYETLINLDKNVIQELFLYEALTDKDQIGIKEKKERNITDNFRFDFFIKA INIMDDFITTLIKIIDDKTLAVFIEQLWKFWVVLLDLNTQWSQTRYKALLDIQVGAVESQ LKDCQFSLDALREKYIQKVKGHQLRVAVEQKKNLHLKEENLKWLQMYSELETKLEELKNL ENAEGEIRKINLDLKEMDFQFNVFQKNMNDQQKQVSTSVKNLASLLKRKDQKSFTEFTYE ELNLLDYPGFNDDYLLVNPIIFLLQIRAKDQKTTPSDFLSFLVQYIHSNPNMSGPELFMQ FIEIDFNKAHFLKDLIKTTDDDIINITKLILGIGKSNPVSHVVILEAIKLCRAIENQYKH FDQSQNATVNKRTSIILNQEKSVGHNIDLTQEIPIAGSEVTLGQQLYEQILQVSTTTIKL SKIMYIAIQFYAEKEYSKWMSCCYLWQQGTMKIESRWDQQEQFLNMDSFLVWQFVNLKYK NQKSQDQPTLDQCFNWVYKIWLLRPKIFIKPTADPIETQRSSNTIQKPQEKLSIPYKKSI TLIDSQRKK >CAK68118 pep:novel supercontig:GCA_000165425.1:CT868057:91309:94546:1 gene:GSPATT00036901001 transcript:CAK68118 MNPKMSMVLPLPQLKPENNDEYENRITLRLYIEKLLNQKVGRYLEIISGIASLASVGIYI VSTYFDSVEWLATLDIVVCSLYLTEYLLKLFAAQHRFQYIFSDFAIIELLTLFPLFTIQS VGNWSYLQRLINISRILRVFRVVRMINKLQQLSDIEYGGVTRQIYVIFSTVTTIIIVTAG VLYAFEFPKRQDLIASDPNKGCSNQIDKCHFHEMIYFTIVTLSTVGYGDVIPYSEEGRVC VIVLIIIVLVVIPKQMNELIRLMGLQSVYARSFYKPNHEIPHIIICSYVSVPSLKNFCNE LFHQDHGGQDKNAIILKPSIPNTEMEDFLHNERYEMFLIYLQGNPMVERDLRRAAVTQAK ACVILTNKQIVDSHSADHKNILIGLLIKKYVNHLTGCNIRLCMQLIKPESKMHYKQSLGV KMITDQIIVVEEFKMNLLAKSCFCPGIISLLGNLVTSAGEQKESLDSEWLTQYTDGMGHE IYRTDLSFKFQGKTFSEVAAIVYNEFSGILFGLELDFEKQPIIRLNPGVYIITNTKKVHA YIICQDKKVADLVATYDMTTEEIANYHFNLQQNASDKDNLNEEEDKTEDPLLSGKDQLDE QDITEKDYLLLNEPVSLMDATSIFLLEQPEINNHIVVCGIHPSIYYFLLPLRAKYLKEIQ YVVILAPEKPTEIWEQINRFPKVKFVQGSPLISEDLQRASIHCADKAVIFAQSSDANKTE SEDFLDQMHDAESIFIYKAIKKINPSIQIMIELVSSSNIQFLLDKDYKFQNDFKYELTPL QASGEVYISAMIDTLTCQAYYNPHIVTILQQILTGMRSSNPLIQTICDDLDIKDSNLYQV PVPEDYLNKTFGELFNYLSIERHLIPLGLYRLAKALDNKHPYVYTNPPAETTLTPRDKVF VLAHQLPADLSGIPFDVNSDPKQLNTKEVENNKALNFLLEKLKQQTSSQNGVNRVAKQKN DKTVIFQGQQIKQTRNIESENMNLNLASLHVLDQVNEQISIVKEQINDIKNSLLQKEDEI VEKCRRAIRYELGTVIQQQ >CAK68119 pep:novel supercontig:GCA_000165425.1:CT868057:95125:97257:1 gene:GSPATT00036902001 transcript:CAK68119 MKNQTLILVLLVCAAVQVVGEPVAVAQSFVANDFSDADGWTVAGAPAHVTECSGVKMFGG FGKFGARAVASKVFELPPHSLINLKLQFWKIDSWDNEEAYVFVDDQLAWSRKFQYNEGEG QKCGQGGDWKEMIVNLNLNIKHTGPTAVVVITSNLNEAADNESWSFRDFVLSVEKCPNGC AACQVDDKAENCNFWQSFTSSWTELNSNKLGADGWDVTGGLAHSTQCGPAGIFGGYDKMM RGAVVSKVHKVKPHYKLKIKVLWAKIDSWDNEAAQIKIDGKIVYERRFQWYEGYFGKICG CPVFEWKSMFVRTEVDVDHTGEQVKVDFTSTLDEIENESFGLRDLYIFYAACADNCAECT GPKDSDCKKCANNWALVGGKCQALPNFVLLEQSFLEDKFTGINGWILTNNKAGRTVAECN GKSMVGGFDIMGIGANAKKTFEIPPHKRLRLQSTIYKIDSWDGEFMIIKVDGTEVWKTSW NLQTGGANICGQGVWWDGFTNVDEIFNHQAPKAEIMFTSTLDQDAIDESWGFRDFKLWYE PKEACAIFYSECDFKGASFEFCSKSPNFQNDNIPPQIRSIKIPPQGRVTLYESTDYNGKK ITYTTDQACIQNFDFSLIQMSGHVEGGWIEVEQ >CAK68120 pep:novel supercontig:GCA_000165425.1:CT868057:97276:99448:-1 gene:GSPATT00036903001 transcript:CAK68120 MDQFAIGLFPLVYAGYAQMKIKGYKQVRNKPETIALKVKIGFQLQIAIVQLIEFIVALFH QDLLSALCSLFLIIGLFYSFGITVIFHRESLLTFLPFICLFNLICNEPILKLFDLLSLVL SSLLVIYQRQRGDDPLEYNPFCEFFEQQDSFIVQYLILFRFQPNAYSEEIELHELNESTL QDQLLVTHQTHQQQHHPASQVAQFLINQTRQSTKSDAKHLQPMDIKFSKDDDEIWDKKIE VVESPKQLNKRKVRSSQIIMEENSQSEDVSHPSFKEEVPKTNLHRRSQVSEKRSLSQREI KQDLDYEQRQVIVSDDENDKINKEETKYYDYQSSNCNQPKIRAQQQQVIEIVGIDKLGAD SPLKEQSTPQNFAYVEDNQYPEKLIVIPQQIQQELNKKKQQKKKKQKDEGLIAKRNRMIK RIKSFEEFQDKNDDNRSQNSDQFDDKKSDYERYKDELSSATLSEDESESGVYIQQKMEKV QFTVGRQVVKKLINYQHKTLIPITIKVKNDQWVENCQYQDLYQIANGHLPKPTYGDAEIE KKFFYIQQWLDGMSQNPILMRKEILEFFNVPESIINKYGLVKKIEEETRVQRNSNKFMNT AQKEYFLQIKQIKVECLSSETSDAFAGIIYFSLRFTIQTEKRSFVSECKRTIDEIKEATK IIQQERNLRVSLQDHETVKKQMKAIDQFLSELFGHQFYYCDKLFEQFGLLSQIK >CAK68121 pep:novel supercontig:GCA_000165425.1:CT868057:99473:99748:-1 gene:GSPATT00036904001 transcript:CAK68121 MNSTNTTRQNHHTQSSAIYVFIGVFVIIVLLVIAIIMLIQRENRRLHQRVRYSEMTQTKK ESNATLDGQSIEISQSEQQPSHPDKLVTFAQ >CAK68122 pep:novel supercontig:GCA_000165425.1:CT868057:99884:100174:1 gene:GSPATT00036905001 transcript:CAK68122 MSNKSVTQSERVNGESAFKTQITTKYKLTFIFYDINIENRKLIMSGYIKKKLLDRSIHSL YSYKKQKNNYPTLKVIVFKKPSFDPFDHTDYIQFKK >CAK68123 pep:novel supercontig:GCA_000165425.1:CT868057:100627:101244:1 gene:GSPATT00036906001 transcript:CAK68123 MQVPEYLNSSVISNAGSQIQTKSKHVFFQCILKLTTTLSLKSIIMNELKFLYQLPFPQIQ EYDLQPGEQIKKPSTNQIQKILNLQRLIKLNEKIVFTFRIVSEPSLLHLFDSNGCTLLHS AALNERNGTFKILLIKRIDYKKTYNEGRTILDIAIKQKNQILIDYIYQIKRKGLKELHSN NQIILNRISQNLKIIYLQVEPLEST >CAK68124 pep:novel supercontig:GCA_000165425.1:CT868057:101418:103265:-1 gene:GSPATT00036907001 transcript:CAK68124 MISDTQKSFKDFLETYAIRKKLPEKKKSTKLNPMFLLTKYQPQGNSIQIEQNFSYAITNS KVTHIDPPLSLRFKTLESEKNNMSGLVLFRKNRWRQKEKNSCLIRNSELFNSVIQKSSRF HSQQQLVPLKSQDQLIQGYLMELSRKRKVAPKPQGTDELLNYEVDQIYLDITRRDNILSV KFPNKNYPGVKQVFRNLLATAYDQEMFLDLTRLRVIHQGMQLTNAHFFKFKYHFLNKYML LNISTEKMFHCCERIENIRPYILNEVLEFEIYGGEDGIKAITKSMYKKILSDVTLAPYFE NIDVPTQELKFARLFFQLIYHLDSPNYSCEVLRERHVKYGLTNVQLTNFKYYLSLTLQKT GIPWKNIRQLLRRMDIYKYAIINKNDLQYYINQLGFNLFIETFVNSCAQDAMLSELIHRR GRQRFVAHCCNIFHYFFRYNIKAITREDLHLIHQKKAIINEKVFDKFKQKAVESVRNLTD DSLVLQDFIEDWDEIKPIILGETREQQILSLGQDYLIPKLVSILEYEFVQRHLNKIYETE EAEMSQSILCKLNLLLYGVRFFKKQDLQIIHKRFKITSLQYYDFAQCFKIALEEYKILNY VHQLIEEYEQFIVSD >CAK68125 pep:novel supercontig:GCA_000165425.1:CT868057:103287:105347:-1 gene:GSPATT00036908001 transcript:CAK68125 MSNVTSSLGCPFKYPTEHLKNDSKSMTFRDFIQQQQIKEDQKFQLTGRLSTLQVSPKNSK KSRCEKQLSSLLRQEPTNQFIIKHDIQTQVTTQDFGNKQKSFKIPELSPTQTLFHLPMIS DSQICEIVLPNTPVHFKFTPQQHKIRNPNSNSLRNVHEQLVSLRRPKVLQNHRELKQPLK TQTEIISKFFETKFQKIIKTQIKQYGSNELLDQHSESLYLRVTQDRQLKTKYQGKHLKYL KDIYKSILGIGYTQEILLDPFRMRSIHAPLFIKKEQFIRFKYLFINQYMEMETPVELLFK GCYKLENFKPLILNQRSDFEIFGGEYGINEIAKNMYEKIFKDYTLSPYFKAIELEEQAVK FAKLFAQLIDHTESPNYTLEVLRERHVKYKLTHVQLANFKFYLSTTLQKVGIGFKHLRVL LRKMDTYKFAILNKPSLQECINNSPGGYREFIDSFVRLCSSEPILFDLVQKRGKQRFTAH CENVFHYFFRDNVKSITDDDIESIHRNKTIISEKVYKKFKEKAMQAVSKVTNDPILLSDF EEDWEEITPILMNRPKKTIIKSFGGQGVVNRIAYKLENEIMQRPLLYKVFEDNETRVCPN LKCKLNLILYGMHFYKRTDIEVLHKRLRIREQTYFEFQQAMKIVMQDEPSKLQWVLDVID DYKKHIVFD >CAK68126 pep:novel supercontig:GCA_000165425.1:CT868057:105469:109755:1 gene:GSPATT00036909001 transcript:CAK68126 MIILILVAFLNICNGQLKCKDSHNLTVQMELTILIPNYDCILNTTEEALVNMTSQNASLV ITGEKLQLNENVHFEGFSAVQFLELEVNTNTHSLISDSPILLKKVTLLKHCRFLATHIKI ENSTITQNVFRNRQMFSSPVVINGLFIKDSSLFQSSITNHYLELQNLFLESVHIINSTLG SVDCINQIAIQNSFIASSEFLNCNIIQEFQLYNTFIILSTLIRASGSVHISIRNVSLSKA TLFILDNENQVQMKNINFSEITSDTSLITVNSPYLNITNMMNYQLKVQSIFQISSTDMFV KNITCQKLDGSILLSNQSQDLTLLINQFNLIESTSKRLFDMSGSFQIRTGIFKQNNCSLI NSLIIHEVWIDSMIFQDLNNQLILSVSDSNTLHLTNLTITSCEQIMFAKFNTVISVSLQN IKLSNCKECNFLVLNQTNTFIKDITILDVQNFFSTLIFSWNTNIVLKRLNLRNIYSNAQQ IVTLFESEVIELSNLIVNNVDCQYCQGIIKISNFHSAQILKSSFSNLSTSQGTLNIENTT NFTAINNQFINITAIDGSSFYIFNSSIKILQNEFINLAAKSKGGAVYHIQAYNTTYYIEN NSVSNVTSTKGQLTYLVTESITAQVTDFYIDGPVFFEIFNQTYSLNSLIRKQVFLSFQNF KSGKEIKFNISILDSDKNKLCKFQENIYLNNYSYHFDQYRCNYEITYLYYQQEPQDEQLV IIILLEQLKLYNNNPFSLQVLLNFTQCEIGEQWIRNSCQKCIYGTYSFDTQAPCIQCLPQ AQSCLGGAEIDIKPGYWRPHNLTASIERCKPQLSVCLGGKNNFTCGEGYLGAICQDCDYY SIRWEKSYARSLSNYCAECQFNLIDQIKPILAFLWISSVLYFSVCGALKMARAQLVGRYL RLIGLHFGTRSSMTIDQTEVLLKMISTYFQLLSILLVLNIKIPQPFQFLSQIIGNPLQTF GFQIECLLIKMQFQIEIVYLKQIWNLITSIIFVSLFIFTYFIQSLCKHLENSIQTMFINS LIQVLFYFQGDLIEGLFEILFCIKASGQYYIQASTQYICYTPEHQIYIRAYIIPVLLTIG LFKPLFYFIKLNRNKSKLWTCQIRLPYGYLFIEYKNQYYYWEFVRFIVKSLFYILSTLLI QDFKLLFLFVILVLLCYLELLNRTQPYLEYKFNVLDKVSTQLEIVSLILAYIQDDNNNQY IVDITNILISIINLIFILYFIYKILIELSLEYKKKIQEKLIALIERYPWLGKCIKKPVPS TMPARINYLWKKARMHVYNFISQIGSTSDTKSQSSTVYRSLDVSQPKLLFTLKPQLMKYL QCPHSHLPNQGQQLEISQQSSQNVSVNQSQILEKPSQITDQSLIQHKQEQNNKDEESSQL QRQASNKNIKKTTSVLIQPKLKVKVIKKQRI >CAK68127 pep:novel supercontig:GCA_000165425.1:CT868057:109990:111349:-1 gene:GSPATT00036910001 transcript:CAK68127 MKNCSQSFLFTTKLSQIIRNGCTVTLTVYPDKLSLSGLKKSSLSEKIEKEIDFQTTQYVL NWDYSNHSKAIEGFSLCKHDTRKYSFGGSSDQLSQLKNILGGLMAFCDWEKEFKYVKHIK NNQKCQIILAKQQNQFQVLKLIRHQEVNNQSYTKQNPEELQALKLIQKHPHPNVLGFNSY YIDDLNCYLAMDYLSQGTLYDLQKMYNNKLPMHIIQEIMQQILEGLNHLHQLKIIHRDIK YDNIMIASINPLKIRIIDFGLCMINQSPFSRAGTGGYIAPEVFLSTRINEKIDVFSAGAV FHKLLVGKPIYEDLGQNQAGNIRISSHIQNENALDLLHQMLNFDPKLRYSAYDCIAHPFF TDESDQLSSKTELFSYLSPKQAKLQVLTPATDFISNQNVYPFKLN >CAK68128 pep:novel supercontig:GCA_000165425.1:CT868057:111415:113496:1 gene:GSPATT00036911001 transcript:CAK68128 MNMHHGHQDSILNTSLAIVNQHHNTFDILVDDESEHKFWTNKRKYQFKCLLRRIVLMLYN SGPVYFTYLIVSLYIFKLLRKVDTDKAFRQQYMKQYVYDYMLCICIVCIYTIFLYGLFNH SELSEVNREYFHWAVNCVLFIMLYAIFITQKELVKIEEVEIEKAQQIRTQKDKEQRYVFS FNFSFLFSQQNFYNIGLGRAHMDFIKAQDSQDKDNLNTIQQFSKQVDHIIDKENLDPTMI YFSMCSDFINSEIQASPMAKKRKSKLVKEVEKAKSVLDYLQDLGFNGNRSVFQHFLLPHY IYTRKFLIPDSNLQIIVVITLITLKVLIPIFFLFWPIHPYTLIYSVIFMGFYMHFLSIIF QLVLNDDLKTRNYILQALNKIITIDMDQEDALHELIDITCSLSLQSWNLMRTVVIYIEKK KKIEYNYVYGFLALYGAMCFGGFTLCNFELPFMQAINYCDDDVLLYNIHADIVLSFIICM GRIYQGSNFNQTFSEIDANIHKLTAIYEDLYTLFDYYFKNKDIVDNRIYRQVIELIYTYQ KKKMQMPLQRNIKNKVDVIETEEMIIMRDKVAEIKSCLEKIQSNVERDVRKCHDKFIGIF DANFKKQMRIMIFVIITFVPSLSTKVYKYLSQMM >CAK68129 pep:novel supercontig:GCA_000165425.1:CT868057:113497:114477:-1 gene:GSPATT00036912001 transcript:CAK68129 MCEEFLYDRSIHFDITVSKLNMLKGEKTLFMWQDVEDIKGNPDQLGRLIISNVRILWWSE RNSSVNLSIGLGTIFHIEQKVTNNNRTFGTLFRTKLATGQKYEFNFHSSDKKLYESLVNI YRLYTQTLLHREIKVQFAMISQKKLNLSEKEQIINQYINTISVANDQQDVSGTLIFTNVR FVWYSATNDLFNISIPWIAVKKISKKTTKGFYTMIVETYQEFGGYFVGYRNNEIDSMIKE CIKLHSFYIENPIYGIEIQEKLQPTVQKFEEKVQVVQSLYNQAARYRANESNDTQNDIVY SPELGLAIQKLPEDAKLSDLWNIIKY >CAK68130 pep:novel supercontig:GCA_000165425.1:CT868057:114488:116624:-1 gene:GSPATT00036913001 transcript:CAK68130 MPKGHPSQRNKAFKGESKRRAKQRSEGKLKKKIKKQEIKQTKSKDAQQEIQIEKQAKNQE QKVKVVKAVNTKIIAMFPMNQQANIAALKFQLLQHLNVQSVGISSYCTQDCFLNVEANGK LIKQPLRFIFLDRNPEQILDACKVADIVCPVLSCRDCNTNTISLDPHSNANAFDEQGYKL LSNLRAQGLVQQVCYIQDLDEISQSKKSVVRKLFSRYFESEFPGSHIVDDCSTLMRSVVV LHEQPVEWRDIRGYMLPDDLAYVNGELSITGTWRGQNGLSADQLVHITGVDDFQIARIEL LGMIEQVFETAQPESLDPICRDKKHDIGDCDDLLKDIAGMQLQEEEEQMIEQESDVSYED LDKEFEEEAQKKREQFEIVHRDTEDLDYEDEVEYGVEVKLRERYDQYQGLKNIRTSEFDP LTFLPDEAEKIYNFRFLKKIKSDVLEEMKQSEQIKPGQRIKITLKNVPELIVQRLTQPNK IYIISGLLKHERKMTQMHVRFHRHQMHNCVLKSKHQVFAQIGFRRAHINPIYSRILNNCS KTKYVKEIKDDTFYLASFYFYNSFPQQPVIFWQNDFDFRGGEIMGVGDIQRCDTFQVILK RIVLTGYPVKINKRKAVIRMMFFNPDDIKFFKPIELSTKLGLRGNILEPLGTHGLMKCMF NNFVKPNDVVSLQLYKRVHPKFVNIQI >CAK68131 pep:novel supercontig:GCA_000165425.1:CT868057:116710:118932:-1 gene:GSPATT00036914001 transcript:CAK68131 MGAADSKPINKLFEHYSLLNVHNNDFPECKILQHKHDPHQQLILRAINITDEDHFKKTIH QFQSRQKINHPNILNLSNYFYEFEQQLCGQFYKVNLLFEYPQSNLDRVQTINEIQLIDYL KQAISGLACLQRNQISHNTLQLRYLFLMNGVVKVSDPQYFQQNTNYVQILQNPNCMESIY LSPTLVNTIRSNNWWPKHNQYKSDLFTLGMLFLHLSLNQVNSDCYNYTQGKFLEDQLTIK LQKLRTRFGQQFCNFISMMLIIQEDQRPDLIQMEQIINQQGNSYYSQQQVPNVVPRQIIQ PQPIGQMETIHQPNSNSLQLEFAYNTQNNQSRRINQVQAISPIEYAHQYTIKTNSSQRSS TPVNKYTIPIQQAQLQTRDRSLPKQKTQSQNASSRQLNYEYQNKNNQLYTHNKNNAIVTT TTTTDQSILSNKNSIQQISLPPKQSMHQKNSKSAQVNQQTESNILPIQVFPASQDQCDSL SKIFHSEMCSRPSKFDVNIDAQQDEDINTQRQGKFESSKILSDSTNLPQQIKNTITKEFS LPCETSQIKVEKADGAEFVVEHYGNGSRYEGMKMNGMRHGQGRFYYQDGGLYDGEWKENK MHGEGKLYYGTGQPAYEGKWNEDQFDGFGTLYNEHPQMLEESFDYRDFDYVEDYWIKYSG NFWMDNKEGQGSLHLTNGERFVGQFERDLINGRGVFYRRDGKMMEGRWVDNKLVY >CAK68132 pep:novel supercontig:GCA_000165425.1:CT868057:118937:119557:-1 gene:GSPATT00036915001 transcript:CAK68132 MQFFTPKFSFVVHKTFKQKLLARKEKRRFRGLNIYVPEFTGEGSIHPWLDAKRIKLFTKF YEDHRNKHRFTFKLSPEDKKKLNEVMQNYAELHYLRMLQEKYWLDKHAEVMAVVQKEVNN LPYILKSELDRKLSEKEMEYYDRPHLDADSIYFEQRLRTLPEEEAINFELAQRLFRIAQD RLAQNE >CAK68133 pep:novel supercontig:GCA_000165425.1:CT868057:119634:121682:-1 gene:GSPATT00036916001 transcript:CAK68133 MKLQTSSSQEYLSSRPFETKQSKNIFTINDSRYKHIKNLEKKNKQLTNKLVDLQTDLAQI DEFKIAISLDRPPMKNSRALFFSTNDVKKGKLQIEPQEINDDFHQRRIEIMKAQLLKQQR YIKRLTTILQTTRKFYEDLREYMQYFSSVLRQSAQGNDYYIVEQKETITSQQVIQSPTNK EVANQNEELKQQKNQIQKKVQFTLDDSFDRQQAQSKIQRITKDKEFIEVAEKQQQYISLK NLLQQFKSNEDRENFISTFTLAYQKIIDFERGNQDYRNLLEVQQQGKNRKVFYKHPMRSL IQRYGQFFPVKSIYTAFPMKDRERLDHFLISLEGMSSQIQRDLQKVDAFWLFKSNPFFFK SNDYRQSIELPVQILEEPQLFDEFLAEPEKHRVINLDQTMLSNLEKDLLQLMDSLMEMQK KFQSECNDLQFLQKPQITRISDQLRKSLESLVCLSSMIGNNNLQTINKMYVIGQKDAYLY QHQIFSQNDFDSKYQMLELLLSDLCSLVQVNEMIIVKKALMMLKSIKNIYEIQKMITTIR ESEITLLKQKLDLLLQDYSGVNAFITSAEQQVKGFFNAIGNKFAQVNNVCNVFLESEKIV DSQFRKQFGQNLKKQLKDITDYIAGLTSIERNDNCINIKQLQQEFDKKVSTFYVKSEQLQ RMNEQISKRLQLA >CAK68134 pep:novel supercontig:GCA_000165425.1:CT868057:121709:122586:-1 gene:GSPATT00036917001 transcript:CAK68134 MNAMITVIAKGIPKTKKLCCDNIALYLSQYGDLRKRKDWINQLFEIYFKSDSYCNRITFI NIVESFSEYISKKLFKQYEFFDILIFSKDPVVNVRMRLIKILPLLYKKIDSDDLTILNMF NEAVQDCILSGSRSFQFMIQQTKEELLKPNDENLMNKRDQEMMEKEEIILKNDQKQRQLL LDQERDDLEINKIDLNDYLNKYKKKYPLSKIKNSNQSQTNLLKRQQIQNGASTLIIKKSV DFDSKSPLLECTQITKKPVLKSKTPTTKSICDIKKQFKLPSIKK >CAK68135 pep:novel supercontig:GCA_000165425.1:CT868057:122600:124109:-1 gene:GSPATT00036918001 transcript:CAK68135 MFFPEQEYKTSTKVDILHKYQSEDELKKLTLEEDLSECQRSIYILTKGQQLQKKAIYSNL HKILKEPNAFEFLFQVIIEEIQQQEEDNQIIAAKSLQKLIKENSLSNQELLQIYDLTTQI LKIWSLPVLNEWVLTMDTLLRAIGINNILIPIQQLILLLTDSSQPSMSRQSSAKLMGTLA QLLGNEIKGPILDRARSLCSDHDKEIRLIMADDVLIKVCQSISSDLIECYLMEKIMELFY DTDQIVRSSGMKLFFTIANNLSAEEIKNRCTKQFIDSIQSQNEENRLVMSKMCGRIFHLV IIYINNKQIKDHLNQQQFTLFLNIYMSYAKSKNIDVRVNFISNFPALLSLAAKKFEYFQE SYLQCCNDTNEFIVRTVINCFHEVVLLSENTEILFQVFMNFMKSKSVTILEILILRFASI INSFQKTISNNRNLVNQHLNYLIVQLLEIFGISKQNFLTNSKKMPVDFQ >CAK68136 pep:novel supercontig:GCA_000165425.1:CT868057:125457:126462:-1 gene:GSPATT00036919001 transcript:CAK68136 MDQVIGAVINEYRFLKVLGTGSMAEQQSKLFKSVYHVQDVNSQVNYAIKVYTCPASDIRC HNEAKILEKLSHSNIITLYKNLQMKFKGDSGEIITMDCLVLEYLEKGDLFEFIAKTGNFG ESLCRYYFKQLTQVVDFMHGQGFVHRNLKLESILLDRKFNLKLCNFACASYLNKYKDGKL KTKVGTKNYQAPEVLYGAIYDGVKADVFSLGVILFIMYKGQPPFSKANQQDALYNLIIQE NHKQFWEIHSQKMEFSFDFKELFLGMVNPNPEKRFNIEGVKNSKWFGGVSKTIQDAYEEM INREKYILKV >CAK68137 pep:novel supercontig:GCA_000165425.1:CT868057:126560:127192:1 gene:GSPATT00036920001 transcript:CAK68137 MNNQKSDDEKYKKFQCKICLDLATEPVITPCGHLYCWQCIYTWAQKKNPLQCPYCSNVFE LDKVTTIFTGDSQQSKKSEIPKRPTNPRQEQTNQQQQFGNFSFGFGFGMPFMMMSNFNFG QGQQANARGFMLIFFLGFIMMNLLPILNFDFYFSETSQQTQRRSRRHQDKNDDYFTIFGI GLAITLGFAGLSYLVKRILNK >CAK68138 pep:novel supercontig:GCA_000165425.1:CT868057:128428:129964:-1 gene:GSPATT00036921001 transcript:CAK68138 MQTNYSPPSTPSNILEKLKHYRKSQMSSVLRNLLNSPPSRPTTMQKPQSKLQSFSQRTSP VPKDLSVFERTQDQTVKHLDFSNLHEFEIRTPNMTPHSPLNSKNQMSREQKVNSFINTQR FNKNDKRYNIALFFEENSLIFQDIIRRKDVERIVNLFLTPMLLAQQENLTETFSEMILFL ATFFLDCQEYSKATYFLKDCYTLSNLTRNPLLKVKTLLALAQCAKQYKLFDQQILIVQKA LMYSWAFDYHDLEIQCYDAMGIAYFYQGNIQRAEFYHQKWTSGFLESPKSYYRVTALEFI SMFEKTLPTKAIDFMSSIQGSINIPFINIANGKYYDDRRIIKYNNCNPIEILTTLVATKE FKEFNLVHHEQTQTINTIYKRQPKLPKKILEITEKYHQNKELYEFDHKIYDNPKYKLSLQ QQVNHRITQSFSLQHVNQNIRKFIATQREPFIKAQQIYIRANNIQKEFIPAFVARYKKML LQILK >CAK68139 pep:novel supercontig:GCA_000165425.1:CT868057:129983:132000:1 gene:GSPATT00036922001 transcript:CAK68139 MEPDPKLLSLLEKVNNPIELFKIQITVKGVYGFSEDWKLTDETNPGIFANVVRYQGEDMF GKIKGRELTEKEKFEEYQKNSKKNKKDTKSPEEEEQMKKALALEEEEELKKQQHLGTLQE QDKLFFISEDKFKTISIQYEETHTIEITNVRQLEEEILENRNFIYFIRQPAITEEELIKL RKGKTKNLNNAELTQIIFKGAFDLSDLLEPGCTRTTVRAFLKQEENADCPKYNSDKLYVK VEIETEPALTPLVEDIPKLDIEPIIVQRIPSKFECVGQFQRDIKEAMKALDEEYSKLYPS DQKVKVSANLTVQKRQELQKKKESFLNEINQSGKYKILQDRLRSSIIRVCIDKFAKEGLF VGVNKDEQDRLFAELYVFMMEEMQTTLGEYVLNNKEDIHEDLYQTYDQNQRDRDVVFQSL KEQAFKKFKRFAEQYETINQVDQALKYYTNMVLVDPKSATIFAKYCFKIQRFKAAEQLIQ MVRELEWNKENELLMACLYIRRERFKEATNIVQDLLSKEPINTLFNLLMAFIYKQQGNQN MADKYLRCTQRIFMRTMGLLTKGFPKQQPDPHVLPNFKQQMIEQQEKAKKAPVLTQEQTD QIQLELIEYFASRSLFDLAEKALVNIKDKTTQKINIIKALDSYFQ >CAK68140 pep:novel supercontig:GCA_000165425.1:CT868057:132243:132758:1 gene:GSPATT00036923001 transcript:CAK68140 MAKAIFWNEHQTQQSSFQISSLTWLGLGISNLRLKDPIAEECLCQASIHEPFNGEVWGYQ ALNCLQQKRGQQAKQCLQRMEQTEVNDLDLLIELAEEMNKCQDFESCRQILMRVYNSKVK IPNMGQLLKNLGSVHAELGRKEEAKQYYEESLQYLESGNEKAKVIQEMKLL >CAK68141 pep:novel supercontig:GCA_000165425.1:CT868057:133501:134812:-1 gene:GSPATT00036924001 transcript:CAK68141 MNQDKQFYLYTIVDQKPDDNFSVVGVIDGSGSMSECWEDLCKAWNHFLLDIQSSYCIQFD DTAILQQNPKLNQQKGEGTNISLGFHELIKLVKSEKLKKNVIVIFITDGVGEDKLEDYID DLAENFSLFHEQEYQFKFFTLAIGETFSHTIVAELKNRIHNSTLISSQMEYIQDSKVDFA NKLQQIKEEIFYKLVRVEPPVSLVPYGKKLRRLAPNQTIFSETPIIKVNGEEINLKQYQI SYHDLYQFVNQVFLKLMEDSTRGLKTIKEEATKQLQIINSLIKRVAQEEGNDYKQDIDET IQKIKAFSLGQQQLKLLSAKDAAQSITKLQSAILAKNFRRQDNYEQKPVPNEKLEKAKQR LSVMKKSVKIKTIYTQIEQFFKENSNASPQLLVKLLQEKRAGQNLQRYALEYQSECTILF ELMYICNQ >CAK68142 pep:novel supercontig:GCA_000165425.1:CT868057:134994:140420:1 gene:GSPATT00036925001 transcript:CAK68142 MLTFTVQYDGKQFNSECQSYRKVQDYVDKLISTLKLSIQNKQYGLFMNNNELQRDKTFLD NKVIRGALLELRITKTFEYAFLKVNRNGKSFWPHMSLAAMAQELYQVTAQEFKIADDTFT LFFQGIPLERNVQLAQYNIIGNSIINCENKEFAKQDDQVINQQQNILNAKNITQEQQNYS QPEIQQQQQHFIVILEYREQKQVIEATLDTYINEIIEIAKYMYKIQENIILKLNQKILPN DQNLQQLQIKKGYTLTIEIQQQQIPQQQQISQQQQIPQQNINIILEYINSKTQLEVAKDT YIQELVDTVKQLHKINESIVLKLNQQILPNNLTLEQLQIKKGHTLSAEVQQQQQPQQQLP QQQTRQQNIIIFLEYLSQKTQIEVTVDTQIQELVDTVKQLHQINENIVLKLNQQILPNNL TLEQLLIKKGHTLSAEVQQQQQPQQQMPQQQTRQQNIIIFLEYLGQKTQIEVTIDTQIQE LVDTVKQLHKINENIVLKLNQQILPNNLTLEQLLIKKGHTLSAEVQYQQQPQQQMPQQQT LQQNIIIFLEYLGQKTQIEVALDTCVQEIVDIAIPLYEINENIVLKLNQQFLPNNQTLEQ LLIQNGHTLLVEVQQQQQPQKQMPQQQTLQQNIIIFLEYLGQKTQIEVALDTCVQEIVDI ALPLYEINENIVLKLNQQFLPNNQTLEQLQIQNGHTLLVEVQQQQQPQKQMPQQQALQQN IIISLEYLGQKTPIEVALDTYIQELIDTVKQLHQIKENVVFTLNQQILPNNQTLGQLNIL KGTTLTAEVQQQQQSIQLIIYHSNSQPLPVEVDQDTKVSELAIELQGYYQISQILFTLEN RQVLDQLLTFREQNILTGTKLYIQQIYDTPTQIPSGMQEIVLIILFESQTINITIPNNSL VKELEDMLKKNWNLNYEINLFHNDKMLNSNETFLKQQIVKGSKLVVKQALAQKKQIQLSL VYESLNPLQVEVEDDTSIKEFEDLIIEQYKLEKGLIISYKEQILDSTKTLLDYQIKDNSV LNFKQKANQVNLVIQAFHQTVDFNFSKETKISNMIEDIRRRFNSVDQLMIQKENGEILNP DFTIKQYGLFDTQTLIVIPKQNKQPEQVMPIGRKINVIVDYNGKKIQVEVSDNTVVSQFI EQIRPNLQLQGEVQLNLEGQQVLNPFETLVNQKVQKGSRLIVNQMKQGSSPINIRSLFLT IDYKGNRTTLEVTDDTLVSELIFTMKGNLQIKEDCNLCFENKKVLQPNSSIKDQNVDNNL VLYLIPIVDNAQKQPSQQSPQLLQQEIFLNFYYQNQTTDLKIDPNILVKQLIEGIQSNLN LQEKFQLSLDGKNILNEEQSLAALKIQDKSTLYPIFDQKPQQQQDQENFSLYIDYQNNQH QIVISSNSLGNELVEMVKKITLNIPIINILIDGKTPLILDKTLKAQNLKNHSHLQVQVQG QMYGSQLQWQQQQQQQQPFQSSQQQKQQFPTMNQNPFFQNQPNNFQIQPQNNKQGPPINQ QLNPFQKFGPPNQQNQFDGSNQSSQFLNSSGYQQQFPLQSQAGLSQQFQRFPTQFQKPQQ QPMGGIPQQQYNLTLSQQNKPIVIQLKYMNQFSRQEFNKNNSVSDMIKRCQEIFNIPQEP TIQRNGQDLNSSQVLESCRFQEFEILEVVLKQSNPFILNISVQNNNIQVETDLDQQIFEF MDELKVAYSINYATELVYNQIQLLPDKTFRQQNIPNNSYLILRQKQLNGKLKITQSLNLM IVKVRDGMNLIQIEIPPTATVQDFEKKVKEKIIINTNCRFQFNMMILNPQQTLAQQGINN NCEVLLLR >CAK68143 pep:novel supercontig:GCA_000165425.1:CT868057:140457:141068:-1 gene:GSPATT00036926001 transcript:CAK68143 MKKFQFLDKNIRQQRPELNFCTFNYIFAEYFHSISRYICYLKRKHGNDYDEMNSKMEQLG VSVGIRLYEVVSLRERNKRETKLVEQLRFIQGIFWKHLFGRQAESIERLKDRPNDYLIRD ENPLLLKYISEEGHISPAQFMCGILKGVLNASGFTCQVSYQFKTDERGVSYYPHTVFILS FEDARDL >CAK68144 pep:novel supercontig:GCA_000165425.1:CT868057:141093:142254:-1 gene:GSPATT00036927001 transcript:CAK68144 MTETIENLRDFNYFKLNTNKHVLVEYIWIDGTGERLRSKTKVYDTPIKSLDDVEWWTFDG SSTDQAITKFSEIYLKPVCMVRDPFRGDPHKLVLCETYKPDRKTPARFNFRWIAEKIMQE ASDIDPWFGIEQEYFMLKRTGTTHLWPLGWPVGGFPYPQGRYYCSIGERNNFGRALSEAH QRACLYAGLKLSGINSEVAPSQWEFQIGIAHGIEAGDHLWLARYILERLGEEFGIDINYD PKPIQGDWNGTGAHCNFSTTKTRENGGYEYIKEFMLPLLEKNHQKMMLLYGLNNEARLTG KHETGEYNQFSWGDGSRGCSIRVPIITKELGKGYLEDRRPAANMDPYLVCSALVDATCMN GKNLMTLIEQFAESLKQL >CAK68145 pep:novel supercontig:GCA_000165425.1:CT868057:142306:142959:-1 gene:GSPATT00036928001 transcript:CAK68145 MKGKVALIIDYDCQDFIKKGNTTIVVQEQEDFLLELMGMKKGGFHQIQQNQQNDEEILEV NLISDAQFYSQISYGAKTAVIGKQYDEFKLTSYDQYQDNLFENFDIVIAFKDQVKVLEEI QKQKLHHIYFIISNIKQYNNDIEFPLQSRNQFKYQQLESQGKQIALIHYSNYQMRVDDIE TLEQINEFSYIRRNVMRLQCLWRELLTQVGRFPKYGA >CAK68146 pep:novel supercontig:GCA_000165425.1:CT868057:142985:146218:1 gene:GSPATT00036929001 transcript:CAK68146 MKLSQVAPMPIKQEVEDFENRVTLRLYLEKLLSQKWGRYLDIFSGFISLTSCMIYLGTTY FSNVDWLSTIDIIVCSLYLFEYLLKTFAAQHRLQYILEVNSAVELFTLMPLFILQEQENW DYLTRLINISRVIRFLRVVKTISKYYQIGDNEFGGVNKQIYTISLTILTLIIVTAGVLQA FESPKRKELIALDEENQCGASVDECTFHEMVYFTVVTLATVGYGDVTPQTEEGRVCVIVL IIIVLVVIPKQMNELIRLMGLQSVYARAFYKWNPEVPHIIICGHVSVAALRNFCSELFHQ DHGSQDKNAIIMRLTKPNTEMEIFLHNPKYELFLTYLQGSPMVDRDLKRAAATQAKACVI LTNKQIVNSQSSDHKNILIGLQIKKYVNHITGGNIRLCMQLIKPESKLNYRQALGLKVIT DQIISVEEFKMNLLAKSCFCPGIIALIGNLITSAGEQEGDMEYEWLNEYTKGMGHEIYRT DLSFKFQGKSFSEVATIVYNEFKGILFGIEFDIGKYTIIRLNPGSYIIPNTTEANVHAYI ICEDKKVADQVATYEMTTEEIANYHYQLLQKSKQKEKLPDDEEEEKFDDPLLYGKDSIEE AEMLEQDYVLDPEPISLMTVTPTSLQDSTEITNHIVVCGIHPSIYYFLLALRAKYLKELQ FVVILAPEQPTKQWEYINRFPKVRFIKGSPLTSDGLLRANINFADKAVIFAQGSDQSIDE VGDAIDEMHDAESIFIYKAIKKLNPSIQIMIELVYSSNIEFLLEKDYQYQNEFKYEFTPL QASGEVYISAIIDTLTCQAYFNPHIVTILQQILTGQRQSTQVIRAICEHADLKDSNLYQV PVPEDYLNKTFGELFNYLSTERHLIPLGLYRMAGAVDNKHPYVYTNPPSETKLTHRDKVF VLAHQLPADLTGGSNLDLKAMQMEGKQLDIENENKGLIQDKFKNQIQNGMQKTLIKQKND KMVVFSGVSKSHKILDLDNLNVNSTTFTMLDQINETISLIKEQIQSVQTNLLLQEDEIVE KCRTAVRYELTTLIQ >CAK68147 pep:novel supercontig:GCA_000165425.1:CT868057:146849:147358:-1 gene:GSPATT00036930001 transcript:CAK68147 MQKAQQTSRNLTQTQYDLQILGKASPINQKSRDQGFYSTRYKTSNERKSKEQGVLCDIFE NVHINRTFNCQSQINSFDNPQTRLFTPETRVLKRRKNGKVVFQPIPRYSSPQRTYESPAL LNITSYTHRKPPKVRRVINLNMLKDGCDKRPFFSDKGQNLYEFVYNYYQ >CAK68148 pep:novel supercontig:GCA_000165425.1:CT868057:147397:148127:1 gene:GSPATT00036931001 transcript:CAK68148 MSFALPSSKLPLVYRHPYQGFVNVLPYIDGELDAKTQNIVDRLIQQEMSNMDPQDYLHEL PMPQTKLTDLLKSEMERVQQQQPMNKIDFEQKPNFNEEFQSTHEIQEANQQLNVLNQYAQ INIINSELLNKYGKESWALLLKSQENEKNRLSNEVVKQQQELNHINAQRKYEQNEVKYKL DSLKAKVQEVLTNNAQLEVVCGELEQEIYDKQRKKLKLN >CAK68149 pep:novel supercontig:GCA_000165425.1:CT868057:148811:150181:-1 gene:GSPATT00036932001 transcript:CAK68149 MNYSDWFQKLNKDDKDQDGITNELSQMNIYDLIKGIQILYLEIKRLNRLQEIQGSAFYYK ERYETTLKSNQDLSNSNKELSNSNQELQKGKQDLEKQNIELYKFNQELFGKNQKLLSNYE EVVNHFQNIITQYKKSTIDEFARTIKSEEEILGKFQTIQNQLEHQVEIKEINKTQEEIQQ KSQEIVYQMKNFQKDYNQNLIRDQISSAQSVSNQTIIDKIKQIVDQIKLVLSISDTLLNK LSLIVKSAKNQTLIQQISLVQNFLQHNNVIYTKCIAILNTFACAIEKHEKKIQYYKEQLQ NEQKYRVECQGQMEQQKKNYEMIMQSRRQNQQLNQPENQNRFIKQYFQIYQEYFTLILNL IEIQNFQFPKIELEITNWKQELRKEFTDLKLLIEFAQKQYQSLMKLANYITQENKLKEIL YSQNNFFIAYSKILKELSQS >CAK68150 pep:novel supercontig:GCA_000165425.1:CT868057:150480:151519:1 gene:GSPATT00036933001 transcript:CAK68150 MQKQQNEQIEEDEYEEVISYTSLEKLAIPGFGAVDIQRLKDAGFTTCESIAYTAKKNLMN IKGMTDAKIEKLVEAVAKLVVNQFKPATDVLKQRERIVHISTGSTKFDKLLRGGIETGGI TEIFGEFRTGKSQICHTLAVTCQMNDGKGRPGGKCLYIDTEGTFRPERLSEIAKRFELGI EEVLENVSFARAYNVDEQMKLLIQACNLMSTDKYALLIVDSATALYRTDYLGRGELSARQ NHLGKFLRNLQRLADEFNVAVVITNQVMSQVEGTMMAMGDQKKPIGGNIMAHASTTRLYL RKGRGENRIVKIYDSPCLPESEEQYTISPGGIDDCAD >CAK68151 pep:novel supercontig:GCA_000165425.1:CT868057:151564:152254:-1 gene:GSPATT00036934001 transcript:CAK68151 MSQLSSVERTTMIELFSTFFDRLSSHNPQREQMLKSLYQFKALLYANDAGIEKYLHDLQG QKSPLKRDNSQTKKSSSIRKEQEAISRHLKRKTLGCGHEIEAMDQSGECIICCGQSQPFD EFKQKCSNVFRALQQLDNDDFFKCLQSLDDPLMTSLSKQRCQSSTGELFKDPMNYSRLAD QQIQQIIRKCLRCNKDVQNHDSKNISYFCNSCKNF >CAK68152 pep:novel supercontig:GCA_000165425.1:CT868057:152389:153029:-1 gene:GSPATT00036935001 transcript:CAK68152 MFLKREAPQSSNKFNSNRYITILYPFRKDTRAQVPSFENLQARIRDFSYSRLSNVVTTQP QRSEPTYSPYKAQGLQQKITDTLGPRTKSFNSGHKWRESFQSPSISSQSPFKFVQSPPQI KYSIETESYTNKPSDSFKYDSFRTSSEQYKKRQGRSLADVVQLNEIVALRNKIESSYVSR STLTSTYVSELVKLAQAITTSLKNE >CAK68153 pep:novel supercontig:GCA_000165425.1:CT868057:153073:154233:-1 gene:GSPATT00036936001 transcript:CAK68153 MSFSTTCEFDHSKNIHRKFNTLHVSSRKHVDPLITTKPFETRNHGQQFEQHFAHYLKGDL NLQRLIQTLNYPRLNAEQQIQPKHRMTLKIRQQTEQRKNYLKSKIVKVRNLINEKVAIKK PHNEEIRQGNAQTLTIVQTILDIANHKDNSMGYQEKLIPKTKSLKQYRLNYDNVEPLCKL QQQVIQTIPPRSYLKSVLENNAQLYLQELEAQAEQHENNINKIVRLSIQNKRNDFTLFHK AAKTDRRNQDGILTHADVQLYDYLYNKPKGVMIQKHPKYIQNVIDQEVDFRKNFGRKIVN RKVKRTHYNQDPPDNPDDSCASSLQSACEIKLDNYYSETREWKRKQFLQLPRRIKQLIAF GRIELVILTYSSRKAIHTTVNKLETQ >CAK68154 pep:novel supercontig:GCA_000165425.1:CT868057:154248:154846:1 gene:GSPATT00036937001 transcript:CAK68154 MQIKEELINVIINLIEELFECKINSIMQEVNDKLTRMHQDIENMQDVLVKHFSHQIAHSR TESLSETVDTAEEDQFKKSINAELDSLGLRVQQLEYMNHIILDTQSANKSNFKELERKIQ PLQEQINKSIEEMKKDLKDKQTFQWTVLNDQIERVEKYVQKLKQADLLFQQKQQLQTQTN KKSK >CAK68155 pep:novel supercontig:GCA_000165425.1:CT868057:154875:159053:-1 gene:GSPATT00036938001 transcript:CAK68155 MKRLFFLINIYITIGLLICQDEQGLTLQLDKSITIPNYNCTLNVSNEKLINLTSLGGQLQ ITGTELLLNQNILLQGFRQVEFQNITIDTQTKVLSISTQVLLKNVTLLRNCQINATKVLI QQTTMTKMTFQYYPVFDSPIDVDGLIISDSTFFRSSLVQKQSRLRNLQFNQVSFINSTLA THAQLNRITFNNSFVIQSILIATDISQEITIQNSYFTLSTVFQANGTLYTTIINVTLSQS TLMNMQSPNQIQIAGNRFQSIISESPLIVAESKYISILNTTMVNIKSEVILYLNSQNILI KQFNLNDLQGSLMMNTHNSSNVIINQVTLQSSQSVNPLFSVNGTLSIYKGSFDSILGTIS NKNLIQELLIDQVNFTHISDSLLFDLEWTSLIQITNLIMSNCASTQFAKLSNIVNVVIRM FKMEDCEECSFLHIDDSQIELSLIKIFQIRNYLIPFIVIQKSTFTIKQSQIFNVNQNNKQ LLKLEDSNKVVFNELQLYDINCQFCDGVIVIQNSMHTDIQKCIFRNSISQFGYMNIDNNT NLVASENQFTNITSSYGSAFAVTNSSIKIYQNKFIDLTATEGGVIYLNQTGDSNHYIEFN QYENCTMKTNKHIYLITTQIVEPDVLIYNTGPVYVIVDKETYLLDDLIKKNVIIEYDKIK SGQMFEFIIALLDSGMNRLCKLTNYLRIDEMIFKLDLQKCHYAISYTQYQNLPKNETVEV QIEFPQLEFYNDTFKLSLHLGMIECGIGEEWTNQTCQQCPVGSYSLTNYRQCLQCITSIE SCPGGSQLIVKQGYWRPNNLTDEIEYCGTSQSQCLGGAEDFTCSQGYVGALCSDCDYYAV KWNASYTQNYSGNCSLCQNDAFNLLKIILSFLWILVVLFISVRGSLKLVRSQLSAYYLRM MGIFFASKSTMVIDQTEILIKLVSSYFQLISIILIVEFDFPTPIVYFAQVIGNPLSTLGF SIECFLLHSHIDIEIVYLRQFWNLFVSLLFVFSFVLIYSILQLCKKQQLENSTKTIFITC VIQVNFYFQGDIIEGLLKLMFCIKASGQYYIQAATSYICYTEEYYFYLEIFIIPTLMIVG VISPIFYIVKLCMNRNKLWTCKLRMPYGYLYVEYKDRYYYWEFICFFVKSIFYLLETLLI QDIKLMFLFAILVLLIYLELLNQHQPYIEKQYNFIDKISTQLAIITLICTYSQHKNPYSW LVYMLSISCSSLNLLYCSYIATKIIKEYLSGLQQQHVEKIVNLIIRYPCIKYCVKKPKNY NAKRKALLLWKKARIYVMEFIGKLKLQKSNLNLSSQQLVNSNRPNTSSTNTTISLLNSIA PQLLKYMYIHRLYNSTLDRNKMNQRVIEQNDFTSVISAYIDPENPQSPDVMQLPKKSTIS TIFSYVNNNNAE >CAK68156 pep:novel supercontig:GCA_000165425.1:CT868057:159755:161679:1 gene:GSPATT00036939001 transcript:CAK68156 MNKPDESANQFFVIEQIEMSSESEPEDLEDLDDLNDIKHEEDNLNDLDKIINDVKKEDYI PKAQPNERVTKGPGWKDRLEVVDDFIRNFLIKNQMNRSLEVFQQEWYELSQKGKLATDGM GQVTDVYIQNEKLKEELKYVRGELDKAKIVAENAKSTYDKLRKERDFHKMHHHRVQQEKR KLNHDIDKLKGLHLQYETKYEELSQKYSHAMKEKMLLKLERDRLVAKNEALQRSLQNVEE KINKEKEPGSPTDKLPLVDTKANTKKASTKTNTAFPPDDRPNPYAGTQIEPQNYRNAILN KTFKGHMMAISSMDMHPKKSIVATASDDFTWKIWTLPQGELIMSGEGHKDWVSGIHFHPK GSHLVTSSGDCTIKVWDFINASCTHTFKDHIQPVWGVKFNDTGEFIVSASMDHTCKVFDL ASGKTRHTFRGHVDSVNHVSFQPFSNIFTSASADKTISLWDIRSGLCVQTFYGHLNSVNH ATFSLKGDSIASCDADGIIKMWDVRMVKGRSQFDAGPYSANAVALDKSGTILLVGSDDQQ IRLYNETTQKQEHTLKGHEDAVQDVAFDYNSKMIISCGSDATFRIYQ >CAK68157 pep:novel supercontig:GCA_000165425.1:CT868057:161891:163418:1 gene:GSPATT00036940001 transcript:CAK68157 MNQFSETFRRFDIFGQEIRLLATGQSAYRTNIGAFMTMALFAILAYSCNSFILEMNKGKN AILNSKEAVISSDEGYTFNSSEYIFAVGLLDNLGQPIPNENNRVFTISFYYCNKSLNETT CASIPGRICGSRIQDISLHLNIPKEYEEITYCMDEEYIKQNPEIRIQGSTRQDNFTLLGA LVQRCQNGTEYNRTYLFIKLDCASNDDIDSYIKNANLYYSYSFHQFNKELDNSPYEKAQS IDITPMYYKVRKYIKIYFQYSQSQLEYNPFYFFPSFVQHDGFEYQNSAVDSALNFEDDTS FAQIEINLDAKKRIHFITYQTLMDVAAKIGGFFTIIRIMFDFALFPIQTILYRLYLMNCL THQQHNYNNNNTDMPKSTSSESLTFFKLIKSGNARQLYQNQSLMIDKFLDITEILINPLR FNRQVEDLYGSLKRFDIVSARQNNLNINQEIDELVANNNEFNDNQSITPRTINLEMKLGS LTNFKVPQLHVN >CAK68158 pep:novel supercontig:GCA_000165425.1:CT868057:163477:164433:-1 gene:GSPATT00036941001 transcript:CAK68158 MNIAEQIALKKYEFNKQMEQRDIIQEMDDHLKNLHESDDDRSFYQMQYDQKDIQKLITIM LKYYSRQEGQTYQTKKCFYFYIWKYFQNQDIFEKNWEIERKDLEKQKRYPYRNMKKQRKY IEEQIFDLERKNFFQYRQKQILIQLFKNAARKERLIKTIAFHRFIRNASLMNYSSTSKYN TNQNTFKSTVTQQNNVFYQKENKQKSRSKSPISNNNSKVSLSTKSLNSRNRAVFKMLIYL QKVDDKLKNKVSLYFYKWIKIIMQVRQLEQIEEINSINLILNQQVFKESVLRTQIQLIKS EIDSKTYLAQELLNQLLK >CAK68159 pep:novel supercontig:GCA_000165425.1:CT868057:164471:166343:-1 gene:GSPATT00036942001 transcript:CAK68159 MGNPLIEGYSVVMLDDIHERTLNTDLLLCLIKKIQKKRPELKVIVSSATMEVELLQNFFP NSKVIAIRGRNYEVDIMYLLEPCKNYVIAAVEMAYHIHKKMPEGDILVFLTSVEEIHAFI NLWSHHKANCAVLPLHASLAIDKQLLVFKQHASRKIIVSTNVAESSVTIDGIVYVIDSCY QKVKVYDYKRNLEQLNVLPISQQSGAQRAGRAGRTRDGICYRLCTKEDYQNLPKTFPPEI LRSNLTELILQIRSFSLTPNHLQCSNTFLTPVSNEQLINCINILMSLKLIDENFSLTELG NAIVDYPLETQLAVCVENSFLEEYQCSDEMLKIASILSIQGGIFSSDATPLQMLKAKKAL GCREGDVLSLHNIFVRYINIGNKGNWCDTYRVSKHKLESAGKIYKQIQKRRKNRQIKSSI QDVEAVQRCFVSGFFSQVAQRENTAREGVYRNIYTKQLVHLHPASVLTVSYPEWVIYHEL IEQNNKLTMHNVTELDPHWLFEIAPHFYRDARQEIAEMKHQQEKDQLDKIEAEKQQKQQE LLMNQESKVVFGSIKQRKPGFKGFGQTQFPIKSKEQRLGSLSFNEEDDF >CAK68160 pep:novel supercontig:GCA_000165425.1:CT868057:166394:166724:-1 gene:GSPATT00036943001 transcript:CAK68160 MLIQSKKCHPQSLPIRAYKEQILYGVDTNSTLIILAETGSGKTTQIPQYLIEAGYGGDER VLVSLPRKMAAISIAQRVSDENGTELGQDIGYRVRFESKGE >CAK68161 pep:novel supercontig:GCA_000165425.1:CT868057:166741:167155:-1 gene:GSPATT00036944001 transcript:CAK68161 MDRIITEIPYKFTQKCTQMIIDINRVILKMDDDTSTSIYVLSQNLEIIGPIYSGAKVVCL ELIKSYESDKLFILGLSNSVEIYTEIENKLYPINKILNLKLMQLKKIEITNENMEKTDGE MEIYSVVPEQ >CAK68162 pep:novel supercontig:GCA_000165425.1:CT868057:167189:168418:-1 gene:GSPATT00036945001 transcript:CAK68162 MNAKFDALCRFFVQGNCRQGDQCKYSHDTSNIEKVNLDRDDKGKLNLKKTNDFQSQDRKI FRGRGRGRGYIDRSQEEQQTKEDNKIDKSERNEYKQRQQQSKISYDQNSFQRYGQSQEGN ENRQVKQKFDRNFDEQKNQNQQPTHREKHNFNQEKNNVNSERWQRNQENENGEDQQQFRQ NTRGRGRGRGREGRENTFTKRDQIQDEERDKERGNQSEWQIETVSLTKKVKKNEIQVKTR SQVLNLEQKKNIDSLIQIAGYHFQFLGILKSDAIDFYQIPFKRSGSDIIDEEKKVTISHL DKQFISAFIQEHPNNGYTLIIQFQKEEESFKNLLIFHNVFQQQCPYIISDISMKEIVYTN FEDGQLYTFCKDGLIRIFSLGMRWDSQSALNITMLNNPWRVQLRLGTIT >CAK68163 pep:novel supercontig:GCA_000165425.1:CT868057:168761:170111:1 gene:GSPATT00036946001 transcript:CAK68163 MNNSKYQTSLARQLELLTQNEKAALFYLQNDYNKEELVKLALNLQQTILQEQNEKLNLQK QISNLKATSQESEMSQQGKIAISKFDKLCIEYERLYEANQKISKEHLMIEVKNKELIESL KKQKDEADKRLFKYQEDILKAQDQQRIPFPQNTKYEQEFKKFTEHFLNISTNCSITSDLC QKLIESKQRGQEEVEILLSKIEGIKGVIEDLNQQYVHFRAKNKVTDIQVDNVLKNHQSLL QLNQMIMKNFQQILIQMKIDFDKQDKKNWILEQEVIKLKEYVKNISETCQNLIDENKEIL QSLKRVQNQLIDQENTNITINSYHRFKETLKLLNEEFYQILLLFSSQGLTISQMQLRFQN QYDVIAIIDNQKQLLLGNSPSNCEQLILILDRFKNFFNSLKQYIDDQNQLIDVFQIQDDF FSCYEKVIRKLSENENPQLQL >CAK68164 pep:novel supercontig:GCA_000165425.1:CT868057:170191:171288:1 gene:GSPATT00036947001 transcript:CAK68164 MISENESTRVLLVIVQNPQSIILPHSLFYRYFSNYGEVNKILIFEKGKLWKCFIEMATLQ QAKISQQQLNGCQLYEQTIMNVYYSTLQSVTFLNNNSGGVDYKAMKQRNSTKTVNVYEQD YYPKTYSQPLITQQDTKLSMASFGNERTMASLNDKQDFQDYKNEWEPSKIQTSLEFIDDI DSPRNRKYASFKSIQFDDNEHDPIDEDIMHAFSSEKNTYLNSYMFSIPEVEDKIKNQDID PYTYVSPQFLRENQPSKVVYLRGLMSQNITPLNIFNLLSNFGNVLVIIHIKSKTSALVQF EKLQHAQNALDHLNNQVFFGYKLKIFYSNYQEIQFPLPFQSPQTQVFMPIPLSIQIQ >CAK68165 pep:novel supercontig:GCA_000165425.1:CT868057:171353:171586:1 gene:GSPATT00036948001 transcript:CAK68165 MCEDESYIKEIFKGIGNVQAIKFIHIDKQENIYNRQKHMALVRLSSLEEALNGAALLHGK EVMGRKINVSFTKSKLC >CAK68166 pep:novel supercontig:GCA_000165425.1:CT868057:171624:172715:-1 gene:GSPATT00036949001 transcript:CAK68166 MMLIDPQNKLLQTQIMDLIMKKDPRIVVAKDYNYSCTKLQYKEDGKLFLSFTCFNFNEIF SIAGNYMIEKYYKDYTKEAVDVGFHLTFSFDAASAKEEPKIPKNATEAEKAEIQELKLQI RAENQKLFEKVTKDFSQIRRNFYAAAFEQAFDQINKGEAASTFKYQSRENEVVYAIPDKD ALNIFYEISFSDNVDRTLANLIITEIIDAKKNVKMAPPISRSNYQSSILKSAFPEVSNIK VDPNSQLITMTLFKAQHFSKNIEQLSTFLQGFRQYLHYHIHASKTYLHSRIIKRISQFQR SLQLCQFEPEVEKKTEDLFASSGVKA >CAK68167 pep:novel supercontig:GCA_000165425.1:CT868057:172779:174237:-1 gene:GSPATT00036950001 transcript:CAK68167 MIKNQIYEIPRSLQEQHDSMVNLKTFIKEVIQASGKKCTPEEVNKVCQNDAATIDNLLKR VRQQGSDANNPSNQNVPSPINQIKLDSYQQLYNQIGKNIDSLTEQIQAQDKPGIQYHKAQ LNYQLESVNQNSIRIDIDLEVFREKIQIINGERDQIIEIMQDIIRKFEEINSQNISQDIE DDESQDSQYQNLKNIMERAIIAIVEVEENLQNCVELVKRFYNNNSNQGSNIVVIQDLQNR LNGNLSFFTYFKKVFSQTIEAVQQFQIFNRNQSQKIRDLQRKFHELQRTNNQYLNQFKVQ MMESQKNKKKIDNLMTGICLNANRKTSQELLNHYEEFNDQIIIGIKKLSQIIYAVFPIQN LQQKIQLDRDTITDMISFINNISQENENINTDSQEQQWERSLNLFQKIISKISTDSLVNQ YIKNSFEWILDIKRKVQENKEQIQRIKERANNQAPI >CAK68168 pep:novel supercontig:GCA_000165425.1:CT868057:174810:176682:1 gene:GSPATT00036951001 transcript:CAK68168 MSKFTKPNQVPSKLVKTNQGAPIKKQDSDSDELSDVPPPPKKQQQQKAVVGTTKVVAKAP QVDSDDQDEPVKLVQTVKKGSFDATKSNTTNQNVPKQAQKKPVQEDDDSDDVPPPPKKGA VVQKKPVKQQDSDEESDDAPTPPKKVTAPVKQAAKPVVQTKVPVKPQVQQDDSDEESSDV PPPKKPVVATKQVASKPVVQTKAPVKPQVQDDSDEESDDVPPPKKPVVATKPVAKPAVQT KAPVKPQVQDDSDEDSDDVPPPPKKGAVVAKPTVAATKPVAKAPVKPQVQEDSDDDSDDV PPPPKKPVQQTKAPQKTQQIEQEDEDEEDEAPRGKQQQPQKQAQQAQQGQQDHEVFVRGL SFDATEDDIKEFFGECGNINSVNLLKGPNGYSKGIAFVRFSTEDAQNSAVEYSGQDHMGR TITVEKTKPRDQRPQQGGQQGGQGESTTCFVGNLSFYATEDSLYPIFEDCGKIKEVRIAK DAEGKSRGFGYVEYFDNASAQKGLSKTGTDVEGRAIRVDLANSSQRSGGGNRGDRGGFGG RGSFGGRGDRGSFGGGFGGRGRGGDRGFSRGGRGRGGNLDANDIAAKKGTIAGFAGKKMA L >CAK68169 pep:novel supercontig:GCA_000165425.1:CT868057:177025:177722:-1 gene:GSPATT00036952001 transcript:CAK68169 MNAIMKFSHRSSSFSQGDIYSRLLDMHDELSKSSRSLNNLINQSLRQLQKPKEDNRFSFS AEFQQQTQQQENEVEEWDHLDEEDEKKQISEREQILENKIKIYESALITLEEDKQEKDEE IKRLKNLLNNQDKQQNIQNDEENKNQSVIRKQLEILDDHLNFLEERKQMQQKIKEYEAKF KEQKEIIDSQNLEISRLKHINQYLHKSIDKLQKL >CAK68170 pep:novel supercontig:GCA_000165425.1:CT868057:177749:178308:-1 gene:GSPATT00036953001 transcript:CAK68170 MIVGTRVNPYFQSNRRGGSAIKCGRLENNSSFQTPKMKMQNSAEDKLLMKQSDVNQLRQS PSFKLKNTRFHTPGKHDEIKKQTNIQETYARKTRITVLESFYHQLGTDDDISVVKNTQQV KRKLIQITPSSQTAFISKKNQELEEESIEEAHFQFVQMQQKYKQWLENFEKKSGKQL >CAK68171 pep:novel supercontig:GCA_000165425.1:CT868057:178474:179638:1 gene:GSPATT00036954001 transcript:CAK68171 MGQCFDSCRESKSDRISLESQNKVSIQEKGYDNYRNNFTTQMSELNEKEQMQKTDITHFK LLKTLGKGACGKVLLVKKKNKNTQYAMKIVSKQRVKEEYISAERFILQHITHPFITKLHF AFQSDTKLYLIMDFCQGGELFFHLRRAYKFSEEQSKFYICEIIVALEHLHKNKILYRDLK PENILICSDGHIKLIDFGLSKILSDIKTRSHSIVGTPEYLAPEIYQDDGQGHDEQCDWWS LGALLYEMLTGAAPFYSVDRTLMFRNRLEKPIDIKPWFSEECKSLLQGLLNNDASERLDV NQIKSHGFFRGIDWEGVVYKQLEPPIKLELKGPSDLQYFNRMFIDEPAIDSPESCRNEKT FENFSFDEDES >CAK68172 pep:novel supercontig:GCA_000165425.1:CT868057:179639:181258:-1 gene:GSPATT00036955001 transcript:CAK68172 MKVQSTTTQQDDHFLISNYDYKSTNIEKNGDKYIAKPQITNFKFKTDTRIPKVGVMLVGW GGNNGTTLTGGILANKFNITWNSRRGTHQPNFYGSLTQSSVIKIGTCNTEEVFVPFKDVL PMVNPCDIVFGGWDISSLNLADAMSRAQVVEYDLQQKLRPYLEKLDFIAANQGDRADNVI PGNNKLEHLNIIRKNIADFKQQNNLDKVILLWTANTERFCVEDPNIHGTAEKLLKSIESS HPEISASTIFACAACLEGCSFINGSPQNTIVPGVIELAEKAGVFVAGDDFKTGQTKFKTC LVEYLVGAGIKPKAIISYNHLGNNDGKNLSQESCFKSKERSKKTCVDDILESNKVLYPTE EELNIDHTIVIKYCPETGDSKKAMDEYIAEIFLGGRQTFAVYNVCEDSLLAAPLIMDLLL LCELFERIQFSKDSSEYQRFDTVLSWLSYLMKAPKSESGITTINSLSRQRAMLENLVKVC AGLTIDDNLRLEVRYGASRFQQ >CAK68173 pep:novel supercontig:GCA_000165425.1:CT868057:181670:182478:-1 gene:GSPATT00036956001 transcript:CAK68173 MIEGNLGDLIWGSVELTLNVLLLDRLRLPQKMPASGVLKLSLIHEYNNYSDTKVRYVVAS LLTPDIYKYFRNFQDPLQFIYGCFMFFDLFVILEVITNTETIQAATLLLRILRIINLIHQ ILKAAMTIKFKKTIKYIPPPVSKPCEVSPPQSLITTKANSNEDEYEQEVTKQPKKALNPC LYQFNIRTSKSSVITITTNEITQLDSILDDQLCFDKRTLLMIKLNLCLKAYHQNNIKKLP TSEILLQQIANYQAELMLIHS >CAK68174 pep:novel supercontig:GCA_000165425.1:CT868057:182514:183227:-1 gene:GSPATT00036957001 transcript:CAK68174 MIKIAKLLSKSPQQLKQTYLLNHKPQFQYGQVDRFLDRLQMPLYFYLIGINVGVYALWHF PAVDKNFMYRHFTLHPGSMNIRELHTFITYSFSHQNTLHLLFNMVTFYFFGRTIEAYFGS KRLLAIYLAGALVGGFMQSRQAGISLGASAACNALLTYYICNFPREIILLFFIPVPAWIV GLLILYQGWAGQGDGSGIGHDAHLGGCLAGLAFYFATRGKI >CAK68175 pep:novel supercontig:GCA_000165425.1:CT868057:183459:183917:1 gene:GSPATT00036958001 transcript:CAK68175 MQFIQQACIHNPQCIHEQLFEKNLQNKKFRQLCDFRIDWTKLILKAEKQFEKALIKKQMA INCQPNNDCFKIIILEAINNKENSLKMLIDKSKQEQQFIEYAKRFAIKLQQKLDCPESLL CQFEDVQNRKKYIHPPSIWSIMNCSCNTTSII >CAK68176 pep:novel supercontig:GCA_000165425.1:CT868057:183924:184658:-1 gene:GSPATT00036959001 transcript:CAK68176 MSEYTVRDWQHNSSTQETPHIELSYDFYHSEISNLKAQVNQFQNYICKLEEQFKEKTRRL QMQLDEQIMNNRQLKQQILTYEQQLQQSAFRQKLLFSGQLETLINELDQLTLLMNQKSER IVQLEYENQALLNQIYELETSIEQQQFQYDHFDQQNFIMLSQVDQLKQEKNELIIKLNDS QIMIQKLTVELQCKSSQLLENNKQNQLQEQIREEITKAQMTHQEQFIKLLQQEIIELKEQ LKSK >CAK68177 pep:novel supercontig:GCA_000165425.1:CT868057:184699:185049:-1 gene:GSPATT00036960001 transcript:CAK68177 MTKIINIEHCKQFLKRRYSENNSIMMRIRIPNFPIKKRLRIPKQKISEKQISAFKPCKPQ DGVNFPKLFQNVIKNYDFHQNYILILVFSKKQFKRMKEGLLKQQSEAKQIIKIRYS >CAK68178 pep:novel supercontig:GCA_000165425.1:CT868057:185303:185956:1 gene:GSPATT00036961001 transcript:CAK68178 MQYKIKTFRKYNIDRSGTLQITIILDLFKIAYLTPQELKPSTSCEVRRQQNNKKFTTEIE NEDNPPTQIIDISKLPNGQFKDRRYMHTDETFFVKMGGKNRDLIAERLRSKQSDRQSPFK FKENPFFNQNFIKQTLRLQKNCSPISSKTIRTSQKKLSFLQVIDIKPVTIKEQQLRRASS FTSAKQKLFKILTEKS >CAK68179 pep:novel supercontig:GCA_000165425.1:CT868057:187423:187692:-1 gene:GSPATT00036962001 transcript:CAK68179 MNKQQVQLLNSNCTLSENFREFIHKIQQNQKRFQLYRRKDKVSNQQCIEFLKIFKQTLIN QQQYQNQVKQDYSQTNQQASYSQSNQKGW >CAK68180 pep:novel supercontig:GCA_000165425.1:CT868057:188545:189508:-1 gene:GSPATT00036963001 transcript:CAK68180 MIFEQNKLAINLFILILSFNLNNQLDMSNNRNYSPSRERVAKISSQLSQMDLTIEGERTK RIEESETRLDQLESGLNELNEQLSQRVALIKDSVLKLQKVLDQTKLQREQHFEQKQKEYI DLENSFNQAVEGLTSTRKDGEQKIIRFIEEKTGLIRSELSTESRTRNENIERLNQCLETD LPRLHEAIKTEVAEREEMDSNISRKMNEELSKLNQLLVQEKVNRQESEQAIFDMLKDVVN RIKTEVDNEKKQRESTEETLLALLEDTCNKVNAAQLA >CAK68181 pep:novel supercontig:GCA_000165425.1:CT868057:190123:191406:-1 gene:GSPATT00036964001 transcript:CAK68181 MIDNLIILRIQSYKNNTKGYSFQELKQLPNFSIVSSKNKIYFGQVFNGQKHGKGVLLMDQ DHVYEGEFNNNRKHGQGWEIFPSKSYYQGLYVNGKPEGQGKFTWANGEYYDGEWFNGNKH GQGTWMGLKGDMYTGFWIEGKPNGKGQHKWINGDQYKGEFKDSLKHGFGEELFANGDRYV GIYQNGKPEGDGEYFYSSGAYFHGKFKNGLKTGYGEYRCSSYSYKGYYMNDKKHGEGELI YQDGTRKKGKFFNDFYEKTVLRQSSVPNNTQPNETPPKNYQELVKACLKPPTYLSSTKPN TQKQQASPLNKTVIIQRNRLDFNSSEKPQKILQIQTGRQNSKREFQEKDTNLKQQEKVVL QKKVSLNVHSNKSSPKNQPHQQTIYKQPSRQPQEQSFRFDTTKTSFVTKTGLKGKQSFKQ RSYSKYD >CAK68182 pep:novel supercontig:GCA_000165425.1:CT868057:191466:192933:1 gene:GSPATT00036965001 transcript:CAK68182 MSDEESEDENRSKFKLSKTHQLINQLEHKLSILDQKNAPNLYPISRDSHLKSKQELEREL QQFEQRMRKLNQSENSQLHSSQAKSIPKQSPQKLNLSNPILQLLTQKLESFDEDKNTMLT SKQDHFHREQQILEQEQNNLLREQQQAKFELESLLRGLEIEEQRKLNEQKISILKEQEEK LFDIQEQSEKLIQEEEEKKTNFLLELQQLSFEKNQLQAQLQNFAREQGMLISNRDEISNQ LNTLMQLHNQILQNDLKKKVVHLDASPLQNLYQMNQQIQESMNQQLSLIRQELNEQKQIN LDLLQVLSGEQQQTHRQIVSTEMQQQLEQQQQLQQQSNSQIQFQNNTCQNLNENLESEES NIMFQKYNNQNANLYIQKDFNQLFEKHKKQLFKPKQKLYLKQNKATVEKRKRSIDAAQSK SKSKSKEKENFILKDKEKKKTPLSFEETYKRHIQDQLKKLNFIVEKSLNNK >CAK68183 pep:novel supercontig:GCA_000165425.1:CT868057:193260:194156:1 gene:GSPATT00036966001 transcript:CAK68183 MSNNRNYSPSRERVAKISSQLSQMDLTIEGERTKRIEESETRLDQLESGLNELNEQLSQR VALIKDSVLKLQKVLDQTKLQREQHFEQKQKEYIDLENSFNQAVEGLTSTRKDGEQKIIR FIEEKTGLIRSELSTESRTRNENIERLNQCLETDLPRLHEAIKTEVAEREEMDSNISRKM NEELSKLNQLLVQEKVNRQESEQAIFDMLKDVVNRIKTEVDNEKKQRESTEETLLALLED TCNKVNAAQLA >CAK68184 pep:novel supercontig:GCA_000165425.1:CT868057:194162:194680:-1 gene:GSPATT00036967001 transcript:CAK68184 MCLQNDQRGNQNSIQAINIKNILLDLAKIIRVFLILFFQTNKAKQAQILSKNLSIAKFHK LQNQAYMQHIIRSKYLISISKQIHILYFLLYKQQQKYYRYIQKQILKKDDNDRDGYNSNS NKVQQQQINK >CAK68185 pep:novel supercontig:GCA_000165425.1:CT868057:195859:196356:1 gene:GSPATT00036968001 transcript:CAK68185 MFNKVGNKENKYTLKTDESNIEELPHITKIKSDHLEQLIFNVKLYTENQNLDQMKKFLVM NYKKFNDLQCAIEQLETELEQLNRQESQFENQNQEKNQENELQMLQQNLEALQKEEQQYQ QQIKQKQLQLQNQMFYREYYYMQQEKQGLIAQNAKLLQQKQSTHS >CAK68186 pep:novel supercontig:GCA_000165425.1:CT868057:196439:199077:1 gene:GSPATT00036969001 transcript:CAK68186 MQPPVFENQLDPEEMEAWLAEVELVHEKIKKLSSEQANVKDDDEEIIKIRQKRLEQEKKK EDARRQLQIQKEEEERKGRKGKGQNKDYASFCKYCYLEYAKKTDQCYWCKRDTLTQEQRY NQLLEKVEKYKEDKNRKQQKKQKFELMEKTEKILWKKSTFTHKKWEYYTSSEEEIESEPI VPKDDPNFRALELDMEQRKKKKEEDMKKAEELKNKGNEYYSKGDYDHAAWKYSQALELVK DNKTLWLNRAITYIKSNKNKKAINDCTKVIEYAECFENGYTQSRENCCKAFYRRALAYYN RDRLQEALNDINQAQQLIPDDKQVQNLKKEIEAKIDHYQRLKQNEKEEKDEEEPKQDNQD QQENNNPKEKKTFMDENLTYKQLIDKFVGEEEIELLQLFKVLKVDSNEATAYFYEKNGLK QLLNIIQKNDEKLHNLQNIMASLPALILQVYQEKSLLYQEQFLNQYNGIEVIYEKIKQLL KQVENKSLSAIYDTISDYLDVLNVMDEEKPRSIIQQHEIIRNKLYPELFHRIISLYKTER DVVATFLSFCSNLCYGQDTPLRNVIFGNINEIITKFVEIFEKVEIKQTNIKMMYQLCNLL SNLLTEEKYRFYFLSKELNPNFFKAYLKFIKAIQFKDNEYNSLKQNAIAVLVNLTFQINQ AQSDYVSKINLLAPTLIEYLETQQDGLVIERVLTVLSKVNYEDNIYLITRFIKQYSNQSI RCLLQWLSRKSPLVVDQLKQKQTLLYELLEQLKQQLNSDNEIIYCNCCNVIGFIIDLLSP INGEQNACSFFNQLIPRLLSFVKDKTGNFRKNSAILLAKLTKDQNNLQKVRDLHGIEILQ SVMGKL >CAK68187 pep:novel supercontig:GCA_000165425.1:CT868057:199176:199613:1 gene:GSPATT00036970001 transcript:CAK68187 MFRILISSTTKASQKLSQSFNKDELIGQSLSPNQKTNQSMGQKKVNKAIQYQQELLKLMT SHKQLSSGNKKEYISDVMSQQKESKRNNSGASQHQKQQSSNKLSFVNAGITIIVNHENQQ IKFNIESLKTTVQIEEYLKQEIKKH >CAK68188 pep:novel supercontig:GCA_000165425.1:CT868057:199648:200984:1 gene:GSPATT00036971001 transcript:CAK68188 MKEKQHVELRMNPQIQKQFHFIQQQTNNSLEIFSGQTFNLQPFYGTPSQSKITLQDFIFV KCIGVGGFSRVYMVKKKSMEEFIINIRNEVKVVKNLLGIAQNERDIMTVLDHPFMIKLEY AFEDQTRFYFTEICLAMLYLHSLSVVYRDIKPENILIDLDGHIRLSKLNMTEDDYAYSFC GSPEYMAPEMLLKVGHTVQVDHYCLGALLYELVTGLPPCYSKDTDEIQESILNEELTFPE KLNLSTDIKNLLQGLFCKQPSERLGDNKGLSELLTHSWFKDVNLVAILQKQIPPPFKPNQ LKFNYDQNDLMKGELETREKLLGKSHQEIRLFKAFYFDSKEQIQMKQEQAKLLKQHFIMV TQQQLALNTKFKSYRKSVEPKEHQSKPSSPQINNNKQQKLHSEQFQSL >CAK68189 pep:novel supercontig:GCA_000165425.1:CT868057:202738:203509:-1 gene:GSPATT00036972001 transcript:CAK68189 MIALSSNANTEFLQTILTLESIQKTINKLKLKQTNSYYLNSFILSFINIKNATRDQYIAE LKSYNGESIKQQSNEIHNLLIQGGQEAQELLKRGKELLDGEVLGIQAQLFTKSTTNSYAN LLNKLNKETIFNKQFYEVFYPLIGYVSLQNPALARIYKHVGVKGGSSAYYNQKQCVLSIA YFYELSQKNPYNKVSLALFTENLDYETEFVPLATQFNCFTGLLGLNGDYLQAIVNKLQTR KSSRKQKN >CAK68190 pep:novel supercontig:GCA_000165425.1:CT868057:204657:205286:1 gene:GSPATT00036973001 transcript:CAK68190 MNELWYDQLKTEIQNQNLSERDPIIKKQRQDIISLPYLRHPKQLRVNSITNLKNISSDRF KQYLQQIQLKRLVKRDQFKNNYSETEIYPFLNLQAKQMKFSEKEFKANNMSVSISQSNIL NQGSNLQTNKISFVRLNLQQNNVPQAITNNKDSSRRIKTFNQIEIAEIVEQPESKQQLYL KLRLRNSGIKQTINNWIKQRYNFNRKFNS >CAK68191 pep:novel supercontig:GCA_000165425.1:CT868057:206487:208626:1 gene:GSPATT00036974001 transcript:CAK68191 MNFEKQESFIQFERFQSSLSENSYNRSSFKQKDFESRNSSIIFDVPEQLQRILSMINKEN VEEMENNIIKLQQISENVKQFKSQLIESIDKYIGNIDQYIDYIQIQMGIIHQKIQEIPLD NQIEFCLKYQEIEIYLPNYAEIKEILLKNLVILNSSNKVNNLEQYINQFGIEKYKLSQEI LQNLTQENQDKLNNVQCEIHQSQIKMVHLSEVSLVPRRLACLTCVEEYPCQYMRIETFQD EWQIQQQRKLFLIRKGKYKYLMKHVSRKIKILISFSLRSMLQLNNIEINQITIPKVSQNN QEKIWSQIKKERLNEIVEAFSRSNHMNEFENKNKQIFALWQYKSKVEVMIFSLETRLFYW KIKFLKQNFPKQSSFKYQSLKDCSVKQKEFCYAIAISLDLSIMAASCKQQIRIFEIRDEI VIEVQMLNEHQKDVLCLAFMKPSDSFISGSEDKTIILWKRNQTFQWLCQQKLKQHLDSVY CLILSSNDDLIISGSKDKTIRIWERCSQNKIAQILQGKKQWLCKQTISSHTDSVFSLAIN ETSNQLISCGKDNKIIVFQVEEKGWIQKQVISVQSFGLGISFINNDTFCIQPQYSKKIEI YELKNSNYIKTKEIQVKIGSCSNNLFPQQMIKSKQILINKNGSCVNFISFSSNLEKVQEY SIEFSTNMIYGVSSNNGEYLFTWDFESKLIQVWKYKEE >CAK81923 pep:novel supercontig:GCA_000165425.1:CT868423:2:1317:1 gene:GSPATT00039485001 transcript:CAK81923 VISQSLTVTSVKISPDGTTLASGSDDNFIRLWDIKTGQLRAKLDGHSSSVWSVNFSPDGA TLASGSYDNSIRLWDAKTGEQKAKLDCHQNGVYSVNFSPDGTTLATGSNDNSIRLWDVKT GQQKSKLDGHEDSVKSVNFSPDGSTIASGSLDKSIRLWDVKTGQQKAQLDGHLGFVYSVN FSPDGTTLASGSLDKSIRLWDVKTRLQKAQLDGHSDYVTSVDFSPDGTTLASGSGDKSMC LWDVKTGQQIAKLVHSNCVNSICYSSDGTTLASGSQDNSIRLWDVKARQQKAKLDGHSAS VYQVYFSPDGTTIASGSLDKSIRFWDVKTGQQIQQSDNHYKDILAQLQPSIFNNIVLPEC ATSIITILRILQNPNLEAEGALILRGEFVNYQGVDLKSLLKSKGSCILENYIEKKQN >CAK77689 pep:novel supercontig:GCA_000165425.1:CT868282:3:500:-1 gene:GSPATT00039242001 transcript:CAK77689 MIILIIIIQTTHQIVMYEFNASSNNIDGWENYHGISILNTCGGIRYFGSSNSQSYSISRI IFDIESHSHIIVDAQFLGYLLFLITKVLIAIINLILKQTRIQKAMYQLYHPKVRYVVVHN LNTCKLFQLFINIIEELFGYILVNNREGQYHQNQVW >CAK69832 pep:novel supercontig:GCA_000165425.1:CT868074:1353:2072:1 gene:GSPATT00007272001 transcript:CAK69832 MQVSNINDLSDIIKEAEKVYTFRKLEKQDAAPLMVLMTDAFVHHNDAFLIVESIDSDMSS EDILQEYVLMIQEGLSFGAFHGDKLVSACLSFDLQRKSDMHVDSGIEPTQAMKDIGKMIK TLLDKYADTKSLPKNEISYLSHLATFSDYCKQNLALICSYLSVLESRKQGFQKMLTGAGH VATFKVFTKIFKEYELVKDIEELREKPIFMKSLIATITPQ >CAK69833 pep:novel supercontig:GCA_000165425.1:CT868074:3331:6945:-1 gene:GSPATT00007273001 transcript:CAK69833 MRLLIVLIYTTHQTLIYQFYANSYNRDGWQDLGGDTIFTSCGGIQYFGSSWGGTVVNSKL FDVPEDHSHIRVDCQILNIDGDTSVPVFEVDYRRRPDFQSTFTSQNQVCGNSQQEYIQST TLIIQHNRRTVWMFVETFNGGGLISLKLSTVKCQYDCDGCIENQQIFCLKWKLHQYSFTQ KYLTNFDGWVYVSQYSDHYYCGYCQYLLYQQIQYSTKLPLHKDVFIRFFKADGYTIIVDY QQGIKTISSFYQQVEILIENHYDPILILKIKTQPISDYGQIRDFDVFYTLPEKMLVNYLN QGCQEQIEDKCLICQEGWIQDKFLETCHPICPEGTIEDQYCSLKVIQPQSALIVSKLKEG CLEQIDNTCLICKEGWVKDKFLETCHPICGDGIIQGQEECDDANLISNDSCYQCKYSCIN FCKTCVFGICYECVFGFDLNADLNCVSFCGDGNVVPYSDEQCDLNDNEEWDHCQDCRFVL IANCKRQLLSMCLVCELGYQLLENACFPHCGDKYVLQQYEECDDGNLQPYDGCFECKFQC AEDCNICYLGQCLLKCEDGYRFLNNRCLSICGDQIVTKEEDCDDGNKIQFDGCFDCKYSY SCPENCYECYQGICLKCNDQYQLLISNQCKLQLQCGDGLLQQQEECDDGNSEVLDGCKDC LIEQNWICTAMIRDSPSQCAFIQAPKLVISYLNMTSNSQYISIQFNQKVKIYSTQKLSET INCNLSNLNKKNWNSSLYIIQDVGSDVSFGEYIIEIEIQQLLEFRPILTIEVNQTVANYD NSFLDDFTKSITLQYPQYLGETQKEYAYKLKNLNMYLIYGLSGITCANLLLGNGDLFIEI LAILQSQQYLRYINLQFPQNLEIYFSVNDLITIQPLLDFIDFSQIFSLIELQQNQQPYSE GKFAVYQENPTLIINLSFQILQCLIFLFLILLCNLIKKVIYKWIFCQRNFYYAQTLSLQI NPKIVFKCQESFYKICLKLLNLKKYMSFQGLQKALILNGWDMTFKILLYTRTFSTNNYLD IVQLIITCVLLILYVTILLDSFKSKQTFSKLKRFEILIYGRQFFFLFYLIFCQNSQILQL GLLFMTNILQISLLFNYRHIQKRKNYIVQMVVEISVLTFMLSSFLYIQECNEYFNEEKKI ILGWIHITILSTGIIVETIFIIKDLYQAWKRLYKRKKPQCARSQLFI >CAK69834 pep:novel supercontig:GCA_000165425.1:CT868074:8655:8945:-1 gene:GSPATT00007274001 transcript:CAK69834 MNICIQFNEIVIQTIRQNNINNNEKIPKKKIFELKYISNRMQFLNICIYAGGHHPSLSSG QVSDQFNNESSSNYIFEAKLDVRLKSK >CAK69835 pep:novel supercontig:GCA_000165425.1:CT868074:9020:11576:1 gene:GSPATT00007275001 transcript:CAK69835 MSDVNLCKKHSQMTIIQTNLQEVPDDQKFCIQCLITGKMNLILIENAQEQLIKHKKLLIN QKTKEGQNNLDQLITLKENLLLLKQQISTSIDNYIDFISQQIKILEDFQTMSINEISSLQ TKDINQYIKQYLDIEKSQINFKENKQFFLKNLSRISNNQISVQKYQELLERIRIGFQNYS NKQINELNSMDSHIQSQQRINLTCQDHIGSQIVMVDINENKKAKCRMNCVDCIAQYPTNY ITMNQFEQSLFNFQIQLLNYYNEYKLKIFHKKQQLNQLSLDFKQKTTNILKFKNYQIDKS TEIFYHKISYQTRLKQFNWSALSIAEVNQIAEDISNSNFMNKYQELLRTEFENWKGAFNT EYQELLIKNNELDEMIQQIDQKSNQIPKKPCRQLQISNGQIQIQQIIKRQQLEQQINQSF IYSKLDDLSIQQNEYCYAIAINSDCSLIAAGSNSLIRVFEIEGKTIRLIQNLDEHKNAIF TLNFMKRCNSIISGSCDQSIKIWNRNQQSEWHCQQTLQGHTSFIYCLILNQNQDLIISGS DDKTIKFWINQNGWNQSQTIAVHTSNVSGLSLNCTEKQLITCGDDKLILIIENSDTFQSK WNVVQQIKVEQSGYRLCFINDSIFAFQPNSSTFMEIYQSDNHTRMYNKIKIVEVKNGNNC NYLFPQQFIKKNQILINKNGNKINFLYVSPNNQINLVSFLDFGTKSIFGQISETGEFLVT WDESQKKYRLGSQVHYNEQIYTCIIRQQIFIDLKYQRYQEIIIINFFPLNSKFSQRNSLY IEDLISKLYKKQKYLCQENDIFIILYLTISELNLQQQFVNSLLIKFSQDELINLLLAKNI QHN >CAK69836 pep:novel supercontig:GCA_000165425.1:CT868074:12503:13147:-1 gene:GSPATT00007276001 transcript:CAK69836 MGPYGYQTIVSKTFTNVPPNNLIEFKVGIWKLDSWDSEGFQIFANNVEIENLKLSFHDGT MMCRNEIWEDLFQPLSFRLKITGTDLTIKLKDNLQTDTWFEDLWDESWGFRDFILRLAVP CVNFYSECNYTGALFQICQGEKSKLQNEIPIEIKSILMGPGIIVKLKSPNYFAGVIQEFT SSQPCLMAYQFPKVIYQE >CAK69837 pep:novel supercontig:GCA_000165425.1:CT868074:14278:15493:1 gene:GSPATT00007277001 transcript:CAK69837 MGNSQRKSDYGDIAIRTEQPFYFAGDLARGNIYLNICKTGYKGNIIEFTIVGKEKTEWDE GSGDEQRTYRGKNKFYSQSIPIYTFQNQIAEIGQYVFPFQFQLHPQIPGSFDYKGLHDSG YITYKVKAKFKSSEPNKPSIRNKQEFLVREPIKQEVIGQQQERMNNIYVCCCINKGTSRV KSFCDKNHYLQGDTAKLTLEIDNSNCNLNIEYFDIELLKELILRANANSHKYTQRILTQR IPGIQAGFKQIGSESRIIEIILMNQKRPQLVLTPTTNGKIVNQQYYLKISPKFQGCICCS AIPVIQFQIVMLYLIPPDYIQPLQQPSDWNPQTFDPVLIKFDQQHQMNITNNMQQNGPFM NKQ >CAK69838 pep:novel supercontig:GCA_000165425.1:CT868074:16064:17644:1 gene:GSPATT00007278001 transcript:CAK69838 MKNLKMISQNFVQSTWIYQLDFAKRSNQLITTFEKNRKDTIQFYSIVNLNCCKRLYEIRI NLLYSIKLSYDENLIIIGGSDSIDLFKNKQAVWQKTQSIELPNCYAKDLDINQESSILIT LSEESKKIFILNQSGFKWEIVQEIKNKDFGRKLCFITNESFVVTFQFNGILFYQFNTTDL KFQMTKQILIQKYSLEECKFLKFIQSQQLLLLQYGCYCNFIQFKDKELNTVEYKCNIEQG SIRLVQISDDGNYLVTVNRDNQLDLRRQTYPNFNFNLVHSFPQINQPTGMVTNHDASILV LTYKGQFQVLKKDCQQFNVIQITDLQDLNCSCLYFMKNSNQFIIGSIDDEITIWLWNSIE QQYKQQTKIIGPSFFIIMNPIEDLLIFAKDRYLTFWEKKQDGFSMVQILRKSEYVHSQLS INESGTKLCAVQYDCSIVIIIQEVNWKLKRKWMFHSKIKTDAIGIQSALLTETKLLIKQK NISLLDLYELNNDNNLLIKIKQTQLIQNIVDDQIQFLQSLFHKIMM >CAK69839 pep:novel supercontig:GCA_000165425.1:CT868074:19020:28460:1 gene:GSPATT00007279001 transcript:CAK69839 MLINIFDLMLFILLFQQAHYTYYRCVHNYCQFSTFMPLLSKSNNQFSIEEALPQDIIQGR TNKGFGVWMNYQPLTQISELCKDRIYHILANRVSQDSSRLNEQIIIEGGQFIYSIEQSND KFTWLVVSADIDSNKQIINHKVFYSFKTSINTLSFEFDNFLYEGQWILFYCYFDNIQKQT VIGFYNSREALSIQVIKDLPEYVQKLNHKVGNVYSYKNEDGNLILLSQFKGPLTSTFSAD SLNVFLDIDSCASNFYEYTYCYGMSYQIGGNNQQMDGSHYLKITTEENDAPKYVFKGWVM LAQSIQIFLETTIFRITVNSDYGDDVKIGDRDLLLKYFQSNVPAENGFEISTYTYQFPIK KRYKTNEDDRIRQFGDEYSVLFIQWHYFVYEIGTINNNEQPIFSIFFPSINQVRSFTWSK TIRHFSGTQFYVFLGGDDYNTNYLNGFISDVSLDLLCDPYVDVNTFTCHYSCLTCDGPTK YNCLTCPEQSFRQLSQKEKTCACQQRYVDKDQVQECQSVTKAFPQIQIQEVELSCQQIGY SNCNSDNIECNFGYFQYQNNCVQCPFNYEINQGTQMTCLDCLVAPKQFSITLTCKMMAIT YKQNQNYVFQVKQRHQNDYLFYNMVTNVDGIRQLKLCQGCVSYQTCKDGYYLKDQECKPC ILGCKTCSNSFECSNCFSNYYKGKDKLCFQCGNCLTCYTYNDASYFCTSCQENSIKINNQ CSSCGDFCQQCDQSRFCNYCKGSPSQYYLSMDGQNCSQCKIENCIYCFDYILNGGLLQTT LDINFKVYNYNQSQVKVGCALCKENYHYNQNNQKCELKQENDDCQNAIIQNEDLKKTCLI SKTSQDAVQVSDCIAIPNCVSCIHNYFDSDSFCIVCEDGYYSALLTGQCIQCGQSCKTCI QQNSKYRDYWKWSIKAFYKFVINHNNDHPFENYASTNSESDLSLVCKSCHYGYILYEQQC IKDCDQTCNKCEIINGKATCIQCLETISGFMKSQNENGICLQCPANCRACMDRSESEILQ VNPYFLLTEQNKYLTRICYEKSQVKNALEKYYQDSLTQTIVVCNKYEQCYNKIVISQNIY CHPTYYNEQKYESGDQYFERKNILIFRFFDFWYQSEQESTQLFDYLNDISARQIQFEYTI IQSVDEPCQFRDQIEVLSSLAQQVFSVQQMDIKFKGKYVLSTNPINLLVPTQLIISNFTT ISFENINFQFRNNENSQTKNYIFSLNNLKNKMTLNLKDCKFESIGAKKSGQIFKFESNIP YSLYITNFVISNIKLEQSNIFTFISPKTHSKNQIQIFNMTIVNSIFTYSTIFTFLANNNN LQYKSSLKNISIIDSTFIFSKFFICSGVLDYTIGTLQIHQTSLQNVQILNNSIIFFVPIM ESVLVSKLILSNSQLKGNSQFYSSNVINLQDCLINQTLIESSSLIHNKVDYTKSQVALNQ SKKILIKNFQVLHTNYTNKQQIITIVKYAEIDKLMFNLTNFIMQDCISSTQLQNTQVSFD QVMIYIECQLCYLEEIQIQRGYGFPEMTILNSETLEIRNFQFSQNQKYFSKVLHSSLDCV SQFTIMELYFFLYVGQYQSIVIHNLNVLNCLSFNSPLIILKGYDLMQKIVDETIHVQESQ FNSNLLIISTPNKNTALISLESKQKGFITFINTSFFNNHLNQYYQALSQISSTTLLLLIK FGSVILKGCQFYQNLATNSTDSILHIDSEKLYVQDSNFKNNNIMNYTLLSRYTILSSTQD TSAINLQLIFPIKSSSGNGMIISNSIEIENIQVDSSFSIYGGGFYIITSGISIINIKNSE FSNTKTTLSSLYFSKGGCFYIDACQSTLTLKIKNVTFDTSLSRYDGGAIYINPSEISNII EFDQLFVKDCFSISNQFFSYVLSKKDTVQSQIVFKNIEFLSTKEGLENFYSQIDGITDDD AYNIIQSNPLIFVQFGNFSLYNCKFKSIYMQFLLKIVQAQNILLSNIKITNCTVGFSPLF QLNLRQQYAGKLSIYDLQITQVEQFSMTEKRECLPMNSVFFQQLDCPLKLTQLNTQITEH INNQQILNQLICNQAKIFKDPSFTFSLIEIEQISVVHKLKIEKIDIRGAECTKCQFGLIR IAEIEQLDTENIKLSQILIKNSNCGRNGCLSISKNKNDLLIQNPALNYRMLQQHNYEKLL NNMKYQLIIKQSQFLNNSASYGGPLLIIGINAIIKDCIFKNNVADFGGAIYYSSEKEELY IVDSKIIENKAEIAGGIYLSSQSLQLTKQLDLQLDSNNSTLYGSNALPGGAXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKQLLNKQLLIHIRFQVPL HQTITSYRYFDPIKSEFIPYNLTFRIIALDKFKEQIMGLPGSYCTLQPKAFNLSSQREQY NVQYSLSQYDVVFNETTGDYNLDNLIIYFNPTYEQDIALRLSIQCNSVSVPQYKESPPFQ IYNYVKNYKLFVDIRTFPCQLGEFLNQTSGGCVLCDSFQNQYQVTWAAQNCSYKDDSKIK SLESSMIELREHYWRAYYYSQTIEHCYHLVENCKGGWRPGDESCIQGHIGALCEQCDLYD SRVSGSYSVSSAYSCGSCDLIAYNVMTIIFVSPVSSTIEMIEEFVKGLSLKAFGVRVAIK EAQTAILIKVFTNYLQIISTISTFQLQVPIGIASVVNTVGNPIESLAYSLDCFLASITDI LIIYFRIIWSLIMTSTYITVIFSLFGIAIIIKQIKFNFSFISTSLIYLFIFLQPNLVGGI VSLLSYRKISDEYWIQGNVAYRYDTILHAKWVITFCLPLLFTFGCILPCFLWYGVYKNKD HLDFSSVRKTWGYLYNEYRIHAYFWETLKIMQKEIIIIVLAYYDDHVPIKASLVFLVLFG YTFIAIKQKPYMTGQLNLIDTQSTVICAVSIILASSIYTAQQQQLYEIVWPFYLIIGFLN GFYILRMLIQILFAYFNKLNDKIDILKEIIQNYFPNFVKYHPFLKNFFETYKNKQYRISQ KFTKLREYLIPQARMILEFKKLKRLELSSKNTVSKMIDKEQHETEQLFSAEVFSVNNLIH LNTLQQVQIKKNEFSFPNTKLEQTSFALQSQRDYVKQNQNPEKAQLNFEQKND >CAK69840 pep:novel supercontig:GCA_000165425.1:CT868074:29281:29598:-1 gene:GSPATT00007280001 transcript:CAK69840 MEMPYIKNFCEYIVQPVSNQVNLAFKEKRCQKEAIEQGSGIAPFCFGIFGDRSCLVGEDS STQNLYDKLKKAIFRITIGICLSLPLQMVIQEKPTSILFPLRWQS >CAK69841 pep:novel supercontig:GCA_000165425.1:CT868074:30390:33761:-1 gene:GSPATT00007281001 transcript:CAK69841 MQQNFLPKEQNKVLTQIEQINFVKNLTKEKKINEKDSQSIIEYIEKNQHLSPIIQHAFGF DFYNNAEFLQLIYMDDDYITYLNKLESLKTSKNENSINQIKKTILHLLQDQQFNETQNFS SSSSIELEDTILLWNLVKKQFQQVLQPSQLLVVLELYEHGSKGRIAEISPGEGKTLITAL LAILLVKKKLKNVYIIIGSNSLAIQKSKELTQFYNLCNVSVSYNINDQIGHSEQMSSSYQ NQVIYGDVLSHQKSNKYGVQGYIILNDEIDSLFPVGTSTDSYIQIKTKFQQNILFYQERL LGLTGFLGSEEQSFLAKKYNLDCVQISAHSQNPIDELQGITMKSEHEWFNNIINAVQEQK QNNKAVLIIAQSEGEMNKIYREISRSQKIIKYTENDKISKIEIEPYSTNIATYQLILLII TKINAQLEQNGGIHVIMSFLPQSSRLKELGFARIFRQGIKGSKQMIVQMKESQKCNSIGN NSQLHISEREQENSDCLNLENLKLLTKQNEQCIYEIEKDLKEQGDCQMNQAQDEKQKKLL QQSIMNQQQNLIAQKLNNFYKNDESYQQEITQDSIQYYGFIKNLPVETNENRFVASSVLP ALSNIQHIVLDDRKMYMTEKQQQILCLKHNVEATYVFCDEQLKGQEKLGCDQCLNEMDKI CCEKLEFRKEQIFKRKMKARDQIQGLMSYYLDDFNSMIENLKKESKNLQSFLEQFQLNVC KFEERIKNQIEQLNYFSLLKEIDYMNATENFLIENEKKEMQEQIALFVKQFQMKLKQEQQ QFQNLIQKFNDSLDIPPKYQEINHKLLYEFEQQELCQVLTFNFDNSKIIAGQGQNLKVWD FQQDKMIDNKIVLKGHEQNIRSIIISKKRNYLVSESDDSFLICWNLSDDNQGWQQKLTKK LNSSKITYLIMNEAEDQLICCSSTGKIGIYKIIQQENIVEEIQNLLKHNKQVNCASLSKS HDTMVSCDEDDQIIIWTKNQRGLWNFTQSIDASGSRILSAFFQSNDKIITFTSNGKIEEF IKKNNENFYSHNLRSDINSHQKICNSPYELVYNEMRQLFIIKNPQKIFFITQFSLQLQNI PKNSINCNENTKVFNISKNGKYLVTWIGQPNPKFKVYEIQYDQ >CAK69842 pep:novel supercontig:GCA_000165425.1:CT868074:33944:35031:-1 gene:GSPATT00007282001 transcript:CAK69842 MNHYIQQPKTSQKQKKPSKVALAHPEIHPNKTLDELKSKEWTAAIQKEIDLFGQALQQVE LLFQEASDSQKQLSLGSTKLVHDEFEFAASKLQVLRQMLAKYSEDHAKYHQIKKELQQTK DNITQNQKNLKFIINKEREKIQFQFGVAQRVLVLIQAYIKMKDQFENPEDLQKHRDYILQ KIDRIKKTEEKHTKKIERHFNVIAIDDPNNLQEIEDEEEQITEESGYEGNNETLREHLIK LCDSLIAYFTKFEKKEGEQFLQHDLTTFQYFDQIKVAVPFYSTQIDQTIELIKEKRSFYE NAPDTEFVQKGVVPKVVQKQQQKVDTNNEQEFPKLS >CAK69843 pep:novel supercontig:GCA_000165425.1:CT868074:35987:36838:-1 gene:GSPATT00007283001 transcript:CAK69843 MIYYYLIGFLLLVNGQSITTLSLSQFYQCTCENLKTPQDCFRDFCNWDIENEECQNKKCE EFTKGDCQAVPEPFNCVWNYTIGKCEDFKACSDFSFPASYADRCYELIKCQADPDSIDFI SMNIKCMDRSNDSAKSIVNCDKIPYESCKWLVTDEDLLCVKNLQTKTCETRKINNCADYN TKQTCDKSTCYWDGSCKSLDCSKLSEENCQYYLSFDQKNVTLCTWKENQCSNLDIEKLKQ NQCLSYTIYSYAWNPDSEKCEICKNQMFLLLSYGIILLFLGII >CAK69844 pep:novel supercontig:GCA_000165425.1:CT868074:37173:39326:-1 gene:GSPATT00007284001 transcript:CAK69844 MERKKCPIHNESVIFQRPNQSDPNQRNLCKNCIVQMDNNLILIEDRQLELKRQQTEMQEE KELEYQNSMSILEEIKQDLFSFENQFKTSFNKYIETIDQYGGLFQTQMAKFSHTIKKLSL ADSQLFSKSCKQLASDTPNLSEIKKNLQTQLSILDSKEFFNKLQEKINKLSQDQNIVPPK LAQSNLVKQNIIDLQCKIHKSSIVMADLNEKSTIQSRLVCLSCVEQHQIKFTRIERVLEL KSIYYSNLVKSFDMKIEQTIQQQEAKNKSLEDFENKISQLIQQHKREQNQKFNIQIDNIR QKKKTTQEIWSADNLQGMSTIVNILSQPNYIEQVDDKLKEDLQSLEEIQKKNFDCLIINC QDILKRLKSGDQLLEQELINTNERKAEVSKRETQQIITNQEQKKVVKYQLLQQCSIKQCE TCYALSINKDSSLVIAACRKRIQIFQLNYGQLIPIQTIINQHSGDVYCLTFFKKSNNFIS GSQDQSIKIWERKKTNYWACVQNLDFHQSSIFCLIYSRKEDLIISGSADNTIKIWALEKL WMCKQTIRDHTNIVYGLCLNEQSNILVSSGRDNKILVFKLQNDTWNLCQTIMNEQFGIRV CFINNSAFCFQPRNFNKLYIYDMNNGQYTKTKEVSVQNGEDCDSLFPQQFIKQKQLLVNK NGSSLNFLSMHPNSELTLDFSIEFHSKKLFGTLSNDGEYLITWDDKQKEIQVRKYNL >CAK69845 pep:novel supercontig:GCA_000165425.1:CT868074:39622:41193:-1 gene:GSPATT00007285001 transcript:CAK69845 MIQSKINVGISNSFCAQHSGNQIIQIKVEEGCHGNERLMCHECIVNSRGLCFAMSISEAR ERVEDLKSQSQQQILSLVSLMNQMLAKMKEKTQSLQSKVYELISSLNSKFDQFQGELDSL VEQYNNCDLIKELDTLNKSPNFILKEQFLSDSNKIQQLNRSYSSKISNITLTLQTIIKDW DVQSEFDTIFNQISTQINNYKKQNDGQTQVQEIKQQKSCWAIAFNYSDSIMAAGCEENIK IWDFQDKKLVDSGIILEGHSDFVQCLTFSKRQNWLVSGGLDEKIICWNQVSLNKWQQNQV LYTGKRDIQNLILTPAEDQLILSHDSKIKLWNLNIEQNTISFKQSLEMHQSNVKFICVNN DSTFLISSAQDNKIILWSKQSPNNWSYQCTIDRIQKDCGNRICFISNSTFTYQSDNQGIL HIFMIENGRLIEAPELKVRLQQQNKQDGTQLFPSIFNNKKMILIQKHNKSVYILKRDNTN TLRIYGEPIHFHSRFNYGTITNDGNFLVIWSYETKQFKIYQIN >CAK69846 pep:novel supercontig:GCA_000165425.1:CT868074:41274:48704:-1 gene:GSPATT00007286001 transcript:CAK69846 MKSCAFFCLLVIASCYQVNRSDKCNQCNQLKSQIDCEIGKQDQQDCEWIKDSTTIGGKCQ KKLTQENPDVTYKSYCESITQQETNCHLTDGCAYIDKKCVIFAGCSAYRYQRTDDCQAVS YRCISDGNSCINFKECKDYPVDICNVSLSLFGRRMCKWDSIENICRDYKCSDSDEKLITD EQCNQWLKGCITRGIGCWESPLPPCTSYRGNEKKCDQYIGSDGYCEQGQDEMCSAKQCLN APFEYDTDSDCSRYQKGCITNGKGCIFGTVKPLCSAYFGDNSSCQRYIGSDGQCEGTQDG TNCRARRCEDSPNYSTDEQCRVYQPKCITNGVGCVQNLDLCSNYKGNIKLCLSYKGRDGK CKGDEKDKENRQQCMVRVCNEAPINYSTDEECNDYQINCVTTGFGCVNKIDRKSCANYEG DSEKCLKFVGSDGNCMWVSGNFCTARDCQQAPANQKCNEWKQSCVSNGDGCIMKTQCQKT ISQTSCEGTEGCFWQSGCIDGSDCSKFKSEVTCRYTKAKKVLNGILESVKCTWTANGCRE LTCDELIGSQYKDDINCKNEMSICISDMKNSCINKYDCSKLYGNKQTCFGYLGYCTNVES ADDNSPCITRQCQDNVELTTNQECNDYFPGCVTNGRGCVKYGTSCSQMQGDQSKCIQFFG YLNGSQQNFTTIQCYNIKHANMLSFCMVKSCSVAEQMENDQQCLEFLNGCLYDGKEGCVD PNQATCESYYGNDLFCEQAIVGQNSKKYCFGTSTKGQCVKRECYHKSIAQKNSDCNLFRQ DCLLKNTGCVDQTTVTCSDQSGTDGTCQLYYGGIQINNQWSQTQCTRKVNCQQRQCSDIA SPNTPEECTNYKSTCRFYLKGTPCIMANDCTGYQIPNTANTDQKRFQYCANIKDRMGLYC GWDIGQFCSIRTCQQIVNGQISGCSSFIQEGKTTGSGFCMLAGSSCLASLTECFYYMVPF DLLTFEEKWKFCVSLKNTKGIQCAYNTVYFSQCSYQDSCETIISATDTKQCNDTLGWKGG QCQKTKNSRCYTTAYVCSSYTIPTLLTYNQKLDFCRSLKVVDLSNKSDGPYIPCTYTDGL TCQDILQCSDIYNPTSHKDCEAYGYKCGYYNKRCYNAVSSCNDVLFTIELTSDSLKSLFC NSMLISTSDGQNYCVLNQAKTGCVESSYNLCVQVDPIPEFWDKQPTNVDTFCLVHTDKTR TTQCISDNGTNCKAGTCEHIPSPHSQGDCDNHIKGCIFLLGKCIAVGSITTQDDCSNVSK VPFSQELIGSSISEKISYCELFKNKNRSVKCTYDQFSDTQNRCVSIQKSCFNYIVPKGIA DKYYFCQVKKNLKGFQCKYNGTENYCRDSKCSDAVNPQSQLDCNTMVNQTTCYYLLGTCY NYQNTCSQILVSKENPKYFCSQVRNQNFPCTYMGGDYCVKVNTCESYNVENVVNKLEICN QLQDESLQSCTYLWGNNCVTQKSCSGYDGNADNQKGPQQGQEDAQCLLVKSLNNIRCSKD GTQIRKCKPQVCEDILGINDCLNDSKGCYYYNQKCFKKQQCSQYQPIGDNPEQQQLWCEK VQNVSGVYCKWNGTGCSNRSCSDVQYYTDFYCQQYLSTCKTDGTKCIDMSQDCNQIRTTK QLCGNYLDPNGVDKCISNEPSQTSGRCENRICYDNISAQSDTECNEFMHGCVTRGLGCIP NTEPCTSYRGTKIQCEQFKQLKDKEYVYCSGYVTNQSTSKCKVKICSDNTTATSDEECSY FLKGCRTKGAGCIEESASCAQYQGNYDACLKFKGNYGKDLCFSSGVNTSCRKLECSDING VDNQSCNQRFDSITCIFDGSKCVKYGLQCTQFQGNHISCANAIAIDGPCKATVMDDFKTS SCTTRVCNDAPNTLKTDIECQKYHPSCYTTGYGCTSIKQCDNIITQSACEGNASCSWTHF CNQQFESCDQIKEVSQTSCFNSKVKNEICAFTDNPAQCRSQNCEDLPHDISSHSVCHQLN PKCTTSGMGCITFGWCSTYKVVQICLYAYGERKCIWDRKENGCRDIKCIDFQGKTVAECE SQLNGCLSNGINCISGDSCDEYISQISCIHSKRGPCLWVQNACINYSKCEDAKLKTFQEC QNISKYCTTNGSNCIPITFCAQYEKLDSCVYGLDKQCGWNEKCQSFKACSDLKSSDNNIC RLYHQECVSDGYQCVEVVQQCSMYKTANACLNVSKEGPCTWDQTDTNCKVKTCEDYQYQS HLECYKANNSCTTNGTTCIQQSTCSSYRQSSCYQGTDGLCIFGLPIKGNSTVQSCRVKEC QDIYHEQSNQNCLTFIPGKQCVSNGTNCIPKAKCSTYNTLTACQGGGIESDQQTICAFKP NEKNSQIGSCKTFSSCADAEQDEHTCNTNPSCYWNQNTCVDQTCQTFSNGLNCNPVPSFD GTKYTICLLQNGNCSTSDPKTISESKACFIKSVQTHTWNSNTNHCEACFQGATPQNPGET KIAMIISMLITAFLII >CAK69847 pep:novel supercontig:GCA_000165425.1:CT868074:48841:51102:-1 gene:GSPATT00007287001 transcript:CAK69847 MNSKSQLNVAQMEEEIQALKDQMKFQEMLSYCEVALKNNEKQFNQALPNSVRYQGTVYYY CKKNEYANIILYLIEQQSFALEKLDKFEEAISCWNIAIHMNRKNKSNYEKKSNILERLGR QDQVIQCWDQAINYNKNAFYFYDKKAQALNKFNRLQEVIECWQQGIENNKKNIDFYIQKS NALTKQDRIEESLDCWEFGIKNNIKDLSFYVQKTQVLESFGRFKEVIECWDIGIQSNTQN ENFYTQKAMALEKQGKTDEIIDCWNQAILNNKGCSNYYESLLSFLERVGKFDEMINCWYQ AINNNKNNYKFYQRCAYLLQKLDRIQEAIDLWDQGIKFNTNSELFYQEEVNLLEIQGQYQ QIVDCWNKGIENNKKNQYFYQQKAYTLEKAEDFESALKCWDEGIQNNIQRKFYYKQKAII LNKLGRINELILLWDQGIQNNIYDDYFYRKKAKILDQLGRSDEVLECWMSGINNNTNQEI YYKQIQKYLLESNREMKEKEIMQVLDLGIENNQGNIMFYQLKINALQKQNKYEQVIECWK QGVNNSYSGYQEEFQSQLVQALREQKLLKKAIIELRLRDFQNINLIWRQLLNEY >CAK69848 pep:novel supercontig:GCA_000165425.1:CT868074:51260:52383:-1 gene:GSPATT00007288001 transcript:CAK69848 MQIQFPDYTVLSKIGCGSQHQVYLAKEKSSSKSFAIKIEKNPQLGQLENEIKVLQKLKGI EGIPKIKQIGVAQENKCYFVLPLLHSNLLELVKGRKVSQSAIMRIGLRVIEILEQVHKKN ILHLDIKPENIMISQAFEKESDIEKDGFIQLIDFGLSQLYEENSEGLQDVFIGSLNFASR SSHDGSPLGYKDDLESLLYVLFYLKDLTLPWSHQQYYTFSVQDFELIKQSKLTFFKTLIL QCKSFNHFSVFMSYINQLHYNQIPDYSYIREVFKHMIQASNNSIIQFKLDQQQSLCTPTQ SYLEDSEIQKITNCDNFEEILLEHNNNGSEKTIILVSNLVGKYNTKQIKSIKDIKY >CAK69849 pep:novel supercontig:GCA_000165425.1:CT868074:52879:53928:1 gene:GSPATT00007289001 transcript:CAK69849 MKQKGKKGREDLLEQLKSNLNIMGHKMSILIQGADGQIYLKEHLSSKGHQPYDDQTTIHI ASGCKWIAMTVIMKLVELGLLSLDTKVIDIYPEFKSSNPNLLLKHLMTHTSGYVLADEWI FDLDISLQESVIGIAKGGKYPASKVSFQGGTKVGYSDIGMQIAGGMAEKVTGKSFHQLFN EFLAQPLGMQNAQFTAFDGEKGQNIAIADGFLVRMIDYGNFLRMLLNHGSFNGIQILKAS TVEQMLQDYTDDLPVSVKVMKEVVGEVSDSYGFGIGSWVYDTNDKDEPVIFSSSGVHGFE NWIDIENGYLCIFFLRADVEKEPQISDLSESMRPEILEFYLKESKQKNN >CAK69850 pep:novel supercontig:GCA_000165425.1:CT868074:54512:55066:1 gene:GSPATT00007290001 transcript:CAK69850 MCSSLTNQQSCNEAGDCKWLADSSSCFIKKCQEENSEATCHLIVACYWYNGQCWDSSCIQ LQAEERGKCPVAYCIWQEKRKLCYDKKCSDYTNEYDCQQMSFCSWFKYKCIDNGQKKLDF >CAK69851 pep:novel supercontig:GCA_000165425.1:CT868074:56538:59414:-1 gene:GSPATT00007291001 transcript:CAK69851 MNPQINVRKFLEMLWLNSIFIANFVQGIWWASNTIWLKQPINYLVLILQLSCVFLRCSQQ ILISKEYGLNFVIHIVSLLYYVIYFESFLHMEMNHNLQSLSNCLLIIFTYIEYLKIAMCK QSLICRLGIAFYLIIRVLCTVIIDFKIYQLESLIILGLLFIKYTTELYNSFKNNFQEKLP KYQLAIKEEREATPLPQNEQIFPQIQSQSKVSLNLTPQHNMSIFLRDLPSEIKLIQYSNL TNCQKLNKSFEKSDQSMCSFYQNLLNIFPQGILILNSSQQVSYMNNKCEKLLECQGAEKV LEKIKTCVNNAKMQHYQIDDLSSFQAKQHQKQIHYHILKQIISILSNRNIAVDVLDIMIQ PQKYSNTIIQVLCRRCLFMNGLSNQDLIFLILKKSLKLIIIPTSMTVQQQEYFQSQSQIQ SSSKVVFQPTTDFDNPVLLIIIKNITHKHKFQQIRDEQIIHHSLIKSFSHELRTPLNSCQ NMLNLIQQFQSEEDFKTCLGIAQNSIQLLIHQINDILDYAAIQSYQFSYHITQFPFNLII NEIEELYKCQMKLKKIKFKILISKSLKDIMMRNDKQRILQILINLLNNSIKFTKEGGCIH LNITEKEYFCINIEVKDNGIGIAEDQLNLIRSSLYDTSEFGAILKQNAEIKKKGLGLSIV AKLVQGLTQAQDNKLVINSLKHQGTSVSFQVENLQIIQNSYQQSSNLFTQQTGRFNQSEI FYTFNKIKNNDKMQNKINNTFSQIQDDQDNLEQNSETYKATSGLNDFEIQKEILLPVSHE YFPHKFISSCKDFQELDQEQPNVQKQKLICQKCSHVLVVDDIPFNQIALKMMLKHYNLEA DSVFDGFQAIEKVKQKLQQHCQTYQVIFMDIEMPGMDGFQASKQILKLTQNQSTIVICSA YDTQENYIKGSKLGINTFLPKPVNPIELKVVLETLLQFKGYNY >CAK69852 pep:novel supercontig:GCA_000165425.1:CT868074:60495:65912:-1 gene:GSPATT00007292001 transcript:CAK69852 MQSPNPIQQNEDQNLRDDISSKPSLARSNTFQPIPKITKKFTIGGKEYSYVGPDHPYIQD LFQLVEERESNPKFIWINKIITINNQLEQWFSAKCRFFDGGFEITHYHVLIWFFYLIIYV VYIIQTLDLQNSSEVQANANKQSIVYNLAGLGALFTIYTLVIIMIKQTWIVFIITFLGVL LPIWFLSNNYLNLLNLNSSANYDFSMIFILAFIVLIVLNGLIVSQLYIWLKSFMKEFFAA MVVNFWCLFCVFLVGYYVLEYVIVTSQLVFSDLITYVLSTLYIPLLLILVFSWFIIKARL FDWPEDVIQTAIDRLKNMLEKQYIRSQTTQQQTLSWIQRNKVRIISYMIGIFYFIVEIWL FLKLSSILQNQYSNYSEIMTLAFEVTIVPIYLFLGVFISITNRDLSKTLLYIPLFLGAPI IFSFLKLYFYLDSQSTTQDMVLSIGDAIYYGPLFLFTIWLTISMIGIEKRKLQMYSLGFL CFFLAFPLGVLIPLYYESDDGSLLYTSYVLVGIGAIILIVIFAYFIKLSISAVWRVKQIS EDDFPNFGEYAYYNLTPYGLWINAAFFLLSIFFLGEFFWFSQEFDKEYQPTDVEIGTIFS LLVMHPILFFLTNLALSGRSLQIKNDFEITNLDMEKIEQIENEKKMKALKIQNYTVLTGL ICPIVVFIPFGAIISNEIFSTFFMALAFGLPLIFLLYKVLTYIKNQSEAYENFFSSFVSS LLWCCVIFPFGVIVPMLSIEFVNTSENFQTFAQIAVASGLFICIFGVTGTSLFFSILLNK EEFERKKRFVVEGLIAYFYKEHVYSDMAVCSLIYMRYLIIGNVVERRVKKQQKPGLQDKN NKEINQKQEIKQILVKDLIEEFKPVGPIEYLGEDLECNKQLVSKLNYDKFTKAIEQKKIE EKEKKQNQCLMMFWECIQCKCGLEEIEQLEKDKENEIILLRDIYKMDEEQGQMMEQLIPE FSSVYKLMDDNEKTGLLLNFELEPTAQILAQKKQHIDVEQLVSSKTEKFRNLERKDISNK AISKITSTDYYQSLQQDNNLFPQFMHEVFMEFSNQEEGLPPSICYNDFKEFCRLTDLNFG IIEYTTHAKQIWLNQTYSLSELDFANLLKSALPNNSVDKYQQLKQLTLSNIYPGLIKSIK SLYSNLKETNRLLLNNVLDPKLYPFCQENFDGRKQTKQEMQDEQSMLLLQINDGQNSQNN QIKRANSIKFPKKSRKQLNFEYAEKVQEVEHKYDNKLSCCKKCCYSFLRCLNLVFCQILG ACWKTILGGFEDQKKKPVRKGWAQRVNEARLEMRDWKQVAKGGIEELFRQINIYEEQQKK KLVVKQFRPTLSNIIAISTRFYDVYGLAALTFNSNVSWFGNDSASSVQGQDVVDGAAFYD SYAFYFYASLFASVLYGILGRIAVHHVKQNTFGQNTLNGLPASYTHPQWYLTKAIQILNG LFLRIMKSFIDAFVCDYDIVGSVSYVLVRDHSVECLSEQHYIYMGLAFLGVSIYYPLTTY MQPIFQFNDHSLDLKYRSTYLVVYVQCKLLILGLSSLFANFDSNSFIFQLIFASCIMLFI IIFYLRMKPCLIDWFNIIELFLFIIVFSVYIGAILILFTSNYIYGLLFTGSTTALLLIIL AIYFIRQFLDQRRREKIGIESDQQQLQIKQKKGITKFVETKTEERMRIANFKGKDKVREE KQLKMNFETLEEQEKEQKQMKKIQVAQKQKSQSDLNLDSEYMPIKQIEGNINEDPIEHKN IKLFQQRKT >CAK69853 pep:novel supercontig:GCA_000165425.1:CT868074:66256:66435:-1 gene:GSPATT00007293001 transcript:CAK69853 MKLLNALKIRKQKIVESEGPDSYTKILGNSYDMDKIYKKLVPYENVLNLSQSSESDHEM >CAK69854 pep:novel supercontig:GCA_000165425.1:CT868074:66491:70408:-1 gene:GSPATT00007294001 transcript:CAK69854 MDDAYSNRPTSPKDKKTTIESMRHENMNYIDRRILQKLVTYTIDFRTASGLDRYFLEIED WLDEEKSLHQNTPLVLEADDGIGKKTLLVKWMEYHAKNKKGRYQDYIIPHFATQSGKNSN YFFAIYRILIKLRETLNIKQKVELVEEKLRRYFHYWLEICSRELEQQFLNGAKVIYDKVI LIFEGIDNFRDMLDVHREANVSFWLPKYFPKNIKVIVTAEKNSNSMRILKPSCHVIPITC DPKVIKIMVNGHFAKQSFLVFFQSIPLVGLKSANNPRVIHPIALQSQELTTLRKELHDNG LVELKDIDIDVVENILKELNLQQIKHFRTVEELFTFQLKFFSESFIFTPKTPELKQDPKF IQLITVLAITQKGLTFKEIQSVCEFEDKQWKLFLLFFKIFLMKHRELWIIHNEIFKKCVI NCYHNEKTLQLHDKIAETINKYTNNSIRKLEEETFHLFSAKNYFRLKEVISIIENFLLLF NPNNKYDLCRYWQKLEENGFDPVLEYNKAVEGFQIHYHPSAEDLFRIIIQVSRFLKEFGD FETKNTPVFRHPPIIGVLQDLFDIGLLQEILKLDLYFNKDPELKQFDPAKHLKRVAKAIK TPALTKMESQNVEIQQNRYEVRNHYLKQMIKSSETEPDEQETIQTLDIDEYKYLMQKILQ KLLTDKRELLSGEEEITGDRVSSDYYYKRWIWIQFPWACLSISKKCDYSKTIKHCFSSAT DYMSVEEEHAYTNSALKIAIEAKLKKKLMYDTEPEEQQQSIILIPQASVLNQPQPVKLEY TFDNSQASRYDRSKAILPRIDSINASSLNMSQEKYERTMFITQDFTDLDTLNQESKGIKI TIETRPNDGQNTVKLLDKFKSQKGRLKSFQSSSLSLNAYQQTEIFPILKTDIQKHSQKQL HLLEQQAKQMRKKLDTLTYENLKLAKILKQQKIIDFNKGYIKKELSNLEELKETKIELEK QLQEAEQSYKTSSVQKVRVITILKICKDNKQQNEEYIRHLQQLINNFKKLIRFEEVEIQK NKQQIKILYRTIDDFLITYNQKKKHQGNLINQIRNSLLEKTNLDHLFQAADAYIQDSALE SVQNLRRNQAKQNDLQQNKKLKQQQEKNTKTIHEKLELFEKKYAQIKGIFDIKEQNYSHS TNFLDFMANIEKKSELEIQLSEQQQNLEAARQKKIKLEEELLTFQNAYQMNKSQSLSNIE VTENCNLIINIIRKCPITREQHLSSGRLSKKTKRFQSQMPLFL >CAK69855 pep:novel supercontig:GCA_000165425.1:CT868074:70424:71873:1 gene:GSPATT00007295001 transcript:CAK69855 MIDESFLLNENEEFGEQLLEDFTILQKLGTGAFSKVYKVLYQDQIYALKVVDKQLATGNN IVEKLNQEAYILNTLEHPNIVQYYKTIESKRKLYFLIEYIEGKSLQEYIDKSLNESQIRQ ILQQIIYAVAYIHKKGIIHRDLKPDNILVDKEWNVKIIDFGLSFQTINSTTHETCGTLIY MAPEALMKKEYFKSVDIWSLGIIQYMMFAICHPFWTDDTRETFLRKMKTPQQVCYPQNMS KAAISFFEKTAAWEPEARLTAEQALIHPWISGVNSQMPMSSKDIIQTFKCQNQFHQVLFC LSIIQKVSQKRDVIQTQQLRAHSQFQNNLHPLIVSSRNPSSQQKLKTESQQQQPPLSAHR TTLSRMQNNSSFLSFQDVFLSYKQQPREDVRLKQMKNKQQQFKLPPINTKSANNSPQNSK STQMFTFSPYKKAQPIGELKKSQFKL >CAK69856 pep:novel supercontig:GCA_000165425.1:CT868074:71889:73173:1 gene:GSPATT00007296001 transcript:CAK69856 MSFLKKLFSKPTKEKEMPQVQRNINQIYNTQPFQRKSNPVYNYNCISRIEQFEIILEQYI IDLEQLKQLTWRGAPQVYRAKVWKLILKYIPPNHMSQTSVIQKKRQDYFQYIKNYYEQIH ERDDSEEKIIKIISNDVLRTQPDYQLFRDPKIQNLFKRLLFIWSQRHPMSGYSLSQSSYV QGINDIASPLIAVFLNEYVRVDFNKFDLPSDFHKLPDDILLDIEADIYWCLCKIIENIQD YFIQNQPGVSLAYEKIKNILSTIDPTILSYFQQQQIDFNHFAFRWVICLLVREFPLYLAI RLMDTYLSEGDNMANLHIYTVSNLILKCGPEIKNKNMGEAVIFLQNLPTKDWTELDIEML LQEAYVFKEYMTNKQNLNQSMTPTQTNRISNK >CAK69857 pep:novel supercontig:GCA_000165425.1:CT868074:73179:73553:1 gene:GSPATT00007297001 transcript:CAK69857 MQPLYCQSPKQPIKKDSCLHQFQKLLSESLNRKQAIQNKENTRSSFQMRSYSKLQSPPHR SIPINNQLNQDLKKTLNRVKSMLDKAYQENLALKTENKELLQLLQEKNLLIEKLQQKKSI SQHS >CAK69858 pep:novel supercontig:GCA_000165425.1:CT868074:73595:74663:1 gene:GSPATT00007298001 transcript:CAK69858 MNKSNTKSPPPGIQQQSLSAEPKETKKLRTTLDYSFKEIKKLEELKNKEVKPREGEQWIF KEQKKQENQEVKNDTPKEQVLKQQQSLPEETEPVQPQQQQPQKQQDNKKGNAPQPPPPQL IPQTNVATQLITLQNIPLNGKIVGGKLQENKNQSQQSKFKPELCCQSLILCQNKLTSLSG FYQIMQTVMPNVELLRWIDLSYNQLTTLDYNFKELPHLQSLYLNFNQIKEINELKQLSHL QELRSLKIYGNPIEQIINFRLYIIDVLPQLKRIDSVLISKKERDNSSMIVKVFDFKPQMK AQADKEKLLLQQTQITQQKSKSQPKKKK >CAK69859 pep:novel supercontig:GCA_000165425.1:CT868074:74716:76014:-1 gene:GSPATT00007299001 transcript:CAK69859 MPPNKFHHKASTDIDTINKTTSISTNPYYNQYLKRASNSSHQEKPPSLQYSNSKSNLNPN QSHDYSIQFKVGGKNDKKNDSINETQLSQFVNPTKQFVCMSPAQNRDNSIIFQSPKQTQQ PTIIQSPQPYNLINTPIYYNNNKGSQSQLKPINYNNPNLLNEFKQLQDSNNLLQQNIQQM ESEVQRGQSSQFIQELEQKINLLKQLNDKLQFENQSLIEQTDVARMKENLEKSQQIRKQT SQQLQSIKSEQQQYQMKCDELQNKLDQCDDSQIKDLVNELENKVQNLIAENDRLNQQLSS NSNLQMISDISRLKNEIDNLNKQQQQLKRDEEHLKLTYKQVEQVYEQKMTENIYADLNEK IQLLVEENERLQQVINDSEYTDQDLQDIKARIKVVKQDNFKMEQQLKRKNLKQNY >CAK69860 pep:novel supercontig:GCA_000165425.1:CT868074:76192:77464:1 gene:GSPATT00007300001 transcript:CAK69860 MKLRKLGLSILLCSAGSYSLYCNEKTKAYIWGNGVYQALPGQGLSFNNFTPKQLIDFDDK NLQKLFLSEQFESGITGNGDGYIWNAHKLSSAEDKNIIDGKRQNITKINVPKGKIKQIKY TKQFVWALTNNGEVFQYQVKDGQFVNTPKKINSLQNIQQIECGEDHFVALTNDGSILTMG DDTYGQCGLGANNRSTAPPFYESRIRNPTKIENLPKINKIICGSNHTLCISTEGSVYGWG SNSQMQLSHSEEFSRVAEPLIAVYNPLRISALMDSNNQVLDLAAGNEFSVFVTKNKQNSE TEVFACGHNIRGQLGCGFVRHISDIVKLEGLSNFKININGKQENVEVKQIECGYNHCLAL TNVGAILEWGDNEYGQLGNKKRSFTDKPIVVSQFVQNRVASISCGSNSSGVIIQQ >CAK69861 pep:novel supercontig:GCA_000165425.1:CT868074:77484:78958:-1 gene:GSPATT00007301001 transcript:CAK69861 MNLRIFDKTKTASRRLKSPKVFKTEGNYQQQTRDNKSRYPHYQQMITKTRQTRSQMANLD WAREIIVRISHKLHKRVNKLITKGLIVNNYSQQTRQQQQQLNRTHTKYNTFLDSFLKLNK SKEMSRVPVRNRKQLWHNYVSKYLTQTQKVNPESLVPERRVQSQINILKTEETYNKNRST SSLCGLEPQDTFHFNLKFSHKTRQGQIANNPSKVNQDIFYCQTNFIENLHLFFVCDGHGQ NGHLVSNFIQTHLPNTIQKDKSQLQSHQIKETIQKCIQNVSVNVNKQPFDTNFSGSTLNG IILLENGRIHSFNVGDSRTVIGKLTGYGSKFKPYQLSIDHKLTIKKEQYRVISSGGKIDT FYDQNGPLRVWVNGTQYPGLAMSRSIGDQVAQSIGVSSIPDIVEYQLGLNDKFIIIASDG VWEFLDNQIVVDIVGKYYQQNNIEGASEELMRVAYRMWTIDDDSVVDDITFILIFIQNQ >CAK69862 pep:novel supercontig:GCA_000165425.1:CT868074:78977:79259:1 gene:GSPATT00007302001 transcript:CAK69862 MDESGIIGQMILESSGRIKKSSGTLDNPKLIPFITTIVSSTAKILDKQSLNRVTLSYQES DIIIYIDQNDIVVLERKK >CAK69863 pep:novel supercontig:GCA_000165425.1:CT868074:79771:83682:1 gene:GSPATT00007303001 transcript:CAK69863 MWIMVQCAIGITKVISTSFTETTTFTDHEGWTISNTYNLGHSSCGGVQLFGGNNEFDQST GIVKLFSLPPHYKLYLSFVVYVIDMTSGYTINVFLDQMPHQIIIPLASSDLCGTSQVDQT YNVAINQFHSYPTTIISIFGSQDQTLVYWGIRDFVLSVDTCPSNCDICFSGSQAFECQQW KLFHSAWTSTDMNDFDNGGWYTQSLAGPTECASIPIKGGYNSMTAAGSLDRQFVLPPHSS LYFIYRFVIIDGWTGQHGNFKIENVNFYSLYNQVGNQRNFCGNGLQPDQFHIHGIEYLHD KPIISLLITSDQGDSTKFFGIRDFYIYVYTPSSSCGDGVIDENEQCDDGNTYAFDGCFIC EYSCVEGCSTCINGMCYDCQDGWMFDMLNDQCIYQQSNNQIEQDQIRDNQLDIHNYQLNC IQFNQQTQCIKCKLGYELFEYCQPVCGDGIVAGNEECEMPQKNCNSSCQYQCQEECSVCS FGKCQQCISGYMLQMSECLPKCGDYQIQKQEQCDDGNMVRFEGCHNCQNECQDQCLLCGL GQCLMCKEGWILENGYCDSKCGDQRIAQGLEECDDGNDIQFDGCYECKLECPDQQCAVCY LGVCYSCHFPLTLYKDQCLVICGDGLLASGYEECDDGNEIPYDGCYKCKYQCYKECKICD RGVCMDECDYGYYLVNQICVPYCGDGIITIDEQCDDANSIEYDGCHQCDYSCPQNCNVCE EGICSKCNFGFYLNDQKCITKCGDGLIGFPVEECDDYNKNNQDGCDSNCLIEIDWTCTQP SEDSFSQCSYMVAPSMKLTYITQEYNFQYVELSFTQKVRCYVQNYTSFINATIINFNADS YRINIIETMPANYTLQSVIYEFKIEILEQVKSDIILEIDLNEQLYNGIEMNLIKLSSSIK LNQPKLLDQNQLTVSSSLQEMNKVILYISCSIGLLYLIFGKIGVFLDILDILQQQSYLKY INVEIPQNLKMYYESSNVISFQPFLQFLNLNTLSSNYVELQYIEAKYKFKEYEVNADITA NLQLFLIIVTTVFISKFIFKQLLRQLYKANIPMIEQFQFKLHSTFTLIISKLYFNFAKQG LQVIIHSNSFDLLFVSCIQLSVNCDLYRTLLSVWIIVFTTLVIIQPKKNRQQNSVIIIKK LTYIMILVFYQSCQIVQSILLSLIQTFYMSFLISQQKSMSLQFLKQFMAEGSMLFTLVMN FCYWDELMTQLNYQNKVDISWSQIALLTSSLASIILVETYNSFLNIYKKVQQKKKGKPCN SKYPFEQMIVF >CAK69864 pep:novel supercontig:GCA_000165425.1:CT868074:83745:85328:1 gene:GSPATT00007304001 transcript:CAK69864 MDPILTESHKLIQQQKFQQAFALLQQNESKILQQPQHQNQFHLNTGICSFRLGNYKQAVS HLDLCDKNDSEQNVTAIKYLLTALVYDQQYERCMKESTIFLEYQNVQILLNVYQSRGQCL QDQGLYLAAIQEFKKSIAKGNKNNIDIAYCYLQLDELQDAEIFVNAYSEKEQGDIQAQLI KAELLLRTAREKEGAQVLYTAYQYYKSKQFGITDYTPKELEYMESKLNERNGKYIESFYK KTRTHNLDDDSKNTFVLFVKELNNKQQQELSKQIEEQIKKVLSKTQLLKMHAEKAVESLL EDQQYKDYYDTFIQCVESTFDRASGFVNRFQIKTDDILITFGIELLAMVPLVGQTVSSVV NSLQAEIRTLQIVQRSTALIQIGNTQDELTFLMKQVLVIVLQDQEKQKEIKNPEVSKSYQ SYLRDFLTLKLQIKKQLINQLDDIYIKEKNKQELLATKDASELLGAYFSSGYLSLFEDKD EEKFPQMCAEFVLAEGDQKVQLRKNVSKKIERQNDKQIIESKCCVIY >CAK69865 pep:novel supercontig:GCA_000165425.1:CT868074:85414:86060:1 gene:GSPATT00007305001 transcript:CAK69865 MKDEYEQTANFFYPPDQLGNKQKFVHSRRILKPKNTVSNPSTQLTISKRSSDHSGLHTPP QISELSKRSEILRDCEMKKTNNYRILSSNINQRQSYPQQISFRNEFLFVNEQKINQFAQS PVSKINKLPEIFNHRSSCQGFKQNYRIQSSQSKRDTISNFTHECPKQQCIQEFINKSKQN SLVNLFPFYKQNNK >CAK69866 pep:novel supercontig:GCA_000165425.1:CT868074:86116:87896:-1 gene:GSPATT00007306001 transcript:CAK69866 MNTEIASFFDNPELMAKTPPLWQTLNQDIYDNDNIVFQQDCYKIAKKSKQLRPITIQLGN EHLYYLNKETIYQLQITVVMMNIIKHDEHGNIIRLSRNGKYIDLKLDDFTAFKNLLNYRC LQSTFHDEFGVTKMIGKGSFAKVYLSTKKSTGINYAVKAFNKEFMLEQFKGRESLENEIK VMRRLNQENLVRLHEVYETQNSIYFILDILKGGELLSRVKSQPLTAPNLQKLMYNLMKAL CHLHSKKCIHRDLKPENLLLKEKDNDTDVVIADFGLASFLNEDILFKRCGTPGFVAPEIL IYKEGDPFYDEKCDVFSAGVIFYILLTGRQPFQGTDYKAILRANKNCEINYNLKQIQTAP LQLVDLLKKMLFPEPKGRVSSEDCLKHPYFKEIFKEQDLIDVQDSLREYEKDFVYGLGKQ QGPPSQVGSMQLQQRQPALNGRIDTMGSFSNVSNNGSVTRLDQKPQPQQSKFSQFSQSIK QQINSDANSPVAKKNNQSDLRKTALKNSFQQQINQMSKDDDCVNEEASHLEDAISKLNAQ TPKMGLKKASSYKVQKSSME >CAK69867 pep:novel supercontig:GCA_000165425.1:CT868074:87937:92171:1 gene:GSPATT00007307001 transcript:CAK69867 MGAACANAKMNQTVPLIKITNGEDQTEKKQEGQQQQQRSTSSREQNITKLSTLSKQVSNI SSDGVPVRKQTTSRMSISGEDREKKPKVQGKNQGQEQLLKQREFILEKFLNDIQLLDKQL MSDVIQMLRFFLSHLEQSNIYWTKVWLINKNDYSIVVGFTNNIGESRKIRILYTKQIELV ANLLDNYLFFQQFASYKIHDFSFRLNKKHNNFYVFSEQEYCDVSLENIQLQPEDIQPLLE YLLNTIQYMHQNFYVHSGIKPSNVYMIYHKQKVFKLDLSFSAFRFSRRLIKNKIKDFRAN LVNDLEDIAILIINSFYKGSEIRQQLKQKNIDFANIIESLYQKQKNVIESYIKDIILLLY RNRQYLYDLKATDYVQLLKCHSTPELKDLIQDTPSTSNNNNNNSNTHTKNVLFEYSNNKV VFYHLTSTRNQKSYTQYELVDQNGQQINIIKGSAYIQDNKFYFAYENMIGMISIKLSSEQ LEDTLEMLQISLRQYLATKEQQKKERIDSAQKNYQLQLQINQHSPTTQIEDQFKFRDTAQ TQKQPNKNFHDRIYFTPQNLQEIFSIIDVEQRNYITAQQFYDFLQQQTDSIDNSLLQQLL FDKIQEIVLFFSDKNLTFHEFLQIFSFRSKQQLPIYKKIVKPLKQAQNVKSFTIINNTVH YYDGQHLYNDDNQIEIEYDLKYLTCNQNQLLIIGIQDGCLLINTQYNSIQTDVKIMSEFG FYKFNDLYFITQQNELILYSLKQKRSVKLNINGISSSCNLIYHKYFILYLNDQNVYRIFL SYDKWCITSFNVFEVLFEHGRLDQKIKLKQISTKQNSSDELIGVYSINKVHYPITNQTPS LFRILSVSKEQQNYILIQRIVHVEFESFDECYQVCKYLDPYLLDFFLSMEQYDGKLRLNY IIENCELIQKEDCNFNLIKRCVKKLNELRSHDIFVQDMHPNNVFITPNSVIFASLIYKTN IPSIYQEKSVPFMSSLEYMEPKSFLAFTQNLTQNQIFINPSKANIYSLGLIFLRLLSNYQ IKNTKEQQFYLMEINLALQQFQFPNLKPLLEEMLKENEEQRYDSYQLIQFIRKYQKLNQK ELFFISQPLQLLEQQQQALYGYQNNTIYQYQQVFKIYMNILSTNLQVLCVQSNDEGQLIL LVKSLNQKTYKYLLLYITLSLNQYEQQQQHSTLIFEELVNFQKFAEEDQDEYDNNQLQII QQNCQHIQSPHVVTKEVQVPIFDLQNVNECTYLINGEELYFFGFGITNEIRRVGSRDCFV YMPKRNLFKKVGYRTTYYEAQALDFGEYWLLLEKNSNHNKIRIQSITKAQFQCTERLIKL KNSEKVFYFKYLQNVIAISNNLCWYINIESFKAFEISCDNIPQSIISMQNTQNNTILTQD GVSTLQFDGNVLKIY >CAK69868 pep:novel supercontig:GCA_000165425.1:CT868074:93007:94308:1 gene:GSPATT00007308001 transcript:CAK69868 MIIFLFYYAYSQFIQEGISILEKGMKISQDRDQIYSNCPKILNSICDNRLPSIQKQDQEI IDFIQLQAQQVLEQLEPEQHTHSYYNKNINKLFQEIVLYDQSEEFLSFKFEFSQFYQKKE LQQIQYKYYIDFKNRSAILMNSKNNSLQEFNTTMYMKFSDFYIPSRSNFITQQFGSLNTL YPPDGFNIRTTEQNGSIYFTKPVYLTQFYAFSYNINSIIRINYNNQTIKMVSIPMNKKWI LILGPTNQLINNITVDKNIHIDSIAIKVSKQPYTKQQIKALVIEKLLEQYYLLHSESLIN TLKIEEDQNSDQPNKQKVVDTTDDLYQQTIKVFLEFLEIILARIKQIKKQKQYESLSSDQ IQDILLDIENEMTQNQVLIFQSLFSNFIIEKYNENDIMLMHQKLLQIDKGLQDKKKD >CAK69869 pep:novel supercontig:GCA_000165425.1:CT868074:94324:95073:-1 gene:GSPATT00007309001 transcript:CAK69869 MKQSLQSILETKSQYDFITGIMDNEQEDIKSKTTYKTQFKFNKSKLRLSITPKSQPSKVK KRPIYLRFSNHSSQQQETNNFIFLKTLFKDIQQPQMSKTNYSTWSTSHKQTIQSNFIPPI KKNYLLIKTPDNNQPAVGYYNPVIPKKVRGSVKLFSQNISQQSQSLNILLNQNEKQESPL QKEEFIKVPETKLQNYYDNEKKKLMSKSTFQLPLKDLNANPFTKQWSAFLAYKNKFKLLR DHKYEDSLK >CAK69870 pep:novel supercontig:GCA_000165425.1:CT868074:95131:97289:1 gene:GSPATT00007310001 transcript:CAK69870 MNFTFMIDNCLSSCQITYNGLTILDYAKSAIEYFVKKRTKNPENKYDQYHLFSSNSSIST WTNDVCHFLQKLKTIQPQFETNFNLDECFHNLNKFRTLNGTDNLYGGRDVLKIESAIIFV FTNREYMGSAFHQLVRWDYRLLVFLLQPPGIEETNAIPISTNWHHLAASVGQGVCFEIQD YNVLFQILDDPKLTPPQISLQLNLLDQQYKLDEILSNIEKTKIDQQSSLPSYLVRSTQKP NQSFASVRLKCQPNMTIFTKVYLPEQFPFSDFNNYPAYPEYFVDFNVSFQAKLPQQLPYQ EVFIENTSLLKFVQGISTTKSKMLQFPIYYFERRDEQQVQYLIGLFLFQQNSTTMELRFY FPNFLNYYELLMQCGNSTDETRRFAEQKFLQYLSTIPNYYAPCIRKNQNELNIQIQRQLI FLIDEQNQQLYMQKVKAHQARVQKNKKQVDDENYKNRQLHISRKSQCCAAFSENYQVKFQ DQINCEFRQVTQYQKDVNFQRFLPQLEVQSCLPQMPLIQPTLRNMYLDSDEMEIRLEPQF CGNPWKLQRKTYRDGVEIKNDQEELQLEDMMLTKMDSEKKSQTKNRRKGFMRSKDIQNCN HKQVIIEQQEMEIEENKTESQSQSQSKSKYGSVKFNPHFQAKFKLIIQTKLNNSVSTNN >CAK69871 pep:novel supercontig:GCA_000165425.1:CT868074:97682:98540:1 gene:GSPATT00007311001 transcript:CAK69871 MGALGQQTAQFGQVQQSGYLGQQSNIQGGQVGQIQQQPSLQPQQQQQPQQQQQQQPQVKQ YTILQIKNETQKHFDNLLKSIINKEKIEKNQKNLQLIEKNSLRNIQQQQQQQPQANPSIG YGMPQQQQLLKPIQKADPRNHTAKVEKYYGEWNKGIQRISQKQGEAINQLNELNLLLAQQ ETYYEIDEESLMDSIKQLDKELSQLEHKVVNVVKLQEKILGLEKEETEWDQIVCNLSECL TLLEIQTKEVEDQLHQAETHPKVLNK >CAK69872 pep:novel supercontig:GCA_000165425.1:CT868074:99666:101887:1 gene:GSPATT00007312001 transcript:CAK69872 MNQLAQGIYCINLGISKLDIFGQTITLKMNKDNYYKTFFGGVVSILLFLIIIMFFSSSIK SFFNKETLVATVLTNFEEIPSQSIIDDATILFAIQIDQENFLSRPFFDIEVLQVSETRQT NGSKIREEQQIQLVPCTVERFTKIFDKYNQNISQTYAELNLDNFLCFKNNATFILEGTHS SLQFSYLKISIKKCNSTQMCASQDERDAEVKLNGSFKIKLYTVNKILNPYNPSENYLQTY IDDSFYFNFLPSIISKSANLFLKQYQVESDQSLTPFQSITSEKFYILDQSEIRERVEFYK EGNLEVASIFLRKSPYKTQIYRSYLKIDNLLSNLGGMQQILFFFLGLLLALYNRFQLLIE LANKLYEFMLVEKQAKKMHENNLNLVNQLITERQYLDSKASEHDAKIQLLNPSQINQKEN NSKLKFEDQQQIIYKAKRQAKYAKKSTKFYDEESKKAYRSHISNGLEYFQSQINILIRRA KPIKLSFQLLMNYVSCQILFRNKRKIILMNKAMQLQLILCRNQLSSQIDLFNILTKLNEI DKLKEVLLTSDQQLLFDFTPKPIISLDHKDIKIDRFLIEQNSRCDFDLEIKQKEKAIKDA ETPQKSLHLKADSQLYERIYKAYDKVLNGLTVSNQYEQYINNQLINQLGAEVQTIFKLSK LIDFSVSFSRTFRRKGQIFQQERLDPEALQI >CAK69873 pep:novel supercontig:GCA_000165425.1:CT868074:102041:102725:-1 gene:GSPATT00007313001 transcript:CAK69873 MRNQSAKKETNQIRNKVSIKPNIDLQQYSFLASRKNFQSLTCSTQQDSSISNKQLQSLRQ LSPTDQHEKIKDQPTKFSSQRLIKMNSREFQDTNKIMKGSFYKKISPQINMNNDNIEVNF VREFNLYNESNPIRENKKNLKIEKEITMQEVFNSKVQVKSSRKLTNDNAQFQAAVSKGIL NSYKKLFINSESKNDQVSLGNKNPPLSARTQKINLKQYK >CAK69874 pep:novel supercontig:GCA_000165425.1:CT868074:103195:104072:1 gene:GSPATT00007314001 transcript:CAK69874 MNKVELPSNLQISNFLQNEIQKTDKYVKQHEKELNTLSKYQKIISQNCQSNKEKLSQLFV KQFELDQHLKQKNNQVIYSCQVQLKKKRYINEQSGLQEQKEKNYLQQLDSYWSYQQNFYP NIDYMSDSVDKSRQQLIQYYEHKLSLEDNQLERNQIKQKIFSLKEAQIKYDKCKSIEGRI KKAEQLRTERFDTSVEKIKKHEKHIMDVIYQNQMLSERKSHYFKDKLHRLEEKLCQSELE QGKKRLDQVQKAFQKDNHRLQVYKYTIIGKSKL >CAK69875 pep:novel supercontig:GCA_000165425.1:CT868074:104319:105316:1 gene:GSPATT00007315001 transcript:CAK69875 MKPQKSIIKELKTYQQDLYKTGREGRQFSINDFPNLSRKPVEWTDCDQFIKLRQMKCDKK LAIRLCQQHKIHRSDQQFFDTLHNVNMENAGYKKIQSSTSFLLRQIRQNQFIKQKYRMEF QETQIDNKMSSILDDSFQKFQKSLDKHLEIDKDQSHKFNLKYMTKAELYSKLQQLNVIPK QNLSMDHLPSSNSTNCLNLVIPSANSLHISQTERKFSKPFLPYSGISKNRGSNVSIKQQF TKLQNNQLNIPSFKLIKQNKLGRASFNPQVDVAKGIKSMHHIGHLNDVPELKKQHRRHFT NYDINIINFQ >CAK69876 pep:novel supercontig:GCA_000165425.1:CT868074:105407:106166:1 gene:GSPATT00007316001 transcript:CAK69876 MKIQRRSLAKEIKAQRPLLFNQSGVSPICTKLYSQKLNDFKNVESYVKLRSMKCDKSISL LNCSKQICRIDEQMPQVKRITQARINNPNESRILTRQVIKDCLEKRKRRFESTDESFKNL YLLQDKSAEQYQNYLSKHIEDSIQLKESISFNLDRQSKQQLYFKLKDLNKNLNAYQKQDY SYPQKFNQMEITLRSTVEPSITDISPLTFVKKQKIQKLRIS >CAK69877 pep:novel supercontig:GCA_000165425.1:CT868074:107437:108642:-1 gene:GSPATT00007317001 transcript:CAK69877 MSLIDQILGVGNDLSGPQIFVICQKWYEQFQDELCNCNPNFSKIDNYSLCICQEEQVQLN SILNNFKREFDFQPIIIQQNSQEQILKVDKRNQAHYISKALWELLEEQVKGGPCIPLYTM SKQESNVVKTLYLNLKFMTNYEIEEMMPNLVVPVDMTQMEFLIQNEDDSLIYFTKVVPLA FTIEQLITKILTPLFDISNLLCYNYYLKKFLSFKSSTLIYELQIKSLWFLKNSMKNLLKI QNGGTEFTENFDEVQMREDFQNFKTESTTESRIPSLLDISQQQQPNPFHEFEDEGNQSNL SNQNIIIKVLRELDDFKNEISTILKQKKNQPLVLFKYEQAIENINQIIQSIEQDNCFKKE QQEEGTNDELIEQDI >CAK69878 pep:novel supercontig:GCA_000165425.1:CT868074:109607:110323:-1 gene:GSPATT00007318001 transcript:CAK69878 MLLMRTRVLCQQNIWNCQICPSELHCKTFYHQDKISQDNWKQQVRSFYYAAIQSYDMSHD FTEFSQSSEIQDFEIVCLDCVQGFDLQNKQCIPQCPTLCLECVRSNGQNICIRCPLEVNQ RIISLYNNQCIRCPPNCNLCRKRDQAEIFLINPIFQNSNYWDYSNQCLGFNSDSNLYYNS FFGLVFQQIDIDTKQENQVTLELNLICSEIVYQNLTFHLDDSLIFCSIQQRIILQVGE >CAK69879 pep:novel supercontig:GCA_000165425.1:CT868074:110717:111285:-1 gene:GSPATT00007319001 transcript:CAK69879 MTWHLKPVCTMDMTRNELRLDSAYQQMDGDLIDYDGFYYKRNMQTRTSNRLLTPISALFI QDIKCTFNVSLLLFWLTTIANDALLIAQIVMTIQFVVNATRTFNYQIINVQYALLFAMNV FKMKLVKMQLIRSVGGTNYQQFNIPFNYVDIRCRICGQFCQECIEDQNSDTKNISLDV >CAK69880 pep:novel supercontig:GCA_000165425.1:CT868074:111559:112419:-1 gene:GSPATT00007320001 transcript:CAK69880 MELITFIQKLSQLINIMLLEDGLKQILVRFYICLNVDIKNDNQQVILKWKRKQRRQNFIS KIQYQFKYLEFKFYSNLDYHYQYPQKFEYDGSFLNSYKFLQLNSERTQTTLWFDLQSEPT THNYKFDSDRNQFSNTLNYMYIGGDKFTLSPYFEGSLAYLEFLTGFDQDFNIQDYQQCHN SCKSCDGPESKECTCCSAFSF >CAK69881 pep:novel supercontig:GCA_000165425.1:CT868074:112524:114309:-1 gene:GSPATT00007321001 transcript:CAK69881 MENQTIQVQEFLTKWGLRNATVYRNPSVPLIYELSMSQPQSEDPTVRPDSINNTGALVAY SGKKCGRVPKDKRIIKDEVTERDVWWGDVNIGLSQDSYNEVEKIALEYLNNKEKLFIIDG YAGWDVNYRLRIRVFCTRPYHALFMKNMLIRPTDEELKKDFSGDVDFYIFNAGPQTIQKP IEGIKSEGCVAVNLTERKMVILGTQYAGEMKKGVFGVTHYLFPKQGILTLHSSANEGENG DVTLLFGLSGTGKTTLSADPKRRLIGDDEHAWSDNGIFNIEGGCYAKCVDLSKEKEPEIY NAVRFGAVLENIEYYSEEKREVDYTNISITENTRVSYPLDYIPGAKFPAQGGHPKNIFFL TCDAYGVLPPVSMLTPEQAMYHFISGYTAKVAGTEVGVKEPQATFSACFGEAFLALHPTL YANMLAEKIKKYDTKVWLINTGWSGGKYGVGQRMSLKYTRGIIDLIHSGELKDAEFENFP IFNFAIPKTAKNIPTQILNPKNTWKNPEEFDKQVLELAEKFQINFKKYEDKATQEVINAG PKF >CAK69882 pep:novel supercontig:GCA_000165425.1:CT868074:114987:115754:1 gene:GSPATT00007322001 transcript:CAK69882 MLQTSVIISSTPSYIERFSPFICACPICPCITCLQPCEQANEYSIIYCEDDWSNWGQVHF EVKEVIQQLLKKRFICPPSKRSLELVDQRKFSEVHIKVEKPYKFSFLCFQRPEMLIYQRG QYIGKVMEELQWTIIKCTFYKLMCFDKNNALLYTISASCCQPGLFCMLPCQSCAEIEFEI KDRNDQKVGKICHLFGGFRREWCTKSDTYGINFPEFATIEEKIILIMAGIFIDYAQFQNY >CAK69883 pep:novel supercontig:GCA_000165425.1:CT868074:116481:116813:1 gene:GSPATT00007323001 transcript:CAK69883 MCDQTPIEYRSEFESSPMNFSQANLILFQPNSPLQLRINYSSNYLLIQDCSSAQQNQNQE DLFPFEQQPIQKMFELQISNEQSPEIQQIVIKQSQAKQKGFKFFMLIPNK >CAK69884 pep:novel supercontig:GCA_000165425.1:CT868074:117003:117287:1 gene:GSPATT00007324001 transcript:CAK69884 MELKSTTYKDVAQRLIQDLGQDGQLLDLDNAQILIELVPKDEQNIKRRVYDALNVMIASK VLKKEGKKVISDRQRKTKARINETDYQNEELVTN >CAK69885 pep:novel supercontig:GCA_000165425.1:CT868074:119749:120787:1 gene:GSPATT00007325001 transcript:CAK69885 MAYREAYEDGQIEGMRYREIEDQEIQEENHIEGMAYREVYEDGQIEGMRYREIEDQEIQE ENHIEGMAYREIYQDGQIEGMRYREIEDQKIQQENHIEGMAYREVSEDGQIEGMRYREIE DQEIQEENHNEGMAYREVYQDGQIEGMRYREIEDQEIQEENHIEGMAYREIYQDGQIEGM RYREIEDQEIQQENHIEGMAYREIYQDGQIEGMRYREIEDQEIQEENHIEGMAYREVYED GQIEGMTYREIETSQSNQDYVIF >CAK69886 pep:novel supercontig:GCA_000165425.1:CT868074:123889:128753:-1 gene:GSPATT00007326001 transcript:CAK69886 MTENIIYFTEVLNDTIKYEIALINLEAQVINLSQIFLLRGFGISELRIINSQDLLIRNIE VLLSEKYSIKGIHKHLDCWINYVKQDFYTIFLIIYNAIQTQIESMIMRYLVVINEPLIYY ESISTSILERDENISIYNSKIHNNLQIFIGKYENSPIFEIHSKQNVSIYIEEIVFHRNIL TSYQQEDSYISSSLIYFDCLISKVVLHKVVFETNTIINSVNTLVFINSQSVNFDDVVFNN SCQFDYNLIKPYLQWGYDLESKIYLEDVKLSFQQKCLVGNAILQSSKILINNLTSNNSFG QLSGSLQINVQSSGSVLIDQSHFENIFMASSKQYDSIQQGGTIFIESQSSIIIKIVNSVF SAINSYISAGMLYLNDNQNSIKLQLGNLTLNNCYSLKGAILYRQISSYNLTNQKFRLQGI SIQDTYQGFLNYQNSANYYYYAVKLLKNYLLSERTKVYLIAGNIQMDNIKIQQIYYESLI IGTNLLKFFFSSSIIQGGILPINGLIKLENQGYENECVIRQVLISNLTSNLDSIKVDYPV QIDSTKFIEQQQVCLTKFNNDYAPVNLKSFHSPDHYYNNTLTLLNELNLYYQKQLIMPIF LLTNITNLVQFKFIELYIFDIICQACSLSLLYLHQNEERAMENKIALLEFQNNFCGSLSC FIIADNILSISDVNIYKRLLYSEQESEKLIQYVKYDVELMDFKCQNNSAVYGTCVFIKNQ NIQIKNSLLMNNYAKMAGGAIYFEGRNKLFTLLSSKVSENQALYGGGIFTQNLSQSNYSK MGSIVNNNKGTKYGNDQSTNPTHISITLNNYESIFFTNVKMNDSNTVIEEVLVQNKYLYK NKYLNVIYLPNGQKISEYKQFDITNRSYHSKNLTFRIVLLNEFREIYNNLSNSKCNLKSR KYNLGLSEVQQEQFSEDFTNKIEIPYNRETNDYNLDDLILLFNTFQQDDLILQIEIKCDR ILIPIFNQQFPYEILNYHNNYSLHLNIKTYPCQYGEIINKTYGTCEVCDYQNNFYQLELN QEKCNLLDEISIKEITSNQLNLYPGYWRAYFDTNQVEYCYNLPESCLGGWKEGDYSCITG HIGALCEQCDISDIRGDGMYSISGPYQCGRCTEQYINLFSILGISIWYSQRKYFRTLLTI LMSTKSTVTIVINKLLAVKLQKAGLILVSQPLNEELLGKILTNYLQIIMTLTTFRLSFPD ELNYAINSTGNPIKTVSYSLDCFLIYLNSIIELHYLRMLWQLLMPIIYVLLFLGGYGLCI LLKRCKYHSTVLFTTLINMFIILQPSLIEGFIKLMSYRAISGYEWISINVAYRYDTESHF NWILCFCFPFFILIAFVIPLAFFMILFYNRNDLDSNETRMNWGFLYNEYKKSGYFWEIVK LVEKELIIIVLALYETKIIIKASLIYIIIFIYQQLTIKYQPYESKVLNQLDNIMTQVCGF SVVLGIGIHVSQQNQEIVYPLYIILICINLYFMILMIKTLLKAYFIEMGNQILMRCQKFK KFLKFDCIQKWRFFENKEEKRKRIQIKFNKIKKQILSVSRIIQQGKKETELSIFENKTHF KQEQEKIEKGINSIMQKQQISSISRDIEITLASCKSGKANQKLFSKVFPESQQ >CAK69887 pep:novel supercontig:GCA_000165425.1:CT868074:129572:132981:-1 gene:GSPATT00007327001 transcript:CAK69887 MSSNTKKEYNITIYYKLEFSTYYWTYNPYYVLEVSYVENSYTKFQSLALQLENQQLDGIW HLTLIEYNPLKKTLLFKSAGKIDQIDGISLKSGLINYILGGFGKDSFTKQSYAPFQGLLS KLIIYNKHQEENLYDQISNACTIPEQVVKMKQIKLIDGIHRFDGTDYIHKEAQLIDQHYV VRGWIKIDFSKIFISAQMQILRMTINKQYQNGNGNNGDKTLFLRYNINLNTQNLNSILIQ TYHYQYPQKFEYDGSFLNSDKYMLTTNTLLSDIQKWHYFTFEQGRSLNSERTQFKLWFDF HSESITHNFSGQVRNQFSNTLIYFYIGGDKFTPSPYFQGQLANIEFLTGFKEDFNLKDYK YCHYSCQLCDGPESNQCTSCSVFSKRQFLSETKECKCQNNELDITNSEICFNQFQSFPEI TISQINTNSFYYCDFGYFLIKDNNDRICKQCPFYGQIIEIQKQKQTQYYCLDCYIKPMTW YLKPVCTTDMIRNQLKADSAYQQIDRDVIDYDAFIINEIYELELQIGVQNFLSNQEQSNS ANISAIHLGYQVYLQCKSTFILVDNECKRCPDNCLICDDNSVCSQCHKDFYLSNNQCVMC PSICDECFQDEVSQVAICKKCRSPFNYVDKTCRICGQNCEKCIEDYDLKTQQYFLRCLKC QDDSIYFISNDAQNCEINSIENCQYAVKLRLLEDQKPTFSTLDYYFQPSYDSIEIKCARC KEKYLYYYYSNTCEIDSYYGEDCQYRVSWYMTYCIVGKNILYERTSECQNEIAFCTNCLY NKFKEKYECYQCEQGYYASRIFGNCQICPSELHCKTCYQQDKISQDNWKQQVRSFYYAAI QSYDMSHDFTEFSQSSEIQDFEIVCLDCVQGFDLQNKQCIPQCPTLCLECVRSNGQNICI RCPLEVNQRIISLYNNQCIRCPPNCNLCRKRDQAEIFLINPIFQNSNYWDYSNQCLGFNS DSNLYYNSFFGLVFQQIDIDTKQENQVTLELNLICSEIVYQNLTFHLNDSQKTLFNQTHV FIDDLQFFAQFNRESFYKLANDLQITSLVIKIISDIEQECLFFGDFLISQIFSRSIFPLI QSIDNKYLNYQILC >CAK69888 pep:novel supercontig:GCA_000165425.1:CT868074:133893:134269:-1 gene:GSPATT00007328001 transcript:CAK69888 MNSNQHTLCHLNQASRLINNNDLRKLQYSKQLKICCPQVINSKDEGLPRSLNLDLLSQLA SYKIADFISNKLQQICPHMVGIVFYLELIQECQSYKSRVVSLVMHGFLIFNLAIPNNK >CAK69889 pep:novel supercontig:GCA_000165425.1:CT868074:135670:136560:1 gene:GSPATT00007329001 transcript:CAK69889 MLQGLEKPLSEYQLILHLIHQNDYDTLKELGINKANINFLEQFNEIELDQKISPLICACY LGRLEIVRLLLSNCQVDVDLASVDSGQTPLSVAAMTGNYEILKLLLDTGAEVNKPNTFNQ TAFISCFARLEEEKNIFENRKICFKMAELLLHYGADINWIVDKSHGFHLLMQLCSIKMEL NPKEAEINYQIIKFLIENGAQKNLQSLKGKKAVDLLKKHSNKEKLIELIQNSSQIYFYGR QKNSSRGLQQHQNQLKSRSIQKP >CAK69890 pep:novel supercontig:GCA_000165425.1:CT868074:136718:137824:1 gene:GSPATT00007330001 transcript:CAK69890 MKIEVAEEEIIQSSVQKNYTQYLQMYYEVEQGKEYNLDIYMYPENLYKINQVEKRDCPDN CSEKGLCQKLECQCFELIAGQDMRKNISRDRCQYDIEPIFDESKYNVEMIPYQSKIIAIY LQQDDIVDDQQYYYFLKLRQIRNVKIAISPSINATIYFLFPFEIPYKSLKNNKLVFDGII GQEGQEIDFSDKFESYHLKSANYSFVLILQNPYSLNINFSLGYDMLDNDNQFQDFILVII LSIVSFIILLVIIYIVKKRCENMQEKKNKGNQSLNSQKGLLHLMGIVDPAKDQECIICLD LLDQKPCRITPCKHILHEACLNQWLQKQQNCPICRETFLDDEGYPKYVKTRNQTTTFLNH HISQYGIQ >CAK69891 pep:novel supercontig:GCA_000165425.1:CT868074:138164:138783:1 gene:GSPATT00007331001 transcript:CAK69891 MPPKVDPNEVRLINIKVFGGEGGPASTLAPKLGPLGLNPKQVGDKIIAESGKWKGIRVMV NLRCQNRNADVTVIPTSSALLIKEIGGYERDRKKTKNVKHNGNLTLEQVIKVARAVEEKS LAKTFTGTVKQVLGTAQSLGATVDGQPVKAIIGKINSGELKVEK >CAK69892 pep:novel supercontig:GCA_000165425.1:CT868074:139697:140268:-1 gene:GSPATT00007332001 transcript:CAK69892 MISLSLQQSKKQKYKSISSDERQQVIKLFLENAYSANQIANITGHNLSTIKAIYRIYKKE GRIYKKEKRDKQIKIKKNVVLLVIDEKTSHLNIMAKQQFKQEIVIMNKEIPFLSFENTIN ETILNSAVDVLKHLDSFESKQCFLQSLKKVKIESFEMKVSKRLRKSQTKLKRGL >CAK69893 pep:novel supercontig:GCA_000165425.1:CT868074:140501:140704:1 gene:GSPATT00007333001 transcript:CAK69893 MSQVACFGNFSPIPSLGPEGQWKRQREHDDIEKMDDKTRGKRVRFKIEGAPPDPVEPSCS EEDVELN >CAK69894 pep:novel supercontig:GCA_000165425.1:CT868074:141153:141628:1 gene:GSPATT00007334001 transcript:CAK69894 MFQLVNNGIIMGEQGLDQIAFGTMTPKSVFQKSFQTIHYCIWKQLMLSAFIDFFQDKLLN QKQLVFIYIQLWIQLIEINPNTDMIEYFEIEEQKSYQKLKRKFVKLNLVSYFIQNNNQTY KIHPFVDVNLNNYF >CAK69895 pep:novel supercontig:GCA_000165425.1:CT868074:142116:142898:-1 gene:GSPATT00007335001 transcript:CAK69895 MTDLESVRKEITRLQAENAKLTTKIVRNRKVIAQKEETYIKVQDIANEQETFDSDSTQAS FKIKQLPSGMDKVRELYKTVCQCGDAAKFNGFCENCVKKMKEDYEKALNDYLPVSVQYEK VYSNNVNKEVKMLSIKNKIDSMREKIKEGDISFQDGDLKILQDEIDYLRKQIKVTQMDYE VQQKEFTRYVEEQNKVQEDLEMRLAKKEDKIQKIKEQIDLLLLKNKKIEENIGFAKEELE SYVK >CAK69896 pep:novel supercontig:GCA_000165425.1:CT868074:143762:144610:-1 gene:GSPATT00007336001 transcript:CAK69896 MIETQKLVHRKLSILGYPQNEFFALDSLKLKITKKNFTCQLHPGISIDIPKYIQKFYRKR FFLFKKFNEGIQLDEESWYSVIPEEMSIHIANKLKTSSPGSDVIDGFCGSGVICIDIDPI KINNITNNLQVYESIATVIQMNFLEYTHTNQDFILIMCPPWGGLNYSHQPYDLNSMKPSL EDLLTKGLQMTNKIVLQLPKNIDIQQLVSIFKDVTDKLRLELKPIEVEMMLINEQINQLI IYYGL >CAK69897 pep:novel supercontig:GCA_000165425.1:CT868074:145961:147673:-1 gene:GSPATT00007337001 transcript:CAK69897 MESKLQNFEILNKLGSGAYSQVYKVQRKSDGKLYALKKVKLIDIGDREKQNALNEVRFIA SIHHENVVSYKECFIEDNNLCIIMEYAEGGDLLQKIQRYVKKQQMIPEQQIWQAAIQVLQ GLRALHHKKILHRDLKCANIFLYDNDHVKLGDFNVSKLAKNGLVYTQTGTPYYASPEVWQ DKPYDHKADLWSLGCVIYEMCALKPPFRAKDMDSLYKSVLRGQYQPIPVIYSQELVQLIK SMMQVQPSNRPDSDKLLQFSFIQKKAKLYGIPLINDEIEDDLLKTIKWPITRKGLQTNKS ELINLNCQLPGSNYLNQHNSNHIKRKDQSNRIRSQDTNDSISVNQPNEALHQLMKQITQL DQKEDEKTSNVNHNLNKSIQKQAIQENIQPIDRRLRVSNSTHEKHERYESNQQSKCASKD RLDHQIQINSNYYAKDVIQSLNKQHYSQKLPIISGIQKQGEEYILRKNSSNERNSSSYLK RSQHETQKKDLSIQPSAERPTALMKIIEEHQQLPKIKKKY >CAK69898 pep:novel supercontig:GCA_000165425.1:CT868074:147814:148093:1 gene:GSPATT00007338001 transcript:CAK69898 MNQKIVMRNEDQEVEVSGTEQPVEIQQETIKLNEAHIDLIVKEFDVDRFQATRWLKKNDG DLQKTIKWIISN >CAK69899 pep:novel supercontig:GCA_000165425.1:CT868074:148437:148949:-1 gene:GSPATT00007339001 transcript:CAK69899 MFCLMKFLPRSWIFSELMILQYRSKAENEKNILSLIIQQMRKSQKMPSQQQIKNIITPTG SSQITYSPELSPINKPQKQMTRKTSMIELHRVESEAIRVRPQRVLQIIKDAKQQLFSQQN PRNEKKLKPRVETSEGQNRGLDFTFAQNFSNKQIIMKEFSSNGLRKKFFI >CAK69900 pep:novel supercontig:GCA_000165425.1:CT868074:150072:151049:1 gene:GSPATT00007340001 transcript:CAK69900 MQSIIDSNERNEPQSSLFFSLRHDQEQSNSFRSLEDDPKEKRDATSINVEMQIVNNYESQ DSPISTQQTLEAQQSLIQLRLLGREVKEVKPSFKKEIVPINITFLYLEYITNNPGMKIWL LSNIMLDICLRLLILVFFCIGTVDENKIIDPYQDSKVTAYFVIFIQIPRILHLLTTSKLI FMDKYNLQNRSYLPMSYVFRANFIQEICPEQEDLKPINYKDNILSFRTIMFIIFPIELQW IPMYPFRNRNNSENTIIISSYILKSIEVMVFEPILLILISFQAETLQLYTRLLFGLILLD IIKFVILNLCLIIFSNVGKNSKVKI >CAK69901 pep:novel supercontig:GCA_000165425.1:CT868074:151697:153503:1 gene:GSPATT00007341001 transcript:CAK69901 MQNHGMEFLFIKGNTKCADSTQLQSVRQYHPKLNSLRMKGFLQFFDLMNKQQQDQQLMKT CSQCTPQLLPTVVSYPPRIEIHNRKSSETKLIIKRNLFETLPDSNSLDKCKKELRVIPLK QFQVKKATTNKNELNKENQEINDLPVMIAFTNNINVVPPERTFYKAFITKGNNGTLIRQC LKLRSWWILIDQPCEDLNLYWTQLRKQQEYDSVKRYQLENVQFTKQQKKSIKDKIKFNIE ESEFQLFNQSTILRVHNHLQCNFQVCNKKALFYNMKNYYLSLNEDPFQYIPLTYHVKTGV NDPAFIEFENFAKSNNSNLWIIKPGESSNRGNGIQVANSIAKVKQIISQQNLHLSNSKKT FIIQKYLEKPLLYNKRKFDIRCYMLMTSVNNTFKAYWYKEGYIRTSCKEFNLDDVDDKFT HLTNDAVQKKNQNYGKFETGNKISYNEFQKYLNDQHNYNFQIILDQLKKLSIDIVKATYQ HLNPNKLFYSFELFGLDFMIDSDMKPWLIEVNTNPCLETCCPLLARLITHLIENTVRIAI DPMYPPPLSKKKHLQESKNLMELVFSSTLINEKTSQPIIKEDDEEHSDVDEDD >CAK69902 pep:novel supercontig:GCA_000165425.1:CT868074:153581:155016:1 gene:GSPATT00007342001 transcript:CAK69902 MPFYIFSGIIFGGYLSRFGFAINLILMFANFIFVKLCKQSAQFLKIHWILHLIIIYLINI LNGLNNIWGDSGKEFNQAAPIPWQHIYVWNLLRMTSYNVEVQEIKLIEYLAYLLYPPLYY SGPLIQFTDWQTQIRMNLSVYKKHKYIMSGIILLALQILTQCYAVANHKENEWLWKKQIT TLSGISFFVTSAIQIYLKFIFLWALQECWASYDDVDIQTNNPKNMFLVNSAQSFEKYFCA TYQNFIIKYVKDVFSQNVYLNLLLIYSITIILVNSDTKTIWFYVTLFLLHLFEDKIQIQN KLFAITIRSISQCVILLEIIAMYTSDIDIIWIYVKQKNLYWIPLFFGTCLLTQIYLSITK QIS >CAK69903 pep:novel supercontig:GCA_000165425.1:CT868074:155590:156785:1 gene:GSPATT00007343001 transcript:CAK69903 MITFLLMNSETDHYGIDQSEAGKTKSECIKIYLTYKQKYDNNIRFLEGGELFEKITDMKF LQTNIRGVVHCHEKKIAHRDSKPENIVFENKKPNSNLKIIDFGTSKKLGTPYYMSPEVLK RNYNEKCDVWSCGVILYIMLCGYPPFGGHDQEVLQNIEIGKYEFDLYLRIGAKFQRKQKL IKKMLTKDYTYRISAQDAFNDQWIKTNAPNAPIDFKAINRLSSFFGNNQVRAALMQFISA NLMTNQGGILQGKTNVIILVQLKQYDEIKAKQMVDDIFDKVDMNNLDLLISLNSSQSAAN EEKLLSKQGLQQAFNVFDTNGDGQISKEEFQGNPGNL >CAK69904 pep:novel supercontig:GCA_000165425.1:CT868074:157228:157548:-1 gene:GSPATT00007344001 transcript:CAK69904 MATDGNQAQDTMLRGVIHNLQQNNFLDDPYCPNEQVIDNQYEYYNQDYLDPSSLSMDGKL KEESYRKVQKENYQHSISASQF >CAK69905 pep:novel supercontig:GCA_000165425.1:CT868074:159037:159440:1 gene:GSPATT00007345001 transcript:CAK69905 MQAQQISHGMHYLSDKFFGKLDTNVYYYYQVKNENPNYCVSFNYQCLFLNNLLFNNYVDH TYDKLKPTIIKNVYEFVEFLQQNKKAYFRDSSRRELEKSFEKYYQI >CAK69906 pep:novel supercontig:GCA_000165425.1:CT868074:159443:159888:-1 gene:GSPATT00007346001 transcript:CAK69906 MSNFFFRTRSTLAFAPKYLVSYYILFFIYMEGTSFAFYYHFVNILWLLSIATVLGFLHFY EIPAIQEWNEASFYTPKISRPRTLYFPLTQLEWKVDIPQIWTMFYPLHGRKFFNVENLAL VDDNQDLLNNYLQQNAANQ >CAK69907 pep:novel supercontig:GCA_000165425.1:CT868074:159906:160591:-1 gene:GSPATT00007347001 transcript:CAK69907 MNENQFKNETLFKIYIYDQDEIYQSYKYTKQSAIYSLPEEILKKHNVSIEEYKLNKECYA KNWVENLILTQPNIEILFLNDLIQKLRNVNETHYILEIENNKVLIWMNKLEVEEETNRVS EKIFKVFAFMIKCTIYLFCQTCIASLIIKILQFGIPILLNAGWYRLYQRILINNNKTSHM LKISYLLLFFNTVNLHLLQPSNNPIVEKSVIVKNSLKID >CAK69908 pep:novel supercontig:GCA_000165425.1:CT868074:160945:161680:-1 gene:GSPATT00007348001 transcript:CAK69908 MFLLIFGLTWAQVNLLLFKKQHSIYLIDKITQHAFSFEYFSLHFLRTRSSLYFVPKYCFI IRFFLYYYVSSTLFGHYQLAQYISLFGQLGVFCYFINKFEIPALNWSERSPYTPTINRPR AYYVPLFPMSWVNDTPQLWSMFYPLYGRRYFTVQNLALVDQDFPLLNHILQQEIQQGLEI PQDQEVQIEINQQIQIPQNNNIQKIDQQQQQQEEQQEQQQQQQQQQQQF >CAK69909 pep:novel supercontig:GCA_000165425.1:CT868074:162709:164595:1 gene:GSPATT00007349001 transcript:CAK69909 MISLPFHKWKLNFYDPDFEAEFEAHQNKIRLISFQMLNLLVSIAALICLITFVIQQQPIQ LILIMIGVVGGCFFMLLIGKKLEPYLRQIFSFYFVWSQSTNILVALSGLDIPLFIFGFNT CCFAIGTMQYSDNRLKIVYTIVTPFVLLGVFDNYKAGQMHFIFQTIACVVCIGIWTYMQE YTARLAFSLNLIANKQKEIVNQFVNDAMFAISVDPRTRQIILEFQNKKFEESMNIKETEQ MKNFLRSSYIVIKSQESQKKLVEKGGQKGIKLEEFLFKKIKSVFQPIQQDVENEVQIMYH NLITDQMMGMKIQIMQMNFGKPILIGIIKSEQVENLIGEHQKQIKEHQQLILNFSSQIVQ QQENLYKEIKKTKQVNFQEYEQTMSLKCLNLSIINYIRNYIFYFQRNKISTQLQTYERFQ IYEYLNTIQKYFRTLACYYKLSFNIYNQVDKNCQININTKYLTQILINVFELIAKQSLYI NNVTLKILEEFTQYDQVLETRRRVEDSQNGSKQIIAFKLIQFQFNVDSSQQLSLSYINNL QIKKEIECDENYIIQEVTTCLLDCLGPINTIQIDESEKLESGNYRNRFSFKIYSDQSQLE PSYLKAIDKPIVI >CAK69910 pep:novel supercontig:GCA_000165425.1:CT868074:164683:169056:-1 gene:GSPATT00007350001 transcript:CAK69910 MYKFKEWLKPVSDYFLNGFSDTFQLLLDNFLGDYLEDPKQLNMGTLLKGVEMLKLNKTFI NQLLGQSLFKLEEAYIKQIKVANLKFEASGVRLVFALVKEINIDELKEYLDKTEEMKEKE IQKLSQLAQLREEVYKHSEFEQSIDLQKSMGPQTGLIQFLGLVDTLLSNSSITINNIEIV LQAEGGKQYEFHIHHTNINFQKQNINNLLFISFGIDQIELQLQNEQIALIENALKLEMIV ETTCGRVNTKVDGKISTLDVVLNSNQIQNILSTAQKCLALTDELNRIILDLKGETLVQFD DDEEDQQKLEKTDVSLLNSQSQFWTKKKNTPFRMIPNQSYMDTLNNNIQQSLAQHPIPQQ QEKQFIEFSQSIFNTSQDQLDIGKKSVKNQVETFKCQFDGIRIAVCENSQCFPKLKGRFF QDLETHFFIEIIKIQGSYYKDNFNFQIQSIGAHRVRADQNNGYNIMQSQELFKSCLDNPK FQFYVTPVIMMGLGECGRIEQEYAVYIFDKLQEQCKLNKALELSVQIYHGKNREKFYMAN VQISDFIGSLNHELCLRLLQYLPQSEVQKLPVSEVKKPCMFGFDIKIPYVNFNYFINNKP ISFRVKEIRLKQLPVKKFPHKLGILEPFEGIPKNEEPLQFICITFFSIWIEFSGQNIVTV GQNVVEDLQIHPLIYISFQEKKDLKKEGKQELKDSVKHKQQNSETLIRGSLPLVDVRITQ DLLYWLIQLNQYLEEQQNNINKKKPQRQIIEESITFIQLTIESSSINVLDHSNKDLIQFD LDKVTLISSKQLILLIQNILVIDVQCPSVKYNRIQPQQQFNKYSAAQIMLYGICTTEGKR HLVSAGSKKIYSKPIKVDFDNFIFKLQLGKKVKITTQNICIRIPDFNFTSIKKLNPILQH FQQTSEKNQKTVQSNQQQQDMEIEFSIIENIYLDIFPINEVEELSNKGLQTIKEFSNSRL LIKIEDLVFRKKLQLSNLSLHVCRQNGFEFQCPIILEGYFNKLSAFQFTQFLSIERLNFY ENNLQIDLIKGNFRFDIIQTILEIIEELQPYIPQTIPIEASKNEYFIQKDFSKPLIEGEK MDNKIKINIGKMLLHLEEGRTFYQLPLYFDADQGQNTKFENELFNHVKYADQPNEKVLDT VTLNFTNINLQIELYAKGQTGIKFQSHFEIEDKIQNSRFKLILSPDTESFCTDCFPIEVA LILENQAYTASIAIVPMRICLSGALLSFIFNFQNSQSWIKKAFYEDQIQFFNVVEKPTQI WLKSLQIFETSFNLSYDSQGLYMDDLLKRLLKISSFQELQIPIKYINSQIRGTPEDVINC VITQLQTSLGSKLMIAGRALNSLEFFSTVTNLIKGTLSLLLRPFEEGLVKGGKKGVQEFS NSLVFALLKTLKLPSQVIIAGGEKVGLQSLTFPFKFVNERSNQILDKINPSNIPKQFLKR Y >CAK69911 pep:novel supercontig:GCA_000165425.1:CT868074:169170:177611:-1 gene:GSPATT00007351001 transcript:CAK69911 MEYNIQFTSVCSNDDILIQCNDMFLSSNGFYPPFIHLKSQLQIDNMIFHIFPVSFDNDDI QVLNEQEVIQYSQDIVIQLSKSNKYICVIVHNDKSILSLTNVIDRTTLFRIQPCYKSQIQ NSNKVSFDDDIFLMFSPENISLQNLEQQSDLYVNINECRQQSSDFIVQASKQTTFKFKKH LKDNQSTSFCYGSLIKIKQIESDSYLELTTYENIQQNPHNNNLHMTDVQTQYQRIFSKNK TVCWRSAERNQQNIDLLLYDIWKLYHPQKINQQIKQGDQFYLQNLSGNFYMSGDKICSDY QEASLYSFIEEDTINNKLFYILWNNQYLSYKIFNENNFNQIQDKLLVDYHSKKYKPDLLQ FDQISNIDLIHQHWLDNVVKLIYEINLTYQLHFDEINLLIKNQSINEGKFNALFFQLQQL EIALNDLTLFCVADEEKKPKIIDRNAIYYLKMNQYNQDLLRKKVIVQSLIEFIEIVKWQY EYTQIIEKNKIAFNNNIPFEDQNLQFLIQLDNILNQIFLFFETFSSKNDQNSQIVFLAYF KLKQYLGYNIGAFYCLIRLFENNQNLIPVAGIMPFIGEAEKEFDVSLIKRSTRINTILTK QQNQIKSQTFIDEIFERANYCLQILNKRFWGNIMKILSVLCLQNDKACFNNQINIGKYII QAPKILLQFVIKEDNLLYVQIDEKLYELNEIYVQCSKVNKDFMEDIIECKNFLDSQLNLI GLLCQERNYENIQFYRTKLPQKILINYISYSGNTESLRCRLIIILMNLYVDCYPYQPKQI PKLIFNLNKLYGLQYNGFNAEQNQIEMQEVKSKPQLKQLTRQFTINDTKAIETLLTITQE LVKLNLIQDNQINLSLSVCKTLQMFYKLYTFNLPQNKKFHEQYFDVNLLIQAYTLLPQSL EQNQPSQEQIQQYQQFQESKEIFNQMSIILIDFLQLYFQQKETKILTSIIKSSQEFDYLD FSQQYNYTRYEMIKENTFLLLKHKQSQSETQLKAFNLMESLYQPKRNIIKRLQKCLFLEK QNELSYYQLIKQLILQLKELESADGKYQLYQNCINSLINLNKQLINSDNLLLIQKILRIL NFDYILLDFLESYQQICYFITQKYIKSNNENRYNLELNNEEEQILQFCTQSFIILKQQCI GNHSNKLRVLSKFNQFDDFLKCIDLGQYDLLQEIYSESYENIESASSRIIYLLLDNQNLG SLKVLQSLVIQQNVSSTRNLFEIIQQIQNSKKFKQQLGFQDTLLEKILSQNKFQTFQKQL QIGNLPFIFQIQGIQFILYLLKFSNPQGCKVLADVFPLQQIIKYCIQLKDIFRPTFEDLN LAILNTILKTQFIKLFNELLNYKDIVKYEDEIQQNIFQFIAKEMKVFENKVVVLDHEIKS TNDIQKKYDYINSSFQFKLQKKQKANQQLFQQIQSGSENESDTEQKEKDKLSECQKQMVC FELQHNFDCLYFNNYAILLLNLFLNLAQLIQEQIENIEDQAQSKTGNRASQSFVRQMSTS QAFAESIVNDMSQSVKLKPFNNLKVKVDSFLKSIEEYLKLNLSKWEILELGHFLIEKSQQ LKILFLRKLEFQHYDLDQIGEKLNNQVLDPYCSPIYKHDKRIHELVLLKNTSENKIIDKQ INSLFLNERILAIENFEMYFQIYKLQLLMNEDFEIINENFPKVIAPLINCLQSSMKNLNK NYKFIKKILKFLWHLLDIHTYLREDYSYTVFVYELNKKGKYHSKSEQILQIKALKALQNL LVNQGFFEIFIQFCNQYEKIKIVQQSELQVINKKKKEILLYQFLLTSIRIVRGIESANQF AQQKLMHEILKTKSNQLLRIFSNIFERHQQQDETLRLRSLILHDINRVYFAYEFNEIHRL EKKQNVMKLGILQVQMKLLQLLAEDHFRPFQDFFREQKGFAQNYDIIQHLMNILLNFFNQ ESFQSLSQDDYILILNCFDCLKENIQGPCPQNQEKLCQPQFLRLCAKLLGFDDSQIKFLS LYQRFNKIDQAQQNQDKIRSRLQTLEQLKKNNNKQDKTIIQQEVIQYYIKSSLYCKQLVT SFRKSNPLNYRLSMLSEIKYRCATTLESLTDNEQNESDILKRISMFINEKILIHNITIQY EKYKILHETGKNYTNLVFSHLLGEDTKSSEQEKEKKKNQQKSKVQIEVDNTQQYQVEQEV TTELAAKDFWDSFIIEIGFSLFNLLAQVYQMKDQDVLERIYEQIDNTKIQKKKYKSIIFY NLYKAIFSASQLTKNIKQLQESTQLSDKIFNPYKLKKAPEEQEAQQKKLGYRALRFFATR TGSIEILLSSKQLKKVMFPLVPHCFSLNNVIKEKFASDIDRLSQQRKVESVLSNSSHIIQ KLKTEYKFQALYKRNFIINLLASNQKLWKQIIFLVIVLENLMILFSSDTNLEKIFGDILF GLTITQIILQFLCFFIFIVKKLPYLRIKSQEIVFDKQINRIRKREIKYKYLDNSQSIVLQ EDFVLEKTDSFLLEQNKWRRLYFNITDSLTLYRIILLDYEMIYFLIFTTLAFIGLYYNVV LALLLLDVFWRFPTLTSIVNAIWRPSGSILLVLSLYIIMQYYYSLIVYYYYSEEYYPYCQ NFLQCFSFILDATFKTDGGSVGYIATSDDYADENYRYSILNFWEFVYVFVVISLLYSIIT GIIIDSFGVLREEAEELDNDIKGYCLICGIDSGTLEKKAKHKKGFRFHVKYEHCVWNYIF YISYLQDKKKSDYNGIESYVDSDLKRESINWFPINKSLSIPDEEEEQEEFSNFKQTLDDK LVQISNKIDQLKG >CAK69912 pep:novel supercontig:GCA_000165425.1:CT868074:177849:179577:1 gene:GSPATT00007352001 transcript:CAK69912 MFTLSLIEGLLLLIYVGILVHEYSQQQVPFYVKLLTYTSWILSFGIVFIIPHDIYYTLND NGEGYDYAVIIWKWIYWGNFVLSWLILPICQEYEDAGEVTFKEKLIRSCKNNLIAYAYLI VLGVIFIGYLAIFNKLDFDSILKLLVALAYGFGILLVVILLGHGLVAIPRAYWRKSQYEK CLRGLYLEAAQINHAIQELYDQLINLTIEVIQHKNTNPDLTFHLFKNGQIPYEIIEEAQY KYKERSRKLEPWPEVNKRVKKKVSEYKRAKTKWEHVCSECFLLEDMIDNEFSVHYKIRST LRYERSGFMGHYLDMFQWLWYTKIKKAYLLSLSVIFWILSSIVILSEISCFTQFDVNILR RMINMNGFFQIQISILIPLMYISFCAFYGLFHINFAGMYAFYNHQQTDAPSLLFGSINFS RVSFPLTFNFLQMIQISGTPFEDVIGNMDTSSVLGMSFSYSLPILLILASVFNFFEVYDK ILQGIGLPQLKFSQVQFSSQEGERLMCRARVKRERDILNKVGINFWDQCEMRELDCQMIQ IV >CAK69913 pep:novel supercontig:GCA_000165425.1:CT868074:179587:180797:1 gene:GSPATT00007353001 transcript:CAK69913 MSQQFVGINGEQTGLGFTNRDQGAKVEEDQGLIDFKIITNDGTHGSMKMLIDLKNIFARQ LPKMPKEYIVRLVFDRNHESMCIIKDNTKVIGGICYRKYPTQRFAEIAFLAITATLQVKG YGTRLMNKFKEHIQKQDVEYLLTYADNYAIGYFRKQGFYQEIKMQPDRWKGFIKDYDGGT LMECYVHPTIDYGNISDLIREQKQQMIDIIKKLTLNDRVYPGLDKQNYKADNSNSDKPTV KPESIQGILESGWTIDDYNELKKQKEKTFMISCQQIIDTMRKHKSAWPFLDPVNKDDVPD YYDVITDPIDIKTIEKKLQSNQYTSKDLFIKDVKRIFTNCRNYNQPDTIYYKCANELERS IDDYLKKLKDEQQIPGVSKKIKKTSNK >CAK69914 pep:novel supercontig:GCA_000165425.1:CT868074:180836:181461:-1 gene:GSPATT00007354001 transcript:CAK69914 MALQLPIEQRIDKSYIHYQEKIIIEYLKDECGLLRTPQGEEILRKLRQIQMAVDQQLKNK VEFQKLEKNQEKEDLQQLQHKLQELKQRQKDEFAILGDLQSQLKQKVQNTIKIQKFKQLL HDIENQDFREILIDDKTIEESLNAIFLEHLTKNQALIKEQVEQIKYVKEIYEQQYREQQQ LKERLQMLQKVHGNAAQEI >CAK69915 pep:novel supercontig:GCA_000165425.1:CT868074:181605:181793:-1 gene:GSPATT00007355001 transcript:CAK69915 MNFKRKKRRKDQNMSELTSPNFKEENNEIKPKSKEKEYSKRDYIQHSNLQNTWQ >CAK69916 pep:novel supercontig:GCA_000165425.1:CT868074:181854:182111:-1 gene:GSPATT00007356001 transcript:CAK69916 MGIESSTTLHNLTTQDLIFNPKIQQLDWQSFENVRLQEIQKPAPYDYGKDLTKDALAVIY PYQARQIINAIQTCLQKFNTNQILN >CAK69917 pep:novel supercontig:GCA_000165425.1:CT868074:182250:182720:-1 gene:GSPATT00007357001 transcript:CAK69917 MQSQGKAPPQIEHYIIERVYVIQNNITNTQVVIKIINKKMIKNSKMEAKIRSQIRLLRQF NHPNVIKLYEVFDTPDDNFQQWNMHKRGELFDLIAQKGKLSEAEALELNIATIILLFIEI LNLKYIDKSQLRRENS >CAK69918 pep:novel supercontig:GCA_000165425.1:CT868074:182952:183761:1 gene:GSPATT00007358001 transcript:CAK69918 MGAISLQNLEQLRADPKSIMDNLVINDVNQLVHELSSMPQCLICTSCNDFDKMNRLLQYC QHIDIAIGYQHNISKQLNNLPLYSVDNLIKKLEMEITMGTNNLKPAFIGIINYEEIDFNN QIWINIMESYIYCSESCGIPIVVDHNHRLEFKEIQQKYNLRKAKFIILNPYVEVKITKQN YFIKREINIESVDLKQKIVFNKNEVDYELYSLLPVYFTVGIKHKTHLKMFGGNGFEIVKS ETQELFDLLKWSSQIIKIVEIKMWKCPMQ >CAK69919 pep:novel supercontig:GCA_000165425.1:CT868074:183916:184889:1 gene:GSPATT00007359001 transcript:CAK69919 MDQKTEKALLEQQNELLELQLQELREREDGYKMFNETILNAYNSMQNDVNKQNSLVYKQL QQTLEQYSQELIESKNRNTTVLTQLEKENRYLKNYLEQLEFKIQEQTQVQENELSDLEQQ FEALQQQQESTIVVKPKKKRTQQDKENNKPEQGGGGCIVSLKPKNDEIKKKELCISNVLN CRDSNVMEISHLDWLDSNSPTGLFKQFQLHQRGRDRSDHSQRTQQPQLSKRIFRDQGRSE DSVSPQCMRNVTPKNNYITPESKQLKYDVQQGLINKAEPNPQKAEKRSLAEFTKQINSVR STENFQMMLRQSSMV >CAK69920 pep:novel supercontig:GCA_000165425.1:CT868074:184998:185577:1 gene:GSPATT00007360001 transcript:CAK69920 MNEYWNCQGFQDRNPSSFDQQGNQFIQLIITNLSLILDHFNEQRFLNVLPPLAEITVRSF HYEARKLAQSSDELQEYITQYMLVDGKAVKLNDAFQQQEKKKSAQLLLQIIDMAKGTIRE WQNFRHQQIDTTIFQEKVDLLCQNFQLEQRQNCCNVCQLI >CAK69921 pep:novel supercontig:GCA_000165425.1:CT868074:185605:187261:1 gene:GSPATT00007361001 transcript:CAK69921 MSRPQSCKSRKSEVDESLFGNNKRNDVKTAKVVQSVRKGDTTNPDVVLIGEAELQRMKNN AIVKTKDEQLYQKKLLEEQKEKQMTAAKAKKQKMIQLEEEKKKQIPLTAQQQEDKVVKDS LNARAAEILNEQLDDVKEMNKMVMYAKCVTIRDKQLQEKQQLKEEFKNQEKRKDLMMEIE RLKSVKYYEEKDVVHKQELKEGHGIIVEQIKERELIRLKEKEEQEREGQVMIKQIKQVQI EDQQKNLQRKHMQKKLQDEILEANSKAIVVKEKRRLEEKEEEEKIAKYNLEKAQKDAEII EEQRRIKEEKERDVQRLREMQEKAQDRQAELDLLRAKRAMEQNERAAREKERKEAELRQK LNSELYQARKVQQNEKQEKLEEQARLERDEFQRVIQKQKQERENELRLQQEKEHLVKKHA EELRKQISMNEEKRKQEERDKLEEGKKIRDKMINEKKLLENIKDQKLKGLNDNTIPDKYK AELAKKKINIQI >CAK69922 pep:novel supercontig:GCA_000165425.1:CT868074:187269:188069:-1 gene:GSPATT00007362001 transcript:CAK69922 MEPFAILTNIIKPEYLNYYRDWIYIASEKEARGLQLIGAIYKHKGRKLFRAKPPTVQLQE LTDQQELDFKKAEEMHRKNQTSTTYGTEFGYLQKLLKPQNNVFKFKKCSELEFAQPLNDL AKLFLDNWIEMNDELEFQELVLNCLRALFSRFKAQLVPKTEMKVKYEYKPDWKLSNPIRV DKAGTDINAYKTNYNAIFKQRLKQEQIKQKIAELDGTDFAKALTIHKSFKIN >CAK69923 pep:novel supercontig:GCA_000165425.1:CT868074:188296:188916:-1 gene:GSPATT00007363001 transcript:CAK69923 MTSIKEKPRYLSRQKIHEILTNQVQTLPIQHCRQNSDNIKLLKITEQDQRTPLMSTRKTI DQNVTSKNLTKLKLCHHKQGSLDFEPFQLNNGTKFGKFTQIKSARSKKINHFDLSKQINN INNCQLENKNQNIKTQSQFKNEQIYDENILDLLLLNTMELKEMLSVNNEPAKKTQRIKPK ITFITNTKGLPHDFFFQQ >CAK69924 pep:novel supercontig:GCA_000165425.1:CT868074:189894:191652:1 gene:GSPATT00007364001 transcript:CAK69924 MNLNIPQLKGNFGNMKPLNPLDQFAKETEEDASEIDRLQKRLNEQQQQVEALMKVVSNSQ QQIYLSQDRYKHTIESERVNSQLLDEIKHLKKQLKQIESGPRLPQAPFLPPFLPQQQYPF SYHQPYNQMGMPYPLPNQNPYYQYYPFQNVPFQQPQKEDRSFSKILKGLAKKKEEEQLNQ IMLQLLKNQSNDPDNEHYQKQKSRRNVKLKHRSQEDASDFLYSKSSRYEEESPEQMKKKN TKKQTIKPQEQQQSLLNQTSKVKLQPIKVRPTPERLRLLRKKFKYCAWMVIFYKNKYYQI LEKKAIKRFNDEVGLYDDQFLYTNAIAMFVKEGQKISIFKKSWVFTEKKDVPTRITNLIQ AAETFVKQLIIITQNIKFDQKHLSYIKGFTTDGGYLFPAHSAFVTQRLKLDYKRKLKSTS AEQQKMAFLEYAYIQLLLYEQMFSISGWQDLLKPFAEPLKLLVSLLQYLFVDRFKNLQIL SKNMKFNVDQVPYLDFTKRNVADVKQAINQSDPKFQVTDKYPILGLYDETVLKPVIDNPA FANLQNQFKAFVDFIHSKTQ >CAK69925 pep:novel supercontig:GCA_000165425.1:CT868074:191698:192100:1 gene:GSPATT00007365001 transcript:CAK69925 MNIGQIYSFISQQVMFVWLLVFITPLYIFMASILAKGIHILYNMATNKQSLINNYLGDIT WLFPFKQNEQEFKLIHTLFLAVLIAVASLIVLGGLMLLNFMEQSKQYEENREDKQQKKMK AESK >CAK69926 pep:novel supercontig:GCA_000165425.1:CT868074:192148:193659:-1 gene:GSPATT00007366001 transcript:CAK69926 MGSEKHKKEKKEKKEKKEKKEKKEKKEKKEKKEKKESDAGKKRSRNVSKEKDKKREEFQK PKAPTKANAEQSRGFRFDSPPKDPLQNTPFSNFKSKLIDQVSLGEFETILPANPLQNPLA SLEALQAMTPLIQMQRLQQLRAQADVKADRKLYVGNLPPNSQPKEVEMVMDILNQLQDFL NQTLLKMGVSSEHAGSICNCWIDSNGQILRLIYLGHFGFIEFRSPEEATQGFILKDVIFK GHQLKIGRPKSFLTSLAAVNQQMMSEQAFNPLSSMKGNDKDPSSLISFRPLKLMARYVQI PTNILVIKNVLTLEDVTIDEEFNDIMEDIREECSKFGTVKNVIIPRPEFGKIIVGVGKIF VEYEKTQEARTARRYLAGRMYGDKTVECEYLSREKWAKRQFTDLNEDILRDREEQQRLDQ LEQERIKKQMQEEMLQGN >CAK69927 pep:novel supercontig:GCA_000165425.1:CT868074:193686:196088:-1 gene:GSPATT00007367001 transcript:CAK69927 MQQLSAYALLQEFEKQRDLEILSIKNEFQKVSTYILEVHANESNMNNSALLKNSFFQDHL LTENSFLQIINKGVVDTQIATMGSPLAPTLNEHNLKSQDDVYKFIVRKLDNTFIVQEIRH NSFTASVSYCDILKSFIISSSGQTIICEDRNDVSKYNQIKGFERACKVAISFFDAYHKMN HNQQINFANDLIHRSLVGCRNKQNIIEWYSIMEHYNSQRMLPPYVVNNFLNHYNLETAKS YEQQTTLKNFPQIYDSIYNELIDQYHDYFKGKTIYFWKDDKYLGNCYIPSKHFEVLRQIK IYVNNQNSNFFEDNPPKFLSQYNLNQQEYKFYFKCIKLMFSIPFNARVDYSFINLSTTVF NCVHKRITRIPKRQDYQYTLAQCLQTKTTIAVLIPVGINGMGYGKICQTIMATYEGVKIV SKIDQVNDNHQLYLFDQVCSLKQLKQTHDSLKKLPYEIRSIALYPECSHGYLSENQFRFP FSFKFIMFCLLSVLDHRDQVNQIIKQLKEFENQRLKNLPSDYQIGCHYIPESKETNDLYS EIVEQDFKAAFSFNNEQLIESLSLYKDSVGNISQTHFLDQARNIINVVEEKVQICLTRKP QQLQNKTNKKYNREYGLFIQNPNWDDIDNFILDGLEIILKQYPKDSNVNLMYQQLETQLF KKSNLQTYFKENNPYMLSRKTDKKHWHAQVEVVVIVVDGIVILYPEDLGLNQIQDIPIYS SKIEVTKSNRIAKLVKRDVEKLYDKKIKEETFSELEIKIDYSMWKVFLVKLKPIKINLVE DLVD >CAK69928 pep:novel supercontig:GCA_000165425.1:CT868074:196126:198242:1 gene:GSPATT00007368001 transcript:CAK69928 MSLEDQKRLDDLFNQVICKAESNNMKFHEFMTFLLERELITAKFNFTFYLNLYQEYFALE EIVQKVTQAPKKGTKEPINIIKDDTPKFSRTKFLRIVDALGKALHQGQKKYLDLILKDIF IDNKKKTQIRMLILDDPNRKLLHEQVIKTIIAYEEDIQALFTMYLPENYYKNELMLTWKE IKLMNLKIPIFSFIQMLQDMNIHPVHYNYENIEDVCMRIIPAITPKENQFYQSNVIQQLC EMINSGKFKPQHYSGDPKISYLEFQFLLCKMGAEITNREVQQDSKKEISPLIIKFFTKMI DLQQNGNRIHEDKTKHLALIKKYYEMLDKTDDILQEQGNPQSEEQDMKQQNYLFLTRKPD QLDIKEIWQFFQQDLPPFPELKSQVDLAQDFVKSIKEKEKREELARKLEEDKKNKNKPQP KQQLKKGEQPMKYQWEPLVQPPRICTYQYFIDFVKKTKQTNPLRDLNELSTLSHITVAPV MLPECLYPHDPPQLVRTMIEASLMSFTQQNYFLAMQNLNSAQELWQSLTELHDAEMVFFE YFGGQIYEIAGQDEAALNKFLRVKFHADKLQADHPDKALPYCGIGSVLYHMQEYELGTRM IRESSIGDENIENAITLNNLGCALHQNGNLFAAENCYMFAITILDIHLGTMHAMTMNVKR NLQKLKANKYCKQ >CAK69929 pep:novel supercontig:GCA_000165425.1:CT868074:198431:199580:-1 gene:GSPATT00007369001 transcript:CAK69929 MGSYISRLCKCFGEKGSKVYQQQNSGTVSQHRSKILIQNNSDGYDSETEQHVHHVESLVK IQWKKGELIGQGSFGRVYKCMDIKTGRILAVKQIELGYVEKESLESFHQEIKILQQLKHK NIVEYYGCDEDNNHLSILLEFVGGGSIAQMMKKFKSNLKEPVIQKYVTDILHGLVYLHKK GIIHRDIKGANIIVDTKGVCKLADFGCSIIGLNAYSLKGTPNWMAPEVINGQETGRYSDI WSLGCTIIEMLTGQPPWGRFQSPMQALLTISSKQSSPPIPTNISSNLQDFLDKCLQFDHK KRWKAKQLLQHPFIVPMPKKASKTEYFLPQWKPTEEQQNFDLHVAPQLEDIKKFDKESQP MILSRASYEKRPSY >CAK69930 pep:novel supercontig:GCA_000165425.1:CT868074:199777:201306:1 gene:GSPATT00007370001 transcript:CAK69930 MNLLLEIYIHIDMDAYYAQAEQKLLKIPDDQPVCARQWNSLIAINYPARAAGIKRGMLAD EALKLCPEVFLPHVETFKISEGKMIFSTIQDKFTQHNKIEEKISLKYYREESKLIFAIIK QFCGCVEKGGTDECYIQVSENELEKIEPHEFIGNLMCAIPSDYQLTEQDIQIMKASILCQ QIRDAVYKETQYKCSAGISFNKMLSKLASSTNKPNKQTIILECMLPECIAQFNIKKIRGF GGKIKHSFVKSEIQTIGQAQQLSLSQLEMLFADKAQYVYDKLRGYDNEEVKKDSERKHKS ILSLKNIKKTFSRDTINQSLELIIHDLTMRVTDYYEDSNLVPSVVVLHYHNVERGSHQKS EPVYLTLPIDSFRLQIEDRVSSILNSIQDSELFPLINIGLSCRYFKPMSQGIQNPITSYF KKVIESQEQEKMSKFIEEVEKELAPQDYYNCEICKQEILKTLKDEHNDFHVAENLDKEMN PKKRRYKSQNNKPQSQQVIQQITQFMKKS >CAK69931 pep:novel supercontig:GCA_000165425.1:CT868074:201508:204167:1 gene:GSPATT00007371001 transcript:CAK69931 MYQTSQLINRRPNMRQLTGRARDIQDDEDDDFGISSFAKSKVDDDDEKFKNQNSDPDFLD SLLRKKSRNDSKMDKSNNSSIIPSTPPPQSTSKKSDQEISNTDPKKGQTQTQNNTGNKGT PQQQQQQKQSQQTPAKPNTTQPFQTPDPKQQQQQQKTPAQQQSQTKTPQAQPQPSQQSQT PQQQKTPQQQQTPQQSQTPQQTKTPQQTTTPQQTKTPQQQPSQTQTPVTNKTQQNQKQTP APAPKEIVDPAQSIQNKPSAKKDDPKNQPPPPEQPSKVQPPPKVEQPSKTDTPNKGNQQQ TQPQQQPQQNRIDQDDQEQQKGPQVSENRPTFVRRGKNTQAVKDLAKNVKTMPDDRIKAL EPKNNIEVSKKLSLKFAPQKQYTDQTFPPNDLQVFQVFGHGQVDFKRFNLGREQDTHQVV DPNDIVPGQQSSTLMQVLAAIAEQPKLITQILEDQVINEFGFYYARLCIGGVWKYILVDD LLGYFKGEPVGARTTIDNNKLKSLQKSNPGYQSEIWPFLIEKAFAKEYGSYEDASVNATV ADFLQETTGAPTTVEQISENVLKSLTQNDVALVHLTDGIFTFLNSDGKDVTLRNPWGWVK NQPSTAQPEGEFKVPIKSLIGKQITIAKCVPNNYHTSYNLKANSDAYTSFNVNARSDTVA TFRLHQRDERYFRNNISKKYDYCYARLLVFDEQQYLIAQDYGQYKTLSVNVNLQKGKYTV VILLDFLTEQLYDSTLTFYGTQSVEISRIDYRQQPNLLAQLYTKEAISKGQRKQNGQVEE FTYVSDNKLTILAWKYNGTQPNKWSKDLSKQQNRNTMRPITFVNVADIQQLKVLTTEQIK QLKLSSWQDASSFNIEFTQQNNTYAVVFK >CAK69932 pep:novel supercontig:GCA_000165425.1:CT868074:204199:205266:1 gene:GSPATT00007372001 transcript:CAK69932 MNRQQMDNVHRQPGLISFLQTWWQSHLFGTKVILTFSIILGLIDLVTHFAFNIFSNSPCS TLPIQIQRLFFANFVHYYSIDLILAIICIHSKLKDFETKHGTATFIIIITILGFITQSLC LILQFTLSYLYPPFYETPAYSLWNFTIFFLIQECLMMPNGQSQFLIFPMQIKNKYYPIVF VILFTLIQQSLTFVSSFIIAIIYFLFQDRFSLQTATIEQLEKGIVFRPFIDRIDFKTISN YQDQLEPSVDSREQPYLQTPPAKLTMEGCAPFPPSLVLQELQKQLNVQDIPNREEVQEEE EQKQVEQNQDF >CAK69933 pep:novel supercontig:GCA_000165425.1:CT868074:205851:206597:-1 gene:GSPATT00007373001 transcript:CAK69933 MFGFAKKGGGDKKNQAAPVPDAKLKYEKTLHDLRSRKDQIQDNIDRIDSKVANLDQEIRS LLSQGKKQQARNKVTEMKSLQKQIEQMQTKFNVLTQMTIQIETLEQDQGIADAVFNAAEL GKMQQETNDKLQDAMMDWNEFQTSQQETSELWKQMSNMGVDNEEIDAEFEKYMQEDDQQK ALNLQKQLNTVPSNQIPAQQQSYQQKNQNNMDKQLAELLD >CAK69934 pep:novel supercontig:GCA_000165425.1:CT868074:206753:207798:-1 gene:GSPATT00007374001 transcript:CAK69934 MLKKCTDNPKIAETLKQLVQERGQKRWPEIAKVLESMYDIKIEIPFTLKAIYQDIMNPRP GLNTEQLKLLVTTAMKYRSVIRLALPEFQKVSGIGISRHKFVSRLYRFYKNTILAGIQIF IRNKMDYRKRLAKFPGQSIGRILQCKELTGEDDFTQRLKLMADQLEILLNCYLSLEDERD YSLLYDILTPKMNKKTFFLLLNCISFMDDLRCIQQNVIKSDEELMPDYWLQPTIKENKEL LVYKKLFLDDMDYKFRMYTDSQDVQTEIDFKFFLNNAYMKPKSVVTQRTAKLKTVRGKIT VNDKKYPSDKTIIFDQPEEESEQEGYEIDFKKHRLLNKE >CAK69935 pep:novel supercontig:GCA_000165425.1:CT868074:208890:209225:1 gene:GSPATT00007375001 transcript:CAK69935 MLKLWTLLVLICLGQCQELLTSIKNYADGIVQSNITQKILLDFAPLLLPLTIFSIILIQL MKFCFKRQKFYDKLPQTEQQASNKKVRLPLKHKLQLFFMRYYCQILRVFKH >CAK69936 pep:novel supercontig:GCA_000165425.1:CT868074:209261:211081:-1 gene:GSPATT00007376001 transcript:CAK69936 MCTECITEHYGHKFFKYEHSVSLQMNRVSSIQSKLKTQYESLEKQMKTFDNCKEQLEQDN LKLVSIQNKNQIQQIDDQFDRIIAKCEKRRKELKDNLLKIFDSENEQIESEVAFNQSLLY NMAQIQQKLDEKYYELKTTKAFKGNDFIKEINDLDELADKDIVQFRKLRGGEYKILPKLN FEQKLIGDISKYGQFKKEVCNPQICYFGEKHKILIYNIEKNDWQYRQISNNTFDYNYYAA AASLPNGDIIITGGGVSRNAMLISPSQGFQQQALKSMYYPRKEHACVYLDGFVYAIGGYD GTTKQMLSCCEQYSLAADEWKMIDPLQKQKCAFAAATALNKYIYVFGGFDGRERQNTIER YSVKDNQWKVLEVKFKQGFSNAAALSYDDNQILILGGGSNQGFTNSLQVFDTNNQTIKVI SMMTEGRDLRNKLITYNNDLYACGGNSNSIEKFSISQQVWTNLKSYDYLVQDNLDSWCSA FTFDSNNSNNVSNLMKNCKQIDIQQNQKHQYQDQVMFENDSFNQDALSEVSDDQFYNISN QVQQNDWF >CAK69937 pep:novel supercontig:GCA_000165425.1:CT868074:211143:211403:-1 gene:GSPATT00007377001 transcript:CAK69937 MECPMCYEFYALDRVARNLLCGHTYCTICLETMYKVSKRIECPICRTKHEPNVKPNNLSK NFVAMDLASKHLEEQQAGPFNNQKNI >CAK69938 pep:novel supercontig:GCA_000165425.1:CT868074:211485:212565:-1 gene:GSPATT00007378001 transcript:CAK69938 MKKMFQENEELRKNLKYFVESQKQVLRWPLIAQQLETLHDIKIDIPYELKQIYQDYCCPK PEIESNNLQILMQTIIECRCDMSSTLEKFVQRSGLKISCYLLTSRAQQIFKKAIEKGIMV FVRNKTEQKKRLTNFPKANIVRLIKCLDLKPNNEHCIMVIEAANCLKELLLTYSINKDKD RSVYYEEIKQKMNKNQFFRTLLCLSFLDDLRMISQKLITSDKQLLPDYFLQDSIEKNKNL DVYQFLYVSDMQLKYQMYNDSKDLQHDQEFKYYIEEYQYNKFKKLSKELIQEKPMKRSIQ TVRGKIITNTKYYPSKKTIKFNKDLDNNFDEQEDDSAGCYRVDIPLFKQSN >CAK69939 pep:novel supercontig:GCA_000165425.1:CT868074:213930:214873:-1 gene:GSPATT00007379001 transcript:CAK69939 MVYFIAFLNAIILFNSIIGWSMVIPCGIFLKVELNQMTIFIILHQFIHCIGTDFIDLLFF AHLFQQLLLSVEVCLYQLNNCRISIFYIKKIQINFQRMADKKEQPVQQPKGKKGKKTGEE TTQQVVATLGPNVAGNELVFGVAHILSTWNDTFIHITDLTGRETLARVTGGMKVKSDREE SSPYAAMQAAKDVYEKLKTLKINALHIKLRARGGVDTRQPGPGAQAALRALARLGLKIGR IEDVTPIPTDSTRRPGGRRGRRL >CAK69940 pep:novel supercontig:GCA_000165425.1:CT868074:214884:215416:1 gene:GSPATT00007380001 transcript:CAK69940 MWLSVPQMMISIQLNLNQNLLHQGSLRHFHSHRLSLMVILQSPSLRYYSKLGSQKLIRNQ LFFSTHSEYLPANKIQVGIKILTFEEYSDLEFEEETIFFSRAAIDLDSMEPRPNIKLWKK SCVCQLPQNPDLQMIQCDECDNWYHLDCVELQDQDITKIDKYLCPRCNK >CAK69941 pep:novel supercontig:GCA_000165425.1:CT868074:215417:216044:-1 gene:GSPATT00007381001 transcript:CAK69941 MVNLRLQKRLASTQLKVGVNRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKVLWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKAQRTKKTQNKFAA E >CAK69942 pep:novel supercontig:GCA_000165425.1:CT868074:216755:217434:1 gene:GSPATT00007382001 transcript:CAK69942 MCIQQKYKNQRRTDIFKRIKKTRELSFIAWRTLKDKKLPEFQNNQMNFDYPKSEFQTPQK QFFVRSQHKHNSSQPQLGQYFRDVQEPKMNLTKVGQESLKKWDFFSDPFSLPEKYLISPQ NKIKTETTDLGYDSLDFMLGKQTDRYSSGSLQALHQQTQSEKIIGKKVQFSELVSVKNDD GSESEEPIQKRSRQKARKSKFSMKSQRSIIQD >CAK69943 pep:novel supercontig:GCA_000165425.1:CT868074:217564:218115:1 gene:GSPATT00007383001 transcript:CAK69943 MHKQGKRLQLDFLKQSPRKFQNLTNHTQESELQSDQYGLVQTRFENSMQMNIKEHVDQLF NILKSIKDDLKLSRDDMNIIKNDLQKMKSNQCRDEDRFTKALLQDLNKQKNELKKLQIAT STAYGSLNQQISSLQNDKDFIKDHSIQVELDTQLIESDIGFRRIYDI >CAK69944 pep:novel supercontig:GCA_000165425.1:CT868074:218434:218654:1 gene:GSPATT00007384001 transcript:CAK69944 MLEGYQKCFLGAVKAIDQVVTNPKDHKSINESLEKISIRFIHIQSYQGMEKICKSIKLFK INID >CAK69945 pep:novel supercontig:GCA_000165425.1:CT868074:219585:220406:1 gene:GSPATT00007385001 transcript:CAK69945 MHIKTTLLSEGSSSYVFLTEDPNYVMKVFKSIHPVQARIQEAQILEDLKSDHVVKLITFT DDYLILERLRPQDLFEVVKSQNLNHQLIKETCKTLIRIINDIHKMQVVHRDIKLENILID NTGKLVLCDFGFAEQLSSCSVNRTVGTLNYMAPELHQESLLGGKNSEKINTQILIKSDVF SLGVSIFQIILGFQPFTSTKPGANCKLWRLIQQKKWTQYWTLIQKLSKLQIEPITQNFLE SFLQSDTSSRTTLDEIYTHPFLNQVQDDVHLIF >CAK69946 pep:novel supercontig:GCA_000165425.1:CT868074:220705:221174:1 gene:GSPATT00007386001 transcript:CAK69946 MARAQKDTRPIADQNTSEAACTYAALILYEDNQEINAAKLAQIIKAANLRVEPIWTKVFE KALKGKKVGDLLHGNSGSAPAAQVATTAAPVAQQTKAPEAAKEVKKAEEPEEDVDMGGLF D >CAK69947 pep:novel supercontig:GCA_000165425.1:CT868074:222676:223677:-1 gene:GSPATT00007387001 transcript:CAK69947 MASQFISSHETLRISEMKFIEQEQYYINLIREQREKMELLKNEFLKKDQVIIDMQSQLNE LQEENEQLKEMYQKSVQKKEQEVYRINKLQQEKDNLIIDMIELKDMIEHLRQENDTMSTM CIRNEDQQRQSQDQLILLGEENSRLKTEIQKLDEILFQCEPLRVENEKLKEKLKYYKLEK RKLHQDMKQSKQEINKQIEEFKFIITKDFQNEMERLQEYKECHLQLNQKLQDLEEQCLSL TDENNQLKSEIQSLYKKEESDIKIKSEIDRVRQDLMSVRQKETQKLYELFNGIMGLSSLA NSREKIY >CAK69948 pep:novel supercontig:GCA_000165425.1:CT868074:223697:224969:-1 gene:GSPATT00007388001 transcript:CAK69948 MQENNEQSLEDLSKEVLVSQLKDKIKENKTITKKLDKLSEKYVQTYKEYKLIAKDRETLF QAISSILNDEIYKFEQKPLGQFDQNQILDLLKQKDEDKSKALTNLIKETNNEKFQLEEKY RQMIEKQGQNTDQKTQQIIKQLKQQINDLDVNNTRLAKEIIELNDIIQIKNSQLQTLHQL EEDNANLKTQLMVQELQLQQPDRANKINSSDLMNEQLKLKQQTDKLLEEIQKQNTKIQEL EQQLQSKKQAELEKNKLQKNYETPTPDYDEINPFEQDATLKQQDFVPNKQISNKQIQTDQ INVNKEDADSKNANFEYLKNVVYKYFLYQETRNYKEATILMNAIMTILKMSNEEKRRIDQ ARERGFLKNAKNLISDSFACLKQPQLNDINLERPISRVEQMNKHMMN >CAK69949 pep:novel supercontig:GCA_000165425.1:CT868074:224987:225968:1 gene:GSPATT00007389001 transcript:CAK69949 MELEKQIYKFHYFLTSWKYARVSAKSLFLGLLGISLLCIAVYVSLCVRQGERRLEFLQQD NSVISQFNETVVYTVNIVDHSNSDPLLQMRFSFNRYLLSLRQNIAKDHNITQEILFVDSN NYQSNFDLFFLNFVDIETMFLVDFTNYFTDSEHDILIQNLKTREMWYWGKNSLKNDESTS LYIKMIRNIFLCQQILVSLFFVSCTSSIIIRLFMLSVPAFSYIIRSSLQRIFRQEQELNN QGNQENWIGIYIRLLERNNKVKYYIVFAFLTMIFFYTVIYNQGMDQATYFMFGKSIPQGL NIYFMMQNSLTYQNSIYK >CAK69950 pep:novel supercontig:GCA_000165425.1:CT868074:226137:226444:1 gene:GSPATT00007390001 transcript:CAK69950 MSQSTFAAFLYFFEIPALTWQRESFYTPTEQRPRCYYMPLFQIEWISDIPQVWTVFYGLC GRKYFERQHLAYVDRNQIQFHQILEDEEHIDL >CAK69951 pep:novel supercontig:GCA_000165425.1:CT868074:227035:228080:1 gene:GSPATT00007391001 transcript:CAK69951 MLTYVNENPKFAETLKQLVECGKDRTIRWPEIAKVLENMFNITISKPCLLKPAYQSIISP NVELDKQQHRLLVITVIKHSALIKQALPDFQKLSGIQQSVIISSEFNQKSSKVECIVPLK QPQKQESKLVRVPGSAISRVLQCKILPSDDPFTNKLKFMSEQLSILLMISIDYDGEDITE LYSTVTSKMTKKNFHLLLNCISFMFDLKCISQGQITRDEQLMPDYWLQPTIKENQKLNVY NYFFEDDNCQKYQMYSDAVDVQQEMEYKVFLNINPISQPKQQSFLKRNTKLKTVRGRITV NDKKYPSERTIIFAEPEEESEEEFYDIKFGRKQNCI >CAK69952 pep:novel supercontig:GCA_000165425.1:CT868074:228157:230046:-1 gene:GSPATT00007392001 transcript:CAK69952 MGLLKPIDIAKQRQVKDDIKEKANKAQKISLLTMVEKQQQQEEEKDVNQDLTLQSQKYEF ENYLDPTCQSFWKIAYEAFNKIIKKSQRTKLQDMDIEQESDEQPDTIQNVNNQKGNLNQE SIELRRQQIISKYQQLGKLKDQNKKKSKSTKQYTQIKSFKIKDKYTDLEMLRFNNLFKFL IQNWPAMLLQSIKLPYVQSLFNDQELRNIKSIGQNDLGYFGLKAKQRADITSNLIEGVRE TDSFKIIIEYRQEVTEAVGLVLENVQDELNSINQALQKKDSQYTLQQQQQYRKIYKQYLQ LVEFSRLFINGCLYLGSDIHGYDYHIFSNDIDHIYQNNGSEWRVLDENQVQQLFKTLNVC GVKERELQTNIQKLMACELFNDQDTKELITIKNVEQSQVQAGNRSPKQLIVKILLEVVQK YTDTLMIRKLRWESYKIREKFQNTIKTLENPLDMVDFMKILIEQFETAQVLVIDQQKMQN GNQYDQKDLKEFQQRIRIYENKLQGLKEPEKILFYDSQLFQLMESKEYIKPNGIKSNTKF WQQSLGVEVKEALMNFANKVDKENQQYDVVFMASTLLLAVQEYELSSSSQDNEDDELLRQ IVKEVKFDNQNLLLKTDNHQVNNQIIELD >CAK69953 pep:novel supercontig:GCA_000165425.1:CT868074:230218:230508:-1 gene:GSPATT00007393001 transcript:CAK69953 MDNKENEKQAKLKEFQRRFPNYMNGKKVIFPIMDEIIVQFSQIFPQSNQFGKMREGNVIK TFSPIPLNQQIEITTFINAFPYNQQFAAMSDSQGEF >CAK69954 pep:novel supercontig:GCA_000165425.1:CT868074:231102:233917:-1 gene:GSPATT00007394001 transcript:CAK69954 MSNFQKQREQQFEEDLEKFIIYFTGFGPSQKNFEIAHKYAGSNLKYHTFLDPDAHQIEAK FQEYYIDILISILIKLQVMSRPETADYLNTLFQELKKRKLKQDYNDMPHRILGLLLLLSS NLIEHNLNREPNKNILKKKQQDNMSISSIESLKDWQFETSSEEDDEEENKQEDIQVNQRN ETTREVQQKAQQNQTLISSKDQLPNVLWHMSFNTKKSFNEFVSSTDSSLQIRSSQLFLSE YQNKSQEILKKPRIINMITNEKDVVVAVLYSFLGLQSELLTFSSGQYQLNDFDVRHMSHD SLLSSTQKFLEYATIVCHLKKPLNMIQKPSLLNIEILIQEQFWLGVRSILSEFDQAVTHL LEQCIYDEKLCQQKVDRSITLMYLSQSLQDWFVIIKQINLVAQKILTIEGNNGTFNSPTL TILYNLCIQNSLQPQHNQVYLQKLFLFALEPYIKLLDKYLDYNSTITDSFENLPVFLQSC SVQLKNIGQAFQIFQKVGLPALKQIQFRKNLIMRLCEVSETSPTKSIQTTLQYCTLSGGH KFHEPFTLTKLKKDEILTQENESYSIKDIKNPNLIKVFQKEIVKLIKKEDQNIQNILLDY LNNHLQFIKLHKYLRCLFFFERVDLMQQFIEQIAESQSLARLRAIQNTIQDLLSRNFNFN NAYELQPQLICQQNSQFLLSHKSVNFKIFLPHPIDLIINENSLILYNKIMQFLLFFKNSK LHLQQSQVNLKKRFKNQQSKFLHFGNQLTHFITNYEYFLFISIIQVQTDLFLDKVNKSLS FQEIINNHNLYLKTISDKMFLNQKSESILEAIYKVIDIVQNYPMLIERVTSLDLVDQITK NIETMRIESEFTKMKDSFNQQISALILLFDHYTQRFTHAPEIIECILKVNFNQFYK >CAK69955 pep:novel supercontig:GCA_000165425.1:CT868074:234114:234557:1 gene:GSPATT00007395001 transcript:CAK69955 MNQFVYFNDLKEMQQYAMQNSLSLYAYKKTVYNLTNYAENHPGSEAYVLHYENQDVTNAQ FNKNILKTHKEIISTLQEYIIGYIQKHEKQIIQFKSSKSIIEQTKKRLRETTVPFYEDIP KDCSITKLPIFSTHNQFHSQKSIRISK >CAK69956 pep:novel supercontig:GCA_000165425.1:CT868074:234786:235989:-1 gene:GSPATT00007396001 transcript:CAK69956 MGNCFNQDENAKTSLKSLKKVDQQQSQSLQDLDANKITLKDFLSQGQIGRGQFGKVFRVK MKNSSQEYAMKVIKKSEIIQFGLVEHTMLEKSVLIRSKNPFVVKLKYSFQTDQKLYLVME LVTGGQLSKILSKYYQNRLTQVQAQFCAAEVVLGLEYIHETLKVVYRDLKPDNILITQDG HLKLTDFGLSKQYDKQDMKFFTFVGTPEYIAPEILLKSGHNTSVDWWSLGILLYEMLVGY TPFRDTSNNLRMIENKIIQSEIVFPDFLSTEAKDIISQLLNKDYRNRLGNSVDQIKKHLF FSQINWDDIYHLRTKSPILDGLAKILKQKSIEKKVEPKKIFETPHSQIRQTDGQFEGFSV NQDEY >CAK69957 pep:novel supercontig:GCA_000165425.1:CT868074:236009:236522:1 gene:GSPATT00007397001 transcript:CAK69957 MSQKFKVKKLRDQKSEDLLKDLEKLKGELIQLRTVKVSAGNAQKLGRIGLVRKRIAKFLT VINEQRRNSVKNAAKSSTKLPVDLRGKKTRAIRQRLTRSERAQKTQRQWKRLNNFPLRKF ALKE >CAK69958 pep:novel supercontig:GCA_000165425.1:CT868074:237821:238676:-1 gene:GSPATT00007398001 transcript:CAK69958 MNCQICFIDKLLIRPGDCSHEFCKECIIMYLKEAINSGGVFKITCPSCSLEYNALIIKQY CEDLYPKYLELKQKAIITCSVCKENLKTHNCGSNVCKICGEIHDGECSQRCPNCLIPIEK MSGCNHMVCKCKYEFCWICKGKFNRYHYRLWNLFGCPIPGSMMKNVKPLRHPTLLRYIMV LPKILAFILIFCILLLIYPFFCFIITFKFHYLQFTINKQSIKYICIRYRLLLVFLFPLTY LMHFFYKGIFAVQQKLHSLS >CAK69959 pep:novel supercontig:GCA_000165425.1:CT868074:238754:239727:-1 gene:GSPATT00007399001 transcript:CAK69959 MQKKTPLDRQQVFEMFVQPNYEFAQSVLQSHQFSFQHLPTVEEINTILNSVEKAYNSKRG TWTPCQDKFLNLLVLGTCLKYKEIPIELTSYQWEQISRMFRYHNWKACRNRWLEECHQKV SWTPAEDQVLIQLQQLHPNKWCEIAIEMMRICKTPYVRQGKQCRDRWVNKLDPNIVTLPW TKEEELKLFREIEKRGKRWAEISLKVFKLKRTENTIKNRYYNLLKQEENKIKLCRVTKDE KNEILVKSIIQQLEQSVNCKFPIKNEETVNQENQQYNFQLFDYNQIKKPFQLVCFVKRKI VKLNEI >CAK69960 pep:novel supercontig:GCA_000165425.1:CT868074:240538:241493:1 gene:GSPATT00007400001 transcript:CAK69960 MFINPMNPMIFCFPYFYPIQLPQPFVKEEASDTEKEQTVQSIQEQTNASGIVRGKGQLWT NEEVNNLVKYYKQYHGDWKKIIKHLKGRNISQCSQKYRKLQDQEKRTKRKWSTEEDRILL DSFELYGRQWIKIAEKLPGRTSKQVRDRYVNQINPTINHNEWSETEDRIIMKEFQQNGPR WAQIAKQLNNRSENQVKNRFYYTILKKYNGELHPYLKIQH >CAK69961 pep:novel supercontig:GCA_000165425.1:CT868074:241527:241760:1 gene:GSPATT00007401001 transcript:CAK69961 MKNKSSSNIHRTWINNKNSKTNRVRSNRSNKNKIAKWKVNQNDRILKSSKMIKIWEQPEI TIRLNERIGKKWIPKRM >CAK69962 pep:novel supercontig:GCA_000165425.1:CT868074:242137:244426:-1 gene:GSPATT00007402001 transcript:CAK69962 MQTSDNAKASRKGLGLLLNQHHRQHFSEDFQRPQQLGTPRSYMQALPKMSTQFNSVSTTN SNTRKLISAERPTITIAEEQDSYGYRQQDQVDEELLRIREMHEILKSRCSTLDLLISVIG GKEFVHNIKNQTTLQKIQELLKSISQTLQQSAKTEIELGFKMREIERKNIKYSSLQMKQL KLSDKNQSLQSVIDVHSQKTNKLLDENSKLQKQLKQERKLNASNSKKITALEKRIEFLLE NDVNSALNPNDKLRSSLNELLKENELIKREFEHKKQELERVQGKLSQYTQLVNRLQKTID NMRKKNQDDMGKDEKSVFRKDDIDLLVNFRIPQTLDFRVVSQRLNNQHLLNDLTDKGVLA TCQHNFTLPVESQKEQFQIIAQQLLSYKEFAEKMNQFFFYMEQFSKCILFEDVKHIYQLQ IIHQVNRVLPQVFGCEQVRLWLIDGMNGTIFTYLETGNQIRALQHKGQVADVFKLRSAQN ISQASQKPLLYRINESEQPDYARNALLLPLFCETNDAIRGVLEVTNTENEFFSFDEEYFG ILASHQLGHLLQRLIDNQSWIITQKYRGMMMDGFTNLMKSQSKQEFSSKVQMSLSSIFGF SQVLFYFFEDNQLVDYSGQDAKKYDVQYGLAGMVAHTKQKLIINDVKNSIHFNQAVDIKS ILPIFAQPLLDKNNNTVAVIETCLKCKLKVQVEKDQLLSPSEGVLGMEEPLTKQLAHFVD IIVAALCNIRF >CAK69963 pep:novel supercontig:GCA_000165425.1:CT868074:244651:246832:1 gene:GSPATT00007403001 transcript:CAK69963 MSTTHNKEEQFHFNADIQQLMGLIINTFYSNKEIFLRELISNASDALDKIRYKSITDPDS AGLNVEPNFKIKIIPDKNNNTLTIQDTGIGMTRDELINNLGTIAKSGTKAFMEALNSGAD ISMIGQFGVGFYSAYLVADKVVVLSKAVGQQQYKWESQAGGTFFIYDDSENPNQLTRGSA VILHLKQDNLEFLEEKRLKDLVKKHSEFIGFPIELQVEKTHEKEVSDDEEDNKEKKTEEG EVQEEKSKDDKKKKKKIKEVTTEFEQVNKNKPLWMKKQEEITKEEYANFYKSLTNDWEGS FECQIAVLFIPKRAPFDLFETKKKKNNIKLYVRRVFIMDDCEELIPEYLGFIKGVVDSED LPLNISREFLQHNKILKVIKKNITKKCIEMFQELSENAEDYKKFYEQFSKNIKLGIHEDS SNRTKLAEFLRFHTSKSGDDQISLKDYISKMKEGQKDIYFITGESKASVAASPFVEGLKK KDYEVIYMVDPIDEYVIQQLKEFDGKKLKNCSKEGLELDQTEDEKKKFEELKSQFEGLCK LIKDILGDKIEKVQLGQRLEQSPCVLVTGEYGWSANMERIMKAQALRDPSMSSYMMSKKT LEINASHPIMSELKKKADKDKSDKTVKDLIWLLYETALLTSGFSLDDPAHFASRIHKMIK LGLSIDDATIEEEDEKLPCLEKKGETANTEATKTKMEEVD >CAK69964 pep:novel supercontig:GCA_000165425.1:CT868074:246833:247709:-1 gene:GSPATT00007404001 transcript:CAK69964 MFGLLFQTIEFALSVALCLFIKVGREETKMSGRVLKFAYRVEKNLLYNYEFGRYTKVFRF CLIPFLLSTLYRKVMKLKSSFNLYQIMLLVIDLLSLTDYINTTESYQRYCLVYAIRIIRT TIMLFDLIKDITNVSMIHYEKRQLAKQLQISQQLQQNQQERAKQQESQDEESDLDESLKL INTKKQQQQQTLIKRLIFPQMKIPCIALNLTINQQQYYIQIIDPWSFDKSFSQIQIREQL LIMIKLNFCCQIYQTLKKTKCNQQALYQYRELIAEYLSQIIWMN >CAK69965 pep:novel supercontig:GCA_000165425.1:CT868074:248148:249100:1 gene:GSPATT00007405001 transcript:CAK69965 MRIHKQCFQPYHFIDFKLQLNIAFTNMISSMNLCFPRSKARDLVHQQKELNQVYFKIQIK NQISLIRMAANKFWKKKELSSLEQQVGSALTQIETTITEVKNLKVSSVVDYTAKINAKKQ VYLVLIPYPCLSIYNRISSKLLPELEKRVKATILVAAKRTIESKWVKSHRSQTRPNSRTL TSVYDGLLEDLISPSVILGRRTRVRVDGTKFYRIFLDESDQKELEHRLDAIKDVYKVLTT RDLEFEFRRDDTFYQKRGAKKVAKK >CAK69966 pep:novel supercontig:GCA_000165425.1:CT868074:249099:249633:-1 gene:GSPATT00007406001 transcript:CAK69966 MDQGLDAAQLLAELKKQDEWAKAIIFDEDLNVITHKNCAASKEELAPYLKAYDVRDNTIG AGFVLLGEHYEVHRWHPPLIYGRRGDADVGEGISLARGICKKHNGKRVYLLITYELPIVS ARAVPQQINFYNQFIGELEKFDIKQQ >CAK69967 pep:novel supercontig:GCA_000165425.1:CT868074:249959:251594:1 gene:GSPATT00007407001 transcript:CAK69967 MKGHYYQTKRKINTSSQLLRSNQLLSLAETNDQIQKNKTDSQLSNSHKKISRSINNLPKK DPTLDLAFMTVTKPDSRYDFSKFPNLEKKTDVQRVNWFTKQNKQIEQQQNFLEFLNATKT QSTKNQIKPKVIAEQSKIDDKNELNYCDKYFVIKTPIKPILIKHKNTSKQLISMSSTMSR IKKKALNRNMNLEQFIEKNNELRRHCQTQQEHQYTQNSILEQLQIKNIQHINLYSSVKRG VKNNILHNQYKSEIKDLIELDEELTSQDYEIMKELQKKSIFQNPFSHPHQDEINQFNSYS IDENTKVSEKNENEQLLIIQKRRNKVKRVLLQITIFLHWMMEHKLKYEDIITGNVFQTKP YQSEKSKLCFQKVKANDIEAVNQFIRHNKFLVFDYDNFKLTMLHHAVIRDYPEMAILILQ NHAEVNSKDIQGRTPLFYAIKGKCNQCVCILLYFKASPWGNAKNTYDKYLEFLEPKVRDL YKKAKTIHLRLQILKASKREHYWSQQKLIFTYK >CAK69968 pep:novel supercontig:GCA_000165425.1:CT868074:251743:252228:1 gene:GSPATT00007408001 transcript:CAK69968 MFYAPWCPHCIKLIPTWEILAQQSNVAAVNCEQNTRLCSRFKIKGFPSLIYIPPQSKLGY KFYGNRTNDEFDLFIKGGWKDENILQIEISQEYSLTDELIDYLKDPMLLGVIVVMLFLIF MILCMNRLDAEGQEIQKNKEKKAE >CAK69969 pep:novel supercontig:GCA_000165425.1:CT868074:252256:254038:-1 gene:GSPATT00007409001 transcript:CAK69969 MQKNKIFIKGIKQFDLFAQPLQLLIDKKEYHQTLFGSILTVLLLALFSNLLYQKIVTLFD RSNPTSLSSEIYHSQPEQYSLMPSNFTMTFSFQNSTYKTYIDESIYVVNAYMVKKNVKLQ NGQKADVYEKQELPLVNCNEDLIKQKELKEYFSHIDLPTNYCIDWNQIPSIQIQGTFDAP KFEYILIQFNTCTEQNKKSQPCKSQKEIEQVLTQNYFSFQISSQTTNLKNPNSPYQPKGQ DVFTTISNNIYKEISIYLEPLTTITDVGLIQTNLEYEKTLRYGRHTEMLDLSQSDLIMNV AIRLDTTEYVDYRTYPKIQEILAELGGLWQVLFSLFYIISKPINKISLLLELINSLYEFK DKQHYEDNSQQKDSSSIIRNDKPGSPLQRQLEQQQVQNCQDQIVTNKTLSIKENICNKQI QKDRSYKTILQTYVQYLLRAVFRKNKKMKFGYINAFQALRCIVTKDDDNILQFRSAHKEI DSQLNIFNILKRLQDVEKLKHIIFNENQRILFEKFNNIPQKDKLEEQRLYCDQQIKQQGV TQDAILQILSDQNNQINQKLIASLDVTVSNLLQKCKQDNNDNLEKN >CAK69970 pep:novel supercontig:GCA_000165425.1:CT868074:254501:255395:1 gene:GSPATT00007410001 transcript:CAK69970 MFKTANFLKKIKDHEFNKHNYSMDDHEEIKKDLIIKISQDKKTIKFLKFLVNLTVLDERF IQCGSILFIYWNYGLKILELKIHSQQGLTWQHVTEVDLNLIIKLLNCKWRNLRINELNKF NDHSRRVNSVCFSPDGRSLASSGDDQSIHLQDVKTGKKKSIIISKGSVKSVCFSPKNNTL ASSKEQYMYLWNLKKENKYLNQVIIHSRLIPSVFLLITELNPPSIKLTNLSLSLCKEEIK QSFFFYRNKENNANSTQKCRLNIFKTDKAKFTTQTHTSL >CAK69971 pep:novel supercontig:GCA_000165425.1:CT868074:255731:255883:-1 gene:GSPATT00007411001 transcript:CAK69971 MGSDFTIKKGSLLNFRISILSGNLPTNGMTDQGNNSFLANNWGLQQQQKE >CAK69972 pep:novel supercontig:GCA_000165425.1:CT868074:255907:256231:1 gene:GSPATT00007412001 transcript:CAK69972 MQTDNNNHFCHLNMKKKQISSLKSRVFESTSVVNSETLKTHHNQDIEEFSIIEDRMIRKE YQIEKEKDEQLNKMKSYTTEMMKSLKIQQQLLEYVKDKMKIS >CAK69973 pep:novel supercontig:GCA_000165425.1:CT868074:256756:261240:-1 gene:GSPATT00007413001 transcript:CAK69973 MKSLQGDPELIRWLNKEIKLQPAILDIEKQFQNWFYFQEVLYKTGNPLKKILTNKFDEYS TQKNMKIVKKHIINLVGIEPKVEKGQSQIEEYLKAIKSHSKPIVVNSLLAIARKETKDVL NELTKTLKVTDKKQVQSLVNNYEEKFINSLPKKLKSIEESLHRFRDEKLIHEIQAVNLKQ KDEEKERQWLLSLRDNELEKIRANHEFIKEQDKKIYEFWKNTETVKHNRIEKETKFNEMM TGRMVAEVNQKKKDDQKAMDNNIYLFLQTCIRQGIEIEKDPSKKPVPEKAKFSAVATMMK IRERTHKTEEARKARQKRRNKMLVEQLKQQELVEAKKRLDELVDKFSEYGKIANQEAGLR LKEKLRGKLQFNERIQMSQVLQEQKEMFFEQLRKEAKAREQEVRLQRQKEWRILQVQYME QNYNRKVQKRMKHIQQMQPLILTILDLADKCYLKMNENVEENGKPLTLDKKVWNKFIEEW QNELSQVKPLNDNIAAAITQDFVEYYHAIKDWKSTSNDGQLMANISLAEFLFEITDELYP MQPLKEGINYYLPLKISLIGGKFSGRKTTAKYLNQKYGLEIIDIESIIKESLKLAFPPVE DPKKKKDTKKVIEVPVVENPELKEYGLQINEYKDSVIPDELLLKGILIKLNQTFGQRTPL QVAQEIKEAKELQLKKEQQPVVEEVKKTSKKPGKKDAPQNTGPTQEDLIKEYINSKQFYY TKGMVLIGFPENVSQAKMLEEQLTGFIPYEERLNQIAEEKKKKFQELLDIPTQEESNKIQ QAGIDLIINLDTPFEQRVQRMQNRRIDPLTEIVYNLEESPPPPEIKLDKLLKIESETEEK LQKEFQQFNDNLSGLIEWCEQFGFEEDFKQLHHAKIAGKGFEVAKSIDGLINKVLTHNSE YLNQIAEEILANELQQQEQQSQQQQGLENSDLGKVEEQNPEQQAQLQQDKQSLLDAKQST ERGRSQLASRQKDAQSEFLNQDQLKGILKQWDEISNTYIRETTIYIRRMSHQRKKCQQIF LQTQKTFIEFFESPDNKLKLMHEFQQSYNKFLQENDDLSKQKNAKQQLKDHLQKTYEQLW DILNQKKNQALDFVKKLKKDRFVAIQIQQFMQHVLMLLQTETNLLTEIQLLGKPWSEHFI LEPPQSQLIDGYKFPQLEVLISYYNDCLDKITQGEESLIIKIQKEIAYKRGQIIRSVAYS KMTEMLLMEDILYSRIDDWIICGIKNENDFCFESINYLKQQIENRQPAILTIPEPSPISE AFKMVYMQQHLIPESERWRQAIQFYEEVKSLSDSAWIPLDIFESFQKRRTKFLSQFKLNI NKIAHLGCYPNVRFSYQTLLITLILYECRVPTQEEIQQLVSDIQSVEPDDQRDYWIEYQF WFDDNFVDQDFNLKIYVKNFLFNVLQSQDLAVSVKENFGYFKQFENQLFQSIFDEEIIEE GKNSNSKRKLND >CAK69974 pep:novel supercontig:GCA_000165425.1:CT868074:261314:269166:-1 gene:GSPATT00007414001 transcript:CAK69974 MQIITHSMHEQFDPNPHSIQSMNTHEIFYDLNRVLELEQTGVTLNVNDRDRQSDINELQS SIMPRWMPILLELEIEIKAILLNKANIHPDFNTYFNKRVFPIMDSFLNKLFTDQRQLKVQ CYFNEILLMIQRLALNIWKQHPTISLAVFKRIFVYPEVRFFDSHFNENQFKYALSSFYLE RNMANVQSEHNVVQGKDLDPTYQSYYPLQGEILEDFHKNKSSIHLSPLLRIQMHYFYQNG GFALLIDGINNFQMVFIDILDVFNYFSNFVDKQKFINYFSGLKDQLKLFYQKINEEDIKL SSKEQVKRLNETLEKALVPFYTSSETKEIIGQTELNIYLHYFRCHTFEKRIFGLQQICEK ITPLNFMDFNVNYEVGQMNDDNILKDILINFLVKNKIFQELFGEKAHFELIKRSNPIIRF LYRNNQLQTSDIIQILKMGKGKHESWSNFLFKILNDIAEIMSESDMEAVLQEIQEQKVDS NILNFIKCLGRNQNFDKKYFQQKKETKDNILTELEIEQESIQNSTKNSEKLTIKVYNEDQ QQSENQYLISRNQDRKLQQQQQQQEIKKRNIQNDDSIDTNYEEGEGIRGRIVEYLLTITN QNSNVDIGQQAFKIALDLICMQFRFLRMKYLNQAFQKLFENDPQTENHIQMISKIVVSQY PIDNYNSQSELIKYLEQKYNFKMSLLAVIAKAKKRAYEQNKDHYTEVIDQLLKFYQFLYL LTDNKIEAHHLKIIWQVLVNNAFSVKEQDLFFKWIFNSNKNIISLDALQMLFFDYLIKID YNSYTVPIFSCLQNLIMYFNIQYKILKTDVMDSYIIQDADIVGLEILWKVFFECNNKEII QKAQQFLLQITGFKSINQQIMNKLKQNYIEHIFDNVQQNTTEKCLDLCIKLLEEVEGMKY LKQDSFEKGESSGQNMLIIIDNRCKNAQHPKRQEISVPENMKIILVKQIIGQKINPQVKR NEIDVVYRGTWLDNQKSLKDYKFDKKPTFQILMRPQQDENQKIEDSKPLAICQGDIDDLK EAFNTQKMSKVVPLPINEPIPLGMPIYKQLNNDQQEKIQKVMNITNEFSQELVEYLLNEN NWNLEMSIMDLIENREFHLQKFEEKQIRQVSPLQDKSNKQQQTQFIDNQMSNPQQPQFQF QKAVQNDNNFQLVQKFSVATFISENNNYIQKLFDILNLNDENLNSKVWQILSMIPRNRET YELIDKCQQTSEWENLLDQQNKYKLYYNLQILKELLDCDFIDDQDELRKRKQCRENFLMQ GGLIQIQQLFFMPNVDSNTIQLALEIFLIYFTAYVLSKIERNDEFCSRIINLKETVRNQF KQFEDRLPSTFFFNENNDSDKSKQKSETASNSQSSGVSTPKFNNTSITPPLTPPVEEHTI PISKQQLQTQDNFDERQMLILQFKENQLFQEFLNFPHEDLLRSLISKIDLGFEQIFQAIL LILYQNSALVQTIYEIESFPSQVLQVLSQSQSQECRRILTYSLIQICKIPSQNSQNDFGF YLLKELIPQIVNPNTQFEDIFILTAVVLKRTNYEVLTQNFDFQDLSQQIIQKIIDRPIIE ERFEDNEDKVVQGYLILLTAIIEICPQTKEALSKQLIRQVYEFLFHLNDDYAKYPKFKRK LTRKRAFHLLIEASKNCEQNFLLLLDPISNTHGRIEQPDQDFDTGVKGHYGFVGLKNLGA TCYINSLLQQFFMNKAFRHGILNSQISITENNETIVYDDVDQIKSESIRNKLSDHALYQL QQDSVRSFINPIQFIKTLQGYDGLPINCSVQQDVNEFFNLLTDKLEKDQKGTQQQELIHQ IMGGTLGHEIRSLEQDVEFQRETDEVFLTVTIEIKNKKNLEEALDLFIKADVLDGENKYF CEAINRRIDVEKRCYFKKLPNTFIFTLKRFEFDYNRMLKLKVNDYFEFPSEINMFKWTKD HLINNLQLDDHTDYIYKLVGVLIHTGSADSGHYYSFINSQENKWFEFDDRFVSPFKFENL KQECFGGNNQQNDYYNNFDWDSNKSKNAYLLFYEKIDKQDIQIKGTNEKCLQNKIIQENI VYLKNQLFYSSDYQAFVREFVCQFNFEDHQEQLEPQNVSQKSEEQVVVHAQESPSLKVVK LFTLFSLESLMKNKDQSEFVNSIQVLCALYEKVTSASFWFLTHLQTNLNLLVNTIIESTQ QEIKHAFSKLLIQSIQCVVNYEEEQQKHSFQTQNSTITQFLSFFIDNLLPTCKKSMRRAS EFFQILKFSVSTSSFIIDYMQKKGYIQKIYRLYKETIHENQFHGNCMNISKLNDKGLEGT CSAMCELICKSILGSFTDGMKLTNEKTPTYLFQYCNQFVNLDNKLLADIRDQGDYKTIFA PMVQLNPIVVDMTKHLCFDDIQTSEIIIEQLIQILLDWNMAWHQIDPIFHIIESILKIQD AYGEMRFQALIETQTKLAAFSKGKSILDAINNQFLSDKNFSYCLASWIAEITNNFKVAQN YFSSNKSLIENILGKLRRYKEYQFYLNFPIPKITKAIDQLNLHFNKSEGQNVDEPLIQSQ KPQQKIDDENWSETYKDNFDSVCDQGMILDVGIIDPSNTNEDSPYQ >CAK69975 pep:novel supercontig:GCA_000165425.1:CT868074:269356:270192:-1 gene:GSPATT00007415001 transcript:CAK69975 MLYPELSSLEDFEFIKNLGSGYSSTVDLYRHIQSDRIVAVKKLSIKNLTQSECRKTYDTE ITILTKLKGCSNVVQLIGFGFYTTIEISTRRTRTLNNYFLILEYIDAQPLDKNSERITKQ FYYKLLQTLQRIHELGVVHRDLNLNNVLIQNNEPILIDFAFGAVTNGKQKFTEFYGTKPY VAPEIVDGQSYLPYPTEVYSLGVILLELINNEDSKEIAAQMMQQNPYKRPNLEDFIAHPW FQS >CAK69976 pep:novel supercontig:GCA_000165425.1:CT868074:270513:272111:1 gene:GSPATT00007416001 transcript:CAK69976 MDSQQDVECDQCQTVPETYVKLECEHKFCLICLAYNYLQIQQEKQNLEDYERVAVCFKCN HLTKLDQDTVEALHMVIKEIIVPLIEQNQINPKSNNDSEVVEVIDDLRDLGVQNVGSQHQ SNQKNAMANERLQLYLERLEKSFKSKFEAIKDIQDQKYQFQSKCKQTKDEINSQYQQFIL SLEQKKNQTFNDINSLEMIQLLEIQKQEKDLEQQIQQMAQFQEEINSLIYQNLNKSQEDI SKLLIDIEKSLNVPSSSLQIKSSILATQRQLLKLSTNAQSQLCATVPNLLQDFPQSIRQK ELFSKNSNSDEKPLDSKLNVHFERQDRLSKFSPIKSEKLNDLWPKNDKPHHSLEKNTIRE SKDLHEFKDYREKEKDKKYQKILTQESVNANPKSKFRYEDSDDKNKNNSKKMIDSQISQK NNLVSKWKDQLFDVFDKKAIKQSESPKAPEKLNYATRDVPYHRESSTHYPRENKNSQEKL TSKQQLINRACTIHDVLNMQYQNFMTQKENLIRR >CAK69977 pep:novel supercontig:GCA_000165425.1:CT868074:272112:273398:-1 gene:GSPATT00007417001 transcript:CAK69977 MILQKREKFRCSIRREALENHFKLAREKAHQSNSNLQLIQKYEQLIQANVIDSDFFEESI LLLESTNDEDLIVIILRYIEKFDLSNRSQQLSDALIKQLNNTKINSLQFYLIVSITNILS IQDPEIFTQFVSFKLLTSVSEILDSYTELDIIPNLLECISCWPYQFIKMNSDQQQLQLLQ SIKGVKQYDQLNFYKFLIKITEEIQNEEYLFTYLIKNKYIQEAIEDFKDDRVYVLDFFIN LSFLEAEQLLQIISYQFLSPLIFELNKDNKIQGGLYILIRNLLLSNYQIRLYFYDFKIYQ HLSYGIQYCLDRLDISNLEEILMCIKSLLQQDEVSYANTKQQVLSSEIELMLQSIFLSLE NQIDIFKLTGEILFIYYTEFKFNGNQQFKERLKTLDLEVEIFDSIQSYIEQFD >CAK69978 pep:novel supercontig:GCA_000165425.1:CT868074:273739:274140:1 gene:GSPATT00007418001 transcript:CAK69978 MRLLVHNLLMCNKCDKNNYPLKIEVNKSVIMELEFKKDAILKLIPKLILRYYLIQSENLD SNNSLNRFLPMRNKTQASQKTCTEFYLRLTQWMAN >CAK69979 pep:novel supercontig:GCA_000165425.1:CT868074:274296:274943:1 gene:GSPATT00007419001 transcript:CAK69979 MSSKLSQQQTPQKPIRHPPLQRLKPEQYDEQPQQVNVFDPQKYVKPGLSKEDVIKIKECF DIFDDDKSGAISPNELKNAIVALGMEQSIEDIVNMIQDLDQDGSRQVDFEEFLQIFGFQG SIEDEEVLTDLYKQFDSSKEGKITYEDFKRINELVSERYTDQELREMVQFADLNNDGALN WDEFKIVIQKENSNNKK >CAK69980 pep:novel supercontig:GCA_000165425.1:CT868074:274961:275822:-1 gene:GSPATT00007420001 transcript:CAK69980 MGAFQIALISIIVFSSIQFVQYVLVMTAYLLLIQNQIPYLRTKFLLVTLVALQNIGMMLV NDHQNSKIVNNTTCTIQALIIHGTQLSIYTLFLCITYSKCRKELNENSIISITLVTLSFM IIPLIMHQTRPGELLCEFDQPTKVILSTICSYIILVALLVCPLLTINKIDSQLNKFIVIF TITQIVEVVKNTIDISELELAGLFFIQIRNFLFILLFQIETKDAFLCAYCGLTKKPKKSR QNLTRQEECIPVRENEMTYKI >CAK69981 pep:novel supercontig:GCA_000165425.1:CT868074:275839:277040:1 gene:GSPATT00007421001 transcript:CAK69981 MLQQQVALNFEYLKPAQYLIDAIMHDPQYIKRKERSKSQRRIWENVPYDAYEKEKMADFM KIVAKNKIQLPADWQESDTLKMVYCGKFKDKNYLKVLQSHLAWRAVPNNFQPTDINIAFL QKGIVYTFGRDRQQRPVIIMNLELVNLKQFNEEVYINALSYYFGIIKKNCFVPGKIENWV FIMDTKKLGLSKFPFKAIQIATKTMQVNFCGCLDKLYLLNPSSSLSFSWKMVSAVADADT MEKVFMLKPNEYAKIQERILSNQLEEQYGGKVPNLTKFWPPINIEIPGGYTEEILKAVES MKTAEIVIQKEAPASEEDELKLQEQMAKIQIKKEDDDDD >CAK69982 pep:novel supercontig:GCA_000165425.1:CT868074:277045:279695:1 gene:GSPATT00007422001 transcript:CAK69982 MSFFRSKKMRYYSLVIPRESAWVVMNELARLDQLHFVDYDPQLPMISRPFANYVKRCDDS IFKLSCLEQLLKEFKKNLIYCENVDSLLDYFHQVQYDRMKPGHTYFDELEQEIDQKKIQI QEQSANLQNLLDRVNIITEQKLVLENAKEILGQSVFQQQTPHNVNDYQQLKFGQIIGVID KEEEVRFKRIIFRVTKGNAWVQIKDLNNQQVDNSMRKSFHLNQNNTSQPRCLFVIVYPGA DESSSFRMKLMKVCDSFNRQRIEYPNSMDDMQKKMIELTQQLQEAKNLIEMTKQQLEQSL DGLVLQKQGCNCSYFEYMRLYVLKEKYLYVNLNYLTMRGSIFTGYFWLPEGLELVVEEKL RNAMKNNRDHYPTGQIQELKAYLYTPPTYFNLNEVTMPFQEIVNTYGVPRYQEVNPGLFT IITFPFLFGVMFADIAHGFMLFLCGIYLIFWKKSLQKKTDSMFNQMIPFRYLIILMGFFA LYNGFIYNDYLSISLNLFGSCYSPENEEWKKESKDCVYPFGVDPVWQASGSSLNFMNSYK MKLSVILGVIHMLFGILMKGVNTLFFKNYLDFYCEFIPQLLFMICTFGWMDFIIIVKWLN TYENNTDPSIIETMINQVLKPFDEPVNPVFPNDPQFQLRVTQILTLIAVICIPWMLLPKP LILGSKHDNHKVSMSDSQYQPLVMEKQVSESDEDNNQQFQSDLQNAANLKSFSEQNKEEH DSGEIWVHQMIETIEFVLGGISNTASYLRLWALSLAHGQLAEVFYDMCLAGKLDAGGILG GLLGGYFYIVFALLTFGVLMTMDVMECFLHALRLHWVEFQNKFFKADGYLFNGYSYKKIL NDNLKQANSK >CAK69983 pep:novel supercontig:GCA_000165425.1:CT868074:279777:283683:-1 gene:GSPATT00007423001 transcript:CAK69983 MQNSIDEFEFRMNEFSELLKQDLFSKDGNQKLQGDCKYFANLFADLLRENYKLKDQIIEH LRQISVYREELQKITFDVNQTTNEDRKFSKDMASIELLVKYLVEDQRYFYMEKEKMIAAM KQKGKEFEILKEQHIQQIKELEQMMTDKKIKWKNRFKKQVQDGLDNVEQISLLEDKILQL NSEIQQHQQNILSYESEITRKDLKLQYYQKLEQEFQTKQTDIDIKHDFAMKELYKKHKNE IKEQKIQYANNQKQLQNNYEDKFQILQKNAEVYQVQLEQKTNEFLKLSSEYDALIQLYKE NKEKYSVVVQDLENSIQTQKQENQALLEKYTKLNEDHELTKKQLKNSKEEVFKQASDIQN QQKEIKSLQLNVQSLENKMKEKIERFQRLQEQMKVDHNKQLQKTKEDMEKQSDNRLNQFQ KNAQEQYELLTRQKNTEISRLKGQLDSSISKFEQYELIHKDQISKLEQQAQNKLVEFNEK VAKLKEEQNLKDMVLKNKDTEIQQLQARIQLQVDEFKMQVKEVECKFQEEIIVLRDGVEI LIKSAKKPLEDKVLSLTTSCEQKQNQLGNLQNQFNQLQSKYIDSEQKSHERIIQLQDEMN MSLNVFNKMNDNLTTKLQRMMEQTKQIQQNYFILSEQVQTKNNEILSLQSEIKNKEQARQ QENHKHQKLVKELQIFIGNKDIELTQLNNQYIETLGITQELNNKIQTINNQYQQEKETLI QEIAKKQKEIVQKQEEITQTKKIAQQQQYLLEQQLNNQKSNFEQQVKILEQNQVKLEQID IEQQTEFELDGVIYEDSQQLQLALKEKEQEIMKEIELNHQKEKAELIIRYEQIIDQLQQG TQQEGSENQEQRKPIIQIVEKIIYREAPKKESNIQGLDVIVKQCQKELEEAKEKALSEKQ ESQEYVNQLTLKFQDILKENEKELEDMNEQVQILKSQITQLKKDNNMLKQDMIEQELKYQ LQIQQMKEQSDVQLNTLTETCNQEIEEYRKKYEEKYTQFEQLRKQYQERLARPDDTETIQ RLLKDHQELYHLVINAYEKVKEAGDIIKYLDLEVQNYKKANDIFGGSQLASQPPPSLSTQ KMLQRVAQIKQDLEITQNKIQQKKSDNTLARENTNNQEERQLSHLSDYSELNSKLQLDSK LPPKRKKLPKMMTSMRSNLSDAQPSSQNQTQRQVEEKPDVPLFKFDQDDQVIMEAPVSFN AFVDQRQHGSQMSQQRQNKYTVYIEKKKKDMINISDIVQQQQVSPAESRYTRRRLEQSQQ QQQQPKKFVPYYLRNPEVQPRFKSQKKDSSFKFN >CAK69984 pep:novel supercontig:GCA_000165425.1:CT868074:283698:284690:1 gene:GSPATT00007424001 transcript:CAK69984 MNQYKVVGDYQIFLNQKLGTGAMGEVYVGERKSDKLRVAVKVISKSYINSRDKYNKELIK KRIKREIAIQQGLKHPNIIQLYCVQETNNSIYLFMELANSTLDQYYTNKIINDDEEMKNL IRQVVNAFLYMSELEVINQFQNEKVYKGVCHLDLKPQNVLIVGDSIKISDFGLSTALRPY ESQEMIEFSEQFDNVPSNIDYLGQGSLLYMAIEQLSSQQTESLEILDVWSAGVIFYELAF GQHPYNNPQSNVKSPIDILSLLNAQEISYPKSKFNDQFYDLLRGMLNKNHKERLNWKQCK EHPFLQ >CAK69985 pep:novel supercontig:GCA_000165425.1:CT868074:284716:285836:-1 gene:GSPATT00007425001 transcript:CAK69985 MWNESQISQWNQRQWSWYLTKDNIIILQMNNKIFSFQNTNTLAQALTNKIHELEYQSKKG VKYPFGNNEDFVGIGQMILEFYFSDYLIQRGWHSYPNKESQIKKSSDLVLLRQRLLSERN LADIALSYKIDNIVQVGNQIGLKKNPKILAETLKAIIAAQYYDSGYDLDHLREVVQPILK QVLDKGQGVPIVELKQNPKSAFLEFVNGCTNLKPKVSVEWKKDSSQNGNPINVYQVQLEL SNLLSITRVGINKRNTEQIVYREALKMLKQIQINQSNSLEQQNYETQPLKIKNQDKKSTE EITILDELDTSSIESSQFYQFSDLRDNDLSLLENQDTLGQKVESLLEKFAL >CAK69986 pep:novel supercontig:GCA_000165425.1:CT868074:285859:286068:-1 gene:GSPATT00007426001 transcript:CAK69986 MGLNQRRVVLVGSLKFKSEEFYFSTKNWIAYPNFYSMIKFSQAISSFQKMKGKKVQIQMD ENTGSWNFF >CAK69987 pep:novel supercontig:GCA_000165425.1:CT868074:287175:288788:1 gene:GSPATT00007427001 transcript:CAK69987 MITQTSNSDEQGASHSEVNPSKLSQDANNQGKNSEESTAEIMNQYNVTMIRCQQCQREQP PSNKCIQCGIQFAQNISPACLQNQKNVPKVEQQTVPQQLNLNNNSAFRLYFLKGCDNRQL NLSQQKEFLNYVKIDPYGHSFMIYNSNELKNKVNQWKQALPWITPYYAIKSNPIDPILKV IVDGPYGTFDCASKGEISTVIKSGVPGSKIVYSNPVKEEKDIQYAKSMGVEITSADTIDE LIKIQKIAPEMKILWRISIVEENPEQMATVFSGKFGDDIPDLDAAHKRFQQIQQMGIQLH GIHFHCGSAVQGSSSFGKAIDLAKECMKIGRLYGHKMILLDVGGGFPTGNIQENIINALK KTENDPLGYEVIAEPGRHFSANTCYLLFRIMTKRIKHGRLCYHVNESLYHSFNCVLMDGI TFENENDQLYSVFNSDGSQNTQNSDHSNVSIFGMTCDGHDALAKNITLPSDMQVGDWLCM SGMGSYTIGPKSRFNGMKSTSKIYQWSSQIEEQI >CAK69988 pep:novel supercontig:GCA_000165425.1:CT868074:289552:289846:-1 gene:GSPATT00007428001 transcript:CAK69988 MSTTLESQILSAIWAMYQRNQISMEQKGYVKDLLIRKDNNLYQTVCKCKGQDQLEERLLE ILNCIYFQFCLIRVPQENLMLKKIHIQLSD >CAK69989 pep:novel supercontig:GCA_000165425.1:CT868074:290444:291095:-1 gene:GSPATT00007429001 transcript:CAK69989 MPVQIYFKVRCETHSSQELRIVGDIQALGMWNPFNSLILHTNNEIYPFWVGQVYEDLEQN TLIQFKAVIIESDEIIWEQSENRAIQIRYQSQSVLFSFGGTFTQMVKIQSYFDQPSDSES ESIDIKGARKIYLQASKHPYDYEFMSSDSGKDLGDHSSSKKSSLNSKSSSLEQLDNQETS QTKFVHISSFRF >CAK69990 pep:novel supercontig:GCA_000165425.1:CT868074:291298:291942:-1 gene:GSPATT00007430001 transcript:CAK69990 MSAQVLFRVVCPTQLSQTVIIVGNNSALGNWNPLNGFKLSTSPDTYPVWMNEDALEVEPN EILEFKIVISDGINFQWEIGANRLIQILSQKMVVILTFDQQPLIIHNIRRLFSNTDLTNI TESNARKISIQLQEKLYDSDEDSDQELESDENSQFYDEETSLISNEQYQCSPNKQSNTQD CQLQFGFLDP >CAK69991 pep:novel supercontig:GCA_000165425.1:CT868074:292252:293154:1 gene:GSPATT00007431001 transcript:CAK69991 MQGDEKEAQMSKYREQVIHAETLNMMAKYQLIFLKMEISMRKVALILKLKGNQQKFYAFR KLKKTSSYSANHFKVLFTQIALKFQQVGMIIQKRQKRNLHHAFLKVRLLFPKNKNDKIRR SFLQVIASKEAEIKNLQIKEQEITENITNQKLKEQELQSKLKQKELLLTQLENELRKNSA NKTLDSKLRNLEVENQEMQDRIMGTEDSVSLFIREMNDMLDGHEISTNLGIDSDENQSYE QPPQVIEYQSQRLNQNSRQQKSKNFYSNQLTRSTKIN >CAK69992 pep:novel supercontig:GCA_000165425.1:CT868074:293373:293975:-1 gene:GSPATT00007432001 transcript:CAK69992 MSLKKSSNQSSGPKFVKDNSYKSRSEHNQSFDGSYCNNYTTIMSNKSRQKSYSQQSNILD ELEEEQFPNQINVTIQKRQFELALQNELQKFLSKIIAGQQFSIEDCQIIIKAIDECISES IQTLKLKEAEIKTIKQSYEMKINQYENTILALENENLGIKTYDNIKSQRFYKFQIIIVRI RK >CAK69993 pep:novel supercontig:GCA_000165425.1:CT868074:294293:295199:-1 gene:GSPATT00007433001 transcript:CAK69993 MDDHIARERVRSYHFNVSATHFIKFLKQFDKLHQAAYFRRDRIDFNARKCISQIKQAQQR FEASKLINLNVKRKTYLEDLLNSYNVAEEDMLDTQYPEYQIYNLKQKSRIKSIKYLNGKM TRKITHVVTYTSISEKYLGGLTEKIDNLILKTKKKEMEEKFKQLHLSSISNFDSIQEYTH FYPEGNFSTVLAQYLRAQDKGFPKIIEIETLFGIEEFQNYSQYYCYKLEMDAELKNRNNN VELNFEQMRLLNMDRRQKKKEKVIKDANQQIQKSLVQLKAYKAINRSFRNLFNK >CAK69994 pep:novel supercontig:GCA_000165425.1:CT868074:295300:296962:-1 gene:GSPATT00007434001 transcript:CAK69994 MELYVQFIQSQKKDVLTKRQYKFLADDAITSGSDYDQDANPLEGYKIKERRLQGQNRYKR RLLSAKHTMRRKFNFNWDRMVIRFRRFYNKIKQLVTLKQPYTFSPDGSMKMLWDLLCLLL VIYEMITIPLLISFEIELSQAFSRVSTAMFVFDILLNFNTGVYLEGKLNMERKEIFKDYI SFWFWIDFISTFPYDIIMDESLLKFLRFVKVLKLVRLAKLKKIIDKFDEVLSMRPGVAAI VNFCKLFFFVLFFAHILGCIFHYLAQQEPSDDSWLGDIYFADWSIRYVNSLYWGIATMTT VGYGDISPQTPQERFIGIVLLLIACGGFAFTMNSIGFALQEIDGQNKLKKEKINGINRFM KKAGISNQLQNRIRRYIEFVMESKSLVLQDLTNQVQIELANDLRKQVNGKLLGYCDILLK NHSQQFLIEVVLPSMKERVYNPEEVIFDEFDFQQNYDMFIIKTGLVDIFYKKTGIVVDQK RRNEYFGEINLQVQGVLISQAYFKFIKTNFKKVQRNFKILHKTHKDEFTIYNSII >CAK69995 pep:novel supercontig:GCA_000165425.1:CT868074:297238:298292:1 gene:GSPATT00007435001 transcript:CAK69995 MNIDLIKVIEKNQQKLEQHNQKLSAQAKDACALTIIYGHGHFKNSSMERSYVSTKKSTKQ NSVVPNKSELSRLIDSSSPISIKQSHLDHVASLSPNRDDLTRIRMENAALKEQMNSKEKY IDQLDKTIIALKKEKNLMIQQYQNIINRITKDVEIGKKNAGNVEQALSEQIKFLESNLEK NQNNDFRIKEMESTMQVLILENEQLKQQKKDDSKWLRELQEQFEIFYSKSQETKYKIEDT KYFIQKLSEVNQHLINKQALPLEFFVQINQLQKQQKVDPIPLPKGSSLKLIFAQVFSLQI ETLVNLNQILKETKMQVEKFSNQYISQVGLQLVSL >CAK69996 pep:novel supercontig:GCA_000165425.1:CT868074:299797:302426:1 gene:GSPATT00007436001 transcript:CAK69996 MDPCVTMEDFFKNNLTLYTPKFCYQAIGVPDYVTLPQNETGVLILHDFISLLNHYSLALD VSYSGMIQISSVSKTKYFSQFPICLLLPWFDITSLPWYQNHLQITQENQQEGFIFSPLND FFITKIKEMSITSSLYHDGQMIGIIREGLIISEYLIPYVPYNVLLLDQEGLVVYFNIEQL RNKTDYFYIYDQNVTGFNTTDWEEMIQFSNQREKVILVLENKLQQEKVNVYSLEVLKNNF TLIVYTNITTKVDQQNKSTAIRETSFFNFTISFFAQIFLGFIAIILQIFVLLIVFKPLRQ FNSIIKKYKLYQGNNVNSEIFKMIHNHTNKSDALTTLQNKILNFSQILSERQGKKCEMCK IWEAFAYNENDAQLNLDQVKKQIQQLQNGMQEFEPKMNQMTINNIFMNQKKQREALRKQR NENEFRISRFLPNFCQKGKISSILLSFHTSIEQSSKMILNNDELMMKVYKNQQNKHALLL LSNISASESSNSVDRLIKEQYLHLKFLEIIQSKQSNIYQLQYACEGLTNLLNSKINIQSE LIDLLNQDLITSIIKLREQIDENQLIDKDSMLYLCLSILIKIQTYQLQNYVSKPQLIDLF IMNINWFERNIQVSCENIILICSDIEGLVDLIDDQKLNSIVDCAIYSLQNRQYDTTYWIL TALNTLVAFSYCDQKILGIAKNSKVTSLLKMIFERIQEQQIFLLEVSLEFIYKSLQFSGV EIRFCFLKYLKEIQDLIEFKVQNSLLVLCSFILVILCEQLDQEESGHIISLQFEILLHLN EQHLTDEIIEILTNIWNLLDQNIYKLSRKQKVLLEMLSESKNEMISQLAINMTGIQNSIS >CAK69997 pep:novel supercontig:GCA_000165425.1:CT868074:302712:305304:-1 gene:GSPATT00007437001 transcript:CAK69997 MDLKALKFQIETSQEISTNNLYNYEIFKRTSWFLSLEDVLILGATCRILNEYVQVSNYFY IDRNIINSLPRNTINNFQMINLKQHLNLIIQIQLKEIRLVWQISILTLQIVKLVNMNGRK TIKIPFSLCESVFPKPILKRETIGLYCESEFQIMLAQRLELEQKGFDRLFDFQLISNELM NNLKQNKQFVINQMCEKLENSEAFKSKFLIYRWNLQDESSGLQQFPHSQVESQSIQIEEQ EEEEAFTDEFCIMNLFEQLYSSIECYLQGLSMYFSTFITTNSTNSIDLLSEYNMYWEAYS NSMVELNGVIYPFENIVNEIHQKVFPQYPQYPRFSVWRIMCKLWIKHIIRNDQFQQLLIE CFIKTLQAERQAKFLKEFDQGVNNNLGFTPSFQITYEIYDNFLLKQKNSIKDQFQIEYSH KYYTEIVELMRNFNKSIQDLSINEVSVHWIGHVDCCYEEFYDLLSERVQHETSLYYDETK QVFGSNVASFIEFMKFDKEFISQFLPEPLIFKIDNLQREHIFTYLFYYLEHSYLQKFIQI HKDMIALAYNTKTPKQERTSVTSSNNNEYLDTQGDATLNDAQRFLNFALENSNFDLDELL INKKNQNQQEPLLEIIKVALSQKNLEQLVGTNDQEDQQQSQFQFEQNRQQKFPRTYSNNK LNSDSTEVPEEIIKSAKQFLLNDPEFTKLYQIFIDYTKNFDRSWVQVGQKNQDIEILNND REIPRVLQDYLQYFYFVSKHLTLTILEDNKIVNEEDLDDLEMPPSLSKNSSKFKKNSVCM EVYYSEEEDENQG >CAK69998 pep:novel supercontig:GCA_000165425.1:CT868074:305498:305762:-1 gene:GSPATT00007438001 transcript:CAK69998 MTVEVDLKQETRTVFQLKQQLSDIINLTSDRLYIGFETSLLDDNDYLIQKGISDCDTVHV ITSQLTEKICYRNKK >CAK69999 pep:novel supercontig:GCA_000165425.1:CT868074:305764:306796:-1 gene:GSPATT00007439001 transcript:CAK69999 MNKQDKPESRAQRNIRIVMGNIKEDLKYKVVKYFGHQKEEQELAKPRYAHSQFPKQQLFS QEAESNLIDFCLDNQGLKVSKVGTLVEPMITTEEIPQRPSIRKMKAIKQPVFFTEVTTTH FQPSERPKELPASLKRSTKQQMMNKEILRRLGLLSIQAKRELEIEKLCNRALKVARIKDS PELNKRQLEVIQKQMRDAEKQSQELEIQRCTTSASAASRKAFDYQDDYLLECLSERPQLV YSVQSFRNRPQTQQTKSRIHNFFKTVTLAHLDNKDEIKKDDLSMQQQMQSIKESLQLCKK KLLDYNDEIEIALTEDIKGQVIEYKNIIKNNNIK >CAK70000 pep:novel supercontig:GCA_000165425.1:CT868074:307026:307479:1 gene:GSPATT00007440001 transcript:CAK70000 MPQLSKSVSPITNTQTNFHSSSLQYSTYNSLTQSRQKERIFQQKKIPVNIQKNSLFDLKS SKLSNSNLHSTIGVSEFDKPLHFQTDQLIQRPVAIESKPKIQEQNNVQNFAPILEEKNDR FIKIGVVIIIVLLLLIGVLKFK >CAK70001 pep:novel supercontig:GCA_000165425.1:CT868074:307528:308115:1 gene:GSPATT00007441001 transcript:CAK70001 MTDLDQSIEARIDKFESDLNRVSLQQDALLPLLQMIEYIPSIQRTEANVKQVQKKEEVNE QKQGRLERCAERKLREIESMPQKPQLEVQQVDQRIKDLESSINCLYRWYEAKLREGSQQI VRSIDDRLGTDPNAEFNDQLLIVVNQTIKNALDKMGEDFRGQLCEIRQML >CAK70002 pep:novel supercontig:GCA_000165425.1:CT868074:308150:308662:1 gene:GSPATT00007442001 transcript:CAK70002 MQELSNAIIEFFDLVIEKYITNFANPKREWNYFKSLLSSSSIDLNRIQSYLKVLKNSADQ QKPTLRIDPIKMENYMTYVSPLRDHNRPFEQQFNHKFENVQLNQYLSQPRLENNPVDSCV LFKKITSKIVNLMDSNNIQLALTKELQIQRPIERKLTDIERYKYIQQQSY >CAK70003 pep:novel supercontig:GCA_000165425.1:CT868074:308672:309603:-1 gene:GSPATT00007443001 transcript:CAK70003 MHKEILERVLAFQKKTLKNNIDMVDCTIGTGAHSQILLEHVKNLKILGLDIDERMIKNLP QNITAKQDNFINFYKHKTLSDTKNFDIIFADLGYNINQVYDESYGLSYKKNSLLDMRYSQ SIQHTAADLLNNRSQQELREIFYHYGNIHKANQLAKIIIDNRQKEKFERANQVVDILNEL SMGDQIMKTFQALRIAVNQEINNLNLFLEKVQSQQIVDKQLILIITFHSLEAESVQKFIM KFKKQFSMKTIKPSEDEIKENPRSRSALLFEIINKSI >CAK70004 pep:novel supercontig:GCA_000165425.1:CT868074:309710:310581:1 gene:GSPATT00007444001 transcript:CAK70004 MDDKSRITPQFQDTPDEQSQEILSQLQNDGLNPDVFEQIKNDPLFQQLNNSLQGLPSTDQ EIQKLNDEERLTFEMCIKKSIQNHKRVEEKQKFIFKKGFKFVEHRFYQNQMKTHKKMKQN QQQIDFYEIHFKELSLKMNKHLTYFIHPQKKMQIGKLNYQSGFKSFNQPYIRTLLKSKSF RQEIKDYILNHFIQEVQEEMGLKLQKFIKYCSRMYEEALREFYMYSAKNDDEEYFRNYIR LKMEQSIVKNSKCKIPWSFQEVIDAQKFALNLIEQEMDVENE >CAK70005 pep:novel supercontig:GCA_000165425.1:CT868074:310728:311921:-1 gene:GSPATT00007445001 transcript:CAK70005 MNKDGFDKFDEDISTSRPLEHFHQQNEAPQSKNYNFLVVQKLNVEGPSVLQKCIVKPLQQ VGQTAVENTKNAVLQIPSFFKWGAKELLNKLHSTFHNSPEEQQPQIEQQQQLEQNIPEDT SIDDIPVVFVVPKEIMEGPIKERILWIEKHRNDCEVFIPLEKPLKLNNFNGVGSSSSSSN GPDDFDYEVRRISQEFQGQDTQSELFPSTQVMKTKLMESKKRFMMSEEDYKQINQQNNEN KNLNEPQNIILYPFLGELIPKETILEQIKKYQLEQSSFTVIQPNMEQMQTQQIPQQNQNQ FLPLQLNGELFENNQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQAVYEQQLEALLEV >CAK70006 pep:novel supercontig:GCA_000165425.1:CT868074:311940:312894:1 gene:GSPATT00007446001 transcript:CAK70006 MGAQCCNNYQYPEDMEPCEDAEMKRLDPRQINIKYNYKKKQKVMNSSQTTKTQERTKQSA VTLKSGGVYQGDWIGNKREGYGILKWPDGSEYQGEWKNNKANGQGKFIYADGDFYEGQWE NDKQNGQGIFQSQNGGKYEGQWKDDLQQGLGIETWEDGSRYEGYFYEGIKQGQGTYIWND GSQYTGLWIDNKRHGQGVQVWKNGKEYQGEWFEDFMCGQGSMKWAQWMYLYRVVQQRCSK WVWNISNILDGKELRGQFQVIQAQWEREGYFQKNGQTRFGEWQEGKLMKWYDNQQEVQKE NFDVLNLEDL >CAK70007 pep:novel supercontig:GCA_000165425.1:CT868074:312898:313173:-1 gene:GSPATT00007447001 transcript:CAK70007 MKRTTNCVPQQVPQCISMEFPSLIEPNCFSATAYTYTWDSANSKCLKCGSTFTNNSNNHT NNSDNQTNQTDVSDKSLTLFTSIIMIISIYI >CAK70008 pep:novel supercontig:GCA_000165425.1:CT868074:313264:313931:-1 gene:GSPATT00007448001 transcript:CAK70008 MGRVNAKCMMDANLPFSILMYNVNLLILHAQLMKPVVLNYKIALLIQNKKNKCFYDDKEK KCVLLECKYLTYTTHEECNNQLSTCTSDSTKCVSMDKCETYSTEQCKTSLGTDGKCLFDP SLKKCRLASCTELKSNCSQITNCIDNGGLGCVNKANCSKYETEIACKQGGTDGFCVWYLN NGEGACKLMTSCSDGSSQPKKFRLCIQIMELLMD >CAK70009 pep:novel supercontig:GCA_000165425.1:CT868074:313932:316928:-1 gene:GSPATT00007449001 transcript:CAK70009 MKISGVILLVFISLVSAGTLTKSDACVCKQILSQLDCATQDDCQWNSVSAACEARKSTDI ESYCSQSTTCPIAGCAFYNKKCKPFSGCTVYIATTHADCQAISPLCTSNGEKCINVSTTC DDYLVQIACQINTQQYPCFWDSQNSKCQEIAACDQFPTTYDSHQKCQSMGLLKSIKCTAK ETGGCINIEDDCTKHTEKGCVVNSLGNQCFWDNAACKEKTCVNAPTTYTTQAQCQQYMNT CSVNENMAGCIESPSSCENYTKEGQCISVNGQICFWFSITCTEGQQNCTASSGCKGWSCE NALSTSNTDLLCSQFKSECTVNETKTGCVKRATACNLYKTQHQCIKTLDESQRCYWNGTG CVNMSCANAVLTTYNESNCNRFMPTCTLINDKCGVRTCNTYQTEKLCSIDYQNQQCVWSG SCVVRTCQNAGDEYNTHSECQSWLNICTVQKGLIGCQTQELTCTNYLKQEQCYMANNNTI QCLWIDDKCVQKTCITASTLISTDEECNTYLGGCMINNNQAGCVARKTKCSDLLQFQCTF TTSGQLCYWDGTQCLDRICTQAKYNTYRACTTFLSSCTVSYTGTNFDGCTSKQQQCSDYQ YEQNCIDSLAEGRCIWNKKASPNACQVRSCANSDQTSTDVACNSHLNTCTVNVAKTGCIE RYDKCSSFTSQINCIKNLTGAECLWYNNACTDKTCDKADKTFTTHEDCQSYSNKCTTNGK GCINTDICTSYTLKSGCVIDKNNNNCAFQPSCNVLQCSDAPQSYSTDQDCRNFKKECTTN GSGCVLRTTCTDAYIEEACITDSTNNQCAWINNKCVNYGCSSAPSKYVTEKECKLYKLGC TVNQSGGCIEKGTCKDAKIQAACTTDKDGNECEFSTAGCRDKVCSDYTFTTHKECQAAKS TCTTEWNQMCQLIKLQSKNQRMDVSMEVMDHVYGLTMHVRLIHHVNHLHLVITLPVILSV MNAQLMEYHALLLTNVPIQLSKVVIKAQMEGVSILLML >CAK70010 pep:novel supercontig:GCA_000165425.1:CT868074:317155:318132:-1 gene:GSPATT00007450001 transcript:CAK70010 MGNNNMCCTQEEQQKQQQTIGSECITTNPDISEAIPLLHDGYNHMPLQNEFRVQPTIKTI QQHLRIRQQMISDIFNSFVLNHKDLMFPSQMKISLVQKSIIIADNSQQSQLAMSFIKATD FHIDLKLEINQFINYFANLIQKFYKASLLIDEIKMLVLDELFANEGFYNFVKQQYDKGLH SRIIAYRTNIQKIERSQKKQDKLLSILQNMVNKRSPREKLQILDDVAQKLTQEYKNADAD KFNELIYDLIVQANIPSFVTEIKLINDFAGEIFARDSLGRYGYVLTAFMGILMLIIQNY >CAK70011 pep:novel supercontig:GCA_000165425.1:CT868074:318149:320188:1 gene:GSPATT00007451001 transcript:CAK70011 MNNLQCLNHNTSNPLDNYIIEQSIGKGTFGKVYRGLHKPTQQFVAIKILEKSRIEQPADF TRIQREIHILRKLRHPNIVQLYEILESDTKIYLIMEFVSGGELFQHIVKNKRLSENEAAA LFSQIIEAIEYLHSLKIAHRDLKPENLLLENNNLKVVDFGLSNIYTDLLSTPCGSPCYAA PEMVSGIQYSGIKTDIWASGIILYAMLCGYVPFEDSNTRKLYEKIKYSDFQRPSHLSPQV IDLLQGLLNKNPLSRLSIAKIKMHPFVSKYSYSVQIHRTLAINSNIVKQLQAIGIDSQKC REMIINNKHNPITTTYHLLNKYQKPALPSQRVRTHQSIKSVVDKPSSSNDRRPNSSVYHN KTKSYENNYTTAMIMNRGYDNRISERPKSTERRICTENDRWERIKTQYETKENSQRKTHM SKPSKSPVMYAEYKLKTARIIIKFLVLYSRPTTFQKYPRSLIQNNMYEDIVSWNEDGLSF TVKNISQFSSIVLPIHFKHQNFSSFIRQLNMYDFHKTRGGSVNEFKNEYFQKGRKDLLHQ IKRKAHNELVPINQPVQQDSSDQLNQLFQKCDYLHNLCSSLLERNHKVIKDNKTLQKVLT SNNKLYLQDEFQQPEQLCITNYELTNNVQ >CAK70012 pep:novel supercontig:GCA_000165425.1:CT868074:320923:321912:1 gene:GSPATT00007452001 transcript:CAK70012 MHSESVRQKAIRYINELQTEEDDKFLPYKQERDHVGLLLNTKGKSKSTNQLIPGARIKHV YSLASITKQQPSQSMKQNALQKHKKERSTHNLDLSVLVNLQPPSPEEQVKAKQYKEMMEK KALLEMYERKKDTKVMSPVLEQMIEKLNSSITQDKFIWKMSNVDKGKNLGYLFHESKLRR HNRKVQKLQPSLPNYEPNYQAILPKSISILIKPSEKPSYSQQIQEFIRKNQLDKDLGKQA QSTVSKKDNTLEKMYSIIQNQITREVNQSLISNPQSMNPLEEFSAKPIEDTYIQQFHLQV KQKMKETNRARKNIQNKIQEFDSYLQKHN >CAK70013 pep:novel supercontig:GCA_000165425.1:CT868074:322042:322727:1 gene:GSPATT00007453001 transcript:CAK70013 MLEEESDQVFEEGPSKKCNCKNSKCIKLYCECYQNKVFCDNNCHCHNCFNNSSNAKQRQK AMQYTLEKNPSAFQPKITTSDSKPDPLNFGKHNKGCQCKKSGCMKKYCECFQAKVPCSDQ CKCIECRNYGFLTKEHFIRATRGDEDDFLCNKTQVWLDQLLLTKDQDHLQSVLSYKKPLF EQKEIEDVSNQIKKIISNNESGPIDPILEVFIKLLKNASD >CAK70014 pep:novel supercontig:GCA_000165425.1:CT868074:322877:325119:-1 gene:GSPATT00007454001 transcript:CAK70014 MEGQLDECLKSQNYNLKSPADFEQAQKHGKSQTIYQSIVQDTERCVCCNNPIQTVPYSVT CSLKVFNQHGYSYKYFNYIKLNFILLVLGFLIFGIYNVQENIKGDQCQQHQDCKKNLNNY FSLLNRMDGDEFIRFQDIYLDVLYFIAVLVQICFIGYITYYKNHCLNVDNEQDLEKVIKY CSVKVSQIPINWNREKIQEFFSKGMWEKKPITYNILDICLIYDQYQLEYQLKDKIRQQFT IQNQSTNRSLKEVICKTVNIQSVILDQKLLKFDGSAFITFSNQADLIKFVRYFRWVFIPN TFKFESPPRPSDVIWHNESTFSNLEYIKLLFICFGWLPIIGIQVAKQNYLAENGNTSYQN TLLSFTVSLLLYLLTKFCEKSIQQFFNLKDFNSNRQKRRVFVLFYETIVIQYFYLIPPIF VGVYSGSGTRQQKLWRAGGLSEDLLFIQLLNAIFPIIFSIIDETYALQLIKRYWFRRINK DSDLTQIEADQLYERQLDYQKKRLSITQLVSICCYYGYIYPICYFITIIALIVIYWMEKY NFVRNGVSSKASVKFHISRYNLLAFLLFELLSKQIFHIAFWQFDYKSATNYIYALQYMFL TYVIITKKECIIKKRIHRNYDEKLLQESLKYYENYSRHNPIMNHQFEQNQEEIQQFYRYS RQEKFYAQLQLKLYRELKQEYSSKGTVRIHPTEIVSLRFQEQ >CAK70015 pep:novel supercontig:GCA_000165425.1:CT868074:326559:327992:1 gene:GSPATT00007455001 transcript:CAK70015 MSRQSSIYQSLFIEQLKNGFFSGEQSINSINDLYQYAVELDVSFQENLDDCSVFDENGSE TLQQIGNSFCFCFGEPIQSAQQEQYHDKLVLSNFLSQTASMQRSHYQLYYAATSDDQFYS IDPCQPIPKEWIPKERPWYTSHNQSSRSLQITDMYLEIDIGVCFTQTKSLFNKENHPIAI MANDLTMNQFTQYDQILPVKFMLIDLTGQILLNHIYLEEQKEIYYFQNVSKTGFNESDFE NLLHFLNGEIYEDQCPISIDNTFCLFNKQEQKIKFISLFSLTKLSYVLISEFDPDLYIED INILVLEIENKKRLSLVIFFGVIGFSISLFFVSFLINTLILQQPLQNLLYHTNLLQKNTK SIKQKKINFSDSNTIGRLNLAFQSLLNQQNQGRQENKELVRLRFEMISEYQKKQKEFDQL NLRNIITRSNLLDLELSQQLKSNPDQKVIKQLIQVKKITLSTFQEINSS >CAK70016 pep:novel supercontig:GCA_000165425.1:CT868074:328003:329333:-1 gene:GSPATT00007456001 transcript:CAK70016 MNVYVVGVGMTKFIKPGRDGNPDYPEMAAQATRRALRDANIPYSSVQVASIGYVYGDSTC GQRALYEVGMSGIPVYNVNNNCSTGSSALHMVSQLLKGGLYDCALALGFEKMEKGSLNMK FPDRTQPLDKIVLKTMEVGEQSSAPFAPQIFGNAGIEHMKKYGTKPEHFALIAYKNHLHS TRNPYSQFRDKYTLQEIITSPKIHGPLTKLQCCPTSDGAAAAIVCTEKFVLQHNLQDQAV QILGITMTTDSEKTFTDQSLMNLAGYEMSKRAAQQIYQQTGVKPSQVGVCELHDCFSANE LITYEALGLCEEGKAGDFIDKGYNTYGGRVVVNPSGGLISKGHPLGATGLAQCAELCWQL RGMAEERQVPGLQYALQHNIGLGGACIVALYKKYNTKSGRPRKDQTSNPDILEKLEKEQP KL >CAK70017 pep:novel supercontig:GCA_000165425.1:CT868074:329447:334433:-1 gene:GSPATT00007457001 transcript:CAK70017 MSLSLSDLINQSLDKIKKGITKKQFELKQLIDETLQIKDFYDANHLLKVYQQCIESKQAK LIELALFDIKNIVDQGYLAGEQIIGEKRAIEIALDLVMQTQLEKEETVQIHMIKAIQAIM TNKKHHIYGESVTRVFSLLINLHSVSKIVAIINASKEACQKIVSTYFARLEDYGILAEDE YQLAIQQQGNSGQLVLGKCKALVNAEQYMKSLMTSMVDEVQIYYERCQIYEKQLEDSNKS KVIDITLHEPSLRNVTMDNNQIQINIINELNIKNGKFGWCTVCRRQASQYCKDTKVPICS KECKFIHLNQMFNFSQSHSHSTFSEQYVKDAYEILEMLCQLSQRDPQNPQLAQMIIKCKV LSLELIYEALAQSDTTILQHKPKLISILKEQLLESLLKNSLSAEKQLLILTLNIFIQLIW RVRSHLKKELEALIENVYFKFLESSNSSFDHKQYTLKVFNKILTRPKVVIEIFVNYDCSV GQNNLLKKILDMQCRIIQGRYSKQEFQASISQNQEIYLKSLCLDNYCGYVRSLKEYCEQY EDSQTVVQIQSFDEQEDAIIQQQQLSQDPLEKQKQMKLEMNKAVQKFNFKPEHCVKHLIA VQYMENRDPKLFAQFLWENRDLNKDKLGELFGGSNEFDQKVFSLYVDFMNFKGLQVDEGL RYMLEFFTLPGESQQIDRIMEKFASKFCIDNPGIYQSASAAYTLSYLLMMLQTDLHNEKN LDKMTLAQFTNLAKGINDGENLPQEMLQGFYLRIQKTPLALHAKEQARRALEQANQVDQR KRHAMLAKEAEDSLKKWFKEHPNSDAFCYVNSIEHMKSLLQQTWSVIFASISVFLEQSED QQQILLCFETIQAFIQLMGRFDLDEEKDTFISFLYRYCTNIPSNYKQILGVQTLIKVILQ SGQYLRKSWKVALQLISRLEQLHQVVKKIKVDSPYKENYNQEDIISIERLFQQIQYDQID KIFNSSINLDSNSILEFIRALCELSKEEIKYNRLFLLSRVIDVAEFNMNRIKIIWSRMWE IMREHFLEVGCLKNVDVAIYAIDQLKQLSCKFLQQPELTNYYFQKEFLLPFEQIFSHTQA QQQNKIQLREFLLSCMCMITNICFNSIKSGWKIIMSIVNQALQDDQQQLVRLCVQITDKI MEDVSNQQVYSEIYMELTQALIKLTKNKDVNIVSNSIKQLKILVDHIVQIKRDDNKYLDQ LWIPVLSALSVLYSDERGVVQQQSVNTLFELLKVHGEQQSNEFWKIILRGVIRPLFDEIQ ISKLQFAKQSQSKQQVIQNCKMTFYLFTDLVVLYIQQMQPCLNDLIDIYIQLVLQTQDFL STLCLDSLKTIVKQGGQSFTEENWTVVIQQIQHLLQQCSPNELFEAYNLDEDFQKPLNEL LKEEIRPKKFSFKINAYECTSKQSIQQKCLEILEVQAIQFNKQISEQHRLQILAIFSEQY QKCKIFNTHLYMRYFLEQWAMQWNKVNNGPEDFDDLSDNQNATKQLSFINQEFISATVII QLTANPLEFIEQLIQRFSDAYNGFQQPVHKPETLNGSLEQRRYSESQTIISMSQILFMES VFPILKANLQWKIVSKWLIQLLKLGLNAQNVENREYNKILVNLLEEIINCENNQY >CAK70018 pep:novel supercontig:GCA_000165425.1:CT868074:334529:336157:-1 gene:GSPATT00007458001 transcript:CAK70018 MKSLNYRFPHSRQDSGQMNIARSQLPQGQQVINVLNNRSNEQNCDRSPAPMVHGLQKFAV PSSQQSSANHSKKSSISKQQQPKQIGFLNYLILKDKSQIIQDEEKENINEPLVQKNMFNF QFVIGIGGFGKVWKVEYKKTGQIYAMKEMSKALIIAKKSVNSVMNERNILSNLKHPFLVN IYYAFQDRENLFLVLDYMQGGDLRYHIGKMRRFSEDQTRFFMACIFLGLEYMHSKNSLHR DIKPENLVLDKNGYIRITDLGIARILRPDNSQDTSGTPGYMAPEVMCRQNHSFAVDYFAL GVIGYEFMLGRRPYTGRSRKEIRDQILAKQVQIKRSEIPDNWSLESADFINRLIQRKPAN RLGFNGPHELRQHSWFKNFPWQKLMNKELKSPYIPNQNEDNFDARQISIEDDENNELIQQ HSIMLRRNSIQSQFSGYEMDNFSDKQNTQFNNF >CAK70019 pep:novel supercontig:GCA_000165425.1:CT868074:336730:337228:-1 gene:GSPATT00007459001 transcript:CAK70019 MNTKSFEVLIHSQFAFHKCRSEVHKYEDCRQTTSPIPKDPRLCKEKAKELVGCYKEAERM HPLCLAPFNDVRECVFKADGNIFNCKKEAQQFVDCQMDQEKYQDFLSLSTDKQKEALQFD FFNYRGHFDKYS >CAK70020 pep:novel supercontig:GCA_000165425.1:CT868074:337407:338135:-1 gene:GSPATT00007460001 transcript:CAK70020 MQKQDQDKEIYQLKQNLNQLKTELDKLQKENQSLKQGKVDNEARKLEYMNRLKSLQNQNE QAESDQQQMGKAQKVAQFEQKDSQIASLQEKIEQQNRKIRQLEVDEKNYQQQIKELKEQI QNLGHSIPESQISEKEKAEIKNLHLEIKKYQEKENQNRIQKERLEKKYLEQVTELSNNQD EMYLQIQELRSKAQMSDDNFKRNKDLEIILKVKQDQLTSLSQKIQEQTAYIEKLERKIQE LR >CAK70021 pep:novel supercontig:GCA_000165425.1:CT868074:338492:340353:-1 gene:GSPATT00007461001 transcript:CAK70021 MEDFNDDDIRIFVGKFQDENQTSILDQIMNQKESQGQNCNSILKKFRQSEQRNNQISKQF QYQVSTFKIIVEFLYNKNLRYGDLEKQNRQLMSQQEQFKLDISQLQVKLIDLDQDQTKSR NQKKYDLMDQMQQLKSQIQVEQVMSDQTEKTNIQEVIDKQSKIFQKQDSLSSQNRIVNAQ IVMEQEQKIVDLEKLKIELEQKISELDLQIKKSHCKFLNQKRQLNLKNNVQIQLIHCKEN ATLQEELRAAKENIGVESGVNQMNIDELSLREKNLQLTRDLQAAKQQLDIAKNTYEKQIT QQRIQIENYAKTNLVKEDQVLQLQKTLQSTLEEKENYKKQLEKMADSKNLDGESTLKECK IKLRKYEGLLQQANAEIEIKAIQIQEQHSNQLIDIINIIESYNKENLQINQQLEQQIQLV EQLKNQKENQLGISDQNKCKELEEQINKQKMTIQRQKKQIEQLEKQNNSKVESEQQTQNE KKTQNLEDQNVIDNLKNMLQQLYTKIKYQDQISQKDDIQIREQQQQILERDEWRKHFEES NSMIQNTSSKILFYKQEIAQLNIRQLKQIIIILILSLNIK >CAK70022 pep:novel supercontig:GCA_000165425.1:CT868074:340543:341382:1 gene:GSPATT00007462001 transcript:CAK70022 MKRKQKVDQLEQTVIQKKIKKDVGKPIGLLKINIKLPDIWSVSNKEVQLITFLVNQGRHV CLHSAVLNKDLNKKKVNSIEKDSNAHFSIDEKELYDKIEVAAKFLFEWNCSKRNVVYFFR NDNHFKIKYKKSTLKNEQWTKLLKHIKFLLNEKFYEELHMILEQFENNVTDEEIGDFLIS KSLIGQLSEVPQLVQNCFILLIKQNKISIQQSQITAKFLEQLKSTFLISIQQNRHLLFQS IGSIRNIMYDNCQSESYISDSNSVDYDLNQEIFFNSTSY >CAK70023 pep:novel supercontig:GCA_000165425.1:CT868074:341829:342206:1 gene:GSPATT00007463001 transcript:CAK70023 MGNSTSQNEFNAQHYQQNSGLSLKEVEGIKNVFDSLDPKDGLIQTGTLRKLYRDSYDAPQ LNNKIGDRETLTFDQFFELMKTDMLEKKRQFPGVDFDDGINENVQCFFCHPQRQQS >CAK70024 pep:novel supercontig:GCA_000165425.1:CT868074:342480:344502:-1 gene:GSPATT00007464001 transcript:CAK70024 MHQCLTVDIDPNTFSNYLDVRMNHLHVEWLLDLDKKIVNGTAQISFKFLKHTNYIDLDVY QLSILNVYLVNGNTLKHEIQVVKEQSLIQGDRLHIVLDRDYNPLENIILKIKYAYDERAR AVGFLTKEQTHSKKVPYMFTQCETNNCRSIIPLQDTPSVKFTFTATVISTNPLIKAFMTG EQIASLPLIGQYGLESTFYTYSFQLNIPIPAYLIGIVAGEIEQKHIGANCYVISEPFYLD DYAKELDELPFFIEKMTDYIGPYIWGDYKIVILPQSFPFGGMEHPLLTFASPVIIVGDKS GVGTAVHEIAHSWMGNTVTGNNWSNMWIMEGFCVFLERKTYKYVRPQDYDIIEAINGNFN LISAIQGLTDPDEKSYQTLHPITSWKNPDDSTSSVPYERGYQLLYYLEQLIGEENFKFML RQYLDHFKFQSIDEDDFYKFLLDWVRSNVKVNTQKIIDDIVAVYKPWVYQQGLPPKTIEF KTPKYDEAVALANKWINQGKPANADDYINYMPNQKMIFMQQILDNYTKLNHQRLKELDDY YKLSGTKSGPKIAFKWYKTVILSKYDPGLEAVHGFLQSLGVRSYVVGTYEVLIPNYPNEA DAWFAQDKWSYHPLVANRVEDMLKKKMRGAHITQ >CAK70025 pep:novel supercontig:GCA_000165425.1:CT868074:344773:346734:1 gene:GSPATT00007465001 transcript:CAK70025 MNQEAQYTTEFGGISSLLIIVIVILFFFSNILDFFAKSQVFTDDETLISSDPQLLELNSD NYMLAVSIEQTNYSQNPFFNISIEQRDANGELKKTITYIQLQECRFDQFDKVFSKQGINF TEQFNTLGLKNWLCPKDDFKILLKGTYSSDQFSFIKLVVKECENSNSQNQSWNPICANEQ VKQQYLNKEGQFKLQLYQINTIINLNKPQNYLTQFLDSDMYFTFVPKKLSRQANIYFRES KIINDNSLLPYKDQTETNAIVRKNEDFRDLTELGRDADDQYAIIYMRRSQFTQIVYRKFL KLGELLSYLGGVVQIMRLLFGIVIVFCNRQLMLIELSNKLYDFKEIKQKRSEQLSPPQIT GSIPQDTARSKYIEDQLNSPDPDVILDVRNDVSLCPSTTNIKNAIDKILDESNPIRFSFK LFLNKLTCGFMFKDRNAILLEKAIDRMNQDLDLHSILYRIQEISKIKKLLFNEQQMILFN FTPKPLISLNSNSRVPSRLLIHDSKISRLKRLNNLDIKEQDQISCMLLNAYVLVKDEIPT ELQSNCSLKINQKLIELMIQETKDADVSYKHLTQTPKKPFISFKFQMNDQQNDQEQINEN NVDNPIINGQQ >CAK70026 pep:novel supercontig:GCA_000165425.1:CT868074:346795:347084:1 gene:GSPATT00007466001 transcript:CAK70026 MRKKVYTQAYFLIQNNQNYSHSFGVRMKYVGLNTLIGVYAVARYYFNALRLKSANGETTF D >CAK70027 pep:novel supercontig:GCA_000165425.1:CT868074:347210:349526:-1 gene:GSPATT00007467001 transcript:CAK70027 MRRVLFQTSIIEEQSEQEMEKKQKVFEILRTSMQLQEVEDEENDYESDYIPVPCQEACLS QQSTQKSQQSQYLRSPLQTFTQHFQNQNKGFGGRLFTLTDETEYTVDDRKPTHTRGPSKI QEILQDLQANVADDIMKMANDIIKFTENSSNTNGSAQFIPSDQKQKRQLSEQKINQQPRD PKADNLKDGFKLFHKQNSQKQLQSSNYVNKQSQKANNENEPQKYQQQIPKANYQPNIQNY NSNNTNYNSNFNTNSSIQKNQQKSQRNSEEYTKNNTRQNSYSNSNTKSSKQIQNTRTSQQ QINYNAQQNPNQQQHSSNKDEYSNQLQQFSSHRNSNNNSNRESVFSKKSKQHEIDEFDDN FHVDYDSFQNNPNYNQISQNFYDIAQKQFNFSQNSDDEYQEQQSIENQTPNLNISKKLEK DNLSINNSGTINQQSDKKSYQEFCEPCQKTQESEKKQSNSVQKKKMKRRKRSRIPKNIKY FLDIIEEQSNDYYTPDKSLLESSGCLDTIQSVPNFGESEHQNMDYRSDRKHFTISEGNKI SMKTPNTQHIREELQSSDSYDRPNSTLINQTLVNFEDIDQILNQVQGYKTFRQKNQEEEA IMASWNRVCNEIIRKNLLPVTLNLERIQNQLEIQQQNQYCQKRYQLFNVLNRNYLNHTQK TEQNSFADNSGFVGSDQQQFDKIEAEIQVDDNDDTAIGLLNKQFSPNFSDVCKRIQFSSA PEEPKILQGKGLNNKDLPNVQLYNNNYEQQLNYQF >CAK70028 pep:novel supercontig:GCA_000165425.1:CT868074:351251:352138:1 gene:GSPATT00007468001 transcript:CAK70028 MQYHYPQAQYYPQQNYVSPMQMDAGFNSGLDALSRCPSVFIKQRPDYLETLGVCEKKNAY FVYQSDSMGNKPDFKQQAPIFKCKEESSCWQRNCLPGACRAFDLKVKQYNERQDTNTVFR MSREFRCTCLCFERPEMEVQLSNGVKIGTINYPFMFCDKGVDILDENNQIVYTIRGSCCQ WPFLVNLPCETCQRARFDIMDSQGQKISELWKESAGFCNALCDVDATNFRLLFPMQASSR QKALLLAAALFIDFNYFEESPQDKQNNQF >CAK70029 pep:novel supercontig:GCA_000165425.1:CT868074:352187:354554:-1 gene:GSPATT00007469001 transcript:CAK70029 MESKLNEFEILNKLGEGSFGQVYKVRRKADKQTYVMKQINISKMNARMKNEALNEASILA KLDSSYIVKYYESFIDKQLLCIVMEFCEGGDLHKLLKMQMGRPLPENQVWRFLIQITLGL AFLHKNKVLHRDIKSMNIFLSKDQVRIGDLGVAKLLNDQNNFARTMVGTPYYLSPEMCEE KPYNEKSDIWALGCVIYELCTFKHPFEANSQGALVLKIIRGRYEPIGQMYSSALGQLIDQ CLQKDYRQRPDAFQLLQQASLIQQAQNLRMQLNLNQPHPNSKQTSQIQPIQTPVISKPKE IQQSLATPVAVSVKSTPQGKPLKAKVSTSSQNLQLEVKGKQEPVRDTPIVQKKIVQVPPT SAPNQVQNQNQKMDDFYKDWRDKVSELQKQKQDDRIIVSRPELLKAEFQPNQIPIISSQS NNNQSNQQQNIFSSQQVQPTKKPVLQVKAKVSNPNIEEIDKKRIRKGAPKEPARQVKEPL RKADKIEQIKETPKQNKTKSIKQQTCSQEEVDMVLNLPDFVAKEDSNIKVIKPEREIIDI SSFADEIPTTIVESQSDMESNHYVQVQFRSEPKTAAQQDSQNNGEYQQDSDKGAYTDPED GDGENDEEGRDDTADYGDEQPIQNRDIHTIQEEAFEETNQCHQFIELCIDNKKMDLNKQL LEAEQRKMELEQFLLIKRKECIQDLGQKLFEEVINFLRTKLNSQEELNDKDQEEIDDLIQ NKLLNTKNPQIIYVIYKILHFEIEITKSVDKIKDLSEQLINL >CAK70030 pep:novel supercontig:GCA_000165425.1:CT868074:354637:355839:1 gene:GSPATT00007470001 transcript:CAK70030 MQKKEPSSKLYVIYHDPCLDGIYSLTGLILPILVKIRKDNWTIQQYLELLRSELPKISKE IQKPQEYKQEIIYQDEPIENQNMGFFYPTIQDLCYMPIRLSEDNNEVQKIIKFLNDDSKS SILIIVDYFGRTWDNLILLTKRFQYVIVVDHHQTCVNAIPDFKREKYQALNKVSGVDNLF MLVSIDKAACLLVQDFQEQIFQTKYTSLLPPNIGTKFTLFTKYISDNDLFVLQYPETEPL QMAIMRRRLQFDVRQNPAIFYKLLEFDFDFLIKEGQQLAIQRNKKVENLVKNRKTVVFGK DAVGYALYCDDLSIMNPLGNALGILAMRSGDQNLGAVYHDDKSNSTQYKIHLRSAHHDEN GVLLNRFRCDVLAESFGGGGHVGAASLYMKKKEWKKLMFE >CAK70031 pep:novel supercontig:GCA_000165425.1:CT868074:356593:357640:-1 gene:GSPATT00007471001 transcript:CAK70031 MKSLKWTEFLQEIGDSKDVDLNEEQLKQIVKFPNQKMTYQQLEHYLSNEFKVHFNQQSNR SKWHQKDKYLFIWCVAKLAEKRNLKFYELHHKGVFEYLAKVLDVPEQFLLVKWMSLLKQS LKQLPWTPEEDKILIQLRQKYPSNNWTVIAEEFIYLTKNLRYQKQIRERYNNVINSKINK KPFTYQEKYALMLHANELNKNWSGIAKKMQGRTDNKIKNCYNSIMKKIAKKMHLNKLNSQ TEKKILKLIKKYNTCDPDELASQIEKVQTNLSPLNESLNTFNEQHLISPILPSVQPIQLF YPFYMFQPNIGFPLQYFQ >CAK70032 pep:novel supercontig:GCA_000165425.1:CT868074:358230:358846:-1 gene:GSPATT00007472001 transcript:CAK70032 MQKIQNFIENNKAAVAIVAVAAAIGIVGIKVLNSDNRGSAKILDASIQKVPLIERLGGEQ AIQPMISLLFDRISNNKDLKSLFQNVEKSKVSQHFNAIVLYLFGGKPPAQQNFSDHCKLN ITELQFSVIVNLLDQTLKMLGVDDREIFDAREAFQSKKQLIVSPNQSA >CAK70033 pep:novel supercontig:GCA_000165425.1:CT868074:358869:359683:1 gene:GSPATT00007473001 transcript:CAK70033 MIGIYRSLVNTIPRFNIVYGYVPKRSPFDKTHYIENYIPRQIAYPKSRRPRLPQWDDEQT IWPVIPPSPILPRKKLLQQLENEEYERLQIIKPMTFPDFRVGDVIQVKWIHNMSEPTMNT YQGLCVGKRRSNSLDASFKFIFRYCGVEVFMNVKQNSPYLKEVTILKKGTGNIRNKMSIF KKKLSKQQLITPLMKKGKPVRRRDDPIRKRSSRSRTSISMLKLIDDPLLVEKPVEKKTKI IHKKKQAEQEAIVEPNDNTNKQQ >CAK70034 pep:novel supercontig:GCA_000165425.1:CT868074:359714:360064:-1 gene:GSPATT00007474001 transcript:CAK70034 MWKNKLAEKGNRNYGEIDFSQFQSVAEREKNNKALEPASSVYSINMKGNTKIPFPNIKSK SPDVLKIHQYNQAVIDVKSKSPKAKVMNINSQNLSKQFETFMKSKREYKLQQKQQL >CAK70035 pep:novel supercontig:GCA_000165425.1:CT868074:360384:361829:-1 gene:GSPATT00007475001 transcript:CAK70035 MNIQLTDILTAYEAEHYIEGLQIFEITELGCKKWFAQDEVLQKLNFQAHINAITRSDEFI MEAFCTFDKIKPLIYDLIMTEMWKQYVFPYLKSHFTELSSIRSYTVLQHEAIVCNLLQIC LFHRTSIEASEGYILEIVDYCYRKLTALLQKPPAKKIEKKSIDYYKNRTKEAEMDEQFQN VEFQIQMMCLSIIRFITDHIKHLPINILHQIIVENDFFFLLIPLIEEKPWLRINPNNERE VFEQSKWVTLNKEDYSKLPKIEAQLWITIYNLFMDPESRRKYELNDFKKSNLLRLRKFMN ELLLDQIPQLVDMLRSLEELSLMQVQTQSKNTIVVQQLPELRLAICKDKNWSSIAQKQKE EYFQIDDPSIKEDIKRMAELYSNTVFEGIIDGFKCEKCTKEATKRCSRCKQVWYCSKDCQ VGDWPKHKVNCKATTTSKQEDSKQVEKQSDKLLDQID >CAK70036 pep:novel supercontig:GCA_000165425.1:CT868074:362725:363650:1 gene:GSPATT00007476001 transcript:CAK70036 MATKTDDAVQYNGEDLKSFYFPNKFDHRQSAVPGNPCYNFRFRIFIFFTYIVVTQLGEIF GTPSDNINGVWDWGHLMTTPVNKLYQEHRWFSAMMQISSALILDFAFFYVSLYWVLYERN FRLFAVLILFYAIRAVHLNIFKLEYSPNYYWEDPLVPSLVVKYGKYSDFFYSGHVGFLTI CALEMRKVGKSYMALFFFICSIFQAFIVISFAIHYTIDVPGGYIFSHYFFNMVCYWEAKI DFILKRIANCFSRTNKTIRIAYENDPEKQQPTAI >CAK70037 pep:novel supercontig:GCA_000165425.1:CT868074:364143:365623:-1 gene:GSPATT00007477001 transcript:CAK70037 MNNPSIPQLPQASIYEPTISVQKIQPHYRFDSNNMPKIQCYYHQDSPNNYIKYFCRQPEC LMPLCDKCVQQHLNQYHTQSQSNIVPFETILSEIYQNLAADCNEMCDQINKIQQLSERAT SDKLVGKQSLQTNPTKLIESARDQVIAIVNNYFETLKTYLLTQIEEPLPEINVKPAIKDM KFRWEQNIKDLESINNPAAAIEKVIEYCEEELRKKNDTILNEAEKLIKLLETTTPQYRQY GVDIPQLFVDPSFLPRFLWVLERYAFFNHPPMEPEVFPPPRIVEQIPVYDLPPPPRYYDP YPPMEQVIYRDPIVIREPVFRDSLIRENEYPDDYDDAYWQRRNRGRQQQYDYYPDNDNEA YQMKSVSKEKKRKSKVQDTSPQPLYKITEQYESQKKKKANKSKGPKEIVISHAQPSYINL TTTQLPQQQIYQPQQTTTYQVQPTYQALQTANILLPTNTQLDQSQKAPSGMTKSKITNQA YKNL >CAK70038 pep:novel supercontig:GCA_000165425.1:CT868074:367025:375923:1 gene:GSPATT00007478001 transcript:CAK70038 MRGRSIHNSQPFQMRSPQRAISPVIQIVPSVPQSMNLDNIINLKMEKVLMSFEIQRLNSV LLDIEKKRIAMKNQNQKLQEREQILLLEIQNQKQQINDIQQKEKNSRDQLNQLQRTFSKQ ENQIRDQLMSDEIKKLQEENILLEQKLSYLLEVNQQQIKNDNVLFQKSGGQLKGKENVLP YSLQTGFENLQNNIMQFRKGNLLQNKYANALTMLSELMSSHHRLIKKYENNDDNNEVEIQ QIQIYLTSLNQDVEALKQYETPKAVRRILNNESPTKGRSLSPSMSAGEVFAKIMSHIEHL QLDGNNIPAIIKQISLLNSLVRLKNQDLKLIGTNNKLRSSPIRYSAERLNASVRVLTPVK YVSPIRISPIRSHTFHTTVGIPQKISIIHSSKQDSSHNLHRQGFIETKRDDLKNILSKVR KVQENTNRLENEKQINQEEQFWKQKYEEEIEKQKRGYSLDSAEYQLLEQIKENQELLSQI NLMKNDFLQQELYNEMELKKKQAENNKLMEMQDQQEQQQSFLNFQIKDLQQKNLENEKVK QDLLIKLQQNDKEMQRLKNTINQSNLKPQQQDNYQIQLCEPLLIEKNKKQLESEDQLKDK NLELQEKIIELQDELNKIYSESTKTRRNFTQKEIVDPEKAIRISQLEQENQKLQAQIKEK ELQRKDDLKEFQEMIGNGITQQKVVDLIAEKQKLQEHFRVLQNKVDALQQNQIRLQSELQ SKNKELKELEQFQGEQNQPYIQGQINLNDQINNLEAQLILAKKQYQELLETSQQNSSPNG LKEKILQQQALIHDYENQINNLSNKIEQEIPILEGQLATANQQIQVAENDILNQEKRYNE LLNNKQYLQNPDTQIPSDDLKKLTEKNQELNLQLQQNNRKLEVQLDIEEKLKEEISEKDR EYNLAQQIQQIQQDQIKDQQQKINKMIDKEQQLMQEIANLSSKINQQRDINVDNTKQDNQ NNKDDIIQIQEDDSALKIKQLQEELNKVINENVLKNNECQVLQKLKPENEELRAQQTQLY YQIVQLQNQIHNLESFKPSKQQDNDQQLTFETDPEKTLAISQLQQQIQQLRNQLQEIQNQ RAEDLQKFKEINENGADQQHIIELFQSKQNLEQQLRNLQNQLDNALQEQILIQGQLIQKN KQFSEFCSNYNEEDFKKQLENLNQIIQEQQEKLLQSKQQYQELLELTSQNLTPNGQKEKL LQQANQIHEQQQEIQLMHQQLDNEVPKLQGALAEYKQKYNDSQTEIHLLMERYQNLLNQR SKQDQSNDKSFSTKNNNLQDTNLSAQKYDDKNDQTNISDFQDDINRKNILQEQEIALMHK QQEKFSSELEDLHKQISLKDQEILILKNKLAEGNTEFWKEEYTKLATQNKGSIKTQIQDS PEQYLIVDLQQQSQKLINQIAEIEAERQEDLRKFQKILENDGTKDNSVVQLFSENQQLQQ SKRQMQNQIDDLLQQLKDQKDLQDSKMIQNLANQILELENQNQLLSKQLQQSKEQYQQLQ EQLQANLTPNGLQEKLLQNTQIIHQQQEQILALQNQIQTDIPEVTQQLQVADQNQKKALE EARNWKEKYLNLLEIKQEIPKIQIDDNIIKQMNLEESQDYIQTLVKNYQKANEQEQQAKI LINEQKKLINQYENQIEAQHSQNSDIIKENAILNKLTETQNSQIERLSKSNQAQSQEMID LKNQQRQEQYWKNEYQKLALKYGEDVSNKSPNQEDLINQIDENIQVESINELKNQNDLLQ QQLIDQIEELKLTKIKFQEQLLQAQRQINDLLNNQIPQPTQSQESIQFQNDPQKALQLSQ LKQQNQSLNKQIEELEQIRKKDLQKFQDMIENGINENQILSLQKEKQELQSELRVSKNKV DNLQQEQLILQSQLTQKTKQLIDIHDQIQLDEAKNVQLQLQNENDLLKKQLHKIKEQYQE LLQLQSENLTPNGLQEKILQQTQYIHDLEEQVQSLQHQVETQIPQLSGEIVELKLKLKDA ITDAQLWNNKYNEVIQIQQERSENQLQKLQLQIAEKDEKIEQQKLKFDQLFQEQISQLSP SSAKAKLLKAFQQIIDLEEKLQAAEDLKNQYQSQLINVNQSNQILDELQEYKQKLEMQEK VNQIQDSQISFYKEQLSQQNQTPSNQQIMDQLENEKQKSQFWKQQYTEMIKQKEPQGDLN EIIKQKEGEIVQQFKQPQDQNSQAFLKEIEDLKKQNIYLQQLIINTQSKLKTQEQKEQFQ EEYSQDQEKQSLGLQNQALAKQVEELKRINQDILQQMNKALSGDDKSQLISELLQKNTLL NLANSKLLIDLEANQKELFRQGQQQQQSSEMIKNLDRSDMTDDKQRIADLQNQIELAGQQ IDFWKQKYQTVLNDFSQQHSPTSLQKQLIDQVSQIHQQEEALFVLQQTTDKVKLQNENQI NSLQREIEIIKDQIDQLQKQLISEQNICQQLSQQKIQQQQVNEKQINFWKDKYENNLKSV HENPEKVQTILKQAEKEAQNLIVEQDLAQINMNLELIDRLRMENQKSQDEIKFYKKRVLE LEQQQQKIQPSQYDTIQSRQYQITQMERDLQKAIQNQEFWQQKYNDVVQQNIDKEIGQQG DVQNSASYWRQKYDQAEQNRQELIQKIAEKEKQLEQNMQSFQSMLKDELKEELTKIRSQE KQKIPEQTLNESNLLQKLKEQHENEKQQLIQEFLRRIDQLLISNVQKYPEGENWKELILK YEKQRQNELYELKQQLQILQRSQIQTQDIEFYAERRVYENTINELKSRIQYDDQQELFDT IEYQRKVIQGLEDQISFISNQKQHLNQHIQELNEEIEMLRDNLQKYQLQSDNRKKQRLEV LEAISEMKRERTRERDSQKSPFQSMRPSQNKYSQDRYPRDYLRPSNIPQQFSSFKEHNSP IQRIPYPSVQFISSQQENREIPQKLQELEEVKNKYQTALNNILKLETQVVEKLQQDDIII Q >CAK70039 pep:novel supercontig:GCA_000165425.1:CT868074:376495:377100:-1 gene:GSPATT00007479001 transcript:CAK70039 MQQTNIFSQSSLYIPELSEPITFLDFSKEPCLDQSNIATYRKQGELGRFNQGQKKNPYRS SWANTILHKHYNIDILEKGSKIIIKKLPGTESNSQTLINHLPAIKTPQQRVKKELISSIA QYAKNNLEKFFKEKRKYHISTSTENNSYNMHNSSCKQTEMNDAVLVIQVNSQDRLMPIFD VNFKKNLLQYNM >CAK70040 pep:novel supercontig:GCA_000165425.1:CT868074:377237:377666:-1 gene:GSPATT00007480001 transcript:CAK70040 MKKDESNEQDQIPLNIEKRSSSQPIGIIKIENEKVWLSFKKIHHYKPDSAILPYQIVKQN YPDLVAERLLYEKLQFVGFTQNTENQEQACIFDFNGKECLVKWLPSQLFSWIPVAQADPL NLLDFFETQKIYKVY >CAK70041 pep:novel supercontig:GCA_000165425.1:CT868074:377711:378684:1 gene:GSPATT00007481001 transcript:CAK70041 MSFFQDFNKVKKQQDILELQDLHQKDGEAQVAKLTQMAHARIRNFEKVYHYMNEEIINSR SLKGMDDIPVLVKEAKNDLDEMLKIIQEMQSIQLFRKTENVNNFIIDYQSQRQQTVQKLI QVFSDSNEKLKKLTDQLLSRQQTSLQSAKQSIKERQNDAQINVQLLDELQYDEEFIQRRN KQINEIAQVVYQLNQMMAEGAEMIKNQGLKIDIISSNVKSAKEKVDGACVEVKKASQVQQ GNNGRMQYLYLSEFRLFFCGIITLIVVIIVLLFSAGSRPHTDPNPQQPQTQN >CAK70042 pep:novel supercontig:GCA_000165425.1:CT868074:378715:379998:1 gene:GSPATT00007482001 transcript:CAK70042 MKLKQFFIVAVKPIRINKTLERIGRLPSKYLLSPILKEQKDINPQELKDQTGLDFQYQYQ PNKIGRQKDYFLNQDQYKEFAYKNPHGKVHIFNYQNVNFTLAGSQWEHVPIKNLYKLLLF SRPSLIMLQMKPDQILKGFEYKFKSSKDYINQIVREPDEIMPVGYHEKIAEALQYEKLDF LDYEPYINKERIDYDKIATISYYAKKFNAKIMLADIPALIFRETLCNQLTLPQLQQYFKI ACLATPQNPDIYPNTPMNVAYQILPHIFLKRSDEFMTTLIEYLAAKKQYKRIFGLFGNMQ SDAIVNLLDNKSASHLKYELEIPKIKKTLFKDLTCEDLVERHAILDVMFYGDVEEEIDIE QQDYFFPETMAIIDKYADPQFIDKTRPAQMRYLHLEMLKKYSIFQHKYYKLGKLKLKEEY MEKANLV >CAK70043 pep:novel supercontig:GCA_000165425.1:CT868074:380035:380679:-1 gene:GSPATT00007483001 transcript:CAK70043 MKICQLLRNRVLFFNCDIQTVFNNPKHMYNPGQTIQCAQLMNEAAGILNIPVVYTEQNVK AFGSTIPEVKATIPSNSHYYEKHTFSMYNEDGKKVLSQYPDKNQVVIYGWEAHVCVQQTC LDLVRNGCQVHILTDGTSSNRPLYRSTCYQRLAQQGVVIDNSQSVLYELLQSYKDEKFKP ILSLFKKYKYEEVFTTL >CAK70044 pep:novel supercontig:GCA_000165425.1:CT868074:381226:381735:-1 gene:GSPATT00007484001 transcript:CAK70044 MSHISDRCKTDPDEDNEIQRKRKQVQQFIQNSKEEQKKITQLFKKDLDLQSEKIKERIQK RIFNKAIAKSSSCGVYMSSNTNSKNQSLADTEDYQESLYENVLLELDNQRDEKIYRIVKH YNNQINQLREKEYTTEILQQMLLFEKQKWEEIQNIQQQYEVIKSNQIKL >CAK70045 pep:novel supercontig:GCA_000165425.1:CT868074:381760:385398:-1 gene:GSPATT00007485001 transcript:CAK70045 MVIEHFVSITQLCQNHISRNQFQCALLSKLFSLLDLQLHSISSELINHLWETAKSFLDKS SSLRRTGWIIIAELMMVPYFGTTNKVKEIGILIEKNLTSKEEIKDEQQLLSYCSVGLVII SILNSSTLSKQIKDTTRKFLAQQTILMFAKLSQCKFDKQSPFVISSKYVFYQAIRQIQPK YYSNELNSLVQFCLEDIINGNSLWNQVNVHKNNHYVDELMGIPRSEFQLVLAASKFLGHI ISSQSFTLKNKINFIRYVNGTLQTQVVNIKDIQQKQSKQQCYLFCLYQIALKIYQANSLV SQEFNEQIFQIINLCQCVDNQIQQLCAHLYCSMLQIKDVDQAEQLNQLLSQKSQANFTYL VLEILKKKLTGSLITSAKSSDEIIQLFQQIDPSLLKNVWVFLVFAISQSDKPIQICQFKI LAEIILNYVRNGGIKDNYFNIIYQECRCRLQSKQFQILQLDFALEQDNEQTVTKAINLLL KKPSLKIAIFIDQLTDKYTMPSLVQPILFVLNNLFSNQRVHSTQEQMELRNLMKNIFIKV YNQPIAELLQLLQPYVLDEVIPEQQERIPIHIKTRIFLLKRLQKLFKQCDTSDQVFDFLF KITTSIYEEAKEISFDMFQHFFYKEKDLLSFAAQIDSILCDTIRRENQNPPSVNLTNMKL ISKFAKIVKDEFLATKLIQLLSKPLLDEGRKKLVPSQFFSEKSQLNILIQRIVSLGSIYR KIPKYFDQALIEQLNCYVEELLEDIFVILCTPRSHVRECQSYNFVYNGKKYSFDQGFLHQ SLPKLLRISNLDKPIHFTLIGYIITQYGAFEQNENMFKKTIENLTKQRILQCRSYRIKGI QLLTEVINKNPQNQLLISGVQNLLPIISAEAPYSLVICQQFYQTLIHHQIDLQLILEPLI QIYQLTVDQNLKLNCLASLLKISQQNPQALDQINTIFWDFLLTQEYEFMRCTQLIQFYKD KIYSLKYNGYISTILQAISSAQKKRQEDYYILLNLDGLINNHNDNQEYYQLIDLHFTNLL QIALALIKQIKQNVQSILNCMLPAIYRKISNKESDSYILIGKFLLLSYLLSNQKQQLFQS AFRIMDLFTEENLDSSIVEASKQNLKIILMNTQMNQLNLPDDLSEKVQLIQDDIQREIQE KQQREAKQRQPQQSGQIVLKMFG >CAK70046 pep:novel supercontig:GCA_000165425.1:CT868074:385424:386734:-1 gene:GSPATT00007486001 transcript:CAK70046 MNLIASVQDKLKQQVPTDKQLQMSHFARLVEMLNEIAKNQQNQELIQLVQLLIQFQFPQH TQQMICNSFSLLIAKTGNEQIKQVVENILQYINKKGSLKSIALTLLVTLIQLTKHEIQSF SYTLTQDITTTLMKLLKSSDYRLQLCNVLNFLIESQKIEILKIALKFAQNEKLEVLQQAG MQTLIHLMQEEVISEQMYIIAYKSDDSPLFYKYFSRYLFQQQNIVALTKKDKLKSQVQLQ PREQAQKIFQLFDENLSKFPSNIVGSIFIAYKKYLTHEFKKLGLSIVRGSFEISCSYLGS MPLEDPHLHTVYQKAVNLCLFMVQQVQLNERKDLLEYFFDKITKAKTQKWNVYIYKLLLK GINFLFDTLGSNVFDDKRSKPSEFIDILTPIFKISDILQHPMSIDKPICISVSRNLFQHF >CAK70047 pep:novel supercontig:GCA_000165425.1:CT868074:386763:387307:1 gene:GSPATT00007487001 transcript:CAK70047 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDEKTRKAQAEQAAREIKDRKQK QIETQKQQKKGVTTTQKAAQKAETKAAQKAAAKGAGKPKGKK >CAK70048 pep:novel supercontig:GCA_000165425.1:CT868074:387319:388700:1 gene:GSPATT00007488001 transcript:CAK70048 MYINNTIQTTSFWKIYRELQRNVQPIINCISPQKRIKARSFEIASQSMLIDDVKNTSIQR LRKIIRSPTILTQFGIPIRRASKVERADILEILSQRSLDIQDQKIQPQGKIRRVTFHEFQ GQKLKRLNNLVPHTQVYQHSHEAIIVKKKKINRLKNQYKFLQRSRQRKTYGEQKSKSCPL KRLPKITHKRAKSIAEQIYQGPILPIDFELQRKIALLCFQKRRNTLLMFRRKTCIQDRKT LLVPKEKLLNFQETLQKQFKQHEDAFETSGESSPMPNKSLQFKQTINLKSKPQILEKPQL TPRYINNNEYSIFHRKTSFNIINKYLQEKQVRNKFCLSPQNQQVPKIKSPTLKELNLYFL STKRNTENTSKQSQYTQHSQLKSQISIHTSRSNGIKEIDDLQLHQTKIQQIQNFEFKKLS QNTSITHIKTLPCEHQGSKTKQFYLKKLKPYYQ >CAK70049 pep:novel supercontig:GCA_000165425.1:CT868074:388700:389939:-1 gene:GSPATT00007489001 transcript:CAK70049 MKTQKTELVLLLLICTINCVSISKQDGVEELKKTSWGKIAWNLSQLAFSGDSALKELADV LTSVTEQVKDLRHDHEFASDKAINVYDSKREQLDLEIDETVTFIARNTDYLDTQMHDYIV NINKRLENLDKNVQENRKQLEYLTFTRQKQNEKFLDTLSQYEHMVAQVDMCIALLQGIFA NEEFIQVDRVKIITRKIFAATMLIGGIDMKELLETTESAKYTDTRVQKYILEAFNNLRKQ FIDHKNSDIAYDAEQQKEYEDQKHQLDGELLIFKKDIAELIYNLSVTEEKIRQIKDDIES NRKDQEFYSKEKEFINNGRQIEEKWKSKIIQGIQQSIIIHRESHEFNKPT >CAK70050 pep:novel supercontig:GCA_000165425.1:CT868074:390252:391806:1 gene:GSPATT00007490001 transcript:CAK70050 MQNKKNDLAFQKQHSLPNFNPKQFQQEEIDLENLIMQAKKANNTLFIKEYPLNQAKALYY IVRIKLKGKDEQKFFETNPDLLFILRRGLTILNLNNSYTILRKGLPKFYDLGDFWKAKEQ QQQNQFLFTNQLRNAYQSLKLSIPVTIVQQQQENGENFQISFSSILQTWVISSKNVSIFC KNNDEYLVNCPNKDIDCPERLPWQIAEIWFSEMSERNVEQLQKDLTDFTLVGEFVNNPYF VHIIEYQQPALKFFALINNHQRNFCCHTIQAEHLANKHNLQFVKTKYMQANNNIILDKHL QRIYDNIKQSPLSISGEGVVLYLFNEFECLAISKIKTIEYEQHKLFRSKLTTLTKQCQET QLSYADAYQQEANDLNQYDDRDITRAIEMNTYILLSLQYYLIKVKQKDLYYQEQLFKMCS KYYMQFYNQIVELTKTYNVKNYEQDNIFKYFDQLYQYPLAFKKQEMQQKQEIQIDEFE >CAK70051 pep:novel supercontig:GCA_000165425.1:CT868074:391837:393061:-1 gene:GSPATT00007491001 transcript:CAK70051 MDQINSWSNCTLSIGEEVQQKEKIELHDAINNYQKLEVKGKGSYGAAYLAIQLNTKLQCI IKVIDISNMNNKQKENAICEAKIMKDLKHPSVIKYYESFFENVTNLCIVMEYAEKGNLEQ MLLEYKQNNEYLNETVIIDWFTQLCLAVKYLHDQNIIHRDIKTQNIFITKDNFIKLGDFG IAKEMECKEQLCKTSIGTPYYISPEAFQSKPYSQKSDMWSLGCVLYEMISLRHAFDAKTI EGLGIKILRGQYPPIPKHYSDELKNLVTKLLVVDPNKRFNINDLLKQEILLIGAKKYLEK YTLHLQKAKINTPNNFNACKLEELRMSLESQLGLKKLQDYIQQIESDTLDFNNDLSEKTI RDIELLIQLEKQIF >CAK70052 pep:novel supercontig:GCA_000165425.1:CT868074:393218:394645:1 gene:GSPATT00007492001 transcript:CAK70052 MQQNKFQKINNNSSSIVDVHLISHYILDQIIGSCTILLQEHFIINSTPKYTEFATIMLLN NLIKHYIDVPFEMNVTQDEEPLPSQKDSYSGLIQVDPQKKDIEIICEKDLTRSTKFLRQS SRMKSNSVSVVVTMNRSTYKKQTSKKQSIEVVKLDEPNQQIDEKEQYFRYQHELKCKMLE QIARNEKRLEEDRAKQKNQLEQLKKKQNYANCGYDYDGSILPYNKPFMQAKLYHLQSKIL KKKQDKKKQDNKTRVENIYIRNQTKIDVHQMSQQKFEEDLRQSQINKFEPGLVRNMNGFS KVEKLDLLKNDSSLRMTKKQYEETSEIVYTESFVNLHKQHTNIESQFPETKSPIANNIKF KVSSQRDGEIKYQQQNYQFLLTEPDDDREINLQSDIERVKKLKKKEQYQKQNTQQLVSFA NEQGKLPALKKSQQNKLNEKIKRSQTQHC >CAK70053 pep:novel supercontig:GCA_000165425.1:CT868074:394847:397898:1 gene:GSPATT00007493001 transcript:CAK70053 MDQLNSWGYCNPTNWEDKLPKPYKYINKLLNTMILNEVYNKVFEIEKYRSDPNYEGQLRM LPPQGVFDIPQLSCVSRDSSSNLVAAGDTQGNLMILDLSKKLRIAKKETNGKRILKVCLS SRDQAPDDYKNVCVIGVVQHNDPVVYIYRFKPLENKLLLHHTITMSKDKNAIGEYPVDVD ISQYSQYITVTQYNGSVKVFRIPDLKIDLQSNSSQISSNSVGAQSPRHLQSQFKPSPIKG KEQPIVVPVQQQQQIQTSPMDITCSELTDLVYQVKFNGIKKTLDYNGIIAKIKQDLTTPK EPPPEEKVDPKKKAPVPAKKPVEVAPVPVVEEILYDENENGAVPNDDAYPTQKYRAMVEF IIERFSVQNGNKTFNSYKQHECVTGIVVGWTNTTRLELHRFTSAKRSALPEYLSASFGLP TQQLLKQQASITEVQILYPLSCMAISKSSVYLAAGLQQGSVFVYDLILEQERFYLDKHMY ACTQIQFCDDSRLVSSSYDGGVNIYDIKEGKLLCKRTHQFRKGSKVKMEEQKQGLWRIIG MSVSHTGVAAALDAQQEVRIYDVWHGEKIGKLSPQQVMDDKLRQWVQDKALVACYKNEIL ISADVLQANQYTTLQIFKIFDNLVNLFPGLANIYRKGIEKDKVMNLFEKIPNSELQNPQF DIPNLQGNGNNQLKIPGQEHRPSQQRGSQQRIGSQHGSHKSGKLPPAAPSSIKGGPVSLI NSLHKSNHSDQQVDFNSSFKSYQASSMSHRLSKQNSKQAILTKEMLHPEQFLLEKEKTFI PLLIKDDTSMVERCRSRNSERLVRIEKVIIGLRGGKEEASRASSLIVFCQMINQLCICCN EPKKYKCPKCEMPYCSAACFKLHSCKPIQKKPQVQDQEPFKSDYIDEEYKLSKEKLDILK RSQKIRKFVSNKRLQKLLIHIDNSKYRFKELNTCMQNDKDFEDFCTLMLSEMGHIDENGQ FK >CAK70054 pep:novel supercontig:GCA_000165425.1:CT868074:397928:398854:1 gene:GSPATT00007494001 transcript:CAK70054 MNYLICLGSSKQQQNHIKNLMIHFVEVALIWALPAILINKLIFYMIYKIGGNHECIVDVA YSISHLVAGMVYFIFSTISTPGKIINILLVAFWSLRLGGFLCVTRVLAGFKDERYDNIFR EYNADKFKKEVMVLVQFMFQGLIVFVTSIPLYFLFLNDLTWKPEDFNGLNVMNYIALSII PFSICLEATADIQLEKFKKQKQQGLIPREDLMETGLWRRSRHPNLFFDLVTWFCFALAAI NDAISLCALIGPIALFCVMEFLTTPLTEAHMKKKRADTYAQYVARTNKYLVM >CAK70055 pep:novel supercontig:GCA_000165425.1:CT868074:398892:399417:-1 gene:GSPATT00007495001 transcript:CAK70055 MDNQSNFQTVNQYKTSSSKCFINQSVNQVDKPPKQSDLVLYQAAKEKCANKQNERQSTPL FQVTSLITNAPTLHKPTVKTTQFSNQATSVFDFSENRKEQVFKPHCIKVAEPGNNSDCVY KQQLKTSDLPSRDNLSRNPITTGDSKSEYLRTTKTPLPKDQFSFN >CAK70056 pep:novel supercontig:GCA_000165425.1:CT868074:399481:411568:1 gene:GSPATT00007496001 transcript:CAK70056 MKLVMLFLLMCIYLVQSDTSNISLGSPCQCTQLFEQDCYKNQICVWNKLQLRCEIKSTTE ESSTNTNTKHYSYCTKFGSDKCWETDGCAYVFNECVQFTSCSSYPFSVQALCQMVSSKCI TDGEKCVELGDCYQYQTPISCVKNSKGKYCFWDQSCIDATDCNKLPVSFKTDAECREQLS YCTVNPRGGCQVSGYNCEDQEFEMQCYFNQKLGQCAWVDGKCKDRSCETAPKSIRTDTAC QEYFQSCTLEAEGGCRQRTQCNDVTLKESCTYNANGSICFWNEGKCYDKNCENAPQNIIC SEFLEKCVPKSLGGCMRISECSKYNLKESCVIDTQNNKCFWTGSYCIQYTCQNAPLDYVT HEQCAAYKEDCTVNNTTFKGCSERTCDNAPTTSTTFLQTNYDCNKFKEGCITKLGGGCKQ INECSDIDVEIACVVDKYGRNCFFYKNECALRTCENAPLSFNTHEQCQEFNKECTVARTL VGCVKMTCQVLTSQNTCKADSSGNRCFWTGLCYQKTCANAPFEYDTDLKCKTYLSSCTIA NSGRGCITRPALCNLLVLEDQCYITSSGQICGWFEGACYDKACFTAPYTSDYDTYEECET YLAGCTVASEGNGGCVLLDACTTYTAQRSCRFNNYNQLCEWTGNACYDKSCTTAPQDGDH DTNEKCEAYLVGCIVAPSGQGCVQKPNSCGLMSTSLQCTETSTNSLGGPCVWVGTCVNRT CANAPVSTNYDTHQECYAFLATCTVVPTGLGGCMTQLSSCNQYTTFRSCQLASNGQKCAW QYNYCYNRICSYAPDTNEFDSDAECSAFLNSCTVVKRITNLGCTPRLGSCQDLTQQQCIT DSYDNLCTWDTTLATPNCKTRSCSFMIGYDFTQQNCKNWISGCVVDNNSPHTSCITQRNY CYQYGNQDNCEYSNINGYCTWDGSSCSTRTCSTTQNITDFNHSTCNTWLSSCTVGPPTSC IQKPTNCADYVNYDQCYKNYSDYPCTWYNDACIEKTCTNHGYAVTVFNQTNCNNWLSSCS VNPGNSACETTRTCANYTGSTFTHSDCNTWLTDCTTNGSQCVYKTCTNYGSNVTTFNNST CSTWFNECTNDGSIACKSARTCTNYGSKITIFNHTNCTNWLSSCTNTNTACTARTCTNYG QNILFFTHNTCNTWLSSCTVSWDQQSCETKTCTNYGQQITSFNNSNCSAWLPECQSNVNG TACESTNTCTSGAYTTAANCSSYLELCTYVSGSYCTNKNCASPSGITIYNHKTCANFYKL CTSNSASSACEWRNCYNHKGFISYFTHESCENWLFQCTVNSTNTGCTVKTCTNYGSRVTS FTNADCNKWHPSCNGASTTCQESRTCTSAPTGYQYDHSNCEAYSLSCTKNTSTTCMTKTC SKASLVFSTFTHAICSEWLSTCTTDATSSTCTSRTCTNYKDQLTSINHTTCNGWLSTCTS NVAGTACETKSCYNHGTQVTVIDNTNCNIWKSGCVGGGSTCRDSTNTLCGAFSGSITHAN CTGYLSTCTNSGTTKCIVRTCQLASQGITIFNHQKCTEWYLECTANSDGSGCQYRTCYNY GDYITTFTTATCSNWLYGCNVNSTNDGCISERTCSNYGSYIKEFTHDNCSIWLSTCTVNA GASACIDKTCTNYGSNVTVFDYTNCNAWLDGCTGTGSACEARSCSNSPTGIGSYTEANCQ KWYSYCKVNDSLTNCISTRTCTNYAGNITTFNHTNCNSWLSDCTVSANGLTCETKTCTNS NLTAGNFNLNNCNSWLSGCIANQAANNCETVRTCGNAISNLTTFTLATCSGWLSTCTYNK AGTVSTGTYCIEYICANAQLTSFTHNNCQNYKNTCTVNSSHNGCTDFTCSNAVNSVSSIS HWNCKSYKASCTVNNAYNNCEDMTCSNASRTLQYYISWGDYAHSDCQGWLSSCTINNSAS GCETMTCSNASYTQIVQTLGCLLVSYTPRILIASKKLAPLIGEHYLLQIVVAIQQDAPIM EIMIILLLAQMLLETCASAVTAHNSGYNVVNQAGCDLWKPNCAHRGSGYYGCEERSCSNY VSANQSNPSSYSQCNNWLSKCTYDSVNQVCVDKACSNFSQSSPSFLNCQAWNSICTVNST NTGCEKRNCTNYSYVYQVFNDTNCSGWYSNCKANSSKTACEASCTTTTGISTFNFANCQD WDTMCSVKSDNTNCEKRSCTNPQLASYTDTTCSAWLQTCTNNGTTSCKDKTCYNYSTNLL TYSSSTCETWLSVCTNYKTLGCMPKTCDNFTGVVTDSNCSTYLSYCLANTTKTKCVTRRT CTNHGSSVTTFTHVNCETWNSNCTSNNLNNACVEKTCSNISTSAVIQINLYTCTAWKSTC AYINGSCVDKTCSNSGLVGTDVTLANCSDWLPYCKANNAIWPQYCELKTCQNHSLGTTNQ ANCQSWLSYCRVNSAGTACMTDRTCSNYGISIITFNHINCEAWSSYCTVNTTNNGCMNKT CFNTNLSVFNDQTCGQWLNTCKANSTNTGCEIRTCTNYGSQLTSFTSATCSYWLYQCTNN GSTACKTSRTCTSYSNLIQTFTLQTCSEYLSSCVPDPTQSSCVDRTCKNAQGLPSYTLQN CSNWMNSCTVNSTNDGCIDRTCQNASLSYYNYSSCSNWLSSCTVNGTNDGCTAKTCSNST ITQFTQANCTAWLSTCTNGTNSCINKTCANYTGTINQTNCVAWLSYCYADSGTPTQCSSL RNCYNHNLSTFTVSTCSSWSPLCTVNTTNDACTEKTCYNYDLTKFSHSLCQDWLSTCTVN MDGTGCEIRSCTNYGNNIILFTNANCSGWQKYCKATYLGNACEPYTCWKNNVSVFNHTNC YNYLDQCTVADSTSCGSTRTCTNHGNAVTIFNHANCQYWLTKCTVNAAGTACEEMTCENH GVQISKFTHVNCQNWMFDCTVNSTGTDCELKTCSNYGANVVVYTHANCLAWLSICTANST NSACINKTCLNTTGISTWSQANCESWLSVCQLSKPSTCQNNLSNCSSATYNQCVKDNNND VCVWHQNACKDRACNNFTGTANHTNCENWLSSCTVNTTNNGCVTKPTNCTTGGVTQNQCI VSNSGVKCSWIASSCVTRTCTQYFGTFNHANCNSWLSTCTVNSASTACQTLSSSCSSYTI QDQCNFTNSSTVCFWVNNACVNRTCDHAPQTTAYDDHNECINFLNTCTVARFGGCTDKLS TCSSYKQQSQCYQSSLGVKCYWNTLTSLCADAICTNALSTLTTHADCQSFLNTCTVNTPG GCIPLVACNLYTTALSCVISNTGDTCEWQSGTCNIKSCTTAALDATRDTHEECQSYLPYC TVAAAGVGGCVPLNDCNTYTSERQCKINASALLCGWNGSVCANRSCSTAPPTVTYSNDSA CEDYMDGCTVVETGYGCQSRKSECSDYSVSKQCVKTITNQLCYWNKELPIPVCEIRTCYN APSNSLTPALCEQHFNLCYSNMQYCRLEECEDLQMTTDFDCKYYNSKCTTNGTHCVVRKK CQDVQSSAGCVMDDQFNECEWFNQECVLKTCYTAPEFTTEQECNLYKQGCTTKLFGGCRQ KTTCSEANVEQACTTSITGEICVWNQGICRSQRCEDFDGSYDEICDAQKKGCVSDGTQCI LPRLCSQILVKEKCVKGLDGPCVWYELGCSLFLSCSSVQSNLDRICKSANRLCTTDGLKC VGLEKCANYRLQEACKVGLDGNCQWIESQQKCLLFAKCSDLPFLTHDECQKSSSKCTTDT LNGCVNLEQCFNYTQKEQCKISSQPQKIQNNQVIQTGYCAWVNGKCRDQLCEDLSGETHE QCQAKLKGCTSDGTNCVTMQPCSQYTNIITCNLALGTDGKCLFSTAGCRTLECADIKDGT NHFTCQNFNSTCVSNGDKCISQVKCEYYPNQLACTYRGLDGQCAWDGTKCSLMKSCQDAN NDMVACLRMNEFCNWVSYANGASSCLAHTCQTKGVLNQCKYIKDFNSSTITTCLWLNDSC QAVDPRNLLSSECNVNTLNTYRWNPVNNTCELCSPSEVNNTNTPVVNYLQLLSIVIIQAI IY >CAK70057 pep:novel supercontig:GCA_000165425.1:CT868074:411621:412549:1 gene:GSPATT00007497001 transcript:CAK70057 MYLPRPLQHYYPTQYPTLPEIPQREQPKLIYDSRYEVEDKYYRNQIQNQEDFAQSQQEYQ KMEKTYKKYNGYQQNPASLNQTYSNKQSSNQQQQPPISERYNQITDSDIRFVSYIFQEEI QFRKEFVQINKKLKQHNPNLLSLFYIFTSDNHYKIEKFKFLEVLQSYQDDVKVCDLELLF NYLNNWKRSQSITYGQFLQLIVPYVKYEQMPDPADLKYQQDQPTQEQMEIFCRLIKMKLQ MMSTLEYYRQKIDKSLINLVQIFEFIDQDKDGLIKAFEITKLLFWLFGYS >CAK70058 pep:novel supercontig:GCA_000165425.1:CT868074:412759:413618:-1 gene:GSPATT00007498001 transcript:CAK70058 MIHASEEHIEQVADLQLINKNMLQETFLKKMRKRENIKQNYTERRKKIKLQQHSRPKFED LICPICLEIFQKVTTTQCGHAFCEMCIFDSLMRKAECPVCRVKIKTHSFQYCESFDNRIV DLVNQYGDKAQIEHFQNRRQEMEQWNKSKLVDNMAIDQKVDIMDQQFIWCVATIQQIGKK ELFIHYDGWGKEYDEFIPLQSNRIAPLGLYTSREDIPKYQPERRQFAEILEFINQHGELS TQNILPD >CAK70059 pep:novel supercontig:GCA_000165425.1:CT868074:413768:414175:-1 gene:GSPATT00007499001 transcript:CAK70059 MKRQKQFELKINEKIQQPYTPLRMSPSFRIKFQSPTKVQKIKYIYQKDLKEYESHYFDQF ADTYRIPTIHTQRAASLKQTQMQLRQQTPNYMQLNPKDSEQESDKLSEFLRIIRGKKQVR IIEHQQ >CAK70060 pep:novel supercontig:GCA_000165425.1:CT868074:414257:416778:-1 gene:GSPATT00007500001 transcript:CAK70060 MGNQINVKAKFVNLLKQEDENNLAEELKSILLLDISQEDYLNAFYPSDIRDILKQSPKRI LGIIQYLHKFLCDTQSELQSYIQYRQWKNSLRILTSIFPVLYEEYFKEQMKEILWNTKIA QPNNETQERDPPLIISLITRLFQMCFHFGFTIDSLGYDESVVKNDTLLQYFAQYYYNIWN SYQFQFGHVWKGFNPQCKYPNDIAKYFENRYLVLSCIFAIVSSSLFSSEFFFQIEIEELN NEDKFQKKENVKSNEQKQSDEQEIDEQFKEKNNQEQQCSKDQRLTQKLLVQTPNAALLIL QKIPLFPELLVSLIAFSIFPTERIKQFLRGLVNISNQIEDNLQSVCLKLASLIIGGQGFV YKDVLHLGKEFEEMIKTKEYFRLFNHPFPQFIDLPQQIQEGIIVQLSQKFYSYYKSQQQF IRGSFEKQLSQFENSFIFLSYLTSKTAHNVPNLMIVFLLSYFNPKIYIKKASLKLIKLLS SQPQLNKQLCANQEFTLTFTDAPIIVGSWGDLLVTALCDTVLNELSSIKESKLLEISQIL FNISPEIGKLNRESCEIICNLIKKLANYDFILKSPNVLISLLNLIGAVSQIIYFFPDDNL DLISSVIKIKDSIKFIHELQITQKKLQVWWGKHGSQNPIVNQSFIKSQQFQNDVHDIRES QARSITQQQQVNQIKQQKESQHDEISSLKQMEQIQSDRQGKTNVEFENYVETWKNFNLNT LKSFVPLISLAKLLENLFKLTADPTDEVELKSVIQSHNLRSLMIKQLSFKIIVEKYKIFK YLTKQIWLNCLRNTDKFPYFEKSECPCFQQSYIKK >CAK70061 pep:novel supercontig:GCA_000165425.1:CT868074:416778:417778:-1 gene:GSPATT00007501001 transcript:CAK70061 MFKSATATKKHDESDYSNRNVSPSQNQDRINELNRVLQGLSTNVKDDKSRRDLYFQKIKL LEERIQKGEINDNQKFRLLYEQYEKLEETLYSERDQRQLICEKSLKSLTLIEKNFSLSLN EEYSSRKVNEQEIFAEIDDKIQAMKGELKKDNVQRTEYEEKQIENIEKELEELRYYFEQE KQIRDQNAEKLIRGFGEEILRLQEQLSEEKRISEESYETTIRLLQDLDQSIQNDLEQEQF LREKQEKSLLKLLESTCQKIEQSLLS >CAK70062 pep:novel supercontig:GCA_000165425.1:CT868074:417810:418449:1 gene:GSPATT00007502001 transcript:CAK70062 MQDEEKILEQLKELDKAQDKNPVTLASLKTLKSAIYNRDVDLQTVVKLRTLNETTLKSEN IKIYFCSLCGKKAIGANIGLDTLPTRRSDNSIAINLKQIFVRLFLKQEGIKYIKRSNSVE KQYRWCCEECGVHVAYQCVSYEEGAQLIQGNSDIQLSNKPYLYVLNDAIVLNQQFSKVHS EIAKLKDQMEYEQLK >CAK70063 pep:novel supercontig:GCA_000165425.1:CT868074:418520:419413:-1 gene:GSPATT00007503001 transcript:CAK70063 MLEVSSTEIMFELKNDLYIPYNISLKNVTSLYLAYKIKSTKPELFSVKQSRGIISPLQSE NVEFSTTDRAKNLDPKHIVNQKFLIYAIGVPEKKSMAEIENLFQSQTCFNIKLYTGVLKE EFGKKIPIYGNNLASSHTSVLTNSRTELQPSYQSMIQPIPLNNQVQCVQKLKQIESDLAE EKRKREQIYNTIEISKLKKGSSLFKYILPIILGILLSNIYQLSLQQYE >CAK70064 pep:novel supercontig:GCA_000165425.1:CT868074:419632:420441:1 gene:GSPATT00007504001 transcript:CAK70064 MNFKKETLELLGYESNKCSIPKTLLDNFTAFFTEQQQLPLANEPPEYFENGLIFMSAISQ STTPQVSAQQLRSVQSKGSKGKIRTFFPQSNYHPTPQLEWPVHKANMSPFSFSKYSHFQS LTSRYWYYLDNSNTVQGPFSCVEMDNWYRKNLLNLDLLISYKSHDLTSFVKIQDILKDSE NPKCDKMLRQLYKRASSAKRIKDQNSSTSPVSKASTEISTSNNNSCQKSRQPIWGVDTEY FLGF >CAK70065 pep:novel supercontig:GCA_000165425.1:CT868074:420614:423750:-1 gene:GSPATT00007505001 transcript:CAK70065 MKEGQNIKVVARLRPLNSLEMQQGGECCVTYNDKQITVTVGSNDKQDFTFDRIFGPDSEQ ADVFEEVGRPILDSVMNGYNGTIFAYGQTSSGKTFTMEGPDNPNERTKGLIPRVMTELFD VVNGKSDDLIYIVKVSFLEIYNEKIMDLLDTNKTNLKIKEDRLRGIFVQNLTEIKVESPE EMKQVMLTGSNNRTIAATRMNERSSRSHSLFQIQVSEKNIKTDSSKLSKLYFVDLAGSEK VAKTNVSGQQLEEAKNINKSLTCLGMVINALTSDKKEHIPYRDSKLTRILSESLGGNAKT TLVVACSMCSYNDKETISTLRFGARAKAIKNKPTINAEKSAKELQALLDIAEQKIVEQDE IINKLMEKVENNTSVSFEKGNSNQGSQQNIAQSKQHSSLQLLKEHKLVVNLQEELEFQKT EMAALQINYQSRIDELQDKIVKQKFNEEHLKQQLSECLKNNQKFIFENEQLKTQILENEQ KLFDFRRALSSTKQDLDFFLANLNLKNQFNYCPSDSESTKDIFMTEQYDKDIEERTFQSI INFTETLTKLDSVLIKDLSSDQIITQLANSQNKKKNVSFQLDSQPPSPKSNKLLDIDNFS FQTDEDELNIKFDENEQTLIKSTQENEEISDVEKLLAMLGDKMEDPHVLYQIQEVMSKLR RQLQIEQEKVQEIYQIMVTVKDQFSMYQIKEREKLQKLKLSYTQKKSEMAQLKQNLEKQL EDLTIEFQKYKHDSEKQQQFLTQINQQLMFTLETQKSQYYIILIFYIITTGKEYQLECTV KQLMQERNEMHNSLLQTRQQLENAIKQKNSQAEDIIKLQKKIDTIELNKISFDSNSKGDS VEIQKKAPQRLKDGVSTKLLKMIMQGTLQDQKQFQDILNSKQSKQESAQEKQAQQSMSFS CQQQRDEQEFNDLSPVIGTRTIISKEIGECQGKNTEIFEQHKQQPEQISIALDVMIQING RNFKNNLSMTMERPILEEFQESYSPD >CAK70066 pep:novel supercontig:GCA_000165425.1:CT868074:424451:426043:1 gene:GSPATT00007506001 transcript:CAK70066 MGCAAAKPEKKQSKQDSPCSQPKETRQSSVYLMQETEKIEADYIFHALEPIITRRNYIKK AVSKSNNATRIVKNFNCLNLGSEDKYELESAVNHLLRIKHLNLINTLSYYYNDNKLLLVS EFCEGGNLLSRLDHFSSLQQYNMIDVFCQIMAGVQYLHNQGIAHGNIQLESIVFKEKSME NVKLLDFGIPNSVKSKCLQWKPNGGIQEISFKSPEALRTSGLATAKSDIWSCGCLLYFFL TSHMPFQARDVQTLKTAIQRGIVSFEGSEWSHINPEMKLLVSKMLSSNPQTRPTAAEVIN NPIFVNRGRILTKPNKQLSKNMKDFKQQSQMQNAMLNYIAENMLQEQDKKKLMEEFQKFD LNKDGLLTKDELLKVYTTMYTSEQAIQEVDAIFSKIDQNGSGKIDYQEFVLATIDQKKYF NREKLLLLFQQIDRDHSGQLSKLEVKKLLRDMQVPKEKLDQLSKQLDQDGDGQITQEEFL QIMLSIA >CAK70067 pep:novel supercontig:GCA_000165425.1:CT868074:426545:431106:1 gene:GSPATT00007507001 transcript:CAK70067 MFIKQLQSKIQTRSVSNVSGHSNLRYSAMATPQCNTVNVIWDINQIGLELGCHDDPILND ALQLINNHQVNRAIFILNQLVSDNKKYLGQQSQLQLIMKVTLLVVSSAMSILEDDPASAL LLLLGCDQMFKGLTIQQQAYLRVQILNGLGCYYRRVGQLEKALEELECALAMIKKYSLKE VAVTHLNLSVVLSLVDDHEGALENAKKAVTESHKEYQYYIRNHVSLQTFKFQRCVSSLAI SFYNVGVQEQYFQKYQFALQAYASASKVAEDNLGIHNYLTLQLKNIYEQFARQLTMAEGQ KIAITSLNHKFQIRANIKSQYAQSALKNQPQEAVRKVTDRISQSGTKRLDQSLRPQSAMM SHSQHTKLKSFDFTKREGYTTHKQISTTNRTLNQFSEDNTKTEIEKLEKSKLLQHCIQNL ESQAQKEQEKLKTIQEEKQKELQKLQAFRYINEQIRQKKQSKYQNQTVRDLKQSIDQTKQ IYNTDPQIQEILDQSDPQPLVAHQSQIKSSKTEIEENQMKNQIFTNAKNLKQEEQNKRLS IEIKLEQKVVQEETIHSLNRKLEFEQDFSLRNNDLQQNTSYKQIEDLEEINEVNQEYENK QSVENQIQEIIQQNVVLFNEEQQQQSINKIQQFWKLSQKKLNERIQKLESKYQLVTKKQY FRVMDENNNLHNGIIFLGYNQKHNIDILFIDFYVIRKSQRICQNIKKKLEHILILTVSTT FNITKDILEDFEKQLLPFIQINNQSLIIQSLLLKIEIKSMKKSVLMKFDVNQLNLYEQYP KLEESIQNYQVPTTIADIQSFNIDSIVIQKSASLNLESIQQPFQEISLVSEKILQDAKPE KQEKNQNEEQEQPSVDLEIHSNQDQQQSKNGSDDVEKANQTGQFNINFQSSQYLQYLQSQ NDQDNVEEENENQKQRQNQLKESTQNLDFTLQDNESNNSIIGQVNNVDTGNQQLDKTNKN KEKPLVNQTIVEEEEENQYNYNSIEEGKQLKEYYVESQSFYSNSDDNKKDQSKQNQEEQT KQNSQVFPDEKSQINILQVPFQQYQGFSKQTSLQLPDSMKENIDSDKEISSIKKQRYENL GIITGINKINGTPILIHILINKEQQLFQAKTDVEKINVKPTFFKVENIEKTIRKPQKYLK GFLVNWKNQTILKIYTKVHQNAVLKLQRQFKFDHYRRDMKFKLTKTDIEGTLHVGFKKQI IYLAIETKSTYQRNSYTFRKENYGQFVKNISFRIINNLRFSEQKGIYLEQESQLKILVQN QQVKIFKLVKSQNAYEFTGFGLLIGTYDSVHQKIIIASDNQKVKASLVDIPKSINGDQLT QFTLNLLNRSNIIERNNECFVIYYMEKDEDSVKKIQNAIFKYQTIKTLKVCYNKFEKGQI IKREMVLELQRKVKRYYGIKCQQKFYQIGKLKDQEFKNLIFNFQTILGTFLIQKNNEIEI DVNSIIEFLNQDGQFFNLQNTELKDFILQQ >CAK70068 pep:novel supercontig:GCA_000165425.1:CT868074:431145:432317:-1 gene:GSPATT00007508001 transcript:CAK70068 MNLSLSSKSDSMSITLKKVLDEFTLLNETTKEHYAVILIKVMINLITNSKEMTMQGLTHE IKTAGEYLINHAQAGHGRSELVLRSTLTIYQHYISKGLQHSKADSMEALKSSLIEISQEL IDIINRSKDNIKTQCLKFFNNRFKVLVVGYSNVVIYSLIEAFKAGIVMQIYIPECRPKSE GIETYRQLTEIGYPCKLIFDSAIGQVMENIDMVLTGAEAVVENGGIINRVGTYTTAICAK FQKKPFYVLAESFKFTRLFPLSQKDLSDSIRYSQEEPSFSEKLKLPENLDLINPLCDYTP PDLITLLFTDVGVFTPSAVSEELIQIFHT >CAK70069 pep:novel supercontig:GCA_000165425.1:CT868074:433598:433960:-1 gene:GSPATT00007509001 transcript:CAK70069 MKEIKKIKKAKIIMPIKSVAIQSFLSLISYNKEFIALEQRKGSNLATTMPNLKQNSFCLN LSNKQKVLLIGIQHRSVQELFYQQLSRMPKSVSLKPVFVTFQKQSTISYPLTQ >CAK70070 pep:novel supercontig:GCA_000165425.1:CT868074:434506:438527:-1 gene:GSPATT00007510001 transcript:CAK70070 MITQANEIDPLKLETKRKVTKPVSYLQLYRFANQMDYVWIVISIIGAICNGLSMPIYSII FGNLTDSFAYEDAETKLNKAGLNTIYMFVLGICTLLVTLIMYTTFSITSENQTKRLRRQY LKSLLTKQVKWYDEINANSLNTKVNSEILAISDAIGDKVITFGFSIATFLSGFLVGYIKG WKLALVITSALPVLAITIALIGISASWREQFIQKSDIESSSLVEEVLSSIKTVKTLDGEE FESEKFRRVILHSSKSIIKYGLYYGLAFGSMCGVQQFTYALGIFYSGVLIAPDYSGPIYT SGSICTIFISVLMGSLSLGKIGPCLSCFAKGKLAAMEVFQVIDESQEQEVKNNQVSFENL DGDIKLQNIQFSYPSKPDHVVLKNISFVIPQGKKIALVGESGSGKSTIGQLLLKFYEIEN GQILIGADQIPLSQIDTHQFRQQISIVSQEPALFNTTIRENLKLGNQRATDEELITMLNL MNSSELVDHLDTNVGFNGNQFSGGQKQRIALARALLQNPKILILDEATSALDRTNESLIT RIIDEKFNKTTRIVIAHRLSTVQDSDNIIVFNQGEIIGQGTHNELLQNCEHYSYLISKQQ QKEETGFGTLTFLDMETQRKIPGGSLSKIISQENNQFEKSKVQVINTQVDVKQQLDKECN EEVKVEAAENGSELSFWKSIKSLIMLNYKELPYLIIGSIAALGNGSIYPIFSQILADVID NLLIHNPQRVNSIKDENERQQFIIALDDTIKNSATTLLILGFIYFVTSTLECFCFSVYSE RLTIRMKNEIYQKFLRLPISFYDNPNHNIGFLTPKVTNDTRVVQQFFQNIIGFKCQYYSA IIVGFALSFVSSWKLTLLAVGLAPISYIGGCLSESNHLPKRHISNSNKLLMDTLTNIRTV YSLRAETFIVNQYANQLEGPPKQVKKFGAQVGFSSGYAQMKPFFVNGYLFLMGTLLNIYD DLPILAIYQTILAIIFSVVGGAKTIYFQSDNNKTKNSIAYYFSLLETEDEYQREQKFQHP RIKRNILGNIEFKNVIFSYPQRPNIIVLKNLSIKIDAGHNVGLVGCSGCGKSTIFQLLFR LYDVNSGEITVDGVSIYDYDLRFLRQQISIVSQEPQLFNESIAYNIKYNQTNATEEDIIQ KSKLSHAYEFIMDEQSEDQTNSGFNKKVGTKGSLISGGQKQRIAISRALLRNSKIYLFDE STSALDSNVESLVQQQLEECLKEKTSIVIAHRMSTIKNCKIIYVFDKGQIIEQGNYGHLV NLKGHFYKLEQGLLNQNQEEPITSHQVLN >CAK70071 pep:novel supercontig:GCA_000165425.1:CT868074:438907:439894:-1 gene:GSPATT00007511001 transcript:CAK70071 MISIQQGDRLECFKIDNHFIGTLTLLNNYPSHHCGFKIRTNNTQDIQVLPYIGSFKGQNC KITVKCIKFQRNAKLQILVADINDQNFNKTDPKSLTQYFEANSSNPYVEKIKIEIVTIDQ NLLIKQSSIINQSASQFRYYEDQMFVKQEVTYDQNGHLMRIGQVQQQQQQQQLIQESSQQ SSRVLEGDEKQFITQPTFQIQCQTFSKQSSGSQNNIQNGQTQNQQAHSYEPQKQNGFKYE VKEDPQIKMLNQRIISLQNELEKSQIEKKSLEQQKLSLLNNYQEKDSQIFVSIQHVFFSA IFCFLLGYVATS >CAK70072 pep:novel supercontig:GCA_000165425.1:CT868074:440069:441802:-1 gene:GSPATT00007512001 transcript:CAK70072 MIQDSLVLKLIFKDIKLKFSVPDPTQTIEKLKEIILQKLQEQGISLFPNNVQCNDSDDFM LNSSDIIGQLLKQNDTVKLVEKVADNIIQQQQQQQQQQSQDNVTESPQIIQQQDPAEAIV VLYDISGSMSSQFFGDKELSRMGAVNAFFSAFADKTLAFEFNHIVKLVWFGSTLFDKCEF TSDFNKFIKLVDDANPGGSTKCYDAIDYAINKLLEVKQKYPDIVLRILALTDGEDNASAS KPNTLVQRIFDHKIIIDSFVVGDNCVGLKTLTHASNGRCYCPRDLGQGMSLFEIESILST SRREKVEYPTNVVDLDAIKGKPFDTEGMKVVTVDVSNKAVMKREEIIKRAKEAEALDIQQ AANKTAVEGKKAIGGCGNGRERRVLKELNDALTKNYGECKIYPTADDIGLWKILMLGPKN TPFENGIYQLTCVIPQDYPFKPPKISFFTKMHHPNISKASGAVCLDVLKDQWSPALSVFS VLLSIRSLLIDPNPDDALDSNVAAEYKHEKALYTQNVIKEKQLYASPTVEDLLKEVLATV SVDSQEYKDAHKDLSEWIAQNK >CAK70073 pep:novel supercontig:GCA_000165425.1:CT868074:442376:443391:-1 gene:GSPATT00007513001 transcript:CAK70073 MNSKNSIIKKYTSVEDYINHCFEDFKLKNPKLTKRQINIQLQDNWKKEQAKHLSKHPKKE ESIIIHHDESEEIYIPIEAINNETQNKQKKNKKTSKKYKKKSQNLSKSIIVKKHTDSPQQ SFDIHSLEERMSNIQIDIRESSKTKPSKKLRIQQQLNTTNVLIKNNKCKAKCKKQKKVAF KQDEKIQKNLIGRNAPDEKPIPIQDFINSANFIDHISIIKIQKNKTNQGQIEVDKVKSIN SHTYGLVNHYKDTSNLNLQLFFQVEFHPRPDGTYPDDTFCTAKQVALYNKDLVLDYLNKN KSIFTQDSEYYIN >CAK70074 pep:novel supercontig:GCA_000165425.1:CT868074:444241:445703:1 gene:GSPATT00007514001 transcript:CAK70074 MLKSIRRKLQSITEYENENYEITIELLLRGELSKTELEFLMKNFGNLKFFEEQKAKLPLY LYTQIYKNLNYENVKAYESVFNYGDMGSTYYIILKGEVVVLLPKPQIQEDLFNHRLTVDQ MRQRIENEKRKQFSDFNEFLTIAYSDFIKVRTLKAGDTFGELALITKSKRTATIICSVDC HFMTLQKNAFDRILYEHHNSIHKQQLAFFDNIPYLHGIPDQHLTQLMHQMIEIQPKLNST IYKENEESHSVYFIMTGEVELSYQINNRQRIVISLMTKGAIFGENEILKGVKRSQKATCK SNETILMTLSAQQFLSTLENHNLTNDCSKKSELKAERHSKQILLRRKATESLLTCRPPTK TILNLDSEITRFHSTRQISLSNRLSNHPPITSTNCLIPIEIPHYIKLMPQYQRQTHSIFK LSNSNRLKNLLKTERSELTQLKGSSYYSTTSQQSPKHCVNIHVERLRKSRQR >CAK70075 pep:novel supercontig:GCA_000165425.1:CT868074:445731:447387:-1 gene:GSPATT00007515001 transcript:CAK70075 MPKYIDVLTIEQALIKAGNGHSFQRRALIIMGLQYMIAGMLHIAMTELFFHQQQYKCLSE DLNYQNCTEGAFCEQYKTKENKVSLVDHSDLGSLIKYFLMVCGDKIQIFILVTSYYIGGS MGSLYYGEQMEIRQGRYTVMIETLLMMGFVCCISMLSPSAYILSVALFAINFFSRGFFNS SMILFFEISSENLQKFGPALLLTCYGIGEVISPRLIEMFNLNWQYSMLLLFGLPAVLFSV LIKFMQESPRILVIRRKFEEARLAINYIASVNERKMPENWVLEDEVRIQELKDKMKNILL QEEIHEQAKGYNFSSIFRYKSIRIRMFCLLYLYSIVVLGQFQTAKEIERFSRLERHHTYL LLSLVSTTGYLISGYASLNYLRKEVIKAILILGAIFHFLIAALPLLILNKTASDLITFYD KLVYTFIMMVLVMCRLTLSFAYGFINVYALEIFPTSLRHYGFCGLSFLTEFLFIFQDSYV IFCHAFGLNSSIGMFFLLLFGLLTLQKLRETQDLPLKENVDEMQDELINNIYSA >CAK70076 pep:novel supercontig:GCA_000165425.1:CT868074:448108:449887:1 gene:GSPATT00007516001 transcript:CAK70076 MQVQRQEHSKLAIIGNVDSGKSTLVGVLTKGILDDGRGGARERVFNYKHEKENGRTSSVA QEIMGFDENLKQVLPERFNQNKNKYWSLVVEKSRKIVTFLDLCGHEKYLKTTIFGLVAMI PDYSLIIVGANMGVSKMTREHLGVSLFLKIPFAIVLTKIDIAPQNVYNETIENIKGLIRS PAIKRTAVVFDEKTGLDEIDKWAALMHGNNVVPMFQVSSVTGIGLQQLARFISRVPNRDE INKAYQTVNDPFQFDIQENFNVPGVGVVVSGIVRSGKAGLNQHALLGPDKGKTFKPVTIK SIHINRVPVESAQVGEFACFALKPSKAGDKLDRADFRKGMILIDPAVKPEPVLEFEANIH VLHHPTTMSHGYQAVMHCGVIRQAVEMKKIFQHEVLRTGDVDTVRLRFLYAAEYLKTEQI LVIREGRTKIFGYISKLITDKQLEDEKKVQGIPQQQQQQQQIQQV >CAK70077 pep:novel supercontig:GCA_000165425.1:CT868074:449891:450994:1 gene:GSPATT00007517001 transcript:CAK70077 MIKIITPQDRRYFAQPKQDQVVMRCDPLAIVLFKEFRANHCNYCLQGSQTNRCSICKQYY YCSVSCQKNDWKQHKNECQLLSKITKEMPCNILILIRLFQNNIDIQNFYGDVDKDIDQET YQQVFDCAAYIVKIAQLENETFAKLLSIQVKIHLNTFTVTDINGDTLGIAIYTPANFLNH KCIKTSANQKNVANCSHFFNQRQLIITTNNSFVQNENDPQELCISYGNIVNFKDRQKFLK AQYGFICDCDRCIQEQTNSEEESDLLNIKQQEYLTLLNQQKFKKAFTLLEEIIALMPKYY DNDRHPYLGWKMNEASKLGFHLNYLLKAENYSIQAIKLLEPFYSENEQYKELLGRLKDIK AEIKMQK >CAK70078 pep:novel supercontig:GCA_000165425.1:CT868074:451100:452407:1 gene:GSPATT00007518001 transcript:CAK70078 MREIIHIGLGNCGVRIGCKFYEQLIQDYQIENNRTCEKPAEGIEVHFSEIGDKKFVPRSI LCSRDVEQILANPQSLIFNPNNYQLELDESLRKEMEICDNCQGIQITHHLSTDISMLQDL LQHYDKVIQTFTIFPNQEYGHDIVKSAQSLRFLEGLNVVAFDNSGLNEYYARQYKSTLTF NDYNALVATVMNSVTSPMRQSNTYNLEKMYSVQSQMNVEVCKLVQDKQSQINLQQMGDTK LLIETNQNVNEKIIQATLLARTNQLTPNQLHQQLQSTAKSFYNNIINLNYQISPYKQEIL VSLYKSSHIKFKLQQLKNNTQLLQTRKAFNEVYQWDENQGNLEYLNKLVSLCDQIVNTHQ EQQQQQQQQSSVQASSPISVSENKMDRQRDYIKSSEQVEASPKKQITVSQSIALGQPNAI QQQNVVGQQVISRNG >CAK70079 pep:novel supercontig:GCA_000165425.1:CT868074:452451:453196:1 gene:GSPATT00007519001 transcript:CAK70079 MSQTIRRTKFRIKDVIDSNLNNQEQSAQKAIQSTYIKQNVDDFNSLKQTLEDRKLTFIKF AFQQQELIEDRNLGPVLTGLSRHQNIGSMSVSELIPKSQIHTYLLQAQQDRPKRVLKRFA HWEWDKDQGRDKNPMSYQFTQRNEAEIKSRLKKKDGEISLRKRILQKWQKHLPSLTGLNE FGKQFTMDHSSLKKPFSLSPSPKQESYRQITNWLQELEELKLDNEKVKKKLERTLQSLHR T >CAK70080 pep:novel supercontig:GCA_000165425.1:CT868074:453196:454305:-1 gene:GSPATT00007520001 transcript:CAK70080 MKLILIALLGLTLTQAVFVKRSNDPSKAVFTQLEAMEEHELGRKLLDTIALQYNNKAPLG DIAKMLQQLRENLILNQQEADQKHAQDEVDCETEIYQYNRRIDFASNEITESTQEIQTLS AKVEQLTQDVENKQVQLDILNEQETQIREQRAEDAESFIRFENETENVIEAVEVIIQKLS SIQPDQEVLAALTQLNKIGASNPILALMQVASTFSAEQLNNVLGKLGEVSNQLAEALEDA RQTEIQAQLDFEALVVEIASQRESLSAAREDSERQLQDNQQALDLQKKRKEDATDELNAA SSGKEQKEAECDSWRTQYAEDSEHRQLEISIIRQVEEILATKLSNVKVYLQERSSA >CAK70081 pep:novel supercontig:GCA_000165425.1:CT868074:454855:455512:-1 gene:GSPATT00007521001 transcript:CAK70081 MDEFFDDPAGTIETYVEPEIAAQPLMFNDAARQPFEIPIAPNAGVTLVSAEQQKRREKLR ELEEERIKLVREKDQEERIQKQQRKEKGQQYLLQFQTELKKDIEARKTANQQAQELRSQN KSEYKNSWDKIASNIALKDGEYPGEKDVAKMRQAILNKRKDLTK >CAK70082 pep:novel supercontig:GCA_000165425.1:CT868074:455592:456751:-1 gene:GSPATT00007522001 transcript:CAK70082 MNWLIILGLIYLCQAEHFLYESETGVSIQNIINKDVDINIKFKKQFSRTPKIAYSISLLD MSGSSFMSEILNVNQQGFTIRVKGDHIDQISYNWMATDNPLVHIEYVKSQESEIQLPLFN YDSDTTPLVNSYLVGATFQDKKQFNQEIVELNKDIVKIAASGAKEFKLCLLISNFENSFK TDDLGIIVDSDDHTWFSTSKDAQKILVSSYEIPNDIVDFVQLMGISGFTSLSDHIRIEVN EEQENDDNQIKVEFGTWDESTIKSLSFYGFYIGNQEVKYYDQNCAYLYSDCDYQGQEVKI CDNKAKLDYNGQIKSIKLPKDAVFTLYGKEEFEGKRFRIESSKSCMDALYLGK >CAK70083 pep:novel supercontig:GCA_000165425.1:CT868074:456796:458925:-1 gene:GSPATT00007523001 transcript:CAK70083 MKTKKLNCFDVELSDNALFDCEQLIKSKSKSKSNPISDLTPLEAQYQEIKKDYQQDLVLL QRGSFFLAYSEDAHFIRRYIDLQWKIPNYMIGLNEASVIEHVHTLIMQTDRKIVFCEQSL FQMTQNQLRDRFITGIYSKGLLGFGILGENLQNIETNYLVSLYKNEAIVLDTISKVAYKT SDENLNFIKITEIITNEKIKTLAIKNAAKGDSAFEQLEIFFKERFINGISQITFIDNSPL FSKFCLISDNAIKQLFFKPLIQPVTKEGQRKLQMTLRKIPIDGIMDRQLAQQDMRNHQVF RDSFLAEIKRVGSLQHQMARVFNAPKDSSILKQFLNNVKYILVQLKQKIYINKQNYQSEQ LRNLQELNDNILQKISQEFVWEGENLDVLVPQNQERTQYNQILESLEKVEDEANDEYLRI KSQLYENDPKITYQAFEIEMPEEYKTPQQLLFSNKRKGYRKYTTQFLQKKQQQHIKLLDQ LKIFIQLFYQQVIENILKHKEYFQEIINQISDLDVLIAMSKYLENDQHKCLPTLSTQNQL VLRDFRHPLIQNCVPNNLTIEKCIVLTGYNGAGKSTILRSVGLLVILAMLGCYVPCQQME FKTFNEIHCRMGAYDTIRESTFYVEMQEVRRMIDTQNSLLLIDELGRGTSSIDGHSLAYS ILKYLLKQNSTILFTTHFDITLDQVVYYMEDYQLQKGQVIKDLTSKILI >CAK70084 pep:novel supercontig:GCA_000165425.1:CT868074:459128:459304:1 gene:GSPATT00007524001 transcript:CAK70084 MYSEEKVVTLSNMEVKWLNLLKQISNDDLDMLRRQFEKQKQSLKDIEEKNEQQTIEEK >CAK70085 pep:novel supercontig:GCA_000165425.1:CT868074:459732:460248:-1 gene:GSPATT00007525001 transcript:CAK70085 MNTPDWLKEKLNWKSKSKNKRIPLKMLNHGSNSTPWNQKTVKRDLLDNKHGMQSNQKSMK TQTAERAAQNEIVDRLQEHISEKLSTTAQFISKRN >CAK70086 pep:novel supercontig:GCA_000165425.1:CT868074:460248:460392:-1 gene:GSPATT00007526001 transcript:CAK70086 MKQFTRDSPTTHHTANSYNQSLLLLPNQPLTESTKRL >CAK70087 pep:novel supercontig:GCA_000165425.1:CT868074:460392:460630:-1 gene:GSPATT00007527001 transcript:CAK70087 MTQSKLWLFLKKTSELQFQTLQQMNKLMLEKKPQETNNTKPSLPRLLPSMMLLKLLMMLP NSSNI >CAK70088 pep:novel supercontig:GCA_000165425.1:CT868074:460630:460983:-1 gene:GSPATT00007528001 transcript:CAK70088 MVLLLDYNKIKMTMITLLKLIQPQTTESSRIWKRKSCITKIKSHPTLN >CAK70089 pep:novel supercontig:GCA_000165425.1:CT868074:461502:462199:1 gene:GSPATT00007529001 transcript:CAK70089 MNLYYIVFIRNSQTDFQYERQKVRLLVVYEVVLIYQLKSCKYSKNNLIFLMSAPFYTVKD VPAQDFIRGYAEYLKKNNKIKIPEWASIVKTGLGKETSPIDQDWMYVRAAALARKIYVRG HWGVGNLTHMFGSVNDNGKHESGSGKVIRYLLQQLETIKVLKKDNKSLLKKGSRIVTKEG QQDLNRIATQVALAARK >CAK70090 pep:novel supercontig:GCA_000165425.1:CT868074:462456:463223:-1 gene:GSPATT00007530001 transcript:CAK70090 MFAQSFASLESSKVNKPKKSQLGMLSTAISKIGESSYTTKTSQSTNMSPVRMRIFRDSSP KQSKGLQGVVVGEYHPLTPSQIVFSSIHKNSSHIQHNTNYIESPQKTKVRLDRFKNWDSK LGLELQIQNTKFTRFNNELSHSSFQPHHLSSKSSVFLGNNQGRLGFGGNQSDIRLLSAKL NAIPPTQIGAFTVGHNHELADLQQSLTRILKSSHQY >CAK70091 pep:novel supercontig:GCA_000165425.1:CT868074:463645:464270:-1 gene:GSPATT00007531001 transcript:CAK70091 MPPKLSEEPRKTLSSMLSSNCSKTKSDPQPHKPALEENDFHNTNIFNLKNESQIYCYSLV IQKTPHHIYLCFQFGTINYFGINVTLSILQQNHLTIYFEIINASIIHFSLNPIQYYVTVQ QQRFKYLIIIALVKDQNISPTLKNLVSYSSIVNMTCDQKLKFNSKCQKNEQQMCIFLNTQ FIVKLKTTFKSIKNLNRQF >CAK70092 pep:novel supercontig:GCA_000165425.1:CT868074:464270:465266:-1 gene:GSPATT00007532001 transcript:CAK70092 MKTFVVICLVAAVFALDTNKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVAALNKQQWERLGAVRTDVEAQVRDGYQWLAWAEARLAEIVRRN NQLQDQRCWANGLFVKSLADHADAIGVVTLLTQDVAGFLTNNAGVELVEKASTIADKLSA YSHLFQQDALQKFQSLAEVKRDGTTGEQVLQILQDLQVELESTLATLIRIRNPCLAFASC QIRFRYQCRSCLVDFRIRKKNRSLLRNQKLNSQPFLPNKPRPLNSGKIP >CAK70093 pep:novel supercontig:GCA_000165425.1:CT868074:465971:467578:1 gene:GSPATT00007533001 transcript:CAK70093 MIMCTLCEDYLINDIVILIQCGHLYHIDCLNRHNEQNYTKICLNCQNGTDSIQIQFLIHQ PDYIAEQQLNQLKIKSKSFLSKIEQEIELLIKNYKFLLLDYYIIVSDLQQLTSNKNRQIN YQILSSKNKADRIIDDQDLFLSFQQQSNWNKKEQDYYQSMQDKYNKIYLKKIDYQAISNY IDNIENQNDIVIYFKDICLIQNQDENSYDKNLRCFLFQIFQIQNKVKNVEEKLTHIQNII KQQTCIHKLCTLCSDKQTTQIAIRMSCGHIYHIKCLEMAIKQTYFCLNCLNHFHTSIVQF QVQDSEQIKIIQNKESFKWTLKDLEIRKIQLQLEISKHEKIDRDYAKVIENLCEKIKELM KTSKDSKQVNEIPQNQQDLNIDIIMQNNPNFDHISNEIMCLNSLFEDENRVPQTYDNVFY FVDICYPQNHCLNALDQNLQNLITKRKKLNERWELLEEQMQMTLDRLVDRYKWKQEQQNK RLGIRRKPPQTETKSLNERMNNFLGFPNNMKQYFNKFQQKQSDSSDEYSQTDNFF >CAK70094 pep:novel supercontig:GCA_000165425.1:CT868074:468121:468740:1 gene:GSPATT00007534001 transcript:CAK70094 MEYPDGYLLRKNVTKQALFSWDPKRTSTKLKLCQDQLQVLATDGSGFKSAIGDQEFTPGY KYYYEIKILKEWAVLRCKLNAPPKQAFSDNEEGWAIYNGELRHNSNSTGNKYGKQYKKGD IIGVMLNMIDGQLSFSINGENQGIAFECKELKEVPLYAAIAPIYKEDGIELLMAVRED >CAK70095 pep:novel supercontig:GCA_000165425.1:CT868074:469576:470305:1 gene:GSPATT00007535001 transcript:CAK70095 MACKLIQQINVSKSKSIIQIKRYMITQSRTARQSYIQEEKARQIDRENQLLFQKILGITM KQRNSSTTPTKTTLNYKTRKDFQQKIMDENQKLFTRIKQQTSYYKIQKSQTKSLSKQRAN SSYLPSKKLQELYQSKLEQGGLTYKIRVIIDGQLFKIMATTEEQSYTRVLEMMKSDGILL LKNVFHNDFQKLIESLQISDKITIKGIQLIETHGKFG >CAK70096 pep:novel supercontig:GCA_000165425.1:CT868074:470324:470782:-1 gene:GSPATT00007536001 transcript:CAK70096 MFKWFKRDKPQLSTNLKKPYNQLSKEQAQEILASFSYRTMADLIYKDDSHPDLNVEYEQN KKIQLKTECFMDEQGNLHFHQDEQLSWVDEDSHNEDDDSHNEEDELEKQIYEQMYEEMQG ELHERGEREYFRPITGPENNDDEWETDSDEQN >CAK70097 pep:novel supercontig:GCA_000165425.1:CT868074:470825:472318:1 gene:GSPATT00007537001 transcript:CAK70097 MQGCNRSQKIAICTVGLPARGKTYISRKLNRYFNWLGYKSRVFINGNYRREICGTECNSQ FFDPHNETAQKARSDCAKMALQDLIQFLKNGGDVGILDGTNITRERRLQIESDLKQSFNG IQTLWIESICNDSKVIQNNIRLTKINNPDYCNVNSDEATIDFLNRIKMYEQIYETITDDE NLSYIKTINVGADIIVHQVYGFLLSKIVSFIMNLHTYPRPIYLSRHGESQYNVDDRVGGD SDLSELGEMYADKLADFFFKEFPTKETKNEIIFFTSTMRRAIHTSDVVASKLDIQPLQLK TLDEINVGICDGMTYTEIAQKFPSDFQERKINKLGYRYPRGESYLDLISRIEPVIFEIER SRQPVMIIAHQAILRCLYAYFHQNEIPEVPTLDIPLHCVIKLTPAAYFCDEKRVLINPQT GEISIKEEYVQEFVRSKSKQKSFIDL >CAK70098 pep:novel supercontig:GCA_000165425.1:CT868074:472382:472790:1 gene:GSPATT00007538001 transcript:CAK70098 MPNSTMSTGGILSELNSEIEKLVGELEEELAGVHHEYNRRTDVHNREVARLEQEIQDKER ELFQRSRFL >CAK70099 pep:novel supercontig:GCA_000165425.1:CT868074:472793:473692:1 gene:GSPATT00007539001 transcript:CAK70099 MSSSHKEIDLLPNLNNYKKTLAQNRRTLQESTVQRENDHETFEAQVVEHNDAIGAIDECL QLLSTIATPSLAQIKKVQKNLTKIQNSLKKHNQFQIFVKVLLEITVESNFADQGALRDII VAFNNLRVELVDSLNQITADEAQAVTDFNAQVVQLNQEHAEFQRAVVVKNAEIEANATKI EQTLDLIDELDADLATLNGQLQAENDDFAFATDVYNSTVAEYNKEINAANQALELLTLTK ILRLCQIITQRSMIYSIISFKSYHPFQQIFIFSILLDLHNLK >CAK70100 pep:novel supercontig:GCA_000165425.1:CT868074:473758:474556:-1 gene:GSPATT00007540001 transcript:CAK70100 MDRKQTIQFIHKFLKILIQSYLDLGPEVARYLLFSDQNQQIQCQLLNSLELHHFYNFLSL LLVYTVPIFISNKKCCLLQTIFQQGYRNSNSRCTLNLEIQSKGNQCLYSLKILFSGSKVK MLIFTGFIQSKRSSLFRNDKLVDLAYQNDHNQIAAILEYQQLWNIFGFSWTSHIELYKLL NCSIISLTGINDPNCTLLNCNSPDMINQVYKKQCIKLIAKQRRFLIAKEFDQSTYFKHLS QFGTMLNQSSDQKIRVL >CAK70101 pep:novel supercontig:GCA_000165425.1:CT868074:474858:476794:1 gene:GSPATT00007541001 transcript:CAK70101 MDTEEECFSGDEIDQFNCPKHSLIYTNYCTTTQQLLCQECMIKCSLKVQNIISIEKALNN ISQQNKQQLQKVQKIIKNFNTLDDQIQKENIQINKMSQLQIQSLSSICTQIVEIVQLKFQ QLIEQVEVHKIKHQQTLQDSKCIIDEYRGRGTQLEKNIVNQQNTSGFEQLKKFREYYTIN CKTIKELENEYDNLSQAPKIQYQQLQIRNANDIVKQISNLLCILERDSDYRIKRVSSKLF QQPNTTRASPFSKKGFTQHSQPHFSLQSSKHQIRKTEVKQITLDIPTDISSILDKASPEQ SIINDFFSNKQSLSEHSISKMEIPIQNQTQFYIIGGLLKGQRQNLIELFDIQSKQSSQHD FLVSNRYGFQIAYHGNQILLIGGKQEGIRTALCESYDPNLKQSKISQLILTKGVSGLASK QIGNKLYISGGKTDSGIQDIFQLINLDTYQTKNLQSVPIKCYNHTLVQGNEPNTLYLFDE QGCFKYDITTNQWKKIKSPNQPRRNHVSLTLPDGIWIFGGRSGKKYIKQIEKYDETDEQF YQMGELVCPTIKFDAIVSDDYQFVYLVGGKTQENIPSSNIWKYSILTNQCLLHSQFTKPR YSHKLIPKKG >CAK70102 pep:novel supercontig:GCA_000165425.1:CT868074:477170:478047:1 gene:GSPATT00007542001 transcript:CAK70102 MSKPSHSPNELIEFENHNRNSSFGKKLLSTVPNVPAFGFGTSSRHQAQQVYRENRLMEKG KHSPGPIYNPTKNFDQQAARAPSAGMGTSTRQSLNSNHYDHYKRKDVDFEPQKAEHLRKW SAGTVRIGQEQRFLQKELTKTPGPNYDVNQRIQGPKYTMGNRRAHSVSFSTPSKVGPGTY KPKKDFSTELQEQPKYSFTKAPKIPQQKNIDKNQTYYVQDSIGAQVASTKPNKPSFSFGK GIREAKLAMYKNDFVKIGVNIKIPHPKF >CAK70103 pep:novel supercontig:GCA_000165425.1:CT868074:478060:479480:-1 gene:GSPATT00007543001 transcript:CAK70103 MNPKEGLSVSLQKFHRKSAQSTSDDSQMALPELKGRSLKSATPKLHNNKPLQTPLRYYIN ESMQQRKRSENHSDYTYIETYLEALQRYAQEEKKKKQIQTLQINSFPILPGQVMRDRIKK YAEIQQREVTKYFVRKEQQPKKPVSTALSIMSQKSIVSQGSKTDVLSISIPVSSKIKHKV SSKKWAIFKRNKGETIFKKIYAYQDQQKSEVASITKVMTCYTTLKFLEEKRIDMESIRIK IPGHAECIDGTSAFLNAGGIMNVRQLLFAMMLPSGNDAALVLSYAIAFLKTCDSIQRYCN GHYIDCELEIEKNKFQLRTQFLQMMNNHAENLKMDKTNYNSVHGLNDTLNVSCALDIFKL VEECIKIKEFMEIVNTRCFKTYAVTEQGNQGTYYKWKNTNKLLKKEGWEGIKTGITSNAG PCFSGYYKTDDFDAIVIVLQSSNMLQRFKDAEILIKLL >CAK70104 pep:novel supercontig:GCA_000165425.1:CT868074:480826:485529:1 gene:GSPATT00007544001 transcript:CAK70104 MFKLFEPYYKGLIFLLSQFLQLEFTQPQIFQLVLIILNQLQPIAFKFYPIYNSGKQFEPT LQSLLISSRPYLFLLSEQSNTIVVCFCFAFQQMVITFTLYLILKSRNKQFHEIRVSNLIL QYICLYYYMVSSTFYCWLLEICMLRVTQLYGIVIGTIMIIEIGAIIIISDIVYQSGLILR VSTQLPLNNNTANYFIKPLRIFQIIIFFFFTHYKLSMLFQNILNIIIQSLHIYQILELKI YAKEKCALTSLVFASIGIAISMESLIMQTRISYNLWLLVSLIMIYLLQYIYNRQLLTLQT LDVRKLKYLYSYVESLDGNVQQNILKMTLIVFEHQQNCLKNQCICKKDMNIKQKIFQLIE MKFELFLEQRTKNIQQLILDLAQILFRRRQFVKAQQVIFKVFQSKDYYQNKYNSFNISLT TLCLLDFLKYQIQNDVLIQMMERMILTNPNNKLIADSLKQFSINENSDQYIQMKLKKTIL DKSQFYYNFIEHSNKLQGDINYPIKIIRQLQQFRNELTERYQQFPTSSNQNVLKFYLLEV LNNYIEAFDLNKNKALNDEKYQMFHDSVYNKLFGQNPSYIVAEINKDLDIVIMRHSKKAM NKFQSLYGSKKKNILDISVNDIIPEYVYKQHRTLIEQFFDEGTNKYYQQQNLSFVKVCDK IMLPIEMMVGLDQSSSQAFSFLVFFYEQMDYRSYLVVNQSFDIINCSENISNQILGNSQY SPGFTFTKALGTIFNIIPDFDSMIQSNEKNFFNQNIRLLSSNAKSTSQRYLDYICNIIIE RKFKNENMCFMITLDNIRTNNNNRNCSDSRLETSIFQRQQTQDQLLIQKLERMKEDIEED IDKVFPYQDIFDISLIKKANPLNQSETHHVDSKIYMTDLLVDQEQTNPVQQQFQANNNNK LFSPKESMYQEFINKKNFTFQNEIRDFASGSSVTMIKKSKFYKKFEIIYSLCKSLKSSSK LMKANYNFVLFILLIIVYIIINLSLISNIRSFISDIKLLSVRYDVTGPLESFSVSRFTQI NYREMLSRKVINSSYATQLQIFPKKNLMQSFDKMKKSMGNVLLRSEIDQISNITYMPIML YVNTNNTGQEYNVSKRSVIIMTLNYQYEFKLRLDGLGGGFDNPYYYFTYKDYQIVKSMFD NLNVVILDITLERSNQEKQKWMFGYFPFLALNFLITSIIILQVVRHNNERNAIMIYISSI DLNVIEQEKFRLNYLSQIVVQDMDIVKGFSIDIDQVDKQLEKSNIKQKTFFSKKSNSKLI NNKPILGLTLSIGHFLLFAAFSIYLFLTFRTYFIKYANTAVFYQQISDLGVDIPAIYAQK EILFRVSFRYPFLNSTDVDQTYAVIFNAMNSVKKYQQQNLDLRNKDYLFDEYLLDFFTTI NTGNLCEFQSDELKQETQSFCSQVMQGNLVQGFSQTLIYILNSVGVQQSDTLNFTVQPAS TLLELEGSTILTDIIELMINQFYNNIVNSTQNLILDQELFCIFYLVFEILLAVFYFAKVD KHNHQQFLYLKQSVYLFPRHTLIFDDSFYRNFRSIVNEENLLD >CAK70105 pep:novel supercontig:GCA_000165425.1:CT868074:485623:486741:1 gene:GSPATT00007545001 transcript:CAK70105 MLNGKINVQMNHNYFVDLITKANQTVRSLNSKFQKKQMRDKMDKEYFNVLNGKINFQIND KEYIDLIATKANYTVRPLTLRLRMQQMKAKMDEEINISKLKHENIQQQQNLDDYYKNIFF LHKKRKNKFINKTMVNQGETRSPLTQRNFTQSSPQRQTQQFNKTMLPSSPLIQSNKIKVQ QYQDYLKLNHQISQHQGQLNVQILNLLEQKVQAISQISHIAKSLKQSCTKSGVDSYEQAL KTIQVLKQNINQQVIKECNSIYEEKQNHKLNILEKTYTYFLRVMDVIQQMDDQIYQYMLN YKSKNPVHDHNSILEDINQMRDDAYNEKVRTFSKYHDIDQEKKRDRQKLNKVKAYF >CAK70106 pep:novel supercontig:GCA_000165425.1:CT868074:487358:487966:1 gene:GSPATT00007546001 transcript:CAK70106 MQIQVPKDVVKLQYDFQNLLNKYHGLEQLYMKQSNEILQLKKQNENLRCNVENYECQKCK KYQNQIEEIREEAKQKISTINSLENQLKEKQIKKDEIQAQAQIELQNKVIYFESLNKEVL ERLDRVTKDNQLFDILLRERDQRIESLSEVIQQQELQIQQDAENDNAWKEKFIKINKDYH KLLLEYNSTKADLEALYVSQRR >CAK70107 pep:novel supercontig:GCA_000165425.1:CT868074:488380:489910:1 gene:GSPATT00007547001 transcript:CAK70107 MTNMMEVSNFDESQQPTLKIITKFSQYDEYFSIQDPIRIIQGSDSQYPFVVKFNEKKLLD IDDLYNQSLPPLTGQVVLLITQNPPLELIHFIETQASQFIIYLQTPNLEIQEYISNSSTT KQHSKPEIIIGKFSFKKSLSLIDQYIFMPDYSHEGKQQVVECISLLLRLIPHEIDKFTFL IKLQGNPIQDIFSMGSRMNEAFIQRYESQFWINKILRQCQNRNGIVLKQRDQKKTGFEKS QYMMLSNSINSNKGFNLTVENTEFIINLTKYQQGSGIIHVFQCKIFQKGVEGRTNNHYDQ AKLFCSLIFLIKELKGFSQYDQEDFINLMKITQQSSFLSCCFCINLMKQEDLKELSQIKM HYKRYLEILICCGIITNEQDSYVLQSIFNKCLNCPIYDVSIPKSEHLGSGDNFSQHIIQA EEAGEKIYSVDYDYALSQKSYQIVLGLQQDLNYSELQIEPQKRFPYQATTSIQNSFIE >CAK70108 pep:novel supercontig:GCA_000165425.1:CT868074:489950:490468:1 gene:GSPATT00007548001 transcript:CAK70108 MKGQADQKKEATKTVKKVKTTNKSAPVRLWVKAAFTGFRRSKVQQNENQALLKIQHVEDV ASSRFYWGKRVAYIYKAHSLKNNTKFRTIWGRISRSHGSNGVVIARFGRNLPPRAIGSTL RVFLYPNRA >CAK70109 pep:novel supercontig:GCA_000165425.1:CT868074:490580:491558:1 gene:GSPATT00007549001 transcript:CAK70109 MNIFLLFFYGAIPHVQSNDTLINVQAIWRHGARDFYYCNWGCDKNNIPDGDAQILTPAGM RQQYVLGKWLRQRYIIDTQLLSPQFNENEIYIESTDYNRTIMSAYSNLQGMYPEGSELPN VTEDKLLPPNSGSKSPSIGNYALPNKIQLIPIHTKEEEQDYALSIYCQTTGSTVKQNKQT ELYQAINSANQTQELFQKFNAELGLVGEQQVNDIIELAKWRDTFTCNRYNGDQLPPKLTA KTLFFMDEIALLSFSLRQFQNWEQSKLYSTPYLKQVIENFDNFINGKSKVRYRGSSSHDS TMLGIISWFKFDKRLMLS >CAK70110 pep:novel supercontig:GCA_000165425.1:CT868074:492111:492701:-1 gene:GSPATT00007550001 transcript:CAK70110 MIKNQGAVPEGIEQKFNNKIKLLQSQQTDPFIVVNGNVQNIDYNDDIQREKAFYEFALNN LNKFQKGNQNIQFTRIDQEFLFKKIGEVVVNPIVKSAVHIKNVEEKELNQQGKKIQTLLT AKKSNQQKHEKIIEEIRKAMKKKPVDEIDKDEIQEIEQKSKPINNLKKNIKKKKTKRLGK LARLHKRLKQNSRKQK >CAK70111 pep:novel supercontig:GCA_000165425.1:CT868074:492713:493451:-1 gene:GSPATT00007551001 transcript:CAK70111 MAATLESIKKEITAQQEAQNGELEDLDIEGIAIGQFDSQSANLIQQHQNLVSLSLVECQL KNLEGFPKLNNLENLILEANQLEGSAITYISKNFKKLACLSLADNNIKTFEEVEPLKQLK QLQQLDLADNPITQLPGYFNKIFELLPSLSVLDNKDKEGQEIQFSSDEEEGDEYDELGAK DGDGDEDDDDQFDEDEDDDDDESDVKPVKKTKK >CAK70112 pep:novel supercontig:GCA_000165425.1:CT868074:493966:495064:-1 gene:GSPATT00007552001 transcript:CAK70112 MSQISKYQLLGKVGSGVYGDVYKAINTSTGKIVAIKKIKKQSQDQGISQVALRETSILQT VQCENIVKLIEIEYVQECIRLVMEFYDVDLDTYLKSNVLDMQKIQEILHGILKGMNECHK KKCMHRDLKPQNILISKDGKVKIGDFGMARSFQQLPGSYTYEVITLWYRPPELLLKPSCY TTAIDVWSIGCIFAQMVLNAPLFAGDSEIQQMKLICDSISSKQDDVQVLSNSQFQEELER KLDQQFQNTQLTQDGLDLLKKLLQLNPVNRISCQEALRHPFFKNTLEPDVVDENMGLLSE YFKVQQ >CAK70113 pep:novel supercontig:GCA_000165425.1:CT868074:495522:503979:-1 gene:GSPATT00007553001 transcript:CAK70113 MYNSGSSKGEIEIPTPIGKSNSQLPSESDNSDLQRDSNSKNEKEDSPEKPISEFAIKPKK YRKIIQALDIIGMLGLLIYCILIQSYYSTIYLICLLLILNYSYISSYKGVQVKDGRLYPL AEFAAQQEQFHNTNQNQEQSENNFITQYWLVLNDVKKFFWYVFVIVSAISLVVKLTLYPI AKNGGYAMMSIENFRGLEVYFTLINGKITVSSSEQGLAFAPNCIMLALAIVILIFRNVEL KQEFYELSDSPILTKFFILIMLLSLIILTCCTKSLIGLIMWGFQLVLLLRMYNNHLVDKQ YFIILKIVIMVILVVMYFISTPIQKVILQNSRAASFTLQFTGFQLFGYFSNYESQAILFI IFSIIVINMLCLVSEKIKTQNIKNQFNYTVTEISQQYKDFKQFQEFIKIQMGNISVDFND SPQGRRKTGNLNQQEEDSKDIDSEESKEISDEDIEEQEQRESKESPKIDRIFQNQYESSA TYFHKIEKAWYEQLETIKTTIDKPLISLIILQILSLSIVILQQTYFSILLVCWLILTGFT LNFKIIRLATIFCNIPSLLINFFTFYYSNITGLDRPDNFYQFCPSSQYKGVDDLPTTVCQ KLGFFYQESSVVNSILMNCTLCLFFLFIKQIEEQEEREKQIQTQPNEIEGKTKWFNIFLA FYFRIGFFMVIILLYWVGFNEINIIQFIMIFLLIIFIVNQSNKCEYKGKKVPFQQKYWII LLVYMSVLTFVKYVYTLFGVYSNYFVVQVLGLNMLNHQLVLNWLLFYLTIIQYYGYNTTL YDQYSNRLIATISQVESVKETAPNFRYHFGILETLYGHSLIWTAYVINICFLLFTPYSYL NNVLLLYIGIVFLYHVKSINSQINYIKLRLMWYIYMQLLLLAFSYRYLFSFFCVPDLKDK SEGFQTFYENLQLYYQEVGLYAYSTDNLRMEYLADTLNILFGAFALNQLSKFKKLQMKQQ NQNLNESNTQNVLDLRILDQQKWLKSILIGIAHINTDIITIYIQHSYCCLCIQVIFIVFT IQYQMFSMSNKAQQNYKNVKRYIDLEIYLLKQLYLEFASDISHIDEPKNIKRLNDQFKLL RLTTRIKLKRKIWLYSFYFTAFCILLSYFSQFLSTESFTVIVDPPLWVDYTVLSFFIFGT YSRQYIITNQCYCGYESNNNDCNENNYVQTESNMWQVSWFYLFLLFVNVIDTACTKILED DLTKNIENLQETQEMNKIEESRIQNQSYEESKEIFDQKYDFVVFVKSHSEYNSFDREVLI LNYFKNKSRFVKILLHESLFKIFQRFLVLLYLSNTLLVNTIFSLVYLIISVILFQMSATV KTTKMLNSVAIFSILFQYFLYLLNWTPSINDVPKLNYFDLTPDDFNVMAKTDLSNYWLAF LGFESTEIMDCDQLKQSAFQDMKCETNELLNIVIVFNCLIVSFIYLYFIMLEWFCNQIYK QSIKMKNEFIVCKKVLSNHSDSQIIITYNLWRQKNYSFRHVLIQTMTMNYHLILFGFVLW LCEMNRSIFNLLQLLIVIGFLYAAEFHFRWPYAFSQRIKIKAFYQAIFTLSAIIIALFTL LKIPTFLEQCVNAPTVRLDVGNGLEFYCMEAFHLKIDGQIFLIFFISLYFDLQLSNHVKS TQDNYQSKLKLRSRMVSRGLAYNYNYQQYKKILKLQEEKNLLNQITDTIERKMIIWKKRL REIQIQSIQKEKSINVQQYPQLTASFMTDPEVQIPQEQSFFRKIYIKMIIWMRNDNQQIK FMPFHILMDYILQQNKRLQRHVFIKLENHLMNDTTSYEDEMRSLEKIYRRFYQEICKNKD KLNDAALDKVIQKAMNKYKKLYKPYKGQQYNKYVNQYETNYNLSKELRFIHIIDEKERIV LEQTKQNYPINKLIQMKTMHLFSLFLQDIFKYCLIKWDTITNIIIICYYFKNYALLGMVL PIVMFVWGLIDANTKIFWVISYSLYFFIIVMIFVDSMYGVSYSKPTEPIQYIPWYYVLDN SNTLGLFYEIFAVWLITFQVFLQKSFGIFDYPFTQIENIFQGYIRLKLNNFNKDMVEEIV QESEIQRSRSKSEIGRVDNPEIERIELENQLQGKLQLENSGLEKEPESVMLKIGNDLERK IAANPEILGDKYKPGFFEKIMSYEYARPGIDLYLSIASIQVILFLYMLFFFNFMTGESQN IQGYLKYNQVPAQLVLALVFQMLFMMVERYIELRGDQKYIKNQEKAVYKNKYKTQFVQEY VLQVVILTLVFCFVYCYLLQLWNRNIDNYKEYDTSIVIYFLLFCAYFYLSALQLRYGYRE LKIRNQFLYMYNSLSYYFALTFYSIPFLYDLKVLMDWTCLYTSLDIYQWFTLEDIHRQLY FTKINSQKIMKRQLGLEISKISKIIFFFFVIFILICIFGPLILFSALNPAAQDNPIVSGT FTINLVNVDTNLNVELYQSSQLFGLDLPIIRDRSEIKALTKQDITKQQIDNAGGIQRFQL SDFSNQKWQVSLPLYNQLIRELDQTLSYEENYNFMFNVTFIFRRRFQFNFPTLYKFSYPY NPLINGVNGTVGLGRDVLKYVKQAAKSCDNSGILLPNFYIEALRIYQSSQTSYNTTPLYK SNLQTAQNVFLNINCSYGNKNPLQGVSNWEFQYAGNSSLLSNQSNITLADGLLYFVVCDK FSVLTNGLSVITIYTTIILLIAKCIRSTFSSQVFMLDFTQMVQPDDLLSICQAVSVARQL ENYGKENLLYFELIDILRSPELVKAMTGAWSEKFESQQSQQKEIKAAELQNQEQLKEESK KLKLD >CAK70114 pep:novel supercontig:GCA_000165425.1:CT868074:503982:506028:-1 gene:GSPATT00007554001 transcript:CAK70114 MAFRNIYTGGACTADGTQQMSQNPFKQFMDRMLFGQTQQQQIQQQINVQQDPMVQQKLQE RQRLLESMNQQWDTMAKQYDQANVEQRFMMEKMFVEEQRKYEQAMIQQQMMMDFQWQQAE IQYQADQMAQEYLFQEAYENAEDVQAQSEKSQRFADDLVQALEKDPDPRFQQSKFLRFMK DIQNGNLKLVGNELLTQKGDKVEQSALERIQTLEEGWDQATKNVEQKQSDLTEVEEKFSK MWDEKLEKYESELQNEDQFQKKLEDTYQQILKEMDTGTDFDKMFSEAWQAASDLQEFELY KDSNDTYRFQENNKYLAMDFPLQAALNLVHQGQNTEAILALEAHIQKNPTDSNAWRILGR LHQENDQDQRAVAAFLNAMKQNPNELDTLSALGISCTNILDEVKAMSFLKQWLIRNPNYK VPVDDSIVPDNTNIYDYTLDQIKCMNARMIQVFEAAHQQGPNDVELLNGLAVLYFIERNY QKSVEIFKKALQIEPKNYQIWNKLGATLAHLGEADQAMFCYHRALDLRPNYVRVWVNLAF AYSYKGEYLDAARLYLSALMINPQAKHIWGYLQTAFLQLQRPDLISKIQHYDPMLFKDEF NVTNPNELPEPEIIYREANNLYIFKMSEEEWLQTSQKKP >CAK70115 pep:novel supercontig:GCA_000165425.1:CT868074:506039:508457:1 gene:GSPATT00007555001 transcript:CAK70115 MLNNLFESLCVTSALEIRSNLYFCSPPFIYINEQKYQFNAKTIYLLDNVIVIYTIDNCIK FVNQDLEVLSSYTLPQIPLAIYPYKDFIVLDYQYEYQVCKIDEIDNLIKKNKIVSFAPNP TNQLTYVIKQKLMECNVVYSHKFMDIEMGVEQIYCISKTQPHFLYQSIVFPQLTIIDLHR CEELYHIEFGSQIEQIFLYHNTFLLQSENKLFQMQNQEIVEFNSNIQFRISCVVEFNNQT FFGSINDNSILVKDNQIIKEFYNLGQIVDIHPCNQICFIASYNKKYPVSLFRKGINENVL ERYKLTNELRPRKIFYINPSLMMLSFSQTSEIYTIPQFIIADIKVIKNQKTLFIHQLSSM MLLQITSNKVIFLQSYENIIYQEEFYQKIKDCRLDLANKALYLYLGNNELRLYTVNDSNI TLISQQVINRLSAFQIINKKLHYSTWFQFELHNFNDQQHYSINNINSTIVSIEQTQNFIL LGLIDGNLVTLASDTYRVHKIFQISNTHPIKLMKFNNKVIIFSHHIYMIDNQLQQIIVNW DHLYDISFQNDKLIGIVQNEIQMVTLSQGSSSQCRELKFIKNLEIKNLRFFKDHLIVLTT QNLLLSYFDDQLKTQSQQQFQIEKMEQYNNIYLAGFTESQQGKINIVNEQLQLIYNYTYS EPIYDLKFHQNVIFFSTETAIHSNDGQFVHNFNVPLKGFDIKDKYFLIYENFKGAHLFYY DEKMIALGSLNCPCQYASFYYDDIICFSENDVKIAKLNLLTFTISEQMEIAVSNPIEVTC VKDRYYGTLYGVLGYYE >CAK70116 pep:novel supercontig:GCA_000165425.1:CT868074:508509:511056:1 gene:GSPATT00007556001 transcript:CAK70116 MYQEDTLNINQIQFNQLLLEAHSQFQLRDMNQAIRLYEEALNCQEAGRGKIKYKLQDIDR MAIILANLGIIYFHNCDYKQATEKLIQAFTMLDRKNDFKCALLIKILGNLAIIKLITTEF QECKDYNDQAIQLILSAQPPLQYQLFKELMYIYYRFQSFEAMGDGNFENLEQKYDGQSLA CFYSSMGLNRELCGDMALAFRYHQKALKLWYELKENGFIVITLRRILTIAQNERMDCKDY VQQLQKCMQSPELRGISPEILFKDCEKKLQCAREITTSLQKLEQQLNYQKNQQLLSQPLT VKQQDEFWKLALKLRLKRAIQYCYHQLSQQEVENASLLQQSITQLEHSLKLLDQQQPYEN YLQNLPFTKESVNIIKSHLLKFNRICLKIQLQPFLNYLYQLKLEDDQGQQQFQQQQFNSK FQSNQPYNQQIQNSNYQTQQQPQSLSNRQRQLDSINSQYNSQQSQVNNEQQNQLNVQSYH STNDQQNYMVRGQNPVQYQNNQYQQFNNPLQSNYPQNNQIEQQDFKQQKQQTMYANNYEQ HQLKNSGQFGSQIIGVTGNNQSKINTAQQQQQLLQQQQQSQYQSQQQTNLKFSKSQQNPQ QIKQGQNMTVSLTKSQKLKNVGLLNAAYRTVMLGDQLTKCNKSSNGRIERFFILANDGTF RWAQNNKHINDPKSVNSYLVSDIRGLLYGKVTDVLRKSYNDKLEPWLCFSLVMKTRSMDF YAQPLQINSWVFAMSEEIKRRNPSAFVITPGKMLWRKIKIILHWYFVDKKKEKGGSKKKN QREIRFAKLLLLYANNLCRLPQIQ >CAK70117 pep:novel supercontig:GCA_000165425.1:CT868074:511335:512218:-1 gene:GSPATT00007557001 transcript:CAK70117 MSLICLAITIVLIFRKQCRKLKSNSTKILVLIMFSLICKILGILVYFIYNLKRIHRTYYF LEELIFQVYQACLIFYFGKKLVVGSTQRKNYNIIRIIFVVLIILDITTFIMSVIEAISKQ MMCKQISFTVFRSVSLLTQIGFLAVVQKLNKRFQQNIQNLLINEQQTKQQKSHFFQLKIL CYISLFGSVILLIVNFLYMISSDCRIISHFGDEGYNFSDSLNAFIHALVKLFTYFLPIIL TLILFRTKSKKEIRRNSVFEAEDLSYQSYFQGLSLQR >CAK70118 pep:novel supercontig:GCA_000165425.1:CT868074:513362:514778:1 gene:GSPATT00007558001 transcript:CAK70118 MNIQQLIDLFTQRYNGLQPQFLVKAPGRVNLIGEHIDYMGYGVLPFALEQSCFMLFAIDG NDIQIQHADDQQFKQFNLSVNPKEQYNEIQNYVKYVLAGYRAGIQYGNANQGIKMLISGN VPFSSGLSSSSSLVVASSLMSLQVSGKTQQDIDRQQFVDQIIKFEREAGTACGGMDQTIS VFGQEGSALYIEFDPLRLTQVNLPKGYSFIIANSLTESTKLETLGKHYNKRVVECRIGIK LIELTLNLGTNFKTMKQLQDYLQLSLESMEELCKFIPKGEIDLEQLEYLHLPVLLADIPY FELVLNQNKSVNPYNRLVHVVKEAQRVIKFKNICDSKISDDAKAILLGQLMNQSQKSCKD LYECSSENIDTLTSLCIKNGALGSRLTGAGWGGCTVSLVKEADAKNFKNKIIEFFYNHIE NQDHIFSTQPSQGASIIKL >CAK70119 pep:novel supercontig:GCA_000165425.1:CT868074:515124:516327:-1 gene:GSPATT00007559001 transcript:CAK70119 MKIILLVFLIGATLARDEGFFAQLRNSDFGKTIIQTLQVQLSQEHPADTVVHLLKQMKDD LNNEQRAEDEGITGQLKTCQDASSAAAAVLTIAKERKATSEERLPLLQQEQNDKKQQLFD KQGEEQRNLDRISVLQEARNAQRTEFEQRRDELVGLVSALQEAKKILSQGIGALKKNSFA ELKNHHQNFLKYFPNKKGFHSMVNVLLTVLQEENTQENAAQKVVKIIDTLVDSIFQVQKE EMRADDARELDFLTQKERLLLANRRLSGSVADLNAANERIGQKILEIKNDISIQDSIIFN KSTEQADWDQTCSDTDRAHRQQTEQRNAQLEIIVECIDIFETRFDMETKSFIQRLRF >CAK70120 pep:novel supercontig:GCA_000165425.1:CT868074:516327:518324:-1 gene:GSPATT00007560001 transcript:CAK70120 MQFKSLEEQIQYQEKNIEYLQQQNFDLQNQISQFSQFQQLGITIDAFQSLQNTSKEQSQQ IKQLQKDNKNQLKQIQNNQVKYYDKTLSNLQQENVKLKNEIRQLRQRISSPSKLDKSIVQ YSTPQKQLNPSAILKSTKDHPKDVASLLFIKGSQNFKSPFVDIIKGKYENVKVIKQLKSG LEQSYFDTYHFDVISQDLQYSLQQLDRQHSIHQGKGLLLSNQLSLIYGASRTNKKHFLIQ YLDTLISQIKQQFEIFQQNEDYKQLTVKIVYEEFFNGQTKHPSDSEVLINKEQSKRDNES KVREIELSISKLRLIILNKLKMTIKDNSKKYVKIRKLYIETELQFNKESQVKKFIVFTSN SNLNQKQFQNAEEGLNESLAMKDKGKELTQILRWPQLQISLVLCVHPTIPDYALTINTFQ LCKFIRNLKLVSDSPQSSDKFQQILENNNKSLEQKIKQLREKIKNLESENYRVKKQSLIL EAENEEAVDKNRKLKQQLANLTGQLQQLQMNFQQQISAKKSLTLSKTKSAVVADNKTIQT LEKAARSISVLLSQNSKVDLSVSPSKVQKTLPSDLLMPNFQENPDDEEDYQEEGEDGQED EDQMDEEEVDSEEPSEVDESEGQDIQQPRKESSISMI >CAK70121 pep:novel supercontig:GCA_000165425.1:CT868074:518852:519787:1 gene:GSPATT00007561001 transcript:CAK70121 MLLSTSKLATSKLSRSQLSSPQRSTVNYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLSQEKPKDEQKFKILKDQVLKLQDHAHNQKSEREAFDDKKEKDF RILSDNVALSFEQEKNARGQAETKLQKQIDERFAQITLTITRNTHQYEDRSQAKIAEVLQ QISVVKNQLDQERQSREESAESLSEQIDSEINKFSDQLLVEKKVREETQGKIFRMIEDVH GKLQQDINFERREREATTEALLKLLEDACIKIDKNFRSF >CAK70122 pep:novel supercontig:GCA_000165425.1:CT868074:519871:520725:1 gene:GSPATT00007562001 transcript:CAK70122 MNKDQLIKWIQNVIKTKIMKKEDQTIFELEAKIGKFKGQNVHANKYLQSIKGMEQLDKNN SKYQFESKINLKQYNNAYEYLKQKENQVVIKRLDFSLNKQQRNSFQIINNQISAVLIEKQ KNLQENIDIINDKIHFRISLNQEKTLSKSLKDIIAQMPSRKQHANFIRLKQTLFINENHL EFALSKVSSIVKYDPEFQKILDEISSSKCINIQNKLEQIFKDQNLTDYEFEIEIAQIKDF NDEQIEQLSKDLIHQIDVFWGILNFNQVEDEQKPEKKVRLNENE >CAK70123 pep:novel supercontig:GCA_000165425.1:CT868074:520775:521402:1 gene:GSPATT00007563001 transcript:CAK70123 MSIQTTLQSTQEELTKLQSLIQELSEKGNLDEITEGMSHKDQIELNLNLAYTLSSLYYCK MYYNYLAYLKLNSVETSAHPIMNELSRIQEAFQKYLPSQVKQPDQKQMSLDRDAAKRFIQ PNLKSLDDNQNYQHKQKKLIEEDKNNTTRLEQPVDIWKNEKDQQDQNKQKLIRGNLIPQT GHLNWKNQIEKLMKK >CAK70124 pep:novel supercontig:GCA_000165425.1:CT868074:522014:522349:-1 gene:GSPATT00007564001 transcript:CAK70124 MRFCVFILLLGLVICSQLNAQSNLRYEYQFAPWSLDCTNRCQSAGGVVCGFETQVCCRSG KCLKQWKSETCDTWDKIHILNCVPGPSGKQ >CAK70125 pep:novel supercontig:GCA_000165425.1:CT868074:522816:524018:1 gene:GSPATT00007565001 transcript:CAK70125 MKQQEKERYSVTLTNGNTQIFNCQSLNLKYQISKVDSTIPFEFMMLVNDKINYVFQCNLD LTKSFVKTNQTNELYNLKLVDMQQVFNENLQLKTRNRQVQDEIIECKRKNEEINNECQEL MRQNQGQQNQLNIANNKIRELEEILEQTSQIGMNAQKSLDSNLQQKNKVIQQKEEEIYAL QQEVSNQKIKIQSITRQFQEKEDEYKQKIQAVEQSENEIRDNLIKSVNQVQELQAYITNQ YNQSCTLKQEYENFYQQMMPYYQACFELNKVKEEQDTSIADKDKKIKELQREITRLKEEA KENLQQQQDHDKEQLENQKKSSERQQQKLNNDINELKNQVTNLKNQVSILEAGTQERDQK IKELKKQYQNVKDLENEGIQQNNFFKMSTGQPVPEYLKKK >CAK70126 pep:novel supercontig:GCA_000165425.1:CT868074:524362:525907:-1 gene:GSPATT00007566001 transcript:CAK70126 MINQQLNEKQQDINDGDRYGDVSLIQQSQKTNRQWMKVSQINESTIGKTILLRARIHNSR MKGKIAFLVLRENWYTIQAVLTKGEQIGSQMIKYTGGINLESVVDIEAVVLQTNFKIKDC TQQVELHIQTIRVISRSITKLPFQIEEASRKIIDYYPNLQQQKQNEDQQQLIQIQDYQIS QNQRLNNRVLDLRTPAKQAIFRVKSGIALLFRQFLIEKGFIEIHTPKLLGFNTKNNYCKF KLQYFNYQAFLSQSSCKYKQMCLMADFERVFEVSPAFRALHGNTHRELCEFICMDLEMVI KENYTELLDLTEELCLFILKGIEKKFDQEIQIIAKQFQFQPIKICYPIIKLTYFDAIKLL QEVGIVQSPQYYFCITNQKQLGKIVKEKYGTDFFILNRLPRENQNSCIMPCKVDPNFNLS YKFFLRGEEIASGHQRIHDPEHLNNQLKGIGQDAQLLKDYINSFRYGSYPHGGCAFGLER FLYLYFDLKNIRNASLFPRDSSRLFP >CAK70127 pep:novel supercontig:GCA_000165425.1:CT868074:526536:527150:-1 gene:GSPATT00007567001 transcript:CAK70127 MIRICIQRIIQPAIRMPVQNQQSIIIPLDKSSQLQSTRRVSQNIDQQISNVSPIRLITNK VSVSPNVIKYSQQPQNAALTPQKIPYNQRLNQTPTKHQVVQSRTLTPNVLSQQRQSLANN QYNQSNRIQTDTGFSTPLHNQREKENNECSNDKLVTQYQNLQEQLIQSEFKISQLEQKSR QLQEQRKKLQQQFLQKSPKLASQL >CAK70128 pep:novel supercontig:GCA_000165425.1:CT868074:527416:528603:-1 gene:GSPATT00007568001 transcript:CAK70128 MSETSLISYSDREMESSLKDLLERILIQLEKYIETKNDLNEIEKELKDYENLPQLVNIIK VVFTNLMLKIDKKVKKLEKDVDPNASLRSLRTEEEYEKLEQTVIKYEGEIRNHIRLEQQL KLYAESIQAKLDESESTRNELLETTKKIMNNLKRENQNYYEENTKLQSDIAYYKQRIQEY ENEVYKKTIEYDQRDQEVQKHNKNSQQIKALIQKKPNSKETNKTSSYSEHKLSSQLQEST DYPTQSQGSLKQNYFNILQYGQNHQQQQQLQLLMQQQQEYQKSFHGKHNSISSINDTIQQ QIIIKDKKGNLFSYNASKKNSQNSQFHQKTIQQTLQTQPRSRSGSARRNLNQKQKTSINQ >CAK70129 pep:novel supercontig:GCA_000165425.1:CT868074:528875:530165:1 gene:GSPATT00007569001 transcript:CAK70129 MKHISQNSQNQQLVTKTYNDIPFTIYNRYQGIKLLGAGSYGTVLLALDTQTNTKVAIKKL NPLEDIIDARRMLREIRILRAMTHPNIVAIKAAIYDNVSQESDYFGTVYLVQEYFQADLH RVLKNPKDLTDDHVQFIMYQLTKAVAYLHSASILHRDIKPSNILAKDDCSISLCDFGLSR QIEEYEEEDNKKSQNFTEYVVTRYYRAPEIMVSSQQYSFPIDIWSLGCTFAEILNEGKVL FKGKTYVQMVKMIFETLGKPVQEDLDQFIKNKNAMEFVQSLPSVPPQSIKKIIDYPNPLA IDLLDKMLVINPHKRITAHEALNHPYFKEIREKTEEAPYKGQTDFTFENDDTISFEQMRI MILEELNKLSEKIDIHDEMERLKQLKEKRRAQKHKK >CAK70130 pep:novel supercontig:GCA_000165425.1:CT868074:530348:534869:-1 gene:GSPATT00007570001 transcript:CAK70130 MPIIILYALGAINEMQNISYITVQTTQQVFSSSSENNILRAISRPNTYLTRNAILSYIIT GYLCIFFICCFIFIYMMKIRSADTFIKLSQAFNKNISQKILMKYINISINLIKYGFGIMA IEICLQNIKANFDDDFQIALNVINIITILITLVFLTYMFTYNWALDFKREHLSINDSILT YIRILIKLFQMIILYFSEQRSIYLDYFQILLPMISAILLIYQIQIQKLLIYGVYFQIILI ITIMTLVISFQCLFQLIFINYQFKSLWILLSIIPYRVFVYYIKRDLINNFINFLSVNLKN CNHIVFQIIYGMSEGRQIFQSDMIFLMFLQKHSVICKDCKCKCRLRLQEKQFQAVSYEVF FKELLFKYQQQLSQRVVSESLIFSYLQLLFFQKKYLLVYRYFRIIFETTTKSQENLKLET NRPTIKINSLSKLLILKVAQDLQRLDIQNQFQRQKAALKVDSLQNHLSIQEYLKITKQNE FIKQSLSNVIDTKIEFFQCLNQKHQSKNLEQIALKSIQTQMEGLKIIKASFQSTQTQRYS NILRFYYMEVLNDLISAQSIVSPQNTEDEYVIKTIHSNFQNQLSYMTVAIQDLDNIQIIK HKYNQQDQQTELVQLSFDQMIPNYMKLIHSPLIEKFISGMENKFFQQLNQAYIEYSSGLC KRIELIMDLSQLNLQSIEMILFFQKQNESTYSIFLDENKQIVNIEEELFCKIFDIDTRFA KQLNGIDISVLFENFASIKFNEKHFSQFFRFFDQRKLNHQSQRNQENSLLTKMEMILYKC DLEVMERQMILGTVIYQLNLSNIKKINKSDEIGIENQQQQNASHGFDELINTNKHFDFNS KFIHNKLISQQNNNKPERITQDEILNNNFQQNDILFTPKIDEEHYILSQEGDQKTVQKLY ENKQKSEAASRQSSLSAVQKSQYHRQFSLVYNLSTCRKSPKIFLRKTIQRLLYLIISIIG FILHLQVVSNFDLLLSDFKLLSFKNSIFYPISTSTLIRFSIINYNVELYINLITKKQWSE YLEYPNSKILESYDKLKQEISDLLEQQLFFTHYSRISLQLEFLEYGNKGIQEELNLRNSL VTLLNYQYDSKVVYSNGGTADSKSPYFFYVYKNFNLLLQTFEKVDTGALLSLQSDSQYYQ SQLLNIMIYTLLLMSIMQILISISHYSYCLQKEELKILLFNQEHTYIISDIQRLHNLKEL IMRDFTQILQYQFNFQDKDTYFQNLKLLISKSSQKKKKVILPIDFFNIKSYLLIISHFLI LLAIYIGLQIELGYAYNEIRNAAEFYQSLTRLNTNILVMYSSREVLYYKQTFTFFTQTDI DQYHNNCEQGINELEDYVTNLWNYQSNSYIIDKDFNPLFSKFSEGNLCNYLDEINQNSTV CNSSLFGNLQKGLTSTIINIKNTFRSEFESTNFTNRTLFPIQELEGIIIISQAFQYLIES FYELMQEQCLYLIFFYNVKFQHILNRCLLQFFWCLVCYMDWYY >CAK70131 pep:novel supercontig:GCA_000165425.1:CT868074:535059:535412:-1 gene:GSPATT00007571001 transcript:CAK70131 MAIQYLNTCLDNQLPITNKPYTKLNKLLEDFSSLDKQNLIHAFYTDSEIKQQYFDVVYIY ILQKQSNFLRLKESSLKPQRKWLTNQKHRNYDKKSISIKNITTEKLTAQKLLLRIKY >CAK70132 pep:novel supercontig:GCA_000165425.1:CT868074:535843:536781:1 gene:GSPATT00007572001 transcript:CAK70132 MGACSGVCQQQAKTIVNESAIVTETIIQALQEDTTISRPIQREERYLKMETGAIYEGDLV KGIRSGRGRQVWPDGSYYEGDFVNDMADGKGILVNAEGSVYDGEWLEDKASGFGKYHSHD GLYYEGQWLEDKQHGIGKEINQRNECYEGEFFRGAKQGFGKLSLNDEEFYEGEFQSGMMH GKGTYTWRSKKSYTGEWKEGRMDGFGIMKWEDGRYYEGEFQKGKQHGEGTFVWKGKKYVG AWINGYQEGEGTYYNEQGESRVGIWKNGKRQEWLDYLSSSNSIEQTF >CAK70133 pep:novel supercontig:GCA_000165425.1:CT868074:536805:541540:1 gene:GSPATT00007573001 transcript:CAK70133 MSSAPSTNNVIITKVEIARFTIYLVSLMVSVLMQMRMEDQGIMNTSVQNSMFSGIQNVFK FNNQTRFSMLNWVDKQLYHGYSLNNNSTEMYSRLIPVSFLEIQTKYAKIDSLVNVWDKDL TEDKANQGFQQQYIYPFIQRFPPYPVFKNISFIDDVSGITLDSLKLIDTGFLTSNTHSLK AQASYYSPNLQMICVVRINMEFSAAGQSYQFIQYDSFRLSFYLNKTDYIRMAFEILFSII YINYLYMEGYKIYFKVQLASKEDDLKVQAQKKKEQRLKSKKEAKKRGGVVQGEEGEVKHL SVLGDKVESKSREKMEKAQRKTKIIINVVIQHISDIWSAIDVLILVILGIAASEYIKAIS SQPYSDSLNNLLENTSTYQSVFEPVYKDMLTGIQVSYTLNDEFAEQADILRFYRRFCAVA LLIMWIKLLKYFINIFQRLISYLEIILNVIEYMIFYLVLLGAILISFSLFFYFQYGSQIF ITSTIGRSLSYILGFMIAQNDQFNELFKYDEVITFIMTILYYVIVIIILCNMFFVFVKQE YNEYQMKLEKQSQKNNNSKKHDEMVKYIHPYWYFYNIAEYFVMRFWFTLKLQPSKFDDYN ENKELQYVINEREFKENPQTIDFNVDFANLINQSVDDDDKNQKFLIEEEKERILSKQKME LIKLIWKTVLLLLILSLNFILLQEFYSPADAYLQSDSISRKIRYDRSNAQKQFKDLNTIQ DMTDWLIYGFPDLFEDNIFGQNELAILKNQNPTINFNSSSSDTILQYQLQEYAFDSLIRT SSLNTFNIVVNDVIRVTIRRSLMVNNTLEEFSTFAAQTMLLFLNSPTDNIDSENENYESF YDADTNFTIDYDESNGFEGEGGLVMLLEPKQLSPVIDSLQKVKLIDSISSIAIQFVTFNP INARGLAHIKLSFTINQCGALSFKLIDVQGIKKNSLQSVSEISILILSVSLIIALCYYLY VTIKTMLHKNFSYDRWYSTYILLSLNRSQLYMRERHMPEFIRKSLFLMDISQLLNLLFLT LLGAQITLILYYFFQVNTLIQGSQIFSTSINDLLPSTLFSVNQNVDKYVFFSQVQKIGDN SKLIGAFGSCAILVLCLEILYLLSHNKDFQTLTNSIKYTLSHLPFILIILLGIIVAFSSM AHLVLGTDLIQYSTFFGTIVKMLDFISKIDDISFFRLDDRTLEFYLMIIPYTITVRFIIM NMFFAITLRGYLLSKEKYAEEQQNQKSHPLSLTSQEFVMLSIQMFTFKEKLIKVGSDQYL MQIIKQFQPIKVYTSIKDNIRNQTNLTAMKAWAEECAKEIKDEKEIRKPLDVACHELLQA YIDQNNKGEFDILQRLNTDPKRKLIEFSLKLTYINYFRFAIDQLDKFTVFFTKKREQIRS ILFSDSKGEDYKKMVYICSLEAQLEENIQSMTFIKQELLALGFDIGEAGEIDSKENVIKV KQQKQQTLKASFQNPMSDRIFQLTKLKPDNTKDLKNSPEPSDNEPDQDNQFAESRIQQTI KPSTFKQAQTIEVKQDDPRIKENKDQQQGETTEKSTDEKPKSDQPVQPEQKVGKGIVKNM FQVGDKKSKK >CAK70134 pep:novel supercontig:GCA_000165425.1:CT868074:541676:543236:1 gene:GSPATT00007574001 transcript:CAK70134 MLRKDSSYREISLTDSIEQKSFLNLTRKPSNADFKGKTPLGVQNKDNKENSSARIHSDTP PQDFFFKREVIKSEKQLPNEKTAIYNDASRFQSSKTYMECRMEQVEQRRKTQSPQLLSMT PVQNKQIIQESYNHLQQPQQQQQQTVQSSINLTRKASVVPSRGLSTLSSPTPKEGDFNIY KQQSSIPASYLDSVVTNRNNKNYTVPEQQQQQQPQQQQQQQYLQQQQQQQQQQQEQKNPS LKLYYITSLIKAFKMKGPNSAKEHLIHNMQGIIIAKKLKVPPVKKAITLPVTDKKTLLFD LDETLVHCNSNSFIPGDVLLNINHEGEKMEASLNIRPYTSHLLQSLSRNFELIVFTASQS HYANAVIDYLDPQKKWISHRFYREHCIPTDDGHFIKDLRIFTTRKLSDMLLIDNAPHSYL YQIQNGVPIIPYIDNKSDEELKSLLQYLMQFKNVKDVRELNMQQMKLHRFSEYDDPQELL KCEFNEYQLQSVLL >CAK70135 pep:novel supercontig:GCA_000165425.1:CT868074:543236:544519:1 gene:GSPATT00007575001 transcript:CAK70135 METSDSLDHLINVLEKLEGRYQDDQKEDDANNRLYQDQCDSDIGSLDKDIANSQYSILQL EAKLEGNLIPARSIQQGVEKAKSAEIKQYTQEIQELDEERQEQNEVYEAKIAEHYEASKI IREAQAIIKDGLQRPSLAEVKKNGKNVLRIAPNVLAQVSSSLGGSINKIRQTHRFTKAYA SIFKVLLTIMNKSESTADEGSVEKIIQLCSDLLAKIDDSTNLERFAEDKRVQAYEKHKHL LNRDLQSAQSILANTQATLQSLNDQIQQAQNSIATIQQRLDYYQDTRQQRYTECEEAAYD YQQARSARDNNKQLVSDLIGLVNKHLRVLREQLALRVAAGDNI >CAK70136 pep:novel supercontig:GCA_000165425.1:CT868074:544618:545103:1 gene:GSPATT00007576001 transcript:CAK70136 MINQDSADLDIQQEGFDFQKFFDKKCSNQEPQKEEVHKSNQSESQQQCQGDTLRALVKNI KIEKQVAGRNKKNKKIQWNEKNTKIFKDLYQQYSGNLDQILLYFQQNNELKTKIDIKQIK NKFKIEKKKKNLTLLSKSQIISKNLNYQLKLD >CAK70137 pep:novel supercontig:GCA_000165425.1:CT868074:545200:546626:1 gene:GSPATT00007577001 transcript:CAK70137 MNIVQKKREEFSINIRKQKREELFKSKRVSNTQYLPFSDTTTMLSEIYQNAYYQKQCPNN VQLNETIQKIFKISELADAFNQHDCEKNYDTSSDVEIIFDIIGKGYPVLDRACLQILLSF TLPSHLTCKPILKYIPTLLKFFNETQYEELKQTVLFIFSNLAADCYQCRDAILIYEIPQA VFQAIHQTTNELMNDLAQILINISRTQPLMSNFNQIQMIKLCWQLFPFLQGHEYIAGILQ LIQKICLKDKNLLDMNFLSGICQDYSNSDLYLNEILALIKIMSYFDRNRYEQIENNILNF LIVKVNQILLNGNLDKHFQKQINKVLSIFTNMIVENPKLIFNALDTNIIQLFRFNEQLQE TYDEIFCSIYYYSFYYQTINNLNQSQIKQFIQLFQPIQQMINLLDQNMNNQDIIIDILRI LEMILQKDIESIAVFIKLNGEQYLAELSQHENEEVYKICEKIFEIIN >CAK70138 pep:novel supercontig:GCA_000165425.1:CT868074:546836:547177:1 gene:GSPATT00007578001 transcript:CAK70138 MDGTAITQLKTQLQKLKEEKEELQNDVQEERTVVAQMQQELAQLKQQQQMQGGQLRDKEL ALQDYNRMIKESETILKKLIETSSNFLKTLEGETSKLKNQKK >CAK70139 pep:novel supercontig:GCA_000165425.1:CT868074:547462:547803:1 gene:GSPATT00007579001 transcript:CAK70139 MSQFQTLDLGVSSETKSIIHKSILKNPQRCFNQSKVRLDWAGNQVVKGGLHKIVFLNQDD TPRTFMDILTNDFTESCDTVIHKKKKNLNYQQAENYIKNQCEESQQSNCCLIQ >CAK70140 pep:novel supercontig:GCA_000165425.1:CT868074:547945:549664:-1 gene:GSPATT00007580001 transcript:CAK70140 MLNKLSLWFKNLRMSNQIIIVNLLIIILAVLLVMTTGHIQQAIFFSYVQEVEQALTLKQE KSLVNNISRELRIYIQQKNYQTILNLWHSQQMFYQLIQKQSQFQIKVNQFQDCVEQEDIS EDNIIYNSDIFCQGLYTKQYDSQAILLNQTISLLIPFSQLFLGDEQNKISFIDVPNNQIF AQYPRMYKYKNYVPSTRPWYTNHIAQYEINPKSEYFYSPIYTSVRSFMPYFSLTYSLIIN STLFGITSQLQEIYDKNIQSIPMSIFLVNQNGDIILTTMPSISKTNVLITITNETYTGFN QSDWDLVQKNSKQKNAVETNFYLENKIFKKPVFVNAFNFEKENLTLIVQDDQKIGEKLQD LVDTIRKSLIQDVQIYVGICIFLVLITTSLIRYISAPLLNLIQVINIHVKKIGNNLNSEI FKMAIKNKKQTDLYTSLAYSFLGFKDLQTRRSESKNQTCKSIDEIKYSFQYQETDSSKIK ESILLLNNYEASENLNKYHSFEQPLTLRNLQQNQLWITTDDETSYRLILIKQLFQQLFQK QSLL >CAK70141 pep:novel supercontig:GCA_000165425.1:CT868074:550524:551521:-1 gene:GSPATT00007581001 transcript:CAK70141 MKHLFVLLFLFCVSYAEKGFIEQLFEKAFKHKSNENQIYSHSLAQQFFHYTKIAVCHPEE IANWDCGYYCQQHPDMVDVQAFAGNYSSQAYCGYNPKENYIVLVYRSTQDLTNWINNVKF FKQEFGDCKDCAVHLGFWETYTAISNEMINCTKTLRQKYPKSKVLITGHSLGGAIAALMA VDVTRLGIQVDNFFTYGAPRVGNIEFATWFINYVIPKEYWRVTHNADTVVHTPPMNFYYS HLPQEVWYNEENTSFKLCQQGLVEDDTCSNSLWWYSISDHTSYFNEFQECKTPFVHHNET MHFIGRQSDQQNNYANLIEQQIE >CAK70142 pep:novel supercontig:GCA_000165425.1:CT868074:551834:554897:1 gene:GSPATT00007582001 transcript:CAK70142 MKTTFFHKIKRFFRGSPTEWKNLPKRVIRPGLADQLMPNNRVITSKYTIFTFLPLNLVEQ FSKMANIYFLIVGILQMIPAITVSDGLPTEYTPLVVIVIATAIKDLLEDLQRHKADRKEN NTIISGKKSQDIRVGEFIRINPNEQIPADILLLNQECFVETSQLDGETTLKPKQPITENI EYIEAAGPNPYLYQFSGSINGQKPLNEHNLLLRGSCLKSLDPIDGIVIYTGHQTKILLNS HNPRGKSTKMQQVMNRLILYLFIGQWLLCIFCASYFTVALSIKKQDLDYLYLNQPEVTSL LYFSSFFQSVGNWFLLFVNFVPISLMLTLEMIRLFQAYFIIKDPEMGPNTKVQSSNLNDD LGQINHIFSDKTGTLTANQMTLRQYIFKNQNIDLQREDPQLIFNEENIIYLLQFILCNDI KVKNGNVLSSSSDEMALINYFLNQGLQISEDGKNYLINIQNKVYYRIEKVYLIPFSSDRK RMSIVLRFQEKLYIFCKGADSIILQRIKSTANHQDTIVSFSQAYANQGLRVLLFSFKELE EQEFAEWLEIYEKSLIQQDERMLEQLQNQLETNLVLSGASGVEDILSEHVQETIIELQYA NIQIWMITGDSFATAKGVAKKIGLMNSRSKSILVEHQTISQIESLVLESEKNVCNQYEIS LVILGKILIDYSMEDKFRLIKLAKECQCVIFSRVSPKQKREVVELFQKCFPKLCTLAIGD GANDVNMITEANVGIGVCGVEGLQAARASDYAIPLFKCLQQLLLFHGRECYRRNTQVVTY NFYKNVLYLIPQFWFGLYSQFSAQSIYDFYVFQCYNFMFTSLPIIVYGVFDIKFNDTMPN KYQFGQQGGYLNFKVFILSLLEGVYQSLIVFFFCFYFLNTSSSNGFEYGLLVQGQIIFFI CIFVANTKILLLSHQIQVLQIVIQTLSYVSYICTILIFNNVVEYQLYGVLQVILTQGITY IIVPLVSYLAISVIIYQKKYQLFKTLQKVQVSQSTAINASLITL >CAK70143 pep:novel supercontig:GCA_000165425.1:CT868074:554935:555329:-1 gene:GSPATT00007583001 transcript:CAK70143 MYSIQERQVKFKEKLNQNPEMIPIILEKHPRSKIQGLKNQLYIYLYNLIQFRDTLKCTLQ ISPKQSLYFHIGNQLLPEGLADIYQKKKDPDDGFLYITYSDLEVFGFISK >CAK70144 pep:novel supercontig:GCA_000165425.1:CT868074:555420:557458:-1 gene:GSPATT00007584001 transcript:CAK70144 MQIEQPQIKKQYEKAHVSLHHKQIPYLPSTITALSNNPIQQLLLVGKENGVIEIYSYPTY TQISICCSINSQIRSLFWIDEEHFICAYLSGQISLFNIHDYKPLNNFQLPDNINIYESIP TIDTYFLCACSDGSIRKFDYQNNKVYQIQQSQQLDCQCLSIAINKEYVYASFSNGSIRQL TQKTLLQQQTWQLNISSSKIAKANVIIPWKLCICNNNLFIGSSNGSIIIYETQFNTEIQQ IQTHMSDILTLYPNLNNVYVSGVDSKIVCLSYNGSQYQITQQIRGQSHDVYAFEIIKNNI LISGGLNTDICYYKLDFTHIFTIPRSEQFICNHQYIAINKYDSIDLLSYDIESDPIYWCE FKLQKPAHFIKLTKNLLCYGQSDQTVIMNEVDKQFKKYQVYPHFKCMEFDHSQNTFYSID KDDKLFKHKINGQSQFIAQLIHGQPKLMKFVNKKTLIITYPYLQKFVSVNVINNTVEQID CRSNQNYVTNILIINGKCMKILVTYASHIFAIYNYDFKEQLWQMNSYSKEHMMHSPLNVS LLKDPIRGAITDNKDSKRMLLFTKQELLLNYHSNHFIRLHQRGVPPKEVKEQEFSKMLQP EKKDAGQKLDIVDNYALIYHNYVILNCLNVGNQNYILLEWDKQATFSQIQGAFDGKKYKR >CAK70145 pep:novel supercontig:GCA_000165425.1:CT868074:557461:558595:-1 gene:GSPATT00007585001 transcript:CAK70145 MFIIAAILCVSVLGQLGYDGYTEWQSGIDRTINRQNKFVEPVFPLQMFTRVQFPRQFSRR PQVLLMHEEIEWNKNRNINYQTFVSDVTVKGFYINYLINGPAIIPVLRIRWVAFVDSEAE VQYVNYQFEDLIRLRQGFGTRFQDFMVQYYLKYPTPRVVAFIVGAEIEVYDSLTTTIQIQ LGKPTGSATAVRFLTRDRCHINTLRIAYFISSDYSTIMGTLGSSDNAFNQFLDNENRMKL RVQRFEREVPSTFIFESHQNVLAQGITGFDVHSRAGNFYVKVGGEYVDKKSSTYHCAYGT RGDTVLQYMEISYILHPPALPPYQMLVEESATDNQEEESKTEEQQLLNESLIP >CAK70146 pep:novel supercontig:GCA_000165425.1:CT868074:558615:559226:-1 gene:GSPATT00007586001 transcript:CAK70146 MIQIIVKISPRENLKVTVPQQITVEDLNNIISNTKEEYNYIHKNRILQPKLQLINQDVQE GDLIIQTIKERKKSKLIELQEMILDNAEDYKKKVLELIEQGYKREDVVQAMIHNKYDLQQ VMTHLIYGKRRANYDQNQVEVDAEEVKEKLMNLEELQLLIKCIKSEDWVEEFYQLQQRKR EIFDIFMQNTMALVELIAELLSN >CAK70147 pep:novel supercontig:GCA_000165425.1:CT868074:559403:562738:1 gene:GSPATT00007587001 transcript:CAK70147 MKYQQQNDIEGQKHSLLSVEDLTDLFILNKINDGQSLNQVKSFGDDYGLARKLNSDIKQG LSTEADVQKNRESFGDNTPVEKEPTTLCELIMECLEDTMLRILLLAALVSTVIGIINEGV ATGWTEGATIFFAIFLIISITAGNNYLKEKQFRQLRRRLDDGKCQVIRGNKVTEIATKDL VVGDILLFNLGDLFVVDGLMIQGSAVKMDESAMTGESDEIKKLPYQEMAQQKQQQLNQDA ARGHTSPFLISGTKCLDGTGQMLVLAVGQNTISGQLKKLLIQDNPPTPLQQKLEGVASDI GKLGVIVSIFTFIALMGHLGYDIYLGLIQFQSLKTLQVIVESFMISVTIIVVAVPEGLPL AVTIALAYSVGKMKDEQNLVKNLSSCEIMGGANNICSDKTGTLTQNIMQVTALYVERNTI KNDVHTIKSKLNKNTIELMCESICYNSNAFPQKDKATNKWIQIGNKTECALLECADNFNY NFSQYRPSDKILRQIPFNSKRKKMSTAVYNPKSQFVRVYTKGASEIILNQCIKMVGANGV EQILDQNARNQIYNDIIQQFASESLRTIAIAYRDLDPHSQNSSVLGQIPQLTKYTQSIQD DDLDKDLVLVAIAGIKDPIRPDVPNSIKQCHSSGVTVRMVTGDNILTATAIAKECGILQI NKQPGQYEVMEGKFFREFVGGLKTSKDKDGNEIKEVGNKENFKVVARDMKVMARASPEDK YILVTGLIAEGNVIAVTGDGTNDAPALKKADVGFAMGITGSDVAKDAADIILLDDNFSSI ITAMKWGRNIYDCIRKFIQFQLTVNLVALFMSFLGAVVLKESPLNTIEMLWVNLIMDTFA SLALATEPPNITVLERQPYKREDKIVSPTMNRTIVGGSVYQIAVLCGILFVLPKYMDLSM PQELQGQKFHKNVVQMSIFFQTFVVMQVFNSITCRQLDYKTINPFTNACNNPLFWGVQTF TLIIQCILIQYGGKFVKVSHLTVQQHILCIGFGVGSIIFLALVKLIIPDKFCQRVELFRE DIITEDKMDDTLASRLRRKSTTRQRNRKTENQDYVTVRSIQQSHQRM >CAK70148 pep:novel supercontig:GCA_000165425.1:CT868074:562835:564659:-1 gene:GSPATT00007588001 transcript:CAK70148 MSQQPLIEIPTLNQNENQQQGPNIKSSKLQKQSSLDHLSVHSFKSGLTYIRDSWKSGMAQ TILNMPFHLTIGSSSGCNASVGITTAFIAALTNGFFSGSNHSIYMPSWVVIGLNYQLVKT YGVEVMPWITIIVGIYIYLAGLLKWHHLSDFIPVYVIEGFLLGIASLFFFSYSDYMFGLT DNHSNRGVELYSSYYDMFISFQERGDLYYFVGAWCVFLFLQMGRIFFRQFPWIFITTLTG LTLGIVYPTEKCLRSAYGQVTIYFNFIEYDGPKFHPDFQVVSHLLTQAIPITLFILIQNQ FCARAGQSLSGVKCDYDQEIQCVSTANILSGIFGGLPCCASSRMYILDIKLRKTNQWSSI LNALSILFFYGVFSKFFMDIPFYIISGQLLYMIINIPPWHYYVNLYRTQRKLILFYIFCI AWLCVNYGAIQSTLIGSMHALIIFAQKMSSPSAEVMTNQKEGVYQINLRDSNLHEFEEEC NDIPPNLEGTYTVYRFNGALNYINIKGHIDQIKELAKTDVFILSFRYVCVFDFQAVDSLA IIIDNMRKNSTQVYITGLHPGMLELLSENKIFKEYFTNESHMFHHIEKLPSQ >CAK70149 pep:novel supercontig:GCA_000165425.1:CT868074:564679:565063:1 gene:GSPATT00007589001 transcript:CAK70149 MLKLFILTLLIITISARLDQQDPAVGKKNTSSNKQESDVMKYRRAAAMAKEEFQELCGLN GFVWKQTTLVDTQVVSGFNYSIYGKVQKGDQTRTVKVSFYIPAGQDSRIKATGCNIVA >CAK70150 pep:novel supercontig:GCA_000165425.1:CT868074:565098:566615:1 gene:GSPATT00007590001 transcript:CAK70150 MPYSFKLLVHDVQLQISDPILISSPSLSFTIANYPKIIVNGKCPISNVKGTYDQQKPFGH GKSILLHLNQDKMTDILREMPLEILFLNGQAIVAGARINLEHFIPDIDSELKFKRGNFHL LDHFGKPYLLADISISIHDPDKIPDVLKEERERQIQMMKQKQQNDYLQQLEKPTDPEPVP DQIVYNKKKKQDSTPKNQYSHPNTYSEQRSPRNMNDIEQMSQQPKITIQQPRQQTMQSTQ PKIPQRPVSATPKSNVHPNSKQPISFHESKASQKQSVKKPLKPALKVNQKTSKPIPQNSQ PQQTIKKNPNSVIIPEPDEIKDIPITEWVNQVYCPPPMFLDKKVYVHKVYNAPEEQVEKY VKPAKFYSIGIQTDFQLPDVKAQQTEYSQDPQDYTPKDRVQTQTVVSDNYQEDFESFVQS QSQFSQSQQLKQSKTPRNQPSSNRRTTSIQEAVDEDVISDIYSQDFEQISQSQTFKRSLS KKDDISDSYIPDFESISQSQQFRKK >CAK70151 pep:novel supercontig:GCA_000165425.1:CT868074:566646:567957:1 gene:GSPATT00007591001 transcript:CAK70151 MGNKQTPAQQSIQAYIKHLKTRKETLNLTGLKCMKYDNIIVTIDQWKKMSQKLLQIQYDY PKYNQRIKKIILELKIFIENINSEELIKAFQNKQKLEEFLRLDNSEAYPCLNLSEHIQID QCQLHVQSLIKSTRIKRRILNNINELKKQSKLKNLVEKEILQKDNFIMIIIQKYCQIVLS TFQQTNINELNQEIIDHQQSLTFITKFQQKLLTDIYMKEIDPDITLKTIFTNEKIYLHYI NFLKCTNSEELKVYEQQLDLKQNLTLSDLGAEQAFWLSEKKDPYSEVVLQLNFIQKQKCP VRKFELIGKLKYTICKCLDEYYIQHPMKNQKQLQVDSDHFLIILIYTLIRQNQPTFIIHL KFILFTMSKEIEIDRGQNSWVFVSLIAAVTQIQVKDICRTNLQNCLETVIQSQFNLEGM >CAK70152 pep:novel supercontig:GCA_000165425.1:CT868074:568088:568935:-1 gene:GSPATT00007592001 transcript:CAK70152 MATGGQILRYNGGTCYAMCQDVFSWYNPSIQICWKGCDYATGRKQKTCAKDIQLKRCGQK KENQIIWKTQEFMLICSQKTLEIFIEHVWLEFVDKNIESQQQNIKQYIAVSQDKQFLMLS FQSLNSKDSLDEFLPMFHNKDNKKAILNQQEQSVIKYLRQLGVIMKTDPLKKQQEHYQQQ LFMTLIRQIKIVQVLVSQPDNDDQDVVNILSPNDVSLLKQNVNELCTFNNKIILNKKRVK LEQERKHSFEDFDFQ >CAK70153 pep:novel supercontig:GCA_000165425.1:CT868074:569145:569758:1 gene:GSPATT00007593001 transcript:CAK70153 MSNNKEQIKAELEENRKKLAEKFGQTKMGSTLARRKHKNVHQTQINDDKKLKQVIKKFGV QQLGNIDEVNFFKDDNTIIHFSKPEVQAAIGSNTFAIFGNPETKKFAELMPEILNHIGPN QMGLLQELMKENQSKEKVEKIAEADPKDEDDIPVLVQGQNFEEASKKE >CAK70154 pep:novel supercontig:GCA_000165425.1:CT868074:569954:571238:1 gene:GSPATT00007594001 transcript:CAK70154 MVLTARQRDELNQAIHQYLLISYQQSAQVFKTEAVVKDGQIEADLLEKKWNSIVRLSKRV ITLEQQVEQLNEQLAQAQAGKIQFNKSDDEQRLTPFEKFKLEGHRAGVNCVAFHPQYQIL GSASDDGSIKLWDYESGHFEKTLKGHTSNVNCLAFDPTGKYICSASSDLSIKLWELKNHT CVKTLIGHEHSVSTVQFSDHGDFILSASRDKSIKLWEVQTGFCKKTFSEHQEWVRCAVFS NDEKQMASCSQDQMIYIWVIDSGQILHQLSGHEHVVEQVKYVPEHGAKQILTQQQQQNIQ TINLLVSVSRDKEIKIWNTILGTNLFTLSGHDNWVNGVSFHPDGVHMLSVSDDKTIRVWN LKEQKQKKKIENAHDKFILKCEINKFIFATCSVDQTIKLWLLS >CAK70155 pep:novel supercontig:GCA_000165425.1:CT868074:571322:573994:-1 gene:GSPATT00007595001 transcript:CAK70155 MDIQQFEKELVTKLQFKASSRIGVETVLLKIFKFFDLSNEGNLKKQDFLKAIAKAGVTIS DQEFGERLWTTYSVNEQLNYKEFINRVVGKQSLEEQAESRIVQTGNPLDQLRVKLQLKGA LGILNLAISLPSQFTAQQLQDYLKRNNIEVDGLYQLFNGEYMSASNLINQIKGQYLNQSR QGVVSDVFYTFEQREVNPQQLVNRFNADRHPEIKILNRSPNDVREEFLSGLSVYLQLRGI KMLTIQDFFDFYSYFGFYVTSDEIFKSILASVWDLNQFQQQAPQYGTPPQSVKQQQQQFN YSPESQNIITNPQIVQQLNFSPSQDKSVKSYQQQQQQQQQQQQQRQSVPKSVKSQQSNAS QMSSTSRQVELIVQRIRNRLISRGARGFISLYRVGKILDADHDGMLNLTEFRKAIRDHKI EVTDPEIDLVFQYFDRESSGLIDLWGFMFVLRGEMPQQRIQLIEQLFEKYRTNDCVTLST LRNNFICRNHPDLKSGKKSDDEIVQDFFEPLQQLHNINGGFGHENIPKEELLEFFSNYSA SIPDDKYFEQIIVNVFRLLQDGGKNHHAGNKQVFEPDHKRSYLQDHHRYVLQGGSVSANA PFGTFTQQEQLAQSRPSVGYNQPASFNIFKPLEDVKAIQNGSQLQQIPLSQSQISQQSQQ QQQEREQSVKSNKQKMDGVQILKNKISQRGLRGLINIQYRFQLYDKSHLNALSYQEWKNC FKQWRLEVSDQILDEVFQQFQTNGMMNYDGFIKQVQGTMSLRKFNAVQQAFESLPKATID IVKQQFSAKDHPDVRANRKREDDILCEFIDTFEQHHIVFTGGDYVKNPNVTFEEFIGYYN NLNVLIEDDIQFEQHIQSVWSLRRRF >CAK70156 pep:novel supercontig:GCA_000165425.1:CT868074:574300:575301:-1 gene:GSPATT00007596001 transcript:CAK70156 MQFESQNICIVKVYQTKIENPPNKADTLINQIIISNNNRFVCCIILYEKYKEYYNATVRD LKTNQVLFQHDDDADTFSIQFTPDSKYIFFLGEQDYIIFDLYTKQQYKLKFITYEAYKRL KLSFTEDSQYKLIQTNDTLETSHILGDLSEVYQVNIATFDWRFVSNKEAYLSTMQIMRQQ KLICFKVFRKKNTKLNVVKSFLLSFEPKYFQKIKAKIWNVNNLVVVQHHFGVRVYNLHNN KLIRNLQYQTKVKPICTFQHLENNKGYVVFSYDFLFNDSRTIEFMQFLPTVEYQSPKPFS KIQFFLGQNSALIQQELESDTWQQITFGSEEFE >CAK70157 pep:novel supercontig:GCA_000165425.1:CT868074:575323:576469:1 gene:GSPATT00007597001 transcript:CAK70157 MEFNLRNEQMIAYHRNREDYEPQPEDCLQFAYGDRRYVKMTNQIFASDDVLKLKNLEEIN EDFHRGDFITLALLSSDILDVLMSHLSNPHERIRELSSSAIVLISSIKFGRDKIMEKFYV PTIMKLLNDQVVNIRKNGYLSLLNMTEFQQGVDHVYAQGILVPLVNKLVEESVEDLLTLD LQLLEKILYCGDAQVEILKTQAIKRLTQLLKRSNFKLRSLACKNLACISYDANGKLDVIN QGCVLELCERLLDDHNEVITNATMALASLAQHNECKFQMMDNNKLDIVIQLLSHNDHQIK LNSVQLVTSLAEHPKGRKECYKCLPTLQNFSTDPQYEYISPYAIQAIEVIKWEP >CAK70158 pep:novel supercontig:GCA_000165425.1:CT868074:576503:577382:1 gene:GSPATT00007598001 transcript:CAK70158 MERDPFYSNEQQNELRPYKPTQEQTFFERFSYQSLTFKTTVVQFIIFFLTIFHTVYLTEK YLEDDEDESDIYSFECVLYTWGSKHTPSIIYRFQFWRLVCPIFLHGSFSHIIGNMMVQIY YGFILELTHGWKRVSILYIVGGIGASLFSCVRFYSETSVGASGSIFALLALELIYFITAF PGIEPKRIVVFILLAPMIFLSFIDAPPQVDIAGHLGGLVVGLLIGIGYAFADAQSNIKKQ CQYIFYGLVGLLFIYIFTILYQAKSEDEQICQGMNII >CAK70159 pep:novel supercontig:GCA_000165425.1:CT868074:577421:578529:1 gene:GSPATT00007599001 transcript:CAK70159 MNYVIKQTDLFLRGLKTCHSIDQNLYCYFSTNGILLLNNYQQFEDVDAKKPTFFFYLKSN SFEKLQTNSIHYKMPQNLSPDVQIKLQKLNASLDKLVFEINILQLYTSLKPFKKQIKKIE IQVYYTISQLRVLQKFKMIVFFKDSFFTIECPIIQLDEIEQMESIRKYMEKRKHLEVLEV DPKISEYLNDFNQKEAIQLILKKNSIEIHLNSEKQETSLELNSGFLTQYKFDDFFNIYKN VNTINIEPNVLTPFHGYGFKVSISRDSDQKSRIFISQDWQDQVSMVLKSITTLINKIKVI SILDDDHNDDELLDIIAEYQVDRVQEQESIMEDEQIQEQQIRKKIKMNFKN >CAK70160 pep:novel supercontig:GCA_000165425.1:CT868074:578585:578972:-1 gene:GSPATT00007600001 transcript:CAK70160 MARGTPAIGKRHQKTHTFCKRCGRQTFHIQKNRCASCGYPAARLRLYSGWGEKVARRRST GTGRMRYLKSIARRAKNGFRAGTQAQPKVKNTQKK >CAK70161 pep:novel supercontig:GCA_000165425.1:CT868074:579139:580070:-1 gene:GSPATT00007601001 transcript:CAK70161 MNMQCTISKEILRCETPSTDETNYDIIEQQCYLTQIIELIFVENYTYKELQQYFTYTFQQ IEQYDFFFDQIYKSVRIYMEKILQHIKQFSQITKPIDIKHLKDYVTIIRELEKSYKVTQL KHKELQEVVKSFSHKEKISQSIHCLRLMKYSFTNIVLRNKEVNDFLISLKQVLDKKFYSQ TQIYSKTKERLQLFIQLLNEKQQDCLSYYDDTKQQRPSITISTTNNNENAPLIVQNSNLV GKKGQQESNTKLNEYKKFEQNLEEYFQAKANIEKKEINVSQEWINRLKQKTKKK >CAK70162 pep:novel supercontig:GCA_000165425.1:CT868074:581309:582024:-1 gene:GSPATT00007602001 transcript:CAK70162 MSIQKRKRIFDSSDDHSPIRIVQKYCAANLCFDEDTKNHFIKEIEDRKKKISSIQVTFTK EEVDKVRDYTLNKLNLTAQQIQKQINQIKPTEINHKTFEERAIDFENKRNKIYTKFQEDI KVIDQSISNCITDQELQQQLSKYKVPATCITKWTCDGFLEQSLAEIQSFSTEIHKQLNGY SKQLQPPPSSDIQQLNSLLESTNNYDELQCDMLELEKQMAEFQKQLELEV >CAK70163 pep:novel supercontig:GCA_000165425.1:CT868074:582100:584402:-1 gene:GSPATT00007603001 transcript:CAK70163 MFEDDKDRKLKQANDNVKYLESQLTPLVESNSKLKESIHALQYYMEQRRLDFAQLLSYKK ERHLQINLSDMVDVYEWLKKDIENYLEQIQELETENKMFKLFIQQQDEETRNEIEKLNAT ILKHEQTITKQLEQFNLEQKKNSDHQITLKLEFNKKLENQEIKFKDLIQLIELEKDEISK KYQQLDDEYQQKLKKQKQELVNQFDKQERQNQTEFSKQLKELNDQIQKLDIKNRQHLTEL QNIKQDNGLLAQQCSQQQKNITKLNRQVENLLQTLKSNRDEITALNLEKTNLMNLIESLK QQIEKLNKKEIELQQYIKNQRDQYAEQQTKFENLISEQETTIKEKNSQIGKKELCIKELS DMNVKIDKTYQERLNKQRIKYEQLLYPNKLINPDQLLYKETMIQHDDTAIKDVIEDYELM LSQYGNQLESQTIKLQALEKSFQEKLNALKLQFDQKAAKLAKDYEQKFLRESKQQKLQIE ELTLLKQRELEAQKIKQNNDLEKLNVEFENKTQHYVIQIQNLQNQANEDAKIIDQLNQLI ISLQDNTYELKKSHEQQLEMLRSNHSIGKQQLTAAFEERFNKVNDELKKLQLEKESFEII RIQDQKALNEAKKKIEQQQSTITQTKEESKQQQQVIGEYAYMMEQYQQQIQRLNEVIKQL QQEVENSKQIYNIFRNQPTIMHRQSDDSHYKSDIKKAANTQFKFSRTKQVTRQSNRPALH NTSTTFILQNGGEQQQLPKVRSISTNQIRTTPRHHSSQV >CAK70164 pep:novel supercontig:GCA_000165425.1:CT868074:584629:586334:-1 gene:GSPATT00007604001 transcript:CAK70164 MRLLIPDERQQSPGEIIGYVIGVLYFFGMIYYIYRKHLLSLFQMFMNYLDDNENEEQYKA ILYSCLNSIQFLILCFYSTFSSESDLAVSTIIGSDAFMIFFVFSYILYKYPTHSHGVLDT WITIRDAFFYILSLFVLFICILLDFLNIGTAVILLVVYFANIIFIFNSENVKSKMMEWFD LTAEDEDYSCEQHLTYVKRRISITHLKDNNYIQTDDPTLIKKLALNNKASSQKSGKLKLI FQKIVYIIIFAIKNQVKQEKQFRVEYYLNMYKPKQRGPPSKKSKIEPASSVPDSHHQNIN EDIPLMENNDIPIEHQKDQEGVQENDQEQEQQQQQEQEGGKQKLTLPKGGLDKFLFILFF PAHLICHFIPTPKDDADYVNIILDLLISLAIVTGLYFLIDWWIFEIFDGTGIPIQVLGFI FLGVLVSTQLAYHQIDIAKEELQLKFTQAFFQTAICKSSLCMGITWGIQSLINIDTGLVR RSSKHSKTFAVCIIIVCGLVAFLLFQCWLKRFILAKSEAQKYMVVYCLLLIIIAVIVPLD VIK >CAK70165 pep:novel supercontig:GCA_000165425.1:CT868074:586357:588551:-1 gene:GSPATT00007605001 transcript:CAK70165 MSLQTRSIERGSVDKSQNCQQLRIFIEDMTSLIGSALVEEFRNDHETDINPNIIVGNGEN LPRGATKLINAADTTELGQVILDCDIIIFHQNYCQAEYAYKLLKYGNYNSEKTFVLVSNP LTWSTTALKEKKSEETAFRQSIMNEDETQLFKKYERFTENDLPIRRINSFERLKHLEQSI LGCEKKELNTYVITPGLVYGNGEDILYELFKTVWMNPDAELPIHGEGNNIIPMIHVNDLA SIVRKATILNPQDKYIFAVDYENMTQKKLVTTIAKCIGNGKTKTVTNCSEKMLKMNVWLR PTQIFDSNDQINDAQKNSSVNWEFQEGFTKNIYKIRDQFKLYRGLDTIKLIVHGGPAAGK SYLSQQLSNYYRIPHIQIKKLILDLIDSPTKLGELLKTTLQQVKDQMVTEALAVFEAEKK KKKVPKGQPEPVFDASTIIPRLPDHILIQAYKWRLEQNDTQNLGYVLDGFPKTLEQYELL FQNENNQVIENIKPKGVIYFDYSDDQLKEKARQIIDQPRYTEEQVSRRIVNFRKSNDSLL QQYEKFDIKRINLSESAFDQALEFITRNGPILVLQEKKEEDYIEIEVIQEDVSPELQTAQ QQESNQQQEKKIPISQSQVQQSQLGVKKKTDQLNKSLNESRVSKEKQKLTDEQKFEQFKQ QEKEQLDLRSQPLRQYLADNVVPYLAEALVVLCEKHPENPINFLADFLEQKGNELQANGQ IGQ >CAK70166 pep:novel supercontig:GCA_000165425.1:CT868074:589014:590587:1 gene:GSPATT00007606001 transcript:CAK70166 MSLLLLSFGQNIQGTLATHYDLDGNLISEEVQQSTEDDYSSLNSMDSSMDSSMNLNVDEQ QNQFQLDENLNSMNSMDLTDDIAPLQSGEVDNNQTNLVESEFEISPVINKQPEEESSSQY QEYQQQERERENQLAEVMQEGNNNDNQNEITTTEQQQEESTQIQTDTFLQPVIASQEQII DILQPQEEQEIINSLKQSEDIQDSFVNSQEIQSSFDENDSMLQNIDPNEINSNNFIANTE TSNNDEVNQQQQQDLPQGELNVTQQEIQSNEDNQLTKSEPAAVENQPSEQVSNQNIESQT NSASPQTSIQQESISEKGIDQGVDQTAQTQILNDNITPSQSEIQENQNKQNDIESQSQTQ NNNESQSNGEEKHELQKIENNITQEQQPENQEKLNHQNNETQNIEELNTVNIQDDIKNAN EIKQANCIVIYSQCEYQGFALEVCNSLKEIEKFKHEIKSLYIPQGYGLTIYENQNFNGKS HRYTSSQACLSSAVSLIQLRMDIQLAHQNLRGNK >CAK70167 pep:novel supercontig:GCA_000165425.1:CT868074:590635:591915:-1 gene:GSPATT00007607001 transcript:CAK70167 MTETIENLRDFNYYQLSKAVNNTIVLAEYIWIDGSGESLRSKTKVYQTQIKTLEDLEWWT YDGSSTDQAVTRFSEIYLKPVRVIKDPFRGDPHILVLCETYLPDKKTPARYNFRWIANQI MEKAKDHKPWFGIEQEYFLLKRTGTTHLWPLGWPTGGFPYTQGRYYCSIGERNNFGRALA EAHLRACLNAGIKIAGLNAEVAPSQWEFQIGIAEGIEIGDHMWLARYILERIGEEFGIDI NYDPKPILGDWNGSGAHCNYSTLTTRSEGGYRYIVDKLMPILKENHLEMIKLYGQKNELR LTGRHETGKYDQFTWGDGSRGCSVRVPIITKEQGQGYFEDRRPAANIDPYLVSAALVDVT CLNGEHLKQLNSIFEDSLKPLGQQIFQQQKEQFQQQNQ >CAK70168 pep:novel supercontig:GCA_000165425.1:CT868074:592620:593623:1 gene:GSPATT00007608001 transcript:CAK70168 MIDQINQLKEQIITLQQNEKDYQDLNFLFTNLEHRYNLLAEEKQRMEIDYNRRHEFNMQS VARHRAEIDQLRNELMSCQNNQEQMEYLVYENQALTDEVNNLRDAYTKIVQQIQEQDEKY QTTLAAKQQYIESLQFQIKQMNDIQTHKEKKFQAENENKQQFVSEMESYKSQNEKLTVDN KQLIKQIERLELQIQNYKDQLNQMTQEIGKMQHQMGMLTKELGNQNKVSAKEYQLDHELK SLKNMNIQLLQKNEHLQQQIEQLIHNNQQLILECDTLRIKFAEQENSKLMVQNQYAKSLN KFQEERKVIKDYLCKLICYHQNDKKS >CAK70169 pep:novel supercontig:GCA_000165425.1:CT868074:593739:595790:1 gene:GSPATT00007609001 transcript:CAK70169 MQYQTFKPTILNDTTNQQQQGTLRPSSKFMNQKIDHTNLNNENKKSTVQYQEAIEYIQEI EEQKSIIEGQNQFIQILRNSIESKLQIEGFQMILDQVEIMSKEQKIDLFALVTQLYQELS IQNQQNAQVDNLQQLVDNLNKQINCYAKELTELKVDKQYLLEQLDQLQGVTKDDDTSLFK DAQISKLESENQHYKTQNQKLHLQNEKYQDDLAQLEKRLNKIHLNLKTEECEKEELIKEL ENLKQTFYKSQVKEEHKLELNLALKEQELEFSNNKIKRLENQIKELNAQIEEQQKQIGTQ KYQIENLQQQHQLQYDQLEIEMNQYRNEIHEQSMFCEQLQSQLQASDQYIQQITDEIRKI VKTNDFDIFNILTSLQENISTLNLNEQRLKQSEHEKSQIIFDLQHQFTDSQQNNQQLSEQ ISLLSAQTQQYQSDIKQLEKQKRLFRQEFTHMKQLEEQKNQEIKELQTQFYKFDKKFSEQ LERSIKIEKENAQLKEQLSLSQQQLASAKNQVSNKKSLSFSQSSFTEQKIKNYDYIALLQ TFEKVKPLFPSEALELVQEMHSLQNKLVRTEEIDSQLIQEEIKIQQKISIQQLNLKNMQA CLSKIMSENKKLKLELQMIQPIQGEQTQSQECLSYDQGRSFSRFHKQSMSVHTKPKFQ >CAK70170 pep:novel supercontig:GCA_000165425.1:CT868074:596322:599637:1 gene:GSPATT00007610001 transcript:CAK70170 MHQHDQNQGSLFLQIQANINFNLQYTLEDEHYYQLPKLIVGNFKGKRTHNNVNIVLSFQY KQDIQKLDDDLKDIAETTVLKLKDYAKVWDVDSYDQQQRYYFNIPPTSFLHKIKEFELPK NFQAYEYNAVAKLSEKQIQQMKETGTFEVPSRQFPIPYVWQISSWVIKGTELDFIKGIQN IETSKYLDLARAYKAYRTWLAYIAQDRDDLGRNISLRSAIYNIGIRPLVIFSIFFGFKEM YSYVDEQKLLALIETQRQFELKQLQNVNVELYKYLKLNPYDKENLLAKQKYEDLQQCQNE FEIERNGIRLQMQSIYDIQIEKKTLLQAEDEEIVEQHQYLQEQINQLQCDFVKKYNLYID KNIDITIQIEQAAYSAQKQFWEKTKYPKPIVYQLISLGDCLRLYDYHYEIYQTKCNTAIK TFKEQIKQKHKIKSNFPKFVEEETRKFKKDVKKQLKQQFVSNFIADMKQLNLSEQQVYEQ FQQCKKQMRENFKQMRSQKKIENKEPSIKIVVQKRLFPPYEVYQERDRYYLRRFKDYHID SSKAGWKLKLIGIRYYVWTVNIIFWLSANAVSGPIGIKALIKSEKFKPDVVIDSTTGKVK PSNFTVTPLIVKFFSVLEGMRNSRCHFEGSPDTGLFGKNLYRVFNLIEVYIFRFLLVGVI GVIIILPTIIISNVVISTLLALTAWLWIPITIIIRILFNSLIYDTDVCRRREKDIIWRSP NWFPLIINIFDFLILGILNTIYCLFKLLIWHPFWITFMAAFAYLRFYTRSLYDSFTIIII KLMGRVPSSENNIAWKISGPGLSRQYFYGIQLPQVLILVQAELEKKMLNLYKQNLINLIN RPSQDLRQKINPFFQLFNAQFSFDDPACGYLTNQLNKQIEERLSLFPKLNHQIRFSEDEL KYILKQVKSFVEKDMKSYIWDSYQVQKGDYNLLSEKIMAAIFGSAVLDPFEDLEMRFQLN ISQNFDFISKVDKALDGNILFQLPTDYTIQPKKLGENNVIQKKFISYQQVLQNVWNWQSL KQSQSSLNSKRNRCLINYSIDPKQ >CAK70171 pep:novel supercontig:GCA_000165425.1:CT868074:599925:600731:1 gene:GSPATT00007611001 transcript:CAK70171 MFYYGQLVIGPAGSGKTSYCNILQEGSFKRNIQVVNLDPAAEYIPYKCAIDIRELICLSD VMEEFEYGPNGGLVYCMEYLLQNWDWMQDQLNNIAQDDYVLFDCPGQIELYSHIDMMRKL TQLLVNSGFSISSVYLVDINFIEDDAKFLSGLLMALSASMTLELPAFTVLSKCDLMKDKK KLKRYTKLHKFNEESEYINQDEFSKTYKSFTSGISELVTSFGIGRLLCLDTTDDESIDNI LGEIDYAIQFGDNLEPDDKLYDQAEQQL >CAK70172 pep:novel supercontig:GCA_000165425.1:CT868074:600784:601764:-1 gene:GSPATT00007612001 transcript:CAK70172 MSTDSQRNYDLYEKIGPLLSNLSSINNNFEQQKKQLNSLNYSIDKMNIDIKKKEMDEKNL QWLYSLQERENKLQMEISQNEAKKAQLENLKKQNIFYEEQIKILNNENQKLKIEYLQIQQ KNEQLISQQEIALKEQIKLVNEQFISRIVQLEAQLQLKQQNEVETSVKIAQFTNQIKILE SQKQIFQQECEKFEIVKQTLDKEKEMYINEFRFLKENYMKMIDKLQEEVHKLKVQNHSFN EDNKSLKSTVEYYKNRFQDMMDLQEMASLNQDNSLLSLLKSKGMQNITKQDLVQARDQVA QDMIYQKGFQFGNAWDEVMNDISKLA >CAK70173 pep:novel supercontig:GCA_000165425.1:CT868074:602481:603125:-1 gene:GSPATT00007613001 transcript:CAK70173 MLSILCKHQYLCQDFYKQYTIKYYTSKQYVRKFHSKNGVPQCSPMSPLFFNVYMNNCLRN YLKNLYFIVFLQQQIICNQVQSTQVLYPSKKRSKNHSNLNEKIKPFTPVQRSSIPCIMNS TSFKLLRLNVSFQKLNIANVWTLLLLQIISKLPFVLRMFSSEIQMYVFHVQFQVQITQTI QISDRYFIGEKLKWNICQSYCVRCFDKIARLIRQ >CAK70174 pep:novel supercontig:GCA_000165425.1:CT868074:603480:604579:1 gene:GSPATT00007614001 transcript:CAK70174 MELTNQSGIIQIEKIKRIISIASILQKQKKKKKWVLIKDESTKQLKEFRIRKIKKSLETK INIVQVSQDSTLKKNLNDSIYESFKDNTLNKMERFEEALVDYDLAILKNPENSLYFFNKA GTLDKMYRYEEALDNYDLAILKNPENSLYYFNKAGTLDKMKRYEEALKNYDLAILKNPEN SLYYFNKAGTLDKMKRYEEALKNYDLAILKNPENSIYYFNKAGTLDKMMRFEDALKNYDL AREKSLGISDYQNGKANQK >CAK82855 pep:novel supercontig:GCA_000165425.1:CT868450:3:939:-1 gene:GSPATT00039519001 transcript:CAK82855 MEEEMSEVVRQFKPKFRSIHKTQIEDDLSENTASSLHQIELLRTVITKNVFNIVRIQTID DRWIGIQLRDTYVTCGWLLSEVIRKLSQLRLNYDPADIVGFKTNNIHLDYHLSCLHYNLP NLNGVLLIPQIRQQLKEPINLDWFEIIKKLAAGGFSVVYLVKNKENGQFYAMKVIDKRLM IERDKEEMVFNERQILTRLNHRRIINLYCAFQSKSKLYFVFDYCPGGELYYHLRKQKRFS EEQAKWLFIQILDGLQYLHSQNIIYRDLKPENILIDQDGCPKLADFGLSKIVDNQEQLNY SFCG >CAK82856 pep:novel supercontig:GCA_000165425.1:CT868450:972:2697:1 gene:GSPATT00039520001 transcript:CAK82856 MQIQDGNNLLLNLSNGPIDNSKRSCTNVFCTVLYSAILLSILGIGLYMNQSGNLLLIDRG YDPDHRPCGIDTLRDYPFIYFTTLNSDFLWKTVCVQECPSVTVPKYKHLQYNPPTTAAVP TTTTTPIGTTPKFITKMCCQFNSNFLQLSHIIQQHQIVCIPTDPEQFKIVQEALKMGFLH QMISDISGAKYTLFIFIVLGICFTLAFTYLLKWCSKTVIWFIIFIIVVLSIFFGYYSYLQ YKAASSMTIGLSPTGYLLQAIIWWCFGLGTIILTICFYKRINLAIAIIKSASDFVTKNVS IVIVPVFSTIATLILTVIFIYIAFIICSTGTPGDKQQQWPFGQLKYTLFEYFSGFYLLFA TFWTYALIIGVNSFIIAGSVCVWYWQQGKSGQEHVQPLNSSWKRCFVYHFGSIVLGALLL GLISIFRSFFEYLYRNAEYMRTTDGCQFCFKCCACCIWCFERFLQYLNQNIYVQINMTGD GFFHAAKKGLDIMSNNPSIVMQVAGLGDLINNIARIMITLSISMFFFRSI >CAK83116 pep:novel supercontig:GCA_000165425.1:CT868457:822:2121:-1 gene:GSPATT00039529001 transcript:CAK83116 MILNSHLMLCCLFIYLNAQWYQQSAYLIQNKLFIESTGLGTTYQTEGFINSTQQLSANFI NCATPNTSYITLNSKNTSAQKDSPQLIPSGGIISFDLYFHDNWLNEAVTFTIESFYYTYT YTSPTTYPSSIGFCNSTPYEVKTINFTVTSQVSGYIKFSTNVAGNGQVSIRNIIFSQYKM NCFPTCSTCTGPEYNQCTTCYQGYPTHNICPQCPLNLFYRQNQGCKQNCPFSEQKYVNGF CQTQLQSYHISAGFWQFVIQSSSPPPSIFSQYSLIYDPQNIDTSPQVVQNGPTYLFGIFK LNSGPYRFIIISRNLNNYLIGLKIQLLIFDNIPLGCGVQIKINNTYYGSIFSTNSEVLSH KFKIEEVNIQSTCISPYISCQLYKLYGSFDIPPYSFLLTVQGNYTQSQSLQKTQKFGSWM GCKLY >CAK83387 pep:novel supercontig:GCA_000165425.1:CT868464:205:578:1 gene:GSPATT00039536001 transcript:CAK83387 MSKKFKENGFEELDILFDIQKEQLQSMEIPLGHQIRLIKKIRELKQSVEVNQVVIQNELK YDQLEEPSIDLSFTKFMQKSSLRNEDQNIVKKVTFQQNNNQEEDQQIKLFFANPLS >CAK83388 pep:novel supercontig:GCA_000165425.1:CT868464:605:1582:1 gene:GSPATT00039537001 transcript:CAK83388 MHQNHIGENTNISIQTYSDQNNFDNNIIAMSISKQKPYDEQNAQLLRIQKVACWNCFKLL IKDLIKVQCNEFCNLDCYKNYKNLYHAQCVNCNQSFDIKNGIMLNRCNFCREKCSEKYNF QIMKIEMKISKNNSQSLKVAKQKKKHTVKKKLLIQILIEIILIYIKLQFLIIEISSINHL KIIDLNHNSKYHLYIYQIIYIHKDIIILLYSLYSLQQKKWYFNFRRDFGQNISVKDQNLK VLIFKLQIIEFQSVYLFWILLLQFVNSLNLNQIGLCVQVNLFCLHLMSRKIQQIEHKTF >CAK83389 pep:novel supercontig:GCA_000165425.1:CT868464:1613:2575:-1 gene:GSPATT00039538001 transcript:CAK83389 MRATICCHCKGKNKFYSQSVPIYTFSNQIAQIGQYVFPFQFQLHPNLPGSFHHKNQYDCG SISYNVKAKFTSTQPNKPSIRNKQEFMVREPIKQNVVGQEQESTTNLTECCCNNKGSSRL KSFCDKNHYLPGDTAQLTIEVDNSNCYLNIDYFEIELHQVLILKANYSTTKLARKILTQR IPGIQARSKNVGSESRNVSITLLNQIRPQIELTPTTNGKLVNQQYYLRVNPKFEGCICCS QKPVITFQIVLLYRIPSDYIQPLPQPPDWNPQTFEPVLIDFEHQYQMNIANNNMQQNDPF MSKY >CAK85771 pep:novel supercontig:GCA_000165425.1:CT868546:2:574:1 gene:GSPATT00039729001 transcript:CAK85771 IFKKLLFPLTNLFKNKGKNKKRKVIFFKIQKTLFLGRLITSHFKIIKLFLLLQLQLNSQD ILKHNQSYFRCSHFFNLTYLILFRPVKSNFEQAKLICREVILLINTGSFLVYSLELNDEE YIIYGWINIGLFSFLIAFSLVVDIFEQAKIAYSNHLKKEKMKEKMRIMRYFYNPLQKFID LKNFDIEKKK >CAK75735 pep:novel supercontig:GCA_000165425.1:CT868212:1:3574:1 gene:GSPATT00039073001 transcript:CAK75735 IRAKWLMLILPMDLLNILKVGLEKSANIMEIQLTSQSQIMWMEWRKPKKILSKLLKIQCQ MMKKLQRRRLQLKSQRKPLKKLLKRRKPKRKEKIQKEDKPRRINQQDAEVITKKKKTEEK TENKQKKQEDKKDEVDEQNENGEEEEEEDEELCEPQDGNKTKKVVKKVKRDGPPSQSGQR TRREAKQEKKMAKKQPLPAICYGNKNYVEEVIAEEVIQSSDKGANYLAVENLLNDVVSEE KHDDHNEHDRSVKLTSVKDEFNANEPTQFQPPKHRASSGRVQEKKAIAVNTKYFNSEFDG EPTQVFEFEDRALLQDASEYGYGYWVRFSEHSIKQHAREEGQFYFLSRMTTNEEYEDFTF YGDRTLAVFLYDNSFVFSTYDITTQSKTKDTNVVLNENMDSVWYFVAYTYSSQQRKAVGY IVKYGQGAPKYRIEIEAQHVPPTYVKFIFGGKHMDYLGLNGQFANIFYDVDAPAFIDGDD SLEETINTLSNMPQQLPILIEETIISKPITISGNEKGEHFHFDPQESQLLIEEYAVAFWF RWVDDLKVDEPNAFQLINLRSNKVRTQGKGVLGDRALEVHHVYGGGIKSNVYFNTYTVYG NRGKGSVNILKSVESAEYIWTYVYFGYKEGRAYGALIKPQVTGEVKFDGVYHKQANHLSV TVGGDETISPFNGKIAFVGIYLGEGAYREGLDFQTTFNYGEGVMAVYQVGKPITYMGVDQ SRYVEFDQADNVVDKIIIHDENAMKINGQSEYAFGLWTRWLTTLPKYLNKRAPVHNIARV DGSELIGGRPQTLKDTTLACVLTQESYEFQTLNLKDDIPFTNLEGQWNYVYFGYKRQGDT GVAKGYIQFGVDGEIEEVAFTVYHDFLVEYVEFIVGKTSAPLFNGELARVTFSFGPGAFV PNKDTLRLFTQNSLPEKAQIHPVTRQTLQLFGSAITIAEDPIQFEFDKFQGAEEYSVSGW VRWAGPLVTGKESHVITLAQKRLLDIDGNKEETLQIIRGDSLYRFITYTNNGKLIPAEQE FHEYADQWTYIYYGYSKFAFTDAEFTQENISHFYLAVFSIIVGHGRQSYVDFIGQMKTWV VNVGFGAYREGNFEEDENIKIHFGFISGADHIKQAGQEAHHEEKVLECATKDEEPPMQIH FDMDMDFG >CAK75736 pep:novel supercontig:GCA_000165425.1:CT868212:3626:5719:1 gene:GSPATT00039074001 transcript:CAK75736 MGVARLTSNKEYKDFDNPGDRVLLVVMGRKAFSFGTYDVITKSNNVGGDLEYRRESEGEW QYLYFSYKRHTQQEGHAIAFTQFRDHTEGLQMDVLHSLLTNYLYFSVGHAGKYYVNFNGQ ITKVRINLGPGSFIENKADLLSKIKTKDTIPEIEQVSKNIEIISGIQDVRKLDEKHHLTK FEQEIREYGVLIVVQMVQESKRALQLMYRLTTNGEDTLGDAQKIGDRTLVMCHTEGLEFS TYSLGDKTVQLNNAYPVQIAKQNLEVWTFAYFAYSKESQQIVYYINSEENEQHALESALH AVSSNYWFYLAKDALLNSYDSRLAQVVLNLGSGAFRKDNFKGLMVYLQSPKLFNPNAKLQ WDYEEDELVLDSQDAEKQGVTVRIAEPNQKIESIQEYSVGLWLRFLQAWPSRLWNIPSEM QIFRLTSNEELENGKIAIGDRVLSAYLVHDNFYFGAYDINEDAPNEISTISYSSLEGRWH YIYAGYKRSIQEAVYYVYDGEHIQKAKNEQLLQRPLNEWIKLILGGEKNVAGFHGLFSQI SAHLGKEAYVENEEQLLKSIESSYALPLELTVGYIQKQKKGQVELEQYKSQELTQLQGIG EYAISGWFKIAEVQAKIEGEINSPCQVLFRITNNDEEHLSDRKQQGDRTLYAQVCTSDTV KVSTYSIAGLKDWNESQVFGGKSRNGKFLNELGHTST >CAK75737 pep:novel supercontig:GCA_000165425.1:CT868212:5821:7246:1 gene:GSPATT00039075001 transcript:CAK75737 MFVYLGKDSFSRKFQGEQHKWELLFGSGSHTYNEETMPNLRYIIKNQKNMWFSKEDKKID TKFEQSFDKEVESVDEYAVGMWTRWLIAFPTTLTERSDTHTIFRLSQTLEYQDKAELGNR ALSAFLKKGFYEFSTYDASAPNNAVDAKVNYENVEGEWNYIYAGYKNKQFYGLIIFRDRE HVEEVKLDVTHLVLTGHAILVLSANEFGYKAFHGWIYDPRVFLGAGAFINDANSVVEMVH KLHRKVPISSQSTEGFGWPVKMLDSTNWDDLDEKKSELKYEFDDKQEMLSYSVGFWYQNA PLLPEMEDEFRGLLRLTSNNEEVGQDNQFIGDRTLALFTKVNELVAATYTIKDPSFEPLQ HLFTVKPYQWTFVYYGYHQHEVRAYVLQPAGIQEHTQEASHMIPNKLYLHLVNDIGHPSF WGKLYGLKVNFGDGSYLEKPQQLIDRWPFDPNKIILTKQETGSQE >CAK75738 pep:novel supercontig:GCA_000165425.1:CT868212:8118:9172:1 gene:GSPATT00039076001 transcript:CAK75738 MSTPESLNPAPLTSLGDHSFKKVLEDDYSTGKLNLKLKANTKNSGSANYKGWLDISKMQS QQETKFQFPYQNYTLQLATRDNGAKVHIDFGQVAKLSKGNISLFANAKLGSSNISDAIVR FGGVTRWNDITNHLRLEYNAHSSVVNVLSRTVSKVNDLTFVAVKDFQANHGFGVRKLDLL VGYLQPKYDLFFRHLTQNPDKSKSFQSLLSGRLVLDLVYRQQQQTYGIEAQYNLEKAKLT TLVGLTTKLNGLDVKAKVNTSTATLGLSGKGKFNEKFNWTLSTELPIDGQWPRKQGVFPV PVGFTIDTSL >CAK89666 pep:novel supercontig:GCA_000165425.1:CT868656:2:7530:1 gene:GSPATT00022838001 transcript:CAK89666 FYLSSCLCPPGQFDVGQLECAKCHYSCFQCFDNTAEGCINCSIDFHLRVLKGNTCKCIDG YYDEPGSSKCKKCSYKCETCEEQAEKCLSCPLNQLRTFDSTTGCLCPGEYYDQQNVIICQ KCHFKCKNCSAQTESSCLSCDPLSYREIKLQQCKCQPHYFEMEVQECAICSALCYECVDR LDNCTSCYDDRYLIGNKCQCASKFQGASISTFEFNGMCHYSCATCGGKEEIDCISCIDTD NRYQVGNTCVCKEGYYDAGLPVCQKCSYKCKGCSKQSEYCTSCQDNSLRQLVSDFKTCKC NQRYYGMMDKNEVCQQCHYSCLRCNDIDTKCELCSFESNRIYNDQLFSCDCDFEICQKCH YSCLNCNSGYSSSCIQCVDSNISNRVFYNNTCKCLFGYFDDGQSIKCQKCDVQCLSCINQ SYQCLSCPQTRKLETNCKCQQGYYDIGLQLCQKCNSICSACEFTSNNCTQCDSDQFRELN EITKTCDCQIGYLELNGICQQCDQSCKICQQQLNHCTSCVQFRKLNNNNCICIDGMFESI QDKQCKLCHKTCLTCAYSDQYCLTCSVDNFRIFKSGNTCECIQGYYENQITYACEQCSKS CLTCSQQYNNCLTCDTTLNLSLINNQCLCSQSYYFNSLTNSCQQCNITCLDCQIQNECTS CKLTTRHLDQDQKKCICNDGYYETNQANCQQCHLSCETCINIDTNCLSCMSISNRILTNN QCLCIQGYYDAGIEICQKCNGKCKTCQSSALTCLSCYQIEQNRYQSTDKCLCKSGYFESN TDICQKCSNECLTCQGSPDYCTSCDTNSKRIDQSFIHKCPCIIGFYQDQNQICQKCHYKC QTCINVSDQCLSCKFELNSNRKSLSDQCNCKEGYYDDGTQSQCQKCNVKCKSCINDSNNC QICQNSIRFKPPMCNCIDGYYEDSQLTCQMCAYQCNTCATQPQNCLTCKPGRSGNDCKCS DGYFENGSILCDCINYQQSHIECAFQCDTCSNSSSNCNKCKGNRIQEPQCICQPGYFDDQ LNEDCQLCDSSCLECNKFGCLSCNANRIINDDMNCVPPPNSIWYYNTPWCSTCQVAVIKV YLSDDIRRIIIHFDFVLNSKSFNSQFELNKCLQLFELESVSNFGLNCQCFLDPDDNNQLF ITLGENSKINVGDELLFLSNSLSHVNCETTLNKFIFPTLQLPLNPLAPQIEYIVPLHKLN PYTENSVYLKSIKNYGFRKLTNINWTVQAETSQITVSLSDFITQINLLQEQNLLIPKLTL PGDEILKFKIQYENFINIHSQSEFTIQTHSGCLPYIEITAKPQYFVYETITISLSANTMY QSNETDSSKYQIEISEIDRQPQKSSSSQLNKSLQSNPFEKNQASILKYTLSPNTTYTFQI NASNLNTNKAQQQNLSIYIPYAGLICKFNNKGIQSIRKDLNLQIQCRDLDTIYDWNTDPD LYVQVASLAQINQNNQLMSMLQIHFNLLRRIQFLPQLCRNGQSQQPNLTKFTNLHKLSFF LRMISLFLDLEFNEGYLMRQVNNYEQLNFTFLIPFNQKSQLLDLSIALIYNYQIIAILQP KYFSHQFKLFNSLEELKLGNNINLKFTAQYTNNIMPSLNTIKLTINQPPICSKLTITRSN DQALSNMMVTTTCQYSDDSPYKYELRVFLREQDLTDFLKGSSDNSLIFYPYQSQTQFQIL ISYFSGFFKNWAFIIRGSTTPIYELITSTPAKINCSQIQFTNLNLQNKISLLFEAMNQKC NQLHNQIYLDLLYQQILPDQNDNILKFQAIKLYRQFLIQFGQTKPKNRLLFESNQKGCYD KNSGRFFITQNEDESNSNSTTKIEELQDNVKKLDSSFKYFRGMKKQSEEGLNQNNYVWNQ ELFQQLQNFQGGLTNLLYFVDELYSNFLSANTTNTTIYSSIVELLKYKSTITYEIQNNIV VNDQPLYIEGKDMIFQLKKRTKKIFNQQFNVEPTFEDYIIDFIQYESTFLSINPLIFSPE QDKILQQHFKDKTMQILSDNYYLIKLFNVIQNRYLKNENISSQFGTKFGTYESCSNQTQK IKEYEILCISRTVSGGFSQCNLNKIENNETIELTCKCQNFGEIFLVSSTNFSVDVFNNST EETNFTITSIKDDFFILIICTSLLTIILFGIYIFQLIRDLKDNSDDEMLQEVCSVKVDVN KMMYKGSSTLFKQKFKEIHQVISLVNYKDQQIKFSYRILEVISQINLLLTFNIVEFYFQS LNKPLIFAFMIVNPIIVFILRIAYKIIEAIYRFRNIPAIISSFILIILLILPNIVLFIMY RTRSEAQSEIYLMAIIFFTNILISQTIIEAMAIFGRISIYRYIASSLKQMEFNPLFHLMH FFVLHSCLEDIYDEFLKI >CAK89667 pep:novel supercontig:GCA_000165425.1:CT868656:8033:9040:-1 gene:GSPATT00022840001 transcript:CAK89667 MYNNNNRYYSDDPNQQLQYNQQTPNQQDPFNQNNKQPQQLAYQIGNVNHAAFNNPMAVND SECSGLVSSADSDIRAGFIVKVYAIMSFQLAITFLLILASYYFQNVRNAIINASTIQYTP LTIFCFVIALVIEVAIFCCRKVARKVPLNYILLTIFTLCFSTVVAAPCIICFELLSNGVQ LVIIAASITVAITIMLTIYAWRTKTDYSAAGHFCFVLSMSVLIMCIIGLFVRNIWFHLFI CTICIIIYGGYIIFDTQLIIGNHSNYLTIDDYIIAAMLLYVDIVILFLRILEILMIIFGK T >CAK89668 pep:novel supercontig:GCA_000165425.1:CT868656:9086:10287:-1 gene:GSPATT00022841001 transcript:CAK89668 MKSFGFSKFTLLDSLVNYKAPHVPIQNSDCIKQTKSKSKEPFHSPKNVQLQQEFPKHLSQ SVELQSESQEQLQPKRKLTLNRIRNQSLGAPSINVYLEQIEIAKANNRQIKHDMNKVLNN VSHYVSQIKADQKSKKYIIAPTKNNFSTDNKFKPINLDSKDTIDMISSNQSVKIPQHDIS RSCLSEQSTARRMQSDNHAINNLIELIKEFHSKLDQLKKLWQNDQRLEKFIKFQVSLKPD LQAIFFPDEDTNHIHLNFQQLQKLFILPKVNSQGEIWNFNAGYQLRDIANSINKILEQQK FQELFDIKKSILESSKTIKELEQHKVIQKYSLKKDYNYLSFQSLLMSNEDKDKNVDKMER QLGSLGKVTLQNRKMSNMINDAIHHLQQKQIN >CAK89669 pep:novel supercontig:GCA_000165425.1:CT868656:10318:11345:1 gene:GSPATT00022842001 transcript:CAK89669 MELLRRGFQSLVQIHSKLIHKYYQHLYRKRKLRTFLMGVGSLYLLRRLSQLAMTLTPSLR RNLLGIYGEESWAIVTGVSDGIGKEFCIQLAKQKFNIAIIGRNAKQMDQLCLQLQGFGVQ TKFIVADFNQGYEVDFYNKIYEQLHYLDISILVNNARVLETGQFEQTKMEDSFSMLRVNA LSTLMMTKILINKLQNRIKKAAVITISSGLAYLPSPLVSVYSGTSAFTNYFTQSLSFTSQ KIDFLSATPLSVKSKMDNTKDPDIIETSEFVRNVINDLREGKTHSFGSCRHRRQINFLLW RKQSVRNKARILLGNSIRGAQLETGRQEEVQQ >CAK89670 pep:novel supercontig:GCA_000165425.1:CT868656:11380:12780:1 gene:GSPATT00022843001 transcript:CAK89670 MNYFLILVCVLLCYSEIINEKISQLPSDYNHKWYGGYLNDNQIYYQFLVSQSDPDSDPLF MWMQGGPGCSSLFGSFYEIGPFQFKPLSNESFINPYAWNKKANLLFLELPKGVGFSNPSK YQNDASAAQDALDALLDFFVQFPNYENRPFYIGGESYAGMYIPYLASLIINQSKNTINLK GILVGNGCTLGSECTDLKQLPLFTSKYQFNIYFQRGFLSLEDKQKYDQLCLDFTSPRCIE LQKQLLAKIQYSRVDINNLLGECYHNDPDVQQGNGQNKRNHLNKRKRFLHFKGITELPCN YEYGNYFMLNNKTVQDIIHAKHMKWGSCSSSLDFKEDEQGSYRFYSQFLHYGLKIWIYSG DVDSNVPITGTLDWIQMLVKEQNLQETDPWRAWFMEGKKPKQRQVGGLTWEFNKQLRFIS VRGAGHEVPFWKPQAGYVLFDNFIYNNTI >CAK89671 pep:novel supercontig:GCA_000165425.1:CT868656:12792:13646:-1 gene:GSPATT00022844001 transcript:CAK89671 MRKHLISEPNFITSPYTEREEYKRQSPYFYNNCISNRHVDCQGEVYDKRDLSNASKDKQL LKPETVRQNNRLKSKPAVTRLMKKQIITNFIENKKLFYSVTPMQPIYDQFKQRYEQRERD RKFQNKHFDKTYKHHLSRAIQYTLQQILNFLSFDDVFKVRLDRQDYDRYLRRQPKLLQNS VTAQDLLILQTNQMENELTSNRKIQLLKNVFSDPHFDAKDREILYQVLKFQISQAQKQVA KLEKASMGIKGLNRFQNKKEGHLEQLAIIIDFLQNNYEKLVKLL >CAK89672 pep:novel supercontig:GCA_000165425.1:CT868656:13762:14257:1 gene:GSPATT00022845001 transcript:CAK89672 MELAIIMSQFQQAIYQLQCALRKNKENDIMKSMLRIIFANDIYEVDQEQVKHLEKTFYLD TDTASILSILLSSFQTNGFPELEDYLNLLLYRIDCGICLLECHSSYSSSPLQFYSKYEVN ERIQKEECIKKKIKKQKKKRSSNPSNNDNQCNKRKD >CAK89673 pep:novel supercontig:GCA_000165425.1:CT868656:14363:14944:1 gene:GSPATT00022846001 transcript:CAK89673 MKYEHSDKIEEQTIKLEELITQVQQDLQEIEQYKNNCQNKCQYCQLVHNTQNMSFKNCIQ NLFQKSKQLINKYESNQNRTVLINRFRSRKQLLLKFYKKRSQEGWLIQQIQKWNEFLKSY ERTRFYPGKMAIHQRWTFYVIKVYHCQYCFKEFKSTYRTKYHMKKHCRKRSILFAEKEPI VKNKIMKTIFKQF >CAK89674 pep:novel supercontig:GCA_000165425.1:CT868656:15029:15529:1 gene:GSPATT00022847001 transcript:CAK89674 MQYNSDSSVEIILIENEKSNSFRESKNNKAQIKKLFQQGMNLIKKDEVLKGSPQIYELAK RKLISWKKHLKGLLKQHKDEKIVELLEKKRKALSQYERSGIHPDVVVKKGYWVVFMEYRW ECRKCKRQFMENKYAKKHMAIRCFKGESNQKSEEKQVIIKKIFKRA >CAK89675 pep:novel supercontig:GCA_000165425.1:CT868656:15580:18236:1 gene:GSPATT00022848001 transcript:CAK89675 MKQTRVQSAATNSRLRDNHYDPKVHAKAWIAPGIFNQLPKYEHLDSGLVVIHQKNNKMKK FKELSELNQEIEQMQQKDEFRKFKEFENLYNGDLVVTIEYCTNCAQHAGSTRHDEEKYYT FATNLKQELIQQYPTAKVYLKPLIYDPHDNSIDTLYIQRRIGAFEVQVCSKNRDQVKKGL LFSKLNTKMWPNYTEVIERVSDYLNTAPLEIYVSFGENITGNLNNIEVALIPHRQDRPQS SSHGYLHRPKEPIELPRKKEKSLLKLIIKDVPVDVYVVEVQESNDYLNEQLLLNMVEMAE QSNDTIQVVIKLRKQTHSYLDFKIFTQQGSNITEAKITITNLQTQESMLSREIEPGRYEA ILEPNQYAFTIQKRGYKELSQNFDAVQGVNEFKLILEVDPNDQQPIKPPQSAKQLQPIQK PSSARPTSAQRSQQGSNTNQSQLQMSKQQQQQQQQQQHQLQQQSQLPPKPKSQQQSMQSY EQPEYMEPTKQEAISNPNRPISGYKSTQVFIYDPYTNLPIEGVQVILNEESTKQAQTYVT DQEGTCRIILQGVLEGKMVIQTEGYFPIVEEYGTTQSKMNLYQLRELSFPLIQHLEDKNS VMILVQTNVEVMPIDIKMILPDNVQIDQSHQNVLYDLNDESGCQRIIVHDLHTRKGVYRI IADIIEPDYVHPQHLKVYIITNKDLKLVDVPKTINQEQIYWDIGVICAPNSGFLEINTPT DEILKRDKYLKDYQSLLQFLKNSKNMDLKTILGFNDKERLELQGDVFVQKDKIKNTLDKY GFEAITNLDYLIYSAMMSNGLYSFKRLEQKFGNLDFEFIFDDENSTSKQKEMSEDEPYDD DYDI >CAK89676 pep:novel supercontig:GCA_000165425.1:CT868656:18255:21826:1 gene:GSPATT00022849001 transcript:CAK89676 MTDKLYTFSTLFEYKYENVNPKGNYVQRFQSKNRKITSNRPDYELPNNSIQTSKYTIINF IPKQLYEQFSKLANIYFVIIGALQMIPEVSISSGVPTIYLPLGFIILISGAKDFYEDYKR RISDNEENRQPILTYDGYKFVSIPSSSLYVGQIIKVHQDEVVPADILILRSSEQKGICYV ETKSLDGETNLKQKNVHIDLLQTYTSDDCFGQEDKRIILKYQAPTPYLYQFIGETTNSQQ KVSAMNFNNFILRGCNLRNVKYVFGLVTYTGKDTKIIMNSTRARSKRSKLEQQMQKFILI IFLTQLILCIVASIVYAISFYNKRNQLSYLYIEPSDNEYNIAYNFFVRFGNWILIFTNFV PISLLVTLEMVKFIQGKFMTLDERLNQPKVQSSNLNEEMGQVEHIFSDKTGTLTCNIMEF KQIIIGNQNYGDVNENQEDYLSNDEILSYPQVTNVDFRDKKLIEAIQDENHFMHKQVKDC LLMLSICHTVIADLKDNKLIYNATSPDELALLNFARFVGFEFLGTDENGIKRVRYQNDIL EFQLLEVFEFTSQRKRQSIVVQMRNSKDNIILYSKGADSILLSETKLSKKELQSEDYHNL ENKLLDYGKVGLRTLVLCKRTIDIQTYQEWNKRYQEAAQSLENREERMQTLQDELEKNYE ILGATAIEDKLQQNVAETISAIKQAGIKVWVLTGDKIETAINIGYSCQLLTNELVQHVVD EKMESLVVEKLNETIGLISKQPHNQHALIISGDALLHSLKPHIRKNMIQIGESCNVVLCC RVSPKQKQDVVTLIRNQKKNVCTLAIGDGANDVNMITAAHVGIGIRGVEGQQASRAADYS VQEFQELRRLLFYHGRECYRRNSTLVCYTFYKNILVVLPQFWYGILSMYSAQSLYDTFIY QLFNILYAALPIMIYGVFDEEHDSDALTENSQYNYYEQGPQGKLFNLKIFLFWVFQGLWQ TSIICFFPTFCMSSNFIDDNGYMQHLWAQGTMIFGLVIVVCNLKVLIFSNVYTPALLGSI SFSMLSYLISWIILDNISQAEAYVVLESLFATPNFHFGNVLVIVTIAAIDSAINLKLRKM MIASKKKNQPIYQQPEKNEQIIKVINRANTGFAFNYLDVDELENQEKIEVYNLENQVVPE NFNNNIY >CAK89677 pep:novel supercontig:GCA_000165425.1:CT868656:21870:22973:-1 gene:GSPATT00022850001 transcript:CAK89677 MQYTAPIERRLVFQPSQQIMPLMHRKENIFSPLRISPSTQQHFSPSQPQFSKGNEQQQKR QNTSDPEKEKEIKILIQHNQKMKDQINQQAIDYENLKHSYDKMYQDQLRLVNELEQISKV SEQQLKEIKIKQEVIKDLHHQLESQCHSQNKNQDETQLLRQQLQVKDQEIFKFKAILEEK NLENQEIRNTLNTINQKVQFMTQQKDQQYQIIDKFNKELQDEITHKTQQIHATSQSLSQS QQQVTQLKFEVDSLKVKLQSQQQLMPLQQLQQRYLMLCMENDRLHSVIQKEYELKKQMEQ QQVKQEQEIEQLKNELKKISEEFSHIQQGLIYEQKNMYLIENLKNQLNYYIDENARLNVI IRQQSRI >CAK89678 pep:novel supercontig:GCA_000165425.1:CT868656:23478:25424:1 gene:GSPATT00022851001 transcript:CAK89678 MQDEQDLCQELYHLYFNIKQEYFNVSNSSIPRTTDIFYSLLQVLSQASMLGYIIWYAYTD EYFKQMLVLNDELIIAGLTQFQEVEESVITLNKSELLDKFQVQSLDSLCFVYKMNHFKKE IQKQILKRVKQDGLNLIDAISQTCNLQHVLRDFLNEQTYFQSATALFITKPMIQKNQTER SYFVGGYNVRPSDVNWLVNQSGQKSKTCSWILIISGYVIQVLIANLILTQLTLLNENEDS TENGNQVLGKGQEILQSLFITIAIIFINEFMIMSIKPLIGLLNLPSQHNTQIFVMLMLSI FYFILQMSIVVDLNLQQKQGNMQNEMWKQGGALETYFSISIGNGLSALSETFFDAEYFLH ILLRKWHYYRMKKCKLVQYELNQLYEKESLTWTDKIAFMNFLIFASILFSQFLPICLPAC IIVLILTYYLQKYLYLNRYSKSNDNKYNPHIIVQLPFYMILLNQFAIIQSMVQYFLIGKR PVDLIENTESNDLSMGHTDIIWLTIQIVILFTIYFIQKLFFKKHKALSQHQSIRLSESDL DQFKTLDIKQLGFVANNPLMNIGKIENFIGRKYEELTNDELNNYLQSMKHSPQDQFLQSL SVDLYNKLYKKINKIIKIQPSAIID >CAK89679 pep:novel supercontig:GCA_000165425.1:CT868656:25484:26288:-1 gene:GSPATT00022852001 transcript:CAK89679 MRPKQDYQFHVFSIIEYNFVSIGTAILQIQTIIIELQDYIQSIIDYSINEKNQISFLECE IFDQDFRILVFQIINQVQIISQIRINMQNYSISFKVHKLLRHGLGNHLKLYFYNKNYLIL ISDQNKAYFYDLKEPLNFIDYFGAIRWNNDFYYPFNTTHLYVFQANASQIYFGELGYKFD TQSQLFENDTFTLVAENQVSQARCRITINQIGDHLGDNNFQIKLVIFFVLILIFYFSFEE DAIKSASSSKFCKYFKNSKN >CAK89680 pep:novel supercontig:GCA_000165425.1:CT868656:26432:29326:-1 gene:GSPATT00022853001 transcript:CAK89680 MEPQEKFLSSRLNLLLIESETEELEQTNISLISESLRIQSFVDEHIISTLTIEYFSFRQI EDQLFCKFIKTQTYFITCIKVIDYYGNHRLNQLNEQSIISIELNELKGIECHEFFINLES QFILICFHNFHLQIYCIDQDNKMNLLSQHEINQQQYEMCKRKYFKLDDNQYIISFYQCKT WGLYIYWQQKIKELVNEELAKPVQISYLENVQICQYRLYLISEFGYYQFLMTKTRQVELQ IFFEFPANFRYLLLTSNCFFYYYVHYNQSINKTVIFHKSNEFIASFEGTDVQIRLMESIL FAKTHSQLKVMYNQECQQIIQLNTSHINFDNNYNLIYWLDESTKELIFYKISYPRNFVQP TQKYIFQVNNYHIQNSQTKICFEMKYIIEKQYNKIQTLDFNYQCQNESSIFYSKEGLELP NKYEIKLDQNLDLKLNILDQYSFKFLCPKHQNFNQQDTILLYYEANANTSFSMIQTEDYI YFQNCHNASKSRVDIQNCQIFYFQSYLLLHNQNKQEFKLISLQRKLIKKFKIQVEITEIL QCQQIILIFTSGGEDPKVINIQHHQQMLLNEQTNKILNTIHQIYLQNKLKNQILTPNHFF FSLENPKLIQYNQYLIILIRKKVQIFRLENIQIIFLKELLNQQFQFLGVHQINNQLNSYY FDLLEISEVYSFNLDNYKLIRPIKYQINTRYLALASLSQNKTYILIFEIRLRKPLMLVKV IQTSRIEFFFQGHKLFYYNFEDEVMIYNLEYFEFQFQDPIEYNEIAIKTNVTFQIISETK SNPAISLGFTLKGYNKCYQLFQKSNHSSIDSTQKQIIPSKYFYGSIDRLKIEDSEETDII GPLIFIEWVYDNIQERRIKKIDIGPIIYNNQSSNEIQSINIVLAENDFGQTFIIHPIYLL FAKVLPINKQQILIFFVDQTISKSLKGALYSINEESQHFRQQPIFEINITLDQSHKEYLV LKTG >CAK89681 pep:novel supercontig:GCA_000165425.1:CT868656:30423:31092:-1 gene:GSPATT00022854001 transcript:CAK89681 MMYKGSSTLFKQKIQIISLVNYKDQQIKFSYRILEVISQINLLLTFNIVEFYFQSLNISL IFAFMIVNPIIIFILRIFYKIIETIYRFRKIPAIISSFILIILLILPNIILFIIHRTRLE AQSEIYLMVIIFLVNIVIQQTVIEAISIFGRISIYRLIASSLKQMKLNPLFHLMHFFVMH SSLEDIFDEFLKI >CAK89682 pep:novel supercontig:GCA_000165425.1:CT868656:31205:34365:-1 gene:GSPATT00022855001 transcript:CAK89682 MISVEQLFILISFQIQNHLIHNLNQINVCNYLNQNLSQILGQIVNAFLIQMIIINYLQLQ EKTQKQMQVMNYYFQVILYLMLIVITNLNKFIFPTLQLPLNPLAPQIEYIVPLHKLNPYT ENSIYLKSIKKYGFRKLNNIKWTVQAETSQITESLRDFITQINLLQEYNLLIPKLTLPGD GILKFKIQYENFINIHSQSEFTIQTHSGSLPYIDIIVKPQYFVYETITIGLSANTLYQSN QSDSSKYLIEISEIDRYPKKSSSSQLNKSMQSNPFEKIQASILKYTLSPNTSYTFQVNAT NLNTNKAQQQNLSIQIPYAGLICKFNNKEIQSIRKDLNLQIQCRDLDTIYDWNTDPDLYV QVACKDLTLNSTCINQLKQLINVNVTDTFQFIKKNSISSLTVQEWSVIVTKFDQIQKFTQ IIVFLEDDFPVLDLDFNQGYLMRQVNNYEQLNFTFLIPFNQKSQLLDLSIALIYNYQIIA ILQPKYFSHQFKLFNSLEELKLGNNINLKFTAQYTNNMMPSLNTIKLTINQPPICSKLTI TRSNDQALSNMMVTTSCQYSDDSPYKYELRVFLREQDLTDFLKGSSDNSLILYPYQSQTQ FQIQISSSVDSSKIGLLLQVLDQGGSITPIYELITSTPAKINCSQIQFTNLKLQNKISLL FEAMNQKCNELHNQIYLDLLYQQILPDQNDNIFLIQFGQTKPKNRLLFESNQKGCYDKNS SRFFITQNQDDPNSNSTTRQKNSSFKYFSGMKKQSEEGLNQNNYVWNQELFQQLQNFQGG LTNLIYFVDELYSNFLSANTTNTTIYSSIVELLKYKSSITDEIQNNIVVNDQPLYIEGKD MIFQLKKRTKKMFNQQFNIEPAFEDYIIDFIQYESTHLSINPLIFSPDQDKMLQQHFKDK NMQILSDNYYLIKLFNVIKNRYITYENISSYFGTKFGTYEICSNQSQKIKEYEILCISSK QSLEDSINVIQIKQKTMKLLNQLVNARVWGKYFQFLLQILVLQMYLIIAQKKLVLISLHS KMISLFQLFVQAP >CAK89683 pep:novel supercontig:GCA_000165425.1:CT868656:34418:39604:-1 gene:GSPATT00022856001 transcript:CAK89683 MSIISQVFGFREYYLSYYSHYQYSTGKCPELHVPMVGLHPHQCFSYASICKDVTDVVVKI ELNGTQHLCHPRFQPWGAGTLTRDNTTVHCGFADGDQLVMEMLADGNYKYYCKSLTDEIN KRCLITAYQNGIYSCQFCKPPYFGLECKKVMWSFDQRFANPPRQCLPNCLECEDSVSCSK CLPGNVNRNSDDKTCSLRCNGFTTCYYDSGTNEFQVSNVCLPGTLLDSNFCAECQEGCLS CSSVPMQHARRNCEICDSNYKKLLLRNDDPDTYQCLRQHSCHISRQTVISQSVSPTGEYI YNIGCTLCDPGYIYDSVNSYCLCKFDQKNISLGIKTKKQGCFFLDQEGINCVACWPWHSL QSDGTCQPITCNSNCVTCLDTNPDFCTTCDGFNNQTIDNGICICKPNYGLKIDECVMCSE GYCQECEIGNFFSCTSCAPETNRILVDQQCICKPGSCEPTNGSVTCIVCDLSCLNCAGPT NNDCTLCPDEITTNRIQVDNSCPCKSGYADYEVQETKCGKCHPRCKQCFQAADDTSNQYC LTCIPGQNRVLSENLNCDCKENYGDFDGTSDICIICDYTCGICNDFGPTHCTSCLESSHR YLTTSGECLCKTSYFDDQTENIECQKCHYSCLRCADNIDQNACLECPQTRTPSDPLATQF QCICESSNFFDDGLLPACLQCDISCLTCYGPLSFNCLTCDSTSRVFDLSSCLCPSGYYDV GQLECAKCHYSCFQCFDNTSEGCINCSIDYHLRVLKGNSCKCIDGYYDEPGSSKCKKCSY KCETCEEQAEKCLSCPLNSLRTYDSDLGCLCPEEYYDQENFVTCEKCHLKCKTCQTQTEN SCLSCDSLSYRELKLNQCKCQPHYFEIGSFLNVQLVVLFVTNVLTILIIVHPALMIDILL EINVYVLLSFKVLQQVHLNLMVWLNAKGAIILVQHVEEQKKWIVYLVSTQIIGIKQVILV FAKKDIMMQDCLSAKNAVTNVKDAQNNLNIVLLVKITVQDSQFLISKHANAIKDITMMDK MKFVNNAITHALDVMTSTQNVNYAHLNQIEFTMINYFLVIVMQVIIILELKSVKNVTIPV QIVILEIHHHVFNVLILTFQIEYFIITLVNVYLDILMMANQLNVKNVMFNVQVVLINLIN VYHVHKQGNQKLIVNVNKDIMILDYNYVKNVIQFVLHVNLHLIIAHNVILINLDNLMKLL KLVIVKLVILNLMEFVNNVIKVVKYVSNNQIIKIKQQQLHLYXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLTCQGSPDYCTSCDSNSKRIDQSFIHK CPCIIGFYQDQNQICQKCHYKCQTCINVSDQCLSCKFELNSNRKSLSDQCNCKEGYYDDG TQLQCQKCNVKCKSCINDSNNCQICQNSIRFKPPMCNCIDGYYEDLQLTCQMCAYQCNTC ATQPQNCLTCKPGRSGNDCKCSDGYYENGSILCDCINYQQSYIECAFQCVTCSNSSSNCN KCKGNRIQEPQCICQPGYFDDQLNEDCQLCDSSCLECNKFGCLSCNANRIINDDMNCVPP PNSIWYYNTPWCSSK >CAK89684 pep:novel supercontig:GCA_000165425.1:CT868656:42483:45225:-1 gene:GSPATT00022857001 transcript:CAK89684 MTNLEQIYHLQWQGVYNEEFKKIGEWRVLWKGAQLTDVGGSYKNGKKFGLWKELFKNYWD KGQVYEIGEYKNNQKIGVWKFKNRENLMYQNYQFQVVVENIMSMVKKLDFGLIQVMPFGI LNKQRIRVNIKMAKNLVNGKSLKKQRMKTLNQQVGAHIIHQVRSMVSGRNRILILPSKFN IEQIRGDKVYYNGEYVNGLKIGRWNLNSRVEKGDEEMYQVLIFYFSAGGFYNEQGLKEGV WLELSHEYQKNGKIFHQGYYNNGKKINRWKTIFRNQSIGGGLYDKQELKVGLWAEPSDLF WKFFSFNSCFSKNQVMYHGEYVNGKKIGRWDISFKEEGEDRPEWMFRIPSYHNPYRGGGR YDEQGCKIGKWVDLIDNLNDNNQVTYSGEYKDGTKQGVWDLMDLNSLIGGGSFDEEGLKH GKWIDQSDNFGFIQRFNNQVTFEGSYSHGRRIDKWEWNNRIEKMQNETTIHLGMEDFMIK NVRRMVYGWNCVKILRSNIGNYSFSSFNQVFYEGGYKNGRKQGKWDIKFRYQFENDILLI GGGQYDQESKKNGQWVDLSENFSKDKKIFYNGNYQNSKKYGYWKIEYKNEKIGGGSYDEL DLKNGVWIELNDEFNQYFLFLIICRACKILNSGEYINGKKIGRWNTQYKEWGQKNYEQIG GGCYNQQGLKVGKWIVLSENFKQDSKTMYEGEYENGKKKGKWLIKYRGRQSDHYQKIGSG QFDDQGLKNGQWIVLSDHFSEINQLFYLGLYLNGKKVGKWVDQIIEEKSTHKQIQQ >CAK89685 pep:novel supercontig:GCA_000165425.1:CT868656:46741:47289:-1 gene:GSPATT00022858001 transcript:CAK89685 MEKQLPRSTNIQTTMKLIKVTIGSGIFAIPYAYAQAGLFWGAILQMLVCATQFISWCTMV NCLGDAKRSTLIEYLSDIYEKNSWIFLIGKIFSIILNFGCGLSCLILFQISIGSYFQNSL SLQISDIFRYVYYSIRIFFCSRSSDICAHFKVTTTFSLHFFYLFVHFGYFRVLEMAINRI KR >CAK89686 pep:novel supercontig:GCA_000165425.1:CT868656:47981:48392:-1 gene:GSPATT00022859001 transcript:CAK89686 MNSLRRGVSGLIIKEQSLLLIKREKAPYKNRWTLPGGKIENEESIDNAIKREIQEEVGLI VDVYQPLIIQVIKKYNYVLYTKCCSIIGEEIPQEKIEFQYFPIQDFFLMEKRKLTPDLQI ITKILLDSL >CAK89687 pep:novel supercontig:GCA_000165425.1:CT868656:48874:50192:1 gene:GSPATT00022860001 transcript:CAK89687 MQVQCPKHKGSEFLYILQKEKEVEFICDQCHTNLIDNKKLDDNQNLINIKRAFLYPEYLF SKIIDSQKLQQFFQQLIEYDEQNLDNQLISIENQIKEVQRQISLMHQELEFNKKKFVQLR QQIRENLEEIIKFDEFKSYIVNLNNLQKSIDPQDIKQSERDVYIYFQNQFKNKSSIVNNQ VFTLLEYKNEYMKPSTFMYPELKKLENQYQQLKEMHSSYDKIINPQFPGIIQNTKLITKE FQAKLIDTIVEKSKKPIMKIELIHNESRTNLSTEQFWNIVDGKSNLLMVFQSQSEFVFGA YTPCKWVKSKKGQYVNDETLSSFIFSQTNFKIYPIKQEGSGCAIYCCTSYGPIFGGTSLF NGSIINYFSNKSHLMILTDLKNGSSSLGISYEDEDLTHIKGQTLLFGQIEPKIIMYEISQ LSFI >CAK89688 pep:novel supercontig:GCA_000165425.1:CT868656:51012:52333:-1 gene:GSPATT00022861001 transcript:CAK89688 MYFLLNIILFTLMPKLYTVAFVHDFDYPLNENKTRACDNNNSLSYPIIFQEYDVIPQVIF NVWQLNMTKDENEVQASVSKIEKGSFFVNIACPKSGSVEAFKFKWSAINDQRIQIINCYN MTQIKKSTFIHDNPNAQLGYVSITSIGFKGGVKFYLNITQLNITAVTVDIIGNYENVTQL GYQIILGVNGSFKKLNDSFNSDNFTSQNYNVESNSILANCFYGFDFSNSENFNFISSIDR NQTNNSFSYIIQPIGGGSHNSVFLIAEQIQTTYLPLQFQKLQITQFEDFAPQTESLIIIL NQEEKDEFSTIGVFLKIIDKQFDKIQISTRIKCETNQTIKSTFNKFKNSVLQKSFNLIHR CDENIKQIKFDLDLTNADKSFNELIVNISKMRYTVSQIIYNQVKEEYILYEIYRQQ >CAK89689 pep:novel supercontig:GCA_000165425.1:CT868656:56537:57594:1 gene:GSPATT00022862001 transcript:CAK89689 MEKYPNSGQIDGEIKALKELDQIGGIPNLIHHGMTSENKCFLILPLLNCSLRELVKSQQL SLSQTLAIGLSLIETLEKVHQKNILHLDIKLENIMVSQKLQKNSKEQLLQPGFIQLIDFG LSQTLGNSKFLKNVFIGSLNFASRASHKGESLGYKDDLESLFYVLVYLRNCKLPWSQKPS MGCKNMDIKFIGEMKASIFNTMVLCQKFPLEFSKFMQYIDELKHYQMPDYTYIKELFIKM LQNTSLSPIMDQFLNYSPVQSINQLGSSEKILIRVEQNLPNNNSIETQIQDDIIDVEAKV VHLSDLIGKYTTIQIKSIQTLNIEYDYNSSNLCITK >CAK89690 pep:novel supercontig:GCA_000165425.1:CT868656:58125:58597:1 gene:GSPATT00022863001 transcript:CAK89690 MGQISCFQGNELTEFNVMLLGPSGSGKTRIVTEKTLGPTIKLEKHLVKVNDTHGLNFYDT PGIFSLFKQCLNYDIIQSVDIIVCFPNSEQYKEYIKEILQNNTKLFKQIPFYDIPGDLEA KEIRNNIYLFILSQSKRKSKKPSYNQEIV >CAK89691 pep:novel supercontig:GCA_000165425.1:CT868656:59418:59959:1 gene:GSPATT00022864001 transcript:CAK89691 MSEDESTNGKFDYLKAKYVFRFPFTVTALKWGFGLGTFFGIHQYIKSRDGMASMRWFIWG NVLTTLPIWGFFMMKYSFYSSALRKFESEQSRQYEIQGLTRVYLANKMGIDPECSDEDLL KEYQKKSEKIKEKFNLLDELLVGVDFSQFDFPK >CAK89692 pep:novel supercontig:GCA_000165425.1:CT868656:60656:62026:1 gene:GSPATT00022865001 transcript:CAK89692 MAYIYYFIQINLCMEGIINQAKHYVGTIQQAAKHYAVDNIYILSGYRVNYSTPWLVLKSL FQKHNELVNVWTHVIGSFLTFLLIIYIVSYHYDHRQRIEQDIDQIFSFNSTLTPNSISSQ NIITDRQKYEEIFNKLDHFQNSMLTSETYKEIVHLMKITVDILNFEEVAEYAVVFQNKFN LFKDKIIQEIDSEQFDWVEIYKHDYSHTSIFKQEQFLRNNTDPYHVSRWPIIVFLVSGLM CMAGSALFHLFYQMSEEANKYLMRVDYGGISLLILGSCFPPFYYGFYCDAFLRYFYLITV GSACLAAYIVSIFDFIHTEKWRKVKGLMYGSLGLFAGVPAVHLYLREQDISDYLPFKHSF LFYVLMGSSYLFGLALYTLRIPERFMPGKFDILGHSHQWWHCFVFLGVFFHYFGSIYNMG DRKFTFCLI >CAK89693 pep:novel supercontig:GCA_000165425.1:CT868656:62058:63303:-1 gene:GSPATT00022866001 transcript:CAK89693 MLTDKTNSYENKANDHSYIEEHQQLFQKTSQFTNIDDNSTIYIDQETNEQSVILSKDPEV QKSICLQENEIQRLSQAYADKYYYEMKFEQYQNLLAQQQCTISKLLNEKKDLQQKLEKSI IQNNYQENYNSLQQTHQLEQKLEELQLQNENLIQQNDLYEKQLEETKRLLQKLNKEQESL QLQFQEYKLQVFIKEQEQQQLIAQLQNKSSSESKLSIYQELQDQMNEIKEQNNYLFDQLS KKEQEIEQYFQKQLSNIVEQFTQEKQQLQEEFESQLENKNEIIHQLEIQVNDVHNQAQQL YSENLQLQNQLDLLGQNYQEDIQQQANELSSQVAEYKIIINNLENQIFVMNAEIQRRGRS NGKENAQRVLQGIQKHNQQRLSTGEMTFSNITPSSNSFKYQNLKN >CAK89694 pep:novel supercontig:GCA_000165425.1:CT868656:63457:64302:1 gene:GSPATT00022867001 transcript:CAK89694 MSESIQNRVSSIFEKLNNISTSVQDEKNNRFHAISQLIMAFEAQLQHQSDQKEEKFIYIA AKVRQITEFLEQEQENRERQETETFKLITDLERHARRLIEQNSKERVEQEKKIVYSIGQQ IESLQQDVIKEGLAQSTSHEYIDSYLNEDLPKIADELQNEITERKDVEEKIYHQFVEQLN DLRVLFEKEKKEREIKEEEILENLREISIRIQEQLRKNKGEREKTEETLVTLVEKVIEKL KREMLEMNL >CAK89695 pep:novel supercontig:GCA_000165425.1:CT868656:66741:67881:1 gene:GSPATT00022868001 transcript:CAK89695 MGQCVCSETVPVISEISPLVYTQRAEVIKEPLVVSDEPLIQDDLDKLQSQTKTRQTECSL VFEFNKPNCKVAMQTNESFQSSSQKVKELLDKLGSYEDEGVETSNHCIYELKNGSLYKGG WLEDQKSGKGQILVKNGSLFEGQFSQGLANGRGRMIYCDGDYYIGDWVDDQHHGYGEYYH YDGSLYKGQWFQNLQHGQGFELFVDQSTYLGDFQFGKRSGQGIYKFSDGCMYEGEFRNNQ FNGYGFNQCFIFSTFNWTDGRVYAGEWRNDKKDGKGKMIWADGTIYEGEYNNDKKHGFGT LRWTDNRQYSGQWEDGKQNGVGEYRNAQQGSRKGHWINGKRVLWFD >CAK89696 pep:novel supercontig:GCA_000165425.1:CT868656:67967:68829:1 gene:GSPATT00022869001 transcript:CAK89696 MSDLHISLLNSDMNFDQLYDVVRQELVEFQKNVDELQVLGESEIDQHNVNQVFQALKTIQ LQQLNLKEKIIKLEQQSRGVEQRNKTKKLRDLYTSHLQRQQQLYKNMVNDCGYEPLKDVL KEIDKMMKLSSKQDVQNYVLNSQIQSKQSTSGGSTNQSQMQLQNVEPLEQYEHLDWNNQL IKQNQEDLDKLQMKAYTVNKIVQDLALEIEHQGTIFDEIETNVTTTMVHVVGAGEQLEKT QEQQKSGKKKLWCMLICAFITFLVLLLILLL >CAK89697 pep:novel supercontig:GCA_000165425.1:CT868656:68876:69022:-1 gene:GSPATT00022870001 transcript:CAK89697 MERLQELNTLYKKQSQKAQQRRGQNTIHITDQMTKPIKQKRVQSIHDV >CAK89698 pep:novel supercontig:GCA_000165425.1:CT868656:69179:69571:-1 gene:GSPATT00022871001 transcript:CAK89698 MLNYHAKTTLLRLCRFVSAQCVKLWIRFFNGVIAQDIVSIYIELKNQYQLLEDQLISKET EARKDIQNLITIKRIRGRLIRHMRRDVKIIGEIQFINISIEMISITEAIIKRDSQNEEIN RDFKSRAIKD >CAK89699 pep:novel supercontig:GCA_000165425.1:CT868656:70824:72946:-1 gene:GSPATT00022872001 transcript:CAK89699 MKFYIIAFLIFASYGVDIDKNTFSNYREVKMQHLHIEWLLNLRTKIIDGSAEYTFKVTTA ELKEVHLDIYQMEIMHAYYPNVGKVLDWHVESDPKQSLVQGDKLIIKLGQSYKYGDVFQM RIKYQIGEAARALSFLSIDQTDDKKAPYLFSQCEANNCRSMIPLQDTPSIKFTYSATVLT QDSQINVFMSGLPVENNKFALMEQYNMNGIAKVFQFELKIKIPAYLIAIVAGTVQEKATG QRTSVISEAKNIDIYQKELEDLDKYVKYLEDYIGEYKWGFYKIVILPASFPFGGMENPLL TFANPSIIVGDKSGVSVAIHEIAHSWFGNTVTCNNWSNMWINEGFCVFLERKGLLQLFGE IDYVYVNSQVGTKEMNALIKEFNTSSDPAVKSYASLHPITEDHNADDSFSTIPYERGYQL LFYLEFIISETKFQQLLKDWLRQNEYQSVDENDFYNFMITWIQSNFTIEQFLTMKQQIDT VYTKWIYDTGAPPVKLGFPNDASTQATDLAEDWLSSRPGEQPQGYEIFDQFKSNQKQLFL SYMQEKYVNLNSITMKQLDLSYNLSENKDAELLFRWYTLSIQTKYATTNTNLNKIRTFVG KIGRMKMINPIYKALDKNTAQTWYNENKNFYHPLARQSIENIIKSKGQYINVELL >CAK89700 pep:novel supercontig:GCA_000165425.1:CT868656:73220:73898:1 gene:GSPATT00022873001 transcript:CAK89700 MPAPIAFTNTQIINYPNQVKHNNTQTNDISIEVNKPQEEQKLKTISETESQFDSDSSNED SRDQPARPKSIKLNLTKKIKTPNLLVKSTNIQKNYAKAMVSYACRQRALVFETLGEQGGE EFLKLMIRLKNRLRNVAHITRFTHVEEFLSLFRILGNNFMKKDSVSYIYNSKIQQKSCHL SNMTIVRNSLLKY >CAK89701 pep:novel supercontig:GCA_000165425.1:CT868656:74886:75173:1 gene:GSPATT00022874001 transcript:CAK89701 MKQDPNNLCVERQSKIQKKQAFQHQGVGIQNLQWGPLLLGLLKIQQQVHSSYNRFIIIDL MIKDDEVWINETYFNNIEMVLNCYRKLDTNSISWF >CAK89702 pep:novel supercontig:GCA_000165425.1:CT868656:75208:76505:1 gene:GSPATT00022875001 transcript:CAK89702 MHEQYELYYTTFNQERDRVRKFYSSLKSEESNRLLKSQSLNESLKKAEGFKNEKKQQIKQ KAHKFNIKAKIIQRKNNQMQEHQFLGIIKQKNELVKKEIELEHRLNILNAEQTEQIRQNQ IYKSMKQKIYLERVEQHQLKVEQEHQQLLEQMNNKFQKVEQYIQITRESEKQKFRSLSYK YLNYKQKAENLIQEKTENTFQEALDNMSKREQVYEKYIETKEKERMKIVRRNKLELVKFK RNKKRQESIEKSQKKLQEQERQKRILNKSTSIPKIIITEHDDQANNDVEVEVYEVPYSEE QTQTYEEKIITSKRNLKEEQSICTNINESSMQVTLPEPKIIDSPNYKKPRRKSEKERQYQ VLMKLRLNLKQEREKLDRELNSFFISQPIHTN >CAK89703 pep:novel supercontig:GCA_000165425.1:CT868656:76564:77546:1 gene:GSPATT00022876001 transcript:CAK89703 MNKFELALQTQQELFNYILSTQWKDDSEKQQLGVIFEKLQTTCNIKLEMDDFFTKEKQEQ LKSYLYEKQQHIRKINKSLQETLKKQVQGHQSPSCVIAQLPPRFKNNKESYLQQINYFKS NLIELKSCHSKLCQNIELKQIREAITKQISLLQIAENFKFELNCLESELMQNRLQLSEQF ETIRKQIEIVIEDIQLQNLVSHCTAITKANILLKKQLIIVKQINSFETKDFYLQMLEIDK ENKQTSYSQDTDITSQQSPQKNCDQHQIMNKNLRSQECLCTIF >CAK89704 pep:novel supercontig:GCA_000165425.1:CT868656:77812:80028:1 gene:GSPATT00022877001 transcript:CAK89704 MNVSNISTIPFSQFIISQLLTKDLFLDLKLTKRSLIIILPLFVSLFLIIYSYFYIQFDLE HPQLLICFSISLYCCTISFKPHQSQVNVLLTEISNTNKPDNLKFNKNTSQFDLDQNLNSI CLREATFSDTTILETTYHCLQYLNEGLIILGTESENPNFPYSFKYCNHATKAIFGKETES EILNLLEGLTIFTASDHADGLQFTSQLQNRPSYYCLKQIEIKDQFTSQHKSSNQDSLNDQ LHKYQFRQALEMLFKSTNCGCLVVQANLQQRFSTTTNQLFQASQTQINTENYQLIELTLT LNKNNIIIVCRDVTHRQKIRYLKEYDKQKSKMLAFVSHEYRSPLNCIIQMLECVMNDQTI EDNQDLTEQLQVALDNSSYILNLSNDLLDLAQIKNGKFRIDKVPFNLETLIQECMKMFEL KAKMKKVQFKFNLASQIPKIVFSDRSRIKQIIINLLSNAFKFTQNGKIIIDVSKVNSNYL RIGVMDEGIGISDEDQMTLFKAFQKVNSEESKKLNQQGVGLGLVISNQIAQTIGSTGLNL VSSNQRDNHYSNFYFDLPLEQPLRKKVSSFKVPEISIQIQEVEEIASFKYIHSHIKEDWS TQQICLHYLIVDDDCFNIFAMKRLFQQLQKNKKHLFQNEFDVDSALSGLECIEKIKNKKC SNSCQGYKIVFMDIEMPFMNGQETTKRILSSYPNYIIIGCSGYSDQQENEKCISSGMSEF LVKPINETQLIQIIKKFH >CAK89705 pep:novel supercontig:GCA_000165425.1:CT868656:80297:82011:-1 gene:GSPATT00022878001 transcript:CAK89705 MESKNLTQTINPLYLLASLALGTIGAAAFNALRKGITETTHHVFEESMSANASAPSSPKK PTKKHAINKLMAKNANENELVKELKNLEEITCEIERVSNLAYDLKLQVLPLNVNPMLGNS NYRSVFGGEGFLPETAFVNHDCYILQHPNFGPNDLLSRTKRWLRSGPRKHLLFSPDEVKA GIVVVGGLLPGLNVIIRELTMCLFYNYKVQTIFGAKNGYNGIYNNDWIKLDPKIVKTIHH LGGCFLGTARSKFDGEMIVDELAKNGINQVYLIGGLGCMKSAEQLYEIIKSRNLKISIVV IPKSIENEIPIIDKSFGFETAIEEAQNPLKAAYQETHSKKYSVGIVRLWGSHTGFLALNA SLAFRSVNICLVPEFEFDVYGEKGLLQYVYSRLQHKGTCVIVVAEGSAASLRDCKINDKG RDASGNVKQGDIGLFLKNAILEFTREKGLNAEVKYIDPQYMVRAGKANSLDSKLCSQLAQ NAIHASMAGFTGFAVGHVSNKTCMIPLNEMNSGNYANRITPSNIAWQRLLAGTGQPSFLN NEQDI >CAK89706 pep:novel supercontig:GCA_000165425.1:CT868656:82022:84842:1 gene:GSPATT00022879001 transcript:CAK89706 MQSISSEVLKAGVTKSNIRSNVTAKRPNQLGGGRTQLGPPQTGKDTQQSSQDNVIVKYKD SIVTPKELITKRFLQLAEQLNREEEQKLKQLQKPADTKVSASVMDSNMKSFHQSADKSSN SDLKVSSSNNPERSSFMESKQSDTYSDSDSKRDQSEQQEKKPKQKKGLKEEDLEENIPIN LSETPTQCLMFIPSSFVNSEQGKKTGQIQRTQQYEQFIIEKIGSDNFTKRFAQTFNYIQK HKIQQCNKIEKNDTGAFTAVWDLYDASITDQLNEFEILQEEIKSSIENEYKQLNKNPYFL LPTELEAIKIHAERPVLGKETTEKSQSKTRSGIAANSKQQPINNLSGGLTGQGGDERIQD SSSSHTGTKGVTNMGSQQANIQQQVTKSHGKTSNPLPEQQQKQYEQKQDEQDVKEEEYMN EQEQIIYQSQQVQTSLKYVERILNQNLYHKQYIQYRNYPEVKFEKMTLNEDPKQRGGAFK RNFQNKLDDEEVVEKKQQDPITQLFSYKCQLSEQCKVTSADWNPVNKDLLAVSYGNRETS DGHIMFWTLKNPTYPERIITYPSKFNCCKFSESQPNLIAAGTVDGIVAVWDIRRKSNKPI TENKEMAGKHSDSVWEVQWVGKGAKGTDKGESLVSISSDSRIVEWSMKKGLEYTNLMNLK RVVQSSQKENLQEGVNFRMSAGFSFDFLQRESAMYLAATEDGTIHRCSKSYTEQYLDNYF GHSGPVYKVRCNPFFGDIFLTCSADWSCKLWNWTEELPKANFQQQNLQDEVLDFEWSPHT SVLFASVCKDGRLELWDLTKNNMLDPYFTIMPQDQQIWPAKTMVRFAHNSPVLITGDARG DINAYRLYGYEDNDPLQEEEKLRKLLYPSGYSKGQKEQKD >CAK89707 pep:novel supercontig:GCA_000165425.1:CT868656:84870:85223:-1 gene:GSPATT00022880001 transcript:CAK89707 MNFNNNRRVTLFQILGRSRLSKKQSPKHKKSSTIDPVQLPHLYDNFLTEHTLPLGYQKQQ KSIKIKDDFPANTSLSVSARSPIRLQSILQSGQNNLKIEQLLNRKKYVKSSIHMKLH >CAK89708 pep:novel supercontig:GCA_000165425.1:CT868656:85246:86720:1 gene:GSPATT00022881001 transcript:CAK89708 MLSIKLGLRLTLLLIFNWTLLLFYFAYMTQDQEFNLAETKLLINNIFEHHIYESDTKDQI QIDTQIEVGEEEEFQFGEEIEKEIQFIDDTKGDLVAVGDDDVDTIYDYTIQALKDINPIK QSILSTNEPIRQQTQLNILKDNHYCELNNLYVITNPQFPINIITDYPNDNVITFALDAAG KDLLPDVILESNKLYHLPFNATIFFMENLNFHKYFEIGSQFLCNFQAYNHIPGIEILFKK SSLYQILQKTEVPIPETFIMNNITQCLEYFKQYKNLNESEYLVKNHIKRQIQQQTLEHKY IRRKYQDGKNCGIVIDDLIIQKKVENRQKRFFAMLFISFLDPFQYQIFDGFYYKIQKGVP VDHQRYNETISKMITDQVEQFIHKITILKDNRFFSLLRMEFIEADDIYLMSITPDIDKDS QIKDYMTSLVVSTLQLINFQQIQRLSLYQIESHYHY >CAK89709 pep:novel supercontig:GCA_000165425.1:CT868656:86720:86944:1 gene:GSPATT00022882001 transcript:CAK89709 MDKLRIDYIKGKGTAFNYSQILFHLICRSLEIKNMIISNQPLTFGHLFEIISNARIEKQR FEFSKKLNVPQYLN >CAK89710 pep:novel supercontig:GCA_000165425.1:CT868656:86948:87109:1 gene:GSPATT00022883001 transcript:CAK89710 MYLILNSLEEPAQESMHSIEQNQLRKFDNQSQYNQKTRFNEVSQVSAITYATE >CAK89711 pep:novel supercontig:GCA_000165425.1:CT868656:87765:88224:1 gene:GSPATT00022884001 transcript:CAK89711 MQQLQESHSQSDFKLPSLDIHTTHRLPIKMNPSYRLKYTNPIRVQYLRFLDRRSLDEKLN QPFCLEKFAKINNYSYEKSYVYELKSRKPQKKAQPQQRQEYRKPTMRYFNPEDSEVRQDD MDLILNPKQNKPVTSNSKVFISE >CAK89712 pep:novel supercontig:GCA_000165425.1:CT868656:89344:90503:1 gene:GSPATT00022885001 transcript:CAK89712 MKLIATLLVVLVCVSAAPRNPFDYSKKRSLTAVMAEVEAKLNNKSPLDAVLNILRDFRDA VNTEQVNHDEIYNIQQTECDSENEFRSRQVQDAQTVLRDSTAALNVCNTSKIRTTNVAEV NQQQYQSAQEHLTTVLNTAETEAQYFKRRGRDYEDALHAIDEAQDILAAIYSGSGSFAEI SKVSKSMLQTAFNIKETAKFAPIFYAFAQLAAQEGQLDESALERVAQLLDNLRSNIQEAY DDFASSNAASVAAFNDQKERLGQTIARLEAQSERLQNKLTNLVQCIGTQSAIAQTASGKL QRNQQLWDQAQALCSTFSNEYNYATQARRNELQLVAQLEEMVAERFDQVEDENHKLGTSL SPRNGY >CAK89713 pep:novel supercontig:GCA_000165425.1:CT868656:90609:91734:1 gene:GSPATT00022886001 transcript:CAK89713 MSAWERYLMKEIFRMINLKNQPSERHLKNQNISASNLVWMEKIPLFQMASQNTQKFNWRD LIQKIEANLKDIQLTIDKIINELKEEVYNILNNKSNFRKQLEKVTQFSQFKEIIESLNNT QQTITHDVVNQVETKLLQIFMDLEKDSSSFNREIKDYSASNKINKINFSGFQQFKDQIKQ FLHLSLQFQGKIPYDQFIVSEDYFNNLLLSKISLEAGKKIQKTNLIFNEQQQNLNCYSFW KNVENKSNLLMIFKSNSGYIFGAYTPLKWVYENKRMVWDSSKLSFLFSYTHKTIHQKSNN QTCAIKLQKLYGPCFNQDLEIEGDFQKGSSNLGHAYKKQAEQNASTHLFGQETPNIIKCQ IFEIIFQ >CAK89714 pep:novel supercontig:GCA_000165425.1:CT868656:91794:92507:-1 gene:GSPATT00022887001 transcript:CAK89714 MHQAQKNRITKEIQEFEQRQKKNEDNGISIFVVDNDIQHWKGFINGSPDTPYEGGYFQID IVLTNDYPYKPPKMKFDTRIWHPNISSQTGAICLDILKDQWSPALSIRTALLSLQALFCD PQPDSPQDAVVANQYKSNKDLFVKTAKEWTLNYASKNKQNEKVSNLVQLGFDEQKVKEAL IRFGYDEEQAANFLLGG >CAK89715 pep:novel supercontig:GCA_000165425.1:CT868656:92536:93944:-1 gene:GSPATT00022888001 transcript:CAK89715 MQTEKPTPKTDADYKAQAAALTDQQLHEEIIKLNKRLNELKTMQSREINHKKQYEDQLDS TKKRVGNMTTLPYLVSNVVEILDIEAIDKDQQDQSVTDDYATISGKGVVIKTTTRQTIFL PVTGLLNASQLKPAELIGVNKDGYMLYEKLPTEYDARVKTMEVDEKPQEDYTDIGGLDKQ IEELREAIVLPIVHKERFENIGIRPPKGVLMHGPPGTGKTMMARACAAQTKATFLKLAGP QLVQMFIGDGAKMVRDAFQLAQEKAPAIIFIDELDAIGTKRYDSDKNGDREVQRTMLELL NQLDGFSPDDRIKVIAATNRPDILDPALLRSGRLDRKIEFPLPNEEARAQILKIHSRKMS VAKETVNYVEIARSTDEFNGAQLKAVCVEAGGTFLIHEDFVEGIAVVQAKKKSSLNYYA >CAK89716 pep:novel supercontig:GCA_000165425.1:CT868656:94022:94709:-1 gene:GSPATT00022889001 transcript:CAK89716 MNISDAIPLKIVVVGDAKVGKTTLIQTYLQEIDGNQSASKLRFDYKIVQVEIQQEQYCVA IWDSVGQGFQNQMSNFLVKDADAVMLCIDLSKEIDIQSIDKWMDTLINRSSSHCAYVLIG TKLDLFQQGRTQHLNDAFSQISDKYSALKLLTPVQYQDKESIKLAFQQTFEQGVQAKTKS KQDSTLNFQSFVLQKDRNSYFTANSRSSSIKIKNQKKDQCC >CAK89717 pep:novel supercontig:GCA_000165425.1:CT868656:94810:96332:-1 gene:GSPATT00022890001 transcript:CAK89717 MIRNNEILTKSDRQNSHLDSYRAKFQIAGQTILNGDSSFTQEPTNRNIYETERTDHQSFL QKIGYSVSQELNQQEYQKKLKASPVSTELQSAHKVTNRDSQQYSIAPRGQSIEISNNNDQ FLTTSESPYNPQNIRKMFHYCEGSYEYLSDNIQSGSSDHTPKPTKSESVSIKTISTNDIP TMIRAKFKRIRKCFQIITALFRMKTLCNQKQSSWNLQMDILKRNQKILKYNESISIIKIK QWTQMVFSKMISIIQQKNLEKQKLNFIDYPQTMTSVEIDQAIVFVQNSFTFAMSNLVVMT TGKYLINELSLQMHQDQYFEYRKQFSKFVSERANYLTKDYTQLNEQEKQLVFSECIIINN LIPSLLKLTISLEALKCNIGSIEFLMRCIISLFQYFFIHHFSNYPKVEMRKQNIKYTQYD LTKNGSQLILSQNQQLNSEGFINGIIYSEEQMQQILQKESWLQANKKKMNIVSQNLGFIV >CAK89718 pep:novel supercontig:GCA_000165425.1:CT868656:97256:99964:-1 gene:GSPATT00022891001 transcript:CAK89718 MEQQIVQEEANFDEEIQHIFDDYEYINNNTNGEFPEIPNGSIVLIFLGEFQQNFHTFYQH FQIKQVILDTNYYKLVRLEKQGKKSYLLNFPLILQYNYETNQIPLDLCISKIYAEKALQK MGKCKFQLVIDMQQLNGDDERAKMDSMIQDSVAYFGKYSFKKKSYLLLGPQDENIWKLCK VELSDKNNYSQQFQPQGINQNKVLQSIFRYDSYQSLNNCKSDDLLNYGKMMTYLSQKENI LTKYFNYRFKEFQKLLLILQQMPIILYQDNTMNIVERYLKELQNRIKNIQICQQNKLSKL KEILKIINQINQGNEAKELNEIIQLKNSRQMKQFMQENQIEQISIHPFFNDQDLQKLDEI IQFWETYYKKFVILEAKNLEINLKFWRQYCHQAIESTLYELKYIVKRIQEGPKNPIENGI YFIGVTKSGKSTVMNAIFYPDKLEQTRILGKKCYKIGQEQDPKFQIGQGGVSMTQKISGI YIGEKEELNQIFYNQNNGQNINQQQEDVFSYQELLYQGLINELKIPERSFIFDCPGFEDN QNELMRIAHRISLYNYFKKTKNIIVYVLIDISVQQIENIKNTFDPIYSLLKDKRDLDQNF RSWGNLILTKAEKDSRKVYLEQWDLAYYGLLEQNYSYYKKHFSDDEICLEFFRPSEEMHH DVKKFAESINVKIQRQLQQKNDNNNPIFELQLDERLWMQYSICMPKIQLKLKQFFKLFSQ YFDEYFSKSEDTLSQKFEQIKIIKDIFQNITAINVNNCVSILQRLSEWINKNEYTKSMSF FLESYIQDIKTIFQISEYCKNKQSINPFVFKIDKIVIQIQKTHSLIEKMMIVFQVFKNVG PAILGITLLTGGWAYLSSVALFHHTLLCYLVAGTSSLAAISTPQRFFEIFQSHLFQIVYQ AN >CAK89719 pep:novel supercontig:GCA_000165425.1:CT868656:100357:101452:1 gene:GSPATT00022892001 transcript:CAK89719 MSEQQEGVKNHKKYRKDKPWDNDPTIDKWKIPEIQPGEMNGSLLAESSFATLFPKYREKY IQEVFGMVKKSMKDHGIRAELNLMEGSLTVKTTNKTWDPWAIMKARDIIKLLARSVPVQQ CLRLLEDGTFCDIIKIRSYTRNKEKFVKRRQRLIGPNGATLKALELLTDCYIMVQGSTVS VIGNWKNLKTVRKVVVDTMQNVHPIYSIKELMIKRELSKDENMQNENWDRFLPHFKKQNQ KRKKVIKKKKEYTPFPPEQQPRKEDLLMASGEYFLNEKQRQQKKLEEKKKKQQLKKQQTM NQDGDQGDEQEYDQQQGEEQIQKSQPQDIEEIREQIQKSGKLKKVKLF >CAK89720 pep:novel supercontig:GCA_000165425.1:CT868656:103957:104253:1 gene:GSPATT00022893001 transcript:CAK89720 MDSNNISQYHIKFVLLDTSLVSTTTFFTYDDQWLAANLFFLDFFLPIRNTLMLLFLD >CAK89721 pep:novel supercontig:GCA_000165425.1:CT868656:104449:105504:1 gene:GSPATT00022894001 transcript:CAK89721 MKVKNYSIFSKSKQQQYRICEIIPTICGVNGITYIPDEKPILMQISQCTCSNKKINISNS TKWSQKDRIRSYSQVIQRPITRKSTRMLTQVKENTPPKFLTLRVSARRWCAFQNNSKFYG VNENIKCEVGCLTKLMAIYTILQLCQDYKIRLQVPYYAESVPEPKADLNSYNYFTLEQLL YALILRGGNDALWSIVSGLSEKLYGITQRNLIKNKFLDLYNRNAQQLKMNNTRYTNLEGL ADPNNVTTANDLCLLCDQLLKFDIFKRILKTKSYTCRATFENGDLSTVYTWKNRQFQFMK KSFYGFQGINNNSGPCALLLPEADTNQMFVILLKCNPKQEIVDAQMITKYQ >CAK89722 pep:novel supercontig:GCA_000165425.1:CT868656:105980:108520:1 gene:GSPATT00022895001 transcript:CAK89722 MRPNHPYTITFPIWQCDQWTALRGRLKLSDEQVIIARWNNTVYLDEELAFFDSQHRIKPQ RETKMLKPQISLLPSILQIVRTNTYTDVLIENVTQWFLTNGDLSKLLLIYFGYDLYTGCS PRDCTLDNIIKNDDSIVILDFGLRKRTEKYCIYWNPLILKGKPYRSSYQWSLGIMYLVMT QGTYILNEVQKHINEWLKGGRLDIGSMITNKKQSVQNLISSLLDPENPIPWSQIPNHPAF REDNVCKQILKDFQMKSNRTELKGRSTSRMSSRDENSNQISIMPCPYGLKNPKTCDQNML NQSLITKVSNLYKAHQDKVRNALGNSYSSLYNRHASTSQQSRQILSTNTSTNRFNNFLNS TKILPKSQIKPQNLGFRTFRNQTQNSTSNVGPDSQRKSGEIIQDNSNQVPQKQDCFYEKI RSRVTSIKGDTIKLQKKPRNSSTHSILQEQQNKQQNHQKKVSNDQEEVQEIYQQYEILND QQQKQALQQQQQQTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQPQQPQKQQEQ QQEKQQLQQQHQQQQQQEQLQQQQQKQQQIIKYCDLDEQQQQQQLLSIQQKKSQQQQQQQ QQQQQQSQTQNIASNPDNYIQLIKRNTSQQQLFSQKYNRSLDAINVIGQTVAKCLTFFNG LQNFWVIPLFLVFKRMLQLRILIENLLQQKVNSFNIDGWEELISTPEYEIYLNKVKQDNQ LVQSELSLLLNSAKAKAEKLDKNRREKVEWFLNDDLNDKIKDVCNTYFHGQIYKNIKDRK GIAKPNIEWLKLEIQAQASLIISELPILTCDKENFSYEQYQSALELTDEESIYQYLKKNE HYLERR >CAK89723 pep:novel supercontig:GCA_000165425.1:CT868656:108548:109520:-1 gene:GSPATT00022896001 transcript:CAK89723 MQYIDENDMGQKSRVPRLSMGERCFGKDLSNFRQSKSQSQTQRIKIDELDQIDNKNNNNP QFVSAYAKDIFKYCRSKDQALDHTYIDKQIEINYKMRSILIDWLVDVHYRFNLVSDTLYL TIYIIDAYLQSIQISRNKFQLLGVSALFIASKYCEIYPPKLNYFSDVTDKTYTKEEILEM EGKILMQLQFEICFTNQHQFYERYQQLVQLDQKSYQLGKYILELMLLDHKFIQYNPSLQA ASVLYLVQKIYKKSQNCWPTYLEMHSQYTESQIRPVAKEICQQLCQAKIMSLQAIQRKYS SPKFQEVSNIQIQYK >CAK89724 pep:novel supercontig:GCA_000165425.1:CT868656:109566:112411:-1 gene:GSPATT00022897001 transcript:CAK89724 MGCGSVKPVNVLETEQLNSSKIHSRTSSISEGHTDITKLYRRANYLIQNEKYEQSTQLLN RILETDPDFVNAIYSKGIVELCQNKLDKAKKYFLTSLEKQPNHALALNELASLMIKEKQY NEALLNLEKGFQIDPNIPDLNYGLGYVLARLKRKTEAIQYFDMAIKQDPNQKHFYVSKAT TLSDLKQFDKALEAVQIALKIDPQYIDGYQVLAYIYRHQKQYDKMEEACDVVLKLDAQNQ YALNCKQESKKQNQLKQPPVPLESISVEDQLKEVRNLQVGQQHTLALSLLNQILEKDPVQ LDALKLKAQIQIELKQQASGLVTLNQILSIQQNDLDALKEKGINIHSMDLVKILEYMNKS EEVLKCYELILSKETNFEFLEKKASTLIKLNRLQEASKIYEYLQSQSNQNDSKIFIIRGR LFQAQKKYEDAIICLNDGLTKFPQNLEILDLLAQMYKITKKEQKALEIYEKILSIDTTNE QYLYEKGSILFNQKNYNESFDIFLELKNSEYAQNLNYYLGFCYNQKKEYVEALKQLNLYL KTGKDNLEQVYFIIGTANQFLMKFDEAIDGYQNCISQNPKNSEAYFQLGNVYKQDKQIED AQKAFEQAVKINPSNSVYKQALGIYKLKLQLDNITNEKSFLQEYRNSLLFTLITFIGSCQ LDPKSPDFDKQQNEKYLTLLTLMEAHLKKRFNTLDKHFEQLKELIGKSYDMKLTLIDDTL FPIMQFWHNQKICKKKKDNNDLVVSMINSVKSMINSNNEKILNSIQNEKSLNNRFCVEFL SKSQHESYQKVSGNAGLQDGIKILGFLIKYHKEFNSETKSFPEFIANKYVADEIQIFHDS YYRPND >CAK89725 pep:novel supercontig:GCA_000165425.1:CT868656:112470:113524:-1 gene:GSPATT00022898001 transcript:CAK89725 MEKTLLAVGLMMLLGASLYLNNTQEVSDEIDTANLYANWKMKYNRRYTSQRDEMYRFKVF SDNLNYIRAFQDSTESATYTLELNQFADMSQQEFASTYLSLRVPKTAKLNASNANFQYKG AEVDWTDNKKVKYPAVKNQGSCGSCWAFSAVGALEINTDIELNKKYELSEQDLVDCSGPY DNEGCNGGWMDSAFEYVADNGLAEAKDYPYTAKDGTCKTSVKRPYTHVQGFTDIDSCDEL AQAIQERTVSVAVDANPWQFYRSGVLSKCTKNLNHGVVLVGVQADGAWKIRNSWGSSWGE AGHIRLAGGDTCGICAAPSFPILG >CAK89726 pep:novel supercontig:GCA_000165425.1:CT868656:115048:116841:1 gene:GSPATT00022899001 transcript:CAK89726 MSQLLKPISASSPSKTRSALSQHTQSKSPHYNEAQQGSTLQKSQQSLRNSHYTSKFEKSI DMDMKQSNSFINPEFEIKKLMNLVELQQHEINNWKRRYEQAEQRVSYQCLSNNTAQAMKD YENHLDQLTNELKNYKSINDDLINKMKEKDSQIEKLNKQQDIYKQQLNDYQSELKYAQKE KVNLDQDASKQLYQQDQRYNKNLKEIQQTHFEQMQIMDDQVQKLQDELMRRNQVIEFQKQ EIMQLDSIINEIKTGEKTLIQSLDTYKLKYQDLEVEKRKEINKQNQTFEQTIKQQEKEFL DEKECLIHKISQLQYEIATLNQQHQESQNLISGLQDEIQSQVEQNCYLQEQHQNSTQDLE LKYKKIIQELKIDYEQQKIKLSQENSKLNSQLEITSQQLSEYQELNQELQNTLDTLNQHN QTQSEQLNRLQNEFEQLQSQYENDITEQNYEIQQLNDQIEQLTELLEQRSNELDDNRQLR GELALKNNENHLLIQRLQNELESLKQKLIDLQKQHQIQGQVKQEISKSQFEQMKRQMESK IELLETENKTILYKFEMKSRECEEWKLQHNKNL >CAK89727 pep:novel supercontig:GCA_000165425.1:CT868656:117217:118346:1 gene:GSPATT00022900001 transcript:CAK89727 MRQQILLTCSYEDHENSPIIGICTNQNCKHKRTFCIACKPQFHIKHSDDLVRLNEFDSWI KSRRQLIEPVNQLIDEVQSFQKSLKCLVGNVDQKNQPDLETLNCSEIEEYINQLILLEQS QVRLIPKIRQLITFQEKLKKEIESLILNKELSENEQLTIQELCYSQQLNSTCLIKDDEIK IEEYKETDKYTKIQIRFSQELKYEDITLTKDQLIAQGDLFALCEPVLPMNLSTKFAFKCH ENGIYIGVCRKELIQKANFNPKLNKIGHGAYLIFCDGSVYSHLEKEKNLTNQSFKYAKND IIQVLVQIAEQKITWIKQSTNEEFSMKLEVAEDLCPCVQLWGKPGTQVEIVDF >CAK89728 pep:novel supercontig:GCA_000165425.1:CT868656:118394:119271:-1 gene:GSPATT00022901001 transcript:CAK89728 MSNSQVRSESPSFQKMQALADKWTQLKAGMERDKFEKKEIIDASLQKIDSLLNYDKIKDE DRFKTLKEQILKLSDQINNEKFGKEHYVRYQEQLQQFEDLINKQLDEEKNSKRRVEMQLL KQIEDRFDTVHGMLEKNNSVYYDKFNRQIGDVGNQLKELRYTVENEQQTRTDSQAHLIEQ MDLELNKFQEMMSIERSVREETEKKIFSMIEEVHQKIQFEIQAERQQKERSHESILKLLE QTCIKIDESFNQ >CAK89729 pep:novel supercontig:GCA_000165425.1:CT868656:119641:120715:1 gene:GSPATT00022902001 transcript:CAK89729 MNKTKNNHRRSQSNNDFQQIMCSKIAGLVEQNSHKNLLKNQSFGNMLFQIPEQTDIGSST EQSHHSEKKSCITDNLLSNKKNYSQDQKENLINSNSCNNIISSIQYMIKSSHPIKEIAQK TLNNESQSKKKQLSFTNELQKKNSQILHQQNKIDISSSLTPDRKKMDNNNSKQNSHKQKS AQQQIDGQEKSKIELSNFLLNNLLKVDQKKKTNVEQQDSFRRIEFQMNEIQKDISKIKKR QDELDLFNRNIQNQLFDFISESRKYQDYGYQSLQKLEQLEQITRRNEESIKMLKQKLLNN DNQQITTKQHENNINFGNISSYKQELDIQKKMSDFKYIKYN >CAK89730 pep:novel supercontig:GCA_000165425.1:CT868656:120826:122077:-1 gene:GSPATT00022903001 transcript:CAK89730 MQKTPEKQELSQALLLSISQYSWDKQIRLTTDEDVLCSYSKFSNDQGSYCETKKDQGNVF LKKCNQVQIDDVQGQEHKQLLQILREKDKRIEELEHYQVWLQEQNEKLKLNQQMMIEDQS MNQLQRDSASKRHLQKIEDLELQISQSEQQYHKSLKSLGQLEKENSTLKTKIFEYEQKLF QQQHQQKVAEQINEQQKLKFEEQDQINQSKIYELQQYIQSQETYINKLQHDVIHYDYSSQ VQLSRKNSKENISKQYKSVVLREKSPQLNQYDQHQMNKFVECIVDMVMNCTLSQAQAKPS LKECWKWLKNILEEYMILKKQILQDTNKAHSTKSSQFVGKEINGERKRDHSFFNDLNRRF QTSVEDSENKYLRSNTLLSRVQK >CAK89731 pep:novel supercontig:GCA_000165425.1:CT868656:122080:123006:-1 gene:GSPATT00022904001 transcript:CAK89731 MITTPDINDSTFHELQNEIQNLKSEKEDFKQTLLKLWIQISKTFNDDFEEILKLGINSDV EDIMNAILIVEEARQEQINNERNQMVALTEQLNSHKNTTDSGNEELKELEKQYRELSELV LSLKQQNVDKDLQIATLNAETNKLKGEVALLSSKLNELSGALIYAKEEKIKYMEQTQNQI KLLMEKDLQHSRQVQMLQIQKIELENSLRKNNQRNEQSINMYCQTQREEEQARMLSCSPR TTHIDFSKIVQLSLQNKKAEMVSGSSLSQIPYRFGK >CAK89732 pep:novel supercontig:GCA_000165425.1:CT868656:123038:123861:-1 gene:GSPATT00022905001 transcript:CAK89732 MNHCDDYFQLSETDNSNIQAIKSKWRFHNNSLHGQPQLKIDFEEQPQKSFQLPDVFLTTR QNQPKVQHHHMTQQSFHEIPKKSRKGSKISLSSLEVSPKIPILNKSKEEKFKELSDLQKS YSQYKKSFHKVKNQQQTQQLNTSAYLQQESSFMFNGVKLPYSLYMINKNRQLAISSIMQN ASAQLQEQSKQQIEMIDSQIKQVRNEHQNKQKVREYRSRSFLQNAYYSMLCVNQDSKKRL KRIIQGKFPMESRFQQL >CAK89733 pep:novel supercontig:GCA_000165425.1:CT868656:123898:124200:-1 gene:GSPATT00022906001 transcript:CAK89733 MNQIEQRVNEYLDKLELQITDPAQKQQNYFENVRHHIKSYDHRCSNESEAMSPFSLREIC TSTQQMRTCYSKSDEQLQLNPYHRNNLHIIIHCKKQMAQS >CAK89734 pep:novel supercontig:GCA_000165425.1:CT868656:124470:125003:1 gene:GSPATT00022907001 transcript:CAK89734 MKKRNYEEDIRFKAKQRCQTISEWAFKTIESERVQETRAKSLFSGSIRIQNQLMYSIQQP QKQPKIISSKSIFRKNPRLGLSQKPTSQQSSKIYRKLIDPNQITRSLDYSELEESQANTS KRRVPQNQRLNRQFQAIYNKMKLILDSYNQRERVLLKQIASLQEEIIFLKQTQNQTI >CAK89735 pep:novel supercontig:GCA_000165425.1:CT868656:125055:126192:1 gene:GSPATT00022908001 transcript:CAK89735 MSESVKRNIKSFTPYVAKPSDIPSVEASMDPLRQFRPLLKVQTSHDPQVQQMSTDQPFQT NLLFHPTFTSFDISQRVSLDGTSEYLLNHSKIGAPLVNSSLDSKSRDRHDVLQSQSRFFA SMMPIFFTSPMQSFYPCLPQQCQSEMPRQSNNSLDKQTKTNSINTAQPSVGKPPSQNQQQ QDQPQNQHKWLKHVSSCESVYMKEEENMQNEEKQTKNEQNLTKRIRKRIPVEESSDSYKV HRKKKGPKVNDTKNITKNFSKAIISYILNDEQLIQKFITSEQSDSFISILKNKKNQMTNI KQLRDLWVDNGRNPEFNKVFRIISQYFLKNQAVPYVYNSRISNTAWHLKYRQNLLRALRE PENFKFIKDI >CAK89736 pep:novel supercontig:GCA_000165425.1:CT868656:126441:128135:-1 gene:GSPATT00022909001 transcript:CAK89736 MQFIEFLISQLFPKPPFKNSIRPNRIKKGNPIHPIFLEQEICQSLELNWISNSNVDIIQF DSQGCRTQIKLNELYPFSQPQLRFQLDDDFYSIKNLDDFNSYDYYFKVLKWTPQLTVNQI IEKSHEFIKKTIFVKQPYTLDPYSDLLSKIAKSSKSIDLSIIFTFLLVSLANLILYLPNY EEYQQLMEKTVLFGHNQWYNQFQQLPLSPLKAQFYCLIGLIVNVFDSSKLHVFKQQQEQI NQPFFHYLNLIINLLIFYCPLYFILKSKQSKINKEILSGILLYQIMCPTFLGVWNINEIL MALCVYIITENVWFGSILYVISVNYEPQCLLFYPLISSYVLGRLCQQHGIITKKNLRPNF QGLQELVIELIFLILVLIIVQCFIFIPFYFSSTLAQAIYPQVFINYFNSLFNHEFYNLMI FGFAFILSFPTQMLSFLNEKYCALGMLNAIIIIKLFDIANGISTQMLTVFLMLNFKYFRQ IINYIIMLMPQQNWIFKLLYYIFGDLFMQRNNQLIDTHKHGQYQFQSLRKLGFYVIKLDI >CAK89737 pep:novel supercontig:GCA_000165425.1:CT868656:128146:128866:1 gene:GSPATT00022910001 transcript:CAK89737 MCCGDIQPKNQPKAGIKTKSNTPGQKKDIKVVMLGDKAVGKSSIASRFCFDKFQSEYEIT IGGVYNSVDLTVKGQNLKIHLWDTAGEEKFRSLLSLYYRDANAAIIVYDITQSDSFQSVS TWLKELEDKIQKDGMALALVGNKCDCLESERKVPKAKATDFAKQNGMIFYETSAKTGEGV KNLFQQLIETYVAENM >CAK89738 pep:novel supercontig:GCA_000165425.1:CT868656:129666:132937:-1 gene:GSPATT00022911001 transcript:CAK89738 MKNTDLMPSVPYDVSHKYTIIDGKVDNYKVLPNNPQMIDFPANEYSLALWYKQFQKINEN KETVVRVTQNPVGKVTDSSWIGDRTLAFFKINKDNMEFSTYTLLKGMNFGIPYNCQIPEL SQHNWSFIYMGYSKSKQKFYYYLSVDEYVCKSEEIILHAISDRLWIYVGSDNIQQRFEGN LAQIALTIGPGSYTNSKIPFLPEYLVADKLFPKQKKITWEKNEQVMLASDGDETISSMKV ELFNGFQYPFDQMSEYAFGMWTRYLTTLPTRQLSKPALMQLARLSINKQITDGVIKTGDR VLATHIVFNYYQLSTYDLNTDTGIQQKFMKYNQLEGIWRYIYMGYSKDIETISCYTFDTT ITETKIDKILHKPLTDYLLFRVGKEDTITGFQGSITKIMLSLGPGSYIRQQDQFKSQIET TFSLPFQLTQEYTDKEKHGKFEIIEDVNQIDITNGIQFEGNRWSGISEYAFSGWIKPTGT GNTDCQLILRLTNNNAEILNDRKSQGDRTLHITICNTQLIKYSTYTLVDLKDANEPKFMD GQLELNDYNYAWNYIYMGYNQRTREVHCLLHTLIEDKPITWEQVQHYVPNYLGLYIGQDK FTSKFIGQMNKWTAAYGLGGFVSLKKNGYQQLLPYYGLVQPNKQFQWNSNKDTVIQTPEF IVQEFTNEIDSITEYAVGIWTRWLTDFPDHLVERKDSHSIFRFTAKREHQDKSQIQDRVL SAFLNKGSYEFSSYDIATNNFAANSLAAYDQIEGSWNFIYMGYKDGQTVGIIIVRDTAKA LKVEFATKHIPLVGYAKLLIGVSEFGHGQFHGWLYDPRLYLGQGSFINESQKVVDLLQKI HRKLPLPAVDLADFKWSIPQMDTTENLKTGYIDYKFEDKQESIEYSYGMWIQQSPLLPGM PTVPRLIARLSTNHPQFMKDMQLGDRTLLVSMLNEKLSYNTYKLLDTPQFEQKVEEIQVE QLQWTYVFFQYKKGNALAYALQVKQAQQKKIESLHIIPNVFYFYLIKDQNFAEFYGKLSD VKVFFGSSSYFEDPQVTIEKWPFDKKYLQPVSEQPINNQQITSAKISRNMDIKNERYVED Y >CAK89739 pep:novel supercontig:GCA_000165425.1:CT868656:132963:138621:-1 gene:GSPATT00022912001 transcript:CAK89739 MKNAYITIVIVSLLTFASSKLTLQQAVRLNLQNLRCRDLDDINIMISDIIQWKEAIELNN QLGDDFNGLLEVERIVKNTKQQLLHQQSLIEIEMPVKPDLQAKFETDLAKIMKLMQQMNQ KQSKKEKLDLLTEIDVSIQATKQKMQSILSQSSKATEYDIELLTTNLKQLLYIKQQCQNQ QQQGQYTIGRNNKYYKPYSYDEYDFDEYDDPIQQVLYDSDYDSDYSNYYDYDDYDYNYER FHRSRSQRQQNQRARKNSNSQKQSKQTKIKRQIKQKNDKHLDQPEIQQQQYTDEEQYQDQ GFSSTEQQYQDRPIYNNEDNDDSNYSPIQQQEEDPNQDSEDYYLSADSKPYDALDPENQY NIISDLHIVNEQEKKNKSNKDNNLIQNERDYQTDSDDYYQDDYQEDQEYIQQPDEQYLEN ETIQPVKNQKQQRFINLNKDDYDYQQDVDYQIEQDNRQNNKHTSQESSNLDEQEDINYQK LEDEKTNDVEQQGETENNKLEQKENQEIKKVDSEQKSENKQVEKQEISEVKQVEQDQNKS SSQQNEEVIQQSNKQSEINNNNQEQSKSKSQLNHMQEGQIETHEDFKAIPDSLKQTTQIK AQAEEFNLKDQKILPEQQQQQQQPNVQQQEQQKQEQSVQKVNPLEKEQPPTQQVVQQEVP QQPAEQIQPQLKSSLQEQIEQQQQQQQQQQQQQQQQQQPQQQPQQQQQNVLPNPLQTQEQ NVNNVQPNVPEQKNEPQIQQQDPTSQEPIGIDTSQLQPQNVSEQKPLLPTELVPDNSIKI PTKGLEENPDQLIDPVKFVPFKHKPLQSTANIMVRQSKFYDSTVEGKASYEIQFSNKELQ DSEEYGYGFWVKYQSTLEYKLKQNQYYFLSRLTSIKDYLDFQNYGDRTLANFLMDNTFVF ATYDYVGKEKNKVAQISLNQNIDGRWYFVSFSYSSRKQKSVGFVLTYGKGREFQRVEIPC THAPPFYMSMTVGGKHLHYPGLEGQFANIFYDIEAPALIDNEQELFNLVETMSFMPQGLK TYHEEMVVGPPVDMDGNTNCNYEKGFKDSFIYEHYAIAGWFRWVDNLDVKELNSFQIMNL RSNKQRVKDQRELGDRALEIHFIRGLQNIGLNFHTYSVAGNEGRGSELIVKSVPYTTNVW TYVYFGFNQEEKKAQGIMIRVGVNEEVLFEGLEHKNTNSLYFTLGGDQTVASFNGKVAQI GVYLGPESYAKSKKLDYNFGYGDGAVRLFQLVKPLILRGQQDQYEISFDQKESLINQILI QDDSNIRINGLSEYSIGLWTCWLSSLPKFIGSRSDLHQIIRMGTQSKLLELKNNKLIQSV DGQVNNDIKDTTLQIRLGKKDYEFSTYNLISNDIKKGVIPLDSQLEGSWNYLSFSYKKMN NNMGLAKGYVQFGIGGQVKECSIEVIHDYILEYVELNVGKSNIPQFNGKLANVQMRLGNG AFLVDVAQYEQFQQLEKPVLGISAAVRKSIQLLGSETDMAKVQETQNVFEYSQYAGVNEY ALSGWVKWGGDQAQGGLFSILMMVQKKQQDIKPGQSDSQLYIQKTDKDYIFGTYNCNGED CSQSQEKNIEFNEYYNQWTYIYIGYTQKQKKLFALCKFTFNQQQQTFQEINRVLLSTFTV YLGKKFQLANQWLGQIKYWVLNVGDGSYLESGYEQNETVELNFGFNSGTDHLKLQTANQE IDHSDEVIDSQADKQNVPWMIELNEQSDVKIEGVSSYGYGMWIRFRYYGAGILFSQPKWM GLSRLTTNRDYRDADAVGDRVLMILFGKGEDEKSQGIFQFSTYTIGQPNIFGNLQYQMEY ESEWVYIYYSYKRISQTQGVAMAYTGRMDIVDELKIEALHNPINNFVSTYNWSQWKVLSK FQWIIDWHQIQIRNWCLH >CAK89740 pep:novel supercontig:GCA_000165425.1:CT868656:139535:140203:1 gene:GSPATT00022913001 transcript:CAK89740 MDSFQLYFVQCLNQDEKILICGDVARAIQIWKVRWEQNQLTYLKGLNRDSARVAALSLSP SDKTMAICGTFIEMWQKGNSDKWVFRHVVKPASISQSGQKTQIFNRELIYNGYLEYFDFL SVFEMEDGISEKNQISNFKQQKHLYIFFNYQLHPTLFTINYDLQIVNLESKQYSISIVSL SQINGYLQKYQLNLKAFIRYINLIVIFIHIQLQ >CAK89741 pep:novel supercontig:GCA_000165425.1:CT868656:141351:143168:-1 gene:GSPATT00022914001 transcript:CAK89741 MSTMVCTTKGCQHKTLCSDCIVTHPKNHLAAILPLRDFDPSSYSVPKTIAKDLSIVRQRM SMLQNHLIQTREIRKSECKRLQKHIVNYFTDELDSFIKSVMLQIESFYNEKHTKIAEVTQ NCEEHINKLNSIINGEVDDSRKFDFLLDLQEKLLQIIVPTLTKQAEDLSRLSISSDILIN QNFEKAIQVVIEKAFVVSNILNTEKKPVVMDEPEYDSLTIFKVNLKNQQKNLDFYKSSIQ PYLHSDLLGKEYKVPQSSDFVPKTVPKEAPQLNGLPFEIFISQQKHLNTVIQGHLDIVTA VCVLNLNQIASAGGEGVLKFWDIDSQICLGTIEAHKGEIWCLCAITDDFNQDIAEKLVSG GNDRLIHIWNVQYMTLEFTLVGHLDIVKSLCYLKKFQYICSGGGDYRIKIWNRDKCFYTI EDAHKKIVRTIIQLDDDNFASGGDCFIKIWSAFKGQLKYELAHGDDVYCLKELPNQMLAS GGADRIIIIWNLRGGFKAHKLQAHNETITCLGFHKYLFSAGIDKTLRVWEIKDQATLMKS IKVHTEQISCMAVNQYRELILTGSWDKRVRIVSLEYVIQRK >CAK89742 pep:novel supercontig:GCA_000165425.1:CT868656:143194:146635:1 gene:GSPATT00022915001 transcript:CAK89742 MQLQQQRKKENEQGSLTDKFVQKIQELMGLYLDLMTTAPKVELTENLFRATIIFQFMFRL HYLLPEDGWGVWTYEDFKIKIPQQILSTIFHQNAIILKIILIILNGCCLVMSFMRIKLMY YYNNVTWHIFFMPQVSILIDFESDPTLSIVAIFLLLLQSFINLYFNRATKFLHNNPFIRK YTNLTLVSIAIDTLCYMNFQFYLIRLILLHFSTIVQIVDVYTFHPYKPMFNSFVFQCSML QYSLISITTISIIQQSENNLFYSYFLFGTFSVALSQIIIEKCSKKQTFDQYFVLVQTQSL YLASNFQKTILINQHKQNCKFKHQTNLTETIICILENSIIKNKQIKLDYEILELALINFL SLQKAALTAFCRLKQYYNAIDDHTIYFQICFPNIDKKLWKRVRDVQNRITKQIRSTQYYD ERDLKTKDIYIACLMKDQFYPKILEVLNRKLEFWNKLLSDLSNYERLFEHTIKCSQVMND FNNYLTNLFSQDLDEIQNVKTVIELKMLEIYFCVVRNDQVQATKMQKLIIEMLRQETQQD GKLLNCSILDNKVVLLYTSIVKAQGFIIKTNSDLLAQFWGYENELDFHEIKHINQLMPNF CASVHDQYIERFQILGHSILFGKCRTIFLKDKYDLLIPASITIDNFFISYDDYVITAAFA KNKETSLYILFDSKGKILGVTQLLYKVFHSIDPTVTPEILNAGYIFQIIPNIFYLVNNHT NSEFDNLPYEERILLMIGSPIKQQFDRPINSSKHKGYYDGLWTLYEDTKNHKTEMFLQEY QDSCKQQINHELKTINFEIICQLHYQLIGHYKTMPMFTLEILDIFKADLQSSLDYSSDIE IKTNDAFEPDPVDLSSIQEESYKKVNQNDLTSIDPPLKINPDVSYTKGRFEQQKNEQTTK SNKQFMKPQSQKAIFQVIKQKNLNEDLKSIEEEMKVIKANKNYNQKQFIVKERQHDEEHK SSEKITKRKNIIDQIQEKHKQDINEMDAVNSVNSIASNLINYKQDLVKSVYKSNKILYSL KMIIIFDLMIIASILVFNIFPMITIHNNNLHAIEQIDAIMAPYLYNSYYCQLYVHNMIFE LQKLQIVDYSQELINDIEAINKNNTLLQDLSKYYPVFLEIEKLDYFPTLNISFIILQIK >CAK89743 pep:novel supercontig:GCA_000165425.1:CT868656:146653:148059:1 gene:GSPATT00022916001 transcript:CAK89743 MYSVLIEKLQYFTQKGQSFAKYQMNESEIISSLYLYANLQESISLYSDLIQLIVQTFFED MIYDENNFLKQLLVALFTIIFLFWVQIIYFHQIFNYFKKIIYLNCRLLEKDVHLIVQRLQ LIREILIEHTVTSWKKADYVHLMFQQIKSDQMQIQSKISKHTLLCSRIAQSRFSLLSILF MIISVQVFIISFCLGGYYFNQIQQTQLSPQYELMSEFFQFSVTMDSMVTQSVRVKCQRLY MQNNKINESITVQKNLVNQKLIAIRTTNLRFYSILYQNFIESEEQILKGLVNDDSVDRQN NSTLYQLFFTDLCPIVCPNSTNQKDQNKYYVSEGISGIYSSLSKFIKSTFNYELENLKQD PDVKAQISLVNSHEFIVLISRHFLNTKKAFIKFQEEILAKTYQVINQNDKEIQAYFACAL ILEIIIAGCAFIYCIKMKQKQIQLMRLSLSNIPIDLLDQQSISILKTL >CAK89744 pep:novel supercontig:GCA_000165425.1:CT868656:148187:149107:1 gene:GSPATT00022917001 transcript:CAK89744 MKINLILCILTFRITGQIVIPFGQRCLCSDISEKNQCELINCYWDGECSENKCENRKQDK CYGECQWSDGACIDYVFKCSDYSTQKSCDQQANCGWAASQQCILFNSCQGFFVSEPEQCY SKGQSQCEPSATQADGQYQCVQKMNVECGKLKSKTICNNSQQSNSVQCGWKQSTNECLAK LDFDNCENVKDWQEMCDTYACIWLNGTCLSRDCGSFTIQDTCKFIPNYQYTEITVCIWTQ DKCQSVESLDELPQEQCFQNTNGGSMWINDGCTSCYGYTQILNIIMMVLIFTLFE >CAK89745 pep:novel supercontig:GCA_000165425.1:CT868656:149129:149716:-1 gene:GSPATT00022918001 transcript:CAK89745 MLFFLICLANAVDLRFKLPARQIRCFGDRLQEKEMIQGFVEGESADYSLKITDTGVNKQL LYTYHELTQKFTQLFNETTQIQICIHNLAHYQMNIQFFYEQGIQAEVASIEDLKEVEKQV KQYNNTLQHLKSAQLDLVQLQTNRNEKMNVISSKIIFFSIITILLMIIVTVIFTIKAAKV MKLKKSY >CAK89746 pep:novel supercontig:GCA_000165425.1:CT868656:150637:151189:-1 gene:GSPATT00022919001 transcript:CAK89746 MSNNEDEVEQVIKTNSHEPPQTRSISKKERGDVQKVVSKINKQNRIVKKRMKRKRLYSKA KRPGMRRRTRIPASESFTPNEDRLILSSVLKLGPKFKVISTYFPSKSLSTVKNRYYKFLR YRWTQIMGKDYDTLYKESLQQSTQGEEIIETVQLFPEFKDILKNMISNIKSLILQ >CAK89747 pep:novel supercontig:GCA_000165425.1:CT868656:151767:152417:1 gene:GSPATT00022920001 transcript:CAK89747 MGGFCPSQNIKVLPSPVIQEEIIVDPQIEIITFINQENNYKLKLTHNFSLENPSLQTCDE VILEILSISISFMVKDFSEQSNFLKMQIQKQVQDLMDQKIDFQEDLLQMLINYYDFLSYV QENGATKVISWWKDPISVVDVEQAIKHWSQEFIKYGGKLRRERAFKKLHQDPKPPERFVK TREWIKKQQEGQEEEIKPKRESLATTSKLEEGFEES >CAK89748 pep:novel supercontig:GCA_000165425.1:CT868656:152756:153178:1 gene:GSPATT00022921001 transcript:CAK89748 MAINNGMVVHFRVNCEFVFKGWSTTSDETGLFFFGCLIVMFYCMLHMNLYTVKLILPKNL IVDICWYLVYALSGIMVMQLIMTMNGWVNVAVIIGSTIGYSIQESWSQIYEKENQAPPGG CEFCN >CAK89749 pep:novel supercontig:GCA_000165425.1:CT868656:153372:154394:-1 gene:GSPATT00022922001 transcript:CAK89749 MYYQAQPPMMPLAHMMNNPVQMQQATNIRKPDFPPDVSQHYNNQMQPIFVIPQIAHIKSQ PKLECRAYDSILGLGRKAEWMLDKFEDEYEQMQREEREKDIIKPSRLPLKILKRLEKKHQ HNEELKKLLQLWNPFEDPNITSEPYKTLFVGRLNYATTDKKLRKEFEEYGPIKSIRIIRD STQDKPRGYAFIEYESKNSVKQAYKYAVDKRIDGRKLVVDIERGRTILKWRPRRLGGGLG ELRRSRSEEISKKPKEEHVEKDEEDKRRKQKKEEVKPAKKPRERSRSKSVKKHKKREESP IKKQKRREKSKKRHK >CAK89750 pep:novel supercontig:GCA_000165425.1:CT868656:155068:157557:-1 gene:GSPATT00022923001 transcript:CAK89750 MHFFPCDRIPGARTNYTIIKCLDNGSQGYVYQVKGEDNKFYALKRVLKMKSSEMNFLNKY FQNQQDFAPLLIHYEYFQYKNSLIIIQEYCTGGNLYQLLQKQKLSTQDIQRILSDVFIIQ LEVLRQQKFFHRDLKPENILIQIDSLYNRNHYKICDFGEIKEIQCSNSQNLDKKSMQTMN VGTPYYQAPEVIQSTLYDSSADIWSLGALIYELYTTEPLFNGVTLEDIQKQILSTQQDQS VIQLKIDQLQCDTKYKDLLKQMLSYVASERPSIQQIQNTYKIESAINFGKIKEQSQMRIQ QAYLSFRPLEFVQQQTEVQNFTESSDQQQNLQNQKQSPNLQNNKNDVQGNQQINYNSQNA DVKQKFQQFQKFQQNQGMNQNQTAKNFYPNFPNLNQQLITTRNRPEFYSNIQQNNDESKM DQKVLSQMQEIKQENFKQCQFQQNFNQIGQGINQTAFYLPPNFNFPQFDQTSANSQQFQN TQKQAPKFMWNNNQQDNQSKSQEKGQFNFQYNQNFTQKWQAQNKEMKIENQTQLPVEKQQ FQQQQQQQYYQQQQQQQQQQQQQQYQQQLQQQQQYQQQQQLQYQQQQQCQQPKQQQSQQS QQQQYQQPQQQQYQQMQQQEQQQQQQQQQHQQQQQQQQQQYQLQQQQQQQQLQYQQQQNQ QLQYQQQQQQQQQQQYQQQQLQKQQLQQIDNSSQFNQFQDIKPNSLKNLQNLESVIEMPA DTEQSMDIKQYEKNTLNKINEKIKQTFNIPLNIIEIQDQEDLKKPEYAMKIREYLVAQYQ KIKQMKDINQYEAYIQNPRMSSEYYNY >CAK89751 pep:novel supercontig:GCA_000165425.1:CT868656:158141:158497:-1 gene:GSPATT00022924001 transcript:CAK89751 MQIKTEPSEATTTILQQKASENQNIEFVCQELQNICAISYQLISPNNQQRSIRKKIIKTN RKQTNKSRESKKQTNEKQSQQTIQNLIIETEEELQLKITLIDDLQSKLLHLKTMLFKL >CAK89752 pep:novel supercontig:GCA_000165425.1:CT868656:158956:159576:1 gene:GSPATT00022925001 transcript:CAK89752 MIRIVFGFLFISNFCAYRINENLIQERQGQLNENNELQAQEYSEKLQQCFDNELEAKHEL ENVMKFDENTIGFGDGVDVYEQEEKRELKDSKKGNKIFGFGKGKNVYEEEERKEQNNVND KVRGFGKGEDVYEDVQEINKDVDGFGKGVEVYEVEKQEKESSEENGFGEALGTIDDYEQQ MEDQDSYVQLSGNLRRKA >CAK89753 pep:novel supercontig:GCA_000165425.1:CT868656:159615:160602:-1 gene:GSPATT00022926001 transcript:CAK89753 MSNIPQSQHPQQAQQPPQAPQPYYGQPSYAQPYGAPLSPLRYSYAPPVVQQVVPQTYVPQ QVVPQTYVPQQVVAQPVVAQPVVAQSVVAQPTIKGESRIEYVPYEKTVLEYEEVRQRIQV PREKFVTDYYAVEYQTEYVPQVFQEKFTEYVPVDRYQERVEYYPVERQVVHQQQVQQVVA QPVQQVVTQSVVQPVQYAPQPVQYVQQPVQYAPQPVQYASQPVQYAPAPLQQTTYVPAPV ASLPLAQTQVPTRTVPQARPQQPLDRTQAQNPRPQPAQQPQQKQKSFLDRLFDRD >CAK89754 pep:novel supercontig:GCA_000165425.1:CT868656:160671:162060:1 gene:GSPATT00022927001 transcript:CAK89754 MNLEEQLKESIERESDDNEKNDKKDQVQQPEQKKKKKKNKKKKKWGEEEEILTDFDDDWQ NKIKQSKILQDPDLPQHIKEKYAIYENNPFMMIISNVPLNVQLKELEEYFNTLITSLDPK INDRPIKSIEYGATKSWVVLECSSKEAKRALVTQDQVQFVNNCKIKVERPRKFLERILNP QTKDGELNPDQKQEDNTRLYLGGLPTYLRDEDVMKLIQSFGITKYFNLVKDTTSNTEISK GYCFFEYENAQSTAKALKALNNLQIGDKKLKICKVQGETQQNKKINGKDQPSNYAGSFLA SCDLLRIPQVQQMLTIPQSALIPSKVVQFLNMCSIQDLYEDDIFEELMEDIRSECMRYGQ IEKIEIPRPDKESGFCNPAVGKIFVKFYYQIPAKKAKFHLAGRTYNKRTIITSFYPEEQF DYKDYLING >CAK89755 pep:novel supercontig:GCA_000165425.1:CT868656:162224:163623:1 gene:GSPATT00022928001 transcript:CAK89755 MQQQTYLESSFSSHSSSSSENENKDVPFSPFRDANEIQLLSEQFDITKWSKHVLPQQSQV EKTPKPNKKQVAKKTKIKVNTSSSISPQKKKSKIKRPKMKDIKPLNLESEKHLFFAMKAA YNPQFEYVEVKELGPEQPHRKYEEIALKIIDQCIKEFGSDLKYAEQEGGKLLSIEETEHF FNDYIHKLGVQDLISYEFQDHTVAPTSVVHNPTDGKSKVIIGLPINYRINRIEGVLNHEI GTHFIRKINDRQQQWYKKRAKYHLEPYLKHEEGLAVLNQVLPQALKQKGKPYLFMAALHY ISSIMASQMSFAKLFHELRYFIQDDEARFRECLRVKRGLKDTSQPGGMYKDQVYLTGAIK ILKYRGKLNFIHLHSGKITIKDCIRLGEIGQIFTKNIYIPPFLQELDQYKRGLDKIAQQN FINFV >CAK89756 pep:novel supercontig:GCA_000165425.1:CT868656:163658:165115:-1 gene:GSPATT00022929001 transcript:CAK89756 MLQLKKEQFRQQIRRQRLDAHLMQARRHMLSITQTHQNELHINSNGSPPKPDQIFITKDI ASIMSTIFNSELTNSSLEQLQQIVDSSEQEELENTFVQYFLGQRLLQAYEKEQDLNQITE LQYIIGSIAKKSQICKCRLLELNTFQSALKVLNFEIEYDDYCMLSLVYLITIFVESDAHS YSDQNKLLLLTILEKLCKKLVLINYMDMDIESEEETSNEVQHSLLSQIFYFLSCFIQNSQ FAFNITHYQNIWKIVQQTAFVLQDASSLRLNALQVLAEISKQSDKQKLSILQKSPQILFQ LVEVLEFHIAYNSINNCIRLQASLTLKNLVSIKNCQIMNDIHSNKIPINFLEIVKLEEHQ KVLYHQIEAFYYICDQSTLEDCLEIYENGLMSLIVSNFQEIKDTNNFALCKSLLKLTYAI MKHSEFEQKLSDYFRQYNLLQFLNDIGVQSQNQNIIKRATKILNYFNN >CAK89757 pep:novel supercontig:GCA_000165425.1:CT868656:165940:166204:-1 gene:GSPATT00022930001 transcript:CAK89757 MIWKKLDALLSKAEAKGFKMANKAHVYVVNGILCLIAYNVYTVFRGYNEFFLEARQEAAP DLDEVTGEPQYPINKNIRKN >CAK89758 pep:novel supercontig:GCA_000165425.1:CT868656:166335:167376:-1 gene:GSPATT00022931001 transcript:CAK89758 MQSIKQLLMDVLDIIDKVLSFSFQDVVETYQQMNKSTTQEICGQSEIQQYEYILQIVAYL NRIKMLFEEQLNEHINNDYESIIQNLEASIRSHIRVEQQQKLQIEVLMQKLEEITLEKDL HIQQQQDKIRSLELIINVSIQLFQDQNKKLQENSPVEIIHKKSPSAFFERLGKFVQNKAS KNTQNSTYETNLKTFLKACHTDADRSLSKGRRHLSAKREFGLQRINDETQPFPESKLTET ERLRENGRHKLQRSDKSNELQHQTSEKQLQMNKYKAFTKVYQINILLQFDIQKQEQTVSS QSFEQVGKNLIALQKQISNGRLKNTQGTQLLKFLQKK >CAK89759 pep:novel supercontig:GCA_000165425.1:CT868656:167564:169169:1 gene:GSPATT00022932001 transcript:CAK89759 MLSLYRNQLMGIQKKVLLIGSGLMAEAVIDQLLKRNDNFVVVASAHVDDAKKVIQNKERC SAHHLDVTETEELRKFVKNSDIVIAYIPPQFIVPIAKICAELGRSMVTSQYTFPEIRALE EECKKKGIIMLNEIGLDPGIDHLATVKVRDEVYSKGGKIIEYESWCGGVPSPEFCDNPFG YKFSWSPFAAIRNVNNDAKYLEKGVQKYIPASDLLYSTEIIHVNPSLQMEGYPNRDSLPY QELYGLKDCQKLVRGTLRYQGHCVLMAAMKALGFASEEVIKVDREMSWFEYLLSNIRFES CSTMFLANHHITQLANTIDQKVFTLAQLETFLTKVFNRVFSQYYYKDKSEEQLYKDAEQI TYTLKWMGVFDPKNLILNNVTHVHNFAAHLQTLMNYKQGETDLVAMQHIFKIVYPNDPRV YVKKSTMVKIGHRNGKSAMAITVGVPTAVATQLILDGVIKVTGVHMPNISEINTPLYEEL KKEGIYCEEEDY >CAK89760 pep:novel supercontig:GCA_000165425.1:CT868656:169201:169571:-1 gene:GSPATT00022933001 transcript:CAK89760 MAQADRKAVVKNADMSEEMQQDAIDCANQALEKFNIEKDIAAFIKKEFDKKYNPTWHCIV GRNFGSYVTHETKHFIYFYMGQVAILLFKSG >CAK89761 pep:novel supercontig:GCA_000165425.1:CT868656:169633:170598:-1 gene:GSPATT00022934001 transcript:CAK89761 MQTFRSNQINVYKSLRNRSVFKLAFSLLELFLFLLALGLLPPPCANTTLSEFFILAIIVN TIEAFRILYLLLIIYNDLIEIHQSEGENRLPYPEFSFANTKYLLDAISDYHSSYKFIKYL MIVLNIVVFMFGQVQIYRNLYGECEIGQSEFNFTWGVAITFLILRYITIGIPTLIVVIYL LVLPFAYCLNLSNLQKRNSGGASTENLKKLKVETVGQERISEDNECVICLQEFVGGEEFI RLDCHQFHVFHKACISDWLKTRSECPKCRQAVRFN >CAK89762 pep:novel supercontig:GCA_000165425.1:CT868656:170676:171899:1 gene:GSPATT00022935001 transcript:CAK89762 MRRVVVTGMGMVSPLGLNVSESWNSLLAGKSGVVRVKDRNKDLPDVYMGLIPQSFDTKPY QVEYCPSNLTSYAMCAAQEAIADSNVLNDCDPDRIGVNIGVMNSSMTKITEIISNAALKG TSRINPFTMLYVLSNMPTALLTIKYKLKGPSSTASTACATGASAIGDSFRKIKYGDADIM IAGGTEDTFNTTAIYASIKMQAMSTKIYDDPTRASRPFDEERSGFLLAEGSGILVLEEYS RAKRRGAKIYAEILGYGESSDAFHLTRPQDNGEGGRAAMLKALKEANINDVDLINCHATS TKGGDIAEARAIADSKATLVANKSQLGHTFGAAGAIESIFTIKSLQEQIIPPTINLDKPI IRENQYCKEATEKSLKYALKNAFGFGGVNVSLAFGKYN >CAK89763 pep:novel supercontig:GCA_000165425.1:CT868656:172099:173074:1 gene:GSPATT00022936001 transcript:CAK89763 MSSVPSMNLFSGPDFQKTRSHYRPFGTYQSNYYSQPPKSLYPENYYSMPSYHDYLAQQAR PNYNQSYPEYIDKEHYVPIKYESPFQTLYKYEKTNPTPKDAFITPYRPKEPTDEEIQEHV PVIDLVIEQQRYYTHFSDHGLPKGYAEKDYQRELEDRYNRDLTQQIHNGYKLEETRTKKE IDQFYRNIKVAVDERGRVQINNIRQPQQIPKPLSTIYKTTEDTAKVASNLQTFDNNVYQQ HNKQSQEQYHNQFQDSFNPYSQNPQQPQQQQQPLHTEEKAPSEKNSVVIPIPGDSNLQQS QLEQSKVITSND >CAK89764 pep:novel supercontig:GCA_000165425.1:CT868656:173789:176778:-1 gene:GSPATT00022937001 transcript:CAK89764 MENIRVLVRVRPLNYREAHLGANACISTNANSITLDNKKEYTYDHVLGADSTQEQVFDKI GNSTLQSFLDGLNCCIFAYGQTGAGKTYTMQGKGCDDVTSDSSHLGLQPRLIQQLFKKLP KENNWTIKCTYLEIYNEQLIDLLNDAKAMPLTIREDSKRVYVENLTEITAASFSDVLSVM QKGLANRHVSATQMNLESSRSHSIFTLQLEQQTKGMYTRKSKMNFVDLAGSERQKLTAAS GERLKEASNINKSLTVLGLVINSLAENAKKFIPYRDSKLTFLLRESLGGNSKTVMIATIS AASSSFQETLGTLKFASRAKNIKNQVMINEEIGGNLDSLKAEIKRLKNELQQSVYQSEII PKKQKEVELISQITSLSYQLNESSQYQDHLTQELKQMKEYYESRITELEDHNTKSRKCSQ IGMQDKDLQLEQALEIQMELKYRNEQLNQRILELQQEDTILKHRRNEQQLIITQLEQNIE ILQKEKEMLLDQFKQQTNLAQQYETQLEMFQEDKAHQLQELENKITELNDQLQINKDQMD TDAQTIEFQSEEVQKLQNDIELNKSLLLKLQSDCSNLHTQMAEKDTLIKDQLKELLKFKK RSKLKSKKFLKSLKVLKKEKENFTQMEQKQMEFLNSLNTTMELEAKQLTNEFIQNSLNSK FNQVQTQFDKQIQESQLLNDQKLYYSDLFHQTQIDLDEVKRQNEELKVELENNKVNLEGV QKYGQLKEEFQYQKEKLSRFLDSFDIINQKLIMKENEVLKLRSELKLEQTQRSKSLEEID KLRTTKIELSTLKSELEQTIQQLQCCNQNETDESKQKKLSDNVLMLQKANQKLNTDLSTL QRQYKQLHEEKNIMQQKYEEKLMYQADINKLRKDINITNQLKQQLDKKEREFQQLVNETQ ILEDFFKNINVKRFCNYQIQNEGTLSEKVKSYFEFLDQCEQEFENKQYQLKTNLQQLRIE QANCNIVKEENRMLKMQLKI >CAK89765 pep:novel supercontig:GCA_000165425.1:CT868656:176835:178854:1 gene:GSPATT00022938001 transcript:CAK89765 MNQMDGLIEENTQLKKAIISSKAVISRKINEFETLQAINDELKQQVDKLKLDNNELNQKF RIALHEKKQTEQQFDNASKNWKLLIEQKQRELEDIQNKLSPSFDQDMIRIKLLNELEIPH RQQLEVKQIEIEKLNEVIYQLKRNLDLEIAKVETTKLENAKEIKLLQDRFKLDMSDQQHQ IQELTKIIEDSKDRDVIRRLRKDLDEYKLKFNNADIENQELRNERDKIREEKNEIMIKFA RQIDQERNDKRQYKSDFDKIQVRTRFIEDELRKEKQRREQVSTDFEIMKTEKDQLLTEIR KKDDTIHYLQRKIGDMEEEQLEQEQKVQDKLTRLYQDEHDKYLQERNKAVTLQKDLDNLK KRFSDLQDDYKILKDRYLKENSDNKDNNKTQNEELEKLKKIVSQQLKDIGDLERSNKNRE EQIHDIENENETLKRRNRELQHRIQLIEVNPLPSPQQTQMIYNQSQYPSFAQVPQYAQQI QEQYDTKPKQNIVIQSENEPQNQNSTQAQKQSMQQITEENRSLIQKNKKLNKKLKEANDK ILELSMKNTLLEKQLQRQYSVPSVAYQGQQGNYTHSQSPLRYPYEDYEQRDTRQFQQTHT DTRLDRFDKQDRYAQPNQDKYQQRKISGTNQQSKQGYSNGIHEDDLLNKVMMLTNNNTNQ TQYW >CAK89766 pep:novel supercontig:GCA_000165425.1:CT868656:178914:181357:1 gene:GSPATT00022939001 transcript:CAK89766 MLAFMLLSQTLACLDQNCIECNQPTICTACVYPLLLSNNQCVTICETNYYPDIINNVKQC LKCNDKCLECYNGSPTGCTKCVKPLRLMCGNCLDSEIDSECSDCSSQCVNIYPNYKITCF GKSSSECYLCNLPNFRSPNNDSCELTCEFPMYGDVYDFQCKEFCPFGTYGQPISRQCLPN CPDPYFKNELSTNCVKECPKGTYPFDRSCQICHSTCETCSGGQSTECLFCKEGFYMYNQL CGECASQQYSNKETRTCVNYLTYSLNILEAHESTIVFEISFSSSINPKTLDINSQINVVD VDQAQYIMTLSSVQDDQFFIITLDFYISHRITQISCNFTNNIMNDDKTPILQSSAIQELD IPAQFIPPETEANINQINTYLIISYLSYFCFLFLLCLILRTQRRMFYLIINNLQLVQVMI FINFTYSTLALNSLKLLDIVNLRRIPAIGVSEDGIILYFFNTPIYNQIPNNNLLYNAGYY YNFIANGGIQILGILLIFWLIWIISYILHNLYQINNKLETLKIYRAMHEGSNDLLVRVHE FLYFPLILISILQLWGYDFSSDINIASFVLSIVTILYYLLYFRSVYKSQFLDLDQQEMEN KYFTFFSDFKQTNYIKKNYEFINYFMKTSIACSLILLNNFPYIQFSISITLIVLYFLLLI QRRPYLRDIMNYSAIFGNLIMVGLYSTAIVSEVEYHAQNKDVIIKTQDEQQQYNFGLGFM IFIQIILGFYAITFSFNKFLDYLKYLDKQPQSGTSILQKMGKVFFSDKMQEVEMGNMSFT N >CAK89767 pep:novel supercontig:GCA_000165425.1:CT868656:182183:182530:1 gene:GSPATT00022940001 transcript:CAK89767 MNPNASRLKFQPAVTLNKRSFDLDLFELEKINFLNKMDKMLSQINSTQEIRTPTFNTQTE IYDNKAKLVDLNSNQKKNVLIISTKITKKLSKSKTSDVYEQSTLHNTQMTTYYDK >CAK89768 pep:novel supercontig:GCA_000165425.1:CT868656:183612:184267:-1 gene:GSPATT00022941001 transcript:CAK89768 MSEVFSSYEVEFEKYQQQVCSLIRQTQINRSDETLKEIASVFTDLQNCLQQMDIESTSIA VSDRQELKNKVKRYKSETEAMKKQFRILEDEVSSQKTKDSLFGEQDNQQIQFINTQDKLV KQTVQLEDAKRVCFEIETISNNIQVQLKGQSDILDRNINKMPEIQYDLGESNSRLSRIQS KMREHKIIFLIVFAIFLTSIAIVLVYKYA >CAK89769 pep:novel supercontig:GCA_000165425.1:CT868656:184355:185153:-1 gene:GSPATT00022942001 transcript:CAK89769 MNVCSPSKVQGPALLRNPFQQVRSVSTDCKYATWQSPKNKENLSPNQQRVDLVKKIIVLL EENEKSAELIKQLLTKESRYQKQRSCDQTELSQLRDEVAILKEQLIKSDEDRILTETKYQ AVLKELDYKDQQIENKKETIVAQEEHIKQLLERNAELTEQLKFSQDELNNLGDIDEKIDF ILNENEQLKLLSDKLQDQLSASEEQLFRFRQKIDQLQSQETERSDGTLNGYKQKIRNLET KLAVLSEDNVRRRQIV >CAK89770 pep:novel supercontig:GCA_000165425.1:CT868656:185291:187346:1 gene:GSPATT00022943001 transcript:CAK89770 MIELPILGDKVEDTQTQEYFEEFNERYELRVYYYEEDNNFMIKMLRIVSLGLFSLFENWF VSVSLLSFQKSTDPTHLLIQKMKDGKRMSRDKIIRCKRDGDRIKFKYEYINFLITPQNQL KKLELINYDYNELSKYEAQQRQILYGENIMQIEECTRSEILFKEILSPFNIFQVFSFIVW SLDDYYLYAFLIGILTITQITISLYDLEQQNHKIREMIYYESSVIVHRDHHSFNISSKDL VPGDIVEVTPKSMVTFDGQIINGEAVFNEAILTGESTPILKTINMEIFSGCSCLSASSNC RALVKSIGFNTIKGSLARYILFNNSYTYSFQKESLKYLIVLGFLGAILSITNYFIRLKST KNQFKSTIEALEIFTILIPPSLPTALGAGLQVAIQRLKANNIFCIKPDKINVSGMVNLIG FDKTGTLTESTLKVLGCVEKELLLNPNHCKEIMQLALKSCHTLVGGCGDSLEIAMLECCQ QQFEFEYQKAYQFEANLQRMTVIIKYKGKLLAITKGSSEIMERLCFSTLPDQFTSTVNNY LQEGYRLISFGYKEISDVDQERKYIENGLQFLGTLVFVNHLREDSRQLIEQLNSINIKCV MVTGDNILTSINIAKQCQIVDPNQPVITGQIINDKLVFDNNINVEEIEQMNYQVALTGDS WECADKVFVNIIFEYP >CAK89771 pep:novel supercontig:GCA_000165425.1:CT868656:187414:188223:1 gene:GSPATT00022944001 transcript:CAK89771 MNKGIFTAFVGDGCNDICALQQSDIGLALSNQEASLAAPFLTPIIRVSQICEILKVGRGS LITSQSCFKFMTLYSSIQTIALTICYVSNTKLTVEQFLYQDLWILIPIAFTMSLTKPSET LTIEMPFVSLLSRSIIFSVIGQLLICCCCQLISFSTYDQTQITPLNMDFVNPINTQQIIL GNTQVIAVAIAYSQGKPFRQPIYRNYFLLFFLFIGMVVHVSLIIKPNIVNISILSELTSE QLMITSLMNVPVFVAVILFENLCIKQYKT >CAK89772 pep:novel supercontig:GCA_000165425.1:CT868656:188284:191424:-1 gene:GSPATT00022945001 transcript:CAK89772 MAHSEMQDMLICKPRQECELFRFLEKGPDIQTKLQLSISYAEKEDQTERPPPSVYEKYAE MQEYFCPLDQLEALLKTNFGVGLTSDEALRRQQSKTIDVSDWKMFIKELNLFAFDLIIAT MISISLAIYGSQNNLEFSGEEIITSCLLLIVITITFAMGYQQRKKSQYAIEKDNEQTIIV YRDGKMQQAQIQNLVSGDVCVIKDGLVIYCDMRVLESSDLQIQMNQDITQAVKGSQIFCG SLIRSGFGKAVVIKTGSSTTIAQIQKRQGSKLLQKQLILFQQIMTLIALFVIVLVLIWSA VMTDKDTSEVFAYGFRVVEQVIIAIVPVGLLVIVTISFQMAIRRLAKLRFLIKDAESIER LSEINCLCIGLNEVITSKNYSFNSFDDCKQLQYELSKRNSIHLYYCAILTCNHGNLDGTE KSIIDCFKDDNTDDLIKNAKYYVNGIQYHLPFNSSKKYSLSIVEQEGKYFVYIKGAPEVI WNYCNKTLFGKIDLQETQYFNDELVHQCSKGLRSVGFAFLEIENEEDLKINENDYEFNKQ NFVYLGTLYLNNILNEQTIPILEKLQKQNIKLVIITGEHPETARVICKNTGHYSNPTIIE GHQLYLHVDDQQEVTRLSSVENKLGNWCSQQEIVFARTSPEQKLSIVKALQQLNYKVAIT GEGLTDLGAFRQADISISKKYGSQQMIINSSDLVLTSSRQTFLDSYQAILEAKRAVNNVT KSTMLCLSSNTAMILALFVYCFLGTPLPFSQNLMLLTSISVDLILGISLAREELEYRIPN RTNLFLFAILIIGCIEAGGGLMASFSAYRYFGFNLQNLYFTRFARVYPAGDQDVYNPYSN TLGNSNLPDNCLYTGEMQNYQWSSPNNKIDQRLIFVKCGTCTDLQIQNGYLTQCWNTKYE YPDCQSYNNDLQYCYTAKASDFASTSFYIVLVLSQCINYFALRTLIKPYSSTRVNTLAIY GLTISLILLPIIVYVPGIQWVFQTINMPWELLGSAGLPFCLLLLATVEVVKWLLRTYVGF QIL >CAK89773 pep:novel supercontig:GCA_000165425.1:CT868656:192247:192570:1 gene:GSPATT00022946001 transcript:CAK89773 MKIQLQVIANNESKAHNSEQLIIYEFDQISYKVMIVFIYEQIFLFFIVAYSNIIVLTHQF LTSYKLFSFLYSFQKQSVSNDSQTLFVEYYDYIFIYQTQFYSDINQK >CAK89774 pep:novel supercontig:GCA_000165425.1:CT868656:192664:193474:1 gene:GSPATT00022947001 transcript:CAK89774 MQTYHLYSILTDSAQEYLIDKIVFNYEGFQILQKQLNQSTSVLVSGLFGSQNEIEKQLFE EIHIKPDNLELQNASKKGNLIGVRFQNKIIFCLILQLEFFQSKPIKEKAIELTLFRILQD LTDNIKICLGDEIYNQWYLGDETPFSPFIVQRQQYTYVEQGDQEIQEYKCPQNNFLQDMT QYEILLSQNQSPFFTIIQELKFNEQDFKQNYESFVQSIFKQNILKDQIFIPQILAIFYKL FTIKLKLFSQMKRSSNLF >CAK89775 pep:novel supercontig:GCA_000165425.1:CT868656:194679:198165:1 gene:GSPATT00022948001 transcript:CAK89775 MSICEQCVKLEDKFVDITIKQVAYLSQQKKFILLTQKNDVYFFLEDQKQIIQAMCSSKNN KKELLQFSQNSKSEKLEQLLSCPSGKYFYFSNGENCFMYDINGLEVREIRVNGKIKIFED KSDIIIIDNITKIEQNNSVIVLANAIKQKTINKLGDEEKQIVGNPSLDIIKGSCIKFGPN SVFLSTSKKKQISFQIDKQRFSQIESYFNKINLNNNINLDVAKLQFSEISQQDLQSIMFS RVPLQLCTTENGILIPLFDGFRKETSTEQKVCVYEKSKQLRLGFIEEFLINNQTNIFVIG IIGKQSSGKSYLLNRVFGTRFSVSSARCTDGIWASIGWIEGQKFLILDCEGLFNSARTNQ EEVQMLAFLTAICDISILNSDITFNRYMNDLFNNLTNASSQLKGETLFKGQLHIALRDVS STDNSGIDNELLTNLYRLKSQDSQDIVFLKKLFNNNFTVEKLFNFEHQQFDEQIINLRQY FSKRAQTSKRWEDGRKLVQMMKILLCQLELSDHGNANLIDIKLQVEKIIENQIKLWHQFS INQPQNCKLAQQEYEFPENSEEIIFFNSSLLKQVQKDLHLNNTILNHNENLNQMENEIQK LMIQRKEYILEQTKQELQKYQQPEIIEIKSKEEGLLTIYINNQIQQYQFCKEKCSHCYLQ CNQFKNHIKEQEKLITTLQEQQKTLEKEIAETSIKTDDESQQIQQRLIQISEELQELDIN ISQKEQLQRKEKIYSKIIKQNLKLQQFSFCDTQKKQVILENLDLKQIQNQKFSVDLTAEN LDKIINEIKSSQEKKNQQLEENLIVLEQIQNDIKIKEKLIKDIQNEIQMKQDNHAKQSLQ KDELENTLAHQQNELNIIKQDQQKLEQTLLQWVDFKIENHKLIEQQKQIEARFLDEEKKE CNIKRQITILEQKLNDFQQQKLTIYKETELFEEQKEIRNEKDMLLKVLNDLQKQIDKIDK LRSIKQLFPNVSAIQTKEKSKLESELKITIDSDLDLKQKEIEETKSAYQEQLKKQKFEDL EILEQIYNEQKETTSNQSQLEKIVYQRYVTHKQQEKKDLIEELENLKENAQKNQQNMNQL KIVSENLSIYQKLQNQQKQTENFMNEIKDQLIKINNNKRTNYNKIINNKLNKLNFLKITT TLQKLTNKQNNHKKI >CAK89776 pep:novel supercontig:GCA_000165425.1:CT868656:198798:200629:1 gene:GSPATT00022949001 transcript:CAK89776 MMKSKNIFVRNKIIFVKRTALFKIVKISAIIFEIITAITLVMKSTSAKILVHIAKSNVNL TELINIKFISVQIRIMGAIKNANYARKIAQNNISILQKEEVYIVRKSALEFIHIVDSLKE TCSKQGICNVEYESVQVTWKTNIAEFQYIKYIPKTGGKKKCMHQIAKGKYEHAEEHICMR DTDVQYHYCDQKCPECQSFCELQYGHAGLHYSSKHRNKDDQKFTQQQGKNSQIKVLSEDG KLVKNYVVGDVSSPETCRESCKRRGRSHFHLIECKGGDSCLEKTLQRYARHSKEKYQGFE NKRFDEILCFQFWEQKMWAHPLQNTEDIDNIQGCDAYCPQCLEVKQFCQERGWHTNSNKI KDHKFACAVNHQQDLIHGIDVAFVIDTTGSMSPYINSCIEIIKKTMQKFVEFKEHIQVCQ FAVVSYKDHNVPYNMNQQVVQVQDFASADVINQFLGQLKAAGGGDFAEALLDGLDASIRL GWTDKNVKVLYLIADAPPHGNNNKQKMYHNFKDDYPDGCPCGLEQTMILQKIQDKNIQLK VIKLNQNLEMMISKFKEDYSNLIELTPSNIEQNEFQNILIKDICKYLEHNEITYQYRC >CAK89777 pep:novel supercontig:GCA_000165425.1:CT868656:200710:202888:1 gene:GSPATT00022950001 transcript:CAK89777 MKYNLNIDGLKNNFSIFSRLLEDSLDCNIDLSITFHESNNMTRGLIQEFMNQRFLQVDKM LKINLKEYSQIVERDKELEKSLKDHVKQKLSLNNDIEWIIDNNQIITELNCSQIVEEYLN KLFILQTQFKEYVDFLQTQRNVKTICLNQERPLQGYKGNFQSSMYDTYIIYGYKHSDISE IFKKQIVRLELNVRMFHVFTTYYVPKIKPWVEKYYYVYVEVDELEEYMILYGPINLVKEA EEIIMKEFKQLQLYHGIIKSEGLTEEQFTFLEVHEFLKESSYQNYQVSKQSSEILDQLEE SLKDIKSMAFQAAIFAEFKDGGLDGSCVLCSNFEIDQNGVEQILESEVEKLASINKNGNC VGYFQIVYGMKLSVNLKSKFNDHLKVVKEEIHNQNYICYLQMQDFSDLQNYEMQFKVKLD SNNEKFFVTGKQDQINKFKENLQNIITSKIDIIDYYQYKNNFNIRAAMIDYQERYDQIIA QNQCEVEANLLNTRIKLSGKQMNVAQLKQDLQQLEQDIQSNKIQKQISIDIPKGINFSYR FLMQLQKYPSIDLVAGACDEVNIAQIYSFRRKQTRIKICNGSPKGLFDIQAELLKLDKAL FIIPIEKVHLELQKPSLLWEHELIQQRQIDTKQIKETKFYKLNTYSTEITQTNANVNSKD KKKKPKTETIDLLQKLPKTRKLYTLF >CAK89778 pep:novel supercontig:GCA_000165425.1:CT868656:204637:205264:-1 gene:GSPATT00022951001 transcript:CAK89778 MSEKRNTKRIHSSDGSLSIEQLKTICPDQYVTYEIFDLAFKQMWSVVNKLEMLQLQQNSN DKLFELEKRIQNLEEVIHKLTCIPPIIPESLQQQIAELTDTSKQILISIPQRFDQIQNEI NEKDDRIKSVEDACNILTKVLHDVQTEYEDQKDQIDKMEVDLINMFKNFQTVLKLEQDQS NIQTEIQNITKAINEIYQFI >CAK89779 pep:novel supercontig:GCA_000165425.1:CT868656:206016:208144:1 gene:GSPATT00022952001 transcript:CAK89779 MPPKQQRVALKRPQNKKQAKQVNQNLNQQDPEPDGDESEYQNEREKERPQLTEKELNEDM PSKMLIPNNPQAPKNITQYDYLQRKYKTDELVEQMIIHFRMDGEIIHKDSNEARIQEELW DTKSALIKEAQRNQEMEDPGASKDIDAIKQTMRNKFNYNAREAQTDGRVIRERGVSTEPP PSDTLKGQITQWEIFDAYIQNKAKEENQKKKDHTSDNTVYKPSFKRCLKIMERTVVHNDQ KEKYNDYKYYWNQGEVVETSKNEGHLLPIWKFSNEKQKKKHVTSLCWNPRYMDLFAVSFG SYEFSKQRMGLICLYSLKNTTHPEYAFTCEAGVMCLDFHPQSPALLAVGLYDGTVLVYDI RNKHKKPIYQSTVRTQKHTDPVWQVKWNPDISKNYNFYSISSDGRVMNWVLMKNKLEPEE VIRLRLVGKNEEESTLIGLACGLCFDFNKFEPHIFLVGTEEGKIHKCSRAYSGQYQETYI GHNLAVYKVKWNNFHPRTFISASADWTVKIWDSKISSQIMSFEQGMQVVDAMWAPYSSTV FACATQDKIFVYDLNVDKIGKLAEQKPSKQPRLTNIAFNQRDPIILVGDTHGGITLLKLS PNLTKSGVKATNFPDGKVPKEFENMPMEEYEKQKMENLLQVVSKWEREDS >CAK89780 pep:novel supercontig:GCA_000165425.1:CT868656:208193:209417:1 gene:GSPATT00022953001 transcript:CAK89780 MHNYQDHSQKFFYELWKTGNRILCQGKLLIGSENHKFIASIVLITIPTVLYYVFMAPVLK YQYLGIVIIFIILNCLVYIFITITVLMDPGIIPKITTNYEMDEQLILIPQKYLKVDPQVL FESKTLQTRGHQFKLKFCNTCAIYRPPRASHCPACDNCVLRFDHHCPWIGACVGRRNYIY FYLFIFFLSATMIYVFSTCLAYIFGDMSDDKDKGEQIISTLSRNPYSLALAIYCFIFSFF VVGLWGFHTFLVITNMTTNEYLKKHWVIQSKNPFRRKNIFKNIQHVLACIREVKFLELRQ YVYDPKSYNQPITQNQINENEIENLNVVCDNQNNVARRLSKQTNDHMEEQ >CAK89781 pep:novel supercontig:GCA_000165425.1:CT868656:209556:210109:-1 gene:GSPATT00022954001 transcript:CAK89781 MDFFFSVLHSCQKWVSLYPQWITVIKKIEKSRQKLIKQKQQKSPKRKLLEVIFLQIKQST VNPPKKKDPYGSDWAQGILAKQFGVQITQGSQNLSPKWIIKKVQPLDFQLNNAMIDSKVA KDFKSQEKLFRQTATESHRKRLGKVIKQYQQSFNGQISPQHQQSLLNQQYKLPSIP >CAK89782 pep:novel supercontig:GCA_000165425.1:CT868656:210166:210915:-1 gene:GSPATT00022955001 transcript:CAK89782 MLNFIRSFSQQKQPPKPPQQQQTQQQPKQNFYSIVPKLRDNFAEELFNLEMDVESDDVQM DTIMKLINLYKEAVEYFEAIHSNKYLIFKNKIQNLFAKKNVMNAMKMNQKKSPTLEVKQK LQQIKQVDQKRNADDLINQHQQKQEQLNTLIHNNLEAQNNVIQERLQKRRSSQVRQIQTT QNQETTDYSMPEFNPCHTPQIKTSAKSYRGRQTFDS >CAK89783 pep:novel supercontig:GCA_000165425.1:CT868656:210931:212138:1 gene:GSPATT00022956001 transcript:CAK89783 MFNNSTSFFANSNEKNNQLKEKIYSALYQSPNTSYDVMKWIPSNDISNLSVSIEQDIDKI LQVIPPCQFQPNKQISFQNCYTQQSAHCQPMTILSSSQKNNSENIYEPEIKPIQQPQIES NSKHNRIEQQQILSKQNQYIQDLITRYKEPPKNAFQEDQNHPTGNIEEEQDINTKQSVTN RDSKQKGCLIIQEKCKNNELSKLTEQQTCLNQSIGNSVILDALINEENIKQVQSIVEIES QAFNTNQNWAKMKLRKEAKQYEIYKTQNFLDLLYSLPSFFIHQIEGELKVDKLRAQENQE GYYYQSFELTVNPFKMIGPINFKKNLKIHIRFKKGYYGVVYYDKQLQNVIPQNQVDGITL KNEPFLLANNSDKPIKVICCIVGIKK >CAK89784 pep:novel supercontig:GCA_000165425.1:CT868656:212426:213118:1 gene:GSPATT00022957001 transcript:CAK89784 MDDQNKLESLRTAIISGMIDFINKNQQENEEEDNIQDTQQNLQIIHAQVQTQLQINFSSP QNLIIILNKELIKLKGNKALTKHQKKFYKANYSQQLLENLSQTGQAKTPISLPSYVNPII DNVDVLFYALKECLLRAQNRELLKTDSSVSAGLESLVGAFGQDQGCLKFRTIQVDYNKLT TDDYFRNQIYLNQNKKQLNITILRLIKFRSLQSIKGVLRFIKNNIKQSRY >CAK89785 pep:novel supercontig:GCA_000165425.1:CT868656:213255:213674:1 gene:GSPATT00022958001 transcript:CAK89785 MRWDNFPEKEERGPRLQLKLLLSNRLASFGLKLDEKEHQNINWINMDGNKEEWRILFHGT KQYCVADITKEKLKGGNNQVWENYICEDGRKVGRGIYFSYTIEVCLRPEYAEPVQVGQKQ YSVTFMSRANPTKITQSPK >CAK89786 pep:novel supercontig:GCA_000165425.1:CT868656:214865:217327:1 gene:GSPATT00022959001 transcript:CAK89786 MMSNFLFQIALELIFINNETVNQRALQFVLILINVILFQVESIKYGIDNVDSNYSYIIIM YLLNNYQNQEIRKAFWQVIYKFLLLILFLSFYYSKEESKEQRSIDYYCKIVNQKLNMAIV IFLLQEIKGQEQKQNILSTIDEKIMSKYNQNTKKKECVKQDTKRSSFQDLSEILTDRKQT IQKYQLYQQLDQTLLLDILTEAVIIIKFEKSEEPHIYKPRIDYQNQISKAMFQKNNSDLL QFFEKTTSEVLSDDSPVNPRNSLLSFQSFAQQQYKNMKRSSKFNPQQYFVAENKQDQKSA GLHSVSPRLRSLTTQLNTIYKSLLYVYNTKKVRFEINGKVEALIIETNFEIDNTKKTVEM LVTIGGDDLLLVIARDVVHRKNIKELFEINQSKSKILSFVSHEFRSPLNVMINVLQNMME DKSLKNSLLQPLKIVLENSYYMLNLSNDLLDLAQIKNETFSLNLKTIDIIQLGEECIKMF QLQAEQKNIKLNVITNIKPLYIYTDKSRLKQIIINLLANAMKFTQKGSISIKIKQRGFLV DVGVEDTGIGISQQNQNKLFKAFVKIKDSENQKLNEQGVGLGLVISNKIAQQLSSDGQGL RVISRDTTHLDHGSFFYLILKIKQFHAKITSSKLQQVDIEEIESPFSDKHIKLSQFRMTF NNGQHSFADDQRMIEKANCQHILIVDDNVFNQNILEMQIQQFTKAKIDKNNSGIEAIESV KSKKCNQNCQGYNAIFMDMEMPGLDGFQASTQILQFNGKIKIFIVSGYEKSQFDEESKKI GIQEFIVKPISKDIIQRIILENHL >CAK89787 pep:novel supercontig:GCA_000165425.1:CT868656:217815:221265:-1 gene:GSPATT00022960001 transcript:CAK89787 MLYHMYYQLSLFSFEIEIQLEQNDNWEMQMKEIKELWNAFESKLKLPQKPNFLQVVKNRN EQCILEMMVSLLQAIIHSPYSSELVTPMMQLDQETQMVLLKLIQEEQSSLTLDQESKISD EVLRKFEELEYENQKLNQDLMLSKEQYEMEKKKMKEDMEIVQTDIETRQKTINSLNEDLN QIYEVTQTNSVSEVCEYIHNRIDDIKGMQQIIETLKNDQQQEKDVHEEIVRDLRNKLEAG YRKYTQMQKLEKYCEQLKTQLSESLQEQTKNKENLKQNSKLKEENIELNNKVNQLQQKLD SQKQLQKQNQKIQSQQEFDISKARSENESLSRQLKLREQEIFKLKQEIIDLEHNIHNKTE QSQLENSSVMLHSLNDGEERRNFSGIFTPKRNLGLELSQLITKSNYLIEGDQKLKLDVHT QTDDNYESFTLLKKQDSSFDEEILSSQLSFWKTQCSDLLAERALYEEKQNQLHKEMKELQ IKLEQFFIEQHKYEQELQDAKDKVLSLIEDNNVIRSQFEQSLQKIALQDKQLVEFPQMKE QLEGALQKLEKTEEQLRMKKKKKVPINQSSRLMRNCYQLNRKSCSCWLHRSKIQSLIIMF PKSCDELYQCRLFKKLFLGSSTRYFYVFSDRVIANKDSNRKRADRIFSNTNTNRLIWRYT NEQPLKLKGLIFDCGDIQFEYFGEDQTLRELKKNVSNLFFQAKVQEEYMAQQVIGQGNYA LVLELQHLHSDQRFASKCIDKKKVQAIEQGEQSVQNEIKIMRTLSPHRSLINLIEVYEGD NNIYLIMDLAQGGSLYKEMKNKVSLYSREEVQNIMYQILSGLHYIHSKQIMHRDLKPENI LFREKGNLNTLTIADFGLSVEIDAFPYLYPKCGTPGFVAPEVANLIDKTQPYTAACDIFS AGVIFHILLLGEGLFVGNGHQEILRMNKEFQVDFSKPKYQQLDYDAKDLLFKMTAHNFLQ RYTSEQCLQHPFFQNNGKQQSQQKTTQFNTSQIEESPNKDSFNQYLQNYNSPNQKLISQD SKKLSIVTRTPLYGPKAVTPQVQIQNDILEELSPLSHFSLDQEQQGEQEYDNHQIANTK >CAK89788 pep:novel supercontig:GCA_000165425.1:CT868656:221465:222040:-1 gene:GSPATT00022961001 transcript:CAK89788 MRDLQSELRALYIKLGIFYVFLIFDLIWSSFIEPTTMNQISQDIKQGETQILWMSALHII ITGIIFVLFCTLMWQTQPLKLGMVKLLVKDFLYVFLVSGLMLILVVIERVAIFITNTTSN SVIVEVIKENWLSFFYQLFFYIRYIIRPIYLFVMLHGSMKIAKPYYHMRNPELFLN >CAK89789 pep:novel supercontig:GCA_000165425.1:CT868656:222434:222823:1 gene:GSPATT00022962001 transcript:CAK89789 MDTAKNQHTYSNVKLFLTNKMINGNFVKPQRKRPQSQAINRAYTSNSNDRKTQTEQKSFD LHTWVDFEDQIRKMAIKSFHSSIEPKPKLLKKSIPNQKQQLQAQFKELIKDVLQMNLRND LYNKLSKFI >CAK89790 pep:novel supercontig:GCA_000165425.1:CT868656:223165:224763:1 gene:GSPATT00022963001 transcript:CAK89790 MQSNKGHKLDELLTCFICLSNLTNPHICPCCSKLCCYSCITKWLTENRQCPHCRSTLRIQ QLVNCRFLEDIVAQNDPCPLHNAQLQYYCVTCAHPICSECAMFSAHKMHEFEHIQKVFDS KIKDVKTKMENVQEKLKNLKNSAVMIDELMDELNRSKDERVQEIQAYTEQLLQKLEQTHT ARMGNLQMQRQRLNEKILIASAELDTISQQIKGYQKSKTIMTAQELLQRIESVNVEPEQI GGVPMNFQSEITPQYVCDTFELNSFNQSEEIVYSDHLITNGIRWRLKIYPHGNGNAKNIY ISIFLEMDSKYAEIRRYEYKIEMINQKNGLSVIREFASDFEGGECWGYNRFFRIDLLQKD GYLVNDKLLFKYYVRAPNYYTQCLDMQRYIKQLESQVSIQQTTRKIEQQSSIQKLKEEIE QYAEKIEQLEQSQPSNTSEIQESEDDHQLQQQQDEESSSSSNHSDYYFDGQYQEIPIQQE SLPIRRNLIQKFNQSSLVNTSQNLRSPLSEFSENLYS >CAK89791 pep:novel supercontig:GCA_000165425.1:CT868656:225826:226889:1 gene:GSPATT00022964001 transcript:CAK89791 MEILRDSIKLQEENKMMKKLTLLQQQVGYPLDTLMNDEFIQKLPEESFVWTIISKKYELL TGQRANKEIILQEELYKNITFGMFVDECFQAILHFHLVITDYKFNLHIIQDSIRTLNQQI QLLEGHSQLKFDQPNRLSICYTRHKSLKTDIFNHYQIKYKANLNGQEQKSIYARGDDLTC SFPILEESKTLTIAVWGKNKENGKEFKVLSRIELDLTEVLISFPLQSYSKETIQPCLLTL DYQDESPDAPFNGKNPIELQYNLIITYRFSLSMPSEQRIQTMKVLKFRQEDFENQIRCEI DNRNSYIQSIIQPFNDLLYIPGEDLKNKNETDPQRFSCAACKIF >CAK89792 pep:novel supercontig:GCA_000165425.1:CT868656:227085:227627:1 gene:GSPATT00022965001 transcript:CAK89792 MGIPLSTIFDSWFQKKEMRILMVGLDAAGKTTILYKLKLGEVVSSVPTIGFNVEKVQYKN ISFTVWDIGGQDKLRLLWRHYFNGTQGIIFVVDSSDKERINVAKQELMRLMSEEELKDAA ILILANKFDISQVTVDQLISQFDLQNCRRDWYVQTTCAITGDGLYQGLDWLSKQFKKRKN >CAK89793 pep:novel supercontig:GCA_000165425.1:CT868656:228214:230929:1 gene:GSPATT00022966001 transcript:CAK89793 MKQIQDKTYKEFADIKHHIGALQSQQLADEELSLLYERLKYQFTNLNQQVAVTQALKPSS ETQPIHKRQKIKRDKPLIQQQGKSIALPKILKQPSTEQRPKKTKGKLKSAHIYQANVLNR ELRLNPFSDLPTILDDDLNKGVNNLIQSGLIPKDVDLGPAFKRGEELLSISQVQVQNPTE KSKYYNTGYSENLSKYKVAPQKQTAKQIADYSSSFVERQSVPREKIQQVKVVRKAKYIIE IKNGHYVPDFHYQIRNLMIWGGIMHIIKKLEKIASGQLDGDRIAQLAQSQFKVHLIELYE CYISKPIMERLYIINKKQFSVESAILKIQATVRMFVASRKYRHQKRIVQKVIRIQKEFRL KQTYVYTINQIKKNMGQLISTLENRQKQFLLEDLNQKRIEIHIPSYSIKQFQRLSMSHFK SREGLQLTRLFALKDPNVSIIYVCAPLQEEIMAYFYKLLEVAGINPEGRLFFIHPENINR FPSHYSLALLLYLSPKAIHQISELIKGQFSYIVPMRVGKEEFLIAEYLKTNIYSGPYELI EKYSKKSEAVELFRQLSFPVAPEYHKFVSKDDFVDKLTVLIMKNLTVQKWMFKIDIEFNG RGTAWFSLEGVKQFIEIKKFPHSIEFKVLRELVQTLLPIKTVLAFPQMFTFEEYLENFIR NGGIVEAYPNAYKVQTINIQVNLENQGTYQILNTSTSIVIKEMSKVGCIYPQNVLNLNVD SLIQPLADELYKQNVFGYFTLSLLSFNGAFYTKSLKFGMDEYIGATVLSTAMDTDQFTYI PFVYHPGIAEQKFNDLFIKCRKEGISFDIEKKVGTLIWLSDQIEKGVLSLLCLGAPKKAV KLTTDALNFLQQFGGNIMKTNSHQKQDTFYFIDIVSRLRQLNSEAQQ >CAK89794 pep:novel supercontig:GCA_000165425.1:CT868656:230941:231581:-1 gene:GSPATT00022967001 transcript:CAK89794 MSSEINIRQLLYFKSQDSSINNIQIGTPFQTQRVVKTTLHVLNRFRSTGLSDFRLTTIHT QTPIVNNFRYGFKVDEFQNGNSQTHFLEVYDHPTKYVNSYIAESRKNIAQLRDQANQIRL EISSIHSRYQQELKNIETHFRDNLKKKYRQIKEDNVLFTEEQYRLSKEYLILMKAKMNME NEQIQLTNRVVQLEKTLQGVSLELQS >CAK89795 pep:novel supercontig:GCA_000165425.1:CT868656:231638:232975:-1 gene:GSPATT00022968001 transcript:CAK89795 MGQACTSADIAAFTEDFRPPYPYRNEALKFSEMEVYEHTGDPQFKLVRAKLYDSYFRIRD QLEYLVKREQDPFNIVIHKYDSDFISINMFFDFPIRLEDFVSTKLTIPEVWSMLGYVSAA LAKLQQQKQHYNNINPKGIFRVYTIEQQYVYKLADPFLFHNQIMKNFYSPIMYYKTHPEH DLFKSDTFSLGLVCVYSLGFKKTEILFKQEGFDFELLDDIITRFKLPLYFDRLLRSMLEA DEYGRPDPIQLSIAVSNYTKAYKLPELKTVMVVYNKQILKTAQSPDATESIIDIRTPENK VLELPKAPRVEILQGHLPYTNGCYYVGSYNAKTKKREGRGIFFSKDGNQLIQGTFLNDLP EGDDIEMWREDAQDDTTHVDLSNWEYFKGEVAKGMKQGKGELRFRNQNYFRGTFIDDAVC GSGIYYYQSHSVEGIWMNDKFIAEH >CAK89796 pep:novel supercontig:GCA_000165425.1:CT868656:233093:234464:-1 gene:GSPATT00022969001 transcript:CAK89796 MKLIKQFCSFVGKEIDIKQASLINIVRSEIAEKGAISFPRFWHQALLHEKFGYYMKQDVF NKDGDFVTSVEISQMFNELIGIWLLNTFQHIGVLDNNFRPTNQKMHILEFGPGLGTLSSN VLRVFAQFNLLENLQYSYVEYSDYMRKKQQEAVLKQLQKSNIYPKFEYNNQKVEKFESDE VNLKWFKMYEHFLYEETREGQHCDPVLILAHEFFDALPVNVFEYSNGQWCERLVGLDNDG KTLKFVLSDGPNQNYFSSEIKKLIKEGDTIEISPQSGVIANSLAELISKVGGAALIIDYG EKRAFSDSVRAIQRHKLMDKKDILNKPGDCDLSAYVNFMALEQAALKVDGIKVPEIMTQG NWLEQMGIQARLQILCKNANKATEKRLQSEYERLVHSNQMGSNFKFMCIHRTKNNNLFPF IQDAQQIYY >CAK89797 pep:novel supercontig:GCA_000165425.1:CT868656:234471:235363:-1 gene:GSPATT00022970001 transcript:CAK89797 MSKCKVKEEEQNVQTPMGISKSTVSKPSRRKVLLEIQSFQSAYLKVVSKFLKALHSLQLA QLHPQNKCLPSYPFVLQYSFNEFLVHLGGHKMDFQNVPVLEAIQQQHHIQQSEPKLITQQ CPTIRLSIQKRKGFSSQEQKDTKNIPKNYCKAIITFATKHQALCHQILGDQLKVVKFLER ITVYKKKLMNIRIFSGLLSQSEDPTEEEFNQTFRILSRIFVKKYAINYIFNSKIVQHNWH LQYRQQIYKGIKNPKNFSHIKKL >CAK89798 pep:novel supercontig:GCA_000165425.1:CT868656:235640:236990:1 gene:GSPATT00022971001 transcript:CAK89798 MEQYSQENPLFDLLYILRGATPTHPFLFHHIFQYFDKDQKLAIKLCRKLMDCQDCNSKNQ NGHTPLQIAVIYNQKAAIRYAQQDGRFDFNDQTLMSLAIIHAYFEIVEILLFDEGLSVMQ ANLRMMNSQSAINLKILKRFEKLEIQQALNEDTFEYQEIIVNRIPQFPIPKTPSKIIIET IDESLIPTAECIEEINQESVLRKLNRNGNFLKQSSCQDNQIYKQKQKQKTIELQEHRVSY NNLFRCENFVQQLLCKIFQFKYPQLDLVMLLFLQKGYLDCYYKNLDSLIEQMLIPIPTEQ SNSDFDQTKKIDNYCREFIKEITQNLNGSKQQLQRQRQHFNNLKVQNQSKLKSDVSYQSN NQKVLINCQDLLLIYKGLFQILHKPYMNIESCFFILEQFELINKLDMIPQCQLMTADETI STNVQ >CAK89799 pep:novel supercontig:GCA_000165425.1:CT868656:237090:237433:1 gene:GSPATT00022972001 transcript:CAK89799 MSDDVIVKKIKLNLGSYVMPQDEFKMDSKSLMQTEAQRIFNQYSNVVKEEKKLQAFNQIT QQTSGFIKRLEEHRQILKTQIELQLKICQGNILLQRALSGVDIKK >CAK89800 pep:novel supercontig:GCA_000165425.1:CT868656:237462:238827:-1 gene:GSPATT00022973001 transcript:CAK89800 MSMKRQKLKVDSEEVEKINSGTSTPDGQNSDKQAKIKHLRKHAFINRVSLLDDMDKDSEL NRTKFVGFYNMLYVVGFYYFIINPIMSYNKTGQLIETSLYNQMRRDLFMCIVTWPLFYAW SHIALLIQYLAMMNMPKTLMFVTQHISQVCMFVYAHYLVLTRDWYLPQGAFVTFQSCVFF FKMHSYTMTNYKMRREWIDQGCPKTQDPYAYPNNINFKNFTQFIMTPVLVYEPQYPQSGK IRWKYVVVKFMNSMAMLIMGYMIVSNHIYPIIQNIKDHSLPDSIFQMTLPLIFLCLTIFN MVFENYCNFWAEITHFGDRLFYTDWWNSTDFEEFNRNWNRPVYEFLYRHVYLELIFEFGI GVKKAQLATFLFSALLHEYTLAVSLKQITPIMIMFMMIQLPVMVWTKKIKGTKFGNLFFW FGIIQGLPLILNLYLRFDELPKLLIP >CAK89801 pep:novel supercontig:GCA_000165425.1:CT868656:238868:240015:-1 gene:GSPATT00022974001 transcript:CAK89801 MKPYTYIHRVSQLDDMDDNSVLSKSKLHGFYYYVYMLGIYYLFDLIYFNQLGTILANSST VSAIRKEGILMLIWAVYSFTYPYTVYFAHKFGQKLLVALFISLPFMIFPWVTINYKLGFI PGAFVGIMANIGFMKEVSYIKHCKKPIGLWEFFVYMITPALVFYHDYPKTKKIRLVYCLA KLFNIVYFNILGAVIIAKHIEPSIIGTQDYVLQTILLIFPLAIFWILLFFLTFENILNLL AEITYFGDREFYHDWWNATTFEEFNAKWNTVVYAFLHTHVYLQLQEWKVSRVWSKILTFA FSALLHEIILIAVLRQFTPFMTFIMLLQVPVMMVLRFLKNTRIGLIYFWFSLLHGVPIIA SYYVLI >CAK89802 pep:novel supercontig:GCA_000165425.1:CT868656:240387:241404:-1 gene:GSPATT00022975001 transcript:CAK89802 MQRKESKKATIPKMVARVLQQNEAFDKLTQFLFIKQGQDRVRRTILAFLIADFTNLILVS GQWYVGFHQTLKEWLEDLDNRFIKAHLHILSFKNSDFLQQSFFVDSTKKKKLFRWDRTII SEVMGGFHGKCITIAFKYNHKYRSEYKFDVLPSNSKRVIWIAREQTKHNFESVTQVMNVQ PILSGDCVKIAINFYNKLGFIDPDTIEFEEPQIEQSKERICPIQGQFFDWVGIDYAKQRP QLRDYQIHPHMRLINCRCAGVDTVAYQFVYEACEIGSFKNDLLGIPIEVVQQGQEVVTEL KKVGLVSDRECKLQLRKQDQLIFYQTTGD >CAK89803 pep:novel supercontig:GCA_000165425.1:CT868656:241674:242860:1 gene:GSPATT00022976001 transcript:CAK89803 MQKTILALLIVMCTALQVQELQQANKQFDKLSQDSPVGKFLMDLAQIHAEVQGPLDDLKE TIEKFYENLQDSLSQLDGEFQSKQAIHLKVLQNYESNQQDAQIDIAQSQDQLDNVLSRNK ENIEKRLKQIQQNINDNRSNIQRDKLQRNQQKDQNVEHIHEHQQATTSIDEALSLVQGLS SGNIAFVEAPMKKTLDYIKQKVNSKEEYAPLVDALISLSGTQDTKQIKDLLNKLRNQIVD SMIQLTGDENDAQKMFEDRQKQLDSEFSEFQNQVNQATYDLASISARIDQEKEFTKQRKS DLDMYNSQIEMENNNFAAITGLYNEIRAVRLKEQKIAEDAKNFAYSSQFRELLQSKLNK >CAK89804 pep:novel supercontig:GCA_000165425.1:CT868656:242866:243747:-1 gene:GSPATT00022977001 transcript:CAK89804 MSEVNSEEEIDEQHPTKITDTSPIKKGDFMTYSQLQKKINSVIPDEETVSYNTSAVQNSQ LTYSMSQFEKSNLVKIEEVNESQHSLFSQLQQSHFVSDNKESQKKDQEIEILKQQNAKQQ KEIEELQGTIQRLQVHQKGEIDQLSCQLDEKDKIITRLTQFEAYTQEQQKMINQKEKQLK DLKEQYEIRTYNLQQIVDDQTESIKTLHKQISLSNNNSQIVHSPNLVEKIRLKQQNLKSQ FEELSKLYDEVICETPQTPTSSKKQILTRTPSKSIHLIQSPQQSNSEQKPTLI >CAK89805 pep:novel supercontig:GCA_000165425.1:CT868656:243916:245299:1 gene:GSPATT00022978001 transcript:CAK89805 MSQFAQSQSKQRSVTNVNNVSFAGSQNNNLRYSESSFSPMKNQEQTKPQTQRVYKTQTMN SMPMQGIPTMTGIPNTFAVQQNCVAAQPLCMNIIVVSKEEIEMPWRLECEYLQSLITELQ NKQQGKSVQIVEKTITDNSRVELLESQLKELRRQNESLQYELHSSKTYYEKELQRINGTF ELRSAQVEDIAQKESEFYLIRIKLEDQISQLESKIKQSESQLRRSSDENVRLQQVINSRE SEINSLRIQITSIQSNTNTSELVRIRELETQIRYLNSEIDNINSKLINSNNENQQLRIQI QNLDYTTDSKNNELILKLREYESRVTMLTSEIERLIYSIKQKEIELDEWKTRYQQLEMSG SSVFQEKVEYLSQEVEVWKSKFIRANHEYNRCQEEITMLQAELESLRKQRKQELTITSRV VTKQAATSNSGYKQYQQ >CAK89806 pep:novel supercontig:GCA_000165425.1:CT868656:245315:247975:-1 gene:GSPATT00022979001 transcript:CAK89806 MSSNTNTQTFQPVGKALPREIIKWIQGLDLSYSVKDPKRDLSNGFLIAEIFSRYYPGRVQ MHSFDNSQKDERRSNNYQQLELFFKKNDIVIPNHKGFAEILDNDWSALYNFLVSIYSFLT QRKVINPPLASYVNSKEFATSTQNTQTFLLKEKGIEKLQEEQKQEDQFQKEQLSEKHAPL SVSSKRTNFMRVPSKPISQNLENLNYQIEVKNISYRPVQGSLLKLKEEQQQLEKQQEKLN SNNDRQIQDSFQKTPMDENKREATSTREKQLDKSIYDILNEALTQRQQFPQFAQTVQFKQ NGTALQSFIDLIDIYPEDFVNGFFQELITQKEAYINFIFKDTNEVWKFFKFTFQCIQNLP VQRVHVCIDLVNQFGARSLQKDALKTRSLFLEFFLPEICNLIKQSVFFEKKQLLIQMICS FQEPQFKYQVIQTMKSNLSVEHFMQCLAVFSGFEQESSELWNDMKRYGYIYFLSASTSLS SSALAILCNAAKANSQVKIDRHVMALAKNRWWQNRCLCVILFSEMIRAVIKTPNYQNLIK SPQQGQKFFSIENDRIISDLKAQVNHFSKGIEIASLPIDSDILMTQSLIHIVDLLGDSKI LLELFVKIVLEVSQESRQWALFSQEQQDEYDFFIPSDKSFKFKLNINSQYVKQVSFQILL HLVELLNTQKLLNLPLGHFELLHWCFQNTDFKIQNMESCEQIAKVTKEYVYLGLSDPTVL LYANDLAKQLLDLQFKAEVQIENHDKIFANCIRLALKAENDQVLNNIVEFIENLVAESGQ SASDGLKNFIRSVYDELDQMNPSPLSNPKISKCFERINDRPYQSPHPDVSDVQVQDEQQS DFQY >CAK89807 pep:novel supercontig:GCA_000165425.1:CT868656:248293:250914:-1 gene:GSPATT00022980001 transcript:CAK89807 MLETQLSSRKLQISMIISLIFQFIRMIIQYQDFGNQFVNFLMINLLVQIALELLCFKKTF FTTELQLALILMDLILFQVESLMFGIENVYQNYFYIVIAHNYTNLKIKMANSKMWKAIMI FFQIILLLSFSYQLNLNSSYSNEFNQKYIAIANQKLYMLLLLWLLPQKNIIQEGRRYQQS NNAFQDTMVEALQNTTLRQSSNQINPKRTIIRDLSFISSDKQQKIKINETLSTIDYSSLF DVLSEGVIIVQFEKIDANSKPKIEYQNQISKKIFQKNNHDLLTLFEKLSCEILMDESPLH SRDSLFSLQSLNQQSIKYFKSSKLNFFKHFCQDQQMTLRNTEQSQSPRFRSLTSQLNTVY KCLLYVYGTKRFRRETADNMNILVVETSFEIENQKRSIEISISIGSEDLLFIIARDVIHR KNIKDLLQINQSKSKTLSFVSHEFRSPLNVVINILSRLKEQLNSFDYICQNISIVLENCY YMLNLANDLLDLAQIKADQFSLVQKTFNLTELGEECLQMFKLQAELKNIKLTVISNVKCL LISTDRNRLKQILVNLIANAIKFTQKGAISIKFVQQGLLVNAGVEDTGVGISQHNLSKLF KAFGKIKEGLSENLNEQGVGLGLLISNKLAQQLSYDNSGLKVVSQDTSQAKHGSYFYLTL KIQQLHHKCFIVKPEQINLEDDYSPDLNEIQLNSKRSEEKQQYQIVISSDNQSFQESIIV HDLIQENIIGCKHILIVDDNVFNQEILEMQIKQYTNAQIDKAFNGADALEIIKSKKCSES CQGYTAIFMDMEMPGLNGMQISTQILNSNQQMKIFITSAYDENKLKEQSKKLGIQEFIVK PISKQTIQRVIKQYKL >CAK89808 pep:novel supercontig:GCA_000165425.1:CT868656:252054:253116:-1 gene:GSPATT00022981001 transcript:CAK89808 MSWLFNKPQQPVQQPKLPANFAEKVLNLELDVESNAASKEEIQELLGLYSQAVEHYSSIK SERYTVFTNKIQALLIKPYVNKMFGAEGKQESKQIQQQHVQKEQIKNNIQFIQQMDSNKQ VQQLMTAAIDEKIKRENMIADDFKMQDHRVQQRMLRRIKDPSKYNLAISKSTSLCHLKLE IESQSQQSTLPDTLEQGQDIRSDPVLDKYLEAAAQQQFTDLIKNDFDQVEQLPQQQTSNE ANQTQIQEPELVSLPIKPSQIKDKLYSYFNDDPQPIDQQNWSLQLGDLSHPKSKKVREMV NKNTELIKQKKELNNILPC >CAK89809 pep:novel supercontig:GCA_000165425.1:CT868656:253133:254967:-1 gene:GSPATT00022982001 transcript:CAK89809 MGAQCCCSYPQKSITNEIVVDVKGSLHTLSKKSLNNDQFVGLDNVNEIKEQYEDFEVIAD SPQDLTPKEDQNGQQCKVFAQSESKVDTLPKNGIKKKLTVSSIGTVKLGADVFVNLKQGS IHKYYTTGEVLGQGAYGKVWKVTHKNTGMIRAMKQLKKSSLIVEEQQRLFAEMNILKNLD HPHIVKLYELYQDQKNYYLITEYLSGGELFDRIKSMTYFSEKKAAEFIRQILLAVVYCHE QKIVHRDLKPENILFINESSNSPLKVIDFGTSRKYDTEKKMTKKLGTAYYIAPEVLKQDY NEKCDVWSCGVILYILLCGYPPFTGKTEKDIMHKVSEGKFKFDQEDWGFISEEAKNLITK MLQVNPNQRISAKQALHDPWIDKHNLNEQVNQIVLQNLQKFQAKSIFTQAVLSYIACQMT TQQEQDELVKTFQTLDQDKNGILSKDELIEGYALVLKDKELAIKEVNKILQIVDLNQSGQ VDFSVEFLMAAMNQEKLVSLERVKAAFKIFDANDDGKISKEELELMIGSIDEELWQQILM ECKAEGEITEKEFVEILLNQKL >CAK89810 pep:novel supercontig:GCA_000165425.1:CT868656:255019:255693:-1 gene:GSPATT00022983001 transcript:CAK89810 MNINIYDEASLSLLSTSNFHEKHTISSLNKQKYIKQKYFSESELISQNEIQSHRVRPNSD MYQSGINFLKHKQEKQDYLSLKLEEEFKKKCTFQPQLSQTSRLLSARFNVSQLNHSTRNM NKEGALNMDSQAMRLEQELMECTFSPKILKTTQDIFDRRKPMYERNVQWKDQMKEKRTQD QMRRESQIKSKITPTQTPKQMDLRAAHALKKSFSMKYLRKSMMG >CAK89811 pep:novel supercontig:GCA_000165425.1:CT868656:256272:257720:1 gene:GSPATT00022984001 transcript:CAK89811 MNNYIYQIKKKKEQMTTTLPFISKNKNYVEMKAVTWQKDSHGLFDYETKSLSVKKHRVEG SCKVCREENEIVIQEGKNKDEALQALTSIQAQGDQYFIQPSQSTTENDNYLIVRSLKNAD GVQKGYTLQEGDLLKLGRVEYHVIEIRDAKGQIRSVKDVFQSEAKIAPPLEGGVAKQCKI CLIEEETPEDPFITPCRCNGSCAYVHFNCLKHWLDSRGYKKESGNTISYKWKKLECEVCQ ELLPQQIRFKGKVLDLASLERPNQPYIILENTQISEKDKKAQRGIYLIKGTPDDQVKLGR GHQCEIRISDISVSRLHAFIKYEKGNFVIVDNNSKFGTLVRLSTPYLICMDKIAIQVGRT VLTFVMKSFSSLNANTHAAITALHNGEQGKLNFQPGINKTQSINSQKKHDKHSGL >CAK89812 pep:novel supercontig:GCA_000165425.1:CT868656:257762:258400:1 gene:GSPATT00022985001 transcript:CAK89812 MSNQTLFTVYLLISCPQLIVQLIKQQHLNQLFQNLSRYGIFKRNHKLRVCLILIVTFMLI SQSFKRQYDKYKQFQASHLMRYQNLLFIDIQFKCIRFNNKKTEYYLINIFIKQIYKYKTK QEIKMNENRCIVKRVMYIRECLNLLKFNYIIQIISKSVKLYLIKIFNFRYQSNITYQIKQ TKNQTINPLLNFQLWNRSYLLLYTNKIIQDKQ >CAK89813 pep:novel supercontig:GCA_000165425.1:CT868656:258574:259411:1 gene:GSPATT00022986001 transcript:CAK89813 MQEIDLLRLHRETLKYLEIESIFKRLTQTFINKIYNLIRDIFAEEHKFTIQELLKMANQK EIPLKEFKLVEHYKLNSQIFKTNLQTICSIVIDDLQNTFNKQIIIEERSILEPKLLTQID EESQICFTENYNEISQEYSKTHRDDIKTEEAPLVNQESKQVQKVPLAKLLSFDKITSLSV ANSPRHSRENSLTTHTFRQSNKPIQQLMLNSNNGSKKQTVTQLAKNKSLELKSIAETLIA QSKISPKGNQTIIFNNLMSKMNNLKENTLKP >CAK89814 pep:novel supercontig:GCA_000165425.1:CT868656:259454:260697:-1 gene:GSPATT00022987001 transcript:CAK89814 MFSSTIKISSVSDYIVPSQECVKPLMRNVKLQLDDPSIQLKPNLIKNQNNVAKVSLQDCL ACSGCVTTAETILIQTQSLEEFLQAIKKYQNPAIGISPQARASLSYVLNYTDSEMHSILH QIFQEMNVKLYDLAEYTKIAINNSIKEFKETNLTPLLCSECPGWVCYAEKTLDESIINHM SKVKSPQQIFGAIQKKNHDYLATIMPCYDKKLEAVRQENNEDINIVLSSREIEQFVKDYI QKANIIPQQVQLQTMAIQDQEYHNTSSNNYLDYIIKSVMMPNWTVKYNIRKNKDFIEITI YNENMESQGIYARVFGLKNIANLVSQIKTKTCKYKYVEIMACPLGCLNGGGQIQIQKNTE KAIDLIPKLKEIMISQKVQIDNQYQNEQEIYLTQFKHVKNENNFQW >CAK89815 pep:novel supercontig:GCA_000165425.1:CT868656:260781:262800:-1 gene:GSPATT00022988001 transcript:CAK89815 MDQPFASQINFVCFCSESVLLNQQSMTDHIRRCQVYRQSSPFFQKFEQLQVERMQRQHLF ALKSEFYVFIEKVDALIKAGEDRQASSFIQKPPQFGNGSQIQQRNNSQINQQPPFAQKQN EVDIFKSMQQLQKLEECKVCGRQVHADIITYFEHCFTPICRPCLMKQIEKDSKNSTAFFC PNQLCKKVMAEQELIQLLGREKHDQYATIMVERQFNIVKCSACSEQGAFEKGSHITTLKD PVTNQQLQPKYVEHYLNNRFMCFNQKCRTEQCKECKAVPYHLGMTCEEYKIKKASKCCRY CDQLIHNVQLHMPEALQDICQEKECQEKAQFACNKMLACRHPCPGFKNEQICSTCLNDQC CKGDQKGDDYCNICFVEGLKNAPVIQSKCGHIFHYTCILKRLDVKWNGPRIVFKFCLCPL CNSWLEFQPSQPQNLVNQYYLLFQDVMKKSLDRLKYENRDKDEKLNKVGEQFYGKPQEYA MAIYCYYQCFKCKTPYFGGAKDCQRALEEGDKQYKPEELICANCCDVPVGETCQIHGKEY IEFKCKFCCQIAVWFCWGTTHFCEDCHKRQCNGDYVSKIPKEKLPKCPGKDKCPIKMEHK PNGEEQALGCAICRNQRANQQNF >CAK89816 pep:novel supercontig:GCA_000165425.1:CT868656:264194:265991:1 gene:GSPATT00022989001 transcript:CAK89816 MSSIQDIQGQPVIDEKAILQMIDTVLSQLYQFQEQKNHNMKVLILVGVTGSGKSTIFNLL GGAKFKLIESEDGVEELELEEISQEFSVMKGGMQSVTKQPKYYFNEEFNHLLIDFPGFQD TNGEFDQIMIQMLFYKISTQSKVKILYVIRHPELEFQDRGTNLQEFVRATFKEQSIGIEN LTLLLNAFNEEYYSDEEVKTSATEQICQLYNTNNSLNIIVLRKINSEDDIVKQFSDENRR KIWEGIIKSEEIKLQPIEILHTEKISAYLSEKSFKCIEKVWLQVQSKLAQNLQKAQQNDL SRLQKLRSMFEDLTKIKKTDYVESFEKFVFSLGIIAQQLCCSQEVKYKREEFLKIYQFFQ QFSDLINGYSECQKHSNFARDLQNDMIRMIENKIDFLKEVQKVENTTVEKNQEINLKNKA LLRAEYSRKLKKQYQQQLRQLEAETKQLKQEVISQRYEKYELENKTALIQTQYYKFKQQS EQDQRNLDQRIQQERNTQYQIQRIFQQKQEELNDLKKRQQQQLNAIQAALQEQEQKKRGI MNQISSLKKVQKKFEVIIQAQQAKQNIDRRKQTNQKLPQLLIHMTVVVDAN >CAK89817 pep:novel supercontig:GCA_000165425.1:CT868656:266421:266777:-1 gene:GSPATT00022990001 transcript:CAK89817 MQKAKNQMQYNKNSKQNISKKQNQKERLQHNNRYKWKEIQKIKLNNNQKQFKIKKILSKL RQIIGIHGIKIYNRQVKLNPIDQVISNCSYYYKINKTNIHQIKNIQILIALLINLIKQ >CAK89818 pep:novel supercontig:GCA_000165425.1:CT868656:267026:267876:-1 gene:GSPATT00022991001 transcript:CAK89818 MKDQPKIIILVGVTGAGKSTVFNFLCGGNYEWQEIKNGSQLILKENSEQFALQNGGMNSV TKQPKYYLDEKLNHLIIDFPGFKDPNGELDQILIQMLFQKIVSKSKVKLIYVVRHPETRF NERGVGLQDFIKILFQGQQVDLEKICLLLNCYGDKMSDQGLRESVKKQLQVIFQSDFKQI SVLRKCKSPLDIAKIFSEEKRDQLMAELNQTQDIQFSPKYVQHSEKITQYLSEKNFQMYN NICEKLNNQCKQKVDKLQERQLQISDFTFKPKFKG >CAK89819 pep:novel supercontig:GCA_000165425.1:CT868656:268079:269848:-1 gene:GSPATT00022992001 transcript:CAK89819 MQTKFNEQELLHIINNHSKLFGLFQDQNSKYSQVIILIGVTGAGKSTLFNFLSGAEFEMN GPESRQNLCIKDKSERFSIIKGGLKSVTKSPNYYFSHQSNSLLIDFPGFQDTDGKIDQVL TQLLFYQIVKSANVRIVYVIKHLENQFNNRGTSLQQFISQTFQGENFDISQICLMLNCYN DNLTDENLITNVQSQLERIYNCRPQNICVMRKISKNQDIEQILTPQKRNYLFQQFLKSNP VLISLKYVPNCEKIGLQLSEKSEQILDQLCSTLENNLNDNLEKLTCEQVNNLEQSLKQIS LFLNNQKKDMVNWFQELINYFVKSISLLNFQNQKCQDYSNFMTILSFFAQLSEFIPGYED IKNNCKIAQEKCENIIRIIKGKLDLIQKQQDLLKVEKEKMKESQLRLRADENSQKQSQLA QQASQNLSQHLIVYQNTQNDLNKERQEKFEIQTQQQILIQQFQQQQDENQRIEKDKINMK NTLETENLRYQSRIQEVDKQNQDNSTELKQISQTLSNVQTQNQAENEKLEKMLNDMLSEH KELAKLVQEELIKKDELMQLIQDCRNDQGELEKKIRELACRIRSSCQLI >CAK89820 pep:novel supercontig:GCA_000165425.1:CT868656:270375:270839:-1 gene:GSPATT00022993001 transcript:CAK89820 MLSINTKTTCKRQQNSLYRNPSKSMSQSSFDLQDILLDRRIQIAENLSKLRDFQEEREKE FRQREKEYQRAKKKIHLYQVINQRFRAQSFIEEQHQQAKLLERSIKYVPMTNKSVNESKL LTLKMNLEPLRTKAKQIKPYIDHDVMVTQKWKEF >CAK89821 pep:novel supercontig:GCA_000165425.1:CT868656:271001:272133:1 gene:GSPATT00022994001 transcript:CAK89821 MKKSLSALIPNSFIKKYSFRPPEPAKYAFEFRDKKYHFFPIINGQRKQIFNYYLQIDSYV LKNDKICVPIIHFSGLQNTKTEVSLSKECLFSSKSFQCDFEKLTQRNRTLVIFSHANASD LGDVYFFGEKISIEYGVDFIAYDYTGYGIGVGQYKVSEQQTYDDLQSVVSFAINKLNYSL NQIILWGFSLGSGPATEIATRFGGLAGLILQAPIASIYSWFGEGDYGNQDMYVNHKKIKN VQSNILIIHGDQDKIVGHQHSEKLYNNYMQHNDGGKIQFILVKDAGHNDLQFYIERGEDD LGAQIHNFLRKKGGGAFSEMENKLLNQSYLKEHIPGQNIYQCNSLRKYSSSQELQIKKAH SFFSFCTCD >CAK89822 pep:novel supercontig:GCA_000165425.1:CT868656:272204:274823:1 gene:GSPATT00022995001 transcript:CAK89822 METVTFGGDNPQPRFGHTICVIAPNKIALFGGAVGDTGKYIITGDVYIGDVIQRKWKRIE ASGSVPTNRAAHQALAIELNQMIIFGGAVGGGGLADDNLFVFELRDDTGTWVTVPVIGTT PGRRYGHTMVLIKPYLIVFGGNTGQEPVNDVWEFQLWRNLHIHGPKLECSSELPCVRVYH SAALCNTGSASGMMVAFGGRTSDQSALNDTWGLRRHRDGRWDWVRAPYKNQNEIPAQRYQ HSTLFFGNIDVVGETLPFEIYDTESSEWYKFQSIQRFRHSSWLVDQFLYLHGGFDSDQPN IPTEGILRLDLNKRFAQTPQLLRQLNTVRNDNTFMQSFNPRATPNLSNNSTQEQFRKTNQ QTQQKNQQSQQQGRVSSANNKNVRLANQALVAMVYGSEEDIINQVKKVPIDKLQDEHKKL GAGFQDPNSQNKSQLVEQMLAPFLQNLLLPKDYQSIPPNSNLMTGIKKDFIIKLCDEVQR ILEKEPIVLRLRRPIKIYGNLNGQYLDLMRFFDHFKAPYDNLYNGDIDSQDYLFLGDYVD RGTRSLEIILLLFTLKVKYGDQIHLLRGHHEDPKINKIFGFADECFLKYAEDINDPNSIY QRVNRVFQYMPLAAVIEDKIFCVHGGIGQTIRTIDEIELIQKPLQIVHDPVTYQQKIALE LLWSDPCLNEEELENLPNVERDLFQNKSIIRFGNNRIAKFLQENNLNMIIRSHEPTNEGF ERLNNSVITIFSCSDYGKCGNKGAILSITKRGDIIPKVIPTANLINEARWLNLEDAAQRT QGFVKSVDINNDELQYRRRPFTPSRQKRIGSQKQFK >CAK89823 pep:novel supercontig:GCA_000165425.1:CT868656:274914:275906:-1 gene:GSPATT00022996001 transcript:CAK89823 MSNKKPLSSEKKDNIIAQLKGELYQYHQRQIDFGSLHNQLSRLENAYAQVQEELQKIQKV GRAQTEVDLNEIKQLRSELEYLQEQLHQRLPNNNPQLLELAQIRQNELAILKKETNEIML QKDQLQQERQELESTMKDMIETKNSLEKENQLLEKEFDKHFKQNKELEQTRQDLEFEISK KEKVILQQKKMVDMLNKEQRQKGDYLQQIQMKHEIQQQQSGQLDDQYQQLNENLEAVNKE QKVINNQLNQIQQEAKEINLENINFDKNIKELEGDIDTILNHVDEENLKKMNLVEDQKFL NVELNRALDLINQLTSIKQDVFIFIIKIAL >CAK89824 pep:novel supercontig:GCA_000165425.1:CT868656:275914:276879:1 gene:GSPATT00022997001 transcript:CAK89824 MSDQQIKSLQCAAIETQAYYDVYWQIPSKQAAAYNMLLDKFNSLKAKVEADFDFIPLHPQ ILEMINYFNQTQTSEIPQIKETDQTLFLGPPSRDPSQHYNRSIQRICSQFLNENLLEDLA DFTVEKQVFQNLNYILKCKGLTTVNTQPITIPVLNNYPLWFSEGLLKTLEAYQSGNLVPP EIDFLTEQQIKFVHSSLKYKDSKIKQKNSNRSKNDQNTVSPPNNLLQVRYSEMETQQSQS QQNFYSTQTKPRTKSILVSNWFHFKTYTNLRPRDTPNQIKRHKAVSCSQVDLQTPFSPLK QSPYNLKASVKPRWFEHIYQK >CAK89825 pep:novel supercontig:GCA_000165425.1:CT868656:277916:278936:-1 gene:GSPATT00022998001 transcript:CAK89825 MGQFLSQPITQKIHDSQSNGILQCHTTSMQGWRLQMEDAHIMKVDFREDASMFAVFDGHG GAGISNYLAENFLDVLVQQPAFVGEDYTQALHDSFVQLDEMIKNNVAKNTFIGSTAVVAL VIQKTLYVANLGDSRCLLMRDDETIELTKDHLPCNELARIRFAGGFVNEEGRLNGTLSVS RAFGDFEFKQESLPANQQMVIAEPEIRKIKLNKDDKFLFLGCDGLFETMNSYKVMQFIGE RLDRGMEPPLILENLLDSSLAIDTTTGYGCDNMTAMLILLHI >CAK89826 pep:novel supercontig:GCA_000165425.1:CT868656:279546:279845:1 gene:GSPATT00022999001 transcript:CAK89826 MENPNQRRPNPYLINSQNHQNNHKQIIDTYHIRSPSKTDPNQFDQVSKIASIPEVNQDLE QEQIKSEQIDFFWQETNLTNSKHIIQNLGSIQRVIFANE >CAK89827 pep:novel supercontig:GCA_000165425.1:CT868656:280210:281275:1 gene:GSPATT00023000001 transcript:CAK89827 MSTKEDKQYFKTGVVGFVFMYSVLKFGHYFNNNRKTKNQIKKLKSYPIKNVSEVVKEAQN QTKSNICFIEGIPQQGSLHSTFKKIPVILRIQQRTSLFSCDSFLEPRIKFDWKEASVSSD YQIDKPPYFALKDKLTQNEAHVSIRDDTDVSAALMSFGFKDEKRSLSFAEHIGNYATIFY SSIFRVFGPIALTQVLFRDSSFKGVHIGYRDNEFGIKADGLLSVLGQAVYNTDTKKITIE NPLALTLSKNNYIYQLEKKLQENGVAINFWLVLTFLSGLYVSRRIYLYNKKHPKILQKVI AFIKKHVLRRGQNIEGDAAINQIAKPQENLPDEKKAQANETATAFQ >CAK89828 pep:novel supercontig:GCA_000165425.1:CT868656:281576:282352:1 gene:GSPATT00023001001 transcript:CAK89828 MEEKITSIVTDDRIDEIFHLNATKEELIIILNYIIMDYKKFSMTDKILLQSQKLQKLALE ECVENRHVFLFLPGVKNSMDIKSQKEVRYTYEPKEGKILKEKYRNGRKTESTEVTYEQIN KMLGSFNQSTGAFLQSKPNFAESRFIAPLLPQEEVSKREKVQVKGGQLQYVQQPRKNRPA FKNYTAEEVSKHNKPGDVWTVLNGKVYDVSLYLDYHPGGVEKLMLGAGKDCTKLFNQFHS WVNGHAFLEQDYIGNLKY >CAK89829 pep:novel supercontig:GCA_000165425.1:CT868656:282380:283120:-1 gene:GSPATT00023002001 transcript:CAK89829 MSLIYAVIARGVDTTLVDYENKASNFPSIANKLFEKLQRETRLTYIYDNNYQIHYINQNG FTYLCLADTLMPKAIAFAFLEDIKDQFCQQFKENVRKQAVNYGMQNQFKECLKIKMDHYN SNPEQEKIARLKDQLVDVANQMSLTLDEILARDDQISILVHNTQNFKEQAGQMKRTATQV RQDQESRALKTKLFIAAVVVSMFLVIYFLY >CAK89830 pep:novel supercontig:GCA_000165425.1:CT868656:283144:286060:1 gene:GSPATT00023003001 transcript:CAK89830 MNIRGFKFLVEATIINVQISVQFNCKIQVILKRGIPFHSQLIQKGSQKLETQPTYELQKG IAIINESLNCTISVNLGADGKFEEKKTQIIVILVTDKGQKNAGMHNMNLSQYLNQQQFEF QEVLPLEKCPDKNAKVVVNFKIKQIGEIDQELETTDQSLDTSQVSIQTPKKMMQELKNDN SDKKNQDSDLNNQIQKLKLKLNDMVDKEFHQESILNYEQQLQEQNAKLKTIINENTMIHA QLKAKSFVIDQQTDEIAQLKSKLNEYELENQGNYKTQINILNEKNMQLENLVIELKQEIN NLNTIIQETQKESFNSNENNQIEQLNEEIESLKKQVKEKNEQIQNLKNLTSGTIMALQQR NEALEKRLNEQKDAVEKLKNQDQAAKAISSNDSSILVEELQSALSIKKQDFETLQQKYEQ ELAKKEEIVQNLQHKLEESMRKELDTSKTSQDSKNQAYQLEKQIEVSTNQQDKSKVEQQM ARLRSQVQQWQSKWESNLKVLSDYNNEVQQMQRQIADVPSFIDDQKELPDQLQSLKRAIQ SKLQGYQQSQISLEQRVQQLQQELEICQYKLKLEQSQQCSDSQFQEQMHAHNEETQRLNS TLQQQSDELKELRQLSEQQKQSELDLQKQLEETKSQLNTVRQNMMKCKQQLAEALQEKVL LQAKMAEQQKSIKEKNSEIQKSQELIAELEQYNIQMEETITTTLDQYKIQNQQTKQSYEK EKKWRQELSEQIKVLKEELSKLQQGLGTQQKVEQEIKQQCNCQEQLKQNQHYIEELQQQI AELQLFKLEQGIQRNSIVQIRTSIYASRRNSLIQPQVDDLNKKDDAFVSQRNVQQNDIGR IQELEEYINHLTNEKIKVSKDYQNEIERVLSANDELDKKCIKLLQELELSKIKIGDIFNA AIEIGGTPLVDKLQIAFGIEEE >CAK89831 pep:novel supercontig:GCA_000165425.1:CT868656:286076:286396:1 gene:GSPATT00023004001 transcript:CAK89831 MIQQIVIPKSIYFKEGSYFLVINAKPNSKVSQITGISDEAVDVNIAAPPKDGEANAELCD FVAQTLGVKKTAIQVQKGGKGRNKLIKIESKFKDINEFYEKLKQGL >CAK89832 pep:novel supercontig:GCA_000165425.1:CT868656:286561:286971:1 gene:GSPATT00023005001 transcript:CAK89832 MSTISREEYAKKMRLALSDNHICKPEGTVNHQYFLVKKGQYWAEEKIQFLIEQLEKVGVG NWKLMQKGLLEQTSDIELELRTCLLFKTTDIQPYMDKKFTKNEIKSIAQQNLEKAQQLSK LKYGVFVV >CAK89833 pep:novel supercontig:GCA_000165425.1:CT868656:287016:287725:-1 gene:GSPATT00023006001 transcript:CAK89833 MNQPYLHPLQQINQDSAPKETLYVSGLNDKIKLEDLKFVLYILFSQFGEVLQIVMKKTQK LRGQAFIVFQNITYATNAKSALSGMMVYDKPLIIEFAYKKSVIFDRMEGKFYYKQKQQKE LQPTLPTDLAKERKQKKLEDKLNNNTVFNQGENHYHHLSPKLCYRSYLDNILVIHLIKVI TARGLAFVEYQNDDQATVALKGLSNFKITPECQLKVKYAKK >CAK89834 pep:novel supercontig:GCA_000165425.1:CT868656:287785:290570:-1 gene:GSPATT00023007001 transcript:CAK89834 MGNACRCQQYTSENMELISGRSENVNKKGESITIPQQNGTTVSKKTTHKQNDDDGDEDQQ VQEVQQIELKRGDKKKQAKINAVSDTVEIFENVKKLDKRKSPFDYQLMLNAFNEHFIFKS VPQSDIEYVVDQMFYCTVPDGQFVFKQGDMASSYFLIERGQCQIIINGELKKTLKSGDAF GELAMLYNAPRSASVKAIGDCAFWAIDRNTFRKVVEQQNQRSYEENREFMKKVEFFSFLT EEQRDAISSVLITSVFKKGEIIVSEGDVANSFYIIKKGKVAIIKGDKEVSQMNAGESFGE AALYQSCQRAATVKAAEEEVRCLSLSKDDIQRILGQKIQTVKYINTQKWALQQSPLLGKL TSIQIEKILQNIRQVHYEKNEMIIKSGQPCTKVYIILEGEIATMPSKKLVLGKGKIFGEQ FLKSQGQDNKVTETIQVQTDESIIAEFEIKMFFDMIGGSVEQMIQKNENSHEQKYLNRTN VYQKKDYSNLKLDNLICIKKLGQGQFGNVYLVRTAQDEKLYALKCISKAQIVEQHLERHL AQEKQVLSTINFPFLMQFYKSMKDQNYIYFLVEFIKGMELFDAIREIGLLTTSDSQFYIG SLLICVEYLHKLQIIYRDIKPENIMVDEKGYLRMIDMGTAKFLNQKSIRTYTIIGTPHYM APEIITGKGYTFSVDLWSIGVCLYEFMCGGVPYAEDADDPYEIYEEIQKKSITFPTFMKD KKAKKFIEQLLSKTPEARLGGGYASLKANTWFDKFDWDKLMDKELKPPFLPKKSRMIQEK DIQSAVIHNKLASKEINFNRCTLQKGKSKRLKLGFKLLIQSFKYTNKLLKLTWFFVLLHI FEFYILKSKVKQRINFALIQNSSS >CAK89835 pep:novel supercontig:GCA_000165425.1:CT868656:291155:293640:1 gene:GSPATT00023008001 transcript:CAK89835 MQFRKERVKLHGKTNFEYRSLGRKRPDDAERLEFLSTAREQKFDEVIAKRLAATIQNPLS QSQEFYKSAHKTIKQHPQISRPRRFAHLEEKPRRKPVWVGDSYLVYTDVDGREEQRSNQY RSYSTTQKADLAQAKRDEHGQAIQETQLVGMEEYAKNTLEGKVDLTKERRYANRKNFQKI FTLWDEEGKGKVTVKNIYHMIKKLGLNMNLDEVRVLVASADEDYSGDLNLDEFMNLIFND NEALNVDLGKLKTLNGEQEQQLLEGEDDQIQDVLREKMQVQVENRQFNQLSLILKNKLSQ LNTSFLELDPSKQGHVNFERFSEAIQKLQISEKIVNDEIIKKFYDKFKKDEYQIDYRNFL KHLKEFELQSQYVQKEEKKQKPVMTISLNPLQEEEKVHIFDCTKINSHHLNNLRQRATRM IKVLQRYLPEKNQFVDKILNPLKQQYINAPDLTNQLQSYLSNCGEKIDTKDLGALLSILQ YNNLQVPKDMVGFYVYEEGDDDFYERCSQKRKGPAPLNKAVELTLPAQETQKSSFYDPGF GLSSLSNKFSPEMGQMLQKVEQCIFKASARQYDVYNSFDKDKDGYISHEDLKKKLQELHI LSNNEEQLLIHYLDPEMKGSVNFQEFSSKLYPGMTLYDSKGCVGVVPSLYPAKERNENMK TKLPQITRSFEDTSSSMQLLKGATRFGATPQHKNTFLNVQRPPQDSALFLNEDGRFEKNA RLRYLKEDQEKEKNIYENKLNRIRKHQNEIQERIEQNYQQSVIKDNEKCKAKGLAAWSYE QRAHMQNEWK >CAK89836 pep:novel supercontig:GCA_000165425.1:CT868656:293709:300227:-1 gene:GSPATT00023009001 transcript:CAK89836 MSNSLYHLFLLSFMLSVHCKIKVSEVCSCTDLKSENDCLQNVKCQWKSNSCAERVDEPIS ETEPESVYCKDLTQEVCINKVGCAYYNKKCIQFSGCTSYVYTTHIECQKVSMQCTSDGIQ CIIPRDCSLNESEPLCSTVTSSSGSKKCVWEKTACRDQRCEEAPEYLNTDQACNSFINGC VTSGRGCVTKRVNCYYYDKDCDGMIGSDGQCESKNDKCQSKDCANAPLTYYTDQQCQSFR KGCRTTGIGCTDQTLKSCTTYSGDGDQCLKYIGNTGYCEEGTKGKCQARVCENAPNKYST DEECKSYSPKCKTTGIGCVSILLNCSSYRGLRSECERRIGADGKCTSPSYEESSQCNAKV CSDAQFSTDRECGDYQYNCISNGVECTKLLMNCNKYKGDAVKCKMYVGIDGKCAQGSDGY CAVNACENAKFQTNEECKNVQSYCLSNGTKCVQSDTCPNTLQKISCLASDKCQWTEQCVT NQCQYFSTKGMCLRHSSNVECFWDGQACVDKQCKHAGLEYRTNTECQYFLWKCVASGLGC VDVSAPCEDYFGDEDTCTHYKGNNGKIPCVYNSATSKCRSTVCQDNQSALSQQDCDKTLE GCKFTGKYGCVNQNAECQEFYGDSQQCHALNSKCSQNLGEIGNCRPLECYDNQGALEDYE CNLFKSGCVTKGVGCIASTAPCNQYLGNSIDDCSKFVGNGKKCWYDQGFSGQCSDKQCSH NVDAQNDQECNKFMQGCVFNEKGCQDAELTCDTYKGDEDTCSKYRGNGLQCVRIDYCEDR KCSDVQNPLSLQECEEYLSICAFDGGKCIEKQDSCDYYYGYQQEQCQILVNVDGDLCTNG EINFYCANRQCKDAQNVKSQKDCTSYRKNCIFNGIDKCEEVQDSCSTYNGFSEQGCKEAK NKVGKGCWFDINGKCKERTCQEVLTEYSTEICQIHDSSCIYTGSKCTKKQNTCSDYKTLS LSECRFLPNCWKSEDNNDPCQDRQCSDEVQSISDSACRNHLESCRFDGDNKCVDEKDNCT DYVNFTLSACQVVTNKKGEYCWYKEASSTCVNRTCQDTLPFYSAEDCVNHLSTCRYYGIK CQDAKDTCSQYIGFSKDACSQVKTKANLSCWYQDLGSICINATCDDFIKDASIENCLKHL PSCRYNGTRCIQAAANCNKYIASNQLCTQLTDASNKQCWYDIRNNQGMDSNCILKDCSNR QNLYSLDVCQQYIGQTVGNKYIPSCTYDGIKCINIQTQCSQYIGYNRQQCLNVTTLSGES CFQDPNNTSMTCRARRCSDNQTAVNNVQCDLFLKGCVTTGRGCTELTQTCNTYKGTQSSC LKFVGNGKKCRGSNLSIKCSVRECFHDQESTTDLACNSFMDGCVTNGKGCISNLEPCSSY IGNLQTCSTFKGNGRLCYSDSLVNIQSCRDRQCSDNTKAILDSDCNKFMPGCLSKGVGCI EDTQPCSSYYGTQALCSRFKGEKGTKPCWNDGDATSSTRCINRECNHLSRGTNDVVCNSF LEGCVSDGFQCLTKRNCSQFYGSANTCILFDALDKPCKGIDHTIQQCQKLLCSDAPNNYN TDEKCNQFKSGCKTTGYGCADSDRCEMLASKSLCKVRSDCQYIDGCLNNTKLCQQITKYS QCLNNANMKCSWNFATQKCRDWVCSDASVLLKKHEHCQALNPSCTTTGNGCIEINQCNKY LNKQTCLTAISLGYLQKCVWEINSCRDKVCEDAPISYTEDSVCKSISSECITAGYGCTRK QYACENLNIKSKCTKDYQGRPCLWITQTNSCMTFSQCSDIKKTTFAECQVYSKLCTSNGD SCMPLSKCAQYTNTISCNTGTDGVCGWVIGQSDQMQRCQIFQQCSDIFGSTKEDCQYYSN TCTSDGVKCIQIGNCSSYLTKIACNSDGKDGSCFWDQSSEQATCRLQQCPDVPLVSQMTY EYCSSFNPKLKCTTDGTKCMSKSICSDYLEQSCYEGIDGPCIFTFPLNRPSGSKLCRIKD CVDYQERTTAACSKLKSGCISDGIKCLQKQKCSDYTTQTACESDGLDGVCIFDGLNCSLM SKCEDANDNFTACSKKSKVCYFDYTKQNNTEVTTCKSITCSNSPDCSPILSFDETLITVC IQKTQSECIEEEPYKLAQSRCYSKSLYTYQWNTASSQCEKCVKSQVINTPSITDIYQGIL TSIILVFLIVAI >CAK89837 pep:novel supercontig:GCA_000165425.1:CT868656:300247:300699:1 gene:GSPATT00023010001 transcript:CAK89837 MKRRIVQILGVQGYPEFISEQFQQHYQKLLFVQSQLCNQRPSENPLHLQNQLTLCIFQNY HQFQLLKNSIRILEHSQIPHYVIGIACPPVDDTQEQEVNISFQQYLQFNVILIDSFSLQF CELEEINQDKPIDLAELKDFKNSQKCCIIS >CAK89838 pep:novel supercontig:GCA_000165425.1:CT868656:301770:302974:1 gene:GSPATT00023011001 transcript:CAK89838 MLGNLGVQICRTTSSQLPAQDLDQTYIIQRHVGQGKYGQVFKAQNKLNKQIVALKKIKQE KEANGFPRTAMREIHLLSSMKHENIVSFKEVIVQSSKEKASTFLVLEYMDTDLHNLLQRR IVFGLDQVRCLMYQILDALTYLHSRNVYHRDLKPNNILYNDKGQVKICDFGMANEYSKRR PQTKRILVPQYRAPEIYLGEQQYDCSVDVWSAGILFLELIVKQSPFVLAKSEFQCFAKII DLCGTPTEGLNFIFSFMVIGQMRIHFHITMNISKDPKKEHCERYLHAQSSAPPQLIDLID RMLTLNPAKRIKAQEALKHQYFENRIFVM >CAK89839 pep:novel supercontig:GCA_000165425.1:CT868656:303173:304729:-1 gene:GSPATT00023012001 transcript:CAK89839 MITNLVRNIVQFGGTSTFEKARLSATYKGDYYYPNESDIEAIMLTLSGKDPETRPQVGFT TILAKLESSQSKIYWNYIIKNLIILDRCIEYRFFVSDIADMNINYVENYVERDPKYKSLS MDSFIRKYYLYLKKKATLYSIKKSSFNTPKEEKKNYFKQQSAENIFEEFNKGQQLFDQIV DISIHDRNGLLKYRLNQYVYQLLLFTMLNLYYNSYILIVILIERLLKMPLNEVKQFKELY NHFLKNNEVLVNFINTRLYIKGYSDINTSEIARIDTRIIGAIEEYVRIAEKSQSSIGLSQ DIQISKDLMDDELIILDQVEKMGVSIYKQDGHEDQTRGVGHKLSTIQNTVQKVISFESKL KDYQHKYIKKYPQSAFNTDGFKEDLYKGFMNNYSTEYVVIDPKLFKTLSHQNERESSQPH TSLEAEYHEDGIKIEFIQPIKDFDENDVFCFEVPKLIDNIEEFPNADEEDFPDYKDEEDN >CAK89840 pep:novel supercontig:GCA_000165425.1:CT868656:304737:306987:1 gene:GSPATT00023013001 transcript:CAK89840 MKQFRIYFLIIIALINPSFSIYQTLLLSINICLMLVNQYLSYHKYFNIQKLDNVMIYLKW IAMYAQLSQSCSQQQICAQLILLTELDLFIKTIIVIGSSINSVLQPNIFEFYLTIPYMIL ILGVMLYDYWNNNQEKQNSNIQLQQTKFINLKQQSNQFDRQSSQLQIQENALKSPDLECQ DQQNSPMVLDMQCNEEEDVLAHMAWIHNQSILVYNQNFEITYQNFYLGKLNNLQSKGVNH DYEQVFLESVIEIGSREVNDLFGNSELDLDQEDSLILDSTVHSRYKIKGGLHKIRQMIAA LFSNYQKWKFFTFTLFKIKSNELDFRNIQIKVLVTEVKSKLYTLFMFEAMQKVSSKRVLD EPLQFQNVFQTFLSESNNYINVIHLLLLLCSHEHEKNAGKISKEYLKQMRMSTQKFMIFL GTMKDLTLQLTNQLIFRNSTFKLADILDELQLIYEDCLKIKEISIVPIIDTDMIVFNDSD RTKQILKCLFEIAIKYTVTSKIRIDIHQVSPNNYQFQIKDIPFKEESQKSQYQAVLRNTA SLMKTNCKDFDMSNLLELQVSAILAFLLSGSLRKPLELSLDSHFQGTFTFTVESLPINTK HGYLAQQIKPKRAFETSLSMLLAQAQDSSHYKNEESKYMSFTQISKQYSLKPDVPFDLQS AHFSQISKIKQESPQIKPLQAHKPQSKFRWNLQNIGFPVSKKFEHQQPIKSNQE >CAK89841 pep:novel supercontig:GCA_000165425.1:CT868656:307016:307547:1 gene:GSPATT00023014001 transcript:CAK89841 MTSALDFGESTNPNIDPPELSPKFLHSVIKFKLTNQCCSKVIIADNDYLNVSVLQILLNK YEVKSDKAFTQQQTLQLIKSKSLNPCRCKNSSYLLYFIDASLPQSAVDLIKEIKRLFKSL QVDKGFIIAMASYSDMDLKLSCFNSGIDYFITKPFDLFELTAILQYIQF >CAK89842 pep:novel supercontig:GCA_000165425.1:CT868656:307594:308622:1 gene:GSPATT00023015001 transcript:CAK89842 MYKDLDINELEKLKKEEAMVSLRKATTNIKERFGQSNYDILDEEFKSKTIGLVTREEFKR KRENIDRIYVQDLKIKQEEEEKKKLELKQKRKQEYKLKTTLLSFDQEQQEMNEKRNYGKD ISVDTLYLPDMNREKKIEELTKIFTDEYQKNMELQKDQLIDIIFQYWDAQTCTRTLRIRK KTSIKEFLELARKEIIRDFGFLTEFSPDDLLFVANAMILPHKLSFHEIIAYKVKNRSGKP IFSFEQRKVQAKGKEYEVEVENSTTCRIIEKFRYEKIKHIYPCSKWEVVDINKYQ >CAK89843 pep:novel supercontig:GCA_000165425.1:CT868656:308669:309011:1 gene:GSPATT00023016001 transcript:CAK89843 MSSEQQQQQTKLHKLQNYYHQFQKAEITGTQLKSQLQTNLKIRWNPNLENIIHQVDPSYT DFVRSINKTNKYVPKQTTPKLTEQINQPKKIRTEHIGHADLLKWD >CAK89844 pep:novel supercontig:GCA_000165425.1:CT868656:309165:309980:1 gene:GSPATT00023017001 transcript:CAK89844 MKNHYTPKSSERYGKPTQPIRHSLPVGLFSSQNSLFASILNVKNREKNSISQKQKNSQTR FEIATQRAETPNNQNPSIPFTTKYHLSSQPQKQKKKSNLINKESFQSKISNLLCQNKSAD FDNLSSQIKEGDDQEMNKVFNNLKCVSQQINCIKPNQLSQQILSSWQKVLTSNCEKLIEF NQQKKKFELGCPPLIKSDSSSSQFYNASGLNLEKLLAEERRNRLMVEEQTSKIISSQESE IKSYVNILPILKIEKIKQLEQRLIEKNSWQK >CAK89845 pep:novel supercontig:GCA_000165425.1:CT868656:310062:310790:1 gene:GSPATT00023018001 transcript:CAK89845 MSKIQKQNTVQLNLQNVQQFIPTHQNQVGPQIGSNSPKPPLYSKLNKSPKGDSSFRVISP KIIINQTNSQRSISKSSSPIEKQMSEPDNLHYLENQNDAVIEDNQINAPDVQQIHQIKQK LMNMGDEISRFHLVDINLKVLKKWHNELLQETKAMIKLNKNVINLSQTKIISPRPNQVKK VSSNELLEKLQTEQKKRLDAEEQSGRILQDQEKYIQVLNEKLFQLEQQYSKKKA >CAK89846 pep:novel supercontig:GCA_000165425.1:CT868656:310856:311370:1 gene:GSPATT00023019001 transcript:CAK89846 MNKLEQKAVHSLLQLAKEEETRSERNWEPQSPKQNDFISPQPKSINQHRKNIRIIQNHKA RQKSSIEINQDDDQQLSQPSQKENSLQQLIEEYMKQIRHTQRQLESIHQSKFDLKSGWVN FSSIILENKAKVTKKKHQRKQSTKSITTIHTKKDSASKSITQ >CAK89847 pep:novel supercontig:GCA_000165425.1:CT868656:311390:311740:-1 gene:GSPATT00023020001 transcript:CAK89847 MQQEEKGRFEIKKWYPVAFWSWSLKVEHCAICKNHIMEKCIECEGKEQKEICNTQQGKCG HAYHEHCIRQWLKTKNTCPLDNKQWEEEKKGI >CAK89848 pep:novel supercontig:GCA_000165425.1:CT868656:311807:313203:-1 gene:GSPATT00023021001 transcript:CAK89848 MKNLIFKSIGKFSQVKNSQYFVQLENQYGCHNYHPLPVVISRGKGIYVWDQEGKKYMDFL AAYSAVNQGHCHDKIYQELIKQASQLTLTSRAFYNNKLGEAEKYITQLFKYDKVLFMNSG VEAGESAVKFARRWAYNVKKVPENQARVLFANGNFWGRTIAACGSSDDPERYNKFGPFGG LNFDLVDYNNLDAIESKFKANPNYAAFFFEAIQGENGVIIPDSNYLKQVRSLCDKYNVLM IVDEIQTGLGRTGKMLAVEHENVRPDMVLLGKALSGGFYPISAVLADDQIMLQIKPGEHG STYGGNPLAASLCIKALEVLQEEKMIENAHNLGKVMEKRLVALKKYNNVTQIRSRGLMGA IQFQDGKGDVAWDFCLKLAKEGLLCKPTHKTIMRLTPPLIINEAELNQAFDTIEHILKSL >CAK89849 pep:novel supercontig:GCA_000165425.1:CT868656:313263:315287:-1 gene:GSPATT00023022001 transcript:CAK89849 MLNNSLVSPQFQNSPTQYPLPVPNPAQPISARQKIHVSNLPLNVTTQQLQQTFQVYGNII DIRIIRKTPTGLPLHISCYAFIAFADNDAADKAIQDGRIGDWTVKPQIDKQAITKCKSRS RSRSQEKNKQVLTNGPITPLEPSPKIQIQLYVRELFVSGISKNYDELKIRQIFSQFGSIE RIDLYPNKHNIFNSYIKFFTIDQAISAYQKMDSIQQQFSTQIKIYFSDPIKRHNIVGNNL SNEQHSKLSSVLFIFFPPNLNKKVDHAFLFEICQNQQCRPLLWNYIQQDPNYKSYTLLQF RDTKQALQIRNYLQQHLVDLLGDSKCEVGIVSLPKMPMQPQQQMQQYQPIQMIPQQPIPN NMMMMSPITQPFQPSYQPLFIPQQVMYKQPIEPLIMPKQDRRMQHQYVDLTQFNIPQQQM YPQEQEYKIKLNPNGNQEILDGFLNFDTNQQQQQQQQLQQQQQQQQQQQQQQQQQQQQQR QDWDTFWSGFMFRSKSHKVGVDAKCQEPEAPIAMAPQIQVNYKGNFTDAKKSANEAFKFI LCPSDYNQNAAFQEYIDYFSEKNKIGVAYIGNSILYLLPPNEITNSILPIQGLEILALYI EDKKKFVNYNQDHIL >CAK89850 pep:novel supercontig:GCA_000165425.1:CT868656:315464:319099:1 gene:GSPATT00023023001 transcript:CAK89850 MNNNDEIIQKLDVIVANYINQRDHNGQLLTEKYSETYIYSLVQKHLFDYEAIFTASQKSV IDVFDFITSLLKFTSEPIEDTLFIIVQAIDFFKAICEEYQTYTIKFSDLSNYLCKYNPNL DDKDVPLQNRTITYHKYPPLKQFNKPQSRQVRSIDLEAPIILGTLGHYIKRINKDKVYID SQKNQNSSILRMQYQESELKILILHQYSDFISIYNPDCTFKSRLRPKAQQLRNIIHDFCW SEEQQRIGIVFKDAWICFMDQGDQFELEKYFTTKVDQNRIYYVQSKWMTVGSDSKISIWN LETENSNVIFHDQIKNVVNIVEITYLQLVCIACQDKLTMWDLWEQNKPKLLFKLQVNHSR LNNIVFFQEYHIIITSGFDTKVNLYQLHKKYNDGDYVGQLEGHNAIVTAIQCVEKSPIVI TTDDRLIVKLWDIRMMKCIQSFDLEMRQSINYITVMQNLSSVCFATNRLIVMAFEGHNKN LTQAKPQKQRTLHLYPKQVELNAIKQQLMVSTNRDIRIINLSNGQTDTIIKAMIGETDDM ISQFKPIQQHKKIVLGTTKGLIKIFDTYSGDIIKEYQNNSNKITHLSIDYQNRIISTSSL DGQIHIYKENNLNLESLRKAEYAHNGIDYCFISLYHDLIITAGVDIVCLWNLEFTKPIST IRTESAPKGVYILDGQRIIIIGCKINTYFLQFEKKEDQYFYQQIGFIPQSCCAFIDKNGT VLLGSRRGLICKLQFNTTKSQKVQFHPFRQNWEDYNVGINNHKVTRFVVNTTQELNVDQQ FEAHKKRIQHIDFISLEGDNVLKEVQSKVITTSKDGFLKIFDYPSFELMCALNVNHVLPL KWSITIDEQENLKFKVAFALKVIHFIRSQPNLTISHLRMLEPNSIFKNLLGHKKFFKQST NDNKVQLLQDFYEPRDLVFQKVKGFYKSELQGLSLKQIEEKKKTLLQAKMFEKDYNEQNF QSEQKQNEDMGNKEFKNWQQFLNPNFRKNGVQGEIEQIERLHMVHQFEKRLDQVLTKEED TNIKPNVPGRRYSKTKQDIQNKSANKSSRFRSTLPFFQYASERKLASIPASTDTSQRSTH VGYDTIREEYSKKKIQRVQFLTQNIGQLISDLDQNQKKQGLEIGENTMRKTSGQVFNQIV NNLNDKLRDSKFKKYSRSMGKQDLEGILSDPHLKSFHSKQSLRKLP >CAK89851 pep:novel supercontig:GCA_000165425.1:CT868656:319241:320905:1 gene:GSPATT00023024001 transcript:CAK89851 MGVTCSCQQKIEDDYYLEDPPVNEATQQQSADLLQNADKNDGKEQDQQKEQQTVDIDPIQ LKSYEIEDNCPQGLQPLTFQAQQEFIDQYPAIVKKQLQKLPRLEIKATLTPNYKRIPLID TPYQLLNGDVYVGQWAEGKPFGLGKIYYLDHQVYEGQVIDGIPNGEGRKIFKDGSFYTGQ FKMGEISGKGKFTRQDGFKYEGDFLCGRPDGKGIETWPDGTNYQGQYKLGKKNGQGSFTW SNRKNKKTGKLETYTGLFKNEVFHGQGRYEWQDGRIYDGEWVEGRMEGKGEFIWPDKRKY TGSYLKDIKSGFGELEWPDGKKLSGQWRMGKLNGVVTLTIKGKIKEGDTEPEPDKTFLSE WEDGKRIKWLDNQKPSGIHSNISQLRNFDEHQPLQNTSQILKSQNRQDEQSNNKDSFLNN SKTQPQNTIFQQNAEIKLEQQQQQQQQQQQQQFQQQNQQQQQQNYINENQNNEYMKQHQQ ENVGSNIDDNQFQQFNDNKQQNENESALVKNFDDQQQEVQKEKTDL >CAK89852 pep:novel supercontig:GCA_000165425.1:CT868656:321054:322140:-1 gene:GSPATT00023025001 transcript:CAK89852 MCTRIHTSYNSNAMMYLKLREEGCDKNSARKYCRKQFCKIDQTQSFIGPPGHKILKIQPD KLLGEKGWQHGFLMKYDLGVGYKESADLNEKFTPAEMAILKQYNEYEKKKNAMLLQKMLA VKKYADSLKPPKLDVFSKSYLQRVKQISQELRESQSKPKKYFEFGDMKFYALVDYLSRQG SKQDLMHINFDPIVKEDIKFKTENTHKVNTARTFRRMGTISNIEKEANFIMALQQQAPLG QDLEKIKQKPEVLSLLKLNHQKMDLAEEFNSTQYLFTPTNAQTQQLNSVDDDAMKSFVQQ FYSKQYDEKPNLLMPFKRNIRMRTQANSDSKKTPRRPTNKVKTQSIS >CAK89853 pep:novel supercontig:GCA_000165425.1:CT868656:322571:325095:1 gene:GSPATT00023026001 transcript:CAK89853 MPPKKEERKPLEPMFPEIPLFYEYIDYSNEQMEQLNEYLNYFKPELTAMMKNNIFDNMEI LCQTIGISRHPSFILPTQMIDLNDFDENTKFRNPEELDGDQVPQMIQINSIKIDLFTLRL LDYCAGVSGLTTIKMSNNGLTARQYQQMAAIINNPENKVKKLFIDWQQVNENFLQQVQQI EFLTLRSCQLTNQQIQTLTLNVQNLKCLDLYDNKLSKEALNLIGKMLGQNSLMEYLGLAK NGIQSFDDLQGITQSIGRFQMSQEEYDEYKTKEKERDAIIERNKKVKKKGTEEPVPYLEP IQQIDNNWYVIKNSRLWLINLSMNQIDDSSRDAIEKFLLQTGENFQLIRRHCRKQKRSLE RNQYYDFIYFNSMKSTKARQVTNSSLIETTLNNQSISSRKVVKLPYLYTKHNQVLSTSRK LLLEENSVTIQLNTQKRELLKLIYDRQLDEAIDTTRKLVQQCIAYDLNIFGKSLHILADM YISNREFANGLYIYNVLRVLADVQNNQTLKIDALIQMGDLCKLQQQYQLSKIFLKKALQY VWYQNDTENEATIYDYFGVLYYVQGELRLAKEYHDRAMNFIKESNDSAARKHGIEYVKSY IKRINYQSQVMNNMVLSKLGLIQGNETEIKLTTLLDFNILTEQILQDYEFQVEMSTPNRP QRCITKNGVLQNDVDMFENVKELHERQKKRKFFEKKIPVMHLTRSVFKQTIEEQLEQRMK TNKQKNSVDEFKKQLQKFHTYKNFPEKLEKVNINHLSPNRNLIGFKYSFKPMRHQLLDLF GEIEQYMYLHSS >CAK89854 pep:novel supercontig:GCA_000165425.1:CT868656:325304:326081:1 gene:GSPATT00023027001 transcript:CAK89854 MRRLIVNQTRSKTVAARPSANLDRINKWLQTLTAKANTLESRFYTSQLSSLFNFYSKPTT GAAQEIDWNYWKEQITTEGLVDKVQKGHETLLNKEFDVERICHQVVSSQSKELEDLENEL TFHSAVWSNYYLDQHLALLDLEQYGDRNSYVIHEDYDFYPGLEADLEELTETHNWIPGSK DDINLKGYMVSQFQWGKKIISFYRHPCDDFKAARGTKNILGR >CAK89855 pep:novel supercontig:GCA_000165425.1:CT868656:326616:327350:-1 gene:GSPATT00023028001 transcript:CAK89855 MNSKRKQIKLESENKIRQQEYSNDEDSFNESNDEELKSSGKLKTRHDNSLSVLTKKFVEL IQNSNDLTIDLNMAVNDLGVQKRRIYDITNVLEGIGYIEKISKNKIKWVGATDNPQLETE LQQIKQELEQLQNEEKTYDFWIEHLQKNLQDKFQTEPEIAKYTFLTQEDFKELSKSQQID HKGETLFIITAPKGTLVETVLENNPEYPYQVYLNSSKVQGQNNEIQVFICQDENYPIEYD RKQK >CAK89856 pep:novel supercontig:GCA_000165425.1:CT868656:328272:329900:1 gene:GSPATT00023029001 transcript:CAK89856 MNRGKCQVTLNMSENQNWTASFETSRNITFQVTSLPNKYCYVTIELQDRDPNFQLVADYQ FKPNPGLLSTYKQGEKIDRISQLENRRTRFLKLKRNRGIIYISCLTNKQNNSFKIKITAK DQEQCDNECSLNGFCQVILLQQKSEGCVCLNSFIGNDCHQLSEKIVSRSIKDVEFFNTET IKYFSIDLYDSLGKDLRLEFESECEDWLAIIIYKTKALINPKDSNNSKYSQIFNITGGHG TILTQIPINLPESQRFLLFAVFKSKSYQDTNHLQIGFNYHSYSPDEDGQDSAFLASIIIP CILGPILLFILIKMGLKRYSNPGNLIFPFQNHNFDRPDNTQEHRYEMPTEYFRENRNSQP SRIQQNHQNDRTNTSLNIEAQINNRNSQQNRNNISYNLDSRPPRNNYSYNLIFAGNQLLS HRYQQSIYQNNNSNRYRNQILTVSQENQFLNIPSPAPRRPPQRINQIDECSICLSDLTNH NVVKTTCGYKNYQRSHKFHRDCIQQWFAQQRNCPNCRRPTSLQQDN >CAK89857 pep:novel supercontig:GCA_000165425.1:CT868656:330318:333152:1 gene:GSPATT00023030001 transcript:CAK89857 MNSLLMEMDQYSARVANEDQALMSRRGDQEQRKAEFFQRCLQDRKINTDLSQSEKYIFGD KAIYMGKMKLEQRLQDIDEVLLPFDNIDLDEKERNEKMKELSKSSQSDNQRIDISKRNKK LQNFWLILSPDSSLKLLWDFFCMILILYEIITIPIRISFDIEVSAEFGYVITAAFLFDII LTFNTAVYLNGNINYTYKAIAFDYFKLWFWIDVVASFPYDMVFNAALIGEAGDEVNESSD NLKKSTQILRVLKFFRFVKVIRLLRLAKLKAIMDKIEDYFSDSSIIQTIGSFLKLCAFVL FWSHWLGCIFHFIGQSEDTSYNWLSIYGLYDEPWEIRYVNSVYWAVTTMITVGYGDLSPQ TPLERLFGVFFLLIACGVFSFTMNTIGNTMQQLSQKQDQYQKRISEINIYMAKVKIPKQL QNKVRRYLQYIWDSHRSTNLESICSSLSLSLKYEFTIQVNGTILASYKLLCETFSRKLMI ELTQILKEQTIQPDEYVFLEDEPKNEQILYFIQEGQINIVLIKTRQIVARLSNKQIFGEI SFFGNIGRTASAKSNGFTDAFVLKRQDFVALLDKFPDDRERFNFINEEVNKQQLQVLNIH CYACDLPGHVIRDCPSLHFVVDLYVYQKTKIRCIKAIMKDFVRKDRMNYNALKNRQELEK VAKNLQMNIPTHKFLIEDCNVDDHLSQAVEDQSIKKGFKLKSKFKEDRNRRRNWEQTFKK SAQQMQQIQIKQSEIFSITKNMSQVLLSSHPSGETSLSHIPINQFKSPNQSSEDSDSGTI QQKMEDIMADYQIFKQEVDKYNGCHQLEIKKSFVLLNEFESGCDFNIFYPHNNLSVVLKE FHRYQLGNSVKPKIDTEYDTNVFQEYMEYYVIDIEDVKRFKLEVKPSQIKQFLPFTELLQ QSYNRQKKKNYGLLRQPKRSFKQIARAIILARKFK >CAK89858 pep:novel supercontig:GCA_000165425.1:CT868656:333201:333865:1 gene:GSPATT00023031001 transcript:CAK89858 MRDSVNPEVREFIKQFNSSQQELRVNKQTSTDQSTSTIVQSDIKSDHVKVKEVFFKLPPQ ESTENKQPYLKISKTPAASSFTNSLLSIDQDRYTEVIVQKVVEELERRNYCKKKPLLSIQ QNTIQMSISPKQEQEYLDDDSFIRQIQQTLLSPNQTPQKVQIRAFECFDSRQKKVQVQKD QKLQKQRETQELTKLVDEFKSFLLRTRASGRF >CAK89859 pep:novel supercontig:GCA_000165425.1:CT868656:333929:334940:1 gene:GSPATT00023032001 transcript:CAK89859 MSLLLLNASNRIAQGFLKVAAESGKYEKIICADIFPTYFTVQRLLKFKSSLSANIELFKI GDRQDLHDVIKQANNVLYVSHDYYQTTASKKNLLVATLDLVKNRNYKTVAYVAPVEHDHQ EEIDEWKHLEVEGRRFIPQLVGIRSDITFGPNSTFTNKLAQRIYNGESIYFQSTGQSCAP IFTGDLESIVAQVLAGSHAGKLLLAKGHKHIDFKSIIHLIEDSLGSAYKAKLNESFIEKI IHPTNNCIVGQQLYCPSYINLTKLIANYKALENTGYDQVVGDKLVDIEEYHKNNKTVVDQ SLKADYQLSYLIG >CAK89860 pep:novel supercontig:GCA_000165425.1:CT868656:335381:337000:1 gene:GSPATT00023033001 transcript:CAK89860 MEPNDQESILLKLIEKFQRLMDQFNKNKKRNISVVLLVGVTGSGKSTIFNFLSGADFIID ENNELVIKNPSNKFSKMIGGMNSVTKEPNFYHNTQNNHLIVDFPGFQDTNGEWDQLLFEL LFYKIVTSGPIKIIYVIKTPENSLPNRGSDLQEFIKQVFLKGNVNIQQFNLLLNCYLEDL QEEQLRNKIKDDLKYVNLSQQIDKILIVRKAKKNDQLNQIFNHQQRQILWQQIEQMQAIK ILPQKLPKSEIISDYLRSTTLQTIEKYGNVLCDLFDNSFTTLSESQSQKTQQQMQKLLQV IKIDNNESPLNWYTNFISICEELTKNLPAKSNILNSNNNFKEIFVYFSQFSDLIKGYDEM TIMKSIAQDQLKKVEEIIYTRLKFLEKAQKDRAKISQMENQKNKLQLSMISYESQIKNLS NELDQSKSQINKSKSEKDKIERENQSQIRELQRQLALARQSHSNIDDEQKNHLQRQNSEY QNNIQILKQQLREYKQNEETEKLKKKVQIQNEKINTLEKENDILKKRPTSSSNSSCQLI >CAK89861 pep:novel supercontig:GCA_000165425.1:CT868656:337461:338795:1 gene:GSPATT00023034001 transcript:CAK89861 MRIALECLRQDNIHLKETVNFEDSKYLLELNFENLIYLRTEHSLIVAFGIKYNKALKWFH ANSSDLQHLYKQLSGKVFFGNISSIYESKEILGSGASSKVYRVCNKLTHREFASKCIRKD YIYRREDKERYNRMIQEIELMRKLDHETIVKMIDIFEGEKSFYIILELLQGESLHSFSKK HSLTLTQIRQIINRCLQALCYLDINNIIHRDLKLENLVLNEQGKVESVKVIDFGLAIYTS CPYRQLCGTPGYIAPEMFIEKYPYTTKVDIFSLGAIFFKLLCRKPLFSGNTSDEILENNK KFLCNNYLKNCSDETIDLIKQMLQRDPNKRISASQALQHPFFGEKFTGEQGIAEESPHEI VRMFPLTKPMQVINSNDNIPDIKIQAIRGSQQSFEQSLGSNYFPSFDDDINRIRKQSDHI IE >CAK89862 pep:novel supercontig:GCA_000165425.1:CT868656:339051:339934:-1 gene:GSPATT00023035001 transcript:CAK89862 MDLLRERAQDIRNLQNNQVQIKEKIRQIIETDSMNQLYQAKKLNQNDLLQFQEAMKFTLK IIAVNFELLNLEPTLASTTKNVTDLYLYYGLPSKADQLQNEIYNQSKQTIFLILLQHIID ITRMALEFTIKRQTNLRIFCVGKEIDKKKSTSNPPKRLNFKSVQRSESNTQEKVIQSKQS IHHFDTQSPNESPAKTQRILEEIQATSRDNSVIRCHQIRQTEIVTKTLLPCIYQPKFNQQ SLFRQLKQRNLSIQNTISSYIKQINDKIITDL >CAK89863 pep:novel supercontig:GCA_000165425.1:CT868656:340043:340368:1 gene:GSPATT00023036001 transcript:CAK89863 MSRGTNLFAASSISAIIWIITLFLSTGYVNEVAKSMPTYALILYFSYALFKVGGDLSRLK DYPEEAESLQKEVEESKRFYKEKGLKL >CAK89864 pep:novel supercontig:GCA_000165425.1:CT868656:340410:341662:-1 gene:GSPATT00023037001 transcript:CAK89864 MYSMNHKYPYFQCIIIRLSIVFRKSKFQRIINKPLLVQWKILIYKRKICLLSNTMFRLLQ QMLNDKKLTIFTHNPYYLQKLRNNQQTQNNGPKKCNFWCDSKPYSRKTKSSPLILLCNSI IVQLSQYRIHSLHLDAQILSFLLHGRKQCILTLPFFQFSSNILIISKLIYKIKIINFTKF KLLNMKIIIFQLNFRIQKQLKKIFQQKLYHPYYYQSSSYLQLIILPQIGQSFPTYPILCQ LPILVRMITRILKTASQHLDLVTLLQHVLTRPDQCHKLFLYTPYMLEITVSIHKHRAQQR KSKVDLVKFLLSSMKKGKLYSTNHKKNITFKYINEKSQNIVTNARQQFQQFISFILFDNK QVSSYNHQNNQTKKRFLNFSEKPQKIPTQIGWLQKVQVFH >CAK89865 pep:novel supercontig:GCA_000165425.1:CT868656:342056:344637:1 gene:GSPATT00023038001 transcript:CAK89865 MIRPRYESSKDHPLQTDVACFLMVIEIQRLLDENTQLKVEMQEILARQLDRANYEQQIKD LMERLRQLQGLVADLTKENKQLKQRQADQDAELADLKQFVEENNLEEKQEQQEAVAKELD DLKDQLERDQKDRDDQKAFYEGLLADKDDLIAELRRQLKDADDKFNNYRREKEQIIKEKD YDIKNKEREIKDLLRRLAEYEAKLQGKRPDEIQRDMDRLKKELADKDKEIDKLKKKLGDL EAQLALLKQQLQDAKDKLKDALSQLAEAKNQANQAAKDNDAKNQRRIRELEQLVEQLKAE IDRLNALIDKLNQDVASGIEREKQLNDNLQKQLSDNGSVSAAKQNRQAKQAEQAQQQLTQ ASQKLKDTEKDNNELKKKSNELDRQLEEARKLIKQLQDEIAALKEKLLLAQTENDDLRNQ LNDLQDQLTEALLDKDYLQKSLKDQEDELNRVNDQIQDLNNEKEQAQAAALEAKQQLQDI ADEKAQEDADKEKDQDRLNDLEDKVAELEDQIEDLEKTRNRLLNQIQELIDKLHDERELC EYYHKLCSDQEHQNKLLQDQENKLKEQVQKLNNDIEQMEEDHEEAQKRLVELASEQEALK ELAASNSDNVIDRQAYDNLLNQLDEKNKEIEDLNELLRRYEQQFKMLRAELARVNLAQLK EKKNKQDTEALLTKIMIMQAENERLQQAAKQLQSQAASPEVLKRTGSQGNDPAQGKLESL QKENLKLEDQVSEYENKIALLTMELKRLKDQKPEILPIKSSGGSDEQQLQLQVQQLQQQV QQLQNGGLLQSNGQPISKEDRRLSDLLCLVTIMAAEIENLRSLVVLH >CAK89866 pep:novel supercontig:GCA_000165425.1:CT868656:344641:345870:-1 gene:GSPATT00023039001 transcript:CAK89866 MQTLYCEDKEHLDNPLNLFCLEVDCQQKGLICSFCLLKSHQDHVKNVFPLKTIIQSLNKA NNPKNQQELHNKGNEIFGKHHKIKQTFRQNLIEIKEILNKLEREIEKQIKLLDLEADLFV GDAFEKSISMLNMEKLTKVQIEQAIEKIEPLLKTCDGQLWILRDTEVNQQAQKLIKTAEL IERESKYWLEKCLNDLKKLLETCQNPIKKQVKILSSQNRYQLTYEQWNLKETDAICLRSK RKHDIWLSGVGLYEITTWPDSELTFQVQIFEGSNLNSKKLIYQDKFVIKNDMEFVNHIGK LYFTKAIKIEYDKPYTIALTPNQSCLSYYGANGKFETDEFQFEEPTFSQEFSDNSTTINQ GVVPQFFYEE >CAK89867 pep:novel supercontig:GCA_000165425.1:CT868656:346065:346444:1 gene:GSPATT00023040001 transcript:CAK89867 MKLVRFLMKLKNEQVIVELKNGTVVLGTITGVDVRMNTHLSKVKLTLKGKNPVGLDQLTI RGNNIRYFHLSENLQIDNLLVDESISKSKKVKAGMEKNDPNFKRKKKNKVTRLPRR >CAK89868 pep:novel supercontig:GCA_000165425.1:CT868656:346613:350063:1 gene:GSPATT00023041001 transcript:CAK89868 MSEVLQEEKNPSQSQDNSLEKQNYRIPKQTLVQIVSAAQERLLAEEIEELEKIKGMETIE RGLKTNFERGLKGDDFRERELFYGSNQKPKSFSKTYYEIILQCFEDYMIRALLVASILSI IIGVLTADEDCRSLAWIEGFALFMAIFICCNVAAINDYQKEKQLQSFNEKTPNQQMVTVL RDGKQTVLDSSRILVGDIIQLQEGLQIPADGFVIQAEALKVDESAITGETQPIKKDTYEN CKQKKDELWDEKNSLYKYDIPSPVLLSGATILQGEGKMVVAVVGEASCIGKISSLEEKEV QQTVLQAKLEAVSSSVGFYGVIFSGLIFIVLLFRFILQRIREDTFEKQHINELLNLIIAS ISVVIIAIPDSFPFAITICLAYSIKRMLNDNILVKKLAALEISGYIDIICTDKTGTLTQN KMTMVKIWNDETIDIDAYSNNLNLSTYLPTEMHELFIQSSIVNGNAEIRPQEIGSLTEVA LILFAEKCGINYEKEREIHQTTLTIPFSSQRKRRTSIIGGKRLVVHGGSEIIVEGCNKFH SKSKGVIPIDTTLRKQIEDNLNLMGAQAIRTLAFAYKDLNGDEDLVSKNQRDVYDIEAQD LTLIAIVGIKDTLRFGIPQAIRSLQTAGIKVQMITGDNKITSRAIAEDSRILINKNKSLV LEGPEFIQRVGRLVCKWCQTPDCDCPSDPSSAKTLRKQMRVDTIQNQEEFDKIYPQLDVL ARSRPEDKYTLVQGLQERGHVVAVAGDGTNDAPALSKADVGIALGISATEIAQKSASIIL LDDNFSSIIKLIFWGRNIQDSIKKLIQFQLTAIIVIVATTLISSIFIKQEIFKPIQLLWI NLIIDSFASLALATEAPSPNILRRKCEDKNAPIINSRMLKHILGQATYQIVIISILLFYA HTFVPEFKGEEDEQLYYTGKLQFKYSNTYFDQNSKLHTCPDYEDHCNLISQGTEYNVNGT ENYLTFYKKTYISSRQFTFIFNTFVMMQLFNFFNARRIKDELNIFQGIFRNTVFLITFFG ILVLQILIVTYGGIVFHCYSFNGLRIEQWLICIYFALGGLVVRSILILIPNSMLEIFTGN VSRKSRKLQPHYQVIEFKNLKNQTQEN >CAK89869 pep:novel supercontig:GCA_000165425.1:CT868656:350110:351338:1 gene:GSPATT00023042001 transcript:CAK89869 MQEVNERKMSTITKNDNVLDLVKIKVRLDEHFYIFSRFMISRMLTLSRIKKLDAIQIAKD IKKQLIDRNSLEIIIIFQTMNKLGYQNNIQKYQMVSNFYRHRTPMIIIIFGAPSIGKSLL ANNLAERLNISNVLQTDIVEMVMRSINPEQSTYDENEDFIPKFKKNCRLIRRGVSTDISK CLSEGKAVIIEGSAAMPEYYLEAIDHSDPEDDQQQFQLIESFTQNDIKLKKPQRKDLLLF NQQKKLNTQFKIIFPQPDLDVEDEQKVQKLLKLSKDLDKIDQSHSMILGFLPILSKNDHL YNIENNTIKKIPKDLIEEKLNQFQSIQNELLKQRNKCILLPINLHNLEETLDTMHDIILQ KILDQSTGYKR >CAK89870 pep:novel supercontig:GCA_000165425.1:CT868656:351394:353086:-1 gene:GSPATT00023043001 transcript:CAK89870 MIHLPKISQENTLFDCRELKSQQSENVIFDKTTKSFVLKVGPSNLFTYHNSNEVQIKGSK IYIQMYLIEKLKFILELSNKQEYFKIVVSPNLQQAYSKKLDEVKISSAFILTNKWISLSF DIESFFKDTELQFRMLSIHSLCRLKKIYEGTQTNNINDGHCLIQKICEKRILELLPNSTR AQSEKTELRKNQFGSSQQRRLSTISNSQEKIVQTESDSKKNQSKQKYSNPQELQKNSHSG LKTKSQTQALNQNPKRAQLSHQKQSSNQKNQFQTKSLSQQQPLQSLQIQNQALNQNQALN SKGQLSQISSNRSKKLSQIGGNLTKQLSQECLLEKTIKLATGLQVIEEVNMNKPPVYAKS NSNKFTAQTQLQKKAVQSFLRHTQEFQNGSHFSHEQFDDSKTDNEFGYKYSQVSQGVKDL YYEGDNSEYQTKYGKFSSTFTRPLGLSSYNHRLSSQQSQNQQQGSTNEQFYLSSQQLEQN FSPNQTANEIEEQIDAPEIKSKITDDSFNSINGLQIKQEDSSEDLAVSKFE >CAK89871 pep:novel supercontig:GCA_000165425.1:CT868656:354126:355330:1 gene:GSPATT00023044001 transcript:CAK89871 MDNQETKENQEKQFICIDPKCDQQNRLFKSKNENHPHKSHDHQVYSEFQKLIRAQFPQQI REQINQQFSKDELIKAIQTRSVQMHESVKNFEENLIKTIEAYDILGADKASINQTLSQLN EQNPPQQLNIQGLMHVLKFNKLGPQKVLEKWKRENDIILKELSTQADAALKTLGNVTISS YMHIQHLQSPLILQKMHFAWSQSYKASSITIREDAAYEKGNSMGLICIQQILEKDQVQSL TIDVKERAGNLYIGVVDMNERKQRGRKAFSFHDWNESGHGLYLLYHGGYVFSSNDPSINS KKAGFTFDQSDKIILTWNGPEHAVTILKVGSSHQFTFKVNPDGQYHFAAGLFKCEIRLLE >CAK89872 pep:novel supercontig:GCA_000165425.1:CT868656:355673:356321:1 gene:GSPATT00023045001 transcript:CAK89872 MADDYDEEFGELGLVIGDFNIPSRASDLPPQFKDLLVPNKVQYVFCTGNIGNRETTDWVK TLSGNTHFVKGDFDESKDIPETKIIQIGSWKLALVHGHQIIPAGDDESLYTFLKEMEADV LITGFTGVAKVSAVEKKYIINPGSVTGGFNGQQQSIPSFLILEFKKEKIQVFIYTLDGDV KIDKQELPLQK >CAK89873 pep:novel supercontig:GCA_000165425.1:CT868656:357013:357816:-1 gene:GSPATT00023046001 transcript:CAK89873 MNSGKTKSQKRKMSLKPYVYPRCYEISETFEKEMISKIQYFNSNLRESRRHTLAETFRDF EDQGQDQNQSSKQYKFEDENKTRLSLFSRINKLKSFISDRSKPNSITEKISAEADQAFIS KSLICSHNSQNSYLRLKKLYQTELKSCAQSLDRSQDFNQANHLKPSVQSKKRASLQLMDS TNFHNCSINENQPQENTGADGCYDQNKSSRASSFQRANSTRKKQTTSTTRNQTNKLNFSL FTKLQQLKHKKKDQRLSFMVFADYQYF >CAK89874 pep:novel supercontig:GCA_000165425.1:CT868656:358007:358489:-1 gene:GSPATT00023047001 transcript:CAK89874 MISEDLEIDLLTQSHIESDNDRLENNKPAISQHRIRVSTQIKSVRPPKSKKKAPSSQTQN TDEKKSHESPDIIMIGYSKAKLAKANTIMRKIKKKIKSKQNNKQAPKRIKKVQKPLRTNQ NKAQSKFQSVVLCFKAFLKGLKENMQRALDEGGKILKEIE >CAK89875 pep:novel supercontig:GCA_000165425.1:CT868656:359721:362280:-1 gene:GSPATT00023048001 transcript:CAK89875 MNNKLTREKKIQSLSKLELTPSLNHLQKLSKTNAKMLAKQFKLLFTIKKLENQLENAQQR LQKQKQINLQSLEKIDEQEIKLEQLQEQIQVLKDGLQQQSQLQDNVNPLVETNQDYHKTK YNNLLEEHLHLLNVGDLINEQEKQLRKEFRQQLEEEKLKAKDLFNNLLNEHLKLQEECEK AIDSLNSANQNQDQLVDKSELETIKNKFNSLLSEHLALEELNDGLNKKQDDIKQDHEKQL DDEIQKQKEKYNKLLNQYLLLEMQRDGILQEQSPKLENQLDLDKDDDVVQKKQEITNEHQ EKEEIDDQKNQEQMKSLLDQLNYLENQNTQLKQELENMKNLLDKTSQHESQQIEQTEPTP EPLKMEPSSEDYYKNKYNNLLEEHLHLLNVGDLINEQEKQLRKEFRQQLEEEKLKAKDLF NNLLNEHLKLQEECEKAIDSLNSANQNQDQLVDKSELELIKNKFNSLLSEHLALEELNDG LNKKQDDIKQDHEKQLDDEIQKQKEKYNKLLNQYLLLEMQRDGILQEQSPKLENQLDLDK DDDVVEKKQQQVHNLNTDEQQINLFGAQGEENSPQLEEIWNYKQQLSDLQSQILSLNEEK EQLQKINLQLTEQLNQIQSQSNLKSSQTLDKLVGIKDELPNSTNQQSQERFNKENDVIEK QGLELAQQRQELLTAQEQKRNQEILIAKIEKDKELLENECHQLKTQVDDLQSKLENYEQK LNEKTAHFVQTMEQLLKENQDDFEENKQLIILNQATQPVIQILKKLQHDQELKQSRKQSQ ELQSTQENQQEIIYLKHYIKTLEDNKQQYSDGISILNRRIIELFNKNSLLQKQIQQLNQQ SQNN >CAK67134 pep:novel supercontig:GCA_000165425.1:CT868051:432:4794:-1 gene:GSPATT00036268001 transcript:CAK67134 MQGSLSEVITVLNIIFYSHLLLITRSNKQTALLSIISQQNCSITLQKLNYTGNHLNEYFQ DQTRISATTAFISLYQGYVQISDSDFLQNIVTNSSNSILYIKSTKVKINSCRFSNSNDMI YDSLSRHLLHHEYQDLSNINLANIFPIKSLSGNGMIITQSLIFDKLVINRSYATTGGAFH IVTQGKSQIVIVNSSFQNTFSLSDTSTFSVGGCLHIDGSESQLKIVIKNTTIQESFSRHD GGAIYILPSKSYNSIELENLIVLDCFSLQNSFFSYDPPNTGSLNTQIMFRNINFYSTKQG FDNFIQSINKFTENEAFQVAYSNPLIYIHDGFINIINCSFISSHFQYLIQIKQAYNINLQ NITIQNSTYLQSPLIDLNLKEQLNGQIVINNLKILNVQESDKSIGGECQNVELSASSELE CPLSLTQISWSYQPTDDTQKKQQLLICNLLSILKYQPINLGLVTIKSIDQTHKLIFQNII LQNVICKNCQYGIFQIFDIKQQRSNQIAFSSIKIKNCQCGQAGCLSIMKNPSDLTFQNEL FSNNRLLQHNKLELIEFQLNDQAIISGGVFQNNSAQNGGSLFIQEINILIQNSLFYNNSA DFGGAIYYFSEQAQLDFYRSQFINNTAQIAGAVYFKNQSLQLINEFEIEFSDNNSTQFGN NVFEKARSLSISIDGGKTILNKELVKKTSEEIIEQITVAPYKILGQSSLVNYLTLPSGRS ISSYQYFDEYTNTFIPYNLTFRIIALDKFNKQNKGLYDSSCTLKPITFNVTSQQEIKEVA FSLSKYHFFFDNSTGDFNLDDIIIYWNPNYDMDLVLRISIQCHHISVPLYFEEPPYLIQG YNTNYKLLVDIRTFQCQIGEFLNKTTGGCILCDKFQNQYQVTRNAQNCSFKDDMKIRLIE SSMIELRPTYWRAYNHSQAIEYCYHMPKNCQGGWNPGDKSCLIGHIGALCEQCDLYDSRG QGSFYLSSAYSCLNCKVTVYNILYIIFVIFWTLLSTLISVTSTTEMIQEFIAGLRLRLFG VKFPIKQISSAILIKVLTNYLQIISTLLKFQLELPTELSVIISSCSSPIESMAFSLDCYL VQFADVLIIYFRIIWSFIMAFSYVILFIGFGGLAIAVRLINHNFSFFTISLIYLFIFLQP SLIGQLISLLSYRQISDELWISSNVAYRYDTSTHLNWIIAFDIPLLIILCITIPTILWYG VYKNRHNLDKTITRKTWGYLYHEYTKNAYYWETVKIFKKEMIILVRIYYDDFITIKASLI FLLLFSYSHYAKQINPYMTKQLNYLDQQSIIICAISIVLACSIHSAQDQNLNEIIWPFYV VIGSLNSFYILKLVISILLAYLTRIFDKIDSVKLKIFRFSCQISYSSLNLENIRNSLKLT KQNPKKIQNPKRILISCVKRQFKSEKVEIRIIQSKRKQPITSQNDFIVLLKRQFKVF >CAK67135 pep:novel supercontig:GCA_000165425.1:CT868051:4984:9645:-1 gene:GSPATT00036269001 transcript:CAK67135 MPYGPLLYPTIPRIELDLDEPDQVVQHQQSMGYGVWTKYQPFAQILDLRNRDQQIDATLN KLNMIQGGQFIYSMKQKENSFQLLVVSLVVDQFEQKFQYSIYYSFSQSSDLIQFNTNTII EGLWILFYAYYDMPSKETTFGLYNVQEPLQTQQIQDVPIFVSKIRHNIGGIYQYKNKNGI LVQLKQFIGQMSNLFTSDNQNILLNLEWCVNQFINYEICENQDYNISEKNQHMNGFELIQ MSTQPLNLPIYLIQGWIKLDLPDVNFLETIVLRITINYNYSDDSYIGDRDVLLKYFQSSI PGENGFEISTYSYSFPIKSRYKTQDDDKISEYGDQYSAMFVTWHYFSYEIGTLNNDGQPL FTLYFPSISQHYKYTWSKQIQHFTGVTYYIYIGGDNYSNSYLRGYVSDIILFQYCQPQAS LVVAGCDYSCLDCDGPTSVNCLSCHENSHRQYSKSENTCNCHEGYVDVNGEYECVSVALT FSQLTKQEIELNCNLEGYIRCEGSNVDCNKGYFLFQNQCIKCPEQSSSLKEVYVSCFDCI SSPIHFGQSLKCTMDAITFHFNSQYTYEVVQRDEYQVSFYEMQIQDDKTFITKLCVGCIG NQLCKPGYYFTGENCFICLNSNSCERCQIGYYLGIQNQCIKCPNCKECFLFDNLLWCSTC EDGQILQYNECVSCGQNCNSCDEQGYCNYCNGDPSKYYLTLDGKNCRECNIENCIYCFEY VIISGQYSTTLDMNFDIFNFTTNQVTTACALCKKHYHYNQGTKQCELKSNDDDCEFALIL ASTAEQVCIISLKNDDAVQVTSCSSLQHCKQCIHQYIANESYCIQCEDGYYSGVLTGQCQ LCNNNCKTCLQQNSIYKDYWKWSVKAFYKQFINVNSENSFEDQGQARAQSDLEIICTSCQ LSYILYEQKCIKGCEQNCKQCEIIDGKATCIQCQETDFGFLKSKDINGTCLQCPSNCIAC LERNQEEILDINPHYIQNDNNAQYSRKCYEKAKKEGKYYFDFLTQTITVCTNYLHCYTKY IVQQNVFCDFIQYKQLLDDNNNDQFKQKNIFLGEFYYSDYLNSFESHLLYKYLNEAQARY VEFQFTIMQGNQTECIIEADIQFYSLLQQNIFTLQQVDITFQGGTTPTILSIFHQISLSN YTQVTFKNIHFNFDMYQSPFFITLFNLKYELTLAFETCIFSMPQRSSWNRSLAIRSNIPY VLLLENFTIQDFYVQSSEIFTFLSLEHTLTNSIQVNNLQIKDSFFYNSTIFKFQANHSNL PYKSRFNLISITDTIFLSSNLIMSQGLLHYTTGSLLIKQIYISNVQIIENSSFFLISHLS SLQIINLTLFNSSIVQNSNFISSNIINLKDGLINNTQIKNSSLINNLVEYSKSELALQSS SKAYIENIHILNTQYDDQQQVIKIIKYVEIAQLQLNLVGFSFLDGQLTSEILQQEISYYS SIMYFECQLCYLEGIQLLRAHGLPEMTLLYSEFLDIKNFSVSSNQMYLTKSLHSSYECTE KFSYKNMHFFLYIGFYQIVNINSLMVQIA >CAK67136 pep:novel supercontig:GCA_000165425.1:CT868051:14573:14715:1 gene:GSPATT00036270001 transcript:CAK67136 MIQSEYHPSNITVQQKPESILFQQKKVVEFLKEIKSEK >CAK67137 pep:novel supercontig:GCA_000165425.1:CT868051:15444:17026:-1 gene:GSPATT00036271001 transcript:CAK67137 MSDIKLFSFYELKFHFPLHLVKMYKMIFKIVNELNIQGKYDETLEQLDKNIENNKNNITL YMKKSKFCQIQIAQLLAKLGKLQEILNTWDLGILNNAHDLNFYQEKGKTCFSIYQPKLQG IKVNKTCSLNYMIMQQHKMSKMLRFISQNVKKGVSQSLGRELFEQKRFTEILECWDYGIN HNKEHKIFYIQKIISIKNLYTQNDGLITDEGWRKIAECWNLGIMYNNNDHSFYMEKAQAL EKLGEYEEVLACLDLGLKHNKYQLLLYKKKGQILEKMGKEQEIIDNYDKAISLMKHPGIY VEKANALIKQQKWHELIEFCSSDKQKEYNYFFLVKNLIQALSELERQEEIIKVCEEHIHR NDMFIYKSKGQIIIQNLANALLKQGLLNEAIQSWDEAIRINNNLQEYQIEKSSFIELDLV NALKELHRYPEALQICNYIISLELTNQIEMQNLKCIKNYILFSGYLRETRQFQRGSKDIK NE >CAK67138 pep:novel supercontig:GCA_000165425.1:CT868051:17445:18389:-1 gene:GSPATT00036272001 transcript:CAK67138 MADYTLNTNQTSCLDILGTQVGIFIQQKFEFFQVMIGFQTPNVFKVYAANDQGEQIEQKE LFKCKEKSSSCARLTLRQPLFQHQVHPFDHLRCLSAIMGAKTSNLIWIKCSRKTLIALFS NLIESMYVFMNVQYVENGQNRNLGYIVNPFYCCTLGCHIFDSENKLKYIIEGSCCQCYFW CRFPCSEQCNHVEFHIKTPNGEVVAPMNKQVKGCCQNWADFTGNNSVVFPQNASKEDKAL ILAATILFEYMYFENKDGPQPVN >CAK67139 pep:novel supercontig:GCA_000165425.1:CT868051:19199:19911:-1 gene:GSPATT00036273001 transcript:CAK67139 MEFFQEEEEEHPEQKGCCAFLTVQYYQPYFNVSEDDVIDRIKASFLPFRKDFHERVAGNP DLWGPLWISATIIFLITAVANINQMNFEGQTTYSVDYVPQSAALLYCISFGTPVILTIVM KILGSDIRFFHTVCLYGYSMSILMPITILCVLRNSYVQWCLVGYGMISSSSFLIMGMRKI LGDLEQAKRYIIVGIVLAMQFSLYLLYKLVFFKVIEKNSTE >CAK67140 pep:novel supercontig:GCA_000165425.1:CT868051:19929:20777:1 gene:GSPATT00036274001 transcript:CAK67140 MIKVKSSGNKEADTAKYFLEAMLQLRDCFNESKSISIEAQGQDICVATCVLELLKNQFPN NKNSINTSGSIKDVQDCDVSGLKIDFQVLDFGKDVYNPMSYVEKYLQQLMEYEKSQKRNN KEDTKPRQNQQQQQQQQQQQQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQKK QKEVQVEYVQKVDSNTQDDIYNKWSKPKNKNKQRIPKNYYGNDEDLETDGLHGFAEAFDQ KQGRNRNEKNRNRRSQNKQEEVIYQEQNFIRGNIKYRGGLKN >CAK67141 pep:novel supercontig:GCA_000165425.1:CT868051:20802:21788:-1 gene:GSPATT00036275001 transcript:CAK67141 MGLCHSITHFQGEINFEVTQADYQHGQYSGSVLINNPTMDNTHHLYVNLIGIEQITTKGF TVSNQFLDINLMRRKLNGEAQLHIPFDQRIQWEPIYQNYYSIISDQTLSTIVYLECFLAK SSSATSLSSSIVPNTRQIQVVKTKKNRYDIKPISLNYEPKFAGFAPILQFNLEKNAYRIG EHLKGKLLVDNTRSQFALVKNQITILQIIDLLFKNDTKYKRLPPQTMIQQRLDNMHSEKR NLDIDIAISQSFNDACTYPGRLYKVNYVIQLEFMWEDQQTYVMSNEIVIYNEND >CAK67142 pep:novel supercontig:GCA_000165425.1:CT868051:21910:23140:1 gene:GSPATT00036276001 transcript:CAK67142 MLNSKIKIFNDDSLLFSSYQYYTVPFLYTMAIILISYFENAWIAIWIVYTLIPFLDEFFS LDLRNPSKDEQNKLESEMRFKLPLYVCVIFDWISLIWMINFLLNNEIQIFNKLGIIFLCG NLAASNINIAHELFHKDNLLDKLLGTITLARNLYIHFAIEHIHGHHRNVATPKDPATSLK GQKIWNFLPQTIIGSYVSAWNFEADLMLKKYGSVIRVQNRMIWYTISYFIIPCIIYYYFG IFGCAYFLGTVINSVVYLETINYVEHYGLSRKEIQPGKYEKVDIRHSWNAPHRLSNYLLF KLQRHSDHHENPYKSYQTLCSYEESPQLPHGYTVCILLAWVPNLWFEIMDLQLKNWEHHK KNVTSDQVKQKMLDFIFKIGMVTTTLATASIAL >CAK67143 pep:novel supercontig:GCA_000165425.1:CT868051:23150:24303:1 gene:GSPATT00036277001 transcript:CAK67143 MIIFLITSVFASHIYPIAQNPKTFAFQLTYQQGSLTLDLNSQLTFMKLKGEEVKCNSSPF GDQIECSSCTPNCVIGDGNLMQSDAILINVLQEEQTEKMLYVSTDQEEILGLGQQRGSSK TPQNPFLSNIFHICLGYDKGFISKEFKNPYKKQINYKSNSSSKYQVDLKQIKIQNQTIVN LTGKVTYVDSRDPYILLPEENYQKIITYFSKFQVVEESHTLIVKNQSLKLPDIEFIFDDD DEKIIMEPEAYILKLSNDTHILRFNRSKLNRIELGLPFLAQKLITIDQNTEKFYFSDFNC QDQFQQIQLEIDYFKQILLPTLLICLVFYCILTQKAKTYKQSKANESYELTKLKQEIEEE EEI >CAK67144 pep:novel supercontig:GCA_000165425.1:CT868051:26332:27397:-1 gene:GSPATT00036279001 transcript:CAK67144 MNSKNNVTQVHYTSLLLIELQNIEETQRMLQDSKYRVTSLENKIALLTNYTNKFAQIIDE KQAILEVTENTVSELVQIRENEVAMFERRRSEITMSSGIVRRSKGLIGQLIPKMPDLAPQ TKFAKQDNELEVSYEDIRQEFVQVRSQAKEQLGEQNPFSQIIESFAQIPEQTGNLEHVTY VIDMLANMEQNLADTNIVLFNAEQQRIELHERLLEHIDEKTEKLNEQISELGQMIADMEQ QIQDHQAEIQAELENQENIHQIYEEYIDAHESTLHTHDEDIKNNILLIKMIRTLKTDFMN DFEASSRYILTPRFHFQNLSSL >CAK67145 pep:novel supercontig:GCA_000165425.1:CT868051:27639:28579:1 gene:GSPATT00036280001 transcript:CAK67145 MEKLLQISQEVREALEKGQAVVALESTIITHGMEYPTNVQTALGVEEEIRNKGAIPATII VTQGILRVGLKQEEIEEIGKNKHHYQKCSRRDLAQIIAQKGYGSTTVAATMMIAHMAGIK VFVTGGIGGVHRGAEHTFDISADLVELGQTPVAVVCAGAKSILDIPKTLEYLETQGVPVV GYKTKHFPNFFTPDSGLFCSTSVDSSQEASELIKAQFELLKLKNGILFCVPVPQDQAADG QIVQQAIDKALKELDEKNVNGALVTPFLLKRVNEITDGMSSKSNVALIRNNARVGAEIAI QLCQK >CAK67146 pep:novel supercontig:GCA_000165425.1:CT868051:28592:28988:-1 gene:GSPATT00036281001 transcript:CAK67146 MSISKDDENFIPKRMAVAIAILIMFLLHYTTLFLSSCFNFKYKGEYTSRRVFLYGISGLL SLSTIQSSVFSTVLAWLTLVPLSILHFSNYPKFKTLYQLQDSLWLTYYTLQITWYLGIII DFYS >CAK67147 pep:novel supercontig:GCA_000165425.1:CT868051:29815:30317:-1 gene:GSPATT00036282001 transcript:CAK67147 MEFENHNSQANSTPCFQNPFLFTPDQSQHFYSVPNNTMNPFNNQFQQFQQPQFWNQGTNL IAQQNQFNPNLVFQQAPIQMNTQQFFQQPQEQNEEDYDQDYQPEEEEDSSSSDSEGQEED LQGEEQFDDPDFDLQAYLKVRDQL >CAK67148 pep:novel supercontig:GCA_000165425.1:CT868051:31182:32294:1 gene:GSPATT00036283001 transcript:CAK67148 MRGQQENYLFQIKQFDQDLLQIDYKCNKRLFSLKERVNSNNNNTSFITQNLNQSYQRADL KMETAQNQFTPITHLQVQSKQDLGIERQFQEVYQSSQESNKELYNIKCIHKIKKENQYSS QKKRKTQKRPFQLTRIPECSRAANITLIFRESEKSMELKDYSLNLINTCYQLKQQILCEL LQKQLFITQKIKGYIECSRLLVNGNIFEDQMLLNEIRHPILIEIFQFKTPNFNQDYHYQF NHEQVYQNQYDGLRVQNLQIMYNNKQINWSGIVDISHIDFEEILKLENGYELYNINKLGY FRIPNQGKKLNCKRMVKLNVPEELLILTTDDNGNRDKSYLMRKLSEQCKLLNQEFVSLDN ESRYYEFIEQ >CAK67149 pep:novel supercontig:GCA_000165425.1:CT868051:32339:33157:-1 gene:GSPATT00036284001 transcript:CAK67149 MDSLIEKWKKTTQSASRYSEQKLKKPERNHSSSSESSQEQKRVNNVNKNSPQPQTTKSLK QSNQSSGKKQTQQSLPNSGNKKFLKPQTFSQLLDFYTSQFQTLFKSNILPSQLNEQIKTI LVDYAENIQSLSASGYTGAQVEELQNKLNQAEQQIYTLKNSNAKDDFSKKIINELEDKLL ESEKQRVKLKQQKEQMQIQIEKISESLAKYQINQDLIHQMKQENLQLRKNNDILVTQIQQ LNTQNKEQQVLWNQQIEDLIKMMDILRKSTNS >CAK67150 pep:novel supercontig:GCA_000165425.1:CT868051:33570:34004:1 gene:GSPATT00036285001 transcript:CAK67150 MANQLPPGGLNKGLVVSLQCSCPPGCQCNSRDIRNWHHKVCGCPSFINEFGDIFCKNFKD KPECQGYFIQHAQFQCSIAQRNDTWIGYRNAAQFMMALAQGIQAAEFQLKNDKNLVHFTA TLNKEVQRRWNS >CAK67151 pep:novel supercontig:GCA_000165425.1:CT868051:34906:36533:1 gene:GSPATT00036286001 transcript:CAK67151 MKHHYESDEDDEELKVGDIIQGRFSISQKIGEGSFGQVYKVIDQKSGDQVMAMKVEVEEE DYSMLEKEIKVLIEMRKKTGFPQIKFYGQEKRFTYCIMTMLGKNLESVVRKCGGNFDLGT SLKIAIQMIDRIETLHNCRFLHRDIKPDNFVLEAGPSPKLIYLIDFGLSKHYINSKGDHI QYIKKAGLIGTARYASISAHDEMEQGRKDDLESIGYVLIYLASGTLPWMNLQIEQKDLKY AKIHHMKKTIKPDVLCAKLPRCFTKFMQDVRGYEFKQQPNYQLLKSYFSEELEQIQKERK GQFQYDWEKLPEYQQKKKHLTVHIMQQSSKEEKVNLIKQKEPPRVIPQTFEQIMQDTKKK KSTKKTTSHKTKKKDPIMINIAEPDSPAQMPSFGILNLKPYQQQNSFLQIPQLNSSGLID YLPSLNPSVATSKMNNYHQSEDVVSEGRLPIWELGDGQIPGFQKMIGYVTKGIKKPNADF QRKTRKAHTCMHIFLIFRD >CAK67152 pep:novel supercontig:GCA_000165425.1:CT868051:36643:37381:-1 gene:GSPATT00036287001 transcript:CAK67152 MSAPIKIVMLGEGQVGKTCLTLRYCQDQFNENQESSVNATYFDKVVDLGGGKDIKLAIWD TAGQEIFHALTTVYYRDAYGAVLVYDVTYKESFLKVEKWVEELRQFGTKDISIVVAGNKC DMKNQMQIDKNEVEEYCKKIGAKHFFTSAKAGLGITELFRALGESISIKVQAQESKGKKK KGLQIKDVKDTKKSNSKNDGCC >CAK67153 pep:novel supercontig:GCA_000165425.1:CT868051:37408:39915:-1 gene:GSPATT00036288001 transcript:CAK67153 MSQSYFSGLMSWVRKHNGNNQLVIGQRSISLFILKMYLHDRLVQKSYYKLKSNQSIQFKD SIDQICQISECTQKNNLEEKLLSQLTLVDEDEIFDTICIRGDMNEIITLLRDCSNGKAFA EQLKIVEDNKQLKVQDAPWFDSTQPQTCLSWIINEFEKQVTLLYYKHINFINHECVLQYW INNGNNIRRKVTDCVSTVIRLDQNILLQQYTSFIKDHKIDIQSLLSKFNDNQLESIFYLP LHQALTLQSLCEKQVLQIIRESYKQSILQELECLTKNDHHLQHQQASQQKLKKKKKQNKQ KKLIHQVTQEKFPIVVQKEQENQDVTSDDNQDTEDWIVVTKKKKQKSPNHSNGSSSNKEK QLSLEQQEQQTQIQENRQQMEIEEISKNDFDNQEQIKVINQEEKQQNQQQQQQEQQQQDY QQQQLQQEQNISTPEKKKIIRKNLVLPKTIELIENPIDENLMNQAFQQMIKKLDLDIKEF IDQIRRDNDLQFPIRQLVFNRIQFIIQFLFKDAGVCLFGSCATRLALPDSDIDIGITGLE THQLNQKMDVIIEFLYKMNWIKRIKPIYPTQTTLPLIKLWVDPSIPFRNGNMNLPHIDLV CQSQLIQVDISFFGHIQHQGLTSTELTCFWLQEYQELKTITLLFKSLLKKRGLNDQSKGG ISSFCLVLIVVAFLEYYYQQNGGFHSIGLATYKFLEFYGTKFNPHSMGIFYKGFDQNPFF YLEKEDFQLTIVSPITYDIISQSSSFVQTILQDINGLFNACENETKFFYEKAKFNKKKRG KKEERNLFYKEFAKLTPLFST >CAK67154 pep:novel supercontig:GCA_000165425.1:CT868051:40019:41388:-1 gene:GSPATT00036289001 transcript:CAK67154 MEAFQLKLQRMKNYKQQLQYLLQKPIASPQFRQIFLECDQYQMIVQVLIEAKSDKISVVK EQLKLFGLLAECANENLSPQLPMIFTHLLKRIKDFESMSCVCAQVAEIIGKIVKLCCFDG DVLNSILKPLMNHLNQNAQHALCLTRVVQFLSFEILEQNALFLFRKFISLLNQQNGKVEI LEGMAAIILSVEEQSDIFADEIIPHLQQLLSNQQWQVKKMALDILYSLVVLQKEKIQEHS YFKELMYELKSNKIKQVRDSANLILDVLQQNNSQSQQQSQQQQNNLQQLDKQVTSVERQQ NYGSQLKSSSQPQLFINTTNKQSNSNTAIPQQPQTPSQQQINRLSQTPAQTYKMSTDEKL QLAIEAIQLLSGILKSKGICDSAETQQIDSILNKLNERDSYKQPTMKFSQFNDTETFISK EAAEILREFKEKQRSEYLR >CAK67155 pep:novel supercontig:GCA_000165425.1:CT868051:41434:42906:1 gene:GSPATT00036290001 transcript:CAK67155 MNFLNKIFASAHNRGSFQIEDVSGSEDDQDEIPEHQEIPQFKKQSIQQLSSYNSRKKPQP KIGQADFLARYDENFDDVFGDKPAPIDFPKSRKRRQEEEEEDENDNERKSSKKKTQSRER KQQQSKDQKDQTKDLKEQQKDNKKTTKEFRETIDYKEFIDTKEFKDFKDSYEFKEPLEPK ILKEPKIRLPKFPKQRKRIANNHLSTLRSNSDGRDSVSNKDRESIQQNNKYNPNEIALPQ TALPKSEKKQDKQKTMFFTFGMNDDDSEKEIKNRINNLFYDQKEKKDQNEQLKNGNNNNN NNNNNNNNNNNNINNNNNNNNNNHSKEKKEPEKKQKNEEKKQKNEEKKQKNEEKNDRNEK KQRKEKKDKEKKTINYLNVFDEIKNTQKNVSSKQNSEQRETRKMNSEQREKKRDKKRESK QAGKKNEKANSKSPSPELKLIQYETQKKVKRSQKRVIEDLEMLNVDELSLNPNIVECKPN LYLDYTPVYD >CAK67156 pep:novel supercontig:GCA_000165425.1:CT868051:43051:44044:1 gene:GSPATT00036291001 transcript:CAK67156 MQQKEIDRLEHQNKNLQVLTQHQCSMLYQERTLRTNSTKLQMHSDLPQQQLPNARNARRS TIKNVLQAMKPPPRKIVVSNKLQMTNNECFKLSSILEATNQQAKQLYHDDSSIQLVKEIL KDEDSFIDIITTYPPQQVSIMYDKIKKLINEHEQMFELILKFKSIIDNSFSLQNIKLQLE ALNQLSQQCKNILECKNAQIITHTDETSKSYIQHVYNNKQILNLKNAKFDERFKNENFNQ LLAAPILDNNRCLGVIVCQDKSINFSDEDEILIQYICRQAQYILNNQKFNFRSINTLSKA CYCCNKSMIQILNYTSQNRDFAKL >CAK67157 pep:novel supercontig:GCA_000165425.1:CT868051:44337:46347:-1 gene:GSPATT00036292001 transcript:CAK67157 MNEIEEQEKQLYYLQRQKIAQQEKQLLMKDQLILELQSKYKNLYDDYQHNLRVIKDRDEE LDEITDKLLSLKQQNDKQLNEYIELEAEIHRKQEEWKLQRDNLKSKNDEQRKEIKYLKRI HEEEINQFQAKYKQTLHQLEQLKNEKLYFKNQQDQLHDQKEQIEQLLQKNNDQKNIIIKL EQASEQHIQINNENAQLQQKLNLQKQQFNEQIAEFQNDNQLLKLKIQQQQQIIDQIKRDH DDQQGQDSINQNKLQNVGDEIKKENQRKVVEGADSTIIEIDSNSVRGEDQKIGILITTLQ IQEANQKEKCQEIETKLNRLIFDNEQRVQQLIKNNELDKKQSKNDLDLKIYQITQLNDEI ANLKSTINESNKLLDNMAAKIQELKNENNILIEEVVALRKNNNLNNTNKKPQLQQSNPSN KKPSQQQPQQQQPIQQPKMKFLDEEEEVESVEKLLFSGDEGPVSSLLQRGSMQQQIKQSK QSLSKHHRSLEQENTQLKLFVKDMHQTMENTKDQLLLKVQELNIQKQECYQAKEKIQSLQ QEILKYKEQILILQAQTKNNEDLESVTSELKLQIENLSKKLQNSKEVILKLKQEREKLLD ITNELNQKLKDSDNQEELLQMQTEIKYLQNQIKQLQLQNEIPINQKSQLPNLSEIQNQLF VK >CAK67158 pep:novel supercontig:GCA_000165425.1:CT868051:46680:47360:1 gene:GSPATT00036293001 transcript:CAK67158 MGHISINLNKSNNAQTNTILKKLLQNQEYKILRDQTKMIFEGSFDALKALTGVTTYYPDT GLLVYDLEANKLILHLTKEDVQCQGIVLGYVMDQDAITILNSLRASTIPLQARLENTYNY YLKRTLNVSLAVSLLVFYNAFNGSQKSKFGVFERDEKSPKVDSK >CAK67159 pep:novel supercontig:GCA_000165425.1:CT868051:48066:48963:1 gene:GSPATT00036294001 transcript:CAK67159 MNIPLTVSNDLPQPNFYQMNEYRQVTTRTNSLQIMFSLPGIQVQEQFQKKSTFSFGQQRE RYFNIFPTNFCGQPALQMPLFTSIKTHVPERFCGGDLPPLLVFVQKSQQPNLQQIPYGPI QDGFFLKLERKWRCSQCCFNRSPLDVIYIENGQNVLLGQIEVPYAILHQTCNIYDRNNNL KYNITQRLFGESTKLSFFPWDERESQLFFDIKDSRGQIVSQMRKQTKSKFNYDVSVNFSL IFPQNSIPEEKALLLAAILMFECMYF >CAK67160 pep:novel supercontig:GCA_000165425.1:CT868051:49347:50645:1 gene:GSPATT00036295001 transcript:CAK67160 MFDQILFQETRAPTYQYEIKEQSKLGQLLYREFNQGYKLYLDEFQINDVTNTFEEFIKVN VDNQILGVKEIKQHFQTLTISKQNANKKQQTLVLRSNYGLKIESQDQYISGTVFDFQEWS CIVVMMITPELNYEYVTHFHSTDKQYYSFQFEIGQSNKYIIFNLNKCHICFYNENLIKYE KVKCLQYLEYIIQQIHYTNYSSFHRIEFQNTLNKQQFMDQFLLKSDVIKWIAQDFEYEDN QNYQLFKQIRYKEDIAYKVPEIFIALGRLSKLKYLYIHNQSIVGECLFGQIEFGDEILFI DISPSQHFIHFYECEAEPVFKLQSSIWRYRKPFSDYKIELLQKDKKKLINKIKLKGKAVK GRYIYAKKYSLYVIFHGKMRIVQSENIMINRVIGEYSQKDEIEFESEDGQYQAYKYKFKF FE >CAK67161 pep:novel supercontig:GCA_000165425.1:CT868051:50879:51587:1 gene:GSPATT00036296001 transcript:CAK67161 MFEQLTSTLTTRPLTFAKFSFQKAELEQDEQLRPIVGGIYQNQKQGSMLTSELWSKKQFR QYLKQTNTYTAKGVLKRFAHWEWDQNSNKDRFKNLTDKVKQTIYTNENELVTKLQLGTSE GEKKLRQKILRDWRVQKSYDIQKKLEKYKDSQLLKQRGTKYNQSNQSFEQQSLRQLSKNN QLIHIITELSELQEDTKKDKKKLDMVTQQKRNTNRLQKINFDQFLQD >CAK67162 pep:novel supercontig:GCA_000165425.1:CT868051:51674:52675:1 gene:GSPATT00036297001 transcript:CAK67162 MNQSSWFSLEEVELQHQITVPNPPVIKERKLPEKLDMETLLSQYKSIEINPNLIKYIVVE SETTENSKQINFKSKIKLKCEERDLDGSLANKGTNNIQATKISMASSIPYIQGLRSAFLS MKEGDVAWFKLSNEQMYTEQEIASGIQVQSLQKYFKIDIIEVTQPELPLDLTSLDNRLKQ LDSFKIEGNQFYEKQQYQSALLRYQRGLNFLEKWPKKFEDNPVAIEAKRNSLLVLSSNKA QCLIKLQDLKQAISVLEPLIGQMRNKPFEVKNYYRLITCLQKVDEQVKADFYFRQVSHMC QLSQDEKMLFKSIKIKK >CAK67163 pep:novel supercontig:GCA_000165425.1:CT868051:52724:53300:-1 gene:GSPATT00036298001 transcript:CAK67163 MKNAYNPIQPRKNLQNSNLKERELFEKFKNDKTIPTKIHYQGIPTFSAFKTRQKIETKNQ YVNESQKRRIKSEQFSILKSIQETLNGGTKSFHRVITYEDGYSYQGEYRESKDDIILEGY GVLKYQNDIIYSGFFRDNQFHGDGICKQDNKLYNLLDWRKTDQEEIRGIFENGILIGEQP GFY >CAK67164 pep:novel supercontig:GCA_000165425.1:CT868051:53382:54793:1 gene:GSPATT00036299001 transcript:CAK67164 MRIITSNKQLVFVLIQIAKKSDHIVKHLHDLQDFTDFYDYVQEGLNTYNKMQNLAEELQS IAKLITIIINQLTFNPKINLKDMSIIAINNLINKIIKVKEVEKTLKNNLELAVIPLQKIK QDFKELLQKNSEQQLIREEEPLKKTAVKSKKQKKAGKESSKGLQVVQNQKQTKLEEFKHQ VVCIKPSKLKDEKKQQQQQQIIHNQGKYQFSNIYKNRAINVIQPENSAEGFGFCVCDQAI SKSGTTIIAFRIVKCDWRIHIGVCYRDMLIESKYDPNLANVGHGTYLINNQGDVFSTIET EMNDKSLSFKFYNKNIITIEIDFVQSQITWIKKQPKETFTLKFDTQKELYPCVKLWGSSK VELLNPIILQ >CAK67165 pep:novel supercontig:GCA_000165425.1:CT868051:54820:56066:-1 gene:GSPATT00036300001 transcript:CAK67165 MNSKIIRYFISNCFAKNHHLPLAQQDPEIFHLISEASNHKCIDFTETPTSLAVQQSLGSM MSTKYASGYPGKKNKPGTEIYDKIEQTCWERAQKLFNLHNFNVNVQLQSVTTAKFIVSKA LVKPGGTILTRGNTDTKALEKYYNVIKNDNYDGEIDLIIDSKLEQLDTLRSKYKQSTPIL LDVTEKAPFYVTNLLEEESKLLQQYQFVVVNTQSLLGPKGCLLFSDKAYSEEVDEACYPG YQSGPHFHTITGIAVSLGEIQHAEYQALFKQVKGNCAALKRQLKLKEFPLIETSGTCVSI EASQEDSKKLELSQIYAHFRESRLDFNLIPLTYKGVKQDAIPKLAECLGLALYHSRHGKF LQDDNNSLWERELEGSMNEFYSMI >CAK67166 pep:novel supercontig:GCA_000165425.1:CT868051:56111:57671:-1 gene:GSPATT00036301001 transcript:CAK67166 MKRTVDIYKANVKKPKQAIGSKSQTSYAAYLNSDVKTIAKLKENLSQITNNYFIKNLRIE QTQKSSAKQLKTNFSQLRQPRSATIDAKNSQKKISRPYESLQPKRQTAVESTQNLIKQYA NQKQKTNVDKRNLWHNFVTESIFIFLQLNNSIIDQQVRRAQSSSTHNMRSEPKSDLKYRS AQSLHYNRDFLQFKLSFSTRTRQGQLASNPNKTNQDTFICETNIVSDMHLFSVCDGHGQN GHFVSQYVRDHFTKILKRDHLLKQHPRQAIVKSISLLANLINQQPFDTQFSGTTMNSIII QDGGHLICSNVGDSRAIIGKLGNNQKFKPFPLSIDHKPCLEKEMNRIHMHGGRVDTYYDD QGNSIGPARVWVRDGNYPGLAMSRSLGDQIAQSVGVSSVPEIFEYQLTPQDKFIILGSDG VWEFIDNQSVVDIVGKHYIQGDLEGACDELMQISYKMWTLEDDSVVDDITLIVIFIS >CAK67167 pep:novel supercontig:GCA_000165425.1:CT868051:57682:60688:1 gene:GSPATT00036302001 transcript:CAK67167 MKQEDSQSPSLCGYINFFQVLGDMESEGLITQQEKRIIKQKITVKEPSLMLLLSQQQEET QIKSELLSYLSSFQLTRSKSHTQEFGSSKKQGQQLQTQTIQLMDQLIIQLKCMQQYANQQ VIVFRLLVHQATQEFNSKIEQLRNVLNSLDQDEDVDCTFIELLQSNYSLIKQRYSRYMNS LLELMDEKVEPKDIQAFLNTFLNQLIGCESYSFILLKDQKVQIHYEEKFEEFPITKDVID ELVNLQQITEVHSLNTLKHYYQTPHHYILKLTDYQYFVMQLDPNFKSFVTLSNKYNYTDS LIELAQFVIYTSQQIKVQYFSILSIGDTILEFGLEIVRCSKYLLIENILQTINKQYQIHE IAENQPNVVTLKFKDSSSSFLFASNLDLKKQQDLLVYNTVNQVYQRYQQFIKQCYERMQF YKYFLRSKNLFMIDFDKQGRLRFLSRALSQKIKTQFHIEKIQIDSTYKQIFTSNQQMLQS IENYISNAKWKLTQQEEDQNKQYEIFIRKEEKQFKGFSLILLENEWVRKKTLPPTNGKTV RKQLLQTETMDYIKKLEEFNPDIRNSVVAMYMPQTQEFNQTALQSQKTPTLVFRKIDKGQ VVGKNSFFFKQREEERRFMKTNPNKLNECHLILKEEDNQLDSLEFNIHLLKSAIEKQRIV WAMLERNNFNQIFALPQEKMINFLIEMENQYNMNNNPYHNFDHGVAVMQAVNCFIKQLSK QLDQQFFNNMTKFCLLLSALCHDVAHTGKTNAYEANSLSQLAIRYHDKVILEQHHAATTI KILRDSQTNILCNFSDQDFRTFRKQLISNILSTDMQEHFKMLKEFESRVEQYGNDPEDLS LLCGMVTHAADFNGTARKWPQSRLWSEKINQEYRAQYAEEGKQGYPQQPFMKDLDKLHVM SKNEIGFIKVIVRPLYHQLNLFGKGAFQDCVDNLDETIFEWEKVYQQELKALQQQQQGN >CAK67168 pep:novel supercontig:GCA_000165425.1:CT868051:60740:61864:1 gene:GSPATT00036303001 transcript:CAK67168 MNLGKPDLKWYIQQKAAQNVKERLYGYPTHLNQLPVQTQIKFSIPTEFVGCINDQDQKDV IKILKFTHLKWLAKNNSFALGYNKINNQKRQGHLSIFDLNDWKLFYKKFANDEAMVGILN QEYLNKVFGIGSNGGIYTIEKGQGGLEQRPVLETKILQITEGSFSPNHHKIAFSSQDKLI YLYDVQSESKETLMGHGKEVYTVQWNPENSLIISGADDETIRLWDASSRDEILNLKRHNL GVKKVRWNRNGTYFATTGKDKQTLLFDLRKMDMEIFKLHQTQVDTIFWHPKYQNIFLTGD ANGSISCYNINAPNEPMYVQHVPETIVTDLALDSSGSMMSVIRAKQVQPDQKISMADQIS IYKTA >CAK67169 pep:novel supercontig:GCA_000165425.1:CT868051:61921:62232:1 gene:GSPATT00036304001 transcript:CAK67169 MSNNIERSFSVKNRNFHPEETTQSTGKVKVTRHFTNQVYQAQNSDQKPTKDVEKVNELIK LSEQIKKELLKVKFCTLCKRKFQSIKYLIEHEENSDVHKKIKQ >CAK67170 pep:novel supercontig:GCA_000165425.1:CT868051:62254:63045:1 gene:GSPATT00036305001 transcript:CAK67170 MLDELELLVEKELAKLNSLNGKDKVPQVVQQPLMQNKDKVNLIISIDNKPKDIKHPSTEQ CSFRPMLSRKSLRIAEKLGDAKERLTKPRSVTPEIKEQSQSQTRKRTVSGVAPRWEQLYT LDQFYKTKKEALKLQIEMDRSKDNETTFQPDLSKSQTKYCDFTMPFEQRNRYWETKKQEK LIQYQKDVQNESQTVCTFKPQVNKKIAQKSVKVSEFNKKGLITYFERVQQANKKKEEPKK SSKWKPGVTIPQEFKLSHTNRQI >CAK67171 pep:novel supercontig:GCA_000165425.1:CT868051:63284:64458:1 gene:GSPATT00036306001 transcript:CAK67171 MENKGFIQKSSNDQEMISKPVQSTKQLTQTPSASEYKIQFKVGGAKQQYDEPNTMISQAI PFVPMSPQQHRENASIFQSPQNKIQYQQQQLQFQSPNRDQYQTNLFYSSNQPFIQQSISQ PYQNNQNSQKVVNYTNTIALQEELKALQLQNTSMTQEIQKLESQAFRGQSAQFIKELEDK IKMLSQMNKKLSIDNRELINVPDAQVLRDLILKYQNDRKIAYNQLSEMKTEIQNYRMKQE DLKEKLQNEESTQLNNLIQEFENKVQTLILENDRINVQLRNGENIMSQVLKQKNENEQLK QKLNKFKKDEENAHKQYSKAEAKANLFETCLDKLDLLQDENRRLQQMIKDSEMTQVDLDS LLEKIQVIRYDNERMMRSLRCKQ >CAK67172 pep:novel supercontig:GCA_000165425.1:CT868051:65049:66256:1 gene:GSPATT00036307001 transcript:CAK67172 MDDAYSHQASTPSDRHHKSKLETMRNENMNFVDSNDVIVEYYKNQQASGLDRYFLEIEQW LEEDKTLHTNTPLVLEADDGIGKKTLLVKWMEYHARNKKGRYPDFIIPHFATQSGKNSNY YYAIYRILIKLREALNIKQKVELLEEKLRRYFQYWLEICSRQLDQQIINGAQIIYDKVIL VFEGIDNFRELLDMHREANVNFWLPKYFPTNIKVIVTAERQSASMRLLKPDCQVIPIVSD KTVMKQTVNHHLGKHLLIQNPQNLLDIFIQLSYKVRNQPVFVRSYFSVFIPYPSEGVVEE NEIDQRIVEQILQPLKLEYFISMKVIEDLFAFQLDYFSKANIMEVAKFRKVLLD >CAK67173 pep:novel supercontig:GCA_000165425.1:CT868051:66368:67922:1 gene:GSPATT00036308001 transcript:CAK67173 MKSLKSNHQYLLQLHDTIATTIDKITPNSIRKLEEQTFQLYSAKNYFSLKEVISIIENFL LLFNPSNKYDLCRYWQSLEENGFDPVLEYNKAVEGFQIHYHPSSEDMFRIILQISRFLKE FGDFETRNTPIFRHPPIIGVLSDLYDIGLLQEILKLDLYYDKAPELKEFDPAKHLKRVAK PKTAPVLSKMESLNVEIQQNRQLIRTHYLSMISKPFEQTENEEEPSEDVDQLAEELNHKL RKVIQQREENLSQEQLQSSERESTDYYYKRWIWIQFPWACMSIDKNCDYSVVIKQCFSSA TDYMSVEDENAFTESALKIALEAKRKRKEMYEQKQEQETQLQLIPQVPVLVQKGQEEVLK KQKREQIEFSTISSQRYARSSAVILPPVSNRSAVLTQEDAPQDNHKSHLMFITSDFTDSN YLNEESKTFKLKQAVVPNDGQETQHLLEKLKKQKSKFKQFASNSVSHGNYKITEIFPIVK GNILQHSTSQFNSTTVSSKVDEVKIGLGYL >CAK67174 pep:novel supercontig:GCA_000165425.1:CT868051:67963:69136:1 gene:GSPATT00036309001 transcript:CAK67174 MDFNKGYLKRELNNLEGLKQVQRDLKQQIEIAEKELKKSCKTKIRVKKILKICRDNKQQN EEYIRYLNYLTRNFTKLINFEQLEIKKSQENIQQAKRQFDEFLKVYRQKRQHQTTLLLQI RNSLREKQHLDKIFQLSDLQITEQAHGSIQKLRKRLNKKDDDTKNKKNKESQEKELKLQR EKLMILEQQYRKIKGVFDIKNSDYHLNKEFVDFMASKERKSEYEIKLSECQQKLSEVLDL NTKLNEQAKTYENAYSSHNFNQKEVKKDEQSQEKKQINNIQMQELQLKKLMVLEARLTSS LELIGKKCGVKDEDQISIILDQRKQHIIKNEGQTNYQKILEQQYQIEPLLKQQAPFYFPN RYTNNNSSSNSEI >CAK67175 pep:novel supercontig:GCA_000165425.1:CT868051:69660:70071:-1 gene:GSPATT00036310001 transcript:CAK67175 MGCCQDRPTITLKANELRHKIKVPSIVLSQRGLTMEPLKISILGDIDKMDFLDEIPQQIP SITQIDSKIPIKFTTSGDLKETKELKSTQISDTIIIDMRSGTLLQSPLRSKQERNSSKNL NQKFLDML >CAK67176 pep:novel supercontig:GCA_000165425.1:CT868051:70505:74879:-1 gene:GSPATT00036311001 transcript:CAK67176 MYYLNQEVLRSRYHQFTHFNILDQLEPIYQSLKFSLESRDRNTFFQEKVQQQPDCFQVNP IYGFTQQANELYGSYGQPTQLCFYMHRQKRYLMIGTQHSVIICIEVVNGRVESIQAILNA GERQTRYGSVLAIQCWEKYMLVGFERGDIQLYEVGRKWERLHHESRLHSGRVMQVLFIPS STIEALSLDEHGVIYKHSFTVMTVMDKYIVTHSKDKIYKNGKIYHIKRNPQQPKQKQSSW WDSIFKKEEEVTDYTDPSAKHSSDTEGQQTKFALDIKLMPEAMTQQLNQNIQQVVIAAVL YSNRVEILKFYKGSLKCEIVYTLKRHTFSIDVPQNDSNESGELSWGEGYFEKSYQNAKLL CIRWGDIFHLVKCMNIEDELEIVEGAVYKLEKEKGNIIKSSFLTNNIIYTFSDSNWVALI HTTQFQFAKQDDGIVILESKTRMLSKEDVEPQVAEICVSYEGPSIPCQVQIYENGIVSLR KNNILIERLQTWNEYLEDLIEKNQWEKAMHQGMLIYQGQIKILSEIASSLQARQEQMSQM FQKIAFTHIMFALKPLDIPLSQQEKENKIKKTIEFLLRVDSMTYALVVLKDFFKDIQQMK LYFTCLDPFIKNKQIAVIPESFFVDYVNFYQNDKEMIQQLILQLDLHQQEPTLLIKVCMD YHLYKAMIYLCTKQGDFITGLMKLMDLWENKWQLEQKESCHIEKEKLRKFRIKLGYSILA YFRMCVKGINILGERVPHNIYFDMLRGLVSFIFNTENLKQFILIDIRLSLQLLMQFMQHH IYTNIKSATLPGIKFEIIDHVPDILKSMLSSIENTREDLKAQEHYQIVYNDKTEQYHQIS LAEQLNHFLLFYSELLMQFPLQFKKQFKEKLVQDLLSPSYFETLKQYNFNSQDTDPIYLE SYEVNKNAYLIHLYDTSQLNDVSVVFDGSIPFPEFRTFLIYKQNDYITCISNYFQMQNSM MKRNVFEVIERLLIYSNAQLLMQLQEFIIENCDTLMNISQQYTNRIFKEYFHDLKLQMQI IQKLEKTPQNQLHYLKQYIKNEKASDSIRLLYLDLLCQRAPKEVLKDVQNGDFPLDDALA ICEKYKVYNAAAYLLQKNGAIQKALDMLTLLFINRLKDCHKSFARSKTVSETDQEEIFEK LNYIIDLCSKIEDDGYWFQFLDSFFKQHKLEQLTDLKVPEALRKLHGDIVSEVFLAMSKC IELDRLLDKMNQLYGSIPLRVFFKTNKSIQEKFAFELPSYNIAMQQTDITYKGLSKKLFQ QMNQGVCVDRYCGECNDRITKCTKAVAFQCGHSYHQECYIELHGNSQKSFNSLKLKNQKV FQECFICLGSNEKYISHLIVQASLKKSKFDFDKYLHRQANVVPESKQVVVQYDKIEQREK AIKKLKQDDFNKLYIRESALNWTEW >CAK67177 pep:novel supercontig:GCA_000165425.1:CT868051:75414:77466:-1 gene:GSPATT00036312001 transcript:CAK67177 MSARKVNGNKQTKISLGEIDDMFNDAEFTQAIKDVFKKQSNTARNDWPTQTTNMFYQKAT ISQIMRQRAKGSLTGTMCPSLKKEERKSRWASLIGEGRTEHRIRVPSETENIPICAQYEQ YSYLCREHEQLIQNLSELPQSIKMMLYSNQYEVQRAKMCENKTILDEKFIFTLFNTLQQL DKQIIEPLHQQTRPVLNFKMHFVQNAVNLVSDTMVQSVREALKHDTRLGIFLEGFCKFWI VLIDIQLQWMGKSCADYIETQVKEFKEQFKQAVAQKIKVEDQMIQMDKEFKAMEAQYIRK NEYLQQQLLSVQQEFQDYIVTVQQMSDLRIAEERMNSVGLKALELESMFKQYDKVVAQHS FVFQKDIKELGSALIKQQKLNQPLPMKHQEAQVRLGCHKLQEENYQLYYLNSVGIFMQVH PFQIPFEVNKELEMDYSTLLCNFLDYLTQIGDFLNHVCRIFIDWVGEEEKLNAILTYLSE CDLDQPINQLYAIIFGIREHRQLSSVSLKKVLKYYKELQEQHEYQLNECFTIKDASPYFQ LLFSEEFNEKVYNSQKSQMTLLELLLMLAQHFLMIENKQWTGWIYKQEIQSEDLDMNVIK QFIELRSQLNRPLEESLELVRQLSLLHPELFYKSKQVPQERMKASARKVQRKNSQRSPLK RSSIMIKAINLKK >CAK67178 pep:novel supercontig:GCA_000165425.1:CT868051:77478:78033:1 gene:GSPATT00036313001 transcript:CAK67178 MQVAFSAICVGLDNAGKTSLLRTLSNSQRMEIFPTPTMEIHYVICPKIGKYCLVYDMSGN GRHRSNWRILYQDVQAMIYVIDTSDSEYRFHLQRHLIEEVLNDDLIKKSAIPILFLFNKN DKKNRFNKDDLIKVLGLDSKKFKNKFIFKETTSFEISKLKEAIDNLTDALFSKKQ >CAK67179 pep:novel supercontig:GCA_000165425.1:CT868051:78061:79257:-1 gene:GSPATT00036314001 transcript:CAK67179 MNMQYTGIIKIGEQDLELLFDTGSSIFWVFSNTCPTQSKKTSFDCQSSPNCLMTKELYKV EYGQGEIGGNKAFDQLQVSNFTVSNFQFLIVNSQTNLENLRADGICGLGLQDQYGFNSLI NILYDQHQIDKRIFAFFLNSIPEHINNASVLFIGGYDTHYMSSEVKYVKLDKTDSWSVKL NNVQLNKKVLIKDVSALIDTGTSLIVVPTHQFTSILSILRDDYKQFCQYSQYQIKCSCPD GDFSHFPEFELNFEGDLSLQLHPSDYIQIDVSVCVLSFTKSSNSYWILGDTFIRKHVTVF DIDNKQIGFAKLAAFEKQSKQIQINDYLYIFKVVCACICIAIASIWIIRQVSCLGEASHE MNK >CAK67180 pep:novel supercontig:GCA_000165425.1:CT868051:79297:81613:1 gene:GSPATT00036315001 transcript:CAK67180 MEASQYKLSQTIAAHNGIVRSTSIQGDQLLTCSGDKTAKLYELKDNQYQQVTLISFFEKF IYASCARVNGGYAVGQDKQIYLLDNEGNLLGILDGHEQQVCSLKSISKDLLISGSWDATA IIWDISQMKQLYRLSGHKYGVAVYGDENLNVLTGSQDGVLHSWSKETKIKSVEAHEDIIR EILPSPFGGYLTCSNDETIKLWSKDLELIQTFLGHKSFVFTMKYHMDQVISGGEDRLVII WNLDGTSKQTIQLPDTVWTVTINNNNDIVVGTADGKVRVFTSDPARYSSQKEIEELKQEQ ASLSNAKQEGAISEEEVQKLPGIDKLTMMAGKNDGEVRLFLNGDKPQAYIWSAANKNWQM IGGEESSQKKIFYGDKYFEAGEYDHIFDVEDGNGIAKLMPYNEGENLYVTAEKFCLREGY SKNYIQQIVEFLKYHTSFGQSQRQKKQELETMNEQQYDQEQILQQEQAKNQLDYQYIPYT KCTYYENMNLQGLSQKLFEFNALMSEELRLTENETLIFNKGIDNLGQISMQKAVDIENSV SLIFVEKLLKWDAQYLSPVYDFFRIFSLHHSSEQLFADQEKGMSLFLNIVTIANIQPPNA VLIGLALQTLCNCLKHNTNSCAILYHLRIVKDIIQSLLDTDEDTVHLLSNLILNLSIGIY QGNELIDKASEILSESIVTFLQYKQRDAETIAKLVTALGNLLRSPAQQIREQCKKISYGF IQSLMIDTNNQDTLKCLEDVKLSMQI >CAK67181 pep:novel supercontig:GCA_000165425.1:CT868051:81798:83777:-1 gene:GSPATT00036316001 transcript:CAK67181 MELESALLCKHNLQAIKIINDPSINPTQRLLCHLCLNEHQDQTQLINISIAFAQATKNYN QTSKYSNQILLQSILHLNTFIQYFKLLQVEIKDVCEQTNLKALQWLQNLQQQQNKEFIQR FDQSFNNYQGNIHDEDLNEVNYRFLNITQQYLPGLNSLITPFNSLNKFDPCYQTLLQLSK VNIEDAIQQLYVENQCRTVIEKIERMKQNNICDQEFTNIKEMIVNSSEQNKGIQSEIIEQ LTNLIRLRQRLSQSIHHLESELTNRISQQNGEYFKSVQNLDLLINEGSLNKLIEKIHEIG NDQVIIMRKEFKSKLTNCIQGVLTNNLKGLQRQSVSNDFILHKLINTEIIQKDCCYAIQF NKDTSIMISTSGKNIKVWDFNKGRLTLGSILQKHIDDVSCLLFSNYSNSFISGCGNEDGS IVCWQQDYNFGWKSSKQFKQHRFGLRCMIVNHDETQLITGSLDKSIVIWKLDFKENNLVY EYSLFKHQHKLLSLSMNDSETCMISCSEDQNILIWGKQQGIWKFKDVLKQSVQDIGRQIK FLSDTEFIWLQQNQGRVHFFEGSNFRFQEKPENQLLLNNQKQDWNLFPIQYNKPKNIIVI RHNSTVFILRKQLDGNLKIMADPIQCQSEFNYGSLSKDGQYMVLWDVDSNQYNVYEILV >CAK67182 pep:novel supercontig:GCA_000165425.1:CT868051:84046:85342:1 gene:GSPATT00036317001 transcript:CAK67182 MKSVIIPFKDFDVICSYNHKIPAAYILKYGKEEPTYICKDCLSIYTLPKNAINVGEVVEK CRQQKQRYCKLVDPLFEEYKKCIQQLSVNLFDFKSQIVQQIDQSITTTRLWLEELEVLQS KELILDYKYQSQQFHLNCEEYELKEFIRIEQMILKCNKSYFLKLTQYLEDYVNIDQFQPC QQIINNCLDNSKLDGFLSQQKEFDDNQTYAISKSPNKWFLQGNIDPISDDIKNHLKNWRT YNLNTELMRYFYFDMNNNQYFLSHLFPNFFQSQFCEYSVRLNPQERNEIIKDKTVLNQIE EHLQMFLCYLGVKLVGDQVALIDKNRWEEYRKVKNNKSGLQRVISSLSVLNQRKIALQLV AFTKEINFEYKETFINYDLLKEEGNTSEQALTFQDAKGYYLQDDLMKNKWATFTILTNQN LDE >CAK67183 pep:novel supercontig:GCA_000165425.1:CT868051:85472:87564:1 gene:GSPATT00036318001 transcript:CAK67183 MLQQYLNMGFTYITDLQVESKHRDLGCAFKENVQSPIKEQSQSQILRELSFDVLTPDQRL RQKGKPVGLKNLANVCYLNSLIQTYFHNPIFVKEILSFKYPVQLNFEDLLNKNEAKAKRI KSSIDLVVHLQRLFAYLVHTDRKYVDPYRVFLNVVDEFGNRFQLGDQKDWAEFNLQFITC IDEGLKYHENKIMDAEIQNKDESGDMHESDQYQNEKNKSGTTLTRSMILLDENKAKLIEQ QPLQSQPQNQTIINRLFFGKTKEYITHPNQRNQSEEQEQIFLQIILNVKNRSLYQAWEAN NSFLIEGYRNGSEVIEVAEKTIWITQIPDSLLFQIQRVGYDPERGLIKMNDEFRFEKEIY ADRFLLENRQKVIETQQQLNELKIEQAKLLFQMDKYQNLGGISMLTILNTATKYVKEVKP DDEIMQQRIEQCRLSIQMILQKFQFQLDEINQKIEDLYAIMKKYKYFLQSILIHEGAAES GHYYTYIYNPFLKHWFKFNDINVTQVSEEKVLRDAYGDGKSKTNAYCLIYQRSDHFEPQS YSDYTNNSVYAQYIQQNLYEEVTNDNKKFSIEQKEYELVELGDLVVEIYNVQFQQVNEMA RKFKNRNGNPLNNFPTYLRTLLDQMNDLVKWSILDYAIREASQGKRNLRDYQEQLIFQQR CISIILILESNTILNN >CAK67184 pep:novel supercontig:GCA_000165425.1:CT868051:87713:89159:1 gene:GSPATT00036319001 transcript:CAK67184 MVSAYSVGNGKRSNEVQQGPDTPGPGQYSGDKKQKYTPPSFKIPQAQRQTFQSSFTPGPG AYASSDNLLKGPKFSFAGKKQQSVTSFQPGPGAYNLKPTKQAPLYSFGGKYGSHPDDQQP GPGEYQLSKQLDAPSMKFPQSKRDDPHLEKVPGPGTYKQERSESAPKYRFGNAQRRGLYD NELGKVPGPGQYNYQSQFESIQPKGFTLVSRKEQSSQQLVVPGPGAYDPQPVKRPPSCKI GKSMRGLQFANPNPGPGEYEPQIDTVRPQSAMVRVGSASRRPLNDVKGVPGPGTYDLPSK MVEGPQVKILGHKYDPVQAQKDQVPGPGQYERPIMQRPQSAKIGTSRRQDLNSTLDVPGP GQYKTKEKLGGPSWGFGTGKRPPLNPKNDTPGPGGYDQGNEFGSVPKYAMKKIP >CAK67185 pep:novel supercontig:GCA_000165425.1:CT868051:89751:90877:-1 gene:GSPATT00036320001 transcript:CAK67185 MQLYTIIDWFFTIGSLVLAILLGVYVNGAACLLGVFLYFIYPFIMEFSYNLLSVREEICI RNMPEIPIVYDESYNITACGIEKWHPFDSCKYGNVYRQIRQQVKGSHFTPKMLSRGTFLY LGMSKWYLLKMCYSAYASTLIELPVFFLPGAFLRSCLLDSMLLATSGSIQAAKLALEKGW AINLSGGYHHASLNRGGGFCIYPDITLVVNYLKRCCNLKKIVIVDLDAHQGNGYERDFLN DSSVYIIDFYNSYIYPGDHIAEQAISCFEHIDKDTSDQQYIKTLQRDLETHLKDDMEFLI YNAGTDIMAGDPLGHCCISAAGIKRRDEVVFKWAQHKKIPFLMLLSGGYQKENTFAIGES ILQLIT >CAK67186 pep:novel supercontig:GCA_000165425.1:CT868051:90891:91352:1 gene:GSPATT00036321001 transcript:CAK67186 MKESKQKYLHQTFGKADRFPKQKYCCETSTFCELIRIKKDSELRGPTFPKGQRKMFNQNS ETPGPGNYNISVVRVFRIPPEQPYKVNKEFLDYMNSRPKSIQHVYPGPGAYEFKSTLSKR KISFTRARMGSFSVSQSPGPGQYTISRPVSRVY >CAK67187 pep:novel supercontig:GCA_000165425.1:CT868051:91667:93467:-1 gene:GSPATT00036322001 transcript:CAK67187 MSHLLSDCANLGNTQLYSNHLSLYFDVHTQCALMCKQKPASLALLHQRIQMAKSVKRIPL EYDLLDLNIKAFVEFRVFHTIAQMPFLHLTHSTTLKQKKTTSILIDYANLRECFGKRPKY LIPFLQGRIQIELYIIDANLVQEGKAARTYEFYYNSEQATVGEYISLESDGVCISMQLEE ESIQLSIKSLQITLPTELTTSLIKQSPKSSPIIDPFLFSPKVNTFTPQVGPIQPRARFQS LQLEDIAQTPIEEWTEDLQNLEQIRGNVVNFVKTQHGSRLIQKHFTTCTQMELDQMLQEI GSHLPDLMIDPYANYMFGSLSQSCAPHQRLYILQTIGNRLVDIACDKKGTHAIQSLVSLI SCKQEEQMVENSIKNNIISLTLDSQGTHLIKKIIARFSEDRLNNIFNKLMDRFIQVVNHQ FGLCVLKDLITKFKNNLDKSTVIINKIRDHLDEIIQDPFGNYGVQHVIDVYGDLKCASII DKILLKLIQLSIHKYSSNVVEKCILETSPKTQKRFIKQLSQDIICLELMKNKFGTFVLQK ALQEADKLAEADLLQQALCRNLPSIYAQNIRQKWIEYLSKK >CAK67188 pep:novel supercontig:GCA_000165425.1:CT868051:93510:93686:-1 gene:GSPATT00036323001 transcript:CAK67188 MIYIHCLVGTNQFPQNSKRYFRSVSRKKPKSLTIPTPGSVVAPQKNPQVFSTQTPSRR >CAK67189 pep:novel supercontig:GCA_000165425.1:CT868051:93900:95819:1 gene:GSPATT00036324001 transcript:CAK67189 MKNCTLLKQLEISTTTHILDLIHKTSSKHHLSDLQTQQISQYYKQPPSINSFLELYSLSM LRCDCVKYQQIVDEINDQIHAFFQTQTPLIQKIMAQERKSQPEPEPMQIEDDEDQNNMNN PDDPIQQQQLQQEDPQQQQPSQQTNVPCSQQQQPANTQQTTQPSQSIEDEICIPNSVKLK YRRINNGPSEKEAKCDNCVCQGKPPSESDNLIQCLLCLNYLHFNCIAKSKESFDKCYKDL EFVCPPCVLKYMDHFNKIQSALVPPSPFQQMGQINHKAFNFACDKSIINIRCVRQENKTN CEEITWPDIGELFLNQKKIQDFKPLINNHSLKKRKDDHILTTEVLPQNCLQIKECIPTPD QRSQYRISLGHLYFLGVYSIEQFNSKQLLDNVFNNSENWMNIEQCQDFISLYLNKHQADD IKVDSLTVQLTCAITFNLMNTPVRGSFCQHIQCFGLENYITAMYSMQPRKWRCPLCKKKL FTIQVDAYQYAILNTIKKCDIQVNEITFDNNGQIVNENIQKLLCLDNLPNYAIKNNNRMI NLEMLSNETNNIFYKLQIIKNKESVNPPVYSNTLQNVINNMMLSSNQHLRSNSYANFYAN NYYINQMKIQQQARMEEQQKLQTNKSKIGQNHDSAIIIE >CAK67190 pep:novel supercontig:GCA_000165425.1:CT868051:95831:96973:-1 gene:GSPATT00036325001 transcript:CAK67190 MSEQSEEESQELQQKEEEQQQKSEVLQQGNKTEEQLQQIPYVHMLNNKYNVREAVHAGSW YSSKSNELKIQINCWLEQAKAEVTTVAQLKALVVPHAGYAYSGPTAAFSYKYLKKYPPSE KLKVFILGPCHYVYITQCCLTRQEIYETPLGNIKVDLETVKQLHEQGLFEQSDKDAEEEE HSIEMQLPFLAHILGTDNFTIIPIMVGSIDAKSEEYYGRLLSEYFDMDDTLFIISTDFCH WGTKFAYTYYNSADGEIFESIEKLDQKAMEHIELHDLDKFNDYLREYENNVCGKHCIAIL LHCIAMSQNTHMMETKFIRYAQSCLVRDKKDSSVSYAAAITFLED >CAK67191 pep:novel supercontig:GCA_000165425.1:CT868051:96991:99104:1 gene:GSPATT00036326001 transcript:CAK67191 MSKEQELQTKIKSLEDDIQNRHRQIVSLTDKVEELQRSIQNNSEVVELGEKVRMLESQNL KLIEKNQSDVVEWRSKERDFNNQIQALQRKLNEVEVQLTEAKDLNQQLIAKSGENSNSES QLQQITQHYEHLLSEKDAQLKERDTKIYNHEQMIPQLQKDLEERDQSIKSLNEQLKSQKQ QLSLLTQQNGNLNTLTTELNNLKLLKDNLLNDNQTLNSQLLQLNTTNADLLNTLKLTEDQ NKANSKQILDLQNELKDSQKQYKEEMTKIMQILEKTKEKSAHEQELFKNRLLEKDDILNE AKKQFEQTTKQFEKENAALTTQLSQANKTLKEQSKKISDLELKIRDLESKLQESNRQYIK SLEHSKQLDIELKKSIQASSKYQEQLIFKDEELQKSKQLQLRILQDNSDLKVKLESLLQM NSKSKSNELQNLKNQILEKDQEIELLKQQQKSLATQLKTSQGYATRFKQRNKQLEMENTE LLKSKNNLETLFQEILDQTKQKSNVKNLSALSNNPTKYQMSLLTQRKNYMQENTSTHSQE NRSSSQKSNNTTPNQVAKTGDVSFKRAILVSGSKKTTHSASQENINSKQSNKALNEIQEL DESQQQNKPQSSQGHQEQQILQGQQKSVQQQQEHKEDQIYEDQENNEEVADFIDDDNDLN >CAK67192 pep:novel supercontig:GCA_000165425.1:CT868051:99416:100645:-1 gene:GSPATT00036327001 transcript:CAK67192 MKKLAQKIYPKKQPKILSKFEMEEKIKGKMQKIIDKVYKMNEDVDDEYEDVNKDEVVNEL EILVVDDEEGKELVQKKKCVEIQNSDNIMEKIKNDHDKSSDLYDQSKIQESSSITNYSIS DGVNSQFDQNPTEEIKNYGKPNYSDQTHQGDPPQIQKVYVVNKKLISKRISKMKGKNNLR NIKELQWDRAIIVLRLLRCFLKYKHFQKINKYALKIQEVIDEVKKGMEYKEEEYYQFFQK DFFYTREDFFNTNIFNIIAFSLKILQSQEIFTIKLKEWHKQQSISWINLLDFQKYNNYLG LINSLDVEGVKLTNNNCPRKYKKYNFLQIALELLQNLEKQLDKISIHHTILQLLEGIVKM KFKKYTMKKAINLTEHDIISLLYCDGKLDEEAMKEFKEIMGKPQLYQTS >CAK67193 pep:novel supercontig:GCA_000165425.1:CT868051:100718:101857:-1 gene:GSPATT00036328001 transcript:CAK67193 MDSSATTRRLQHLSRHFKASNITTPPVRVTVTGAAGNIGYALVHMIGQGRLLGPNQQIIL TLLELPMAKDQLEGTMMELRDCAFPILKEIRGTTQYDQGFMGCEIAILVGAKPRGPGMER KDLLAANARIFKEQGEALEKYASKNVKVLVVGNPANTNALITAQFAPSIPKSNFTALTRL DQNRAQSIIAQRVSANVEDVRNIIIWGNHSTTQFADVSQATVQQNGISQTVRGLVADDAW LQKAFVEQVAKRGGAIIEKRKASSAASAASAVCDHIHDWLIGTDNGTFVSMGVVTDGKLY GIKEQVCFSFPCICKDGNVKVVEGLKWDQFQQSMIDKTLKELLEEKEMAFSVVVTK >CAK67194 pep:novel supercontig:GCA_000165425.1:CT868051:101857:102635:-1 gene:GSPATT00036329001 transcript:CAK67194 MYKYFKKIEYLFRPMNKQHPPWGSQIGVAHGGVIGYSNCEPNYDITKVHLYEVHYKKEES GLRCDIFMGYKYQCVEFARRFFVLNYKTMFTDIQKAPDIWDLETVEDLTKEAGTFPLVGF KQGGPEPPKFGDLLLAPQSEHQPWGHVAVVVGVGDGYIDLAEQNYEDAGWIAESYSRRVK VECKDGNYFVTYIRVGFEDQFNQSWDKDEVIIGWKRIIFN >CAK67195 pep:novel supercontig:GCA_000165425.1:CT868051:102781:103497:1 gene:GSPATT00036330001 transcript:CAK67195 MLKQFKRCSFRSKSLPRGIPLLVHKFINTRVRYVRRNAIKTYASGPLETPTTIARRKSCE GDEFGYFRSSKEWMQLVKIDNPQHRNQNLARIVFLRLKHGNSPSQSKRVLSLKKCFKDQV NLLRILSTFKPKVTVQQIDLVNQSKKINMPLLPSPKAKVHTKLFPFNKSITIDQPLFPKK MHRNWSQLDIMSPQKAITQPTSNYVSPRKFKKSIMNIYGISNTMHLIKY >CAK67196 pep:novel supercontig:GCA_000165425.1:CT868051:104250:104993:-1 gene:GSPATT00036331001 transcript:CAK67196 MASNMFQQNDINFNKKVDEFNNLYKQYSLTQSKQLTLEKMKLIHVQAEQQFKFMEIEYSS FNEQNKQEFAQKFKQDKMLYTECQKKLRDLQLDIDKQPQSHFSKVVTKTQPSQISGLNSD LHTQVKQLNNLDSRLLEAEDTQISILDNLRSQKEKLLRAIEDTKEIQSGVKMTQRHATMI KNREQYNKGILMTLVCILTIGNILIIYYKFVN >CAK67197 pep:novel supercontig:GCA_000165425.1:CT868051:105613:107337:-1 gene:GSPATT00036332001 transcript:CAK67197 MKKSILSPSHQLSSPSISHFRSQTCGSGVMDQSRILEETTERFQRTWNILESVEEFKNVR QVVKQMTTEPSVQCECQLGGTIISGKVYKVAPMEFYYCNIGTRGQKSPLNCTMFCDGEFQ IMISFNSPFPTKFNCDQVIRSRQWAVRHNGEDKLHLAVVARKQTEMKFMVHFGQADSFRK LVRMTSNHERIPISDEIPKTPQFIVNQNKVLAFRKVNKFEMEVSRSDRFLQILKTRNLQK KSVLEANQIKNLEFKAKEWAHDQYKLITSALRNRERRREQKYLWFELLYYIKLIDTLNTL LQQRRKQLMKNKMMVIGLQLRIKTFRENRQRERGDIQHRVIGDTVLSLMMYCKQARRRFI HNSLGSVLPLLKWRASLFMFKKKAMIACGKLQLIKVNLNQFVRNVRDYKAKMIQKWDQYT LKIHTVPSLQKMDKRFITWIKYLYEKGYQTYFQNQFITLLMRDRYRSHIREQREIKKYRL ELKAVKLQMRFVRDTVDIVTLRQRIFKLNNDIFAMQLKNQFFIHSDVERFVSSILEQSSF IFLDEDTNQLNQEKQSRMKVSLKRQKTMRISKNL >CAK67198 pep:novel supercontig:GCA_000165425.1:CT868051:107489:109835:-1 gene:GSPATT00036333001 transcript:CAK67198 MDEKNTAEGSEQVVIFEEKITKVNGEVAIKRYQRGKFLGKGGFAKCYEATNLETKKVLAA KIIAKSSLTKNRARQKLISEIKIHKSLQNTNIVQFEHVFEDHENVYILLELCSNQTLNEL IKRRKRLTEIEVQCYVAQIINALKYLHATNVIHRDLKLGNLFLNKSMELKLGDFGLATKL EFEGEKKRTICGTPNYIAPEVLDGKVGHSFEVDVWSLGVIIYAMLIGKPPFETPDVKTTY RKIRLNSYTFPEHVLISDAAKNLITRILNLDPVKRPTLDEIMSHPFMNTGGSIPKTLPLS TLACPPSASYNKQFQPSTNSSSLKMSVNAMPQRLTETTPNNQKNQQRPGNGSSDRFPLQK PSSSGNILEDNFGSSGLNNAQNAGYGGAQRPQSQKPNDIRSSQSQKTLTTPFGGTGMQGT HSVNNLGQRAPQQKQEIYVKKWVDYSSKYGLGYLLSNSATGVFFNDSTKIILDTKSLQFE YMERKGTDRQDICESHNLNDYPRELQKKVTLLQHFRNYLEGEQNRSDMAVEEYDSKQVVY VKKWMKTKHAIMFRLSNKIVQVNFTDKTEIILSSEHKMVTYVNKNGERSHYPLATALDSQ NTEMAKRLKYTKEILTHMLNGGHTGDQRPNQMTGNKLVKLWTLKRLFKYKQISASKLTIF PLSNCSIYSGSLHQQQMYICSLSLISQFRTFKSLWVTQLKNSFIIKLKSQLKNLIEFNAK LTEF >CAK67199 pep:novel supercontig:GCA_000165425.1:CT868051:110087:110390:1 gene:GSPATT00036334001 transcript:CAK67199 MHQYQEALLKNTLRGEDIIVNLQIYNSTAQQDRQQFLKALQELANEKNVKIDEQTRILQL RLPGTTTIANIKSDLEKYCNQKIVIKYFDYPRY >CAK67200 pep:novel supercontig:GCA_000165425.1:CT868051:110515:111640:-1 gene:GSPATT00036335001 transcript:CAK67200 MDQSQAQHIWKMLDDMAVSDPQAYKQFVEKNIQAGMEEMKIEKQQKIEELSIAPQFAYSM KIWGNLLKQSINELESKLLLKQNEIKQENFQFDKLEKRTKFYINLLHHDRVLGAFDKNDT PTDNPAQYNLIPLSISDVQIGKSTSFNTQVYYYDIVINTDVFKKINRQILQSIIIDTVQK RIELDKNPVKFVYFKAFYKFEIPSLKIISKPYKYCGPKKPLKILLEPQADKEGRKTPQVQ NPRENIQQNLSFTRQNDSLNDLILNAQNQSQKKAPNKVLIEEIDTKNEVEKYQVVHQKDK ILITINVNVENFQDIDLNISSHGLKLTTIMDEKIELDFGCQVDDEHPSAKWNKKQKQLKI VVNKLL >CAK67201 pep:novel supercontig:GCA_000165425.1:CT868051:111659:112981:1 gene:GSPATT00036336001 transcript:CAK67201 MVDHISKQYKTITICHPNYAPFQVMINEYSTIKEISRQMRLAWRISPKELRLFNASGIEI FQEDLRFQPKQSKLWATLNGQELDQNIIFQEYTILDQIGQGGQGVVMLGQHKETKVYVAI KIIKGDGFNADEIDLLFRESQILKQLSHRNIVHLIQNIMLNHECILVMEHLQGGSLLDLV RRKGHLDEIEARIYMKQILEGIEYCHKKNLIHRDLKLENILLVSPNSTQIKIVDFGIACC GKDRIRMGTLPYMSPELISGQPASQLSDVWAIGVILYAMVFGKLPFRGSTREELIQAISI FKYTIPQKVSNDLLDLFKQIFQYRNRITISGILNHRWLSESHAIPALSLLKMLQVTTPLK VTTKKPKSNMLQSCRKSSIQKEESQTNIGNVTAKPIKIVLKQRSGRAKSQLLLSN >CAK67202 pep:novel supercontig:GCA_000165425.1:CT868051:112985:114328:1 gene:GSPATT00036337001 transcript:CAK67202 MFHESDELSNTKTLNITLLGSDRKFQISIYGDTSLKELRQLCEQRHQISLRHFRVFTQDG VELFNEDLIYLKDNSKLYITRGEDFDANIIHQEYEILEQLGKGGFGKVLLGRHKETLNLV AIKYVNTRNRDANDIELVFREAYLLKSLNHKNIVKFYNCYPLSNMQVIVVMEYLEGGDLS KYTQAKGQLCEEEARMYFRQICDAMMYCHNRKLIHRDLKLENLMFANKNDTLIKVVDFGI AGMAVNSNMDKLNIGTIRYMAPETLQGNNQKIGPHIDVWAMGVILFHLIFGKYPFDGDSN FDIIQNITTSNYTFSKKNVSPYLIDLLSRIFLIDPQKRIKLYDILNHEWMKISFEQEYFE MPQFVPRTQFRLPSIENAKQHIGNQKQKKVNRSFTPLKKNSPRRRNSFIIKGVLKRYP >CAK67203 pep:novel supercontig:GCA_000165425.1:CT868051:114353:114862:-1 gene:GSPATT00036338001 transcript:CAK67203 MTMARTPTIKSICDTTCYLSINIVLLAFYASILETMSDGMAQYKGLSITGNVFMMIGYLL IIALHFKYIFYAALGIIATMNFGMFLLLIGMGIGAQEYQYFKYNIAIAFWFIQLAIDILG MQVVYKIYKINQDDQSDNVPQQNNEANKAANNASQPQVQL >CAK67204 pep:novel supercontig:GCA_000165425.1:CT868051:115180:118076:-1 gene:GSPATT00036339001 transcript:CAK67204 MDRENRVAVLNRIKEITLETFQRHYSEEVLLLQQELRQQSQSNDRIKREYQDREQQLIID LEHKLHEQQILQLQEIKRLQLLCDEQIDLNNKQQLIIEKLNKQIRESNLTNQQLGLELQQ LKDNQQSFDRQSRYISLEGQEYKQKAEQLQSAYSVLEQQFKSVKLESDKQLKDYQRKLQT VTDQHILQESELSNLKLEIEQLKIKKQQEAQKSKEVLEQMKEKNQIKIKEYKVKLKELQN KDQHIAELQEQIKELEQQVEFQSNQHRQAIKDKQYSRLEENLEQEKLDLQQHLTQSLECT VSEKDKEILGLSQDIKQLKIQIQNEQEQKQKSNSKFEELIQTYKKQMEELQNQNSELKQK YNQIEQEINKKDQNLKLLLADVDDLKSFSEQTLTTLKENQDYMSEIEREKQQQQEIIMAI QHQLEIEIQGSFSYQRLGQELEQRCKLYKQEHSQLLEEQLKQQTLHQQEIQQKELRFKQE VENLIQEHQLIVENFENQLKKLKLESKLLNEEQIRAYNQLQKELFQKSQKFEQLEKQNNS LVQELESYISQYTLTLQQLEEQKVKEKILIENSIKNQNVFNTEKQQLIKQIDELKFKFRS VKQQIQRVFIRQKEQLNLQLFEMKQVILNKLKQYDSENRQLILSSVKKFNYLIDQSVQKE KRENDILVIELQNEMEKKIEQLKRQYKQNEQLIQEEAQIKFKQKLQQIQQQDQSVDDLRN QLNFYIQENDHLSQKLQVQDQLNREQQKKLEYEQRQLQQTIKELENELIQIQQSADYTLK ERQFLEQRYNDLKDKSEKKMDQIQRDYQSQIQQLETIIQPVQQRALSPPLHQHSLSSSQG FHRSPAMQQNLSSAKQPYNKPPQYNSPSIRTPHKSPSNDRTEKTIEELRAEIQQQKEKLS KMKLNFTESQKKSKTLSKF >CAK67205 pep:novel supercontig:GCA_000165425.1:CT868051:118154:118663:1 gene:GSPATT00036340001 transcript:CAK67205 MQLLKEIVEGVHNPINTIEQLKRSVIREINKQHYQTIDYTHRRSISTQEAPFTVVSIKKP KTQLNTIELAPLKNKTRFMPNSTLELIVKQQKNKSLQFKQKIYTQEYKEKSEYVQGRRRF NKNVECLKQNNQRFRLSVSEQSQQLNKLLESRLSRMLRYNNNNHDNYLQ >CAK67206 pep:novel supercontig:GCA_000165425.1:CT868051:118702:119543:1 gene:GSPATT00036341001 transcript:CAK67206 MKTANLPPTWEVFSTLDFNRQQTIYKTMCEQIQQQMKQITVLQEKVKQNELLASQKLEDT HHRLSEEQSKLKQEIQILQSLLEKKENEIKFQNDQLDEQTNYIKQLEVDVQKRQESQKYD QLIVELKQQIKEQQLAISSYEKSIQELKYTIEEQEQQLEDIQNKYNQELDEKDLKLAEYE KQLELQLEDNQKLQQGYQSLNERINSNKDYQSFQQQYNELFNEFSIIKQQYQNKCNKIKE QELTIEDLIGENSRLVIELYHYQKQ >CAK67207 pep:novel supercontig:GCA_000165425.1:CT868051:119583:120526:-1 gene:GSPATT00036342001 transcript:CAK67207 MDSQLNQDRFVDLYLQRDKIIIVGSLKNQEGNTIKFEKGPLLRKTQFGEFYQLTNVESKE VLVAKIITKSKINTSKIRQQVLQKVRIHQQIEHKNILKYEGVIEDQDFIYILLEDFKCTL NEYLQQKGQLCENEAKLLFVQIVDALNYLHENNILHRDLKLSNIYFNKEQQLKVGGFNYA IKLEQKEERRRSICGSSQYLAPDILDNENGYSFEVDLWYLGIILYTLIFGVHPFEAFDIK IAYQNIKANKQQYKEDVQISQSTKQLIDELLNSDCKKRATLKRVQEILI >CAK67208 pep:novel supercontig:GCA_000165425.1:CT868051:120603:121540:-1 gene:GSPATT00036343001 transcript:CAK67208 MNKTFQQNFLANQVAVVTGGATGICYGISLAYLKYGCKVLITSRKEEVLKQSCVTLAKES GNDNIAYFPCDVRKFEQVEAMVQFALDKWGRIDILVNGAAGNFLVPFEMMSVNAFRSVME IDTFGTFHCCKAVVAKWMSKNGGVIINISTTLPHCGVALQSHAGTAKAGIDALTRHLAVE LGPKRIRVVGIAPGAIEKSEGFKRLRMDDSSGFGEDFEKLLPLQRAGNNDDIAPWALFLA SDCASYITGQTIIVDGGAVNTFPNFTLLSKKARDMLKPKL >CAK67209 pep:novel supercontig:GCA_000165425.1:CT868051:122126:122847:1 gene:GSPATT00036344001 transcript:CAK67209 MQDTYTYQILKKCNGKVIMQIDEHLLDIINAHLTIKPQQQQTEVPYSVKKQHNFQRRIVP FFMNQFMHWAEVMGYKQVDGYLRAIHKKKTSKQQKFELGDLKKLFGAINPRTKIIQLETQ HKWIEFLGTQAEICVLINNKIKDQSTKQMYIQAIEQLKEQLHKEQPYDKFLSLSKKDEVK EESIKEEYLSSEVPTDEYAPTYYQDPYTYLSCAYNNEF >CAK67210 pep:novel supercontig:GCA_000165425.1:CT868051:123352:124482:-1 gene:GSPATT00036345001 transcript:CAK67210 MVNKDGVYVDYNVLFNHSNQIKFLFYLGGNQLGDCLINLAYFLNGEIDQDQKVKKPLTST TDKRAALLFRVIRAPWQSQFETQEYPQANVPMPAPTHTPISQQIPQTTRNSSSRKMEFPT RTTRARSQSPPKEIKSAVLVNKTEEQPNASIKQPADNLTQKFLQLGEKFSQEKLQQVVPP NEENDNFREITEMLTQQEEELQKQIEQLDNHIKIDSKLRKTQFDNTACFANNNNNINTQE LEQLKSKYAELEEKYNKAQDEHQHLKRIIRQSGEQLKKQSQLTQSGYKQISDNALNQSTV SNQEQFERTIEKKNQIIKDLSNQILELEKNTKATFIEQLRNQITRLEQQTLEKQDQWQIQ EKIYKEKIFQLLQNTE >CAK67211 pep:novel supercontig:GCA_000165425.1:CT868051:124705:125841:1 gene:GSPATT00036346001 transcript:CAK67211 MSDHENSNIEEVITKIKSLPVAERVKAVALFYHLKRKQQYEEELEEQVQKLTIEYDHLNL PLYQKQNELILGQRAATDIELSNSDKFLSEAEKAIVHENNTAEPIDDYWYKALKNSVVIA DVCQENEKDWDVLKSLTKVELDFEENTHNFTIKLTFAPNEYFTNTVLTKRFIFEKAEETP VKSESTQIEWKEGKNVTQKKVSKKQKNKKTGASRTVDKVVDSPSFFGFFKDFDLTNKKDL DEEEVEKYENLMNEHFDIATEFLDSIVPCSLELFLGLQPEMAELDDDDENDDEDDEDDDD DEEDKKKSKRKKSSSSKGDKNEGKKTEKPECKQQ >CAK67212 pep:novel supercontig:GCA_000165425.1:CT868051:125847:127008:1 gene:GSPATT00036347001 transcript:CAK67212 MQSLLQPSQQTLLVRSPTEGSLKSYSRYESKGKKRMLYKNNKGEIVDPPEKSLRLQNTQY SQQSKTNKSRTTTPTPSETIKTQTTSIFDLLPIDDPIWLELIPIDLQQDQNFNLEKLISE NQEYFVNLLGLYMQERQQNRIQELKLSLPNKKLSTYDSVFKRLQPLDQSNFNIKMYDQIP LAQSLQLSTQYETSYRTPKPQQQENYKPSYYRDPSEMMTLTSYKQDYFNWKPALTERVGP QRGQSTGALPFIGKTSYQDNFRATNCEPTQSAKKKSLQILIQIVYSGPFASSSSMIFKEA LSKIHYPGYTFEDLPLRKQNSSHIQSNGSYDGQFKTTFKNSFAHKVPEPIVQDRYWKQKL IQKILEKKQI >CAK67213 pep:novel supercontig:GCA_000165425.1:CT868051:127295:127516:1 gene:GSPATT00036348001 transcript:CAK67213 MSNKVPQNGIQVVARYSRNTDETEVKRISLNDEINKYEEFSYQFDYYQENLNQNDHEVPE QYQQQIFDPQTKI >CAK67214 pep:novel supercontig:GCA_000165425.1:CT868051:128104:129098:-1 gene:GSPATT00036349001 transcript:CAK67214 MQPKRAIFNRSDLECGFPRHDFKLQGLQCSLYKCNKTTQLCVLYLHGYNGSRLEAVPYTV AILESAMDLCTFDFQAAGESEGDFVTFGLNEQLNVVLLVDFLLGKYSNIILWGRSMGATT ALMYALKHQKTSCMILDSPFIALEEVILNLIKDKLGTPDLINMGLLEILKRQIQQLYKFS ISSVKLPESLNINCPMLLLGSKFDTLIPYRHFTKTLESYHGQKQMIHLYNNHNEIRSQNI ISTVIGFIQSTIQPINHNPINRFSGDMQSHQYIATGIKIKQKVMKNQSNANQNKNSAILQ KHKALLQLSNID >CAK67215 pep:novel supercontig:GCA_000165425.1:CT868051:129405:132656:1 gene:GSPATT00036350001 transcript:CAK67215 MRREKQIRVQTMSDEHASPVKLPSVYQINKTIQGEDGTVFAMNQLLSRANLGTSNKTVRT LLKITNEQSERKDLQLLQQWIDSMVQQIQKQHFYSITEFYDKMELIYSGSIGQLCQQLSV KCNDYSQLIDKIWTQFTGTVKEIIDKQSRTNRKLEKESLANTIKIHERYQNSMTEKVQRL QEAEKQLKRNTEYVEKLNKENKYLRKKTNTFQTQITSLNNDIELLKLQLEDLNKENETLK LFQQVRHSTENLTADYEEELYKAKKEIFDDFKLVFEEQTRKFEEAYHNKMLELERGNDDK AKKDENLMEEYEEILFKDKCVGNHKDFTDSQADTIDLIQTQDCSVQTIYQKKKIFEQGTQ TLPPQQCNQACEANYAIIKRECIPRNSDEQTYLEMSRYPIKAFIDDYYQLYIEKVEIEKK TFPDVFESVLDQLKFRSSQLFNIMKMKEEFFADDFYEVDQYVQSSYSVFVFLTHHFQEII RKLKDELIARKIQIFETQIDCKQAVRQKNQIQKRLDILSNKYQSQVKNYNFIEKQFKSVS RFIPQYQQDQIRRKAQKHKIHLEFPKAYSPTPNPLITSTNNLNPPAQQVFINSSISLLPP QHQSTSPNLLLSANPKHSFGFFPPMTPLEPQQDNSLPQRNSLVELSYDSPEPPEMLSPQK PLITLNCIILFYQVFPDNKFEESSSSSEEEVDLSECLNPVKNLLSIEKKLFVYRCPSKNT QIATQTLLQEIQQFRRDKIENIVTQGTLIKTLSNFVSWCLKFNKFNYPMHIQLYEYFSSE NQSQPQNVWLGKVARVIKSIIYYKRKNDSARLFHAMLVGDLSFLIYLQILSNIQGINFQD TGISVLQSQLADQIKSIQKLPQYIDYDQEISHHLGPMQDVKIQELLLKYSIILQIFAEEG ERLTQNQFRLLMLELDSKKEEQCYINIFNSECDIEQSSIQYISFQRFAVICDELQLLQGL DDYLAKNDAQYFKDSDLWKLREIELKLMMIRSHNYDASERDLFYRMHKLHQPPQRIILGR FLERRAKELLLQKYTLECLAPFMLLFES >CAK67216 pep:novel supercontig:GCA_000165425.1:CT868051:132683:133411:-1 gene:GSPATT00036351001 transcript:CAK67216 MKIKDLIQVSQKNMTNNGNINLNLNTEYQVKVTFDMQDQNNKNLRHQYSINNNLQQQDRL RETQTRLMLEQMPSNRMITPGYRLSLKPKGDVSDQIDSHLVEQIRQLNTLGIANTYNNPK FDDFLGHLTKYNELNLEVQECTIKQNELRIKKQEKQTFFKILQKNEKPQDQKAEQFITSD DVDKLRRANDTKTITLKKLKYQKAVYMLMPQFPQKITQHQPKYLV >CAK67217 pep:novel supercontig:GCA_000165425.1:CT868051:133530:135948:-1 gene:GSPATT00036352001 transcript:CAK67217 MENQFELEYANSKSEVILDKCLTMMRPEGLEQFHFRIEEQFYKVKVEQNKVVLIEEKEGD DLQTMIAYWIQEHQQRNNKERSNYSIFCGARELESLLCHLLHFNQIKSNSNEQIGRFQYP IKVELESEKLKQYFEYWLNLCSRELSNQLYSDCKITYKRGHYIHSRHRQVLQWWRSSNNA CSRIIALLIAFSQNFYTILINPLEEYAQLILEVLQKAESIIQQLIKQQIEFQTEIDFFTI LVNQFCTDDPIASSIFCVLSYVNKGISIDEMLASCSCTQEQFIKVYDFFKVTLTNIIKQI CFLEKNQVYSIYILTMRQAIQQIQFNKNLYEQFLQIIEHSPNSTRKLEELIQQYAKNKKF FKLKEIIINIEHFLILWNPYNKFELCQLWDMLEQNGCDLVMEYNKAVENFQAIYKPSTEG LFFIMLQICIFLREFSNFEKDGTPAYKHPLLRGQSIEFEEVGLYGELSQLKMLSKKKPKQ QLNEDYFSTLISTVQLENLNLDIKNNRDCFINYYQSQFHPNILQEYLQTKQDFLREKLLN TFKEMVMGSIPMALTQKNNFSKLMQFYGKDSTQYMSIQEEMQINQKAIRLVINAKSFKNK SIQKLPEIRHRYYSPMINKVEPARRINQSLEKVLTEHLQSEEEFCLRTKRKCLNHQNQQL KNKLQELKTVKQNLYPQEATIEAYRLNDLTKTQGDELKKQMDNLQGVQDEMKRMQRFQNY VNSIKIRMKRECNNYSDIVRIWIG >CAK67218 pep:novel supercontig:GCA_000165425.1:CT868051:136042:137849:-1 gene:GSPATT00036353001 transcript:CAK67218 MKTKFWSPYKEEVKIGELLIKSEFQKKGKFRYLGGSETLLIIYEDPQFKKPKKYTMMDFD LKFEMIRTAPKMKPGCQVIKLSGSARVDQVKSENIEKLGEATTLWLFRDEDHRYELVAPS MILTQWRQFLGKRINQYGFHHLFKVFKKIGKGNFASVYLAERVEDGQQMAIKAFSKSVAY AEENGKEGLMNEIKLMRQLDHPNIIKLHEVHETTNSLYVCLELLEGGQLYEQLKKKVIFS NKEILTIIKGLLEGLKHIHSKDIMHRDIKLENILFKKPNQIESVCLADFGLATYVHDEVY LYCRCGTPGFVAPEVINIKDLTTKYDKVCDIYSLGLVFHLLLTGKPAFTGRSYTTIVNQN KEAKIQWKSSAFDIIPKAALNLLKRMLETDPKQRITAEEALKHNYFNPYHIPNIAQFEDE NIDSDDSCQLDQRLQKINDLNNKFDMMRINQLTNSPIRSPNIRATQTQAMKEQMKESVQL QEQMIMHTPVITGRIESIDGKHVLIHFHLDSPLEGSKQQQKMKEGLSKHKRQESLNFLQK YKQQQQPVNDEDSLHQQEDCREPAIQQVKQSLSKNL >CAK67219 pep:novel supercontig:GCA_000165425.1:CT868051:138198:138439:1 gene:GSPATT00036354001 transcript:CAK67219 MNDRTQQMAEDPSTKELNNFVQTLLKQMQDRFDEMQGTIVSRIDDMGKRIEDIEKSVTEL MNDLGFSDDEK >CAK67220 pep:novel supercontig:GCA_000165425.1:CT868051:139092:139769:1 gene:GSPATT00036355001 transcript:CAK67220 MGNCSAENIQQYENVEFTEGDTYEGEMENGFAEGWGVYTRKNGDQYIGWWHNGFQHGIGR EIFADKTEYDGTFVKGKKHGKGKITFPDGSSYEGQFQKDSFSGEVNWYNNFIIKFGKWID NRKEGSAQIVFENGNIFECQYKNDKKNGEGLFKWPDGCRFQSNWVDGQLQGESKLIENGI MTIGEWLNNKCVKWEQLND >CAK67221 pep:novel supercontig:GCA_000165425.1:CT868051:139864:142567:1 gene:GSPATT00036356001 transcript:CAK67221 METQTLLHQLVKQAFPDSIEDSTFNNYKTYFLRILNVRTGISLMDESELQAEMTKMTNKS QAYRLQDCLNRLNKTRLRRKNELVHFLFRTSQLGQNNSYTNQTLDTLFKSQEISQINYSQ TIPKKVIEESPKNNDQLNIIQQIIDKGNATLSITEKDLIKDLIFSIQGIESQYICYDPIN DLFQVKKDIAISSASRKLLNAMCECGWLFKKIQAFTQNNYNSLVLQALQNSIKEELNEYY RLIAYFENLLAESTLTLRKMYMWLKQPMHHLVQINLVLSQILTNVQLGTTSSLVISILCR YSKHGCPLTSQLFSRILHQTTIPIVRFINQWIFDGSLSDQAQEFFIERNDSNKIQIRDSG ELWKNEFKVNQDKIPYMISLNDSFKIYDTGRVINWLRKQCKFTQFNQNYDLITIDLLGTE QFSLFVDQVNKEYNKQLIQLIKPNFKITLNAIKRFLLLGQGEFIHTLMELLQTELNKPAQ QCYRHTLLSILESAFKNISQEVRLNVKLLEPSQNDTGWEIFCLDYAIDEPLNTIFNQKIM LSYYRIFNFLWRIKRVEFTLTQCWKMHQKFMAIPNQFQTIKKAIQLSYQMMNEMQHFIKN FYSYLMLEAIESSWKKFIDEQDKIQDLDSLIKTHELFISDILDRSFLNTKGESTQKLLFK LFDYIFRFKSCQELLLSYAKDQISQTDNQQLQLKNLLNKQQTLGRQTQSKNQDIIKSLLE LRKQYRDQMFELLEKLKKEDRLKFLHFKLDFNEYYLGIQESKIFNQDLERFIEKCLPKVN EVQIPPHRVQSNKSLVQPQQPQQRTFNINTEFQNEVRSVKEGLKLLEEQRRQQLQLKDQF SKSPLQKSPLLQIPPTMTEHEKRKNDEDLSSSDDD >CAK67222 pep:novel supercontig:GCA_000165425.1:CT868051:143738:144400:-1 gene:GSPATT00036357001 transcript:CAK67222 MKTQQAYLSLGQMTLNCLTSQREYKLVNQKRNSLLVSLVQPKRLKNTSQIAELDDIPKKQ RRKSFQEGNSGQKLLRLNCLYPISICLQHISQKPKIDTTINKIILRKAVKRASVILSTVK YWKDHSYLKIDVPTMFKSIHQRNSYVSDRNEMTNRSKQRSGQHPVLLNQFRIRNNERLIQ YSLDKAAKKAKSASDHLPNYNLLSYSSRHSIPNAHIIVKQ >CAK67223 pep:novel supercontig:GCA_000165425.1:CT868051:147837:148181:-1 gene:GSPATT00036358001 transcript:CAK67223 MFRLSILHPFIKCIIYWPNVWLNLSPKIQFSMYQHLILPKSCTQKSVKAKKLNLFEKSIK LLDSSLSHLHNHYNAINFLIGMVDQCIELRFPKPQIFQAFHQID >CAK67224 pep:novel supercontig:GCA_000165425.1:CT868051:150882:158510:-1 gene:GSPATT00036359001 transcript:CAK67224 MKNLILICLVAMVLAQQVQYTNRCNDCGQLKSQNDCEQEITVTGACEWVAASGTTAAKCQ KKTTVDPVASFKPFCELVDKPETNCAKTFGCAYVDSKCTHFTGCPAYVKTTTTDCQAISY FCVSDGNSCIEAKECKAYTQQQCESTPSISGILKCKWDTTAGACRDYACSEADTTLNTDA KCSSWLAGCVTKGQGCVNAPRPAYDAACQSFIGSDGNCELATGTTNCKAKECANAPTSLA SDDDCKAYQKGCITTGKGCVLATTKPLCSTYSGDNTTCVGYIGSDGVCEGDAGGSKCRAR KCENGAFNTDDLCKQYQSSCKTNGKTCVSALSACNTYKGTATTCAVYIGTDGYCKGTSTT TEAACAPKVCDEAPDTTTTDDACAKYQVGCVTTGKGCVTKANLKSCTTYDGDTTSCQSRV GTEGKCTWKSGTKCVARDCASAASNVNTNPLCANYFTNCGYNWIWMCFINYMYKQLLMVT NIDFKKKSICLANQARIRTQDGVDDSGNPKYIYVTGKCGWLNNACKDLACSDLTGAYYNT DANCAAELPTCISNRVDACITKYDCAKLFGTQSTCLSYPGYCTNVASATDTTPCVSRKCT DNTDATDNATCATFLPGCISNGKGCVDYNTPCTSMKGTQDTLDQAPTLQHNNATIVQVRL RVISAKLKHANWLKTKLMVHVDHFQMDVSIMVILGCVDPKAGDTTCGSYTGVAAFCESAI VGNNSSKYCFGTSTSGACTTRACTDNTTATKDEDCEAFMPGCIAKSEGGCAARSARSCSA QQGTVATCPTVSGGLQVSTDWTKVGCTRYDACADRACADKTSPQQASDCTDYKSTCRFLK AGAACIDASICSNYNTPDTATTDQQKFDYCTSIKDNAGLLCGWSSGTKCASRTCDQFLST FTTSLTCVTYLQKNVSISTDATCKLAGTICYLPDKANCDYSYASTDTTDALKLTQCKKYV NDKGLTCTFKTGDTKCSLQDTCEKLITQTDTRTCNDQSIFDSDGKCQKVTDSTCLTLSTL CTDYKLDSTLTTTKKQELCWGLKAIDALNKITTGTGAYTKCVYKTGAACDAIAACSDIYS ASNIGECEAYKTGCLYFSGKCYAAVTAGNCPTTFPSGVTTDSQKSLYCRSIKEASDYCSL KSDKSSCVLATNATCGAITIPTTGTWDTQSDPTTDAFKNAYCLAQSQKDRAKLCKYTSGT STTLCSDATCADIPSPTSQGDCDNYLSGCIYSNNKCYTPGTGVAAAGDCADNGKVPIDSG LTAAQKLVYCQSFYKSDKSIYCTYDQYNGTPPAQCVDAAACTSYTALPTADADKPTYCLS KVDVSGKRCAFTAADTKCRDFDCQDITGATSQVDCDLGAPGKTCVYLLGTCYNKTPACTA ITAQVGNEKVYCDQVSAATSCTYISGTSCAIEQDCHLYSVSTNQATICATLTDASGNACT YIGGNNCVKLDTCASYDGSTTAEKGPTAGSEETQCKAVKSISKYPCIKDTDKKCKAQICT DNDASATDCANNASGCLYYSNKCITKDTCANYAPQGADDTAQQAWCEGVLNSSGDLCAWD SGTKKCKDRACGDKQFYTDFDCQSYLKSCKTNGQICVSSTTLCNTINGSADFCNLILDST TKDKCKPLAPATPTAAGACTNKKCYDNVTATSDSECDSYLSGCVTRGTGCIPNNEPCTSY RGTKQQCEQFKKYTGLDANKNPIYEYCSGDATNTATSKCKVRTCADNTTATSDTECAAYL KGCITKGTGCIDATSSCTGFKGDQATCAKFMGSSGKDYCWNTSTALATATCAKKKCSDIA GKNNKDCSDGMPPFKTTDDPFCVFDGTGCIDYGKNCSTFNGTEETCPTYLAKDGPCKATT VGTIKGACAKRVCTEAPNTLATDTDCQKYHKDCYTTGYGCTATKNCKQNAHGLINVQLLV PPQATYSNTSYSQCTNSKVNGKFCAWTESNSTCRAQTCEDQPATIASHAQCQSFADNCTT SGAGCLTIATCASYKTQSICIAASTTKDGVGRCGWDTATNKCRSRVCGDKNGLTDDECNT FLAGCKTNGSSCVAGASCTEFSNKQFCIKSNYGPCLWVNGSCYDYDRCEDAIKKTHPECQ GFSPLCTTNGDTCIPITNCASTTLKASCVVGTDGACGWLPTGKCQKFGQCTDAVAATNDE CLSYGPTCITDGTACIAKAACATYKTQTACNNLGTDGICYWNATANTCKLKECGDEQKGT NDQCKLISVTGGSCTTDGTKCIPLAACSSYVEAGCFYGTDGECIFALPVGATTGTKTCRQ KQCEDITGGTSNANCMGVITGKACVSNGTSCIAKAACSAYKTITSCNGGGLENNKSTVCA FTPTGTDKVNGTCKTFTTCADATKDKLACTTNPTCKWTENSTGTSCANHTCDTFATGTDC QPIPSFDGSSSTICVLQSGKCAAADPGTMTDSKICYTKSAYTYSWNAVTNKCEKLYCRIR HSQQFKWYQQWNRQWNYYRQQCLHLVRLMA >CAK67225 pep:novel supercontig:GCA_000165425.1:CT868051:160982:166829:-1 gene:GSPATT00036360001 transcript:CAK67225 MLAQPNMTVPNLLEHNLHVQVIQDIVPMNQQLQIQLPCVSRKCTDNTDATDNATCVTFLP GCIINGKGCVDYNTPCTSMQGTQDTCNNLFAYKSGSADNFTTNQCYNDASAAATDYCQVK TCKLAENQTDGSCGSFLDGCVYNGNGGCVDPQAEDTICQSYTGVDAFCESAIVRNKSKKY CFGTSILGTCKTRECTDNTTATKDENCEAFMSGCIVKSEGGCIAKSARSCSQQQGNVDTC PNLSGGLLVSSEWTKVGCTQYDICQDRQCADIQNPDSDLICTDYKSTCRFLKAGAACIDA SICSNYNTPDTATTDQQKFDYCTSIKDNAGLLCGWSSGTKCASRTCDQFLSTFTTSLTCV TYLQKNVSISTDATCKLAGTICYLPDKANCDYSYASTDTTDALKLTQCKKYVNDKGLTCT FKTGEIKCSLQDTCEKLITQTDTRTCNDQSIFNSDGKCQKVTDSTCLTLSTVCTDYKLDF TLTTTKRQELCWGLKAIDALNKITTGTGVYTKCVYKAGLACDSIAACSDIYSATSQADCD GYKPGCTYFSGKCYANVPANSCPIIFPSGVITDTQKSLFCRSIKEQTVYCRIKSDKSGCE LADNGNCSEITIPTTGTWDTQSDPTTAAFKSAYCLAQSFKDREKFCHYFPSNMTTVCSDA TCPYIPSPTSQGDCDNYLSGCIYFNYKCYDPGVGVTAPGDCADNAKVPIDSALTSAQKLV YCQSFYRSDKSIYCTYDQYSATLQTQCVHAEACTFYTTLPAPDAHRPTYCLSKVDGRGKR CAFTAADTRCRDFDCQDITGATSQVDCDLGAPGKTCVYLLGTCYNKTPACTAITAQVGNE KVYCDQVTAATSCTYISGTTCAVEQDCHLYSVSANQATICAKLTDASGDACTYIGGNNCA KLGACDTYDGTTTPAKGPESGSEETQCKGVKSITKYPCIKDTDKKCKAQICTDNDASATD CANNASGCLYYLNKCIFIGVCASYIPQGADDATQQTWCEGVLNSSGDLCAWHSESKKCKD RICSDKSFQTNFDCRSYIKTCKTNGTTCVDSSANCNLNKGSSEFCKSLLDSTGKDRCRIS AATSLQQACINKNCYDNVTATSDSECDSYLSGCVTRGTGCIPNSEPCTSYRGTKQLCEQF KKYTGLDANKNPIYEYCSGDATNTATSKCKVRTCADNTTATSDTDCAAYLKGCITKGTGC IDATSSCSGFQGDQAICAKFLGSSGKDYCWNASTALATATCAKKICSDIAGKNNKECSDG MPPFKTTDDTFCVFDGTGCIDYGKNCSTFNGTEETCPTYLAKDGPCKATTVGTIKGACAK RVCTEAPNTLATDTDCQKYHKDCYTTGYGCATNNQCSNIINKYQCQVKPECSWVKYCTAQ IIKCEALNSTSYSQCANSKVNGKFCLWNEQDSTCRSQTCEDQPVTYDSHSHCQNFSDNCT TTGAGCITISTCTAYMKRSICNAASYSKDGVKRCIWDSVLKSCRSQVCSDISAQINAECE AFGEGCKLNEQKCVLGLSCTEFTNLMFCISSKKGPCLWINGQCYDYKRCEDAGKKTHLQC QAFSPKCTTNGETCIPITNCTSTLLKASCVVGTDGACGWLPTGKCQKFGQCTDAVAATND ECLSYGPTCITDGTACIAKAACAIYKTQTACNNLGTDGICYWNATANTCKLKECGDEQKG TNDQCKLISITGGSCTTDGTKCIPLSICSSYVEAGCFYGTEGECTFALPVGATTGTKRCR QMQCEDITGGTSNDNCIGIIAGKYFALPKLLAQLIRPLHSCNGGGLENNKSTVCAFTPTG TDKVNGTCKTFTACADATKDKYACTIIPSCLWIEDSTGATCVNHTCETYATDAGCLNIPT FDGNSQMVCFMQDGKCVSSDPIEITDPRICYSKSQHSHTWNIQTSKCESCSQVKNPDTPQ TTNSVNYMFLLQLCLWVLLMFF >CAK67226 pep:novel supercontig:GCA_000165425.1:CT868051:166877:168567:-1 gene:GSPATT00036361001 transcript:CAK67226 MKILSFTFIIFLGFTYQVKYENICSDCSQLKSQRDCEQQQSVNGTCEWIITQGNTAAKCQ LVNKVVIQKYTPYCELVDKPEINCAKTLGCAYVESKCTHFTGCPAYVKTKTTDCQAISNI CVSDGNACIEAKECKAYTQQQCESIPSISGNLKCKWDRTAGNCRDYTCAEANAVFNTDEK CSSWLAGCVTNGQGCVNAPRPACSTYTGNDTACSSLIGSDGYCELATGTTKCKAKECINA PKSLTTDNDCQIYQKGCITTGKGCIFATAKPLCSTYSGDNTTCVGYIGSDGVCEGDTGGT KCRLRKCETWEYNTDELCKQNQSNCITNGKTCVSALQACDTYKGTAITCAVYIGTDGYCK GTSETNEASCQPKVCDEAPDTTTTDEDCGKQQVGCVTTGKGCVTKANLKSCTAYDGDATS CQFRVGTEGKCTWKSGTTCVARDCASAASNVNTNPLCANYFTNCVTTGSGCVSQTTCDLT VKQQSCEGTNNCSWQPICTSNTQCSDFQKKSICLANSARIKKFDKNDENGNPTNKMWLVE QCLQRIGLFRFNRSFLQH >CAK67227 pep:novel supercontig:GCA_000165425.1:CT868051:169355:170413:1 gene:GSPATT00036362001 transcript:CAK67227 MDQGQDQQVVDKDEEIKQLKLELQECREREKRFKDISQHLTQLETRYNNLKDQKQISEQQ LKTQIEQYQKMVKIQQKEIENLKQQVQELEDELVENEEQQKQPNKIIADENIAIQKLNSE KTQLDEEVKGLKEKIKQIKQEYDSISKDFLSLSKQTDVTKSQFAQIKEELVQKTQELRHT RRQYQEALNYISQQQPNSNASFQNNSAVNELSQANQQLQTQNQQLNRTISKLKQDLDHVK KAHQEVLIEADMLSRNLEQSQLSSEQSKNQKEDISQELERVIRIEEQQFSQRIMLLRSLK DELQDTKSRSLLNLRRYNY >CAK67228 pep:novel supercontig:GCA_000165425.1:CT868051:170435:171412:1 gene:GSPATT00036363001 transcript:CAK67228 MEKSPQKFYEIIINANSTVDQIYTAIFELRTINNQEAVELLKQGFHHLNKVENKSCLLLH EIAYALGQADLGLEPLITQFLIQIISDDQQFDVVRHEGAEALANINQKEALEIFEKYSKK NVNDDLNILQDTCTIGLEKAKSINELGHLYGKRYLGTREPAAPFEHLDKNPVEYILDDQT TLFNKYRALYYLRNNAETYFDKIDQLLTSNKLGALFKHEICFVIGQVGEAPKQIHEALIN MIKDENQPAIARHEAIAAFQTVSSNKEITLEILNAYAKSPDQIVRETAIVSLKMMEFYG >CAK67229 pep:novel supercontig:GCA_000165425.1:CT868051:171441:172016:1 gene:GSPATT00036364001 transcript:CAK67229 MQSQPKSGSIYHCLSPQNIKRAVNKIQCREQQSNPCVRLNNQDNRLKQFQTLLNSYMQSK SPSITKTIQSRKSLNEPSINNIARNSVTPQKSEISITRKQNTEHKEFLLCQSISSQASDF GPQNQFKVQLQQIQQKVHERFKRYEEEKRQFHEDKKLFLEEKKQLISRIQQLELQVQELT KKKEALDQSFG >CAK67230 pep:novel supercontig:GCA_000165425.1:CT868051:172063:173313:1 gene:GSPATT00036365001 transcript:CAK67230 MNTQIKATRQPLSQIDFNQDLDNCGTTPKHKQFKTAITLNSDYKNNQNYNVQFKVKQQNQ AIDQPSINNASRLNENNTIFMSPAPLRRNTQFQIQQQQQQQITSPLQKNYSQKHFQPNSP ITKPSMQFRGVSQQPFNQNVSHTTTQKLESDVQILKNQNHALQQQIFQLESNIQRGNSTQ FIKELENKILMLSKLNEKLNQDNQQLIKISNVEQLRKEKDLQSKQLEELESQYENLLKQF EQFDCQKLNEMEQMNQENKIEQLVMDLEDKITGLIIENEKLNQQIQEGMKIDQNIEQLQL EVQSHQIAYQKLKHEEVQYRYKYDTANYDEKVSKRHQQQNDSSRDKVFENIKLLEEENSK LRGLLKDEDYEEEIKDLEDRIRVIAQDNKKLEMQLLQK >CAK67231 pep:novel supercontig:GCA_000165425.1:CT868051:173386:174996:1 gene:GSPATT00036366001 transcript:CAK67231 MKSCDLCKIGARRKMRDYLEEKYFEAFNFYFAKPINEIIAQIPHASHVIYFKDYVILDER MEYLKRFYSNEEVGPRMDILIDFYTQNYKHMHPNLCVTDAHKIMNKRNNRYDKLFYQKDR EQSHQQGMSRQVLNSKSTDNISFETQEHDIYEEATQEISKQGFDLRGLQIIRNLQQLNQS EQSDITLKDCIVQVNQMYGKRKKQSTYSKSIKQRKQAESCKKGITKSIIGTNSKSESKIS SNIKQNNEEPLELGKGIDQFNDFDAKPKLTRMQSDSQTIQRMLKEYQKMKQLRSAVSSDQ LPMSTSVQGFQGQQKSLRQDHNNNNGSMHSSTSTKQEALKKVYQPLPKKITTNQIDKIAK GGCLTDRTYKRPPPISEDLMLKIHDQIKGLNTVESKQKVEFKYMIPSQYNSQQNQKLVST KAKSSNSKKIISELGTKSQHINKQTTQKADEIVKKYIQFAQQTMKKKFDFKLNLQGLNEE PQEEEISSKRNNFFTERHSQVQDREQFNSHRKILQK >CAK67232 pep:novel supercontig:GCA_000165425.1:CT868051:175128:175643:1 gene:GSPATT00036367001 transcript:CAK67232 MNPIPQKEIVNIPKFTVVGLRRRMYLAKETDQENNTFSLTFKEFFSNKLNEKIQNRAYPG RIYSLYYDFKDFQDRSQFNFYILVGEVVTEVGVVPDGMEVYEVEDSNYAKFEGGPGPIPE IVKQAWCALYKIKPEEWGGKRTYKTEFEVYREGQEDIKNMRFELYIGLVIE >CAK67233 pep:novel supercontig:GCA_000165425.1:CT868051:175681:177367:1 gene:GSPATT00036368001 transcript:CAK67233 MFQDKYGKTVSEFNQKYNIVTNPSQLRFEEGLLNYKYNSLLGQFQDSLLQDIRGAIVTAQ RGYLSRKVLKHYFKELPQQLRAEYYGSLLTLYKESLRQQQQNLFYFSGMGSGMKVEKVTW PFVEGFGFCIWIQVENINYELFNEQNMGVQKIISVHGQGQQGGGGLECFILRGSVYYRII PAIYQEPDNGAILIGQLKNGMNFIGISHECQKKFTSSHLTMYFNNEQSKTMTLDFPRLNQ TIALTRFTICENLLGTAQCVIIMNQSSTKIKTIQQVYGQLPMDFKQLKTLPACLDKYFER IMSIYIPEFTDGNHVIDVMGNCNAMLLTKSGAVIADKNKFHFSGGFRLFYVMLHLSGTLY KQINSAQLNLSTMFEVITHILKHNNQLQAEAFNSQFFLTIASIIMSFEKPLINMKCVELL SEIKTVIGDNRLLDHYVIHILWNPKLHQKCISEQHAKFIYKIHNITNILYHLKIFQICQY LHIRKINVAINILMNLKSPFRCNSLRQDLLFVQSITGYI >CAK67234 pep:novel supercontig:GCA_000165425.1:CT868051:177396:182648:1 gene:GSPATT00036369001 transcript:CAK67234 MSKISPCLLLQILTILKVLFISHFKSLLVDKEDQGIKTNFILQSWDQNNIVDSLLFIFKS TPHYDIRAMIVYFLHLIFQQQFPNHKLQTHLAIEYITNAIEPLMELNRSSQVQPIKKEQP QQQQQQGDFDELKNLGTQFLNNDYESVPQAPSMTNRRKPPQGFFDNNQRPPPNAQSIPAP ETDKSNPPSFSVDQKGKKKFHIKIDTDAINSLYNFGGEQGKKNGFDHEAFNKELAEINRL AKACHMYMQGHREPEEPYVESPKQQESPLLNQNAESPKQQQTVVRQPPMSTKNSQAPKQQ FQQELTQIKEVSSSSMSIQQIEQVQKKRIESFASIYNQLMGWMIDKIKVGANETFMIEER DQIKNEGIINAIMKIVAFSIDDNLTAQILQDLLCLCKSHQGNSILILGQATFQGELLRVL SAQEDNTLIYEIGSRLHSTLMVQVLKSEFIGANYIYELLKWGIDHNQKLVEMQMNVIIDL LHEQCKTFQTDSQLWKNIIQISLYVIELKQHYPQLITKVNNFYDLIHKDYLVNGNTEEQI ASISKCFNHPESAQKVLSFFNSKIHSHQVYEIILESSNQTNFYQLLITYAITFEICQFEM TYGLTHILSKLRNHEHFKDSIKFLCFIMFVSKEKNQPSQLRKYLTELYSDFEILFENFSA NSFLDDSILDQLISFLIHCNALKQETQIKANIPQQWSIESIVSPQKVSRDVQQIGENKYI EIEERKVQWVYRESERERIGRNKYHKSLNSLFLNDGWLSQCQGCIQRNDLIQDITQEQFV QSKYFKIKISKMLTKSFARPYIKSIPIIPEVLRNKPVYQTPQTAPLLLGHEMDKFEQLYG QRQSTNSQAVQAATQLLNMGKAFGNNIRNAVKTIANQKVQAVENIKSFGENTFRGKKVIV INGLYIYYALMSLTNTHILLQYEHLKSEGSHAALGVFELKDDQRLLKKYPIYNLVLVIKK KYLQRRTALEIFFIDGKSLFINICDQNDLDEISSKLLKHRKSHLAPYLNQSKTTDPVKLL EKQGYLQKWNKQQMGNFKYLLLLNNLASRSYNDFTQYPVFPWVIFQEPSRGNSEQCKRPW VHWETIQESKVIQRDLNSQTFHYGSHYSSLAITSQFLIRLEPFTTIAKEIQGNKFDIPDR LFYSFVESFRCATEDIADVRELIPEMFCLPEMFINLQNLQFGKTQSGIMVNNVQLPKWSH NNPWRFVAGQRNALESEEIQRNLPEWIDLIFGFKQRGKEAEKNLNIYFYVTYDQQLTLQM MEENRLSIEAQVVNFGITPLQLFLKPHIGRQINNEHQFVSNSQELKVYRPQNKKKVPNTM KPIIDLQQASNRAIVKIKWISDLRLICIRKEGKIDYLKWTSQTDVQANQPPFQCGLEREK QFNFEKPQTELFNIWDVSAQLSSYPMLVFNQGKLFICGGYHLGKLIIIGDNNQIIDIYQL HTATITTLASDKKESMIISGDKSGHVILWNVDKQKDIYKLHAKCMYFDHQNQINCIYVST SMKLFATGCTGGYIYLYNLYNGQLMRSFVHPNKNPINSIVMSNRPLFCIVFYSAYDHQIY CYSINGFLLEVQPEQSSSLIDCQIMRNNLFQDIMVYGTENGELVRRSLPYLQQLKRFQIS AKSPVLSITCSKDKKFFICGCNDGEISVMAEPQQNK >CAK67235 pep:novel supercontig:GCA_000165425.1:CT868051:182677:183955:1 gene:GSPATT00036370001 transcript:CAK67235 MKNVNKFVILSLLLMINQALEDVDMKQQIEQLDQNRFGHTLLDTIYLQLQTQEPIERLMG TLQQIEDRYYQDQKEEDVSHKDYQDSCSVDLQNLDQNIKVSDNERIKLEARLEGELYPKR EILQKLISQKQGELKEFSGELNELDQQREEENDEHQHKIHEHEDVIAILMQARTLFSENL QSHDNSFIQLNSNGIELLQKHFGASIKRASKFTYRTSWGKMFKALATITSRVNQLQDSAQ VDNNKFMIQWILRSSQRKKEFKLTRRPRNLLVISINTTESTLASAITDFALVNEVIEQTQ ATLDNVNQRLEMLQQSRNDRFTICEQEAQDYQDSRSQRDSDRDVVSQTIGLLNKSLRTLK EQLALRMAAGEQFEDV >CAK67236 pep:novel supercontig:GCA_000165425.1:CT868051:184093:184479:-1 gene:GSPATT00036371001 transcript:CAK67236 MRHKSNGQKIFIDASNLLLDDETSFSFRPRFSKHKNFYTKKPLFEYHYVTPTQKHAKEIL DKICHVNKKALITERPESSFQKRKEFSVDQCLTTRGSMQRKQIRIKSINRSNIQNKLLDQ DWLAFVLD >CAK67237 pep:novel supercontig:GCA_000165425.1:CT868051:184753:185796:1 gene:GSPATT00036372001 transcript:CAK67237 MSSISIQRHVNYGEVILIVGDCHLVQWNVQNGIQMEWNPGDIWRARVECCCLPMNYRYVI VKQNNRQIVEWEDGINRVLNSKDDVEDAWSHIKIRIKVNSYVDSKMFVNLYSEKGRSKIR LDQVVTEENEYEYRMELEIPSKSINSYAFKYQQDQKWERNGVRVFTKHPILNNVIEIADS DVDFGLNYNQILENLYVGSFLYSKQNITQLVFSEIHILQKLGVDAIVNLQTTEDLINKDL QEGYFDQIRESCQSYQITYSHFPIRDCNKRSFLQKGMQAYQILKKLIEEGKCVYVHCTDG IQRSIQTVILYLVLDLNYSLEDAITLVKTARKRSKPIREVLQQLLEQ >CAK67238 pep:novel supercontig:GCA_000165425.1:CT868051:185827:186300:-1 gene:GSPATT00036373001 transcript:CAK67238 MFYITCAIGFFCAYMDPGVLHETFGHFKQPPRYVLGFGKGLEGEEKKLNEQILDRHHAEE QLEHFKHNITQYDGK >CAK67239 pep:novel supercontig:GCA_000165425.1:CT868051:186345:187743:-1 gene:GSPATT00036374001 transcript:CAK67239 MPAYKSNLEVQIKQDQKQGMGLIDHEQTDTFPVWKFKGGSTTIYEENQVYTESAEMLPNK NQLLKVSKSKNHYEILLSVMQPPFMVVKDIKSSNGSEYPLVVNSVIRLGRVEYKVIEERN KHMQTFQAPCSLKYSFLSDSNVTYQCKFCFMEGRQSKDQLFLTNICRCAGNGQAVHLDCL RYWVDSNITKEETQFGLTLKWNKQHEFSQFEFSMKMNTSISLHSTDLIYSTSQQKTSIKR RTQCNEIYLIHSLLSDNIKIGRGFQCDIKAQDITVSRHHATIKLTDGNFMIQDNKSKFGT LVSIDKKVSIDCAACSLQIGKLLINFIQSDYIQKGAPSTQHKLTQLPQINKQLDEDEPQM DDDSFQLENK >CAK67240 pep:novel supercontig:GCA_000165425.1:CT868051:187758:188452:1 gene:GSPATT00036375001 transcript:CAK67240 MSKVFSPDQRNLGGRRIILQQMLQHLHHVETAKSTLSQTNSSSKLLRRTPSPVFKFLDQY KEVLNTFDKVQKIQQKRPSVEKFKNNYFLKKVQTTNTEHQKNFEKCKKRIQEMNNKNKTT LNPALFFRRVDKSLINKTCPIMQPRPQKKEIQQEIDWESIAKRIPQLADPLFKNKITDLI VSNRIYSDDDYAFLVCLISSVNNAEQSKIILIMSQILNELGLL >CAK67241 pep:novel supercontig:GCA_000165425.1:CT868051:188544:189356:1 gene:GSPATT00036376001 transcript:CAK67241 MSQIEETLKKYPKYMPTLVRYEEPIEINEDEMQLEMQEQVLNQKKKQQLQPLDQKWSIDE ILNKFFPPRRFEHEGHFFKQVVSVNDVKRDELNQLEAELDQRLIERQARKSGICPVREDL HSQLFEEIIRQSAINCPERGLLLMRVYDNLKLTFAAYQTLYAGSVVFGNRKAAESEIGKS EQDSKIADYDKKKIYLENQKILLENELDAIQRSFKEIRELEEKRMESEMQFLKQQTKHLE HFLKQVQQNQQ >CAK67242 pep:novel supercontig:GCA_000165425.1:CT868051:189362:189706:-1 gene:GSPATT00036377001 transcript:CAK67242 MGAQFRLRSLQQENMPFESPRKQSKTTRNANLKKRFQTEQMTVLSKIELSHHGKDQVELI YESYPVIQERITRTTRKTQPRLIVQSPQFKMLHQTSKTISFHQVKRMLQTYINQ >CAK67243 pep:novel supercontig:GCA_000165425.1:CT868051:189749:195063:1 gene:GSPATT00036378001 transcript:CAK67243 MLILILLFQLTLSQPFEQEIMELEIEKQYEFYGKVPGTSTFVLYPVHFYKFRVQNVIPNE DVFVVLKALHDQSSFPSLYISKENNYPTIYDELCGNKGMDVCVIEEKDLIANVTYYLGVY CLQDCDYELTIHYEEEKDLELGEAVIVKFDNEIESILKIGMPNSMDGVDRILIRAHYIQD RNTLLNESFHFYINEGNETPSPMQFQYEAEEIWMVGKGAVIYKNSTNLIGNLTVLLLGVP HSRLYFITTVYERIREIELFERTDDLVLDKRTNYYKLIVSDDYLEFLEENSLSFDIHPFE GNPDIYISPCHKGECSLDYKLYPWQSQLDLGYESITISPQNRINNGNESLFIIAINGVDE FSSYSFVAYLSNYYSRLLSIYAFESGFLVQNEFAEYIVRIHDELNQTFTITASYPTGHGM IILKKCIKNKTELNSVENQFNYIVNAGPDNFYNCSITKEQIDKLQDVQYLKQGISELYSA ESIIQFNYNKSECETNVTMLKYENEETNCQYVVGVYSNVEHMNYQIYIKGQEQHIELTES STHRSYLLEYDTDLYSFTIDEEDLTEVVFQITAITGEYEAYASRTYDKPNSTFYDRYANM ELDVLQYKAEPAIQTLAGQYYIRIVAKALLRYSITPLVYRKSHEEINYIHLTESISYTHL QTKIDQATYFNFEQRQNGPLFIHLNGIYGYFICYIIGTNGIIGDKVPDESQYDFSFRSSQ HTLIIENPKRYYYVQVSSVSLGLNESYEIQFTTSSSLMELFYGDPLITQLDNKHQSFYYY LSPYTLEKLFVIRTYTTEVNEQNYLKVYVSTKNKFPSKDNCDFELLDSSTYLTLNNITEN MIVYIGVESIGYNEYSLLIRGVSGITELLDNTVQQVPIPSFEQYQQSNLYFVVPKDLNNT IQIQAYTLFTEIELFCSIKDYSLVFKDLKNNNHSIFPTSSSYDIEEEISASVSNKLLIIE QTDLQKCKNYRQGCVLLISVKLDQQSLYSYIFGNSYNNISTVDGEDGYFNIMISTEYSII RNGEMLIGHSGENIMSYYYFYVDNPVQFIQIAARPIDDCDPDIYVNKLVDDKISYPTEDS NTYKSMSYKSDILIIREPDNVGSYIIGVLGFRKECTYELLLNFADIELYYISNGQFAGHH INQTVYYFYQHIRKESFRIMVQGMNNVDVAINTYSQYNDSEDGLFDLLPYYGTDDIQILQ KHNDNLFGGIIQINQTNKHFCYYCTYIIALKPLRSADIQLLIAYNSIPLDVEYGRIYYDQ CVDTCKYYVEPGDLNIFVYSQSIELNFYDEDTYLYSMNLSYSQHIIPINSSHIVSIVNPH ANQVASYWLSLQSEQNFVDLHLGKSYKGNNTAEQNVTQFTFTPITVEQWYTVIVNSQSIV RVELFYMSKNVSEFILINPVQEWSISQSQVELKYQLPSVNYFYKITLSCKGQYSITLNLD GLKYIDSNQHYVEQIQSAQIYNIFGSKGQELLIEKIDCLGQTQSNISSYQFNNLSDIYFN VTPTSFASYTKEGLSIRKNIYSLVPHLQYSHDAWYFNKSITYQVEENKENQTLIVTVDTM SRNKTGLLELKMLIYQLHYSNQENFMNAFGCQMDIESLKYYTSNQLYHSKSLQTVKEENE KVTFQVPRPQSQKVLYGLIVFQAFYQGYDSPYTYFYNTSLIYNGTQSDVKIEEKEDVINK NEDYAMMIGIAAATFLVLMVLFLGIRKVILRRKQAKLGKPKNATVDEEWEQVKAV >CAK67244 pep:novel supercontig:GCA_000165425.1:CT868051:195095:197317:1 gene:GSPATT00036379001 transcript:CAK67244 MVDCSKQRSYIFEVLVINYYFPKIIHMQVLEFHIYETQWMLRKGKYKCFVNISEKHLTHH YQQSELPGVQLQMQLIRRLLYCRLKIMRKHFLKFNSKQGRILTQLDQCMQLCHTMQNYIA KCQDVLTEHQNPSNRKSKYKLLGDMQSPRGNSKLKQFLIEFEHEPWDKKSLLWLRYHEQE LFTSIQQILMFNYLYFKMTNLKEASRFLHKLIQLKFVKTQKSVTLYQEALTLLHSAYFEN SYVFQNQFFDPISEMLIDALREYYRPNSNQKKCKRQMKLYAQLLIINLDLQSKSLEYKDK YDESALMISMANYLSQHILTFVGKQDELINYIKYEYEYKHEKYEQIILEQQDLNQFVSFL YGFEKKHHFEQKPQFPHQSKYDINNKSVYILHHPRAKSDFKPMKDLSKEPTRDGSLFMPN TPSCSKDNTQRQITKPHKQKRKKFQENQSYLSKLIYLRSLSDYKLSQMNVETDLQKHLRG LEETLHKLEHPNQIKSIDQVFNQKIESITFEKCLTLDQVKETAKKIIAAEWEVHKEMPVT KSLMKSISVSDIQLERLQQQYSKLQEYIELQKIDYHNTQKDQAQLIMKENQDLKKLQLNQ QKYQMKLRHQKVQQQLSIPKKLLNLQTDEAGLSPKFIKRNTLMLNTYNATIFDRMDPVLR QNKEYQAAQKHLMDMMVSNLEQRDELNSKKLNSFISVNSLPKSTTRLPSPKTLDVSSQRS DHKKSFAFSNNSTRLEITKF >CAK67245 pep:novel supercontig:GCA_000165425.1:CT868051:197318:197907:-1 gene:GSPATT00036380001 transcript:CAK67245 MATQFQQKRLQFEEENLQENADQLPPNCSYKKTGPLTYIVQYQGEKGTPHEGAYIVVDVD LNGTNGSPGFPAEAPNITFRNGFQHVNVYPMGRLCMYLSNKETFTSGTTLLEIFSGINQV IHHPTFHDPANASILIQEGGKGYDEKMRDQAKKLSQPQYKVL >CAK67246 pep:novel supercontig:GCA_000165425.1:CT868051:199081:199522:-1 gene:GSPATT00036382001 transcript:CAK67246 MNRQLVQMFRKQFFQVPKYFASNEQPIILRNEKSGYYVNPEDVARRMIKVISLHDDVKNP SGITLQSTWTDIGLSDMAYVEVMVEVEREFEIEFPDIDVECFRTVNDAVEYVARSFFAV >CAK67247 pep:novel supercontig:GCA_000165425.1:CT868051:199539:200318:1 gene:GSPATT00036383001 transcript:CAK67247 MSQNILKDILKLLEGPSSDTFQDLDSQLLFIKEAILQNLQNQDYQELEKQVQKYEREIRK HIRTEQQIKLYCEQLQQQLEQLQQNNINKNMNHQLKKEIAVLQEQNKYLIEENTFLKKKI QETNDYQHQTISSKQPLKLVDFIRKQKVPNDKEPYLSNTIDTSSIYKKDQSKQNSKTISQ QVTEQNYDHCKQFVNNFNIISNKQKITCNANRQKKSVSEHKQIKNKLELSSIN >CAK67248 pep:novel supercontig:GCA_000165425.1:CT868051:200639:201414:1 gene:GSPATT00036384001 transcript:CAK67248 MVKSQECSSQLKHTKKYQKIDRDQRRIVLDLLLKEKLSLQEVFSIFLKFLIQIPLYIAFL TIHFQVANRLHLKYCTVRTIQKAFEQDGRIGKKETRKKKLKVESIVRVSVLNPLTLQVQP LCVQSETTQIYVDKQPTHEDQLNIANEQKQLISSQYQKLTQALTQEIKNTNLASQSLIQN MLLSSQIVFKQILDFKPQIQAQKEFDSVSTSPYPFQQIQSYPYFYPLPHSFLRI >CAK67249 pep:novel supercontig:GCA_000165425.1:CT868051:202088:203245:-1 gene:GSPATT00036385001 transcript:CAK67249 MKDMSKKIFEKENQAEVSNNPRHQNKSLTQLVSLSLIGGNRKNEFKSIDLKNNNTSISSR PLVFCKPEPKLKTLTTSSPQKQSPIKPKQVETQSNELCKLINNYSEPILDYYLDLNNQTP KNFLSNHTVSSNLRAKMIDWMVEVLTSYKCKDQTFFLAVKLMDSYLQKTPQKHIPQDLHL VGVTTMFMACKFEEIYPVKLQIVHEKIAHKKLTKDEIKDKETNILTALDFNFIGITVLDV ITIVLSILNMNQQLYQITLYLAKVALYDYEFVNNHTYVQIACSALIVSSKIVEQIDQTLS SEVVIPLIVSTLKMDNSDAMDSANKLLNLAKGFDKQYPNLENLRKFSKFQLSDMLTTQP >CAK67250 pep:novel supercontig:GCA_000165425.1:CT868051:203395:203861:-1 gene:GSPATT00036386001 transcript:CAK67250 MAQQKGKNKINHRQRQGDWICDSCNNMNFAFRDTCNRCHNQKNYKENENKGFKSALFLTE SNGDIPPISDRSNKSSGDKKDNGNNKFSFDKLPSMEPILKQITKETQEQKKYDLFEFEWQ CQKCERINQYYKIHCAECGAQRYRKLTV >CAK67251 pep:novel supercontig:GCA_000165425.1:CT868051:206307:213946:1 gene:GSPATT00036387001 transcript:CAK67251 MKNLIFICLLAMVLAQQVQHTNKCNDCGQLKSQNDCEQEVTVTGACEWVAASGTTAAKCQ KKTTVDPVASFKPYCELVDKPETNCAKTLGCAYVDSKCTHFTGCQAYVKTTTTDCQAISY FCVSDGNSCIEAKECKAYTQQQCESTPSISGILKCKWDTTAGACRDYACSEADVTLNTDA KCSSWLAGCVTKGQGCVNAPRPACATYTGDDAACQSFIGSDGNCELATGTTNCKAKECAN APTSLASDDDCKAYQRGCITTGKGCVLATTKPLCSTYSGDNTTCVGYIGSDGVCEGDAGG SKCRARKCENGAFNTDDLCKQYQSSCKTNGKACVSALSACNTYKGTATTCAVYIGTDGYC KGTSTTTEAACAPKVCDEAPDTTTTDDACAKYQVGCVTTGKGCVTKTNLKSCTTYDGDTT SCQSRVGTEGKCTWKSGTKCVARDCASAASNVNTNPLCANYFTNCVTTGSGCVSQTTCDA TVKQQSCEGTNNCSWQPICTSNTQCSDYKKKSICLANQARIKTQDGVDDSGNPKYIYVTG KCGWLNNACKDLACSDLTGAFYNTDANCAAELSTCISNRVDACITKYDCAKLVGTQSTCL SYPGYCTNVASATDTTPCVSRKCADNTDATDNATCATFLPGCITSGKGCVDYNTPCTSMK GTQENCNKLFAYKSGSSTNFTTNQCYNSASATDSDFCKVKTCKLAENQTDGSCGSFLDGC VYNGNAGCVDPKAGDTTCASYTGVAAFCESAIVGNNSSKYCFGTSTSGACKQRECTDNTT ATKDEDCEAFLTGCIAKSEGGCAAKSARQCSGQNGTVATCPNFSGGLSPSWTKVGCTKYD TCADRVCSDKATPSQASDCTDYKSTCRFLKAGSPCIDAAACTSYSTPDTATTDQQKFDYC TTIKDNSGYVCGWASGTKCASRTCDQFLSTFTTSLTCVTYLQKNVSSAAADTCKLAGTFC YLPKANCDYAFPTGIDTDAKKLTQCQKYVNTSGVFCSFKTADATCTKQDKCDKIVSQTSA QVCNDVLGYGVGICQKVTNTGCIETVAACTSYTLDSTLSDANKKAACDSLKPVNDVAKFG LGTATYTACTWSTGNTCAAYTCATIATATSQKDCDSKLAGCYYYSGKCYASVTACPTSFP AELDTDTKKAIYCKAMFKTGGTYCEIKPDGTACQDGSITDCVLTLSTAWTAASAKFDGAT TTTDAFCVTQSAKDKSKYCIKDDNAKCKAGTCENIPSPTSQADCDIHLIGCVFSASKCRT PKVTALAAATDCADTAKLPFADATGLAASAKTAYCQVFSKDGASVFCTYDEFHATTQTAC VGAGACDTYATLPVGDAARLTYCLSKVNASGKKCGFTAGATKCRDFDCQDIASPTSQVDC DLQANALKCVYYKGTCVNDDAACAAVPAIGTTADDKRTYCANLSVTEPCTYAVGAFCVKQ DTCDKYDVTDATDKAAACGALSDGTNKCTFIQGTKCVTLDTCDKYDGALGPAAGSEEAQC KAVKSKTNYPCIKDTAQKCKAQACTDNDASAADCASNAPDCIYYSSKCIAKTTCGGYTAQ GADDAAKQTWCEGVTNSSGDLCAWDAAGGKCKDRTCGDKSFYTDFDCSSYLKSCKTNGSA CVASTTACSSSNGSTDFCNLLLDGTAKDKCKPLAPATPTAAGACTNKKCYDNVTATSDSE CDSYLSGCVTRGTGCIPNSEPCTSYRGTKLQCELFKKFTGLDANKNPIYEYCSGDATNTA TGKCKVRTCADNITASSDTDCAAYLKGCITKGTGCIDATSSCGGFKGDQATCAKFLGSSG KDYCWNTSTALATAVCAKKKCSDIAGKNNKDCNDGMPPFKTTDDPFCVYDGTGCIDYGKN CSTFNGTEETCPTYLAKDGPCKATTVGTIKGACAKRVCTEAPNTLATDADCQKYHKDCVT TGYGCTATKNCSNLTSQAACKLRAECTWANQCTASITTCATYNNTSYSQCTNSKVNGKFC AWTESNSTCRAQVCEDQPATIASHAQCQSFADNCTTSGAGCLTITTCPSYKTQSICIAAS TTKDGVGRCGWDTATNKCRSRVCGDKNGLTDDECNTFLAGCKTNGSSCVAGASCTEFSNK QFCIKSNFGPCLWVNGQCYDYDRCEDAIKKTHTECQGFSPLCTTNGDTCIPITNCASTTL KASCVVGTDGACGWLPTGKCQKFGQCTDAVAATNDECLSYGPTCITDGTACIAKAACGTY KTQTACNNLGTDGICYWNATANTCKLKECGDEQKGTNDQCKLISVTGGSCTTDGTKCIPL AACSSYVEAGCFTGTDGECTFALPVGATTGTKTCRQKQCEDITGGTSNANCMGVIAGKNC VSNGTSCIAKAACSTYKTITSCNGGGLENNKSTVCAFTPTGTDKVNGTCKTFTACADATK DKLACTTNPTCKWTENSTGTTCANHACDTFATGTDCQPIPSFDGTSSTVCVLQSGKCAAA DPGTMTDSKICYTKSAYTYSWNVATNKCESCISGSVNPNNSNGTNNNTDNGTTTTDSAYI LSVISLGLLGLMA >CAK67252 pep:novel supercontig:GCA_000165425.1:CT868051:213947:214433:1 gene:GSPATT00036388001 transcript:CAK67252 MKKINLIFKQDCFKNKIQIILKFRILLCFSYYIKQYQNYIRLKFKDSNPYLRNYFFYINQ FSVLTINTWVFFQNLRLLAFSKEIQLPFGIFWLNHLQEINCSYINKIVQLCIFRQNQNFL EYLNFNQNFLKVKVLDLILPEFLRSQEIYQII >CAK67253 pep:novel supercontig:GCA_000165425.1:CT868051:214798:214995:-1 gene:GSPATT00036389001 transcript:CAK67253 MNNQQYFLSMSKINNQKKQLYPQNITQIQLMSTTIRDNETYSKCAYENCVRFNHLNFVRP KSKDI >CAK67254 pep:novel supercontig:GCA_000165425.1:CT868051:215925:216218:-1 gene:GSPATT00036390001 transcript:CAK67254 MLFSSLKSMLWGIQKGHYQFVLKLDQLISIQKDEGIAQTMPKHQSFVFILDILVSTIYSQ DSLLSPSNPYLFWSFQFQSSYASLCQPLKRKIKSYLR >CAK67255 pep:novel supercontig:GCA_000165425.1:CT868051:216334:216939:1 gene:GSPATT00036391001 transcript:CAK67255 MIEIQQQPFLDQFKIRVNQKYFKQNRLQYLIFSFNKVVEQLIQELQRAGEWYLYYKNDIF SSQSPALSIPIVILYCIFEMEWLSYSGQYEEKFIDQLKEAYEIELDSQLVNQVNWRVTLD KVFSEDNEQRSEIRNTLENILIDQMNPTSSFKVLKQKKEILKQVLFINTKQMESETQQQK IKSTQILGFSLIQIITVSSRW >CAK67256 pep:novel supercontig:GCA_000165425.1:CT868051:217051:217694:-1 gene:GSPATT00036392001 transcript:CAK67256 MLFSQGESFLTYSVKSTPSIKLEMVERSIQGKKIIISSSYYQCYHQLFSFEFIFNCTLIT LILIPQLMQHFHQIPEIKYLIQKDNLFSSNSTSNYKKHSIFVSAQIKNKKSQNCNKIKIH SIFWWLVKEDKFIQTYNLHFQVNISLFIFNSTTQQLNSFKLNFNDNTIVLPLFESPIHFI TLCNFHGFFQESISKVQGSKFFQSHL >CAK67257 pep:novel supercontig:GCA_000165425.1:CT868051:218379:218732:-1 gene:GSPATT00036393001 transcript:CAK67257 MVNDDMNEPILKMLFIGRTQFKEGETVEQLFQEYPRILAGRKEITIKRSIRISIQGLNQM RISKLQYSEGSSLLINPKIEVIDNKVEKHFSNSFQNRFFLNQNITKEPIRFEQEKPN >CAK78283 pep:novel supercontig:GCA_000165425.1:CT868298:1640:3583:-1 gene:GSPATT00039266001 transcript:CAK78283 MVIVCYYLVHLQQKKTQQIAWTVQIIQGNGIRDHFVHMICILIMKEVLKNIFINPLRIFF LTIQKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXL LKNVFIKNQNYQIVQEHILNQDDQEICTLSENDDFTVAPEICLLSPESTLKCIICSEGYT TSIKNGDCYKNDQSNSKIVIEGDTSLNNGWIQRIQSFMMQFLPNKYSYPQSDADSIIRGI IVKCQQDYTQGGQSKCEKFCDSSCLSCLTNQDGFY >CAK78284 pep:novel supercontig:GCA_000165425.1:CT868298:3651:4877:-1 gene:GSPATT00039267001 transcript:CAK78284 MGKSSQSLNLIYYDCLDKITQSIKKILEFINEDEEVFKFEISIDVLQYENIWYFLQIIEW PALFKFEFVIFQEITMKMHEIKQMQRPFRDTSIVLRFGGGFIVTNSNIPNLQTNTKFSYF PGFIMIQRLSMQTLQLDIDFDSIVQNTFGDYGSCECEMNDEVKLPDVSLDWLDKQIYISK NTNCESFILSGWLKINDIISSTGDFTYKFIMLSANFENQFSNQNLSPFQMSYQISSGVKK LLFSTYSQTFPDVTIDFVDDAFIIKKEFIITNSILIWHNIYVNLQDDLLTVVIKFYEGNA IYEYNDQSNVHQFHCIQSKLQYGNLLQSAGSYVIVDVKNLVFMNCNESFQQQTVTLVVKT VMDLLCTIVYLVHSNHKEYIYKNIRFVSAHIIQSMNILAKFMLIQNYN >CAK74899 pep:novel supercontig:GCA_000165425.1:CT868205:33:713:-1 gene:GSPATT00039060001 transcript:CAK74899 MKYVIDIFIGSSYSMMILSSFKKINQSKFIDNVKFIYKLLNYIRFIFSQISRTKPQKGCR YFFLKIIKSNNYFIYFFQSKKQSQFTINIFNYCMKLFMILIVLVFSTPSSVIYEFNANSQ INDGWVIRDNDCFFYNCGGIFYFGQPLNDDNPIWISRLFSNLQDHSHILVEAKVLRMYTS DPFVIELDYITAQQSLTTSSSTSSVCSGSQ >CAK74900 pep:novel supercontig:GCA_000165425.1:CT868205:1649:2045:-1 gene:GSPATT00039061001 transcript:CAK74900 MTFQIFILQKIQNHIYLLAIITGTPKILYLTNQFRKFESKNNYTKEIKLTMMSKIIQQLK NNQYQFKSKACYNKTDSNNIKQKMLREKKLQNKKQGVGAEKVMQFGQSLIESLWQFLNNN FALI >CAK74901 pep:novel supercontig:GCA_000165425.1:CT868205:3147:7614:-1 gene:GSPATT00039062001 transcript:CAK74901 MQQQYLEPFPTEFISVRNYLSIKQLYKNYLDSLWKNNRTIIYNQQKNQYMMETTLVLQSF QSQQIELLEGIIENEYQNVEAYNLLAFAIKNHLEKSTDAYMRSLNIMQIALEISPNNTFT LFNIASTYYEMQDFTQAIIYYQKLIQVNKVSDYKAYFNLAMCYEKAGENQQALEMYKQSI RINPNFSSAVINYSNLLMLMSKQALARYTLESYLKNNKGDMRALNNLNIILAEKQKDQEV EENFFKINQSGAVISVFNSGVFLQKQGKLQEALQIFRQIVNNPKEDVVRPLLLLSHVNQG VLFEKLNNFNAAIQKYNYILDNFSKEINSEEFLQRIAVLKQKEKERQKLFPPIIASKVQT QLNSPHQQQQKTQAKLVKQSPDIYKKQQPALVPINNNNNKKQQQPVQVQKNTQKLIQRQP RPHSQKQQRQNQNQQQQLPQQSIRKLGVKNILKQTSLQDYQISSQQQFHRFFNNNIQQNP KVILQIQQEDNEDEHIIINQDDQKDQFQIYQNIDNTQIQGTQKKQQTDQQQQQKNGIVTN QDYIQQSQNNADLKPHPIPLEQSQLIDNNPNNQNNAQQEPINMEENKPQSIDDINKPITT EQQQPAQNQTEKVFCKIQTIKQIEDIPQIKVQSPQSSMEGIQDKNQPEASLDQVDQVVEQ EQQQAVGQHQYVEEVRSPNQHVQDQANLLVIQKNNKIVELENNNDQDEQSPQQEQNGSEE QDGISSDGYGLQEPNNEGVYDEILEQPTEVLQVPESQPKTMVYQNSNRLESQPQQELQTQ AKLGHSYTQQFAETQKKIAKVIRYPENFEDWTIEDCLRRIEEVPNDIFPVFRLAILYQDD QKEIAKQYLLKVTEMDPLFEAENVNWALGTFFVSEKEWKKALHHFRICYQYSQDRVKSYL EIARCYQNLGEVEKAEKTYKRAIDANNKDYLPYYKLGQMQIKNKQLKEGIDNLSKAQTLD YQNMDIIIKLGEALMIHDEDPTAIDQAVIVLHKGMIVDPLNQECTDALARAYEKKGDLDN AIKYGKLATEQPNSNQNSHYYLGTLYFKKKDLKSAAKSFITLLRINNKHPEALIEYATIS SIQGNFEKAKKYLKYALKVSPNNPVANMRLGLIYQTKLQELNSAIECFQQVAIVDPTNYK AYYYMGQCYFQKGELDEGIEYMNQSLKHNQSFGLAWKAVGNIMYEMNQPATALRYFQKAI DLDKNDMEAKIRLGNCYYLQDQFEQAIQIYEEISHLDQNEELEQHMANCYYKKNDFEEAV LHYQRALSINSDKIECYYNLGDTYFTMEKFEEALECFEKVVKNDPQHSAAFYNYANTFFV LEDYENAAKYFEKAIELQPQNVDWRNYVAQLYIKKCDLNQAKRHLDESIRLQPNNPDTLA KYANYYYQIGNYQEALQKAKQTLVLDETNDQAKSLIQELTR >CAK60290 pep:novel supercontig:GCA_000165425.1:CT868008:2:569:-1 gene:GSPATT00005038001 transcript:CAK60290 MSKIDWEQKLKCYHALKDKKSITNMLQIKTKNIRLSPSLVSTTRAGTERNSFRHKRLKTP MEDGILQIKTSRSQQEVSPLRDVCPWNTQTPLQKLMKIDKATEKEKKVLQQFICNKWLKE VILKKSIHVAIPKESEEEKRIRLQEFETSEHHRQLRIQQSLTPSMNKMEKVFCKIKIFCK LAS >CAK60291 pep:novel supercontig:GCA_000165425.1:CT868008:827:1843:1 gene:GSPATT00005039001 transcript:CAK60291 METNRLRKSIKVELEHKFIRQKAEFLESVEKQLQTKQEKLKEQIQEDYQNLINANVKIAV LERKNDEKDKIIAEQEIIIRGYSATINNLQNQFQQLPKNKKSAFEEMEDQRMIDQPQNQV EDLKMKNLRLSLKLTKLLTFFTEFKLYESEFARIAVSDECSCEQLYKPKLPNQQDLLNQL KQQEIYFQSLLRQQLSDKHEDLTSEVKDLKKKLMRHDLTTTIDKEKQKKAISFLGEQVED QKKYIAGLLWQIKEKSNTTKCRTFNDILLKKRVLSTEGNEPIFDVNKSGRKVIVDRFKLS TLQCIAQQRLHTLNN >CAK60292 pep:novel supercontig:GCA_000165425.1:CT868008:2003:2425:-1 gene:GSPATT00005040001 transcript:CAK60292 MNQTSFSPKVHNMKFDQYCSNIKSLSRCKTEMGIVHQKPMINLKQILKDHKSFSNHTQIE TTRTCIVATEQQKRFLLQKRGSRVVTSKPRYSEELEKIKQKFIKLAEGWNKKEENYLKQQ SILKQEIARLHVIIQHLTKK >CAK60293 pep:novel supercontig:GCA_000165425.1:CT868008:2441:2971:-1 gene:GSPATT00005041001 transcript:CAK60293 MKKKQVKSKSPTPIKQQTTIDTPKFKEKASQQTQMAQFPKNQIESEYFSFSGIQQYKKQS KSVHELSDQVKKLNKSFTKDKKVSDSKTQKVQIFNNNYQIININQIEKKESIRSLQREFK QILERKAPNKASTPVKQQSSNSPMQIASKISAYPSANNYFQRIKKLGQSTLLRKKF >CAK60294 pep:novel supercontig:GCA_000165425.1:CT868008:2993:3604:1 gene:GSPATT00005042001 transcript:CAK60294 MASKSYAQKQKQYYHKLTFETMMKKSNYESPIHMTFLEGIQDALNEQGINNIRKITKTKS ISEIMETLSRDIQNQRRKPLYIAIGSLIISQTYFIDLKFTCLGIMHLPYGCQILIIYINQ KTFKERELSLDQRMNGLIQINRIINDYHRINMHLQRTNAEIINITMYILIIY >CAK60295 pep:novel supercontig:GCA_000165425.1:CT868008:3624:4685:-1 gene:GSPATT00005043001 transcript:CAK60295 MKQQNQKERYQNRMIRSIHKLKLYLIKFQELKNQKIQQEIKIKKLPGSSVVVGGRAGQPA QALQGGSDVINKSLVNQLQGGTGQPGSLGSKSLGQGDESQSTVQLSQYQNVLLAYLLIAA ENERISEALRNTVQDYEQVLNDLRNAEGEISYLKKSISDLQTQINDLRLQLDEARRKAMN NDELEKLRRQLTEYENKLALLSMELQRQRTVSGSGVQGASQQFISQQNTFGYEDKQINFD QQYRSPRGSQRNVNINTNGEDESWRLKKIIEDQLCLIVLMSAELETLRSQDTSTQRLNQS GVQRSTIQTSQVQVGYGKSTIY >CAK60296 pep:novel supercontig:GCA_000165425.1:CT868008:4930:5514:-1 gene:GSPATT00005045001 transcript:CAK60296 MDNRWRTYQIYWISLREKAEKYDHHLELLNGRDLEDVLNDLDELEKLRKLVKELQAKVSD LQKELDALKKKSKELDDMKKKLGDDPNKEVDKLRKQQKDQEDIKKKLADALKEIEQLKKS LNDKNAECNKLGQQVAQLAQDNQLKDQRIQELERYAQQYQELQIKVNKLEQELDNLQRQL RDKNQQLEDKNQID >CAK60297 pep:novel supercontig:GCA_000165425.1:CT868008:5565:6017:-1 gene:GSPATT00005046001 transcript:CAK60297 MQDDRVRYMYDFYFIKGILCILNIERIFLKTWMLCVLLWQLSWTDWYKKTICLKRKSKEI SNLRVDRLNYEKQIGDLMNRLQQLMAENDMLKRDNDKLKAQLNSTNQRAQQLEGQVKDFQ QEIQLVSNKLEDITGGDGGKSNSMIFYFRF >CAK60298 pep:novel supercontig:GCA_000165425.1:CT868008:6228:8132:1 gene:GSPATT00005047001 transcript:CAK60298 MELLCSICGQELDICVRWPRLIPNTGDTICQLCVQQIVDQTIEADKIIHLQNDLTQVVFP IQNQLQQIKQNQLKLDDFPLNQSLLRILQLQKSKNLRPINLESKMTNQTFEEWPSDETSI DSNFLDELATSVKKPQKLNLKRFRDQDDSFGSLNYSYNSGKLCQTHRRQLEVVCLQCQTM ICTNCALFGSHKGHYIYSEEDIISLLEMKAQELTEMVERIHKESSSISRSKHEQDFQAQV SEMQRIAVDKLKKEFAELRDKIDQKEKIVSVYFFQTQLVSQIMIATSNNINKFSDWWNNT IHIEKECQSWIKLASSAFEQFTEQVKYWELLNLTDSLRANGLQLQQQEEVKKNQQQIRTK KDQLIGQEINVKFNWNALKLEDFCKITEGPELTTNNNNQSQQSPYLLSSFNMQQSIEETD LLNDVSATFANEAEPVNQIKRVYRSATPTNLMNTENCDPTLSPIESNRASICRKKSQVPM KLQLYMDELRKGKTDVAEFNNLEKDMLVVLGNEIKHWKVKSVKIMKSKLLDDQLLQLFKG MLFIDSFNLALSQNDNIQSVNLSQNSLTDKSIDTLFQLYQNGLIGIHLKNIIVSQNKINA RNVKQKIADFKKLGLIITL >CAK60299 pep:novel supercontig:GCA_000165425.1:CT868008:8152:8792:-1 gene:GSPATT00005048001 transcript:CAK60299 MRLYYFFSDQRKGWIEYVNQLKVLPMYLYQDNTRIGTLELELQELLSERVIRREFLKVFS VKDCYPILSWSLNLTLGLVDSGPVNVTRIKLQDHFGIQLPNPDYCTCEPLPAEWMTILNQ KKDVEQSRFERQMTAQTLKRVSTAHAKLQKSKFEGSSVQQEMESFNDSTKELYSQLNLKK EIQLYEVDEDERKQKKRRHFQQYDL >CAK60300 pep:novel supercontig:GCA_000165425.1:CT868008:8942:9913:-1 gene:GSPATT00005049001 transcript:CAK60300 MDLCVIQKFVKSNGPKAFICRTIWRKDKNPYCYIITNKEDYFSPSTNPKTEMTKYATNVN LQNSCTIVNTCRGKYVDETVPYVKNLLTYLYIHLHITFKEFSADFIKDESGIWWFVNVKG FIIDKSPEKIMWKSITHYGEEINEEGQPIVQKPVSTPKAKVADMCQKQKICKYCEQSYPE QHLQYKMTLKMIIQTDKHLYWRGKTFNWIDRSDVTNLEVSNLYHEHKVCKQCYTLYKETE QLIELQLQFNKLLGLPSDYDTINQMLTLKANQVNNETVKTGLEDSKQIFNISATKQLILN NQLIPMIHNTVIKNSEQVQIYEY >CAK60301 pep:novel supercontig:GCA_000165425.1:CT868008:9975:10436:-1 gene:GSPATT00005050001 transcript:CAK60301 MQNRDEMIKQLQNLQDPYVLQRQRQQDVKRKYEQLLAQVNLMYDEDGKERQYSVDFQRFI HFYKYLARRPDSEQSNQSVINRLQLWVPDTIVLNDREMPNYWLYSDSRGYVFRTDTFTSK KMLLANWPITHPPDELVAVVKKQQFRNMELVRQ >CAK60302 pep:novel supercontig:GCA_000165425.1:CT868008:10546:11563:-1 gene:GSPATT00005051001 transcript:CAK60302 MNTLQENKLFVQQIKQKQDEFKKTIQIPFKKEPPLLQQHNFNQLTSPNQRREQTPNQRKG KIENDNSCSPTLFRTPQISLHYEQNKKQHQLSNNRSRNENVSIYKERREPINDSATKDYS RVLDEVMKKYRQLKSELLTKDKEVQKTRFYKEEWLKEKVYLYHRNEQLQERNKILKTKML KIIELVQQDQLKQNDDSEGIIASLQAENKYLRQMLHLYDVTDISSQLEQLEGEQDSEVVY IDNILNTFLGDLKTIQKNRREKKDNQQLGTCDMNIQGQFNSLSLTVLNKESSFVDLSEDK LLMEQS >CAK60303 pep:novel supercontig:GCA_000165425.1:CT868008:12040:13524:1 gene:GSPATT00005052001 transcript:CAK60303 MQRVAPNTVKEAMARVVIPKQTSQPNICPEHNNFFHIPPKFQQQRLTIKQTMPTSKSIEE KRYKQHKNNISENNNNRTNSSSKSRVSAFATMQVEDDSLYGSQNGLKLRKIIGDSHILSS NILQQLYVYTLLYRSKQNLTSNLTSDSQSPGQRKPVKRNQELWNLNTQIQASLIHKKQFS RWEFHKEHLIKQQKNMQLYKQQFVSLSDLNDVQQQIMNNLFELFNSMCIQVLCEQEEKFY EMIDQIRREKDLWQNNYKQIEQERDILLETVKQLNTPKQIANNKNTQSNNVDSMDTIQLK EMQDVMQQKIQEMSEKEAKLIKLVLAIKKSGIDIEKIYNEEVLNDDSVAEQTEKSLVQKH QHYERSVHDADNSVINDSDESSFCFLNRFDNESIVESVRKFEYKNTNILDTKSNVKLKLD LSNIQKKNTSTQVNQKQQNQKQTIQQHQLKQMQKLKLPEHSDNVGFHQEFMQKLNEFSES WRIQALKDEKRTKS >CAK60304 pep:novel supercontig:GCA_000165425.1:CT868008:13550:14559:-1 gene:GSPATT00005053001 transcript:CAK60304 MNYQSLPQLQKFKQSAQTPDEHTKRGDEVTRLKQQVHQLQKQIELVQQNKSFVMKIQNQQ CTLCLRYKTQIEQLIKQEKQIKKYYEQKIEELLQSLNKKGRKQMISQIQQTDLVPTLNKE VQVQLLMEQQPQQNYIENLKQSPLVKQYEDIIIDFHKDYENKAYQVLQYKELYEKQKSQY EQFKSQHLNCYDKIHKLQIEVNFLKDTSNQYKLPDNILNLKKTIDCTIEQNKMNQVVMKT QEGDSTMKLYQKLQQIETDLYISLRCTKCKDMYTNPSTFVPCGHSVCSRCQSNRCEKCDK DATYFRNNSLDLLIKMYQQIREIQQKR >CAK60305 pep:novel supercontig:GCA_000165425.1:CT868008:14961:15639:-1 gene:GSPATT00005054001 transcript:CAK60305 MQAKRLVLDPFCYRQFGTQGSQQILYDREKFVEKVNELYRKEDLKDGYAPFCKHIFIENF TETPNYILKITQENEYLIKTAYKARNERELPVLTRFIPAGSIELQKAKFLDIILYSKEQI TKENKSQGVEDIHGQIDYDFGIISIKPQDENTEIPMLPITSMRNSLGTKEGGSGVEMNRE EYLKSVNFWSKHILVE >CAK60306 pep:novel supercontig:GCA_000165425.1:CT868008:16363:17027:-1 gene:GSPATT00005055001 transcript:CAK60306 MSQKKADFDFLVKLLIIGDSGVGKTCLLMRYCENLFTNNHLTTIGIDFKLKTIEVGGKKV KIQIWDTAGQERFRTITQTYYKGAQGIILVYGVDDRVSFQSIENWMKQINTHAQEGVSKL LVANKSDCADRVVQTQEGQRLADQYGIPFFETSAKNGTNIYEIFNSIAKMVIDKQNKDPL DRPSNVQLSQQPQSEVQKKQGGCC >CAK60307 pep:novel supercontig:GCA_000165425.1:CT868008:17453:17853:1 gene:GSPATT00005056001 transcript:CAK60307 MQKANPIADNQAKNNNKDLIKSVELCNLEIEILKRCKQFIQIKIDELLFRFSNMFAVDPA EKINLQKIMINDFRRNTFQETKLYKYAQKTSEFQSYQNTFIINSKVSIKLMCTQIDSLFA LQFYD >CAK60308 pep:novel supercontig:GCA_000165425.1:CT868008:18294:22283:1 gene:GSPATT00005057001 transcript:CAK60308 MNLALVWILILSISAFEVRINFEKATSETRRKNHFSSSTFESNKLKATEDDSKKYLDDYI LTIPLTLGQTQLKQTIDTTSYISWVYTKQTETNLCTNCPNDAEIFKCEGSCQYSKTADDQ KKIPLTTENTYGGRVVVTGSYATQDSLELMGLKANQVGLGLIDNLNAKEDPKYDFKAKNG VIGFAYGSDYIEYKNKKNAMVGEVKNEPPLEQTHSLLQQFHSQLNNVQASTFAIKLEDNL SLLIIGEQSNYFAESKFTQFTNVGKKAWALKVKKIAYGLEGNFASTGTAIFDSTTRFIWA DNSVIQSFLKEIKNFQIECTQEDGLYKCPCNDEQFEKYKDIYFSFNLQDKIAYPLSKQDY IHREQNQCYLIHQQLNKRNLMSLFHIHSLKKILCCSIQDTNQISISHSYQVNPDEIHSPK IQFFTQQLSEYCCFCCHYSFWQIVCIKLLMIRIICIKLTLVRVDNQDLLLIISNHNNNNN NNNNNKCLNSINNINNPNQPCLELLKHINNSLKELNLLNIKVVSIILAHKELTKSEQTLR DNICQGNIDDENVINKIIQNNSIRFFILSLFKVQLFHYQLSLQDKATYSLNQVNMIYLYL QTKQYSYKKYNIVASYNEIINQLQNQYYIYSLFKDLYKSRIQVIIIIFYIKNRLIDRFYN STLCLASFRNLRSLFWTKRAQLLEIFNSLFILGLILIATCNRQLLKYINVIKQHIQLMII NQLFEKLTESPNFKSISLLIKLFRLASKGDQDEDQPDQAIAKYCQEPEDYSKIIQFSLLQ LPNILRDFYQVDYAETFKREHIESHIHQVIIRGFFIKAMNFLEHTIETQEAQEGTILFVI RALGNLNIVLTAFESLQKKALKLLIQIWGDHLSLQIKLQAFVQVRKVFQSMADHQQQDIL KKFYEKYLESAKHVLWRNYEAINFMINCITELCNLNMNQAYQVVYLSLQRLVKKIRDASV SKNQQNVLSIYNQQTLNVLKLWAQVICTHKRENQLADLMPPFIQITQSVLDFYPCIENYT FQLQLIDILIKVSQVYKVNQNLLSYILRMLNCAELKRRKLKSSVKPYDFLINISIKPKYK QSGTFWADFCQQIVNKIVIYLSIFSTEKWFYEYTLFLEKQLKRISEDFGFVGNKIKVKDL IRLIEQHNKGEKGESNLQSEAQRLVYEQEELIKQKVMSEKQRQQAGEELEESEQEIDDEK EQEYVLDEIRKYKEDKKKDAVAEEDYEGLSEYEGDPENYQEEPDGQDDLDDDSDN >CAK60309 pep:novel supercontig:GCA_000165425.1:CT868008:22345:24435:1 gene:GSPATT00005058001 transcript:CAK60309 MSMHSKNNGSQISLYQSPSRIIKDVQEVSKESAAKKVLERRIHELELKLKQQENVIQRYQ TQLEESNQETEQIRRQFEDARNDVRQMRLLGEKKDQQIGMLLEENDKVVQLLEVQRNNQG IDNAANTIQQLEQEVRDRFAREKKLSEEIQQYKLKIHSFEDQIKEKNHLIEDLRDKLSHQ EKQCSADASLGVLANKRGTEIEILTLQNTELQSQIHNLKSKIQLLLEENSNLQKAIANEK SQENEAKIFSEDRSQEKIKIINDNHQKEISKLMEQHKIEIQVKTDIIDHLKGNLNQYSSK KSQIEELQTVRNSIPKRVAGLSISQSPQGQTNDLQQEYDKLLKKNNQLSEQIFLLQQIKR KDEIINKDKMQQLEKANEKLLETQSINEAKIEELQQKLKQLPTRVREKSIDDLKLRTEQT KLTELDNKVKKLQEKIDQQNLEIKEKNQKINQLQEQVKQAIYEKDNAIQQNKLECAQEVK QVQDQMKMELSNQQKQFNDAQKPYQDQMKTQSIEQQKLKSQAQRYQNEIKTLENRIANLL MENEQIKTQMEKLKVASENLVKDKQGQEMKIQENLREIEVWKEKYVKSVQNCEKQNERVE KEVAKSQGIVIQFKVIQGLKEEINKLNEQLEQIIEQNSTLEEQLSKAQQESRNLRNQLVD GVRRESGSGNKSNGFVKHKA >CAK60310 pep:novel supercontig:GCA_000165425.1:CT868008:24479:26343:1 gene:GSPATT00005059001 transcript:CAK60310 MNQDTTNVILVVITNKANKTLGHDKYYKVFSQFGTIQRMLIFERSLTWKTFVEFEFPESA NKARQIMNDKLFCDDPQLPMNVYASKLTYITFQENNTGGVDYTQLRKSQQPASQTPQQQM PIQLLQSQMQFQNQMSQQIQQINSVMGQLQLVSAEGFSIATVSSIDLQSQIEKQQQLLNQ IFEYQTNFQHLTDQYQNFLQGVNKSEDSQSISVQQKQSNRKKLTLPAEQQNKNDQIEFLQ SYQDNNNIETLDNCQEDDLKFQDLCLKESDEEIVGSEEIDDEDDEDQHNEFLKYFESNSE NKNQFSNFQSAFEKPQQQTQIVQQISQPISQPPQRQDQDQYRNKMIKMPVSKSMTLQQVE RQQFEKMQSSADNLDDNSKSLQSKRSKVIYARWFDKKVVTSQMLYNLFSIYGNIDKMIYL KERSSALIQYMTYDYAAIAKESLNDIMFYGQSIKIFFSNYDEISLKTQPSKPGEYTQDLK AQEEYFQGGEETHRIKPDSTYTLAPPCDTIQVSNLTKNSCQLNILQQYLQEYGIIKQSKI ITNAMKYMAILKFASTEIALTVLVKNNGLELDGKPIQINFSKQKLI >CAK60311 pep:novel supercontig:GCA_000165425.1:CT868008:26436:29339:-1 gene:GSPATT00005060001 transcript:CAK60311 MQERFMRAIQELDTLLRETETGKIKLSLTLKDLAQLHHLQSRLQECKFLNSIYSEESRIK QYLSRAVTVNLQIQEELCSIIETKMESITSNFNHLDKLILYILNQTMNKTTMKDVLRQIS NIILGSNNFTLLRFQEKSVESVSTDEFIESQLTEQQKLQLYQQFRGDQLEIITFQPTGQL QQIFKCSSLQVIRVPQKLNAQTHSSLIIYEAQNQAKLPQSKPHQIKLICDQLITIGYDYL NENYHKDDLINSVRAIGQFLIKNAPILFQECIRNEIERKLNTKCEIIQSTKHSKDTSFAI LENQTKCFIPVMSNHVVQFYLHMENVSLDIITFADFMSQLWQHFKHSLRELKDKEFLYQI IEVSTPTKLVIASDLHLNIIYQSGSVPSSWNFLDLSNQLIDCYQNLTEIKMEEIYKTMLL SSLEEVQKSKKGAIDAGQIIYHDKYVDIAIYVKKDEKNEINQFFIVFDQPKKRFESQRQS KSEIKVSETLASLKQEKIEKACLVLQETLNLNLQMDEIIDMLKEHALMTIIEQKEQITED IRQTYVLEYYRNSEQVQTYRRTISEPDTPFATKTLIQEQQLTNAKKMELFQLRPDDLENL GNWCFDITVQKDQIKHYTWALFHLLNYFDKYQIYKETFFQFLVAIEERYNSRRNPFHNFE HGFTVAHACYYMIKNKLLDEYLDQTEQFAAILSSLCHDIDHTGRTNGFEVARMSKLAVRY NDESVLENHHAAMTFKIMQREKYNILSNLSQEQFQKVRRFMVSNILATDMKKHFDLVSSF EIKYKNGEMNILNMETKRVLSGLIVHTCDLTQPTKRFEITKKWSIRIQNEFDNQVEEERL LGLPVTQHLVCQNLPKQELSFIRNIIQPLYVLTSSILNNGLSVALKCLEENEKEWTKLLN >CAK60312 pep:novel supercontig:GCA_000165425.1:CT868008:29344:30497:-1 gene:GSPATT00005061001 transcript:CAK60312 MRPKPKNNKEQQNAEEQQPQHVIKEEKPISDKYQVKYNSKTQLRLDEKESFVLGVPGTKI YSVKWDAEDKYIACACENGTVRIFNVRKRQLSYLINSLMPNIPFSYVKWRPQAQQFKTRN IFVTGNTKGEVQHWHMTSGKCLGTMKEDNSDIYCIDYNQDATKLAVCGLNPIIRIHDEEK RVVDVRLGVEQTQPPGHNNRVYCVKFHPQNPNMLISGGWDYRVLIWDIRQKKPEANQIYG PLICGDGIDMRESFDGQQLLTASWSQEKQLQTWDLRTCKLICNFDWNSQIKVSNQPCQLY SGQFSRQFDNQLTLAGGSGENEVRIFDSQDFDNAQICIHDLCREVNTVDWAHKDSRFAFS GGDGYLRIFEIQQIN >CAK60313 pep:novel supercontig:GCA_000165425.1:CT868008:30565:31367:1 gene:GSPATT00005062001 transcript:CAK60313 MNQQQNQQEGVSFPPSMSQQPPKQFGSQFGILPQPANQPVVQPPINNFGIIPQFPPPNTQ ASNQQTKPPQLAFNLQNKQQQIPPIIPSQPQSNFIQQNNPSNKPMQFQNPQPNFGQIPQG GQNPPNFSKIPFPKADPNTNNNNKFNPQNPTFAPNQNNPQFPMPNKFPQPMNNNIVASQP KYDPMIVKDEYDKMKLVENFTKYIGEGNFNWAIECLKQIISNDIRVKIKDGQKNSN >CAK60314 pep:novel supercontig:GCA_000165425.1:CT868008:31573:32919:-1 gene:GSPATT00005063001 transcript:CAK60314 MDQQTEETLNLGIEVQVCDPIVKKDGLKNYVVYTLKGQDKEGQFCVVRRFNEFDCYRITL QIRWPGCYVPPLPIKKPVGNMDQKFIDERMHYLNLFMGKISTINHLWYSEETKVFIKAGG DIEKQLVALQKPSAGDIIYKYETIFNDFSGKEINDQLLSKIGNFSLFLKRIQPQLETFQQ QAKQLVQSRLQCQDNMNLLLDYLMPEYEKNCLTEYVVNPENKLVFVQHNTDLYQQYRAAN EKSALDNFALSIKIETRDIDAVLDAILCKDKYEQIKQNYMTKLCSLNNEKKDIENGKTTL KSLFNSNKEEFIQKTQQQIDNIQKEIEQLTILCDMITIILGYIILPRYKQEKEKNYYQLL KQMAQYQVQKAQAERNYWLDLDKNQMFTEV >CAK60315 pep:novel supercontig:GCA_000165425.1:CT868008:33711:34178:1 gene:GSPATT00005064001 transcript:CAK60315 MLQTLFIVVLLFLLLTFAGLYLRKFFRNKPVTMQNQGQGEINSDYPISFQNQVVQSDSKE KSNQKQNIKMKVSKTAATISKNKKQKPRKAKQQEMEAAFQFDADSENENDSIRSSSHNEK QQSVNQREQEGFNFQQISHNLQELVTPTEGMCQEI >CAK60316 pep:novel supercontig:GCA_000165425.1:CT868008:34920:35562:1 gene:GSPATT00005065001 transcript:CAK60316 MNQQISCDEFDSNHSTKSCESNSDEQLDEVMPTQKNNKKVSYKVKVKTEICKYWAIEGYC PYGQQCAFAHGKDEVRQKVHVPSNYKTKTCKNYTQDGYCCYGERCQFKHPEKKTNKLPTI PYQILLANINLLFASKSKLQKRSKGLPKLIKDVNTSK >CAK60317 pep:novel supercontig:GCA_000165425.1:CT868008:36119:36665:-1 gene:GSPATT00005066001 transcript:CAK60317 MKFITQDGQAFEITENTLKYCSKVSNKNTETLNLTSINSSILKKVVQYCEMHKGDDIIPK IQKPLLSNNLYEVLSFKDAEYITNLELEELLHIIQAAEFLGINTLVDLSCAQFAMKIRGK SSEYIKSCLSPKMHKTDEEFQMQRDNENLVKIQPMQSSD >CAK60318 pep:novel supercontig:GCA_000165425.1:CT868008:37337:38027:-1 gene:GSPATT00005067001 transcript:CAK60318 MDSLFALFDQFIRINLIYYPQNQQAYISESWEQFLSLLQTQPLDYGKIYTLINRMTYENL TPTKNERQSSSQRQVARNITAASSPRIVSSSVSKNSTNILQKISQMDNIASPKIAVPNLT SQQSLINKTSQQQSAKQQQLTEEFNKHLLLSKIKELQFNYSVSTDKLYAIAKILQSKEGY SATTKSPIKYPLTQHLNKSRGNNHSAEHYKIVA >CAK60319 pep:novel supercontig:GCA_000165425.1:CT868008:38777:39159:-1 gene:GSPATT00005068001 transcript:CAK60319 MSTIQPKYATYQIDGTGIRSFISKLGRDMYISFNNGGTLKTIPTYSQQKYHSVTPQRRNS RSISNNTKMIHYHNNGTGRDLYISQDSELSNTSFLRGLRNYERTYQTPKKFRIRINKYI >CAK60320 pep:novel supercontig:GCA_000165425.1:CT868008:39186:40399:1 gene:GSPATT00005069001 transcript:CAK60320 MNQEKEWNLDSWKKYPALQQPLYQDLHFYQETLQKLRKLPGILNFEEINKFKNEMIKVSQ GDKFVLQLGDCAEVYNECTEEHWKEKFSFYDSMGQILNAIVIGRTCGQFAKPRTQLHEKD GTLNYRGDLINSLSRDERDPDPLRLLLGAHYSKKGIDTLRKYEGKQLWVSHECLHIGYES AFARQHEEDHYYLSNTHFPWIGDRTRLCEHAHSNFAKGIYNPIGIKIGSSINKNEFVNLI KLLNPKNEDGRVFAIIRLGKNKLDKLKDIINWKHEEKLNVSFFLDPMHGNSLEQNGYKIR RIEDIMFEIQQFFNILEQSNEKPAGLHLECTPYDVSECVENDEEIDPKKYTTACDARLNF RQTRKIVIFVNTLLKKLKKKQQ >CAK60321 pep:novel supercontig:GCA_000165425.1:CT868008:40510:42098:-1 gene:GSPATT00005070001 transcript:CAK60321 MASQSEEKDLIGSILSGNDEFVIVDQDDKQEIVLKKARKNLSLKSKEFDPQIHPKHQEFQ TSNASILSTGYQSQLKHQQKFSLNLSSALQQTADQDNNTSSIDHFQSFDSFGQLQRKQST KKHHTMQPIEYQNSSLVFTSDQCIESKLSLSQTDLEQICGNQLINRKLQNILDGNDINAK RVIFNQVEKICLKASKDMFGNYTVQKIFEVGTQDQKQRMYNLLINQIFDLSKNQYACRVV QKMMEFIKDHTQLVDIFLQIMYPQINQLLNDSNGNYVILSCFELFNKNQLIFMIPYIEDS LQFMSKQTYGCRVIQKVLELYPIEQTQRILDILMTLASQLCYQEFGNYIIQYLLKSGPPK EKQIICSIIKDNFEQLSINKFGSNTVEKYIDIMGPNQIITILCSISNDQFVFYNLSINPF GNYVMKKVLISGDPSVQYFKSLLKQYPDLIQRIKNSDFGQRVGLIMDAL >CAK60322 pep:novel supercontig:GCA_000165425.1:CT868008:42504:45240:1 gene:GSPATT00005071001 transcript:CAK60322 MNKGPRAMKISVIISIISSELKLEQEAIEIIQSFTDKCKNFAVVVVVGKYRTGKSYLINQ VLLQQNQGFNVGSTVNACTKGLWMWSDIIYFESGRSKEPIPAILIDTEGIGSLEEEQNHD VKIFLLAMLMSSYFIYNSVGTIDDMALQNLGLIVNLTKMLQKTDESTQKDLFETFPSFLW ILRDFTLRLEDEFGNKITPKDYLENALKPLKGFSETIENKNKIRRHITQFFQERDCMTLV RPTQDEKDLQHLSSLKFTELRTEFQEQLTALRKKFSYKISLKQYKGKPTTPFSFVEMCKH FVNTINEGNMPVIETQWQMICKQELNRTLIGGLKIYKDSIDELLQQNGVSQEQLEKSHHI VLQPIYLQFVRKVKYYLENNCLDKESEEFSTAKKMIKQQIQELYVDAQQRLNKKHEEQLE EIKIQFLNKLNEQQQQENIWELVVELNQKQTMIWNSGANQNLQIDYIQQIQQNVMNKIQY EFKKLSLQLKQQCDNGNQIQEDIKEQKQRYKKQEADLYEKITQMEKDKNQLRVELESEKN KNLRQQQQILQSQTTVDNKVSEYMNKLKQIERSYNDQLNEKDVLIQNSELKQKQYQNELD KVKALQKQQDNLNQQEIANLRREIERLKDEISDKSETITKLKQSLAETENVSQYSSLQPS RRIKNQDEHNTSTFNKDLYEYQISTLQAQLDEQKKLTQNLFDAFNSQNNLQRSIYDNMSQ SQNMMNNNKLYQFLNVIHQLIGQFVGKTDEREGKDFKSLIQKLFNLFEDNDNSFINNNLT DRPKLKNSESQRIEKTMSSESPKNSNLSNCQNINPFRSPDQPKYQNTKMTIMQMFMQHKN KEKDQEKLRKSAQLENVLKNRNNMHVQRKMC >CAK60323 pep:novel supercontig:GCA_000165425.1:CT868008:45535:46885:1 gene:GSPATT00005072001 transcript:CAK60323 MQFLTRNVLKTFTNVPFHRFSSKKDLYELLGVPRNASQNDIKKAYYGLAKKYHPDANPSK DAKEKFAEINNAYETLSDDNKRKVYDQVGMTGDEQDQAGAQDPFAAYSSFFRQGARGGRA QDFQFDESIFGDFASFFNMGGESERQIKGADIFIQLEISFMDSVNGAQQTIQFEKIGVCS TCNGTKCKPGTAPGRCTNCGGRGSINYRQGAMTIQMACTKCRGTGVSIKNPCTTCKGAGI QKQATSEAVNIPKGIADGQNLRVTGKGNIGENGGKAGDLILKVQVKPDSYYKRDGYDLIT NAYISVAQAVLGDQVKIKTLNGEQQINIKPGSQDGEKVRLSGLGITKLAPNSNQRGDQVV NLKIQIPTKLTDQQKQLFEELAKLEKVETQGQSSAHEGVFEKVKNIFHK >CAK60324 pep:novel supercontig:GCA_000165425.1:CT868008:46930:48079:1 gene:GSPATT00005073001 transcript:CAK60324 MLNINTQGEKLKQSANYIKVSFNLHPQALQKEVSELKEKINNLEFELSTLKFLEQYIQHE IPKQDEINEDREREKQEVQSKLNKLLKEIQDLKDSNSDLQSQLKAKDQQKLEIIEKNKSE LSKKQQDFEKCQAELEAKNKLFDEMKKKEEENYTIIQEGSATNSPINERKMHDIQKYQIE NQRLKNEFQQLKKEYDNLTNIFNDLVKERHKQDEDNQQSVRDWKTKYDQIAKLRDSDKES FQSQLEEKTKVIANLQQKLYQIQKETSTKETQTRFLKRQKELSLSFQCDDEQLDLLDQTL ETISNSSIQQIITMCQTQGQQNTLLRELKRIIEETINIVHQKLATSLPSIIKTCCEVKDS SSIQLLQ >CAK60325 pep:novel supercontig:GCA_000165425.1:CT868008:48241:55164:-1 gene:GSPATT00005074001 transcript:CAK60325 MSKLTYHDTKKFVQLVQDVFPSINSQDIIYEKLTNAIKEVLQSMKLSEIDNQIAKILQFY EATKQRMGVVLVGPSGCGKTTIWKVLKKAHEKLGQQVKTHVMNPKSMPRSQLLGNMNNDT REFSEGVLTASARLVVKESVDVLNWIICDGDIDPEWIESLNSVLDDNHLLTLPTGERISF QNNVNFIFETSDLQYASPATVSRMGMIFLNNEDISMQSLVTRWINKLECEEEKKSMLLNQ IESTLYNLLEEIFSYEEQQIVPTTRVGLIMNILSQLQRIPTNKQQFNYFLLQGLTSNFQP EIRLKFQTLINSNLELNENGDKYQYINQNIDESQFSDVNDPPVIKTIGHQKDLQMLQSWI LNNDPFIIVGEEGCGKNLLIQSAFKELKKTIKIQIATINCNAQTSASQIIQKLNQICAKG TSALGRVYKPKDCSRLILYLKDINLPKPDKYQTIQLIAFLQQLITHRGFYDENLEFVYLD DKIQIVSSMNPPSTIGRHQLSTRFTANVRIYYIEQPSNDELQQIYQEYLKILIFKDNNQS KKGAQLLIECYTQIKSKFTVDEQRHYLFTPRTITQIIFALKRYNDIQSVFPEALLNEFNK IFRDKLISQDQQFKFDSMILPIFKKYYKDIQSQQYFATVQNLQTLSKIEKKDFIQLVSQA VQVYSRENRELNVVMIEEILSLLTSLNRALSSQSQTTLLLAGRNGIGRKMCLQIMSTMLN LEVLQPYTCRDYGIREFKRDLKSYMETAQTKNCLLILEDHVLLQQGVLETVNSLISSGEI PGLFGYEEIDRLIQNPEEVKREFYGKTLYEAFHEKVKRNMKIALVMDNSNHEFQTNCAQN PALFTNTTIIWQTQLSKESLLQFMKKQLESSNNNNTVNEQLISYAVEIHRNSKADPRSFQ SLTQTYSLIMDTKMQSKGSQADHLQKGLEKLQEANNLVNKLTQEAQEKKVLLSKKQLEAD DALQKISKAMQDAAERRQETEQLQRYLQEEEGKIKVSKDKVEDELRDVNPLVQEAQNAVK GISKSHLDELKSLAQPPPAIYDVLGAVMKVFKQTEINWKAIKKFLGNKQVIDQIIDFDPH MITADIRRDVEEEIAKHSNSFEKQNIYRASLAAGPLADWVKAILKYATVLEKIAPLEKEL QMISKKLDSSRNRLKQCQDALNQLDQKVQELKNNFASKTSEAELLKRDLEKAEQTVSLAS NLLDKLSGEKVRWQQQHDLIAQELKQFPLDSLLSASYITYLSSQDENVRYKTLQEWIHLT KLSQYDYLKFMSNESQILKWKTLGLPGDQLSIENSVMVFSSSKVSLLIDPNTQATEWLKK TLSQAEILNQTDPKFNNQLELAVRFGKTIVIQEIDQIEGLLIPLLRKDLLHQGPRWVVMI GEKSVDFNESFVMYLTTRNSSIHLPPHTVSLVQVINYTVTRSGLEGKLLSIIINIEQPDL EQKKQQLLENEEKLKMQLADLEKTLLDELANSQGNILENRVLIDSLNQTKSKSQVIAQSL QESSKLQEDLDTQRDVYRPLSQKGAQIFILIQSLQNLNNMYKYSLAYFIQIFQKTLEIKE NFDSKQKKLEFAGQSLLRNIFNQIAGSLFKQDRLIFALHLVKGCKPELIEEEEWQFMIGN QIPNDSAHLPKWASQDRKEIFGQLQNLKLNINFNSSEWEQWNNNQECEKNFPQSTKLKPF QKVLIVQTFRPERVQSALNEFVCLNLGIPSVSGQTFNFQIVAQEELTAQIPCLFVVSAGS DPSKELEEFAEQQIGKQNFQEMSMGGNQNELALTLIKEAAQKGQWVCLKNLHLVISFLPL LEKTIKQLKPHPNFKLWLTTEAHLKFPSILLETCYKVSYEAPPGLKKNLQRIITSWPTHN KQSVYQTQLLFILTWFHALVQERRTYIPQGWSKFYEFSYADYKAGVQIIENLLQESQTIS WQTLYGLYENAIYGGRVDNEQDIKVLRAYLETYFNQNKLQNGTLSTGQQIPQTNQVKDLV NLINKLPENDVPEFFGLPNNIDKAVQRYTISRVVSGLKSMNNIVGSEIKFDKELWTNLLS PLINMWDQLKIRDTISVTNQQLGSLDPIESFIYLEAQQTWNLYQIINHSFEKLKNVLYNN GLLTSDIIDVGLLFIKDIVPQKWSNFWEGPDDINLWLKIFIKKLNAIKLWIDKIQRKQQL EEVDLSELFHPEIYMNALRQKTARKLNIPLNELKLQADFDNLKHPLVVKLKNLLLQGCGF SNGQLVDDMKITSEFIELPSLNISYVEKSSPEKNGIGDFPIYLNASREKLLCRIKLPQSG QMNDKIIAGVALFLSQND >CAK60326 pep:novel supercontig:GCA_000165425.1:CT868008:55211:55987:-1 gene:GSPATT00005075001 transcript:CAK60326 MNIKKMHKQQCAKQDLIILMNIKGMDKSQCIHPLTDKCYLTLTQGMSMGYGGNPYGPAGT GKTESVKALGQLFGRQVLVFNCDEGIDFKSMGRIFMGLVKCGAWGCFDEFNRLLEEQLSA ISQQIQIIQNAIKENSQSMTLMGQTCMVNKDSGIFVTLNPAGKNYGGRSKLPDNLKQLFR PVAMSIPDNELIAEVLLYSEGFKNAKILAEKIITIFTLSRQLLSPQQHYDWGIESTQNNI DSGWINYIRRKKIGSRNQ >CAK60327 pep:novel supercontig:GCA_000165425.1:CT868008:56222:60834:-1 gene:GSPATT00005076001 transcript:CAK60327 MDPAKQYLVNCVQALLNLPQTPQGLASGQTLDKFMIGQEKKCLILQYMQGQGLKLFNPDE LNPQTKLIAISKIDTDVITEDNYFTNLTVQLLSPNVVTELSSHLSLIYQPLMGAGVDEKI KKKLSGFKQVLQGLQDNDIDNVQIEQRNVSRPIDEIEQWLRISQSATSSESQQRAANQIC QVYQKVTQLWKDVKQLEINKFSDLLDQTLVCLDELYTQQLYNEQKLQGMLSSMYNQIIIK LQHLIPQGQQIFSNTQQQKLLLLECQKMVRMFGENLRKYFEYDWKINPNLQEFEQIEKRL SDLIELRSIYEELKRSDIDQDFFKELFEVNPFMSIGQDSLFNVAYKKVLNNLESAEQDIL NLLRQQVFKQQVMKENPLQTIREMQRWTGLLSRNSIQKHFLTERDSLITSITNMISKIEQ EFNTRSGAAFLDDGEEEKLPYQIGFSKNIHQIVWCKSLIGKVKRIIQLIQQLFSDIKKSA QFIVMCQNLIKQVQQFEQELFDQWRKEIDSQLKKNEISLQISGKLMEIDMQDGLVRVNYS DKLVQLIKEVRQLCELGYRKSISNEIHTIVETGKRFYKEALTLKSIASFYNQMSDQIIEC QKPMLVNQAVRFEDTVKNSKKKNSITWENTQELENYVQKVQESANDIMQENKRLRKNHQQ IIDNICLLFQIELKQKNSMEGKGGSNKETLTVNSQLDGELILISNYTKRFQEMDHAINEI QADLIIKNGQVAYKPTIEELREKYYNELKKYIQYPSQFIGVGGNNDIYMQMPERNAVFVI QVYEKSEQIFEKLIGLSSQYMCWSVVAQLDAEQLQLQLGNLEQWENFMKEVRQRRKELEK INDYQKIECFNINISLFKQQVDELLTKLVDNMLNELKNQIKNNIVEIEQFLSETQQKLSQ KPQNMNEMNEAQKKYVDIKAQKFEMTQKMQDCQQKQKLINSLSSQSQAQNPILLQLQQIN KNWENFELLIGDFDSILAEQTKQLKKDMQARAKEVDSDIEKFYSRYSAVKPKQLSELDRS SANDLAENMQQWRQQWKQLDEKIQTLIKDHQHFEMEVPTFTQYDKVKVEMTDSEVVWSYY DKFQQNINNLGKEDWLSFRTKLYMFQELLLQEQEQIKAELAKGTFTKKEAIINYIFSQIE LYLKINPLLKLIVGDAFEPEHWTSLFMILKLKEMKKEKLLFKDLLNCDKLVLDKQNDIRE LQARAQGEITLREAIFELKTWCDTSEFDLTDYTNNNRVTPLIKEWKELMTKVSDNQSLLA SLKESKFINRFKDQVDQFELKLGGIDEYLSKLQIIQRKWVYLEPIFVRGALPQEQARFRR LDEDFRNIMLGIQRDQKVVSLCSIPGIKDTLDTVLDQLERCQKALNDFLEEKRGKFPRFY FLGDDDLLEILGQSQNPQVIQMHLKKLFAGINSVEFSKDNTQIYSMLSSQKEQVQFNNSI QVNEIVESWLSTLSQLLKQCLKEQKHGFQQIPILDSLLIRRNQVH >CAK60328 pep:novel supercontig:GCA_000165425.1:CT868008:60933:62365:-1 gene:GSPATT00005077001 transcript:CAK60328 MKQLKINNQFVTQKKISSGSFGIVMQGIDQKNGNQVAIKIERPENEHLRSLEREVEILSR LSNVRGVPQMLYYGWEDSYNVIVMEMLQKDLSSILKQRKKLSLKSVFQLSIELVLILEEI HKQGVLHRDLKPENMMLDEKNKVYLIDFGISKIYIRKNGILMYCLKLCQKSPFKDRVPFV GTSRYASIAAHKGYELGRKDDLESLFYVMLYCLKGTLPWQNLKHVPDDQRTQKIGEIKET IEVKELFKDLPNEFIKIYEYLRKLTYASEPDYKTIVKLIQQAAKHSNIFLDYKYEWDAQL NNYDKNMNRYGTLQTDELPLKQFEKFSSNLLNCNNLAAQTPSKFQQLQPPINKQESNQNS NSNCGSGNQNLSIYNSMGIIYQRSIDEISEELQEPIPDEVKSQNKICLKTLPDTLKKPGT FFKTTQFDEDEQLKEDHFLSEKYHSLSAQVTTILQNHKK >CAK60329 pep:novel supercontig:GCA_000165425.1:CT868008:62385:62867:1 gene:GSPATT00005078001 transcript:CAK60329 MQENTQTFEAIQQLLNTNNIQFKLLIHEPTKTSEESAKVRGVSLDSGAKAMLLQNKKTQL FILCVMSASKKLSWKLIRKLLDCKQLDLANEQQVYEVTKCVTGAVPPFGSIFKVQTYLDP SLITQGDQINFNCGLRTHSVAMSIQDYLKIENPIQLQFCE >CAK60330 pep:novel supercontig:GCA_000165425.1:CT868008:62891:63222:1 gene:GSPATT00005079001 transcript:CAK60330 MSKITKEEAYKLKKLFFKSQNILDKYQPKKYTNISSRLPSLQTCQSPKTVLPMKQCVTQS IKELLNANVYQYIEGILYTEDCVMPLKKQPQKVKKIVRFVD >CAK60331 pep:novel supercontig:GCA_000165425.1:CT868008:63546:64288:-1 gene:GSPATT00005080001 transcript:CAK60331 MSLDSSQKCDEETGLKSMAPEPLELDESYQTPVDIRWGNCYPLFLKNNIPKIVIGPHWPL FICAYSLFVAASIFLIGWHFTSTSSEFIKWATFLVCVNQCWSYAWVALINPGVINYDKNN QIETRTRCAPQLDMQNDSKTWYCPKCKLLQLHGTVHCSDCDVCIQEMDHHCPWTGKCIGK GNIKQFYYFLASTLIFMIFNIIASLTQLDGALNGTRRKIKQGN >CAK60332 pep:novel supercontig:GCA_000165425.1:CT868008:64376:66023:-1 gene:GSPATT00005081001 transcript:CAK60332 MKQDQNQQQPVAKKKSVPFSKKLKRAKRHFIKFLNSPSTIQGFYFCIQTMFNYIGIASLL LLIYYFLYQSFIYFIIVSSILSLFYVTYQGVNIIHYKTIGDIQNFDDTMQESQKDAQNET KGCKYYCKMLGITIASLLLLDLPILNFQITKQVKLIEDQLQLEDERYTKKKKQANKVQDK QPQIDVKQLTADYAIKIASLRTQKKQRQWLIPYKFGFSAIFGYIPAIFVMAVWLIGNQQQ IDVFGKLQNIILTTSYGCMVFASVICLYEIQFFDKSASTLKDAFKWFLCRYVELLCKSLL IGYYIKFSEFETIPLLIIGVGYLIYSISCTFLFTLFDYQKSEEVNSSQQQSVERQDDEKQ DGKIQENQEQPIEKGVLYVIKSYIKSLFLNIYARTLFLPYKESALGMKTLSFYQVTFGID KKMIREEVASDGWKNWMITYDQSKKFGLPRRSYTHIVQSLRFFELFHLALFSICQNIIQG QYLEIVEPKKVSLYYSGKIVTVASIVILLFYYLRAGYRTIHEKQLDITGIDE >CAK60333 pep:novel supercontig:GCA_000165425.1:CT868008:66105:67338:1 gene:GSPATT00005082001 transcript:CAK60333 MQLDQETLNLLFKELFNLDSLPNKDSEELTQQYLYYDNTERKFLKCFHNKQLNNENEAKY TLSSLNRLLHRFIARLAEAYQVLLAKKSIFRSKASTFQPTIYNSLSNMYDGKQGVFISII QSIFTECKLQLEIAHRKLTNDDIVKRIHSQDYGSQNIQSLQQSQQGSITQLLLQRNSPQP EWQININNNKNLSQYYKKTYFKLYDLLLIDKYITNHISRMQEDQILYFQSKQQNMEKLYQ QLMQQNTHIKKSLNWAVDLDTTEYEKHLKLLQIKQDLTFQNNQSPPVNIIKTDQFDCNKT CSSFFSQNQKYKVRSFSQNSKVKDLYSFSDKINLFRPNYQDSIKFRISQSHLDNYKQQQC SLAQQQILRQSPVINILTRKRIFEQQTQNSKKKNKACRFKN >CAK60334 pep:novel supercontig:GCA_000165425.1:CT868008:67383:67917:-1 gene:GSPATT00005083001 transcript:CAK60334 MQNNINNNNNNLNFEFSKDTNNLNKKLQGFYRQKDQKFDHFFDELTTEYKLFKKLTHECQ MGCFGTADFNRKQSDACARQCLDPYLYIRKSIGDKIGQCDHQFQQQESKCMSSTDMDQCY SNLVSQLGVCLQQHTQFAKDQYKSVLQHFLKK >CAK60335 pep:novel supercontig:GCA_000165425.1:CT868008:67943:68554:-1 gene:GSPATT00005084001 transcript:CAK60335 MNANLLQWEQQIEKSRLSNQQLDFCQYFTLSYIYENEPESFLGRCRLIQNGNIYIYLDRR SKNYKQLSQNVSLLWHFPLSKEIYQIKAQQNSLIENIENHWSLIDKEEKKNFHTKFPDQV LDQIAEKQLTDIEKFNMQDKNNLSENFKVIKISPFDIIQTKQTMPQVIADSRTKFESIFR PYKEEQKIHYYFKENQWFSNQII >CAK60336 pep:novel supercontig:GCA_000165425.1:CT868008:68781:69387:-1 gene:GSPATT00005085001 transcript:CAK60336 MKDINAIACYFEQDQFLQNLFDRDRGLQKKVGDSQLQCMQMLNEQIVDVVIMSEFNLLIF LEQHKMYLSKLRYQVKLNTNIAHHILINKNDPPWFRKELNDAIDVIQKQKLDLMIKEKHL PTKIYNSKNKSIMPYQPTLQLICAILSILFIIVIQITLVLQEFNVFKNKIKIKYFSEKVG PKIKQN >CAK60337 pep:novel supercontig:GCA_000165425.1:CT868008:71170:72211:1 gene:GSPATT00005086001 transcript:CAK60337 MCLPLGCLKFSVQFQAWVILIVGVSSLIGTIVINVDQRQYLDYLDEFTNSKPSDGILIAL YIFSSILILFGICGIVGGWKRIGTLLFCFNIGNFILAIAFLGLAILGFSLGQSAHWIDIF SDEQCLQSEYFTGSATLNNVYAEAEEVFCKTIYKNAPGCQCYYTGSMTESTSESVQFYSS SNSDLPIRIQQCQQYNDYKSDYDEEAEYLKSIEEQFSCSGWCFPSSIYIFSDVNAGTPKD HCYGAITGYAKDICVYIGAVAVSVCFIMILCITCVLCLCFHPTKKQEGNFYSRMAHYD >CAK60338 pep:novel supercontig:GCA_000165425.1:CT868008:72781:73020:-1 gene:GSPATT00005087001 transcript:CAK60338 MAEKYKDGPKKKGALVKKTQERTQFDSATHEMQKQAQQHPPK >CAK60339 pep:novel supercontig:GCA_000165425.1:CT868008:73618:77066:1 gene:GSPATT00005088001 transcript:CAK60339 MSNQKESEIQKDIKQQLYQVPQEEELNADYEELMKAQNLNKQWQQMKQEAEYQEQVIQKR KLQKIEEEQKRLATKLEELINQPSFDEYEEKHKLIALKRRIEQDYKDEVDKLKEQFLLAK EFDVEKIVRKKIEMEKLIEAQKKKNEEYEKIQLELAAIREVAEKKDINSKKMLENALNRR GIPQQLISERDKVLLSNAILDDREEEQRQRDRIYEEVQQKVQQEKEKKKLETAEKLRDIN SKKFELNEQQERILKEKAFHKELQKKGLDFLTQIELGNAPPLDILKKNIIMDEEKLDEYR LNYNLNNIAKKNKRFLQDGVKRIEQIREEQYNIEVQDRPYNGEIKQYLNTRNAESLFICR KIVDYIVDNSLRKILERENRMHMLKQQRKDYNQKGKNLKRTQNYLIDREFLEEEADKIFA RVMRKVQREAANEIAVVNLLAQSVALNIIVNSVKYERNTTNPIDQIKKLYYDLRGENMEK NITDLKFNFKNKNEIQWNKVKKGKKNYNTDELLKIMREKKQLKQLDKEEDKKDVRQDEEI DESDINILDPEEFQCVPTLGLEKIKAYEIQYWEKISFVHQTFKNHYSNIKAGITHIVLSP FKDMLIIGMSNGEVALYDTTSGPAIISGIVPSFTKSKITDVQFGMDNVGHLLILDESGLI RVCYMGYNLKVNIPKEQLKGIESLPEGYQPMFYVQYFTLNNDFLARNKKQEEKRQILTYS IFHPSITFSCLQPTLMVGSNNGTIMKYNSNVDMFNGQNYKFPIHNILNTRIIVNEDEQFP NENFLNLQIQEEHEGKNPHVFREFFENHRQEIVYIGFLEEPEKILTIDKGGYVNLWEYDT GYYDLRKRSFRPKSKYKIQMTETQFTPDTTERKKYFPDENTDNEVQEGYQKYAELFNSPD EWIKLSEKPLKDGVNELIICYNELPQDGDTEIFEIIRVNHATKQMIEGYTQDYKATEINK YIYLEIIKPHIINPDIFQIEFLAINTQNFKLKKLRPFIEASTDRVEFDIVDNYYPFMVSY LFVHLPQGIYIISLATGTIVKQIIEHIQHVRVSFPQREYIPKGIQVIENEAMFFTYDNCN GCWKLQIQDDNDDNESMALYDNYRLEYEKLFLQ >CAK60340 pep:novel supercontig:GCA_000165425.1:CT868008:77234:79606:-1 gene:GSPATT00005089001 transcript:CAK60340 MNQEENVQQNVSTKSKEYKEECRQLLERIVALQLKVFKAPDLYVKEVLNVVELYKQQFEL VKQNPGQSNGKFSIIAQFLSKVAVYYKTDMKFYIEDLMELINHFQNTLNQGLRKRIIFCL LRLRGKNLIEPYRMIVFLMKLFNCQDKDLRRIIFRFIIKDIKQFNKKHRNETLNKQIQNF IIDLIKKSQENIAKRAIQIMIELYRKNIWKDNKCVNIIAQGCFNQNYKIKLLACYFLIET TETHVEVESSEDEADKYIQKKGKNKCVKPTKAREHRVEREKKMADKKQRKKLQRLSSSGG FFALDQIYSPQDFAERLFDNLKKHDSERFSVKLAMMHLISRLIHRHKLLLIPFYTYIQKH LYPNSKDVAKLFAYLAESIHDQIPKEDLQPTIRHLIDQFVNDRCQELTLTMGLRGIYEIL QKHPKILDKENITYLIHYIKYKNRNVSQAARSILNHFKDTNPQLLDKRLRGSKWKSTEEL DGEFDDNFIQQITVGIDGADLLQQEEEEKLNKKFDIPIYCDRILTDDDFKRIRALKRKKE AEIEQNKLQDKQKNQYIEQEEEDEDEEDFEDDDENDQDDISDISGDSAELDDNENENEEW EDESIEDEDIDEDIDDDDIKPDSKITKEQNKKRRQSLSDLEEDSVQEFYSDESQVSDENP HGYVREEDIMRYRKTKSEQKHEKLEELKNGTKEKWYQGPNKKKSEFASTSNKEKQKNKPL QMMRAKKVEQKNKESRIKSKIKDLKNKLGHVRSGKQAQRLKKQKLK >CAK60341 pep:novel supercontig:GCA_000165425.1:CT868008:80762:81037:-1 gene:GSPATT00005090001 transcript:CAK60341 MGCTIQKQKNKKPTLGLSNQETEILCKEQLQTPDTLDMQSPRQQNIIVLKKLLASSSLSV DQIIKPPLKKSSHSNLSRSRRQ >CAK60342 pep:novel supercontig:GCA_000165425.1:CT868008:82430:82852:1 gene:GSPATT00005091001 transcript:CAK60342 MSNSRKRLIRNSPDKNSNHTEALSDIEEEYNLNNYKYDGKSQYDQKKQSEQQLNVQKQSQ HSKVEKLTTQISEVLATQKTQKQTKSQIPAQPQKKPSTQRIPNQPNLFQFFGKCVPNQPF IWFIRETKGDNEKTVSRYQC >CAK60343 pep:novel supercontig:GCA_000165425.1:CT868008:82935:85413:1 gene:GSPATT00005092001 transcript:CAK60343 MQVQTQQPQTQLQKQNPQLDNGNDIIQQLKKVGVEKNNKNIKDLGPDIKQSYDIQFEQLP QSQKKKGAVQITWEKQNSLKVSKPENKKQMDVEIEKQDVFKNDPDLLNGLTIVLSGILNV CSRDKFEQFLKNNGAKVTGSVSGKTNYLIVGDKLEDGRKGEEGNKFKEATKKGTKIIREN ELNNWLVDKIGVGIDEIFPDSSLSKLLKKSGSKKQDQQETVQSDQNRNFSLADKYMPMSL SELVDNKSSITQLNDWIYKFQHPNSEGDQNQKIKKKIYPIKNGQILNLLEILSCLISGPP GIGKTSMVRLVAEALGLKLIVNNASDKRNKGSLRSVLNDLIDNSVLMNLFRPNKDFLIVM DEVDGMTGSDRGGISALIECIKSTRVPIVCICNDIDNPKLKSLLSHCYSIKFQKPEAKSV AKRLKYICEQENINMSFEDLEKLAICFDCDIRQSINMLELQKFQSNTNLFQPDGFKKDKV CVFNTFNAAVSLLNRNQRIQMSLRDMLDMFFLDYDLIPLIIQDNYILSNHQDINNVAKAA ELIAEGDIISKKIRKEQQWSLMPSFGFLSSVYPSTIVGEQMDFPKFPSWLGKNSTANKIK RERHQIKNRLAPITYLTSDIKLYSKYLFQLIKQLLELKVLRNDRDAVWNVVQIMEEYRIT PDLLKEDLHDQIFNPLKDNLLSTVNAQIKTQLTKMYNKRHLIQKEKTSKKKQANPERYIS ENYNPLIGEEAPLVEQSQKEIEEEENKKEEKKEEEMAQGTKSKNVNKRTKKQSKKETKKL KLVEDRSFDEDSQQSLNNFIVDDEEDE >CAK60344 pep:novel supercontig:GCA_000165425.1:CT868008:85547:87081:1 gene:GSPATT00005093001 transcript:CAK60344 MQQEKGRVYYGRFVQKEKLSQGSFGVVYICHDKVTRDYVAIKVEKENCDVMSLEREIQII EELRGIVGKSLLLILGVPKLLWYGNEYNSNCMAMQLLGKDLSYFLKRYKKLSLKTICNLA EQLLTIIEEVHKRGVIHRDIKPENILMGRGTDSQQVYLVDYGISKKYRNNGQHIPFQENK PFMGTTRYASISAHKGYELSRRDDLESLGYVFIYLLKGNLPWQNITSSSDREKTRLVGKL KMELEMKELCKGLPNEFQRYMDYVQKLKFAASPDYKYLFSLLQKMAQQNGINFDRKFDWN DNQTSSTKSSDQAQQSFNDIDVSNLQGDYLKVPDIKKSEKRKSFQTIEQYSSQQSSVVLN YVPSVVSKINSRLKDSHYQRSRQNSKKSLSRESSLIRQPLGQRLQGQIFFNGEFRDLEDR EKSNHDVVKNQLQNKPNKVSNFDDFDDFDDQLNDDIGIQNNLKKVGVIQVHFKEHLSKQ >CAK60345 pep:novel supercontig:GCA_000165425.1:CT868008:87310:87640:1 gene:GSPATT00005094001 transcript:CAK60345 MTILMTQFPLSQDCQNQHCYYFNILVKLNWKFENLKVQTKFDFEAMISYLDYKSPLTQIY TKLFQEQRILNNCPDDEQESPKDSCNSINEDLIINKQSKKEI >CAK60346 pep:novel supercontig:GCA_000165425.1:CT868008:88086:90379:1 gene:GSPATT00005095001 transcript:CAK60346 MEYLIICRICNESININLMDIHNQTCRLNAEQRKKLIQLNLQVARLCEKAYMKKHQIHLR QGLKFMKELRLRKNEGIQCCEDTRQVYQEYRILLLLISYGEKVVNQELDAKYHLITQNEI MAAQMSIEDQSTLDLIEQMNSLINQRLDYCFKLKIQNFGSISFRVSKFSKDSPSSVRDSH RLNSFSDYISLNLTEETNESEKRTRSRPSTPARSSVSIMGNLKKSGKISQFLQRQQSAQT IKNQDESEQDKLSHFQTKKKSCFAQTASSESELDISIENSDQQMKTIDSTEQILFEQDCF FASQKGYFSDSEIIKLDAENRNQERNICLKDFQFIRQIGQGAYGGVFLVKRIATGDHYAL KIINCSNRPFERLLTQLKQERNIFEILTGEYVVKAFYSFQHQSSLCFVQEYMVGGDFAKI LMIEGAFDENIARHYFAEILLALEYLHSNNIVHRDLKPENILLDQNGHIKLADFGLSELG FNKMMVKRKASQRDIVKQDLSSSPGYIIQRGASFKKSRSNNSQYDKGSEEERRRIVGTPD YIAPEIIKGVSSSNKTLDYWSLGVILFEFLVGIPPFNDESVDKIFSNILEGKIEWPDIGD DPESQISECVYDLLKQLLNPDFNQRIGHESIEQIKKHPFLHSINWNTLRNKPGPIIPRIP QAQQQQFENVQEKLQKFLQRGEKKHSQIVKKLQDELEYLERVDLLIATNEKEVLQIKQQF NL >CAK60347 pep:novel supercontig:GCA_000165425.1:CT868008:90418:91038:1 gene:GSPATT00005096001 transcript:CAK60347 MFNRKNSNLLFLPMPKPIKDKTPDYMEIRTARKQSIEKQLRKIKQKIPPIQTDRHYSSTQ DLLNKVQEQVLYKTSILSRNSSNATLIPQPKIPEQKQQQQQQQMQERRNEIDFVLKKLLN AQTIVNEEPQQMTEPRIYNPYFRSPSVLPSHLKDTYFDPEKYQNFYDKLNKNNLKVNHQV RTAILNYRKQMLSKQQRVPNFLKLVQ >CAK60348 pep:novel supercontig:GCA_000165425.1:CT868008:91768:93262:1 gene:GSPATT00005097001 transcript:CAK60348 MINSLKLRSKDIKCPKHNNEITSLCITEKFSMKNRVLCENCKKDKSTYPNWTPLEDIEEL VRNIAECEKITKSNVIKVISILKKDIIQDLEQLEQKVLEIQEKFDHTRLIKKIMNQNQII LCDLQDLSENLSYLTLYSQFKNINKSQLQSEQFQPIFTQLASTRQQIKQIYERVLQIEAE ENTVPDYKLLFKSTPVIIPAHDFAINSVVITPDCTKVITASSEGKLKIWDASTHTEICQI SDNFDYAFCVDISDDGQHIAACGSNDYIIRIWNINDTKEPIFRLKYHTKTVERIKFVPQS SQLVSISQDHQVIIWHETKPEPSRILKHHKSSVKGIAISNNCKLMATSALDKQLIIWNIQ NNFSIVHQVQPHKTTCNCVQFSKDLKYMVTGGEDGIVKLWKVQNWEPILEFIGHTSFVWN VQFSNHNNLIISSSTKDIRIWNITSEQQQQFFSIQQDYYPNFYLSNNHQLLCTGQQNGEL YLWKSAQN >CAK60349 pep:novel supercontig:GCA_000165425.1:CT868008:94010:95321:-1 gene:GSPATT00005098001 transcript:CAK60349 MNYDYMGAIKVKDGLFLGDQFASQDLEFIVTNKISRIINCASKQIPNHWESIGIIYMSFP WIDNNQQIIFQSDESINIAIKFIDDALINGESVIVLSAKGHNRSVAVLCVYLMKKYRWTL YKTLQFMHNRRPDLEMKAHFFNQLLSIETRLQKQGYGAKTFNWDELYTQGENDEILIRNT YLNSQPQGVAEFKDLDSKPKEFKLKFTDKITMQIPPYDKIIFSKSKSVFKVIKPIIKVSG SSKQIDPKFQLLLKQQQQKINYEQNSNIYDQQAKNLNQPDQQKQSPLQTSSILPQRPSSV QQIQQQRVQQNSFMDSRDQFFQKQQQQNQNGFTGTRRPQTAPNQLKAPRVQTTPYKGNTS SGQKYESGPYPNSQFKPMKQRAQSPKAAYNVSNPYVQRDFKSKSMKK >CAK60350 pep:novel supercontig:GCA_000165425.1:CT868008:96713:97075:-1 gene:GSPATT00005099001 transcript:CAK60350 MKKQHFHRNGGIKKKHLSFDQKVMVLKQLGTKPSKLRIQTMAQQYNISSSTIMNWIEKGL WTQIPSVQPELDFQLNKSKLLAQRENFIELDETDQNNSRDQKKEIDESQQNLYDQIEIIL >CAK60351 pep:novel supercontig:GCA_000165425.1:CT868008:97184:98909:-1 gene:GSPATT00005100001 transcript:CAK60351 MASPVLNKVRERLFFLSPTVQAGWEPESKREDFEELKILGQGAFGVVKKVTHKKTNIVYA IKIVEKAMLKKTNMVEQMQNEVKIMYSLNHPYILKLYNHFEDDINVYLILEFVGGGQLYA VLWRQPQKKFDEKTSAKFILQACLALENIHSKNIVHRDIKPENLLLDEKQDIKLADFGWS NFLKPNEIRQTFCGTLDYLAPEMLEKSRQHDHQVDIWAVGVLCFELLTGLSPFAPQININ NQTFVEKTTKDNIVNLRFQFPPTFPAQAQDLIKKILVKEPGKRFTCQQIKEHQWIVQNTQ HVKIPTSLTVQQEKKIIKNPEIESLQKDGKLAFTNDQIYSFSRPDSIIVKDFLQGDNTNT KLVEALNNKMKEKDSKIQALEIENQKKSQEINEHLSTIELMKKSGQASQEIQSMQHKLKL QDERYAFMKQQYEQQLQKNIELEKKIRELESQSNQIEQMKAKMNKYKEEAESFKARAKKA EDQVQQMVISGINEKEFQEVPKQQDQASNVDDLLKKLQNKYDPKS >CAK60352 pep:novel supercontig:GCA_000165425.1:CT868008:99009:99958:1 gene:GSPATT00005101001 transcript:CAK60352 MNKFSREEFLFLAKIAQQTERFNDMIEFIKHFLDQELNKEERSILSAAYKNVVGNKRAEL RVLTAIEQKESRKQTDQYTLNYIRNYKHKIEGELKNSCAEILSLIDTALYPNSKQVDSKV FYLKMKGDYNRYLAEFLLDNEYHAAVDQATQAYKEADVLAKSNLSTTSPIRLGLHLNQSV FYYEILQNAAEAIRIANDAFEQAIAQVDSVNEENYKDCTLIMQLLRDNLTLWNNPEEEAN DDQ >CAK60353 pep:novel supercontig:GCA_000165425.1:CT868008:100239:101249:-1 gene:GSPATT00005102001 transcript:CAK60353 MSLIIRNISSIREEWRQLLKKRIKKNKRKNQYTIPYKFDDDTQNAKQKNDSLLIVQNIPT TIPNNNVFRLKSNIQFSLNINKDNSLGSLFVDPHSNNYKIARIDKRKNLIILTLDQIDRP ITPPEVLYSIFKDRNQKLAQTFMKYNNRNLDPTKFIPSKIQEMVDFEQKIQGKPYAVYVN IYKDGIWKTFQKIFNLEQLRILAISEEMFFHYCNETQLIPISAFYDQNDQQLNVFYKTFK GIVGQQPIKRQYMNYNGDQFTATLLVQGFFIKDEEKNLFFEYTYFVTECDQKWLAQERVK QNELDYFNIRPISYSTEESFYEEPLKRCGFKILNQK >CAK60354 pep:novel supercontig:GCA_000165425.1:CT868008:101665:102144:-1 gene:GSPATT00005103001 transcript:CAK60354 MQNRKISESDNNKTKFNWIINFDKIGDCQRVTTINPYIMKNLNTSEINQDQRFNSLTRKT QVINFQSLRILYNNQIMICDTANIEIFKVNSNVRLMNILGFKN >CAK60355 pep:novel supercontig:GCA_000165425.1:CT868008:102465:103205:-1 gene:GSPATT00005104001 transcript:CAK60355 MYYHQVLNTNQSQTFANTINTERVRCQTQHYKENSNSFLYQQPIQKQQQNLNEQLLIQNQ KVFKQNEQSQLSDQNVRLQNECKRLEYHLNLIQQNFQQLKQLYDQDQLEMQRITNFCHQQ QQEHNSMQTTILDLEQENFQLHHEIDHYQKNLKAQEFIENQRREIMIGQQKLIELQEEMK KEVEQIKHDPLMEILELWRDRALKSCTYNSQQQQSNKLNTTKEKYQKLTRLTMQAAELLS FKLNYK >CAK60356 pep:novel supercontig:GCA_000165425.1:CT868008:103539:103924:1 gene:GSPATT00005105001 transcript:CAK60356 MGAAIAVRNRHWWFRGLYDDYIGRETRLSFGLAAVIWIPHYVYGVYLNRTIETNTSHKIY SMEVGPSRNRLTHSMIFEQFEMVLENWEELNKEYAEKGKKMLEE >CAK60357 pep:novel supercontig:GCA_000165425.1:CT868008:104296:106689:-1 gene:GSPATT00005106001 transcript:CAK60357 MHGLNFKRMVIICFLICFCSIDLPRNQFFPPSMLPEKDNQKNSNCKLHIGNLPLQITEET LHRVFSKYGQIKEVKIIRKNSQGQPLKDYCYGFVLMCDGEGAQNAVTELKQNSPLGTTWT VAFSKDKNDDIVGTGHQKSEKIRKKDKSKERFKKDKTKPKKKKSETSSSSDSSIARSDKK QKKQKKKKQSSSSDSSSSKLPTRSALVKIESKPNDSNLFDMVFSGELQSHSLYQQPQSQY QIKHNVHVRELFISGIPQSKTQSDIQRIFSHYGIVERIDIIPKQIANFAYVKFKKLDAVN QAIQNQQFITDQLDGSGNVKIYPSDPFKRTQIVGNAEDTEKDEDMLPIMFIGFPPNQSFT IDEKFLKKMAQKYGGDVKGIQIFQPQSPQLRSYVLIEFSQLRDCKKARRKFCRYKIQILG DKKCDVAILSNYSANPQNKKQANPYMPYGMDYMAPNQQMPMHMMSRQFQPQMTMMQPYPT QPLPQQQFQQPHYGDYNQMPIMYPQQQQNPQQMMYLKTQSQLPFSPQSQTQQQFRPHQQQ PMNTYYQQVQTPQPLNQNYTQQQFMDMIMPPPQPTKSEDSNATFWSGYMNRGKQHRVGID ARMYSAAIDRNKINLPCNLEMSYLCSYQDAYQRAIQDQSAVLIFSPAQESDIPKFSEYLQ YLRSKQRAGVIQTDLYSIYVIPPGVQEAQQICNINQQEMIAIYCNKDLKI >CAK60358 pep:novel supercontig:GCA_000165425.1:CT868008:106860:108679:-1 gene:GSPATT00005107001 transcript:CAK60358 MKIFKSKKDEPKKKVTVTIVFQFILTQQQLSMLFMKSREYINLDKQKKINEAMQQETLLL NMLKAPQRNRKDEETQIIKIVNLINTIEAYDKAIIQIGNVDQFKSQIVASQGDPTKVSQY IPYIASVCYYIENTNDLRGAVDLKLQLNEYYGCDIANSFEKSVDPQAKTLFGILNLQSPS VQKYAQDFSKKYQIPDVPGLNYQPQQQQNANQYHQPTQGGYPQQGGYPQQGGYPQQGGYP QQGGYPQQGGYPQQGGYPQQGGYQQQQPQQYPQQGNYQQSYQTSQHPQYQQQIPQQNNFY PNSQTNNQGIQQSSNPYGQLQFNQQNIYQNNPNVYQPQISQPQIGINPKQNANYNYPQQL QSIYNTSSQQQNNSIAFLPLQQQSQFKQPQIQSQQQAQVVDPFAILNQTSNQMMVELPLP PKQSNFQSNNPQSQNGGGFTSNLPVPPPDEQVPFKSQSSQDQNKTGSFGQINSIGNSQNA RGTQFTDPLSDDNIFQTTTIGQDMTYSMPFAVDQTKQEVPLKINHDLRSLEILHKFKTGI SQSINDSLNQLQRVGLSL >CAK60359 pep:novel supercontig:GCA_000165425.1:CT868008:108730:109557:-1 gene:GSPATT00005108001 transcript:CAK60359 MLPFVHILTRLLMVNQRLMIARCGFYVYFGYSRHLNKAYGYMEFTHEMAQIEFKDVRHYF ASKIYFYLGQDKFYPPFNGKVQGFMLNFFDGSYRTSSYDYTFGYFPRPVYEYDDSKELIP SEPVEKKKCPKVVEITVENASDVLCALSNYLSAVAQGHDPASDPKAESFCFCLVYEEENA TPDLLMLAQIFGGKIKQKQSNVNSSIKRLLSRQNALLK >CAK60360 pep:novel supercontig:GCA_000165425.1:CT868008:109575:112169:-1 gene:GSPATT00005109001 transcript:CAK60360 MRNSYLLLIIAITLVHVHGLEESLTLMSLEQMNSLDTSQFDCSRPQSEFIQMENSLSQWQ DLLEHKDFIDHDIQVLQGIQAKVGLKASHKQVIKSLSQLKLPKTSTKIGLAEVEALKQQC GCLKNSDDNCDALLKLLSYFILSLRNVQSQCQQHPITVIKIKGKIHDLQVIRGGCGGTID IKGDESCDTQQETKPDEPSTDPITKPTEEPATDPITKPTTDPIPDDQKDSEDFTEETTVD TTEETVDTQEETADTPEEDQEQPQPAEETTQPEEGEPAVDEKKPEEEEGGATEETQPGAA EEQEGSPEETQAEEAVDTPAVEEEAEHQVPEEEGTEQQTPSAEEGESPQEEVALAEEITE PEEEVEIQVPGEESEENPAPAEEVQADDAEEGQSESAEEGQAESAEEQTGPSEEGVAQAT EEGEVEAPEETAAQAEEEELQIDEPTEEVDIEVPAEEEACAEEEWGWEEEEIEEEVSEEI SEEETPQEKENQPAGESGEEQQGEEEQQQQQQPGGEETESGEPLEFEEEQQGEVPATEET AAPGEETATPGEETTTTDEETTDAEESSVGDEPVPDDQQDEEQEVLPEGCRKVPEDVLDI SWQSTEAVAVSDLPVTETQIIGLSFYFRWLSKFPDAVPEGLSQETKFFVAGLGNALKFYV GQGGFHFSSFDENGVETYKNAGHGDIEGQWVLVYFGISNQKVTGFAQIQGQDVETVEFDV NLTLDESYQLVIGGPDGDVKSFNGQIARVFAFNDELYTQATEFTQFVTKCYGIPKNIYSG KRLTIPIEKERTFVSPASFDYDSVFENKKPMLPDEYSASGWFKWEKPNDQNIWHFRIQMD YQQSRDQSELQSSWRQRTFFLCWR >CAK60361 pep:novel supercontig:GCA_000165425.1:CT868008:112319:113213:1 gene:GSPATT00005110001 transcript:CAK60361 MQPLKNPFGIIDPNEKPKQYQSNPFDIQNDELNETIKLGDNVANQEEKHLLFDSQKSQSQ ETVEDLPLLEDLDITSPLLIKSRIMSVLFFQKCDSEYLEDPDLSGPILIVVSLGLLPLLT GKVHFNYIYGIGLWGWMLLYLLMNFMIQQQGKQIEFYKILSYLGYGLAPIVVLSFLSVFL QLNSSFGYALAIVLFQRPLILFQDYNIEDC >CAK60362 pep:novel supercontig:GCA_000165425.1:CT868008:113222:115264:-1 gene:GSPATT00005111001 transcript:CAK60362 MEEPKGIVRHIQTLQDPQLSRSYRGHEKTYCLSFDPQMRQLASGGNDSIIYVYSFKPQGR PFKFNGHKGAVYSVQFSPDGQIIASGGEDRTIRLWKNSVLGKCTAIKGHIGSVRSLSFSS DSSMIVSSSDDKTIKGWNVLKNNFMFSLAGHTNWVRQAKLSPDSRLVVSGSDDSTVRLWD VNISKELQKFKCEDSIYTIDWISDGTTICAGQMDGKIKLWDARSMRLIQYYECSKKSVNT INTHPSGNFLLSGDDESNLKIFDLRQGRLAWSLYSHSQPIKQVQFNYAGDYFASAGLDSN VLVWQSNFDENMKPCNVINFIDKNISNNDSQTLSSSNQFKVPQTTFKANKQQNKINYENQ TNQSLLINQTHQSPLAQMLSTKFEKIVSQMDQVTQLIVSIDKRITSNEDQVKKLLQNERV KSILGVYEDQYKEPYQKAAVWENYRNKQQQNNNQLTLQQQQQLQDQEPVFGTGSFMRDGE LEDFPEESEQKLKQEYEEEKYKLQQEHILENDQNQQTFNVTGEQNIFQTGALDGTLSNQN NYTNTFSLTFTQRQNLQEQLNNLGDWREQLEQVQREVMENQQDNDQFIREVNEDDEEQQE QQEQQDEQDEQDEQDIQQQQEHQGQQVEIQEEEHPIQEDFQDEQGNQGEEEIRYQDQGAQ E >CAK60363 pep:novel supercontig:GCA_000165425.1:CT868008:115562:118717:1 gene:GSPATT00005112001 transcript:CAK60363 MLIEHLQINLDNVLIQIIPDFIILFNKYHSFCKRRIQRKVLLYNENIERYSSVFLYTFIF CYFKYIILGMSEENQLNADKNQNKNNLEKIIKLDKKNGNFDIYDKYSRRKLKIRTSQNNS YINSSYQNEYILCYFWRHINQVYFKKVDEPEQERLEYLKAMNDDAIVTEFPINLKQITDL IRKQNLLGEYLEAHQVERSHSQSKSSENTLDRENILHETKINDISSLIQENAQLDKLLDK LNEQIELRTGQKDEIFQQLQEYSNELQQLNKSNKKDLQNLTQKIKSEQPLNVFGTQVHIY KQARGNNNLSKNQIIYNNEALLTYLRKSLEQLDFRQTAESIVKVINSVHSPNGYQFCVAF EQALNSTYVNIDEDLMYELDKLRDTSLFKFYNIPQKTKYSHIAQNFVGINQVQQNRQKPN PLINKNLTKESKASQSGDNDSTLLRYEACHHCKMLFREEYLISCNYRSGTMGLPIINSSI TDSYLFTQMDDEGIKSRRQVPNRKKTAYSIYSKKNGELICQRKFCRMCLKQNYDIKIEEV IQKTDWVCPFCQAICFCSRCQRNDIMIKLKDLYTICGGDLEQLTKDSIFEKYVRPLTDDQ LYRKKPSQLQRTGYSFVKQQLNNFKDMQAIRLDFENLRLLCSQLMRREKMKWRMLEQDIL IWNNEAKQQKQQKLQKSSITKSVQKKQKDQKKLKKITKKAQKSDVQYIQELSSSSSFSSS SSEYQQSSEYESEENDNNNNNNSNNNSNNNNNNHKQNNEKINMISQKMKQKNIKQVSNDK QQSLNKILQNYKSFPAKNVKREVAYLLQYQDSDTYSLIVKKIKQDQSINSLKR >CAK60364 pep:novel supercontig:GCA_000165425.1:CT868008:119104:121145:1 gene:GSPATT00005113001 transcript:CAK60364 MQTLKLFYLSKYYKKSVYGVLGLGVIGVILLISTKIRKQNSKQQSLDQFQSEEQSIQGTI FNQLDKCSLSNLEEVVTLNTSIKIEIDFKQQQLIGSVTLKMKAIKDINKVLLDAKLLNVQ QVSVNNEDTQFNYKQLVVNDLGDQLEIITQKQANEEFQIEITFSTQQNVQNEQVAMNWLL PSQTFGCKHPFLFTQSEPIYARSLFPCQDSPSMKSTFDIQLIVPAPLKAYGSGLIVKETN QGDKNIFQFNQPVAIPAYLFAICAGDLEKKQISERTFVISEPIIINKCSYEFSDMEKYLS TIEDYLTPYKWNQYTVVVLPKAFPFGGMENPNLTFLSPSLIVGDKSEASVVIHEMIHSWS GNNMTCQNWECFWLNEGITTFIENKMVGKIFGKDQQTLHGLLGDNDLQKSISSFGATHTY TSLSPKINQQNPDDCFSSIPYEKGCQYLRLLESQIGEVKFQEFIRLIVSQNTLNSDQFRT LLKRFLLENNIQGVDLQWQQWIVEPGLPKNSLKIDPQFTKKYQNLADDLFQNKLPLQDFQ ELHSNEKCYVLDLLGNNQIDQNTLKNLDEEYQLLDSNNPEILHRILIYGIECGYPSALGD KLSQFLSMNGRMKFINPVYRVLAEKQPDLGQRIFNLYKNSYHSIAVGQIQKMFQK >CAK60365 pep:novel supercontig:GCA_000165425.1:CT868008:121329:121775:1 gene:GSPATT00005114001 transcript:CAK60365 MSKKQVSDNADRYKGEAGNFQSLESDDGPGPMKSVEGWILIAKGIHEEAQEDDVFDAFSK YGPIKNLHLNLDRRTGFVKGYALIEFSEFAHAQDALNGVNKSDGICGKKIQVDWAFKKPN KKGALKQVKKQ >CAK60366 pep:novel supercontig:GCA_000165425.1:CT868008:122181:122905:1 gene:GSPATT00005115001 transcript:CAK60366 MNYNNSSNSNTKRQNKKNKALKKYNILGQDDKQHILESLQKDSSLEMYQILSEKYNTSIK NLRRWYQDGIARKPGCGRKKLNTKAEEELRQWIIKESVELRRRVRRSQLKQKAIELFSIP NFKASKAWQDEFIRNFDIKYQKVKFEEQRRKRQQQVQSVDQKSEKQEQLQQIFSQQATEI PQSKLEVLNIQPKVSDFKLEDWSFEKQEENKDYLSENSLMMKSQISIL >CAK60367 pep:novel supercontig:GCA_000165425.1:CT868008:123014:124075:1 gene:GSPATT00005116001 transcript:CAK60367 MERDYFKQSASNFCQNPNIKHPDQFPQVMEQYDRDVCQNLGQAYPQVPTYYQQQLNIPQQ NSLLDHFSRQTLLADSGQLLQYSQTLENAKVQQENNKPIQQKHLLMDHMLGSQFVPVPNN YLDFNVNSNQLGQIIKQPTYFKDPHDVSEFQKRQIQYLQAINDPSPPEQVKLFKQNIQQL GGIEDTIGNMQQTLNQYEIKHLNNKNIKYYPQSSPPLTQEQIEYQKSISGNPHPFLYNPA DDKDRFVYGPLKPAQNILEQQQTQQSQFYCIYQYLTRNITLTIQLRFELDVRKNTTFIII IRLKILIVKLLTTLL >CAK60368 pep:novel supercontig:GCA_000165425.1:CT868008:124519:126986:1 gene:GSPATT00005117001 transcript:CAK60368 MNGIVELQIQTLSQPLQLKKEIEHRINQFDDMQKKQQMNINSNSKPSLQEYLDKLKQIQD ANNRRLSQAKSQSNASKIMTPKTVSQLKQQTKSSTDRSHSQHKLIPFFQNRKVSSSKRQT SQADEPKIPISSQGITKTVETTSPRTGELEDIKKQLNQWFIKESKNNNTGGCLQLLEPTL VHNILKLPPRQKINSELRASLSAKDMLDNTALHYAAKNGNAQLTSALIFKQIAIDGQNKD NMTPLILAAINGHEEVLMILMNAGSDINHQDSVGNTALHYACKSNHKAIVQLLLKRQSLQ FKKNKEHKSAEQYAQNEEIQRLFQNYNEDIKKQCKSFKMNMVQIQNTQNDVILKMFQYKR GQMNQQQQQGIQSQTQQNFAQTPTQLNSYSCKNLNIVNKQSSSPNNRTQQEIKQERLNKI NSTHSNKQQLTNTVSTNSDSIKNKEEEKIGPQQFQVIGLIGKGSFGEVYLVQKNNQLYAM KVLHKSRIMKHNLTRYALTERNVLSITSHPFIVKLRFAFQTQDKLFMILDYCPGGDLGEV LQKQKRLPESIVKNYLCEIVLALEDLHKRDIIFRDLKPDNIVLDAEGHALLTDFGLSKEG ILEPNTGARSFCGSVAYLAPEMLKRSGHGKAVDWYLLGVVMYELLVGLPPYYANNREELF YNIENAQLKIPSYISNEAKNLLKALLQRNPAKRLGSGKGDSEEIKAHQYFQDVNWEVVYN RELAMPKPNRKIRINTKIDGNVFDMQSIVDESKAHLGGWTFVNNDDL >CAK60369 pep:novel supercontig:GCA_000165425.1:CT868008:127218:128363:-1 gene:GSPATT00005118001 transcript:CAK60369 MEDQIDNEFLDENPENQDLESCDLCNRKFHPERIERHLIACQKAQQKQQERDKIIQKKKK QNEQKKQQLQQVDVEIVKTNWREEHQKFQEQIQYNRKLKQLETEGQDVNQLKPLETKVNS NYVFCEYCERHFDKHVAERHIPKCKEIIAKPKPPRKKTVEMIQPSQPSLQEKRQAQVSTP STSSQMERKPIIKKQLSDSSQQFRPTSLQKFIAEQSGKANLTNIGFIDCKARATAIQDTE CPHCNRRFISRAAERHIPICEKLTRKHSFQIKYQNRKSVPIKQNNLMVLTPTAILPQIQR KTNKQLEAGTLPPIGNSKKFCTECGNRFQANHKYCGGCGRKREPEIVI >CAK60370 pep:novel supercontig:GCA_000165425.1:CT868008:129166:129679:-1 gene:GSPATT00005119001 transcript:CAK60370 MQQAIPNITPEVKQRLEDQGFKPFKYRPLPEYANPHSLQYWLTNAGLGLVCLVGRHYATS QQSIRIIWSASAVFIPLYAIATNAKLDGLRQNNFYRKTLDDRLELHPLTRRAWERAKQTH KEYQDQLREEIATLEAELRK >CAK60371 pep:novel supercontig:GCA_000165425.1:CT868008:130573:132474:1 gene:GSPATT00005120001 transcript:CAK60371 MQHQILISPSRLAVQQKTQISKNTLISPQRFPNRKISQGVIEDFVVRSNYITKTNNGSLT ERVNSLQKSQKSVSKDKVRPISKQLRIPTENFFHITKGVNLLLTQKNSNPQQQNSQQLKP IQGSMTRRNSMEELIGSAMYTGIGKSQSQDKIQKQEISIYVHYSQEDVQNYRFTPQITTD KITNLLKQKQQNNNIQIIGFSTLDENYAIDYYLQQPNLPMDSFINKTIRLKPIYNQNIKR INLSSFQFISIIGKGGFSTVILARSLIDGKFIALKLINKQFILQHQKQDLILNERDILIQ STYSGSIFTNQIECAFETKNWIVFGIEYCPGGEMFNFIKRLQRLSEQQAKFYIIEVILAI GFLHNEQIIYRDIKPENILIDSSGHIQLADFGLARPNMTKDTCAYSFCGSPEYMAPEMFQ TAGHTQLVDYYCLGCLLYEFVTGLPPFYAEDKNIIYARLLKEQVEFPDYLSTDIKDLIRQ LMVKDPHKRLGSRFGIDEIFSHKWFKDVDLASFINKQVKPPYIPDLQKLNIKQLSQNDKY FFEQLQREQKQNIAFQPMFSSQFYFTKDQDKNNNNVILNKATLDKLIKKTLKKNPKQGLT NLQLQTNFENLLKQTFTNVKSKPDSRNKQQLQF >CAK60372 pep:novel supercontig:GCA_000165425.1:CT868008:132498:133499:-1 gene:GSPATT00005121001 transcript:CAK60372 MNRQFTKEKSRSNEGRNEKSVILSNYITKKDLEQVSGILQPQLRTLNFDELTNGTLDDHM SCQTFLHCLPNQDNLDSLSDDHMCPYKRKYIIDQLKNVINQGQGINLSLLRALQKRTDSC EKKSDINALTDDENISNRGLEQIKTVMDKYQERQQKIQRYKNKRRNWERKISYSGRSQVA EQRLRIKGRFISKEDQQSIKKLINNKQSEDFYDKKHNLNIEKFHLHTKLFTYAEDPKMIP KKQILMDIRDEYQFQQQQLKILKSIKTKTKIFQIVQKQKS >CAK60373 pep:novel supercontig:GCA_000165425.1:CT868008:133953:146064:-1 gene:GSPATT00005122001 transcript:CAK60373 MNQAFRSPQRKLDGPIVKLRMKKILDIGKIRKEEEQFQCMLDSYEIDQSVSFQDRTFYNR TFRSTSRSIKKIDDKKISEEYTLYGFSRIKTDRTPLLLMSRKQKRIHPTIDEDKDVSNQE WFEKCKRMNPPQAKAQFYDKITNSYIWYDVTVLDYVQETKKFSVQKYPDGQTKNVTRLSI QFYNQNQELFQQAQKEKIQFRSLKKIELKKIQDIINVNDVEVNKLPDPLKFRFIRRFYQN DRYGLLSKLLEEVNQIYTFDMKKCVFYKDLQKYKQVYCPLPKFQFYQKYQQQIENNQSFM QQITVQVWQVMNTRCLKFNFQVIDLNELQLPVLNSVFLDYCQKNIKNYIYQINLQRKYKK SEIQDVLQKKYNFLGKDQNAHQQSPLHRILRRLDLVYADFLKHQLLVNTEAILNIYKKFI LKPNDYYFINTSTFLVLELQVKILKQTKPKKQLVKKMERLTKQSFREKEQEIQKEEIEYD EEITINLSESEVIELLTFPQQQLIEQVSKVNKMEGDIMTLLLLKNELILTPEDIEYQKQQ IEPTIEFIKFEYRKCEQELIKLKKFEFILKKFRNTEVNELLGIHTKRVPLSQLNFDDIDE KIKSLEQAKKEIINISFDSLKLGLFTLKLKGIKEFLINKAEEQKKMIMNRIHEVILFNIK NIGEQYEEAYKKGSHIPDTEQELIDLKLMLDEINVQFGKLRFEISQIMKYVNIFEDNYFE YDNKIIESYYHLLYKPKDITQIIHSNKDVIQIKEKDFLKRLKQDEQDFKETMYEIAELFN QIKQFNDYSQIKTYLPQVNSLTRSFNYAKDQISSFNIRQQMLSMQLTNQAELDNIIFQFK PYEKLWTLVSKFESQKEKWISGSFKSLIYQEMIWKINQFASEIGSMSANFEGENENLKNL LKGFRKALDSLKDILWVVEALAIEAFTKKPQFWRELFKECKISNFDPKEDFPFFVLLNRG ILNYKDQVIQISIRAEKGWNIEKRLQEMHEKLCQVVLEVSPYRETFIFKNLDEVQVVLDE QFSVLTILKAQPHIKLSVGQANQLEYKILLVQDTLDFGMKCQKQWMYLDPIFSSEDIQTK LVEETKNFKLVDQAFRNCMKEFKKESILWECIDSDKMKVDFSNGVLMLDQIQKSLTIYLE QKRIVFPRFYFVSDEELVQILSQTKDPTQIQNHIYKCFEAMHKLQFTASNAITGFQSTQE EKIQLFKDVKVMEGSRKGNVELWLLDLQSEMRTAIKNYSYQTLIDLISTKQEFIAKWPAQ CILLANHIRWTRNTESAIRGQQKLNLGIFFEQLNKELHETVQLVRKENRIIPKTILEAMV VMEVHAKDIVQSLYKQNVQTIFEFAWISQLRYYNEDNKNVSARMINVSVQYGFEYLGKVT RLVMTSLTDRCQRTLLEALHMNYGGAPEGPAGTGKSETVKDLAKAVGMPCIVFNCSDGLN YIAMGKFFKGLASSGSWCCFDEFNRIDAEVLSVVAQQIYTIQKAIKEEKTNFIFEGENVQ LIKTCAINVTMNPGYAGRTELPDNLKILFRPCAMMVPDYAMIAEIYLYSIGFQKARELSS KIVTCLKLCNEQLSSQEHYDFGMRTLKAVLNSAKSMFNEIEEEICLNALINVNKPKFTDS DLMLFMAITQDLFPGIQLAEGEELSNLYDGCQELDLQMDAEFIEKCIQLNNNINVRNGVM CIGQACAGKTSVLQTLSKSQDALILKLNPKSITSDQLYGKLDPETKQWSDGVAPILIRDN IDKRQKVWIMFDGPVDSIWIENLNTVLDDNKKLCLTSGEILKIPDTMCMLFEIEDLKAAS PATVSRCGMVYFLPVNWYLIVQSIQLPKGFDKDYTIRRIRFFLDNTIAWVKSKHHVFILY DSINILTCSFLRLLSKYLTEDLIQKNNDNIIIFCLIWSFGAAMDEQIRPQFNLFLNNLIE TKISNLQTQFPADSQLELQIEVQDDYFSYCFYQGKWVKWSDTQAPQKIQVSMQFHEIFAQ TAETIRNDYFCQLGLHFLFAGPTGTGKSLSMNKYQQFLITCSGQTTANVLQRLIETKINK RRKKGHYYAEEGQIRIFVDDLNMPYREPEGSVPAVELLRQWMEMNGWYDLETKEFKYICD ITFLGAIHPVERNQITLRYLRFFNLLYIGGFNQQSLTTMLNVFGEWLIMNQVEEIRDLKN KLVEKTINLYSNVQKSLLPTPQKSHYIYNLRDIFKIFEGISKVKVIENSIHLFKLWAHEC FRVFSDRLIDEEDQNKFEQLIQDSLIKLGQEQIQVHNLVFSSCLNKQYEEVYDISKLREK LNMILDKFNSLDSQSRLQLIFFDMAIIHIIRIVRILSNIYGHVLMIGMGGTGRSSLSKIA NFIVFNKSLRTIDSRSWNDQLLIQLKETGLENEQNTILFNDSQFQSEYMLEDVCNLMSHG EVSHLFPPEERIKIQETTTYSQFVKNCKLNIHVVLCMQPVGSLYRKRLRTFPTIINCTTI DWFSSWPQDALESTAEQFLPKQLVKMGVEVHYKILQITERFKQELRRYFYVTPTQYLQML YTFQIIQEQKMGQSQVFIEKFENGVEQIKKAENDVDRIKAKLFELQPKLQKANEDNTQLL IKIQKRQEEADRKKQACEYEEKLCLIQSEEANQLRNGCQQALDNVLPLLTQATEALERIT KDDMILLKSFTNPPVSAAIVMEGLSYAFEEDHLVKSKNKEPPVLQDYWDYAKKCLLNDKL IKRIKSLKLEQIRSISLKNIQKLQIFAKNPLFEKDRVFNASKAAGNLALWIRAVLESYMA VEIIEPKKAELKQAEEKLQQAEELVQEKKNALEVVLEELHNYQIEYNRAKAEKERIEEQV VTISSQLQRAEQLIANLSEEKSRWQLKAQQYKDSQKYIIGDCMLNSAIIAYLGVFPIQYR EICLDYWKSRLQEYDVQISSHYSLQNQLSDPVQINRWLQQKLPNDQFSIDNAIIMKQSTR WPLMIDPQLQANEWIKNMENQKSLIIFNAMWPINQIQLQLQHAIQIGYAVLLENAGQTLD PLYEQILQFNQQRGQRNLYIKFGDKMIEYSSDFRFYITTKLSNPHYQPQVCVVVTMLNFQ VTQEGLIDQMLNIVVKIDEPLKDEQRNKNISQYVINKNKQIQTENLILKLLSEASGDLLE NEVLIKTLQQSKDDAFEIEQRLQKLEHDQLLFNQIKSFYNQVGELVSNIYFIVNDLSIIE PTYLWSLEFYIQQYQRSIKEAQFGKQKRVQNIIERFLHHIYTTINRSLLDKDKFIFRFLF CLKVLNIPIEQIRTCVIGPSITQTDLKMPKHYDWLTPKMWLGLVDLMEKYPKDFGWLNQD MEENHQFWDGYFYSQQSYKIQIPQIVNQFNSLMLIKIIKPEQFINSFNELVRTLMGKQFL ENIPFTFEQFYQESTPTTPLLCLIQPGSDARQEIIQLAEKLGYQDHIYTVSLGQGQIQLA LKLIKSGLHQGKWVLLQNCHVAQSFMPELEQLFENQFKGQHINKEFRLWLTSQPTNLFPH NVLLKTLKLTYELPRGLKNNMLRSYFQQDQEKFEQCKKQDEWKNLFFSLTLFHACILERR KYGPLGWNVSYNFSQHDLEISKEQILYILNHQNEIQWDALQYLVAESNYGGRVTDPQDRK LLNILVHEFLNEHTAKVGYVFSEYVKIPESNNILGYINYIQTLPIEDPPQLFGLHSNAEI YCSILQVDHISQEILQVLPRAIGAQQNTDYISKQKCKEIIDLLPQQFNLVELEQKYPILS KNSLNTVLQQDVGRYNKLLRTINSSLSNLIKQIDGYINMSDDSQDILGNIMDNKVPNEWL KHSYQTTKPLATYIQDLLDRVAFIRKWIIQGEPIIYWLGGLFFIQSFLTGILQNYARKHQ IPIDEVKFDYEFHQNKPTQKPEDGFYVEGIYIDGAKFDFKTNSIEEPENLILYYNSPIIH FKPTLEQQILQNYACPLYNTVQRRGNVTSTGGSANFICNIRVPIRQSDSHWTKRGVAMIL QLN >CAK60374 pep:novel supercontig:GCA_000165425.1:CT868008:146705:147599:-1 gene:GSPATT00005123001 transcript:CAK60374 MSADSIERQKFAKFNEEQVLDNQDQSTTTQFKQSSNALSTTSAFTENNCKIKPIKTQRSL LTLTPQISQRRGSQSLADQTMRNDDGHFAQNHYDKKCLYMIYWYDSNIDHKYEIPIKTNN FQITIGDLIQLAIAQFNEQNEYLQTPFSSDVNNQYLYELYIPKKKKGTPNEDFPSFANST LLSQTNQTEFSLKVTQKQSKYSTSLSNSKHSSAIKQNEKQGVGSKNSSSKKKNLFQKLFF CCNQTEEY >CAK60375 pep:novel supercontig:GCA_000165425.1:CT868008:147994:149578:-1 gene:GSPATT00005124001 transcript:CAK60375 MITNTNGIAESIYHYIWLKDDSLLKESFQLRLPDTIIFKNGMPQVWYFTNQSGEILMKKN DCRKPENIINYFCNNSKQNGQVIAYYIYNSKHNVKDPINDPHEIQKSKQFDVNEKICIYY LTKETFPQFIKNNNKAPEGILQKFIDPIANHEQLIQAIWSPSVCILSKKQNNRDLYDMQF DPYERCATFDGCEAYSKVIPLRGKQISQEIRKQCQMIIQKLTNLSYGQTNISRVVMYFKP DKQNHVWFLYCSSIRLQGEADEQIQQYKSIWGNSNIKKNNTPISFNTNFKRPHQIKNVLT VNTMHPVTLIKNIECVECGSLCQKDDLYHLAYEFIIKHFEMNPNTNPIINDNILVLKPKS FVVSQDHIRDIHSHIPPLIIKLYPQITVALYEQMKVNDAFLIKTILVCESCFLKYSSSNS TLSGSSQRVAKQKKMSVRLKSASILQRKPEIKEYLFQQNLDLIQQRKEAFFKSNSNKQSF NSNSQFSTALNTHSASHKYKLSLESNFDQISFQLNTAR >CAK60376 pep:novel supercontig:GCA_000165425.1:CT868008:149881:150885:-1 gene:GSPATT00005125001 transcript:CAK60376 MKTPGPGNYQLEQSHTQRPKNKGFSTSQRTNILLSNHPGPGSYEIEKPKFQTNIKFPKSQ RSMDWSQIGPGPGAFDLNSPKQQGITFGSKTNQQIDRANVPGPGSYDPDVVEGKFKSIKG AKIGKSERSSQNFSKLGPSPLDYDVSNYKYPTRHASFNKAMRPSIISTERTPGPGSYTID SQLKKNGAIIPKAPKDQINFENLPGPGKYNPNDSMISNKGPSYHIAKKYDKPQESSLLGP GRYDIIRDINDGPKYTFPTLEKSMEKKSIDLNHSHCYEIQQTIGYIPQYVLHS >CAK60377 pep:novel supercontig:GCA_000165425.1:CT868008:150947:151332:-1 gene:GSPATT00005126001 transcript:CAK60377 MIHGPNSQFSGAFTIGKRYECAIYQTNPNPGPNHYSVNPIDKPTGYKFSKSNRKPLYQAS VAPDPGTYDSKLQAIISITQLGNHTSHILSQIYQSKGDISQRNRDWPGIIQFI >CAK60378 pep:novel supercontig:GCA_000165425.1:CT868008:151441:153049:-1 gene:GSPATT00005127001 transcript:CAK60378 MFLHLFKKCGDNIDDSNETSLISDSILLIIGLLVFNELLLQTIATKKLKYPYLKYINDTI ITTSIGLITGYILTFSKSGKDITMTMKMGFSQLFLIILLPPILFESAIHMDSVTFFEHFG TINIYAILGTIISMIITSFFIFICGQLGLAKELQLSKCFAFGAIISSTDPVAVLSAFKNM KQSKMLYTFIFGESILNDAVSLTLFNAVNEIINQETSDLLKVISQFLLIFFLSIAIGYAM GIISAFVIRFKNQAIANFEASLLLLLPWITYLISEALEMSGIVSIMFCGISMARYTIPNT NELSKKEFTKFYEIIAHIFENSVFIFIGIGVIGFNLPYQETGVGIIISTFIAINLSRYFF VYLITKFANQFRSIHIINEYQMNMLWFSGLRGAMAYALSIQTIILYGPIGEVLLTISIAI IMINIYVQGVMLDPILAKYSQNLIENYEDEEQEKSKNIFKQIKEGISQIDNLFIQICLSE NNDEEVPLCINICFQNRKWSLRS >CAK60379 pep:novel supercontig:GCA_000165425.1:CT868008:153180:153884:1 gene:GSPATT00005128001 transcript:CAK60379 MLENEQQIQEDPKTNLLNLDSGIEFIKPIRHKSSYYEKDFFVEPWDENQDNFLQLSPKMK EEQQQERKTRSIKIKLPQSRTQSRQRSSETQQTVQITLPLHDRAGSCHAQFQDLGISNHS TTYKRIVDKMKNIQMNKTPAIQYNHNYKQFSLKVSANKKKIKQIAQVKQKEPFSWSDYYK FIQKNHNQIKPNKMFNPLINGYTIQINKSLQQKSDKESILKNFRLRSSYNKTIV >CAK60380 pep:novel supercontig:GCA_000165425.1:CT868008:154795:155442:-1 gene:GSPATT00005129001 transcript:CAK60380 MILFSAISKGSLILCEYTESNEDFQSLILKQLKFIKNNEEKQQFQIVFQILILSQNEYTL YHLQKNQYNFMCLLQQPENSVIEDQKTFAYQFLQDIADQFQLMTQSQGIDKGQFTKVIAE IMVQYNQKTENHVGQLKQEIQEIQTHQEINTQNMYQEPKGISKKEHFGYSIYTCKSIFFV INLFLAGMLVELFTKFDKYL >CAK60381 pep:novel supercontig:GCA_000165425.1:CT868008:155519:156866:1 gene:GSPATT00005130001 transcript:CAK60381 MGNCNINEKQEDLLESNITSISNFQFIDAIGRGGFGKVWKVKKKKNKLLYALKVMSKAKI ILKKSVQSVINERQLLSNLRHGFLINMQSAFQDKEYLYLVMDLLTGGDLRFHIGRLRRFN EEQTKFFAACIIIALEYLHQNGILHRDLKPENLVFDSSGYLRLTDLGIARIWKPENSADT SGTPGYMAPEVMCRHNHGIAVDYFALGVIVYECMLGRRPYLGRSRQEIREQMLSKQAAIK RQEIPPGWNIQAGDFANQLLQRKPQNRLGSNGPDEVKEHPWFKDFNWQKLENKIMVAPFV PNCNEDNYLPSDGRKDSDDSLSQEQQLLLRRNSIQGMLQLNYQDLFNGYDYDSSQQQSSQ NNLMIVSSQSSTRLSKPPTSSSTPKSSKL >CAK60382 pep:novel supercontig:GCA_000165425.1:CT868008:156890:158731:-1 gene:GSPATT00005131001 transcript:CAK60382 MDIVGNVLPQVLKDEATEDKGEMARLQSFVGAIAVADLVKTTLGPKGMDKILKPTGPGQE MTHITVTNDGATILKSMYVENPAAKILIEISKTQDEEVGDGTTTVAVLAGELLREGEKLI QKRIHPQHVIAGWRIARDVALKRLRDISTENDIESQEFHNDLIKIARTTLSSKLITTDRD YFADLCVKAVLRLKGSSNLDYIQIIKLPGGTIRDSYLDDGFILKKQITIGCKRRIENAKI LVANTAMDYDKIKIYGTKVKVNSMDKVAEIEAAEKEKMKHKVDKILKFQPTIFINRQLIY NYPEQLLADSGITVIEHADFEGMERVAAATGAEILSTFDAPERRDQVLGHCDLIEEIMIG EEKMIKFTGCKKNEACTIVLRGSSIHILDEVDRSIHDVLCVLITTVKNRRVVWGGGNSEM QMAAACEEEAKKVQGKQALAIEAYARALRQIPTIICDNGGYDSAELIQNFKVELQKGNLS YGLNMNDGTVGDMKELGIKECMRVKEQAVMAASEAAELIMRVDDIVKCAPRKRERA >CAK60383 pep:novel supercontig:GCA_000165425.1:CT868008:159808:161488:1 gene:GSPATT00005132001 transcript:CAK60383 MFFNNSEQNFQGNTPLGYQFGGAYTFGTSERTDWVKRDDKVQSKTEQATMCERFEETLRI TEKLRQSQQKSTRSNFPRDDRIKPIKSTNPPVGQYNLHKQLILDEENIKNISDKTQQEKK KAQFTIQTSLGKIKPNGEIDTHPTWVNGKEQQFREDPIGPGSYNPNIPGKTQAPISFGYK ETFNWTKDGPSPDKYYNSETFSQFRTTTSWQDTKHRKTGFGSAPKNVWPKSIDLGPGQYQ PNELPKGLTISFPKSERQYYKSSEIPGPGAYAPKNVNVKKAFSIGHKYIPFKTSDFYVPG PGTYNQKLPSNGKYISMPKDKRQDLVTRESTLKPGPGQYIQSTDTIKPKEVPIENQHGFG VAKRYELAQDDNIELPEKTELQKQEEREKIGFSTLGGPKYSMRQKNEKQSINQNPSPGKY EPDYDFKYGQQSEYKPPYHYTVPKTATPQMGLSNRSDPTISKFKEIGPGSYTALPSQSGP RISMPKAARFPIREAEDVGPGSYKIGTTIGLIPKYHFEKQRDQLETSLTQFDKFHK >CAK60384 pep:novel supercontig:GCA_000165425.1:CT868008:162083:163105:1 gene:GSPATT00005133001 transcript:CAK60384 MSIIAKNYQEIVEENSCLQKKTIRKPKRRNQYTVEFKFGSNINTEILRDYLEVYYNIPPQ IAEIQVIRLYSNIQFPNDFKFQAKLGNLSVDPHSYNYKVIRIDKKNNLTIFTLETIDHPF TPFEQFFQKIQSSNPRLAQSFSAFNTRNLDTNTYKQTKEKFIEDFELQLDSAFTCYLYKL KNGVCKTIQRVINDKFMDLLGISYSMLEDHVLSTSTLPFSTYIDPNDDVSEILSGLFEGN LKQDIRTREVMNYNGQIFHSRVQNKSFFTYDEEENAYYEYAYYIYDCDPRWLTKQRVMRN QEEYFNEKWFNQDKLRIRLASEDSQSTQCNKNCRYKELDF >CAK60385 pep:novel supercontig:GCA_000165425.1:CT868008:163452:164411:1 gene:GSPATT00005134001 transcript:CAK60385 MIYIYSQTQFRMMNHLFSQPSDLSLKQVGTNKKIKKMKSKAKAQNGQNNQKVSTSTKDEV KYLLELTSSKKVDKNQLTELPSLTKTSSYLMGHLESDIFNNSYKIISLQQQKQEIIVKCL PFKETNLLDIKKDFPFYINLDQVSMNIYSCDTQRTLECVQQKDVPLEKFEFIINPNQDII GCNRIVNNSWLKMFGINQDMMIHNLLKNQSFPSGWGFENQIIQNQVSNTFFNKKLRVQLV CYNSTKFHADIHVRQEIETNSKNQFLQRYQIQYFINRENLSLSQVEQNFQIYFQLKDLTQ ELMDKFIVQTNKQCQIKKL >CAK60386 pep:novel supercontig:GCA_000165425.1:CT868008:164880:165825:-1 gene:GSPATT00005135001 transcript:CAK60386 MKCFSKKEFLQLVRILADLMTMIYDSKHHSINFSSVLIEQQQFKLWRNSLGKGNVISEKK SLIAISSLQARGGTDIGNGMKMVLSILKHRKDKKPVSAEEKVREDLYQYKIRDSFTIKTV GFGGDCRPKGQFYFIPNLTNIDECFTEALGGLVSVVANYIQLSVQPMNSNKTQIKKAQGD KWIYDSQKGAYALYQPNLLSGVRKGYIFEVPDYKVSTKQEVRVLFQAYPVEVGGKVTIEQ IIEIKSSDFQNEILLNYYRVKGLECFEQAKIYAEQSKFSKILIKKSKSVSSSN >CAK60387 pep:novel supercontig:GCA_000165425.1:CT868008:167070:167789:-1 gene:GSPATT00005136001 transcript:CAK60387 MRRNRIGTKAEFLWAWDVEDISQMNGPLAVQEYIQELIRADSSNIKQIITPPPEVDIHVW QYEHLRQFILELNLLVTQLKGLCTAQTCPKMKATEDWLYLCAAHKKAQECSAIDYMIHNL DQSTSILTNIKTYPSRVSINPQNATNNFAFIVRRLYRLFSHTYFNHKEIFEDFENEMFLC TRFTEFALKFDLMSPKLITIPKEALKL >CAK60388 pep:novel supercontig:GCA_000165425.1:CT868008:167838:168620:-1 gene:GSPATT00005137001 transcript:CAK60388 MSDQNKLKQYKLTKPETFSMSFYDAYKEYQEWLNNANNPQRQPRKSHKWHSNITDYFNYG FNEHTLQIYVHKITQLTEIYRKYRLQFADKCDNSKETIFNSAQEIRRQTKDVYPIDLGGI FIPVDDKVVDFGFKFQVENLYEQYNNQSSNEKFLYNQYHCTGEALIFKSKFISDIFRKQV KDIQDLYKQYKKEHQRDLYLLNTAVKVFPIQKEDEISIQIAQLVKKITINYEKSEKSDKI QRQKRRERSRTPKKSRSKKQ >CAK60389 pep:novel supercontig:GCA_000165425.1:CT868008:168733:169404:-1 gene:GSPATT00005138001 transcript:CAK60389 MLILAGKKLKDLNEYTQMQNNEIKHLDISNNLLKSGKEFAYFQNLETLIIDNNYYFNLND FPQLPQLVTLSANKNNFNNLEIFIEDCQTKFPKLTHLSLIKNPICPMFIGEEEYQTYRST LIKQLRNLKNIDGVPVDPNEVDPNYFKQQKIQQQQQQQLNVILLFKQQKHDEAKGTIEFN QKYQKPGSKTVKQRSEGNRFVKNNQL >CAK60390 pep:novel supercontig:GCA_000165425.1:CT868008:170376:171171:-1 gene:GSPATT00005139001 transcript:CAK60390 MMKEPEKIMKKNILNGQIKYQVKWKGFDETTWESDETMKKYKELIEDYNYFSLTGERYDE KKLEEIRQLTVQSQPRTAIKRVAMPKLTPPSELNKKEKKIDKIDSKHIEIIDNPKNDQQQ DKVNIIQPSNTTINLPETNKNATEKLANSNDKLEIVKLQSNENKGLFSLIWKQRSDGIQP YCDEYSYEDFKTQAPLFFIQFFEACIFECQSQNDVKFEIQGQDMAERIKVIKDILEQNDS DKKISQIQK >CAK60391 pep:novel supercontig:GCA_000165425.1:CT868008:171309:171614:1 gene:GSPATT00005140001 transcript:CAK60391 MNLKQYKKSNQLPDFETLKYHRQVQVSKNPSNLIEFVNVNKKFTQKFENMSTRDCLTDIF LKELSETDQQQNYEYSPNEYINSLIVHRNPMFLQKKHAKIN >CAK60392 pep:novel supercontig:GCA_000165425.1:CT868008:171624:172639:-1 gene:GSPATT00005141001 transcript:CAK60392 MSKTLLALGTIALLGTLMMVNQPEQLDFASKYQTFKQKFGKVYSQTEDAYRMAVYTQNVL YAESVNLQQGKRVFGETIFFDLTKEEFAETYLTLKITQEDLNLERIPAKNISAAEKIDWS QKGAVTDVKDQGQCGSCWTFGTTGVLEGFFFKTTGELPNLSEQQLLDCSTFQDFNLGCNG GLPYRALQYVKRSGITTQAAYPYKGVQGSCQIKGGAYRIKGAVQLEATEEALISYLNEGP VSVGVDATNWQYYNPSDEKVFSTCDSSLNHAVLAVGYDKNSLKIKNSWSAQWGDRGYIHL KRDGNTCGVYNTNVVVV >CAK60393 pep:novel supercontig:GCA_000165425.1:CT868008:173946:175007:-1 gene:GSPATT00005142001 transcript:CAK60393 MAQQTPKQYKANLDQQSTPNQNNKGCPLNQSQGVDSELLSSKKKEVYDRIMSSQTNRDNQ TDVSQYFDHLGGKCLCSLCNCGKHKCNSKNCVNKPQLHGNYTIYQKEFVKKTPENGSRCN QNIFQQPKPEGDLGNVTTYKHDFPGYNSKVELQKNTSKPTVSGVPFSGISTYNNMYLNWG MGDTPQLLPQNNPTVIKEMPFMGKSIYKDSYQGAQTVPVQSCKNMNKALKSPLSPPDLKF YAESISKSSYKPFKPETTQSAKGKQDSTLNPSYNGQYNSEYRKEFDPKHQSQCPAKEVLE EVARSTQF >CAK60394 pep:novel supercontig:GCA_000165425.1:CT868008:175489:175653:-1 gene:GSPATT00005143001 transcript:CAK60394 MHKRAQPTFKNRCHLINEKFGPLMMNFKLQQQLIINGIEYSRSLQQNIFLPKLL >CAK60395 pep:novel supercontig:GCA_000165425.1:CT868008:175750:175929:1 gene:GSPATT00005144001 transcript:CAK60395 MFEQLMIIFEQNKITKILISKSKFTLEKDNNQIDRSIPKCLLNYQGNKAVSRRYNIVLK >CAK60396 pep:novel supercontig:GCA_000165425.1:CT868008:176172:177582:1 gene:GSPATT00005145001 transcript:CAK60396 MEINLGQSRKGILTLFSIRFKQFKQFNQRLREYFGNNIPQLPKQTYTNFIVRKTEQQIIL RRKGLEDYLKQILQNEQIVDSIIFKEFIQSPSFTISKLQLDYVGTFELGLRDFYFYEDAI FFLLAEMNPLSRATRKFHNMKFPWQEVIATSNLKPLGYLDCYIGPQMIWRKKYNSQPICM CVTMKNILVGLDNGIINHLQFKSKKHMLSSQDYHQHNGRIMGLHIQGDNIYSVSKDQRYK VMSIKKSQIYIDIHHKNELTCLKYDEDRDYSFIGDRGGIIYTYNQDTLIFSLDTNLQFIR DLIIKKSKDTIIAIGFQTGQAIVLNIVGKEIQKQEATQFKNKIKSRCIAWSHLRDEAYIG NQEGYVTVWDVKRKIPIYEIKLHNGPITKILWNEDEEILCTSSKDKTFKITCFNKNKRET LIQKFRLSNVNFKGNS >CAK60397 pep:novel supercontig:GCA_000165425.1:CT868008:177837:179373:-1 gene:GSPATT00005146001 transcript:CAK60397 MHNVDKQRSQEQTELIVNNVRNGDASSPEVVVIGEGELQRMKNNAIITTKEEQLYQKKLL EEQKEKQMAAAKAKKQRMMQMEEEKKKQVPLTSQQEEDKVVKDSLLARAAEIMNEQMDDV KEMNKMVMYAKCVTVRDKQLYEKKELINQYKVQEKRKDLMMEIERLKSIKYHEEKDKQRK VEQKQGHDIIIEQIKERELIRLKDKEEQEREGQVMLKKIKQLQQEEAQKAMQKKVSQQKV QEEILEANDRAILVKEKRKLEEREEEEMIVKYNLQKAQKEAELLEEQRRIKEEKEREVQR LREMQEKAQDRQAELDALRAKRAMEQNERQAREKERKEAELKMRLNHEVHEARKLQQYEK QDRLEEQARLERDEFQRVIQKQKQERENELKLLHDKDALVKKHADELRKQISLNEEKRKQ EERDKLEEGKKIRDKMLNEKKLLENIKDTKLRALNDNGIADKYKAELARKKINILI >CAK60398 pep:novel supercontig:GCA_000165425.1:CT868008:179630:181147:1 gene:GSPATT00005147001 transcript:CAK60398 MLNLIIFVVLAYSQANNPESHSPQINNTNADLQVFDLLIMGPNNNSLIIVENPNILITFY QQQCPYSQQFFEELSILKNESDQLNITYGVYDVGKDDFSVYQSMNRFGIVETPTIMFFQN EIPHMYDGNKKSHLVQKWIQEFFNGNNPPKEILSESEFNSLLGDDKNVLFYQKNSSLKYD ISFDKFYQVAIQNTDPNTVFAYSSHYQKNNLFSLKFYKKETNEEFTFHHLITLENIKKFI LKHSLPLIPELNSESEGLIFEATSFSFILFTNLDEPSRQAESAFKEVAIGFNNSYQFSKI NISNDQYFNYLDELGVNDGIIPKIVALNGKLKYKYEGPDFSVNGIKAFVFNLRQGQIGSY KLSEPIPDNDHTNSYVKTIVGLNYDTEIKQSNKNVLLKYHVKGCQPCEELDPIYEELAYH YREDQSLLIAQIDVRLNDFTDLYYPRSTPDIILFLQKKGERNAIFWNKQEMTFDNIQMFV EYNIQVQQ >CAK60399 pep:novel supercontig:GCA_000165425.1:CT868008:181362:183222:1 gene:GSPATT00005148001 transcript:CAK60399 MGSGASRSLTIPVVYEESQANNLANFKTTNKQKLTITDPYMKLQQGYHTIPLIVRKIDKH EEIKVVHGVVGLKNLGNTCYFNSAIHCLSHTQPLLDYMLSRVFEKEINKNSKLGSKGQVT ECFAQLLSDIWKDERSIGMSTINQGNDTKDKSKDKQLKQKQYENWVDPLRNTQGDLKQDV NNQHNQLEQEDCQELLSYLLDMIHEDLNRCKKKENIKEKDYTGDPKEEWAAESWGEHLKI NKSIVVDLFQGQLKSTVECKYCNYQSHKWEPFLFLNLPIKQQKQQQQQQQQQQSFQSKTL GSSQLKQDTTCELTECLDQFQQDETIQWKCPKCQETRDCKKGIRIWKLPNILIIHLKRFE YGTKQSGKITQKVNFPLNQLDMSPYCNQQNDTVYNLYAVAQHHGSLQYGHYVSICKHRVD NQWYMYNDDAVLKVIQFQLQIQDPEKVIVDSQAYVLFYQKQTETIFRQTITNPSCWPHNQ SAKKQSQPKEQLQLMEYEESEQKGQLDETSPHNISGNSIKIDTQNVKKKHSYNHFIREQS IKSNSIFKRSRPSEDNENNYTNKQTSITKIEEQWGFGQDNDKQKEMDKNKDRKPNDWRSK GTRK >CAK60400 pep:novel supercontig:GCA_000165425.1:CT868008:183275:184488:1 gene:GSPATT00005149001 transcript:CAK60400 MKTNQIPRFFCVADFNKENFNNGTKHQRVQSSLAIREKSSNSFHTMIPPQKPQLKLNDES SNRTKEGFHRVKTDSNMSMPLRNGRNLSITSGVTTLLTNKSFQLPKKYDNPQSVNAYKKE IVQYMREKCFRSNYKLNALQFQTEITEKMRSILLDWIVDVHFKFKLDQETLFLTISIIDR VLEIHQISKSKFQLYGVTALFIASKYEEVYTVPHVRDLVYVCDNAYTKEEILATEGKLLS LLGFDLLTTSPLRMLNAYQETAKLDQKNYMLARYLIELSVLEYSMLQFSNNVLASASIYL VHKIRRIHPSWNQDQMVPLTGLNELDIRICAKEMCSLLQNQDKKQFASIRKKFSMPKYCE VSKIRIEKKPSQNLQTLPQ >CAK60401 pep:novel supercontig:GCA_000165425.1:CT868008:184628:186208:1 gene:GSPATT00005150001 transcript:CAK60401 MSKAKSRYSTEEQAAVQSKVQSIQLPSIKQEQVNSVSVIALSELYQIKNHIQGEKQTKKT LDNQRLWAQRITKQRQQKEAEKLERFTKEEEERRKIDKEEEEYQERLKNELVKESNQKIF DQRADVRNLKAQMMLSEVERTNEQLNAINREQKELKKIHEEEKEKEYEMQEYYKEQELML RKEKQAKKKKECNEILADQHKQVKERYLKEMMLDKQEGQLIKKKAEEDEIFREQQKLDIK HKQQKLLKEHEEFMEKRRELQELEKSILDEEDKKIIDHEFHKEKVLQMRKDREHQKQHKK EQLRKQIYDLRVEQQKQQEDNYMQTIQKHAEELKQKQDEELKQKELEKTQMIQDAENMRL KQLQIQQQKKANQQAEQEVDFKQKLKALEQLEQVEQLQNDVLKRRNKDLQDYQKIQIEAK KQQRKNQYMQELKESLQMQQRNQTEKQVYSSWAQQCIEEWKDNGKNILPMVKALYQTE >CAK60402 pep:novel supercontig:GCA_000165425.1:CT868008:186339:187182:-1 gene:GSPATT00005151001 transcript:CAK60402 MASHCNTTPQKMLQNYSTDHSQRYVSTCSDGSDQDTENLIKQPTIRKKSFATPGDKNDQS DGKRQQLRTKICRNFQEKGYCQYKDKCSFIHEPHRIENFGNKRTKPCRSFFSTGVCPLGL NCQYAHYEVIDKEELRDFVEKTFREQKLMVPLHPNKLQLDLRNDLQRFQHLYKIFGRKLS FRRDDLLVNICRERNSIFLRLCQSEVQEFTNFLQ >CAK60403 pep:novel supercontig:GCA_000165425.1:CT868008:187233:189265:1 gene:GSPATT00005152001 transcript:CAK60403 MWQMKKTYKFNFKQYYRQTMLTQKFISDSPAKKRDENDEQDYIFEQLEQINKRIYNYQQL IKQKINILLGNNTMKSIDYDSLQKQLSDLRLEEQNYSSMQFDIDRQQSSVFDYYESEFNK LKSLYETINKEHQGFIKQKQNANELYCQMIETKQILQEDLNTHLLQRAELVGQKEELEEF VEQVKNQNEQLFMISQMYFLNGKKYQENQNQVATQEKEIKVLQQQREEKQKQLGLQHQAT LLEVQLHQANQKIKIQRNKIIPLHKSLNLSHPDNLFQEFQQQNDITSIDQSDFNTKLAQY LQSLRNNLFKNSNQGTNWGSMKEFITQLEQFIIVSMQQKLIQTQLSEMKLSLRHHGEELQ IQNKIQEIDSQMEMKRLVIKQLEEQQEQFKINDAQDQFQSMVEQSALEAYDMYKTLNKEQ LQQMKEHEDYDRMLLSIQEEIIQIISEKENVIEQLQFQQQFINVMKLIEKFETSEQAINT NIFMVDQQILPQFKQVVQQLSKLKREIEHLNQNEKHYLERTSKIEQEIQYMEQEFKKKMD QFQRQENELNNKIYEIKQSIQMVQDQLINKIKPNKAQLEQEILQMNNQLSQLQEQKYNLE QITYNLNLDGLNKSPSGKSILSISKSLNQLAKVKLNITPIKQMKQSTTSKTNSSLKSIK >CAK60404 pep:novel supercontig:GCA_000165425.1:CT868008:189277:191541:-1 gene:GSPATT00005153001 transcript:CAK60404 MYACETMGGADSICSDKTGTLTMNKMVLTKIWNKQFYEIDYLAKEQNLSQLVSKSMENLF LEALCCNSSAELTPESGSKTEIAILEYLQKARIDYRRMREQVNFIKKNPFSSARKRMSVI VDTKHNGLPVKRLYIKGASEIIVQSLTHMHTYDDQKLKLGVKDIQEIERIISQMAKQSLR IICVAYLDLRGDEDLQKMNGKVYDIETQDLTFLGLFGIMDNLREGVKDAVTKCKQAGIKV RMVTGDNSETARAIAMNCGIIEQGDGQAIVIEGAEFMKEVGGVVCKNCTTELCKCAKSSN EAEKNGTSLRVDTLGNMSRFRQIYPQIAVMARSRPTDKYAMIIGLKECEHIVAVTGDGTN DAPALKKADVGFAMGKAGTQVAKDASAIILMEDNFSDIVKAVMWGRNIFQSIRKFLQFQL TVNVVAVGFTLISSALLKQDVLKPIQMLWVNLIMDSFASLALATEPPSEILLKDRPYSRS ESIVTNKMIKHIIGQAIYQLAVILVLVFLAQEFIPEYADDYDDVIRDRIQEKIEEDSDFV FEQSSLYHPKYNTDYYPESLKIRSGRFLTVTSENDFEDIFNEFRVPSRHYTFIFNAFVFM QVFNFINSRKLNDEINVFANMCNNLMFVLIVFFIIILQIILVTFGSLAFSCYSYYGLTIQ QWVISLIIGLVGLVVSFILKLIPEQHICPKTQNAESKTLDKKPSGILELRRGSSLRKKQS MHVDQPPIQDNHIQLIEK >CAK60405 pep:novel supercontig:GCA_000165425.1:CT868008:191621:192831:-1 gene:GSPATT00005154001 transcript:CAK60405 MQSPNKSALSHRDQADDVRPLAVQEQLDLGPFKITPQQLQQIFHLNTRRSTCEELDYLVQ QGGIDWLIDGLHTSIKDGINDDQDQRIQVYGHNKRIVRPPQTYCELLWNALEDFTMRVLL IASIASIVIEVATADNEHRHLAWIEGFAIFVAVLVCTNVAAMNDYSKEKQFRKLNAASEK SKIVAVIRNKQLIQIHEEQVLVGDICKLIEGMEIPADGVLLDASDVKVDESSMTGETHSI TKGTINQCLKQKQELQDEGVQFGEQDRFKIPSPALLSGTRVLEGEGLFLICVVGDLSCLG QIKASLEQEEEEETPLQVKLTMIAEDIGKFGLISAVLIFFVLMIRFAIERGIANEWDHSK HWMEILNFIILSIVHCGSYSRYS >CAK60406 pep:novel supercontig:GCA_000165425.1:CT868008:192848:195220:-1 gene:GSPATT00005155001 transcript:CAK60406 MLEQQSKAREYLRLILNITISSLFAVLEQLLCNIQGTLIWDLVFIPCGIIFSLIHITSYF HWNKGDLSSFFFWALFMKRVFLFGINQGEFVFFLFGLLNGIYTNKLNVNDKKKYYWKSKT IVQVLVIFVLTIINLMKELREYNNAHISIFIILTIILGVNDNIDIQNQTTTKQEEFQTDL KHNQFEIKKCQTTIFQMQQQQQQQKSNWEQYQQQTDEWICKIDLNRYSILESLNSCEQNY ALRKSLGDYKISIQQLFQNLMITSQSTNLQQSINLWSEIVETNSLQSWLEKNFFSDSSAS KLEQAKQKQYRYGMDGIQGMQEDQISIISPQNEGKGTFNNKDQIHELSGLSAFQQHGDMQ GSNSVLFNKTTLGCYFQTNQIRIEMSASIFLMDDEFDNKKQIIILVLRNIDKQITKIKTS LEKTEQQRIVFYKYINRVADDVSQILQQIVQIKKVLDQRQKEFDKIKQNNFITLSFCDGD AFIKSEKQIGEMKLSQKLSIPIQSPVQSAFQRVTSSLSQQHDIQEEQIQKLHTISQPYEL TKQIEKWQFNVLQMEQNNFNFFELFSLSEYKTDRFNFSTSLNIVKDLFKDDSCVVKHNIM ISQVLKNENEMELTSDKRRVKQLLINIIKNSIQCFDYNISKGKSEFLQQMRIEQKQETIH QELKVQNTIIIKSWADDEKITVEITDNGGGINQEMLKNRTQDCKLGLQACQKILKNLSHD PRKPLEIINYMKTKNGIKGTVVQFTLSKQFVPTQSSEENVFSDSLTINNKVELN >CAK60407 pep:novel supercontig:GCA_000165425.1:CT868008:195679:196064:-1 gene:GSPATT00005156001 transcript:CAK60407 METQQVLRNDNEDLSFFEPKYFLIVVLICFAIYFLLKDDGKKETIKDKVWKKLAFSYQDM MNTFDNSEKELLKHTFHNTIFNTKFTTVNNYIRNPSKKKSNVSFDLDKNVTHMFQENGY >CAK60408 pep:novel supercontig:GCA_000165425.1:CT868008:196230:197597:1 gene:GSPATT00005157001 transcript:CAK60408 MNNCKWYLFLIDHVKIKLFYSIIGFIGRECQVDFDHHNFLGPSKVLNNINLYVGLCLIYN ILLKIKTEMRLLIGLAFITIIFCQQQHKFNPEFLNLISTGTGLGTARDAIQAVLQLLEDL KNANEELDRKADKAFQEYEGGVLNDCAAFTGIMKENNESLQKNSEDLEAVDDKIAQTTDY LNWNEKRRKSNDMKLEDLAEQRCEANSLFIDALRDYREALNVLEWVNGDLQLKEQNAFIQ KEEAQEYTSKLSLYTNMIDHKEVFSQVEVSQEDQAAQVVSEIVGKVQGLISKIQEHIKTL EEQEITSANDFVDYRRNLLNEQVLLKQEYDSRLKFLNSLEDDKELAQDVVSQCEKILGNT QRILQQTQNAYNQQKAKYVMEKQKRHEENQIIIEIMMLYHQKIAQAEEFLQKKGV >CAK60409 pep:novel supercontig:GCA_000165425.1:CT868008:197837:198536:1 gene:GSPATT00005158001 transcript:CAK60409 MEELFKKIVNPNNPKILAVGKNYVKHVQEMGGEEPPKEPIIFQKPFTSIILVDKPQVLKL PQLGHEIHHEIELGFMISKQGKNIKKEDWLDYVGGYFLALDLTDRELQAHFKKQGFPWDL SKGQDLFFPISNLIGKEKIEDPYNLTLELRINDKIVQQDKTSSMYYKIADLICYISQFMT LNPGDLVLTGTPHGVGPLKQNDQLNGIIKQDDSILARIDLQIQ >CAK60410 pep:novel supercontig:GCA_000165425.1:CT868008:198576:199757:-1 gene:GSPATT00005159001 transcript:CAK60410 MYRFRQLWKFSTLQFHKGVSEYQNVSENVVLRGVTIKGRYNDLPNLLFFTEACDPVENWI PFFSDPNNKILDYRNVHILSPRNFGTSDKHYSFDVQDLANDVIRYMYYNKITMATLSGHG FGAKVALAAGCYHPERTTGVFCIDYSPMDQRYHEAFTEFRGYIAKLSQINTKESTKSQIE TYLKDNIDCPKWRSIFSDNLIKLPSGQWDWKFALKFLNDNVSFNKADSIAFWPVKAGLFT GRAHFAFPEFSRWVHLGTNTLPMLKVCPQVRGFGHDIHSVQGDNNTLNHWIYEFDSQSFV FASRFTKFLSMYDGVHLLLKDRTDVGKEFVPSIIYSKKDPNHIYSDYSPAHYYHNWRFNN VYKNLETPNK >CAK60411 pep:novel supercontig:GCA_000165425.1:CT868008:200523:201074:-1 gene:GSPATT00005160001 transcript:CAK60411 MGSKPCCCESPKNSMKEIKIIIHQISQEFQIPANTPSKPVPLQMMQSLKEEDDFGLFLVM EQYERLLIKQNNRNKSYSHSYQIQTETKDFTTIYNSKKHQQKFDLKKSRSLTNSKISTAS QRSAIKSILKQKLTQNQISYKQQISDPQRSIKSVHFDHVLSPKNRISRQSQFAKKRIFLK SYF >CAK60412 pep:novel supercontig:GCA_000165425.1:CT868008:202752:203915:1 gene:GSPATT00005161001 transcript:CAK60412 MTFKTLMVLLLVTMAVATESPAIEKLRNKLDQNEYNSQLVDLLELSLAGGQLDRVFELLQ KMVDDLTGQINAANLDHASRMAAFESSIEQLEANLATLSSEVQTNNRKIGDITQAISTLT STSVAVKKQLETINQREEQIRDNRQREIQVLETKQSAGSRILAALEDIHDRLVKAVLSNT GSFLEEAEKKEMIKQVKKELGRTHPLALLLEFSSKFDEATAKRAIELIEQIIASIKEGEV NRESNQTAVEENFNSLVNEVTVVRDKLQQDNQKTTSALKNRQNDLKITQRRNKQLEQNEA TTQQLLETTRVQKDLYDSNFRSNASKREGQLNSLKTALQILRDNEQALKK >CAK60413 pep:novel supercontig:GCA_000165425.1:CT868008:203927:204483:-1 gene:GSPATT00005162001 transcript:CAK60413 MSMSEQSKTENRIFVTGYSTKDKNEEDIKSLFSKYGEIIEFSWKGRFCFIAYSKAEEATE AVNEMNQKDQDGNTFVVEIARAKKKDGECYKCGRIGHFARNCRQKGRSSSSSSRRHKRKV KRHRRRSPSSSSSSSSSSSSRDRKKKQKKPKKGKESSSSSD >CAK60414 pep:novel supercontig:GCA_000165425.1:CT868008:204799:205659:-1 gene:GSPATT00005163001 transcript:CAK60414 MDNEDQVKQTSVINFHYNQGIKKLQITKSYPIEKSGFKANKTRSKPLVLDLFHSIKQGQD NKLYDILDVNQYMSLKDQQQSQNVPKSLRCKCNKSMCLKQYCDCFANGNMCTNQCQCQGC HNSEEYMEEREEAISKLKIQNTSFEKEAPIGISCKCKKSKCTKKYCDCYQNKQKCNDNCQ CNNCENQLEKVEYIEQRLNPHSQSQFDENSRYPKSPIISRGQYLFRRNDSMNYSNSFGYS RKILIQQEGPYYLKQDSQGFN >CAK60415 pep:novel supercontig:GCA_000165425.1:CT868008:206230:208040:1 gene:GSPATT00005164001 transcript:CAK60415 MLKKFTRFLFSREKIQYDVLIVGAGPAGLSAAIRIKELDPNKSVVVIEKSGTLGGHILSG NCFQPTAMNELFPNWEKMENKPPLDTPVSEDHFHILWNEKLSLQIPHMFYPSTIRNHGNY VISLGDLCVWMGEQAQALGVDIFTSTAGARVIFDEKDRVIGVATGDMGIAKNGEKKSNYQ EGIDIVANQTILCEGARGSITERVIEKYNLRKDCEPQSYGIGLKEVWEVPPEDFKAGLVQ HTVGWPLCRNTYGGSFLYHKNTNQIHLGLVVGLDYENPNLSPYQEFQNLKKHPKISRFLK NGTCISYGARVLNEGGYFSIPKLTFPGGMLAGCSAGFLNVMKIKGSHNAMKSGMLAAETI CAKQNVEPGQELVEYENAVKSSWIYDELYRSRNFKGSFKYNIYSGLFYGAFDGFISKGRE PWNIKSHVKESESYLTKDKAPQIKYEKPDGKLTFDLLDNLARSGTNHEHDQPAHLKVIKE AGPKESINLYDGPEQRFCPAKVYEFIDTKEGKKLQINAQNCLHCKTCDIKMPKDYIRWTV PEAGGGPNYSGM >CAK60416 pep:novel supercontig:GCA_000165425.1:CT868008:208080:208702:-1 gene:GSPATT00005165001 transcript:CAK60416 MWYKNFSKQSWNLRVWRKANILFNQDDIGMFKTKGVLRWKDTVFRMARSEACLRGFNFFF FAGMIGSFIWVKSNYYDPKYVAPKKVESEKELERLDAEADKILFKNRLEAYSRPHRSLED LIAFLSGSKTFDQFADFISYEEAMNNSMDQQNGLDSWMDDQDQRMLKYYQRSIGRTPKF >CAK60417 pep:novel supercontig:GCA_000165425.1:CT868008:208893:209123:1 gene:GSPATT00005166001 transcript:CAK60417 MGAQQCCGLQTVTQSSQSISNAISQESQYTNDIDVFRKVVIQPIKPLPLNRFESLKEVMD DDIDDILNHFHELENE >CAK60418 pep:novel supercontig:GCA_000165425.1:CT868008:210174:211786:1 gene:GSPATT00005167001 transcript:CAK60418 MGCNSSRKNLQEKSSLNSKELEKCNEKFKKLSSIAPGKAEQFIAIEGFRTAFTENTQLAD KIFKFIKSQSETNNVNRETFVLSMELFTKQKSEIYVFTNNYKHLEQYQLLLLISIQHPEI YKREEFKLQLLTKVEITYLQAGIFIKEIINMLSPKGNRLSDDDDVAAKLLINNIFGGNIN ENYPIDKTSNMESPIVLQIKQKWKRYFAGKFTDSSHKIKLPELTDNSLIINYQILGLFYL STPWFYQTKKNLNLLFAYQEGQDQFDLNLLSNQLLSQNSPTLLLFRHVERLNKYEKLPYQ HTPDENLEAFEQHYLFGYFNSSRWRLAPDITGDKNSSIFSIVPKYQQFITGKGKGQSKYA LLNSDQGRPLPQSLQKKLSKFGLGIGGSGYEQHRIWIDGQNLKDSYITDDDKTFATGHIL APHITKLNIDRIEVWSVEFISTQQDLSHFRQTQMNHINQLLEDEIPKQNVDPGSLINSIK NRNSRIQSAIHTQQVEEEKYQQ >CAK60419 pep:novel supercontig:GCA_000165425.1:CT868008:211849:213039:-1 gene:GSPATT00005168001 transcript:CAK60419 MNTIHFSHVKTESEDITKLSPTSTKTTQQLYPAQDDITSPISGKIKIKDSFNEFLDGRIN TFQLLQAKNCPRLHQQQQIEQYEKQARERESKGMVILGPLLRFKHKYKHVKTQSEERINY LKTKIAALNNLIKSKVVNRKKDNDEKRRRSLQNVGLLKSIVEASENINKKIEQVETYEKM VPQMKALKTVTISYDDQKMFRKQQLKSKLNTQSSTNPKLFLKTQLQDSSKQFLKELEEMN EKKQQNLDRLSRMKLLSDNKLQKTHKYDLFVKEVLTYKDIELYDYLHQQPKQVQYRCHFT NQAEEAANLNKAKPKKNHKKYKTLTESNMNQILDVSDTSSLQSAYEIHLDNLYTQTIEVK KQLLKKSMLPKRIRQMIKLDELGKQTLHTSTHKLKH >CAK60420 pep:novel supercontig:GCA_000165425.1:CT868008:214090:215831:1 gene:GSPATT00005169001 transcript:CAK60420 MNYDLQQLKKIYTSKNKKPPTDNRSSRNVGDQSKDRQTLNISFNEKKKSTPKINVLLQEL QKRTNSQEQYFNRTSTPNSQHEKSLINKTQRSISPQLLYQMPQQLKKIYQQINCQVGQDK ILNRNQKLDSFKCRTEESKSHKNESTSYTISDSEEQIQQFINLEEIVFTILAVISKKQKV IKQCQNYLNQISTFMVEEKDQIHQQILCKSMILERIGILVVLYKALSDTFDEDQQNIKNL VFYIHSSMVLHLESMLQSNSFSQSQKASIQARLNKVRARKNQQMIDINLIRKNSNVVYSL LILFVENATDNNLNQLEKVLSIIDNINLQQGTKFIKYQYDKILVHIQQLRLTRDSSDFQF DYEEVIDCQQIPYLSKTNKYTLVIDLDETLVHYQEVIELIFIQLVDDGQFLVRPYAQQFL KEMSKYYEIVIFTAAQQDYADFILDLIDEGRVIGYRLYRQHTTLVDNTYIKDIQRIGRDV RRTIIIDNLAENFKFQPDNGIQIQSWYGDQDDQALIFLSPLLIQIVQKKIPDVRDALRRF RDQMQKNIEDGISDPHLHLSLD >CAK60421 pep:novel supercontig:GCA_000165425.1:CT868008:216003:216641:1 gene:GSPATT00005170001 transcript:CAK60421 MKECRFKMNGRLDNRKQLAQDIPENIQDVSYEEDERRSIFIKFQQNHKLLNKIIKNKISM IRSFKQYTQETSKRLLSPLQSSHFGYSPETNLISKPQTHIARKSSLIDLRQFEEKKFQLP RHKENNVIRKPVTSDPMSSKKILQIVKEAQQQLYSSQQPRTQQQVQQQKQIRVITLENQI EGLNFTFAQNFSNKKEIMKEFSAHSLKKKFFA >CAK60422 pep:novel supercontig:GCA_000165425.1:CT868008:216648:217464:-1 gene:GSPATT00005171001 transcript:CAK60422 MYIPVNDMLKHFKQDAPAMANITHKSDQWSPYHDNSGTVLAVGIPGAVIVAGDTRLSNGY NILSRDATKLSQLTDKCVLATAGQYADFIALRKFLQQRLQLYEFQNEVQASTETVAHLLS RELYSRRFFPYYTFNLLAGLDENNHGVVYGYDAIGSYDQMTYGVQGSGQELVVAVLDNQL KGYNKINKTIPQTREEILDIILDCFSCAAERDIHTGDNVEILIITAAGTERIVKPLRKD >CAK60423 pep:novel supercontig:GCA_000165425.1:CT868008:217476:218411:1 gene:GSPATT00005172001 transcript:CAK60423 MNNNNTNLAKNLWIEWQLFKKNEQTNPTLQMKKQGIMSKTDQYAEFTYRPNATSPPSMQE FYESVINGIIKRFQLGLYQGEYPYYNELQSCLHEFIRLMNFKSEILVEVFQSITNLNLSK ETFPNVVVPCASCGMFIYIVQHCTICYSAFYCDKTCLKNGRQKHESECQQAKITPSIIYP LQVEIHCGSSLGDDVKIYFQHERKLSLLYRGQKFLNSMYKILLKNRWLKNNGYNIIENET SIQLQSTKKVDMKPAEDQGDPNFSDEDLYLKMPCVQEIKVIFLNQGAILQDIIKETYNLQ TNFIHIYYFPI >CAK60424 pep:novel supercontig:GCA_000165425.1:CT868008:218485:220329:-1 gene:GSPATT00005173001 transcript:CAK60424 MSNNNLEDKPKSEKSDKTKSLKSQQQSQQISQPSISLMERNLQKMRTLTQKLIAPNVLEG HSETVIEQLKQMTLPQPSDDLIHITETLEEAHNMQFVSQTIQIIQGQLQDRELLNAIALI VSHQQLFSSLYIIDEEQDRYIFKLYKKNQRYSVIIDPFVYFSSHLPKYSRSGYNSIWVSL LEKAMSIILGGYDQLVGISLRDSIRNLCGLDPEIIVYENPDNVNQKQLQQTLTLLQQNGS IVGFMQINSIGFNKNQKVQSSFLPTLNQMYVFESIQENKVKIVNHFQVSSHEITIKDITQ NFNCIVIIKDFPDDYRGLFINVTTTTHGVPGNNQQWINNPSYQIVINTQNESQFIFRLSE LENQDGITGLLLVNQRDVRTFNQNNVVFMTKQNIVQIGQRKQNYSCFSAKIKGFHTLFIF STSKEPKLVLLEIFYSGRPSLIEFKFKSKPIIEYCIGEPQDSEGLRILRDVIKDKELVIG NFKNQLLNNPNQPVSSKPYNDVIELDQEMACIQENQLQEELLAINLEGKDPQETEIYDFN DYQLTDEGFQTILPDLIAEPVIKELRLRNNLLHDESILQLCYELDKVVGYFTKLINKKIK >CAK60425 pep:novel supercontig:GCA_000165425.1:CT868008:220345:221066:1 gene:GSPATT00005174001 transcript:CAK60425 MANNQIATQRLMREKQKMEQNPSDTFLALPTRSNIFEWHFVLFNFASDSPYKGGQYHGII HIPTDYPLKPPAIKFVTPNGRFAVGEKICLSFTNYHPETWSSSWTISSMLIGLISFMHTN EKTVGGVDCNNYQKQIYAKQSIKHNLLNPQFTELFSPHFDKLAISLNEQQESQPQQPDFQ VTLLPQRPEAPDRLNVNNNNINNNNAVRKLVVFIVLLLAFLLLIFYVIFGLI >CAK60426 pep:novel supercontig:GCA_000165425.1:CT868008:221107:222333:-1 gene:GSPATT00005175001 transcript:CAK60426 MNSRDPPSKILLLIITYLPPSFPLTNDYLFETFKQYGEIKKILIFERGKTNKAFIEYYDI KHAISARRDMMGKSITPQGGRLLIHFSRLKQLNLEVVDHTRGTQYQPHEVETQVQPQKQV QNLPKAPLFIEEEAKPPLIDLTQVLKLEAVDVSQASEESPTKAIMETQLKQLERILDEDY ANEVARSNLTQFDEQQQVINELLAQKPSKYLKVQNIDERVTAKMLFGHLNALLFQKKDNI AILQFTNIDHATIAKELLNNIMFFNREIRILFHQIDNLEASNPNEEYYVGSQTKFKIVPL SKVLVFSGISDLLDIQDMVKLVGKIQEIKLEQHSIQITMLDIYEALKVISVLSEYEYKGN KINLILK >CAK60427 pep:novel supercontig:GCA_000165425.1:CT868008:222950:226396:-1 gene:GSPATT00005176001 transcript:CAK60427 MIIQPQSSGSINISGDSHQNGLDNYVTELNNQNLMQVRRPNEDQILAFIDYQALKVDRVK QHKTVPDKVKDDKSIGSKSSRQVRRNSADQSEDSPLKQSLRDELIPFDSPNFELKKLGDT EEHRKSKTVISPVVQSSKSPKRKTKHFSKIFSKITNRMHLARKFIQKMKQLSPFSRKVNK QQMQIIQDLSSDINNPNEKCINQSFIKMHDPVKSKKKLQRINSLQAFTALKSYFNVCKLQ VFLNKIKNDTIDPQGNFLFFWELVKFFITLSSLFQLSIQICFNLNVLNWYFVAEKENSQN ILIFMFIYYLIDIFLGFRTGYYENGEVVIKYQRVARRYFKTYFFVDLISILPIFINIILI QTFENDNAIIKIVNCLSFLRSNALSRVYHSLEGRLLSNPRFVIGYRFLSVIGTVFLYAHV FGCLWYLVAQKNHNNWINKAGIVEDSWFALYSYSIYWSVMTMTTVGYGDLTPANHEEALF CVCTMFIASVVFAYSINTIGMIIAEMNKFDEKINENMAIINRYMQRKNFEQSLQFRVRQY LQNLWTQEDKFRIVDENKIINCLSPNLKEEIQICLYGQFITNIHIFYRYFSQECLLELAK NVQEYRVAPNSYVIENGTHEGVALYQLVSGDAQMFVDLQDRKYHIGKMKQGDIFGHGPFF MNTLHSYSVKTDSACSFAYLSKQMFLEILQSHPVDYVIIEYIQQTYRMIIDQWTFQNQKL DLGVKCIGCGESEHDIDQCSKLHLILNRKMILAKHLFSIPNKRSLFQRNNRRTTNAKFGQ RLFEDAVSLFQENNFSFSSDEEDPDASQIERTHQTKMTQEIRYQEHTSTHKSQQQQAQQH YQTAKNVRFRKRSRSLNSLSVHSEEESKFQKSISGIKSHDSQIDKMSGIPVKVQQIPSSQ LSQSSFNVFLKEYPKRKISKQQDSDKYSNHSIGQRQQQPNHLNQQLLHKSSSQSSSGNLP QSSMGIPPVPNASPPLFYQDEKQLFQRNNVEDSLYIAQDRKKKSQIGTSQSNTKSIKNPL QAIPSVGEMNDTDKINKNLLKEGSYTSKQLSMQSRSRSQRYTISLRTDSQKIREDTKLDT QKHDFKYRTDTYRQYNENNSPLNEEQFHNKFEQACDLKSYYPQYNKDQIIQKYKRHQLKK ITYSYQLK >CAK60428 pep:novel supercontig:GCA_000165425.1:CT868008:226980:227306:1 gene:GSPATT00005177001 transcript:CAK60428 MEILNELFKKKPKKQQQTNEREYTDLSDIQMMIQYTQRRQGLGFDDIDRRAKFQQPFVKK LKKDQIVKKQEKQNESEEPKQKEEGGKKVENKLSKSTIKIKKKQFVII >CAK60429 pep:novel supercontig:GCA_000165425.1:CT868008:227383:230745:1 gene:GSPATT00005178001 transcript:CAK60429 MAEFSNLTQEQLFQMLTFVLSGDNEKIKEATRVLKVYTKSVNCVGPLALIISQNENQSFR HLAGVLLKRNMATNYDKLDATAQTQLKQLLLERFFSEPVNPIRTSIGSLIGTIAIQTLGE NKWPELFQVLQNQTAKNQDIVTRQRGLMLLALIFDYSGDSLKPFYSVFYPFFIENLQDSD KQIRVQTVKCLISLFDNIEHMNKQEAQQYKTLVEPILRFVDQCIKEGDEDNAYHCFDAFG YLAESKLTILDTHLGMIVEYAATFVEPQNECVLDLIDNVVEYHKKVLNKNPTLLKQLIEC LSLVVAQPYTEEELTQDEEPLQDVTLWLLETLVIGLGKKKTLFGLFLETIIKLGFLILAA ITEGLQDQIRRQLQNPIMNVIIPKGLKDERTAVRGAAIKCLSYFSEWLCPEILTYDQIVI PEMINCLKSTDHKIYEKALLTIDIFAENMESEKILPYMQTLLPSLVQLFLQQTTTFIARR HCLSSIGSIIVSSKEAFATYLKDVSELLLQVLKEKDTPEIMSIKSEAIQVFGTIAESFKS NLEVQNQLITPLAPQIFELLTKHEDFEIREACLAHSFIIWLQLKAMKFAPIFTQIISYTM KLAESKEGISYDKEKKEFSLDTESEDENQQGPMRVKVTQMDEKAAAIHALGQFALSVPQQ FGQYFKPTFDILDETVDFFYDNIRMQTLQCYRDLIEGYALFRHNGVLPKVQQGLPAIENL DAEFLTFLQTDVMQKLIRVIAEDESYECAALAIDVIDHLTKKLGPQIVYKNLDDLAKVIT LVLNKKIKCLGADLDSEGEEENDSDMNLNVLENLTDLIPTLAKNLKNGFVLMFREIFPHL ATNLHKDKEIDDIICTIGCLAQIFEYESSLIVECQQVVLPFLLNTVLAIGDQELNRNAAY ALATYCEFGPQNDVASALPQIIQTLTNIFTTATTYREAAENATAAVCRILIRFPQALPLE TTLDHILAQLPFKGDVEENFTGLRFLVNLGNTIPDLVTPRMEQVIKLLLDSLIQKEHYKL KEEQLNFVVTTVKGLIQNQQYKAIIENIILNVQDQGQKNKVIALLQ >CAK60430 pep:novel supercontig:GCA_000165425.1:CT868008:230780:232259:-1 gene:GSPATT00005179001 transcript:CAK60430 MHNDKKIKEIKDGLRNFQIKPITIIKGTSGIGKLHLAKSILAEFKYKILEVDSAFRDIWM LNEVHPLMYSMQDQLSKIDDQRVIILKEISGISNLNSLRQLQQQYTYTKCNKPLVIIVNT TVLKDYELTKILSQEFIKIYCNIVLLKSPTNNKIEKYLHTCFPRVNSNVLHQIAELSNGD IRNAYNQAFIYSINYRDENQSKDSQLTFFNTVGRVLYNKRLDYYNTPKQLTYEEMISKPE PKYYFDPFQLLETIQVTQQTYRGFLFINSLKFLHDLNEMKQFYEIQSEADVIEKGLCRFD RYQGDIFNNQYLVSMFVTLGYMQTNKHVKPAKSMMLTLNGPQDYQFRQERLLKQNEMKQL KPFKSYQKELVLSILYGKRVQLLNSKNNFISQISIEDDQYDQHWFEEDQQEQQQTSNKKL SSKNIRVKRNQAELISRNRNQRLIEVCNELLN >CAK60431 pep:novel supercontig:GCA_000165425.1:CT868008:232294:232603:1 gene:GSPATT00005180001 transcript:CAK60431 MGQQCCRNSPLPDLEDKQNNNPPEQYSNKQDTFATRTQSYQTRINELENNVQTSLEVMNK LNDTILNLVVQVESLNNQLEQKQKLEQIEPKVVK >CAK60432 pep:novel supercontig:GCA_000165425.1:CT868008:232809:233697:-1 gene:GSPATT00005181001 transcript:CAK60432 MDLILDKTSTQGALWLGNLKAAQNIKQLKENNIQTVITVANNILVNFKNSLNISHKIYRV EDTEKAQIIDYFDEIIKEISTGLNSGSVLVHCAAGISRSSACVIAYLMKTNKWPYEKTFY FVKEKRLAINPNPGFKKQLIQYSKNLQSNTQQLNLDLKESKDLNDMNPFTSGYSNSWGKL KKKNFSNPHELVNQLSQNLVKSPLKKIDQLNHTSTSEERQIYRQLLAKKLFVNTFSTKNS VSITNYQSEQSVEQKKTTTEKQPIRIKALLSMYKRIEYNILESERTKT >CAK60433 pep:novel supercontig:GCA_000165425.1:CT868008:233744:234531:-1 gene:GSPATT00005182001 transcript:CAK60433 MIPSENEDQFSPNSQHKQQQNDSNQKQKDSYKKYAVIDQSKRVLLLKKILSKESTIKEAA KEFGVNFSTAKAILQTYRKEGRIGKKKTRERNKKEKSEPEFSVCSQRKVQSMYDLEREVQ IPQRSFSPDLKASDKHITKSILQQQSLLLNRQKNQPQQINSNEEQNAQIALAACQRELEK QKLVNMQLALMIQNYQIHGFQAQAPEVKEEDDKIN >CAK60434 pep:novel supercontig:GCA_000165425.1:CT868008:234767:236529:-1 gene:GSPATT00005183001 transcript:CAK60434 MANFQEFLSSLGQIKQMEIPFYYRNQFKIKENFINPNSKHFDQIAQKLLSEDNKGLKRKW DKQCKMLFIWVIVKYFQVRNKKNINPNSDEWLELSGIFNFDEVTLKQRWITLINPMAKSL NWESEEDDIIRSLMIQQEEKHIWTQIALELYNHNNGLYVRTPKQVRERWMNYLNPKLNKS SWTDQEDHQLLQLVVNNGKRWSLISSLLQGRTENQVKNRYKSLIHKIFKEEDDDEIEEIQ AIRQYLAKQTSTAINQENNPSDSVKNETKIKCEESQSKSINIQKPKKKQQLKNQDEQELQ IQIKKKKVKVIENLYVKQEIDKKISPKLLNQQFCLSTNGNCDTQSQENRKGISSSHSQGS IQNQEFECQSQQFIQSQSKCQLQSPSYIGQTQTKDTIPQYTGFRPYMNDACNDLFQQTPC QINRLGFGLKQHTYPFQQLSPFQQQIQQTPIVYTPLYLYNSQFIAQSPYKSPILSPHIWI LRFRMNSQSRNNLQIFMCLSIQNNKLEFLQGQDLVSKWKNKRVQEKQNQSELNKIIDQ >CAK60435 pep:novel supercontig:GCA_000165425.1:CT868008:236587:239532:-1 gene:GSPATT00005184001 transcript:CAK60435 MKQASTQFSFKPTVLPQVMSTCRLARFPMDGKLTESFQESNKKQNKWYFRIQTQAEKQEK VEKDKVTEDVYIQMQNNQEEICEDMKQKDLLGPESVKNYYQTYKKINRIKEQNNLFAIQN SVQTNLIIKSEQLKLLPCKMGLIKQKGESKVLSIENHKYGDKYVEVLSEGLRTLPVVQDF NFNSNRIKENGASLILPLISKQARSIEMVSNIIGKKGLEPVLSVLPSQTCKIQVLNLEDN QLGDILAQELFKALQKNNTVKLLNLSKNQITNISHTFIKQMIETNDSLEELYLHWNLLKG SGGAEVFKALITNKNIKVLDLSYNLLGCGGSNLTPVLKQFFEDNKEMIHLDLSANQFSLA DSQSISESLKENHTIYGFHFSGNFGYVDSKGFLMIQNEMRNFNQIHTDYRIRGCETHSKP YSKGPRSEKIMDVCWICDGWQGQKFEWIPNKSGNASEEPIFIHFDFEGYEAIFLGKPDEN GIYLTNRMVPTGELDYFYTGSQLQVASLTEPQKVHNEKFRVRTKIADQIIDVLLDETNHQ MINKGKPVIQDWDPNYNVKPRTCDPIYIPAKMKKQKRVWSYPISIWAPKYKFDTEELLRK CFERDWNCSKITKFVKKTDEQEQIKEMLWQSYKSIRETYRYYSAINPSGDVFSMSQNPTS EFVNQCQLIDGKQMKLADVDLKFIATCSASQSDWKGNFRNPERYLVRYQMMEFLVRLSED KYIRNQPGSPSYVQATRMILDQCLPHMSQYDSHKWRMERYFIEQCDDVCKKYKQVIDYVY MHNSQKKVKPGQAPFMCLDELKDVCNKANLFDENFVERDVNLAFCLSMLTQVDELESDRL FQMQWIEFMEALARIADKYSPIGIGKQSEKEWTYEQRFAQPLYYKLEAFMVHLIHTSVDE ETKKNWKIPTVSMFDIIEDDDQ >CAK60436 pep:novel supercontig:GCA_000165425.1:CT868008:239552:240195:-1 gene:GSPATT00005185001 transcript:CAK60436 MDKNNPNIKEYILSQLGEDPSEEAIKQVAEKTQVLPILIKQWLHFYKRNNQSQNGQDLQP QKLESVPKFNGKFEQYYDVEDPNYELKQKKQFISDNHQKHTSQEDKTIEKQLKEVEKEIK KRKKLLKTDKKQTDPYFNELYVSDEQNQDFKINDNKQFNLKEQYINVPIYYMIKLEESLM EQAINIHQKIVKIIEAKYDYLNLL >CAK60437 pep:novel supercontig:GCA_000165425.1:CT868008:240373:241038:-1 gene:GSPATT00005186001 transcript:CAK60437 MKKSQVIRPNSNHKNDIKNNQIYIPKVSSMIISEKIMQNLLRTTSGNPLPKNPPNQNPSP TKKNYIQSPIKNQKNVQHSNSLNSQNNYVVTNSIRYYNPSAKSYLSNSFSKIPTKSGQRV CSIITKTMNLSSLIKYDQNSIPLHKLISKMKNQSKDKRSISQLPTMSTERVIQDSEGQQQ KRKKSVRFHDKVEYLVIGCSKGIEEYKYKFFEPLDQESVHS >CAK60438 pep:novel supercontig:GCA_000165425.1:CT868008:242198:245373:1 gene:GSPATT00005187001 transcript:CAK60438 MQKLTDKQKANSLVKKDQSREEEIENDGKVRKVRSPSSVADLNDLRSYRSPKSVKSARGS NLVINQSGNDEQRKKPPRRLNSTIMARLSKLQNIKQTPTLQKWISLLIEGWIFSIIMAIV TLYALFGDDVRILSVDKTEDDIFFILTIISMSFFSLEIILTSIVNPNYILNFYFFLDVIS TATMILDIGWITDLWYGEEGDIGNAATIKALGRASRVARKAARVIRIIRLVRLVKLYKHA RLQYEKEQQKKILQQILKQNKLISEENQKQYQQQQQQLQHSQQSQQLNNGTPHQQYLMQR NSSYPSPPPSGRQHFKSNDDGQELNEQQKLVTECKQPYIGQMQQSLVPQNNQIGGSQLSA QSNQQSNQYQANVNAAITLNDQLKSSQISLTNSDNVKESNVGTKLSDLVMRRVITIVLSI LISIPALQLDTYQETINSYDSGIFRIAQFKDNYQIVSSLTQQYVGFHQDEIYPILAVFVQ RQNVTESSPKLNDSNFEIFNYSQNHNWFQETSYKLDQYRQSDKQYYAAVNSNNILVTCSV ADLVEYNKTNAILSIFQTIFVCIVLAGSAVLFNKDVNELVIDPIERMMEKIELIAQNPLE AVYIEEQEDLIMEQLEKDEDDEKIKQKYMERRMETYLLQRLIMKVGALLAVGFGEAGSEI IAENIKKGGSVDPMLPGKKIMAIFGFCDIRNFTDATEVLQQDVMVFVNEIAEIVHSTVDS YGGSANKNIGDAFLLVWKYFPMEYHPDPQYPSKLIVRTEHHIKQKGDMAVLSFLKIITAI SISKKLEKYKKHAGLNARMKDYSVKMGFGLHMGWGIEGAIGSSFKIDASYLSPNVNLASR LEAATKQFGSVILISGILKQHLTEQCQKQLRLIDIVTVKGSIEPVEIHTIDMSIKNLIAK TKELHDKFDVTKMNQQEQKQFRVLNRFKRNQLQKDVSKDKINVADQFNTDEEIIHAREPY TKEFYQAWLEGFQFYIKGQWELAQSLFSKTLYMIPDHKDGPSNTLLEVIHSHGGKAPHDW KGYRELTEK >CAK60439 pep:novel supercontig:GCA_000165425.1:CT868008:245505:246203:1 gene:GSPATT00005188001 transcript:CAK60439 MISQALLRSETKKQSLISRPSSKSNNFLPEIIQTPSSNQLRKQQNSILIPSKGMDLLNLH KIPNLEPAAEQFTSRIELQRKELIREIFREEKKQSILKPFTKQQYEQNHRHIFKKEENMQ DQQMQLKSILKRKSSNSREHTDIFDFFELDNQDFKQQQQQKSNLNMLKHNKQVSLQPIQK EDYSPKVSPGKKIVSFNRQIQIKVIDPNEEKPKSNQKSFRRLYTMADLLDKQ >CAK60440 pep:novel supercontig:GCA_000165425.1:CT868008:246242:246933:-1 gene:GSPATT00005189001 transcript:CAK60440 MRKVIYLYTKLAPKKHTIILCTGNKNKLKEFIQIMGDQFHIDSEPVDLPELQGPPLQIAK EKALLAYEKMGKACVTEDTSLCFNALNGMPGPYVKWFLDAAGPEGLSKMLDGFEDKTGYA QCILSYMGPELKEPLQFVGQTQGVIVRPRGPRNFGWDPIFQPDGYTDTYAEMDKDVKNKI SHRLKAIQKFIDHFLVN >CAK60441 pep:novel supercontig:GCA_000165425.1:CT868008:246967:247985:1 gene:GSPATT00005190001 transcript:CAK60441 MNNNDIIYQQQQEQRERKPSFLEQLCSCLYFFQKKDVRANERYQLGIDTPKSHARKLCVL DLDETLVHSQFKGDNGYDFLLDIIVQSQLFKVFVTVRPGVETFLEQLSEHFDIVLWTASL KEYADPVIDIIDPQRRIQTRLYRESCTPIRGGLTKNLNKLGRNLKEVLIIDNSQMSFLFQ PENGFLIKDFIQDKNDKELDMLLPFLIWLSQQSDVRPVQKLCQQFMLKNQHSRKISKKQI LSQSMVLNQDNIQKQLEIPRTHTMNHQDFEEIELRQEAGLNSPHVELKMQDQTDDDESKE TFEISSNC >CAK60442 pep:novel supercontig:GCA_000165425.1:CT868008:248007:249108:-1 gene:GSPATT00005191001 transcript:CAK60442 MAKYSCPKCNKMQCSLDCYKTHSETCTSSFQKEHEIQKMKGLKATFDEQIEMKKALNQFY EESKDENIVPMNEEEINERWEELQELCEQGKLNLDQLTLAEQHEFAKFIQEMVIQEPWIP WWEVNEGAFSLYVDELDQNQKTNKEIHQNSYLEFRSTLKQKIQTVVPFNKLCKIQHEDLR NHLFNLIASISIIAKVLDGDIIELNREFYNMFTILSYTMDDNTSLIGNLDLSYKKLKEHA HKVDKGLILPYINREDLIKIFSNKFFVIEILFYAYDAIHSIEHQAQNEDNSDFVENKKLI KTLNLKKQKLLFYISYALSKNHEFYQQIRTQIKELNE >CAK60443 pep:novel supercontig:GCA_000165425.1:CT868008:249249:249742:1 gene:GSPATT00005192001 transcript:CAK60443 MDQIKVKHSPVNQFQISDNEFPLSLQLINDTVLMAMTDFSLKWIDLNTQQVIWELKNHHQ KRIQQLIVENNVAFTCSNDRTIKVFDLQSKKQIHQFKNEKEFYSIAKSKHILAGGSEGRI DFYDLNAMKWRSRFDSSQNDELSSLNFHPQDQTQLL >CAK60444 pep:novel supercontig:GCA_000165425.1:CT868008:249809:250285:1 gene:GSPATT00005193001 transcript:CAK60444 MMIRFDQPLNSCGYWNNFCFCVTTTNQITILDQQNDQKLYQFQAIKMMNFMKITQLMLWL IMNLNILQEMARKYIKKMKNKRQLLYMDPILLNRLDILNNQRIVICYSVKNGLLEILKPQ PYLYQPQSIEQEAQDLIEEEDNNKKQKKRG >CAK60445 pep:novel supercontig:GCA_000165425.1:CT868008:250327:250455:1 gene:GSPATT00005194001 transcript:CAK60445 MYKLHQKYFSYDGNLNYKYHIEILINSMILTTFKQHSNIQCI >CAK60446 pep:novel supercontig:GCA_000165425.1:CT868008:250494:251435:1 gene:GSPATT00005195001 transcript:CAK60446 MKKVQPGRSWTKQEDNQLLQGVEIYGRDWDKIAKVMNIKSKPLLEERYKNLINQKPKPIW ELNEDILLLQMVDKLGKDWEMVQKVVKTKDIASCKRRFAKIRDSCLNLEGEDKDLVLLNQ YWYKEDEEMLLFLYELYNGDWSEIFKRIPERYPKYIQDLFKSKGLANQLHKWNNEKDARL LAYAFYGIPFSNFEQETGFSVPQCEERLKKLLYKISKSLQQPKKTKEQQQFDEIMQFSET KRKPNKSNETNSMNQIDNQQLNYYSEFQAALNQSKSQKHNQIQNRIAQKLELETEYQNDD DFGIVLDEDFVSD >CAK60447 pep:novel supercontig:GCA_000165425.1:CT868008:252162:252554:1 gene:GSPATT00005196001 transcript:CAK60447 MRTSCLGPKLVLSRAQVENQEDIPDEPDDFEPIIIQRKKIRYKLSRSDRYDSQGRKIAKG SRYGINFESFITVCVFEPDDEVVQIKDTLQLCTQAIDKEKLNPRLDQKFKEEQTENEVIL FSILKQSKDK >CAK60448 pep:novel supercontig:GCA_000165425.1:CT868008:252622:253516:-1 gene:GSPATT00005197001 transcript:CAK60448 MIQYYKQLRIVISRNFNRNYQSLKQSFIEIKQLNIKVMQKTISPIVKWAQRKDNVFLTVE VRDLKDEKVELTTSSLKFSASAEGVNYVFEINFFADVVVEESKWTNYGLNVRFILSKKDK TASYWTRLIKEPHKLQYLQVDWTKYIDEDDEAEEGGKGLDDWDQNKFQNFDQGGADEDDE EAEEEQPKEGNVDDLENEEEVQKNDQAPQEGEQEKQAESN >CAK60449 pep:novel supercontig:GCA_000165425.1:CT868008:253679:254411:-1 gene:GSPATT00005198001 transcript:CAK60449 MNKPYNYLFKFIIVGDTSVGKSCLLLQYVDKKFRTDHEATIGVEFGAKQMIIKSKVIKIQ IWDTAGQESFRSITRSYYRGSIGAILVYDVTRRETFENATIWVNEIKKYGNDNIVIILVA NKTDLSDIRKVSEDEAKEMAKQNGLEYIEVCAKQNYKVDEAFQIITEKIYKKIELNQIDL SNEKCGVKLGSKGSFIEDNQQEEKKRQSLCC >CAK60450 pep:novel supercontig:GCA_000165425.1:CT868008:254425:255942:-1 gene:GSPATT00005199001 transcript:CAK60450 MIINKTQSNLNNINFNDIIIPIKLQHPSRRVQVLENGKAMQLLKSYQKIPQIITEFHSPI MVNSIKLRKDSNYSFQQSQQQPDKESKQIKIDQENVTSKFKSMNPQSSLPQIPLSAMNTQ TKLSDLTRSSNFVQSPKNSDTKRSLVRSQFKTNSNNHKNNLINNSTTTGSSKDSSQNQSS QQYQIYAKNIIYSYCGKPRKRKVNVSAKSFIVYDCLENRIISQRKCNRRMEIASLTKIMT FYITMVILKQLNLKSQDIKVKVTKKASETIGTTAELKYNDILTIQDLLYGLMLPSGNDAA TLIAQAIGTIILFYEADKRLDSKLIDIEQMSAEGHYYNVELKSHQCPIEIFISKMNHYST LMGQQNTQFACVHGLANEDNYSSCHDIVLLSLECMKYDTFQQPLYNQKSYEWKNTNKLLD KGFFGIKTGVTDSAGPCLASAYRSNEMEYYIIVVLNLMKLFHCYNMQNTKSL >CAK60451 pep:novel supercontig:GCA_000165425.1:CT868008:256807:258888:1 gene:GSPATT00005200001 transcript:CAK60451 MKQLISCVFGLLMILCIRGNSQMNYKLSEGSKQKLEESKPKIASLPWEPIRIHYEFLDSS YDSESVKFLSTVLDITNTFFSKHLLIQRTDSKLTYKRSYPNSIYGFTISETLKNKEYDAD LVFFINVEDTFFESYLAYCGPITFNEQTLRPTFALISWNIFYSGLDEMTNTVFEGNVETA VHEIIHGLGFTDDFFNTYYDSITGNSYKSSNSFTTFQTIFLSTPRVTNFAQYHFNCTTLK GLQMENNGGSGTQGSHLERSLFYNEIMTGSDMIGNFLITDFTFELLQDTGFYRVADYSPD QPLWGKNKGCDFANQQCKGGNFTEFCTQDKSSSCSFYNTGVSECQKEQLSGSCSYYYIND DQDCRDPDNIAKWKSSDLIQYFGYDSMCVSGSVSKQQTYKNYSCVQYKCDLNNKLSLVIA GQEYDCSKSDSIKLPEQYYGNITCPTNSQTFCQNRDECPNLCSQKGFCMNKECTCMQGYS SPDCSVECTKYRYKGSCLDKCPDSTYVNENIKYCLGCPANCLTCSNYNQCTQCKLGYVLR GAFCDNFKLTDLLTFDLTTINEASNTSTTNSTNTETNSTDINNNNSTSIDKNSTETASNS TELTSNSTEITSNSTEISSNSTEITSNSTETIKNTTDIKEDAPVNNADLIYLMNIFLFTY F >CAK60452 pep:novel supercontig:GCA_000165425.1:CT868008:259603:260188:1 gene:GSPATT00005201001 transcript:CAK60452 MDYLIQDLQKQQKQNPKKIDLFNLETITNMNLSNMESIIRKYEQLKLEYQETTNNNSQRS QDDTFNSLYLKCCNEKKSKQSTTQSQKKSVTTMNTIQNKDYYADKAIHLQHKIEQHSHYE LLSQLKQLELEQESLHSDYNAIIKKNERLKLEIQDNQQRVNELRELQLQLIDEFKQFN >CAK60453 pep:novel supercontig:GCA_000165425.1:CT868008:260191:260870:1 gene:GSPATT00005202001 transcript:CAK60453 MGNQNTIDNGIKAFIKQEFDRVKSDNQRQHLKISEVLKLQHPDNSPFTFAHLGTLYVLDS KRTGFITIDQLFHFAQYCVRNLKNVQTYEFQSQLQGLCTSILWDDICKYGVDHVNDWFIR LLTTNDTVIPYKNHLFIKLETVQILYELSNTKIMSNIDIQQFVDLLQQAGEEAGLMSIDQ EELDELVPLEICSEFIKNFLNGFKALMLEIGFSNNVK >CAK60454 pep:novel supercontig:GCA_000165425.1:CT868008:261654:262624:-1 gene:GSPATT00005203001 transcript:CAK60454 MQVQYGAVPNPQALGNAIGNAIVGQIQQYGAQFFTADPFAGVNFMLIDQKPDCVECICPC IGEKKNSYQISVSEQTGHLAQQQLGIIKEESECAQRFFCQCWRALDLLFINNQNQIMFKA QRIYKVPSVLCLPCERPEMVVSRDSEVIGYLKQPFMCCGRSECPLPILEEIDICDPSGNT RYFISGEFVQCGCITRICAIPRCTGPCQYIDYDIYNPMKQKVGRIRNIFNGCAQEYCSKA DKFLVEFPPQCTSQDKCLILFAAITIDYDNFEYTFCNLP >CAK60455 pep:novel supercontig:GCA_000165425.1:CT868008:262768:264196:1 gene:GSPATT00005204001 transcript:CAK60455 MELNKEQDQQILKPDKSLHKYQSNFERQSPAVEFQSQLIKTQEDAPILSTQQLPPHRIQS QKVLRQNISIPQVSSYPGTYSVQQNCQQAPPLCMNIVVVSKEEIETPWRLECEYLQSIIG DLERRKEAKVVEKEVVKVVTDNTRIESLEAQLLQLRRENDQLKNQMIQMRSSYEQQLDSL RGDITLHSSNAADAASLQAEFFAMRTQLEDQIAGLRRQISDLELQLSQQQQENDRLKQLL LNKDGEIQQLRIQLSRLQTSAQDTSQLRDLENQLRLSKDENSRLERLLQQANQDILNWKN KCTLIEQESYTKNNELIMQIRDYENKLALISTDIERLQIQIRNKDAELNEWKFRYTELES QGTTVIQEKVTYLSQEVEVWKQKFIKTNHEYNKCQEELTMCQAELESLKKSGSKREVVVT QRTVTSRAGNTLTVTGPTGSQSQIKRTGYQE >CAK60456 pep:novel supercontig:GCA_000165425.1:CT868008:264692:265134:-1 gene:GSPATT00005205001 transcript:CAK60456 MGPIKQLQAFVCAQTFWPQFMIRWFQAEKGPFTIFFYCPLAKWGISIANINDMMKKPVDS INPVQQSVITLTGTLIARWCWVLSPRQYMLMICNSVMACTGIIQLWRKHQAGLLF >CAK60457 pep:novel supercontig:GCA_000165425.1:CT868008:266365:267625:-1 gene:GSPATT00005206001 transcript:CAK60457 MRGTHQLITQFELNGYTLPQMEKNMCITFLKDLLQQNPIEIDFILANTKPSSQELKLLTL QSNILQLIKENKDLSISSPRFDYSQLDSIIPKKNRNKINEIKTKVETLLNSNNIDPPLTI KKRWWTEEEDQQLKELVTQHGAKNWKKIASNFEERTDVQCLHRWQKVLNPDLVKGPWTQE EDELLVKLVINCGPKNWSQIAKHLPGRIGKQCRERFHNHLDPKINKERWTDEEDQTIIEA HKKLGNRWSLIAGLLKGRTDNSIKNHWNSTLKRRLKMQNRWEDLQVLPRQDETQIKGVPR RQIQRRIMYYKTPEKLVKRDPVSRQLNFLTPYSNNTPKSEAIPKNLSIVYPNLSTNGLEI IDSCQLLFKQLSELTNTDLDFNKQYSYK >CAK60458 pep:novel supercontig:GCA_000165425.1:CT868008:268146:269718:1 gene:GSPATT00005207001 transcript:CAK60458 MLFEPDILNRYQQFSTYQTQNMKVMEVLNTALFERALLEGSYAQSLDQLAKILDLNEDGI INDDIIDIVQAYRSVILCEKEQAEYLKESYKNDALVVLKDLLAKQHDGVSKIENTCRLLD KQLKSNVNNLSRRANRYKQSIIDLPFAKTDSIRTALEQEKQQNNIFLRQAITDYNQTISE YFEGCAQQEQIVIQLDKQRRTVFNDIQMKLQVFHISTIKNVEYALKKMNQKIEAQEISKP IFMFKIEDQTRPVLNKFNINDYIMQTEMEIRNQQPSLNLKSKQATQEIKSLWSQIKRTIN RDSSYDSVFNSLSQSNFVVNDQLFAKLAGSNEELDQFLDSLRESKLPMDAKSQLIKLNIY MQNLHKLLVDLIHYLYHRNLTEQTIKLIKQTLQKYHTFPVQDDLKDQITLYYDNILKQPC LMDVEFWKRHFIIKLHEQQQVDSQNEVKRHEIIAEIKFQSSKLLQHSINPSVITQAVQKL ELEPDEIDQIINHIKMKVDEK >CAK60459 pep:novel supercontig:GCA_000165425.1:CT868008:269725:270583:-1 gene:GSPATT00005208001 transcript:CAK60459 MQKLFFITILAVGTFGALTADFWCDCTEFTSQTECNNVVDCVWGTACAKKACKDISTDVC DTLGTCTINNSGVCEDSKACSTYEAAKPILCMIQKGNCAAASLTPNANGKYTCSTYTAVA DCSTLPTTGCSNNFISEEYFCWLNASTNKCDKFSTETCIGAPMDVCADLGCDASGTACKA FTCSSFTSEALCTVANDGIFGSQILCKWDKTTSKCGERSDVTDYTQDTCSKNTDGGYYWS NDACVACEDDESEDSSSPYLLASLAVFLTIIQ >CAK60460 pep:novel supercontig:GCA_000165425.1:CT868008:273549:274990:-1 gene:GSPATT00005209001 transcript:CAK60460 MLFNCQGYLIANDQSKHEVFLNANPLGLTIKMTSTDSQIAFIPRGTIDSVLTWFRSVNEV NKTGTMDLESIDRNIKVTIDIDLRNLQNLERLLRGKVLFQRRPCFKRINGIANNIFLLQI EGTNNYMVEKMAYFPHVSSDNSNFTRFEQIKQEQMILNNLRDYPHPNIIQLEEISCDDNS LSVLLEYCHGGDFLKYLNQNNNNIDHQLVMKKLLSVVQHLHQLDILHRDIKLQNVLLRIP NDVSSLVLADFGLACHKSQIPYYNTRCGTPGYTAPEVFTQAIYDTKVDIFSCGVMFFNLL TLKNPFGSSRNPQTLLLKNISADYDLTHLDLIKTKTPLAYDLVLQMLHKDPKLRPSATQC LQHPYFEQTVFEQKEDSTLDNIQYIITFTLRFHNPIHQAVKVNQTKSRQMPQFK >CAK60461 pep:novel supercontig:GCA_000165425.1:CT868008:275776:276521:-1 gene:GSPATT00005210001 transcript:CAK60461 MQQNEGDDKTFTLLVNCGQRVNGKSTIYGITPVHKAIEQTYKSSNKTMLKKVLEMDADVN VIDTNGWIIASCCFLLGIMGNNYFNRKRSISINLIYKGYYPIHVAALNNQAKAVQLLIET GMACQESFVDFQDNQNCTSIHLAAKKCHDQVVQVLFESGANIYQVDKRDWTPLHYASFYQ NRNVVHLLSRYDADEDQYCAMRTNKGQTADQMTTDSEVKFASRHYGVLLEMETQIQLENQ >CAK60462 pep:novel supercontig:GCA_000165425.1:CT868008:276553:276889:-1 gene:GSPATT00005211001 transcript:CAK60462 MQVQLLVQTTDCKIDPRQYKQYRETICNFLNSQLLQQKQTNLMSNIKKLQKVIVNQQDSD GYTPMHLASFAGDFAAIQFMLQLGANPKIKCKQKNKNSFGICIK >CAK60463 pep:novel supercontig:GCA_000165425.1:CT868008:276930:277604:-1 gene:GSPATT00005212001 transcript:CAK60463 MDQDKNLLTQSLIFNIANHKQKETDIFAFVIDGKLTLLDNLMHQFNNVQEIINAKDFEGK TPLFYAWFFNYNKKILQLQKYCDVFANEGSGSISNIQIRIYVFHVCAQRGNLECLQILFQ MFKHKQSMAKWEELKITMKKYQIKKSDSQKGQLINADKHLKQVQQRFQQFQAIVSEQYQQ FLDQNLQYFKLVNMTKIILEKCYTLWQLIQIHQMFLMYQIIGII >CAK60464 pep:novel supercontig:GCA_000165425.1:CT868008:278363:279651:1 gene:GSPATT00005213001 transcript:CAK60464 MSYRELRNFCEQMRALGYHRIISMENFRRPNFELVADILFWLAQKYDPNSDISDNIDEER HRVEFIKQITTLFVSKARLKINPKRLYMADVYAVQEILKISTFLYKAQVSPPADEEEIHD FSLPSKLSNIKSHKLLAQEITDLATRLYDQLGKEDEVKVAREKALQFLSNVSRGGNSQSE QSQIQKCIQTILKQQDSNIQEMSKYVGGLERDQKQLEEKIKRKTKELEQAEKRLKGMTSV KPAYQEEYDRQEYELEKLYQIYVEKFRNLVYLEHVLDAQNRQAELEQRRKDDQLKGVRVQ IQNAQGKELRGDDDENDEQLDQLGGDSRLQSSNKEKRNNDFMRNQQGGFNRQQMDEDGGE DVDDIDDIEGGVDDDEEEEDEDDGIENLDDDNEADF >CAK60465 pep:novel supercontig:GCA_000165425.1:CT868008:279690:281235:1 gene:GSPATT00005214001 transcript:CAK60465 MGVCSNKSKGLKYQEDQYLHLLDIQNPVNVNQKYANHFTLIQNQFTGKGIRRTNKYTTNL SRNEWEMMQNQFWGRVIKSYKDSYKDSIYWSSIRKALSQDDEKSSIAILTLCKLKLIGNS IQLLITDKQVFQVPVFIINEPISWNKEPLVLNFEVSQLQVRIRSSKLPKDFLIQTESTSK VLEIKQKILEAAKEKTCRLYLNGRELADQNYLGNYNITSGTVLKINKLDNTGFFVIIYLK MVAQILFKCHHKLKKPEYEEATFTVAKIIEINKSTPIFELILENCRFTLDHDFGIQISSL QSLIYLGLNNCNLVSLQYIPKIESLKRMTLDYNFIHNSELVHLKYYQDKLLSLSIMQNQL DFSDQQLLREFYLFEKLIQLSIAGNFKDVTEQESIMIRNEIFKNMKNLVFLDTIAKGEYL STSLPNQFSDQKQEQDYLSRIDVVDWKPEKEYQDIDQ >CAK60466 pep:novel supercontig:GCA_000165425.1:CT868008:281855:283593:1 gene:GSPATT00005215001 transcript:CAK60466 MNKPTLLLLLCLSLTAAFPKSRRLNEHAKRKLEESTVDISGTWKPIRIKFEYVTDADLQT KEFLNQIFSICGTFLSKHLLIRRSSDKIQLTQDAPAQFKEFFEMSTDMLSVQHDADLVFF VNTMNDSNDSTLAFCAPVIFDDKTKRPIFGAIGWNMAYSQVSTLTNAGFEAQLATAVHEI IHGLGFVEDLFNQFYDSATGEVYPDGGMIEEDDVVKIITPRVLAFARKHFACDDISGVPM EQEGGDGTAGSHWERTLFYNEMMTGNDMVSDFVLTDFTFQLLQDTGYYRLADYKPDILTW GEGEGCNFYDSMCESDFSEFCSDEGSVGCAITHNGIGVCSSDDLSNSCKYYQIDPNLDCR NANSAGYSDNAATFQDFGYHSMCVIGGFSASSGTPQKFSCYKYSCDGTFTITVNGKSIDC SNGGEKTLEGLSGSITCPENYKQFCENADECPNQCNKRGFCMKGQCTCYGNYYGSGCEQE NCTKVRKGTECVDSCPTGYYLNEVVNYCIGCPGYCASCSSYNACTQCQDGYELREGFCDL LSSSSYSSHLEILILLSIVLFS >CAK60467 pep:novel supercontig:GCA_000165425.1:CT868008:283747:284949:-1 gene:GSPATT00005216001 transcript:CAK60467 MDFSYLKPPKQAMQLKHAGIMVIQLDEYIRYYVEGKLKRNVFYNVEFEDVEEELIKKLEN LIAKKHVQLPLPWDKQTTLKFCYSGNFDIEMAFQTLMRYLKWKEDSDYQVLTQAGEDILK KGIVFSLGRDKQFRPLIFIQVSKIRTIATNSQCYMCSFKSYIKNLCQCLTMWRNGELQWI QMISVFLDYHTKQKFVYTIQIIKKIIDVTQSNYTASLEQLHLLNPPFVLVAAWKLVEKLM HPETAKKIQFCKDPSYLQEYINEDQLMLKYKGTLPNFTCLWPIINTFRGMGESIHRNMTD SVQKNFMTESAYLSMLDSQYYSIQTFKEIELSKPQIKSQCCSCNIM >CAK60468 pep:novel supercontig:GCA_000165425.1:CT868008:285213:285519:-1 gene:GSPATT00005217001 transcript:CAK60468 MINDLQLIAESQHLYDFYPTNNSCPVGELMEADSPNKRHYISSLCFQLKKKIKRNQNRPS VLKIEDSGRNVKIYQNTPSQNNLERMEDNYQ >CAK60469 pep:novel supercontig:GCA_000165425.1:CT868008:286685:288080:1 gene:GSPATT00005218001 transcript:CAK60469 MSGPVFKAAAKWTGRKINVKNTVVELDGDEQARIIWKMIRERLVLPYLNVNLEYYDLSME HRDKTDDKVTFDSGYAILKHKVGVKCATITADEARVEEFKLKKMWPSPNGSIRAILDGTV FREPIICKNIPRLVPGWTQPIVIGRHSYGDQYKCQDVKLPGPGKLELIYTPTQGEQVKRT IFEFKGKGGVGLGMYNTYESIVNFAHQSFQYALMRKYPLMLGTKNTILKQYDGYFKDIFQ EIFETKYKKDFDANKLWYEHRLIDDLVAQMMKSSGGFVLALKNYDGDVQSDIVAQGYGSL GMMTSELVANDGVYESEAAHGTITRHYREHQKGKETSTNSVASIYAWTRGLRHRGRLDNN QELIKFAETIESSIIQTIESGLFTKDLALIVHGPKADRSTYLNTEQFIDAVNGKLQSNLG FLK >CAK60470 pep:novel supercontig:GCA_000165425.1:CT868008:288114:288879:1 gene:GSPATT00005219001 transcript:CAK60470 MSEPNIHQETNQKLNEIFNSIKLENVKTQEAFKKISNTNNLVTQVKRCQSLTLLLQNIQS LNQAQKEFKEIKINNLCIKLNSDVVIYTKKNGHRIAKAVQIIGVARFQQYTPIIQVQWYY AKKDLKLIIGQYWDGISQRELFLSDQYDYIQPDIIVGEAQVLELEQFKQKNLSTGFVFFC RSFYKNSQIIPPIQKWEKHCKCRQPMNPDRLSVICDICQLWFHKECIPLNNNVQGIYVCP SCKKKK >CAK60471 pep:novel supercontig:GCA_000165425.1:CT868008:288948:290128:1 gene:GSPATT00005220001 transcript:CAK60471 MTEQLNGSFVKQEEEIIQSKKEEILQFFEQQGYKPNSVFDDQLWFLPEINKSYDHILWKI INDAIGYDYNKDDHFATETKIEAFVQRLLDEAQMIHTKVVDLKKNIDSYKDEIENFDKFL KIIEANKTEYNQENAFVISYVDENNQINEIAKFYSIKYKLGTALQNYQLLYPENSNRSTC ISNDHSIESISLIVMGRRLENKIGDARTSGEDYKELAQIKIPIQDIYQQVRPRHFALPTI PQCYLTVNYQDQSLDASFCENVTINLRFDLRLSYLYRILIIKDQKQYRTQLIEANEDKFQ QQLGLMQQLLSPFKQEPKLHYVPTKGIGLQSDFKDDNRENLQVLAEIKPPKERESCCLIC >CAK60472 pep:novel supercontig:GCA_000165425.1:CT868008:290341:291081:-1 gene:GSPATT00005221001 transcript:CAK60472 MNSSKTSRNTKPMHLIHLEQKVYSKNQFNIPTLREYVPTLLKPYTLSPTKQSLPQQKQQQ QNGYRKLSIEKKIIQLRKEIMNSKQGKSKQLFQTQKLQIKEGFYVIDVKSDIKSIRSNDN RLGKKFKIFQIPIEVQEKSSRQSNSQEKQILQIPHLKFQDKQSLVIDDLGNATPKCLAST KQPSEPNSPYFIRKLQSQQITHRSISQGNFEKPKQNQWMAWPKNLEGWNTHMNNHDDDLL LQLHYY >CAK60473 pep:novel supercontig:GCA_000165425.1:CT868008:291476:292123:1 gene:GSPATT00005222001 transcript:CAK60473 MLLLIFFQIVFAQIQEIDCDLINKLLERKYEIKQPIILLFYFKYGAIYQQIKSPWLQYSK NYTQYEFMQLDMKEYSEQCIVGIFEHNYLPYGIYINDKFEYHAELIRESGQLIEYLEQTK QFEFAPLPKFNKYRKLQNMLTNYIFIQSIKAIGVVALIIFAIWFRLQIYKDKDKSE >CAK60474 pep:novel supercontig:GCA_000165425.1:CT868008:292613:293613:1 gene:GSPATT00005223001 transcript:CAK60474 MKIIIFLALFIGITYTLNLNPVIGILTIPSDEDYTEYPASKYSYFAASYVKYVESSGARV LPIPYEADEATLDRYFSQINGLLLTGGTLALETESGPSKYLQTVTYLLNKVVKANQQGDI FPLFAICLGHQTLHFILSNKDYDILSPTFGMIRVNKKLTFTDKSSTMLLDLKAPILKQIE IDNQIYFNTNWGVNPSYYQTHSELDNFFKSVALFSDAKGTVYIAASEGRKYPIFSIAFHP EKPIFEFKTLSQHQFESVQFGRNLINQFTQIARENNHSLKDSNSVIFKYNPIQLESASFA QIYFFKLGELNI >CAK60475 pep:novel supercontig:GCA_000165425.1:CT868008:293816:295034:1 gene:GSPATT00005224001 transcript:CAK60475 MNYYICLSLIPDLSLMMLDRGAISHHIMNKQVNKHKFQINLNLINVILDEKSEKHKEKID EFQNILTFIIKEKQKYQLKLPDHSQQQNKISKINNNQKYEKSKQKQNSNIQQRDQQLEEK DKQISELINNSNKLVQQIKETQKIYHINFNLILINQKVFQTLIRLNCIIGKNIKKKNQQR MVHTNSEKEIIILSYQRIRPKRYGSLMKQNEKYLIQIDVKQKSNTIIDLQNKLFEAKKLS SPKMKNQQVLSLNQEKMLERQKKEDVALQFYKMQQLQVLYLNQMLEISELKKIISEFLRK RNIRNALAEKEKFNNQVNRILNEKQSIEVKLEDDTQTRYRKSNQINKRLLHQIKENKSFN KIRQCFRYQIIAIR >CAK60476 pep:novel supercontig:GCA_000165425.1:CT868008:295173:295659:1 gene:GSPATT00005225001 transcript:CAK60476 MFKLSQRDMQIQNLRNTQINQTQVRNLLLKNKGHKQLTLMKINLIYLTILIENSWYRFQK QQVTMQVKIQKNYVQQEGKMSELQDKIVQLQEENDKLQRYQLKSQDDFLQITLLKESALI IQLRQEIEIQKLIRNKVRKKVSYNQKQLNQRIN >CAK60477 pep:novel supercontig:GCA_000165425.1:CT868008:295708:296164:1 gene:GSPATT00005226001 transcript:CAK60477 MLRQEKFENTKLKSCLKSCVDDEQRFEEQNQRIKEENTSLRNRICEHQEEIKQLNEKIQN CQDGALASQLISLLIKFFESLQKENKQEIQVYYQSLVSLCSIEYNELVINKLFQSAEKKS QGVFGIFRRDKTKEK >CAK60478 pep:novel supercontig:GCA_000165425.1:CT868008:296207:297073:1 gene:GSPATT00005227001 transcript:CAK60478 MSVQRPLTSTTRDLSTSVFGQSYIEWVSLNKDLDWNQEKQMRQVNEIIIEENESPIQEKQ IQNPIQQYQMITYAEMIQSFRLNEGQYSVRNKSKCSCYGFSSSISKIEQVKQKFIQMGKI QIDLKNEIHRNTVFSIHCILNNTDRAKENQFKMITKYASKYFQLIQFLSCLEFETPLFQL LYKESGELLIQLVMNVGEMVLVQLLNNKLNKLIQKESSHLLNNILRNYQTGLIFHMYKEI KKSNTPLQLLLEQYEKTDPHLYQSWYIQQKQYNNNLFLD >CAK60479 pep:novel supercontig:GCA_000165425.1:CT868008:297101:298100:-1 gene:GSPATT00005228001 transcript:CAK60479 MLFMLMLSLVHSQIQDCQIQQGECSDNYDPVCGLNISNTSIQTFVNYCYACKASQVVKYL KGDCQKKGDDIKIDIPQTSVGVDNNNPKPTSGNYTQTISCTNPRPSVCDTSVKSICGLFD STIKCTGQNCLQQFSNECLACRNTSIHSYFFGNCSDYKPQTPTVIQCSTETSKNCELKEQ VTVCGFLDETAVCQNPPCLQPFDNKCEPCQQTNITSYFVGDCNQYQELFYSAEQQSDTFV ANYQYCQVQRPSECDQQYVQTCGVLKSCKGNGCERTYDNPCSACQNNEIEGYYAGECLNS YVSLISMFIIALLIQ >CAK60480 pep:novel supercontig:GCA_000165425.1:CT868008:298634:301762:1 gene:GSPATT00005229001 transcript:CAK60480 MLRFQKLGEDEQARLDQESLKQKKELLKQEFEHQEEIRKYDNLSIVSSNDFKFKAELDKL QSFNKKKQQELELLLKAGQNKRKSKTGTSQMHASTSGYGVIHSINNHHSDPLFETFSGNV NLKLLDLEQRDQYIESLLLQESRYENLILEEQEKSESLQKILVEIEKSKLIQQQKCEELQ IILSKAGKRFNNTDAVRLMAENKRFLSKKNLQSIKNEFGQMKQMQDTYKHSQENKQLQLQ KILYVGEENIGHNVLKMEEAERFIDAQNKEFEILEEKKQNQLKKKQESRYILTYIEFFEI FEQIFMNKEKPNEIRLVDQYTVNEEFLQKWNHNQQNDNLQQEQEMNFDRNELLSQINKFY QDNEQRTEYILEFMLEEYWHLQQELTKQSISYENFLKQKELLLDEIENLDYELEELKQKN PNLEIHEQSIVAEDAGLMNLKNETTTLNIKKMEIEEHPEQVVWIKATKTQGLLMNFQLGL AEYLHRIYQIIQAIDQKNKENKKFKYPSLNNEINGINLLISKIYNISKSQYQNNELTQQH LNQFGIHNIVIEVFPKFKEQEQHQISQYLYIQSKTDQILWFNLSVENLTNFLRSQENSTL QKLLSPDIINEFRDQLIEIFKYNFHKLFEYYQTMYKKIRDISSDLLQFLKEINPKFDIKK LTKLLLREGQDIQSAKDLIIRRMMEYKTGEIIPLNSKNDLNKIQNLRPKTEEEQIQSVSQ QQQQQSDQSDPSLLFPKKERLDEDKLSQIQTFFRAKIREKNEQLRDQKHNDTISTKDRPG SNIEQLNSESISMIKGENKMNRAKSKKLVESKTARNLKDDLEQERSLLKAQIAADLLRLK EEQERKDKPLMKEQQNEIKVQIKQATERLYGERQYTVQIMRNMAQTTGILSDINQLEHFT SKIESPQLVGQFVIQRKNLHRNLNKELGLPTLSYLKPTSAYYSRRNQSSEQIKAMDYKRY NEIENGVSSRPYTQYQSSRKPQTTSNNNSSRKRTNQNQDELKNKYSGRTRVTNNNDSMNK FPVACEIFQYEQPKSLLVPIAS >CAK60481 pep:novel supercontig:GCA_000165425.1:CT868008:301818:303269:1 gene:GSPATT00005230001 transcript:CAK60481 MINLNKLQLLSCVIIILIQVYRYKEKYFWNIIKAYFNILTVQVYILTIYNEIQQIQQYLG YGKNINYTMVQMLKRLQITLYIQSLSIYIGILFIIIFLKNLHQNNRRLSYKILILIQSLL QTILFQFIFIICALYIKYLDRSQQFKDCLEIVYIRALFLFSAISSMPPIMQVLLEQPLNN FKSSIKMIKRPFIKDIKFKSVLQMKQIQTDEELAKLNNTESDSMLIFEDIEDNSDVNISD LCKHYVIICIQSIWNLMQLFGRSLSLIYNTIQLIMAFVLIFGFICIFIQYIDPNSNLLSQ LNAIDFFSNQLDQSSQESKMQQIQFKMVEVITIAIFKFIFIIIMYFGTNNRIQYSKNREL IDNVTNLTVIIRKNLLNFTLIGLLTTLYPNLTFTINNSNCYKEISFRAGFFIKMGQVISM NEQQSCPITLTYLISLQIFESPITTTIGLLILIFYLFAAIYILCLKRKNKNLNEI >CAK60482 pep:novel supercontig:GCA_000165425.1:CT868008:303304:304815:1 gene:GSPATT00005231001 transcript:CAK60482 MNPNNTTDDTQSSLLDKKSKKSQVFQKIFFFTLGVSSLSGWNAILTGLSYFADQYDGRNV YFILPIPNFISLCLIGLFLPRISSMLSMFFRIVWSLIILCILLILLPIIALLIHSALGFW LCLTTIFMMGIFSSLQQNSSIGMSGILGPEYVNVFFIGTGASGTIITIFRLISLAVIESE KSIFLYIGIAVLWNIGAILMYFAFTKTPQYRKIISAHKKGRKSVLVHDQIVTKEEPDNLV QNDSVISDIIDPDTFNQNNQTQDSNPKIDNQVANKDTDQISIEKMNVIQTLVWINKVAFP IPLLLVILYIQTFMMFPGVAFQKTFDPDFINWGQCIISLGYNIGDTLGKFLAGNRKLFNL QILIGIFLGRFVFYYTYIAIAKGTLDANWISYLNTFLFGTLNGFVTTGYMILGPEKTNEG FVKEKIGFVSGFSLCFGIMLGTFMALPFANISK >CAK60483 pep:novel supercontig:GCA_000165425.1:CT868008:305034:305796:1 gene:GSPATT00005232001 transcript:CAK60483 MEQFIKDRDSTDKKSPQKLILVRSPNTYQKQNSPKQSKEEFKKNKIIKKLEFNDEFLVIK QDGIISRSTHQIPKCNQVNASYTLGLLNSIELNHKNEIINKLIKTNDHEILQVSRTSNNI SCKCRKSKCIQNYCVCSANNQECKSNCECYNCSNKSPKSAPPKMSSIEFNGCNCRKQNCS KRYCECQKRMTRCTSRCNCCEDCENQEIQPQPFQLDSLS >CAK60484 pep:novel supercontig:GCA_000165425.1:CT868008:306009:308824:-1 gene:GSPATT00005233001 transcript:CAK60484 MRKRLIKASHLEEEKPRIEQPITLQQDSSSDDSDQEDDRKQKYSRLQFIDENKQQQLDRF LQKGNQGQNVPQPKKQKVIEFKMVEETKQTTNQKDEEKRCDLSDDQDSDFDLPTNFKHLA GITRTSTTTTQATQLLKVTSQPSDVEIKSFEQDKRNQFEQEHVQVAQIEIEEDSHKNTAS LPLEGKSYYILGNLGKYVREDLVKIIKLYGGFNKCRVLQDTILLQGSLQNNGKSISNSQH LKDAQKYKNLILDEEGFNNYLISYTGNDLQKSYQLACKDNQLNGQEIKEQSDQQQKQQSQ QSSQVQKSQPQQLSKQPTNQAVQEVKSNLRAKFDSEVVIVEKQVELKPEIVNFSKNKQIQ EEQKKVQKIEQIMVEDTKQKCQLWTNKYAPSKVSDCLDQTHVPNIVKWLDKWGKPQLEIC PGSFQSQNFAAKALLLSGPPGIGKTTIIRLIAKQKSYQLIEWNASDVRSKLQIENYVKHL QDNTVLRFKDANLISEGKTIILMDEVDGMTGSDRGGNKCLIDMIRLTKVPIVCICNDRNK QSMRSLANYCLDLQFKKPNQVEIFKKLEYICKSENISYDPAELKQQIEVSQCDIRQLLNL LQMHKVGLKLHIDKNIGKDGSVTTNLYESTRMLLNYKDSQNLPIHKLMDFYFQDPDMTQF FYHENYLDLSLVKKTPSNSIQNISLAASSLADADVLNTKVRSQMWGLMPNVGFLSTMYPT QILKGNILSKVNFPQMLGKISSENKIKRQILEVKEAFASQTYLTNTKAIKFQYIEPFSFF VIQSLASAGLSCIDEIVTLLKTYNLNIQQFEECIVGSLALFPKRDLMTEITSQVRTALNK AMKQSMDSADRLGKDRRAIAQTNFVKKTKNNEDDLNEEFNQEEENDEDDQKQKKNTKQKN INVKQQEKPKKKEEKPQTDKNKQKKKGKK >CAK60485 pep:novel supercontig:GCA_000165425.1:CT868008:308984:311079:1 gene:GSPATT00005234001 transcript:CAK60485 MSIAEQKFMVDAQGRNLVEMRPPGIQNNQPNDIQITAEQLLKDAEIHQTKEIRPPQQRIM DEAELQEYKFRKRILFENRVRRQRNYLGIWIRYAQFEEGLLEFRRARSIYERALEVDPSN IGVWMKYIEMEMRHKFINHARNVFERAIYQMPRIDQFWFKYSYMEEVLGNYQAAREIFNR WMTWKPEEKAWMAFLKFEERMGERENQRQIMYKYMEAFPKLKVYLKVAKFEIKQKAWESA RNIYERTLEELGQEALKEEYFIDFGRFEIRNKEYERAREIFRFGLKNIAKDKAYQLYQEY LAFEKQYGEKDEIDQIILNKRRIFYKELISQNAYNYDAWFDLANLEMSTKDVNRIRDSFE AAIKNVPPGNEKRFWRRYIYLWYNYAVFEELEANNIQKAIEIFERAIQLVPHQQFTFSKL WILYAQLLVRSKDIDKMRKVYGLAIGFCPNIKIFQEYIQIELQLANIDRARILYQRFIEI FPDNPIPWIKFAEFENDLEEYERSEMIFELALQNNQMNMPETIWRAYIDNQIKLQNYEKV RELYEKLLERSKHVKIWISYAQFELSIKNITGFRAVMQRGEKCYIGKPELKEERAILLEQ WKDMEIEIGDEQEIKKISDKQPTKTIKKRKIKLLGDESEDFGYEEYYDYIFPEENPQQKN LGMLLNNAKLWKQQQEQRNG >CAK60486 pep:novel supercontig:GCA_000165425.1:CT868008:311106:312858:1 gene:GSPATT00005235001 transcript:CAK60486 MIIYKLLYAIVIFSFLYIIMASICDYHDSNQKKLKTHYVPLLQTFMMDNTNYSKFKQAYN ESSLNMIEEPSYCIESDTLKLLHPDILLEDKYIVRELDRGNLQREIIKKRMFDSIQNLSP LNRLEPQTKFQHRVPPQLSYFYTYKPRLQDNYYLGQGLGCMFQKFNHIPGLYSLASKNSL IYNYAKYIYDMKMRNVSKQCIQNASYVPHTLRLNVKQECQQFFKKLTQLQNDYKNNVKMY NGPEYLLKTDEHRGEGIRLLFQSQMENITEVYQNGEKCGNITEKIVAQKYINRPFLYKGH KIEFRIYIYLASSNPLQLYVYRRALIKRCANEYNPLSEQIPAHICNTAVTEKTHKNQTNQ GEGGLESEDEEMFIDWNLEGIEELLIEQGRISKKQRWLQEYLYPRIYSKIIHTIRSGQYS FYKDSRFSEFLAIDFLLDYELDIWLLEINYNPQILSVTPDRIKRNYKMIEDTVEIAFAYL KSKYKRIKEFIQQELYNYKHSGNRIRQVDFKNKFGNKFNQLLEDTSIEQEFSISPDNLYT HIIDESKSGKDKYFNLIEEECL >CAK60487 pep:novel supercontig:GCA_000165425.1:CT868008:313004:314862:1 gene:GSPATT00005236001 transcript:CAK60487 MSEILMEILEKVDQEECTNELQIIREFNNVIHLKVYQQHVYNFCGYHATYNILQLLNHFH QKKCYLYHSVSFWKYVKFVQNYLKLYRTKHNINHEPWSNHDIEHGDFERCYLKPWKELYH DVIVIQYQFGRIVNGLKEKEQIIHSIQQFINYDQVAPLIQVYMLGITFHWVSFVAVKTKS KTKFYFMDSKNIDYCEWKEQIKYKNTQMTSIIKELRLLDMYLQGILDLQELIKLMDQALR GELNFAEYLCDSSTLMYVRPLYELFGMSEQQFLDANPAEINQDIIIVWAQEYKNTIFSVL KEVSILFDRSRKLLTQVNKITSHIHSIIGQQEVHDPFQQCHDVIQGLVESFKYEWSYHPK EKQINLYFEDASKCEHISYITYVMKAYFREFVTKQHHYHIRIQFYENIFHPQGGGQPDDV GSIIIGDKEFIIKNMYNDRQHQESYVDIEFSQEAYDLLLDCRTKKTPILQKVNPEVRLIH SQLHSAGHLLKEELIKLGKGLKYIKGMHFQKESYILLEGEFEIPIEQIPREFQFQDLQLS ICYYTHNKVFEILGYVPEFVEFSEMVRWIKLRDQDIGFPCYGTHTVKGLDKIQITNILKN NNQFTIFYNL >CAK60488 pep:novel supercontig:GCA_000165425.1:CT868008:314945:316299:1 gene:GSPATT00005237001 transcript:CAK60488 MENLHPAEEIVRNILDNIEQHHKFIDFVNTNSNKSKQGVWIKQYHKGEEIKAITQNTIRI VELQNEYVPNKQQFHHILVHKQFVPNLCGYHATYNLIQCVQSIKYKISPQFYDIAAFWSY VRRTQDFLKLYRDSRGLDGSVWPWRNDDIENGDFERTYLKCCLESKPLFKQTFQNEVFDG IKYFITNDTIFYQYGNIVNGYNERLALQKKFNLFQEFRPSQSEEMIQTYMLGVTNHWISF VAVKSIRGIQFIVMDSRNRDFFLWNQQQIRDFLQQDQLDRPKRGQKPLSQFYLDLYEQGM KDLQQLITLLISWLTGQSKLEAYVSNQKILVFLNPLVELLEISQQQYINLKFTNEKADEL YQILALWSDQYRITVREYIGNATQITQLNKILFVKALELTKCALDYQTKRGLWNQQKQSS LHRMLEYLQLVYKSL >CAK60489 pep:novel supercontig:GCA_000165425.1:CT868008:316335:316904:-1 gene:GSPATT00005238001 transcript:CAK60489 MITQNKLNFLNSLLDQELQQLTQSCDLRDIPNNKISSLESHLQRNNDNQLGSQQSIIERA FPTLGVKDTDEDFTPFKQTQRKSTRIRATSSKHKKLSIEQKKSLKKVSQEQINAKALILE KQKNSELQQEVKQLSKKFKKAQASITQLKQQLLENEKFKENFLKSESIRQQQKELIQFLK KEIDQLKRK >CAK60490 pep:novel supercontig:GCA_000165425.1:CT868008:316927:317933:1 gene:GSPATT00005239001 transcript:CAK60490 MSNNIMLQTANHSAFQEVFGQFAQSSDFGNKLFGELSAFIQKGATLQDLNDHVEELRKHL ADDQAEDEKYFNEQQQSLESGLEGLVNEVQELKEAVDTAAKKISVLNHQQQIIDNLFSQD QAAYQKRLANQNVILETISLLVERVHALSLDGHSLIEMDNLLEEIKQLGRGKHVEVLAQI TAHLDTEQAEKVLNLLESLNKAVLASLEADEAKEQENIRLYNKLTGEINASLSEAQRHYQ QLEVHFEQKDYELSVGKEGVKDVGLKLQDRNDSRQFILDRVQEAQQLLNDNIDRIARWSQ E >CAK60491 pep:novel supercontig:GCA_000165425.1:CT868008:317964:318530:-1 gene:GSPATT00005240001 transcript:CAK60491 MYLTTPQRYPLFKGVPNSPVMPRPFYQTSSPTMSFVNPFVQQNTYRPQPSLPISQRAPIP TQNPNQRAQTPQARITGKAVPSNPPPQRVQGSLSNNAPPKGQNPQTVIPNKPYTRTMPQP NIKPQSTIPTNQQQMIQQLSKENLDLKKKLAEKDLELQKLKVKEEALEQKFKELQDQQRS >CAK60492 pep:novel supercontig:GCA_000165425.1:CT868008:318635:319578:-1 gene:GSPATT00005241001 transcript:CAK60492 MMKEPEKIIKKQHINGQLKYQVKWKGFDETTWEVEDNVKKYKELIEDFNYFCLTGERYDD KKLDEIRQITAQAQPRTAIKRVAGPRPPDPNKKDKKTEKVDQKQSEISETLSQSQLENGN NNHTLSASNNNNILICNENQTPQNSNQNNVISNLQTSLIVNMHQQNHKTGSIISQKQQEL TQKVTQHYANQDDKLAVIKLQITEDNCVFQLQWKQRSDGITPLPEFYSYEQFKLQAPLFF IKFIETCLLECQSSDSDIKFEIAGKDMFEKTNLIKSALQKREMGDKKKGVQQLLIS >CAK60493 pep:novel supercontig:GCA_000165425.1:CT868008:319677:320387:1 gene:GSPATT00005242001 transcript:CAK60493 MAQLLGIIQESHKDQLQDLISQIHSTNRLVQQKGNVLKKRRDTLLTILKDIRETDTKLAD SKVSCSTALYSSMNDDSDLIQKLYDEISHKDQQIQELKDQVDTLTSKLRNSQACNEEMQY QLQQKQQQQYQRVNKPSQSIINILYTQRLFPPFNLDVFNQQVIIILVQTLSTNSSISIEN PKSKEDMQKMIRRISMQAAASAHILAVKGDYTSLQVAHEEMLSQKSLGSQKSLSFK >CAK60494 pep:novel supercontig:GCA_000165425.1:CT868008:320427:322102:-1 gene:GSPATT00005243001 transcript:CAK60494 MDSIDYQEAKFKFQGVRKHFFKDVIYHITVFDDMVTMGTTSDCQNPKYKLKLNLETKINW ELRKNKAELDCFEFEHQNKRKVVHSQPNDLFKFKELLAGKVTFEGIGDLYQPLYQIGKGS SAKVYSAKSALDQRVYAVKAIEKAFLKISENGNGLQGFQSEVQILRALQQYENNFLVLKE IYEGDNTLYVVTSYLEGLSLSGELEKAKVRLNIHIKTLPNKRLPINSIKIIMRKLLTNLK ILHSHRIIHRDLKPDNLMFSKKNDYTSLVLVDFGLATSELLDKYLFPKCGTPGYVAPEVL TTRSDLRYNCKVDIFSAGCIFYKLLTGHSLFIGQNFDEVLKSNKMCQIDLDLPIDGVYIT EQSIDILRRMLNKNPKIRISATQALQHQFLDSNSDFSTQAIQSTGQQMTKNAIYQLNLAE SESKYNSQNEINDEQLQNSKINDTKRYLLMNEMPLSAKRSSGQFSGTFYHKDPLSAVKTI KISNETSQPLKLSSHLSASQF >CAK60495 pep:novel supercontig:GCA_000165425.1:CT868008:322827:323645:-1 gene:GSPATT00005244001 transcript:CAK60495 MKYLISFIQIFIFNILGALIAKYQCDCSLFVEESICLGIDTCNWINELCVNKDCFDMDEN ECDLIGKCSLNQEGNCESSSFCTNYQVKDQIDCMIKKGNCGAENQKNAAGFYQCKSYNSV DCNSLAAENCTNNYEDRETFCWLNSTKQCQSYNINSCEGLPLDVCDKLECKNSNSQCSAF LCSDITSQDQCTYVQDGTWGSLTLCQWKEDQTPKCIERTETKDFTNITCSKMTDGRYYWK NESCVSCPKNYEDNSSSSLSLILYLIYVLQYL >CAK60496 pep:novel supercontig:GCA_000165425.1:CT868008:323921:325598:1 gene:GSPATT00005245001 transcript:CAK60496 MTSSSIQQQKELKVFNNQFVVLKKLSSGSFGVVFQGEDKKTSEQIALKIEKEESDEAKSL DRESQILQRLQGIKGIPKLYWFGSEGPYNIMVIQLLGRDLAYYAKHLKRFQLKTLIMLAE QMITILEQCHTKSIIHRDMKPENVLVGRDPNEIYLVDFGISKIYKDANGNHVPFRDGKPF IGTTRYASIGAHKGFELGRGDDLESLGYMLVFLYKGSLPWQNLQNVSDKEKTKVVGKMKM QILIEELCKDMPNEFSKYFEYVKKLQFKQTPDYEYLKQLMRKCASDNKIELDYKYEWALL ERRTSDLQSQQNSQRNIKKDSTHQDPNKKQTKNNINSQSKLKPEIDSEKISKSKSPAKNT KRQSLVPEMQSQNQSQSSQFDFLRPPDPYAKARAIIQRSQDRHKSVSSLAPSQYSQVNTV QSSIAGNYQASHIDMFANDSQQQQQQETTEKKQDMIQAQNFGFQPLENNDTIAMLIGEDE EGPCFQYQELLERQVQAQFKEYVKSGNKSKRNR >CAK60497 pep:novel supercontig:GCA_000165425.1:CT868008:325733:326755:-1 gene:GSPATT00005247001 transcript:CAK60497 MKQQQGVVVVQPKYYATFNQTQPPSYWDYENAKIEYGSEEPYEAICKIGRGKYSEVFEGV DNKNGTKVVLKVLKPVKKRKIRREIRILQVLKGGTNIIDLYDVVRDENLKIPTLIFPYME NTDFRSMFPKLTDNDIKHYLFELLKALDYAHQRGIFHRDVKPQNIIVDPKTRTLKLIDWG LAEFYHPSQDYNVRVASRYFKGPELLVDYIYYDYSLDIWSTGAMFASMIFKKEPFFQGND NYDQLVKIAKVLGTDGLKQYIKKYNIKLDNAYNGLLLKYNIHTIYLLSKIRLAVLCQSRQ FQFVQFGCH >CAK60498 pep:novel supercontig:GCA_000165425.1:CT868008:326798:328879:-1 gene:GSPATT00005248001 transcript:CAK60498 MLINRCYYPETRKAIDHYSYALNEEIGRGFSSKVYRGRDENNQMTVAVKVIDMKMVKQSI HATLLKNEINALKSFNSKNILKLYDVFQTQNNTYIITEYCDSGDLSGVIKKRGRIDETEA LRIMNEIITGLLEINQKGYIHRDIKPANILIEKQTPKIADFGFAVQNKQHGSQTTRQTLQ SLRQQGHTEKGDVWAMGVMFFEMLFGQTPFTAQTEQGLLTAILHHHLVIPSHPSISDASK DFIRRCLCIDENQRMRVKDMATHAIYSQYSQPIYKPIPLQTQQSSNVPTPATRTPIINKD RSQSQGIRDFQSRPKCQTQIHNDSKVSKRCPSEMYHLPHPPTTVIEPPISDPMRSKSQKQ ITESRKTITQENQLEKRSFKKNNTVLQERSINVQTQPSQNTTPVQSPIKFKSNNDILFAQ INYCRFLYKFSQQIINSRSLTLSNGLKEKLLFLMAKNIAMKISKLHTIIDKENSKDNTFQ LEDFDVYRKAESFQKFSQAIAEYNENYKRYFEKTYQMIVNKNLPQDKKFEALFDKDLSEF ESFYFITQSYLRQALQEMKSELPTSSSDLDAFLPEEYNFSYFVGQQLCNYLLICHCTLQN MNDYKKFSKMLKIDQMVDQKQNRCTYRQVEELRSKMQELVSK >CAK60499 pep:novel supercontig:GCA_000165425.1:CT868008:329275:336080:1 gene:GSPATT00005249001 transcript:CAK60499 MSTQDLQLLENQLLLSKDEAIGKLIKGTDQYYYYYFLKIFNQHGYQLTTEQKQQLEAFKD MQTANANKTNLRALFLEYDRLSSETPSEEIDQQLKAIVDKMNRQYFKFSFAQEAPAIARG QSQSGGLIEQMTYKSKFNDSVFEVSKFLDNAYTEAGFAKIKQQLYGQLDVNKIAASSIKV IKTYLNNVGNEIVDFPGIPEFYDKLSNLKDFKNDFQQAHFRKLSLSQLEGLLQLNKKFLE NQQFVGEYYLKKFQYNLKDIQYSKLTEEEKKKVLQNIKDVYNWTSTLPPKFKSFNDQILY ELLQIGIEVNEYDFDLFLVFLKDPKDDYDAANEKQKTFIKNNKRNYQQQWHEYHQLNTNR WIATDQIIEKYLQKYFEKNDDLGILEQYLDTKYLKKVQATVKLHQGLELQNIYDYLTSAQ IEQMNSSKLLTICSFNKMYFKHGDSVKLYVELKNIPKLNIKIFEFNSENYYLQKQQQLDS SINLDGLIAFEETDFSYNYPPIQKVIKEFEFQTITNIQRGIFIVEFIGNGISSRALIKKG RLQLRETITAAGHRFQICDENFELCTGEKTGVWIDKKFYNVDQSRKEILIPFGQRDQQFN AVIVHDNFAEFTTVFIQQEKYQLKCAFLIADESLLMGCQAKAVIIPKLFVNGTEVGLALL QDQKISVTSTNDAGVPATLPFDNIKLENDKPFEVQFPITSKLKAIEIKITGKITRMHKDE KKQEDQLEQSHFIYFDNLEGQQVICNQLLKYDKINGYQILIVGKNGEPKRGFQVNVTFTL NSLNHTYNEQFTTDEKGRVYLGELRNVNSISSNIKSVGDINVQQKSWPINQKSQISYPTK ITVLPGQEINLPFSNSGDINNPFVLYQVFGQTERAVIKSLTDSIKKQEQFIAFKLESKGI YELQFVKDRFTIYINVIDGELWKGTSIIKSQNQLIIDKGLDQLIAISKVDQKKEENGTKI SGNIVSKQKVQVYAYATSFLNEQFEQQVNQIQQLINKDRSEQLSIDLYSSVFQSNKELSD EQKYVLNRKTQERYIGNTLEKPQFLLKRQQIRETTTQGESLNQEGSYKDKFEQQNQQPRA LNKQAGYGSAGQRHQQVTTFNDFLKYPAILYSNVGLDENNNFQIDVPAHYSTVTLLVFNE SSYQFQILPLENEEVQTRNLAHLSTLQKDKFYSIYRNSSNVQKNVPIQVQDLTSTEIKLV DSLDKMFIVLKELKKANGDDSGSKDFQKWEFLIKWYQQTTEQKHKLYDEHQCDELNLFIF FKDSAFFETYTESYIKNKIEKSFIDYFLLKDDAALRQYGSMQKITQLNALEQALLVIYFA EISNQLEDAKQIASYLENLNKQNIIDQRIFKKYFDTVLGAKIEAEDENFDINQGEVMYAQ QQMIQQVQQPIQRNLMCMNQAPAPRMMMMQQQAMAPQAAMMNMNIQQDRMRMPMVQQSIG SLRNASYNNACQDLYLGDDMMECAYESKSAKNDSYYRDKRSQFIENFKNIEKTKEYCEKH YSTGCQKDSFKNLVSLNGFYVDLVNHSITKGILKEDFLSSKFIYCINNQTEMIAVLALIG LPNEAPVQEYKQFGGKGVEITTKSSALFFMKEIKEGKAQLRQDILIAQRFFDPIDRYIIS EDDPELQLEKDVSECLIDKIYGCQVIITNCSSTRADYQVLVELPNGAIPVNTVFYTKSYT INCQPYTTQRIEYFFYFPKVGTFSVYPANVSRFGQVVCVAQEGQLKVVESKSIQNLEAID DILAKGSKDDILNFLSTKNIFNRNIFRAEEIRYLLKDKEFYLKVIAIYRKRRFNDFESLK FSIYHADKESMKELFLHNNCQDLFKRTFKYFKCSLFEVSNIRMLEYYPLITKRVHKLTSE AKGILNVEFRTQYVDFLTYLVEKPTHTLSDKLGFIYYLLLQERINEAIQVYKTVADVEAQ GEAVLQYDYFSAYLDFYIGYPNFAKAREICEKYLNYPVIHWRNIFYEVVNLLAEYDGDDD ENQKLKTETTVKQKQIEQAKKEETLSSVIEGNEIQITYSNLNKVVIQFYKIDLEILFSRN PFLNQNEEDFAFVLPNSIVEASLEAMQQPGLTYKKSVPIPEELKKFNLFIQIKGASKRTS NRFYSTSLGVQVMENYGQIRVSDSEGKYLSKVYVKAYIKEKNGKESFYKDGYTDLRGRFD YASLSSANLDDASKFSLLITSDEYGSIIKEIKAPSQVGKFETEVKLVSNKWNERAVCEQV KQSNLYECKKLSKCK >CAK60500 pep:novel supercontig:GCA_000165425.1:CT868008:336131:336676:1 gene:GSPATT00005250001 transcript:CAK60500 MFEIITLNDVIDINGGHILNYQAELTKKIREKFEYKPLKNYGFCIKVLGIKTSDGHIQDG DILYSVIIEILSFQPFQSELVYGTIFKSSKEGLKIKFLENNNNDKQYLVCFVPKDQLLNA RFDEGKQLWICNYEEINFFYLLGEEVRFKVHSLNFDTVDQMDQIIIGRMNESGLGGLTWW V >CAK60501 pep:novel supercontig:GCA_000165425.1:CT868008:336726:344170:-1 gene:GSPATT00005251001 transcript:CAK60501 MQRSYQEQVNQKDYQHKLYFKNGYPQNQSFKRDPKQLLRGLILKTCILHFLDWLKQGFNL WLFVVIILSASFKAKQQLFICIIQLAGNLFMSSYKLYQQICKALKQVNEHYDQTVYVIGW DPKCHISKCPKRDVTVGNLVFLKRHQPSPMSILILNSQEEKFMVQTSEKEGMTHMTQKQS IKLTSTSDQQKNQTLIYYKRILTGKIEFDYLDTNDNTFRGFIKLQKDPKGEYLDYRNIVN QSNMIINTDWVVGIVISHDDEDVIKMWQNCFKKSTTHFDRYLMKFSLIFSLLYFIQLAFC ITIIVRFQNQPDDLNIYNIICRIFQSITFVHPCFITGLCEVTFQFFNNIFEKNKNIIVSH SSELLTLTKVDHCIYDKTGTLTNTNTVLCGVICGVYFYNLINGDVIRNATKNYRKLNTTP YIHNNMQEIQEEQEDQEENNIGIGSLQKSERFIQNPGSHQSVKSRLQSQHDEAISFNQDD AVFNEEEPESRNMVFNFNPHFIRQNEYIKPKKAQSVDEELSPPEKAVSNRYNRRTLNNTS RLLTKPRRELRIVERVLEQTQDPANGSMLSPSPITSSKDFRKENKLGESQINEDELQQKQ PSESDCDDKEQQGGRKDLQEIIKTGQKQADNFMRSLILCQDVKTKYSKPQNKPSRDKNVP TIGMSGLMNEHPSMNPQNFLNENTEEYQESIIKFAKQYQFEFNCAGLLNKKICYEISAKG LSERYLVSKKVSDNETFAIFMKPIQQRIRNQANQFERQGTERGSKKGALLKLYVKSENLA LLDKCKLSQKKRVNLEKQMTHQAQQGQRLIVYAGKDIPEDAVKNKNKYQEASFDTMEMTH HDKELIKDLDYYGLICLKEDQNPGAYAQVKTFKSLGISQWILSGDKKMQTLSAANYVGIV NNNNTLLRIDEVDEEKLKLQIKSQLLFIRKQLLKEDESQQNESTKSFVTQKSVTEMKRSG LQKKATFDENMIMKSKKGEEPLQMVFLLVNGKSIKTIFSDDYTKSHFLFIALILKTVIAY DCSSADKELITKAVTKIFEPSSTLLTISDSVDDFNMNSHANATIQFSKEKRSLKIAYQNI QVNDLQHIKQLVLYFAKILPMHLSQLTVMCTKISLYQLIILTLFVCVRKTLYIEEDVVYL LAVQIHIIINGLLPAFEDRSSHEQIELMLPQNQPQTYKCFKSNFRWLQELFYEALISAGI TGLLNTYIFAEFMMFFTSDSNNLAHLMFLFQLFSVFAFGTSQMIHLNVPFQKIIWNLIIT LGLLTISFAIVIQADLRVQIIRNIFKWQFIIGIITGIIIIITIDQIVKQTREMMFTPRII LNFVKFVETALKNYGGKIVSEQLKQFPMTNHIKINRQFFRKIKKVFENVSIDPFIRSLFE SEKTQSFNGEYSKFSLVFKNHNYEKQFRQFKVQLYYQQRREVVLVLFIVLRVSSVIAWAP FLTQEDTVLNILWAIVMIMWILTLLITKVIEKKQADQTVFQIKCGTIEAYFFIPVVLILD HFLSVTLPEDKSQYYFSTFGQLLYQQFLAWDIFPPPLYYPITLTLIFFVNYTIRVGQFYT IQVNSDSETPTFTVEFITLYVLLFFLNLLSNYTHYNFQFQRFQRLVFLFDQALQTQQEQT NQILKSLLPAFIYEKIEQAEETQIEENQGSVSIIFLEICEFDKILQSKQRQVVSFLDDIF RVLDKICLQYGVQKIETVGKTYMACSGLKSTEIENNKDGLNQQKKNETALALELSLDFLK AVSEFRYEYKEQEIVKLYKLKIKIGIHYGQVFAGVIGYHKPQFSLIGDTVNTASRMCSTG EVGRITISSEAYERVKDTEFLFTSREVEAKGKGHLIVHQVTQRKLQQKKHLSQVKYFEGQ KNGRVLTFKTHNTNNSNIRSTLMKQTFKDISPPLSLNQNEHPTTKDYGRRSKIMNHPMQG SQMIKQPRKNKGPEQTRGNKKGTIKEDFTSISETVWKDRAKSQVIQKTDYNTNDWEEIKE DEIQMKQVEKDPENRIVGVSDNDVDVPENEMKSEKIKKIIDLDILKYNKLTLEYEKCNDA NIVMQFEQYYQEVRSALKDIFYPMTIVYTLFKAQIQLLDSGYDGLTIYIVRMFLSLYLII LYFITSRLKKYNMHNLDLALTGLYYVSAFLTMFFVTFDNQQRFLISDNAEAYFFAFSAVN QQSLKFKYMFFYILLQQAAWFVQLLLHHHNNLLVFIVLSTIILVIVSHNNFELTIRNFNS AANENLKKEQRDSLLTNLLPSHILTRFYQNSKIKLELSDVLIDATLLFADISGFTAYSAS VPAEQVVKMLRQLMTSFDKECLQQNVYKVYTIGDCYVVLGINDMEQRHPGQEAKNVLEMG LEMVEIIKKVRREINFQNLNMRIGIHTGQFYGGIIGTDIVRYDIFGIDAVIANKMESQGE AGKVMVSEDTRRLINIHFPGEYNFTYNKGVDIPVAKKKVEAYFATKADIQNRQDNSKFM >CAK60502 pep:novel supercontig:GCA_000165425.1:CT868008:344191:345992:1 gene:GSPATT00005252001 transcript:CAK60502 MQPQQRMGTAAMRVPTRMTTAMRNDPNFQSVANTTNLKFVERPVTQHGIIGVKPTTAGPG RQIQDKSYYLNQLREKQKEIFNEIERFKKQQDEIQKANTLYVQFERRHEELTKEVRDLEG QLADYNLAFDKWRANTRPEDIKNIYERIKIQNERQRSQLDDIFIERRGQEDSINQIENRL NELQSLADMKLQELDPEQRNEYMSLINENKSLQQEINNQKGELEELNARMQNADNRLRMD AQKLKGQMLKEQINEMDSKKNDLEVQLNEANLTFPEARDRLLNKIKDDNAFIQNSEKRVR EIRRNIENYEKRLRELQTELEDKKSQEQDKQKYEILYQRDQEMTDYINNFDKTRQQELEK VNAVEQVIVELLGQSSRIVQDIKTIPSLTDYAGLSAEVDYKDNQVKDSEMTLKKLQGVYE QTVQDLKKIERAEEQLPIELQQYKQKCKQMQDEIDTKFNKIDEYKVKAQDKKLKLENQKK DLLKLKDDFTAQSQNMKHEFDVKEKKYTMHEQYSTLADLEKKVSQLESQANTFQMFIETK SKDMNFEQLKKECMDLMDKINKVLCKT >CAK60503 pep:novel supercontig:GCA_000165425.1:CT868008:346048:347053:-1 gene:GSPATT00005253001 transcript:CAK60503 MNQTHTRQSLQKIKTSFNSDNNRHLNFMLQQKTYSKTLKPVANYVKPFSFKNLDLATSLN SESPMNESFQDNKSCCCLDCGAVSRKAKHLQKKFIIFTTKMSVLRKQRLQRRFKNAVLCI KYLLEKWKKIRQQERAKRLFRFKTKVFENQSFFPGQQHHYPNHIIYSNPQIPYDDKVNQN QQVQSIQAQMIDQKHKQRQSVRLYLQQKLNNNKIESSGTLPTIAYSKYHNSHSSKFLQPM RILKTLEDEQKSPKKEYNLLNYNSSLINSPYLCQFSGQKAQEQCFSQAKSPRSVIKSISS ETFFPYRQNIHKSRKMLVKKFKIID >CAK60504 pep:novel supercontig:GCA_000165425.1:CT868008:347921:349219:-1 gene:GSPATT00005254001 transcript:CAK60504 MQQNPLQLFLSILAAREEVIFMIRIIGHQMHEDCRNRLGTLKELSEEIYRGEQKRENLEN FLYQHLGEGYRKLFLPKIIQKYTKITQYYLVKTQIYFPKQGQQLCQDTVNQPNLRATIQQ IMHEPLVGILKFIIKNQQQKLKHELSHLLNYEQYIPILNQEKTDPLILGVFGLRYLNDLH RKYCYTVSRHSKRNVYDVLAIDDNTRVLYLQGGGFISLVSSITKLKDKSVDNTQSVYVPV ESTVEIITQRYEKLIKELMDQNSHVQKSVNRYYEQYKRYKLKPLPIKSYSTPKSKVQEIK SQRSNQQKTFESGPMLSDMGRYSEPISRNPLLQDFNNSVKLKYEKCRTQKQSDIIMSGFV SPKQTLVRKHRSVKNYDIAFLKPTAQQPFADFPLRIISNKNRKQN >CAK60505 pep:novel supercontig:GCA_000165425.1:CT868008:349699:350495:-1 gene:GSPATT00005255001 transcript:CAK60505 MDQILISGVAGGSAGIFTDFLFFPVETIRTRIQASNIKIDYYKSAARINKYRGLLAQLTV SFPSAFLFFSTYDTAKKNGCSHIVAAGLGEFAVDFFRNPFEVVKNQMQVGLDPNIRNTIR SIYQIQGFRGFYAGFPTFIMREIPFSAIQFPLYEKMKTHFGNDGVVDHAVNGAVAGGTAA FLTTPCDVVKAKLMTQRNQFYDSISECIKLIYETEGVLGFFRAVHIRTMQISTSGIVFFS AYERCKFHILAYNDKS >CAK60506 pep:novel supercontig:GCA_000165425.1:CT868008:351026:352718:-1 gene:GSPATT00005256001 transcript:CAK60506 MNNIEILQKLLIEEIINKQYSQEEFEQYVQAQAPEKDDLSSWSYEDLKICIDNFQSLKKN QKLPQNQENEIYGFQNIDEKLYSVIVSNQHKIYIVQIPKLFRIISLWIPMKKLQDVNKKS ILLKMMLSYNFKFHIRDSGLWSFITQKSIVVFQIQTFPMQWKVQRTLQEFAELRNIICQY FPEKIIPRFPYGGYTKLEDMLPMMEEIILSIKNLLSIYNKIPIIRTIQPCLWFVNEVNDQ LLQKKLKDELQKEKRYNLQQKQTKTGEIKVSLTFDSYQKFLDQSSYPKNAINLLNQVIGN MNEFFAYQKKSQDFLGNAAQCLFELTNTLPKYPDQSGLIDYINLVNDHFNQIIYEIDDSC ALLDNHLKLTFAKLLGSHKSYIRLCQNVNEIKNKFVQDHNNLNKKKDDLIKNNELKLIVQ RIIQQNKFDQSEFDKLSADSDYLKSFLYVPETQQNQQLQDQLVYMIQQFSKAMDEQFRAD LLKVNANFGGFLYAKQKLCQKYTEQWKELVNKYESVKSKQG >CAK60507 pep:novel supercontig:GCA_000165425.1:CT868008:352772:353235:1 gene:GSPATT00005257001 transcript:CAK60507 MYEVQVEQEQTVVIRETNKKREQFRHDLRRKALNEQFKRKRTPAKELDMLNILKKLKNNE SELCKVLRLMLDAKLEENEDIAQFLRELLQENLNQEIRQLCKSLINLKFCCIPQPAIKNR QQH >CAK60508 pep:novel supercontig:GCA_000165425.1:CT868008:353623:354266:-1 gene:GSPATT00005258001 transcript:CAK60508 MILDQENLIILAFIVVSLFFVKGPSISYYWFIINGIWIHIYLDGLVGLCQMNKWLFAQYS QLDARYPEKELTVIVVTGIELVFMGPMCIWIAIRQRSKANPILTAILITFVSAVQIMGTV LFIVNAWLRDFVDVCHGSCFSFTQSNIFYFWFVFVIVNQIWIVVPLQQIFLQYKELKNIQ GDKNNKKVK >CAK60509 pep:novel supercontig:GCA_000165425.1:CT868008:354436:358240:-1 gene:GSPATT00005259001 transcript:CAK60509 MFKFVEYKNFEQFHQFLNSHPQVTYQEIIKHPLQEFQFENEFVNPIIVKQSVKLPFQEYI NIMHCSNRFVYLGSQKRVQVFDIRTGIKLIQKLEIQNSLIIQETEDCLFILQLEYILLIE ISKDFSIIGTYKLEQVQVPFSFLRVINESQKQLDWYEVVIADLKGNSSKIKCNIFKLFNK RNVQFSTQELTQSGSIIDSKQKKFNDSGFSILYELLVLQGSNENYAFLLYQMHNRISIIR VSKTNKETPVQLYNLQNDQIDSGWACLSTCLDNSSQIRYSFCCSYGNVIHLFMYEIFGGS ITVDEIGVKQLENERKINGLECCDSDIFVVLFDDGFALVHTTEFKMCENKLNYLNQSLKI KKFNGHFMLGLTEDSFEFMMLQDCRTLLQNQADQLEFRKAMQNAVRIMNGDLLMIKIAPS DQLSELLQKLAFTECLFLGKQKNLTVQSLSEIIKFLIKTKQEHYLFTIISDVTSDLGYKD VFVNTIESLLRQHQIPYIPDQQLYELCKMYQNQQNVEMIHHLVCSTDFHRISSAILITCC EQMKMYNEMIYVCQMSQDFVTPLVKLFGGDQLLEECQKYILSILEGKSFEGKNLTDEQFT IALRSLIQFLFVSENLFKFINKDPKGAIDIIYQFYIGKAQTCIENSGAEIVLKFQVDETE SSLFDFDQNNVRSSTHRLMYLSVRKLEFPKSDIWISYLTAKLLIQKFSFSSQVAYETIYT LCRNYEFLLQLNNDILLVNQFIIRVMMSKKLTEQQLSDLEFSASIVKKFSLALVFIYSQQ QQYKKAFEAFKIVNPQIRELIFPWIQQLVELRPMILNSQNDEFILEILKYLITIDEQQTY KLIEKCFHQKHFQILSCFQKDFEDQKYFANFISYLFQSQKVFLINDQTKILYLKRLCETQ PKQVLQAIKSMNFPLDEALRLAKEFKINQAAAYIHQISGAIKQALDIYINSFLKKIKKSI ALLMKKQTLDNNCTDKLKCKLETIIQMILEDLKQNQSNNDDDQTLWMHFVNLYINQNKIR TFIMPNIPQSVKDLLSLYLSEYLARISDKVQVSQIFDQLNLNYPQLPVSVFRQTIYRVVS KFHDDLRTLKETSLIQSNEKYQIIQQLKEINQSAWICNHICQRCQNHIIDSELAIAFTCS HVFHDYCVLKGIYKIPFCDTCQTETSYKIQKERVLKQYAVTQQISESKFLQQMSVNKISV ISNQQQQLQTRKDKKQLIKRFDFELQQKYDYSWA >CAK60510 pep:novel supercontig:GCA_000165425.1:CT868008:358461:359894:1 gene:GSPATT00005260001 transcript:CAK60510 MQLKEVDENDEEETCFFCFTISRKKQQSRTSQAQSNDKMSMQHLSYDHHIIEQGRQVMQD SNLKVVESVLKISDYPKNKLFFLRNKASKEEIIAKITEKRFNINDLSGSTLGLDSPKSAL QEQLSQIEYTPDHVARYIAKRLKEFVIITDLGCGVGGNTVQLAKECHYVIGVEIESKLIE LAKKNCHHSRVNVDLINADIFTLNNLKTDVIFVNPSLNAEASQQKDLLKSFNPDINKILL NHQKNTRNFVFQLPAQIDISQLPLLLNINSQFAYFRQNCPSFCSIEIEQIILNQQLEYIV VYCGDVSDIKQSEIIKFLTKQFRKVQFDFNSIQKQHLFWLFELINRNIGIQNLIYRAVEA IKQKKSIENFCKFIQQYFQIHQDLYQTYTNHYNNEKDEMYSVSKQDIDQQDIDNMSPSNF SYKQIEVAHMHQSYTNENVFEVFEDEEVDAHNSFVMNVKQ >CAK60511 pep:novel supercontig:GCA_000165425.1:CT868008:360022:361415:-1 gene:GSPATT00005261001 transcript:CAK60511 MRDETSNDVNIKIWQLLFLQQISQSYQIIYLSYLIWNFDPLYLMSQYWLLDIFMLTALGL LKIKYKAIVHNLIMDTLIGSVLKLLLLINVKYYQRFQIQYFSYAIMAYYFIRVLVQNIRK ISNNQQITQYSFIAQGIKLLFVLQIMLMTMKQTKTINWNWYSVFSISWGLLILSFIVYFV FLLSIGETIVDFIRKRTTKTQLIGGLWLSLYMNSFSIFPMWFLLEVSWHEETNYSNTLST LFLVLIIYNGLILLGLILFFSYIKLYIIEMRQNSSNEATQKVQKQFIKVNIPYKIIQISS TYFDIINYQKNIITVTHSNQSVIHNKTKLKAFEFVGKTEQLQSPAAERELELIKHSEEKC QICYDVEPNIVLLPCQHGGICEECIQKWLEKQKNCYICREKIEKYLRIAKSHEEGKFTIR DIAICD >CAK60512 pep:novel supercontig:GCA_000165425.1:CT868008:361539:362372:-1 gene:GSPATT00005262001 transcript:CAK60512 MKVLVAVKRVVDSGIKVRVKPEGIDLQGVKMTINPFCEIAVEEAVRLKEKKLIAEIVAVT IGPKQGAESLRHALALGADKAIHVTTEARIDQAVQPLDVANILAKLVERDNYNLVLMGKQ SIDDDFNQTGQMLAGLLDWPQATFASNIQITDGVAQVIREIDGGLQTVKFKLPGVITCDL RLNQPRFASVPNIMKAKSKPLETIPLDKLGKLHNSIQITKTEAPAVRKGGAIVENVDVLL QKLRTEAKLF >CAK60513 pep:novel supercontig:GCA_000165425.1:CT868008:362386:364210:-1 gene:GSPATT00005263001 transcript:CAK60513 MLSTAAGFQSMLKEGARHYQGLEEAILKNIQACKEISNMTKTSLGPNGMKKMVVNHIDKI FVTSDAATILKEMEIQHPAAKMVLMAAKMQETEQGDATNFVITLAGELLQQAESLIKLGL HPSQIVVGYETALKSALDLLDQQKIWEITDVADETQVLQAIRTSLSSKLSDYSNLIAGLK RPVLILNMSELQKSQVVQYQTVMFSQDLLQLEILENPKICVFNAPLDPQSQETKGTVLIK NATELMNYTKSEEELAEKIVKSIADAGVNLIVAGGSISELVLHFVEKYKMMIVKVQSKFE LKRLCKAIGASALSRLSAPMPDELGTCDRVHVQEIGSQKVTIFEKQSDTCKLATIVLRGA TQNLLDDIERAIDDGVSCYRSLIKDARFVYGGGATEIKLAQLLEQEANKIKSIDQYAYRQ YAQAFEIIPRILIENAGLAQNEMMAQMHKLNSEKPHSLNISTATLSPSQDLKVFDHLKTK WWAIKLATDAAVTILRVDQIIIAKPAGGPKMPDRGHWDDQD >CAK60514 pep:novel supercontig:GCA_000165425.1:CT868008:365018:367551:-1 gene:GSPATT00005264001 transcript:CAK60514 MQYGNHTPSPQRSNNGLQGQQGRSQNQKKGFKASQKKMNIISIQNQRTNKMAQQITSQRS PVNMSTKTTKQTIETKRSVSPEELKKKSRKSPQQTKQQSSKDTNNIKQKNGSPLKKYIKQ KQVSQQMKENELKQKLRDYEQKKQCNLDKLKRKTKKIFEKSKKQKKQCIKDKPLQKEKVI EIKKKFEEISKRFEKFQNAQIEQFQQLIAQKQLQNLEKEKDQENIYEYYLNQAAQTIQVN WREFLKRQKQQRKSLPSQRQSIDWEANAVMSQQTLNSDEGLLFCQGGEKQVHISNIRSSV VSEESLSIMNQLNQELDNWNVYIQSIVKNQDLSQINRQMQQSIINIVQNHLKKSESLSDK RSENEIHQERSFNSYRKKLSDELSKSSILQLQQQALRGEGKLLGFREEAIYLRYEAEKKS VNSENKQSLDEWLNNELEDLQNTRKAIEICHKREISALKKIQRDILIASEELDKDSSENQ QIQIISKQIDDSFNQVTKQKYENIFQEDIQKTINLITGEIFNDLLDELIDEVQNNKDNFF IFFSDLNKDIISIAPTSVREIQSYLEALFKFVLENYSTDLLKHLNLPFGFTPLKRMKLIH GYDDDENNEEIDQNIAFPLSDHIFIEFENQRLQELDLNDQIAFTIRELEHIHNKAIFDAS NEALNYERPYFLNSGIVYPWQKINQRIFMPNQLEQILEQSKKKVIDWSSFLCGFLPLEEN EKVKEEKQQIIEEKKLSMKLQQLNLFENPTGSDYNSSFDNLGLIRDEQLYKLLIQDIKES ENKWYLVEDERVDYLMELSDQIFEQLIEEFQKEF >CAK60515 pep:novel supercontig:GCA_000165425.1:CT868008:367924:368723:-1 gene:GSPATT00005265001 transcript:CAK60515 MKEDIKILSVDCNEFICSICFQIFTKPIKTTCGHNFCIKCITKWVQKKKHCPCCRKWQSD DTVQEKDEILAEKVSQLEVACLKCNKWTGLMKELKTHRFDQCTTYQSEKQSIQYQLVEDD SNDNTSLIIKELLSIKNQQNFIQLMELENEEFVFEKIPIGKKTKKIRKRNTCKNQDQDVQ LLQEDDIIKLKQSENYITKQINKRFRIQQNLLKDLIDKKYKMETYLFFVQLFYNQNEYLL QKLRNNNDDKLSVNCIQK >CAK60516 pep:novel supercontig:GCA_000165425.1:CT868008:369459:370936:1 gene:GSPATT00005266001 transcript:CAK60516 MKRLAQKRREPTETTVCFSDLKTEPEELKQQDKRLKNWNNMFGNFLGEGLVRQKKKNKHL DPVFLKEAPLYCGNNLYDSPSVRDNFEKFIEGEITACEFLARKNQSELLDRQRPTSPKKI RLFRVENCKNQFNQNNQQTGFLKLLKDKTEEVNKQFRIQSRFAVLRNLIEHKIKMKEEED IIKRSRELKNVGVIKQMYDISDEYIKLIERRFMEKRSTPVIIKNQVNGYQIKLIQHQNPY QILKTASYENETKNKYEPPTQTSTIKFQIDQKAQQYIEELQTKNCISNEKAKKKIFFNSQ YKNVVHKQSQSLHNLRNVKSVVPFVKQKYLNNQYINNDLELIKYITDYQVEDPFHDKQIQ SKIRKSLLISAGIVPNHHSHKNVQKANKQTYLNIKDQLSQKSSQTIDDSLNSMYEFNVDS LYNQSIGLQNKLLGRQHDGFSKTIKSIQKNESLKKEIIIKNIQKLEGLEKLKITE >CAK60517 pep:novel supercontig:GCA_000165425.1:CT868008:371073:372897:1 gene:GSPATT00005267001 transcript:CAK60517 MNLSGESTINLESRRSRNKTETKCKSQSPQIKPQFTKYQQQHKKSKTKEKLSQNSEQLPI LSRKLNLKSISFTKKRNQFKPATQDLFLKYYIGWGNNEALVKRIMSKRLQWKETTDSSSM LVNFKWQQSERGYRYERLIVSQNYKQLVNHFEHHKEISNKSYLIKNLSQYCEKHKLNVFD YTPLTFVIDFSDENCDYNITQFLKTYEQFAPKKPSSKQMLDVKRRLRGNFCCAYRGELMS QFTKIQLNNTFLAEESTYMWLLKPTFLNRGRGIQIFDNLETLVKLVSEFQEGLKEKALNQ KEESSGEDETPKQVQSAQITKKEPNQQIKQQSSGQCIIKSHSFVIQKYIEKPALINKRKF DIRVWGLITHELDAYFFQEGYIRTSSEDFTYNIENTFVHLTNNAIQKYSQNYGQFEDGNQ LSFKNYQDYLQSQKINCNVQDLINKMKERIWMVFNSVKNKLNFEDRKYCFEIFGFDFILD ADQEVWLIEVNTNPCIEESSPLLKMYIPRMLDDAFKLTLDVLFPPPNSHKQSLPQIYQLP QIKEEQHSDYPVVGYSNDENMWMLLGSLNDRKNKKKK >CAK60518 pep:novel supercontig:GCA_000165425.1:CT868008:373291:374257:1 gene:GSPATT00005268001 transcript:CAK60518 MIKKTSIDRKAIYDLFQNIDMIYAQALISNSFPFIYGIPDQQQIESSLNRVEAELIQNKG IWTEAQDQYLKIIVFGTCLQTRTRPLDLSTLQWDQISRIFKFHNWKACRNRWLNEKRKKV NWTPQEDQVLVQLQQLHPNKWCEIAIELMKICQTPYVRLGKQCRDRWVNKLDPKILNVPW YKEEELKLFQEVKKRGKRWADISAQIFKFKRTENTIKNRFYNLLKQEENRQRLGRVPKEE RDILLIDSVIETLTRDLNKCDKSTSQNEANIENKNFEFLEFNSSDLDGLVIIKHKKIQKL NNP >CAK60519 pep:novel supercontig:GCA_000165425.1:CT868008:374484:375462:-1 gene:GSPATT00005269001 transcript:CAK60519 MTFPMNFIMQIFKWIKILTIIQREKFHNCIQIHTVEYHQDIEKIFVLEEYNQQGNLHDFL KNNQNFTNNQIINCLLDIIKGLQQLSQHQYMHRDIKPQNIVFDGTKFKLIDFETCKYYGQ DFSKLQSRIGTFICRAPEVQLDFAYSSKCDVWSIGCLLYYILYKSFPLQNYDPLQLLLLY QNNHQLKFPSQIINKELNGLLQQMLKIREIDRISLNTLYFLLKIIKCLIKFNINNPEENL ASLIERIQLIMCSETNQEICKKIYICFKTLLIYQINTENQNDQQREKLKRATQEIIREFE NEQIFLDLMRQINQQIQV >CAK60520 pep:novel supercontig:GCA_000165425.1:CT868008:376051:378175:1 gene:GSPATT00005270001 transcript:CAK60520 MTDAENLNDQFVIETLQNLLIEYNEPYVEKRARICGQSDDYQSEFFVSLEQITKNEQTIK NLIELCMFILDKAQQSNFEREEDMAQHEEQINQLQEKINFESKNSKEYQKMYKEEAQKVK EQLSEIQKLEQSVQDYANELAILNQNQKHRLSEQKFKNVEQNDNKIIEDLKQQISIQKAE NIKQKEKIDYYKSLYDKQMTELEDALNELAVLRMEKIKNDSKVKASEQEISKLNQIIMDY EQKSQIADLKIGQLNKQIYDLQNASGYKFDGDIHGSMIQRNSISTSTFQQQNQQTASFNN NNSMVSNAINSNLKCDLPELSYRQPHPSQFNEIEEIKEAPENLEQSAMHSKKNTVIPPEF VQNQNQQYDIQKLEEKLQKLATPRQLVTPRGQANVTPRNVISKAVQESQELRAAKLQDKF KQSQINLTSPRIQLPQLQVTKLDLQKQEDSQWKLQQQEPQPITIRNEIDIGSIVDDDYET YFYEEKVKAPQAKKQFKLPLLSIGANGGNQQTQEGSNQQASQLQQTQIAQQTQQQQQAQQ SQQQQNQQGVQQQQNQSKLISAPHQMYKEIYQANEAYFLNMKQDQIKITTQIDRGLRQSM IQTGIGGGKPTNKRDPYREFFDLLCQCVKLNSDYFDDIVYIDTQNYYQECKASGKPFNEW EDWIRSRLESQVDFSD >CAK60521 pep:novel supercontig:GCA_000165425.1:CT868008:378200:381198:-1 gene:GSPATT00005271001 transcript:CAK60521 MKHIKKVDLIKNSSKNKKFHDIYELNKNDKLGQGSYGMVFKAIHRATGLARAVKIIHKAS VKQKERLTNELRTVELLDHPHVIRVFETYEDNDYIYVIMEICKGGDIFDKVLEYGNFDEQ GALIIFIQIIRSVVYYQSLNIVHRDLKPENFLFQTNNDLNTLYIIDFGLARIFEPGILHQ WTKAGTAYYVAPEVLAGTYDNRCDLWSIGVILYVLLCGYPPFYGETEQEILYSIQKGKFD FDGPEWKNVSLQVKELISQLLKPYKQRLNLQQTLQHEWLQKYVQKGEVTLTQTHIERWQA YHQIKQIGLLYLATQLEQSEIINIKNGFLFMNKSQSGVLTKAEIESGILLQNIQSQNQNS QQFAQSQMYIKQKNIQYQCFNFYHRMVEQLKKAQKLLILILNVIQIISNSSIYFDYHIQF VIFICIKYIIFSMISQFTKPKRKMEHPDYAPYPLRRPGPGQDDRKSDIPLDYNLKEFNFA SKTLTKKIVWSDLRAIALSDSLDKGAIFVETNEGSVVVKGYPNIAQGYFFSQLAIVLGIP VPNKRIVRWDSSEFKVLTKELQRATFMLKQLHQKITNRLENPYLEIQEYLPGITLSYMGK ARAGKIFNFYDPQSRHRLIKVGFLMGLDIFINNPSRFPLEIWKNSGNSEHMIVRTEPRYT DTTKDLHDIDNLSFDYEYIYGLDSYSFERPPSYYTNVEEFLNKVFLEMKSIMQAQLDPLY ETKDQKIECLNEFKQLVYDHTLYEIKEMSLLQVLLGIVLTIDNIVYMGPVRLRTLWESVT VETDWNNAWMKNLQGINMDFLESMISIFQKFCTIYEDVVKYSKDITLNQYSFDEQKYLEI IMMNPKIKERIEKERENDLMNSQKLDKSEVVDEDEGEVLERNLNDVELDRKIEEMFMIDP KDIPKGENKNQKQKKPLTPEEYQQQELEKKKYGGILAKKLYENE >CAK60522 pep:novel supercontig:GCA_000165425.1:CT868008:381443:382008:1 gene:GSPATT00005272001 transcript:CAK60522 MQEKQNELFEILQKQAEERKLFKKQEMISLKKQKIAEIKQDKKKITDGLAKHPYSFQPGK GVGQYFQKSDFLLPKSQKQGLERIQSAWLPTYSHSRLISATPFDTEPFPRPPSVKEKVWR PFSSQPNKLNLIQLVPHSPKDNALTPKKNSLAFDSGEKLIPMKPILPTRRIFSAFPK >CAK60523 pep:novel supercontig:GCA_000165425.1:CT868008:382137:382821:-1 gene:GSPATT00005273001 transcript:CAK60523 MDKDLFIQKYGQKNSIQQIFLRKAYHNFFMLRSSVKKDLKKVNRSVDPSKFLSTKIKTDQ SKSSFVEVSNITNKDNISQMTEFHYNNLRNKWLMDEKQNEAFIQILQKKRKQLELEEKQR QLEVSKIQQAILLRENLETDRKRQFYKEAELFRNQQSERRHLEQEKLKSKEQEYLFLAKR MAENEELAKLEQQIVHRQKCKMQFQMLEQQRRTNSFLLS >CAK60524 pep:novel supercontig:GCA_000165425.1:CT868008:382876:384329:-1 gene:GSPATT00005274001 transcript:CAK60524 MNDGDNSKMFSKKLNPGTVIAGKYKLLEKIGAGSFGMVFKTQNLKNGELIATKFEKRDES QKGVSLLIREIKVLQDVKGFPQLKFYGRDDHYNFFMESYLGMNLEQLMRKCNGKFSQNTV LKIGLQLLDRVQAFHEKNLIHRDIKPENFTIGRQDSTQIFVIDFGLSKYYRDSNGKHIPF TSNKGLIGTARYASVNALIGNEQSRRDDIESLAYVLIYFYLGELPWQNIQVVNKEDKYKK ILQMKQNNSLDKLNDLLPKSLVKLLKVSMSYEFQQTPDYAGLKKLLQDDLNIDSKFDWEK IQGLFSEKNNMSVSIDVQSNTNQFDDLIDIYPGLQNSMCKVTQQETKFINGRLDNSRRNI IYLEVPKRNSTILEGASSPGGTIVSFNTSKMNHYEGSNRNLASYKQFIDVQVQSLENDCD DDYTPNQDDSPCLYVRNFVVGSKYKDHH >CAK60525 pep:novel supercontig:GCA_000165425.1:CT868008:384717:385633:1 gene:GSPATT00005275001 transcript:CAK60525 MQTRDEEKHPIVDSSLHIKEADVVDSSLTSRLGFIRKVYSILSLQLLFTALLTIWCITQE PVKNFVVQQIILFVLAAITAIVLMCVLLCCKANARKAPKNYILLSLFTFCEAYVVAFICC STATENSNGIEIIVIALSMTVLMTMGLTLYACTTKEDFTICTGLLWSLAICLIMLFIFSL IYPSRLLSIIYSIFAIFLYSIYIIVDTQLIVGSKRHSLQKDDYIIGALILYIDIIILFLE LVKLIAQLTGKH >CAK60526 pep:novel supercontig:GCA_000165425.1:CT868008:385648:386853:-1 gene:GSPATT00005276001 transcript:CAK60526 MDQTSQLKQGTLIQLRHVTTKQYLKGTIHFVVIKGFLRSKELQDYYLGTTPKEDDFYTYF IIEKYLPTDNNLELGSIVSIQNYGQQQYVNLNPSKQSEVTQQGYVCLSQDKHYFVIQPKN NILTNNSDSIDTSIAQKQVRFTSIDNGYSLHSHIVPYKSQNLSQYNEVSGVKDCDNNTLW EILPVQENLIKNFIHKVQTYEQIKIYNGDIIIIRNLLTGWTLHSHTTCYKSTKLQEISLF SYPRDYNDFWIITKSNLKERDDGIFRKNDEIVLRHNQTQKFLSCSNRQSYSQSGYQVYGS ECSTNIGFLFEKFDNQPLQVNHPFLIKHSTKNLYLSQSNFQTESKIGIQKEAVFVQKVTD LCLWVVELRKP >CAK60527 pep:novel supercontig:GCA_000165425.1:CT868008:387048:388706:-1 gene:GSPATT00005277001 transcript:CAK60527 MQDSEANKQSQNQEKAKLYKWRWVVLILFTLAVFMNSIPGEIYVPASSETQLIYSESETM ITLAQTSYVIMHPILSIPCSQFIVKYGWAKATNIGVALTIGGSLIKLLVNQTGFYIIVVG QALIGAGKPFILNAQASMAQNWFYPESRTSIIIALNVLNLISNVVTLMIPGKWIFRTYDF EDTTESIEEGKDLMNKLNMVCLYMALTLIPCFFFLRSAAPTAPSALVQKEEKQGNIKKTI IKILSNHNFQCCLLAYSVYLGLVKCLVLILPYLIRPAGYGKGEVSIAGSIAMASAAVSQS TLAAFIQKFKNVKTQLIKVLMAISTGSLGLFYFSLVNGNLFFIYLAIGLVGFFIMPIAPV LMDISCDVIFPISPSFAIGIMYIGSQLLLVIFTQGEAVLVGGANSTMARVTITLVLDMGL QLLGLFLFSFIRISRGAVTQSTVNIVYEQQHDVAPTDTQESLVQGRSLFEKGQAFSSSYA GSSFFNVQSAIAFIGTTPTAPYTDYKKGSKFNVFTSEIGQWQNNEDQQQEQGQQQ >CAK60528 pep:novel supercontig:GCA_000165425.1:CT868008:389072:389623:-1 gene:GSPATT00005278001 transcript:CAK60528 MKTSIPVLSKKPINLMKLNCQKIMSQINQEYVPTQTNFHSPIKPKKKRNQHFTFALSTIE QYQTINYNDEPKLPEIRRQRNDSFNQSFKLHVDIKKSEDSQILSLEKWQGPKKRSQSPAR RSTKILPPMNVNYKQRNSQSLMVLRGQQLEEMKKLQRLIKDVLTKQDHQMNHHFDSLQQE LSE >CAK60529 pep:novel supercontig:GCA_000165425.1:CT868008:389731:390195:-1 gene:GSPATT00005279001 transcript:CAK60529 MLKDEKGWEIDESQYLDNLISGNLEKTQEKRKFVITSHRVRTLSQPKIVPSSIQSCRKHM ISRSQIQTAKQNLQFNFVILDQILQRKRSNHLSHLSQLSFSPTKQSLQFSSQNSFTKNNS KCNIVNMSNLLLNSPKTIQRSQIQLSQVLGRVYK >CAK60530 pep:novel supercontig:GCA_000165425.1:CT868008:390898:391134:1 gene:GSPATT00005280001 transcript:CAK60530 MEVPQVSRTRLSSKKLSEGVRIDKKGHPILKGYKMHQVTFIDEIIKEKKIHQIILVDCWK EHNFNKYDNENQKCCLIS >CAK60531 pep:novel supercontig:GCA_000165425.1:CT868008:391649:393749:1 gene:GSPATT00005281001 transcript:CAK60531 MIQLQEFLFGKNIESAIVNNEDEINFLAQYVVQKIIELKVQDLNSLNNQQAFAYKFGSVY IDTCNDIEFQFKNKSKHNYLMYKQRIEIVIAKKLRKIAKKNQYSMYQQLLSPLKQKYQKI DITLSKKKNIILLNEEYQETYAKFIQNIYQLQPKSMNWVLIKFEEQLKKKLIYQTIEIQK AITQKKYYKPIVKYYVETFLINQKFQMLNKNYVVQLIQFAYKLNKSKFQDVQLGFQKFII ELSSIQYLQLNQDDINQIFNQKDKYFFENFSAKQTMELIIELFLLLSGFKYNNQSLDIEK YQPSSHAFLLKFCQEINDGKWEFTNCCILKLADKVLGKIHYQIVQQLNEKSTNIVVLKIQ NKQQQFELFRKNPQALANFKSQNQAYYFSSLYQNNDKQQKIDVILNDKFSQFMNNIVQQK PQDLKQYAKPEMFYLQSLSEGKLRSNVITIFVNGFITQSDQKVASLWPFNKDSYLETLVL GWTASSVSSLAKYAGIAAMFSTTVVGIAIQPIFLAVTCVTIKQFYDAYKEAKIVGKYLAY FLNQNYLGTKSINLVGFSLGTVIVYYCLKQLIKLQKQEKYQIIHNVLMMGGVADKDLLSK LDYRCISGTFHNVYSEQDGVLGYALPLYNNAINPCGKYQIDINLGLNSVESMYPNVKNHN FTQKVSGHRKYEGEIIQEILKLTNFDDQYLIF >CAK60532 pep:novel supercontig:GCA_000165425.1:CT868008:394198:395431:-1 gene:GSPATT00005282001 transcript:CAK60532 MLFTIDYSNIEQSKRIAITNTAQFPILVRILDHNVYNFIPTFSLLKLEECKYFQILHKQN QKDFRDIKIEAIEFDERKLDSFSVPPFWNEKVQGSLKTQSTSLPAPSNVHRSNSFTSFER LPSMNQTFQDQKILQINNDNSIKLDNQVLKQSDNNHESDYQSNKQKQHSQQSLQIINSIK IGEDNKNHQTSNQNIFRNSTNSFESYHQIQTTPALQPLFTMQNDLNQFLDQIDSFNSPNY NLTPSQLQSQTHSQKQISDNAQQDLSISETTSIQRFEQRKISFLQQSKQQFNEKPKLRVS QTLIANPKSAVGSQKCFDKNKEIESQIQKQIGELKVSKEALQAELQQLKFKAMFHSKNKS STYHYHIYIWHMLLTSVIFLIIGSVMKKMIQLF >CAK60533 pep:novel supercontig:GCA_000165425.1:CT868008:395960:397855:-1 gene:GSPATT00005283001 transcript:CAK60533 MEGYLQKWVNPFQRWQKRYFILNDHILTYCDQPGGRSKGQIHLKVAGIDESKDDALRIII NTGTGQILLKAATVEEKNKWLIALKKNQEYCQRHQVFNYGQRIQELLTDIWSNFALFDEQ LTYLQEKVTLSMYKEIRQYLKNGITLCCTLIEEAKIKLYGESDTIYESFDFENEIPLNTQ TSNYSNRLEEQKQNQLENFNSNQFLESIKLLNPIKYNNIKFNRVYSRINITNEATRKCLP YKQDPDEKFAFWPFLKECIGKDLTRIPMPLLFHQPLSALQFFAASFEYYEILKQAARAED PYKRMSYLIVFSLVRCILGIDCQKKFFNPVLGETYEYFTPEYKVISEQVCHHPPITAVHC ESEDFILSITMEATVGFSGTSIKAKLPGLVHFRNKKTNEHMTYSFPSIAVKNLLFGKMYF EQVGEAIYTNHTTGDVAILTLKERSSEKDAHQVKAAIKDKHGNVRCQLSGYFHKEIYANN ELIWKRNQADPESRWYYFYSHHILQLNHLNEDILRNIPQTDSRMRSDMRALECGFKDLGQ EEKVRIEEKQRERRKIMEEKKQQHIPRFFKEEFDPISKRNQWVYLYNYEKEKHLIDLDLF >CAK60534 pep:novel supercontig:GCA_000165425.1:CT868008:398441:399842:1 gene:GSPATT00005284001 transcript:CAK60534 MFQQDRPAKYELEINLHNKIGWKVNDKGILQALGLKYQNSWKWFYGQPSDLLQIKAILNN RIFFHSISSFYASNEQIGSGASCKVLLITDIQTKKKYAAKCISKEYVTKKKTPDRLNRLF NEIHILRSILNHRNIVQLIDVFEGEQTYYLVFEYLEGETLHKFQKLQTDLIPDISIRIIL LQLLNGINSFHSENYIHRDIKLENIVFCKPNVIGSLKLIDFGLAISNIQSVSFAVCGTPG YIAPEIFQYDESKQGQKFRFTSKVDMFGIGVILYRLYYYFNPFRMNRQPLFESEKTKDLL QLNKKCYINKTYHNFYSNALNQILFGLLEVNPNKRLSSEEAIQLLSQQQLGKQIGGSFAT TKSHQNDIDEFEVEQTIDHANQPYPFSAKILKQELENSYHQNDDNRFITSLQKSYFPSFS LALSQEMFDSSTQQISMRNGLFEDEIIESDTHQNQKQK >CAK60535 pep:novel supercontig:GCA_000165425.1:CT868008:400120:401920:1 gene:GSPATT00005285001 transcript:CAK60535 MNKSEFLNYKKNLKIREQSSSNTLWLVIYVCLIYLLFGSFNICGKFFLTCTYIYSFSDSI SILNYRSLNLANFQQFNFQRQHRWFLFEMVGPLSLPLIPDIIGRMKFKFIFLIASLGPII FLTPALYASICKDSNNSGCNILFIYCCAFVVSIIAGLMQSLLLFVMLFYLSNLAKSREKV IYYGSFFFIHSLQWLLGSLIGEIFITYDNMSANNTISKVFGIIIIMQFSTSLIYLTIPEK SNGRYYSFQKTLIHFFNQKSQKQSKLDTNQQDIRELLLKSSSSDYNDDSNNTFNDTEQSD KISTQSEKQQNDLHLFTSKLLQNSNTKSILTLQCFSIEERSLIELLESSQDNQFCSNFIS IDEYQNRSYFSKLYITLDKLYKDGFSWVLFLLCFIASIQSFLYIYFFPFFAQPDTKQLAN QAALVLQGYLYVGVGELFGSFGIGMLGESKDKINALIYILYVFQFGCFVAWTSYFLKYEI LILVFSITTGFCDSSMTSTVISVLTLRFPKYIYLVDLMYFIYSLSFAVLAFIFISCNFDN NTFLSLILLQTFGFLGLLAVYKINHLIENNQIKVVQ >CAK60536 pep:novel supercontig:GCA_000165425.1:CT868008:402175:402884:-1 gene:GSPATT00005286001 transcript:CAK60536 MSRYINLVKICIIFESKIRLFHASPLRSLISKYTEKNQMIYMENRLQHLNILNPHLLKRI IKLNPSFDLLQTKLKEFSNKKSASPLKSKVDHGSPTRASGIQQISKIGTFNFTLPQKQMR LASVNNNQQFNGPISEDRKIYPVRFETEVQEKSSFAQKPITSMIPLHEIMNMRNKLENAQ LNVNQISSAYTSEMVKLASVINSQLKKKQK >CAK60537 pep:novel supercontig:GCA_000165425.1:CT868008:404114:406823:1 gene:GSPATT00005287001 transcript:CAK60537 MQLPTFGEVFLTTDDVQGGLENLKLFKGKLISSLCGGCRKLFVIEEGLDNQGIISTLHSP EHDEYDQTFSQDSHNLQSFDFKGSIKTIVTGKKHTVFLSDQGDIYSYGYGEYGALGHGGI IELPIPKKIIRISKVDTIACGEYHTLALSNGDLFAWGRGFEGQLGIRKDVETAASPMFLS YFYKNKIQLIACGAYHSLAVDQQGALYGWGEARFGQLGTGRKVSEQLPSRIDFPPEQPMN QSELRVGKFLPQETSFQVVSISGGYGHSAAVTSTGELYTWGFNQRGQLGNGDKDKKSSYY PKRLMQDISGIQLPGFNKVMCGYYTTYAIDQKGGLWSWGGGNLGHQNDKLVDLPRKIVLE DRKFTNMYANGQAAAFFASLRTISMKPNHGPSTGGTIISLIGTGFCDTGRQSARFKLGDQ YTEVGCEYDSLTDSFRCTTPNFEEHLDKYPVECVVEVTLDGNVYVECEQKFLVYSSKIVI SSLQPKCASIQGGTTLIININIDDLTASYMKHLNVGFQPRNKKKEDKSAMKQSTNKPLNP LDLSINDPELDKENWMCVEGFYEKGKISCTIPNVSQLQTDSLNFNVDVSINGQQFTGNPM VFRFYDIQIKELQPDNVMSEGGASVKIIGDGFFDTTNKRVIFKTLFGERLIEIMWDKQDR FYSFVAPPLSWLLGGQQPTPELLNAVKANGVTALLTLSGIEWIPIGTFYYIDPEVLRLGP FVVDEKLPEEQKKLLIHQEEQEVDHLKDLQGADLQKKKDEVQKQLEAEEQEINYFFKKAG HYLSVYGENLANTESLLVQFIFNGNMAVNQKAIFKNKSKLVVQIPELELPTGIHDVGVEI TFNGTSFSHSGKVFKYMAFDKSLNEQQKLKLEDEEIKKLKKTQPKKK >CAK60538 pep:novel supercontig:GCA_000165425.1:CT868008:406954:408453:1 gene:GSPATT00005288001 transcript:CAK60538 MYSTYKHIFKQMNDIMQDPIINEFLSVKQIEDNIPDRKNIIKFGLILKQLDQFMKEQMVI EQKIKGKLLAIIKYDKSFPKFVNFIQIINDEYTIEFSQAHLDELSHITDSHTDDLYTLQD IIYEVVKRIKTRVNMEHLKYLLDQQIQHSISTKLYQINQPPTLIYLLQHNERIVFILIAV CNQEQIPLPCFINCLYLTGDQMKLRIDQNLERTILSIVQVKKVAEELGYNNVSLAEQGLL ELKLQYYKQYLEIPEQDLIDSTLSVLVMKGIEVNQVQIRNLLTQTLKIQNCPQINRLKTL FNQLLETSQQMTERRQQNRNLKVNIVIVLKQVILKMIKDKTGFPQIINLMKLRNGNLKFD ATDTVLRKYFQYIQKPLVELYSVTSFTQLNNFCRTSVLNPTEQCEAEIIEKMLELVYQER SKYLVELKSIFEESKQTNNSLLMILSICQEKSNKPIEKSDKIEILFQIKVLIEQLLSYTE KNKIIELDSDEELKKLQKI >CAK60539 pep:novel supercontig:GCA_000165425.1:CT868008:408606:409349:1 gene:GSPATT00005289001 transcript:CAK60539 MRKAYEQDYINNQNAGLLQQDEDDQHYGQKKSINYIRPRPFPHENNRECKLDVLCCQECA HFVHPNHKTDSLDWYINHIREKIKRRHGVQQTTTHEISILKSEQRKKRMKYMKYIIKQLK KVEKECRSIEDTTESKIKIKLSSTSRKEAFDKMIRLLKLVRHQNLDQFKQHHQEFLVKDE VDQQMALQYNEERERNYKGRQVKLWINSFQQMKEKDKNYNKINPYKHNNKKKQRH >CAK60540 pep:novel supercontig:GCA_000165425.1:CT868008:409379:409871:1 gene:GSPATT00005290001 transcript:CAK60540 MNKLAGALKRFSKRMLSQSKMSIRPNSSTHNIVLVEVDLATNEDDPTQTPKILQILPLNA ENLITVHPNEIKLWKYSKCYLEFKPIAPLPFLKLKYVCVADKKDEFVVLFGDNSIMSISS KSMNSKNKQFICPKQVHVKTFQLHQEDVNKIAFM >CAK60541 pep:novel supercontig:GCA_000165425.1:CT868008:409929:410441:1 gene:GSPATT00005291001 transcript:CAK60541 MSETNQHIFRCNKNKIDVFGLIDGVKKQTLQLQNVRDCIEQATILHNFQEQDTLCVSTNR GFYVYTYDDNVVENNYKLVYSFSQTCHCIFHEFYQYGLEEEGFMLVVLENNETKVKFQDL NNKILLQGIQFDDQILTGKLIFQREFQNQQLVLLLGFESGKMRVLKFIKK >CAK60542 pep:novel supercontig:GCA_000165425.1:CT868008:410464:411812:-1 gene:GSPATT00005292001 transcript:CAK60542 MNQEGQVQQVQESLILKKPQRIINRYKHNQLLYNYFVIRDFKSAQELIEKLTQEHGDIHE YANQIQGYIFKMTGQLSKSTETFKKFLSFNEQDQEVLKTIAKNLQLDGRFKISLDVAQKA LNLKKNDWEVFYTIGNCYYAQKDFDKALINYKRAFELSINETTYNRLGKFYLSLEKYDEA LAVYKTALNSQPQNNELKALLGVLLLRMGQTQEAKRYLESVTATDTKSLLGLGSIMQDQE SYDEALVYYRKLIAIHPTSAQVWNNIAMCYYGKQEILPAIISLKRAIYYDPFESTIHFNM GLVHLYEKQYMSAFHYFQTAITLNPDDSQSYMYLGIALNKLNDFQNACRSFERALELDKE KDYLIYLNYCIILLQRGDESSQYAQQIFRYFCDQYKEQEQHYDNRCKKEVVQELKSQIYK YLKS >CAK60543 pep:novel supercontig:GCA_000165425.1:CT868008:412814:413329:-1 gene:GSPATT00005293001 transcript:CAK60543 MHSETNNSETDILILNKNDESLTCGCRKQDKKPNLQLKKKPIHKKQKAKQFTLEEDQLLL LQVIRIGKRFEAIARNFPKRSKHELKNRYYTHLRDRENEIFDPKSLFYVSHEAPKKNKNS QSNYHELSVQLQNVGIEEEYKVILENFIGQVSDLFSFIKSFPI >CAK60544 pep:novel supercontig:GCA_000165425.1:CT868008:414508:416573:1 gene:GSPATT00005294001 transcript:CAK60544 MNPPQKKKKIKCKDHQDEDIIQICKSQICEVDWKISCMRCLKDVDHLSCDSISIKDVPQH VESHNKLFEETQRNLNKVEKKFIENIKFINEVLEGYKVDESFKVISSLEDLNYLGKVITK FPTVYYKIKEISESIQKVLEKCSIQKIDTLKQFKQDNLQATMIQRNTQILSQTQQNQMQI NLEETTINQQDLINDQLEVLLKKGEENMTSQNYQIAINCFEQVLSQRPENIEAKSSLINA LKEMNYFHSCFILQEKLKERDPLNFDAVFYKGLKFYLNQQYSQSIAYISPKLNNFSDPNQ EKQLLKLLCNNYMENNQFVDARKILKQLASKQDNAFDVFQEFGKENVIYFKAKCYLLEGY LEEAEKSLKKATELNNNDFQNIIIQIQIFKKKKEYKQSLDLINQQLNQQIRNKDKKNLMK LKTFILLEQREYQEAMVHCQQFLENYDDDIDIYSIYGKCLLENKQYLLAIHFFEKILSQK QNHLKPRMEKVVLYNKLDSAQQILNSQNINQQNQLDKNQMNQISSRTLRNRQLQQCSQVP PFQGMDYKKWLHEAVNILIECSYALTDTFLDDCIRSFPLDETLLICKARYYLKSINTMPE YKIKATQQLQGALNINRHNKEAQSLLNSLRPQTSFGRGQTYK >CAK60545 pep:novel supercontig:GCA_000165425.1:CT868008:416636:418517:-1 gene:GSPATT00005295001 transcript:CAK60545 MIKKQTLSKQQSIYVGTQIVEFSWFKQHEIIYEQPTNQWKQLMFDNLEWFLILLLLIYCI TFYILYKAFSKKATNMVVNVRKESLASDDMQNSLALSTEQNELPKKDSTSSTESSPRQNI YASNQDIVSDIKQSNKRKLTDISQDREEQLNLEKNETDNNFVHREKNKDLYQFEDYVIQQ KFMKSNEKCKNLKTSNNIEQNSGRKQTHRIQQTNPDWSQYLENGKFEKLYASPTVLGNGA FGEVYKCQKIVDLKQYAVKRIFFKVQNEINLRDHPIFREINGLQEINHKNIVRYYTSWIQ ELSTEMIEEITKLHDLVTEKQQEMQNNVFIDQLQSQNTDEISHLNDCVQIVGDSGTENDK QIQTNSKNSKTKRNLIPSDFSSQIQALMRKFHFNPNQNDEQYQLFMLFIEMELCDFTLKD FIENIDRKKDQKLIKSIFKQIIEGVVYMHNQQFIHRDLKPQNIFINSKKEVKIGVLGLCN NQINQGEEQAFETNLEYINNSGTSIYMAPEVKGGQFGSAADIYALGIILFEMLWKFQTNS EKLKLIQNLTQDYKLPQQLFNDYPSEFELIINMVSEYPERRPTAMQIIESLNELN >CAK60546 pep:novel supercontig:GCA_000165425.1:CT868008:418663:420792:1 gene:GSPATT00005296001 transcript:CAK60546 MGQGQSKFYAVPVGEKKEGESHIYRNPNNTEKLLDNFEGETTVQGIFLRSCRKFPNNRCL GKQVATGGNSSHYVYKTYIDVRNVAEQLGSGIKNLNLIPNPVQYEGQELRMIGIFSKNRE EWLLLDIANTLYGNTMIPLYDTLGLESIPYILEHTQISTLFISSSIVETLLKVKEYHALK NVVTFDELPQDTIQKFGEKGIKVLNYEEVLNAGKDKIHPFAEVHGNDIFTFSYTSGTTGM PKGVMLRHVNFVTVAGGVVYQGIQLYPSDVYLSYLPLPHVLERVVVTALLGFGCTICMYG GDVQKINVDIQLVKPTIFVSVPRLYRRLYNTIKEKADKITGYQKTLFEKGLASKMYYLQN GGHVQHKVWDNLVFKKTREAFGGRVRLMLSGSAPMSPEVVDFLKCVVCVPFLEGYGQTEG CGGSFISRADDPISGHVGGVFSNIEFKVVDVPQMNYFSTDKDEQGRPTPRGEICIRGNGL FAGYYQEPEKTKEMIDADGWMHSGDIGLIRPDGSLKIIDRVKNIFKLSQGEYIAPEKVEG VYLKVKGIAEVFVYGDSTKSFCVAIIVPEKHLVLDLANTLGIQGTFEQLCGNDKVNKYFF DEMVKQGKAEKLNGMENVKKIFIEPTSFIAHGLTSNTLKVMRHKAREHFLKQIEDMYKGS E >CAK60547 pep:novel supercontig:GCA_000165425.1:CT868008:421465:422684:1 gene:GSPATT00005297001 transcript:CAK60547 MGTCCGTQGQLQIVMPKFELSFLSVYTQKAILIQRAYRCILGLNNKQSSRIGRDDQQFHQ RSHQEPIEIAIVHQQPQDEVAQMEQIKEVPIIVDHSPPDGVKVERKQSEYPASINSLIFR IGNFNYKEYLKKESQNYEEEELSAAFLDPYLLADGTIYLGQWKLGKRHGKGRAIFQDKSV YEGFWKNDQMDGYGRLIFVTGDYYEGEFKENKANGFGKQVTSLGYSYEGYWVNDKQQGDG NEKFSDGTNFKGKFVDGNKTGFGEFVFSDGSKYKGQIVNNKFNGKGVFNFPDGRKYDGYW KDNQMDGYGTFTWPDGRCYDGYYVKDKKHGFGDFTYADGSMYKGNWVDGKEHGQGTFHSK SGLYREGEWINGKRVKWISTYQTS >CAK60548 pep:novel supercontig:GCA_000165425.1:CT868008:422758:423223:1 gene:GSPATT00005298001 transcript:CAK60548 MPHIKLPNFRLGIQPSVRSSYKMDKLTPSQKLDLVAARIFGISFGGNLRNGMKAIKRLDS GENRARQYSVPVWNPAQWFPFMTQWKKLEFNRKLVDGRKMRIMMRGVKIGRQKGGEKISI LNIYERKKASME >CAK60549 pep:novel supercontig:GCA_000165425.1:CT868008:423256:424041:1 gene:GSPATT00005299001 transcript:CAK60549 MAFIFNTTAININQKKKCDCISYLNDFECNLNQQCMWINSACQTKTCSQFYYPFQCKSSQ GCFYNPKDNTCGVYAECSQLTATSQQDCESQSYYCGLYNTTSKVCQSLPLNACPQYTVQQ ECLYSGQGQLCLWSDNQCQDFNCSLINTQSQCQTYNLYCTWMINTQQCVTATCDNKAPSE CTLFLSKNGNNTDIQPCYVDYSATPAKCRDASLSDLSAYTCSLNTLNYALWNNGNLHSGS CELCYAPLIQIIILAILIMIQ >CAK60550 pep:novel supercontig:GCA_000165425.1:CT868008:424165:426167:1 gene:GSPATT00005300001 transcript:CAK60550 MIIFRKFCYRFAQAIQESQQQISKYSNPDSIPTKMVLKNITLSEQLSIQQLSILTDIDQK ELIRTINQFSKQPVNYTKITKDLIEPILPLYNLSSYKENPITRPPIITIMGHVDHGKTTL LDRLRNSNIAEGEVGGITQKIGAFHVKVGDNQITFIDTPGHEAFSNMRQRGAEFTDMIIL VVSAADGVQPQTKEVIQIASQLNIPIIVAINKIDVPNVNPEDVELELLECGLDLESQGGN IPVVHISAKNGKNIDLLLELIQFQGELLELKADPTVPAQGVVLEARQSELTEERGSTIIV QKGSLKINDIIVVGNQYGKVRVMRDDRANTMQIAGPSSAIEIIGLKEIPQSGSKFFVAKN ELIAQLIVNKRKKEAEFKQLQNAEMIDGSFGKIKFQNKHEKRDVYGDTKHLIEKYKEIQN MLREEIESTEDPEIKEALVEKLRQHELFSSQMINLAPDTQVLKLVIKGQDFGTLETLIKL VNQLKEKENAEILILSSGVGDVTQKDFKDAQLFGATIVTLNSNVPNDIIRQAQQDKIDIV QHQIIYHLIDDLKTLVTAPRNAKVSIIGTAKVQNVFDVKLKGQSRKIYGLTVTHGILNKK CRVRLLRGGKVVAADLEIANLKHFKEEVDRIESGKDCGLSIISNVEVKVGDEFECYKS >CAK60551 pep:novel supercontig:GCA_000165425.1:CT868008:426330:427521:1 gene:GSPATT00005301001 transcript:CAK60551 MQTKELKSKFIIQKNIGYGDYGTVYQGVNMHTRETVAIKELSHRINDQGINAQALREIEI LRSLHCDQIVLFKELAYQNRKTYIIMEYVEEDLLTAMRRDIFTEVQAKQIMFQVLKGLAY LHDLGIIHRDLKPNNILHKNLTIKICDLGMAQNLKKFKPQTTRIQNHQYRAPEVFLGQKY CSKVDVWSAGVLFIELLFRSNPFKGSSEINSFQQILKLCGTPTEETWQGVTTLRNYSKLI NSESFPKILHSLLERKMSPLLVNLIDQMLTLDPTKRISAQTALQHLYFKGINIDRCLKIN GTGKKRVQTQSQTETQVHNYPDGQRIIVISKKY >CAK60552 pep:novel supercontig:GCA_000165425.1:CT868008:427918:428208:1 gene:GSPATT00005302001 transcript:CAK60552 MGCTQTKLKSKKDMCFVDVPLQVGRKMSKEEGIVSREYKINSTRITQAKKQILTGVSPAL SEGKNVSYMSTHKEAQKQ >CAK60553 pep:novel supercontig:GCA_000165425.1:CT868008:429099:429841:-1 gene:GSPATT00005303001 transcript:CAK60553 MLTQVKITKRNSKAIKTKKDKDQGRNKNVILQQEFHHPVNSNSEIFMSDHESLTSNNEEP NKVCDITENADNVYSQQFLKHKDNQANKNLKQEKMKQIRYSLKKDNEIRHKRSKNRVKTF SKEDDQRLLNYVLKKGPKFHKFSRYFPGKTSNMLKNRYYKQLRFVWDNILGKYNVKYFRQ YHPVDCISKDQLPKIENEADQIKIETIEELQLFPEAECVLSKFLGCLSTTFINIHTQFL >CAK60554 pep:novel supercontig:GCA_000165425.1:CT868008:431323:431601:-1 gene:GSPATT00005304001 transcript:CAK60554 MTEQLKNQTQITLMTERYNEQYENNKETEIKMQKGIKKDLTDCVTKVVGEVAMKVCFALT VAFCIGC >CAK60555 pep:novel supercontig:GCA_000165425.1:CT868008:432820:434334:-1 gene:GSPATT00005305001 transcript:CAK60555 MSWITINSQNEGPGGRWGHSSIALDEKLYIFGGFGIKQNYNQDGNYLNDFYSYDFDNNLW QTILQIGQVPEPRSNHIMFINNGTIYVQGGGGQTKTRFGDLYSFNKNTWIKQKTNLIPRT YHAGCVGDNKLYIYGGESGKDLDELEIVDLCNNTQYTVKVENQKKPEPRRFATLHYHNSQ LILIGGCTQSYSLTPSIYIANCKQEEDQINISWCLLKTQFPKWGQSCVQFDDLFYLFGGR DDKDSDELYSFDLQTHQIQIIKNDIKVKARRKHCASVIGNSLVIFGGFDGKYQNDLCFYE LPLVNTVPLSVELPSKPYEEISQHQFENYFQFENGVHFYNDQYDCLIQTDEKCFGVDKSV LINASGYFTELFNGDYVEGQLLQLDLSYVDHIAFGIVLIFSYKNNLILPILNKEELFRLL ELCDYLDMIQLKQKTQYYIAQTVDKNTIQEIYNLSIEHQNNQLQNFCAFKVSKLKMPLQE IDNLQSQQVNNMKRIRKLSIVNEL >CAK60556 pep:novel supercontig:GCA_000165425.1:CT868008:434424:434981:1 gene:GSPATT00005306001 transcript:CAK60556 MDYKTINYEQGKIEYGSEEIYVSIISKFINMTFEKQLQELYLAVQLLDQKRVERACFVLK GSVGQILAFDYLQKTNALYDIAKKQQPHTDRDIIDLYHRYFDLIDASIQLAGELSTAAKK LNHIVNLFTIDRGYHLAKRYIQEYSKPSDNQQRCQQCKECVIF >CAK60557 pep:novel supercontig:GCA_000165425.1:CT868008:435430:435846:-1 gene:GSPATT00005307001 transcript:CAK60557 MSVFRYRLWMIQSQELGALNGFSKMYHECERASIQYGQVENAEVITWTKSQVNQSFQQLS ITLNNYYRIILIIRIDDFVQRHLEYKIQKQLKYFKLLQRSQYFGFTLLNYIRLHQSYAQL HFQRIQFSHHMQHYQTKS >CAK60558 pep:novel supercontig:GCA_000165425.1:CT868008:436558:438164:1 gene:GSPATT00005308001 transcript:CAK60558 MSRTSSSKSTATGRTQAQAPTNTQQTRAPPQMIQNMARVTDPKHVPKEIRDQFLKKIHFC SQPYDFNDDTKNVKEKQERSQYLQELFDLLKEPNFVANLVVPHLDLIIEMIEKNIFRPLP ILKKTATNGEIGMEDDDQLIDPAWTHLQPVYEYFLQLIVNEQPDVKSLKIFITHSFIQEF LELFDSEEPREREYLKNILHRLYAKLVPRRKMIRKAINDCFYTLIHETYKFNGAAELLDI LASIISGFAVPLREEHIIFFKTVIIPLHKVQTCQFYHEQLLRCSMLFLSKDPTLATFLVE GLLRYWPFANSAKEVMFLNELLEVLEVCEISKLEPLIPKLFKRLIKCIAGPHLQVADRAM CFFENDYFLTILKSYKPFTFPLLVPVIAQIADTHWHKVLQESLNALKTILKEIDYQAFDK ALNNKDPKYLYIIQDAKNQKKDRLKIDEKWKNLTKQALQKNPNLKEPVVPYSDTHIVGEH NGLNNGNITIL >CAK60559 pep:novel supercontig:GCA_000165425.1:CT868008:438544:439686:-1 gene:GSPATT00005309001 transcript:CAK60559 MKRKIFLVLTIIASFIGYLRQIQINEQVQRLQFAPEVTTPGDVLKDGKLVVNGFARRDIR KFDSSQISLLNRLFRFKQWDYFHLTTSQVYIAVAFVDLGYVQSIQFTFYSVKDNYFIHKD KVVYPFQSKQFTLEDDCTLKGNLTRTFKSDAINVEYKGWLHNGVEMRGIQINFDGFEASF VMESHQQDDLFILKPFTEEGDKFFYSRKAYGWHSYGQIKYNQQTHKFTKANAGMDYGRGI FNYATFWLWVSGMGFSQDHRIGFNLGAAGDNVKGKETSDEGIFIDNQLYVLSDLEYSFDL NNLEKIITVRGKDFYLEFVPKTTHLFTEDLLLIDVKFRQLIGVFNGKFKDIEFSDIVGLV ELNRAKW >CAK60560 pep:novel supercontig:GCA_000165425.1:CT868008:439873:441186:1 gene:GSPATT00005310001 transcript:CAK60560 MSQTDKELKRIEELEEQVNQALKRSRQLDDSSKFDENFFKQELKEMQERCQQNQQTMMRL EQKYLKTGYELKNSDVIRENNLDKSSVKQKSLLEQKNQQIQELQKKLFDTERQYSQQLSE MNRRNQQLEYQNQQLQEDLQNLQNQQENIPDVIELHRALEELQKQYLDLQNKLQETMRND QQDYQQIKQEYEEYQLLTQNIINQEEEYQRRICELEEELQQSSTFQQEFMSRLKSNEELY QQRYRDLKQKYQQQLDDQRKILDQQIDELREQNNYLFGQLSNAECKMQQFEFEIQRKQNL QTSVYQENSVDLQVSRSKSFSACQGLQNIKTLQECEINTKLKPQHYVGCYSKVKQSSTQQ SQTISNKPDQRNDVKQYDSRPVKQINISIEKENIQADTLSSYNNKIRSQSKEQPVTYKQV AEQRQAILYSKIPKPRR >CAK60561 pep:novel supercontig:GCA_000165425.1:CT868008:441848:442730:1 gene:GSPATT00005311001 transcript:CAK60561 MRYPQRVRQLQKRIKLIGITSIFLMECKRNQFFSKIAFSQQKPNEQPNIEQFQKFKYESQ LRLKRNEFRRTILEIKSKQKSCETSKYAVTDEDLTLPLYQRSPTHLENSIDNQWNHLELL DQKHLKQVYGPKTFNRQKKAISQIDNNFQADIELQQMKFKAQVDFRNKLLKHKSLQSSKG NVQGQEISFLRQTRPEYKGMLLLNMTALEQYHCPTLYQHVKKYDCSTSMQTRQKQQSAKN VRSKSINSQQTEMDEQSCNQKAYDEMNESLQRFEITLKERCQYLQK >CAK60562 pep:novel supercontig:GCA_000165425.1:CT868008:443568:444640:-1 gene:GSPATT00005312001 transcript:CAK60562 MTEFDCMTQQKNRFKLGEIDQSSICFWYQEKCQNLMNFLSDVSCTSLSNQECSFISETLF TINPVCAWYYKSDGGQCTKFTRCQDYKYSSELIAEVECSNIGCNRIKNQCSFDYRGRQEQ YCSEIGDQKICDITFLKDKLTICKWNTGTKKCGGFNFEQCSDLTTEYNCDLANTFCRWKD QLCVERACADKESEYKSGSQICVSFYSLTNKQYVQCIENKSGDCIESDPESLLPYQCNYF SRLTYLWNTTTSSCTPCLYVGYGDLIKVVVIVLFVISL >CAK60563 pep:novel supercontig:GCA_000165425.1:CT868008:445316:446008:-1 gene:GSPATT00005313001 transcript:CAK60563 MSNNYKQPNTSFDENKESFTSLLMMSEQESQEQGCFNNYLLAFSNYSTQNNYLNLFNFDC QENSSDNAESLKLTIKKGIQKDQFQKNIRNKHLKKTQYKKKVKRIKEFQLQEDIRLLKLV YQHGRQFSRIVKQFPKRTVSMLKNRYYKNLRYRWEELMGIKYMENEIEEKTNKQIVGFNR DNHSVDRQDLINMLPNAYGCPIICNMLSSFITKMDQFLKTQF >CAK60564 pep:novel supercontig:GCA_000165425.1:CT868008:446926:448143:1 gene:GSPATT00005314001 transcript:CAK60564 MNSSSLSTTASFTKMKQLLTQTQFVDEKKELLKMKSEWRGLQNNEMFQDFVFLREASQTR LATEKIEKERRNRKDSILLPFLKQQQQKKMEQARKFTLIGSLSQLNDFTPSVTFINKNHF EEIYSILSALIKSVLIEQLETLLPDLEKLVNACKQCGIVSFYFMAIYFQTQIQFVYCRRK EGYNIWKKFLRTCNLQGKKLQKYKLLAYRQLARCALDLGDLDKEAIYLKKLLKLSWVVKD RNYELLCYDMIAINFYYRGDVNRAQFFHSKFVQGEFEAAESNIRIAGVSSYLNTQKLKAQ LNDQDSFSIDDMDLDVIIQKDNILKWQKGLPVFDSRHISQHRVLINQWSCNRDLTVHLIN REKQKHKSEEEDIGFVPLNQNIKRLLKLFQFDVKYFLQNGHLYDF >CAK60565 pep:novel supercontig:GCA_000165425.1:CT868008:448547:448993:1 gene:GSPATT00005315001 transcript:CAK60565 MKYVAAYALLVLGGTNQPTVDQVTQLLKEAGVEPVAADVKLVVDALKGKTLADVIKEGSK SLTSLSVGGGASQSSAPVAQAAQTQKAEAPKAAEAPKKAEEPEEDVDMGGLFD >CAK60566 pep:novel supercontig:GCA_000165425.1:CT868008:449327:449845:-1 gene:GSPATT00005316001 transcript:CAK60566 MYHQSICLRLKQMKTMNTTLSSSEDVQFSIKLHYHFRIMKKINFIIFPSYLMKKAQNKLQ FSLMKNLIRSKNTIILSNYNQLHKPMIKEKVKCLLNYQKYTKSQTQSQRIQSRSTSQSVI YVFTQYSELFIAPKANDNQTRIIVDKIRVLYKIITFIKNKKFGQFYSSLFFE >CAK60567 pep:novel supercontig:GCA_000165425.1:CT868008:449971:451645:1 gene:GSPATT00005317001 transcript:CAK60567 MSVIILILFIKDFDINSRKQRENAYLNRSFLIRILFIQIFYQKSSALMDDIPDFKEEQQP TGKDIQIDNYNVSQFKNFGLKEELLRAVKEAGFEHPTRVQAESLTNALLGEQLICQAKAG TGKTAVFVLTVLNTINTESNKVECLVITHTRELAQQARDEFLRLGKFMKSVKVECFYGGG EPVSVNIQTIETVKPQIVVGTPGRLKDLICERKALKVDRLKYFILDEADTMIEDLNMRKD IQDIFLKSPQEKQFMAFSATFTESSRTSLKRFIADNKHIYEITIKPEQLFLDKLKQYYMK MPEALKFHYLRQILNTCKLNQCIIFVKSSEKADALVTELKKKGEESVRQLYGGNRLGPDH QKMRQKTYEQFRNGHFRLLVATNLMGRGIDIDKVNYVINFDMPDSLETYLHRVGRAGRQE TNGVAISFVKSEEEASDGKKQTDDEVLQQILKQYPDKLQQLPQDLSTLDKF >CAK60568 pep:novel supercontig:GCA_000165425.1:CT868008:451943:452623:1 gene:GSPATT00005318001 transcript:CAK60568 MGKYIHLINQMHEIDKYERQSSTQRQAARNLTAASSPRIISSQQKNSNNLLQKFSQLDNA TSPKIQLSNLTSQQSLVNKQKVKLYTEDFNRHLLLSKIKELMFNQSVSTDKLYAMARIQQ HSTSKSPLKYAPTKTRGNNHSAEHYRA >CAK60569 pep:novel supercontig:GCA_000165425.1:CT868008:453197:453466:-1 gene:GSPATT00005319001 transcript:CAK60569 MFFKRIQAKTQQRDDRRGECQEAAYDYQQRRAARDKDRQTVSDLIGILNTNMRDLKEYIA LRIAAGDKDLE >CAK60570 pep:novel supercontig:GCA_000165425.1:CT868008:453470:454399:-1 gene:GSPATT00005320001 transcript:CAK60570 MKTTLLLVLVACAFATNTALFDRIEQSDLGRTLLNTIAIQMTTGEPLERIFQTLYDLEDR YIADQKEDDANNQAFQQVCDADLAGLNQELANLEQRNTELQAVLDDLVPIRDQKIGQKKA KELQKAELQKVIDETTAKRQEQADDFEAQRQEYTFVSSVLAEARRLFTDNLQAPSFLQKG EEKVHVTPQIMAQVASHMSQGAHKASTMKHVRTFGKAIKLLANLANRTQQFRQLRFNRKS HQIDR >CAK60571 pep:novel supercontig:GCA_000165425.1:CT868008:454441:454884:-1 gene:GSPATT00005321001 transcript:CAK60571 MVDLWPKQNGVCPYDGNYRKAATHIWYARNLNNYFPGMLRNYASRQFLPMYVTLWWADSF GLFTYWKIDMFSGAGSNTWEDSFC >CAK60572 pep:novel supercontig:GCA_000165425.1:CT868008:454884:455690:-1 gene:GSPATT00005322001 transcript:CAK60572 MLVYGQNAPENSLRWNYTRGAISGLLGSLIGETWHNFYENWKLLLRQYEQPNTVKELYNF SKATVNLENFKRSMGTRMQFAFASGGIDWALRLAAFRAVNHGWQRTWGTFEYGFLRKVPG TMFISLLTAPIGIPFEVARMAYYADKTFPKELQKGYTSFFNALWRIPFEEGPYYFFKNSF PLFARNFFQTLTLFYSFDWMKDKDNNQSIKNTSFLF >CAK60573 pep:novel supercontig:GCA_000165425.1:CT868008:455690:456141:-1 gene:GSPATT00005323001 transcript:CAK60573 MIYDQPEHFGLFEKTFTAKEKEEVKQFLKYDRHVPLKLTEQVFHAEADASRFERLGQVGG AVYSFSFFFFPIIKGLPIKQRLFWAAVPGGIVAWLGWRIKEELEWNRVYNCYQKYQVAHS MHKKVFI >CAK60574 pep:novel supercontig:GCA_000165425.1:CT868008:456153:457407:-1 gene:GSPATT00005324001 transcript:CAK60574 MKLILLITLFTICICLHYDDGNSGNSVIEEQIKDIQQHKIGQAILKMAELNYKLQGPVDE LISTIKSFGRQLIERVEQENLDYEAIKAESVVKVQQLKQIISDAENEQNKQRKKIGQDLE LRKNDIEKELLRLKDSKQQNESRLKMQEALRKQQYENFEKSKRDIGDLIKITDETLKMVG SLLPRPDCSFIEMDKIQLSKEESKDLTRRLRSIKGRVDGLKGYESVMETLVQLTDSNFKQ RHFIKSVLDLLNKLRKSLVDSQNKLINDEKEQDRAFSQWDSAQDGETNVFEREWNDLLEE REDIQSFIADCENIIKIHQADIDLYGERVKLEEQSLLISKQTHEELVKQINNELDIIQKS IKLLYSPAVFEYLKYKINLGP >CAK60575 pep:novel supercontig:GCA_000165425.1:CT868008:457833:458413:1 gene:GSPATT00005325001 transcript:CAK60575 MLQRIVRYCFAHGSHKPTGPIFAPSVQDKMIYINVYNDGEFERIPAYIGESLLTALRRFR VTNIPGDCEGGEKLDSILEDPVQTNTFGPSCGSCHILISSPWIEKIRVPYYLEELIINRQ DYAVAKHSRLACAIKLEKWMDEMEVSLPINENSENM >CAK60576 pep:novel supercontig:GCA_000165425.1:CT868008:458418:460267:-1 gene:GSPATT00005326001 transcript:CAK60576 MEELEQYYQVIKVLGAGGFGKVVEAVYKDGGKEHVAILPKKDYKVAQILSEAQILAKMNH PNIVQFKNVRETESKLLIEMALIKQGPLKVRKYTQQEARIIMTSIFKAVQFIHEHGVVHR DLKPENILIVDFGLSAGQIVLHGQCGTLIYMAPELFSQRVYSKPIDIWSCGIILYQMLTG KHPLYKGGEHSHDYKKKLLDPKWDFPEDFDPMAKHLFLKCVSMDPIHRYSANQILQHPWI TNSKTDPIPLTLPEMYRAFTAREQILQKIKTIMLLVSMKGLKVDTTYLMKQSQKLQTNTS NLLDKDYIKEMLREHQALKENQNIPPIKQQLRYEKILQRIQENGAKEPEEKCVTKNSEHV HTNENSQPSSSQKSLNISVIHHDSHNKDQLYIQTGNKHHFKKRLFKKTHQSDKKRLSNPF EIPALRSRSTTANHKTELKQVQPQHFESPKKPISMVRPFKQQFNTQQQQQQSQSPIRKPP DIKNRSNSSTKKLSMVEQECVDAYRFDQEKVVHSMFMPRVFNKKILPFSRNFQQRLPVQP QSLELNVLKPPLPKKLI >CAK60577 pep:novel supercontig:GCA_000165425.1:CT868008:461203:462736:1 gene:GSPATT00005327001 transcript:CAK60577 MLILIETPAGFALFQVANTKALSKIDNIYDYLQNEKQAKKLITPFAFQQFKDTQEALVAT SKLINGKIPKKLSKFLEKNVISQEVQDQIAVQDKKLAKQIQDQLGLTCVQTPVTEQLFRG IKSQLTNLIEGLSEAELKNMTLGLAHGLSRYKLKFSTEKVDTMIIQAIALLDDLDKEINN YMMRLREWFGWHFPELGKIITDNLIYAKVVKAIGMRIKTSQTDLSGILPDNLEADVKQAA EVSFGTEITLEDEKFILCLADQVIELTDYRAQLSEMQAIAPNLTTMVGELVGARLISHAG SLVNLAKYPASTVQILGAEKALLKAIRTKHNTPKYVGSAPAKLKGKVSRTLAAKTALCIR YDALGEGQDAEFGVTNKAFLEKRVHQLEEGVNYRDVKAPQRGKAKPVSNQAQYQEEADFQ PQGASWMQKFQKGEDKRQASQDLAQNSKFKKVKQQ >CAK60578 pep:novel supercontig:GCA_000165425.1:CT868008:463681:464238:-1 gene:GSPATT00005328001 transcript:CAK60578 MNRQTSQISHNSSTQLYYSQYLKQQQVSPITEKFVGEEVQELRKQVEQLTKQLEFKEQMI SEMRAHQKILMNRLSEPTNYQKDNLIEKLKEFEVQLKEKEVQFQNQLIENSLLVKENKSL KQEITRLESLIKDPSIQKFVNSIVDLVIQCHPQNHFPNSKPELRECWRWLKKILNDYIQL KQSLH >CAK60579 pep:novel supercontig:GCA_000165425.1:CT868008:465151:466652:1 gene:GSPATT00005329001 transcript:CAK60579 MNFQSPLARNLFSTPEKQSMEPELCKKVIIDDQIQQFNNSSKKQFKFQIIPIKTQTPIKQ KNHLSHITPSTSENDCIGSSLVHRFQNHMTLDQIQQSPPSTPKNKGTKSHKELTAQKQGT ATPIKKKSAIKSIQTSAWAMDVEDQSEEESPSFEKSRYYNEYTQLAVIGNGNFGTVYKCR NNIDKQIYAIKCVKLQGYGKSYDAAETLNEAQALAYLTAKGRCKNIIRYYTAWNEKCYNY LQMEYCNFNVTSLLESKRDQNQRFEEFEVKKILKDILKGLRFLHEQSITHFDVKPDNILY SKVQGCYKLADLGLSRQTQLKKGEDINEGDSRYLAPEILSNLTAQSDLSKSDIFSMGASI YEIMIGETLPACGEKWLKLRQGLSVNDFGSDFYSIKLRRLICRMMNPNSFFRLSAKSCLE DPYLFVPKEKFIQQEKIKGYQLRQQFDVLKIMETKKRQMSV >CAK60580 pep:novel supercontig:GCA_000165425.1:CT868008:466676:467315:1 gene:GSPATT00005330001 transcript:CAK60580 MNQNQNFTSNLNLFPEIGTHGSRGKQYGGNNITMRGTEIFEGLTTGRPSKNIIQAHFKVL RFYRKVCRLIPFLLRIHDMEVTCNSQQAMLNVANVIRKRAYLRDPDAVDRWVYRGYELLY QAEWHMLNRDHLFQYFANQNRSDAGYSYLENQKLKGKSEFLKDFYIGNKTYEY >CAK60581 pep:novel supercontig:GCA_000165425.1:CT868008:467425:468370:1 gene:GSPATT00005331001 transcript:CAK60581 MLSIAFDILVLTIYDEEDRASILSQIDAIQNLAGLKNMNLKIINVQGQIHLQIFGELSDV ESTSISFELLFLNPLENGIRCKIVKPQGQETQLNPYYDSNLGFIDFSTYIERTDKLIDIF SKMKIQFKKEHPYEGVGKAQEQEKNSFAKNIKDNWSELNSINEFLVFELINEYKKKACLK QMIIDGQEMVDQLIKEKDKLNQFRNQNIDKVVDEYNILQHISTTPYQDQLIELDAKIKGI RDTLRLIQHNFQNNHLNFLELNQFTRNLAKEEFDAFLLLKKCLNQQLE >CAK60582 pep:novel supercontig:GCA_000165425.1:CT868008:468441:469260:-1 gene:GSPATT00005332001 transcript:CAK60582 MDIPKRTEHRPPRPPIPKFRLVGAGTDTPDFLPPPKSEESSLIALSTDNTSETSIEDNNE SYFMRKRFSAIVEEITHQIVLLGLSQDLLKIGITFLKPELLDGLKQKKYKAIAVAIIIAA LKQINAPVTQKEILSKTSVSEKQIKKILLKIHSSYDLEAIVHSFIKQISSSIGLNEKFIN FCLQMFKEINQRNLIQGEHENVIAGTIIKYCGDIIFADRGGIVAQVIADHAKCSIGPLKN FLKKVETSLPFNPQHQKER >CAK60583 pep:novel supercontig:GCA_000165425.1:CT868008:469720:472941:-1 gene:GSPATT00005333001 transcript:CAK60583 MEKIQELVESQKFQEAIEGLTDMLENELPSARLETLEVLNRILSLSTKRQDLQIAILRLA KFENTGRYCDLILNLARLYIDLGQLSAAKQELEKLRSFNNNYVRCILQGFDRMFNQKREE VQKEDQWEQFQLLEKEEKDLDYFSQDGLCSYLIPAAWFQKWRLYVKNEDPIVIEDPIMGL DVTKLRQLLNLNEDSINEETEVIPGPIDSSRLIQIKWNLLPDPTQSKQYLNYVLRNDLRE GVDFVHVSAKVFKFFEKIYGAFAVKRLIVKQDEQDRILVDLIPRVVEIIILENQLEESSL SVNGFEQVQELTRKIRVIKGIGNEIKWWKFKDLQSQMDWMSMIQKGTFIKSIKGKDLDLK IKIDDLNLTTQDILICEIKQGKSWQLTAEINSLQQKEQNVSELYAKFGISNIPRCLSDSR RGMTGLQNLGNTCFMNAALQCLSNTYEFTEYMVYNHFAQHLNPNNVLGTKGFLAASYAEL MKSMWFSNYSSVSAIDLKKVIGKFAPQFYGYGQQDSHEFLSYLLDGLHEDLNRVLNKPIV TDIEITNESDQQASEKFWINYTLRNQSKIQELMVGQYKSTLVCPICSRISKTFDPFMSLS LPIPSFSSFSSSLYFIYDDSETMPAKISLQLSSDMTGLDILKQLENLLSISHKRMNMLLI KEHQIQERVPYEKGAKWISEHIGILFVQEVEQNIQNMKQNQMNVDFYYVSKATKIYEVDK ILSFPRQVVIDRNSTAQQLYGQIYYKFRIHLTLAVKELTAQEFPLKDQFLSLPRPKNLEE SIQEFAILIQNQLQPFTLRFKDLSDKSKKEIQFSDDAISNISGSNLSIEVIISEYLTKTV QILKLQRCKEFTTNDTKSQVRKGEYDLDDCLKAFTKEEILGKGDEWYCNRCKKHVQASKK MEIYKAPQILIIHLKRFKTNRISNLGNFYFSNGTQKISSMVQFPHELNLNNFVLSKQAGD NLNYIYDLYGVDNHYGGLGGGHYTAYAFNSVLNKWIDYNDSNARTTSSNVVSESAYLLFY RRRDSEKCQMFK >CAK60584 pep:novel supercontig:GCA_000165425.1:CT868008:474885:475391:1 gene:GSPATT00005334001 transcript:CAK60584 MMKKVYTLPTPREQKSIIQQKSQQDIIIKQGQFGYIPDQTTKKEQSSKPSRPYLMFKPQL VEEVDENNMKKMKLSFHNSITDLQTFEELAKESKPRESTPNLNQTPTEKSKPFVRKSMKH LTQL >CAK60585 pep:novel supercontig:GCA_000165425.1:CT868008:475401:476152:1 gene:GSPATT00005335001 transcript:CAK60585 MKHNQQLPNAHMRKHWTRFVKTFYNQPAAKRRRQLRRRTQALSASPRPVELLRPVVRGQT IKYNNVQKLGRGFSLIELKEAGLNAAFARTIGISVDHRRRNLNQEELTSNVKRIKAYLSK LVLYPRVAGKPKNGVVKDSANEVVAHPVAQNTNPEVITFQRTPKREKATVISKELRAKSV YRKLRQEWYNAKFVGVKEKRKQAKETKK >CAK60586 pep:novel supercontig:GCA_000165425.1:CT868008:476163:478213:1 gene:GSPATT00005336001 transcript:CAK60586 MSNKKQFTGGKTSRSNSKQSASNPMTVRKRFSSLCSPPNNQKSTPCVFCQKFKNCKEESY APLLNKALFAKYSSSQNYYYSKDINDIIEEESTPAVVFYRDLESMIEEEEYLKRSYVKKE AIQKVKALQEYYKYHKDIPRLFMQNVYLTINKFHEKKRRLEYANIKRKLNIPDEDVQPSK KKEKKKQKHSDEDLTIGQLKYLLKDLKLDTNSYLQKKVDISSSVQLREFIQQVGQKYDCL GQISGLLSIDQSNSFLLRSQDLSTITKNLKSNYMTNLKPKVNKSSQLQNFDSKTTHQVSC QGSFDKININRLQFNQGEVSSLKAENSLKAGEQTKRSNQEQSPQRSSQQSQLMQKVYSQI EGFANFVQQQQNMQKQQKANNAQKSNSQRKVSSNFNTTECQFRLPSRQNSTQKQTADLLS YQVNFLTRVASQLQKYEDDNKSKQPLATDRVQRQSVYTIGEQTLQKTASKNIQKLPQKFT QYALSTLRGLQVKNSDQKKKSTLISNNNLQAPILNAQLMHYRTKSQDNVGNYKGSPPKQP QKHDNSKDPLRITNNICVQGSSKKGGGVYNINQNNIVNIYIEDLKSSTKLKQGGSQTARI SSPLKSNPKGIFEVYNTQRSNLSPVSKKMA >CAK60587 pep:novel supercontig:GCA_000165425.1:CT868008:478213:480546:-1 gene:GSPATT00005337001 transcript:CAK60587 MIRFSLKKASQLLGKQFQAFSTQQNLIEIFIDDVPHKVDPSATIFQACYSAGVIIPRFCY HERLAVAGNCRMCLVEVEKSPKPVAACAAQVAPGMKILTKSEKTRIARGGVMEFMLANHP LDCPICDQGGECDLQDISEQYGYGDSRHNEYKRAVEDKNFGPLVATSMNRCIHCTRCIRF SDEYAGVTELGTSGRGRNTEIGTYIDKMITSELQGNLVDVCPVGALTNGPYAFTSRPWEL KSYDSIDVFDTILPLIQIDTRGAEIMRVLPRIHEEVNEEWLNDKSRQAFDGLKKQRLTLP LARDAQGNFTDLYWPDAIQQAAKKLQSVKGEEIVGVIGEFADCESIVALKDLLNRFDSDN FEIRGTGVPQLDADFRANYLFNSRITGIEEADVLLLVGTNPKIESPLLNSRILRATRKNN LKVFLIGPANDLTYNYVHLGNNASILDEIANGTHPFAARLKSAKLPMILTGAGVLERVDG NAIHNALKKIAQNSPVINAQQGWNGFNLLHKDVGRINALELGITARSSSVPPKVVILLGV DNNLNAQDIPKDAFVIYIGSHGDEGAYYADLILPGATYTEKNGTYVSTEGRVQTTKLVAL PPSGAKSDWEILRALSEECGCALPYDSLEEVRYRIAELAPHLLKYDYIESSVLGQVALKP ESSKQTISATPFRDLIDNFYMTDAISRQSVTMAKCSTAFNPHKFSTFKQL >CAK60588 pep:novel supercontig:GCA_000165425.1:CT868008:480639:481321:1 gene:GSPATT00005338001 transcript:CAK60588 MQQQQQQMAQEKEIEIKEEKEQTQEHHHDHDHDHAHDHDHSDSDDGNNPKGGDDKDKKAN RGEKKFRKAMLKLGMKPVAGINRVTIKRGKQFLLYIDNPEVLKSANVDNSYIVIGEAKVH DPTGQIGKKEAENLAQQVPKQEEIKEPKASEATEQVSDEGIPPESIKMVMEHCKCDRSKA VEALRKSDNDTVQAILTLTG >CAK60589 pep:novel supercontig:GCA_000165425.1:CT868008:481323:482478:-1 gene:GSPATT00005339001 transcript:CAK60589 MELINHFPSRKFGQELTNIYYRPQTRLNLSQLKKKAESTNTSMRHKSQREINSNNVWYQV SIKKRTSSQHSSGDSVTHRQKSSRCSSRNQTIQTVRFCDVKQLLIDEQNKQFIENIDMVY SSKPQYCSVYAYDIQEYLKSIELSYQFSVQQYFHHQPQITQKMRSILIEWIIDVTAKFRL KQETLYLTISLVDRYMLTTPVSKNILQLIGVSALFIASKVEEVHQLMAKDLAYITDKTYT KEQIVYTESLILKQLNFNLTTPTIIYFLTRYQNICPLNLKHFFYCQLLIEIYLLLQPQTH SSSQLAAASFWLIRKQKKYNPIWPEELEIISNCNENKLHAIVKVLQSCQSEFQTRQTIFN SLYTKYSQYKYCRIINM >CAK60590 pep:novel supercontig:GCA_000165425.1:CT868008:482824:483417:1 gene:GSPATT00005341001 transcript:CAK60590 MNEKIHSTLRIKEIVEQAKISIVKLISIRNKEVTTIYELILGLNNLQILIISNNKIQIIT QSIQSLINLKVVHASDCNLQDQNIENDFFELDSLKEFNMTKNQLSIIDRFTSLFNLCCWI YPIMKSQNSIEYGKLFKLKFGVQETIIGIIFLFKLKQFKSLIKVKFRILLKIHMEYQNNV ILSQYSNKEYFEEQNQQ >CAK60591 pep:novel supercontig:GCA_000165425.1:CT868008:483439:487615:-1 gene:GSPATT00005342001 transcript:CAK60591 MLQGDVLSEVQLAIPCIQLKSQSVRLTANMQKCEIDCLASYELTIGFSDSKDKQVDLNQT MEFILYGRQYEIKNITYIPSVKYPQVLKLVKQQYNSNEKQSNLLKSQKPFKSPCSYMTMN ALLNKIQDDCQQITLLFQFAPEKLESCDYDFVKSLEDQIVFKLQISYVISRPISGLRFVS NLDNKFLITEKGLHNSRNWIPTPHHKQQRYPPYCHEEKDLLHYSKIIITVPSSYYVVASG QLQEIVEIDGNDLVGYVFNVNEFINPDDLGIFIGEFQHHYANHDEFRLNIFHMNENKSYL FESETARKIFESVDRFWFRDALLQKARNILSIGSGVEFFRSLNVLILPNVQKHGYLSFYP FRNILVFDESQLPESLPCKHTQDEFYRCLHLQLNALSLKFFLAPSVKLSDRWIELGFTFQ STWTEDYAQLHQKLLEQIKNGQDVKLDQTQFEQRFLLESLEYQLKTRMVITMLARMTHQP DVFIKEILNRFYQDLKGSIPAPLSTDKIFMSMKKVFSVSKGKSFLKMFIKSAGAWHFKVS YDYERDHKLNLNITQSPLIGHWVHVYTNNYIQGAKALDIKSDIIYQFLQFQEKIKQTQKS IQKAFKDFEEQQKEQPYDQSKKPHSSSTIKDFKRRDYQIQASGLIPEELSMPLHFSGWVR IQVSETNELKLEIENTEIKIPPKLWTKIDINCTQKLKKYNIPKRHLEINAQAYIQEEQTG KIEIENDNNNQVKTPMIHGILGEAVLKSGVNINTGREQTIKSYSEEIQKEPPQWIKWDPV GLTVKEVEYQIQKELVVMCQVLKEKDMNQIACVLRNIKKLEIRERVVRTIVLIIENSYAH LESNIIVQLLQLLREMVNKSSIKRLEENCDFEDMAELQAYLKLAEKITYRFFFNQNDNSL KYLKFNNFDDYDVIVALLKNLRRLNTASTLGFHNELIALLVSILQGYDNSQNQYDCSYMI SKLIKLLLFTNCNQSKFLIMTTLKHELKKVIFLNTSKNYILKTIIIHYKRFTDINFSGEM EKKIEEIYKKYDEEISSIIKHHQSNVLVRIDNGYLERAYYKKYLLEQYEKQKKEPYHFIL DQLYYLSKKRVKNGSTTIYFNVLLQELQIFMAKNYQRFAHELSRADINPELAKTLAHTNF ECIISGPALLNYNVQSNFASIYRILFYYFAPLRTDEVHKDIKHLLYTLTNFTPDQQWSQA HSSQLVEEQQELTKRTCGQQPKKNRPVNRSTTQDLIQQLERFARKFPNKLSEREITKQIL KHLGTLPQIGQLEEKVGELSPSSKQIYRIDQVRASMKRKNQPMNIILDNVLSMFEYYYRK QQLSKNELDDYCQMVRKFFDDGKKVLENYSKANVDKKVQKMVIKSTSLETKLANNTYIDE IIE >CAK60592 pep:novel supercontig:GCA_000165425.1:CT868008:488227:489907:1 gene:GSPATT00005343001 transcript:CAK60592 MAGLQQKIFNNQFVVKKRISSGSFGVVYQGLDLHSQELVAIKVEKLEVDELLSLDREVEQ WLLMQIQILRSVQGVPQVPRLKWAGKDQGNNVMVIQLLGRDLTHYMRQRKRLSLPCVLGI ADQLLTILENVHHKGVIHRDLKPENVLVGKDKEKNQLYLVDFGIAKQFKDKDDKHIPFKD NKPFFGTSRYASIAAHKGYELSRKDDLESLGYMLIFLLKGSLPWQNVSHKNEEEKVKIVG LMKMRISSQELCQDLPIEFMRFIDLVKKMSYREKPGYKYFQQLFRRVAIQQQVEYRFDWL DENNQEKKSSSVSPKKQKSIKIMDQPHLISQVYSPMKKKQSEEFDDTGLSKRESNGRLSI ANNSYNLVPCDSRQNLRHKSTSSFNESNQQYSDIQPEVSCMIAYQRNVRFGSFHNELNTP KQPERSTSAQNKIRVAHKLSLDVKANCYNSIVELQFGLMNNPSIMDFTEKSQNQMNEDES PCLEDKCSILKKDSIENYFKNPIQLFRISYKEKTRVKNN >CAK60593 pep:novel supercontig:GCA_000165425.1:CT868008:489907:491615:-1 gene:GSPATT00005344001 transcript:CAK60593 MFLRNRFHSLRKLAQFCTAESVSGKKTPATSTKQGNVERNYQLQFSKSALVDFGELPTGE IPEALKYDRPQALTQLDTGLRVVSEQYNSPLASITVAVKAGSRFETLESSGVSNFISKLN LRGTTTKSREQVEAEIDYLGGALKVKQGRELQTYTLTFLPSELERAVSFLGDILTNSLYS PAQIEAEREGIYRESVSINDQYRVVAEAAHYTNYRDHYLGQPAAGIRDNIPNVTEEQIRQ FHKANFVAPNVIVSAAGNVNHEDLVSAVNKAFKGLGTSVPTEVPNSEKPYATPSIMLMKD DELTNLNVGVFFDAPGWNHPDVFALHYFQRLIGDYRADKHTGFHLNSPSRQYNTMHSLLG GLPDVTYQRCAYYAYSDTGLFGNYLIGNEVFATQMAYISQMVLSDYASSVGQVEVFRARA KVFNELLSQESSAKQSREIAQQVFYWGRRVPRSEFARRISALDAGHLTRVATRHFWDKDI SVVVWGPTHLLDAVAHYNRSWKRSTLGGYAQPYYEG >CAK60594 pep:novel supercontig:GCA_000165425.1:CT868008:491815:493801:-1 gene:GSPATT00005345001 transcript:CAK60594 MSFGYKFGVSSSVYSQFQTFGQSQELENILKNNNPTLEELLERDNFLFDIKAGAMNAFTE FVWRQPENLEKMIHYIIVTNEQMEDNEKSRKFPYIISGIFSLENTRLFDLLFLRHRNESQ SSLLSNERLTTIEDDILPEYQMHHEDQCKEEEDFNGGGISGTSSQYMSIIIVQCASFKSK SGMHLNENVRLNILNAFFKYLESPNINDTSMGYYAQILKPVASKYGGEFWDHIVVNSNII SDLLQHINHYQIVEIVVKLLILDYKINDNVYINQKCYNYRKQLLEEMIHQMDKFSDNITM VADITQIFDLLLEQGIQQKLYESLRELFDIVLKPYYFFKIAKKTGVLTVYKVFSKILLFI QVNSLQGNQIIMYLDQKTSDLLQVIQQISPLIISNFQDEETFGLKNLCLVQALDVCDKLN NPLLFESMFQAQLYQSLIEYAIKYQWNNQLQIYVTSIIKSRIQDEKYCQRILKETDILNQ IKKNLIDQPNNSSFRSKCSHGYSGFLKTVGYKILQKQTTSKKMNSLLQEQHGQIIEALQR LQKIEQTYLCDVDPKTHMQEAPPEISIDRQIEMLIDSQKEKEDQLTKSEQQ >CAK60595 pep:novel supercontig:GCA_000165425.1:CT868008:494213:495785:1 gene:GSPATT00005346001 transcript:CAK60595 MPKQLIKSKNPHNQFILDHIVELKNRCLRTGQDKLAFIYQKIIQSLQKYPMPLLCEDQVQ MLQGVGDKTSVMILQLIKKQYQQYEIPFHKFNEALIQDNLDVINEQEDDIDQIYSESCPP SMVYNDDTSKRKDKQFQASFIEELRKQVIKPIPEVQSKSKRQYQPGKGSKSGQVLMSLYK FQKSENKLFASKKQIKEQLRDICNTELSSWNAIETLEKHQLIQKTVLDNEIKYALTEDGI KLGQQYFTETMIQQQMTVPSERPSKIILLIDNRERFQSNNRQIIFEKLTNIYQIECRLSQ LPIGDFMWICQKGDDEYICDYIIERKTIQDLAHSILDKRYQAQKHKLKHCGINNRFYLVE NSSSSNLPVPRATIDKAIRNTQIKDGFFIQQTETLEDSLRWLALFTKTVKVLPNAIKFSE FMYNNSKKAESLFNLWGSMLRGIHGVGLESAKAIACVWKTPIEFYDAINQDENKSLQQLI EENSIKQRSIPSHILRQVEILFQKEHYPN >CAK60596 pep:novel supercontig:GCA_000165425.1:CT868008:496162:497533:1 gene:GSPATT00005347001 transcript:CAK60596 MKSSEYEPQRILVGVTGGSAAGKTTLCETIKREIQYDSDVDMTILSCDSFYKGVDKSFYD ISQYNFDHPDSLDFDNAFEVISTLLSGRPASVPIYCFVNHKRLDQCSIMLPAQVIVFEGI MALYDERIRDLMSYKIFIHCDDDIRLCRRILRDVKERQRTVESVLFQYTKFVKRAFENFI EPLMSQADLIIPGHRSNHVSVAFIVNHLKNMAKQNGLLREKLNTLIYFGDLLYSIDGFMR NRTKVDFSNPNATFKQLFFPEENTKPDFLYITQNFVAGKLVNQKQIYKVIKQCFKNTLLM LEKCLQQDKYEIDQLQCVHLDEILSSKFQVKENTQFIVLAIPILFKSGLKKLHQIEEKIK QYQDVQLIVVNVFSDIKTITDINWTFKKLRIYVNAFLVGKLDKFFSIMNVSSQSEPESYY KQDKFFQKLKRFIEKRQTKKN >CAK60597 pep:novel supercontig:GCA_000165425.1:CT868008:498122:500500:1 gene:GSPATT00005348001 transcript:CAK60597 MLALCKALNKERILQFFLIIDGLIVHMQTYVTQDFSFLVIGLTLACFHLIILCFEYMCQK KSNLILLICLIKGVVIIGVSYLLMPQWQIYTGIQIVLIIQLNEQYLKLTTLFFFLACIPC ICFGNVSEITVEILRAVVNFFLILQIYKKKGNQSFINIKSLLSQISSEEFCILDMQYNPV YESKLFYSIIKEQVMNISDHYQSRILTPRDQTTNLVKQSNCLENITTRPSLLIGDIIDQL KKKILKLDQKLIFEPTNTSLYQVSVQKLLIENQEFILLIKHKLYNIESFKLQSQQQKEQV QTMIKTLHKVSHDMRNPLNAIINMQMCLSELIDPNLFQKFLKPSLNSCHLLLNLINDILD AAQIENKSIRIVCRKFNLAKLIDKTISLFDLLKEKKGLNITFNYDPKLPLKINSDKLRIR QIIMNLLSNAVKYTQPKGSILIECIQSEQKSNSIIISVQDSGMGIKSENLKKLFQEFSKV NDLENQKANPFGIGLGLMISNELAKLLSTNHSIGIQVQSEYGTGSKFYFEIENEEQPSED ISDSQISQKLAQKIPTLEFRILQNIIQQKSPTVSIAIPMGESSKRIIQKKNLKAINFMTS SLNYQATLRIRNESERNLSNKPSIQSRLIQNLLQKWNELTQQHPPILIVDDNEFNILALQ YLLELSGISSDSAMNGIISIEKVNDRLKDNIPFQLIFMDLEMPLMLGLEASTRIHKLDRN VTIVACSGHKLTQEIVEQFKDCGIAFGVEKPITKIKLKDLLLKLSDGIRCDSSQFSQLF >CAK60598 pep:novel supercontig:GCA_000165425.1:CT868008:501687:502851:1 gene:GSPATT00005349001 transcript:CAK60598 MKINRKLQIIITFQIYMFSFIQIIRKLILSLLSILQMQSQSIYKIKSISYSIKLLLQIEL FFILTTQFQEFFLMIKFQIIDVAAKTYKAYTSSQQSSVNFKFFTNYRLGENKKLRIFDFF EVVIFCEKERKFIITRLNEYNEIEIHCASIDLIQQKLKDQYISDSSIVQIDSIQKECIEL NGEQKFQKIVSVNVKNDHFIIVLIDRLLAYSLYSICGKSNEKPTPLAQIMFNPILVSNRP YISSYDETKLYLFQRNGRYTNKNNLITINYKVIDCQHSDKAQLNSKFSFSSPIVAQSTET MLSYIQEVKIREKNIFKMKIYTEFQKKYFKQVIIFEIGQPVAIKSSKEVQKQPEEGQIEI F >CAK60599 pep:novel supercontig:GCA_000165425.1:CT868008:502898:504700:-1 gene:GSPATT00005350001 transcript:CAK60599 MIKSRLLQRSSSKLTQSQTSLMNQSMTMVNSIRKLSSNFSLDKQIEENGTAKLIKRITQK QTHRSKDDISRLLPLNLNNVYNDFEDNFRVISEFNKVKQELPQPIKQEIVQISNPNFLKT ADGLNLCDLNAEKLNRIQIYRFKFYYFRARVKNKLSPLQIYFTFPNHSQNQIYKVFLSTS VEFPTKFNCEQSTSSRSIKVKTKSGTKLFFEDYIFMTLYAESDFIISIHLVFGELHNSTL NEKQQEPLRHFKYWDDKQSVSPKKDKILQNLDQSRYKSTYKLKEFLKGAEVTAKKMISVV EKGKQLVKEKQEDRSIKMMVKSQIQEFRKVEKSILQQKLERQNQCQYWEKIISLINIGRF AYNILHERKRKLRIQAKARLIVLRIKTKLLIEVQQFGQNPFERCTNKSLLLLKTMSIHLQ EKCKLRAQRIATDFLKKTLIFQTAVIAHTKMVSKVRMIIKVFKSKKFQKRAFKDKFWRLI KNYFGQNNETPLFTTSQVKTVQIDKSVMSKVIEQYIQNRKLLWFKSFKESKSLDSKQNHI PVIQIFQLPNDKEFSQIMKEYYILKKFN >CAK60600 pep:novel supercontig:GCA_000165425.1:CT868008:504716:506810:-1 gene:GSPATT00005351001 transcript:CAK60600 MRQSSSKTLTLSSMQNQRFYFNQKLKTPNKEFLKSNRSQLTLSDFQDSKSRANTLFEKIK AQNTHIHKSESNLFFKVEEAILELYNQYEQNYQGLGSLDRIKTVQENLSVEFKKQNNQLI KTFDGLKLSDLDQNGVNQIQIDRYQFYYFRLRILEKPTPVHIHLQLPESLSFLLFKLMLS VKIEFPTKFNADQFVQSNYAKVYSSNPQAHYFKEEFLYLTFYSHVDFILKITVHFGQFNT EKTPSRGKESRSPKLMMVHSDEARATKGFSHIKSHIYQSSIEREAESSRVQTENSERRKF IKIHQPVQSKLSTLLTKIGKEFQGLTKEERIKKIEELQIQKRRELEEDYQQKQKTFIYEL EKRKVQIEQHSKIKKQKIELVQQNKKNNYSERQQSRRDRLLLQEQISQFREIERSFSIRK AYAYKVSLSWGSIIKYILFIDSLFNTIKDNKKKSKVQARGKLLVWTIKTKALISAKEYGY TTKERTITKSCIALKMIAINLKHSIKKKSEAILAKYFTQMRLAVTIVHQHDKTLKKIIQI QQNFRTLKQKKLTYRDKFLKLIKDNINQTIDELQRQYGKKTFYDNNDKPVQQMDTICANQ LIDEYSKQKKQIWYEYINNKFFEKDFHKKMKDFAINLKEPQLYELPKRKELIQIIEKYGK IKKLL >CAK60601 pep:novel supercontig:GCA_000165425.1:CT868008:506851:508766:-1 gene:GSPATT00005352001 transcript:CAK60601 MIPQLSSFKAPKQRQITTTTSSNTYFLNSQRKLKQPSKYILSFLTTSSLQSISYTHTSQV LNQTPTLIDIKDVKKEQNQIQAIPPSFMELYDEFENNYKIVQKIKTDLNISLDIKTEEKP HQSQVRSQNSIKNPSQAETRIANLQFCDIDQELNQRLHINRFKFYYFRASISKQQSPLLL TIQFENQINSAQYKLYLSTQHEFPTKFNAEHNLTSNFCKIYTSSPEGIFQENYLYVTFYT EIDVIVRIKIQFGWVQQSKSPKKQIIKREYPKFPGLIANTKIDLIKRNLDTSQFQLVKKT DLLAGLLSERESKRKQVIQKKQEIDCEQKIKLKTQYSAQMLRNDYRLFEKIYKLKLNKKI QGELFWAQLVNLIRFIAQLKTKLIQFKCKMSIKANGRIKALRCGLNLLINIRKFGPTPER RSFCKSLLAIQMFTAQIQCLRKRKAQAIATSFIKECISIIHLGHRLLKTRHYVIVLQNKF RFFQRQKKKYYEEFWQLVIRNYKEVIRGLTQSQSNPKQRSRSQKQIYAFQIDNQLMQFQI DNYYCRQKQMWLAFIRQKIQDKQLTLYLNERKSDEIRKALIKFQEPKLFQLPQINEVAEI IELYAKHKKMI >CAK60602 pep:novel supercontig:GCA_000165425.1:CT868008:509044:510641:-1 gene:GSPATT00005353001 transcript:CAK60602 MDENQKAFYFFSYKSQLAAQSTRSQISLSQSQEQASMAFQSRIKVQNRDPLALRRKLSPA ELIPLDEEILELYDDFSRNYKLLGHINQTEPFSSKQSQFEVIMTQPIQAVKSARSFDSEE LFCEQKNKLVIARFKFYYFRLKIKGRPSPLSLCFNFIERVMGLKIYLSTKVEFPSSFNAD QFVQSKYATLQSQHNHKKFHENYLYISLHCETDTRLNIKVQFGKQSLNQTENIAKKQKSE VSEQLPDIQVEESQFRRSIFTNKDKIVRNLNVTQNCMNKKFKFLFDLQSERISKLKSALQ NKKIVSREFQISKLLKYQARQIIPEYRKYERTFKQRYSVLQQLQINWLQTVFVLQLVDSL NLCLNEIKKAYHIRASGMLLAWRWKLNILLVLKRNGNTIQERSIYQAKMVLKSHARHIKK TIRLQATQVLHSVLTQTLPVISLKNRMIKVRSIVLSVQVKFRWLKNQKRLFYDRFWKNIN QLYLQVLTELQNKGKQMPEKPVLLKRPSIYLIDTYC >CAK60603 pep:novel supercontig:GCA_000165425.1:CT868008:510666:513091:-1 gene:GSPATT00005354001 transcript:CAK60603 MIIKYNERRVSITPKTQTSLNSPVRNNTQPVFLTSPKKQLSSIKEITNKQQELNKLLNQH VDFQTQSANTSMHSFDCITAQSRFRRKQVNADISSKLMHLDEEIVEVYNEFEKNYRQIND LDKLEYTPQASQQQIFVSRKSLNNLIQTHDGLMQSDLKFGNLNRIRINRFKFYYFRIKLR GKVSPIQVFFNIPERVQSSAVKMFLSTKAEFPTKFNAEYILHSRFAKIFSERNQHYFTEE YLFITIYSDVDFEFSITFQFGNTQITKSPPKQIAESLDLISQNCSPTQKQLPNDKIIQNL TLTQYQGSQKLRKILSVQSERTHKQKQAKSLRQSMLEDKKLDKKSKLLVKDQILHFREVE RQIKLKKLQMQFVQQNWFQLFSLLLITEYVSNSLQEQKRRQKVAAKGKLLVWAMKTKALL DVKEYGESAKERTIFKTRCVVQSFACMIRDKSKIKAEFVVTKFMGRILLFLTILNKHQST LNKVMFIQRKFRLLKAKKRKFRDKFWKLIKENIADIIYDLRRQKETQFFFDKQQINIDIP AMNIVIDDYCRRQRVLWLEYIQHTFLEKDQKRKIHEYAKNLKEPKIYDLPNKNEVICKNE KNTMTIKQFIQMGNACQATQDSLPTEISDESTGPQTVKETNDRVIFNEIYKKENVEVPDK SYSSPILIHLQLINLDLIEPDQFIPQIKPNRRTLSFVNERTQKQIVRSRTPSHKIDSDTI SMTKQPIKSSLKSINSRKGSSNKSQKSVRWDSDLSVFLNLTHYQNYQI >CAK60604 pep:novel supercontig:GCA_000165425.1:CT868008:513097:515652:-1 gene:GSPATT00005355001 transcript:CAK60604 MNLEYPWDVQKIKFKNIQVFRSHQKEKYILIGNHTLSQQYCILYLNILKGQDLYQKELKQ YIHEEERQFETLEDAKLYLQKYNLTYLYTVSGIIGFIKLLQGYYVMFIKRRKSIAKLGKH KIFTIEERSIVELFDGPQDSRYKKQLQDYDLEIGFYSSYTYDVTSSLSKNIISSEDVQNK NQKYHQIGQYRNLFMWNHYLLAEFDKIIKDKRWVIPIIHGYCEQSTIKTVANYFSITLLA RRSIKHAGARYLTRGINEQGYVANFVETEQIVIELDKSTCQRPACSSFIQIRGSAPVYWY QEPKMYLFKLPIKINQSDPYLYATKKHICDLISSYGRQIYMVNLVKQNEHNKREQVLAEE YFSAINTVKEEMQNQTEIEIGYLGFDMKAQLKQDKEEFVNKCYSLAYYCIMKTGVFLFVK EPKCNENVIIEIQNGVVRSNCVDCLDRTNAFQQLIGEMALGIQLAKINHSSLKFNLLLLN ESILQEFREMYEQLGDFVSQQYGSSLAHKQNIGQKSKRIELFTSLKRHYNNNIEDPQKQN QIDLFLGIHRPYPEESFNGEMVPKGLFPDTKYLLQYTQHKQWWVNPYLEFQQKSLIDEIS RRCKEACQQAKKDQKTKIERKQTTFNFDIIEKMKHKANQKLKAKNVFILQSPYTKLREKP ANYISKPLNLEEKLKKPYNDVIQIFETEQLDDSPCESHQSSPKQNPQNLKTQFSFLKGDE ILEIQKYTRIKTFEELTDEANQIRKYLKYNLSSDDEEKLRNNLKRYLNGEQPKEQVALIE KNLDVQKQYSEVKQSIAHSETVQGVSVQQAKKIPVEQKSVKFLESLLKKNFAQSQKLKIN KI >CAK60605 pep:novel supercontig:GCA_000165425.1:CT868008:515688:517533:1 gene:GSPATT00005356001 transcript:CAK60605 MRKRDFKVVVIGDSGVGKSTFISALINESLNKLTHIDKHQPIQLPPEMFNHPQCNTTLID TKCQPNQLPEQVRIADVILLMYAIDDDGSWERLNKFWLRELKEKEFKQPIIVVGNKLDLM GLDEDREYCRIFKVIKQLVKDFSQVEMGIECSSIKLQGVQDVINCAQRTYLYPLAPLYNL VNKSLTEGFKKALTRIFRICDRDGDGKWSDFELERFQKKVFKKHLDSSDIAGIKDLIEEE LKDDSNKKSFITLQGFMVLQKRGIELMKIQISWTILRYFNYKDDLTLEESLFQEEYLNNY NTRLKFDQNAGQTVELSDFALQKLKSIFLLNGQTLTQTQFNYIFYPVMFQTNFPLLQQYR SESQQITLTQWLALWNAFSFFNYKDAYKLLNYIGVDIKIVDAFKEQNKKDSWYAVQKTIE RKVFHIAIITKNNKKILEQQFNNLSPRITTIKSKTYVISIYDELQAEQQIEISRLCIVDF LMIESSCRFQTEQLIPITSFNDEEDVYAQISKVAEIIHTNNFGYSEHQIQELKSKNGVSI IQITSGIALLVGLTTAGYYYFQYKPFKKSK >CAK60606 pep:novel supercontig:GCA_000165425.1:CT868008:517572:519707:-1 gene:GSPATT00005357001 transcript:CAK60606 MYMSTKTMKSLQQSTYKHQLLSDVFSDNNFYKYRSQSNTEQYTPLKGFQIVKLRGPSRVS IQQSQQSIKTVKSIKKRNNSQNSVRFDLFKHVTEMDDCGMHEKLMNLFEIDLKIRKNLEI NRMIVQDKQQSSAQLDEIYQEILLMLSNTIERIHQYINANNLERSDLKKAHRRTAIEIEH QLQEFVNMMLTSIAKRDLTLARLTENAWKLICVAIEMILQNGKAEQEYIQQEVLNELQQE VVHLKQENEEIYHKYMEVSELNNKLTQQHKQEINILTYQLNMARVEFNDYKQNQEALSTL EHATGSLKDVELNLQDTHKILNKIEENISNRDNQLFQTLKSVVQQHKMDKDSQMNKAQKL INRYQLKSLNSKQCIIASEYFNHPFVQYLDLNYEYNQQDEKRLQQLLIDWFNQECVKPQF GTHPAQQRLEQISTYERRTNAESFIHFLIKNENLNQLQNCIAYISQNMEVSDLNQLNKVE KFNRQFCIFFGVIFQFDINNIEIDLQTYDRIRFFYSSLMQTNQEEQTQNQNKFQWYNFVV QNLNELCAQIFLDYSEKVVNSQQTIVQILVFILQNESDKRKHQLKKLIDDFKSVDIELNL QREGMFQFVKELCSFYNYQYNELQVIQYAQALFDRYIRVYQTGRKRKCLEIGEWINFIQE LQSILNKLIQIKPHLHHQIFMLEDLQYVNKEFQVHTRTSQIERRDSQIRKK >CAK60607 pep:novel supercontig:GCA_000165425.1:CT868008:520166:522571:1 gene:GSPATT00005358001 transcript:CAK60607 MKQKEKEGSNENLRVVIRVRPPMAREIKDGKFISTVQVAPDNQQLCIFDYHAIELVPDEE LEAFVQNPANYTIHQFTFDYVYDQESTQVEVYETTAALSVDSTLQGYNSTIIAYGQTGTG KTYTMHGFSFTPNSDQLGIIPRSLHNIFTHIQMKSNSMTTFMVRASYLQIYNESISDLLR PDHQQLNIREDKKRGVFVENLSEWAVRSPPEIYQLMRRGNAKRVTASTRMNDTSSRSHAV FIITVEQIEETPDGKRARVGKLNLVDLAGSERVRVTGATGIRLEESKKINQSLSALGNVI SALTELKQPKSHIPYRDSKITRLLEDSLGGNCKTTFMAMISPAIEAFSESLSTLKFANRA KNIRNTPMVNQDQDQGALLRKYQLEIQKLKQELDERSKMPIDSMVAELEKERQKALEDKQ EVMSAYEQRNRDLVQEREMRKQLEEKISALNSQMLVGGQKIEETPQFQNALEKQQKIIRQ QYQEKLQELEKERQNIEEDKAQTDKYKQLLLKQRDIMIALTNRLNERDETILQLQEELDA YEKLQKELEDINQTKESRIQQLVELLKQKDVEIPMNLDLPANSIINNAKQQLLLAEMPSS KMILVADPSSNSYIQTLPQQGSYHHSSEAVTQYELKNQIDINKKLELDLKISRMEQERQK KELGSLQEQIQKYENDTTTDQAKKSVDLILNQLTKPANGNSLATVAQELQRLQKILSEKE GSPKKAVQKFQQETSNLKQQEIINKLIIKPSKSNNQLNPPQSKKYKQKSVEELWN >CAK60608 pep:novel supercontig:GCA_000165425.1:CT868008:522598:523745:1 gene:GSPATT00005359001 transcript:CAK60608 MGQEQSTTEKTQEYKQFLLQYSQEREATHPQFGPIKLFTHIQTNEQVCLKKYFTKSDKEL SNLVTHLRQRNAFEQDNLLKILAIHQQDQIKICSDQTSIAIIIQYYQENLSSEINIRRLN NKPYNEGHIWILLQQIIDPCTYLSERNVVHGDIKPSTLYLDENGCIKIAECSMLKDEING YQKMILSQDKAYLSPILLKQYKELIVTPTHDPQKSDIYALGLTALSVILMEEVYDCFDYI KGIVLTDKLEQKFNRIKQLGYSQILIEFIKQLLLNDEQERPSWQMLKDFIDKYRDKIYNM IPFYQNHLNSSKSPRQSNQFNISPLRQNMIYLPLNKLQQQQFLSSQQFNTNRSEGKPKYV QISKENY >CAK60609 pep:novel supercontig:GCA_000165425.1:CT868008:523775:526090:-1 gene:GSPATT00005360001 transcript:CAK60609 MQSIRAQRMKKIMQVQGEVGQHKGYTAIKIAGNAHELIRKSKSAANNIGELAIQDVTKKL LQDQFNSIKSYDIAKGEEKPGQSFKSMHNMYDLQTLKITKLYKKKERNQLEQQIRSQLAR DPDKQLLLSEIYEQLEDNSDGEVLKKKQKNKEESLATHQEDYDESQDQTADLKVWTKRLK EQKKKEESKQEDSSKFNVIPQRNKQRASTEIKKQIPFQQSVRQLPLERQNSSQNSVPSQS TPRSFKDVMKNAVQKVKQLKNLNEDLSVERSVEQYIQKASAVALSELKEIGELNVDEEKG LTTNFDFTSEGVQRMYNTLVKVQMSQFKSEAEKAKFYKKQTQLISNKLIFKIKQNNIIIE ARVVAQEKLRLETHEYHEKIGQIKQLTEQLLQEIIDLKDPRSQGSLSMSKSRMSLVNSFM NQQNKQKELDQDLQILRDSKKAYKQKILFNKKTLEQIDQDIHIKKKENKSLQKCLVSFYF QVLKNGQDVRTTGIAWVISKLNSLNEKCHYSFLPDYLDQKAKEYLLQKATMLSDIEQLEK ELSESFKQYKQEQSLDSSLAILQQSLSQNDSLEASSLPSIFPFDSHKLSSIDLHQARQDV AKTCRNNYSLEKLGPITLGLTNEDIENLENMLAKLNQKNQPVTNGTLIYKETQKRIKQQI KQKGQMEVSQINDQSAVLKEQAIHSYDKCNTQLNKIKLKLSHSEDEQVIRIVKEFDYKNY GKRFSIDPITVISCLVGGARCDREIVKYGMKKIHYE >CAK60610 pep:novel supercontig:GCA_000165425.1:CT868008:527135:529155:1 gene:GSPATT00005361001 transcript:CAK60610 MRSHIKQLTITQENHFQNQIFEITQNIRSGKYRMAFQKINKEFERSLIMQTGWRIRLQLL RRGIVCLIKILKSQARNGLKNENQLHLLRKLQQFIMFYFELLENQAKEYKIFYLKDILYR ISQIQLLIFQLSKLHEDVRCMLIWKPEYYFKDSRFNKTKFEYNLQVGHLHFQFKIFDSAI SYYKEAIEECQLILADILDKDYHLKKLSSQYQKVITWIIITLYIMTFIYELQSNYNKLLE TYRVALWLSSFIDNFELSVFLDEQYYLNQNQYKVYMLEIKEINQILAPIFPQPKANQNKT SKNDYWTDTNTKFYKKFNKQINVQLYSILQQPEDTTYKKNQFRLTEQETTIQSNIHTPRQ HEKCRTLNSLDEIKISKQPSGNPSFQHSRRTIASINHVKTRSFKYTTDIDNIMQRFPKRE IESFSTLKAKKELDLYYQQKVLSSFDKTIQIKSLKSVKQQISSQQEVDKVCQSDLVVGKK LLKFQKYTHQRVVTNSNIMKIISDISKEQEHLEGVNSARLFVQGSQDIESKIRVQMQQII KQKSMHNEGELMNLKTLVSDYEQNLSKAPSNHEQQIEQSYISKFLKEKNYSIIRSIDQSI KRTVPEQAKVRKSFLSRIQESLFNK >CAK60611 pep:novel supercontig:GCA_000165425.1:CT868008:529247:530199:-1 gene:GSPATT00005362001 transcript:CAK60611 MTDSVKAGGLFSPEQCEQLSKKILDLFDENKDGQLDLFDVSQMLQDCYRAMNKSYNPTPT DIAAFTRKMDTNGQGRVDEKIIQNLCMKYLCTMVSNNNSQLKVEKPLQEINVKIQPTDNS NQEEILLQSSNQEKQTQEAPKVEQNQILIQPKQEKKPIQTVQKPAQPEIAKTAKSTEQPQ QPIPEKKYTKQVQERLKVARRIFTMIDSDQSGYITETEVPQLLIETYKQMGMTIEPNKED VDLWMEMADTDQDGKVSLIDYEDLIIRGLKQQGIQLE >CAK60612 pep:novel supercontig:GCA_000165425.1:CT868008:530804:531250:1 gene:GSPATT00005363001 transcript:CAK60612 MLNQDFDFLTVTPEVRELYSIPTEQRIIESYKLKLNSECISFSQKFFNSNYISPIASVVS AGICTISYIYLRQPAGDVARQIKFERMNPAKRMVLRGVPALSLFATVYFARQCKSY >CAK60613 pep:novel supercontig:GCA_000165425.1:CT868008:531705:532140:-1 gene:GSPATT00005364001 transcript:CAK60613 METETQYQISQEGVSLIISLDIEFLNEKYRLVFTYPTAAFAISAAILACTRFLSFPKFFR NPLESPEGNALFYTRLSKMAKPPLLLYGACVGGAIGLFQYELAKYHMFVKYKTLVNTYLD ACEAVYVSELKKSQEK >CAK60614 pep:novel supercontig:GCA_000165425.1:CT868008:532189:532561:-1 gene:GSPATT00005365001 transcript:CAK60614 MQQSHFYYDMFKIISLLFQQDLINKQEKDELKFMVLESQSELMFQLQAIYRSSVKGKQLY MVHEIKKYIESHRQGSPRNSSPRSSRYLEASRMWSIYEENEEEERDNEIKLIGIRR >CAK60615 pep:novel supercontig:GCA_000165425.1:CT868008:533550:537754:-1 gene:GSPATT00005366001 transcript:CAK60615 MTSQTLLLTLGVLMVLGATAYMNYDGNVSTIDHGFTADFASFIKAFDSKYDFIRSDITWS TYGGAETRPTTAPSKYPIVFIHGNSDIGAGNGGTVGWQTGFTQLIEYLQTNGGYTKADLY VTTWGPANPNMASQNSHSEKYVMTTRRFIEGVLAYTKASKVIVIGHSMGVTLARAAIIGG TYSESLFAKFTVGDPITNSIAAFFGLAGANYGLVDCTYATGLPTCSTYNGFSPSSQMLTA LNSKTRREGAKVYSFWSPNDDIIKYNCIVNQKNTCIVPGSDESFQQSGYTHFDVRDKNYD YFIYQLNIRLKSFSSEGGDQHQRPQAQQFFNYANETHEQKRYNIIIFRFVIGVCLNYKQL IYQLLFNYNYFNLDTPPNTNHLQNPLYINLKLQKKGTFIEIVYPTKSSDEETIVKKRIIS NFNVNPTFWITSKQEFPPSLDFENLFKTPIPDQAVRHNETFEKLEVVTNTNQKILIDVPS RPAAYSLEKLNLQGKPTLEQLFQAIPNCMDYKERDKHFKYGGYKLNYQSGYAPVEIQNRH KDPEDYSKKQHFIRQKQSQANLNLKRTCGVSYVKKSESEQKDVNHIFKKLPPLVYQCSSG CQPIAGYDEAFDNLFHNLLNGKNLIVFTLFNSQDESVLREYLLYPHLRNKISRERVMMAR KFLNLWMIFQDALCLVIHYKYDENQLLRPIQLNEQDDIKQQLVDFICESEISICLLFKKS VSGRKAFLKSVGLWNEGNDNSSVCTETQREDIQTKFVISVPKVPINSNSTPQSIFQEFTH IIQQQENYVLETRSQTQIPQTQQQTHSNEKETKKKKRAKILSSTDVRKDGGASEQLINHL IKEDGNHNINTCSIRMEMYVNEEKWKSANDHNKDLLNAFIKRVEHHVTNSYLIKMMNKRF KANEKGQDYIIRRSNKIYEIQTDNVQIKQNKKSINWTSIFTPENFTNLSTFKLSKKYQPI SLDILKMKELSFLKQPLYCITTRDSFAFDLITKVTKVKLGDRLVDRLDFFFEPNNCPCNF KSFLPMFPEYQVGAHAYVGYFTNEELLQMEKEIYEMELKGFDGHYLPMTAQISHAQSNHH SSVRRTKFFFGYRYMWTKCQLAEPHSKVAAGVRRDVSAPPLWMRNLITKLENDNVVPKKF INSIACNIYHDGKEGLAQHFDDAVRFKQPIFTIRVFSDCRLSFGSQFYGFCNGAFAVPLP RGCILCMEEGSYAANAIKHCVRPCDMTGKSAALILRQMHEQVTQEAIKYDEFVDLPCHMS TLSVDENAVPFGEQKRLEAELLS >CAK60616 pep:novel supercontig:GCA_000165425.1:CT868008:537890:541024:-1 gene:GSPATT00005367001 transcript:CAK60616 MNQKETSSVNTSHQQQQSHQNKEESKLKSLLQGSYDYIHRETGKMIQKKLYKRAFQLLDK FSSQRKDLELSEMVMLNRRIINCINKILKKGLLKPDIEQDRPEMMILIVQRGTKAIFELY KYINLYIQKVMPNEMKGVVLEEVSHLTSSDEEGEERIKEHEVTVLFYKITEYEKPKKERT KKQAKEVNFFEQEVSESSRIANMIVKTLKPLNIQFELDQYFYNLIELLLMASLVFKKANK PKVAYYYLLEAQRIAKQILNTTNPNLINACAKAKVYLANFYFEISEYQESLNIAEEAIVI LCGEMRIRINQEKFAQRKYKNRERKRMKRCVITTLSALVTMMCNYEAQNNYHRIVESLTT ASWLAEKYIQGIDEFKKHIIKLASEGKHRVEQNLKDLADLSFIAESTLDSELNKIRKKHR DKLEQSESQYLKKFNNDMYHLFQIKPLNQELYIKTQVKQEQKINKQIDQKVVEQSQPHGT DASINIFDSDSNSQDSFFDGSFFAPSIDNLQFDNDIFDMKRTQSVAKKPIRNNRKLLKLN RKLGKSVGLIKIGLERPLDHKSKILTTNQYFNEFQKPKKQEERSVSNAGRLLKRQLENIE YREHPDRDEHHKQDLDVYVNKMVTGKIETNEFQDYNDILGQLFNLRKKEDFDKKEFYFGR KMLNLKAKEEIMFYKESFNSPPVKVEIDTKVRDQAHIMDGKITAEKELKELKRKKIDKMV AGKKIVQTNVNIDLKDEEETIYLRKVLEKSKKKKLKDFIYKHREALGLSKFFANLHREQK EKERIQRLKSAFEKETLVEVHENQNVQQPSTKHRKNVRIFVQEVDKKPLNHQLSLQPSQG RSSLLGLDDTKIQSTQNLAQQKSSSIPKPILKKPSEIQKSSQVSQSITNLEAQGTDLILQ QEKQQKTQKQMRDQIERQQKKSHQVIGMIIDGVERKMDIENKELSKMLFKQKGGQKQYID DDEKTYLKFTPKFSDMSRSTYLMYIKKELAEKQLFSLEDSQPPRMVMPKKNQLADMAQMF KEFGQHQ >CAK60617 pep:novel supercontig:GCA_000165425.1:CT868008:541176:548500:-1 gene:GSPATT00005368001 transcript:CAK60617 MLQPIKWAQINTPCWIFNDHKLIPGVILQVSPFLISTAEGNIADSKNVYQRSLDNLQELE DLIDLSILNEAEVLNALHHKFQQKKFQIFCGASFLIINPFHKENTKSQQLHQIAQNAYHQ MTDLPQSIIMIGESGSGKSEISKQIQLQLSKFGNFHTKVLACNQIIEAFGNAKTQRNSNS SRYGRVTKMLFDKDYKLCGVHLSAVSLEKSRIYNVPQGECNFNILYQFLNHGQLALFNIN TNQQQNLIPNPLNSKAYQQQSQKEAQQFQDTLDALKLFNINIDVILGILACIIKLGNIQF DDHDSDCSIQDLKSILQISQLLGISDKELIRCLCYKQRQLLKNEVVDTPLSRIECINQQQ NIIKQLYERLFEFLIDSINCQMSTQVNKYQISIIDYFGFEQNIVNGFEQLLINYSQEKLH QFYLFDTFLCDSKIFQQEGLSSNQGPINYMNNLPILESLERPPLGILNILDDSCTVAGTD ETFLTKVKNAFVNNQIITYPKSQSQPIFKVRHFAREVEYNVIGFRIKNKDEVNKQFQILL QKSKNQYISTMYQQIITQKYVGTVARTELQGLVTSLKDSNNYFIRCIKSNDQMIQDQFNQ SFVLNQIKYCCIVESLQMRKEGYAYRRTYQQCYFQFFAVVQQKKVDYKTAVINYMKKNFA FTDDQVLFGNRMVFFKYQTHKIIQQEIKKQNNLKHQAASKLQNAWICYKNKMIFREFQCR VIYIQAHIRGYLERQRHVRLMQSIVFIQFKIREWLKKIQYKRNLQAAKVVQTYFKRICAI KQMIVEEQCAIKIQRFVREKQQYQDQQVESDIKKLLYKVTDQAWKVIVYRAATKIQKTWK GYITRLINEDNIQSIKLAGFLVTANQAAITIQKYARRQYQRRYFQSLRAATTYIQGWIRS KWLRNLFQRIRYAAIVIQRGVRKYYNQYRKQRKYEDLVLKPLENELNRIREQEFMNLHDS YIENILDADQENIPGKKIDLFSQVIDLEILTDVSEIYDTLWTSLYKRCFKECYEKQNYIS TYSIGECHAYVGTLQNKIYSWGLNDSLQQGLLKQNANKINSIQFPFKVKSIKSGANHGLI LSQENVLYSTDEKQSILKNVKLFSVYNDDNVAVDENNLIYIWKKKHKNPIELKSWTAFIR MSQIQQKIQVHQISHGLSFFIVLSANGMMFSMGENTVGELGINRGHSKNELTLIELSDKI AEVHCGMKHTIAKSTLGKVYTWGWGQMGQLGHGNLKDEAFPKMLPFESKVLQVMAGHKQS IVILDTRKIYWWGTNSCLQYQTRPVEYMTNYPAIRVLCSWSRTLSVVYITFAKTYKCIDN NIKLKNKIINQMVSKWSENDIYSLDPPYCDNLANYFNQMKKPQQKLNIKFASKIEHQQLV KQLQQSPFNLLDEVKDTSCFFSFKNESNIQLQRQLLEHSENTDIINAKSYHIDNSNKLKL LKSVKKYRNDPQLQEILKQSELTIKMSEENKSEQQLVFSVIEEGYFDQLLKRVLRKTQEP QHPISLKEAQAIVNTVSRSSDLQSIKELGFKDFVGYLQSIRDVYVEYRKFQKKVQSSDIP DDLDEMVLGCYNTVPAYFFDQPFRFNYQLFSLGKDKINQYLEEIKDHLDDVEITLFFQIN SRFDKFLSVILNLNEMNQIIDQNIKKVKRIREIYSETREHMIKKSTEITKKKQTIEKVEN ILFTLKRIQSIQKSFVTLTELINTKKYSQAVQLLKVSEQTYQTIKSITGLKFIPQKLEQL QKTLQTTILNHLLSIMLTQMTSLLAPNKQLINQINHQLNDHEDSVLANSYVNDSWFEQQQ QSMITPNKQSDDSFIKTLLSSSGIIDMADKIFKQKVLEELQGYYQEFLIALKNFFNQEEA EQQMKFDEQSIMEQISQYMEEQPLSIFIKIVQFFSENLKKTINLQIALAQSIAKNVLDQP KHMEIIEEHFSIPVAMLKFANEKVALLLSSKRIASDSSVKDFIDLLQVYKFWDQFFLQDM SRINEDLQINDKIMQKIRGQYQKCSINQLVYMRSAQEKQYLQNFHKEKKTQLNKYLENET WLASDVSFDNYEIITYLLDLEDLKQVEQLDESVLLNNSTMAGKNLLPSYVNNSHFKIIKT EIIILKDNSKYKVTQAFLFFLQAISQYLHLFESYSVINYEQTQKLVELIKCYNSLATQYI LGAGAVHFGKISTITAKNLAISSICLRLFLYLLDPLSNKLFKVINQQQQTEFTNLQQIQN DFATIKVDYENHNAEVMAKLTTIVQDRFYKHFEDIAKLDWNDSQTKITVPTKMTNQICQN TRSLYVAIEDIITKEDLCKVFGHIVSILNSDFVKIFKQLNITSKIGFTRIKEELDYFMNS LKDIGQLPQFLADQYKSLESDITTVMESKQQ >CAK60618 pep:novel supercontig:GCA_000165425.1:CT868008:548991:549466:1 gene:GSPATT00005369001 transcript:CAK60618 MKFTSTDNIEVDRQDQQKINTFSRLNMQYHELIRLNQARKDELTRLSDGKDEMELMDDDE KIPFKFGDSFIRVSVDKARTLIDEQVANVKKQFEEDTKKIEETHKQMNNLKAKLYAKFGS QINLDDQ >CAK60619 pep:novel supercontig:GCA_000165425.1:CT868008:549471:551785:-1 gene:GSPATT00005370001 transcript:CAK60619 MQQQDQQFKTVKVKSNFHQFQLLPNRQIHRYKIELTNYSEIFAQKAITTYRQKIIECLIT FYCINLNIYSPTKLATQVLGALNDGEEIQNVASLKLVESVDGGPKLQQILARIVKQIMST QQGMVQIGKDKLFWPKKSHSFHDYKFEIWEGVQIVQKQFGVVIDCAYKILRQNTLLDDLS VTKEADRYEGMIVMTKYNQKFYRVDLIEIGMSPKDIFITENGEETTFKEYYKQRYNLKLN EKQPLIKTTLKIKGKQEEKVIYLIPELCQLTGLSDTVKSNFSVMREVAKITKPNAYDRID QSEKFAKQINSTVKKGSNINLLDTWGLKLNSVSMNVEAKTVRPGTLIMGNDNIDLSQQNL NLDQQTQKKMYQVPDQKLVWVLIHYYKEKGQEAKKLLLDNMKQAISEYQFYGFYSQPLVR PLQEERDKALLLLCDDINKECQQKQQKIEFIIFLLPGQKKNSRLYRCAKFISLQKIGCPS QVVLEDTLAKNTRSIVNKIMVQICAKLGGVPWAIDKLPKLFQQQHTMICAAECYDRLHQI KHLAFCSTVDKNMTKYHSQILKGADYKGDNLKKSLITAMEVYKEKNNVFPQIIIIYRDGV SDGQIPVVLGDEFPQYDQAIKQINPQSKLVLVVCNKRVAGKFYQAGHRPDNPASGTIVDT KEICEGQQPNFYLISQITRQGTSQPTLYKILHSDIPNIENDIKVLTYKLCWLYYNFAGPI KIPAPVRYAHCLGEFIGSRYQRNDKDPFVPVEELVKKGVLFYI >CAK60620 pep:novel supercontig:GCA_000165425.1:CT868008:551997:552640:-1 gene:GSPATT00005371001 transcript:CAK60620 MIEFRTPKYICLKKIDPPVIRYQPRTMFVKVQHLLQTRESVDTSLTLSQTDAKMQSQQSE ISNLSTFYQSSDQAKENKEQPIIDVVLKKTNSFHLNSKMRSNNKISRVSKNQLMDVLQEI TSPTQTRRKQQVVNREWEYSYRKQQGNSTFFQSMDSTNEQATALPKSRSFSVRQSTQQQS QQLKEMIDKAIENTKTFDEYLLSLVR >CAK60621 pep:novel supercontig:GCA_000165425.1:CT868008:553506:556512:1 gene:GSPATT00005372001 transcript:CAK60621 MFVSQNKITDQLIRNQGEEPGKTTKLSLRSMKLTKIENLDQLVLLQELNLSHNNITKIEN LRLPALKELNLSDNFIKSMHGLEFLPSLINLNLNGNQITEISLTNHSLETLKLSRNQIKD PLQLLNLKPLLNLKILSLSDNPFCRTFSYIEYLCFLTPTLQVLDNKVISNQHEIARQLYG EPIPSDHQQLLQNIRLVQLKKQEIDTEIAQIENKMQRATNLLQTYQNDSDSEDETDRQLT NKAFLSLKSQLEEHYQVRQETDDALKELKQQLESYKSQPKEKLPTEQLNRINSLFVRLQA VLQTDLTQCQRTLFEMALQGSIEQVLQSIVDLLETVLERIPLLPQESVLEEVAAQVHDQI QELYSRVSTNSLNSIGIQDKPIESSIVLLKKLFRRAEKKYKKIDEFYAIKAQFIKDFEIE TQQLAEKWKDYESKKAQLLQEKETISKELEEQFEQIMQQKEELKMQHQQVEVQFSKSNED FKIFRAKQNEARKCLTDEITRLGRQQEQLCEEVQELQSEMERLKDTNAEKEESIRLLNEE IEMLQQRIQDQYQVSFQIREDQQRALNNIEYLNSQKELLSKDIEDLQFEHAKKEQDTMDL QIKYQNEHNKMKLLLEELDTCHSKLKNTEQLCREADRVLKQLNGAVADKEQEMQKYEKIM QEANIEKEKIKQTKNENLQIKKESQKLRTELDNLEYQMQVGQDNLLKGKKNLQQVTQLTI EKEQELLQIEKQLINTEKQIQDQMKQKDMLGNDFDILQKEMKKLNIEKAKLQQQVDDATI DLQSIKQEFQIQQSNLQSLNKQIEQKVTELSTQTHYQMHQGQEQIINFQFQLQKLQDGIE IKGQEFKLLVDQIDQLQQKRDHLIQSVQQLQSSVLQEDQNTNQKPYIVHDEESLHSQSYD KLKPDWLKPTKNSAIKSQTNFGLLSDLSKKFQPRSANQSILRKSPLGKDQSVQSVIQNLE ELNQNLDRMYMESLERFES >CAK60622 pep:novel supercontig:GCA_000165425.1:CT868008:557419:559401:-1 gene:GSPATT00005373001 transcript:CAK60622 MIRRDSGEMQQHTFSQPNFSKSTKDIKSSRKEYLFQKLPSLDSTQSNNFWQVKKGGSANY IDTERVNTAQKSLTPQQYKKKKNQTIQIEETTQFDLGSSRNFQRLFTIKNHPKSSTGSVK TQREDNTSIITNLVSQKKSHYHQASQIQQTQSSVQQQQCPFSAGKSIKDIISSFKDKKSK NGMTRHSEFEKMEGLDDYTKELGSILNDDDLIGPILKEVIEMKGVVKSLIDLSNGNKLTY HFETLKQLHSTLNITDQMFNRFKYLYLKYLISLKINMEAVFKCAQTVESYRGAIVSKSRS VQNVKDNIRIIAKNMYSQIFEDFSLSPLFKGTKQEEQAIKFSRIFGFILGSAESTNYVMD SMRDFHKAFEITSVQYSIFKYYLSGSLSKHTQKEVIWYVLEQTDAYKAAVINQDSIKDLV YKQQGFDNLTSEFIKLCQQDQLINKNFIHKVGYDQFVEHTKYFLHYAFNKQNNCFTLEDL HLFHCQHSISLNLYQSIKEKMMILLSKLNPQRIIFQDFEDEYDLILPYITNQQLPCDIVG QQRLCEFVPLISDELKSNVEIKNIFDSNDANIDKIVLKKFEYLLSGRKYFKRSDIQAIHS RLKISEHLFQKFVHLIEKAIKQYDTSLLWMVDAIKLWKYIIITV >CAK60623 pep:novel supercontig:GCA_000165425.1:CT868008:559452:560672:-1 gene:GSPATT00005374001 transcript:CAK60623 MDRFIPKSKSKLLYQSHTQSNYNDLMYHINEEKVLNFGNGKQQQNFPISFLDQLHNQYKL PQQQIVRQISAIPEKILDAPDIADDFYLNILEWGNNNVLSVGLQNKVYLWNASNQHIEQL LQATSNVTSVNWINDHILGIGFDDASIKIVDVCSSQTITQLYYHNERVSTMSSSFDLLSS SGRDNVIFNHDLREKNNNVVGVFQKHTQEVCGLKWNSSGSTLSSGANDNQLLLWDRRQMS LRQSCQGHCAAVKAMAWCPWLQNTLVSGGGSNDKTIKFWNADTGTCFKSIDTGSQVCALQ FLPRYRELISSHGFSKFQISIWNADQIQQAKLVQELQAHKSRVLHLGISPDQSMLCSAAG DETLIFWRLGTEQNNQNKQEMCSSKNLFLR >CAK60624 pep:novel supercontig:GCA_000165425.1:CT868008:560862:561501:1 gene:GSPATT00005375001 transcript:CAK60624 MSNAKSDGENPKYMMGDEPVRFQNYVGGQDEQQVPQQDQNLEYYNEYLRLYIANVVLTNQ LKELLNEKNELVNKLNKLERRNQELNSNVEETGEERKKRLRRPAQEIERHFTCPVENCQK KYGAEGSLNQHIKLKHPELVKDRAFYKSNEQSQQQGEPESLSDPKEEQN >CAK60625 pep:novel supercontig:GCA_000165425.1:CT868008:561717:562482:1 gene:GSPATT00005376001 transcript:CAK60625 MNIVQSSFIQFLKLGTIPNHVGVIMDGNRRYARQRRIESTEGHIQGYQSFLNLLQWSQKL GIREISVFAFSIENYNRQKEEVQFLMQLMKQKIHHLQHDLNFIDKNQVNFKCCGDLDFLQ DQELKEKLQELENYSSKYSQYKLNLCFSYNFTNELDKAIQSMPKGLSKNEFFQNLNSHLM VLNSPDILLRTSGETRLSNFLLYQIREKTVIHFIDKKWPELSFLDFCNMILFYRKNKI >CAK60626 pep:novel supercontig:GCA_000165425.1:CT868008:562529:564251:-1 gene:GSPATT00005377001 transcript:CAK60626 MLIQIPVQDLNKKITTIQPKWAESKIKPVLEFLKQNSQTEINSFEIEQQLQYIFDTFLGE ITLKLGEVQKYFNEKLRILAENALKNVEYLRQRVDSIYNLQELGLAFQKYSTGIYSKESF DQIIKDYSIKISGNSEIQNIYTYITNDGANHNYLDLIQIDLHGFHQFKSRVLGHLARLND FDSYLPIKYSQLPFKNHATFSWNIVQGQNSHSFNIQNILKNMTVDEIFQSKSKIICVLNQ NQIVQYNHSYKFVGILHQCQQNDFYLAHHIDQNLQLIVKWQEPTYIHMYSNFRKIKTLQN ASINPIQQILFINKYNLYSKGHETCILTLDSKGIIKVNPLSNNYLYEFTYGQGILQVQIL PESKNALKFSTSHGFDSIFDLLLCSYQKNQVKLAIRSLFQSQPLSRIWDDESLSHKLGLT EHCEVYFTIFKLSPQEKNYLKSQKDVEGIDYVIVTLLNNYVTLWNWKTGNAIKIIDVSES YGLRTMQSVLGSTLLTIIILVGFDGSIYWINWMQNKVMSCKLKIGNDLQIHNMSKCLNNL GQSEFIVALRQQTRTFMTVAQLIYY >CAK60627 pep:novel supercontig:GCA_000165425.1:CT868008:565111:565420:-1 gene:GSPATT00005378001 transcript:CAK60627 MVLAKIALGTAGAVTVGYLFVPPFNRKLERNVLRPIRNYRRHLQQEDSFKTEEEFYQFLG SVALGTLRMFTIESD >CAK60628 pep:novel supercontig:GCA_000165425.1:CT868008:565420:565775:-1 gene:GSPATT00005379001 transcript:CAK60628 MSFREILNNTQKVKKITKVAFNALDEDDSGFLERKELISILNNCADTLKIERPSSEEMDE ILKELDENSDGKVSENEFQALIEKVLRIMAKIEEK >CAK60629 pep:novel supercontig:GCA_000165425.1:CT868008:565798:568306:1 gene:GSPATT00005380001 transcript:CAK60629 MVKAYFGYKFETLFGSIGNNGGVIINDIAYTIVDQYLLLLNIKTQEIIQQIVIEGKNIKT TKIAIKDNTLAIGYHDGEIVIYNLIDNEIQCRFFNHQSEITQLVFLSEAVLISGSCDTSI IVWDLADQQLQFRLIGHSNQITALDIISLEDQSLAITQQFIVSGSKDGLVKIWDLNLECS IATLSSMHSEVTCLCVYENYLLICTNSDEVLIKSCQLISGKCQIDNDGIIKRQSFIRAVQ CQYVQQKLLILNNEKKIEVYKSKQGKKLQKAENFSQSLIYSTVISQLNKIVYFQAIVFKN LLKIYIFTSDNQIVIKELNNDNVDENNNISISQITGQIKQIKLTQNDHKLVVIASNKCYQ FDNETAQQVNCLNNTSNLTSLCILPKNKYFMVGDEQGTLYLVDMNQNEIVFEQKTHNSNI NSIECHENCKAFNGLVIMTTEIDKKVNFVELFFNKTQKCLEFQVVNTIYLKENIRSAQFS YDGNYYAFAQMNNIVSIYYCDSHKLYLELYGHSLPVLTFAYTSDDTIVISAGADKSIKLW ATDFGNCKKTLKAHTAEVIQIKTVNDTHYFFSGCKDNIIKYWDGDTYQLILQFNEALAGI QSMVVGCIGDLFYSATKNGVIRKYVQTKEQIFVSEEEEKRLQESLMQELQNKNKQQTGFD QGLDQVKKDLTLVQIGQQKLLETEQLMDILEKIKQYRETIDQGEIPNEDLFYNKNVTEYL FDNLQNSDLSSLMKFLHHQHLVTLIDEMNQDIALKQYPIIINKLITYIFNKVDGFGFNSH EIMMKVINIRTQLQIYFKKEKKIAGYNLDILNLMIQDISKNQDDFVFE >CAK60630 pep:novel supercontig:GCA_000165425.1:CT868008:568398:569817:1 gene:GSPATT00005381001 transcript:CAK60630 MYLIILVVLIKCMLINLYYSTDFDVHRNWMRVTTEQPINQWYYDEQSIWTLDYPPLFAYL EYLFGKIAILLGIDLYNITDSLVWFQRITVIVSEFLYFFAVKKQQKSFTKQFIDMIPFGC LLIDNIHFQYNGFLYGILLFICYKLQQQQYLQASLLYVILLSFKHIYIYVLPAFGVILLK NCQIKQIISIGILSASLLLVIFLPFYQDIFQILKRLFPFQRGLVHAYWAQNFWSIYCAAD KVLGAILKINKASTASGVVQETVFNVLPSIGNITTLIIIGSLCLLLFRKKYKNVYDIFTI SSLIFFNFGYHVHEKAVMIPIILQFVQMKNPNLMFMASFINGIALLPLIPTSYEQPILII LLIIFHTVFYAEYQITLNNAEKLYLYAGGLVIFYDRFLHHLIFEGRLEFLPLITYSLYGS LFNQYWLIKQLRQKDDYYVKLC >CAK60631 pep:novel supercontig:GCA_000165425.1:CT868008:569848:571649:1 gene:GSPATT00005382001 transcript:CAK60631 MKSRYIQIDKYMIDTQKQLGKGNCGTVYLGFYAKGTGDEKIFLAIKEIPVKASPEVTVSL LQEINVLRKINHSNIVGFIDAKKNEEFMYLVTEYCNQGALDDFILNHNLSEEDVVVFFRQ IAAAFKYLVSKKIIHRDIKPQNLLLHNGQVKVADFGLAKVMDQSNQSGKFQTFSGTPVFM SPQIIKQESYNSLSDMWSLGVTFYFMLFREYPWEEVNPLKLLKKIQQKIDNLIPEGCTLS EPTKDLLRRMLVIDENNRISWQDFFNHKAIKIEQDPQYTLSTQDSVEDLTLNNFVLQSGS LSLSDKEQDEMQEYGRRQTLNYLAHQNILNRARKAKDHLEFEKSIGYYFLQVAKELESQK KIDSVNLIPEDLYIKCMFLLYKRIYCVFSSLNQMLLFDCNEAGIIEIDPQDWLELMTSEY HQNDLQKIRQSTQRDFDISRKEFIKWKEQLYDAQFQLEKFSIIERGDAYKDNSIEENEYL IMAINDNFKELFLILAQQLEPIYQQILETQKPINKHQIYNEKKIDTIFVYDLIIFLCFRN IVKKPQMDCCIQINFQKYYEDRKRIEEIEILHRIQKQYQAWKKH >CAK60632 pep:novel supercontig:GCA_000165425.1:CT868008:572240:573247:1 gene:GSPATT00005383001 transcript:CAK60632 MTFQKAFVIASFKYQSFILIFTSHEYITNFDFLIQPSVVLKDKEYQNGAQAHNQIEKLAY LTKQSYIGSSQLDCPDLIKQEDKLYKITYLKRVAESDQKSRYKCQTLGALLIDKLLKKSQ ILERKYNNEFFECRLSFLNQKTETQKECYLEYDQIMNFYDQLPLEIITEKNRNQFQNTIK NPYECWNFQFTQGRLVGIIKKMNYDFLRLMGINEEILDVYIQNENQIPMCCDIQQFIRLR DGIYYNSSLINFQGEIFESQIEIKKFLQTNSCVQISNYFIYFIYNCDRSKLNVNKIENNY LTYFQQSTLPLLSQISIHKSRITKPCNIKPIRDFQ >CAK60633 pep:novel supercontig:GCA_000165425.1:CT868008:573794:574578:1 gene:GSPATT00005384001 transcript:CAK60633 MFQLRNYIYKFSKLQNYTQQLRESFNRNQKNFAYASQIPQEWNEEKFQEFFDPQTQYIKK GKAQTLFLVHFVIDSLNRFNGRAFIEMESEDAVKEFMKKFQENKLEEQDAQTKISINPLV LKIYRKPLDQEKREKKKTFLTGLPRTIKNEELLELVKDFGEIENIEILKDKKGEFSRGQA IVVFKNEEDARKFKYFANGKQYMGKKIQIELRSLREENEEQINENEQQNQGERKDDQQKV DYSNLQKMLDTE >CAK60634 pep:novel supercontig:GCA_000165425.1:CT868008:574618:575198:-1 gene:GSPATT00005385001 transcript:CAK60634 MKFIIFNILVYFAVSEVETVFEDGIEWTKMPIEFEEVEQPIENLQGGEGTTNYGKVDYGI TEEMAKMFKTLNDPHYDVITRPIIKQIGLEFFESHYQKFCNTDQFFERLIYQGSNVEFNT INMIYHVKMSVNEFMVEFSLKNWDTDKNDFSIKEFTKCEIYVRKEKKQDL >CAK60635 pep:novel supercontig:GCA_000165425.1:CT868008:575233:575634:-1 gene:GSPATT00005386001 transcript:CAK60635 MQGKSVRASHILLKSTQSRNPYDRFRDKQITRSDADAEKGIREIRAQVENNLNLFAKIAQ ERSECSSCQKGGDLGDFTRGQMQKQFEDVAFALKVGELSQPVKSDSGWHIILRTG >CAK60636 pep:novel supercontig:GCA_000165425.1:CT868008:575743:576876:-1 gene:GSPATT00005387001 transcript:CAK60636 MAERGHALKQDISKLAWEETDFPIVCNNCLGENPYIRMLKDRFGKECRICARPFTTFKWK PGNNSRQKQTEICQTCGKIKNICQACFKDLEFNMGICTRDKFLGDQKIEIPEHTANRDYW AEQANRQIERLILPYDTPLPMLDQILKEPRLADVNNQLNDGKSEPANQIYAEPLENPQDI DLLYKEKFEAKGLLPPDDPKISSLYISHMTNDIKESDLKHLFSKYGKLNSIKIMEHGQSC FINFAKRKDAETAVNALYNNIIIKDVICKIQWARAPNKKVPIKDLIQSLQPEENKKAHPP QAPPQNIDKRQQTEDNLLIGLLNQQMTYPNQNPYQKGGVNNKEL >CAK60637 pep:novel supercontig:GCA_000165425.1:CT868008:576918:577791:-1 gene:GSPATT00005388001 transcript:CAK60637 MLRDAGVETTHQLIDKIEMRVKNFLKTQSTKQIQPLQLNNSNLDYNNRHISMYSKKEKGD SNIFSQLENIPPQRQLTQCSRTSDCQIPLLKEIQEIDQSYKIEIDQLKNTIVQLKQVIEE LKECISGLTQQLDFKQREADQVNKENENTKILVQTLRDENSYLTREIQQLINEQHSNNPK VSSLNIEVQEERKRSEKLQLEISEIKMRVEKQRDSAKTNSDSQIIEKLVHKLEDAQEQQQ RQNQQFMKYVIQYLEKQQNQQLKPTSTLLLSNHKKQKSSLKKK >CAK60638 pep:novel supercontig:GCA_000165425.1:CT868008:577792:578491:-1 gene:GSPATT00005389001 transcript:CAK60638 MNRRKSPIYKSPGLSPNIKPGLFSNPSELNKLNKQKTVKVDESQSPLLKESKETKIPPKL QKSYRFDHLFNSSATKLFSNNIRVAKQQTEDKDDKIMETLQKLLKELIEFNDNIEQSQVT NIEEWCCEILEKLNQIKANIERKEDHSACDAVMQQEILTKLLKELQEEKKERYRIEEEAT NLITEQEIEINRLEKELRLLEEKHQLQ >CAK60639 pep:novel supercontig:GCA_000165425.1:CT868008:578945:580443:1 gene:GSPATT00005390001 transcript:CAK60639 MCNHLHPRQAESQMEIVLCEDEDCDYQYLPQCFKCAFKQCKSHFVNCKTGDRFQGSRALL QQKHNELEMQFFNLNQKINQKLALLLQQTKYIINKVEQFCDNGFFEDIVPFNFNIDYIID VQKELVQDIDYKFEAVQDYINEFNESHNLFKKKESKKHQKYSIMHEFNNNLVKALETIND SLKMDPLSLFSLYQKGNILLKQQQYDEAYKYLLKAFLLSKMSKKKELKNQIFLTLCDIYI AILEENCYFKMKQYNFLIELQNQYSNEFDEKKVSMFKAFAEFNRMEYKNAINSLKEMNQS QIADQKASKFWDLCSSAERIQTEIKEQSELNSQEMLKDSLQSFSNFNQKKSVQNYNQTPQ TEIPNPLINILLDTLQKYFTITLNQDENDINLALFNQKQQYIIQLQGNEIHIVNLKSFQT EDKDQQIEKIHQLALQILEQAISNLLKKKKPYNSPNKR >CAK60640 pep:novel supercontig:GCA_000165425.1:CT868008:580520:581245:-1 gene:GSPATT00005391001 transcript:CAK60640 MNSFMYSFKNLSSEFVESANFVQNKRPNQFCLKIFNQQSYEDFKLEEQQQPSQQQFQDQY PQLSISQYFLNPQLSLELKSKLPSLDRYIKKKKIHKKAKQQSAAIRDYKKNICRNILRHS IKGIVNSSSQEFLLEEFRNNNQKLIEFQKFYQQNLEIISGFRVLKDHLIIQGDEPKEEQE RKSVFQKYLIWFLSSQATKCILQSEANNIAEYIHYKNDVLLYYVQQPSQWYSNKPLWRKK E >CAK60641 pep:novel supercontig:GCA_000165425.1:CT868008:581741:583403:1 gene:GSPATT00005392001 transcript:CAK60641 MDNKLRCQKEAYLQEEIIDKGIDPNHFQEFCEKIKGEESDIDKWTFEDIVQLVNQYKIEF FTTDFDQPVDEMIIGWNAFDQVIPQMDIKSDPQMWNLLDLDHTGPSAVVEQQEDKKYDQP FQQTQKQIQQIHQQQTSEINQRVSEKLDISNIGKPLSISPTAQKLQEIQQQMPKKQAPEI YSFSQCSKLQCKKLGFNIFAKGVPSIKITGFEKKTEGLFKSDYVVYSVKTGDDYVVQRRY SDFQWLQQTLFKLFPGVGIPSLSEKTAKKTAKNTVQKRTIILEFFLNELAKSELFRNSKY FVDFLRLSDPAQFKQLIKFGEKLSKPNKFESLLNMTGTIIFVPDIKLNNFETYIQQEEPI YVKIKNLFKVITHHLTAISKIIKEIGDSISNLTNLCQKYEINQDYDPLQEMTKKLEEQII KCSSNQYNRLYNMFRYQTLNFTQIRNILKQRNELQSEFSNRKDFKFEQISELYSSRENAK QIDELEFPAKSSKLLAYQLGYMNWRTIEEIKTFLEHRQIGFQTFSQEVMN >CAK60642 pep:novel supercontig:GCA_000165425.1:CT868008:583509:585156:1 gene:GSPATT00005393001 transcript:CAK60642 MQGKVYRRKKQDGLNLEQNQQSIKNDKQKIRVEQKTNSPIGADKKSFDFSPISQRTQQDQ VKQKEIDPASPQKTFKNFRNRTVSTATDFKVFQQRNSNQNQLIKEQAQIKNTEPINMNTQ RSYFKQTETTLKQKPIQVPSKQNQRTPVQQQKVEQKSYPLKTLDKKKDLQIAANPTSKRE DVKNQKKQQENPKISLTPRLEIQKVSNSPPNKLITTFRGKSPNHDQNQQTQKLTAFIAVI RPIFKEAKYYITPVMHFLREQHFPNTTPYKLQFQIEFQEQQLYFRQKFCDHFFSTFQYLK LCQTLQQKTMVKPIQMDPPKQPKSSKNSPKTIIFDLDETLIHCNDINLNQTDHEVTVQIP NEPAQQVRFNIRPYCIEMLQSLSQFYELILFTASFQQYADKILEFIDPKKNIFSYRLYRD NCVTLGDGLLVKDLRVLAGRKMESMALVDNSAYCYFLQPDNGIPIIPFEDNKKDKELIFL TDYLIKCEKYPNWLEHHKHHFKNFIHFQTLTINECLRRII >CAK60643 pep:novel supercontig:GCA_000165425.1:CT868008:585670:586814:-1 gene:GSPATT00005394001 transcript:CAK60643 MLTQNYYDLRGGDKMGSNNRQLERYEKLEKIGEGTYGVVYKARDSVTKELVALKKIKLEN EDEGVPSTAMREISILKELQPHPNIVGLKEVIYQPNEKKLYLVFEYVEMDFKKFLDQNKH NLTLSQIKHFTFQILNGLNYCHSRRIIHRDLKPQNILIDKSTGIIKLADFGLARAFGVPI KTLTHEVETLWYRAPEILLSQKQYSLGVDIWSVGCILTEMVEKHGLFCGDSEIDQIFKIF QYHGTPTVQDWPNIADLPDFKPTFPRFRATPPEQFFKNFEKNGLDLVTKMIALDPAKRIY VKEAMKHPFFDDLNKEDLIKYFPPGQQNLAMQYGK >CAK60644 pep:novel supercontig:GCA_000165425.1:CT868008:586819:587991:-1 gene:GSPATT00005395001 transcript:CAK60644 MEIEEEERTIQELIASQPSIINVAIQVDLDQYFQKINVKLNKELMKNNHLRNSYLDIFEK DSIQRKQCSTTELLINLFKTSYTEFMNKQIDNIGIIKLFIEDNIDAFITGSLIPPQQVWH DYKILILAIIIKIMRMKSTTGQLIYECMVAQLYQKLACVFKNEGPPIPEFKDYFEWYFWS SDRNKQLEINELTEDEQRQLRIVSIIRKYSFLFWSLLWVDPNQILNLNPDLQQFREQQKI PAYRYLDKDRQMFATLILKFVQCDTFAFVPALNALFDVVQDKKILLPIFNKFSDKLNNEG LLESLLDDIGPLKEQAKNEFFVYPQVHDKGLVKIVQGKLKILRNEASQTENDFYAKLFLN DQRPTFYQD >CAK60645 pep:novel supercontig:GCA_000165425.1:CT868008:588210:589451:-1 gene:GSPATT00005396001 transcript:CAK60645 MNLLKKARNVFCSIPEHKDVDQKIIHLCLDQKCQISKKTLCGLCLGDQHFGHKSINLSNL DTLIKNEWSRELQQYNQKTKDLTDCIQMSIAAILNKITLLQQQIQNFVNQQMQESKVCIL KRKYLDEQNLSEKDFEQLAKDISESIFYKEGIPEYKQIDYEPSDKFQSLASRLVFDAALF CRDIALVTKANIIHSSFTEQVQEILYQIQNLTTLIERPLSQIVQSKRIFGNDRSDTQSNQ RLDFDQQSQLGTFGQTQFCIQKQVKLRSLEMKGFVKIYDEFFNKPFTQTHIELIKLKCNS QSQICIGGVNIKEPDQFILCATDYASEFYTETQNLNLARKSRNGEVYWYYVRNRCIGFSP MSKIDLKYPDVDNEEGDLRFSLWLFHGQGGYRIGRIESLEQSVDYKCVIYLKK >CAK60646 pep:novel supercontig:GCA_000165425.1:CT868008:589644:590566:1 gene:GSPATT00005397001 transcript:CAK60646 MNYKPNLKMDSTSGSFKKQEQTLSLESTQKLEYNAINLKPNFACKPAFNIRNSSPQLQEQ EPLLINTKDDFSKTGSFQEKIPLKDRIQRSDEKKNTAPISKGLYLPGQEELFKKQIQVEK KEIQSHQEKKEVPSGKPVQAEQYMPSIGQQSNKREAALLGSKNPIENKLAVANQDRVLQQ LEEAEPIEDLELIPCPEGCGRQFKQDALEKHVKVCKQVFQQKRQEFNSKQARVVTNDQQK LQRQGQIKEKQLQKKQGKAPLDPNWKKQSEELRNLIKESKQQQ >CAK60647 pep:novel supercontig:GCA_000165425.1:CT868008:590958:591909:-1 gene:GSPATT00005398001 transcript:CAK60647 MPPKTQKQKEEEAARLAEEQRMREEEEERQRKELEKYKISTLNNTKQFNIFNVSMPLPIT KYCIEYVFPHSESHKAMMEYLHKLAEIYNCKDQLRDIDFQISADVLINDLIFAKSLNNLN DESVQVLINILFLSFTNNNSKFSQEMRFNNTLQQKTKISDAELFNHLLKVHAEAGYFRSM HILPIKEHFQIYLNHFDLINQAFISDQRTLELHMDLQMDLPLQPLPLDEALIYRPFEQKD EDDGLIETVDQEDQQAPPEPTDEELLDPIIMDAIQKKLDLAKQELEEKLVSRQKDMEEKL MSMATKKK >CAK60648 pep:novel supercontig:GCA_000165425.1:CT868008:592138:592446:-1 gene:GSPATT00005399001 transcript:CAK60648 MDDYDDFSDNSIEITINECQGKESHKVEKGVIRYLKNLGLKMNTNPMIKQIQYERSNNQI IDVLTSKALSSCGNFLKETETDYKTQFSKNPFLRRIRKPISL >CAK60649 pep:novel supercontig:GCA_000165425.1:CT868008:593832:594785:-1 gene:GSPATT00005400001 transcript:CAK60649 MYWFKKSENDIRNECIQKAVPEEKYRMPSPLGNSKWDEEGGITFTGLQRNTTIKLHTYRC FPKSNQAPQSVTFFFHGLNEHLGLYAHIAQALSKEANSVCVGFDFRGFGKSEGLRGWLES KEQHIEDCTRFIQQIKQLYPGVQLFALGQSLGGLTSYLLGRNDLVQGTILITPALMDNYY NRPYLKKIALVLGILSPTWSPFPPSYPNGSKNPQILDDNLKDPYINWNSTLPGTGRVLLK MLRETPSTFKNYKKPFLIISGGMDQIIDPDVGHELMKQSTSLDKEHIYFENMWHDCIAEQ EIHEIIPQIVRWIKKRQ >CAK60650 pep:novel supercontig:GCA_000165425.1:CT868008:594863:596099:-1 gene:GSPATT00005401001 transcript:CAK60650 MQKLNEFQEYHLHEQFDEDQGRGRFLTPSFLPYSLSKHDVKQEERSMVQIDSQASIKDDA FGLQNIKGHVKNTYIKKIHSLLSVEGENEKIIKKVQKEPSSSSKQLENEDQKMIRNRESA RNSRQRKKLYINLLEKKVEDLNQAIGQLRKSTESTFQTMHSVLEQNSSIQDMIVEQTQLF EQLKVKEQEFNELQQLLTESYKLKFKATGTKRKQYIRYCFQNIARLLLDGNYGTLLFGQS YFSKNYTMHDEEELADYIKNLRDATGICDNGVFLNLYTIVRRVIDHKKNFSFLIKQIKLK QKELRICQQQIDDQIDDIQLNGIQFANLIHQVNKDQLNQPQLTQPLEIPPQKQMILKMVP KYPFELMNNPFLLPNSLMIDPLQIDLITKNLRQP >CAK60651 pep:novel supercontig:GCA_000165425.1:CT868008:596973:599095:-1 gene:GSPATT00005402001 transcript:CAK60651 MLTSKSNSSMHLFVDGLISPKEYISDKECAKQFYKQSQILIQLSRLEEAMQYINQAIHLD PQFEDAYYLRGNIYRLEKNLDEALKNYEECVKLNTQQYFVYYNIGLILNEMNRKEDAVNN FDKSLQFKIKYETLINKGILCLELSRYEECIKLYDLAISLQPDCHIAYYSKGFTLFKLSK YEESIDNYNKALRLNPLLTNGFNNRGICIIVGLAVSLCKINKINEAIDNLNQGLKISKND PVIIFNLTKLYRLTNKPEKYNELREIIKHVQPQWQELQIMEEIEKSLQEAKTELQFILAS NENLFDQKFSDFKARLDMEYRNLWNYDLEFEKASSLFSYKDKEKIEQIVQESLNTEESII KHQLLSLENRVYFQSLYWRLSNYIKVAQRMYSFSGSKRVQECIKDVNQSLKSLLPVELDR MLFENKKLKHQKTQSTISSFIVQSKGQDTHLKIIRDKLRKASKKVSATKPFTTSKSSDIQ KSTYFSKNKSISEFNSQINNTAQTFIEYLPIKISENVINEINLSLGVTSLSDQKIGQILK VFANKTKNEQQLEVEIQMAVFQMTKSVSEIRSLNDNVENILKFEQMSNPNLSYISGQKWM IGIDDTIQVLKYFQEQYKALIDPQSSQLHFQIVASQNYAAKPKISSSNKSKEVERTQESC ACLIF >CAK60652 pep:novel supercontig:GCA_000165425.1:CT868008:600098:600769:1 gene:GSPATT00005403001 transcript:CAK60652 MSKKVKQLTEVIALQEKTIGKLQKIIETKKSKQIGSRKNQSINLDQKYLVGANAKPPRLD FNETNKSYRCRPSISQTHNQLSYISTDYLQDIQSLRNKVEGLQKEKQDRSLFFHDKENQD YNNNSSKIEILQNQIKDRESTINALQEELDQEKQIRVQTQQDLQQQRTIWNKMYSELQNE IKTLKTELRAFSSQPKKRSNQLFFS >CAK60653 pep:novel supercontig:GCA_000165425.1:CT868008:601293:602160:1 gene:GSPATT00005404001 transcript:CAK60653 MDATGDIPPWKFIYLEVSMLLPSVVTGVLFWKYGYPMIALLTILLFCYLIVPGLLLYLDK VSTHVTRLSSSFANIALEKSEQIKKGLIWFVACLAISFLAFFISLSYVPFWSFHTINFFY MANTEIIVISVLMLLLDPFLEEFFWRVFLQSGFAGGLTYSNPKEWIIWIVAIHYGLLYVF IFAVVTSKFLYALFCAIPFVIFSRKFAFIRDQGVLTAILAHTGFNLGFVIVLNLWYWNNW EVHGWKPYEF >CAK60654 pep:novel supercontig:GCA_000165425.1:CT868008:602177:602302:-1 gene:GSPATT00005405001 transcript:CAK60654 MKEFDSQLLEIINILKSSANSTALGIHNSLMEQESMNADRE >CAK60655 pep:novel supercontig:GCA_000165425.1:CT868008:602867:603817:-1 gene:GSPATT00005406001 transcript:CAK60655 MFRKSDKDRKNEAIQQAVPEEKYRKPSPLGGNKWDEEGFLEFNGVQKGQQIKLHTYRCKT TLSDPKSVTVFFHGLNEHLGLYAHIAQAISKEANSIVVGFDFRGFGKSQGLRGWLESREQ LESDCSRFIIQIRTMYPRLPLFTLGQSMGGMASYLMGLNDICEGTVLISPAILDNYYNQP FMKKLGLCFGACFPTWNPFPPVVVTGSRNPQILEENLKDPYCTQVAVLPGTGRVLVSTMR SLPRTFTQYKKPFLVISGGMDQIVDPDVGHELMKQSPSQDKQLIHYENMWHDCVQEQEIL EIIPKIVDWISERSIK >CAK60656 pep:novel supercontig:GCA_000165425.1:CT868008:603971:607955:-1 gene:GSPATT00005407001 transcript:CAK60656 MSQVVDTSDQISLLEPNKGSSFEENQCHKTFFFSWVYPILKVGKSKPLTQFDLISIDKRS NMSSSYQRFISVFNKSNLALSLFNSFRKQILICLIAYLIVATLQLCLPIITAKSQKYFMY DQPEERPLTSNMFFFAAVQLIYMLSLSLIKPFQQFFASLVSIKIQGALQQEILLKTLRFP ISRSQHYSTGELINMLQVDITQTSNYFYNAIILYTCPIQLACAGIVFFVTLGDQALVPAL GAIVQAFIGLTFGYFYGLVQKKYMMAKDLRMKAVDEALLYSKQVKLNQLEDFFEQRIHAQ RTNELYHLKNQVIMLILIQLSQGLVGIFTWEILFFVNDPINFAIVAIMMQNYDNIVRILE DLPMQFKNYSMSKNSIDRIENFFNQKECNQLDYAIEQSNVAIDIQNCSFDWESNDKMNKE VEDEQNDHVPKNTSIFNINLNIQINKGQYVAFVGNSASGKSTILRSILGETHNQFGKISV NGRISVATQDPWIISGTIKKNITFMNQFDSLRYKEVIKCCGLERDIASFKNGDETVLGEK GDNLSGGQQKRINLARAVYNDADIYLLDDPMSALDIKVKYQINQQCIQGYLKNKTRVLFT NSLSNLQECDMIYIVEGGKIIKQGKFAQIIGVENNKILSQKEVIDIQFEEKHYTPELTDN QDLRSSLIQKEDQEKGQVSKAVQSQIFSFIGRYCAILCAILYFAIVLACQLFGNFIMAQD DISDADYKVLAQTYYPFIQAPIIVAMVFMKSYYLIKGLSTSKVIHDNVMNSLLNASYTKF YNTILIGRLMNRLSKDIYSIDLLFPNEIQNLTYQCTSLLLPLFACFLYLNIAALPLLLLF FIILLYLTIIYYRCLREITRIEAVSKSPVFSFFQQIVRGVTYVRSCLPIDKVIALQQKNV DIDLGNQINLYGFQYWYQSLAGSITNCFQAFLFIICVNLLDYNTQKMTNLVLQQMQTVST LLLNSAISYGNIQMYFISFERCLHLAKQIERNHPLITPSGQINQASKPKSDIVLKLENCT FQYRSNSKSVLQQMSLELHKGEKIGVVGRTGAGKSSIILALTAILEQIEGQIEIEDQNIN SYSLTELRQKFSIIPQDPLIFMGTLRENLDPLNQFSEARILEVAQKCRLFEMQSFKKYGL QSEIALSGSNLSQGEKQLLNITRCILEDKQIILVDEATANIDQNTEEHVKEIFENYFQNA AMLTIAHKVTTIMNSDRIMVLNDGKISEFDHPQKLLADPNSEFKLIIDLIKQSEQL >CAK60657 pep:novel supercontig:GCA_000165425.1:CT868008:608378:612979:1 gene:GSPATT00005408001 transcript:CAK60657 MLCGIISLFLLIESSYQWCETVQQNVTYYTTKGEQFRWRVDSLIAGNGLDFYLIPNSTIM RYHPAFDQISDEETHFGTLIQTGAIRAYDIASSGAWTNSFAFLELDDKKNIYDLYYFEGN ALQMAKTPQFQKKIPLTESNAVIDCFDLEYINRDLFVVDCAERNSATPTQPMKNYLYVVD KLDTAITAASKRVEVSNHKQYKQVKGRKIQYHVYYKKGTGEELGVEVDVDRPQPPSPIRM LLRGQQAFNSETGNTTVLDHDCFIDIVIRFDNQTFQEAGILDKAKISADTKQTVNFTLID FKVLPNGDVYILDADHGIYVYKVTEEGQWVYAKTIQTLNQKAYGFDVVDMLNDDGFSELA IAVLYESNLLITVASVQKNGYNLPFKASLPASVSFSDKYVVVVHSQKLYLYNMIFPYLLH SETLISSTLLVNPFAPDVIVVSPTLTRRYELSDGYLASENSVQIEKSTLTLYGTDGNKIC SSKINYQVLAADDANIYDLGHDPFPSMITYPAEPFLLQDIASGPNLQYVTPDFSQDHVEV QVHFLWEIELKNVSLFDAKDVAYADVLVDPHHHNNNKFYLFLQHKNKTVIIWDCQSHNYH DNHTNCEKQDQFDLPVQLSRTNSQFDWKTFEQEVVTFQFQVSDYEIVFYSNFDGDHQKIG NITYEASPEYKITSFTALKQTIYVVQHAQKEVDIIFSVSPSLVKYPIYSNMIDEYQTGCS WTPKRVFGNSYTKSDFIFVLTDDCVIVGELRTHFVLLKAIAIEPEAEIEVAVGEKTFYII SKGRKDTIEEFNYENLNDIYPMKAMPLYGRYSLQSPLTIDFVYETGFLFVRAYDSVEKET VILIYESNVLYRNSLHKVLKTHELIPDKQVLETAASGQDQMFVYFNDLKTQRVIVCLRDS LMELVPAQMSDAYTTSMKAAVGITNTLSDSQTSVIYPVKFINTQSIVRINITLLAKTVFH FNSTENAQYLNFTNDGLYFGHVTKFQITCKQCDGKLISILNPLTKNSEGTQFANYEIIAG AAFKDSVVYLADPKSLVFQNSDDDTVKFVHKIEPGTHCTQLTTYNDYILVTCINNADTLL YIVSCDIAKSTCTPIQSDTPNIGMFTIVSKVLYLNSYLYILDADQDHPLTNRGVLYVYQL TVDQKWTAKNQKVFDYKYFNLPTVNDYYITDFDVVQFQQGNTFYQKIMIQTAIGQIYFVQ IYNDGGLIKDNHKQVSNLASFLNKDYAVKEDTMFYQIKLYKSATNQNTFDATVITTTNNV AQYAITFSFDISDPLSKGSPLKDTSVPFLLNHYGTMKTLNKFAIGQGHAAVPYYNSSHIM ISMYQLPETTALGADSKAKMLTISGAEIIRHIASNTQFALVIQKPTNQTEPSIYTNIEED AKSNNYVVKKYTIHDVPKIKIVSGDKVQSQMIELQIENDYSSALGVFEIINNNNPPPPDD GDGGSSLVWLWVILGILGGLALLGGAFFVYNKFFRKNPQVPSSAKVSLMNQ >CAK60658 pep:novel supercontig:GCA_000165425.1:CT868008:613272:614034:-1 gene:GSPATT00005409001 transcript:CAK60658 MNDILPAPSLVKYSNPILISNSQKKQIKQSPPSNTEDILNAILLPREFNHQKNQLQIQCV SPAPSTKQDVLELQDKLDKWLQQRLARETGLCPIREELYSQCFDELIRQITINCAERGML LVTVRNEVRMVIQTYQTLYASSIAFGMRKFLSEEEKKAEYRQRIKQLEQECSELQRQVEN LEQKLCDTKQQDQLKREQTKETHTEIITQLKTDIKNKVSGDLEKILTGQRKLPDKK >CAK60659 pep:novel supercontig:GCA_000165425.1:CT868008:614718:615156:-1 gene:GSPATT00005410001 transcript:CAK60659 MACAEGPQKTWMEFIAFTAFVVVVASQRLPNYSYMHRLYSILGISGQENADVYIHKLGIL INHLCLWSLLHLRVHLRLGFEHCQIILVRKTHFSDIQIYLQEQNTDLIFRFTKDIMPFQR GLFHSHTIEFWFLNLNK >CAK60660 pep:novel supercontig:GCA_000165425.1:CT868008:615177:615633:1 gene:GSPATT00005411001 transcript:CAK60660 MQPHPQMVMPFPIQPPNPAQPKTDQNLPVQVKGTKVKLNLKMIKDIPQEEDSLLDYDEIK KQSGDRQFINLKRKRRLLDQQDAPLMQTRRRSEQSQYLEIRDILNVDDKDMTLIMEAYPD TKSLLQELKNGNLKQEIEDLLLK >CAK60661 pep:novel supercontig:GCA_000165425.1:CT868008:616310:617477:1 gene:GSPATT00005412001 transcript:CAK60661 MKQSSLNPDPRRKQILLDMINKSGFPEVKSEKALQAQIEALQQELLEKDQALIQKDEQID KLCAVVFQYQEEIASKNREIEELQQGKANFEMEVQNYNEMKNHCDQALKQLHHVEMQVSD LQEQNLQLKNQEQQLLSQILALQKNSDVLLRDAFEREKKQLHKKIVEKTKIIQEQNCLIQ KSNETQSTIHQSMMKMKEDQKKQEQTIQKLREEIENLKGDAAAFNSSLNQITSPLNQLSS PLNQLTSPQGQRQASFNTHKDFDTPIRQSQYTQQKPQSSNSLCSNFTSQESKKKMMGNFK RLTTMAVGMYSNLLDYQSLQNGSKLASELGQARIDLTQQISRSSMMNSEYNDHN >CAK60662 pep:novel supercontig:GCA_000165425.1:CT868008:617621:618046:-1 gene:GSPATT00005413001 transcript:CAK60662 MSFRVEESPQFKEEELNLSKIYQQRESHFSGFSFPSSVRHQKRQTFQASVETKTPTPNQT HIENSPCELSFEIERMIKEREQLFTDVQFYEPKVTFNVDESPQRIRIQRNSAPMPNTQLQ QLNQQIIDAEHTYNQYCYQLI >CAK60663 pep:novel supercontig:GCA_000165425.1:CT868008:618103:620152:-1 gene:GSPATT00005414001 transcript:CAK60663 MRATLFQQLKKIRAESAKSGTNRNKQILDTSELLPRSSFHKKRKQEEPNNSFHEYSCSFR FPDKERYEQELKQLKNNMNSLENENIRLKTKIHQMEDNQLKQEKMIQELDRIGPIKAPLL QALNSSTAQTALKKEIANLRQELQKKDKELQIIKKTQRFAQINELQIEKAAFQEETIRLK QQIDSLLQASLYNLQQNNVEQVIQDRIFALVAQIQQDLVHQRELEKLIERLKKECLKYRS QQIDQEYKSKREIKKLITQLREQTSNNSKENNKELKQIPTKADELQNLTDLMFAKQELKS KDNEIERLSQIVFDLEVQIKEIQLAQNQEQQDPQNQSQQLETTLKSKQIKLSSQVFATEV MQEIALPIKKSIIVYQQQVVSKQPPKRKIIPVKFDDIKIIGETLKYRLMAMDIGIQQLDE YLFEGDVMTIRELKDKLRLYPFNLAKDEAFVLARYIMEGDSDVYELDDFASNPTPYIRSV IRKVLLNYKLEIVKCQIEHSDKLKDVLTKLKPFIISNIKQLYGRDCIRVSKSQFRDALVS LNIELNQFELDYLITQGILESRSVESLNYQEMLKYEPIKVEDQQLSFLLTEIANQNKQQP EMSVIMECPEKVSELEQQEYNANFNVEQQAEVEDQYTFDDKYVSDQFESENEKVKQGQSS DYILQQ >CAK60664 pep:novel supercontig:GCA_000165425.1:CT868008:620167:620509:1 gene:GSPATT00005415001 transcript:CAK60664 MSDIEETINRIKTHKSVQGIVICNNDGQIIRSTYGTESKEGENIARTIPTLAAKAKSTVR DLDPNNELTFLRIKSKNNEIMVAPDKEFLLIVVQGPKEEKKEEE >CAK60665 pep:novel supercontig:GCA_000165425.1:CT868008:620539:621562:1 gene:GSPATT00005416001 transcript:CAK60665 MQQINQIADHLYLIQQGNNSYIHRQLGESDTVVIKSVFHAQLFMEDDSVCHSVHQRECQD LQNEWKILSQLNHPNIIKPQQFIANHQLDPAGGNLQCCSLFLENASCDLHTLCKSNETSF KIIIKYFTQISIAVEYLHSQNIAHNDIKLENMLVINRNVIKLADFGFSYRPAYEDLLSKW LPRTLTAYSSPEIVNFRLNVADGEYDEFCLFASDVYALTTALFLAVYKRPPIMGKFPTID DQYYHLLINQPDLFWQLDFIQKVDSQLMREHIPKQLLENFKDLIEGGLAEEYNRITIQDF INHEFFKYAMQIEGEQY >CAK60666 pep:novel supercontig:GCA_000165425.1:CT868008:622336:622872:-1 gene:GSPATT00005417001 transcript:CAK60666 MQNTCCNASIASTITKKSTINMKPPEHQFRIIRKIAYIVIPVNFFVSKNLVRILSKIKFQ QYSLALIQFLKQIHDSKQNESTKKIKINYKIQLLQNITKENIKRSQDFYDCPFYTSHPSQ SKRYELVSVMQICIMSILGNLTLNHIHKQQTASWTKLYHKSEILDSPKPLKMQYLLQS >CAK60667 pep:novel supercontig:GCA_000165425.1:CT868008:623245:623937:1 gene:GSPATT00005418001 transcript:CAK60667 MQYTANIILKNRQLGYAIVQNAIKMDSFVLSVCMKEHMKVTHHLDMLSQRLLSLNLLIHS RTQQDLTKEGQLSYARMQNYLEETSQSIYKQAQKLNKLSQFMENLNETLLSLQEIGEEVD IAYTILYNMDKKETGEQMYPPLMITQINFRLLMDINLDSQNHEVSIKPSSISPIENQEYF RQESRFHIIIKKNSRRT >CAK60668 pep:novel supercontig:GCA_000165425.1:CT868008:623966:624961:1 gene:GSPATT00005419001 transcript:CAK60668 MGDLLKENKQFLVPKANETEENRNAEFGKRALYNSYYKQDTEKTEKNKKKVKGQVKGKVK HEDDEEMSIGREYQIGAVEKCGIPKLDQGQPPQGIKKQMFKLCNYLIFYNTLMCDSILPE PILRGVPLKKMQQGELKFPIRCAFCRLLKIRSFVQFYFRFDLVSRFGKLCLFKDKEGREI CSHEMCLLWSNRVQVDYNTMLVDIATLIQAVQFAQAQMCRYCGSIGASLKCNKAECHVHY HFNCLKQVRLMGLQLDHEQKFRFFCEDHVRHNYQGQSDWFITRIRMKHIIQQGGQPAFTK KNPQIDWSTRDAGLNNDSDMEEQQFNKKHRY >CAK60669 pep:novel supercontig:GCA_000165425.1:CT868008:625097:625650:1 gene:GSPATT00005420001 transcript:CAK60669 MAMREQPCPYRIIDDFGGAFSMGCFAGCIFYFLKGMSFAPKKERFFGGIQLLKRRAPILG GSFALWGGLFSITDCTLMHLRNQQDFINPIVAGAFTGGFLAIRAGTRIAVRNAIFGGIIL GFIQLAEVGMLKMQMREEMKRMQQQQQQQMAEMQEMMEMQNNRAGKKQQPKVEKY >CAK60670 pep:novel supercontig:GCA_000165425.1:CT868008:626316:627532:-1 gene:GSPATT00005421001 transcript:CAK60670 MTEENKYDGLFMTIMQQTKDINVFFDAAFGFLRRHTDFFLDEKSAEKVITTSCAKNFQIF QSEKVDKDKKEEQRKQREEQRKKEKEAAEKAKKEAERLQQEQLLQQQQEKLAQQKQQQQQ QQQQQQQEPLDPSVPVYQPVLKVPEQKPQEPEKKEGEGEAKDGEKKDDNEKPPVGNGGRT ERYIWTQTLEEVQVYIPIPSTVTSKQLTVKIEACSLQVGLKGQPFIINGQLFEKIQSDES TWLLTDGEIQDYKGKYIHISIAKYSGQMNWWSCVIKGDLQINTQKISPEPSQLSDLDGDT RGTVEKMMFDMRQKQMGKPSSDELLKQNKLSEFMKAHPEMDFSKCKFN >CAK60671 pep:novel supercontig:GCA_000165425.1:CT868008:627856:629178:-1 gene:GSPATT00005422001 transcript:CAK60671 MNCKPFIWQHQDVNQNVNIDCSCFDISKIDNANLYDKVALEIIVHPDQQIWQQRNSQLQN LVIEFYYSRSRIIKPPKDYDNRSFDIAFDFFQQDSWQAALKRDECDRQQDANKIVHQSIE ASQDQKISTQEGELRFGKYHNVWIAVFNNCQHSIDKVIKLNNKNTKLEITIWFFEEGGQE FSLEEQGLLNVVTILTVVTIIGFIYNYRIFKSEIQKHGEWDYAYLFVLVVMGLEATQNLI NFFHLIVYNMNGKGISAFSTISEIIQIVDNYLLMVLLILLAWGWSIEFMDMEDWDIYGPL AFLLAFAQALIVGLGRLVSSQTDYHIYQGWVGYTISLIYISLAIYFYYSTNQRKKKGDSV DAFYIQLKIYGMLFFLAFPILLLVSKLVDPYKSYQIILMGNMFVRLLNMVLMARLFTGKS SEYQKICIKGKSFLDRGKIL >CAK60672 pep:novel supercontig:GCA_000165425.1:CT868008:629240:629631:-1 gene:GSPATT00005423001 transcript:CAK60672 MNYNPQTQKTTLAREKQHAKMTQDMIEIQNAYLRSKYLRFRKIITRLASKMNKEKRPLDQ LLTNKTIDEINSAYIDKLDQGIEISEGNLFRLVMDENLPGTKKYKRSDLIKVYLIDLFNI HVLY >CAK60673 pep:novel supercontig:GCA_000165425.1:CT868008:629659:631040:-1 gene:GSPATT00005424001 transcript:CAK60673 MFGKVIVLIVSILSLLLTYNFIRTSLVYKNKQEVDQILSYLNKYLTQTYFTPVAVKTQYN SILVRPEADYCKRSDIYKFYHPDIVMKDTYTFREARGVIRETLRSSYYDCIPQMTYKLKN TQYIPRHVLPVQLTLPFTYYDQLVHKFQVGQESLCLFQKYNHLITRHVLSHKDNLMNNQY LYLDNLRKSGVDQDCINNATFIPKTYRLFNKLDCEEFFTYLDSAEYKSLIEREGPQFITK VGLEVHRGRGIKVLFPDETRILQDTYKHGKRCGADKSLLVAQKYIGNPMLFNDHKIEFRV YWVLASTNPIIAYAYDKTLIRRCIATFDKFSLDKEAHVCNTAVVQSTLQKKGSDLYMSED NSDESELYIDWKLDYLQDLLLKQGKIKDTKWLQNYLHPTIDRMIIHAIRSAQQKFNRDSR LAGVFCCRFYSN >CAK60674 pep:novel supercontig:GCA_000165425.1:CT868008:631085:632080:-1 gene:GSPATT00005425001 transcript:CAK60674 MTSIDMQSQTQFLGKNYWRKLRQIQFDIQEEQKMTETVMVKEKCEADFQFYHMKSVVCVK FCKSKELLATACLDKSVRIFSIAGELKHQFQNQFDSDKTLSFSQNGEFLASAGSYDKIIK IWNIDSKELEFELKGLKSKIKKIAFYNNRDDRLISITQDNQIQIWDIESKTIIHTLTGHR YCITSIQIFHDDSRCVTGSLDGMIMEWNLKKFQLIKQWNGHQKGCLSLKYANGGHTLVSG GGDKKVKLWNMDKYKLFKQFNGHSSPIYAIEVHKDTVISCQFNSTLTWNMKTLKIAQQIP STQLDYCFVEMMKDQFIIGQNSVGIKVYDFL >CAK60675 pep:novel supercontig:GCA_000165425.1:CT868008:632184:634145:-1 gene:GSPATT00005426001 transcript:CAK60675 MDYLTQDEVGGIVAKGLAALYLERPQFPIDYLAKWLLTYSELLKKQKTREERQHVKETKI KEFKVLTEQLAYLQEESLRLENLQKEKHEQFLESIKQAKYHDEFLGDVFCDYVAKRFRVN TYVCELDFPKKEIDLNQEDDENAHINLEGTKLLTYIYADQNSKFLVGQTLLPETGVTYDA LKEPQPDENGEVVQTNGIYVEDVVKEPRIVYHKWPKLGAYNAIPLIYQSCLYEQSFDQGI EQRSQYLILKENQDKERSAKEAEFEERLESEDPAIIEQEKQAYLASLEPLLEPSFAQQKK EYVFCIDTLGQDRQIPENHRTEILDLVNLLIKQWELQEIQSMKADVELQLHYQSNLGAPF KEILENWALEEEQYIDSHSEKLAEFKENEKLLSYETDCLRLERLREKLSDKEFIQPLLNL SNCRIIKFNTIIQAGLYLIGANKIDINLPDTNRLNIRKLVLDENLIQQIVAYNHKGPKPE PQYKWCYVDRVQKRVEKIEQEQVDAYNLILGRLLKFLQLTCKLRKLDIEIRRENIANKRK QIEQLKEEASKLLEQKEQALKEHKEQLSPEELEEFNQEEWEVNFDSEHPMPEIPENPADE IDDDYIIEPPQQ >CAK60676 pep:novel supercontig:GCA_000165425.1:CT868008:634147:635178:-1 gene:GSPATT00005427001 transcript:CAK60676 MGITEFDMQPLKKLKVPVEYENDVQFYTEAITKQHEKRRQKLLMEYEELLHSKQVESSRN PISIVQEERSESVQSSKINEKLMKVQKLIQEQREQQLLLYSQKQQLIESRKKQISSEREL KAQQKEVLDTSRMEQVYRNRAQIQKLTQDKYEKRKMSYDARLQKHELFRQAQYIAPRKTR FDETMARYQRSVEERKQLDKERLRKLEESLEKANSKKSSNIIEIKRRGLLEQLKMEDVLF NRERSQHTKQIRLLDKLQVRTEMLRPNKNEPKTKAYPFPKFICQKDQRKENSSAIFHYAS QFVEQLDQVDIHDLGSKLLELSSEQDKEQRDQFVKTNYLFLYN >CAK60677 pep:novel supercontig:GCA_000165425.1:CT868008:635370:636296:-1 gene:GSPATT00005428001 transcript:CAK60677 MIDFDPSTFGLAHTYQKEDKDEINTLALPSAPQVIYRTLNGPTQVNGGNKLTNFKHGTTT LGFVFKEGILLAVDSRASMGSFLSSEQVRKVIEINEYLLGTMAGGAADCQYWLAYLANHC RTYELKNGSKLSVAAASKFLQGILIGYRNSGLSMGCMIAGTDTTGQHLYYIDNDGMRLKG DIFSVGSGSTYAYGVLDNHYRYDLSLNEAVELGIRAIYHATHRDTASGGVVRVYHIHKDG WTKVHDGIDVVDLHYQFAQQKGLVGDGDEAKQRLF >CAK60678 pep:novel supercontig:GCA_000165425.1:CT868008:636479:637126:1 gene:GSPATT00005429001 transcript:CAK60678 MKNNHHRRTKSSGNYFPIQTEFLVQDDDNDTNETKEIIKKIQQQPILHHQIIEKLDDQNY GLFNAMFNFGQMKFKPKQSLDLSTITECSSTPSTKDAKPKHRKCGSQQQQANKQPIKHTH SQSLQIQRLEKELEQMKVQQAEIQNVNMMLILEIHKSRQELQISQQKNEVILQRITKLEK MLREDQKLSSTTNDSLEFS >CAK60679 pep:novel supercontig:GCA_000165425.1:CT868008:637511:638442:-1 gene:GSPATT00005430001 transcript:CAK60679 MILAIANANMVQKYPLTYGGKRSIMNIMVEVENKIKSHSPLDTIKGVLDNFKSAVTEEQG THDAVYVAQQAECQSEIGYRKAEVDDTIGTLKIANGILMFASVSTKMNKAFMIPLAALAS IKQEENAAGAAERLKQMLKKLGLPIQLKKKCTSSIHLIKDLFLATQERLESSKQQLVIKS ENIHGVITVQTAVAQAAAGKKQRNQILWDDAEDLCLSFDVEYEAVSIRVRKSRREKSCRI IMRYKSIQISYQFFIQYQFGFKAFKKGHKQSAADCIILLLRSFQYEQISKKKRDEIFNIF PK >CAK60680 pep:novel supercontig:GCA_000165425.1:CT868008:639154:639363:1 gene:GSPATT00005431001 transcript:CAK60680 MSHQGKDININDFSSPHLQISKKDQFLEEIRNHILSKSRNDVWRQKGQPNFVTRPYVEWR RGDTCCAIM >CAK60681 pep:novel supercontig:GCA_000165425.1:CT868008:639375:642267:-1 gene:GSPATT00005432001 transcript:CAK60681 MTHKFDRKMYNIDPGMKRRKQTRVRKQLVKANLQDESEENSLIEVQQKVTKKYQNYLHTL RKMGDYDWTDIENTNNSKVIYPIQFLQASTLQFMIAALYFNCDQEYLQQCLHEIEKILKK NESIYGTQIQEQTSFDLISDCKKQKNKKGYDVNDDIFILEMRNLGQKDLDDLITNQEIEL EQITKQNEETLHQFVNLVPTVKQHLKTDQQNNLLRDIAGLTETEKQYIEKTEMKIQADEQ NTVVQETGKKTQGGGIHLQPNLDDALCQVCNDGDYTDDNLIVFCSKCNISVHQKCYLIEI IPNEDWICDVCQTFGPNGQYLRCALCPKLGGAMKATQIDASCFQNLNQTYFESQGESMMK NDFVSKLCNNIHQNQVQQIDDDQELYYDFGKLPNRDIRDLENNKEIKEPQPQKVWIHLSC FYWSPECFLDEKQDILRGVDNINSKRFALNCSICNIKKAGMCIQCARSSCSTSFHVECAR RSGIFLTQTVVNNKPDYQIFCQKHVPLKVKRILESKHRLYEREIIDFFRAYEKCRQVHKK SVQKPKKIKATKDQKQKQESQEHTENEFDLIDFANKLKEFINQITDQQLIVNLKLEQGQY AIESISGPVLKPQKQYKLTILPEVYQNKVDANGLLVTQFYKSTITATDELWKHFKYRDYE KDITYKMYEKLRRRASKYMQEINPQKKQAKLIDILMLKKYKKNNKAEQKKEIIIELVGDE YFQRDDNLYCVCRGKFKDGDPMICCESCDEWFHFDCLEMTIPFEEASQIEFKCFLCVDDL SQQEKAAIHNIQSKIFKDSSFKLQRELAEKCKLDELRRQRIKDLQEDKLNEEQNADIGNP QTQPEDNILIEEQNNEEKQCIQEELNLFANNQVQTKDQVFDQDQIQIEMQLDTNEKNKKT EDHVKTPSKTESVEDQDNHKLEVPETQEKVNNNKFKQKSIKDYFQKVK >CAK60682 pep:novel supercontig:GCA_000165425.1:CT868008:643727:644193:1 gene:GSPATT00005433001 transcript:CAK60682 MRRTTLQSDLKLHKKKMFNKENTQQPAQTGRKHFEEKQCYLPSRQNEDDGQNKKTLMLFP EKKNVTNYDNVIERRPSIRIFQQQTTTSNFRLCDKQSQVSKSSTNFHPLRSTSANIYSIF NNDRGLNGNKF >CAK60683 pep:novel supercontig:GCA_000165425.1:CT868008:644780:646540:1 gene:GSPATT00005434001 transcript:CAK60683 MGSKPSKKPDPPKSDQAQLEDQIPVYNRPKSPDYGEQAKIKDVGIKPDDNIDRHFINLIQ YREAANKRQAEKQRNSKIEGQQKISIPQKKIEQPKKQYQKFVIQSSQQNNNSLDLSYDQF VNGLNQNDIKELERQLESDELSPINKTNTESQKQALDEQKIKVAEQKIWKLKQKIKRSFD WPDQGQVYMVSVTFKYNYQYKTQKLAVTQPFDNYVNSILSWKNNEQLNVFEFKLRDVDIY NTNTGDVQQLKFNEIEFMVDYNGVFIGVKHESDFIKKLSQQKRYYEKEQIKEKKDRDQLL NNQLSNLERLANNKLQVKQSTKAKKQKPEAIIQRTEKQLEQFWTNAFQCWYTLNEDEAEK IFYAGQECVVLQKKEVDPQKKLENFLIKKLQDPEFFKSCLNSVKTIKDPLKKWGMFSNEE ILEYLGGPKHSVVQIQKKITFPILEKCMIVDGILNNSDLTPLVVKWNQCRKTVIEHERDL FVDIEQEKRLLTFIWDKQLDIKMKLRSENIFVEELNKFRDECELELKEMLFQLSTIPNFP MENRPKYKEKYFQIL >CAK60684 pep:novel supercontig:GCA_000165425.1:CT868008:646541:647205:-1 gene:GSPATT00005435001 transcript:CAK60684 MDLQYEEGEILTQKGDKVEGVDSESSLRSLSDTNREERVRKTLKDELNTCSYACPLFNFL GHCTQVECKKRHIFIQDGFDQLINENQPLLDVLVRNGEKLRVPYQKVLSKESHTERHSII KPWVITNYTLGFCEKNKRALIHKLKKDIDRMQNKLKIINYLKKWAMLKVVDESDFAELYC SLNPTQQIHFMNIFRVLELQPPSFQ >CAK60685 pep:novel supercontig:GCA_000165425.1:CT868008:647529:648952:1 gene:GSPATT00005436001 transcript:CAK60685 MLKIVDKLRELVSQELWIKFFNSINGRLMLKLIMLKSVVFVMMLGILFGYIGSSENKVKF HMNEDLDYSQKLTKTILSKVDGYYKHLILLAYLSERSPTTYVYTPITFNNFTISNDTFTE KYILSHKLTQNNISLYRSLYKITEPMDQEGWLQTLRLAQIDKYILEMMFNYDDIYIFFRQ FYLINKLNKIIHYFPVIENMNENYLNLEQKQQILYSNETVIFSYPTNYGMVLGIGYTNII KQILSNLESSFFIKIFKTDNSFYVSNDQNMNDQCECQTNRILNISFECDMPKGDNNYYSS NSCNSLYVRDISTDSSIKIYLVIYKQRINDSVQALDLFNLAWPYYLITIVIFFINILIII NRVNLFTRDITNPIKQVTYKIDKIINNIFQIRIINKIQADDILIKEDTEVQLLLHEFSRL FEQLRNMNTFNIQTFEKKEKKDILPKQNDKSIEQIKTLVNKFRDSQ >CAK60686 pep:novel supercontig:GCA_000165425.1:CT868008:649143:650684:-1 gene:GSPATT00005437001 transcript:CAK60686 MKLNSASQQKLANARPCTSTQKTGSSPIKNNKYLVSTSISTAPKTDNKILKSIALSRDFI SSNKSGTNSYINNQLLDKKSNFRSQFILDINDANSKKNRPSSRLQMQRLVKNNNVENNLK YHENLIQKQFKKLLGLQKQTQNLHKSPPQIHNRAMSNGDLKPTSDIANKLIEYIKKQSAK QIKGYRSISNPDIIQTDRRLISKFAVKTQAGMLYTKQEKVNQDSYAIHERIGDIDNSYLL QVSDGHGVNGHEVAQFVQEKLPNIIDQLLKSHKLGKKDQDMMIQVILRQAFERTTKELYQ SGIDITYSGATTVCLLVIKHTGWCANIGDSRAIIGRQKDGLHVIELSHDQKPDLPKEQKR ILQNGGRVQAYSDEEGNPIGPARVWLKNENVPGLAMSRSFGDYVAAQVGVISIPEIIKHT FQNDKFLIIASDGIWEFLDNQWIIDTVFGYYLKNDAEGAVDKLVKEATESWKKEEEVIDD ITCIVAFLN >CAK60687 pep:novel supercontig:GCA_000165425.1:CT868008:650756:651769:-1 gene:GSPATT00005438001 transcript:CAK60687 MGLCSTYCCKKDDTKIVEKQIITEVNLALTTEDQKVTEIQEKITSIIKIQAHYRGHSVRK KMKKTAKTQQKKESQLSNRICILEALKAEDQLRIQETEKRQEPIQLSEIEMVDGSKYEGQ WMKGQRWGFGRHKWADGSVYVGEWKHNLAWGRGKLIHADGDEYEGEWQRDQADGFGIYIH SNGARYEGQWKDDQQNGKGAEIWKDGSKYNGEYKGGKKHGYGHIYFADGSSYVGQFFENQ IHGEGEYVWFDGKSYNGQWKNNKMDGKGTMIWADGKKYEGEYSNDQKHGFGNFYWEDGRF YSGQWLNGKQNGEGEYTAATGENRKGQWEQGKRIKWL >CAK60688 pep:novel supercontig:GCA_000165425.1:CT868008:651786:652997:-1 gene:GSPATT00005439001 transcript:CAK60688 MFIYLQLIFTLTRALIVKNDVTSFYQDMIKKQISFLCESGRLTFDNGFYTYQIFLKENHT DYTNFTDADQLWIMLTNNGCSSIMHAYDKYGPFVYQNRQLDLHPYSYNKFINLLYIDQPF GVGFSEGIGEKNDNAIKILQFIEEFLVNKGIPNMKIMLYGKEYVSSLFSKIIENSIGYNL NIEGIILENAWVSPIHQIGYYGSFLYQLGLIDDQRRDEIYYNTTQIQVQLLNQNFTNLTQ LKTLVNQSQSELKLIENDGNNNLEDITQFINDHSFQLFEINKDDYSFCNDTQHEKAQQQL LNSTLFEIEMILNKQKMVIVLVKQIQFTVTTPGIVTWVNQLRWQHITKWRISDKKFIREE NYDKVQNMTKNKTVGYIKQFDKLKYIVAYDELFKLLNQIIIDN >CAK60689 pep:novel supercontig:GCA_000165425.1:CT868008:654716:655327:-1 gene:GSPATT00005440001 transcript:CAK60689 MAPSKSPKAEAKKTVKTVKAKKSTDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAMN IMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVTK YTSG >CAK60690 pep:novel supercontig:GCA_000165425.1:CT868008:655974:657185:-1 gene:GSPATT00005441001 transcript:CAK60690 MESLFSLEYLIQQLNTKPIAGNTRSSIEKFLEILDKPKINLNELKKLSNQGVPDDIKGLR SLVWKLLLGYLPADRTKWNSTIKTNIEIYEQFCNDLIKSKLQKQMTESNEYEDQELQQSK KQDHPLSKSLQSIWKSFFDDQVIWEEVEKDTVRTRAELSFFVSPTQIPNKYPVYFRTQCR RERRLAKDYEHRHYDVLTRILFIYAKLNPAIRYVQGMNELLAPLYYVFYSDTNELFLQSV ESDAFFCFTILMSDAKDSFLRALDDSQDGIKSKMNNLNTLLRIHEIEIWDNLQKQGIHPQ FYSLRWIMLYLTQEFELHSVFILWDSLLSHSNKNEYLLFLCLSIIKELKPSLLQDDFTDI MEGLQQVGKIQVERILQITSQIYQHN >CAK60691 pep:novel supercontig:GCA_000165425.1:CT868008:657544:658552:1 gene:GSPATT00005442001 transcript:CAK60691 MGGCLDRERDKRRILEQLSYSQRPILKQSNEFNYQRQIQSQSTKSQHVEVQQPRYSLLKH SQISSKQGNQSIRSDNQSYQHINQNNQFILLQRGELKQFLKTNHMGIDQIITNPLNPNQL IICFEQNDITDTLISEQVISNSNFHKLYMDIKDFIGQPDKKIVGIILENRNQILILYKKL NPRQAMNNTIEIQCTYFLQILVVDSVNQDQLEEIINERMQDNLICCTILQFPDCALLFFQ TCRRQIQALNVISLEMQQLNSINLSQEIAGKVEILQSNDEFDFISLLPDVKNKSLYILMS IKQI >CAK60692 pep:novel supercontig:GCA_000165425.1:CT868008:658681:659621:-1 gene:GSPATT00005443001 transcript:CAK60692 MQQNYANFIIKDAQIERFFNTLKKEPLGSGRNSIVYLTQHRVSSVYFALKEPRKDQLSQQ SIINEINIFIRFWQNNNQEFPRCVPYMEKFSETNQILMEYMKNGSLLDILLTSGKFAEKF ARLIAINLYNQIGLMHRNGVVHFDLKPDNIMFNENMMLKICDFGFANQPIGFTQGYASPE QIQNKIIDAEKCDVFAYGVILFIIVMGFPPFQNYQDNWYKMISNQQWDQFWSKIKQNGKT DPSDQFKDLITKVIEVDVNKRYNMQNISTAEWFSLEKVSDQECTMELIKRINLRNLGYYK Y >CAK60693 pep:novel supercontig:GCA_000165425.1:CT868008:660061:661288:-1 gene:GSPATT00005444001 transcript:CAK60693 MNRDLRFNGLQQTVKGNIKVKDVKFLLAQKADTFPQLLVAFTKFGILEDETELFKIYDNI YVYSIGYLIEKLKNDVYNKKSNPNNNSIQLSTIIRSFKDRKSFNEIDHTFDQRQYNSVDT NFCQKKYKNGIDYVQEQIKKQMQKDQNQTKECNNTSNIHYYCQIQKTEESIKPYEEIRNS KSSIPHQQQQGSRIYYNLNSPARELQKQIQKYQDIIEQKEYQIKQLEQINQSSALKLQIQ CQQIENQDKYIIRLKQQELKYVKLLGTISEYLQKIIGQIQQKLERKQKQQLEKEKTSTDF LTRQIQSARADYKIKIKQQQLFISNQKLDQIFSAKLIDLQNQLTETILLNQSIDDLVQDA EFLELDSSFRFDFE >CAK60694 pep:novel supercontig:GCA_000165425.1:CT868008:661341:662144:-1 gene:GSPATT00005445001 transcript:CAK60694 MNEETAQVKQKGKKGAKKKAESKTTQNSDPPIVTQVDEKKKAKPSKKVQQASPPKKKDEK KQPEKKGKQPLIKEDVESLLEYFKSSNRPFTVNYLKDTLFLKLSALQKNIDTLVSKGDVV MKEVGSTKVFLINQGLMPTVNQQDLELLGSELEQKTEEYKQLAQQNSKLSEQLKNLSKIP TLQELIDMKTELKTKIDELENKLLLYEDKNFKMATAEETLQVENEYQNMLNICKKRKNIY NEAIGSLLSESDLTIKQFEKIVGIFRD >CAK60695 pep:novel supercontig:GCA_000165425.1:CT868008:662238:664123:-1 gene:GSPATT00005446001 transcript:CAK60695 MDPEEQLEKLLEVFYDEKIEPKKEAAKQILGLATQQQNLEYLISHEQLLSTLSRTLRDEH KKSTELTLYLLCVFYILSNYLEFHQILSEHQIGDITMKIIESQIQRFDLRYAELMEKQGQ PQQFQELRKLNLMIAKQEKLFYVGFTILMNMAEDPIIENKMRKRKIITFLLRMLERNNFY LLIVTLLFLKKLSIVNECKLQMIEENCIRKLKRFFSADNNVLLQLSLGLLKNLCFDTEAR SQIEQNGFIPDIVKLLKIPNYRFVSIVLLYLLTLDDKLRLTFGFTECMSLVVKLMLHFPE PIIGKELIALATNLSTSSRNVDHITEQEFQQIVQRALTNGDTLLFRFIKGIIENSTNPEI QTCAKGFVRHFMKLLLTQGKEEDLKFEIIGIVSVIQLNENWVKLLTEPFIEFLHNNLAIG VVDDDIVLETMMLVSQIASNAKAAEILVNSNILNALTQVFTEKVDDDEFIVQYLYSLHQF LFHKIGISQILAQDDILLQLIQQLNDKNQKVKQMSQEVLDILREYDQELCEKIKETKFCE YNQLWVEHINEQEMMLQEGVDMGFEDEYGGNELDPKMWDSDND >CAK60696 pep:novel supercontig:GCA_000165425.1:CT868008:664168:664860:-1 gene:GSPATT00005447001 transcript:CAK60696 MSETISIKLLVVGDGSVGKTCILLSYTTDKFPTEYVPTVFENYQTKVRVDEREVDLSLWD TAGQEGYNNVRQLSYDNTDVFLIVYSVVESNSFSNALHKWHPELNKDKFEQIPKIFVGNK IDMRNEGNSNHVKKTAAEQLIKNLKCNLFEVSALTQEGLRPLFDTAIQIALKKKLNAIND AQKPSQPANDSQCCQLI >CAK60697 pep:novel supercontig:GCA_000165425.1:CT868008:664959:666466:-1 gene:GSPATT00005448001 transcript:CAK60697 MSQSAAEIRNVIKSLEKIALQLEGGTPTQQQSPQSLAELQPFKEKLNLFVEASKGLSDQS LKDITPIVTKIFSIMENAILHSTNTKKPSPDQMKQIQKAITDQVNKIAKFQTPALQYQVK AIIEGCQAAFWVTLDGPKIQVESAIESAEFNGFKLKQQKVAEVSKWYDAFIGALKWLPDF VITNYKMGLEWNGKGSLTFEQYFAALTQEKTHGAPPPPPPKGPPPPPPPQFAAPAHQTDS GDSRGALFAELNVGADITKRLKPVQKNVEKQDPLQPTTAKQQQSTQQAAAQLPKEPKRYC KENWFLENFQNEKLIEFKDDEIEMKQSLFVENCKNCGILVKAKVKSIFLQKCERVELVFD QTISGVDVVNCKKVKVTCLTKCPSLMINNSESVFMAFNADKQCEIISSKTMDLNITYLQE DGDYAKDTNIAEQFLTVWDNDSGKFITKALDISFG >CAK60698 pep:novel supercontig:GCA_000165425.1:CT868008:666530:669450:1 gene:GSPATT00005449001 transcript:CAK60698 MITQIEIYNPQSNHLSSDDESNQYLNPEQQELRKLKKIIDFTKEEFEQIRNEFQDQYINV SQFVFILQEIKKADVQTLVYFYQLIDTLEKNLVNFEQFSNFLVEMHDWINREHSLYTIKL KQKEIEGQKTNPIDKMFEIDGQFAYLEQNQKKLCILNPNNFSEIAQISISNISILNILYI EDFQTLVMSSNDKFLNFYDLKANKLQSRFQVPELQSHLQYSQENKVLYTATDNGTIYSWD VEKIFSKEFLEFIKSGPKLSDCFKLVIVDQPLMIEEQEKQDGVVKKGITCMLCIDCLEIL AVGSNDTLIRLYENKIKATQKLKIAETMKTKFGIKKTLSGDHKILQGHKKRIKQFSYCPE FKYLISCSYDYDVVIWNIYLEHPVAKLLGHEASLVSVLSISKRIPIIVSCDIKGVIRVWD PKTFQSIQHIKLDETNNNIKSIIYLKQKDIVIVGCRNFLIYEFQQSFDPNVTDDMPIQCL CYSNKYLELHIATRKTIKTWCMRSGLIKRLSGNFVNHDIYTFCLDYTQRRGFIGTHQGNI FCLDLFTGKIIKEMKNNFTKEISHLSYSEKYHTLIASSWDKQIRIYHDEDNKEIILRNIK NAHPTEIYCGAFSENLMLIATSSKQSEIRLWDFEKGTQFSRLKASGEVLFLKFMDPYPLL ACSDHQGHIVIYIVLSNDLGLPPSQSKSCAVIWKNMFTIMKASPVYSIEFNHIDGCLLIG DENGDIRILSITQIIKNCQIKKIKHQDIAQNKNPHRFFQLNYQEYDVQLEPLNPLLSERT AYQVTVWKAHKTQIKQIIISYEFQDGLIISLSLDMQVKFWNNAGKLILILKQGQNQPQNL VTISQFKTKTQSLIDQVEAELPKLRYALPIKKTTRFRSVTQHDKNKTRLGFDMKDQYRQL DQIDSLSIYQTLKELEKMEEKKELRKKRELEQFGRDQQGIGQFHNKKKQFY >CAK60699 pep:novel supercontig:GCA_000165425.1:CT868008:669611:671502:1 gene:GSPATT00005450001 transcript:CAK60699 MNYSTFSFSQLGKQILQENKDIQDQLTLELLNNYRSGSFFKQASENRLAQQIEKQNQIIN MLMEKQEPARFNNPIEFIKKGQQQYQEQTRDKYQNFSQIQLMQPLPLPPPPIFMPSNFSF MQQQQAERQQQEKLRMLLLMKKLKEENFSLKMKLSERGEQPEKSFESYSNSQSQQQSSKK SEKQYKQQKRNLGPTQEDYQKEIRQLEQLLSKRAIAQLKIRSKRLTLMLSFIRKTKQLIE LKSSQFQDKFPENILQFQNECFKWMKDFTNIIVDKLKQQNSNVSLYLDTKVNPKEAQKRM DQLKIYVKNFIDALFDNQASIPKFCKEYLLYFTFNFFCTPANFLLKFEINRLSFTPQGGT ILNQRQSQMMIITIIIIRMYLQFLNDLWEPIPASSSSTSKKANQQYRLNIQSICSVIYYL ALEVTREMAAIQRDNLKLVSNDFQPKVKSGGVIRMKNFEEREVDKTKQESLKDGDEPLVH PIFDKSELNPFFKDNSTIQSLKSTIEGWSENIYYNLQQCIKEISAEKKHQFENGEKLLLI QKIQDNKQQTEGARQLRQIQNSILEQKEKIAQMKKCILCYKFIVLNVSTNKKFEHSEQEW KSKSKQSNLSNQY >CAK77972 pep:novel supercontig:GCA_000165425.1:CT868288:33:1120:1 gene:GSPATT00039249001 transcript:CAK77972 MIESDDDAFQLLIKKKNDTKTTIAGLQQIQIPFSFTPRSIRSYKCELVVAMNEKIKWRYP ITGHTESFATGKFTQFKTKCRISFLQEFKFSLPGVDKIGKSNFTFEIKNISEDVKMLVDK FFKIKMIQSSADDCDEVHFDAAFIPLKPFKANFDLIIKRDIGGVWKFPMNLDATEPDIDD LIIITSPLNKPTSVSFRITNRTKHQATFRAYFTPASDQEFSVTPKYGELQPNGREGTQFI ITFTPLEYGQIRSGKLIIETDDMYWSYKVRGTLPKYEPPVAQPKVDAKLIANNSSNFKPK NFLQTNIKRNHSPPSMHQKSTAITNLNFSRRTFGATLTRETKSVQLMKTQKLE >CAK77973 pep:novel supercontig:GCA_000165425.1:CT868288:1773:3158:1 gene:GSPATT00039250001 transcript:CAK77973 MEICFDTIQDQLAKHVDLAKIVDFNALSQKPGFKIEQCNSWIYIGQMMNGKKNGTGILKI VDSLKLYIGEWVVDQKQGLGYEKFSNGAEYYGSYENNKQNGYGEYFWVNGEIYKGHWIDG QKSGYGEWLGQNSWYKGEWKNGYVEGRGVYQSEKGDLYTGDFVASMKHGMGEERFSNGDL FRGNFINGKPEGYGEYVWKSGSLYQGFFLKGMRHGQGVWQSSTSSLCDKYDGAYQFDKKS GYGEFIWANGTVYKGQFQDDLRQGYGEIYSKGVLIYQGEWERDVQIEKSGLRLKFQQKQY IQNHIALYSKHPNVEEIKELEEESNGSEPERLLQENRNIQQKRTEKFRLTTLHFDSRTKT HRISPMKRSLDLQNSSASVQNKKNRVQSQTQCKTQIIQQHKHRSISYSNQVQITNKEMSK NNQHSQPKKSRLKLEKLK >CAK74883 pep:novel supercontig:GCA_000165425.1:CT868200:1:470:-1 gene:GSPATT00039044001 transcript:CAK74883 MTASGKTAEMLEKSTVDPGSSFNLFVNNCSIEPIKRMFCFSKNKIVIFQIFRKQHYSSRI KGSVEVCEDQNNVKMLFDAELNCVNNTKLLVMQLENMCFSINRLQYIQRNYHYLQKLYWS PFSRSEATNKILIRMCQESQF >CAK72888 pep:novel supercontig:GCA_000165425.1:CT868141:1:4090:-1 gene:GSPATT00009236001 transcript:CAK72888 MLFSAMLLVRWQMLTNRECLLSNSTFCRLNYTLGQGCAFSNCSQIKDSTICSSAKISNGE KCKWIDNGEGSYICTNKYCYEFTNQFDCENSYGYIQPVATKCYWCSLYSTKCSNSKYCSG TSMTSPTSHQDCNNSSVEQTISITWSQKCTVKKQQCSEYTYQEACVSTIDGIDCIWYSNA CIIYCQAAVHYLMTLTHLSCYSWKDSCMSLNNAECQILDCQILTISTDCAIFTTKCFWDG FVCQIIGDCSKYSDEPLCSFTINSQGIPCFWDGTVCLEKTCSNKPTPSINQAECDSWLIN CQWNSNDDRCVEDCTQANISNNTHQQCESYFLNKSCTVKLDQIQCVDLPFSCSLAKKTQC YKDQFGNECYFQDSLNQCVNFTCSNLQASYTTHEQCNSRLKSCTVNSTLDGCQQLNVCSS YLIKEQCEIDSNNVECQWMIDQNKCTIKNCLTAQLPLYSAHSCHQYFGNSCTVNESLNGC EIGQSFCMKYTYNQCKSEGQMNLNGVNCFWNEDRSICLEKICENGPPLAQSHSECMGFLS TCSKGGCRIKECFDYNYAIDSACASIFESKKCATNGYQCVLRKACEDTNSMDGCTFDINL NPCVWINDKCYTKTCGTASISLTKYQECNAYISTCTAKQGGGCTIKQHCHNYQIKEACYT DSENVECIWDDTLNQCFSNQCIDFCGDGIVSSKEEECDDGNYFPYDGCYKCQIQCPQGCN ICNGSVCEDCQKKGWVLSNGICKSICGDGYVVGNEFCDDGNQIEFDGCYQCSYSCHQKCL NCFQGICLLCEKGYLENESQCHTVCGDSFLVQQLEQCDDGNKQNNDGCSDTCQVESDWKC QQENNVSVCNYSIRPKIILTKLTKTNQDNQEFQLSFSEQQFLQMIVIVIEGAKDDEYDVE IKPVISITSIMTDASYKILVYFKSSISNPVLKVTLRFSNETKLVLSSPHKMSNENQSLIS KTALLGRIVMYIILIVSGVAFLAGNLEILWNLLDMLQQLSYLKFHNLQFPENLQVYFEIF TIGSFTPIINSLQTDMYLHDLFDFEIPIIPGKWKFEYYQINCYFLNNLQTLVTVIIIGFV YFVVSYIFYKFLVLVKYQNWPAIFYQIQSQSLFQLVRFIFSLQKLARKQYHYFIYSGLIR IFTSNFYEITFTSVLQIANYNTDTTLNRNISLAALITLLVNMCLVAFFFSYLCKKDKVPK TLSVLVEGIDNNGQQGSKQYFTILLIKKTLFICNLVVFQGLMAAQSLITACLSGVFSCYI YIYQPFENKILIMLNVILFSVYDIIKFDQSKNQAEVLGWINVGGFTLILIVTLAIDVY >CAK72889 pep:novel supercontig:GCA_000165425.1:CT868141:4209:6131:-1 gene:GSPATT00009237001 transcript:CAK72889 MASQYCTFNLDTLGCTDYLCENVLEIEYCTIDSTGTVCTINQGCVEKKCKTAPSSYDTNS KCEGWMPQCTVNVQILSNQKILIGCMDKKSSCTLSVQDQCYTTFSGLLCKWDQSSKKCQD QLCTDANPSLYLTNEDCNSFKVLSGPCILGPSGVGCQIWPTNCTKMVSQQQCQLNLQDQT KCFWTGTYCKKQQCSDAPKINYTNNVECNSWFNNCIFDHLLGGCKDRIDPVSCSSSPNIS MYDNHQECNAWNPKCTVVSSFSAEGCEIKKATCDGFIRERNCKTTLNGLFCYWDDKEQKC MNEDQDNDGVADCHKRLYGDVTHQDCQEFSPKCTINNIVKSCQPLASNCEYLYKQQCEIT NQQQPCKWDNLNQKCKDRVCADNTTAQTEAECLMFRRFNQCQLKIKSNGTYGPGCENRPS SCRNITDSVICKLTLTTQDDKCYYYNSKCQGVSTSKCEVITESKSNEYCQLYNTVCVLQP SGQGCFSLYSCYDLSNTICNNAIMRNNYSCNFSDKCRYDTSCQYKYPSYSNCDGQKTQSG QLCYFQYSCPECNNQCAIQTAQKNYTFTSSATITDKRRQCQDYSSSYRYDTTCDCCLSMT SCGQQEAVILQFLRIIRMKTGKCGYEIHQLIVAKLENASI >CAK72890 pep:novel supercontig:GCA_000165425.1:CT868141:6190:7428:-1 gene:GSPATT00009238001 transcript:CAK72890 MIFIMHYILLLLQLCIIRSLEISKRCVCGHVQNQKDCKNSGFCIWWNDQCILNVNQSYNT EINNQNSCKSYAQEDCRQQEQCGFYFGECIDFLGCKNFDKDNCQESSYKCVSDGSKCVEI QDCSDYTTENGCANKNQHGKYCVWIGGLEKNCRNVTMCEELPLYLSDHQTCKSGLDGCTI SDKGFGCIEQMESCTQYLNNFQCFESKSKKLNCFWDSKSNNCVEKVCENLPFSQDYECKL YLSQCTTNGVHCVTRKQCEDAENKLACGTDASGKKCEYHQNKCKIKSCSTAPQSLTNYQQ CQDYDNQLDCVTSENKGCKIRPQTCDGYVYETDCKSLEQQDCVWYKGKCEKRLCYHAPVH YTYTDCKEYGNCIGKLNGGCQTTPQLCEEILQKQFCELNFNKERCIWAWWQV >CAK72891 pep:novel supercontig:GCA_000165425.1:CT868141:8112:8720:-1 gene:GSPATT00009239001 transcript:CAK72891 MYVSAKIKYRLLNSDLKLLLMLLKQICTTSQQYLLLLIQGKVQYCNLMLSLWIRKSIRCH STTSIFQQKRNQSQQISFLFYQKLTKLFNILSLLQSSKKFFLQSSVTNKMPFELKAIPQD PLLYLFDSSINLNQNFHSLLCGILIFNKGIFLVLQHNIDFNQVVYFESLFLQMINITPLY TYIKKFKVFQKILQVLLQKRKW >CAK72892 pep:novel supercontig:GCA_000165425.1:CT868141:8867:9193:1 gene:GSPATT00009240001 transcript:CAK72892 MYKQLLQLKMIPFLFANKVSNCNKYTCDYMPTQTECESQIKSKRSYFLNNSCISTNDCSK IPIYNKALFFFSGLIKWCNSYKFQQKTTIILQISSLIFQFGKIFSGSV >CAK72893 pep:novel supercontig:GCA_000165425.1:CT868141:13637:16702:1 gene:GSPATT00009241001 transcript:CAK72893 MNFKQKLSLSIVLIQMTLLEVNGVDPCSSNFQNVCKCGTDTISECSNIDYCVDITASSGN CVAACSNIVVSSCICGSSTKTYCNGSSICTSDVCYPTCTTAGQSNCVCGTDQQICSSTYC ENPSAFSGNCKDACSVSKVSDCYCGTTTKTYCSSTQKCQSNACISSCTTAFQANCVCGTS TPTTCTASTFCKDPSASKGYCLTQCANSSSTVCLCGTSKYCAEYCDPYASSPTCSFLAVD CTSANQTNCVCGTTTLSSCSGGRKCLDPTAATGVCAGSCSQYQSTNCYCGTSTSTYCASP NQCSNNTCGDSSPSNCSSAFQASCSCGSSTKSTCTSTTYCVDPSASSGVCKQACSIGTVS DCICGTSSKAYCDSTKQCSSDSCVTSTPNCNSAFQNSCSCGSSTKSTCTSTTYCVDPSAS SGVCKQACSGGTVSECICGTSSKAYCDSTKQCQSDSCVALQNCSTINQTSCSCGTSTKQA CSSSTYCKDPNATNGICINKCSGMTASDCICGSTTLSHCSSTQRCQDSMAATGNCLDICT SNFQSNCSCGQSSYNNCDSSKYCANASANSGQCYDNCTVSLRSSCICGTTSKQGCSNSQT CEDSTATTGNCISIPSCISNFDNSCQCGTSQTSTCSSSTYCSDVSQPTGVCLQNCNQNLK SNCICGTSNKVVCNKTQTCQNVTDQNGVCIENCQINLQSNCLCGHSATPFCGQNEHCRDY KSFMGSCVQECNQFQNNCVCGTSYYSECTSTTYCSSKQEGVCLEQCSRFQQSNCICGMKI KQACSSNESCVDPYEDNGICNQGTCMQKFQSNCTCGVVNQVNCNKSQYCLDFAAINGNCF EKCLSFQNNCLCGIDSYDLCFSSTYCLQQSRGICMPECTSTNPRNCIALSDDQACLDNLG TIFNATTGKCVPNCSQNGSTNCFCGLVSSNQDQNQICQQGFECQNLATPQIGCVEKCTTN KKKSCQCGLDKSQYCNENQICADPSLSIGECTQNVSEIQNVQYSKIIHFGIFAIIVFSII V >CAK72894 pep:novel supercontig:GCA_000165425.1:CT868141:17711:20137:-1 gene:GSPATT00009242001 transcript:CAK72894 MNAQLLHYKLEQLEVKGSSKFEVVFAAPDTQQEQYSRFTLRAWWIVLQAHRDKPYATRID LYERAFKYIPNCYKLWFNYLKEQLEDLGGRSTFLSNKFEEMISYFERALVYMHKMPNIWL MYAEYSASLQKYTHTRNIYDRALQSLPVTQHHRIWKAYCQWISKTDSIKTAISIYNRYIK INPDYKEEYLDYLVSKQLWGNACQILVDILNDDQFNSSSGKTKYDFMKYLCEIIARHPND LPIDAASIMKFGIKKYSDEIGQLWIKLADYYIKTGQFEQARDTFEDAVNNVLTVKDFSLV FNAYVKYEETIIQMLEDFDENDENQDDIDDTILSTKLDQLLKIKSSQDDEVQIEDELLLK MDRLDELLERRPILLNSCILRQNKYNVEEWLKRIELVKRDERMALKTFTEALEIVEPNLA DNGKLSDIWIAYAKYYRDKGDWKTCNQIFSKGSKIEFKNIEEHVNLWSQWVEILLLDGFV NDSLSVIKQGLFKKYVKRLDKMTPSEMVPYSLQLWQLYLDLERNFGNFKSLRAAYKRMVE LKVVTPFIIINYAQLLEDNAFYEESFKVFEAGVQLFDWPALYDLWIVYITKFIQRYRGQK IERTRNLFETVIEQVPKDKNRIFYLMYGEFEEQYGLLNHAIEIYDRMVFNVEYQDKMEAY NIYIAKVALYLGITKTRPVFESAIENLQEAELIQMGLRLAQLERKFGEIDRARAVYIHIS QFSDPRFDDFGLWKTWENFELHHGNEDTYKEFRRISKSVVAKFSLMPPDPKKIKERVEKG QLGQQ >CAK72895 pep:novel supercontig:GCA_000165425.1:CT868141:20574:23471:-1 gene:GSPATT00009243001 transcript:CAK72895 MASINYLTLRPEQAYKIYDDYVKEGKNNDALLILFRYLSSFGQRTRKTTTSYQDQGDVSV ASNIQYLYKLMQAYIDLRLFVGVNQPDEQNQLKEVFKAYRQMTQHNHFDAFADILKEYLV KVEKIFSDKVKQYDVEELKKSPFVGQLSYEEPAEELLYLSFDPEAKQQKDHQKSCLRLLW ETYRTVMENVKWNEKLEDVYFEILKKVSEFCLKYKRKAEFQWFCDQTNRFVLDLNERELD IKRNPTHVDLTKPATNDKHVNAIFEIVKTATALDMQSEASKTLETVMVLKSMRRGNFKAS YLMLYYDLLSQIFQKSGNSLFQAFAYYNHYLAFKKKPVQTQDEIKRKNAEINEKLSHLVL SVLVIPLLSADYNQRDRTTSLFEKGTKIPTREDLLKILGEMNAVKFVQPIIQKVYLLLEN QQDLLTVSDQANRVFTELRQNEKYLLFIPLLEENLVGQVLKSISRIYRTIKLDQLKDLLK FTTLETIFQTLIHSNSNKFISCKVDFQSQIVYFNSNQISNDQQILPTVLLNLQPQRTVQD PIDQAKKQLDSSNLVILTRQQTLKNLNRLADPDLIKKKVEEDNQKVIQEMKEQRRQDEER KKFEQERIAEMEAKKAKEISVRQKLLQDIIKLKGGKYVTFEINGERKKIELLKDYHLLNI DTGVLQDIKKKFEEEAKKGADDKYLRTFKKNDYIERERAIMESKIITSWPEDNIEEAQKK HQEQYQANLKLKESLTDAFKYHDEIIAQKKQQKEKEYQEQLQKFKAEITQKFKQQILDKG FQLQKQDEERKLREEEARKKEQEEREKKSISQQAEKQAVPDSKQISRSTLSSQTSQQQQQ PNQQAPQQSAPQTQIGRNIGGFTNTRSQVETQQSKPVQFTRSTAQSGQQQQQEQPQQQPQ QATQQQQRQQQQRQTQQSTSQAAPKFQRNQ >CAK72896 pep:novel supercontig:GCA_000165425.1:CT868141:23636:24654:-1 gene:GSPATT00009244001 transcript:CAK72896 MILQSQEAIREKENDEEVTKHARQLKKKVINSLENYSQMLTSKDNSIKKVIKNDLNIINQ EYQELLSIVDEVELISNEVQMIIKLVGEFLEKMSVKSNEKREIQYSKTMSEKLQRNPTRS NTFQGHSYDCYQKQRSFPSQQLQQQQQLSNNSCESDENTAVSNKTLSALNSKICTLIQQL QQNEKYQRITHYAQEIMNSSENMETFKQLRQLSKQFDDPEVKKLVKVCYLKSLVQEQSQN NTSKREFYDLVIKIKSQVPENNKIHSMMINSLYDDVVKNGVPNTQWEAYMKQLFK >CAK72897 pep:novel supercontig:GCA_000165425.1:CT868141:24963:26490:1 gene:GSPATT00009245001 transcript:CAK72897 MSEELVTLYPTLESNCEDLVLSSKKLFQAQQGKGVPEFYETLKLKINTKVNYEYSNVTKS NELADSLHQEFENIQTEIKDQKKPENKRQIDKIIEDYQKDKQKETKLSKLPQQFLKFDEK TSATQTNNTYIQNEKQIAQNKSLVAVNPNEHQLSVIEPARLGTVMVQKIKKIIKPEWHAP WKLMRVISGHHGWVRCIAVDPGNQFFVTGSSDRTIKFWDLATGNLKLTFTGHISTIRSVI VSARHPYLFSCAEDKTVKCWDLEQNKMIRDYHGHLSGVYSLALHPTLDVLVSGGRDSVCR VWDIRARQQIHVLEGHTNTIDSIICQEFEPQIVSGSQDSMIKMWDMTSGKCISTLTNHKK SVRAMAFHPLEYTFCSAASDNLKVWKCPEGTFLRNISGHNAMINSVAINRNNVLASAADN GSLYFWDWKSGYNFQQINTIAQPGSIAAENGIFCCTFDQSQMRFLTGECDKSIKMYKEDE TATPETHPIDDIRIEYANQNY >CAK72898 pep:novel supercontig:GCA_000165425.1:CT868141:26521:29775:-1 gene:GSPATT00009246001 transcript:CAK72898 MQQRTQSDSEQSHKVQQFMITDEQIGEIHDFVNNSLSDAYYPISQQRGQKQSEPTPLSNE ELRSQRMSIDSPDARLILQSSSRQQKDEPQHQLTLFQSWNAIKFIRRISERKRINTKFSQ YQYDLLNDKGSSFDLKLFKESSLHFKPMHRGLRRSNQVEQIEKPSALQQVKSYAIHQKQN IKQFFNRIEQQLQKIPLITPESRWKLIWDCFVAFSRLYFMYVIPIDLGWTQIPVIYGQLY PVSVLALIILLLDYIISFNNSFYQFGQIANQRAIIAKHVITKSYGLESISLLILSIYLFN SNSNTINVLDNWADVFIALFYVQSRNIPKLIAQIEEVLNLSKPVSSMLELLKLILVLFFV LHCYSCLWFFVGEYSHNHSVQGSWLELYHIEHETFAVQYLFSFYYSTVTMFTVGYGDITP VSYIERIISIFYMMFCSIQLSYSVSTVGTILDKISAYEQEKMKKVHTINTYMQNKKIGYE LQYQVREYLNYYWQSQSQVESQDEQNIINQLSQNLREQLMLQANSIILNECPLFKNHFSD QLKNKLVRQIKQTVIQPENIVEFDQIFPTLPNGQIFMCFIEHGEVQILIQNDQKDSIYSH QQVSVISKVGKGSSLGIYSFISGQKSREKFRSLGFTKLLLLSRDDFLRILPDYPEDYENF RNIHDGLLFNQDYIQHMKCFSCQSSTHRVMNCPLLHYVSDREFIIKKHLFNKDHNRSKFK RNMKRFINQFHALVDQEEISDIAQFYSNCNSKWTEFYDEPPEEDDDPKGSQIKKIDVIVA SPDLAQSPMQHHRPQARFSILNKRGSTIDAFEPEENKQKLPMIIRGTKRRQSNVQQLKSI EAKSCTQNPNSRRLRPSCTIEFSRFRQIQVLQRFRKVVQMVIKLNIMKKKKSSKKTLSNK FQDIQQQNQQLKLILEKVNIRISQIDQGKKEILNDIDIQNAFLLSTKINMLISLQQEYFV NKTKVQQMDVCKEFQYYQPQNNFTKVLEKEEFYYQQRKIQPKLLELRNKLVQYLMFPQMY LEKYKYVKLQLVNLLTQRQFRDENNKSQIFNMSKRNKFRGKTFRAPKVNQILPK >CAK72899 pep:novel supercontig:GCA_000165425.1:CT868141:30191:31060:-1 gene:GSPATT00009247001 transcript:CAK72899 MSNKEQDYYEVLGVSKTASDDEIKKAYRKLAIKWHPDKNPNNKQEAQEKFIKIGEAYSVL SDKDKRAIYDRYGHDGLKNGGGASQFQGFQGFSNFNDGFDPFKIFESFFKDSGMDNDDDF GFFFGRGGSKKNSNNSQRSPFGFSGFGGFGGFGGFGDDDFMGIGGGQFQSFQSSNFGGGF GGATSISTSTTVQNGRKVTVTRKTTTKADGTTEVVETIDNGGRKEEKRYSLENGQKSNGS KYIKHQ >CAK72900 pep:novel supercontig:GCA_000165425.1:CT868141:31441:32324:1 gene:GSPATT00009248001 transcript:CAK72900 MLTKLLQKSRQARFCVYIKNSVNYHVANSQDPNSKFATPQFNSSILDFDKDQENNVQMHY YQGKFMPDELDWTDVWETAYQNFTQPEYLYHFWFCGVLYCFEPEWTINYPYEKGPLSPLF RGEHALRRYPTGEERCIACKLCQSACPARAITIETEPRPDNSRRTVRYDIDMTKCIYCGF CQEACPVDAIVEGPNYEYTTYQHEDLFYDKFKLLENGDKWEPQIARNIEYLITRKY >CAK72901 pep:novel supercontig:GCA_000165425.1:CT868141:32329:37256:-1 gene:GSPATT00009249001 transcript:CAK72901 MRNFLFQVKDFYIQQFLTVKGIWRKSLELSIGFLIVENIQLLSLITQTIPVLNKAEYLDF IHYILNIFRFYSVLGEYIEMHILFMLIGFILTFLTFICLVILILNTSVRINQNGQIIEMT LEINRQKEIEQNTMIKQFISVYMQIYYMLMRIPLLYSHFHILNYYQKTNSLNQFLLFGAS ISMFSIALQLIFSLIIDLHCFEFKMKNQDFLGRFNQQKVHLVFAFQTMIVILIGLEVNTI TVQVFYLIYLLMNVIMSYHQLVYVEVQISQLQLHISSYLLIYQIALLVVELSDVVDKLNI LGFLLFFYPFIAYILKTLTERQKLSQLKSSGNSLEKQIRFIYHLFKKQIKLKKEQRSLDP MESLFMYSFITNHLRYCSQNRERKLQKQIKMKFKCFCEEFSEKENFQFNSITQMKMFAKE LISQTLEDEIIETSNTYLTLIYIYFLVQVKKLPTQAIYEVIRLSMQSQGMALKEQAIIYK LKYDALEEFDQLVKKNDLKNQKYIFKRVYQYEESLSIIKQNMCLIARQFKEWYGLILTSI IDIDQLATIGFEILSNMKAVESQLQQAFTINPLSNECDTIYNLFSKYIQYNKSRPKLYRL EGKLVTFFMQSIEKTIFDPGSCVIQITLLQPRGNVIRYTRSFQQAIGFKDEEIKDQNIHR FMPQIIADDHDMYLDNFVERGRIIVVRSEVRVILGKNKGQFLVPINTRLRIETSPTEFGA TALITPVNLTFGYMILNEKGQIEEITQNIFEEMFQKHLGISVQQIRGLDCLFFVPELAKI WEEIYDQNFDKLDRKFECQFIIPLINQNKSMSQSQLSKSLSKLYFQKQIYKNFENQPTEN VIFLVQLHVMSLVTINLRLVILELQDFRLMPNLKISSKQIIQLRGRTSKQFNIQQFQNST SYRENASLLNSPWVLDCDLDHDLLEEEERMIYEVKNQLATVNITNTTHHQQIQLIQYAED EIQNENESLQEQKQNVPKEGQPSIKIDEKKKNQMNKVDNQNNMSIGSRSSQNNQSQLKRQ MRDCLSENSTLNKKQAFVLLAFYLSIIGSYILNCLLFSSNYQKIQQNQSSQNLPYQLSYF YNEFVISSYYLKYSLLFPFSDLQQISLDFYQSEIKNIDDALKNIPEIEEVNVDNSLNQQS INIIQQMMIQKSNQLINYNAFLNNTKYFENIVYTLYKADTTRNITNIQYIVIAEEIVLVL FICWYAYKCIILIQMKQKVYKLFCTFTTDVIQEQYVTFSSLHSLMNTVKFKKEETNEEKF ELIMGQAFHKQTNNSILEKYQKVGKRIKQKKNNSTQIIFFLFVLIMMIVCSIYFIGSNLL HQATIDKVLIQFNDKILFQQAYYEITLAFAQSSVFIQTKITSDILAIQNNTVNELNTLRK KLSLYQQDQTIYNIVTKSACNIFNDSLTSIQQYDDLFSYEQCMSIPILQGGLSVIFADLC DQQLRFLQDLENNASQEYLQQNYYSAISQIERLYDSMGFFVIVSTLTNQIKQSVQDNINL DIILVVFSAVLMTISMIIMIISMRNIRSHYQTSKQLLTLVPLERLLENAYILSFIQQDIK LQN >CAK72902 pep:novel supercontig:GCA_000165425.1:CT868141:37927:38109:1 gene:GSPATT00009250001 transcript:CAK72902 MQLVVIMIGMVYYHDELFPISETLLKQFALVGTLIGQLLFGYLADLL >CAK72903 pep:novel supercontig:GCA_000165425.1:CT868141:38119:38592:1 gene:GSPATT00009251001 transcript:CAK72903 MYGTEFVILVFTTNTSAFASNTSSPNGLTVVGMLIIWRFFLGVGIGGDYPLSAIITSEFA NTKNRGAMIAMQGSIVALIVLLSFSNRLNNDDPLNYLQIDHISRIIVGFGAIRGLVAIYF RMTIPETPELLWRQRRCRKRSKKHLIGSVQG >CAK72904 pep:novel supercontig:GCA_000165425.1:CT868141:38611:39111:1 gene:GSPATT00009252001 transcript:CAK72904 MIGFGKGSNLSQYEILKQAIYGYLITSALGTVPGYWLTVLFVDKWGRKNIQIMGFVALTI LFLVMGLCKNILGQFIFIAPYTLANLFNNFGPNATTFNIPGEVFPTRY >CAK72905 pep:novel supercontig:GCA_000165425.1:CT868141:39231:39897:1 gene:GSPATT00009253001 transcript:CAK72905 MQKSTMSSHFQSISPPFLLSKRLKTDGPDFLTQLDLNAQRSIQRRMQRSELQLTEPDQKP SLKQKQNIKVHPRIIRTKQPSLPYLKLDDKQYYDKWYIPYDQRYIQKVTMTQDSYTDQLH FYKNMHKGTVQYDPFESKLPQDAQRSIDYNQRSEVLRDLLRGQKMIIEFKKSLEQNQQRI PQFLKKILEDKKLQQSKK >CAK72906 pep:novel supercontig:GCA_000165425.1:CT868141:39913:41357:-1 gene:GSPATT00009254001 transcript:CAK72906 MKQDQFSSTRVQFVHSNKLCTAQVLEHFHNSPYPKDRDQVTQFIQNYKPQILENYQELQN DPSFSVQFDETQLFFGLIVDGLKQGVGVYYKQGKYIFEGDWQQNQKSGRGIELFINGSYY EGQYVDGKPSGMGRFYWSNGEFYEGQWYNSKKHGSGIWKGSKGDSYIGEWKMGVPDGYGV HLWINGDRYEGEFKNCLKDGRGSEKFANGDTYIGEYLAGKPSGMGEYYWANGAVYKGEFR DSLRHGKGVWKRGNGLGDSYNGEYENDLKQGYGVYSWADGNKYEGQFKNDLRDGFGTMYW HDGTFYKGQWKQGIQDGEGMLSVNQEVIRGVFYGTKALEVIENTNFRKTHFRNNTYSVDP ASRQTGRDQSRIDYVQQQRKNSTETSTKMNSFTQTQREYGSSEQAIVNNNSEPKRIGRIT QSKLLHNKHKPMFPKMQQISQQQYKNQNQSDKRLYEQKQLWKPSGVVKNVKF >CAK72907 pep:novel supercontig:GCA_000165425.1:CT868141:41406:42103:-1 gene:GSPATT00009255001 transcript:CAK72907 MNLLFRQVVNPNNPKIVAIAKNYVKHVKEMGGDEPPKEPVIFQKPFTSLLYLPEGNGILQ LPKHNHEIHHEIELGFMVAKSGKNIQKENWQEYIGGYFLALDLTDRDMQGHFKKQGFPWD LAKGQDNFFPISDLITKEKVKDPNNLQLELKINQKVVQSDSTSSMYYKIPDLLAYISQFM TLRPGDLVLTGTPHGVGPIRVKDNLNGTLKQGDETLAQLNLIVD >CAK72908 pep:novel supercontig:GCA_000165425.1:CT868141:43002:43232:1 gene:GSPATT00009256001 transcript:CAK72908 MIRLHLKGRIWKKIVFAYQDMLQTFDPADKELLKHTYHNTIFNSKGVKVSNYTRKTSQKK ASNVQFNLNKNETLYY >CAK72909 pep:novel supercontig:GCA_000165425.1:CT868141:43611:44798:1 gene:GSPATT00009257001 transcript:CAK72909 MRKNTRLSTDSDRKRIISNLSIKSINSTTINTTTDATLPRLESNYYIKGRVKRSTHQIRF QRSPMKMSQPNNMLEIQSIKQSTKILLTSVVSELDKLAIALKHNSTKAQDVLNLTIDLMN MLGSDYDRLEIYLIVLQNKCKSQCVTLGLLFTFLIAIIQESYGHTKGALQQYKYMNDTAN KHQLFQCKMMVYYRIGLLLNRSKQFEKLLIIGKKLLKLSWYYSEQEYEEKAYYLISKGYN GIQDMEMSVIFMNRYLDGTLQQNDHIKNIGIQQIVMYHRRTQESRHKSPSSDDFNLEMFR QKIERNYIKMKMIGGKLTFKLQSSECDICAQYGKNCERIQTEDEHPYKKNLVLHTDSRNR DLIGYFDSEQIQQMYVPQKTLPILKQLILKFISYI >CAK72910 pep:novel supercontig:GCA_000165425.1:CT868141:44956:45279:1 gene:GSPATT00009258001 transcript:CAK72910 MKLYKQRVNHFEQLQYKQQLAISNQKIKQTIERIHQSSPSIDKTSVSLPRLKKRNQEEED IKKENARIKLKLETLKGNISNRQSKYEKPKRYEEVLQEYFKQKLQQQ >CAK72911 pep:novel supercontig:GCA_000165425.1:CT868141:45717:47191:1 gene:GSPATT00009259001 transcript:CAK72911 MSIDLSFKNLEILDQSLNLNNYVITYPIRLKTIINLQGNNFKGEQSYIQQLKVQHLNLSF NNIEQMWLLPSSLITLEINNNLLQNLSSIEIIAQLLDISHLKNLKLIDLSNNLLQSVHWF SNLTQLTHLFLKNNKVRYIRILQIKTIEQLRNLPQLIEVDLECNDLKNVAEVHYLETQSK INIINLFGNPVFEGLQLKILNVVEKNCHCRSEQIYTQSEIYKKRNQQIDDIDLFDEQASE KQSDLQPQTMTQKKYTKSLSPLNKQLPQFYDPKSSKYVTFHDVLRQKQRNITNTNYDVKQ QNVTTTNTNMTINNRQTMDQLKQQNENLKKDYMKLKQKFEQSQKQNQSFFQEIESYKESL NGLLLQFNIPIQEDEASVRSLLDQLEIALMTFIKETRKQSIEQINSMMMRMGNKVKVMSS QIEITTLKKCCEYTEKIKNIELALSKLADVVVEQQYLQQQLLENNKQQNFKGKEFIHLYK GNA >CAK72912 pep:novel supercontig:GCA_000165425.1:CT868141:47949:48907:1 gene:GSPATT00009260001 transcript:CAK72912 MSLNDWKNEKAMLEQRNQFLYMQIQEIKEREEHQKKFNDTIMQAWNQGEGRTNCKVYKEI QSTLEEYSRELIEAKKKSSIAMMKLENENTELKYQIQQLESIYQQKNQLHQEKVQELKKK IECQTNKENVGDNQFGCIVSVKSRTCSQNTSREITKQRSKYQNKPEILNCRNSNVMEINT DFLKEYSTQIESVSPQERQNLAIQLKQLTKELKTTKNEIENTNLQTANELKTEIKSMLNK LLKAKGKMTESIVGSGKDSVLMLRGSQYKPTLADFAKQLNAVRSIETFNILNPGKATNNY >CAK72913 pep:novel supercontig:GCA_000165425.1:CT868141:49036:49998:-1 gene:GSPATT00009261001 transcript:CAK72913 MDKQSRLNQEQILQCIRNHRDLNEILTKQKDHSSQELFDDIDKATMNQSNESKQSQESNS NSDKIDRAIQMFTEPKVILYAKQDSLSQDQMMEQISEVFNNKSFDQKVGSNLSTEISNEP CLQLGSSSQSLFTSGSETERRKRKTISEEESHYFVVKIDRVMNQTDERTTIMIKNIPNKY TVQMLQDLIDHRHDNYYDFLYLPIDFKNKCNMGYAFINFVHPYYIIQFYKDFHDNGWPHF NSEKICELRYARIQGRQALVQHFQFSSVMNQKVISKSIIVGQETQTSNSALK >CAK72914 pep:novel supercontig:GCA_000165425.1:CT868141:50460:51110:1 gene:GSPATT00009262001 transcript:CAK72914 MINIITFSKQLEFTNIEEVSESVPRFFIATLGINNTQMIEKKNQTNQKVNQILRQPKDIK VFLIQNTELNQYTLFTILKVENFYLDLINFITNLIMSITDGFIFAITQSGIESLEKLKRK ICIKNHNYSLSQLISDEFSDKISEQDCETLLLLEQQIDSSEQLDFKTFISSSLKTFKMLI VIISESCQSLKDLSLETAHAQFPSELVQFLQKLKNL >CAK72915 pep:novel supercontig:GCA_000165425.1:CT868141:51354:53225:1 gene:GSPATT00009263001 transcript:CAK72915 MSGYKQYDDVEPYRQRIRDLEAQLQRMDVRFSNVLTHSGKADTQFNNDLHVELEKTTKQM IQKRSEAELWKQKYEQQLSQTMQMRNNYELELQQLSKEVQRLLDKIDQFEYEKRLNDKQL VRETLQDKDLEIDDLVSKLQRMRSEHENESQRLLNENDNLRHKINQIELERQKELEQMRF KIETFHSQNLDNLRKTHQSQISVVESEIDKLRGLLEIKNNEIETLLQQNMKLKASYENQI IELNAQFDGQKSKYQKQEIMHRDQLAQLQQQLLDEQKFSLQSLSKNYEQSIFTLEKEIAT YQKKNENYSKEIQDLITEKTQLRDNYERQFELLKKDNEILKQRLAQLEQAKLKDLQQVES ELLQTKQSSIEIENVYKKQVVKLENELQLQSEKEIVKNKEVETQIQQFILIKQALEEDLQ HLRQQNQTLNEQIKELEKIASFEQEEWKVKLQQNEYILKDKIRGLENKIEIMHQELRKQK ELTDSQIKQIPEREFKALIDEIEQLKTRLVLQERDKLKEREDLRGKLEQSHHYQIENLKA AFNTQIRILEQENKDSKNIITQKENTIQELLHKYQNLESAYSGQTIGPQEQASKNIYQAY IRSSNRQSSLRKQQ >CAK72916 pep:novel supercontig:GCA_000165425.1:CT868141:53281:55056:1 gene:GSPATT00009264001 transcript:CAK72916 MQNNQFSIVFWRKVREDMRMEILLEKKPLKQFKLYELTEDEMNINLDQVTQWSPDNEKIY KNISENGFADFICNKEYMIETYTNIVFDSEDITLMDNQLLQFKNLQLLRLNHNKIETVQH LPQQLLELHLFNNPITTLNLLKHNLQYLGLGYCLLNDDAIQTIPKFLPQLIGLDLAHNQL CDLEYSVEACKKISNLKMLSLYGNPLVLMPQYFIYIVDNLLNLRNFDEQSFLEIKNRLEQ AEKEKQAKKQEALRKQQEELELQQKLLADKNKKGGKPGKQPDPVVVPQPQVIIPIDEPKI NLNELRQFKISLKIQTLENLEGILLDKYTYPLMEQNNKLFQSKYVISTNLFGVELKTKEV LYETATIENEIGRIDFEFSFEQFYNPEVDIRDAVEQGFLVSVSVEEPKMYIAEDGEKKPV LGQDELPEQQIRVLGVCKITCDWLRGYNKQFNKKYRIFKEETKTSAEHWFPLENEQELVQ AKMEMIQKEKDAELEERKAQQALIDQKKEQSKGKGKDVKKKDDKKNVKKGKDEPVQKVIG QLDWEVDGRQYIYVNEKKVHRATDMLLAIALDLGY >CAK72917 pep:novel supercontig:GCA_000165425.1:CT868141:55174:55854:-1 gene:GSPATT00009265001 transcript:CAK72917 MSSQDSDSEYQEFVTNFYDLVQDINEFYAAKSKESRTAKFLKQAKARRKTNQITFRMPEN PDQNVSEIISYNKNQAEKLVKPMRHQTKNLENSQVEPYIFNVHLILRDLKLKQQILRNAQ KQVYLNLIEYNKQKTKKSKPRNCCLHIYLAEKIYQEKLKEAATCKKFQEGPKIVIPLLKN PEQTRKGSME >CAK72918 pep:novel supercontig:GCA_000165425.1:CT868141:56508:57505:1 gene:GSPATT00009266001 transcript:CAK72918 MEYIVTQIEYFTKYLESKWIRSAPIFYVMTGILLSSINSLLSKTLGMNANQIVFGRGIIV CIIGKLVTQQQNINLYGFDAGIYQKLLLRSLIGCFATLLFYTGLFYVNISEAQVLMQTTS FWTTILGIYILKTEQFSWRLVLNFLFCFMGIILLVQPPFLRKLAGEYEIADEKQNQFLGC LILLLSSMLFSLVQVLIKNLSHNVNQLVIPQYFSITSIIFSSFLATCNPDMIWRVPSVGD LIKLCLIGIVSYLQQLLMNRAYMKGNLTEMAMLGQTQLIYGYLFDILRGAHISFLSICGS ILIASSICKRILEKNKVQDQLSK >CAK72919 pep:novel supercontig:GCA_000165425.1:CT868141:57645:59094:-1 gene:GSPATT00009267001 transcript:CAK72919 MFECQVIRKHLLIDKYYQLQLYEDRILIGQENRHPAKYEIALNLTNRISWKLNEKQEIIE FGIKYNSNTKWFAGKHENFQKIKQLLTNKIYNHSISSFYQSSELIGSGASSKVITILDQS KVKYAAKCISKDYITKKKTPDRLNRLISEITILRSLLNHQNVIQLLDIFEGESTYYLIFE YLQGDTLHKFLKSQSKPLSEEMIRTILAQLLQGIQYIHSNNYIHRDIKLENIVLSTKQCV QNLKIIDFGLAISSTVFTPFAICGTPGYIAPEILQYKESSQGQFRFTSKVDMFGIGVMLY RMMTRKSLFDSEHTKELLSLNRKCQLPKFSISGYSNELNNLLFGLLESNPIKRLSSEEAL IIMNITQMTQELQFSQFTTFQYDQDDFEVEHSIDYANAPYQFPNKFLKYELENSFHCNED HKHSSLHKSFKLSFSLRLSQEIPKQDFIIEDFQNVPS >CAK72920 pep:novel supercontig:GCA_000165425.1:CT868141:59140:61787:-1 gene:GSPATT00009268001 transcript:CAK72920 MRQTPFQNAGQQSFNNPAMQMVSPPSQLNSFMNDYDQLVRPGETTNRRPRSRELDMMNLL LIGSMNKGDKRSGLEGLDQFLGSPNTTQQSMTTTNSLANFGQQLLGQPFGMSPFDPLMPN SQPRDQRSMPIMPMPQQFPMQQPQFPMQQPQFPMQQPQFPFQQPQFPMQQPQLPFQQQQF PMQQQQFPLQPPQLPFNQQQFNTPNHQIKNQEKLLINGQMGVTVNQTTQQPPELQEQPKN RIIDYIARQNEVLEKLTKNMATQREKESQDEKQKLLERMKKLEAQNAQDAFYNDMYDEVP PHMRQGQQFKPPPGYQYQPYFQQQNLYQQQFPTMPYPEKQKSKQQTLQMLRMLKQLKITN QRKKNKKNKKQLDLEEIINDQAEVDSFTSEESDPEPRIIQKPRVLKPRPIPPPPTYDPKD DEIQLKKLIKNRGKKKLYLRFIMLFFYVWKYWDMKKIIQAKKQYVNKEGPEILQKFMDDS QNLFVRVLKTTIDELLLPNLNIQVVPKPKQKISPNQMNQNIKKLKELLKKVFDGVTDNAN ETDFTENMLIYLNSITCEYAYLMDSQHLKFEINRLNFTYHGSLKNMEQKKQFMMIVVSMI VKILLYRFLSKAQYLAQKANVTDQTKINIKTLTSVVYEIFLLYIRGATAIQPNNTKDLPI ENVVKEKKDMYYQLEGFEATEEEKAKLEEAKQKANSKDERIDEPMLYPIYSGREMAAFFD AERQYVSTLQQMVEGWCEKVCNILQNYRNDKEAKRKEELKKRRESINNSLAIKRDRVNKI IQLNKQREEQISQEIEELTNKIAESSENAKRAKENYDQMQRQREERHNNMLLGPRNMGQQ MPNQQDGQYGQPMRRQF >CAK72921 pep:novel supercontig:GCA_000165425.1:CT868141:62006:63423:1 gene:GSPATT00009269001 transcript:CAK72921 MELEKTLYRVQERILTHQYVPQFTNICSTILLSMASINLLILWGLSTRNINQVEFDQNHR DYLYHYTIVDGDNTLLTMKYSSTPELLHLKTELLQQHNFTIININVDYNSFFESHLQALL SQATNLETVFLHDVAYSINSNIYVKNNSTNQTFHWRQKQDVAQNYTQKVSQNLWEFVVIT LGLFISSAVSSLYIKITIICAPVIIIIMLEVSYLFGNRQIFPIFLARAFPWIGLYLNILD RTQRSKKQLIIAFTLMLFLIYFIYLSSIFIGSYLLFKAQVPYGLEDNFFGLVTVNEFASL LFLRTRTSLYFVPKFTIIFYYLFLWYVRSTSKITTFILDYGFYSLAMLSLSYACFGTFCL FIFIYEIPSLGWNPLSFYTPTLDRPRCYYLPVFSMNWVNELPQLWTMFYPLHGRRFFQIQ NLALVDRNFPLLNNLLDIEMQEQQ >CAK72922 pep:novel supercontig:GCA_000165425.1:CT868141:63983:72677:-1 gene:GSPATT00009270001 transcript:CAK72922 MTHLIPYLILIFCFSCDFIMDLSQDYQIQTQELSFKLEDLASNQYFSYGLWSKYLPLRQI SQIGKIGIFDSNCYHLHNSVDSSTVKIDLIYFDCLDFDEKKITKVIQFFDYDGIFHSYDI KLDQWEYENRWYFISIVQWPLEKRCELLFILHPDTIFTKILQIICPFRSLNQLMTFGGGL ILSNDEILQSMQGSKQLSFFPGKLYYVFLLSQIMSFDRNGLAIAVDTFGELYICNCESNI NDKIENEILIWLDQRYFTSENGNCDSFGLSGWLRIKEIHKISDNFDYQFLKMNKFSQNLK FNDDNLSAFQLLYRILSQNKYEILIMTYSYTFPIVNIDFTDNPFLIIREIEIQNDIYLWH YLQVTLQQKVLQIMITFYNEEDQYQYSDQLNVNHFNEVQFQIHYGNVNQESENYLNVQIE NFHFYNCDTTLVNKRCHLSCEQCDGPTNSDCISCSHNSNRIYIPTEKACVCHYNEIDQDF CYSKEQFQIQIIEYTKTEIECEYGYFYMDSQTCIKCPSLIKSNLITCLECIFNPLNWKSE LYCQSYLYTIADNTYNTIIQDTKEYYILIDDQLLLCKDCDQTNFQSDSIIYQDFSNQFLI QKEICQRNYFLNQYDPYCYPCNLNLCEVCVVQFNGLICLACIFKLSLNEGFCNRYNNVTG YSNTNDCVSPYYITSNKQCKICTINQCKFCFEYNRQDLTKCTLYKDFEEFNKDENHQVGC ALCEDNFIFDFQKGYCIHQKPTLQYCLRSFINLEGIEICTLSQIDDFNIAPEIINCQKYI ADCLQCVLSPQQIIKCVICKEGYKSSVKTGHCSPNINPNSKITAEGILNIFDAWVQLIQS FLMQFLPNQYYYYLQDMPHQKLELSIECLQGFQLSQNLCFQYCDSNCLQCDISQSSKNIF ECTRCPLNYYRLPNRSKNQGNCLICPQLCSICQLRSKKEIQAINPYFILNEATIPITYKC LQPAPDPNIRINPILQIAKYCFNPNCQDCYQLQFLIDCSTLSYGLNYGTEAYYQQYINKA YLNAMGVEVLQIIFNFSQLTADAFCVFGNTLYINNRLREEVFSLQIIKMQIIGAVKITQN FHYDLEIQNFEVVEISQMYFYMYFPFTINLFTNKVSLIINDTTFQAQDQFIQSQFQINGS IYQEFILHNVIFKNLLISESTVFNIKYNSIIETVFIENLQIINCTFSNSKFIQLSGNPKK IIVNNLEIINCLFYNTLIFNFFEIESESFLVQFQKISVTETTLKQSVLVNNPQNFGLTIQ DMRFSNNHLILSTVFITNFKVFCLNLNISYNNFLGSLFIKSEQANQTNDINMEFHNVEII RNSINNSSIIKVSSDLISNSFKLILTTVNILNNKDDFLYKQKYYIFDVHVKLLFVNGIII KDCPNQNIFYIFQTQDILFQNVLFANTQQQQKVPMSLSCSDQIEQNQQLIELQGFQNLTL QNISIQNHFNIDQSLISIFSNIIYQTNLLELINIQNLKFSNNILLKNKIGNLLSLITIYS EKEQVIEISNLTFIENIFNQYSDDLSISSSSLFYINSQLSRIVLIDCFCYNNMLTNSSNS FFSIQSDNTVIQNLTVKNHNIIDQQIFKKYYQIDFLQSLTQEEILLVIKSYLMIQNKGGV VQITASNFQLKGSSFENILAQSSAVLEIITKFLGIVCIKEVGLKNIQVDFTQTLDIHGSI TIYSQNSQLQLELNNVSFINVYNKFDSSCLTLFPSMKQNNLSFKNIYLENKLDFQQYKNN LNSIIIQNMTIIQTMDAWLNYFTFVGTLSLIEMLKILNDNAIINVFGSSVKIFNLNVQGV LISSALQIIDASQFQLFETYFTTIQTFVSINIVYIGQSRLNKIQLLISQIEFSQFAQFKT DQHQFIITNLINTNTQFSICFPTIEKSHFIRSDHNLYSIINQLNEQQSKIGSMIFIQSWT NETRLFLNSLTIKNNDCSNCYLGMLYLDIQNVNKIKINNLFCYQNKLLKNGCVTIMTNSS LNSSIIIQNSQFIQNNGSQGSGIISHYIHIVISKCIFLNNNASTKGGAIYIESNSNQFQF LNTIIMENKANSGGGIYLDGNNNDLNSVNFNGSILQLNKALENSDNLIEIPDHLELEINS QEMSFYQDKQDGIITNILQLNFYETIQQGQKMKTSILMIPSNQQIGNYGLFNMQKLDYFV QISEISISLRNSMNEVLTNYGDSICELKQSVVSLDGVFKKETETFQVLQINSQSKKYDLG TQILQLDPYSDINTQLKIQIDCNPQYSSKRLRYVIYAKSYLCQLGEYYVDNGCQKCQSIQ GYYSVTYNATKCSIFDNSKFKNITSNQINLNPGYWRPNYLSDSAEQCFKNVIFCSGGWGV SDNLCLLGHIGGLCEECDIYNIRGNGQYIKSYTNMECSICPKESINLLPFVFVFLWQKSF LSILLSVRSIEKTNQLYSSLSFKQRFRRIIFKLNQDHQSFLIKMLLNYLWIFSLIYSFNI HFPFSITFFESTSNSSYVLANSQDCYLSTLINTDIVYSRVITMIIVIICQLVLFTLVAKL HSIIKKEYFQMSIITNTILYLYLSNNATLIKQFCSLLAIRRISEIEFVQGNVSLLFNTQT HFRWIIWFILPGLILIGVGIPIFLFMLIYFRRESIEKVRFRRHICYLLNEYNKNSYFWEQ IKIWKKTLIILIMIYFETNIILKASLLGLCLLLYQTLALKYKPFILNSLNILDISTCQIC SISIFLASVQYVSDQQNPVISTFLQMILIILWIKLCQPFILKIFSIYYKRYRIEFLIQIL NCIKKVKSNIWFVQILNNRIQTLKKKEKQIQINYGKLKLYLICCSKISLEQHKNMNQQLI PISTLRSRVSFQENDIKQLFI >CAK72923 pep:novel supercontig:GCA_000165425.1:CT868141:73203:74916:1 gene:GSPATT00009271001 transcript:CAK72923 MHKQRLIIFCVLKIIIYQNLRMFQLNQSHIKYHNQFGATSAVYFSSQQTQRDKPSQTRDG SEIKQLIQYPASQIVYGPSMKFNSPVHSNIAFRKTSKELNITIEDSTMCQQLRQPSPTVK KQREQMEKDWNKLIDKSKSLQDNLVLLKNNISMNLNKKQSDQRQTKESTSTAAGLSSRMK LQKVNVSCKLNSPFKVQPKIAACKSLSHNAFGNLKFTASAGKNPTVLNTTSAINYVNKNN NYVKKLLDEHQTQKVITQNLMPKQTNPLYIQIENKLKLKIGAFLGRGKFSDVHMAIDNRT GLVFALKIIKKQTVIEHQMQEQLAREIVIQSKLSHPNIVKMFGHCYDQQYIYMMLEFCNN GELFQHQYKQPNKRLSEKEASNLIMQILSAIQYMHKQGFMHRDLKTENILLSLNYIKLCD FGCVREIPKFEDRRNTFCGTVDYIAPEVIKDEGYDERCDAWQIAILAYELVAGNTPFSEF PRDDEAIMENILKNKFDLPNFFSSALKDFVKRGLQQSPDQRISIDQMLLHKWIIDNNKAT DREYAF >CAK72924 pep:novel supercontig:GCA_000165425.1:CT868141:75110:75352:-1 gene:GSPATT00009272001 transcript:CAK72924 MQMDIPSMSQTRLSSKRLSQRFRIDRQGHPILRGFKLHSVTFIDDVVVGTTVHKTILVDC WKHHNLNDFRKKPSQCCEIS >CAK72925 pep:novel supercontig:GCA_000165425.1:CT868141:75458:77418:-1 gene:GSPATT00009273001 transcript:CAK72925 MRITPVSLLNFDQTSVRDPIINSPRSLEACRRQGIRPEQLIMKSIYDLKRENRNLNLDEE AWKTLLQHEEDKRQARVQLLVGERENIIQQWKNDNAQKKLERVDFEKERKRMEMIQKRRQ KQMQKAVDEEIKTQEIQNQLRQKEMEMRQKQNEQRQELEQRKKEKKVEEEFRKQQMEYQD HLRKQKLYAEQDRLKYENTHKITPQQRIEQEKELQKQKKKREKQLKKAQDEREEKERYRQ QMLEQLYEEKLLKMEQREEKRKILLEEEKELRQQLIQEKQNEANYRREENKRRAQHQQNQ VYLNFQQKQFNAEQKLIAKQQEIEMMNKEQDMKRDLQRQKRQQIQQKNLVQQEKRRDDLI FKFKEIEDRFKINEMDRELTLHQKRQLQQEREHYRQQLLLKNDQELYKKCEKINMEREAK ELQALKVLKERDDMIKEKHLKDVLKKQEQEETQERMTRIRDYEREKLQKELEEKSKRRDQ IIKEKMASEKKKQEYKKAQIIEKEQLQKDIAELLKEHQQQDPKPVMIKPKRRPESAPQKN KGFQEKQQQQKIKPVAIDIQTKENQIQELKIKQNQELLNLLQEEENKELDRQKLLRQVDD PIERMRLERILEFERALTQDRLAQLDNKHQKELEQFE >CAK72926 pep:novel supercontig:GCA_000165425.1:CT868141:77744:78313:1 gene:GSPATT00009274001 transcript:CAK72926 MRKKQTRPLFVPKPENGDFPFDIKNANTQEIKAIDFKRMYKTMQMFLEKLIPDQSLNQRV QTNIDAIFRVFIEKDLNPPPPQKLVGRRSSPYTYQKQEFWELVEHLNSMGFSYRQLSQRL QVHYVQISTHHRGTVDYDDEDSEYESESVKKVSKEEDHSQQVIQKQQQHDVFYSDDEKGQ K >CAK72927 pep:novel supercontig:GCA_000165425.1:CT868141:78476:80266:-1 gene:GSPATT00009275001 transcript:CAK72927 MLLKLPNYSTTYINYHPLIIQLFKQHNTIYFIYYYQQCPQWNFQILYSHKSRTRSKRSNL DQYRSLAVKKSVDKMIKYNSMSSVLGQDNDYYPKQLEDQKKIDEAQEVHANLTELKKSCD NMKMSGNTKDKDIDKMRKDIDQMSYQIEQYNLKQKDLTKQENEYSQKLQEQQKLLSQSNY DKKIFEHMLTRMKKDQVTYQLRANQYERHLKQALSTCQSTSLKAQQIQVLHQKTMLALKE VADGIKQQNKNRETNINRFKNELKQKQDVEQKRDDRIKRQQEIAEVAANDIRDGALKKWR KLLLVHKFLNSFLKNKMQMGINQYQNLEIAYQKIKASTGISDANEIVQKFIGREQTYAHL LISISDYEKKINNLKQENLELRNHFNQLKQDYSDMDKEFLVENNKQRNEQTDQDKMVIEV EEKATISGLLQNKLKNWITKNLKKLSQSKDKGFQGIIDAIREKLLNLSQLQQQELLNKSM KSSVLELNDQEFLKRNFRIKPKKQNSHLHSNNSQDYSILRDEDEIFNELPSKDEEQEDQE EDHLMNQLREEIKGKMLKK >CAK72928 pep:novel supercontig:GCA_000165425.1:CT868141:80341:81152:-1 gene:GSPATT00009276001 transcript:CAK72928 MVSKIQDFLDQQRIELSKNVENVQILICIDFYNNGQSKEQEIIDSQIIQIQQTSQTPLTS FKSIPQIQAPFSVYLTKGNGIVSCYECVAYDGKIKIEMVSIIDDVEEHKLIPRENRGLEE YNGCNHHIEDSIQHEMLNYLKTFEIDSELAQFVQHIQIYQEQVLNVNYLQDNPILHD >CAK72929 pep:novel supercontig:GCA_000165425.1:CT868141:81183:81913:1 gene:GSPATT00009277001 transcript:CAK72929 MGATVCKQRQDNYGQEVIQDQNDVQINNITFAKEQSSKLDRSSLKHPSLKKKTITQILGK LPDDPINLSNNQSRTYYSCTSNLVKQCFQTQRCRQLEWRNTTFPEYLVDYERGCTISKDE LNIETSLLSTRRSALILEFKEQTSQYSKSCKVDKRQKLLNMILVEDNFDDYIYYDWKNSN LQLISVNDQNLLKKLSSAKPMSKQVKKNA >CAK72930 pep:novel supercontig:GCA_000165425.1:CT868141:82527:84064:1 gene:GSPATT00009278001 transcript:CAK72930 MNKYCTRFKDPVMEKQYINHKVKVIQFPILTYLSYGGTILLFANSIANLINSDYKNALIK GAVMIYILLQYIILRRNESFRMNYCNLALMMTNFSSLAFEISIYPLYDYYDGYLLGSNQM LVHTICMFACNLELGIFSNIALTIVRIILVIENSDNLTVQQYFYSIIMSLSFMVIQYQLE KQYRQSFYLQFKDNSWEILIPLLLKKPFFIFTFNKNTNMYQTIMSAQTEFFNSEKPLTTF LFKSKVKQQTLEKYLIKKTHINAGRKQSPCLFNHQLVIEYQTKKLQASLVCCRYEQLIYA IIIDSEDPLLNQSNIKLHQSLQHFRNILNTQLMQINKVLGLYLQETFSPLLRDLRISLFE VYYKQLSNQKLQLVKMKKLLLKCTKIFETPYTKIKIDCGEDIRFATIKSTLIIFIFEILK QVEKNQQVFIFVNQKTTTTLLIYGLKQLPQTGQFQKCLQTLIDKSNITTQYFSFEFLKSP SSHFHFETHNKYQQLI >CAK72931 pep:novel supercontig:GCA_000165425.1:CT868141:84251:84734:1 gene:GSPATT00009279001 transcript:CAK72931 MQYSNTYESVVKTQVISGQDQKPLNLGLTDVEGAKAVARRLFDTYDRDRNGQIDNVEVVP MIVDVYKSFNRIFSPARGDIDSFYKVLDRNQDGKITYQDLEDLCIRYLTNQTPTNLRASE APRQSGLQQTSQTVTSQYRRSQF >CAK72932 pep:novel supercontig:GCA_000165425.1:CT868141:84782:86769:-1 gene:GSPATT00009280001 transcript:CAK72932 MLKYQKPQTCSKQLISQLIERADFFGMLPQFRILKRQKFSTPLGQILTIILCCAYLYYIV YEILNLANRYSPRVVFSEFQPSNTSVRILMLQKPLYLSKNNFTFALTITNPELSSLQTLN KYFNLAVQNCVRNRSLNEEGTAQINYNCSTIPLEPCKMDQHFREQYQQEYFQKFKLEYMY CPEIKQWDQMAMQLQGFSQEDRFQFLTVTLSICKNSTTYQGCAPLEEINSKMQAGFYVLY LSDALIQMQSSSKPYQDAISVQYTQFSLTNSKSIHSTIKVTETNTDYGIIKTNYVLDTAL QQSNIKEDSSQYNHQYLVQNSIFLEQRRNLYERSYQKLYTVLGQVGGLWQITILFLNCIF YPLLFSSMNLAMANKIFRFESKESLDFDIMSVAKSVPVGGQLNKSDGKDFQDEVLRSDNT LIRNPFVEFSKTQREIKNFLRKKKCSLNFTLLDNIKFQFGCKKDKQRQLKYAMNKIINKL DICQLITKFNELDKLKHILLNKDQLALFNYLPKPMIPYDMFDENFENKIKELEQKQEYKF ILENEKPDVLRLDDAYEAYSRVITKQDLNPTDEAILKFMDDDIKRLFSRIHSNQFEMVCQ NFQSSQLFMRNNTDKVEVQDEPHIQLVFGSITSKQY >CAK72933 pep:novel supercontig:GCA_000165425.1:CT868141:87170:88297:1 gene:GSPATT00009281001 transcript:CAK72933 MKSTLTVLLVVLVSVQGFQIDMFSKHHIPNRNIMEIMMQIESKLASGGPFDTINTMLQDF RTSVTSEQIAHDDLYARQKNECDSESAFRKGQIQDASKILSASTNQLNLCSFQRQKAKAE FGMTEDQLTMNQQHLSLIQEVRKTEQENFNKMAVIFQDALRVIDESVYLAKKFSVGDASL IELADATGQMMQHSVSLKRTGSYAAVLASLARISLAEQVSSADVERLIQLLQTLRNNIED AYNQFTAENNQAIVLYNNQKERIDKNIVRLEKSKTRLEDQITDLNGCVATQTAISQAASN KKQRNQKLLDDATALCTTFNSEYDNASAARRQELVLLSEVERLVEKRQNEIK >CAK72934 pep:novel supercontig:GCA_000165425.1:CT868141:88676:89303:1 gene:GSPATT00009282001 transcript:CAK72934 MQADQEIVLKLLFVGSSVGKSSLILRYCNDEFKEDFFPTIGVDFKLKQLEFNGKAIKLQI WDTAGQERFMTIVSSYYKGAHGFFIVYDVTNKQSFEEVAKWVDQLKIDTNPDVPKILIGN KSDLNGAREVTPEEGQQLANTLGVQYIETSAKEGFNVEHAFQTLLAEVYARHEKEEKEKE SQKQ >CAK72935 pep:novel supercontig:GCA_000165425.1:CT868141:90422:91945:1 gene:GSPATT00009283001 transcript:CAK72935 MQLKQLGRSKMCYLQEHQEFDMCIKYLCVDSQCTLQFRAMCTDCYNKLHQGHRVIDLIEA ERLAYQQHQEHLAQFKLHIIKLNEILQHSIKTIKSHVKKLQAQFKMLIEQELEKLHQDHL KPPIDLNDFAQQLLQHQNIVSTKPIQILTKGVKQLLLKSYFLTSDISVFTFKDYVNLSDE SLVQIKRFDLVQKVLFDQITFNTNSTEALQIFNNHPQKIKCPGLVHIYSLDIKQDGTLIA VGGHPDTLLLIDPISEIIVKTFKTKFLEIFAVKFSSDGLRLAAAGSCPYEIYVWNCNDFE QSPIILKAQHLNQINRLQFVSKCLYTASDDYKLRVWKLKKNGVKNYKEYIGHWDSVYGLA INNKHVASTGKDKTLIVWFEGKILKQWMAHDAEYGGYCVEYSLDGKLLISTGYDKIIKFW STEHNYKLIKQFQSHEEPIWTLGLLNNTELLATASWDNTIKIWDIKSGKCLYTLENHFHS SPCLNIKRYPLQFLATNEGGFILIWNL >CAK72936 pep:novel supercontig:GCA_000165425.1:CT868141:92155:93207:1 gene:GSPATT00009284001 transcript:CAK72936 MINEQTIQDMVPYIWPEDYDERLQFSLDLKMEFQNFIVSYPQLKDYVLWTMQKISEEAPY LDLQDIFQIDQEKTHKLYKVLHPLHSNNTFIDFKQPVSNKALQKLISDSYRLFNRSILDY QSKLVTYAKYYKASVSIFKKSINQMYIDYNTLNFVCSCATKQCTTLNRLWKDYDDTSEKY KKLQLSLQKLEQMQKPDDLTHYFNRQQLILIYTLFSLMQCEQTIAIQLGEEYLPLQLSPL EPHFEEQAEDFSWNINGFSQIRDYFPKLAHKLNKRIKILKDLPLFTIQDRIKIYQYTQHQ LGYKKIDDIHVIQQQNISDILNYRQANCDLELILLVANARCYTQILYSLI >CAK72937 pep:novel supercontig:GCA_000165425.1:CT868141:94351:95189:1 gene:GSPATT00009285001 transcript:CAK72937 MDDSQLDLGALEDIDPSLEGGFKIQFDREIHIETRVQDANTGPQEIGTLEQVRVKILVQG EQQAFENLKIELTSETDLFFNYITIINKETYKSIREEQKLTIEYPQFLQMLIKLLNACHK EPNHFFCVLFMQQDGAGRLDFIENLEYKFMEMLSLEFSSASEEAIRQNVSFRYNLMKAKL QFVQNRLNDIASLIKLKNPSLLVQINKASAGVSQNQSTQNASKYLGQSKKTNTSKFV >CAK72938 pep:novel supercontig:GCA_000165425.1:CT868141:95810:96631:-1 gene:GSPATT00009286001 transcript:CAK72938 MGFGTNPLQNQNHEDKSQYAITGRPQQNDVHSIYVKGSQPWWRRWWATRDMFQEHHWEVL TCAKYAYKGALTAILFGATYQFKQLSVNALAINKGRVFGSKNGFTGPAFIALRQASRHGA LGAFFGVTYFFWFYQLVCSRGHEHTLNRYVFGHAAYGAALAAFFSIRRYGPGALLGGLIG WIYYNTAHGNLQIGNKAEQGINFEFNGLTPEQREAIRQRDLISHLSRTPEFKLNLFNKV >CAK72939 pep:novel supercontig:GCA_000165425.1:CT868141:97343:97902:-1 gene:GSPATT00009287001 transcript:CAK72939 MSRKQTRPLLILKSENDDFPFDIKDVNLNNIKQIDYFRMYNSLKMVLEKLIEEQPLQQKL QTNIDFAFQVFLQKDQTSTQQQKAGKRNQSQPFLKKEMQQLIEILSQMGFSDKQIQERLQ LNPGQTFNGIGQHSEIQEGDQQSGKKQTKEKQKQGQVIDKQKLYYEQQFHSNDEPEQN >CAK72940 pep:novel supercontig:GCA_000165425.1:CT868141:98491:99177:-1 gene:GSPATT00009288001 transcript:CAK72940 MHNFIYINMETETKFKFLLIGHSPVGKSALLFKFCDNEFPEKHNSTIGVDFKTKSLEFNN KAFKLQIWDTSGQEQYMSLLKNYFTGSHGVLIVYDITNRQSFTEISKWIELTKNPNTNVA KILVGNKSDLNGAREVTSEEGQQLANTQGMLFIETSAKEGFNVEHAFLNLIEEAQARLEK QKKAEETQIQ >CAK72941 pep:novel supercontig:GCA_000165425.1:CT868141:100077:109637:1 gene:GSPATT00009289001 transcript:CAK72941 MHFTQIQSERKYYVLEFKMNQKATNLFMFIIFGLLKQCLSDCGYINSGSSLLSLTDPQQQ IDISLDNLVLQGASNIGYGVWIKYQPFVPISQITTQGSTSGGMTFKATGDSGSFIYLQQQ KDTKFNMLTVYITISAASNTIMHNIFYSFQSTTGTVSFSFEFGEYEGRWILFYVYYDLLQ KQTTLGFYQAEQTTSTLTKQLQDIPSLVKQVRHIIGGVQEIKNQLGENLSLSQFKGRISS LFSKEQLNIFLDLNSFLSTCQIIQSCVIKQYKMSGFNQLFTGNGFTNNSTSQLEQQKYVI QGWVKMDFLFDDNYLETVIFRVTINNNYNDDMTIGDKELYLKYYQSMTPALNGFSLTTYT YTFPVESKQQSSGTDILTVTGIQYQNLLISWHYIQYEIGTNNNNGQPLFSLFFPSLNYVL QYKWTVKINHFTGTILYYFVGGDNFIKTYLLGQLSDLTLTTYCTTPQITLNPKCHYSCQT CDGPGISNCLSCPTDSFRVQSITQKTCTCKNKYVDIENDPICQPVVVKFPQLEEDEVEVV CNKRGFDSCDVNAQKCLFGYFFYKDNCIQCPGYSFYSSRFQILCSNCFFDPTSFSQNLSC SEDTKTYSQDDENAYFTTERQNREIEFYTANLNLDGYYELTLQQGFLQAGTCKKGFFLDS KQQCSACLEGCETCVKKGICLNCFSNYALTDDYLCQQCVGCSSCTFSNQITQCTSCKTGT YLTSLGSCQSCGQHCASCNSQGYCNYCDNPTLYFLSFDGKNCEICTITNCQICYHYFIKQ GVIYTKLDISASVVDRAQSQLFIGCALCQKNLYFNQITIQCEAVTQDSGNGSNGSNEIDP VPPGDQNGKDTQVVTDSCTFGLITDSQGTNHCLISSTSATSTQTTYCSTISNCQQCISNY LLANTFCIVCADGYYSSILTGKCNQCDSSCQTCIQQSKDYQDYWKWGIKAYYKYVLNSDD SHPFEQYATQTSESEFELICTSCPKGYVLNEFTCIKDCNEDCTDCSIINGVSTCTQCLET PYGFLKSQNSKGICLTCPNNCAACLERSTEEIQSINPHFVTTQTNIKYTRICYERFQQDS TEGQFYNDQTIQTISFCEKYGKCYHRAILKQNVYCHQSQYDQDLQQSADQQVFLQNNIYI DELFKTGYLKVVETSSLYTYFNEKVVRVVEYQFKFIQGNAPSCFIQSDSKLQNKIQENVF SIQQVKLKLIGDQYPTTIIIDKTLQISNFASVSFENIQFQTAIQINYDSKQSFIILQNIQ LKLNLIIKDCVFTTTNSTEQLKQFQFISDSAYSLTIDNLIMRDFKVQDSSVFSYSISSGF EQQAIISKLEIITSYFKNSNILNFQAKSNNLYVNLQLNDVSISNTRFDKSNLTNTETQLQ YDIGKITVNNLTLSSVILNGKSTIFSVQGAASFTTKTVTIQDLFIRNNSFFYYSNIIQIS DLSANNTIIQDSKLITNDVDFAISDDSLLNSAKISIANFKLENLQYSNAQAILKLVLYEK IDSQAVSIDSFTLNNSLSLLNLIPNYISYEQSNIYIECQQCKLNSVYIQRGYGLPEITIV RSQNLTIKNLYLSQSAAYYSKTLHQSFECVNNFAYSQMFHFLYIGFYKNITIKNLQLSNS ITYNNPFIIIKGYDLMEKIENEQIDIIDSIFDSNMLIITKANRATSIISILSEQSTIVTF NNVKFYYNHLNQYFQDLSRQSASTLLIQLQQGNFYLENSYFKQNLVTNSTDSILYLKAIS VVISNTKFQKNNILQLSIISQNILLPNDDQDEINGKTLTNAFPISSKSGNGLLIATYLTL DKVRVEQSFSNYGGGFYINTQGISKIVIKNSKFLNTQTLMQSSSFSAGGCIYIDAQLSKL ELTISSTTFDTSISRIEGGGIYIIPSQQENNIQMTNLNVVNCYSIKSSFLSFQPSSLDNI KSQIYISGVIFQNTEKGFNNFISLLLSPSTADLDSIRNSNALISIKYASVSINNCSFQSP QFSYFLDIESAANISLQNIEIINSTVLYSPLLKMSLRPYYNSNLMIKNLTVQNVIQKRII VDTPCLVTSLIASGSFVCPLVATTQNKKLSDYDTTSIQQITMECNQYLIYQNVSYKFSLI EIDDLKTSHNFQAEDISFDSIQCSDCQYGILRFEEIEQSDTENILFSNIKIRDSNCGQTG CLSLLQYESDSILRKDLLSSSLNQRVLQQHDYYNLTYNLIYQARILNSLFINNIAIYGGS IFIVEISSILHGCYFKNNSASIGGAIYYFSKQTQLHIFETQILENKAKIAGGLYLNSQSL QTTTQLDVYLSNNNSTLFGSDVFENPRSLTISLDAGKTFLTKNQISKTATSVVEQIVIIP YKILGQAEKSKFIMFPSGRAIGTYKYFDQYTSSYIPYNLTFRIIALNKYNTQEKELEGST CTISPSIMNSTTKETISGLTGSLSYTTVKFNQTSGDFNLDDLIIYFNPTYEPEIIMQLSI FCNIISIPQYQSTPPYSIDNAITNYRLLVDIRTFNCQLGEYQNMTTGGCTLCDTVQNQYQ VQWSAQSCSYKDDQKMKSIESSMIELRFGYWRAYYYTQTVEYCYHLPSNCEGGWKPGDQS CIEGHIGALCEQCDLYNIRSQGSYSVSSKYSCGSCDQIIGNVLTIFFISVWTLISILMSV NSTVEMIEEFIAGLRLKAFGFTVLIKPASTAIFIKAFTNYLQIISTIATFQLEVPSGLAS VVNSVGNPIESMAYSLDCFLIRITDIEIIYFRIIWSLLLATSYIMAFFIIQGVQILAKSI KYNTAFVSTALIYVFIYLQPNLIAGLISLVSYRIISDEYWIQGNVSYRYDTYSHAKWLIS FCFPLLLLFSFVIPVFFFYGVRNNRGQLNKTVVRQKWGYLYNEYKIHAYYWETVKILQKE LIIIVLAYYDDHIAIKASLVFLVLFGYSFVTTSQKPYISGELNYLDTQSTIVCAVSIILG SSINTAQKSNLVEIVWPFYIIIAILNAYFIFKMLIKILFAYFDKLHEQIDKVKELMVSNF PNLIKKHPFIQDLFESRKKQKARVKARFTKIKNHLLPQAKLIIQFKKQNNLDLPGRINTQ LSDYDEDMQKKMEKNIDINLCAINPLSPECNSDKDSNVDSLFKKPQSSKVYPELIINYMN QDSLKSSIHHSQS >CAK72942 pep:novel supercontig:GCA_000165425.1:CT868141:109797:119063:-1 gene:GSPATT00009290001 transcript:CAK72942 MPLVNPSDPQSVQDQTLETDVVQGQMNVGFGLWVKYQPFIEMKDLTDRTSQIVTTSNGQI IVQGGQFIYSMEQSVNKFKMLVVSIKIDSTAQTIQHNVFYSFKSSLNTLQFTFDSSIYEG LWVMFYVYYDQLLGKSTFGYYTALESIPSQTVDDVPPFVASIQHKIGGFFQYTNQNGAII VLNQFTGLMSTIFTTHDENIFSNLASCQNFFEYDSCNPSQYMVSNKNQAMNGDDIIRTNT FQTRDPIYVLKGWIIMEQLSQAEQRTVIFRITINKDYSDDMYIGDREVLLEYFQSSLPLE NGLQISTYSYAFPSRGRYKTQEDNKISKFGDQFSELFLKWHYFQYEFGTQNNDGKPMLSL YFPTIEQLQQYKWSQPVKHFTGVVYYVSVGGDDYSQRFMKGYVSDILLETFCQPVEALLV PSCHYTCLTCDGPTQYNCLSCPEDSFRQHQETEKTCFCQQRYVDQDGLYECKSILQAFPQ ITKQEVELKCSKLGYVNCANDSIECSFGYFQLDQNCVQCPNYFDIFTRTQLTCFDCIIDP KIFAKTLKCTQDAETYNSQVEYTFSVSLRKPNDVSYFELSKDGNDNYLIKLCQGCLGEGS CKEGYFYKDKECQECLEGCQTCITNYRCKQCFPGFYKDDEHLCKKCTNCKTCLLNNGKQW CDTCYGTQELLYGKCVSCGVNCQSCNSDGYCYFCNGSPSLRYISFDGKSCEECNIENCIY CFQYMMNGGIYISNLDLQFNIINFDFMQVSFGCALCKQDYFFNLSTQKCELKPVNDDCDF ALILSDATQKCIISSSNIDSVQNIDCPTLLNCKQCIKNYIETDSFCIICEDGYYSGVLTG QCQQCTHTCKTCIQQNKQYRDFWKWQIKAFYRYFLNSNNDHAFENYASTNAEADLELICT SCQVGYILHQNQCIKGCDENCKECVIIDGKSTCIKCYETSSGFLKSLNTQGTCLTCPSNC LACLNRSLDEIADINPYFIVTNSNVQLTRKCYEKSNKINLQENYFHDSFTQTISICTENL QCYNKIIIKQNIYCDFDDLMTKQYESNDEFFASKNIYIQQFFDDSYLISKETASLYQYLN EISVRNVEYQFTFIQGNQPNCVFIDILQIHSTLQQNVFAIQQVDLMLIGQTNPTQLQVPV EFKISNYTTVTFQNIRFNCAQKQDSQNTQSILSLFNLKLTLTLKLINCGFITQNETDKNY SFTFNSNIPYSLYIKNLMISNFYIYDSDIFQFTAQQKILKNAIEIDNVIIKDSYFFNSTL IKYLAQLNHLSLNSVISQIQIKDTKFIQSNFIYSSSLLNYTIGQLDINSITVENTEFQWN SNFLLLSCAEKSVISNIILNNSLLSLGSNFYASNIINTHDIIVNNTSIMNSILITNKVDY TKSEQALLASSDVFLKNFQILNVVYEQQQQILVITKYDEIGGLKFILMEFQLQNCQTVSK TQSQQISYENSMIYIDCQICLLENIQIIRGYGLPEMTIINSEKLEMRNLIISQNSKFLPK ALHSSFECVKQFAILNMHFFIYIGQYKDVFIDQVNVQNSLSFNSPFIIFKGYDTLQKILT ETIVIQNIKLIQNILIISDTNQHTSLISIESEQKSKVTLSIAYFAFNHLNEYVQDLTIVS ATTIQISLQQGSVLMNECKFIQNIVTNSTDAILHIKSSVLQLQNSYFQNNGLMNLSRISN HILFSQSQDQNEIDYASIFQVKSNGGNGLFIIQTLNIDNITINSSYSYYGGGFSITTQAI SVIRIANSFFYNTMSSLDDSVYALGGCLYIDASSSQLNFQLHDSIIDTSYSRHDGGAIYI TPSLSYNLITIFNLIVRECFSLQNTFFSYTLSKIDTIKSNVIFKNIQFQTSENGFQKYFS LIQDLSEDDASNVAHSNPMIFLQYGNFSIYNCSFLSTVIQFLIKIEQAENIILSNVKVIN STILQSPLFKLNLRTQISGQLQISNLQLTNVIERKQIYTDSCIQSNSAIHSELQCPTHVS QVALNLNEKDQTKQKELQFLCNQLLIYSNKQFNYSLIEIDNFNQTHQLKVEKMELTNIVC ENCQFGIFRILEIYQQNKETMKLSQIAIKNCRCGNTGCLSIVKSLDEFILKQNLIGPTRI LQQHDYDNLHFKLNQQINIVDSSFLNNTAFYGGSILIVELGTLIKKCTFKNNSAQIGGAI YYSSSESQIFLLETQIIQNTAKVAGGVFLNQQSLQLTKELDIQLLNNNSTMYGEDVIENP RSLTLSIDGGQTLLQKSLVSVTESSITEQIIIKPYKVLGYSQKMTYLTLPSGRPIGTYDF FDQYTSTIIPYNLTLRIIALDKFNKQNKGLQNSYCTIKPFAFNISSQTEEPNVNYNLSFS NVTFNQTSGDYNLDNLVIYFMPNLTNNLVLRLSIQCSSIIIPQYNDEPPFEIKLLVDIRT FNCQLGEYQNMTTGGCTLCDTVQNQYQVQWSAQSCSYKDDQKMKSIESSMIELRFGLLES LLLYLNCGWKPGDQSCIEGHIGALCEQCDLYNIRSQGSYSVSSKYSCGSCDQIAFNIISI ILISLWTLISTLMSVSSTVEMIDEFVIGLRLKAFGVTVAIKEASTAILIKVFTNYLQIVS TISTFQLQVPIGLASVVNSVGNPIESMAYSLDCFLVSITEISIIYFRIIWGLIMATMYIT IFFGLGGLAVLFKRAKIDFSYVSTSLIYLFIYLQPNIIGGLISLLSYRKISDEYWIQGNV AYRYDTISHAKWVVGFCFPLLIIFCCVLPFFLWFGVHKNKYQLDMTKVRRTWGYLYNEYK LHAYYWETIKILQKQVIIIVLAYYDDHIAIKASLVFLVLFGYSYLTISNKPYMTGQLNLL DTHSIVVCAVSIILASSIYTAQQQNLQEIVWPFYIIIGVLNGLYILKMLLQILFAYFKKL HDKIDIIKDFISKRFPKLANQHPYIKQILESRKTQQIRIKGRYGKIREYIFPQAKKILEF KKFNNFELPCVVDTYKSSGREKEIQEFDYGDIQSLNSPKQSEIITERQNLKTLVKYPTNI LNERSSVFSFPRPSGTRIHPEIDLEVESARQSADI >CAK72943 pep:novel supercontig:GCA_000165425.1:CT868141:119575:120531:1 gene:GSPATT00009291001 transcript:CAK72943 MGLKAKYLIYQIMMNSKVKSEFLQKLACCSEYAYREDTNFPIRNQMEDSHYYKDNCFEDG TACLFAVFDGHGGIDVVEYITKILPETFLRDFKQFNTLKPNEYFEQIFKKVDDQLKLVGA AEIGATCCLTLLRKEDNKRKCYIANLGDTRAVMNIDGKAVRMTVDHKGIDPEEQARVKRE GGTIVRGRVMGQLAVTRAFGDLDLKTVGVSVKPDLKVQEITPQCKYIIMASDGLWDVVDD QKAVDITRGLKNSDEMTKELLQYALKNGSRDNISILIVMF >CAK72944 pep:novel supercontig:GCA_000165425.1:CT868141:120561:122354:-1 gene:GSPATT00009292001 transcript:CAK72944 MSNYTNVPQHNFLNKQYSRLSPNSTEWARIEYLLQLSSGYTSATVKNIWTITNPHMTQTF EKASKGLLTLDSWLEISLLDENNRMEKVCTKGFEFPQTGLRFPTGHIKLQDNIQPGRVYE LLLLKIAVGKSYCLPDKTSMKDKYKLIQGFDSIYLYNEDEDSMTGTFKHDYVLFDNGRVL PCYVVQFEFDQKKEDNLNSPFCDICNDNTATIYCKADDMNLCYDCDEEHHLKGGKLVSKH QRIPINEKPKTFGNCQQHPDQKLELFCTIDRTPLCLYCKIGGSHSSGESANHPLVKISDA YMKSLVESKDIDPLIEKRKNQLAEYLQQIDYSIKEVNKNASIIEGRIYQVLQEALLQLQE ETQKKMSYLVGDQLELKRQYDQIQWLESFLKYQQEVLTPADYLNAWSRHIGLRNEILNIS NVPQLTIVEPDLRLEGKLSVVSDSVQFKDTKEQQDEQDYIDQNSLIGTTRFRSNIFGKHQ VSDKNQRLMKTIIQQNVQKESQGPQLLLLQQKQKLQQQAQASRVFQQLQNDTIQESQIND SQNSSFAQ >CAK72945 pep:novel supercontig:GCA_000165425.1:CT868141:122548:123511:-1 gene:GSPATT00009293001 transcript:CAK72945 MDQDDQDSLLEVSESDRQTNLLLSNNLTDIFCSDYYSRFKNSTNINEPSMTMSRVSQLDK ISEDNSNTTHIKIIHITERLSSEIQRNQLLENKLSAQEIRIKELQDQLQAKDFQLKDSLQ LNLKLQTQISKEQDKVRQYIKSNKELRFIIKYYSTYSSSLQNPTSISDLRTETLQSTLEC PSVRPISQNRGKRAQNNSHSYSSSKAVFTKMLTEDSQQNLSDKIKEALSFLNQRVDYQSR DPKNADKSKYYRSQSNFWSSVTTSPNPGRSNHTQNNISLNKIQNTDKKLDNTLILLKAIR QQCNQNTTTKKGQ >CAK72946 pep:novel supercontig:GCA_000165425.1:CT868141:123679:124098:-1 gene:GSPATT00009294001 transcript:CAK72946 MQSFNIQRLINGLTWFLTQVKQFFFEFLQRGFFDTPDCSDLRNQFANTTQSLSVFVTLIQ QIGLQFAKFEIILLKEYSGLGIFEYFVRNLSANLGMQINFIEGYEFFTINARSQNSAFFF GSGWNCRTFVCSKHLQKQQ >CAK72947 pep:novel supercontig:GCA_000165425.1:CT868141:124861:125219:1 gene:GSPATT00009295001 transcript:CAK72947 MGNRLPNQENQACIDHHNISQNQENRCRIQKKINMKLKLNQKSLKVFSLNHRLEQEVQVN DIQKLQEQQEDKEVQELIDLANNDFDDLSDQMNKLNCQLEDLQNDLDKYI >CAK72948 pep:novel supercontig:GCA_000165425.1:CT868141:125677:126966:1 gene:GSPATT00009296001 transcript:CAK72948 MSKQNQTFIVTNHSRQFLHGYHVDDNIGKKLAISQSSELGGGLMSSVEVEQRKPKQVLQK EYDSRSIRYANEDYSYLANLLLSRFSQLDAAAAIATQYPLFKMLRGVTKKFMFNELEIIF FLHTIEEQKWRYDDQLISDFSAYFKQDFLSNQENQNVEGFKKLLLFLICCGYTIKCFFND SNDQEIILITDHIQQYCQKDFKKSLMDLWRQKYMNCSLKIVPRVLNKLYNKLMRIPKDGK QEFQQDYNALVDQIIQISPAYNSQEGKQIKQEAKPVQQQPQQQPPINFSQQTQQSYQPQF MNNSGLFMQFSQSQHPPQGQQYYQPFPPPQDFCDHNVSDNLFGNSRNNQEIIPPPPPLLS QSSNFKIQ >CAK72949 pep:novel supercontig:GCA_000165425.1:CT868141:127127:128117:1 gene:GSPATT00009297001 transcript:CAK72949 MLNQKAESDLQQNKLESCYYHLQKAQQLCIHVPHLKVCIQIILVTNHKQSCLISSKIKLA TKGLKLFESNFENAILVSNLIILRQHLDSSLANTYLNISAIQSSLECHDVALQNIYLSII LLQHELLIEGLKCNIELQHKLNLQKMQSNLLSEGINFQFDEYLKIDRAQILIAAYHNLSL EMEFFKRNEEAQKIIDSAKTLSEFILQPSHALRIKLKEIADKQVSTRIQKVQNFNHRSIS FDDRNSNSIHHTKSSKYHFQRQYSKDNNNSIQLIQNSKTVLKNTPSKLIKHQKFITEITQ SKSASKINKFMNPLELSYTQD >CAK72950 pep:novel supercontig:GCA_000165425.1:CT868141:128153:128458:-1 gene:GSPATT00009298001 transcript:CAK72950 MKNQNLSKYVSDIRFDQTQSSPEKDNSEGIISTFKCEISRLNKLVMEIFILKVSQLRLEI SNSKTREVDENWLRQQLNKQELQKQGKILSHQYESNELTDF >CAK72951 pep:novel supercontig:GCA_000165425.1:CT868141:128461:128814:-1 gene:GSPATT00009299001 transcript:CAK72951 MSNFKTLMKMPQSKLASKCLALEELLQRYYEFETAHYDLQSKYQILLDKYESICKKTSQL NDLHTTASQSNKKDRSEIEIGDRIRDLCFGRCRNQTIYNKSLRLKSENARIVIIEPN >CAK72952 pep:novel supercontig:GCA_000165425.1:CT868141:129531:130901:1 gene:GSPATT00009300001 transcript:CAK72952 MEIGEQKIHCDKHNKKCYFICIQKECQVGRRLLCTKCISSQLHNQHKLVDFEDANKWIKQ KEKECIEQLSEQSSEIWRQFSSSKQKINCLLESIELNLMAILSPTLHKLKENLKTNNIFN IAQSLSDFNLQTPKQQDQVIDLQFLEERMNNSLLLLEELNTKVHQLDRRFLWKQIKNFNG HDDVIYSLDISPDGKRAVTGGGDMQMRLWDLVNYTQIGNPIQAHDGIVWCVAYGQNCIIS GSDDNTIKYWDDKTMKVIDIKYTNDPVFSLELNQDFTYLASGINNLIGLWSQSDRKQIGI LKGHTDYVTSLSFYTHFLVSGSKDKSVRLWNIQTKQLINMFSGNQNTIRSVAFSKDGKYI ASGGYDKIVRVWNQSTNKMIQHDHGDIIWSVKFLDDDTVITGGKNAKIKFWNLNESHQND VSIECERGIMTMSITTSGNTIIAATNKDICIFEKPN >CAK72953 pep:novel supercontig:GCA_000165425.1:CT868141:131143:132081:-1 gene:GSPATT00009301001 transcript:CAK72953 MNSIKMEINNPSTKIALIPMNKVIKKKKQNSKIKEQCLISIPQAKEFVTSFIIPRNINQN QYFRTKENIQFALTTFNDNTLGELGINIFSIGYSVSQTDIQQQKIEYHFQARDFDLADIS TIFQMLRILNMDKAYNNLSKYLQRYDQISSIPCSTELEIQKVEELASKYPYECYTFRHER NESVILKYTHNIKFLQLMGITIDMIEEYLRETKTLPCAIRVNNYLEVWHQVFEAVSNDSQ IFEMEVQNFNGKRFYVKIKQEQIFVEKDGKLYGYLYWIYLTDTNQNLATKNYEAQLDKLY PKPKECLYKKIK >CAK72954 pep:novel supercontig:GCA_000165425.1:CT868141:132355:133815:-1 gene:GSPATT00009302001 transcript:CAK72954 MDQANKLQYQQQVEKYLERNKVYSIFEDLLKSLIIKKPDDPIQFLINKLQEPETKKIFVI GPPGSKLLELSLTLAEYMNFHCVSIGDLIEKELSKKSELSQQIQDSLDKFQYVSDDIVIN IALNQIQHFENEKKSYIFEGFPKTRVQGLAFQKEGIIPDAFLILEMSEEKIYQCCLKKLD TEPFSKLSNKEELARNHSLEYQLNLKQVKEIYKNQYFSVDGEKNYELEDMAQRLKYKLYN NAPTRAGRILVIGPPGSGRSTLAKHLCSRYGFVYISTRELISNLVNKKGTTGKEAFEKVN KGELVDDRIINALIKERINQTDCQLQGYVLDGYPKTEQQMESLNELNIQPTLIVIIDAVD DVVLKRLTQRRTDPISGRIYKSSDEADKEVKPRLVIAPNDKREIVQLRLKRWDDLNKLID GTPKYASVIFKVSGDAQLNNMIESVCYHLEKMN >CAK72955 pep:novel supercontig:GCA_000165425.1:CT868141:133972:134496:-1 gene:GSPATT00009303001 transcript:CAK72955 MNYKLADFISNYLNEQKLLTEKYTRLFNQIKLGDSSFNKSSGPQLNLKKRSHNQDYLYNS NKEKTNCQYCGKQYTSKMPLRNHIKKYHIQENYTSKNELSTKQSTPYDDYDERKQDYSRR EDSYNEADEELRQKLLKNVQAIEKRENGNQSMVEKQDQEFFINGLDSDQSSEVE >CAK72956 pep:novel supercontig:GCA_000165425.1:CT868141:135768:136130:1 gene:GSPATT00009304001 transcript:CAK72956 MQKQAIGMTRSVNLSRQSSISSQTSNSDKKPKINSYISLSPRFDPEKGLAFENSIKEVKI KEDEPESPQQQLQESQSLQKIGKIRKQSSFTLKAQKDEQMKKSQFSRTQRADSLNPQKNQ >CAK72957 pep:novel supercontig:GCA_000165425.1:CT868141:136633:137322:1 gene:GSPATT00009305001 transcript:CAK72957 MSLQQEYDYLFKILLIGNSAVGKSSLLLRFADNVFNESFLPTIGVDFKIRTFDLNGKTVK LQIWDTAGQERFKTITNSYYKGAHGIILVYDVTDKQSFKDVENWLAEVEKYANENVVRVL VGNKVDLESKREVTSEEGKELADSLNIRFIETSAKNSSNVEKAFITLANEIKAKVAKSSE AIPVKTGPRITPDQQQNTVKDTGCC >CAK72958 pep:novel supercontig:GCA_000165425.1:CT868141:137351:139986:-1 gene:GSPATT00009306001 transcript:CAK72958 MNYSRTFQTMNQQGQQQTIQTTSYNETQQIRYKEESFQVAPQQANWSSTQLLGKVDDKDF MVVQRTKTYEKSEAERQHHYEELIQDNLRMREVIEQLKREVATYKSSSSSDVTILRKELL ITQQELEATQKELMMLRSESELKSRTEIEKLMIEIESWKRKYSELEQKDKDNAEQLKQLA YYQNKLKLFELEAKKKFEKYQSVDLISQQLSDQESLIEELRLEIQRWKEKYDAVILESQE IRVKLSQNDRVNSLEADIEALIHELGVWKDRCKVLEARQQTSDESKLLARIDQLNRLLGE KEQEMQRTRLTMTQQMTQQMSLQQSSKMSQQQQQIANYESELDQLRRQLEAAQSKIEQQN QEMEGFRLSANVFNSERFEEIEEQKWKLEIQIEELEKDCDTFMLRIKELEETYSELSIKY NEQNANYLRYKDIVESNSSKYKSVENLSKELQNIQQELEVWKNRYFQTEIRLKEYDQLRI EYDALIKQGNKSVTNITTINIENDIQYLSLKRERDNFQRQVQELEMKVRELQTTSIRNSQ DDALRRERDNYYRQIQELELRIKELQSQQFQSQSLKFSYDDSKVRDLEAKLKEQQNKISI YETRIREFEIRTKEYETRNTQSLIEKTVVLTDEPKIKELTDIIQQKNQKIIELEMTLNSN SSSVNTNTVLVIKEQVRTKELRIRELEAQIETLQLEIERLQNLKRDQEVRINNMIQKITE YESQINILEEVKLRQSKKLPQQQQQITTSTTLIQQQQPIVQTTSYVQKSTTSNVYQSSTQ NLPSPTPISQNYQFQPLQNSTTTTTKQVIITNNLEKNLYPKEDNVSSQIIDRVGSRDYTS YQAPQIIVSQYKPTN >CAK72959 pep:novel supercontig:GCA_000165425.1:CT868141:140025:141230:1 gene:GSPATT00009307001 transcript:CAK72959 MGLLMLVLLRLNLKPPFELAQIPTMNQIASTGLAGLMDPVEPGLSCGSDTAHMSIFGYDP FTFYRGRGAFETMGSGIDMEVGDIAFKCNFAYIENGIVKLRRVDREFPEWGLPLIDALNT QLKTPIQIQANHATEHRIGLKVVSNHYSGELSDEIIGTDPLKDNLPLRQAKPKVQCEKAI RTAKIINELSDSIHQMLSEHPINIQRQQQGLPTANIVLLRGCGQRIKVQSFQEKYGWKGA VIAPTAIIQGLGKTIGLEWHHVEGATGDYNSNFINKAKKASELLENDYDFVFLHIKAVDD AGHDKNKDLKIKYIQKVDEMLNYFVDNTKLQDAVIAVTGDHTTPYAYGDHTFQSVPFIVS KLSLLNGKKNDVQFNEISCLKGSLGRFCGKQVMQIIKQYSS >CAK72960 pep:novel supercontig:GCA_000165425.1:CT868141:141264:141987:1 gene:GSPATT00009308001 transcript:CAK72960 MSLFEEVNNLLDTEKDKMAHDTYNSLLDLQRENYGFYEINEVFFGNTHSNLDKYLKKIKE TIAKNTRNIENFKNAYDFGSMLSVKEKFKLLSIDKFYKGQNKLPKWFDEYKMEQLERARE YINNFALRSYKNRVNETVMAERKRLEKKVLNLIDEIHTSYTVELKLEPPILKIQELQHLL EEMQEYDRIISELVIVVMHKVDEACAQIVRNFLEFQSKCETLFK >CAK72961 pep:novel supercontig:GCA_000165425.1:CT868141:142034:143161:1 gene:GSPATT00009309001 transcript:CAK72961 MDEEKPGFFEQLRQLYQNREKIESKIVGFHMQKQFKISHLYGNEEQINCMDLIDISETES FIIVCSDAKIKLYSHTTKLDKLFEFVIQFEANEHLDYDGLKNEKQKKFLRHSKQLTTGSV KELIMFCHFAYIHDQLYVFAGGDLGYVYQIEIKENPEYFLLEGHHNVITGLASNNKGAFS SSKDGSIIQWDVTNRQIVQVLRDGDTPEAEVLCVSANQEHVIGAYSDGKLKLWTIQDYKN DSWTYKINKSPTIIFQGPLQDIYPHITQIFLLKQTIVTKHLNGILNYFVFDLQGRTISLR DWEMSNENYWESIDVKFPYLIYTCFNRMQVITLKKNKLTYEAELDVLPSSKLTISKISNR LIVIGRMNQYTILQQ >CAK72962 pep:novel supercontig:GCA_000165425.1:CT868141:143441:144502:1 gene:GSPATT00009310001 transcript:CAK72962 MHSQHVKLSDDPELSAVCSALLLAFIENSRVLRYCSGGGDYTNTQNDFGDHQLEMDVQCE LNVNTELKKTGFVSHSASEETPEMKLLSEGGKFIVTFDPLDGSSIIGTNFAVGTIVAIWK SDENLLIGKRGRDMVSACCCLYGSRTNVVFWNEKEQKIQEYTLFDGDKQGHWELTKDNIK IKPKGKLFSPGNTRCIVDHIPYREVVDYWIHNGYTLRYSGGMAPDICQIFLKEVGVFSCF GDAKNPSKLRYLYECAPLSFLIEKAEGKSFNGKHSVLDTEITGYQQKSEIIVGSADEIEF FKSIWKKHGILKE >CAK72963 pep:novel supercontig:GCA_000165425.1:CT868141:144692:150756:-1 gene:GSPATT00009311001 transcript:CAK72963 MLSAFQKEVNTNFSENQDKSLESQNELQGNKMRIILMAIEIERLNSILNSKIDYYNQKMQ DYIKRGQNYMQNLELFYKGQIVEYLQQNTELNQQILEKNALISKIFLENSQQEMNMLITQ QCLQFEQELKNSLLRNLQLQKEIQELHYQFDDAPQLRKQLNDLEQLYSQLLIQKNEEIQQ LRQNNPQLSLKNSQLATLNQLLEQKELELQEWQYSSQQSNLIHENQRLTKDLKFLNDKLN LLLISNDHQTVKQEDIQTKNYYQPTNPNKFIQENDQRNETPRRQQINTKVNQFFKRPNTP QPQQISINFQSLSQEQRETQNYQNINLINDLLQQILLIQNQKIQSKQSINNQISLLNRVL NIKQQEMSQYLCQNNIYVINHNLSKSPQIITNPKLKRFHKYYSVSPNNCYSQPYAILQPH LRSRVYQHSYGIKTETTILSYQNIFKQQLAPVVMMPQNVVAYPQSRQGPQIHYHFSPIQK TVVKQNFQNPPYFVRGIYPNETAQFCKPIKINVNQPNLRVFERQEQTLLENSHQPQFDVY TTEFQVNSQENINNEVSNQKNFQNKQDANVKSQKQNYELDRRLPRNTAHFNENDSISQKS FEIQDLHIFNKITLQEPVSIVKQKFDSQQQSEEKIKENDSLYLIYKQFQDFRLIFKQKLQ RSELEIKKTYSNSLEQLQNLENQILVLINEKQQKVQNQRDNKFNRISQEYQNYKIQQPNS DHNKLDNQTQVNESNDFLLQIASQKKLLNEQQTHFLQLIQIQDEIQNYYEPLVSQLYQRE SELNKEVFKIKQDHENQMLNLTRLQKQSEEISHLEQQNSSLQHQLQDYMKDLRDIQLKLK FEQDQHQFTKHVVQSLQKETNTQQNTIEEIQKRNTNLEQQLKKTEQFLLEIQSNSKKQTI NQNKETQTISIENKQSLNNDQIEIENLKQKLFFEQELSNQLNDSISELQQQIQTQEQLLQ QQKLSNSNQFEEIQNLTKEKIEIAQQLKMIQIKYLSLKEQLDQMQKQKDCNQNSEQIQNM DVIQNLNFQIEDMKQLNSFLQNQLSQMQQNSEVQISAQNTVLSSKIALLEKQLSTEKVLK EQANIKIIQLEKQFQGSQNQLSQGKANDLKEQIFQLSNQQQLLQQQKERLVQSFELELNQ LKQQITELTNQNQNYQEQVKDFETKQLLHLQLQKQYTNQTHLLNQQQQQIIILEQENHQN QIDLQKLRQNLKLDIEDFEGCQNDQINKLRKQIDDLNSQFFIQQRDINYLQSQIQFEQRE KKIIIQNKTILDGHIKQMQEENLQLQNQIFKQQNDIEQLQKNMRQYNIIEYSSNQKEGFI YNEQKTYKNQNESLSFDITKLKQENQTLIQECQKLKDALFYMTEEKNRLEKIFNYQNQVQ ETQFQQIQQSQIITQNNKNEEQDQVKQNKFYIEQNKIWDLSSKISAALVKLEEFDDLNFR IQKTKQDLQNQIQKLKQQAIYVQQLRIQKKDEDKIKKSNEQKFPQNQHNQKVDEISQIIQ ALIISIGDHIQLNSQIVQITEKIKQLLGDTNSIAASIQLQNQTLKKQENVKQKENSSIQT NYEEQYKELMVENDKLNQKANQYQHLLIQLQDEYYKQGSALDSITKEYQQINNKNEFKII KLIHFEQLNDKIYKQELQIEEQSQQIQDLQNYIDQEQKQHLEEITRLNNQIVENQLQGEK FNQLNQENSTLKQDISNLQSVLQKQQDLIEEQKLIYIQNQEEYTQLKQEAQRLYQRLLQM SNSNNILKEEKEQELQRFQRESRQIQESSEKHKNENIQFKLQVDRLQKQVQEFKNQSDSN LQQQLKKVQTKLDASLQQQKQIHDEKRIINDQIQQQQEQLANQQNTIHYLKQEISRQEQV ISQLSQQNNIQSSFVQEVTFFDDSKNSSPLEFKVKQLQNENTHLHQLLLDMEKDYAHKIK LQQLQIQGLNDKLREMVYEQTKKVPKLDELAINYQNH >CAK72964 pep:novel supercontig:GCA_000165425.1:CT868141:151380:152694:1 gene:GSPATT00009312001 transcript:CAK72964 MQLDISYLRPKSQSFPNKHSKFYIKSGTEGMIVRNIYEKVDYEEYEIKSIDDFKTKIQVQ LPDSWKTSDYLKMLIIADFSIQGALQVIQNMQLENLQIHLSWLNLYQNMEPNMDQLKCGE FYIFGIDKQSRPVIIIKRLENENFQTFQYLLEIVKRQVLIPYFIENWTIIYDCQNQEYNF YEIDFDFDHIKELMINFCGNLNNLLIVNSKLNFSQILNYLPNRNQIHSKIKLINNLDNLQ QYISKEYLEEKYNGECSNVTTFWQGILNDFRPPQIPQVSELLKQFTYDCYEDYQYGLRKL QDFKCRNLRKFSSLGRLSHQHSKSTSMKKSIESATSASHIKIFVHEENGNELIQEEIEVL NNKGDSEVDNLGKVGIDLYKTESVGLQLLEENNKGQIQVILENENEIEHKCCSEKFNCMI F >CAK72965 pep:novel supercontig:GCA_000165425.1:CT868141:152935:154191:1 gene:GSPATT00009313001 transcript:CAK72965 MEDELIWYYVDTSEQETEKQTKGPISIRDIDVMLRTSIITSHTYVYKEGFTDWKPIFLVE ELKSFLDEQEHEMFQITCGKEIKSEDQQAQQLQQQNEKDNEAPVEELEDEESFEQLNDEQ RMEILKKKIEKNRKKSQKEKEKKKNQWYTPKINTNVYVEGLPQDITMEEMKVFFSKAGII RINPETLQPTIKIYRDQNGNCKGDGLISYKMVESVQTAREMLDGLHIRPDVIVKVTEAVF EQKGQYRKRENKKVDKLQKALARQKEMTQMAEEGQEDDGKGLKILIFKNLYSPTQAQNPE FMNQLYGELLLKIESLQIFVQKLEFFKDHPQGVCKVRFHSSYDAEICLTSLSGIEFNGRK IHIQYWDGKENFKSNIESKEVEEQRLEEFGQWLEGQEDSDKDE >CAK72966 pep:novel supercontig:GCA_000165425.1:CT868141:154202:155955:1 gene:GSPATT00009314001 transcript:CAK72966 MNECMIIEIYLFNSQIFQQFDFEQGMNRENANQYRSPQQIPSSKKPNMENLQPHRNTNEF IKQMKKRVDQPPLSVVSQNSQIQDAQSIFIDVSRIEQQSNHSNNSFLDPLKQPILPQCHR SNQPSNCTTQRTNRYEMQRNSKPYLDQTDNMGLLLIQQQMLQLQNENMILKQQLEKQESQ RLLFQQQLLQQFQSQQQQQQQQPISEKQLQQQQQSKSIPPQNNQEETESPPKKIQRKKQQ SQAIQTDKSVQDQSIININESYHNQSLCNKPKQISQQQQIKSHQQEKHSVESLTFGTQLS QRNLISQQSQQELQIQTHESQSKNQSYQQINTNSIEFQIDNEQRDVQNQNKKDVQRKYSQ IDLINSSVQQDKTYSIHNPEVRKQSQPSVKLEFNFEDGTNELSKKCLADYFSQRKKTANV ERRVSVQMPIQEEDQSWIVNVKQRSKEEQIKLRKEMMEYGRSKRQQNKSQTPINEDKNSG QKEQVKRVKSPLMERLAMGQKAKVEEKEMFALTKKNYENLPEVKSKKTQEEVNKQKQLFM KERQNKLKELDDKIKEKMKKA >CAK72967 pep:novel supercontig:GCA_000165425.1:CT868141:156006:157500:-1 gene:GSPATT00009315001 transcript:CAK72967 MEQCGGIIRIKSQHPKFLSVLQSLDPIGTQSKTFHQQNCTFHKFQENHNLIVSTGYVIAK FAQAINSYNINLINQHIKFSLLQQEDKEIKLNLICLNFDDWIAEKLNNCFIEIELGFIMY FEIPNTNDIKYIQKYCSSLLSQGQEVLTINQSPFLKGKVMQKQYCRKGYVSQIFTNQNQQ KQLIMLGLVSQIGQEGGAIFNSKGEYIGILLQNIKIARLNHRYFTFCVNWVTINSIFNKN SPQLSPPYFRSDFINQILNATKYISQGAGQFGSGILVNDQLNKQSYILTANHVLSNNLKG SKLFIGEEQHEAYILEDTSNNMDFSLGKATNYISQGLNIEDGVIFSEVPAVGSTVYAVGY LYTLFQYKPCISKGSVIKIIYDQFNQMVSIVTDCFIHNGYSGGGLYNQYGQLLGIISFNI CHSVEGVICDLSYITPIFPYQPVFQDLAQQGNLSQTSKDKLKQEIKLASEIRTLCTLREL PQIGYIPKL >CAK72968 pep:novel supercontig:GCA_000165425.1:CT868141:157658:164395:-1 gene:GSPATT00009316001 transcript:CAK72968 MAKEIEEYFVKRLQSYLLEYLQDFSQNNMAKFSLGISSNIILKNLQIKKQALLNFSFPMY IIDGKINSITINMPLNYKSQQPEMIIEGIDLQVCTIQEANQFVSNKEQQSQQGVENLKKH KLKIWEEQMAKYFEQLSPPNWIQKIVDGIYNNMSVQVKSFFLRFYNFSIFGYETQLKIKA DINIKATDKQFQQKFNSDLNCIYKLIEIKKLGITYLKIPKKKEEQHLLSSIDITVKLTMY KNQEELSQPIQSIEVTVDNPIIFQINKESKNYLVKLNEVLQNLEIVQDNFHFRPKCEVKA NYSEWWKYLINAVMQNQKNHKLDLGYSSRRLVLMKRYIELYKRKQTIILVPWLTSWTIQD ETKFKKCEEQMSLKDLLKYREWAFQEIRIEAKRYYHSSKDGQNQQSVKPVLEIWTNTINN QNSFKDQKKRDDDIPIELEDDEKINLYEILERDKTQVLSSYLKGQNNDPDQVKTWISINI HSIFLIILENRPPNVAAYCPKNTKIFSSCQCKRCIQLIKRPQQDKKKSSQFSQSYSISEV IKQTQKGNDEGSFHTAKEIDSFFEDMEDLNKDDSQSSLVSSQDQKNNNSLTKYNMESNKS NKLILIMSMIGIKIPISIYQNGRIKTNEKEGKAIIVGEIKMLSPGMMPKLMEETADSEDQ ADRQSPLFERKNNKWDDSKSQGKQQNQTKNFTRAKLSEFVNDIFEVSVTKDQQYFSSSEI CFQTLCEFLVQNQMNNIKCFLIDYENDKHAFQDFAQDQFKSYDKYFIYNDKEEKDEIDDS MLLHVIKHQKNENRWALLKQAAMQNQDKQNQAMGENEQYWQTCSNKHRFLQEVHNKLIDI IKKSLFASFIEEFGDKIFPLCIINLKDKLTYSTESTSHNLVISLYLEGQKSEYKISPNSE KRKKISTQQSMDEQSNQHITIHFQRFSLFLSTKSLISLLDFSKGLDSRLMNQRQFYVSQD HKLLECILKSKPLAQEHYRIHQIKFLAQLKDKLKVRIVSDQISNLIKTELKFQIKSMTFT TTKIDDLFSLVQNKNEQTLFQQHYKFYSALKLSIAQFKIAHKYYSPDYKSKNEELKSYEK EYHQDDKKTPLSNIKQPISKQNTNQPSSEQFSKQTSKSIDVRQLYKTAKQTSQAQSQQQN QQQPKKPRDSKFHIKGIKQTILKTQIVALQLISNIENHALLTDQKLYIQIPSFNLKVNDS FTCFIEFLLILKNNVEFSTLPLQKTRIGQDSLLKAELDTLFHDHKEQSSDCKHCILKYRK TIKLLNILFGRIENINNSPSINSWFHILNQECAKFGKLEDLQPTKKGQGIKITFQSTPKG TNHGYYKSIIAFPIFIITRDVGYFKDNLMIHCSASKFKDNGAIVRSAQKLDQEIDSSGNQ FEKHNLGDNLFSKFRPPSKRESGQSNIPERLRRETNYILIDPGYKVKDQQTFWQKLDDKF IFYCRNNEKDMFKSEISLQDLDDKQGDIILQSDENLIKQPDQSLYILLYYNKPIRSELIQ SRDIYFRNDKNSKTKLFFSLQTIKAIFQNQYAACNILTTFKNINKISSIIQKVHDNVYYF TSIDDQVLSNKLKETPIKLLDDMIVSASIQQIYLKSNESFFKLQMLKIMLNIELLNVVLN PIKTSVHNKNSSLSMLESHSKLKTNQTQVFQFDDLLIMKCHSIMFSFNQVSIVDMRLLHI SKLSDALQFQVEDISISIINNNTKQRENLLTMPKKDKQTINKSNFQQENNRKVDKPVAIS ITLEFQNLNPKVNLQIQQGQILLSQKRVQDLLIAINSFYLINIEESISLRQHLIKYIFLQ ELEILGLEQPQIVNLYIKDDRSNQSSLNLFLDLKIEDLQISLQEKESDFFELNFFQIQMK KRFNQELELSIKQIELKPQYCKGEYGQYPNLIQQNGQNEMKISLENNIIHIENIQFYMIS RYLNELYAFKLQIERILEKNKQDLAERYQNDFELQLQLKQQENFELNKEQFNYQILIKNS QIIIPQSSSDKNLIKIMFDQADLKIRKGKIFSKIPDIFDQKTDILNQKLLIDYEDKFSKT IDNKLELQDIFVTEIKGNFLFVRVDYEISGQSNDSTLVKGNLLNAESFDIEMYAPSFEQL LGLSGWQYKDNFKIIPKKLKMKLDLGKLLKIQSYIDQNFSEKSPLFEFQISQLQKISFDL NILSMEASLTRYSQIEKDQAEKLNNIRQYNHYKRKKTIHQDKQSAKQHYPKN >CAK72969 pep:novel supercontig:GCA_000165425.1:CT868141:164454:165359:-1 gene:GSPATT00009317001 transcript:CAK72969 MKITKDPEHKTRIRSQSRKSIQEKCKFQFQNSKKKYAHKNQDVEGKEKFQTEVDEQDSSD SQMDDAQLLEYRKKKKQEFVFEVSDFSSSESFDSDLENDQHSEQNANSNQEQHQNACQNL KNLAFSHNISLQSQNTLQLVNSNKSQKHSVITKKQKVLKAFRPALIKKDFQLLRSLKQNQ DFLNNELATLEEYKIDREIVVDMDCQDIHTFMRLQNEVEEMRRKQMDFNKQKERHYEIVK NRSQPNKGATKQDENKLWIMMMARKFIKSMKDKKNNKQSSNQPPQPIVRKSTRGSQIKQV S >CAK72970 pep:novel supercontig:GCA_000165425.1:CT868141:165433:166478:1 gene:GSPATT00009318001 transcript:CAK72970 MHKFVTPETFVHKVENDYFIKKKHSPDLKTQEKKQNELNFELYQNLKDLRNNNQLIQNKQ GSLKIINPLNKQINMMETKKQQQEKKMRDTQFELKKKSMLNENQQNLQSDLKNLDKISVS AFKDFKEQVNLYNKYYSNSIGQEPEISDIQYEDLNIPYQFVDNGSRYAYREKLNHEIPEI NYQNNQAQTIIETIPQLKFSDEQLENKLLNRLKQHIVVRQLDQMENDYLIAIRNNDLSGL KLMLISDETFIQTKDFIGQTGLHLAVKRNYYDICQELINHQVDVFAIDYGNRTGRQMAEK YNYFKVAKLIQMEEDQIRRNLQS >CAK72971 pep:novel supercontig:GCA_000165425.1:CT868141:166635:167742:1 gene:GSPATT00009319001 transcript:CAK72971 MDIDVDKIIEKLLESKGAKQGKAVNLTENEIRSLCVKSREIFLSQPMLLELEAPIKICGD IHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGRQSLETICLLLAYKVKYPENFFLLRGN HECSQINRIYGFYDECKRRYNIKLWKTFTDCFNCLPVCAVIDEKIICMHGGLSPELTNLE QIHRIMRPIEVPDTGLLCDLLWSDPEKDAQGWQDNERGVSYIFGTDVIANFLKKNDMDLV CRAHQVVEEGYEFFAKRQLVTLFSAPNYCGEFDNSGALMSVDETLMCSFQILKSQDKKNV PQSRPRTPKYVN >CAK72972 pep:novel supercontig:GCA_000165425.1:CT868141:167854:169219:-1 gene:GSPATT00009320001 transcript:CAK72972 MLKKLQYDLFVIGGGAGGLASSKASALLGKKVGIADYATPSPHATTWGTGGTCVNVGCVP TKLMPFSAKMGEIRKDQIAAGYQGVESEGKHNWKQLIETVQKHIKELNVRQESSLKDHGI DYYNKFAKFIDRHTIELTDVKGEKEIISAKNIIVCVGSRPMLYQDPKLVITSEDVFQQTT PPGKTLVIGASYVGLECAGFIHGFGFDTTVLVRTRVMRNFDQEMASKVEGYMSDGGIKFV KRALLQSISAVDNGKRRLVKWVRDGVVEEDIYDTVLYGIGRQASTKQLNLESIGVKIDAR NYKIMADEYDRTTVDNIYEIGDCCLKRQEYTPIEVMDGRKLDKRMYGDSNEIMDYDDVDT NIQTTIEYGSIGLQEERAKKKYGDDGKKIKRTKTKTKKWRIRQRDDEKYCGGKLIVHKES ERIIGYHTLDLKLLRQLKAQQWL >CAK72973 pep:novel supercontig:GCA_000165425.1:CT868141:169746:171541:1 gene:GSPATT00009321001 transcript:CAK72973 MYSLIFLVYIFLQVASHRQTSPTSRIIKEVINEEQYSTNVNSSFLIQFANYFDIIDISLS SLNQDNFIKVTKDDPQTSHLSHGCRPYDREILYKKLFHFIPLFDNETIETIQGNISSLYS STQNIFIVRSDLKLIIMKLLYDQELQEIIDLQDVQHINLNSVLTKQSGTQQILDPVIKCY EYNIQFVYCYVLTQLGTIHFQYNQDENKILIVKKVTVELSSKVLDVYLENKNRMLLVLSQ GEVQVFQSLLDGSLTLIQKLTPIQEANLLQVKTNENGTHIFILDQQYGIYIYQIDKSDGE ITLSEQNIQIKGGRAFDISGNRMFILLETEDELPYVLELFYDLEKREHYLNKITSYEQEV YDLYVSEKLTILIGNDVHSIIENSIYHRFYEKESEKEQYFNQVDLIQVDSLNLPWGNQIK LGYPFESGLFSSMKLLYDETYMVSISGKEVNVFVLNSVSPWVLCMAENTTKEFYQLRLKA QKCPSMNYENENPFIICESSRNFSFQGLEIYFYEDNSKLFLAIILGVILTIIGLSIVLMF YKNRYQQLNIQEEYGSVEITQQQENELKTENLPTIV >CAK72974 pep:novel supercontig:GCA_000165425.1:CT868141:171585:172490:1 gene:GSPATT00009322001 transcript:CAK72974 MSEERLLQEETLYSHGQQYSQQKQNQGNVDEHQFEVQENEKDELLKKLEALESKLKNTID DKPYSELMRILIWEALGTAFFAYGIVCSRGNDVMLSVYLFGAIFLIGKITGGHVNPAVSM SFYSSNEISAFTMRVYWAAQVGGAIAGALAAFVIVGRVTSPYIETQPIQWMVADFCGEAL GTFVFCLFIHIQVHPQTQLTENNLIGIGIIATALYFGRILTFHTGGCLNPAMGVGLGIFE SLQDGNWDRLINIWIYIFGPLSGASLASEFYRSVYVGLLPKKK >CAK72975 pep:novel supercontig:GCA_000165425.1:CT868141:172511:177208:1 gene:GSPATT00009323001 transcript:CAK72975 MKIILGLLAILYGLGQCNIIETSRPCTCQQLSQNDCIKNNSCAWNKTCDVKTITQSKPNT YCSYLNEAQCQLDDVCQWVSSQCTFFTGCTAYKFTTDRDCRSMNKRCYKSDLKKCIELSE CIDYTDQIVCFMDVNNRYCYWDTKLNKCSKAMDCPSQPSALDTDAQCRSQIPTCTTKPGG GCIDAQSSCSDITLEIQCFYNKDQSAQCFWDSSLNPPQCKVKTCENAPVTLKTEDQCKQF LEQCTTQKDGGCVLRSSCDAANTQNACIKDYYGNDCFWVDGYCKQKLCSNATKDIVTNVG CQAISANCITKSGGGCMTNGECSIANVDYACKKNLRGEQCFWDSITQSCKDRTCSNAPQS LSTFEQCSKFLSTCTVNSLKQGCENRTCENAPVFVTTLIGCQEYHNVSCIPKKDGGCVTI NTCEEVQLEIGCIVDNLQRPCFWNDGKCLLKVCTNIPKTVYDQESQSQQPVTLDHSKCNE FMQTCTINQLATNCINYTCDNVQDYNICLQNQKCYYRSTCFTKTCFTAPLSYTTHQQCSQ YKNVCTIAPSGQGCSLMLQACNLYKIKTQCVQSATSACEWQGNTCVVRQCNLADTSYNTT QKCQSYLSGCVVNNQEKGCTPEFTRCEDSQIQQNCTLDVCEWKNNKCVTKSCSNQKTNCT SYKTPKKCINNDSKNLCIDVPATCTLLPKEYCQENISYDLISKYCYLDGSNACTELTLQN ECSSYTYQISCIKRINNKGNCVWVSGICYNDDCAALPNTYTTSSQCKLAISRCILNNTGS GCMNKDECSSYNDKNCDQLDRNGQDCVYKNSQCQRKSCATSSDTSHIDCYKYMSTGLKCT VNNNSNGCVELTSCTNYKKESQCVISNTQKDCYWSNNNCYEKSCSLNTTSTTHDQCQSFM SSCTLNTNTNLGCMEFPECQLISDPSICSSSSKCVYLSTNCIVKTCENAVLTEYNNKNCS DLPFEGCSYDSNFCSTKTCSQYEFSTDEECIQQNQYCTTNGKTCTERLDCSKAVAEQGCK KDMYGNLCQWIEISNICTLRNCSTAPTSLTTEIQCAQYYDGCTTKQGGGCTVKSTCSAAN VQAACNISKYGDICSWDDTIQQCRNQSCVDFNGFEYKSCNKQMSKCTTNGFGKCTDIVNC NSYLNAQSCIIGADGPCLWVTGKCYLYRDCTSIKFKTHELCQQVSDKCTTDGSRCVSITE CSQSNIYGGCFLGIDGKCIMTLNDKNVKVCTNFTKCADAKFKTHADCYAANPGCTTDGTQ CIELLVCKDYKIQEQCYLDIKGPIYVNNKIQATGVCKWDGSCRPQICEDLVGTTHSICNN QMMTCTTNGTICYTMDKCSTYSLKDLCTNGLGTDGKCIYSTENTKCQLMTCSDITNNICN ILDNCITDGTKCISKTTCASYTSQVACEISGTDGTCLWNATTKKCSLFSACSNALDENQC NKMNTVCYWNADSTKCVDLTCDLQFKSKNNCINISTWSPSQIRACSLVNGVCSEIDPQAY GSSECYQLTLFTYTYNTSTQKCMQCSTSNNNQTNNTINDTINNNTADSFQQLIVLGLQIL SLFLI >CAK72976 pep:novel supercontig:GCA_000165425.1:CT868141:177247:178583:-1 gene:GSPATT00009324001 transcript:CAK72976 MKSQQQFSSTQDLRSTFTTLKRQTFFYVGEGNNGELVRQILSKRPNFQEQRTPFNAHLIW KQSNKYFNYFQLGQLKSKKVTINHLEHHYVITNKNNLFHTLENYCNKTKMNMDDLVPKTF IINLDSAHVQTDLMKFLEYFTQCSKQSLNIWLMKPPDLNRGNGIKLFNDLDQFVELMENL NKKGQTSVKQQKSITLTLDNKRKIKLSIDDQDKQIVLQKYLETPLLYNQRKFDIRVWVLI DHKLNYYFFREGYFRLATERFDINNIRNLYIHLTNNAIQKHHPYYGKYEIGNQISFGDMQ RYLKFQKPQLNTIIQEMKRIVKLTIDSGYHRLNECRKNFQFEILGYDFMIDKNGHVWLIE VNTNPCIEESSPLLKQLIPRMLNDAFKLTIDQIYETEQQTQTYPVNGYKCDENLWDKLGI IQ >CAK72977 pep:novel supercontig:GCA_000165425.1:CT868141:178646:179630:-1 gene:GSPATT00009325001 transcript:CAK72977 MNSDVRERNINFFQNTLETRGKKEKVKVQNKDILLRVDELFGQIEQNRIKEQESDRVFPI IDNIQRRQFQYSKYRTEVLSNSCNKDNLVLTKVLSSMPLYKQEKIFELKKQGLREFLEKQ LNKTQNLKTQQLLQKKDSLFEDQNKLINEQKLLDTSQIQSDSEVLIKGGLRMRSPDETIT FLKNHFGVIVRSPQKSKLKSRKELDQEEKQMERDRRKLYNVKDKLEMYYTTLEGVNPTIK RRQEEQTQLLNQSQMLDYSKSSEITPMNDKESNNSIFNRNQYYVDHYNNIKLGNSSIKDM KMRSTFYRNHIANEFNE >CAK72978 pep:novel supercontig:GCA_000165425.1:CT868141:179685:181456:-1 gene:GSPATT00009326001 transcript:CAK72978 MDQQNNCDEVQQKEKLLKAEILDKGYDSEAFISYMEQQKENGGQDIDMWTYQELSLAIAQ FQQINQPQQDQQMQNVGFGKSSDVDEKNLQNVEQNEQNLNSTSQSLMKEQSQSQLQNELI KEISDVKSNFSKIHLCKTQDKKDLFLQSGINVQITKYQRIPGGIFTSSYYSYTVQTDPIG WIVQRRYSDFLWLRELFCKIYPGINIPPLPKKTVLKNEKELYLQKRMKFLEKFLKSIFNC ELLRHDKWFYAFLSSKEEKDLKQIQKLSTQVQKVTKLEQIISIDGKIQLEINDNLNTYNL EATQLVNSVDICYKKLRKDSKQLLLDFDQLSNTIFNMGSTCAELYQLSNKFNQSISQGKI SQLDILYISMNNMLVQWGNNLTSQIQIVQEELCHFFKFHHHSVLVLKEFMKQKEHAQQEY EKFKSRLEYKKNKLFTSQDYSRWEISAVELKAFQDSNLTQNKEFSFQIMLPQETIIQEDL KNIFAYFNNQSYLEVTKLFENTVSEFSQHFTKFCSLQKEQIAEQKLIWDQSIVNLTNLQH PKQLIKKST >CAK72979 pep:novel supercontig:GCA_000165425.1:CT868141:181472:181858:1 gene:GSPATT00009327001 transcript:CAK72979 MEYYTDIQNELKQKYNQHYNLYQKQQLERKILCYKNNSEDPLKYQQCIEDLNTRMNMNST TLRNRFNQIEIDDKDCQGKCYDDSKCIQRCEEQSRKKAIQLQEQFYKLMLQENPEYKKLQ >CAK72980 pep:novel supercontig:GCA_000165425.1:CT868141:181887:184583:-1 gene:GSPATT00009328001 transcript:CAK72980 MLEKIGSKFTYKTFVGSSTNKNEVCELCNQTFDWKHKQHQCKRCLRYVCYNCAPDKAIIA SHDLKTPHRICKNCKDDSKHQTQQMEVEKCKFGHLSGIGKRWAYLAQGDDFAIDKAQEEY QKSLSQILKNASNETKNQIQTNLQRYFKENKKTLNYSLYEWNYKMCVGEQEISLLTKISN VLHCFFQTNPIKLTRNLVFITTYILYFCDEAICLLLLLFLHQKVLPSRFQYHKLDVEENK PIDQEVKFLLDCITEQSNSKIDSLLRSKLKVFLDTYSSDILTTLMFNHIEFYVGYFLFNQ LLINREFSIYEKFLANICLELQKVIAEVQIDEIPHQIMKNIQLEQLTTFLQMEDTQKRKY RTSLTSKEQLPQQSSNIITQQQSQVKKEEQQQQRQSSCDNALQYLLDSFIGFLKDNQHSK IEYMIQNEKYDSNKNQIKELYQAIKRQNEELSGLRNSISYAKQDQDLEYDANNSRISRSS VKLDDPERTFFQRELQEKSNLIKELEEKVSQQKELIQRYIDQQQQSEMDNYNTVSSLKAQ LSNNNQMTADNKEIQTKAFEQKEENYRNQISNLHSEISGLKFEINNLQIQLQQNQKNKTE FENQLKEKINSEYEQEVYRLTEDRVSLLKKTSFLQQQNSFFTVKLEEKEQETQELKKQLE EQKKNTEEMRLLYAKQNEQSDKLNKHIDDLNVQILGLKRKEFDQNNNQTNAQTHNQTSIN KELQENNIQIRNNLLHKEKELFNLKQKFVEVNQLKIEYFDQMQSYERINKEINQSLKQYE GQEIHQLKSFYEEKISLLESTHEEQMNRLLSQFQQNQPYQLEQQTTNQHQYFKESQPNQE KQIIDEQQQEQSVTQTDDCTIF >CAK72981 pep:novel supercontig:GCA_000165425.1:CT868141:184687:186496:1 gene:GSPATT00009329001 transcript:CAK72981 MSQDRGYDHGLSGQSSQSPSHQQTQKRMDQFSLDFFIEKRFITQEDLKPILEDVRCQYNV ESINLNPKNQIPALEGTAFSIIDHDENKLNARCDAVNKIFQLIEQRSKQILEIIMLIPEG TVSYVIGTQGKQIEHIKLETKAHLVINQPIYEFKLRTVTIIGKCYFNIIGESSRIFYAIK LIIKQLQERGISNEDYAKKAEPLDPRRVMTKAKFAFSNVIIEYILKNRELEKKYSVRLKG KSINDIKIKKNKLKKDEGILQIDGTLFNVQEAIQNIIKKVTQQFKKNEFDIRIVMPANFA SKLIGAKGCQIKELANKARGAQIKVLSDKDDTDVGQDCLVQVTGSMENKQEATILILEQI ECFKNGGPILESGKYINENFAQQYKNSVQVQDMKQRRQQSSSSRSDRRQRSRSSNKRQKH ARRSRSSSSHKHKSRQQVLKTKIVVKQSLIDMMHKYLIRFCQDFNVKVHTLPSEIGQETI VKISGDVRGCIAVIQYILSEQCKLSKR >CAK72982 pep:novel supercontig:GCA_000165425.1:CT868141:187016:188027:1 gene:GSPATT00009330001 transcript:CAK72982 MSDFIQWTYDNRLQISIDLAAGSVSGIANCISSHPLDTVKVRMQMSNDGVLSTLRNIFKN EGTKGFYKGMSFPILSIPITNAIVFSVYEFWRSFFIGNSNKQLTYFQTAFCGSIAGSSAA FFSCPIELTKCKLQMQSTEKIYKNPMDCIQQIYKKEGFKSLFRGMCATQQREILGYSAQF AVYELIKDFLCGLSQKAEPSTTNLLISGGLAGVSCWTIGYPQDTIKTILQCQKSTDQGIY KVRFYDGGFLDCLRKKIISEGLRSIWKGYSVCILRSFYANAIGFYAYELAKENITSYYQQ Y >CAK72983 pep:novel supercontig:GCA_000165425.1:CT868141:188085:188324:-1 gene:GSPATT00009331001 transcript:CAK72983 MGCSINKKKLSCSTSINSTKTTNDNFEQYLKSVGSLAEFNEIRRGKVPYQISKNPIILRR MNKSKEKLDDIDQDKMFVN >CAK72984 pep:novel supercontig:GCA_000165425.1:CT868141:188540:189896:-1 gene:GSPATT00009332001 transcript:CAK72984 MDLDFIFFPAPKQISNEHEQGQILWIPKYKKLYPTFQNYVPRTTKKRGMYFKQSELEFED EHFFNEEPTESQLQPIPNFPCKPLTQRQRRRQTSEINSPSFDSNEGSPDVSSKLQKLNMQ SIQITTKKPQLCRMSSNFYPIKKTKYEKSICFSQPLIKLPKELMDKKKEKLLEDEPIPQR SNSRESHHIFGHIPCMYVDSKIHSPNIVMYFHANCEDITQSYKFLIHLRDNLQVSAIAME YPGYGKYKNEQPNAEFILKDAEYVYNYLTKRLGYNENRIIIFGRSIGSGPATYLASKYKP ACLALMSPFTSLKAAVRDYVGSWAQYLIRQRFDNLDQIKKVKIPTFILHGKADNIIPYTQ AQELYKNCQASQCIMHLADEMDHVSYRLYKDLINPFTEFLLQIKYYQNCSQAPKLPTVLF CDPAFE >CAK72985 pep:novel supercontig:GCA_000165425.1:CT868141:189946:190406:1 gene:GSPATT00009333001 transcript:CAK72985 MKKEQPNNCKRQLEPMPNYIMFDGEGNYGRKQLQDLDHKNLKVQINLHWKGEENVEEQNK NCETENKQILPKILNLKKSQDVEPMKTTISIGSLIFENLRTSKEIWQIQSEVFTKNKPIW QQGWFAG >CAK72986 pep:novel supercontig:GCA_000165425.1:CT868141:190572:191426:1 gene:GSPATT00009334001 transcript:CAK72986 MRGFIIRFAFAEQVSIQSQTYLKRSYKEATVEMAINPINPYHQWFIKLDGKSAKTQQRNI LAVPSPQLAACIASEFNRQKEYLSFKQMPLLMLARNAIDLDYDATNREYIEKAIVNHLEN DVILHRKNQKSQLLQIQQQQLDPQLRFFNSKFGMDIQSNDGVQIGSLSQQNIVKIESLIR GLNNWQLVSLSSQADNLKSCILAIQLSYGQVDLEKALSLCDIENQFNKKVIENENPQESD SEDNIISMNVKAAQLFSSLIYSQSILY >CAK72987 pep:novel supercontig:GCA_000165425.1:CT868141:191510:192261:-1 gene:GSPATT00009335001 transcript:CAK72987 MKGLHMLKYTLPGEQPISLVLSEKERGKLFLGNLDCLHNQQILEINNVNCILSICTEESN KFQYIEIMMGPKYKQIYLDIHDNMNSQISNVFERSFLFIEKALKSQQNVLVHCAAGISRS ATLVLAYLMKSYQYTVEQALRFLKQKRPYVRPNPGFLLQLLDYETMLYGCITSNLGPDLT PFDIQREPQYKPQPKVDLRKSLPLKQVPGPSPVARVDRKTHTEILTRVSQSISTFQPKAF KS >CAK72988 pep:novel supercontig:GCA_000165425.1:CT868141:192396:192799:-1 gene:GSPATT00009336001 transcript:CAK72988 MSQQEEIQQEPQIKPSPDCIEFKLNFYHLHGIQNCPFCSKPQEQQQIPKELTDKQIEEYE INVLQKQKELFFDIDPEDPDQMMDLLEKYKDCPCCKGFVLNCEGVICDKLGLCYCIQKDL QDGQN >CAK72989 pep:novel supercontig:GCA_000165425.1:CT868141:192811:193284:1 gene:GSPATT00009337001 transcript:CAK72989 MIKRTFFGLSLRDKQKFTYKEENGLLCYTFTQIILVGQGEAKIYGLSSNKKILIASLKGK QNQCQCKFIMDENNFTHLICVGDESASVHVLGYKLGKQVQEIEENYQQENLVFQDEFRAL QPVEERELDSDEFELLSKKDKKEYKKKQKQKQKQENY >CAK72990 pep:novel supercontig:GCA_000165425.1:CT868141:193341:194941:1 gene:GSPATT00009338001 transcript:CAK72990 MFKSIQKWRMRNQLKLYFLLLSLFSTLLIGIILVVTQNIVYQELVQITQQISTKLTENQI SNQFEAQMHMFFDVINKNYRALDTIANLFKFVQSEMTFVSSKCDQCPVNQTQNYRQSNIC YNIFNQKSGQELNQIQQKHLFYYLKHQGLLQELLLLFDPEQFIIGNWSIGQSKESYFSAY QAIGYYSTFDIATRPFYINHIKKANSSHYIFSDVFFNFEKEYKVTISKNITTDVTDGIVG TQFEFKIIKKFFQENFLILNQDGLILIGNVQMYFQPNRTNVYFFNESLTGFNEYDWSSVK NYMDNNVLDSNCTTNNSQYLCRQNKITQINVMIFGKFLRFSNLILIILKNIEQDKDLEDQ LLWIRDQKNNQIATLLIIQLSAAFGTSVIAILILRYICRYMTYLERLANSHIQNKPVDFQ VYAFLREIKQHQRSSSTNITLNLSDSYYKLISQLTARPFIKNEECKNFESFQFPYYKKKI NLSKWNIVTSQLNNKNERIKSSYKALILNLLRQSYQTC >CAK72991 pep:novel supercontig:GCA_000165425.1:CT868141:195012:195983:1 gene:GSPATT00009339001 transcript:CAK72991 MEKKQGAVKQIVSSNCVLISGPINNETGVPMTKFLTLQGIQAPEFSLTDKENPKQEPFGF LAREFLRKQVLGQQIEFTIEHKIKDQNDKVIGRIFKNGQDIGELQLREGLAQLRQQGKPS QEYENAQNLAKQNGKGIWSKREELVKYNKIDLKDVKPKQYTQCFVEDVQGLFVFYAYIPE LQGLVKCSYGEVFMPTSVSQVLQNRAKWTIQELILQQEVTLTVQGQDEKFQSLRVDIKKK DLDVKKELVSLGYFRLSPNAFQLNHDQKRYNELKETQSQAEIKLIGIWKDAP >CAK72992 pep:novel supercontig:GCA_000165425.1:CT868141:196016:197733:1 gene:GSPATT00009340001 transcript:CAK72992 MNVNNRQQSRVLLASVKAPKYSLKETQPFGYEAKEFVRKHAIGKTVKVEVEYEKKIKPKD IEGLADEDDKKKLQQELNMIFVNIILTEDGDQNLAALVVGAGYATVQPPRGDDGVSRYID ELTGAQESASKAKKGLHGKPVQLPKTTDLSVNPNLQRSRDAFDSLRTLRKLSGVVELVLN GSRLKLKFHEQNFTSIVVLAGVKCLPNEQNLPEFQKFSNIALQYVKENALQRDVDIELTS IDKKGIFHGHVFIGKQRTNLGLTLLELGLAVTFNPVANSHAYQALFADAESKAKLKREGL WDIKGLDLTIVKGDDDVPVRSEIKLLNGELKKLILVEIADSNTLYFQDPTDKLLGQIEKS LGSFTATEANKLIPPFKKGLLCVAKFSVDGNWYRAKITRELKNRFEVLFVDYGNVDIVSQ NDIRKLPENLAALPPQAIRCSLAYINGPTISHELGNKVGQFIRDQIFEKEVVVSFEYQDD VSKGVIAYLTKENQPNKSLNILLLSQGFAKLDKTAPPLPQKLEEWLKASQDAENNSKGLW NYDEETE >CAK72993 pep:novel supercontig:GCA_000165425.1:CT868141:197766:198649:1 gene:GSPATT00009341001 transcript:CAK72993 MQITPKAITALSKLLSQNSSNNKQSQNDSKPKSNQNSKNCRSINKNEFKNFISKPSLSNE PNYSVKNELISVLNSSKEKSIKSTLKPHHSLNYLLLSQQQLSARNSFQKKRPPQINVEES TDIQKHPQSAKNVNQMSPLHYCPNQKSQQSQKSIKYPKGMNVFSSLNEILGQYVQKRNYQ KQAGTGEGTKLVVCRSARNQEQKQEKQIYLSENKQQQSHSQYFDSQQLNKLYLYAAQILK AYQTKEMIWKQQKKSLRSEIVFLKQLLQQQQEQQQNHE >CAK72994 pep:novel supercontig:GCA_000165425.1:CT868141:198833:200178:1 gene:GSPATT00009342001 transcript:CAK72994 MKYILLLLTIGLIQVNAVNWALLVSGSNAFYNYRHQADVCHSYKTLIRNGYNPENVIVFA YDDIAQNRQNIYKGAIYNQPNEDGFSENVYDGCVIDYSKTDVNPANFLNVLKGNYDHLPD GHKFINSTREDNIFVYFSDHGSPGLIAFPTSYLYEQELLETFQYMYENDRYNKLVFYLET CESGSMFVNLPTNHRIYALSAANPYESSWGTYCPPDDIVNGKSLGTCLGDEFSVTFLENV DIGDFSQSLQEHFEFIRDNTLKSNVMQWGDVSFTSDTIKDFFWGRRFQEKRKMCSKDAFF MNDENVSRWDSRDNKLLFYQNRYNQTGDLEDFIELENEIKSRAYFDTIFGELQKSLKLKG DYHFALNQKCLKSAIEIFEDKCTKLTDYGLKYVKLFGEMCDSTNLLQVQLNMIVSTLCMT E >CAK72995 pep:novel supercontig:GCA_000165425.1:CT868141:200387:203897:1 gene:GSPATT00009343001 transcript:CAK72995 MQNNSQSLQEEISKEEVDETEIMRSRQFQIFRKSVLHKPAAIVKYKSQIVNSDDEDDNQV KNDKLDENQDEDDDEETEVKVWTAKKQIPNNPKNEQIQEKKVEKEEEMDNLKPLDFEEVL KQSQINYSLNRQNHQKDKQIKKSSFGAVLITQVDSEDEQSNKDELADEVISEIGAIHEQA NEVTAENRIMMSVMQTKFTQSIQKQLKMQKSLNNSSKSNQQDDIFKSKIVFINQTPVIIR EDDLYIRKVRRIQNQFRYVKPYKKLRKQHRYRVNVINELIQTENKYVNDLLVIKENIQQP LLRIIDNQDHVKFMFNLDSIYYFNFEFLKILKKKEKIFKEKPYDKIMDQITVLLAGFKFY YDYCKEFDASKKMRDNYANTNQVYKKFFMDLKKNNPQLSNLDVESYLIKPVQRLPKYILL YKDLLKHTSKDHPDFQNIEQCLKFFEEINDKNNNEMKIYLEQLKIIELQNQFSQYVKIAE PNRVYCFEEFCSIYTDKKENSIIMYAFNNLLLFAQKKLNGQQTYTFHIQLTYQSYVKDKE DTNYFEHFFEVVNKTESIIIINQDKESKQQLMNKMQDIIQKLKQKQTNMEQLKKTVSLVS VPSDPLKEEQKLEYEIKVVIIGTETRNNKPNPYTVYIVQIYILECQIKIFVRYSQIVSLQ SIVNKFDTSLKVPVFSTLNWFHSNDSKVIEERKILIEKFLSSVLNSFKCQNNIEYQKQVL ELLSLSQDFFQIPIKKNMASQVKLGEEDMMKMILQSQFSGSIQPNLLQSMIMNRQSTNIL QAAKAQNQRSSLLNLENDNSVVIGPTANKQPYFIDVSLMDGRTITVGFKKQTLTLFIKNE VAKFIGLRQWLDFRLFIVDWNKDQRVIDDDETMSSILDAHKNQNNGLMNAFKKLFTHEQK FQFIFRKYFFLSQKQEEADYKQDEQRLRYMVYDLIWETRNEKFQFNFNEFCLLTALFFYS TNTSQDQLSTLLTKTIPKNTLQSKKEEIWLKEVVNNINTLQQQLKLVQKENYQLSINQNK KLHTSITGIAQLIIMNFFKNNQLYGMSLFFVECNRETISILQKKFNLQVKSNNIFVGLNY NGFHLLKPENKTVVYTCQYGKLFEMKACTTEFCCTINNSKLNFKTQFPFEIKSLILEYQQ LQEFTKQLAYGVS >CAK72996 pep:novel supercontig:GCA_000165425.1:CT868141:204014:205355:-1 gene:GSPATT00009344001 transcript:CAK72996 MQFNLKQNAQQILHFTVIRKHYFIDRFYHLKLEKEFIIMSSENDFKQPKYVLQLTPETEF EWIIRNHQLVGFLFPYRNKLKEFYGNKSHITELKFQLGKILLFKSLLIHYKFLLYLDKGA FGSVSLQFNFTTENKFAIKALTVSSKYLLKQIMNEITILRSLDHPNILKLYEVFKTNSTY SLVTEFIDGKNLKQLSKDWSQMQDNSLLDLLKQLFEGLSFTHKNNIIHRDIKLANLILQN DGVLKIIDFGLACFDGDQLKNHPKCGTPGYCAPEILQNVGNKNQYDSKVDVFSAGCVLYK LLTFKSLFETDSSKEVQKKKKNGSFLIKEQGRLFDLTKILVKQNPLDRPTSTQVLQLIQN MIDDDSFDVKNWYRNTFNCNQTTSIFLSNTPKNGNLSKSLFKTQSTPNSSIQFISNTMNK IIK >CAK72997 pep:novel supercontig:GCA_000165425.1:CT868141:205879:207271:-1 gene:GSPATT00009345001 transcript:CAK72997 MISREDFIIAQEIDESYLCGICYQLAINPVECQKCESLFCNQCSLDWKNKNDICPNKCKE PFELKTAHRVIRSKLQEIKLFCKYKKFGCQEDLKMDQILSHIQKCQFIPVRCCEKCEWEG QAKELENHQEQCNFILHVSCKQCKQKVLKEQREAHNCFETLVNLVTNLSLELSQVKQHSQ IKINELENKLEEQTQLFTSAIKSSQIILEQQQQQINSISSIKTEKPHDSIILNSLYKSSQ KSVIQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYI QKPPLILKQNPIFKTCGKLGEISKDKMCRQKHLLRFWLTPGIDASRQICNNCLKNQICRY VCEACSEYYCLICAPPDNMCKGKSYPSYCPTGHKMEARKGVNYVCDVCGQSGSEMPNSKT QCCQQCDFDICQNCQEQMIKNKECTIF >CAK72998 pep:novel supercontig:GCA_000165425.1:CT868141:207294:208769:-1 gene:GSPATT00009346001 transcript:CAK72998 MRNRYEQYYETKNQLLGQGAFSQVFLGVKKSNQQKVAVKISNRNLAISQQEKMILKQLSQ FKHQNVVQLLDIFDQPEALFIVQEYCPGGTLYEMMTTKSFTEEQIVNIALQIANGLAFLH SKNIVHRDIKPENILRYVDEQGVEIYKITDFGLSSVKLDRMTTTKVGTAYYVAPEILEKQ QYDKSVDIWALGLIVDELIHKTPFYNGLTEEEVFGKIRAISYIIRDSQYAKPAIFDTKKN VIKNILINSIQKDPKLRKELPWIIQTLSEYYQQSPNISFKKDYRNLSFQSQTTSCEQPQM FQIPTYSVDQQQAEECPYHQQLIKYKILGNDDNTIINACQKCLQIYGVFDQVEFQQYLEQ TNVELINFSVDFYFSQNEIIIEALKFLNQFKHFSEKEKLISELRNIVIKHNKILRQEYIQ YQDDVQSMNINAKWINDALKMNQTISKDMPNKIVLYLISKCQERKDLSLLECREFIKEQL ISLQQYIVSLL >CAK72999 pep:novel supercontig:GCA_000165425.1:CT868141:208954:209957:-1 gene:GSPATT00009347001 transcript:CAK72999 MNKQITQVSDFSFDPEFELYTDYYQNKIAQVTNQGTVRIFELINKKDKQMKRLAAEFKAH QGQINSMSWLSPKYENLIATCGQDGQIKIWKEQANQWKAEKEIKLNNLSANIIQWAENKY ILAVGYNNGYLEVIEGQEYKVTNTNAYNQTLLCLDWLKSDQEQIIVTGGISDNQSIVKIW NVISDQQTIIDKVYEYNCSQRIRHVKFAPQIFNSELQLAISYGNILEILTLRYDVDFTKP IELQKMQQIQLNNVCQKISWSILGNELTMYVDNQMLQYYQNEENQYLRQI >CAK73000 pep:novel supercontig:GCA_000165425.1:CT868141:210030:210261:-1 gene:GSPATT00009348001 transcript:CAK73000 MIQCSNVISISQLIEQQITIDSQEEVFIYDKTLEKEVKVPKLRQPIFNSLVQRRKLQRFE DNVKLQDN >CAK73001 pep:novel supercontig:GCA_000165425.1:CT868141:211048:212973:-1 gene:GSPATT00009349001 transcript:CAK73001 MKLTTQKLKQAQQLIRQQQQNEALLTPALEYFINPTSQNNQLIDSLLQISFFKNNCPEGT QLQDFVMQAAKYAKYECFSYGQIIFNYGEFGDKMFIILKGQAGVYIPKPQEDIQEEQVQI TQKKSKKLIFIDDALSENKDNPFYYQDGISKFHKVFQYFAGQCFGDVALISDKSRTASLI AISDILHCISINRAQYKLMCEKAIQEQNKAMALYTKILPGIQPFNITKFVQNLRPIKFAS QSILWQKGDEPQYLFIIISGRVDIYKNIEDQKQIQNKTAPNTTFTIVLKKIVLSSITDGG VVGQEELIEELHQRKYNCQCVDNTIAYYMEAEVFRKIRKNFPDIVNLLKEIQEQNNQYIS SRQQQVMQSIQNYQQQIISTAQSQITPKSFYEYLDLRRRQFDQTKVLRSENKKLLTLSQS VKRNQEAFQSKYKDKMPKDYLIANSELQQSIQQKQERLYYINNKNLQYKNSKSKLGLSLS NEIKNLKLNLSKSQLKFNQSDQNLENIINVQHRQDQTDGTSLSPDNSCKLAQINQSQISD SRKKQQILLQKIQTSLKINYHNNSQSIRHLKSLHSDSNLKKGIKTDQSFLNEQQPQQFFI TSCRNKYEKSPQSFPRLLQIENDNN >CAK73002 pep:novel supercontig:GCA_000165425.1:CT868141:213451:215040:1 gene:GSPATT00009350001 transcript:CAK73002 MKEGQRLNQSFSYCRSSPEKKGSRHNKVISDAYRKFLATYQQLSMIGGQYKYSHNTLMPY IHFFKYMAKRYDEINQKCKLKMWIPDTIILNDLDLSAIWFYSSADGYVYRTDSFTSRNAA QKFTEGSNDPDELIAVVKKPQYKDMELIGNDTKPILQKDVPLIFSNAMSVKQEITCLQKY IKCQGPMAYICRTIWRKNGQTTAWVITNKLTFQDEGDYAQRCLASASKYKQTNIIQCKGG RFIEETIPYIQNLLLYCRQSMNVEFDELGCDFTKGIDSKWYLLNVRGFKLMNPSHQIFTR HITHDEQIPQDYERSQTSHSNQQWNFTKTQVCKFCDLVYPAKELTHQLTLKMLIECDLHL LSRNIELKHLQIRNRINFTHLDSAMLFHKYYVCDSCYQLFMACDELIQLEFKMAQKLGID VYEKNNIISLTQQDYRVKGPNVIQRQANTIYGNGEYFIKPLDWEMLNEVQIKLMPNLPEI DYGTIPKMKRFRIMIYIKTLSQIPIESQFNQCKYYIYYNFLNQEIQQIY >CAK73003 pep:novel supercontig:GCA_000165425.1:CT868141:215065:215726:1 gene:GSPATT00009351001 transcript:CAK73003 MKKSIDHSKDILNIDHVKLFYLFAADRQDINCILSQLKIGLLCNQELIGELECELGGFKS SQVTIKEYLKYFSGIQIKQILSWSTDLSIGLVESQQGSINVERIKLQKQGLIYLPNIDYY CSEPLPQEWMNQFEKRKKNNINQIVNNSTTFAISDVSNILDHSRVYQTKHPSKKSCTATS TVPNKLDQSSVLYSDLLEYEKDTDKILNFDY >CAK73004 pep:novel supercontig:GCA_000165425.1:CT868141:216763:218416:1 gene:GSPATT00009352001 transcript:CAK73004 MSWQLLGRIKLTYSMMIKNQIGQTREVLKDIEGFEHNYSSPRVDYIDTSELPPDIVLKSG DDIFSHHIMILGDINQFVRQSLTMFCMQSRKNSSEDFNEELISPIKSKLCLDLAIKRIQE KNGLHIVKFLMHDMTIQNYGPIINVYFLQTSNYILIFNIDQNDFQDQKELDMIETVKQKA STVKNIYVVYFTESDVQNQRIPNKYYCNRWNISVILSQTKSLINEQILYNLCINTFLFNC LFYPFILKFKMQPTDSKTFKPLKQIDKNQRGYGLRQIAQMTLGSGNMLLAVELPKGEDLN EWLAVNTIEFYNEISILYGTLVEFCTPELCPVMSAGPKYEYLWADGQNVRTPLKVSASEY IDYLMTWVENQLNNDSLFPCQIGIPFPNTFLSVVKVIFKRLFRVYAHIYHSHFQHIMALE LEYHLNTCFKHFIYFIDEFKLVESKELAPLAELIQQFKARKENPTMNQGM >CAK73005 pep:novel supercontig:GCA_000165425.1:CT868141:218443:219830:1 gene:GSPATT00009353001 transcript:CAK73005 MLRNISKVSTYQTPFKQIYSFSYYANLDLSCPYPSFQSRDLTITHTMHYITKPPCDPKIM TFGAFHTDHLLEIDWSEKMGWSRPQIVPFKSFSIHPFAACLHYAIECFEGAKAYRGANNS IRTFRLNCNMYRMKQSAKRLSLPDFDGAELQRCIEQLLKVDRDWIPDRPGFSCYIRPTLI ATEEALGVRASSRARLFVVLCPVGPYFPSGLKPVRVFCNTSTIRSAPGGVGGYKVAGNYA PTVLPLKEVQKIGFHQNLWMLPDGLVQEMGVCNLFFFQKNEKGEKELVTPMLDGTILPGI MRDSILEITRGMGKFKVIEKKLYIQEVIESIESGRMIEMFGSGTAVSIQPIEAIGYNDKI YEVKYDTKLNAGELSHELFDLLTNIQTGAEDHKWIKSI >CAK73006 pep:novel supercontig:GCA_000165425.1:CT868141:219831:220738:-1 gene:GSPATT00009354001 transcript:CAK73006 MQEKFYHEKQKLQLCGLASVNNLLQGQLYTAKTMNDLANQLPKVENTFFNFHSSFFKIGN YSADLLTTALEQKGKRVLYFDKRKLENLQTIVQENEVVGLLIHNFKKGLIKDTNHWFPIL QKNQLWYNLDSKLKEYICLGNFDKLIDFLKKELQNLSMFIVLDDINLNVNANKQNEHSAQ DPNKQQVHIQQEQIVDRKEYSDNDNLIQQKQQQQEQNQEQLQEFQQKQQEQQQEFKQQQQ ESQESLVQQQQEKLDLLKQMDNQDLKDSNQQTNN >CAK73007 pep:novel supercontig:GCA_000165425.1:CT868141:220738:222590:-1 gene:GSPATT00009355001 transcript:CAK73007 MQTEQFFFDSSNSNERNQWVKLNSIKRPISTIRLFEGTLFVKSKKHDFYKPKFFRLFSDR LNIYNVTYTIRHLQNPREQKEVAALFLTNVYLDLNSQNVLEKDKHPIVLLSGSKKYVFNA KSQEQRQKWIDQFKKTCILNNYREIYLNLKVIGKGTYAKVLLAQRKQNQSKYAVKTFQKS ALMDKNNKQRQGLLNEIDLLRSCDHPNIIKLYEIYESGDYIYLVMELLEGGELFDLILET QCFQESKVALIMFKIFDALEYLHTKNIMHRDIKPENILLKDKSENFDLKIADFGLASYTE ANLLITRCGTPGYVAPEILEDKKYNEKVDVFSAGIILYILLSGQAPFYGNSLDEIIEKNR DCQINYKDLKVSEDALDLLKRSLEPNPDDRISSLEALSHPFISRLYRRESNQKDETTLTQ HLNEKYSIIDSMKKFGQNDLRSKISKYKQNELIQQTPFLGVREYDPIKACSDSWLMEKSS IIDSKQIFCSPNIQQQQSSDSDCSILSTPESRKETQRQLQFSALQQIAFRHSPSQSIQSP QSQQKSQLQMNLKKANQIRDQLKKLGS >CAK73008 pep:novel supercontig:GCA_000165425.1:CT868141:222728:223640:1 gene:GSPATT00009356001 transcript:CAK73008 MMYSKAGKISIELFPWIQQRDAIINGEKKKTYEISIFDDIPHSKRPYKSFKENAKLSPRE TSQPKVQPFSKQVGRVESKKELDRYGFYNPKYHLVQKRSPLTDGPDHFRQINSDRSLSSK TIKRSLKLIKPTLKHNCDTSKEYLEKKQLQEERKLRAQEYQPDLNRFPLPEGPNEHRFEY QQYPQSQYNRSPNPIIRPRSSSSKLKFKEQKNGQIYDLNYTVIYPKSDKMIPVLDKLTPR QPFNSDKPVYTFHETLSDDTPKKRNSPQSFKLDQQVSREKAMSYYSSIRRLYYL >CAK73009 pep:novel supercontig:GCA_000165425.1:CT868141:223665:224489:1 gene:GSPATT00009357001 transcript:CAK73009 MSYPFGNQYSAGMPNAKYKTTLCRHYQATRQCAIGVKCQFAHGQEEMRSINGNFTILSLQ DPIPSALITVLAVPPQYQEIMKPMMAQQPAFKIPCKYHAQNYCKNGQNCQYSHDVDIQQP MIPNMINPYQPQYSQVDMRQEIKQEDPMTTVFIIILQTMEQIFSKDDIIQKLKIAQDQVK CGNLAVGSECIKAIMTDPERTDDEIEQYTTIYHNACYYYNQLQQG >CAK73010 pep:novel supercontig:GCA_000165425.1:CT868141:225475:226970:1 gene:GSPATT00009358001 transcript:CAK73010 MNELEEQEVSHYSTIQQNELKSEIQVVSHKEDHSIIKSDSIINFHDILTQIGFGRFQIMQ YFVSIILGIMEGAQITIFTLMVPILKKEWEISESIVSLQTSFIFIGFLVGSMLSGQFTDR YGRKLPFIFSSLLTVLICFATILCTNVYQLLIMRGFMGIFVGFFAPCCVTLLQEITPNKL RGQMTGSVTLSVAIGQLYGFFMASLIMEGINGSWRWLTFLGSLPGLVALILSLIYIEESP RYLLLEGKYYEAFQTLKLMIRTNKATEVIIWSLYMNSIAKKTEHASFKSLLNGERLQVSL VLWSIWFLLCFSYYGNLMTMPQILYQLKDDESQLQQLVYACLSDILGAILATLIIDIKGL GRKNSLIIGFLIASVFSFLQLYEYHKHFAILAILQKLFLSMNYIFCYQLTTELYPTKLRT TGLGTAVAIGRLGVILMPWSLSNHWSISPVFHSFLFRKYFCLFYSLGKSLDNNN >CAK73011 pep:novel supercontig:GCA_000165425.1:CT868141:227011:228127:-1 gene:GSPATT00009359001 transcript:CAK73011 MLNEKLDRLIRSKGSQYITKNTQALSPYIRQVPLLNNQSHNYSPNYSIQRIQAQSTHSAI APQTDRNNTQFIAKIQPVCQPQSVSPVRVIQLSTDRTQQPHELNEVQYHEKPLQVVSAQD IENRYKQKLLLLEQLINRLQNENQRLKMNEQNLKSMEYMNKIKELEVMVKNFEDQEDKLN NEIQQLKKELFQSKEVFTSLQKQIQNKNNNNEDIRKLKKQMQQYEIDNKEMQNQISKKDT DILKLRSVLNEKENWIDQLNNQIKELQTVEENYSRVETTVISLQGEIDVWRRKFKEKNEE ASELSEKLIMAEASLEALKKKQITQVKEINITKSNSKTNNNIIQSVDTTNRLNRGSHYSQ RQQL >CAK73012 pep:novel supercontig:GCA_000165425.1:CT868141:228392:228755:-1 gene:GSPATT00009360001 transcript:CAK73012 MQKLTEPLLFSVYIQNKTELQLNKFKILEPVEKTYEIPQFCIVPLLCFDAQTKHRIGYGG GYYDRTIEDYRSKNYNTKFIGFGHELLKVNNIPYTDNDQQLDFIITDQQTYC >CAK73013 pep:novel supercontig:GCA_000165425.1:CT868141:228970:230554:1 gene:GSPATT00009361001 transcript:CAK73013 MIKKILFNSQLYKFCVHQKIYKPKSKKGLNLLLFLFSLGFINQYTKYRDYMSNIFLSQQE VADFHKNKQLQVDKKTLRYHEINIKRNKDTETAVIIGGGIVGLSQALKLLQKGYSVILIE KEQFISTKCSAFNGNIYNPYLFNPLISKYSIKTMLNNYINPTDTATQRFSFNSLLEPGGI IWLINALRLSTSDEQFDKSIQDMTKLGQIQEQEFEKIKKMGLLEKQLTAEGELSFYKSAE YGKRISQSFENAGIEFKEVDKTKFNQHDIDRHLFQNYPYVIKLDKENNLDTKQLSINTLK YCQKHYPNKFNILYGTDFKEFIMNNNRYVLGINTNKGVIYGDAFIICAGLHSKYITKKLG VTLPIMPCRGWIIESELPQSAKGSVLDKMVLKLPTLSVTNLNNKLRISGCQLIDQEYKSD DPLNEWGAQILIKQMKEQFNIDLDRQSISVRDCCRPLTPDDKIILSKLQLNRNVYVNAGH GSRGMSQCHGCAEVIYQLMKGQQNKEDYSAFDLTRFLFV >CAK73014 pep:novel supercontig:GCA_000165425.1:CT868141:230589:231646:1 gene:GSPATT00009362001 transcript:CAK73014 MSQYERIRPIVFQKAPEVKQVRSNSLAVRSSPSKCQLDLSFDLQVNSTTNNANQALKDIN NYKKLYVSESCQNNNKENQLSGKHFSFKADENQYLKQRIKQLESQNNNYVSENKKLAHVL DQQIQLNQSLQEQQQSKNQIIKKLEDVQKMNKYQQSNNSDLKQINDQLIISKKVVNDLEE KVQIVLNENQKLSELNERFQFTENQLKIEIEKYKSKCSILESKMKQQQDESKCLELQRKI KKQNDQLEILARENYQLKQQLTQNNNQVSQQDDKQLYVHNDKFKETIQELECENQYLQQM IGIDQQKMNNLEEKLNLLTKENYRLTEIVKNRHKQQ >CAK73015 pep:novel supercontig:GCA_000165425.1:CT868141:231662:232379:-1 gene:GSPATT00009363001 transcript:CAK73015 MYSYAPLGVSYASPLATSIARPVPVGYAAPVSYVQPVSYAAPVSYAPPAYSPIRGESRVE YVPYQKPVVELEEEVRTVQVPRQKWVTDYYPVEYQKEYIPQVSYEKQIDYVPVEKNVPRV DYLEYEREVRRAPPAPVSYASPLSYSYAAPVAPVVPVAPVAPLRTSYVAPTYGYGYAPSY SYVAPTFGYGSVYRY >CAK73016 pep:novel supercontig:GCA_000165425.1:CT868141:232786:234689:1 gene:GSPATT00009364001 transcript:CAK73016 MLIISIRLQQDYSSNLKFKTSFRNCILEAFKRRLWKETEGDDWDIMWAEKEWIHEVLDHT HLQSNQKINHFRNHYELTRKDLMIKNFKRYKKNLEREGKSEEANNYNFFPLTFHLPSEYP IFFEEFKRQSANSDTKTAWIMKPIGKSQGKGIFIFNKIQQISQWKNTLRYNQDNPQAEAY IVQKYIADPLLIGGKKFDMRIYLLCTSYQPLTLYLYRTGFARFTHHRYDSEDISNTYVHL TNVAIQKTSDNYDEKLGGKWNLQTLKLYLMTKYGQEKVAETFYNIQMLMIRSLQAVQKII INDKHCFELYGFDILLDAQLKPWLLEVNASPSMTSNTPVDFELKCGLLDDVFTIIDLEKV LTGNEEQIGGFDLICKGNPIKLPINSTFTTYLGAFNNRQQQLKKIAKSTAIRLAQTYSEG QMKAIEVGSKGQKEKEEKDKQMRSSSKGPQAMQNGSNVNNKSNNLTKQNTIMKRNTTNLP ALGTMQNKSNVKRQPLQNAGQQQQIQQSQSNSSKKNNPNEDSMLSANQKLQKLTQESKIN QFQPYKQQSQYNEVMGMGGGSRNLQKNEE >CAK73017 pep:novel supercontig:GCA_000165425.1:CT868141:235758:236093:1 gene:GSPATT00009365001 transcript:CAK73017 MKYAKISNQVRQAFIKRISEKKCTIRQAAKQFGIKFSTAKAILSIYRHEGRIGKKQRRQR KLSNNIISEKETQKSLKEEPIETKEEIKKGSTSLNYCQRRANNTTHTVIQL >CAK73018 pep:novel supercontig:GCA_000165425.1:CT868141:236832:241040:-1 gene:GSPATT00009366001 transcript:CAK73018 MSVKKAIPIQTLQLEVMANKQSPQVNKDQVQKAVIGSGGFKIPQTVIEEKKKMFSTSQKK DEPILDMQVSQLEIYQKMGLQPYKMQNAMEDKEKIVFQNLKDQPLAKSNFISEQRRVVGI EQKDIENRMNQFVIEEEAPPQNERLDQIQSEIDKHYDRLDRLEQQFMKQDLVDSYQPDDN VWEKIKQLREYRNNIKKEQMQAKEEYEERRRKDQVQVKVTVVDKQQQQVLKKQNKKEEKD QFIQQQIDDYIKQKEQNKNRPRSKEKYIEQVVMKSPEQQLYEHQFLEYQKDKNLKKQMEI KAKEFQVPEFEKYHLKDDKEKPKHQVNITDRAILKVQTIDELHRVQVQAYTEKQLDQLDD ASKKAIYKSIVTEKQQEKQIESEKNEQFVLKFSFQQIDKDHAGVVSKGEVLEFLCTNKDI QIIFDLDVKTIESELDKLQTHRKGLLDLSEFSKFVKRLQQDRIKLLSKLKQDSKLFEENQ EENLRLQYSKPIKEIFKILDYQEQGVVNRLDLIRAIRNDLSKGKVSILNQPISLQQGSPT VNQVLEKVLADYRLSKNRRQMELISLNQLEEYFKLSLPYEEIRLMQARIILAHFGPGSIA SEGYYEKMKGRCLLEHEVIQQMLEIFMKLDKDCELIVSKKELIQQIRETVDCNQEAAILT KIGKRYTLASILEMMESTLNQLEGHASNFISLQQFTEYLTNFNPLPPKIPKLFFVNEQKD PPNEEHLVMQLPSVYFQIINDVFEMIPTICAHFILKEEFIATVKNDPQSKSLLSQIAKID PISKRPETINSIISKIARTEIDFIQWADIVYIFSDKGEIPREDLENKLDVKPAPRRGRKI YESVIKGQIQEEEDAFAEIENLSFDDAQQKRIDKKTQSNMHSSSLNKITVPKEPKFHERK KKTIRQQRLEQMVKEIEEKEEFELQNKFKANPIPLSMFVPAHNLDRFSPPRVQNANPPSF YQKDVQKFMERKQIAEERLQTKEAPKTFKAKEIPVECTMEVLKQKEIAENQRKKEQREKR KADLQSTNLMPKRLQEDEQKRKEKKKKMEELNPQDIDPECKFNPKINKNFVSKRDKDKKS QQSKGMELNYLDEDNQRNKRKESFHEEEQQNQTQKSQTQKSQTQRSQSQKQSVQKSQQSQ KQVSQQISHNQLEESQQEKSAKMSQKQQSQKQQNSNQEDGELSPEPEDDYQDQQFEDQAQ EKQNEDENKDNEQYQNQENQDQEEQEQEQEVQEQEQEQLEQQEQQENKTMEQKTEQEMSI QQSKKSQQLSKQSEIKQESVKPQKNVKIVPPAPQPVQQNDEKKEKKKVVSSQDRELQRLQ EEKQKKLEDKKKQKEKLNPEYKQKIQDMENRVYSRPLVRDAKQHGSSTLQKYRQMAKEAS LKKDEKNEEKILEDQEPQNDEN >CAK73019 pep:novel supercontig:GCA_000165425.1:CT868141:241084:242497:-1 gene:GSPATT00009367001 transcript:CAK73019 MNQDQLNFDFSVKALFIFNPLAVPTIPKPSEQDQQDAKLIYYYPQGANIEEKRIQTGMAE GIFQFFAQFNPSPNLMEQQENKSKNESLQFQTIHLEQQTHIICRVREDLFLFITLAHQKI PKIKTIVEDYFFSECNKFHYSLSKNLYTNIAENFVANFELFYGYDLTQVNHFTQRWILCN ARYGTVLNLFNFQSFSLKYAQIDAAIYLHLQSILTFGRQQEAQITDYVVFYAGYFLFSTL PHQKAILFKEHYYGGGLPWTNLESKINLKFPACAESQIAYLNFFNLKNAIREGFTGQIVY LNKQKKNIFTYLDKQLLIIAIANPLKDLQNLPNLVNVFSKQIDKLVYKLEMAIEQSQKQD FVRFIYFNGVNLAYRISSQLIVPKMSSENLRILQLVYEKLNTRNQYVIRIAGVWFYGNRV SERKVVYILPANIPLSKVEEEVNKIAEQTFPNLLL >CAK73020 pep:novel supercontig:GCA_000165425.1:CT868141:242680:244430:-1 gene:GSPATT00009368001 transcript:CAK73020 METIKEFCSQETIEYKQYLTSLTELLDKFPDSSEYIDDLSFQQTLRFVNIPICFKLNKIA EINQTHKIVLIKGTVIRAGIAKSMSKVLSYRCKECKFVTEVQSCSSNYFMTENQMKCQNI VIKQQKYNPFFKQKIQGFKKQKCNSTAFEKLEDSKLIDYQEIKIQDTYTTIEPGTISNSI RVMLEGEFVNSCNSGDDVIIGGLVTQRWKMMKQIPQITLWIDCKYLRLQNQLKLLQTIDD DQLNSTFQHRNQVINSFIPELCNQWQVKLGTLLSLIGGVTKSNNGITVRGDSHLLLIGEP GTGKSTFLRNACTISEKSIYVNGIGTTQAGLTLSFVKEGSDWMIEAGALVMADQGLCCID EFNLLNQQSQQSILEALEQQTISSSKAGISTKLNARTTIIAACNPVEQVYNSKLSIQYNS GLSTPLLSRFDQIYILKDQHDFELDKQLCDHIFNLNNNKQNYTLKQLKQYIIYVKNTFQP IMNEDCQQVIQKYFTFIRQQTQQVTARKLESLIRLSEAHARLCSKRFIDEFDVVTVIALI ESTQFTGIYPEFQDCLTEGVTVDKIQDMWNHLKNI >CAK73021 pep:novel supercontig:GCA_000165425.1:CT868141:244524:245604:1 gene:GSPATT00009369001 transcript:CAK73021 MRRVQSAAPNLDFTMEDMFKIATKQTSAFGIEGYEVTKKYADPLKQMEDRKFATQKKGQK NLGYVTRRGHYLEDQKRIYEKLPAPNKYDIVKPWVIPLQIARSKSAPPKRSTFIDQIFKE AKLRAVPGSGKYNIVPPLEEVLKQVEEQKKKKIEAVERPTYLNEIQHLAVINPGPGNYNP RPISKKLKINQTKPADFIAKDKELAKKRGKSALPDIGTYHPEPVQFTTFNKLLDLTKRKD KLDRNTFGKDVRFKDPKKSKSKQILLPGPGQYPMVVQWAGKEQDKNKTKQKTYLQAISKG CERSIYY >CAK73022 pep:novel supercontig:GCA_000165425.1:CT868141:245660:246064:1 gene:GSPATT00009370001 transcript:CAK73022 MKSQVEQCELLLKQQQYQELSNEVSRMLEEERDSEEEIQQKLEQYQEQVKYLEQLKKEEE TLNEYYSEYFNHIEQEKQILQQIENEIQIQKQREIQLQIDLKVAAEQEQIIKKRTL >CAK73023 pep:novel supercontig:GCA_000165425.1:CT868141:246137:246604:1 gene:GSPATT00009371001 transcript:CAK73023 MKMMIQSESQHLEGQSEQQIKYINCLKQLNQKTEETLEKLQVYQEELKQLLHKGKQDQGP KFDPIQFIKSVTTAQQQTDNSNQKKSDVEQNQSFVQEITQNPQIQQQEIQENQGFFKYSY LAAITASIVIGVIIKKKLL >CAK73024 pep:novel supercontig:GCA_000165425.1:CT868141:246936:247433:-1 gene:GSPATT00009372001 transcript:CAK73024 MSEIQQQQVHSSKLDQESATNAKNHMLLVNNITELSNELLQSYKHEIDQLKQELHLMKQR ITNNNEEIKNTTQPTLDAMLRDLRQAINTQKDENSKLQSQITELKKEKSQIQQLILAATQ KVAELEHQVGNYTSS >CAK73025 pep:novel supercontig:GCA_000165425.1:CT868141:247566:248102:-1 gene:GSPATT00009373001 transcript:CAK73025 MKYIPLTQHFETVAALYEKCATMSEQLEALQKQTTTSNGQLESEDTQIPLKNSPKQGEKS KKKQQKKKAGTIQIEEGNDRMIEENQKPQKNDNKIKKKQIQKQQTQKIQFACDHCNKTFE TQKTYNKHIYQLKYRAKYLKRKQKQQMKDAIQVEQPIIKGKKDSNNNKKTGFSIELSL >CAK73026 pep:novel supercontig:GCA_000165425.1:CT868141:248974:249662:1 gene:GSPATT00009374001 transcript:CAK73026 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSEGNFSWASEGVAKKAKIVEVVYHPSNNELVRTKTLTRGAIVQVDATPFKQWYLKKYN IDLGAKKGQKKDQPAQAEVKKSRSLQKKLDQRIKERVIDNLVAEQFQNQRLLVRVTSRPG QSGRADGYILEGKELEFYVKKIESKKK >CAK73027 pep:novel supercontig:GCA_000165425.1:CT868141:249669:252223:-1 gene:GSPATT00009375001 transcript:CAK73027 MTSISYNAKKLRPFKTQRDALLNDLKKQIQEYQEEEKLNDQYFDEIGKAEKEYDALLKQL EHFRKQNQDKTSGPLTQESQGIDAKLENEVTQTLKLFLLNISKKEKLEEIQEKEIAKRLC RIQTLQITLNPKQEDDNTKVDEEELDNGYYVTLRHQFNSVQVKVPGTIKTFRELKQIVKS CFMAQDNEIFYTDQMGNLLQFEMNVLNELYPPIYELLRNYEPTVGILIIKQKKNKEEKNN MSQIEEILFTEAYPDGLTKGFRQTRRSENKIDWSVYLGYLNKVKYFFESCLFILLLFLFI FTEINQIKFVTNTQILASFQNSYPIQRSYQQPVYNLSTLINLTLAEDNDIHNFYNYPLKS GLLIQKLIQEDNLDDCHILNSNQKQIFLTKNQSCLNFNQLFVEDLEGDYTQTEFNPSKYD SYYGGYVWELNLTNQNSFQNSIEQIKSQDWLKYNIKQSQFILNYFNSPSQRLIQATITTL YLFNDELLNYNTMQTQAFDLNSKTDQEVLAHTLMFYIAILLLIPSFFDFFGFYFIGTQNS LVLIYQKYIELLNRRKKMQSKKRELAPQEEREFQQKQLIVSDFFIFNLKVLYVVIKIPLI FDIIYMLSNVSILIRSTISKAYQDQLDLIDVGSNSYQDISKLIVPLYTTRIYDGIQMLFL MIAINRFLGNWSPYLKCYGLVIIRFNKESWFLLLVLIFIISICAMSWSITLQGKLVNHDN FFYSFLGLLRCTLKYGMHNDIAEQGFDNNYVKDISYSFDTRYIQYVIVMVLSMIMVPIFI SLMTQQVHNTKEEAKQKMMDYKK >CAK73028 pep:novel supercontig:GCA_000165425.1:CT868141:252498:252692:-1 gene:GSPATT00009376001 transcript:CAK73028 MNSEEQFEERLLNGQNVHTVSERLIQVPKYKSSYDVGSLELEFDFARKQKLVAKKNNSVL RSER >CAK73029 pep:novel supercontig:GCA_000165425.1:CT868141:252956:258475:1 gene:GSPATT00009377001 transcript:CAK73029 MFEKILQRVLLTYFGKFITGFDQTNLQLGIWSGNIVIENVALKQEILTDLELPLELKFSS IGRLILKIPWNKLSSAPVEVVLENILIVFNPLPQQRWNIDDSIQIKRKFSKLLEKAKSSI SLKKKEPGENSSYFEKLTLKVIDNLQVSLKNIHFRFEDPNYSFGIKMDSLTALTTNEQFV VAFVDRGDKDTKFVPRNKLIDLQQLQLYWNGNETIFISQYVPQEQLKIFDQVIQISAQCK MVINHKERFEKAHYLLDMSLEEINLNLKHSQLIQMISFLELISNYNSRVWSQKQELMQLD VNIHQQTFCDLFFQFLKNDMKEVEQLGEEDQILQFKNIIRVVPFKTLESWSAIAQKKYLL DLKVQEYQRQKSKKGWFSFLGGQTQEEVTDSELKQLEDFIDNEETSLVCRPSESLKFKFI AKLKKAHIQLVKDNNTLQDEGIIKQLEQIEIDWAQFENKDFSFSMIIKKVSLQLVKNRQI EEICQPTQETNEFIKVSLTKTTTSKVCRLDFRFLMQSLTVNYNPCIIRIIREITEVKKGD YVEQRLKTATWNQIEKIQDSTESSLQNILQSNIQLKIDAKILEPILELSINSNEFWLVKM DSFHIRTKPSNQQDLYENFEISISKFKFFYYPERFGNQIFQILNDYNLVVLVQRLKSIHN ELMIKENKPLITIIGKLEPINIMINEKVYKHLIYLPETIYPTLSEDQRLQQLQLEKQLIL KYKVKDGYLKRQGQLIKTWSSQYVVLSNFDLYFYDQEKILMANSQLSLFNSTLEQLQVDD QLHSFKIINKQGEHIFACENHVELSDWINKIKLQIEQYQSYEGIKQKQEEQIKAKQNEKM QIDIQIKKIQVKLYKENNYPSVYQEHLLFLFKLNNLSFQTLKQQQIQQIIQVDNLSIQDW IFDYQNPQFQDLIILSNKIENQPSLRIDIAGKKQLINVDQLQINWKPDTFLKLRQLSQII SDRKSRKWYFAGVRDFEADQLKRGKNCDHEEVKRNKLIDGLKRAFNKCQRYGQSKLKVKH KFNTLIDHQNFLDDYQWVEDEILSSYQIKSSKDTLMELETSINFISINFFHRTSHCLLFK CDLDEVKFTFKKLQFSNYLDTQLKDISLYDMTNYPFTIDSNQEFHLIQPQKLVGKRDVYD EWAVRFRFSGYDEIIIANQERINAFLLIEINPVFAIYQHQPLMRQIDYIINQIVSLIREP DALTMNTEFIEQKRKNNTRLKAKRVWGDIELIQSKFICPTFIDLRIVINKPLAIIKGWPH FSEYFQLDCEVVEVYNKQYIDMSRLLQPGGISLIQSLEQWMPDGRILKAWSEAYCIEGHN MQLQYYENGINQVSTLFEFNLSFERALHYFEINELYDNVKMDRSIRIRSCMSPTILKLYR KEYLEICKMIFHNFTNDDLKDKLYIHDFEVSRSFEPAPISFWLDFDDISIFALSKKSEQP LVQVNMKQMRLEWLKEKHIDLNLFSQLISMYNYDDQNNEFMFVGELNLIPDQQKLFQVNQ LIKGFQPTKQRQEFNKYVFDQEFIKEQSQFQMLFHIQKPSSDKECQIVLRNVRMIVEPTV FVNVGLWSRLEQQCWPIQTEFGEMPSIKMIVEILNSSLCLQGLDNNYILGTKGDISYVWN RSRFLHPEQIIINLKNDQLDKKLVEVIGDAFEHKVNLKNLELFKCKFVDYINYDLKQVRK RHIILPFSLFFCLKNELQVEYSKQFIYYHNKFSFHIDKTICKFSYQDLLLIMSISDKLKS SKQEVIREPIYQQEEVVNQEQPINNLYDAIISGLQIVVINDAGEAYVPVFDLTLSETQLQ FQKVNTKSIFSTVIKLSSSFYKSQNCSLGTYFGELQFFS >CAK73030 pep:novel supercontig:GCA_000165425.1:CT868141:258634:261259:1 gene:GSPATT00009378001 transcript:CAK73030 MKQLPLEQFDKQLEKSFHLQQPKQKLQESIFQDERVDNVDYVSPYTIRNETGFILEISDT TNINKKYILQSNSSINYEIDADFDQMFGRERDDLQQQQQRLVTIKVIHNKYQFEPMKSID LDCIKVTRCPIKPFNRNTDKIFMLFVEVVPKDTRKLLLISSETIIFNQTDMIIDLQLFDL EGVQEQNIYQAQIEPYRAFSVPIDRTRQYFNFKFSRHPKYSNYINLRYLSDNIEKRYEQQ HNDYYTIIRCSKRNVNQTLVYLESPFQIKNCLPMPILYELYGDSEQDLLTDSIIIQQQPI DNEQSNLTNVTLKHLDKYQYEHLHQKEKGKIMKQEQKLIHTISSKGRLAITVKLPFFQIS GIHMLYHAKFGPFQVDNIQLCDYFGNTNGYVLIDQIKNVFYIYCQQMIVNELPFKVYSYG VTDIKKGNPTIIAGQPMKDLEENDTHNITLFNDQQQLAFSSSENYTQLSETLNLNYTGNQ TVRIQNESNQIIEVGYNISLMCVDKQKPLVTKVLTISPRFIIVNQTQNTIHVLQESSNQY LEIKSMDRQPLVWYNLRYQYISIHYQDGFIEWQPTNPIDPNGNGFQCFTIRAANNLQTIK HFNCITKRDNQIVYLIILECKSIVTQNSIYDQSQRNSMIQQTQSQIVNINQVDPPYVIVN NLELVTLICYQYCCSDEKYSHRYQFNEYKLYINNNQQCAFSWEYPCERRDLVIQLKFEGE LSTHYRVNPIFINLEEAEAVQEYVISSVLNESEQYKFYIISLWEGASRKLKFQQEVQNQQ NQHDTNILKLDINLNQVGISLIDQFYKKRVELIYVYFKGLEFNLLQTTQSRRSEFKVKYI NIDNNTSSKTMFPVIFTPTKFQRNL >CAK73031 pep:novel supercontig:GCA_000165425.1:CT868141:261378:262847:1 gene:GSPATT00009379001 transcript:CAK73031 MLEQLYSVYKQITLSFISNMRVDDQLKQFDFEYAISQEEHKQFDSIEQGVAQIPEQALTE YQTEQNGRSQRLTTYINKIEIYPLNFNLTFYSSAKTNLQYNMLMQILKTIGIVIGNIDEA PLILGGIFLENSFDTSHAILTKLATHYKDMLFNLILKLIGSIEIFGNPVGLVKHVAKGVY DLFDKPIEGFIKGPIEGGIGIAKGAGSLVQNTVSGISNSMSKIAGSISGGLAYISLDKQY KQEREQFRVVKPNQIISGIYLGGKLLYVSVTRAVVGVFDLPVNQAKRKGMKGLTIGVLEG TAGFFIKPFAGVFDFVSKTTDGIKATAQYWDDKANDKRTRDIRVIYQQEQLYKNYNAKDA KIMQFLINMNNKFEDYYYYDSFEYESGGTELVFVLMYQQFFNCDRKKKSIIWQIDPNSVQ DIQMINDGVLFLLKDYNEKYKAKQVQLHMTQERQMEQVILKSQWLLSNI >CAK73032 pep:novel supercontig:GCA_000165425.1:CT868141:262872:264714:1 gene:GSPATT00009380001 transcript:CAK73032 MSTLQSLNNAFKKFNVYTRPVSLLLLKEQGHRTYLGVFLTTVLVSLVILVYYSGLESLIV RNNPSVITYTQYQEHQSAFYLTPQNFSIAIAQKGYDLLDSYILEGALGGIDSPIYQEIPL TRCIDFQFNDPTLNAYFDKADQIWYCIDWSSISQLVIEGGLESSKDKSLQIIVKQCTSIT QCTYPNVLDSDQFIFKMTSANTDISNFKTPASLIGKTFSLTSLSNFAKKLNIILQPQQTQ TDNGYITQDQYQETMLSISNYYETILDKDSDKHVFEINISLDQKTLVTQRSYPRVYQFLG DIGGFWEIIFLCSLLFIMPFNNLSYRVALLNELFNFELDFDHKIHPEHRGSSKVKEILNK SVQLEKFNRMSKVAEHEEQQKSKLLDQISSDITQFFKEQESKLDLQFFDYFGCCQCKKGG KRDLINFSMGKITHTLDITYIIKKLQEIDKLKLILLNSDQIKLFDYLPKPKLGLHMKPGE NEYCSILKPELSDLDKALEAQKAFQSLQDQDDEITPKLVKLLDDDLVSLFKLQLNKGVNR FVKKPGQLADAVQQLMLMNAKEKENQKSKDKKKDKKKKKKDDSSNSDDSASSGSEKD >CAK73033 pep:novel supercontig:GCA_000165425.1:CT868141:266014:266737:1 gene:GSPATT00009381001 transcript:CAK73033 MQSETRQKQKLVFLGNSYVGKTSIIERFVSNTFDPKSQPTVGIDFIGKNITIDGKNMRLL LWDTAGQERFHSLIPGYVRDAQCAIIVFDVTSRHSFESLDRWFNEVKQTRGNEAAIVILG NKIDAERVISSQEARDYAMKKDILYYEVSAKTGKGIEEAMEQICLALPADHSFLITQSQV NQSQFEQQTVVSNRKSVQQDHNVFKQLQQQPTTKNNQCCAKSQ >CAK73034 pep:novel supercontig:GCA_000165425.1:CT868141:266988:268741:-1 gene:GSPATT00009382001 transcript:CAK73034 MNDIVLGEDEQLELGSESHDSQLLPQPQQYVEEKLEDIIQQQPIFFEQEIQSGNFNVLIL HTPENLDELDSSGYALIHHAISFERLDIVKYLLLKGANPLNKSQIEQSCLMMSCHFGNQE LVRLFIDLGNKINEQDNLIFTPLLYAIKCNQQLIALYLIALQANTDVKDNAGCTIMHWAA YTNDVYMLKYFEGEMSYNQQDSKGKTPLIRAISNYSNDAVEYLLRAYPDLMPNQETDLKT QAIKKIVETIQFEVFIKKHNTKLVQYIIVGVSYLMIGFLIACNFLRLKSDDSYGYSISLI SLIFTLYSLFYCLIFLSKTTNRQDEGKQISKNLAHPKINYECHSNDTREHRHHILEEDEM QDDLENRDQSNLSELLRAVKLHFEKDEQFINFDISRTCPKCKIIQKPQVYHCEHCNICVK GFQFHSIYLNKCINNTNHFFYVVLLLTYFITFIINVYSSFYTISNDQVSITLFFFIPVFS NNSIIELIAYYGMIFGIYHTSINLIVQVVCIFSNLTEHELMNSEQYKYLYELVLIQEFYY MKLRKIGDIYDNIKTYIWNQYNELRIL >CAK73035 pep:novel supercontig:GCA_000165425.1:CT868141:268799:269137:1 gene:GSPATT00009383001 transcript:CAK73035 MRGFLSLAKTIVYSSSIVKPTQQIKMQFRLANQQLASQFRLCSTIQILRLINLQGVSSNS QLEDADEQDLESTISKILSVTLKSNTEKHPLKLITE >CAK73036 pep:novel supercontig:GCA_000165425.1:CT868141:270310:270551:-1 gene:GSPATT00009384001 transcript:CAK73036 MNSSNLLRIPKPQITIKQSEWNKQLERCRLNAQNPMSKSFFMTQSMFESQQISLHIQSQA >CAK73037 pep:novel supercontig:GCA_000165425.1:CT868141:270560:271209:-1 gene:GSPATT00009385001 transcript:CAK73037 MQQPQNSFSEYSEVQSYKFLFLRDVKITLEVAAETEIILEHFQNDKTLQQLALNKLINQI NNSNKIHLELQSEYQQKADNFKLKVLTLRKEIRELFDILMDQLDNDQVRSLLYKYTDHNL EDTVNPFWQLITQVKLNEIPEQQMNLLKAINKKGETLRTLSAQIYTEEQIKKCIDEKKAF IKQQIQAQIK >CAK73038 pep:novel supercontig:GCA_000165425.1:CT868141:271238:275521:-1 gene:GSPATT00009386001 transcript:CAK73038 MDDKKRRNEDRRLKLDVIQSYGSLCSAKFKDSISIIEDEQRRLLYPVGKFIAQKFIDKPD TQFIRLSDNLDIVSCMTVAPNKRTLAVAEKMKNETVPQLVIYNLKAHPSKMEAEKKPYKY LDTKSSTFISMAFSHTDSRFLLCLTGPPDYLLIFVDLIRMKHLALSAIGQELTRVSISPK DNHMVAVSGKNYFKILRVQENSFISLTDQIKRLAPIQTFTDHAWFEENKVILANDKAEIF IIHDNDVRQYINNAFNDIRGPGVSSLITFSKGFLVGSDSGHFALWIRGDDHDKQKTEDGI EVVMDLQFLKKWRCERKSQVVSMDITKQEDLVAVAFSNNDICTIEMGQVLPNSTEREVKF DFLFNGFHNAPITHLDVCLQRPLIVTASKYDSTIRIWNYAYPRCELARKFYLGDDGNQQG LGTKENQSTTQDISPLCSVGFHPTGYYLAAGFQDKLRIFHVLHSELRAYKEMAVKCCTQV KFSQGGHMLAVAYPRAKSNHYYINIYDAYTMEFMHTLKGHSNQITDLIWGIKDTFLASCG LDGSIFEWTPNDWSRKDYVYNTNKYTSLIYDPPIGMLIAAGTESIKDKTEQDKIDTKYIV REQRIVQNGISTGKDHHFHDLGQQKLTQLAYIQSLYNQFGIIGGTENGQIKIYSYIFNQM AFETMPVHQGEITRIKCSPDGRYVFSTGSDGALFIYQVSEISNDGQIYASKTGAEAKEQM DDIDKINPKAGVVDENLADVLLVSRNEIETYIAEMKKLHNDLQDLDQKTDFKVQEEKRKV EKEKLEIEKQMNNEIAAWAARYDQLKAQKSSAEKESANLFKNVENNHLKAVEELENLYER KLAFENEKYLQLEQDLMEERRRNQAQAKEFEQKHTSYIDELKEKFYKNFQITAKALENNQ NQADQLKATYEEILAQQEEDHEFEIKDLNDRQKKEIDRLKCLLAEKDSKFKQEQKLVQEL RLENEKLDKRLQEKEQAYQLLEKNLKEAETKIDVQCKDLEDKDDQLKKKDKKISEYKDKI NVLQKSKHVLSFRTTEMKKSLEPKEAQIEKLKEELFRLESEFAKQLKINSELNSKINEES SKTNALTHDLNLQIQTTKKKENIIQNITRDIHNCVHYIDDKEWKKEMTKLYQRYVLQQEI KQSSNDPRSIEEMNRHINHLEKSAIQINSSTEKMMVRREKEIYKRTSENQQLIHELNEIR KQCKDYETEKSNLKIENDKYKKENEKFKQEIKVLQTKLGRNIGEQNVEMMNEDVMQQSQQ PILPSALNINKQGSAQARLQSLPQKSNKVGKILRGPNFDKQKLQPFEFQKNVELQNQLQI AINQLQANEAMIKNFRKILKEKGIEDPYADAEQEQSQFGRPLSSIFGSRV >CAK73039 pep:novel supercontig:GCA_000165425.1:CT868141:276291:285792:-1 gene:GSPATT00009387001 transcript:CAK73039 MTDLPPIPNLRKQSLLGAENYIPQTHNIIPLPQIVENVKPQKRNFNLKVGDIIYFKSYLS DDFKGVISGDGIASNKLECIQVLDVQSQKSLQCKVGSLSFQKSLFQIITGKKYLYQNQYK EEKEKPIDTIREIAADETYQMELDKLTSDYDKRLEEFQKKCEEEINENERLYNHLYGSNI VYGQEIQLLHIYSGCTLSLNSDILAKENCCRELSLEEIPNLNSNFRILSMNSVKNPGEPI LYGDQIIVQNSNQSQWNLGIQKPSAKFEKKDGLEVNASEQAYPLKISSYIDNKTEEEINK QQIKGKYLQSGDVVSIKNRYLGGYLCIKRIQRITDGLDKKPLIIKDYETNINYAINQFYF DVDKIRNEKINQMYQLYVDTSPKADEELNSLWQVQHVDSLIYSRPTYESVFLIRHVCTGL FLQITNYGVGLTYDGLKMECQINLKSKKTSNDTISYSEACKIQSAMNFQVDNYVAQESVV VVCLEETKVAVQRKSQKQNIERATFLFKQTSQDLSKISFRLNSLQEYLIKFYIFLQDWGV VKSIEQNQQNQEEKRKYEYYEAFNNQKSLFDEILQLFQTLDNLKMYLTNEGKPQSNEQLQ QKQKALMDNDIINLLFAIQRLCNCMIYGNLRENNKTIQEKSPSKIAKMKLDPIVTQTQKI NCIQEIYSLLSLCVQSNPETSNYVLSLEMNKESILDFLLQQLKHQREHVSKLIKESVRYT DMSDTKASIKKWVNELQPLTEDNIEDQALYIEILSLMMIDPYENPNSLCQDSCRRLLFGT RRKPDSSLPFQKALIALDIYEENQNYYPVVQFSPKREKGMLLQLSHQFGQNNPTFCQLYL RFVERQIKVAQRFITRRPPLVDVTIDFFTTEALKENQLVKQEDLKTVMPIFLKYENYLMN VMDLYSSLCKGRNQKSIKCLMKNCFLNEKFLEICLKRQQNIKTELRFERTLIELFCNLYL DIDPLIKVSQFDNKCYLNDDLDQFDIQNQSGVYFYENNATKQLKRNEEYQKFLNQKEAKA EHQYMKLYASKMLSRKQINDVRIYYLEIFTQIDYPDHFRVQEFSGNLLQTQKSTINQINI DYKRLAYYQLQYFLGILQIVKNSIDLGYNQLDENQRIFSILPNIFVALILQQRPDNKIHK FKENENFESNLYKLSQSIEKNVWVTTYLPTAQQLQEQRKSKHKKQDKSSKQSEQIIRQDI QFQRNWILQFLIWTFQYCTDDLLKMKIYLEGLQILKIFGFLKLNLQILEFLFSKQQLNES NLSSPSSRDLDQFEFNNNEIDNNIQEEQIKKQKTPAQKAIFNVFKEPDGNRFSALLFSCL LSSEKRNKLNEELLQILIDNFHAPKNSTNEIKEVEIIDNLMELKYFSIINGNSNTVQIIS PKEAQILTKRATKYIQNSKRRKNAQKRVTSFDSLKNYQSKIIEQFEQFFKPNSQEVQYLK SFQNIVRNAGVHLVFLQFLVKPQNICSTPDIVQFYKKLILFFEYFTKDNETNIAILAQNE YLYKLLDIIQVDQQDAEDWYYVPIKITKLVVQLLSTIPVSEHDNFIINVFERIEKLGAEL MQSEEFFVNAFSLRDVYFERKVTPRKKHLDAVAYFSLIQYLRILRSLTKTFETPAEQSPL NKHLILSSILKNDFLRIVLEPVNYYKKLLVPGQEQEEQNGTDSLYYHRIKLHAQLIILIT DCCQYYRLGIQEMQRIILYEQLKSILLNSNSEYIVKRAYLQCLFELYINQAKEGEFMNDT VESDEVRDILSRIIIPELDQKQICKFLEGLAKLINQDKNKKVTQKDLREQIARQKRNYFE KRLSIDSTSEKEIGILRDCSEFWTYLRKNVYTYDEMKDRIDIENPENSNLSDEFAIIKQN VQKIKEIFNVLERDFRVKKEDLDLDDYRELIISIEEIIPQRKITKFGQNFRIGFIQDKNT DKLLFDKYDTLKEDQNGEKKLQEQDNVQRIENPFKRNFKIYLIRYKVNILQFCYYIEKSS SELTDQEKSRKIVKTCNVYKQYILLKDIENIYQTDVARIKNQESVNNRNKQFVDWELFKQ AMRESFERKFNANIAQQQIQAYQRQQDSLDSLKKDFSLSTIRLIAKYYKKLGGQLELNGN LISEDVQIELLIKTLEKQQTNVFNLDNLKDFLKKCQEIFLNKEIYLIKLCRIFLQLKRPT QDEIDSLTEAKDEDSKQFVRDKKETYVKFQRAMADGQLDILALEMLNQTDDDQQKIEALS FLIHLLDYGNEYVQKKFYKLLKEEHVKQKFLVFLRGFFLIDLDVRIKELELTNGDNTEYK LLCLKVLQLLQALCENVNMEFQRFLVFQYDDDSYQANINIVNEVAGLLADLLEKGQRVFY KLQEIYRQALESLVEFSTGYVENKKELCKNARLFTLLNSILQKQDLQNFSSLYKENRMIL KEKQQMNQTLDEDIVLDGEGIATNEGKKKYSSYQTLQSFIKLLLLLTQGRSDIQSLEFIL KTVDITILIRISKSIYEERIKPKQRNIILDNICDESETGNHRHCTNSLCHFGLRTDEDNM LIQTGFNIFIICLKLSEHFTQDSQLELFKFDEEQEEQEDLLDLDDFQEEELQKKKTLSNQ KILPINPGSKYDYNQDEGDGLLPGGDPKLENSSLKKIFLDVQNDKSYQFDRTQRFFKFYR QFTGRIEIQNEFNQLEKVFFQKPFVCNFITPNIKQHLIYEINRETDEDRMLGLIEYSEFY QVQMRHSQYINNRKVMHFGAVYWRLLKDVSFLLCLIIVVLLIFMHDTVINSKIGSNTEAP EDQNVTSGESFVSYLNNIITIVQLVLNLIIVFFCAIERYPISITYNRGQTNAKRVQILKK EAGFQISWLTMKYYSLIGYFESEFQEEKVNQSSIKKLILVIFFDFDNFYNICIFGLTVYA FFNPYIYAILLLDIVKRSEDLQNIIRSITSNGRNLAIFSFLGLIGLLIYAIIAFSNFDQM FDDESGVYGQTFILAVTSTINFGLRNGGGIGESLTKYPDAYDDPTLYWGRYFFDFTFFII FNILFIQIIFGIILDTFGELRDERQALVKEIEGKCFICSQDKNDIDTKGTKGWHYHIYLE HSVYHMLYYIIYIKNKDPNDCNALEKYVNKCIQEKETKFFPFGRALQIESQEGDQDQTEK Q >CAK73040 pep:novel supercontig:GCA_000165425.1:CT868141:286205:287166:1 gene:GSPATT00009388001 transcript:CAK73040 MINNLQIAPLTALHKLLSFNKTIKFNDKHQESQKQKSLQKSIQHLSSSQCEMFNFRIKNK LPTPKNTIDSSLRQSIGKISNNNDSGTKKNTKIKDELISVLRKAQSQSKKTTNLDNLLAT SKTPKQFSDLEGFKIKRPPLLQYLGEENEKLNIQSAKSASEKNQSLENRYSSGFFTSTNQ RQQKFGSLNEVLKQYIQYKSQQKCNQILQFRGWNWRRNAQQQLLMKESENQEKYFPKQKS DYAKGQSKLINDNLHNLFLKTQNVLEKYKAKELQWKKQKKQLRDEIRILKQQLKQQQLQN QIYH >CAK73041 pep:novel supercontig:GCA_000165425.1:CT868141:288082:289379:1 gene:GSPATT00009389001 transcript:CAK73041 MNNYQITTSQCSPNNILAVCVVIRKHFFKDRQYYLSLTQHSLTLSDGPNQKSPKYTQLLG LDTVFTWLLDQQNIIGFTLFYRGKVKEFYGKASDMQYLREKMGQLVIYDNIKKFYFLNEK NEKGSFGKVMLGICKLSQKQVAIKQLEIQKNSQPVIKNEINILRTIYNYYSQNILELKEV FKDKQSYFIVTEFIEGYNLQQLLSTRKTPYSSQESLYIVEQVLKGLTQIHQSRVIHRDLK PSNIMYNYNKIKIIDFGLSCFGGKQLDEFPNCGTTGYCAPEVLNAQKTIQTYNYKVDIFS LGCIFYEILTLKKVFPYNKEQSTYYQNQNCLINIEEQGQIYDLIKLFLKEDPKQRLDTFQ ALKLVQNFIEMKNFDVNTWYKVQFEKDSLRNNSDENFIQNLSGIFKF >CAK73042 pep:novel supercontig:GCA_000165425.1:CT868141:289689:290883:-1 gene:GSPATT00009390001 transcript:CAK73042 MQSSQRIFYQPIEAFCSTLLCKICNNLTIDPVECTQCENLYCKECYQISKSSYDKCPDQN CAGPFTTKQPHRIVRDQLSKISFRCFNHNEGCKIVMAQENVIKHMAECPYQQISCKCGQN ILRTNLESHKNICHYHKTQNCPVCQQNVTLQDLKSHKCLQELTQMIKQLQEKFQDYKEES NYAIIEIKNQQNDRDNQLAQAKQQLQYLQDENSQLKIELQTKLLKFKENIEKYDQQRKHQ MENQEQQQQAKMIQNGELIDSNQQFCSKNHKLSFWKKPSGEEKRKNCSKCLKPNTTCRYF CQQCTTFICFKCLFPELKFEKQSMKPSCSSKHQMNQISDDFRCSACDKKGEDMIQPIAFY CAQCELRICFQCIKNKKFKEID >CAK73043 pep:novel supercontig:GCA_000165425.1:CT868141:290926:292238:-1 gene:GSPATT00009391001 transcript:CAK73043 MLKYIQDQDFGTFETLPVQRQKKVFCMNMPMQQLIKSRSVSQILHDELITLQKSSARKKQ KEESYQERISSPIKPIEMSQSIQALPKINLQKTQTEQLNKTKKRIKVFHNISKRQCDLDQ WNQAFYQNRSINGQSPRIQEIKRALQDQLNDGRSLNLNSSTLLKLSRTESPKRRPNLQTE KTLRNLLCEYVLDDKIAFNDLILDNNRQVQDPKYMIEQVVMNYEILKQIFRIEKTITKII LKTQGLSKKIIHTFFKEIKPNCPNDISQFEYHFKLRRNISKSLIISKFILGVDPELDSTI DKLLNREALSPDLQSIVLSPEELLQKALETLKFDYDYLNKLNYFNINLQDQIKQKQVKAI QNKLLLNGSIIEQSNLSLDFEQPSSLLAKINDCERKLGKFQKISNQSRFKCLEAEAYLYY R >CAK73044 pep:novel supercontig:GCA_000165425.1:CT868141:292991:294411:1 gene:GSPATT00009392001 transcript:CAK73044 MKNYNSYHQYRQTTVPSEASSVKSQITIQSYLTASPKQRNQLIRSSFKVYQEMKQEIIQN QQCKLSLRFEQIHLLMASQKLFLHLQKMQAFYNKQQKQEAFQLILRLGAIDYSNSSSIFK TPQRSHSPGIVSKDIGMEKNIQNQQLIKIIQELQSQIAGKELCKIIYLIQTKVMRDSLYQ IKQQIKLSQKFEKIKSILEVSSKRFALNELREKKNKSKKIEAATLLLAFKLEKFKLNLEF TFFYQLKLSQMKQQLITKQQIQLKLNGYRMGDKNENIRLLRLHQVIIKICYRFPFNQFKK NQYSFQQIELNQRNSASIQRFSLGQLSQTMSQQVSQVLEATPKNDELIIEEQEVCQQPVV VQKPKSIQKVNGKLAKQIKLYISKCEEQKQQAQQSKLEQMAKLSKKSIQDEIDQKKQKSK SQVQSRLFLVAIFVFVLIFLIRIIN >CAK73045 pep:novel supercontig:GCA_000165425.1:CT868141:294475:296412:-1 gene:GSPATT00009393001 transcript:CAK73045 MTTKNINQLKLNALTQPTYQNLRISRNAVKQQLTYNGQSPKLKGKSPNCSQSYIPSQLSQ IGSPPKEKLSSLINISSSERIAYSFKIPTLRIKSKCFLKKHSTTRLGMSSYEINLQTNLQ SIFVFKGSIQDMSQFKVIQMQRSELQAKQQDIYLTRQNLLLKMQMGLNVPKFYNQLSLGN YEIIQFTEEIPQNCNTLILSNTVNKDFWTVILNYHNLQFTQDKFVKLLTIVSNINDINDL QKFILDKDIFYTYMEIKEIVPDETLLTMKRNSEAMKEYYKSIHVYDHQKQKLIEEPAHQL YSERNIVQPEIEKETVRDFTIATTYPKPSEFQQILEKEGYYNPSQKRTSSRYLKLPNFKT QYSEILKQQSIKQKNQNQYTNIPDHIARKLPHMTNYNIPQLMQETGFDRQEIYEVYSRYK ALLSYECSQIPGLTKQMIPNGISREAFNAGLEELSMAPPGVVDQIFKFFAKQNTLTFEGF LKAINLIKAKRNDNKIELILKLIDENENGSLSYDEIKKRCIFMMEEMLKDSKGELSVPNM VEYVTRSIFDAVKMNYDQEIPIEMIRKLIESKTHEAQVLIMMCCGDVDYLK >CAK73046 pep:novel supercontig:GCA_000165425.1:CT868141:296431:296721:1 gene:GSPATT00009394001 transcript:CAK73046 MSHEKRTSADFFKQVFGRTVNVKLHNRTEYIGVLAALDGNMNLVLEQCEEYLEQKLINKY GQILLRGNNVLYISAKFNQKQQQEEEI >CAK73047 pep:novel supercontig:GCA_000165425.1:CT868141:296750:298629:1 gene:GSPATT00009395001 transcript:CAK73047 MDQEINHFNLPDIRDNDDSDDQEDYNPFIYHHQQEGYHSFEKIFQNHFDVVNNRWITIPR TKIYLLRSAMHKMKKWLLYLRQEHHMIQSIVKQNDDLRTQLKKNQEQYSSHYLVEQQRTN QLYEEIRKARQDLKDKVMQMNDQIQQLKDTIAEKDKKIQRQELTIQRLNEVSNGYENQNK GLQQQINNLQKDFTYFNNIKKQGFIDEKALIGGSLTTFELVLASKVKSKILQFLSLKDYQ SLMICNRKLYLSLISHNTIIPHAVNSLSNKFNHQYKLLQSVNEEFKSVIKQSDDPKLREI MIKQYELKVNFSEYIIPTLRECQSLIDGDNFIGLKNNQHNRDCKICISFNLVMDLLEGYL TQQASSYQAALQSLVQEKFPWNQFFPKPQTTIQQVQLQRKQFPTSSQMFAQQIITRLYDL STVCAQDSSIFGMFLSQLQRCLASLFVYGQHLSQEVNDLQSLNYYIMTKFFFLLKEKKQI EDQYEDFKTQLGLYQDAKRFLNEKVKDLEKIISDKNEKISGLNQQLYMKESQLKQNQIMV QKNNESLRLYQDKLKILAREIMEVRRKYKNTCVEYNEIVSRFNLLKIQLEKMEKQQKN >CAK73048 pep:novel supercontig:GCA_000165425.1:CT868141:298674:299610:1 gene:GSPATT00009396001 transcript:CAK73048 MSSSSSSRSRSPKKNKKRVYVTGYSLKEDQHDIKKLFKKFGKIEEFAWKGKYCFIEFKDS EDAEKAVKKMNKEEVKGSVLQVEMARGNKPSKNNGLCYSCGRSGHLQVSLQHPFLYIQNT IYLYFTLKTVDIDHHLPVHLALVVSLEKNTRRNIKKRRAHPVRIPHQVVHQAERKRIRRR VQKGNQSPLQEALQKTHNIQSLLPAPLMTKKKKKKTLLKKRIKGIKEMVSNEVPVMLQIN LVSNNILIINKYYQQLFQFINLLNQVEFISQNYFIIFQY >CAK73049 pep:novel supercontig:GCA_000165425.1:CT868141:300232:300582:-1 gene:GSPATT00009397001 transcript:CAK73049 MSNFEKDDNFSNLLKPDVTKIAQGILDQEIKTYNYNNPEESQKKSNSICDTILIKLKDLN HKHYKFIVSCLILQKADCGVSLSASCYWDNNTDGSVSVKQESDNALCIVNIFACGG >CAK73050 pep:novel supercontig:GCA_000165425.1:CT868141:305089:306597:-1 gene:GSPATT00009398001 transcript:CAK73050 MNSRVDHYQKTYQTNKKNLEQFVEQCNCMDKIVQKCCMTILSNSQAMKVKTKNKVIFQGD KVILYYKGQQYTFPLSIMALASLENVLKYLSQVFSVENFTKQEIDSLCCLLPEADLQLFQ DVIEGTKNFHFGNPLNIFLTKMKSGYFTRNKEICEKLEQKISRNHLNEYYSNLYDCFHKD QNRIQNALQRKKRIIFQRSPSSISEDYEISKQVKLDENDELEDDGFSTQTSQSQSSANVQ QYSLQPQPQIQQQFIPSAQSLFIITPITPLPPLTSTSIATTAMTLALMQAKKSIKQQNKN QTQNFQESNIPNGQTNKEWLEFYWKQERERYQNPLQPYTFTCIDSSKVTVAPVAKKLISG SSQKPRQHELLKNERPTYVTILSLVRDASARLPKGFGTRADIVNLVRDSQYINENLPEEK MSSIISGALDRLHQSSDPCVKYDQEKKIWIYLHHDRDSSYPEWQVATPAKNNSNKKKGQF KDDQEI >CAK73051 pep:novel supercontig:GCA_000165425.1:CT868141:306628:309273:-1 gene:GSPATT00009399001 transcript:CAK73051 MIIIIATLILQSIILAIYNGVTEQTDNYYLLMDLLLIFGCFGQKILLVLLIGSVCLSYEL LNLEQDTLGFYLSVRLLLSAFILLDTSKVIYFVFCLFYFLFRTHFYSQPLAYLTIFGYLI LIIRTLYIKHKTPNIEKQQIDQIFDFFQESLPISVCVIQDEQYKFMTNQFKIDYYGLTPQ IIKNITSKSNKECLGTYLNKKKFLKKRQYQYHSKKRFEHCTLESFTPTLQEKEQIKNYFP ADIDVLEVIWEQQPAIMLIFQSQSEKQQQQQIEELKLRDQYKDDLLVSVSHDFKTPINGI VAIVQYLEQIVVDQIEINYLIILKKWAQLLLYMISDILDFSRIQKNTLRLTNTTFYIQAI VQEIIDLVSLQANQKGIIVQKNITFGDRLMYSDPNRIKQILLNLLSNSLKFTQKGKIDIV VDYKNSEIENQQVRLITISVSDTGVGIPDNIKPKLFQMYGTFDFTNNGSNKHGIGLGLVI CKKLVGLLGPTDNIDLISQVGIGSKFSFDVYINNDQRNLTTITNSKEMTTFYKQDTKQIS YDQNSENQLLTSQFTIFNQSLLAPPLQNQKKLNKKSISTLQEIQDKKLSNSIYQLRKVQR KKTSKKSLIKRENQGGLYNLCNLCSVEDSDHSKSKNIEQYLELSSEITNAKQIQRTHKQV DNNSPLNTPGYNIDSTESPAIQNRQRKPLQIKESIDEMMRMLFPEPCTILVVDDSPVNVI AFRLILTKYDFITVEEAYNGEQAIQKIKLRMMNEQRYQYIFMDLTMPIMNGYEATEQIRL LESNNLLPKSYIIALTGYDDLKEKEKCQQKGFDAFVSKPIKIIDIISVINEVKNSKEPQI >CAK73052 pep:novel supercontig:GCA_000165425.1:CT868141:309383:311572:-1 gene:GSPATT00009400001 transcript:CAK73052 MIKYKSRRGIQILFALKHLITASFSQDIYLIIISAANLMVQVILLKKNLHSIWKYIRILL LLELCFFDHQFTPPIIVSINFNIKFKIVILVLYCCRVSFIYFKVFQIIDWVYLGILFVSF IFYIYSYDSKQLNSKNQISSERKLSYLNINSQTDQQDVFDLFNFLPFGICILDDKQNITN SNYKAKKYCSNIKEENFQSQLFMMIQKACLQQLDKKSIFNSSEDQMSEFQMKRLQSFSQT RKKAISQQRQFSNFIDISQIINKHKTNRGVSDSFNQNALKYRDPTTTKTYEIKIYEITQG SMICIQNISKKERQFEIRERFRFQSMLINSFSHELKTPLNCSLALLQILEQELKSEKLQQ HQQIEQTYLKPAIISNRKLLHQINDILDYANFEASTFQLRPSSFKISTLIQTIDYYFKDE CRQKQINFVVQTCDDSTINNDYDRIVQILVNLLNNSVKFTKQNGLIQFNINRMGSIYSFE VFDTGCGISVEKLYLINKILQNQETDILKRGDEDYIQYVGLGLKVSSQIALKLCHKGELS ITSSLNQFTKGRFYVQDLSTQEEILTIPTDEFIQIPYQSKTKKQCHCSHVLIVDDIPFNH LAFITVLKYFNIKCDSAYDGVMAIEMVKKKYDTCQCGYKLIFMDIDMPGIDGYQCSKEIS QFLQQKNILSFIIMCSAFDSKENIDIAYKSGMNDVLPKPIDTQQLKKILSKYYF >CAK73053 pep:novel supercontig:GCA_000165425.1:CT868141:311655:312379:-1 gene:GSPATT00009401001 transcript:CAK73053 MWNLISINPICQKKKLVSDQERLLLNCRNMKKTINNGEPWKPSHSLSKVNNKYNHCNQIY INSQRGGEQNHAPKQHLAIQNDGYIETQFEKLEFWIITIIIITCEQSKPKKIREIQNHQE NQKFLSRLQSASSIYNKNYWQPDNEKKQIYKQIILKRTKLNQDFNDLARKSLESRSTGRM LSCNDISLFKQPNNLSGDRCLMNNSNSNNRQNDLTFTHFQYFYLNKINFHLIS >CAK73054 pep:novel supercontig:GCA_000165425.1:CT868141:312405:314032:-1 gene:GSPATT00009402001 transcript:CAK73054 MFSYYILSTLLGLTYSKWTRTPEIKIDNFNSTDSAVSMNIDNFHHLMYPNAYDLDLYYRQ GISNSRNLSDYERINNTQLQEQGCSYYERKIIVEDQLQIQLPDDGKYIFTDLVVIEQSAF LLRNDNKIFSLEVVSNGNELQKIQVQQKHLDISRDLKVNQQKKARFLKTKDLLFVISENG VISFNSKQWTSKTLPNVTKHTNCTFTTINHIHYDEISSRVFVVQGTQGVIVFKIVNGELK EMYTINLGNNLIKVQTNDNNLFILDDKKGIHFVTLKDDNYVIDLEFFIPIEYPISFVYRN NSFLVVSQTEDKVRFGIEILINFQNHEYYYNKFYLEDMQLKDVQEAGEYKVLIGYDVHKL VRTNIYRGFVDENFYHGTDFMIPLLEKVQEFNGFVLENSNSKVHYSLGLTPHYLFGLGIR EKYPEIVCSSQSQIEQSYMIVINSTQCNNTEKNPFVICQEQHFLGLTINEVFLDFQSQLL VEVVLILGFIIFIIFLVFSIIMCRRWRFAIQNMKRKSKQYVNVQMS >CAK73055 pep:novel supercontig:GCA_000165425.1:CT868141:314128:314730:-1 gene:GSPATT00009403001 transcript:CAK73055 MNSNAEITLTIEELVQLLLSPQLPNIQSSLLSLCQFEFRNNHSEVNKDIVFSNQEQSNHN NRSNNNTTSLLLLNKMNGLQIENDNLKNKLEQHIMQQNSLQQQLNQYLSQQQQLKQDNQI LQQKMDEIQISYNNKKIIKNRHDAISKSVHRDQEKIVLAKSLALNQPLRKFSGCSGVVTQ YPFGNSKRNPNNVQTARSNQ >CAK73056 pep:novel supercontig:GCA_000165425.1:CT868141:314754:315444:1 gene:GSPATT00009404001 transcript:CAK73056 MSNQDELKRLMEEKRRQKVSQVELPKNAQLMDGKIICVECESINETLTDFKRHLIGQRHK DALQVLKRNAQIDVNRLENEAFREEKMKKQKLTKQQIDRINKEVDQEFAEIEQITYEKKN QNELPEDFFDQIEVETKIQQQLQEEQLKKIKQQNEVVDEDIDILNEKQDIQKRRERILKN RSLKQKKVELEFNEDGPLETDFQLNWKQKGNN >CAK73057 pep:novel supercontig:GCA_000165425.1:CT868141:315473:316041:1 gene:GSPATT00009405001 transcript:CAK73057 MLNLQTVLRAFEENGLKQDKQFNQEEILYKLDIMGKREFDQDIAQQIFEQCHPIQENLKF LYRLADVSQTLVDASLILYEKIKKAELQLKQISQNKAVCEKQLAETSVYSDTRFLFLTLM SAKNIPLKLKYTNCQIQLILGVTNKIAKPEQQYDRVNPQFNQDFELQSNTSQPQIQAKFL Q >CAK73058 pep:novel supercontig:GCA_000165425.1:CT868141:316113:317092:1 gene:GSPATT00009406001 transcript:CAK73058 MQIQQLDDQALKYLELQFKDLQGRELGASIELEAQIVLNKHQYLQTQLQKFDQKIFTLEN DLNEYRTDLDVIERPFKIKLFKEQSFKQQQNEVFEQFDQHPQMNKGDQLNPNKLQTPEHR EQIPSVLQSEKHLEQFEETPDAPEILQHGVIIFSLYGLITLFVCSAKPSFLDVLVCHGLM FTIFMDMFETFHLKLVGVGLVASIFYDILWMKQYNFWWFSDDQNNPEWGLKAQTLLRFVL ILTYIQFLYKFVVCYYIYQFHRESIDSTKRYSFTIWGIQYKVGRNRNSSYWKSQ >CAK73059 pep:novel supercontig:GCA_000165425.1:CT868141:317115:319447:-1 gene:GSPATT00009407001 transcript:CAK73059 MQEQLEDIQVLQKLYSAQGNTPEEIITNFKNQQKQHLSKLFKYISNENELNQFQLKGSII GVDIEHTNDIGFDGQISIVQIKDDEDVYIIDVIEIGVDNQKLINVFKQIFEDDKIIKVFY AGSTDVLWLKRDFQITIQNFFDIKEVADECKLSKISLIFLWKQYCDHQVSKSYKTNMQTS DWAERPLTQEQLIYAAYDCYYLPYLRYVLLEELSKADIKKQSKIQKKFEKAILKDYKKPI LSNQDLAKIIDKEYNLLMQIVAENDDKSPIYSFLKTQPVAVQLVKFIALEIMKIRQDYAI HHNDPVECLEENEQVILIAIHIYQRLNIQDIQVYKLTYPDFQNYSNKQFELTLEYIQKQI DQDWEVYFKSFCKGLYQSFIFDQNFIKFGLTAIFEALQMSPEPKNNQSQQKMDQKKQKQT EFVEKYTRKKPAYGNCKIYTKENFFLCNCDEKKVRWYLKQGLADLISEDPPTIQLKFDPS GFKEEGSDKAQYVTIERVNQCVICGKENELLKFHIVPVVYKKHIQGKRSYDVVCLCLTCH HKASALNDKLKATIAEKYNINVKQNKKETLPDMVKNLIKAVQAYNKKKQNLPKEAYQKFQ MQFNKQIEQLKSQELFKQINQFDLNNLKYEENLININDEQFLAFISYLKQNEELFNQNDD NSDQGKLIVEQLKTEEEIDEFLVFWRDNFLNEMKPQYLNEAWAIDHKFKRTFGDKSQYNP NYQKQEKQIDDQD >CAK73060 pep:novel supercontig:GCA_000165425.1:CT868141:319698:320764:-1 gene:GSPATT00009408001 transcript:CAK73060 MAQAQALAGENYEFKAAPEVVKPKPKYRQPALLSSEDQNNSSLLGCNIMFDKRVVRGNTY ASVQTQGDKKLMTLKSFKKPQQKSAQQPQTTYLHPSTPRPFQNRVNIDIQTDEYLEILTD KPPEQEMDAQTDYYIDKPPDRLFVPKKNGIDKETQIWEGDLFDFDQEVEPILQVLMNKIL EQSRMEVLEEEEIKLMKHQQKQHEKQKTSVLSEMQRLEAVQQRLDQEEARRKLQYETFLK IQKQSHQKIVCRNLSKSLINPLKQNTIKRLQDLGVFRDPLETALLYEYIPWVYENVLNQL VDENDNASNFNSKSLTLLGYVKYYRRNYYY >CAK73061 pep:novel supercontig:GCA_000165425.1:CT868141:320829:321918:-1 gene:GSPATT00009409001 transcript:CAK73061 MEAEKVKLWLDCDVGNDDAMAVILSLFHPKSNLLGISTCFGNTSLENCTNNTIRILSSLG RTDIPVFKGAEFSLKSTRATTKMHGTQGLYSVDKLISSFKPLEDIELYDLIKQTAGDQQF TIVITGPQTNIAKLLRDHEDIIPQISEIVFMGGTSGFGNVTPNSEYNIYSDPEAAQFVID VCKQHSLKLVMISLDLTYTCQLLEPLQQRIKSINTKFSDWCLEMLQEYQAAYKAQEFDFP PIHDPVAVFYALHPELYVIKPVYCAVDCDSKLCYGRTIIDKQGILGQEPTIQFARKVVVD EFWNQMIDAIQVAAKNSKIE >CAK73062 pep:novel supercontig:GCA_000165425.1:CT868141:322820:323344:-1 gene:GSPATT00009410001 transcript:CAK73062 MQTLRKYLKIGLRKRSQIPIASFEDKEEQYYQNMDEQKQCEESLYCEFKRMSISEQTDEH LKNAKKKKQQQEGENFMFLYQSNQQIVEENINYRSTKHSVELQEIEIIDNSSNVLQDQTI EQFNIIKVDEIDYVNQLSFLKQQTRLNQETKSQFKSFKKNKFDCFSIKHKKISK >CAK73063 pep:novel supercontig:GCA_000165425.1:CT868141:324495:326163:-1 gene:GSPATT00009411001 transcript:CAK73063 MSDEIEPHILKRYEIVSKIGKGAYGVVWKAIDKKCKNTYVALKKIFDAFQNATDAQRTFR EIMFLYELDHQNIVKLYNVHRAENHKDIYLVFEHMETDLHGVIRAGILEEVHKQYIIYQI LKSIKYMHSAELLHRDLKPSNILLDSDCSVKVADFGLVRSVACRQDAPSPVLTEYVATRW YRAPEILLGSHAYTKGVDMWSIGCILGELLTGKPIFPGNSTLNQLDRILQLTGWPSLEDV EAIQSPLASTMLGAISPPQVKPIHQIFPTASDDALDLIFSLLKFNPNSRLTAEKALAHPY FSNFHNVDEEPISDKIITLAIDDNEKFTANEYRDKLYEEIAIRKRYSIRNQEDFNSQLPS PPPVSDQLRERPQTQKVKPQQQQESEKFYDSFQQQDPANPLKQKISERSNSKKSKFLQSA LNGFINPRQNSKTTKQFFQTQQQPNKTYAPSFPNNSSINFQKNVSYTQTETKVSSQLLYQ QYHKLFSSAQGKLLVNQDSAQSQQKVKKGLSDLLGSNQSLNRQSQHKQKK >CAK73064 pep:novel supercontig:GCA_000165425.1:CT868141:326630:326869:1 gene:GSPATT00009412001 transcript:CAK73064 MGCTSSNQTTDQVICQVSNPQFREGAKLNIGVNYDTKNSDTRMYSITKNPIVQRRILKSI KPKVSITKQYSDETYGSFY >CAK73065 pep:novel supercontig:GCA_000165425.1:CT868141:327782:328364:1 gene:GSPATT00009413001 transcript:CAK73065 MGVGNNMIMYWKWFDSRVSRIEYQINQFNSLILTIFYCDKYNYIIMGNICKPQVQLIEEN EVDLNKKAEIEEQRKKANGKQENNNDLKQESITDDQKQQQDNYDGQKLRSENNQELKRRE NKKMAQIAAVIDQEVIYENIQKQNKVKSPFDYQLLLNAFSNSFIFAQLQGDDKYQKLNII LSKGY >CAK73066 pep:novel supercontig:GCA_000165425.1:CT868141:328369:330537:1 gene:GSPATT00009414001 transcript:CAK73066 MFYCTVKDQEMVFKQGDKGSSYFLIERGQCQIIINNDVKKTLKSGEAFGELALLYNAPRS ASVKAIGDCAFWAIDRNTVRKVIEEISLKDYEQNKEFIQKVQFFESLTDDQRTAITSVLI TLNFKAGEIIVNEGDQADSFFIIKKGEIEISKGGKQLRIMQQGDSLGEQALQSNSVRGAT AKAHKDVILLALSRDDLTRILGDKIQTIMFLNLQRWAFERHPILKQLTKLQVERIVSTME QKQYKEGEVIIEKGQQCTHLIVVLMASLQYGQQSIEKGQMFGDKFLEQQNQILNDSLIMK SDGLISQIQIKVFFEIIGGTLEQIFLKNEKAHDRFIKKEEGQKKDQYDHFKLEELISIKK LGQGQFGNVYLVHNKSDKKNYALKCISKAQIIEQNLEKHLAQEKSTLQTANFPLIMHFVK SFQDKNYIYFLEEYIKGMELFDVIREIGLLNTYDSQFYIGSLILCMEYLHLNNIIYRDIK PENIMVDDKGFMKLIDLGTAKNLKNKNGRTYTIIGTPHYMAPEILSGKGYTYSVDLWSIG ICLYEFMCGNVPYAEDAEDPYDIYEEIQKKALNFPSVLKDRKAKKLIEQLLSKTPELRLG SSYASLKNNQFFEHFDYDSLMNRDLKPPYLPPKSKLQSDKEIQKAIQAGKLITEEIKTDP TTTNNIYKPEKARDPNWDKDY >CAK73067 pep:novel supercontig:GCA_000165425.1:CT868141:330584:331751:-1 gene:GSPATT00009415001 transcript:CAK73067 MGMVIEVLDLYGPLQYQDILKYAQFDDLKFLEIVITLGIKHQIIGYKIKKQLDNTVEIKQ LFQGNKDEKQQNESNQTKNKQKKKGLIFVNHIALLGREYVPELLNSEKKYNAIFDYAIKN GVFGIKNLVSESINESDLETLVNELFDKSLLQIVQVDQQEEIQEVIYKDTKNKSDKKTQK AKKKLEISSQKQQSLTQNSLLQINWTGLMDIVKNNTIMQQLKQIYGISSFQDQNLYKQKQ KELKENLEIKWIEDKHSQKHSRVFNLLKLKNCPLDEKQICDLALLNYQDSNTILLELLTD QLIKTQILKENDKDKQRFQFDQKMVDEKLQLHFYKGLISCKDKKLYFGILNQMNLMQFDM RH >CAK73068 pep:novel supercontig:GCA_000165425.1:CT868141:331801:332126:1 gene:GSPATT00009416001 transcript:CAK73068 MIKITSPLLNHRFKMVRVQCKPYDNAELIRAQIMDNMNEYLQENDGKLINVRVISNSYIA VYFVTGIEMDDTNWEQQISRGPLQKFTILSNSIEIRPSRF >CAK73069 pep:novel supercontig:GCA_000165425.1:CT868141:332175:333998:1 gene:GSPATT00009417001 transcript:CAK73069 MGNNQSQRKNHTNFKTKTKEQFKKLFLIKKDCLFSKLSQKTSSLITCFLNIQELENLLQV SKTTYQIFSQTSGCFQIVCQRLLNVKCEIIQSQNWKLILQSILCIPIRSLPYGEAIKSVR YETKQFPVFIEHKINYSGFQKAIPYYEYKFGEKKQLIKNSDYITALQFATNNTDILLRVR QGFEIHTQLPELLMLNYNLHDYVKIYCKYLEIRFSKCNLEQFIQIWHHYQGWISSVENQT SNLIFLFNQIIDESLPQYKLPKYTVRHFMVCEWMIKANKAEIIEKFRKEFSLLMIECRNK KNKSLLLRQYVQYLIDISTNQNNIHKYGYYNFQYCEELNQLINLAVNLIPNLNIEYSQDE EILIYIFGQYVYEYYIFEQSSAFRVEQFKYEIKQFHQECQQMSKILVKNFEEQNNSIFGN EIQNFRYQLSLQQNVQIKIRSLFKQVALIDEDIQNSSENIVAESLGTSGVSLTSTNCSSV FSSVKNNDQLYHYIKFYQKDLFNKIQRFYCKEWDVYQYSLEQEPVNDNIYDIPELAEYDY ISHQNLMELFFNRIQRSNAICQLERRQTILASNYQFNHQQLQTQSLNQSESLLKCLLT >CAK73070 pep:novel supercontig:GCA_000165425.1:CT868141:334227:336256:-1 gene:GSPATT00009418001 transcript:CAK73070 MKQQIKQDESAQPMIILQPSQYKFMIFMDSSLQKDVDKLYNKHIKQVIDKERIEKIQSAK DEVKSLREIINDYQTQQNEIDSLKSQLQNQIKAILSKEQIIKNIEDTYMQQMEEIKNNYE QRQFDLVKECEQLKLRNKELEEINTKYLALNDLLLKANNNYQQRVNELEMKLILAEKNNL IASSELEQMKEIRAGALFIQGAYKILKYQYQESNIYTLLTNKYKSTILSFLEPKDIFDLM LTSKQMYFIIQNNRSLLNYIVNYRTQVLNKEIKFLKTEFNYFNDMVYQIPEEIIQIGIAK FLVFKFNIGQYMNEIFNDAYELIEGKFQNQQQQLQQQQQQIQSDSLYQNEDLLGLGETDE QQQQKQQNSTQYNVASTASSIFTKIKSYAGNHGQSSNKNINASSPSQPSQNKQAKSLSDL SIQLQQEYQDIDSLILESLQVPFLKAQIIQTRREMVDLVKKSLQINQQLFQTGRKCIPTP TYQPKFTMQDFMQVLQMTFSKFVAHGHYLHLESQQLLQTCNYFSKLLLLQHKQIYQLKSQ IDDQKVELDSQKEMKYYIQDRAKRFEERIIKAEEQIFTLNKLNFDQKSQITSLQKKEKEL EDLVKEKETKTQTLFQAVKQIKIEKDQVETKLNQVLMNFKNMKNALGKIV >CAK73071 pep:novel supercontig:GCA_000165425.1:CT868141:336292:337648:1 gene:GSPATT00009419001 transcript:CAK73071 MKYFQNGLNQICQQIIRPARAEYTIYDLSSYQIQEDSQYTREDFDIINPRQEVIKVSQYI GQQKSDVCIIYLHTANGSRMEVSKYVSMIIKNGFALISFDFTGSGMSDGEIVTYGHREVG DLQTVINHFKSSYKQIILWGRSMGSAVALQYMQKFNNILIKGMILDSPFVCLLDVILQMA SSKTKIPNFILKSLSTFVSNELKKQAGFDLEEINCLKKISSIKCPAIFVTSKLDTIVPPE QTEKLFKAYTGIKQIQYTNQQHNGIRDHAFIETLIQWFKKRTPIFERLGVGTQIKQRIMH KQKNTLGTIKQVSLDLYKERSSILEYQRSLNTSVSPRQTIMNKQKLTAEEKYNQMLETQR SLYLDRSVSAKRIQISNSIDYQISPMPLAPLSNKIVTSSQHNYFSHSKPITFTKIPHKTK DCTQV >CAK73072 pep:novel supercontig:GCA_000165425.1:CT868141:337820:338818:1 gene:GSPATT00009420001 transcript:CAK73072 MGQECQKCKAFSVKEEFDMKNMDEDLGDESPDVEQSFLDEEDEAKKHNRPPCLDYYKRGK MLGKEEYYDQNDPKLAATIENKEMALTEEQRGEEIILEDGSRYQGNVVDGKANGKGKLWL NNGDIYEGDIIDNVIQGNGVYYYIRGPIYSGQFVNGKSDGMGKEMWPDGSIYEGQFRNGK KQGQGVYKWSQGCMYEGEWLENMIHGYGRYEWSDGRCYQGNWNRNQMHGRGKYSWTDGKY YDGEYEYDKKCGFGFFVWADGKQYQGYWFDGKQHGKGVMINKDGKKKFGEWVNGKLISCS DETNVQIIPEGWNNKA >CAK73073 pep:novel supercontig:GCA_000165425.1:CT868141:338969:340808:1 gene:GSPATT00009421001 transcript:CAK73073 MANPHIPVEFNKDDDELKCHPSQSGSTATPTLFENPNNSKAFCSQFFEDQLHQDDDEFDE LNQQLNQVLLNDSFKPSLTQAFTADMSSYLSHEKLTKNSRKMQQEYQNATLREKDFVFNT YIKNDIENFSLDKYRHYILEKIIEVGPPSHRNLILNRIYNQIHKLIKDLYACKVMQKGLE VMVLNPQDSMEQLENYLSFIHQDNIQMKKIYVDKIANQIIQKSLEILEGNNLLKLLQNLS KYILSNNNEKFELSTDQYGCLIVNKIIDIYPKQFDAQTKSICNNIIVRAIENCSCLTRRQ YANYIIQQILEKGQEVHKRLLIDQYLIKDFISMSLDKYGSNVAEKAIIYAGPQWRLKLWE EEVSISESSFKKLVNDQFANYPIQRLFEYLDQQQRNEFIALLNKLHGNNQLNNHGQIVMK FAQANYSIKRYTQKIVQSELSKNNKNQDNKGQKNQKQSQNQQSPPLQMQQQQQSLYQQQM QQMFLQQQSQFKQFDQQQYQAAVMQQMLIFQQQQQQLLTQMPQLYNQDMNYMPYPIMTQE QQMMMLRWIQQQQQLCNANIKFQNGQQK >CAK73074 pep:novel supercontig:GCA_000165425.1:CT868141:340874:343094:1 gene:GSPATT00009422001 transcript:CAK73074 MEDLQKIIQKSGTNLSLLDLEFKQIENLKDVLPLLVQFKNLKELNLHGNRLRQLPDDLSQ LKNLEILDITNNMFENLQQVVHSLKTLPSLNHLEIALKSKEEEEFIIENLPQLVMLNQQA IKIEDQSEQQSDMQSERSGTGLEITLQQEDLEQMAILHDSIKELRKEDEESEKQISSVFE NSVKTIMKELQTKLAQKSPEHITNLTILKAKFNLTEVCFQLFIRYFKLTDKKLEQILTKL HDQHQQIFTDMSNVIMNVRDNQSIQSIQKKLLETNSGQSNQDKGTEQRLRQELQELQQYT REIEQENKTYLDLLIKFGKGEKIQLNSVLNNLQKTEVQNMDNSSQLIQQNNNDKAIALNL IRPQQTQYASIQSKNNQLQSNVLVQAPQLVKMLTLKQFKDLIVEIYESKLKFDQKCSDSH LPRETMEQHMYTFLNQKYGLKSLILEWASCIINALKRYGNDDNDVAVFGKILRNECDEEF RFVQGQVKNTILELLKMYLRGKFPLKTNADIKEMMNQRVNSFIFQEEAEDIIKYMYNQED SEIILNKLKQYFIQPAKHVEKRMTREEQFQIVNEKDKSKIEFSIFQKVILDFQMKSHEKY LSKFILHFKQQDQDQNGIIDENEFRQLIADLNMGTNDFDIQRYLNKIDPYNNQQITFSQC VQLFSQEQAPGTNVPIIQKISVDSF >CAK73075 pep:novel supercontig:GCA_000165425.1:CT868141:343289:344720:1 gene:GSPATT00009423001 transcript:CAK73075 MTQDISKEVLALSIHVAAAASLITIQTQQRMILVTNSVLESVDQTFKIINSIMMQSQKQS HLDAVIEEFDDDCGKDSKTKECYIDQQLNVTNDEQLDQIRIENQMCQENCNPNLSIKSTN SSYQSVQKSKTIYQILEENQPNKNLKDDTPIQPQKQSLLSYQLSQIKVDKVKEAQEQKEL MILIDQVDPEELITKLKSLEMISTYPQENQNQLESVINISEPLIVLNVEECQRQNKTSPK KSEWYDSSSVEYKATSYNTNESSNLDHHSYKWKSSSIEDENLLQDCEFQFQSSVFDFPFP EDFCTNSINVQQNFQQLENQNQFTPKKKRLYCNNKKVPHWAENLQKVQQYQSQQYLLPHH QIFGKMKNRVLEIAKQFSESRYQRRGSSAQWHIPNKTFEMMQQQMKKLQQIQDENIQKLQ QHQIDVIIWKLLQSAQKNQGLLAKTQYFLTSIKKKILNSFEKDSKYKK >CAK73076 pep:novel supercontig:GCA_000165425.1:CT868141:345088:346055:1 gene:GSPATT00009424001 transcript:CAK73076 MIQIQIKKVVRRSIVEFYKFIYDYSDLSYKSTCSQQYILALNQQYMYFEEQEIKNEGLFE NIIKQYIFILNDNQDQLEFVLLHLDELLLKVLERNRYHYFIENSTILIDLLDIITRRLPD LDVSQLQYKYQFELQKLQSITTLLCALFQYCLKQDERIEVYQYGVGILKKNVVMAKLLQQ QFNLLLPIIYKDLASQGDQINLSTIQFLIEFQNSLKSSNLFDQLLKDQLFSNLISVLDRK SPQFREEFLKFLGMVKENKEVCYLMKETKIYEEERQRLKSLKVNKELQMIIKQLIQ >CAK73077 pep:novel supercontig:GCA_000165425.1:CT868141:346061:347039:1 gene:GSPATT00009425001 transcript:CAK73077 MSDMVQTFSIHSNKHRSTQKQQKGLLMKGVTIRNNMNLKLWLNEVMNRKEIDLKLGKLNI YSQRHDKQSKYHNDLILPSIFRDVEDSQMFPMICFQKRTCRQLMREIRFEENVTSLLPSD KRNSILYGLLKELIYEIEFREQNILICQHRITKVFYIYFTDCLICPISKCCYTSVVFVLG QIFGGQIRCNNENCPIQILVTFDIQEELLWKKNQVDLSNRFSKYIISGKFRTNSLKTSIQ PTDVSKSNQQYNLNINSQISQTERVQSKVNLSQQSNYEKQRRQKQSQHKNGFHSDYQNIC LK >CAK73078 pep:novel supercontig:GCA_000165425.1:CT868141:347190:347740:-1 gene:GSPATT00009426001 transcript:CAK73078 MNFRIDRFIQALLAKSQHCQHGCSCFEHVSIQGLQTFKYAYVLRTALSLLQILVKFKKNK LKQIPKILISKDNIKFCLFLSSYTLITKGLHCLLRNKYEQDSPNYAFYCGLLGGMISLSF LKYDQRKFWALYMIVRALDTRFHNLQNTPMSSYILSCN >CAK73079 pep:novel supercontig:GCA_000165425.1:CT868141:348109:349656:-1 gene:GSPATT00009427001 transcript:CAK73079 MQQQQTNDISQWNNNNDDLQTITEQEVDEFKTSYVSFQQQQSKYKKQKSIKVNTTNCRGE TRLIRKVIAKNNWKEVFNDGDVCWLGLPFKYQNLEEYFTQYVNRFAGMDLLAHKTQSSFY LNKFAEYFPEEYEFFPKTYIIPDDIDKFQQEYKSTRTYIAKPDAGSQGDGIYLIKKLKDI KTNESMVIQQYISKPLLIDKKKFDLRLYVLITSLDPYLCYINKEGLARFCTVDYEKPNDK NLRNPFMHLTNYSLNKRNTNFQVYNGKNILDINEGTKRTYSSIQKNLEILGYNNQDVEDQ IDSLVVAYLKSLHPFLAFNQKLVFQKRVAEVKCFQVLGFDILLDEKGKPWLLEVNSNPSL QIEHEVYGANGKSVFEESYIDSYVKELVMGDAIKLAMIPKETQEELPGFESYRKLEVDTT ETVISKMMQIYGFLSGYKFQEYLTSSKFQKLSNFPSMTSQTFLKHDYDLLYRKILLKSYN NNQMDFFQFINAVEQLASRLNQDLEEMLDRIIQNF >CAK73080 pep:novel supercontig:GCA_000165425.1:CT868141:349658:351604:1 gene:GSPATT00009428001 transcript:CAK73080 MMGLIQLMQLVNSKKSIIKEQEQESDKQRQIGDYLLVVKTLGLGTFGLVKLAIHQITQEK VAIKILEKSKIIDVADVERVSREIHILKLIRHKHVIQLYEIIETKRYIFLVMEFCDGGEL FDYIVKHQKLSEMEACKFIQELISGIEYIHKLNIVHRDLKPENLLLDYQKSLKIVDFGLS NTYKQGEQLKTACGSPCYAAPEMIQGNKYDSLLVDIWSCGVILFASICGYLPFEDANTSA LYKKILHGEYQVPNFISPEGINFLKGILNINPEKRFNLEQIKSHPWFKLFRRSHSIPPGI IIGYHRIPIDNNIVQQLKELGFDEDFVRICLDANKQNKVTTSYFLLMKRHLMNGGISTAD INSVYFDSKLIDPVQRVQKGKQFYAFYVDPVPGFLDESMLKTLNSNRSQTNQSQSRKNKK AYTQQNRGHYYLQEEKFNRLIQLKQSYRQDSLDSDQEQSKSFSQNVSQNVKKSKVRTESN YNADSLLNQTTMFAGKRQSISPANANLILQYIKQQKKLTPTSHRNSHQQKQNIFSLNYQR NVQTIYDPDGLKIVNSSKQQPNDQKRSLNYSWMPSTQTNPYQLFLQSKSKRGSNDLSAPH STKCANNPKGRSIFMQF >CAK73081 pep:novel supercontig:GCA_000165425.1:CT868141:351631:352779:1 gene:GSPATT00009429001 transcript:CAK73081 MNFFMNLFGQGSSGPQPESYEINGQEYHELNLIAEGGYGFIWRAIETKTRKFCVIKKIIC QSKEAIEQAQLELDLHRKLQHPNIVKCYNGVIKFNKKLNQTIAYMVLELCEGGTLIDLLK RYNEKRLSEQQVLLVLKQLVQAIKYLHTQQPPITHRDLKVENVLLHNKVFKICDFGSAST ENIDLKYCQSNKHQISQYEENFAKQTTEIYRPPEMTDLYLKYEINEKVDIWMLGCILYTM CFYNPPFQESSKLAIVEASYVIPKENKYSNKMINLIGIMLQPNPKDRASIFQIEDILKNY DTLTQIVINNINDQDSKNQQEDDFGEFQSGDQKTQEQQQQKTVQYDDSLI >CAK73082 pep:novel supercontig:GCA_000165425.1:CT868141:352842:353824:1 gene:GSPATT00009430001 transcript:CAK73082 MNSLFTILQDIQINVPQSQLQHSFVLCGQPQSGKSTIVSKLTSQQIQDQAGLIYAQQKTN IIGYQAVLQYFEISGQAFKQLLTLPLNKQSYQQYSYVLVIDLSDNPHEILNVCNAEIRYI REETEKKLQQSIDVDTFKQLELNIYDKIMMHHDKNRIVPLYVPIIIVGWKYDLFSKNLDL ESRKWITRGLRYLAHTNNCSLVFGSNQDYQFVRQTLQYHVKPTASIYQYDHLKQICITQL SDSIENINLPPSGNNPLDGFNKIIKDQIVAVVNNQKKKKQSKITEEDWNNYKEEKIDRLI KQFEFQQKQTAKQEESVLI >CAK73083 pep:novel supercontig:GCA_000165425.1:CT868141:353853:354501:1 gene:GSPATT00009431001 transcript:CAK73083 MILELILLFTGVQSITLKFKVPPGQQQCIKDSVSQNTLIYGNFDTTSSLYTFTLSVINQQ DQESVIIEYSIDINQQFHYVMEESGEISMCFEADDYADVITFNLFYESGTQLSSILGAEV YDQDLLAKKQHVLNLNETLETMEQLQQDISREQLLIVDRENKRKHSFTDIQTKIIGFAGI TFGLLIIVATCQVIYVKRFVIYKKLA >CAK73084 pep:novel supercontig:GCA_000165425.1:CT868141:354568:355614:-1 gene:GSPATT00009432001 transcript:CAK73084 MASHLITEAQIPILESLDSNAAKKLKEMCRDIEESQRYYRTSKQAFFKAFKIVVEQISSD FRNLQQKFEEYIAYHENETEVVNAQNKLVFFRNECQVLNKLCTELKCENQQLKVKIKEIE QEVNNQKMILLKQATKNSQLQQLILFYKEKQEALNQQYKHPKNDSVKFHSLINKDANLRV PQLKLHHRYHTEIQENDHNSIITYSQKHQTINICKTSKPKPNLILLNRTTDDILETQTEK QNMKQFDQFYERSYKSRTKSQQQTMKIHENSETTQKTQEISSSLIKNIRVNPSYYQQNLT VSS >CAK73085 pep:novel supercontig:GCA_000165425.1:CT868141:355616:356534:-1 gene:GSPATT00009433001 transcript:CAK73085 MSNPTPAEIYYNQINDYYQSVSTDDEDEKFQIDVQPKRKYFETIEEKRQYIEEYTKKKKT ELCKNFELTGFCKFGDECSFAHGQLELQAKTHLHQKYKTKPCNRYFNQGFCPYGIRCQYL HDELKDQQKFEKFLQESYQQFGMKPIIARKFLNNSQRLDIQRFQQVLQKFTKKGFNVGLH TRSKFFVQLQENNQI >CAK73086 pep:novel supercontig:GCA_000165425.1:CT868141:358124:358894:1 gene:GSPATT00009434001 transcript:CAK73086 MSKGDAKNLKEEIQKLYDPLLFYQHGDNPAYKRYVEIMQENAQEGKQIDPRTYFVNKLQR IHVARNIQDFVERDLKCFNFVRIFPVWICTTGFFLQAALTHRQMFLPIGQRGITSIKQTS FFYNFGYVGLAGYGLYLFGASYLWWQVTKMTANKFYKHCLLGERQWSYERERQNNTYGNY YFKDVPLSCEENFPDLARGEIAKKQRPKPEW >CAK73087 pep:novel supercontig:GCA_000165425.1:CT868141:359832:360345:1 gene:GSPATT00009435001 transcript:CAK73087 MNLFEIYPEMDQEKSFDNLLYKRVSFKNSENNYKLFGFDKCFKKRWKSLFKNFAIPFQQT HYFDNKELNQSCHCNECGGKVKKKILICNRELRDYQFSAMKLRYPASPKLSIQPQTVRPS NKDLSLIGSSAHFSIILINFYVTNIRNKSFREVLENLSFQLSN >CAK73088 pep:novel supercontig:GCA_000165425.1:CT868141:360363:360894:1 gene:GSPATT00009436001 transcript:CAK73088 MKKISRTHKIEQDLKSKMNSQTESLFNKIQKKLDDKEEILSKHVEPQIQNPKEIDITKQR DLLKKKSFKQSPNSVQYRIKTDVSSYYFANQQKKPIFYLPNLSNLENLKKNSLSIFESKT PRMLIRSEKYNLKQPLTQRLLATYLKKSNSKLLNNKKQ >CAK73089 pep:novel supercontig:GCA_000165425.1:CT868141:360896:361908:1 gene:GSPATT00009437001 transcript:CAK73089 MLQQCLKIFRFSFAANTPSLATPWSLKPFKTEVSLPIIRFHDAQPTGQSIALDQEIFNQP IRRDLVQRLVRYTDLYDKKVTKATLALRDAAASGAKNHPQKKTGRARQGHRQRPGRNKGI KAHGRKIRSLRIDLPRKVRLQAYKVGLTAALIEGKFTVIDTEKLNEGKTKHLVQLMKGFE SFTQPLLIVTSVDPDDLFLRASRNLENLETCTVKEFNIIKILKFKSILITKEAIIQLTES LKQRKLALLGDNKIVKKKYSEYPIEEYDPTKPLDLKFKVLREYLEDFEKLKQEGQLDKFI QDMPKTKKVGRINTINHH >CAK73090 pep:novel supercontig:GCA_000165425.1:CT868141:361962:365957:-1 gene:GSPATT00009438001 transcript:CAK73090 MDQERKFLLLKKRLDALHYCQPLGIDSVPLVERLLNDLVKTTEGFQQLKKQLEETKINAA KFEQLLLPLKKENQRIVNENNQLHMTMIQIKEECDTKENKWRSQVRMLESERNDWKFILG QKNNAEKKLQEELFKKNNENNYSQSNQPDKTLKLVDQIQELERKLLQVQQDSRDNDNSKV LLEEKCKHLEFQIENREKEILRLKSKLDIQGTNIEKLAQEHILSEAQQKAQRTQTQLDLL TEENTKLNDELSNLRKELQRFRKKPSQPQNSSPNKSDKKNELNQIKQRLSVIEDEKEKNQ EQFKKILSQLEYENSQLIEKVQQLQQQNEQLQLKALNAEQVVSAYQTDKMVFSKAVNNLK SDKENTVQTLKSLEEDNQKLRFQLNEMDDQLQIQKSEYQQLQREKELYDRNYKKLNDEYE REKEFVQQLRQDKRDLERELDQEKQVIRELKVEFERMDVSKQNLDSLLQKFKQEQKNQQQ SQQHNPNQGQQLMMDQLRKENDLLTKEVQTTEGLIKSIKRELGEKDRDNYELKQQKRLLN DQLSELAQKNANLDSQIKLKLQDIAQLEQEVEQYKREQSKMRLNQQSILEQKQKIENETV GELKLLQQQNNSLKLQISDLGIFNSQLEEKLKIAQELLNEQRLENDKLQMNLRQQSDETF KYQQNVLRNKDKEMDYYNLTHEIERLRDQERKILIEKERFQSENLKLESVINQQTKQMEF LNKLKEEAMKEARELRQMINGLKTNDHEIKNTSIKLSEKVNNYEMSLQDINEQLQKEISR SNKLYNELTESQSQISSLKLQKTDYENQIRQLKLLCESLEKQKEELLQRLQQKNSTKIED ERQVLQLRELNENLEDKVNQYSEQNIQLKRSLEQLDLERDEVQNQLDEVRETNISLQQQI KQMNNEIQKQQSKLSEVSTKGERGYEAAQQLEEKLRDQIYKNQKLQNENDNVKYTLQIKE KEFHEMKSDIQILSKDNQSLNNQLIKSVQEKELQQQQIQFLQQQEIRLKEQIKMFDMEMH DLQLNYSEVCAENQRLTVSIQQISLQHEEVLQRGVELEKQEDFFKVQMQNASKREDQYQI QLQQYEREIERLNRKSEEYERQIRELLKDRDQLGKEFHSQRKISTGFQAAQEDFNRQIAQ LEREKQYIENANRDLQKQVDLKDAQLSWEQKRVNEMEQVIIQERQMQMNTLQEIQQLKDQ NEQLLQELEKAYQPQKYSQSNNKQSMNLDYKNESSYISQQSQQSGVKDNSQLDQSSGWER LKQESENFKKTLSQAAISSQNLKQKMKSLQREYEIQQNPQNQRYI >CAK73091 pep:novel supercontig:GCA_000165425.1:CT868141:366397:373928:1 gene:GSPATT00009439001 transcript:CAK73091 MLYRIIEKVYKQIPLKYQQRLKDIEFENSQTMRIEFTNGIVQKTYNYEVLSNKIQLIQAS TVIKEIVRIFLKLETLPIFPLIYLLTLPELFWSEFFITMYTMMCLLMDMAFSYLILFYNI KQRWKFEENINTQKCRILSDIDQLLQTQNKKPSNKIEWQQLKVGQIVCLKKGQKCPADIL ILESSQEQVLIDFKFKQPCPCTYINEASKTKGIMTKFLINLSGWIQFQSCKQGTIKLKND PKATQFTEANIINRGQILNQTDWIFGIAIQVGHGCFQQRDRYYNWNHYNQNSFYLFLINI LIFLILLIPKLISQQYYEFPSYQNSIIMCLLLLPQNYFLINQVWLLIHQVNINKLEIKES NDKKQPQIQQPQQQFLEQPDPILQEYDKKVLIQIQKVASTNNSVVNIALNKKNPAQCKIY QYNQLTNQNILEFMKTDVIVFENPQKILKENVRVCLIIQDKFRYYFNYEKLQNLIEKATP SQKQNYDKLLLDTNRFQAYDEQKTQDIDLLLAEKQQPSLRIVEEKKTFQKIGFLKDQNKV CLQQKELKDSKELKKQTTDMQKFNPYTSFRKQSVRNLFQSNTLAQQQKDVSLPSQSQNII SHQNSPQIQRQRSSHHLSQSQIHTNNKSQGGSLKNIIPTQQDLSNDQIVGDVYNEQDFIS KLFNKSDVISNEILIVLLICNNIESVYNKKEKKIENLYYNSLDESIQDFVKIFDYRFISS STIDNFKVEYKQDQIIKKAVSIQGVVKIFDIIALLQPTENRQNTLSILVKDPESFELDEG ALLYTRQEICEKNYNNQRFPQTNEIIEELFWDGQKSIKYLKKQLDFQQTQQFLNKLNTIN ETYGNREEELDDLYQQIEQDSQLFFILGLKQFIPMKTQLVSQEICNNNLKQEKLFQTILE HNYKTCFVIDTYEELMSFLRTYHISQKNSINLFLEVNSIQYKFRQSIQNLIEKQNIFEPS QQKLIENFIVINAETLEAIVKDDYLKYHFVVLFYFSQGVGAYQLDEKQKGKLLKLITITE RYITSIGSGLDNQYFFYKSNYSFNLLTQDPQCALSNPNFLITKVDQMFKLLFFYCPSTYL NYEAIILIQIYRGFLFGFLSYMIDYQNPFHTLNELIFFYLVPCNIATCILNYENFIHQNK FDNNQFEIYRMRLELIKNSNIYLKIIKIIFLALIDSILVEICFNIFDIIQNQELENYSLF MFIILELIEKQKYFITKLNSYYDLGKMLKLTFSYLILLFLLALVYGLLVTDIIYQLSNIN FIFWIFGLIFALSISYVLQKILITTEFSFPCNNDIQFHIEHQNQIKQLNQFFKQQNKNNL NEAKQFIEKLFEGKDFMDECLLKKIKGDQQMTDQMEKDLKFTDKITEKDFLNSLKPQNKY IYFIYELTIFGIRIYQQINNLQIAYLVISVVQFVVMSLLPLIQISKFKPLVLLSLRFLFF IVLHALLDTNLNEILMFMISISLAHHPLLGIYGYYCVCFISTILDMFMVGIFNDANPYYI LNHGLILIEMSLPLIFQVYKTEFLQRYQYILQNKLLDEYKKLNDALGLLMPRFIKERMSK GQIQISEDQGDVAILFCDIYDFDDIIRNEQIKVVEFLDNLYRQFDQFCQANELQKIETVG KTYMAAGGLKDYNMSDNLNPTERILDAALQMQESVKTMKYGDKKAVILKIGIHYGRVIAG VIGAHKPQFSLIGDTVNTTSRVCSTSEPGIITLSQQAYEKVSNKKYQFKLREVEAKGKGT IKTYQFIKSNKKQQQVILKVADDVSKSPNPHLQNQMFKKIQENLKNKQNTILKKVSLNEE KQDAGPITIQNTNVNVNTKLIPNVTPQSIQPMIRASSRSVFNSSYQMGLFTPNEQEQENN NINNNNNNNNNININNNINNNNNIIINNNSNNNNNNNNIINKFEEKEKFNINNIQGDGLK SSIPLGGYAAVGSYTKRISNLALELNATKSRKSVYKRAGTKTNITDQEQLLGMGTSGQKL AESVRAELPGVKRKRTRIIIEKQMDFIDRIESLSAHKTPKELKIQSHMDELEIRKLIDIE DSIYQNEFDLKNVEEKVELQKYGIQESDYKSDSHDTYFSIYDQYKDFDIKQVKIFIVFIV ILLIIKNLFYYLLSTVSERLQVIIICQSVISLILSIIIYHLKKILALKLLIGFYFLIFCI INILIISIHNIEMEIFFQISQITAVYLNLFYLQIYNRMDRIKLSTVYTILILINLLYNEY ILDIILFTICILVSSLLIQEKELKLLAENYQCFSQFESKNAKQTQILQYLLPQHIIGRFF STDITTTDNFTDVFENCTILFADIAGFTKYSSSVEPEQVVNMLRILFQQFDEACQKFQVY KLYTIGDCYVCMGIIDANNRDPVGEAINVVLFGLKMIQIIQQINKDPQFQHLNMRIGAHT GRVIGGVVGTDVVRYDIYGEDVTTANKMESKGQEGKIMVSQATKDLIESDDECIGIFDFE FAQDVYLTQNNTTISTYFVNFDQNGDDQ >CAK73092 pep:novel supercontig:GCA_000165425.1:CT868141:373975:374242:-1 gene:GSPATT00009440001 transcript:CAK73092 MKNIGKFTSVWDRIMGTYEEPDRINFGWNNQQNQLDKLKKVNDLYESILPSDVKKIKKNK >CAK73093 pep:novel supercontig:GCA_000165425.1:CT868141:374246:374984:-1 gene:GSPATT00009441001 transcript:CAK73093 MIWYLAELLGFIKVDRSKNMEEYVNHPSYAGNFIRFGMDGIVGEYLSTVCQTFLFALALY FTLSCSSYYYFFKKNKLLYLPKLNAKFYIFYDIKWSLINMFFETFLVSIIRVAQPRFSLM YYNISDYGYWYIPISIILHIMYDETLTYWVHRWLHTYPYLYIKLHRIHHFSKDITPFSGF AFHPLDAFAQAVPMFTSCFIFPIHMNLFLFFGLCTTSWAM >CAK73094 pep:novel supercontig:GCA_000165425.1:CT868141:375157:376289:1 gene:GSPATT00009442001 transcript:CAK73094 MPIIILLLSLLQLSQQISFIGQTATERYFPKIMNWIKKQDQRIDQRIAFESIQLMFKFVE EELAYDTENNEFLELFQQQIYNLSQSKADSEFQSQQAMIKFLNEDLRNEVSFFKNMIKDK EKMLEQDIKEIEKLKKQSKDKSKIEQKLKDISYLIKEIDRNLEIGTAEKLYGLLEVIESK AVIGLFQYQNEYQEIQQYLRNVDLLFKSRNFQQDKFEIVNLISMVKNVLLDLHLNIIIKL QEEQLDEYEAMSFFENSKVTHQIARDYFQNELHSFLETLITKWENLLLIQENTTDNSDIF KNLIQQFNKQNTKMIETNNHKQDFLQQINRQ >CAK73095 pep:novel supercontig:GCA_000165425.1:CT868141:376380:377218:-1 gene:GSPATT00009443001 transcript:CAK73095 MIFDTIIARANDGLLLCEVQSNEKVQLSLELKRQIKLVLKRGSLSMDVQFRQLDSQQSNV KAVYKTSNGVCYIVFYDPSFNLACCYLDEIEKGFQDELQIRYGTSNVNYRSKLETIESND SYCFQQFERFIKKKKQEFQDQSSSKNLERLKTEMTDLKKIMNENVKLLFDRDEKLQDMNE KAKSLKEDSKLFKDKAAELEWSIWFKKNSVWFIFAGFLLVFVIFKIY >CAK73096 pep:novel supercontig:GCA_000165425.1:CT868141:377440:378848:1 gene:GSPATT00009444001 transcript:CAK73096 MYDSKSEKHASKMNIDHIKDENIFCLICDEQDYTEQNQIVFCDGCDVTVHQECYHVVNLG AKWYCQKCLALNDNKDQQINCQFCPEQFQITEQVQLNGQQQWAHSICLRWNPFLVQNPKS HNLYSCETDLQTGLKCRYCQKTDGFQLECYYQGCQEAFHIGCLKVNGGIMNKKAMDCLYT YMKPYLRIQDKKLLFCVEHVEELMDKLKKDEKSQIEQKFVKLRNERLQSYYIYNRNNKQI DEDKNETKAARKQKNYEDELFDEVVQLKQLKQAKIPIKDKKVKMQFKEYMTRKLNDQLHK LRDDFMKKNHNDLNADEDTYIQIDTQLSKKLFDKLVDINNLEEFDKYLVSYFIFNNMIRK PNNPEIYIRDDNNNCQYWKKVLDELGIKNGEQFSRFLNVLRLKKMNIVSRNNEKLQYEQD LQQQFEIQNNELIE >CAK73097 pep:novel supercontig:GCA_000165425.1:CT868141:378858:379240:1 gene:GSPATT00009445001 transcript:CAK73097 MGRTGIAVGLNRGFITSSLTKKQLRRRPSQRKGTLGKRVLSVRKVIQEVAGLSPYEKRII ELFKTNQPKDLKKAGKLAAKRLGTNRRGKRKIELVQNLYRAMRKQQQAKH >CAK73098 pep:novel supercontig:GCA_000165425.1:CT868141:379246:379859:-1 gene:GSPATT00009446001 transcript:CAK73098 MNKEEKQVRVYADGVYDMFHYGHARQLEQCKKLFPNTYLIVGVCSQEDVEKFKGKSVMDG YQRTESVKHCKWADEVVYPAPWIIDEKFLNDHRIDYVAHDDIPYQTADVDDAYALCKKLG KFKATKRTEGISTTDIIGKILKDRHKYLKRNIERGMSRQELGMGLWEYYQLKYDWLFCQG RKRKQD >CAK73099 pep:novel supercontig:GCA_000165425.1:CT868141:379884:380679:1 gene:GSPATT00009447001 transcript:CAK73099 MQINGRLQFDLKLDDKDYKISGNIFLKDNLSTDVEKEAETIANRIVEKVRQKSLNKSINK SVQNKYESQSATKFSQTEHFKSVEQCNSRQETLKNDYKQPYVSEYQSEHRSSLNFNNENQ QLDICELITPQKHKIRHTKSQQCIKKDQPINKQSTIQLAESQIIIENNSNSKTKNGKHII QLIDNAHNLIRKNSQILNKVQLQSPLKSSINTKSSLSIKSSINASSNKKKEDIVTQLLGS LRNAKKIKDEYQKRFF >CAK73100 pep:novel supercontig:GCA_000165425.1:CT868141:381327:381984:1 gene:GSPATT00009448001 transcript:CAK73100 MYQRTILEDNENIQEDCKPKRYAASLEGQNFKKKQTNKASFQEWAGLIGIIVVTYLLIIF VWALCFIGIDKNFKVTVGIFMVLFVLFVIGILIYWYYGLKSREELIRSMMLSKWQDSSYE INN >CAK73101 pep:novel supercontig:GCA_000165425.1:CT868141:382759:384482:1 gene:GSPATT00009449001 transcript:CAK73101 MSAKVVENYSLLEVIGSGQYGKVYKAVNIKNNSLVAVKVVKIEKFKEVPKLEEFTMNEIQ TLARINNPYVVKFIEMLKSSRNYYFVYEYCNGQTLEAVIQEQGVQTEKEALYYFRQLVQA FQSLIQDNIMHRDLKPSNIMLHNGQVKLGDFGFCKALNSPQDLSSTMVGSPIYMAPEILK GQEYSIKADIWSLGCVLYELLYGICPFEEKTMAQLMLAVEEREIQFLDNVNVVSSTTKDL LQKMLTKDPTKRINWKELFERELTYAERTKNNEQHANQIQQELTLNSQRNKESKAFKYLL MERNKIFYLYKVVEEVLELSKEEREESRESQSVYCGYMIMKYICYLIEMIRMNLVDKFNV SAFPHLVKKQELQNDITKTFEYKSFCTLIQKEVETARKMFKHFQDEADKFYKSQNNGQHI YQGTEFAHFETELQRELSSSEISITFMKKIVLKYCEDLKSQYLQQFLDKNPQLGSKQLLH IEKTLESLILDEFFENCMDIQQIDLEQQNYFSILNKYSKADLLQVITNKMDYIRHKLYK >CAK73102 pep:novel supercontig:GCA_000165425.1:CT868141:384751:385017:-1 gene:GSPATT00009450001 transcript:CAK73102 MSEELNSSLDSLELEIYHKPNPKIQGILDYLRKIELKFCTDPRKKQDEYRSTNFTTPKED FNLKRNKFFTRKQPSKIIDCPILQTSQL >CAK73103 pep:novel supercontig:GCA_000165425.1:CT868141:385406:385774:1 gene:GSPATT00009451001 transcript:CAK73103 MSEKLPKLSQKKARSQSLYENQVATKKLKESLYNLQTSRYGQKPQKNPVIESIVREADLV LTNQHLKENLFKLKDLGLLRNCQPRIQTQSGLKKKDFILNDYHNKSTINGYSRNYGGLFY NR >CAK73104 pep:novel supercontig:GCA_000165425.1:CT868141:385891:386372:1 gene:GSPATT00009452001 transcript:CAK73104 MNNIKQIIQAIKGCIQILILKENIQDLKKYNPSPEQEQGIQKMKLIHVAASNPSIEILQY FVEKSQNLEITDSIGRTPLHYAASYGHQGNVELLINKGAKIEAQTLGGDTPLIKAAQLKN HQCYNYLISVGANKSHHNCIGQSAEQIYQYN >CAK73105 pep:novel supercontig:GCA_000165425.1:CT868141:386524:386787:1 gene:GSPATT00009453001 transcript:CAK73105 MQYIQYHPQIIEEFKMIDTKSLFQTMESSIIQKFEDKESFKEVYYYYTIIKKKDQSNSKF NLDQILFQMIINIFYCASAFMKCDILS >CAK73106 pep:novel supercontig:GCA_000165425.1:CT868141:387092:387990:1 gene:GSPATT00009454001 transcript:CAK73106 MNYISEQTKIVKIYEENSMYYLFLKCMKLQSLESLILQDFEFRETHLVCIIYLILQTLQK YKNLGIYHGNVNLKSIYVNISDAVLQILLLFPKYQSNNNKGTQLDLLNLGQLLYQIIFYE KKDKIKQIIDQNLITQQKQLFLNMNAENKQFKFLYRISQLDLLNQLLTQNISIENALKHL WFVTVKQNLKTEFVKVTKERLNLRTIVESNNELSMTLSMDKRLSTKEFAEYVNTEIEDEF PVKCSILNPFQMNPSKQKHDNCVSKPKSFSSYDLNLVNKEKFFANNQSFIVQ >CAK73107 pep:novel supercontig:GCA_000165425.1:CT868141:388033:389235:-1 gene:GSPATT00009455001 transcript:CAK73107 MSQQLEDFLGCLQLLDTSIYKPQEQACIPVFRSLPPNLRTIIQRMVFFEERKVPESIIQA QNYQQSPNTILDLAIQLKLITKQEERQQQAVNSYYYLDSNFQNSLRDSIEGKSKSILTKI GDCTENKELLKRECSRKWKDLFDLISRRDRSDLSCYRQQVRQTLIESKLLERNLGVGFSF ILSSTHKQINQILKYYVTKQSSNVVRFILCLSVLDPMKIYQMPNEEWQKNVIKDLQEFGL THYQDQQMRITFLFWNFLYEPPSVSIGIQCNIIVEANFRIYAYLNSGDQQEEEILCNLLN LFSEIKKRFKILIIADLSESSIRKAVRENLQAKQIIQFLEMNSKQLKQQAATEKQHKSND ELKKRLDFLRVFQEGVPEKAIIPHNVVQQIQYWESFSNIK >CAK73108 pep:novel supercontig:GCA_000165425.1:CT868141:389267:389743:-1 gene:GSPATT00009456001 transcript:CAK73108 MDLQYQEQLNIKRTLGYWIPGASLETMKQKLSLKKSVSPFKKEEFLVEIKVGKVNVSQQD DKYLSETEKAYLIAYNPEKRVRSDYECMFMSNDEKTSDREEQTNQKCENPNCPGTDTKWI ECSEKKCWYHQSCAGIPLNKTQEEIDQMDWKCRKCRKR >CAK73109 pep:novel supercontig:GCA_000165425.1:CT868141:389780:390539:1 gene:GSPATT00009457001 transcript:CAK73109 MMKSIVKTEEDSPKKPIWESLIERGSCKKEEIIQKIKEKEIKDKELDPECTFKPSLINQN QPQLDKPFYERQLKWLEDRKQKINQRRDGAKDKSLEKCSFQPQLGPSPQIPEVDIRNTKG MDSFIQRQEKARKLKEEKHMLLNNPYSHLSSEKKAVQTNQSYYSNNHPISKEYESIKKQE RIEFSKAVENSQEIETQSQTQQQQKMQLGMAMKMLHNQLYNIQFNSEF >CAK73110 pep:novel supercontig:GCA_000165425.1:CT868141:390544:391398:-1 gene:GSPATT00009458001 transcript:CAK73110 MTTKPLLETLPKDFHKMPPNYDFSHRGINWKDYEKDFVLRTDAVWEKEQLKDWFRLYTKC FYFDTTANKYSLMEPDDVYTILFEGWALEDCLFPFRGTTPTGRTNCFQVGLPPKQKVYVP YPTYQSQQDYFTLCALRFQKWFDCDQAEHFKMDKTEADYLKRAKVYPCYAMYYEAAYACT DDMFDFLMELAYTRRSNRTFEYNHFQHEMRRPPTIYDSPKNAERIKKTY >CAK73111 pep:novel supercontig:GCA_000165425.1:CT868141:391589:392879:1 gene:GSPATT00009459001 transcript:CAK73111 MDPEDDQEIIDSIVNGSILSYFSALESDDERSFSPSQTRSDTNRTYSPQIKRAQQPQQSI VTQIRYSIKKIQSPIIGFKLDCWTIQPFSLDDDQYLDGDLILKCKDQTNSRKIQGLFEKG DDEQREFIFQKLLPGITSLANDIFGNYVVQRILEQGSQQQRELIFEQLSQQILVLCYNTY GCRVAQKLLEISYNTEKFDQIFKVVSSQIRNLVLDTNGNHVIQKIAELVKSQKSEWLIDG VLGQIQKLSNDSHGCRLIQQILELSSISQLNDIYRELLSIQDELCLSQYGNYIVQILLQR GPSDVVYKIQNTIIKNLERLSCDKFGSNVVDKSVNISVYMRKEILKVFIHNMNVFYKLSN NCYGNYVIQNFCKQLEYNQLIKLSYDSSQFNTQFGQHVYQTLQKLKN >CAK73112 pep:novel supercontig:GCA_000165425.1:CT868141:393014:393812:1 gene:GSPATT00009460001 transcript:CAK73112 MGKELEKSKDQHGSSSKKNKKDKKDKKEKHKKKDKKQKKEGQKQKQQKKNQWGRYGTISN TDMYLKREEFLMWLSDVKMLNIEAITPQEEKKFFEEYVECYNTATFPSKKFYNFKVWFEK EQLKEHLQQAEIEMLTFDDEKEKQKEQQEQKELIKKQKLQREYQHLHEFQNIVINWLFIK AQDMQKQKQLQELLRHHFQMGNHEAAVKIQKQLNPIHTLDMNQIQQQPPIDYDYDYENF >CAK73113 pep:novel supercontig:GCA_000165425.1:CT868141:393935:395796:1 gene:GSPATT00009461001 transcript:CAK73113 MAKIIDNYILIEGIGQGTFGEIHKGRNLISKEAVAVKTIKLDRFLNDSILKDMIINEIQA LKKLEDQYIVRMIKMLKSANNIYLVYEHLNGGSLANYLYEKGRLTEQEGRQIMSNVFKGF KTLNHEKIIHRNINPNNLFFNDGIVKIKNFFCCKSPASQKLFDPENFIYSAPEILLKTQQ PQHEDKCDIFSLGLVFYKMFLGQLPFPETLTQEQLIDLYKNQQFNPNVEDLSENTAYILN GMLQVDQARRIEWQTLFHEDQVPTMSGLVNSTNTNFASNYQINSQVQQFETGGQNFYISQ QSLQNHITTSPNELVKRKTNVSDKENIKSNKENDSAQIFAKTTEKEQTLSQQQSQQQTLQ IQSSNINSSSQSVVKQIQTQLNELRHKFNIIIQGTCKIEQLDNWSAKIKTEICMIFLLKK AIKCINEMLDICQNTDRQWAISKNKSEIIKILQKDYDDLVANYKQVKQMNTCPHEELNTH EMIDEQYVDNVLIMIAKQIAMDLGQIRSSMRSNSQIKSNSFNYEQTSQRNGSFIESKQGT SNQYIFAIFLIDLVKGMNFEDQKPYFKKLEESKLPELLDFKLKSI >CAK73114 pep:novel supercontig:GCA_000165425.1:CT868141:395838:397675:1 gene:GSPATT00009462001 transcript:CAK73114 MIQKTDYFVDDDKEREYRIQRMEKQLIENQFTKQTYPKKYDYTISKSNYAVPINQLDYKS FYQSFMPQDQKQMSLQNLQASQNKTSTFKLSHDNNKKEVTPNKKQQQSLYRDILQKQVEE KQIQLKQQKVKLECTDLFTNNQSNTPNNKINSLSKSEYQNYLQLQILEKQGSNNKRFDSP NKNLQQIEEQTQDKNSKGSKEFQIIQKQLKQYQEEKQQKDRKLLLQQKFEQSTSIHEKTK EKTFSPENHNRKKNYIQEEEEDKARTQQQKSVVSKILTEQIQEKQKKRNEEKKQQETEDK LAEERWKNEVQMMKEEKKKEEELKKEQQGKIQQENIRMHQLKLKQQEGRNRSKSNSLQES RNEEEFKEELKYFQQEIEEEDYQSELLQQNEQMDDVPQQYQQQYHYQFTENNVKPNKTQS FIDQQNLELDQIRHQMMDQQQQLERQIHAAKKLAQIGLLEGKNRIEKEIVIQSGRNNQYF NEDYSIGLLSKNQSKYQYELPFLFNQKIQRDYLYTDNLLSKVQFNGQSSLMPISIQNNKN PSIYQVSSEQQQNEARSKSNSQHSKQHFHTQLIESQKLKQNDALSQLLLEYHD >CAK73115 pep:novel supercontig:GCA_000165425.1:CT868141:397754:398565:-1 gene:GSPATT00009463001 transcript:CAK73115 MVKIICLISDYLFKVLLIGNSGVGKSCMLMRFSENQFRNHFYNTIGVDFKIKVFQIDRST VKLQIWDTAGQDRFRTITSSYYRGAQGIIIVFDVTDRESFNQIRQWIQEIDKFAAESVNK ILVGNKIDSSQRRVSTDEAEALAKSYNISYIETSAKTNINIENCFSLITRQIIQRVGKPN QNQKSKVGMKLQQNSSQSQQTSNKKGVEQDSQCCMS >CAK73116 pep:novel supercontig:GCA_000165425.1:CT868141:398645:402107:-1 gene:GSPATT00009464001 transcript:CAK73116 MKLNISGTSINTKSSLNTKLEQNAKNLKQNEDNLFFDLTEFQISDSNTPLSIQLKESNST NSQLTKHFFPSKPVLSENKLPPLNSRYHLVPEISREQIIKKKLFKENYSVLSFPSAQSLF SSKSQSIDYQKLGQQIVNTPNSQDYYKFYKHSNKCLDAQSYNETPNNIYVNLNKAMQDQQ CMPRKMQIIDNLNKKEISVAGQLSSHQYIKLFAAGLGSSTFHQLERLNVSSNNLDNEDLE IILDQLPKSVQSVDLKNNKISKNGCLFLQKLLMRQHQFVIELNLENNLIGDQGLKYLIDS LQNNKCLRILNLSQNQIQDQVMEPFGRMMKKNNIIQELYLHYNKITFKGGIFFFKGLCKN SVLKVLDISFNKLGGNKDCTNEICNFIARPHPELIHLDLSHNDFKDSDSQKIANELEFNK IIYGFHFEGNSNYQINHQGYLREQKNKKLVTESQYDDINIEELDKKHLELQMQQMEQQTQ KKKNEFIRIQSVDIVNLQLESCWICQGWLEVMFQWKPHKSGFLDEDPIFIHLEFEDYQPS NMQKTNDGYFTLYRMCPPNQTIRYFFSNPVQNVQVYAKDQRTIQTQTHSQLRNFGVQMEY SNGKVLQSQPLHTVNMMQTSQSTPIFDKKKHYLANIQCKPRVPEKIVEFDVELAKKRKWT LDNSIFKDFDEDTEAHLEQCLEADLENSKVLKHLINTNEVNSLKDQLKKYYKYIISCYKY LASQQCEQDFPRINIQTIQGFYSQSKNNQLIKQVDWEICLISTIVIKELKSQYIQERALV RHQFLELLIRLAKETYAKQGNCSSISQGFDKMMQAQNGQFGFILDYGYAQQWRDERYWTQ IMDMTIRFKLPFLKIIIFLYFKISRINHMLRKNLFLFKILGCYSKIQIQLYFIYLQSIMM QVDEITQKRHFEMQFIEFIEALARVAESISPNSNNYQIKQLNAKQRRTLPLYIKFEGLLY VMFYRIKRFDVDINVIEKSVIKSHEIKRLGIFIEDNYSSSSSGEEDNEVSNLRLSYTDPQ NIINRMLFDDECKVSLKDTMSNQSSGKKRQNSLQRQDSITLKRSLLRRNTIQNQLQQIKI AEIENEMAEIAEYEESTPLNKLRIQNRKITRSKYDIVL >CAK73117 pep:novel supercontig:GCA_000165425.1:CT868141:402181:403305:1 gene:GSPATT00009465001 transcript:CAK73117 MNQNKENEYLVDVQSYFTNKCMMSARSSSLHEENTIKFNRSKNLFEQKQQENEKELKKRW SDIAKDWQRNKRDVKVFQLAEFGIPISARKQVWPLIVGNKLQITKELYNILQVQSKTFKL DQRKPLGRLSSFNHVKQDLGRTFKNESLQKIFHPGGPLSENLQSILEVFCLYRPDIGYVQ GMTYIGSILLTYFDDYQAFVIFSSLITHPQLISIFMLDEQNLQVIFQQFEKLIKLNIPQV HKLFKQYNINCTNYLLDWILTLFAKPLNPDIVGRIWDRMLFNGTHILWKCGIAILKILAP RFKDQEKTLQSLKNPEIKDDDLLQEMKSVNYPFEIQEVLGNIEL >CAK73118 pep:novel supercontig:GCA_000165425.1:CT868141:403309:404228:-1 gene:GSPATT00009466001 transcript:CAK73118 MQQKQLHIPGKRLKHYEIVKLIGSGGYCKVYHAVNVQTNENVAIKAVAMSTFKNHGGLVG QLHETEKQNMKLIKSNYVVKFIDEFQQNKYSYIVMEYCNQGDIEKLWLDKNKHFSEQEAI VYVKQMLKGMQDLQKCYVMHRDIKMKNILRPGIQQVYFLTLCIQYNNDNPMTLTIGMLGY MAPEVVQSKQYNNTADIFSLGCLFYLMIYGELPFSDQNHQIYLYETKNKKIIHHENTKTS EKTQFILNSMLEYDQDKRIAWTELYKYFDQM >CAK73119 pep:novel supercontig:GCA_000165425.1:CT868141:404270:407125:-1 gene:GSPATT00009467001 transcript:CAK73119 MQQTIDKGFYQSKVCVIDNGTGFTKMGWAGNTEPQFDIPTVVADHQEKQKVQMSKNTNEN LDYYIGYEAYDYTKSHNIFYPMKSGIVENWDLMEKFWHRSIFDYLRAEPDETTFILTEPP MNPPENRENIAEIFFETFNAKGLHISVQAVLSLFSTGLTGMVLDSGDGVTHCIPVADGYV IGSCIKHIPLAGRDITQFIQNLLKERGENIPAEDIKKVAREVKEKYGYCIGDGDLIKEFQ AYDRGDKNKFKTYYGKSSVTKEKYTIDIGYERFLGPEMFFHPEFLDGKWRSPIDEVIDKS IQTSPVDCRKKLYQNIILSGGSTLFDGFSQRLEQQVQLRIDSRLQKYEQRSGFKPASIKV NVTQNPFQRYAVWQGGSLMALQPQFEKVYHTRQEYFERGSIHCQIQCCLLAIILNEYVHI TQENQYQLMSTESYQYKPHVINISYQEISPDIPEFFTHKRHVFIMTNTGRPIYVRYGSEI KSSIFLATINAIFQKFLLFFFEDKEKQTLFKISHDKSNIYILQRNQITCICATNVLQDSE FIIYQMLDFLNTQLISIVTDQANVQLTQKPNYDLAYSLGGSRNLLTLALKNGLYSPCIAF NSICTLTMTASLRSFIHNNLKETKVANVIASLLLTETYVIDIWRQKNMEFKTSDITIIQS MIQGQGQLKKGENWIPVCLPGLSAMGFVYAYIYFFYQNTIGIVMISDDNSLDMFTKCKEA SSTLIKKFDQNGLEQSLFTCLQNNPITPKCIENQTQIKHFIVRHISGQVFLPMFQPFGKN SKTFKFYMQQYGELYKDFMISQSLGNSDVKQNYFVWDSKGFGITQYHDVIIMFCFNELIE FQQIVQNVHTLQKMFRTEDLINFFILKPS >CAK73120 pep:novel supercontig:GCA_000165425.1:CT868141:408085:410540:1 gene:GSPATT00009468001 transcript:CAK73120 MIERGQTVYTNFYQIQINPNSHIYRYQLSFQPFLSPEQQISKWRDIFKIAKSGLQENLKV FITNNQILYSPVRAQTMGLLLGVYEEEGTSYSISIEEKAVLKPGEPEYTGLIGRFFKMLL KQQKLLQIGRKYFNTKNLINFDQFGLKVLPGVSCSLIKQEEQGKYYINIDSSFKMLRSTT MYEELRNSRDFSQLEGAIVMTVYNYKFYKVNKVSREMNPKSEFENLKGEKMSYMQYYQDK YKIAIKDVTQPLIEVLEKSRKKQEEKIIYLIPELCVMTGLSNEMRNNFQTMKQLSTVTKP RGVDRVRQADQFIQCFHNKESEELIKKWNIQLEPKCLQIQSSKIKPGNIMMGNNTAINIE TGNLDRDTQTAMLRGVGLENWGILYSDRDGRQAEDFMSCLRESIEYCKFQCKAPRTFVLH SNRIEDWIKQIDQIVQQSQGPQKVTLLLLILNGPKKNAPLYTDIKRYLINDCPIASQVIL SSTLNQPKGKVKTICNKLLVQICAKVGGTPWGVSELPFTDQPTMICGMDVYHSTGKAKKS MLSFVSTEDEFFSKYMTQSIEMETGVEFSFSLCPVLVKSLQSFCGDRNGPLPSRIIIFRD GVSNSQAKTVIETEVAQFRQAIEQVKTEKNSDKPIKLIVLSVNKKVGAKFYAGERNLDNP PQGTLIDTEISNGKDDYYLISQRTTQGTVQPTHYHVLVNDMSDEPNILKKLQSLSYKLCY MYYNFSGAIKIPAPIQYAHVCSNFIGDRFDPRKPQSLIKPNPILNQRRSLFFI >CAK73121 pep:novel supercontig:GCA_000165425.1:CT868141:410588:411092:-1 gene:GSPATT00009469001 transcript:CAK73121 MWRWNSQMIEERTQSRYQSFPENPEIIREKERDYKKRYGYSPYPNKQILYKVTETECKQR DNIKQEIFWYIKLGPFIFGSQQGAQVIQASQDSQDTVNKYLKLSKKAQINKQRVDEEDEE DESKLYQQAKVFRRLKCVDGNHLNINMQNVQNLEENQQYQQ >CAK73122 pep:novel supercontig:GCA_000165425.1:CT868141:411165:411612:-1 gene:GSPATT00009470001 transcript:CAK73122 MLRIFGKYRFSNQNFINYLEFEIKKQFYILAKQHHPDSHNAKESDQELFKTIVAAYNTLT DENERKKQNRILNKQQQQPQRKQQNQETRTQQPQQQRQYQQRSINPDAQFYYDFRMKTQD FEEKDFHRQRHKFI >CAK73123 pep:novel supercontig:GCA_000165425.1:CT868141:411648:412081:1 gene:GSPATT00009471001 transcript:CAK73123 MKVNTHKNEIAANDKVLKDIELYEKIFLSTKYENKKQQSLEIRRLLPNIQYSQHQQSTLS SQQIITTQFDQDTSYTNRNQQDVRKKQIHFSLIDCSKFLKSSNSTHYVQSKKQTSPLKQK IVIVNHQGRPLTYFI >CAK73124 pep:novel supercontig:GCA_000165425.1:CT868141:412228:413409:1 gene:GSPATT00009472001 transcript:CAK73124 MPKQTKSAKTQQNQEQQETQTKYIRSSKIEEIEKKCSDYKKIQEQIQELGFPVNPASDQF IKEIIEKQDEVQLGKYLASHYWGKQSDLLTKINEKLVEHGFQVTDDLQCEQIITININNN NIGKKYDYKKLFELKDTACPSQLLSVDHYSSEIITKFVEPTDNPELLQLLTIRKNIQDLL NKLDKIRIFSNKLSNIKSQSAEDKLLKQFDNLEKDYYLVKNTQFNFDKLSQQKKTKTSKK KGNPNEGDNNQEDKDHNQDEQGQKDNDQPDHNQLNTNQEEQKQSNKGKQKKSKQSKPKNK EQAPENQDGEQNAEKPQNKKEKKAKKSEAKQEKITENQEEVKQSKIKVKKTGDQQSSTKK ETKPKIKKTNTSQQVQEDPKQKKLDQFFKKKTQ >CAK73125 pep:novel supercontig:GCA_000165425.1:CT868141:413533:413890:-1 gene:GSPATT00009473001 transcript:CAK73125 MSLFFFFEDQQNEIIVETLIFISIFLVLTFQLIKFKQCTQQKSIYEQSYIKQSPSEFEQN KQQLTKYHMEKLQENPKFREWQESSKKS >CAK73126 pep:novel supercontig:GCA_000165425.1:CT868141:414787:415492:-1 gene:GSPATT00009474001 transcript:CAK73126 MQQKIITLCIISCLITSTLAVKGIDIADAFNNFTCFKNAGYQFAIIRGYRSYGAVDPNGA TNMKNAQAAGLITDAYFFPCKGKITAAQQVSDFISAFGQEEENSTNGLYGTIWVDVETNP STGCGWSSSDYTGNCNFLNDLITTFKNKGKLTGIYSSKYQWETIFGSASACAKFTSLPLW YAHYDNSPSFSDYAKYSFGGWTTPNIKQYNGDQTVCNADVDLNFY >CAK73127 pep:novel supercontig:GCA_000165425.1:CT868141:415580:415969:-1 gene:GSPATT00009475001 transcript:CAK73127 MPNSNETIIFNSDYTIRIYASNKISLVFKLKFILYLKESFYINGNSLKINIFCNFSLQQA PLEDIYRLVYKLYGLNSIKLLFLNKLKKLRVHKQNYKCAHSLMLSEYQAYGSQQWLCLST RAKEKEQRR >CAK73128 pep:novel supercontig:GCA_000165425.1:CT868141:415979:418693:1 gene:GSPATT00009476001 transcript:CAK73128 MKQHANNYFVKKMAKPISQVSTNSAVTRSNELKPTSYLTFTRSKHRRKNETTIKLPELQP SSARAKTEQDQIAQNEFKKSIVIISRKPSATLISQRIKTSPSIQLWEPEYVIKPLAEEVQ VLTPKFYDVLCEELVLSQKQSKIKEKNHIFCKTKLNEYDKFQDKSSRMIRKIIQLLKTHR ERNEERELKSKTMIETQMAKSNIFFHAQTYYLPEQPHFNQRELLFQQQLSVIPKRHTKYS SQLTLTHQAESFKPMKSLIEEIKEKQQDPIKQASICLVSPNCQQNNQKKIKLVIECAEEQ KYKQFEFFAPEYMEQDQLVSVQLINNVVESKDTKQSNIYSLVSKNMFSKKMNQIQNLNGS TLDEFNSDKATQFTRYYLQNYVLKCINQKKIKDKKDSKPSLNILKQDTKLEPQIFVSKFP LDCSIIKDFQTTQFVEIHSEIYRCIEPFDSQDSSMISDNSFLNDKSNIDFSTDQGYKLKL LLTSNLIDPRVIQENLIKFVISTISDESKNVLLHQEIMAFANQVEQVIHIDYDLPHQPHL EALNRLFLRINKNDRKKFLKSFLKKFDLISIGYFEDCFKHQLKNQERKFVKLCYQQQSNS LTDNTSLKYSNMLKSRHHRETTVETIPIQQTNLMILSLPPAPVKSRSESPSNLSDSRTQK NSRQNQQVLIKNIFKKQSQRFLQVQTSSKFQLQQQTPQVQKLSIVKEKEQQNINKQNQKI SLNSIQNNLKYLSMDPQSLLLRNMMIQKTKNDDKTLYERIFLMVCEHRLQDLKEILKLET SIDLNFQNDDGDTMLISASQCGAASIVEFLIKNGADVSIQNYNGQTAMDVALKNYQFQTA DIIFKYLYPDNKNL >CAK73129 pep:novel supercontig:GCA_000165425.1:CT868141:418718:420546:-1 gene:GSPATT00009477001 transcript:CAK73129 MKMKNKIVSLFVKREHLILLSKMQLNDQNELILEIDQVNQKVENTILKTERWPQWDLDYF QNSFVQIVNLTFSYYKMYFPVNSALQFRDHLKFTQSLKQINYGGVTLEEVFKQQRLRLVT SLSIRLSEEPLQPKIVNNKLDFDYISLLQSKYFNQRNPDYQQPYSILNLKLLELKPNTRP LREISIFSIQDVPQFQLINPQLIQPIINYDTLSSTYEYKFNKLMQRNSQIQQTIKTYYSQ IKLLDYNYNENDKLKSIFLEKLRECLFTENQIKSIKPAKIQTSLSQINIDTEKISMLNSL NSNDTDRNKSLQQSIKRASKNESFCEHEKIRVSDRQQKLKNRIKNLNTMIFNQVNKARQN QIIPEQCDDSLNFQQNNQKMIKDQQNFRSLTQYTLEDSFKVDTNQHQSYQAPKNPKLKQL DSQSKEEDKKIQISDQLSSSIEMQGQRKNYKFRRSQYAPENRKVEDAIGDNSNNTEHIKQ NNYSIKKQHNKSIERLTLKPIDYVQVCSGDQKLQQNEQFTSPNKQKRYQTQEKEELELNE ILKWKKQSNQSLRNALLLLQCGRSDQLLNSLMASGTIKHQQYQSFLQPIKIYSKAKYLTP K >CAK73130 pep:novel supercontig:GCA_000165425.1:CT868141:420607:421343:-1 gene:GSPATT00009478001 transcript:CAK73130 MWKQFKNIILDQRVASKYTEIQNAKLFISNSIEFNFNITHDVNAISKFSYVQARAKYAKN PILIIGKSHPIQNSFTLFEYTTLYPQLLGLYHNKIHTEINGIEQSLLQKGINIALSDDPH QISGIYVVGLEKNWEIQAQVICDLLSTENGLINDKFHQVDQHIPLEYFYNVGDKNMENQQ LAIESTYKLIYGQDVKMSRVISDFDILIDYAKSIKDTLLYISEDSSFIVNEKQIEKI >CAK73131 pep:novel supercontig:GCA_000165425.1:CT868141:421357:422379:1 gene:GSPATT00009479001 transcript:CAK73131 MSQFSQVELNPDKEIKNNLKVELKNENYETELATQDLETKLQSQNQKLLEKSNDFDIQEN QINLSQAREFIQNIPNLDPKNVESLTEIGIQAVEIFKTQLEDCEGYELLENNQDEFVFWI RYYETPEKFQITQMRYKFTLNTTIQNYISFMRDLQLQKQLDSSVDQFESHYSDEGLQINY LRYKKILFMDPRDFLYIKYTKFIDEDTVIEISKSFENQDFQPYVPSTKSTTRAILLLSGN LIKQVEPNKIEILTFSECNMKLKLKPAMTKTASKNEIKKLIKKYRDHFNSIQ >CAK73132 pep:novel supercontig:GCA_000165425.1:CT868141:422547:423118:1 gene:GSPATT00009480001 transcript:CAK73132 MNLDQAFTFGQDCYNQDLANPSKHPRISKKSPFYSIVKPTTSQKNYRIKDPSVQQLTQTI QKNTNKENYNSHQQNVVVPKHTRNPTDLNLIRFDTSPNSKIEISNNSRSNNQPQDHQRRL SFNTPNQTIRTSLPPVNNTEKYKKVAMSMKELIQKQKYCYEIGDYEQIELIFEKLDLLCD QL >CAK73133 pep:novel supercontig:GCA_000165425.1:CT868141:423764:425234:1 gene:GSPATT00009481001 transcript:CAK73133 MSNAAEKISDLFNVSLNELETNIVASAVKFNSQPQRLLDELQQSVMDFKSKFYDSLHNLL QFIAQFNNGKDILKIAQQVETNITKFKQQQLLVQMKVVLNSKLKALLETFCGENQQKFEV QNQIKHLKESLLKIETTLMEQQQLTSTPQQNFITPYPKKNFITASSQDILTPNLSDEAEM RGKGFFITPENRQQQPRQFDHSQQQYSSNESNSVQQNKTLNSFDKEKSEDKTDLQSPFFG LQQDHPKQPKLFQNEIMNDYLQRVPLEEGPQESQLLDESNQYFHQDQIIKTTQQQHQQQP LQQQQSNKIQQKQQAQPQIQTQWQNLQLQQTFQHIDSLQTTRTEQFETQPRVSTPKENQI QTRNQSVEPNIREISQSPNGKRLIQTSKSKEPFSRCRSSLETTNLLQKLNSAEASKPTNK LNKSKSNKENQAQPMVKCSKPSKPQITVQKQKNQVVTRPQSSINIVKKQKSN >CAK73134 pep:novel supercontig:GCA_000165425.1:CT868141:425515:425929:-1 gene:GSPATT00009482001 transcript:CAK73134 MSNNRNEEIIKQEIENEKLAQENKFLNQELSKVLSQQKYDDKTLKIIIKMEKYKQKESSE LKFRLFPEEKVLEISGLIQERDQAQQNQYYVFFQKLNLESKVTGKPKITQKESSIEIIFD IKKF >CAK73135 pep:novel supercontig:GCA_000165425.1:CT868141:425942:427360:1 gene:GSPATT00009483001 transcript:CAK73135 MFPKINKSDVKPRSFSVNVDYSGMKSKAKRNISHYSYKIVEKLHAQPASNGIINIVPQKE KSGSLIIIIESVEDFLKEIAQNHKYQQFLKFKDFLNEKQITQFFRYIQNFLINLQSSMFE HHYCTFQLNKKPIDIVTADSKTVYEVITEFMIQHQKPHEDLHIYGGDFSLIHKSDFRQII YVSEQRIEKQMKKEIKVNEKAFRFRTQIQGQVNDTNQDDILIDNRVYQIGMHKKNKEIKQ YLQQQNNERRELNQPSRKSKELQIKKISGQTEKKIDLAQELPQICEQIYEKFKKHFQIEY ISVDKELFSQFLLLCELSIQYSINLTLQQQITRFNEDNEKCRLLIKNVLYFEFKILKSMN LNLQQLQNCVTQALLKFINQDTKCQEALNKIYWNAFLRFKTFYIDQKWTTSDFRYFLLLL NEESPLTLQIYLQLPIRELSKAIFSLNEDLLQQIVWQILYTKQL >CAK73136 pep:novel supercontig:GCA_000165425.1:CT868141:427459:427776:1 gene:GSPATT00009484001 transcript:CAK73136 MISQSPGFKLTPMTPLSIHHKMEIIEDEPDDLDLDQSKISFNENLIVCTYKPCQSVTMIQ KTILKLKGQPNTDWVNPSLQKEQRKRSTSILRYSQSPTRISSIYL >CAK73137 pep:novel supercontig:GCA_000165425.1:CT868141:428572:429003:-1 gene:GSPATT00009485001 transcript:CAK73137 MPKFYCEYCGIYLTHSSPSGRKQHSLGKKHISAREDYYRAVMSDLVDQLKASFQKNIIPT FISKDTIKRLLITHSSVFQGLLTPAFVETIDSLGLSGTRTQYMPQPTLILPPQGAYQPTP YNMMPPGMQMRY >CAK73138 pep:novel supercontig:GCA_000165425.1:CT868141:429085:429849:1 gene:GSPATT00009486001 transcript:CAK73138 MQGARFVETNLVQKMLRNQNYDFHKRKLDEIQTDKKARFGFKDLPILSKTQHIKAKSSRF QKSEQRKRIDEQNQYLSSHIIEIQEGKNSQFRSIWTVQNLREKAYADTSQWCENTLGKRL HSPLRRKQQQQIDEENQELQKRLELTKQILLEKQQEQISKYEKLRDHMTRIKTKGPNSPM TNTHFPSVKSKSTTQFVNKPYQSDSIIQNNRMNDLLKSEINISKISKLE >CAK73139 pep:novel supercontig:GCA_000165425.1:CT868141:429911:433240:1 gene:GSPATT00009487001 transcript:CAK73139 MSGFTHYKIGTTEQIGDGMQSQRNLQQQYSNTQIRNEEYRIKVSPPVTEQITITDQGSFQ KIMEAKEREITNLKESVKSLNNQIQDQFKELELWKNKCRQLQSDKGLSRITTTGQPTNDL ELLQLRDEVNKLRQENNKYKLKTDRNVSVISNDFEVNQLKQQIKSLEEQLRSKQSFPSFT SLSNNPQEINQLKDQIKALTQENEDLIKAKNLLNQQFQQSQIQWQQSALRSAQNQDSEQS KAIQNERDDLRRKVKVQEDLIAELQQEVQRLNFNCDSYIQEIQQSQLRLSSKAQNAEIEG YLKRISALQHEVLVWQDRYKAKEVQEHSYAQEQKVYQKDNQILFLQERIKQYETQMDQLR LEIDKQRKEFDGYKTNYESRRTDSGEVEGLKRKIAEMESDIQILIADLQEREQRISITQT EYEEEIRMLKNQSQGQYLNEINKLKEEREQYRMDYERLSNEYTNIQRVSEQKKSNSYEVD SLKQRIMELEQQLRNSTNQYQSLQGQYSQMQIQFQSSQQQQQSQIQLVSIRDEYQKLQSQ YNMLQQENMHLKQQKQQIDSNSYTQKNTQSDYQVLQERYNQSLKEISQLNEQLKKYRNEY DSLNQNYIQFQRESEIKFSQTNTKDLDIMKSRIQQYEQQIQQLRNQLSSQESLLQQQFKE RQRLEIQQLEQKVNSNFTMEIQTLQNKYNQLKTQYDQLQTKYKEQNSELIVVKEKTSQFD TQNLRDQLQQYKQANTQLNQDIQTLREQVQKYRSEIGQANQNHIVIQRETQLNKQNQGEI EKLMARIRELDFESQQKTSQINQLQMDLRNQEIRVRNELEQQFTSRLTIERQNLESKMQT QSSGETQNLQYQLKSLQQELSQYKQRYQQLEQQFNQSKIEITQFRSQQSNVNLDQVKQLQ QRIAKLESENQTLNIEIERQRNIRKDQENKIQLLITNITDYESRIHILEQENARLDTRNK KQIVIDKPSDQYLVNQLLGQNSSQLKTNVITTTTNVQNVQGSALVTRQSGFNQMSQVRST YNQQYDQYRLQSQNEIKQAQFSQRMQSPQPQVSNYLSPDKKQISLIIFVSFQTQKVSTTK SVKQAIMSSAINE >CAK73140 pep:novel supercontig:GCA_000165425.1:CT868141:433477:434359:-1 gene:GSPATT00009488001 transcript:CAK73140 MNKCQCGTLEVYSASSQNKKIINHGNRILLPPSILLEICNVYCGTMTFKLQSVLEEKKSI YVGVLEFTADEGTCVVPDWIFDAMGFSNGLSIPINCNRINKFGSLIKVQPHKSAFIKLSD PKDILKTYLKNFTCLTQDETITINYQDVNYLIDIVKVEPINKHNAICIDEFYFDIDLMDP LDLTVHPKLLFESSEAQQEKIITCEQQPVFQGIGILIGGEPLNTDQSSHQKIDMPVKQYD PRKQKLFNGLRQVQPNVFYGPSVKLFNIKTRYNLVDHYRHKQMIL >CAK73141 pep:novel supercontig:GCA_000165425.1:CT868141:434409:446978:1 gene:GSPATT00009489001 transcript:CAK73141 MQIWLFGLLQIIPFAYSQCTSRQYDDGVGGCLDCQIQCATCTTGTSCDTCTSEYYQSGSD CLNCDPTCKECSGTSNYCTNCDTSQNLYLDQGTNTCIACDSPKFKDGINCVDTCPVYINL TDSTCVAACDSNCLTCAIYSNSCTTCDSSNYLELISNQCVTACDVNCETCLTTSTNCQTC NSGMYLDNITNASGTCKQCNSPCSVCQSPSDVEICTDCQSNFYLDGASTCQMCDSTCYEC TGSLATECSQCVLGKYLQTSGTDKTCETCISPCDNCSSDTICDSCVSEYYLNGTTCDPCT SPCFTCETTDTHCLTCLPGDNRVIVNNQCICDQNYFDQNNGDYLCPPCDPNCKTCVDSAT KCTSCYDKFYLDSNVCQPCHWNCVTCVTTATNCLTCDEVVNLRIFYNNQCLCINGQYYSD SQQICAQCVDPCQNCLSGQDSNGVDYNGTQCTSCQAIYNRNLSGTICVCKSGYFDAGFLA CQPCASYCVSCLTSETDCDVCKPNTFRDTTKKCQCLDGYFGTSTVCQPCTSPCLNCEISN THCLSCITGVNRVLSSNACICAPGYYETTTSPKVCQPCTPPCATCKYFPDKCFSCIAKYY QPSGQLTCLPCDVPCEECAPNDGTKCKTCLAVQNREVLNQVCVCQIDYYQLDNVSPCIKC IAPCYECEDNGDGTQCASCKAGDNRTVDTNKQCICTSNYYSQLSSFICLACISPCLECLD DALALTADGTQCVTCQPGLNRIIDNINNKCNCLDGYYETTGVLTCTQCSSPCYDCADNGT GAECTTCPPGTFRIVDLQACICQDGYYQVGTNPICSPCNYTCQKCYNLASECHLCDPLKH RTAVGNTCICQDNYYDNMTDDQDCKPCTSPCEQCHMNTNGTKCKTCLAGLNRHYSNYQCI CDDGYFELNATCVKCHSTCMTCSNSYSCLSCNTTQYDQVTFRNGLACVCKQGFEMNSSGL CIQQCPIDCLTCNVNTCLTCSSNRVLSNGRCVCALPDNTRFCRASCSDINFGKLAASLTS PSSNILLSKLNSNSLSPSTIVMDTINDSSISCSLISSLQQGVISTDKNNYNSLFFKPQEI QIDQSPFGNQASYFHFVKDNIRYLLFQKDASTLNLRMQYQFATVANNENIQSNVVPKSSL NQQIVTINIDNTYTVVLVFTYDINDPPTITSQIMNECYQLSIQFSSSQPVILLTEFYVSS ATFSAATNAQLIAVANYIGQLYWQGIAFECTPHPKNTKQMFWENHQFLLEVIPTNLLPLK VTDGSNYISTALSSSKFSGNSALIQLNNVDVNYQTTSIQYDSSGFSYFTVQFPEILVFGL HMLSQFIFNQFTIQHFITSGYQISTQQLTYTSNNYQFVGYMVDIYGTTSVKTLIFGYNQG HTFNVVQNANNILITVSGANVKQINFAVMAFDDTLINNSYQTIFEQYIKLLIKSIELSTR TPLRFVNQYWKLSSISITGTFEALTEQIGGYFISKGTNAINTKFPFDISHKISTDLKQNI IYTNGYLVNGDSVFGKLTKYFTQANNKFVLGAQLNNIQYISISMASTDTFVSCSQSETLY KDIYAYISLCKRTETQVYQIFIINLNEYDGSIVLSQSSTEVKISNIQKITQIYYLMVVSK SSNNLNTQAIIQDIYQGILKSSGYFGFDFQCLTYNNDLQCETYRTGYKTSMSNEFDTAQN LVTDVQNMNGKKCNYFNFDNAATILKNNKNTVNTLVTTQMLNYIQPTVKDYFFQYDALEI GRLTSSAKPNEYLFAPKAGQLEVQMITPTFFGAQSQIYQYIKNMLSVTLIETNIDQIIID NKLANNNRGQMKSISYYFSNYGVVYNSICVTTFDTQIFPNTFECFLRPLNSDFDTIDMQL TSPLQSLKYKINQKNNIMLVYILLADFGQTPTAETKIQSISQQIYEQVLNSVQNDQCKCW GRYRQKENNCRCQDGYYPPADTAFGLLDCQPCDYKCLTCQFSKTQCISCPLNSNRVLDLT LFTCQCKTGYLELGVILCSPCNYTCGKCNALPEKCTECDILQHRTWDSTNFLCPCNDGYY DDGVNSLCANCDHSCSKCSQAGKVCQACVLSVFRTQQPDNTCPCDPGYYDDGVNALCQPC DYTCSTCVDTATKCTGCKINSHRSLSNNECICDTHYFHVNLTWDCQQCSIYCNDCTGTAR NQCTNCQAIQNRQLVDTSCECKIGFFKDALDSSTCPPCDYSCRSCSVMSNQCLSCDLTAN RIFDSFNKICNCKEGYFDDGVSGACQSCSYRCKTCVNNANQCLSCPLNTNRKFDAVTNTC KCQPSFSDTGVAVCMKCHASCQECQTTTTTCISCNINKTFRIDNSVLNNTCPCQSGYFDD GMNMVCKSCHPQCNTCSSFSVCTSCNTLYGRIDKSNINNTCPCNDGFFDDNINKQCQVCN YSCQTCIEKNTKCVSCNTTGTQRSKQQDSCPCNVGYFDDGSVTCQPCNFKCLTCKQNSVS CTSCPPSRQGSDCSCKIGYIETGVKDCLACQFKCGLCTLADLNTCLSCNLNRINPPSCDC DTSYYELDNKCLPCPNQCLSCDVTTTCFTCKGDRLNNPICNCPDGYYEDGVSLNCSPCYG FCATCNIDKCMTCQGNRILKPKQCDCPENSISHLDTPFCSNCQVAVIQAQFTSDLSIIYI TFPFNVQFPSVKKFSSSQACQLTFSTSTYQALGYSPLCSITQGNTLQIELSEGSSITIDF QIEFKDDFITKTGCSDALSKFILSSFSYNSVQLNPQFELSSYSDQITICQDIQINILNKQ VDGKRDFTEVVWTIVNMNPSIQSVAQYLSQLLAEANSKNYQQINIAALSLSEYGTYVIQL KAKNFRGQTFTAQTTIQTASYESPIIKLDSNQQFIFGRWEDLSFTIQLKHLSCYSDKVIE VFDALRVNWTEVAKTPNDTVSILKESVISETDGMTFLNTLLIPAYTAVIGSTYTLSVTVS LTNKPIKTSLQFTITIISSPMQAYIQGGTRTQSFNDYAVLNGFCRDPDLDFPWNKDPDIT FNWKCINLATGASCTDSNKELLELNVTDATQTFKPRVLDPYQPLQFVMKNTKHSTILITQ IILLVIENDLPNIQVSYPSGYDSRQILLHEELNFTLDTTVDPDSLKYFCQIVYNYNIVAS FTFKFLQVKFRIWDYWEDTDQSINAIQIKMAAKDVYHFMPSSTSVNLQLNIPPENCRFSV TPSTGTSITDLFVLSVTNCFDFQLPLQYQFHIYSSQKTLDNDNLIGETINKKSITDKQSS SQLQLVLPTPIDLDNSIAYELVLVASIYDNNNGMKNLTKTVKVNSIYQKIETSDFQSILL QIRRALKLSDTIDESALPSLFIGSQELSTLYSQLQPEKKAQMKNILKEIYSILQQFQGKM TSKVLEESLLSSIAAIGRTGINYEMDGANVVDFYTIKQQIKKTVKQLNRQINDLDYYLKQ KQKSGGSTSKFEDAIKALDNTLLNSGFVTDTLFNAIKSNSFQGADVTDADVNLLKEQIQQ INKLVAVATLKRSLANEKPRQYAGQQMGMQAYKSTPAQIVSVLGNKITPLFFGTETYADE DIQGSGSNDNLNLEEEVDEINYDEYLSMLNGTNSTNSTNSTNSTNSTNSTNSGNKRRLLQ FVEWIQNKNNLHYLRNLQQINPTTVKQYEVSMSNYATSPNLNDPKFKSQLNVNQTNEVTN SSNITHDQSIVSNFGTIQPNITMTEDGSSTEIKSLDGQTIKLSFSAPQNDSANSTMECYS EAKDTWETGTCKIKKDVDSKGNIAYVCDCVVVNPTTVITKLDSFISNKLSAVFNADSLNI FLQIQFWKYAIFYIAIGFTGAYVALMVLGLRKDNQDFIEEITKELQFTDENIPDNIKKLK QQINNLIIEEQSNENSIDAEEENKTVLKKEDMNKLALFTLKGQSDLEFKKDEEERDSNIL NQRDIQSLQVERNKAKINFKQVQDQLQQHQLYNPSTNKQQGRATELSKVIKNVYTIHDLK FQYGQEIKLKPLTLKVLWEGILTLHRVLSILMLYDDVISRPARFALIYAKTILVLALSAL FSGNMGPVYGTLISVGIGQVINVILSIITVTMKASPILKFLGILLTIAISAVCWFIVLML SASMEETQANIWAVQFASTQVIDLIIVEFLIISIKLSIYPWAIKALHDPDANASKILANL LFIAVAQPQISKFFEVEDKKDNFEA >CAK73142 pep:novel supercontig:GCA_000165425.1:CT868141:447066:447995:-1 gene:GSPATT00009490001 transcript:CAK73142 MLFSQQQDYNPKDVYQPSSMSYGAGIFKPQNQQPYYNQQPRTRAERDYLKEVNSQQTVMQ SRQFDTPQREDMKTLLHSPAPNTTLFQQDQERFLKDFAVQDKQQREYQNKQKQLQYEQRR LANLEREGMIWQRNEHYQQKDEMKRQYHQEQFTQGKRNLNGLAYNPLTLEYAQNEQGQAL KRQDEMTQVRQLARAQNLDNRANCGYNLLTGENRKGIDDIVPDDLKGHYQNKLQERDNQL NIKHYALQQQLLSKQY >CAK73143 pep:novel supercontig:GCA_000165425.1:CT868141:448025:449458:-1 gene:GSPATT00009491001 transcript:CAK73143 MSYSNFNQEYPETKSHSDYYDQLDKLGQNQTQATRRNSLPIQLEDGEKKLKEKYILTRIN ELGLDQNKAIYVLENMAEECIRQNDENVVLHRVIQTILLMETFPKATSKQQQGHYFDQIK QLIGKVSPNFFSYLNDQKQREQQENLLGLTCNICLNELKIQNIKLELLLKNNIRDCLQNY IIDKINNGLVEEIYCPQIHCEFKFNDDILESLLDQDNFNKLKRFRKIRKIQLDQNFIWCP RPGCEEIVRKSKKKTLKCSCGQLICNNCNMKVHSNQPCKDQLDQDIIKSLKKFKIKKCNN CGSLIQKNDGCNQMICQYCKNQFCWLCQQKITDKHYKYYNLFGCPGLRYSHRDPYKYPKI VRFFRFLFVLIFGPPIFLIGFIIGFIVLSFTLPAIIYMDCVHQNKFQDYHCCKKTAYISL LSIACILLSPLSFVFVTICLILLGIFWLCYAIQMLYRWLKKK >CAK73144 pep:novel supercontig:GCA_000165425.1:CT868141:449979:450437:1 gene:GSPATT00009492001 transcript:CAK73144 MIPIVPLIKSVVQESQQLFTAKDEFEKKQNELMQLIIDITQSLDQVEYFDNIQEELYTYD EKQFIKQCKDLLHQSEQLVQQLPSNLTKINGYLYSKTNNYKELLQHLQELNKISSQIRSL ELQSYEVLKTVVVFQPKIQCKQ >CAK73145 pep:novel supercontig:GCA_000165425.1:CT868141:451217:451943:-1 gene:GSPATT00009493001 transcript:CAK73145 MALINITNIVFDQSTALFNTPIQMQITFEVLRQLDQEIDWKLIYIGSPNNDKHDQVLEQF SMPPLQQGTMQFTLMTAGPKLELIPSKEDLFGATAIILSVRYRNHEFFRVGYYVYNSYLD PDLIENDPSCVIIDKVYRQINVQTPRITRTNIDWEGQMVQLYVNPLQSNNQFMFQQQPIF NDITNNHQDFQQQCQEKQNQLQQLQPNNKPLYNNTQGPSFQSIF >CAK73146 pep:novel supercontig:GCA_000165425.1:CT868141:451980:452815:-1 gene:GSPATT00009494001 transcript:CAK73146 MGLLDIIEEIIDDDLLFILKYGLIISNFITIFVLLYFKSKKQQDQKEVIIDILEKENKSV LLVTAHPDDEAMFFLPTISYLQDNNYEIHLMCLSNGNANKIGKIRESELEKCCQYLKIKK LTIINDEDLQDSMTATWPIEKIQKIVQKYIYENNIKGIITFDKHGISGHLNHIACYNAIS TMKRTEDLKVFVLETTNIFRKYSSFLDFFVSSILNDNLMVNLNMLKAWKSMQIHHSQFVW YRKLFVVFSRYAYINTLIKI >CAK73147 pep:novel supercontig:GCA_000165425.1:CT868141:453374:455898:-1 gene:GSPATT00009495001 transcript:CAK73147 MNFEKKKDQFSSDKIENNIRQAFNFLFGQGAYEIGFFQSTWKQSIEVKGKEYYDAVISAI MIQVVRIADEGSDQPATQYIDLIILLIDGLIFNLYSKNKSSDRAKIHQSLYQTSQQSQLA LQSGKIMESIGNYVPLDVKVFVKALERMATSFEFFESLDIMMNYNDADQNKLQLSHFVNT LEYILEKEQVSDQVLDDYIANKGRISKYIIERQSMAERDEIEEVNKLIFSNKLLIIKKLL FVKNDTINVKEVHFTLGRIYFAAYSKKRTNYKRSQFSQLKFQGEDMIHNIFKQYINSQTK RDMLFKDQDDSDPYFQFLLNYMQLLYSPVYFEFQQLLDDLLLPNHKMAPPKFFATVQPIV ETQFISFFATLPPDLLNLLAQFNQTIQDAPHFTDNLQATFPVKNLFISKFLLNPNLKEQF INQDYNMDHFITIANLFKDLFFNNTNRSYSNLPQFQEMSQKLKNILETYITSFDNDVSID IYSYFITDPLLQNDYNFLYNYYHNNIKVLPSDIKDIHMDKFNSYMPKRVYVKANEKGEDQ QQKQDQKDKENQQDQQDLINAEIADDDRSDKSKGKQYVGPDYQEDEFQGVEKVEKATQTE VQEDEDLKNAEKFQPNFNEKSVISDQSVTPLEQEEKEKIPQKKQVTIPQYNEYYDIDVRQ QEEKIQEDTHKYLEEIQQLKIQIDELKDQHSDAMAQVEFDHNQQIKKMEFELNQSKLRNE MLENEVKLKDLEIEQRHDQIEKLFDEKVNLSYQLQKALDEKELLQKHFEDQNRDYKEQTK QFELKDLMSEFDTYQAQINKRKNDLMKVAIDHEIQQQKHYRKLNI >CAK73148 pep:novel supercontig:GCA_000165425.1:CT868141:456447:457342:1 gene:GSPATT00009496001 transcript:CAK73148 MDQQQFDSELQTYFLHIKHEIKQEHIEYLKKHPELNQILNDFTSQIILEKPDNVYQYAKE YFSFFNQEKDLKTCKPLVISGASGVGKGTLLQMLFKQYPQQFVFSVSYTTRAPRPGEVHG QHYYFVSKEEFQKEIEKKAFLEYSEVHGNYYGTHLAQVQKVMKQGQVCVIEIDVQGAEKI SKSMPNQCNYIFINAPSVEELRKRLTGRGTETEEVIEKRMKNAQKEIEKAHQLGFYNELL NDDLQKTFKKLIDLLKTFYVDLKL >CAK73149 pep:novel supercontig:GCA_000165425.1:CT868141:457346:457660:-1 gene:GSPATT00009497001 transcript:CAK73149 MNFCPICSNFLSLENESSTGYRLKCPGCVYYHPLKEMRLTKTFQSKEPAPITYPQQNKQI TDEQCDKCGCLKAFFWEFQTRGADEQSTISFECIKCGHKWKNDR >CAK73150 pep:novel supercontig:GCA_000165425.1:CT868141:457798:458384:-1 gene:GSPATT00009498001 transcript:CAK73150 MGDQRNVSSDDLIYYFQINYLHQMRRKTKHQIHQPQLFETKITKSNSSHIPPTILNSQNL FHTSVKNVKLKPENNKLQFKASSISTKQLNQIFVNNRNIIRRHRVSTIQNQPDRCLDSLI TRKKSTNFNFTETSQKRILEKCDWLSKPIKINIEKTRIQQMRHNTIIGETFKNLNGWQIE NEYDYDF >CAK73151 pep:novel supercontig:GCA_000165425.1:CT868141:459101:475168:-1 gene:GSPATT00009499001 transcript:CAK73151 MCTTPTNCLSCDSGNYLNILTHQCQSTCDSNCQTCQTTTTQCLTCDSGNYLNSSTNQCQS SCDSNCATCLTTTTYCLSCDSGNYLNLSTHQCQSTCDSNCATCQTTTTYCLTCDSGNYLN SSTNQCQSSCDSNCLTCQNTTAYCLSCDSGNYLNSSTNQCSSNCDSNCATCQNTTTYCLS CDSGNYLNESTHTCQSTCDSNCLTCQSTIIYCLSCDSGNYLNLSTHQCQSSCDTNCLTCQ NSTTYCLSCDSGYQLNSSTHQCELICDSNCLTCQTTPTNCLSCDSGNYLNLSTHQCQSTC DSNCQTCQTTTTQCLTCDSGNYLNSSTNQCQSSCDSNCLTCQNTTTYCLSCDSGNYLNSS THQCSSSCDSNCATCQNTTTYCLSCDSGNYLNESTHSCQSTCDSNCLTCQSTIIYCLSCD SGNYLNLSTHQCQSSCDTNCLTCQNSTTYCLSCDTGYYLDESTHQCSSNCDSNCQQCSIT TTHCTQCFSNFVLDNTTFQCIFVCDSNCLTCQTTQTNCLTCNSGMYLNEVTHQCQSSCDI NCLTCENTINTSTNQCVSSCDLNCLTCSSSPTYCLTCDVGSYLNISNHQCQSVCESNCAT CQTLSTFCLTCTIGMYLDQATNICESNCDANCATCQTTTTHCLTCNSGMYLNPSTNLCQS TCDSNCLTCQGTTTYCLLCNVGQYLNVSNNQCQSTCDSNCATCQTLTTECLSCQSGWELR GSGTVCYIICDSNCKTCETTAVNCLTCYDLMYLNVSNNQCQSTCDPNCATCQDTTIHCLT CDSGMYLNPSSHQCQSTCDTNCATCQTTTTHCLTCNPGLYENPSTQYCDANCDANCATCQ NLTNYCLTCASGKYFNSLTNYCDSTCDANCATCSGTTINCVSCVVGQYLNSTTKVCQSTC DATCDTCQGTTTYCLTCQAGKYLLLSNNTCSASCDPTCLTCQTTTTHCLSCNPGEYLNPS NNQCQSSCDPNCDTCQTTTNHCLTCFPANLLNLSNNTCQNTCDANCATCQTYANYCLTCN IGMYMNSSAHTCSSTCDAICATCLSTTTHCLTCNAGMYLNETTNLCQSTCDSNCLTCQTT TTRCLTCNTNYYLNLSNNICQNTCDANCLTCQVTTIKCKTCNAGMYFNKSSFICQPSCDI NCATCLITTTNCLSCPAGTYLNETNNTCVNTCNSNCATCDITTTHCLTCFSGFQLDTSTN KCTVVCDSNCATCFSQPTLCTSCFDGIYLNKTTNVCQTDCDTNCLTCTISTTNCQSCYDG YKLNETTFVCDLICTDNCQSCVIDPSHCTTCFDLMYVNLSNHVCESACNVNCATCKDTTT NCLTCSSGLYLDNITVTTSGVCLVCHPPCAVCQSPSQVEVCVDCQYHYYLDNTSTCQACH PTCYKCTGTADVQCSECAPGDFLVVSGTDKTCHSCTQPCFECSSDSVCLSCIPQYFLSGT ICLPCTPPCFNCATTTSTCVDCFLSDFRGVVSDVCVCLPHYYDQNNGDSVCLICDANCKT CVDVPTQCTSCENGFYLDGTTCNACDIQCKTCQTSATNCTSCDFDVYLRTLYNNQCICDD GLYYSDAQLICTACVSPCYTCLSGKDGNGVNYDGNQCVTCLPDNNRAVNNTTKTCPCQIG FFDAGYLVCQACTSYCVSCLTDELDCDQCKPSTFRDPVKKCQCQDGYFGTDLVCQPCSFP CFQCELQSDRCIACAVGRVLNGNTCDCAYGFYEDSDGTCQSCQSPCASCVTLPTFCYSCV AGYYQPLGELQCLSCVVPCEYCNPNDGENCTTCLPNQNRQVKNQVCVCKPSYYQMDNVTP CIACESTCYNCENNGDGTQCTECRAGDNRTLNASKQCVCNPNHFSQSSSPVCAPCIAPCL SCIVSQASPPPDGTQCLTCQTGQHRVIDLVNNKCPCDSGYYETTGVVLCSLCISPCLECI DNGTGNECTSCVAGSNRVAVAQVCVCGDGYYQVGASVTCSQCYYTCQKCQNLPTECHQCD PLKHRLLSGSTCICQDNYYDNLMNDSDCKPCTSPCEQCYTFADGTKCKTCLTGMNRHFSN FQCICDDGYFENNGICSLCHVTCLTCTSQSTCQTCDLTKYDQLPSKNGLACVCKQGFEMN QTGQCIQSCPMDCLTCNVNTCLTCNSNRVLLNGRCVCTLPDNTRFCRASCSEINFGKLAT SLPSPSSNNLIDYLNANSLSPTDIEMDALIDSSISCSLQSQLEQGIISTDKNNHNSLLLK QQEIQIDQSPFGNQASYFHFLKDNVRYLLFQKDATVLNLRMEYKFTVVADSENIQSNVVP KSSLNQQIVTFNIDYLYTVVLVFTYNINNPPTITSSIISNVYQLNIQFSSSQPVILLTEF YENSATFSAATNAQLIAVANYIGQLYWQGIAFECTPHPKNTKQMFWENHQFLLEVIPTNL LPLKVTDGSNYISTALSSSKFSGNSALIQLNNVDVNYQTTSIQYDSSGFSYFTVQFPEIL VFGLHMLSQFIFNQFTIQHFITSGYQISTQQLTYTSNNYQFVGYMVDIYGTTSVKTLIFG YNQGHTFNVVQNANNVLITVSGANVKQINFAVMAFDDTLINNSYQTIFEQYIKLLIKSIE LSTRTPLRYVNQYWVQNPLSIPGTFEALTEQIGGYFISKGTNAINTKFPFDISHKISTDL KQNIIYTNGYLVNGDSAFGKLTKYFTQANNKFVLGAQLNNILYISISMASTDTFVSCSQS ETLYKDIYAYISLCKRTETQVYQIFIINLNEYDGSIVLSQSSTEVKISNIQKIKQIYYLM VLSKSSNNLNTYAIIQDIYQGVLKSTGYFGFDFQCQTYNNDLQCDIFRNGYQTSMNNEFD PLQHLVTDVENMNGKKCSYFNFDNAVTVLKNYQVPLDALLNALVQNNYQALVADNNYQYD ALILGKLTSSSQPSTYIDVPQAGVSEIQKITPKYFGAQSQIYQFTINAVSVTLIETKIDE IIIYNKLIPSNKGKLDLSTSYFSEYGIVFQSICYTTYDSQLFPNTYECFIRPLNPQFDTI NTIVTPPLKDLTYKIQQQDNIFLIYLLFADFGAIPQPSDVVQSISLAIYEQVLNSVQNDQ CKCWGRYRQKENNCRCQDGYYPPADTAFGLLDCQPCDYKCQTCQFSKTQCISCPLNSNRK LDLNLFTCECKPGFLELGVIICSPCHYSCGKCITIPTKCSECDPLYHRTWDSTNFLCLCN DGYYDDGVSQICANCDHSCSKCSLAGKVCQTCVLSVFRTQQPDNTCPCDPGYYDDGVNAL CQPCDYTCSTCVDTATKCTGCKINSHRSLSNNECICDTHYYHIHLTWDCQQCSIYCNNCT GPTRTECSDCQALQFRELIVTSCQCMAGYFKDTLDSVICPQCDYPCKTCKVMSNQCQSCE PTFNRLFDSFNKRCYCKDGYFDDGTNAQCQPCSYRCRTCVTNQDKCLSCPLNTNRKYDSV KNTCKCQSSYSDIGFAACMKCSASCQECQTLPTFCLQCNTNKTFRIDKSGINNTCPCQDG YYDDGMNTVCKLCHPQCNTCSQFQVCTSCNITYGRVDKSSIDNTCPCNDGFYDDNLNKQC QNCHYSCLTCMEKNTKCVKCNTSGTQRVKATDSCPCGAGYYDNGNLICDVCNYKCQTCQQ QSTYCTSCPTSRQGTSCDCKIGYIESGVKQCTACYFKCGLCTLSDLNTCLACNLNRINLP ICDCDNGFYELNNECLACPNKCQACDTTATCQNCKGDRLNNPVCYCPDGYYEDEVSINCQ VCFGNCSTCNKDNCLTCKGNRILEPLQCTCPPNSISHIETPYCSDCNVAVISAQFSSDLS IIYFYFPFNVQFVSTKKVTPYQACSQIFNPSTFQTLGSAPLCSVIQEKILIVELSEQSSI TITTVIQFNTDILLKTGCSAAISTFVLINFSYNPVKMEPLFELTTYSDPLTLCQDIPINI INKFVDGKRNLTEITWSIVKMYPQTSSVSQYLTSLFADANANNLQSITIQFLSLSEYGTY VIQLKAKNFRDQVYTAQTTIQTESYESPIIKIDPDQQYTFGRWEDLSFTVTLKHLSCYTS SVVEIFDAIRVNWTEIAKTPKDTPSVLKDSFISETDGMTFLNTLRIPAYTAAVNSTYTLQ VTASLTNKPIKTSIQFTIKIISSPMQAYIQGGTRTQSFNDYAVLNGFCRDPDLEYPWNKD PDITFNWRCINLATSESCTDSNKEALELNVTDATQTFKPRVLDPYQPLQFVMRNTKYSTI LITQIILLVIENDLPNIQVSYPSGYDGRQILLHEELNFTLATSVDPDSLKYFCQIVYNYN IVASFTFKFLQVKFRIWDYWEDTDTSINGIQIKMAAKDIYHYMPSTSSVNLLLNIPPNDC KFIITPSSGTSITDLFVLSVVNCYDPQLPLQYQFHIYSSQKTLDNDNLIGETINKKSITD KQSSSQLQLVLPTPINLDNSIAYELVLVASIYDNNNGMKNLTKTVKVNSIYQKVETSDFQ SVLLQIRRALKLSETIDESTLPSLFIGSQELSTLYNQLQPEKQAQMKSILKDIYSILSSF QGKMTSKVLEESLLSSIAAIGRTGITYEMEGASVVDFYTIKQQLKKTFKQLNRQINDLDY FLQQKQKSGGSTSKFEDAIKALDNTLLNSGFVTDTLFNAIKANSFQGADITESDVNSLKE QIQQINKLVAIATLKRSLANEKPRQYAGQQMGMQAYKSTPAQITKILSGSITPRFFGSSS DTSSTDLQGSGSDDNLNLEEEADEINYDEYLSMLNGTNSTNSTNSTNSTNSTNSGNKRRL LQFVEWIQNKNNLHYLRNLQQIDPTTVKQYEVSMSNYATSPNLNDPKFKSQLNVNQTNEV TNSSNITHDQSIISNFGTIQPNITMTENGNSTEIKSLEGQSIKLSFSAPQNDSANSTMEC VSELKDTWDTGTCKIKKDVDSKGNIAYVCDCVVVNPTTVITKLDSFISNKLDSVFNADSL NIFLQIQFWKYAIFYIAIGFTGAYVALMVIGLRKDNQDFIEEITKELNVNTNLNPNLQNL KKEIHDIVIEEKSMEDSVEQEEEGQPVIQSGEFARLALLSLKAPDNADFKQDEEGKLIGG SDEEHAGLNQNDIYRLQVERNRAKINFKQVQDQLQQHQLYNPSTNKHQIQAKELSNVIKN VYTIHDLKYQHGQEIKLKPLTLKVLWEGILTLHRVLSILMLYDDVISRPARFALIYAKTI LVLALSALFSGNMGPVYATMISVGIGQVINVILSIITVTMKASPILKFLGILITIAISAV CWFIVLMLSASMEEIQANIWAIQFASTQVIDLIIAEFLIITIKLSIYPWAIKALNNPDES ASKILANLVFIIVAQPQIQKFFEVEDKPESNLEA >CAK73152 pep:novel supercontig:GCA_000165425.1:CT868141:475712:477580:-1 gene:GSPATT00009500001 transcript:CAK73152 MNTLFLSILILITQVYSQCTSQQYDDGLGGCLNCLTECATCSDGTTCDNCIQGWYLSGST CLNCDVNCLECQNNSNYCTKCNILQNKYLDTTSNTCVSCDPPKFKNQENCVDTCPLYINS VDSTCVNSCYENCQTCLLYYNHCLSCASNMYLNESLNICQLNCDSNCATCFEKTTTCTSC DSGKYLNSSSHQCQSSCDSNCLTCQTTTIYCISCDTGQYLNESNHQCQSSCDSNCLTCQT TTKYCLSCDSGYALNATTHQCELICDSNCLTCQTTSTHCLSCDSGKYLNSSIHQCESSCD SNCLTCQTTTTYCLTCDAGNYLNSLTHQCQSSCDSNCATCQTTTTYCLTCNSGSYLNSST HQCQSNCDSNCLTCQNTTIQCLTCDIGNYLNSSTYQCQSNCDSNCATCQTTTTYCLTCDS GNYLNSSTHQCQSNCDSNCLTCQNTTTQCLTCDSGNYLNSSTHQCESSCDSNCSTCQTTT TYCITCDTGNYLNLFTHFCQPSCDSNCLTCQNTTIYCLSCDSGNTLNATTHQCELTCDSN CLTCQTTSTHCLSCDSGKYLNSSTHQCESSCDSNCLTCQNTTTYCVSCDSGNYFKFFKSL MPIQLRQQLPDLLKHNNILFVL >CAK73153 pep:novel supercontig:GCA_000165425.1:CT868141:478152:478638:-1 gene:GSPATT00009501001 transcript:CAK73153 MYSSAQLIKYISNVHCYMQIRQSIQQNIRINILLQSNYCQKGETQKRTAEEATLEKGPFG LLTITMNHNTQAFLELRNNRKHLGRVKAFDRHMNMILENLTEMWIEISKETKGKSPCYKQ RKIQNQYKIIFYYIPKMFLRGDSVIYTLRNLK >CAK73154 pep:novel supercontig:GCA_000165425.1:CT868141:478734:479899:-1 gene:GSPATT00009502001 transcript:CAK73154 MYAVYQDSEELAIKSSKILDNSTSFMPEQTQKRVGLEDFIMLATVGKGAFGKVYKVKKKD NQKIYAIKCINKKLIFDSKLESNALLEKNVLKQSKHPFIVQLKYSFQTPTKLYLVMEYIN GGEFFKILTKTKGLPESIVAFIAAEVVLALEYLNNQLKVIYRDLKPENILLTTTGHVKLT DFGLATLRKDENVKNYTLAGTPEYLAPEIINKQGHSFEVDLWTLGILIYEMINGYPPFTV PDRNTQKILQLILQNQPNYTSIMSDDAINLVQRLLKDNPKERIGAEIGYQEIKYHPFFAK IKWSELYNLNIKSPLKTFAEQNASRSEGLRMPNIQIQETPDLPQANLFGISYGGGDDTYT SKRLN >CAK73155 pep:novel supercontig:GCA_000165425.1:CT868141:480326:481110:-1 gene:GSPATT00009503001 transcript:CAK73155 MKNQVAKSVSHSTIPKPGKSQTHKTPEKHGIPRNVNYYSHFLAEPYITEKDIEFVLQLRN MDNAEMTSKLARIPNQVFSRGDQKIESQKERHLSRDVNYTGNSSSILHLVKGRIGPTPHI SQAEFETGLRSYAKTDKSLVEKERNWTTVPKTKRKDFFPEFLPNYKEEVQKRKSLSISGN KLSKLTYSGNCQSYKIKAFDPDTHYPQYSMKFNEKNIQHVRHMFVPGTKMSTIQWQEGLR PLVQIKRQKKDKK >CAK73156 pep:novel supercontig:GCA_000165425.1:CT868141:481261:482575:1 gene:GSPATT00009504001 transcript:CAK73156 MGQCCLGYQGQERKCQIILQTQIQNTIISEQDLNYINQIKLIQACVRGYLVRKRLQGLIK KLKEKNILMKEKKYNSNELSFNDSTPFQDTKPSQTTKLECLQAEKIKLLDKVPDYLVNRV NKILQQYTSFNYDNEEENKFNFQIHQLNDGCIYQGQWKNGLKHGCGRQFWLNGSYYEGYW ADNMFQGRGRLIKLDGDIYQGEFKNNKASGKGTLYSIEGLKYEGQWEDNVKNGYGTEIWC DGSVYEGYYKNGLKNGQGTFKWADGSVYNGEFVNGNIEGEGEFKWEDGRIYSGQWKNNQM DGQGIFQWPDNRKYLGSYQNGVKEGFGSFEWPDGRIYKGQWLNNKQHGFGTYLGSNGIEK EGEWAEGKLVRWQKNKNRIQDS >CAK73157 pep:novel supercontig:GCA_000165425.1:CT868141:483435:485005:1 gene:GSPATT00009505001 transcript:CAK73157 MRSRRSSKFHSNDISKDNILSSSRKPSPPKIYTNSSNVNQMTQESSFQRKGRSTPKDCLG LVNPYQCKRVKNHQKSYYYLIEIHQKCLIKVEGVILNQSPNLIIQPKSEENMEIECQSPI KQYSGLKHPFFSNSGISKNQPARRVIKDASLNNSQLRQKESQDILKTSEKQRANKLRKAN YHQQQDGDSQCQVYEFNRELKALRVKRTESFEWLQEESQVSEESQFNQFNQINLGIYYMD NLRNYLKGLNLEGLYAQMYINHFVQQFHSLQLSKQMQQPDQNKLEAKMLQLQKIKNQKTL VLDLDETLMHCNEQQQMKFDFKIPIQMPNGQVHEAGISVRPYAQQFLSECSKHFEIIIFT ASHQLYADKIIDKLDPSRKWVSHRLYRENCIQTQQGIYVKDLRIINRDLKDIVLIDNAAY SYAFQIENGIPIIPYIDNVKDIELLGVIDYLKILLQINDVREINVKTFLLNKIQQCASLD AAIKLLLVA >CAK73158 pep:novel supercontig:GCA_000165425.1:CT868141:485230:486025:-1 gene:GSPATT00009506001 transcript:CAK73158 MINDNRNPAFFQQPNQNQYNIILPNTNQPVIGQRQGYPIQTTTQPIIQQPLQFQQQFPLP PQVNYVPQNIQSKPNVLPTVYQEKPTQVINMDEIEAPWRLKCATLERQILELQIQLRKGP GPTEPDAIEVIDDTRLRELESKKAQLQQMIQDRDREISELENQIHQQQESIEIQIQERTV HYSNEIETWQKRFQQLERDYTQSQRQLQDLQEEQKRLEKQNQDVRTSQQRVGQSRNY >CAK73159 pep:novel supercontig:GCA_000165425.1:CT868141:486035:486943:-1 gene:GSPATT00009507001 transcript:CAK73159 MLQNNIDENEASLLFKFILIGDVAVGKTSLLKQFVEKRFCDDYNMTIGVEFNIKYIQVND HVIKLQMWDTSGQENFKSVTRLYYRAAAGAIMVFDITRRETFENIRNWHREALDNGNSRM KFLLIGNKTDLQNQREVESYEAVQYAKENECLYTECSALQGSNVENAFMELAHQIYQMAM EDPNLVNEQYGIKHVNNANCSMSTSKIVNQNGQYKKQLQKINNPNTEEFIEYYGDQNQSN QKKAQCNNCCADI >CAK73160 pep:novel supercontig:GCA_000165425.1:CT868141:487074:488903:-1 gene:GSPATT00009508001 transcript:CAK73160 MDQNQLSDAIQKLELFFSCRQLDDLDTMSVSDPYIVLFQKTNQHNWTKIGQTELIWNNLN PNFATSIIIDYYFEVHQYLKLEVHHYVNQHESKIIGIAETSLAAIAGARDQILMADLFSL QGKKSGKIVVKADQVKHCDDDLEITVSGQNIPDTRIGFWHGACPFLRFYRLRKDDSNPIL VYESEFIKDSSNPNWKTIQCKSQKICNGDYQMPIKVEVWDHRTSGKHLYLGETTFCIEEL RDSFTQNKLQKKEFRNKQKNNSPAGTITFEKFNLKCKYTFLDYCEGGQQLNLILGIDFTA SNGDPKDKKSLHYISQDENSSQYLQAITSVVEILINYDHDKKVPIYGFGCQPAFNLINTN QTLHLFPLNDNPEDPEVYGLDGIVQCYRNAIQKMQLDGPTYIHPVIAKAMEMALQSKLKG SENYLILMILTDGQTDDLKESIDDVIESSHLPLSIIIVGIGNANFKKMTILDNDDNSMVN SKGQKALRDLVQFVPFNQFKHDSTLLSREVLAELPNQLVEYMELMKIPPKPPTYKKNLLQ YQQFQQNQQNKVNWQPGNTGFATQNQGQGFAQQGF >CAK73161 pep:novel supercontig:GCA_000165425.1:CT868141:489052:490817:-1 gene:GSPATT00009509001 transcript:CAK73161 MQQFYDTDLQSEHPPSDILSEKDIPKEKLHKQKMLVKKELLHVKKEVRQRGISYEKPAYY DKVKIAMIEITEEEYNNGDEKLILENAKIVEQHILSPQNDRWIDKALQSMKKQEISIYIQ EHLKHDENQLKVLENKVYTLIQMIDWQIIVDLYQDQTYLKYILQLGKGGDRCEILDEIIY EIKIFQGENLLFTDSQDGPSPIKLIKSKVVYSILKTMKNKELSLCHIKHDSYLQNENQEF LQSLNYDKEAKVDWKVEINVKTLFKIDDLYGDGILIKTTMYRSRNTAKPDKVSLIDFDMW IYSMSTDPYPKKENLLYSSTDEDPIYDRVEAQKHNDEDEEPSKGSLSLYIDDLKVSKLMR LALLRMKKRELSIIRCYETKDLIKHGLDYEGMKGMIEKELIYVIYVHTFSEGKNNFSMSI DEKIEQAIRKKQIGLKWISQQNYRKALKVFKTINAYFDYGTFTEDDKEKMREFQISSLLN SSLCQMKLKDWKDMKIVCEKLYKLDSKNQKVVYRYCYALMNLYEYEEALQIITEENEDLI KLKKEIKQLYSQYKNKEKQMYQKWLS >CAK73162 pep:novel supercontig:GCA_000165425.1:CT868141:491321:494303:1 gene:GSPATT00009510001 transcript:CAK73162 MQILKKFADSKSAKIGIVVALGLSGVALTYHQYDKAKKSRIIATQNPPVAENEFGQNRFL TQTGAIQRWGQIVQDSISYKVDLLLKKGESYSGLVTLEFDAKHTLTDLYVDFRGKRVVSL YVNENKINNLDWNGLFIKVPKEFLRTSQKNRVNIQFEQDYAKDGCGLHGYIDKDGKQYLY SQCESYFTNRFFPCMDQPDLKAKLRFTAVCPKEWVVISNENADQNQQFNFAQAANVVKDY LPQELVESQLQNLENSDSYNFWVFGGTKTLPTYLFALVAGEYWSVKFQGEQDDIPQTIYC RESLKEHMINLKDFIFEVTKKSMKFYENFFGVKYQFNKYDSVFVNEYNWGAMENPGCVTF NDFYVFKEKKPATSYTSFANTIIHEMAHHWFGDFVTMKWWNDLWLNESYAEFISHFCQEN IKIETIKLSNIPVMFNQRKGWGYREDQMLTTHPIAGEVINTEVAENIFDGITYSKGASVM KQLMCIMGAAKFGEACGNYFRKFGWKNAVLQDLIDHLQEKFDNPEFTLSYWKQQWIETAG MNEIEPQWDQTDRSHQAKLTVFQRPALSQFPTLRIHKIKIGFFHDNGIDSIDTILKATEE NVITYDGSKGYRALLLNYEDQSFVKVLLDQESTKYFSQNLQAVQDILTRTLIYRALFDSV RDGKISSEEYVDFLLAQLPRENSDEILNTQLLYLQSAIASMTPRKFKKILGKRVFTFLLE QIIKVSKDPQQENKLILLRNNMAAFAYDNTCVDELLAWFQGQNQELSSIECTKDTKWAIV KLVYASQKYDSNPELRKEILEKMLQIDTSDAASRAQLKCKALIANEQERAALWQKYVDPN DKESAKMIAESMAGFNNERRYLSLEPYQDKFFEVIIQIFQTKSNDFSKTFYDYLFPITDD LASLCNKVEKLRTTVPENLITLKMQVDKSLDSLKRQVKQFECFCNQAKLATQQVQENEV >CAK73163 pep:novel supercontig:GCA_000165425.1:CT868141:494339:495273:1 gene:GSPATT00009511001 transcript:CAK73163 MNTSFEQINKSPLNHSVSKQLYSFPKANRFKEFKDSACPNIYSLPSMMSKRGAGIGYGQK SDLIQESITPGPNNYQIKTTLNASNGWTMPVGRDKSNKYDGIFLGLIQKTPGPGQYEFQE SKSPIKYTMRMRTESQKDKDRKPGPGQYNLPEALNENGKYKISKFRDSGAIILSPPKAKS TRFSPAHELTPGPGQYQHTGDIDPKGLYYCSKFADTKSTVFTRAKRELTKIRVESPGPGA YKLPTEFGYYEKPIK >CAK73164 pep:novel supercontig:GCA_000165425.1:CT868141:495452:496993:-1 gene:GSPATT00009512001 transcript:CAK73164 MKNSIGQRNIIQNYALDTSFYRVKQNQSILTTRHSKLPSFNNPIPISTPTSPKDQQNMWK TNLCFQNDNSFSHNRKRQEDPSNQKKSASPQLRQLPRKSYHFQLDQQPKLFQAPKSSERR SFRNSIYSRPNSRLIEDQYTLKVATTNNELGRGNFKFHYVLGKGGFGKVWRVEMIKTRKL FAIKEMTKRVLAKKSVNSVMNERILLSQLKHPFIANIHYSFQDRDNLYLVLDLLTGGDLR YHIGKMRRFSEQHTKFLIASMLLALEYLHKSGIIHRDIKPENIVLDKKGYPRLTDFGIAR MVKPENSQETSGTPGYMGIDVIFMQSAPEVMFRLNHSFGVDHFALGVMAYEFMMGQRPYL GKTRKDIRDAIIIKQISLKKQDIPPDWSSEAADFVNQLLQRKPQARLGYGGTEEIQNHPW FSGFPWKGLHEKQLTSPFKIMKSSDEGHIREISSDHDSQDELIQKNILLLRQETIQNRFE NYTYSKEQHLTIKRF >CAK73165 pep:novel supercontig:GCA_000165425.1:CT868141:497011:500482:1 gene:GSPATT00009513001 transcript:CAK73165 MNKKDKKIQEKKEKEAKRQQLLAALAKSQLTQEQMNQLNSIKDATSSKRDRKHSVGNHME EEVINENKEEAQQDSNSKQQVNIFQTTVYENENPIQIQQHESHQKMSEAERLEIMAKQLF PDISLESFDQKRIPKGQVQAQPRELISEYDPEKDDIYDIDPQSITNYDTLLTDINSFKNQ SINRDENIIKQRAQLPILIQENDIIDAIKGNLITLISGETGCGKSTQIPQFLYEAGFTEF GAIAITQPRRLAAISLAQRVRDETGFTMGKEISYQVKHESSGIDVDRMKMKFMTDGILIN EMQTSVMVPQYSVIIIDEAHERKVNIDLLIGVLSRVVIARAKMNKPLRLVIMSATLRLDD FLNNKLVFPRALNLIKIQTRQYPVQIYFNKVTKDDYVSAAIEKCVKIHQTLPPGDVLIFL TGQKEIHQCCSILNDKLQQGTRKQQIDEEYSNSEEENQQQLNQQDNEEEVQQQQEVQQQQ QEIQQQQIDDKADYLELKNLVGTVQMTQNNAFKIDSDLSDFIVVPLYSKLDLKNQQIIFH NNPTKKRMFVIATNVAETSITIPTIRYVVDAGKQKRKITDSKIGLEKHVIGWISQAAADQ RSGRAGRTGPGYCYRLYSTAVYSNQFQKFDNPEITQISLDHVILQMKSIGIKDVYKFPYL TNPDIKEIKDSLGNLIKLGAMKVKQETNSDNSNITQLGIILSQIPLSPKYGKFLLQTRIR NLLQYGILLVCILSVEEIINKSVFQVHTQIQADDNEQDEIKQLEQEQKQQQQQIKDQFQS YKNLKAFIEKHGEGYKISDLIYLMNIVGTAISQIKDGQDIQYQINQLSQQYHFISKSFKE IYYNLLQILEILNVIVEDKTIVTQCVSAIKQYQRPSREAQLVLAELIVQTNGLHKVAKLQ CKLDPERNINRYYYTTREYEQVHIHPTSIYYGKQNCEYISYCQLLTFGEQHSQITTPKVY MVNLTEVPNKSLLYDLDTRSSQLKKLTIYENSEYYDSKNDRMMCKGSAVIENSWVLEEIE IPFPKDQLGFYSKFARFLYSGEILQFYQILQKYYKYKVSEIRESNMQPYSQKIIKALMFN EITTKNDLIKKWSKDKQFLLQECLDFVQEAYHQLIKSKWPPIE >CAK73166 pep:novel supercontig:GCA_000165425.1:CT868141:500508:501315:-1 gene:GSPATT00009514001 transcript:CAK73166 MLHLRKLPTIYKNDMENYQIRRFEKATHFRKINQSVTSKKKMNRILPQLLMSAQKKFFIE LTQLNERKGKYLIFKYNKRGKSSQSSREGTLNASAQLVMVSLPYFCTNHNRRRFIMKKWK QIIWAVRIVIRYKNILNNLQFFELLNRLPRRRKVSSPTSVYRSPRKRRLGGAQSVDNNFT LATKGYGDETNSSKTSRKLKNQLSDNYLKSTYYQDFKQKDQRLARLRTNSLNQLQNSQQS QQMQTSRTQIVLIRNFRVKKL >CAK73167 pep:novel supercontig:GCA_000165425.1:CT868141:502299:502872:1 gene:GSPATT00009515001 transcript:CAK73167 MANQTLQDQNISKFNQENENNSNYPIMAFLNRQNKQRVKKHLNSKQYDQQNCEFQVEVIN YKTIEKQFTIYQIKVIYGSLYWIFQTRYSLLEDLNSKLNKQTVQRLEKFPEKRLFGNLDQ QFILKRKTQIDFYLKSLFKQGRNEKAVKEFIKQSQKAAIEINDPCELKHFKLDA >CAK73168 pep:novel supercontig:GCA_000165425.1:CT868141:502899:504313:-1 gene:GSPATT00009516001 transcript:CAK73168 MQNDCLKSNPIDMFTFHVHEMWSQYTNPRKYKVSHFNPNNSQIQNKFRIQCPKCRKLTHT YDIKNLLIENNELYLITDSSEMEKEVLNESQFSLQKNQQDAILGRRQSNAYQIQDLSQPN NKNVQKISEMFTVQATHNNPQNAFNHSQFNKKKPNLGSERISPSKQQSKPTQSNHQKKQS YQVPQQQEVAIECKAKTQANTSNIQQNKINDFFKPNSNTPVATPTTIASQLLFQQTDNKK PIQQQQQTIYQQQQQYQQQLQQQSKTDKVFDTKQYYPEEKKLSTPKYSEMIKEKENQLLK EISKDSSNTSKEISDNSRHKRSSTGLIQETEEQASVQIIQSYFKMVQKNVLKLEKDVIAA VNNKQVDPQCIYQKLKMLTARVGDNEGELIQSIKVMQKLHSEIMGLSTPSQHRKTSSHQN TSHRYTNTSNYVKNIEKSDQSIGAMPQELKIYKKFL >CAK73169 pep:novel supercontig:GCA_000165425.1:CT868141:504342:505563:-1 gene:GSPATT00009517001 transcript:CAK73169 MYKQNDYLQGWDIEQSVDSEESEKKEVTQISNITKTQPSDRLSFKKLFLKSPQLSPIRVN PPNHQTTPLRESIKERFVFVNQLINKKYDVNKGCDYDIEQSHHAFNIKLHQPINIANLRI KLNALPKQPQHTKAYTQNQLQAMMQLKRRNLYLRSVLKKVCHESDERYSNHQKGQQQEIF LGYRYMDEDLQKLQSPSNSMNLQQVIVYYIQIAIPQEQQQKTCKGEAIIVSLINFILYIF LIKDIKQIIYLKWQRRRRESPTLWVKLAEITPSIFTKGGSQGNISKKRPQKLSLILLISA RKNMLTEDVRIDPSLNEAVWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQHVPVDT FDGLKTEITKSQ >CAK73170 pep:novel supercontig:GCA_000165425.1:CT868141:506343:508233:-1 gene:GSPATT00009518001 transcript:CAK73170 MNNTLSDNLTFLPKDFQGTENGEGQLSDQQKVKLGNLGMMTPMSNTLTYDFEQMTLDTSP KESEVNHNYYANIDTINEQEEHKCKLQKYQSFKINKEQIRKSPKHKTCQPQQTVPQLELG EQNLDTQIDQQSPVTSSMNAQTLKFIKELWNSDKSETEYFKDMVDPQIPYLNQPIYSPVM DGQSPIYEPDIEMDLYITSLVDQVWGNQIVSRKLQKMIESGSHQQKELIVQKLERVTPQV EKDIFGNYVVQKIFECTNSKLQQRMFNKLKPHFYDLSKNTFGCRVMQKLIEYTCQRNDLQ IIILQQLQSNMRSLIYDLNGNYVIFKMLETFDKLKMEFMIPIVEESFNYMGQQIYGCKII HKIIQQYSQQQIAKIIRLSVQNYNILSQTEYGNYVLQHILQYWIPSQEKAYLVQLVLQQF FQLSINKYASNTVERALEALGKQELISIMKWLLCKSPNQYTSNFVVLANHQYANYVIKKF LVLSDHNVLKYISDHLQQNQYEQTAIKSTIHGQRISSFLDKQIQHWP >CAK73171 pep:novel supercontig:GCA_000165425.1:CT868141:508289:509423:1 gene:GSPATT00009519001 transcript:CAK73171 MKKNSMMLQHIYISLQNLLVYLFQISTNMLEDDDVEIIHSTNTIDNQYEQYQNPQFIQNL KMQNQKLLSSNKSLESEAQQYKGLLEQTIETLRQSQKDENILKLQLSQRQGIIQLHQTNS NEPQNAADIAPLIKLKDSNSNKDSLGNKYTNVSSNYGYMNSSLGSPHTDPYEMFISQAQI LHQSIKKVQALFKQSESTTSHELSKLIQEFEENVNQFAAINDQFMFEIHRTIVDQNEQKK ELDEQSISSDNLHSQQGQYSQIFKEDLDTSQFENEVQIKQQFEYFELSLAKVTEEEKKQW KEQLLQLINTL >CAK73172 pep:novel supercontig:GCA_000165425.1:CT868141:509479:510174:1 gene:GSPATT00009520001 transcript:CAK73172 MQYRSKNEYQKLFDLKTIKYRMETLPSTSRKIQNHSLTNRSQQKEKTIASDVDANSKIDR QTYKHFLNFQNRRPTLNVQKNALFQSAIPKQSSNPLEPLHQQTYKQNQTQNKYQSQRDII LNTKFFVSPWHLHKKMKQEKNHQNQQNELLTDIPTKEKIIYLQSSQTDFLDLIQQNVCPN KNFGIKSKYQVISYAKQQNPQLISLKKDNENFDDDNSECNVVFFRSKKALQ >CAK73173 pep:novel supercontig:GCA_000165425.1:CT868141:510988:515547:1 gene:GSPATT00009521001 transcript:CAK73173 MHTLRTSLLLCMLIHINYAGSEALSLLNQMQPKFQEESDLGSEDLIYKKVTEQLKQQKPY LQIDEEDTKNEVSFREFYKSVRSGKHEIVQDEEEINIVEPEHKEIEIQSYLQELSDGLEP QTETIAETELQTDPEKLGKFKKYFIKEEEPKVEAQVETKIENNQTIEKKMTLREKLEMKK KQAQQPPVMAAIQIKQEVNTQEQVANPSDQNVGKTTLMKKIEEFKKQKQSKETQETQQPK EETVQEQNIKPVHENPLRKLLNKKAEEKKEKEIQQQVEKSVEEKQDALLGLTQEVELESK YVEIEDQINGQENRNVVNEEESLNVDQDPSQSQGETNLEELDQEQDESHQEYIISNENIN INDENIQQQQQQQQQQQQQQQQQQQQNQEDEQQQEQQQQQEQLDIQQIVQQESESQLESK TQIENESQSFKSDSSDTIVQEEVQNQQEIQNDEQINPSFENIEQEANNNNNEQEQDSQIT QTVDNEEQNENQVNQEILQDDQEQQKFEVEQPYDDQQELEDETQNEQQQQLGNENQDEKL IVDEQTENTQDEVENKEIPNENQVENTLSQGFVMENNVETSNYLEEVQEAEKLKKKREVE EIIQEEERIKQELEQKKLEDEKKLNELEEEQQHLIDEKQKEEEQIQQVEKQIELEQSELQ DFESEKDEQLQTQEKEIKKDDIQEEQPQQETLQEAQNDQVDNNSEQAIEEQPVIQQVKIG SKEIKSDEGPIKSQDETLENGFNIDEYERQQHRNEEKAEDDASNYAQSSELFDNRDYTSQ IVNDQEEQVIEQSVPTLQVNEQYTEDLQYEPQIQISQEVRFDDISNEQVLNNQEQDGLTD QSNITVLPITDNQSNETQYISQDQLVEEHSGKKQEQQLSLDNQVEQVNEENQIFKEQSQD SIEDNLKIERSNENIKGTQNQVYSQQETLIIESETPNLIPVDESQSTSYSYEQEADNEDN DVVQFDVYNSSSNIDTQNNDSQIVDQINTLQQPTIEEVKQQEVEDTSNLLSVNKIHSFSL LQQDMKVDDTIKIEYIDLETKQDQSISKNTLIHHKKQQSSFLQLEETEMDYNEEHFEIFM AQKKQQEQMEKEKKRKKLKAREEKQRKLEEEQYQQKLIQQKQQQEILKQQQQLIELQNQQ LLNKQKYKMATKQNNQVILESQPIKGDNFSHLQKQQSQIQLLNQQIDTEFSFQQILSRVE KEQRQGQKQLRSNSKHIKNADLNFFQLTEKQQQNKISLQSQDLFEAIKQEIKECDLNNYT NNLCQLCQSDVVYEINDDNWYLIGIAYGLKRHFQNLLNTVQYHFKNAKIYTSFNKEQQVC LTLGDQFEIENLEEILGYYNNQTLPRNSQFLKIPPKIKANFAQMKVDLNQHKFMNFSLNA AIKNQEHHNGFTVTEQQIKLSQDFDYLQQGSILYPGQSIPYKLNIQSNGIENMQLELNPQ IYEKILENYLAKNYLQQIQVTYRDILKSNFVVVDNDEVLELEITIQK >CAK73174 pep:novel supercontig:GCA_000165425.1:CT868141:515737:517404:-1 gene:GSPATT00009522001 transcript:CAK73174 MQLDDDQDLLACGLSNGQLILLQFSTGIIVQQDHWHAHQINALLFAGASLYSAGQEGVIV QWHLRVARKAFFPRQGGEIVAMCSNDDNLIINIKGINQIKQISLMGQNKLPYFQGIHHVY PNSFITYQNKLITQGANGTLQVIHPNQGTLLHIIPIQQRNYVSDIEDSYKRDRTTINKFA MLDRFLIVVLSSELKQTLSIFENINSFEFNLVTTTDYEIEGMDTGHNSIITWGNGEIRIW KQIKQAKGQFNWNCVFRGHGYKGRNILTAYIKDETTIVLVTSMSILHINTKNNSIIKEVP LDQTTPEVAHIYQSGVLVCNGGTITFYQDTKKQIITQFGKAKSISKLNDFLIAIQYDNEQ ALIVDVKQSKVLHGIASQKLISATINGRTLLYSYLSQNNHYKYVTVLSTERKASLMMDEQ DTAHFEQTEQPEKPIIEDAAPLDPNVYRRLQLAKQARQIKELNIKEFLIPQSQMLPSLTF LADRVVDVLKQDLSEQQKHQSYGIDLNQEEQEGNQQKTSIQLHQLKKLFK >CAK73175 pep:novel supercontig:GCA_000165425.1:CT868141:524006:526449:1 gene:GSPATT00009524001 transcript:CAK73175 MLFFIIRLLVLITVLQRSPIQISIFFIVYLYLCIRLEFYANLFPYIGLVFVPLMFKIDKH EQLLSNLITEFFKGTITNAIIIIDAQTKQPLFHTNQIESEFNYSIANQKHFINTLEQFQD STHKTLSSVFEEQKLQTTSGELAQYCKLQEISNEDYSFMDSPQHKKVSTFIHDEINEDRQ ELVIESPKLQGKILQNQHSNQFNDDTPQLGKKSKFGQFKDSQYHFDTFNELKQKRLNIQV QHCIWDYKEAFMISLKDLRNQQMIEILNDELEESRRQNENKDQILATVFHDFKTPINGIS TIVEAMEEKFDINSQQKYYLRIIKKNVYLMLYMIQDILDFARIQKNQLRLSISDFYLNEV IEEVVELVAIQAEQKGVVITTHYDLPTYQIYSDPNRIKQVLMNFISNSLKFTESGSITIS VSSHQTDKSQHIVRTGSSKNVLSQQQNQQQSIGQLRKQLSGRSVKSSNGSNRMIYTITIA DTGCGISELIKPKLFNMFATFPSKEVQNKCGTGIGLMVCKKLIRLLGPSENIDLWSEQNK GTKMTFQIYSRLSDDPKRSPNYISVFKQESSSKNFNIDSQSQFDDQQSIQQTFVRSSLLY VYSRPVEKHDIDQDLDMLPEYEDIDQQKFHNINYMKNQRSPTVSNIKNKEQALDDSQDII DPRSRLQRILQNKPNFSILIVDDQPFNVLALKLLLQDISQNITFLEAYNGQQAINKLISF QKQKNIKYIFMDLLMPILNGWQATEMIKEMISKKQVDELKIIAISGYDDENEQERCGNIG FDAFITKPVKLEMIAEVFVQLEKV >CAK73176 pep:novel supercontig:GCA_000165425.1:CT868141:526587:527196:1 gene:GSPATT00009525001 transcript:CAK73176 MSYEKKDVRLYFDEKGNVGYAKFQARWDGLKKLKVNLGNKHFTQQVVEKAKILSREDQQR PLDIMIAGLTNDDSSGGIAATRPEDYDFFLFYLETHIREYQKIEGQTKQGMTGLSLLVNM FLQRQIQNLKKNVAGYNLPSSMDKDERIKFENQMVELFEKFCFPEKYHSLTSGHKTLFQI KKLMFIETSTSFSLV >CAK73177 pep:novel supercontig:GCA_000165425.1:CT868141:527214:527519:1 gene:GSPATT00009526001 transcript:CAK73177 MSNGVASDWPCGRGIWISEDETKMVWVGEEDQLRIISIFQGNDLSNSGLKFAEHPPYGII TTCPTNMGTGKDNLFQEIPKYYQRWKG >CAK73178 pep:novel supercontig:GCA_000165425.1:CT868141:527589:527750:1 gene:GSPATT00009527001 transcript:CAK73178 MDSEGTADISPSAGFGVTEATVTKRLYKGLIKFQEIQKNAVNEKERQNCCNKF >CAK73179 pep:novel supercontig:GCA_000165425.1:CT868141:527841:528797:-1 gene:GSPATT00009528001 transcript:CAK73179 MFSQNMSNFEQTHEVMQQIDQQLNDYLQSRGIVNNNNSDRQTNYDKLIQQTQQRNQSLQE SNNQIKKQIQQVQLQMQNIKLDFQERLNQKLQLQQIELSSQFEQKVQSFGEKARIKLMNT IQQEDQCLQQFNNLVNEKAQLQQQLENKQQQNEQIQQEKIELEASYQNLLSMHNQLLQID MSQNELSQSRTNVLGLLNNYKQELEQLKLANEKIQKQMGGELDTLKIELQKQKQENDVLM QKIQSQSQEQIECDNLFNQIMQQKAKDDQVKKKKSKCKQQNTKQQKAKIDQIEKELKRLQ EIVNTKIQQKKQKQQLQQ >CAK73180 pep:novel supercontig:GCA_000165425.1:CT868141:528845:530304:-1 gene:GSPATT00009529001 transcript:CAK73180 MQYQQYSMAETIRHRDSVFNDGQSLWCYNLQNLFKQKNQRTFFVKTLNKSKTKNLSLGDS HIQYSKHKYISLVNSFLKILFKHNEVVGFVLEKNDHSIEIYGDTQEWIDSFKNLTIQLDF TSTYKIVKKIGQGIAAQVYQATNKTNKLNYAAKIFEKKRILLEETEIIAINKELHYLRLL QHQNIIRLYEVFENKQHIIFITDLYQGGELHHSLSRYGLEENQVAEVIKPIITAISFMHS KGIFHRDLKPQNIMLKEIDAFETISLIDLGLADKFTKEGKYLYNRCGTPGYVAPEVLQDK KYDLKVDVYSIGIITYLALTGKEPFASNNYEELVQKNYDGRISLINLNVSENCIDFLKRT LCKDNKLRLSSAEALEHPFITFGKGNHIFQTNKGATTQRMSMTSLRCSTDASSENGSIPK TFRGKIQQYMNTFQTLKSDLNQEAKKEKEENLKKRKEFCIRKSQLLKIKSTNNKPNFE >CAK73181 pep:novel supercontig:GCA_000165425.1:CT868141:530431:535787:-1 gene:GSPATT00009530001 transcript:CAK73181 MSEQQQLITTTDQEQQVIDTNSNALVIGRSGTGKTTCTILKILSQQLLFEISYQQNIQQE TKFNIVFTTSNSLLVNEMRKYFKKLLSFGNSRICENYKSNNYNNLKSIEINQVRILLQKL NKQFNSWQIDDKQSFLNTKETDFPAFLPINQFLILIDNSLKSPFFQQIKFNKRKIDNAGW QDNESVFNQNIINQNEKYKLNQFKTDLNSQQQFQLYEVDHEYFCHSFWITVRKNNFNLEE EISFCNFVWCQIYSIIKGSQFSHTYPNRYLPEQIYLNYQQQQEIDQLTLLKVYSYFMLYE KWKSQQGYFDQMDLVNYIIQKIKIYEYQGVNMHFLFVDEVQDFTQATLYLFNLLAEQRIM LSGDTAQNIVKGVGFRFQDLKQQIFGMKDFQTYSLTINFRSHNDILQLANNVISIIEILY PKTIDCLKKEQSADSGPKPTIISSKDINSILYLMQGQDDGRIEFGCYQAVLAKNHKDIPD ILKHLIVLNIQECKGLEFDDVIIYNFFDDDSIPQNQWELLKSLTIEQIDGENKLRPKPNL YAVDIKTYQILCIELKYLYVAITRARKRIFIYDQNPNSRKYIEKIWTELKLVNILIFEDN SDKSIKIEQAIIIKNTEKEWDDQGIKMFQLKFYEQAAKCFKYSKNQSMEYQAVAFLLATQ AQQGLFKLESQISSNAQIKKNNTFHQKQHYFDLFQQAGEKFIQAFQLEQGAACYFSGRRY QLSLKYYLQAQSWKSALTTMEYVNNQQVLAGILHWRCQNYERCLNSFESFGNPILFVSIL AFLKSQLNQRLFQAKFHIWFPLLIQKLSLLKPSDLTFDLSLLTENVPDYIQQFKEICQQE QLLDQIFNFVHLFQDDILLLMTKIHINYNIEVSKQILKHENSTSQFMIKLKIQLLQDIFT YYKLDSFLALLYECLNIKDQTKRIIGMFYQESLLFNNENKLQISRDQTIKRDILKIVPCN WTTKNYLQPTFQLCQLGFYESGIQLVQKILSQNNLSQQQFKYCKEDEAEVNFVFFQTNSQ IGFDNIISQLDLYFQQGKCLEDQIKYIDGFALIESMKSKIETNQALTQDEIQFLFQNINP LQFQQQVNPVIQNKQLLVGIILLKIIVEIDRIQNHVIYQQLNQLGHVEYQHFIRFIQVCI ELCKYNQDLHSQIICLYSIQCVFSLRIASKLTLNQLSQSIVFIHKNSILFKFNLINQNAC KLIDNQKLVYQFNSKEVLNIISHYLKEFLLNYSRKYFYREQQNISKAPFIIQHFTYLRVK FKGNIEVPEGIKKFSLFQEVYYDFFLEKCVKFQIIDSLFTIISQCAEPRLILTKKMLAEL STYYFFKGLQETEMQQMHNYIMIGVCIQNISHEQHLSLRFIEHLKSVQKQTYLDNYIHYI NYLQITNHCLSLDACKDYFKFHDLQKEYLQPQQILKDLSLITLILIFYNAMYNNVNTILV TESSLKFLQHNLTPENYYQIKVQEQNSSKDLNIKIQKELVEKIFEQIKNQLDQRTYSIYL RLLSSIILNAGLLWDGLSERLFEMAEELEYESQDQDFQILAELVLKPLDERRNYLSPFKK LCYINFEYAIITGKEIEDYLETKYKKRFLESSFLDKMNFQYQEHFKNKFQNIYIGLRLIL FRPLSFKNGQIVEKQIEQKVVGQLYEKIDLIRKMLLETLYSKLIDNGAHFIAITDELRLL SNLEQEVDYFISKNERQSNKKIIEPSQLLKELGQIQEQIEKWKLLNQDLNLNLTIMEYSF QKQKEEEQESYELLQQRISQILK >CAK73182 pep:novel supercontig:GCA_000165425.1:CT868141:537292:539892:-1 gene:GSPATT00009531001 transcript:CAK73182 MDNFCTKLHPRAFAGICIGFLNNYCQNQSICGLQHFSIEQLRDKIQQHPINGIRQYNLCP TNCQDLNCRYLHPPWLKNVCLQCLNKKKQCKGEPALIHKVTWDKLRDIVYKEYSISGANP EMFCDEINCNCPAKIYNFDQFCIKNFKGICPMLDGRCIKPHKSWESLQENSLQKVKEKAI VARGCIKFDEKDKSFIKQQQKQQQMQVFIEQRSLLTMVNQIELRPQVDIIFIIDCTISME DWLIAAKHNIKFIIKEFTKKISVSSCVRIAAVCYRDFTDGPNHIQYHDFTVQPEEIEKFI DKFQPKGGEDIPEDLIGALDVAYNLNISKHPDSILQIFTITDAPCHGRKYHSCLIDDRPD TNNLEEKLENFVKKKKRFFFSFISIKQQTDKMEEIFKKCVPNYSSAKITENKFSDYVLFS LSATMHKSTKIEDDNDFIFREVKYRKQQQMNYSFEARNGSYMNQFRSQMKKTKLQKKRTL LQIEKSELNLQNNEKGQNVQVFKAFDQKNNIYVIKKNQFITEELQVKSKNYAKNKYQQQL IAKQLSHYFNQQCDQQKGKFLPIYYATPYIYYFDLPVFGIKVIYGESYIDLDIPWQKYSN NADFFDKEYNFTTFSHFTYVKTNENLIITDLQGKSNLLSDPCIHSKEFEDEGNCQELGKN NFFQFQHQKCTPLCITLKLGKNILQADASQLGQYEQISDVFGGKTDQSKLQKICANCNCQ EKLENLINFQEICSGCLEKKKQEDVCKCECCNEEFKIAFNYQQLQETFINFCKNCKNSEC NFFNQQCHYCQSKICKQTEKTIQIQNKTYYICLDAFHYLRQIKCIRCLKEYQFQKLLTKE EYCDNHYNYICNACV >CAK73183 pep:novel supercontig:GCA_000165425.1:CT868141:544031:544264:1 gene:GSPATT00009532001 transcript:CAK73183 MKSSPTYEESLRLKAQLRHFLEKGNVEDPIVKTLFVWGSRPKDGESRKCSQLEGWLSDGQ IKGKELCDERAPKNEDL >CAK73184 pep:novel supercontig:GCA_000165425.1:CT868141:544575:544859:1 gene:GSPATT00009533001 transcript:CAK73184 MIRIRSDTFHRIRLVYVYEHRHRQNKVNENQGKKVQLKQLNREIAHSQGICSILQKSKRQ RNPKEDNRREDVRLRVPKRFRDRKNSSFNKLRMP >CAK73185 pep:novel supercontig:GCA_000165425.1:CT868141:546714:547940:-1 gene:GSPATT00009534001 transcript:CAK73185 MAHHLQLFQQIKSFYKAEGYGTGAKKYILHFQGGSRIGGQTYDQLLKSALTRSKTILGSS KNLNQQMFYHGWFERTKTANEYYYNWNMIHLNYCDGTRYKSDPVEYNNEKLYFRGDQIVK SWLLDLNDELQKAELVIVSGCSAGGIAAYFWVDYIRSKLSANVVVYGVPDSGIFIDMPAI DGTDNQKQSLSLLMELVNSEVTHPNSECVKNNQQQEWKCYYAQYLLEYIKTPVFIVQSLY DYYSLSQLFKVDCSDNYNLTYCSQDQQDFSQTLYSKTYDVIMKRKQNFQETGGFAPSCLE HCFLLTPRYDSSDWEVPGQSGNTLSNTLYKWFNSNQKYDDNLYMDGVEWPGNGHCSNSEI SNYQTQIQIIIIIIISVIIS >CAK73186 pep:novel supercontig:GCA_000165425.1:CT868141:547983:548320:1 gene:GSPATT00009535001 transcript:CAK73186 MPICIIKLGSGRFFSSITYIILTRQIATIYIKGFNILQYVKLLISGCFTPKDHHFVNSFI STFELNQRILILVNGQFNVLIQNVCFKLIDINLTNLCINLKKQG >CAK73187 pep:novel supercontig:GCA_000165425.1:CT868141:548894:552393:1 gene:GSPATT00009536001 transcript:CAK73187 MINSQQYDEHQNSQRFDTQFNKQNQTLLRLRLSQQQFNHTWKLSGFKIIAIVLKFISAIT KQKFQNSFNMLNHQFFKLLKDSTADYHYYLYRGYFQQVNPQSYMRKIDKTFNFLQCNFRR NYIKRILQQFLLEPDDIIIIVWNIYFLLIVTINVFYVSLRLSFIEIAEMNWDLKDFIFEQ LPSYSLIFEIIIKFNTCIYSKGVLIKNRKRLIKRYLKREFLIDMLLIIPFFIGRQLDFFY LDLVILLKMIQISKLTYSLFNRLELTKLQTTIFELVKLIFFILLCAHFSACIWHKLGTWG NWGNITSVTWLKQQQLQDSLWIDKYIVSFYWSIVTMTTIGYGDITPVNLTERLFCIIMTL ISTATFAYSVNSIGQIFQEMSKQSSQFKANMNSLNKYLKSQKVSPTLQIKFRRYFEYFWS KPSQELIQFQDQIPQQLKNQMIVEINIKLLKQLDIFKQFSSSILNTLCLQFQEQQLQPDE YLFKSNYRADKLYIFVNGQIQLQILINNKKSLIEKIKTPCLVGQLNFFLNTEYNFEAIAT KNTKILTIDRESLIAIVKQSDIDYEIYKNFEDDVKLCNKYDKISNKCSICSRSNHQVLYC PFFRGCISKTKVLYHLRHNIFQHRQFQSRNNEYRRISTKQYQFHIMESVLQYIMQNDELC SLEGIKKQFQAVMKQQQQYEEKLFQTQSNQLTNQISLSQNIPINYKSNSSQFQNQNFQKN NSIIQNLEVLSEKQNQFGILSKTQDIRLITTLNNQRIQPILEESQKAEGKDDGNNITVNI SQQFPQINKQENSILPSNETGSNDPYWIQQRSEQKCHSMKWNFEKQRTEYVCQKNQLQSF KSLHSEREDYCEKFPKQFGFNKLVIATQMQKKEIQEQQMTKNSLNDYIYSIEKLQEKIQS RANKLEESHFGVENQMSQSVRNSTPKSNIEISQVNINNDQQQSQEKDFTNQFLEDLMIKN KLRFVEKQVSFQESKQNQHLHFPEQEFKALEQSMITQYEKLKQKEEQLKQQIDRQQTVIS HNKEQRQGLGIKITSDDSSDEDSDGQKLIRGQYLEIFNGFERVQEYQGYYPNNNISQILL QFQKNEYREHNFMKKRNVKKDTIMNLLKQAQNMIRKSQQ >CAK73188 pep:novel supercontig:GCA_000165425.1:CT868141:554558:562053:1 gene:GSPATT00009537001 transcript:CAK73188 MIFKNFYNLQFILFLLISSQEISKKCICGHVFSQTQCENSGFCIWKNGVCFLNYGRAYIS ENQDESTCKNFAEEDCRIQKQCGFHLGNCISFVDCIVFNKEQCYQSSYRCVSDGKKCVEM LECNNYKTELGCANKNQKGGYCFWVQEMEKQCRDVAMCEELPNYLVSHMMCKQGLDGCTV NEQGYGCIKQKEQCTKYINDFQCFESNKKSQNCFWDLKNNKCVEKVCENLPFFQDYECKS YLSECTSNGVHCIQRGQCSDAENRIACVTDSQGNKCEYHKNQCKIKSCETASDSLKNYQQ CQEYDNLLDCVNSENGGCKQRPQTCEGYFGLIDCYSIQSEDCIWYNNRCAKRECFHAPLY YSHQDCKKYGNCIGKAGGGCQQTPEVCDEILQEQFCEFDYNKQRCFWLEGICILFECSIL KLPTYKSHEICQEASSNCTFNIDTLGCKEYLCENIQEIEFCEIDSKGTICSINEGCIDKK CLTAPPNYDSNQQCEEWLPYCTVNVQELSNSKVLIGCVNKKKECQFALKEQCHSTFSGVK CKWDNVGKKCVSQICTDADPNIFQTNTDCNSFKVDEGTCIIGTSGFGCQLWLTSCNDLIS QQQCELNLQDETKCFWTGSFCKILECSDASRIDYTNNIECNTWLDYCIYNSTLGGCMDRP SSVACTSSPNDLMYDTHIECQAWNPKCTVISSFNPEDKIALIYIRQRNCKTNFAGQHCYW DDILQKCKGQNGDTDCSMRVYGDLTHQNCENFLQKCTTWDIGNRTCVSLSSKCDYNLEQK CVITKSWQPCKWDLKNRICKDVICSDNLTAKTEAECLNFRKLYQCQLKIQSNGTFGPGCE ARPTNCTLITNPVICKLTLTLSNEKCYFFNSKCQSVPSQQCEVIQDSKSNEVCQLYNPYC VLQSSGQGCYSISSCSDLSSNICRSAIMKFNIKCSYNGVCGVKDNCTNISHSNCDGEKTE LGQLCYSYTTCYKKNECYYHCAQQTRQKNLLYDNTTSIPQRSKQCQDYSSNYIYVISCQC CQNISNCSQQLGDQQLCNSSTLNSKQKCGYNSQTNTCEIRKCEHITYVNSPVISDYICFD WMPNCVLDAIGCITYTGNCTLIKLIQQCYQENCYWQDGKCVNDVDCQINTTAVTTRECLL VNANYCRFNYTKGLGCSFYNCYDIKKEIICTSSNLVDGQNCKWVNGSCSPRSCVDYTLQA DCESSYGSNGFAITKCFWCLLNSEKCSNNKYCNSTTMVSPNSHQDCNSVNSLTTLTFQST IICIIKSLLCSNYINEGACFSTINGVDCYWNGSSCQNKCEAIITFPTTNQQCYDWNANCM LNGSSCQLLNCSLLVVMPDCNIYTTKCFWNGSSCNTIGACSLYSTSTLCSNTSDSKGIPC FWDGIQCLEKTCFNIPTAPSSNVDCNSWLTNCQFNSNTNQCVEDCTSADNSYVTHDQCES YYFNKKCTVKLDIIQCVDLPFTCGLAKEMQCYLDRYGNQCYYSILTHTCLVLTCSNLDSD FTTHKQCNQIFEQCTVNNTLSGCQQLNDCNSYLIQEQCYVDQNKIECEWIQSKNKCTMKE CSSAQLNLYTAHSCRQYFGDLCSVNKNLNGCETGQSFCLDYNYKQCISDGQINLKGVECF WNQDKSTCQERICVNGPSNASTHSECANFLSTCQKGGCRVKGCFDYQYAIDSACASIFED SRCVTNGMRCVLRKECKDIVIEDGCKFDINLNPCVWIDEKCHSKTCQTASVALINHEECN SYLPYCTVKQGGGCTNKQSCKDYQIQEACFTDRENFECIWDANISKCFSNQCTHFCGDGI VTGQEEQCDDGNYFPYDGCYKCQVQCSQGCNICKGMICQECNKNGWLLVEGVCNSKCGDG IVVGNEQCDDGNNFKFDGCYQCSYQCDEMCLDCFQGQCILCQEGYVEDGYQCNNICGDGY LVQQVEQCDDGNHLNADGCSDNCRIEKDWKCQTENDVSFCYYAIRPKIILNKLTKADTSY QEFKLSFSEPVCFNEKDISEEQFLQLIFIQIIDAKNNQYDIEIKPIISITTELADVAYKI LINFKTNVKDPVLKVIINNDNIVNSQGNTLFSKEAKLEFRSPYKLSDFQMSLISKTSMLS RIVLYFIIFVSGISFLSGNLEILWNLLDLLQQLSYIKFHNVEFPQNLESYFQIFTIGSFT PIFGTIQLDQNLQDLFNYQTPVILAKWKFEYYQINCYFLYNFQTLLIMLIMGFTYYIFSY LFLKFLVLSNYQNWPAIYKKNYYYKATKFIFFIQRLARHYYQYFIYSGLIRIFTSNFYEL TYASILQLVNFNTETTLKAIISYLALLTLICNAFLLFSFCFYLSKKNVVAKNLSVLVEGI KDQTNQRTKQYFTILLIKKTLFILNLVVMQGLVEAQSLLSAFISGAFFFYCCIYKPFRNR FENLKIIITEVLIMLNVSLFSLYEILKLNQNKESAEILGWINISGFTLILLSTLAIDIYQ SFLQHTKFIITKVKKCLKIEKKKSEKSRFLFF >CAK77370 pep:novel supercontig:GCA_000165425.1:CT868264:396:3343:1 gene:GSPATT00039197001 transcript:CAK77370 MNNSFLIEKPEDYLYTNIILLQKSSYLKSSVIMIKSESNLSIILLDNIKLKDNIFETILN GIIYFDVAIFQKLTILNLDCINNFIKEYGCLHFVGNNSVISKIRIKNSNFLNNYGTQGVA IKVTDITLNLKNCQIVSNIASTQGGGLYLSITSKDFSIVKSFIVNNQAYEGGGIFLEKDL NLNTQNFQKSYLWFNSAQNYGNNLVESPTHMTLFINSKEMAAKIQVKDNISTCILKIQQY NIIEQGRFVETKQFMIPSSQQINNYQLFILKGSFYISYIKNMTLYFTNSKGELQYNSISS TCDVSNDIIKNDGGVIRGQTKNQTLKYESTTNNFELASLNFSLNPYESSYSNLQIKVGCK TPYSQNELRYIINSKSLKCQLGEFYIENGCQMCSQSQGFYSVTYNSIKCSIFDKQKFQNI TSNMINLYPGYWRPNYLSDYTESCYKNPLFCQGGWGVGDITCQLGHVGALCEMCDVNNMK GDGKFFKDQSNFSCQSCSKNDNIVLPLLFALFQSLLSIALSLKSINKSNSLFAQLKIFIK FSRVIFKLSQDHEGILVKMLLNYLWIFSVIFTFNINFSFSFIFIEQSSNSFYFMVNNLDC YLSNLETQLIYIKIFVILILMVLQFNFILALSLFYNFITKNNMDNSLLSNTLLYLYVFNY GGLIKMLCSSLSGRQISNIDYIQGDVSLLYNTRDHQLWIYFLILPLLIVFGCIIPFSLFL LTYVKRDILYKIKLRKHLCYLFNEYKVNNNKGEQIKQLQKALIILKLLIGQQHLLKTAQX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXEDGQQFLRYLNLNKYCIQTLENYLIKEMERK QKLKRHFLRLKEFLISRQKVLQSNQRTIIKKIHFRSISSSVHNLIEAPYQ >CAK63842 pep:novel supercontig:GCA_000165425.1:CT868029:3:416:1 gene:GSPATT00033695001 transcript:CAK63842 PQQKAKLVGHSNTVNSVNFSPYGTTLASGSLDNSIRLWDFKTGLQIISSDNNYTDILAQF SLQIFNNSSLQESVNSSVTILLISQQLIFQSQGALILKGEFIDQAGIDLKTLFQYKGSCI LENQIGLQQ >CAK63843 pep:novel supercontig:GCA_000165425.1:CT868029:478:804:-1 gene:GSPATT00033696001 transcript:CAK63843 MKKQSQKKLKEFVAYVKLSQLSRQQKEMELMSKAWSEYTIRQGQINHYYRYQHDVAEFAQ NQNKLNIKEASRSGIFIKIQGQKFIYDLVQNFSAGNLGKLSHQVHCLG >CAK63844 pep:novel supercontig:GCA_000165425.1:CT868029:2255:2406:1 gene:GSPATT00033697001 transcript:CAK63844 MLNLPAQVIRSQQQGGLQNYLHPYDQYFSSQSTEIESLKYC >CAK63845 pep:novel supercontig:GCA_000165425.1:CT868029:5235:6372:1 gene:GSPATT00033698001 transcript:CAK63845 MDFQGIIFAFLNYHVIFLRIETLIVLLILVISEVLNYQINSQVHQLLGIGSTFNCQISNQ SLSSRFYLMMIVKTQFFFQKSLLLIQLFSAYNIQNTHLVGKFVFQLFLINMILSQSNNQY QMDLIDMFRKIPIYNIKYKSDVQYYILGKQQQNLQKLQQTEQSVQMKFLFINSNSAKQSF KQDLLINIKSVLSKTQTSLLITKTMAGIKIYNYLIQLRYSIKSQDIQLSQLFRASQQSGT NKYINDAKLPNFQSKMKLISLSLGNIQQLNDKVTRSSKGVAIKLLCHVDNSIIKAAQLMQ QVKTYTHLVYAINNQNQDKKYIYQDSKEFEANQITEGQDTIFWNSEQEQIKLRCSFRFDI IYNPKYTRCK >CAK63846 pep:novel supercontig:GCA_000165425.1:CT868029:7596:7979:1 gene:GSPATT00033699001 transcript:CAK63846 MIIIIQCLDVQLLQRCRQHSCRGIYFTQNMQNFTHQMASLFLFLLLFKKGDQQLKKYVEV YENFIGNSQSQVGNCQFLGSTQLVGYFIYFHLYSYFHRLHKLNRIQQILNMLFLTYRTIC TITTISD >CAK63847 pep:novel supercontig:GCA_000165425.1:CT868029:10117:11285:-1 gene:GSPATT00033700001 transcript:CAK63847 MDQSANITLKCQINDHNDSINAICYHPYCNEFRFHCFKCTKQGIHRNHMNDVEHIGSLSE FVENQNKQFQNLKDTLNNIIQGLLKSSEILIKGIEEKYYLQKERIMILNLQQLNDYLSSA IKFDEYKQSILPIITEMTKKLDLQFSNLKEQLKLSQITYLIDYQKISAEFYQRGYNLYCS GKFQESIEQLNMSIQLDPSNFLALGCKGACLRLLDKYQDALVCLDQAIEINPKHVNSLSN KGECLRKLGKYEESLIFLNQALQIEHYNTFPIQIKGQCLENLGNYDDAMICYQKFLKYKP GDQRVNSLMENCKQICAQKSKK >CAK63848 pep:novel supercontig:GCA_000165425.1:CT868029:13617:14279:1 gene:GSPATT00033701001 transcript:CAK63848 MNKILNTIDKIDIFGVPINLLTNEKTSVFQSKVGGIITLIAGSVSLSYFLYVFVYWISNL IPPNVSFKQETIGYAQFHIQSAMIDLMLQDFSGDVDPFRKQNNIITPHLYAVIDTAIIDK PIPLFSSQEKPFTISFENITLVLNHEIIPDQDHQEMKQYLIVLESCSNETLQEMLIVLIR KQLMSIYKSFMVLVFKHQIKSTQSFNKGIRRIQQAILLRF >CAK63849 pep:novel supercontig:GCA_000165425.1:CT868029:14308:15039:1 gene:GSPATT00033702001 transcript:CAK63849 MLKQQETVIDDGLLFNNYKSYQFLNNYELINQQVDSFFSSNVVSSMSKQPYHFTNFGCYL FRLDSIQVKELVTMPKLGQFLAQVGSIVQLIFMLKYIALYYNNKLLENQLLHEIITMYYP ELKNVKLTIFNKLEIKESIENKLKCSIGDFRLKYNFLLERAKEKCRFNNILYEISRIQFI IQQRFGDSVLQQSHSLGGKLQNNYNEFQNCKETNRLAIKPENSIDLENNYNVLDPLEILS KQP >CAK63850 pep:novel supercontig:GCA_000165425.1:CT868029:16080:26502:1 gene:GSPATT00033703001 transcript:CAK63850 MADKKQQAQAKPGVKFQDQPAGVQQVENKPQVIYKNATEQRDQALKQFNKVQTLFKTYTA SDPQCVRKDTAQSLKTLLASLRDCMQVLNIGTFEDKNSNYYLIYNGTVYIFDICRVLRKS IYQYIAIDFLAFCITSMEGCLVLTSIKYLDWRIKLYVELAHIYHSLQSKACAYRTIDLGL QKVQELRELEEMDPPLQDYMDKILKQNTRLLKILELKFKLQAGVFTIDQWKKKIEEFQQD KEARCLAIIESLRFSPPNLSNTVKQTHVGNQLKEQLVQVSFDMLKNDMERIAIALEQQMD KITKTVDFKSKNILDELERNDMTKKRREFEASLIKEKEWRALADGLPLEYQIELAHHAYD VGNTKVFEDISNSAYVRCKYRRIEVPYIQDVNILISTNPYPNIPNGYDKIQIDINEANLR TELKRLRNKNKGDQQQQQQQTQKKDEKKDNKKQPQQQEQEKQQTDQVGLGVQATDSELAQ INHNYIYLVYKKSMTPDNAIYEIDVVMADEEQGPVEKMNQGYRAIAIPIKQYTGVREKYK TVPYLLLKHTMNELNDENEKMSLLVGIKPLFGKNPLIRPDFGYQKINLDLRQTPKEFIRS PGMDYIYITYKTDKYYFVKERELQILIHFIKLEKSYQKEVSSSIEDDSRLELEICYDLQS LKDLMDMISSCLVGPLGIHFLKEKRDFLSQLVFLLWRKYYLPPLYQMQFIQELLITQELS RQDYSRYEKVIQQAREIFKNGLLVLNEILFKIPYVDIILLCKVNLSLAKFLEEEKEASVA EENLKICIDRIIQHRNSLTVRGVDSQKDLFLPFAATCSNYKIEEMMNRMREAWINQKNQI NREIRIKNRSNNKKQQLEDDELNEEEYELLEAYQSLLGDDNKDTSTFQIQQKINETDLII NALHADLTVALYRCQLKAGLDLQKNSIKKNSLEEEVEGVSLAIQKKMSIMQGETAQTIKK NVNQLQSTLQKEGKLKPPKPVIHQFETEIMNQANKNPYANCLLYMLLASVKQKQTEQRSF LVDSLKFLQQAEKEEQLHIENGINDAIFVMSTLCDNIDTQSTSNNVFPYSMLYNPLYIKS TQVPRKPILISRNSESVTFKLPPFKPKLLDMIAIDQAKKTITSMAIFGKISANGVNVSLT CNDLNNTGIRQKIGAIVTMNGLKRNEKYCFAVAAYDGTEGVSNGIGETGDDITTLHPLPL PLLASYLCKVAYQLSNFDICEEAADFCITQFTEDSEFIDRQLHNELNPIHIKRLLQQRIK SVSLMEIQNLTETLLIKAKCLQKKIANTGTQAQKHLVLLRICNYLLLSLETSLSCRHFLI AKRIAAELYNMLESFQQEKPLQVFHLLLKAQIIIVEIPKQYWDANLRILSAKFTYEILKV CMKLNEIALGRRVITAELQSFNRKWYQVPKIIMIEQVEDTKKDTKKDVKKGGKPQQVDEP VQPPKQVPKLIRELYEVNSTVQDFLEETLLAMNDEFGDYVPSFVEKWKEQIDQLIPYMEN PSDQIDKIFSELSIRLEYWECIKDLSTAATQRLPKQHPIYLEMLCKLIRRMIQSNIDYKI IQPLAVFNDQYQPSVIISNDITSIQQKCIQLDIEFYPALLPELIEDKKTNKVGNDEAIQL FEEYNTKKAQLYEQLKNEGQTINRFGIMSKAKRFEYVQLWRSELSFLKAILSYKSNRIRL VDVDCFVSVFNLDIEHLKEQINEHESQKQIKQQQQALQQQKEQQLQQLQQQAQQKQPPAK QPPGKQQVQQPVVELSEEEELIQLSTQIIKNVADACGFAVWSKQYIVMLNHVKFLYNFLL KEQITPFIHQGQCWQDLCFIGNCINMLIREVKDHGWFQVKEQAENELALQPHLPQQDYVF EPPLPNTEPIRPSLLTQLSKDCWFSKYKEMDLLANIMAYIVQSLMVEQKWNSLIGISRQF CNLTTHYYSQYILPFTIHAQTILFKESQNKTQLKQEELNARTQAFQVWEQTKKKKTRSSL LTQEIPQEEQDYRADKEMLLQQIHLLQHKQDFIENQLKVSEKLIQEINRDANQALENLKQ ARKLYEKFAIDDELLSKESIQLEFNSELFLLKQTTIDKKQLQLMDQTLKQKKKNHKQFGM QVISKYKLTCELLHKRQEKFAQALALKELGSLNFAMQNYAQAEESWSESLDTIFQRIFVL KSSAFRQIIQECNKNNQLLAQTYGIQQCLIGVTLCSILAYNCYYANCHQQRESAILASEL VSSVLKLQMINGLDWQAFIHSKEVAIGNIFNDRYVLDPAELALSCERCAWLLLDRDEALR AVPLINLLGELSNRLQSNFYSVRAKLLRSIALSSIGYINQAYQSLLQIANEKDLPDQSSS LWQSRISGKWWYSNLEWNNSIPPYDEKHTQLTEKILKELELTREFGLKYGLQNENIFNYA VAVLVYNIHSGDIIEKWEINDLRCKFLIKVESILRNTLNKLNQEEQIEQRILTKPKEEIL ADPKMEDYFHLQGTSKQVGVTNNQEGLTYLQKREERMYMMARSRNLMAKVNVSMGQITRA LYIFKYAIENLYTYTLELSANENGEEVENLPWDQLKPQGVVEDKKGAKKAPEKKGKEGKE SKDKPVADEVPAVKTDAQLKLEETILQIVEARKTRHSLNIFYWIKLRTELTLLLYRHNRH EEALEYMEALMNDCKQFNDNYHQRLIIEYQARIQFKKGKQTESIKKFKEAIEIGQKNFHQ DPQMIVLYGDLGEIYHEKNEFQEAKQQFYDAYQMAEQLMQMINYGYGVVPNWNHKCGQEK IQICQDLCVPLEIEQEILKKTDKQIKTTKKDEKKKDDGKVARKGADKQTKKDNIDKPQMP IKGLNQLPNFNFIQPTKLTYTTVDIDTINNTQYQYNCYLKNIELWQRASLRYVDIMITLN QANENSSVSIDSQESSVASDSESEKSSVKDTFDINVISEIVNKIDTSISKSINVPISFRL ELHYLQSKIYKIRFVQLLLNLQQKYFMKYLSGKNKKYADFYEKRPHRDLVRNKYFLLVPP FCQLLKEQGLQYLMQAKESLLKAISVIRGEAVLFEFNRKPEEILVAMAEICLFIREYRVR QGYRYVKVDYLQSLINSKNTEQNKIDLEQQLVSMERSDRLEQLNLELEAQSYLKYAIELV KARQELLENFATVALTPLPDVNKLALEIYAEIIEQDYQYKKKYNPILFEESKKKVGVSSM DVLQFIQKVWREAKVMTFSGAYLQRMISKTHRFLKLHMQSYQKCLITSLEVAPKNDVNAV FIDEGTIVTKLLYNPVAHEFKVLYVLGGLNKDKIITSLQKDDKDKLIISEEKNVLYGNIY ITDANISMLLQDALNLQSKMKESDQQSQKMKERDYKHHKKAFYKLIEQIGFYFFQKPSTI QMQNGQAPESVKKSVAFKKSESFMQEQNEPDILSKYEAIVPELTHDNIAILINLFNDQGV LSINHNYLAILRYFHHQKYK >CAK63851 pep:novel supercontig:GCA_000165425.1:CT868029:26614:27924:1 gene:GSPATT00033704001 transcript:CAK63851 MDDLLEEKCSQHDLEFIAVKIGLDNQDQNKYLCPQCLIELINPKELILIREAKRQIQIKK NQISKSIEEECKQKINLATNLKNSLQEIKSPFEQLFIKLNEEIDQFKIQNEKQKQESQII TPGNLDTDLALLADEETNQLQQKTQENDLLLIQSLLKQFQFCQISSLSKQKIIEIISKCI EDERIQNAKLTPSLKFRCQNHGQEIIMVQLDHQNKKLEQYSCVQCISENQGKYMTLQQLQ KSIQDYYQVSEKSLKYCQKLRKKHTNEIIKFCKELCDKYVEIINLHIKELEENFKSFEKI IETNLQFKDHNIFQLELEEINQILLPLCQNSNYYYQIIEQQIELDKQLYVKFEEQIQEFC EFSREKFNLIKLEQEDHQNILKKLEEDQQQDQLYLDNEINRVQSKYMKKHPPAKKKRNL >CAK63852 pep:novel supercontig:GCA_000165425.1:CT868029:28880:29948:-1 gene:GSPATT00033705001 transcript:CAK63852 MDGSAENASTSIQINLVIDQQCNSIRVNEQQKQVIQQIKHLAGQGSHMYVTINLFQFLMT TIVVFTFHLYFHCFLIIILVPDIQSFQHNKQINIKRKSKTIFKSISILTHISNIYFFLLF LHSKFLPPNSITPLIFTKKLPQGGQYRFKGTQPLWVNALESLLSVVVQLYYKSDQKLAEF MKEKGLIDSKKELKQEKKPGRKKKILDNDSPNQEQTEQQQQQSTNINVYSLLQQDVLDIL ISPLRNDFAFETWTPKEIAIFECGLCRYGKQYEFLSHLIKTKNAQDIIQFYYFWKFTSHY KLWKINKAYYHRSNLNNYV >CAK63853 pep:novel supercontig:GCA_000165425.1:CT868029:30980:32190:-1 gene:GSPATT00033706001 transcript:CAK63853 MKKQYGNSFILDTSIGMNNTIYLSPNTKNTMTNSFSMKTDIYDQNLQCNLFLFLSIVHGN HQLNKLFIQRCGNKDKFLQMLDTLQYSTLLTKVLQIVNQYFTETQSQTSEQQPNSNNKSW YSSDQKPTQKQGSNDKFQSMIKKIVTTDVDPLKSLINRVQEQNQSQYLSSSKLTSTSQLS NKLSEKLMKTNILKDQLKEKITKLAMQNSQNQQYKIIKTESDAQTYPTLMRDTPNQTISS KEPNFHKPNRISFGQQQMFNVLNDDQIIEQENIGTNQYPLIDSSPKFLHHQFKDHTIQTS ETKGNVYLQNDLSNDHSLDLTQEKSRRFEGTFGKVKNRNIKNRKKSNSVSGNLSPQRSDR YNHEIVSIDQIQHKRKISINSVNRRYNILTNEQLQ >CAK63854 pep:novel supercontig:GCA_000165425.1:CT868029:32214:32966:1 gene:GSPATT00033707001 transcript:CAK63854 MDFQSRFKGKMTVMNKDGVIGMVSKPSIPIAKNNQYMASQDEISPTLPTLGHYTEGIRET KSHIFTHIQSSPNQGNSTNYAQKFSNAGSQVVKQNHVKTEHPYYLDDPKKQQNQYQFRMQ QDFNQLDMDEYEIVAIPKTMLGQLRQQMSVDKYGLTRSFGPDKKMPMEFSQLSPQITTQC PSYNQTPLLKKQNTIDQILKPPLNKQKSEKMEKKNQIIQQAGIGTLRYNSYSKR >CAK63855 pep:novel supercontig:GCA_000165425.1:CT868029:33140:33843:1 gene:GSPATT00033708001 transcript:CAK63855 MSKRLTISKFNPYNTQDVPELERVETYRTFYKKRFRKLQAEESPVQQVAPQSILLKPGQI PFKRSFQKPKDDIIDVKKLQLQLITNSQICELWSYKYNHVVQKVSKLNNVSEILEIQRQQ QQKSQKYKTQISSDQIPYNSNFFSEKSKLKTTISDYTLPKIESLSSFQNPLSPEKIVTST QSTKINNASEFKRKLKDLIDTCDNAQLFRPNKFSKI >CAK63856 pep:novel supercontig:GCA_000165425.1:CT868029:34004:35059:1 gene:GSPATT00033709001 transcript:CAK63856 MHHERSKHSRADLLHLFFPNEDNFSRFCRRLKKCKSTFLGCIYQLTHQTIIDILISLATK GCRVDIIMDLNSEEFEERKQIIINKLLVMSGFKVNVSLIESKGLMHSKFCVIDGKLTMVG SANWTYQAFSNNFEHISIISDTKTAKQFTESFKNIWDQAKQAKFIDSQIVYQPNKNCIEF KSYKKNTLKLRIKKRKFKRRFRQQKQYQRFKSKNKSQEKQRLIPELKVKEIGQYENSKGF QQSDEQQKMQFTNSNKTQDFLENLKLNEEQKYDYSDFAKKVDNNTHTIKYTNNNNAHQIS TPWLIQNQQLFFPNIIKGPSKQKQSQKKEQNFIIIDDEDVQIITDFSKDNL >CAK63857 pep:novel supercontig:GCA_000165425.1:CT868029:36806:38927:-1 gene:GSPATT00033710001 transcript:CAK63857 MKTIILVLLSVQILLAQDPWVTHYTAFTSAEINDLDGNDVEINNQVGLQRKHLKETLLVS ATKLVWLVDMELLVKGATALKQLALPPHYKLKINVQLWKIDSWDNEIMFVLVDGFIWQAK WHYSEGANLCGAANDWKEAFYNIEFEVPHNSPTVSIVLTSNLDEDALNESWAFRDFKISF QRCHSECAVCGDNKPDNCFFWTNVATNWNKQISLEGWTLDGEGKAESNECAGVQLFGGYG KLGRKANLWKRFTNLPPHFQVKVKVQMWKIDSWDNELFLMEIDDQEKFRQAFAYNEGVDL CGVDTGAKQGEGWAEKIVNIEINVPHKFPEVKVLMKSTLDEPPENESWGVRDFQLFAAQC FKGCTGCTGPAKSDCTSCGQGFDLVNGECKEGIKWMTLNRFFFNDEQDFQGLYDWVPSSV FQNQNPFSTCGQKKLFGGYQRFGSKAKAERNFNLPKHSRLRVQFQFWKIDSWDDEKFQVF VDGKVVFERSFGFSTPGQAKICGAPQSTWMTYFFNVDVIIEHSNPTANIVLSSTLDQAAD DESWGFREFQLLYELKEDCVELYTECGFKGTKFEVCRDTPSLAREKISQVKSIKIPPGVV VQGFDEEVYKGKTVKFSQSQDCLEEIQFSFIQKKFEIIQADDSVLAANLRRIRFD >CAK63858 pep:novel supercontig:GCA_000165425.1:CT868029:39140:40300:-1 gene:GSPATT00033711001 transcript:CAK63858 MIFFVILVSLLLLILVLILWANYNQSNNFSAQEQSQKIEEQTCQLIEKTKLNHDTYKLKF ALPSKINDLGIKVGQYISLHHPNKYELNNMYFPINPIDQKGKFELLVKIQSELEKDNQKG RELEKDNQKVSEFEKDNQKGSKIEKDDQNGSEFEKDNQNGSKIEKDDQNESKIKKDEQNR SSFNYWIEKMIPGDSALIKSPLGSFFYFGSGNTFRIQKPQRITAKYKRIMMIAGGSGIAP MYQIIQAVANNSSDKTQLQLLYANKTQQDILLYNELKAFEASKKIKLHLTLDKPLASWVQ FSGFVSRSMIERAFGTIDKHTLVLVCGPPKMRQLVGQIFHELNVDSNNFYMFT >CAK63859 pep:novel supercontig:GCA_000165425.1:CT868029:40320:42369:-1 gene:GSPATT00033712001 transcript:CAK63859 MSKNQEKLKKELLEQVSRDVQSAQDPQKEAFKLVQNANETFKQFESALKKPLDDEMLKQR GSSKKNVQFADTIKSSAEIDRSKSVENKKEEKPILQPQIKQNEIKAIVPERQSRATKKEG KPEKPIQKTNPKQEKPTTAIPEKKPQQKENQDSKKSNQPDQSQKQQTIVPQQPIQEKERA NQYQKQVISESESSSGYDDARREKGHTCRKHSKEREIANKYEEIIVRNQESAQQLQMQLN EQNIEKTKLITENTKLTSINDMLSQEIRNLNKQLSQSKMQIEQLDKQLYDLKQASRSELL IVKDQLQESKFQCAELDNRNNYLNKAKDTFEQNLKQFEAEVGRLQKINLQQTQAAAQDKL AILEKDGTIERLQKLNHQLSVDLNQINTLYKEVNEKHKSLLAAYNSLENQKITIENDLEF RKKDQQEHLSTLKEKIQKLTNQKSVYDEELAKMQQLTQDAKAERDQFKLQYEQMKVLCSE SEELRKRLKLREVENEQLQCENDKLKWKVRKDPNGILSDLKIMKLQAEDPNAKVSMEQLS KLDNQVRQLQEENNRLTAENKQLLKQIQQTELYVQEVKSMFDRERSFLEEKVFHKEKEIN ELIIRHRHEMAEIENKYQDLHRRQENENKQRQTHQNYQQQSYSQYNQAGATQQSGSKVSP YSVPQLQAKIKSYI >CAK63860 pep:novel supercontig:GCA_000165425.1:CT868029:42450:43364:-1 gene:GSPATT00033713001 transcript:CAK63860 MRKIGPYPKYQFKYSQDDYPYNLEYKKSAQAQKSIDPNQLQEFYIDITPTTVQQVTDELS LSILQGIEAGKDLIYVRFAETGGHCIDLKNLRLINTLNGKSRQIYLDVPLGRTLLKQHHK AQISQQPSASKLTYTQFHWFESIDGKYRPLHLSINDAIENFYQNACQTGISIYEFTWQQH RFIVDLKENTLENTNTLTINHIQRRNIEKQYQEAPKKPISRGALKEIVWQYQIQPKSYEW NDYDKDNTDALEKAYQKYCKNSTKTSTLNVIRNTSKYYIDFDKMIEYNLFNKESRQIRRF VQEG >CAK63861 pep:novel supercontig:GCA_000165425.1:CT868029:43412:44804:1 gene:GSPATT00033714001 transcript:CAK63861 MMMNQLSLILFISILGVNGYIQTERWFEHQLIDHYDRTNTQTFRQRYWTVEEYFQPEGGA VLFWICGEYTCPGIRKERLFPVELAQTHKALIVVLEHRYYGKSMPFDEDALRLENLKYLG IRQALDDLAYFQLHIVQGKFFGVRESHPWIAIGGSYPGAMAAWYRYQYPHLVVGALASSA VVQILTDFPKFDTQVYLSALKSGQQCADDLKALNQYAEDNLDVIRARLNAQKLKDDEFLF YFTDAIILKIQYGGRTKLCNDLKGKTIEEQMDYFISRTLVEENPESYGSYYLKDDVYDEH NLRSSRQWKYQCCTEVGWWQTAPEQDSLRSDRLDLEFYRQYCKDIFGEELKLWPDEDLGN AYFGGFDLQVDNIIFTNGDEDPWKWVSIIEEKGKFNVYHINCANAGHCVELYTPTDQDCD QLKQARIEISQIFGNWIREHYSKQEL >CAK63862 pep:novel supercontig:GCA_000165425.1:CT868029:44857:46146:1 gene:GSPATT00033715001 transcript:CAK63862 MNTKDYSIYGNVFHSSQNAILKPTQEGLFGTMSIQRIGARLRVDPRDFHHRIKLELKSRL DSGQSNLPAIDSAIAQGKLCYYRSRQCQNQIDQITITSQQAQQLKDVLPQFIEESNLDIQ IRKKIPQLDLNQINSSTSNLIQSSQRQNKLQKSPSQYQFNTDRLQNPQSTTRIKKQLLPT TLTQQTQPKSPNTKIVNVKLKKQHTEVVGKSLTHKKRLQLQSHEDLNRKVSSHSFTKHKN TSSSPINETTSIQRQVSQYATGQHQLKTTSSGANIQIKALLESQQSQKTLNTTIGPNDQN TDISIHLKNLISFCNQSILKKNQECYSSKKDLSTQQTNSSILQLKENKENTQPIKKSTPL LKATEHTMIKLTELSEEDNPETARFNSDDDVRKLTNFNSKGLQKLLQSKTNQLSEQSSTQ SLLSLFQRK >CAK63863 pep:novel supercontig:GCA_000165425.1:CT868029:46179:47581:1 gene:GSPATT00033716001 transcript:CAK63863 MLRKLLVLKLCNRFCFAKFYQFSKLDHFFEKFEMDKFDSDTQFTPTNGNFKFSKILESLE KGKQSLFNSSLNDYLTTQTINFEQLLALLVALHQTNIFCNKSNKEILLKYINSNLNTINI GVLQMISHSLSLGIADQQTLSLYFQHFLKCNLKRFNHIQQFDMIFIISQAVLKYQVNLDN SEFANQWKLLLQLLLPHLETKKDWLVKKFPHLAFIIKSAGKDDAQSFFIEDLINLFKAMT SKFNQQAELIYKLDSKEITILLSSVIKIRDRYLTQELNILIDNLIKYLIRYDFSKFNDSS LQSLALSITHFPSYQSQFYELLVDQIEQRKQKGKFNTKQHISIIFELSIKWKNKGSNVKL VNVIQSLSVIEQSDYDLAHYFQILTTLPLEYIIDLLKSIEFDLIQRNEKIAQDQNYKKDS ISVERMQKSLLKIEGQVKQQILSSVNLQLIQKIQNLLK >CAK63864 pep:novel supercontig:GCA_000165425.1:CT868029:47612:48145:1 gene:GSPATT00033717001 transcript:CAK63864 MQQQTVEDLAEKEAEIKEAFEIFDKNKSKSISVQELTSVFRSLGYNFSQDEIQSMVKELR QNQKAEGAEDKELDFDDFKNLLLMQEEKAKSGEDDLRDAFEVFDRDANGYIGLEELMMVA KSLGENISEDDLKGMLQYAANTSNQKDDDKDKAPQINLQQFVEAYLK >CAK63865 pep:novel supercontig:GCA_000165425.1:CT868029:48186:48950:1 gene:GSPATT00033718001 transcript:CAK63865 MKSPQHQEQFKQVKQNPLNNSKSKQLYTFPKSPRFNYKEQRDNSPSFYSIKTDLVSPNKG VSFGSGTKVDFSKLGTKTPGPGQYQVQQQKINQVTNLKKHTMGVGRTQTKQKSEVPPVGV YEVAQNLVQVNKAPHFGLKLQPKNETCSPGPGKYDIQVKEHSKSFVAGFGSGRSGQTTDD TPGPQEYRPRTEMSPKYTNSNWTNCQTAKFSKVQRFGSNKTITPGPGNYQIAGEFGIY >CAK63866 pep:novel supercontig:GCA_000165425.1:CT868029:49404:49978:-1 gene:GSPATT00033719001 transcript:CAK63866 MDSLSLFKKKQQQEIQQALAYERHIREKELQKSQLVLRSCERMQLITDRQLQKLKQSQMS VVKHQQNEHRFKSQESDTIRTRPKIELEQEAYERWISNQKRQLKRIETEKLKKFESKIER AEKIKIMKEQEHKDKQKLRIETTIQKEQIHNEYTHRLHKLQKKVYANQIKFV >CAK63867 pep:novel supercontig:GCA_000165425.1:CT868029:50329:51123:-1 gene:GSPATT00033720001 transcript:CAK63867 MEDSCVLHLLPQSFLPLGNEFPRQNELSDSSSSQGTNNQGEMSFTQNEGSKKSQGTKKKI SKDQPQNMKKNQGHWSTQEHQVYVEFLKQHHNTTMQNQQNRKNNKIFKLMSMTIGTRSPS QCRSHHQKFNPFTLAGQKRNKKNKKRINTENITFASQAQQYYTPEIKSQLSNELL >CAK63868 pep:novel supercontig:GCA_000165425.1:CT868029:51503:52091:-1 gene:GSPATT00033721001 transcript:CAK63868 MIQQENQKYKFSSKDYINPNSSFKKYVKQDNTVEDEQEILKEEIHRQQFSTEDYYESSQI NSVNKRGKIQKKKDKNHVYKSETKNIPKNFGVLLKKYLSTKWRENEAIRLFLNNGENKKN FSRQDFTKLFNDKTAAELSRQYFSGFQIIHDLMISEKIQDVKNHLKYISKFYNSTYNKEE LDELKLQ >CAK63869 pep:novel supercontig:GCA_000165425.1:CT868029:52168:53651:-1 gene:GSPATT00033722001 transcript:CAK63869 MDKQCSICNKEFSFIKQNKSKCKRCGLVVCLDCNKNEFKISSSMLKPLKKEKVCLQCKTD CLYVEQQLEQFHQSWNKESQLQIELFQESLNPEQYQQLIKISKVQNNFKQNLEQIKSDLI KCFITQEMFNYSFQDWICHSINQMKVNQIFDDIENLLIVFLYRNSQVGYSCELLQISIVL LTQMKDYAALQLLEQLYELMPYEYWPTSTQEPNLQTLVQDFQLAFKIDSTQISQIKKFME FFSTPNTQSLFIKGLNFSCFHIIFLEFVQQMNFEVIQKYLIVVAKSCLKSFGQFGFDYKS IGKQILNKTDYKSITQIKSLLDQKLGNSQLTFSSPKSSLIRKRNSVCSIENSSFIDTLPQ LMTQQNSQREIKVEDNFIFNFQNDQNLQIIQLMQMIEQRKKELYELQIKNTALKNQTQNI KQISKQEHEDYLNMVDKMSQEIQEEIAQGVQIYYQK >CAK63870 pep:novel supercontig:GCA_000165425.1:CT868029:53691:54663:-1 gene:GSPATT00033723001 transcript:CAK63870 MFMRVLIIWIQIKRFVKENKNLEEEMNTQNNEVLGEKLENVEKENSFTYQEPHYQVDQEM LEEQQDDIQLQVYSPQQLLQFKKQKPVHFDEFILDEDPKKKVCIQWQNSIVEQSEHHPIG DLERLAEQLQRENHKKFSYPKDLPAPQNEDIRLDDLSMRFSPSIKQDEFISNYVKKDDLL RIKVGLCYEILNDEETLKFEDWVEQLCTSTNYESLKEMARKQKVKRYLEKKHNRTYEKKV HYHIRQKVAEERLRIKGRFVTWGQALKMLNGQDQKKPSCQSDYIKIKDMMNERFVGLKSK KSLKF >CAK63871 pep:novel supercontig:GCA_000165425.1:CT868029:54814:56209:1 gene:GSPATT00033724001 transcript:CAK63871 MATLGEEFQTILQEVDNARLDTNNLETALLKLLSFDSKSEEHLKHKESAYNKLSQLYCKQ HKPQLVFQIMKTHDFSGFNQTRAAKIMRQMIDQVAQLEGTIELQVEMCQFLIDQCARDKK NYLKHKMQIRLATLYNEQEKFTQGIEIIDKIVVEVRKADDKHLLLEIYLIESKLHFGQTN LAKAKASLTAARACSNTIYCPPHVQAEIDMMAGVLYAEERDYRTSYSYFYEAFEAFNNLE DKRALGTLKYMLLCKIMIGATDEVKQILTGKHGLKYAGRHLEAMKAISNSNQKKSLIEFT KVLDEYREEIEGDKVMRLHIKQLYEVLLEMNLFQVIQPYSKVQIDYITQRMQIDVEIIQR KLSELILDKKIDGTLDQGNDCLILFDTVKHDNLYQHSLSLITNLNGVVDKLFDRVKVK >CAK63872 pep:novel supercontig:GCA_000165425.1:CT868029:56614:57315:-1 gene:GSPATT00033725001 transcript:CAK63872 MIRPFHSASFQQISYVPPLHQLRRSSCHCSHCSSSNIKQITLPNQHYEILMHRKIRLANL VIENFKPTDKYLRSKNKYKNFRIFSHNRQQMMSPDNNHSPQKSPSLDQQDEIKAHSSNQK PSPMQSQYLQSKQSNSYFLNHLKTQMTRIDKNLNQLIQTSRQQMTEPDEPLITTKRTKQA IIKTIKTHHPYQSAYSIAINKRFVPPKKMHTTPSNLLARTQQSLSKYAFNFID >CAK63873 pep:novel supercontig:GCA_000165425.1:CT868029:57359:57745:-1 gene:GSPATT00033726001 transcript:CAK63873 MISNPMLESDQNNQTRSGQSQGTYQIVKSNQIELSVKNKLIEQETEYPKVPQSRKTEKLF CTKCNQVVESDVQYEMGRCSYIVVIVLIAGIITAILAFLPCLLDNCKDAQHRCSKCTKLI GTKQFMCG >CAK63874 pep:novel supercontig:GCA_000165425.1:CT868029:57812:59431:-1 gene:GSPATT00033727001 transcript:CAK63874 MNCLRKHLLKSKTYYLEVLQDQMILSEHKTMQNPKYVVLFNFESRVMWKKSEHEQLCCFG ILYQKRIKWFWGVHKELNLLKMYLSNRVFFGNISIFYESSQIIGSGASSKVCLVKRNTDV LYYAAKCISKKYLTGKKSKDRIARLQSEIEILQKIDHPIFVKLLEIYEGDNSYYLITDYY EGDTLYNFIRNTQTDSLPSYIVRDGIKTLLLGLQYLEHMGLIHRDIKLENILLAKQNEIK SLKIIDFGLAIYENTQTKLSICGTPGYIAPEILRADIKQNEYFTTKCDVFSAGVIFYKLL TKRTLFRADNTADIMKANTQCDIRLDELEQNVQKEALNLLKLMLEPNPNIRPTASQCLEH PYFYCQLEDIRILQEILDKVTGCSEFTKEHQDTHSVPDESQAAQIKKCPPTQYRVRVEQR KRTRSPRKYADFHFYLPSFCQMNSFESEGNSSNSSKSSKIENIIINEKESEKDSVIEENN KFQQ >CAK63875 pep:novel supercontig:GCA_000165425.1:CT868029:59431:60240:-1 gene:GSPATT00033728001 transcript:CAK63875 MIIYYIFGLLYCINASFDYPTACDCNEHIDKEACESHNCYWAQSECKVAECDQRSLQNCV GASQFLSQNPLYCYVKNGRCEKLARCEDLQISQTNVGQAREQCQLYQCAYDLVSGYCFKP EKCDDIYDQFTCDSFLIAKSKPLEIDSICYWDRKCKARNSFIDNCESIKDRDVCQQGGCQ YQDEKCKEIDCKSRSIKECNGEYVDHKGKVFVCYENNDECEQIDTEKLHKVVCNSMIGHT YNEKQCRKCISYADHWK >CAK63876 pep:novel supercontig:GCA_000165425.1:CT868029:60263:61040:-1 gene:GSPATT00033729001 transcript:CAK63876 MNILITGCNRGLGMLLAKELKNNHVIATARDIHKLKQALHDQKHCSLEYLDVSNDESIKQ FVSTIKQPIDILVNNAGIIETQLTNKNNLASQVFKVNYYGVLNLTKAVLPYMKENGKIIV ISSDLGKLRIQNSVIQEQLNKCNKDQLEMIVQEFIQNIDQRNDFGEWYKEYKGIYSASKA LINAYFRHVLSKEVKQQVFCIHPGWLKTDMGGPNAPKEPEEGIITSLYVINKKINGTGLY FNDKCKMEEF >CAK63877 pep:novel supercontig:GCA_000165425.1:CT868029:61098:61578:1 gene:GSPATT00033730001 transcript:CAK63877 MDQEIKKLEQLKQQEILLMQQIYEKKINYLNTKIQLLADESKQKDIELQNLKNSQSENQT EQEIVIQETPSLDWQKQKLNDEKDKELQKIKAQYQTDLQNIHQQMRNRDLMIEQMKQEIK MFEQFSQQNLDHQKVQFLSQIIDVTNELLVQL >CAK63878 pep:novel supercontig:GCA_000165425.1:CT868029:61885:63049:1 gene:GSPATT00033731001 transcript:CAK63878 MKFALLILICEVVYLNAQQCTEQSSILNYIFNSDKPNIENNEWNYQTITSFEQCKQGITT DTKNFEISQVSLLSTKISLDVEEIIELVINKTQGPLVQYKTNTIKLTSWGVNNIARYSSQ YLTIGPAEHNFDFWAKAPDAEIQVYFVQDQFYQNCEVEPLYAVLSIPVYVISETQNIKYA ININVTNQLNVIGSFNFKQNILGAYSSDMTSFVSYKAPINIPPCGNANWFVLTQPQFIKF ELLKTLLQLNAVDALQRMNLDGTYLNFIKGRFIYAGEDDMKDYDDNVEWAATWVASIIPC LLFALVVCIYGQYEISNIGRYKRPQAKQPEGMEQESLKQDDAADKKLT >CAK63879 pep:novel supercontig:GCA_000165425.1:CT868029:63056:63467:1 gene:GSPATT00033732001 transcript:CAK63879 MDQPKKTLVNPETNAYNLSGKTHPKTSVFVAKIYLKRFDVVEVHALGDTISKAVRMAEQL QRQNYVTIEKINTFTQKFEDNRSKVKIIVTLKVTADGKRRVNEEIKQ >CAK63880 pep:novel supercontig:GCA_000165425.1:CT868029:64518:67902:1 gene:GSPATT00033733001 transcript:CAK63880 MNLTQLESIFQSFNTYKTPPSQWTIDQIRNFCDHHKLEWAKDILRIHNNKQLNVDHLLLN NVLGAKQKRHHDKVQDKISILEAQGLQSDVIREVCLANQFFYRNFSDKNIQYQIIKLKLW SFILNMYEANRQSLKRLQGLHRSKICTRGKCCRCSGPEGKCTCELLQEILQQINCYTKQI FIADKTIEHRRNNDIYIKSNLIKLLFTNTQYLPKESPLFWDVDQVSVLLKIVKLECLDQL FRQNLIDGFVISVLGKCPFTETSQLLKLFDFNLSNNTKIQNVRLQTHSKLTQLQKYTYIQ NVFLMLFYLANTLNQTIYNCLEIDQFQTEGQQISHFDYYDLFYFKAKCPQIWKKSPDKKA QTSDSLETFKVFNLEDGRHESIAIDAFRSNSVNHVKPNVDHQKIQKASSGIESSVRQRQD LIYCQKELEQRAQNISIGDAVTTETTTTEATPLIDSSKSSADNQKNMQESVYFPQNQEMQ ESVYFQVPQQQQMQESVYFPQQDVKPMQESVIFPQNEQRQMQDSVYFIPHQQQMKESVYF PQQEKQEKQLEGSVYFSNKMQASVAFPQNQTQQMQQSVIFQDPNKNDLGQSEMIGQSVMM GQSIFINNNNNNNSNNNNNNNNNNNNNNSNNNNKVQDPFNKSINIEHIINEVQQDKKVQE FNQKSKDTKKEEIQNRTPTRQKRIRLITSIEQATLQSVIMNPFILNVSHQMKIKSEQFHK ILLINLIGATFGRSQDNQYVLQDQSKISSKHARIIVVNDRFHLQDLGSKRGTFVNANKMR IKKAMVFYIGDKQAFQILEVNEKEGTIVLAFDKELIDTKQINLKIGETWCIGRDLKRTNY QFIGYPIHQSLSQIHCIISYVQKDGVAKFIIDDQNSKNGTWLRLSDKKLLSEPQLLLRNS KFNLAFEIQYEVIDENKASGYKKMGMMTSKTVYQLTSILVRIVNQHLVLKRRKMRKSKHA IVTSFDSHSFLNLIQLILTSSTELLIFQHSLQNKNILEICQKPTGFTYSNCHHYQSLNLY QNMGYLQYIRFVVENFYFQNNYFRTFQRIQTKLGDQMNQTFILRNWNIHLQWISQQLIYL HIYILLSTIN >CAK63881 pep:novel supercontig:GCA_000165425.1:CT868029:68018:69412:-1 gene:GSPATT00033734001 transcript:CAK63881 MYIDPADTKAWAEELKEYQVNRKQPIEFTKPKFFTQKEMKLKQTEFNPVLQTYQSAERDV KEKERDYQKTSTLAQKKMEMVKKYLHEYDLVNLEQVQGIERPDEREKTKQCLLPPNFNDY NIITNEKKSEEHYKNMKVVVQKKVYEKPVINRNKRDFNIVTNKFLENHETKQSEKDQATI NGINEKCKKVRNYDIVQGAFYDEEKEEQYQQKLKQDQQQHGKHFNDRFPPSWKFRESVYL DQTKDIPEEIKMIDEINRNHKKRFEIRHVLENEYREKDLQDQLRTQDRLIKRHKYDDMIK NYDKEFDILTLQKPEVDHIIKALPPKPPLNSWDKVQLTKSPSDAPLKEISIPDGIEFQDR GNSLQDTQSRVRFPQVLRQQSEKSQKSAPKSQKSVIQSQKSNPGQTEIKSGGFF >CAK63882 pep:novel supercontig:GCA_000165425.1:CT868029:69576:71614:1 gene:GSPATT00033735001 transcript:CAK63882 MKVCKAILYFAVIVICLSAFTGIYLGKPNKIARGFDSDAYACGVDPGLEDYPYAYFVNPV PEYKYRIVCVKQCPTSDTQELDCAPNTQIPDCKKLTSVLYPEKMVSYYESFKYKGNVCMP TSLEYYQGVKDFSSPGMLGMIINDVMQTWHIIALISCFIIFVCTILLDQLKANTTYVVWA FSLFSLLIVGIIGIYFISQWNFARNYETEQAIINNFKVDEDYIYKMTNKPNETLNFVFGC IFVLTFLYGTYWLYKNSDRVQDLADIFEYVEQFMADNQFLLPLNYVFLGFLISFILLLLL TCLFLGSTFKLKSDSNLGPFEKIELNTIITLSTSSVIIFVIWIIYIINGCYLSIIFSNFK IWVNNKISPNQPQIGDPLELGMLILYCSNAVQNLNLQVISKISFILLFSPVKFILDFIKD TIDNLFKVRCNPFKSLSTIEEVLYYEVDLNQDDIGPAVQKIKDSLEINEDGEALNTFEII RNINDFFLLIVKVFACLICVSLFLAILLIINSIKQQFPILQQSIQINLYQPLIPAFIVGI IGFQIAQIYFSQYSVVQCFGYVYIAAQTEAQNEYNSQKNNHQGPNKFGIGDFLQSNTQQL LRYLNLRNKFPELKQMIERSLPDLQRRNQQQQNNV >CAK63883 pep:novel supercontig:GCA_000165425.1:CT868029:72148:72390:-1 gene:GSPATT00033736001 transcript:CAK63883 MQTKQIQQLNDNASQIQLTIKNMQIVITRIDSERIKLQSQFSQHVINVEYAVFETPLHSS QGQVALCQLAIKHSLKSFKA >CAK63884 pep:novel supercontig:GCA_000165425.1:CT868029:72506:73672:-1 gene:GSPATT00033737001 transcript:CAK63884 MQSTKTILLLSVLLATTLCARLDFNSKECNIFLEKFGTADNADGSGASKIAFAGDVSFIG GTANVKVNVRYSDVASFNDPKYYGLVQQDGNTPEQTCLDMKLFKYTSSAYADKSEVTTLT IVPSNNFQKQWRYYSFTIKGEELNQYLVQTTNSNQFIYNGYFAIAYYAAGTDQLQYTFFF QFSVTIDKATGSALDTAFKPLSQTSTAQCNPDQCTAHADTSLKWCKDLTCTEFVTPNLHL NDQFVLQQVINTKGMEGYYLVNPEVWYTGEGLNKKASIVSFSNSVKGQLTLQLKAEIAWT KVVIKVTSVLSTTATGNRRLLVQTTYDTISGETDEITCIKVEGEDRCATCAEQKEANGYS SDACNETEESLDSKQIFIGALVLLAMIL >CAK63885 pep:novel supercontig:GCA_000165425.1:CT868029:74995:76089:1 gene:GSPATT00033738001 transcript:CAK63885 MKQCFYENRIIESQIFYLLVRLNNNGYASYHFDIKIKSNKVLNNFHNLSCCSWRQRSWLK LRLMTEILRQLIFLYPIYGQGIANIKQSITGLSPQIQMNNRRRKSMPFIPQSDIYVIMFS LDQNTSLQNALHVWFQLSSQKQSAQYIFLGSESGKLRQCGSYNEIIKFIRNKMIKSSPNL EETQNEQSLKEIRKTIACVDDFIDESLPENVHYLEIKDYQNQAFKRVLINSIQNVISNDR QTLYSISAPISPNMMPQLRKPVSEFLQTTEYIERQEHLKSVKEKRQSEIVETIQVSYSLH IQPIQTTNNPGFLTLEQSSYSQQVEKETGFCCQLI >CAK63886 pep:novel supercontig:GCA_000165425.1:CT868029:77065:77884:1 gene:GSPATT00033739001 transcript:CAK63886 MDSIDDLTCKLGSVTSDQQTNDTLSPISLRKSDILSDLKKSIEQNTRSLFALKDQIYHFY ETMRNMTIKENSSYQIASLIFLSEYQDNSSQKIENVKQILQECTNLNLKTYFIILKNDDR KPNNKELRQLVNQFPCSLSIIDTQSQDLKQELQTITKELRIGSFDTRLFVHISTNKSMKI YFNDLINSLQTFEKGLGQNDKIYWSSCQTNERFTTIEIQDEPQDEKRIERRNKRKFILYG FILLVVLTIICYLLYVVIITFKQ >CAK63887 pep:novel supercontig:GCA_000165425.1:CT868029:77896:79767:-1 gene:GSPATT00033740001 transcript:CAK63887 MLTNSYAQSMYINPQQQVAPLPFGYTTNTPTNPVYQPQPPIETEYQMQVQPEPHREQIIV QQPMPNKTITLAKPKKYSYLIDWLLYILPIAFLIIILLIMALLFASKVKHFNPEGAHNTL ETLKNNMQLAPIEDIVVATTSSSASASTLNMISYFTHDAVECPDGYGRTHLGQWDGVSSG CICENGNISHSLTCFYKSNCKRVKSHDPEIFTTWQQKQYCTKLYAEWKTLEGAACETSYK QCGNVCVPQSKNCPLSGLLKDNSRQNDRNAIKIGTDNYIKQFENSSPIVSLEVVPGIGET DSSPCYNHNLNPKFQSAKYYPLAKRPEIGCDNYKDLQSHRVTLNTFSAHQTYQQNGLTDV LSQLPFYQNYEDNSDTYALEAIKKIQINTNEVCQKLSPKDIDQISKSGQRVYKSERAMSL IIIISAGIVLFLVPILYLMKNRIFQWIDMTDFHQPKFLCGIALIIAILCIGLGAVYLNEV DGNNGLKEHNVQFSKYLEKNCFPDEGLKLAISQVNDFSKNTYNNTYSFVIAAFYASIVFI VLLIILVAYQYYTHKSLFDNPWTPRQQEYSEFH >CAK63888 pep:novel supercontig:GCA_000165425.1:CT868029:79805:80257:-1 gene:GSPATT00033741001 transcript:CAK63888 MCVTQINLNLRYLIKMESRSYNFSTAKKRSTQMESKVIFFPREDENAPRTIILKQECPGE LAAFKECVVRTKDESKCMQFKDALLECGKPAFRKANTTPDYQF >CAK63889 pep:novel supercontig:GCA_000165425.1:CT868029:80275:81848:-1 gene:GSPATT00033742001 transcript:CAK63889 MKCDFCEESTPLIGNNACVIEADIMRHSLFLKYSQSQNYHYTKGITEILHNYRTANNILY KDVITYDSPEELLCKIYKCDAMYEKLQMLGEYYKFHNDIPRLFMLPAIIPLNYYHDKKRR LEYFRIAKLIAQENKNNPDKPPKGIVGDSPMPQSSQQMTAQDPSSSDEPMSKCEKILEGI SIIEPKPQFVNFKQQLQQYKLMQSTNPNFKYENYKPPYKEYIANQTSIPKGVAKKKNQSQ KSDQLHIVICSVLLIQTPREVEYKCPSNHTLLPNQQRIATLLKSPKCTTPRAPQQSPHLP KKVQQLELKVMSDLQTLLAKKLIRDSKQCCTVHFDSLSLAQDPRSLTQRYEITNRLKGQS SRKKLNIKELNQFRRVKIQEPLSNREVSRKINTKSKSNDKPLQINTSGEQKLVYYQQTHS ARQVTNSQQSTMLKQSSGQSSSQQMHHQKSNTAKTIGKSMKQTNTFDSQLYKVALNLAVK SVRSRKQ >CAK63890 pep:novel supercontig:GCA_000165425.1:CT868029:81922:83696:1 gene:GSPATT00033743001 transcript:CAK63890 MDRTLQNIIRYTQKYVDPYQNCQFDRYTFNYSRDLLGEGSFGSVYRGTQGNSYVAIKILN LKLYNNPAYRQALKNEIVLMMELQNPNVVRILDVKADHQLQALCDKQDNELFLIIEYCEG GDLRRLLSHQPNQRFQESAAVAIISQTIQGLTELLHKNYMHRDIKPDNILLKQNTYKVAD FGLAAKIPPKQVLRSQVGTPLYMSPQVLEYKEYTNKCDIWSLGLVLYELLFGRTPWLCRS FDTYLIEIKTKPLQFPYEIQVSPQIKDFIKRCLRIEESHRMSWSELLKHPLLQNQGRLKR QATQERLDFTILEREYLYNIQFVCSEHNIQAKDLMKQCAKTHINQQEFQNMLRKIMNSEN EEVGRKLFEKFDYSKDGLISAQEFEYLFSEYDFAPLKSIAVQIIYELQAIIKIYKIPLRQ LFEQLDQDKNGYLDVKEFEFLIKKIAPKLSQENIVEIFKNYDQDNDGKIRLEIELQFVLQ SKNYQKLKIILNRIRETLMKKSVSVSTLFSQVDKERKGYINFKDFEYLLSKLDKLNKCDI WDLFNLFDEDGNGQISLKEFMALLNL >CAK63891 pep:novel supercontig:GCA_000165425.1:CT868029:83799:85253:1 gene:GSPATT00033744001 transcript:CAK63891 MKYYYAFFIVLVFVYFKREDIQKLSHIDHIEDTAEKNKQLAEFINGRQIHDLILFYAVKM IDSNLQAAYNTLEETVSSLQKLSVLLFNRITRESRVQVKSIPSQINFANYFGGATILTKS KQLVGVDNILVDNQETYMITECNQQKLYIIICLKEEIQLESIYFINKEFYSSTMKNFRVF GSVVYPTESWDFLQAFESEDINEWQNFEFESHFLRYLKIEIIDFHQAEYHCTLTQIRFKN YKCFRVFGQTVIGDLIQSHKRYKLQLPKIEPIREEVKKPQRIKMPCNEIITNYANSNNST CSYFNYLFDVQQSNIDEIKSQNEFLDVIPFESNQSLFKVTAQNIVILSHNLQLLKEQLQS INNNKLKEMQNQKQNDDIQQQLLFEFSQQQNININLERQIYQLNVFTRYCIIGILILFIG LCSVIIKMQYHSRNIRHQQIDVQNQKDIQRYYYSDSNFGEWVFNEQ >CAK63892 pep:novel supercontig:GCA_000165425.1:CT868029:85389:86425:1 gene:GSPATT00033745001 transcript:CAK63892 MFPSKLYDLQLTPSLNKFNSDFESARMIESFRQLLIQDDKEILTSAMDLMKAISYSLYGT WFYWKVLTEICKGDDLNIISQQLERKIIIYDICEIEDLKCQVINFGYKKRIYLARYLNYF YVVGKKGTSEKHKLIKDILMDVINEIIGLPIRRHKRSYSDAFKYFSDQKDNRTNKLNIKN VPLSPSNISRTSLQMQLLDEINQESDSLLKECNSLINSPNPQNDLLQSLNLNLQEGTNIL SNIRYFGTLKFYDEARSYGFIIMDMDGSDLFVHCDDLTKAGMTKDFLRTAKHGNIIRFSF LILEYFGKYNKSRKAVDLQFIQGQPYFM >CAK63893 pep:novel supercontig:GCA_000165425.1:CT868029:87470:89036:-1 gene:GSPATT00033746001 transcript:CAK63893 MNYIDQGSALSRKVPNRKLSKKNSLFYQQSVFENEEFMQKYEKNVDQLITVIEEPELKEV IQNRNERFFQVLEQIIKVESSIKEFAKGYQKYGFIVSDTGITYREWAPNAKELKLNEFGC TTDNGGNWEVFIPKDDDDNHQIQHGSTLITYCDQLERASVWSSVKKGEQAIFWNPDNKYE FQQQQLQQKQQSKGLKVIKQKATELQGVNGYNTIMITQQLLMQVDVNQITPDDLKRNIDT LHQKGFSVIMEIDHDLIGKHLNNWDGSGYQYLREGVEQLDYGKWEVLRLLLSNISFWITE YQIDGFKFTNIEIQDDIDATVYLMLANDLIHDLLPYGISIIQELDYPVLCRTIKEGGLGF DFRLSKLHHKQYKPKTLFENTGMYTQAQILNALVIGSGLIQEIADDKFVQFEQQFGWLHE DFAEVNEVDSVLQIKRGNFTFLINHTNENREIVLNLKINKILNKEDQKWEQLDENTIKLT IESEQGLIIE >CAK63894 pep:novel supercontig:GCA_000165425.1:CT868029:89246:89638:-1 gene:GSPATT00033747001 transcript:CAK63894 MQIKRGSDNLNKINSYHGENEDEEDCQYQFFVISEFPGFEADENFNLMQNQDDQDFFLLN DSNLINQDIGRKINQDDYPLKINEFSQQKIHKNFDLYRHPKIYNAFKNAPKIKSKIWKQK ISRRTKMKTE >CAK63895 pep:novel supercontig:GCA_000165425.1:CT868029:90986:91772:1 gene:GSPATT00033748001 transcript:CAK63895 MVYKIRNKSFFWTRAGWKNNWHPKNFNAPRPSSSEFTIGIRCRYDHNSFLRAYHSYRKIS RHCKQYFFGNRELEELFQMGLRTFFIVPHIAECQVTQIKHGGERRMVDQIDRDFELVSYN SHPYQLFTYTIWNQYLANQQEAYEQRKNGDKAIEDQVIDHISELVKDEKSKLGPGKQLSI ERTAEIVMNVMRQLRAAQQRPNLNNRRADGEFDDFLEQRRPFVAPNNQSATH >CAK63896 pep:novel supercontig:GCA_000165425.1:CT868029:91779:92402:-1 gene:GSPATT00033749001 transcript:CAK63896 MQKLKEQCQEALQFSSDLQQQLIQMKKDLLEKTQQIVKLQTSISMIDCEASDIQDLFWNV QKQTLLIKQTVREAEQNPDNQQIIQKQFEELQEKIINLEELVFNYLFNNKMNLKKNNKKK SVQNKENNEDNFNQKELQNKKKKYVSTIKKTQNPFNSNFINEESDEDDKKQQKKCRKRLR SSIADQSNNLINDMFNFN >CAK63897 pep:novel supercontig:GCA_000165425.1:CT868029:92402:94373:-1 gene:GSPATT00033750001 transcript:CAK63897 MSENPFEVLKEDMENEEVYLKVNAMHRVKVVATLLGSDKIKSQLIPYFETLLKKEDDEVL FALAEELGNIAQIIPNQSICLLTLLEQLAGFDETVVREQAVRSITIVCGFLADNEIANTI VPMIIRLASNDSNFTCRVSAVSLMCPLYARAGNQKEKIRQKFTELCSEETPMVRRAVATK IGEIAQFMDKIHVIQDLIPVLKQLCQDEQDQVRLLCMESLMNIAKILNSGENKTNILPLI IQSAEDKSWRVRLALSKIFADLAEAVGKEIADSSLIQIFSNLLKDTECDVRVIAVKSLAR FIKFVSPEKLNLIVPLLQLLSKDPFSQVKQNACEVIGQIATLLPKEYSQSKLQQQLIDLM ADDNQDVRRNAAKSAGQFAAAIGPEALNQFVPFLKKCMDDPKWRVRKETMQTIIQLALTV KNPDVFNKQLESVFVMFLKDRAAEVRSMGLSQLPALIAAYKQEWAVGNFLSKCVETLSKD VGSLYRINALYAIQQISFAVDGPVAQDRLWPIVQKCLKDTVPNIRFVSIRVAKSLSKKID NQQTLNQIKQAINELIDDPDRDVKFYAQEALQN >CAK63898 pep:novel supercontig:GCA_000165425.1:CT868029:94577:95497:1 gene:GSPATT00033751001 transcript:CAK63898 MQQQIILGSIVCFAAFLLIILIIGWDVVEITNWGLKCNSISKQCDKQIYAPGRYLVGPFN SFFNFPGSQQSIEFSDDKRAQSQPLKTRTAEGLTLSLHVSFQYQLIKNEIAQLYAMGGLN YEATFIRMARDTILQAAGRFEAPRYWTNRRNITEVMQKQLEEELKKAHANCVSLQILDIE LPDQYEDSIVQTQIEVQKKTMKQFEQKAQMILNDILVMRAENDQEIFAIHAQAQADAFTI TQAAQATANKLLLEAETKGYELIQKNLELSQEEFNQYLYWISILKQKKAKLVFNPNTVLT FNMNHN >CAK63899 pep:novel supercontig:GCA_000165425.1:CT868029:95517:96545:-1 gene:GSPATT00033752001 transcript:CAK63899 MNSKHHYNTQKLTLTKPNKKSLFGSDDGESTTPHSTNSTKHKQEFQTDDSDCGTPNTPSN KSPSKPQQSKFKPKPTTIQQLFTSTARNVLSNKQAHSDAESKPQKQHEVSIKNHTFRHLI FGESVSETSFQKHLLLTQRGLIYATKCLKGPSDQFIKLRQVEVPKEENKSKILLLDLDET LIHSCNSKEQAQVNINQISFNVRPYTNYFINKLSQIYSIFIFTASSSSYASAIVDYLDPD QTKIIGIFSRNHCMETKNGFFIKDLRTLKDIDLSSTLIVDNLAHSFGLQIDNGIPILEWK SDEKDQELKYLTEYLIKASQVEDVRQFNRENLRLRELINYQL >CAK63900 pep:novel supercontig:GCA_000165425.1:CT868029:96709:97254:1 gene:GSPATT00033753001 transcript:CAK63900 MEFQELEKKYEELEEQWKQSCSKVQQLESQNLQLVQQLNEQIQEITNLKKKDQHQSEEIQ QLNEMVTDQIRSKKQNEKKYDELEKKYAKLKEEYKKLATKLENRDLQIQQQEKEYKSITE TAQRDTQALISTYEQKITILEQTQIPKEQQSQNKSILDYLKLFLISQVAHPIFYIMYSKK Q >CAK63901 pep:novel supercontig:GCA_000165425.1:CT868029:97384:98762:-1 gene:GSPATT00033754001 transcript:CAK63901 MQVGNNAEEEKKKKENEEESKGVVTIKRRLIASFDLFQFMPVPKTEQVSSRRSLYGSLLM IGCFLAYFIYTLVAFITNNSPTVNQYEEILDAVNVTLPDIAFGYFFGDPFTKTINDPSIF YFEMFQVTKFQDVSKKDVKTQIPLQSCNPDWVPASNFTILCPNVSGNMSGALYQTPEFTY PRVQVNYCSQGGNTSCISQQEIAQIAAGGRILLYVRDNSPNFDLTTGQSVESVPYSTYQY FLMPGLYPRTEIFFQLEKYTIKPDFLRRWQDDVRTFLSTQKINSWITNVSVYNTYNAYQI GFRTELTQQISVLTYQTSFDMISIVGAFWGVLFSTFAAYFLVYNQNTFYRENKQWEDFGK KIGVRKAADVFNLTEEAPHSKVNDSIVFEMTEIHQENNHSQNEQNGV >CAK63902 pep:novel supercontig:GCA_000165425.1:CT868029:98915:99791:-1 gene:GSPATT00033755001 transcript:CAK63902 MIAKCFSVLKQQKSEQKQRLIVNNQISNQELESLQPIHTLLSPLCGNSQCSTENSSSIKL QEKMIEELLLDEQFELIFSDIDNAEYYRQTLVFKTIQELKQAIPRIKRSFDGLVMPINEM QIDKQLDESYKLRIITKKFIHHNFTINIRALCRFIEKIIEFDLAFQSSDIIQYHDESIHI NPISLVRCSDQKQTCYESLKELLSSQFDFCSNIQLILEILKDFENQDLAKLSRYHVTDKD IYRLKLQLTSKIYKRTQI >CAK63903 pep:novel supercontig:GCA_000165425.1:CT868029:100430:100886:1 gene:GSPATT00033756001 transcript:CAK63903 MSYKLSIKAVVFCVKFTAYLFYYNSQQLVSLYVKDIGCDSRLLENETLQQQAINGCFYVY MKTSKISQLSGKFLRRISITQFSKEINKLQSLKRLFQEKNVILKQGFRCQKFKIYEQYKQ SYYFYVVEERYKYDQN >CAK63904 pep:novel supercontig:GCA_000165425.1:CT868029:101022:101907:1 gene:GSPATT00033757001 transcript:CAK63904 MPQHDYIELHQKRFGKRLDTEERARKKAARQPHELAEKAQNLKGLKAKMFNKERFKEKAL MKKQMLAHEEKDIEVNVEDVKDGAVPTYLLDREQQQQSKVLTNMLKQKRQEKAGKWDVPI AKVKQMSEGEMFQVIESGKRKQKSWKRRINKVCFVPETFTRKPPKFERYIRPTGLRFKKA NVTHPELQNYFQLAFIGCQEKSIICLIYYTWFLEVNVSELGLVTQTGKVIWSKYAQVTNN PELDGCINATLLV >CAK63905 pep:novel supercontig:GCA_000165425.1:CT868029:101972:103570:1 gene:GSPATT00033758001 transcript:CAK63905 MSKNKPKPTDSKPQLSKAELEAQNKAKQVNDVENEAYKKQMREEIRLLKTDIDAEEKLLS QYQQEREKINYNWIIAKKELDDKKSDFINKEREIQDLKENHFMQLNLYKQKIKHLLFQNQ DQQSDLRKDVEVTLKQLEDDHRMKDRELKTDIRSLKVQQKEADLAQNDYMFALKTEYDRQ ATQLRQNFERQANDLKEKYHLKMEKLRREMEEARNNLIKILQEKKDLRIQQLTKEHSKKY TEIKNYYSDITATNLDMIKSLKNEITDHQKKEEKDKKLLQSIENESKNLNEPLKAIKEEI KFLIKEKEEQAQVVQQKEQLKLKIDELEKKFRNLEYEYEVKLQHFQYMEREKRSLEDKFN QTIQSIQQKTGLQNLILEKKTSAIQEELEIKELQLNQVLQGANIDQNTINVITRQYQEID VQKSAQIAELQQQLTQIRKAHSHMVKAYDGKLAEFVIPVEELGFDPLVPTFTE >CAK63906 pep:novel supercontig:GCA_000165425.1:CT868029:103597:106597:1 gene:GSPATT00033759001 transcript:CAK63906 MLKNRPILCTEEPPDEDLLFIQPYQVEQEVPNVSVSISEASESKDDSKRVFEIPQVLIEH HEQEKLKQQEFMRRSEPKKGFAKLVQLLHIRQFIHQILAKRRLISKITKYHLQVINDKAS SLDDVGFRKFAIKIKPLIQSLQENTQAQLGNQRQRIKVILIQKQVVSHVENKNIYRKLSV EILQSNPKNSINQTRLKAESGLGQYSIYLQILFAIYNPNRFSLAIYLIFIQCFRSSNSNL YNSFDNGLFCMFIPIILSPRTVGNGQDDKAYGFELIANILLIVFYNISNQYDYGFNVFDN PYYLFLFLQFVQYNNITQLRQQLEDALNLSREAASLMELSKLFVLLFFVIHVFACLWFWV GLYSETYLGSSWLEAKQVLDKSFADQYLYSFYYSTVTMFTVGYGDITPQTNPEMIVSIIF MSVCSVQLSYSVSTVSSIIEQISGFKKEKKRKFNIINNYMQQKNISYELQFQIREYLNYF WAMKRNEESNEEKEIIDELSSRLKQRLIFEANSRILYNSPFFKKNFSLNFKKDLVSKITS ISIAPENVLDYTKQSQDEHSLSIFFIEQGQIEVFIENEQLSELSRVYKLKEGESFGFVSF ITGNPSVEKYKTIGFTKLLIITRKDFLDVIKDYPDDYEMFYTFFEELTFNSESELLTMEC FSCKSKTHKAISCPLLHFKPDREKIIKSAQFSREQQRDNTKKRKDICYIKAILGQSILDD VAKQVQSDNQQLTYLYEELDEDKSATPKVQFVDNSDEKSHFRNNNHDSKIQVFDFKEESL KPQNQQKKQFLRKKQTLQDIGDIMQNNKKLKETKEKFRSIVKKVNLMNDFFEKQVEDSQQ KLLYQYRDYLKIIQYRKARIQHVKNIYSNKTIHELEFFELKLKMLLQTEFDTLLEVQKSY KYFKPRDNIYQVLSRTKISMLSSTNEVQMFFQEKSQQLIKYLFYPHLYIQKYLYKYKKLD QVGEIKKTQLFKRNNRISLAFIMQQHSSIKKP >CAK63907 pep:novel supercontig:GCA_000165425.1:CT868029:107995:110617:1 gene:GSPATT00033760001 transcript:CAK63907 MQDKMRVSTTGAKLFTEGNQTETQPFRQQIQGQQSSGGESLMRYQRMLTQKSERKTHYVQ QFCSNLKSQTNRFGVIPEEYVSFIGDKTSYRNSSTFNIRLMHKNFSLQLELKFFEKIRQF FSRYLNKLIQCLKRIELFQPESSVKLIWDSASLVSRLYFLFLIPLDIAWSKYSFMFDMYN LTSFIFQMVLLGDLIVGLNTAFYNQGQLVTDRKQIVYHNFLKCFGLEWTSTIQLLVYQIL TQDPTITQYIKDYKIYLTLVTFLVHYSTIQECLEYYEEGLNLSKKASSIIELVKLIAVLF FIIHMFSCFWFWVGDYSHNNYGVSWLENIVDKEWEIQYLSSVYYSTVTMFTIGYGDVLPQ SNLERIVCCLFIIMASLQLPYSINTVGAIISKISEYGEDKKRKLRIINSYMQKKRIPFPL QSEIRQYLNYYWQLNKEKDADEAAQMINQLSENLKERLTQESNSVILNQCALFRYRFTPA FKRELIKSLKTKILAPETIVGDKKELVYIESGTIDMYGDQQCNLKLQSFNANQTIGLIPF VTGKLQPETYKSQGFCLLMTLSHRKFKKTLKDFPNDYEIYCQMKDYLLFNGNETTIFPRK CYACQSYKHYSHECPVVHYVPDREKIIKKHTISTTQQRKRIPRQENRRARYPSRKHSQQS LIRASFIVQQENQNIVKLYDIPYVVEEEAKIHSLNSYQSIKNKPKHNDETRKQIMARFKN LVKKITLIDKYYPQFMMTILKKFRHNQNYFSQQSQLKQLKIRYDHIKQLTKVDPQLLNDI DDVIRINSEYDICQNQEFETPKNFIHYNPKFNFNNVKLKIDKSKMRILNEFQRYCLFPAV IMRKFHSTKTTQDLITYKRRKNVFMK >CAK63908 pep:novel supercontig:GCA_000165425.1:CT868029:110622:112299:-1 gene:GSPATT00033761001 transcript:CAK63908 MRFVVLFCMLGFAICDLPVHCLKHQVAGKWRLYLEKPTQKGVGLLPCGHEIPDRAKTSYM AYSSNFQPSQDFEVTLGDDYKVKSSSKSGEWTMVYDEGFEIKLGNMKYFAFSKYEPKGRE GVSYCDQTLVGWYTNQDTQERGCYRAEKTEKVESSESIKTVSIVQPEFMDDDDMKSLSFL QVQEKTPEVNHEEVVEKLNQKEGTWKAKVYDNMKGKSFAEVAKRTRLNSKQSSFNWKEKL APPRQQGQCGSCYAIATMSMLSARLKIKGENVDLSPQWSLNCNYYNQGCDGGYPYLVNKF AEEQVLVSEGAEPYQGFDGSCNFQKAKSQSKVYSTKNYKYLGGSYGRVSEQIIMAEVMKN GPVVLSFEPSYDFMYYESGIYHSKAQTNDYAEWEKVDHSVLCYGWGEEDGVKFWMLQNSW GNQWGEGGNFRMKRGVDESAIESMAEASDPYVITQNSSTSFSETKSNESDFDYEDDDSIF SFNQIRQNLK >CAK63909 pep:novel supercontig:GCA_000165425.1:CT868029:112946:113746:1 gene:GSPATT00033762001 transcript:CAK63909 MIPLQVKLSFTSQNFNHRQSASVLFRQYESRKQSPVTHVVVTHNPRRSHQFDGRSISPSK ILTTSHVYPKIIQSTELKTRIPTQVSPSLVIQGQSIPIQEALKIYSAKTNTDSQYNSNKV SSYIQEKINEKSNYTKTTTGESQDDEKSSKQKPSIIYQKQLLDKDLQIMQLQKELKQIKE SNKKYQNIEKEYDKLLQENQKLKLQIQLQQVQITQLTHQQSLISPGRPSEYVSALSEQDK LKIKTLLECE >CAK63910 pep:novel supercontig:GCA_000165425.1:CT868029:114072:114909:-1 gene:GSPATT00033763001 transcript:CAK63910 MDFTAANRSPLNTSKTKQMYTFSKAKRWVDPKDNVCPPIYQLPTTLSKRAAGIGYGKKMN ITQETISPAPNSYQVQTVREHGWTMGLGRDRANKFESIFLGLVQKTPGPGSYNFKDPQSA VRYSIRQRLQSRRNKDRKPGPGEYDLPSSINSRGKYALSQYRDSGAVILSPPRVHSDRKL RDSTPGPGSYKETGNMDPLGTYFCSKFGASRCNKFPRAQRILSENREGSPGPGQYKLPSD FGL >CAK63911 pep:novel supercontig:GCA_000165425.1:CT868029:115049:116608:1 gene:GSPATT00033764001 transcript:CAK63911 MNIEPRRNVKERLPIHQNFIEPHNYQPLFKSPVSTRSRQQRPSKLPSFKMPSGQQVSIAV SPPVSPQWRTRNMSRAESRMLNVSPPQVLQSPKYVIQMSIFNENLLQKALQRKNNETGMI KQLVQTPQKMLHQQSLIREDLNKASFKFLFVLGKGGFGKVWRVELCKTRKQYAMKEMSKA RILSKKSVHSVLNEKILLSKLRHSFIANIHFAFQDRDHLHLVMDLLTGGDLRYHIGVNRR FTEWQTKFVIACLLLSLEYLQNNNIIHRDIKPENVVFDKKGYPRLTDFGIARVLKPENSQ ETSGTPGYMAPEVMCRQNHGIGVDHFALGVMIHEFMLGKRPYVGRSRKEIKEMMLTKQVN IKRQDGWSSDSADICNQLLQRKQQHRLGFDGVQSIKKHSWFQHVNWQSLIDKTMPSPFSE LAYSEDKDFKRQISSDHDSEDSLIQENAGALRRNSIQDMFKDYEYNDRGVTGSTNSTQIQ >CAK63912 pep:novel supercontig:GCA_000165425.1:CT868029:116777:117463:1 gene:GSPATT00033765001 transcript:CAK63912 MFEKEIVIDGKGHLLGRLASYIAKELQRGQRIVVVRTELIQQSGSLFRNRVIFEEYLNKR MAFNPRRGYKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKVFEGVPPPYDTRKRQVIP DAIKLIRLKNHRPFCTLGDLCASVGWNSQAVVNRLEEKRKQRGAAYYKRKIARENLRRKA IGAKELATINSELEKLGY >CAK63913 pep:novel supercontig:GCA_000165425.1:CT868029:117463:118209:1 gene:GSPATT00033766001 transcript:CAK63913 MQKIDYGVEVHIDDTLYAGKCLLQLLNTPLLSYKYEQDQARFLLGVNQQGWPQAELLHGT NCPCVNQDYQNKDTKQSKIRLATVAMLCDKDDNFLITRRHSQMKTFPKTWVFPGGMVERL QDLESECLREVQEETGIDVSPILNKMELKVLYESVYPTKLQAGQLPQKQTLCIFYEVKLN ETCNNIQVKIQETEVDDFKWIPKKQLLEIMNAQTNDEQYKEMSGIYPNEYGSGVGEGHIK SFMHSYKY >CAK63914 pep:novel supercontig:GCA_000165425.1:CT868029:118305:118541:1 gene:GSPATT00033767001 transcript:CAK63914 MGNKAATNKQAENTENNNNNKDQKKPEDKDQVKKNQKQMIMRMLVGQNGCGFEEFAQEQE NYEKFSNIIAHAAKTKIE >CAK63915 pep:novel supercontig:GCA_000165425.1:CT868029:118599:120104:-1 gene:GSPATT00033768001 transcript:CAK63915 MNQKKKKRVLSFQIQNLTGTLSEMFDSQQSTNRKLNDKPSINTLQKILPFNEIDQFFSPK ESGSTTMRSIPKLRKSSISPKHSYIYSRKTIQTPLRIRSSIVMLNQFEQQFAESIQCNDQ IGAIESLLNMMVIAVEQDNIALLITFMRLSALTFISFQEWTKALLFLSHCKFLSEFTRQF NVIQWTFLQIGILCKYVKKYEQGKIFIKKSLEYAWHLKDIDQEIICYEELGKLCFLNYEL KIAKSLHEKSMKGTVEKEKSPMKMVSSKGMQQIMKMLPQEEMSLGLHIFSKAVNFPIKLI QCQNPLYYQRRQVKNQYMISHNLECIPNQRVIDIDISLDEMLQQFLRGKNFAFEIPIPIS VADEYESMKPTNQIPKVQQLKQTQTATLIKLQSLKLHPLSNKQPTIILNDFQKKLPLEEM VRQRLEMKFQSPSFTETLRSKKFSSNLEQIRLTHERVGIALSVEHSKRGLVRYAQKLLSQ QQITFI >CAK63916 pep:novel supercontig:GCA_000165425.1:CT868029:120172:120828:1 gene:GSPATT00033769001 transcript:CAK63916 MSNQNQQFAQLQSSQQSLTDEQMAELPILSFLKKRKPIKAKAQLTVENNDFEIEIINTKV VQNSFVVYEIRIKKGNLFWIFQTRYSLLESLSSKISKNLKSHLPKFPDKRLFGNLDNDFI AQRGKQLDSYLKQLFKLGRSENTVREFVRQSQKAAFVINDPSAVKLFNLDA >CAK63917 pep:novel supercontig:GCA_000165425.1:CT868029:121287:121753:-1 gene:GSPATT00033770001 transcript:CAK63917 MGKKEKGKPNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIVRFAQKNMLTDDVRVDP QLNEAIWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDSFANLKTEITKAQ >CAK63918 pep:novel supercontig:GCA_000165425.1:CT868029:122321:123907:1 gene:GSPATT00033771001 transcript:CAK63918 MIQNLNSIAPNTQVQKKSPDIETNLYKSGGDDSDIHINCYEAPGLKKQQSDMTYDLRRNQ LKSVAPRQCKYHQNNLQNLGSNSGQNAQADSKGQQKYQNDEQISIIKFDDQQEELKGIMN QLDEIDLKLEAQRKLHQDFYKHINEGDQSRGNQQSPHIEVNEQKQNENTTCLICGCDDEN LVKKLRCEHRFCLYCYFNYLNDKIRNAQVMNILCPQQGCRETFQDSVIQNIVTQETFRKY LNFKYKNEIQKDPNKKWCPVPDCQYYVERNPRSNITICKCGAQICFNCGRLAHLNRRCEN YSDLQFQYAQNIYNIKQCPDCSSPVEKNQGCNHMTCRCGYQYCWVCMQKYHAYHYKYWSI RGCASKYTALSKVWANGIFKTRKVIEHPDLMRRVFFFPRLILFLLRGPWLLLKLLFKAFK KSISQPFMKLNKKFSRTRKPRSRCGRKLYFIWGEFWIVILVIIIFPFYFLFYRLIIEIKR LIKDGCGY >CAK63919 pep:novel supercontig:GCA_000165425.1:CT868029:125234:126770:1 gene:GSPATT00033772001 transcript:CAK63919 MIAQKIERHFSRTVQAQKQSKKKMKFVEQVSFKLICVQSDRFLQVNEDSPKLSQDKVETK KNLTSRHLQENEAQISGPEETFSRGHDAQNDSQTVTPLAVPEVQDLEQIPSLEHNLFPEY NSKKYYFSNNFFSKQKATKRKVLLKRFKSHQKSLLAMDSNTSLPTAVDLQFESQFLEKNE PDNKSFSNNQQPVHESNDESKEGELFKQIQTEDFNQPKNKRTYYTDKLISLIKGDYVDTF MAQMYLNHFIQTYGILKKSKLLNQPESYEILQKINPQINRQKTLVIDLDETLVHCNESKL MPKDLQKQLFEAYSNQAEISVRPYAQQFLQKMAKHFEIMIYTASNEDYANQIIEYLDPTK SLVKYRLYRNDCINLSEGCHIKDLRTLNRNLKDIILIDNSAYSFAYQLNNGIPIIPYLDN KKDDELMELENYLMELLNVDDVRIENEKNFRLQQIQNSSSIQQAVNYLMCNRK >CAK63920 pep:novel supercontig:GCA_000165425.1:CT868029:126818:127917:-1 gene:GSPATT00033773001 transcript:CAK63920 MTSFQINEIICQYSNKNYLNNMHQTDPRQQQPFQMNNIYQGSPIQSQYLQSPGIPQQYQQ SPQNPIIQSPQTYQPQQQFSSPYQIPQSQQFLQQPQIRQPQLPVQQNPILPVTSQPFIPA RQQSPINIRPSYQPVTVQVAPPVQQVILQQPAVQPQTQVQEFRHVERPLQVITVDEIEAP WRLKCATLERQILELQIQIRKNNGTIVEETVQEIQDDTKVKNLELQKLELEQKIHEDEQL MDELRNRLEELRQEYEIIITEKVTYASNEVETWMKKYGNLEKNYAESTQKIADLKRQLAQ VEAADKAMQEQKKSEVRTEVRRSSKLY >CAK63921 pep:novel supercontig:GCA_000165425.1:CT868029:127956:128627:1 gene:GSPATT00033774001 transcript:CAK63921 MSIQNGNQLQYVSQLVQMSLNCLQILLFKLTFSMLHHFSKYRFTLNKVANLDSIKVFIKD LKDVASIVPSKLDETEVQLSLQIHALEKNWKINSKSLAKEYKFETFKQAFVFMNTVSHLA DQMSHYPKWINVYNKLSVEITTQDVSGISVKDVLLAYLMESTYKDVKELNPEHVGEISKV SAPQLLQNWNANFTQYQEILKKNVHKL >CAK63922 pep:novel supercontig:GCA_000165425.1:CT868029:128720:130971:1 gene:GSPATT00033775001 transcript:CAK63922 MNKFILIALLTLSTQALFENSYTIKSANKMQDFRAILESDFVSLVYFYTEECENCQMAAS LVEKVAEDQEGIINVFGANCDQINKDPNSVNKLPYCSEDMLEQLPQISFFEPPQFKINPY TKEPMIATEHRFQGEASPQSLGTFGHKFIPNHVIRINTLEELKQFETTDPKLNKVLLFTK KKETSPILKSLNLHYFERVKFGEIIASPETQSLLDQFDIKDLPQIIGLENNGDNTYNHDI FDGDLLFKPIKKFVRSLASKDRVPYEQVNEKPKEDNRRNRRNKQNDQEEDTQKPVVITPT KLDLSVLNKQLLRNDKPALVHVYKDQMHKAWDDSLKKYKSLFDYYELQVDTQQDEELAKE LFIKSYPSIRFYQVGNAGKKKSNKISFTKEYKIEEINKDIQDLIDDKTININEQTLQMQL SQFIADNNIVVIYFYQTPIVGLTYRVLSQLQEYYGKYKFLSFKNAPEMVIKQFQIPSQPA ITIIFREVADKKELEEELKPEQVRQALFTGKHSYEEIKSFIDSFDETKKTSHKKVIEIST QEQLLEQCNKKQKLCYIALLNGEHKQQKHDEILTKWEYQLEVLDRIKNAHGDKQASFVYI DASCHDEVLLKFDISDDSLPNFVAYSNAKKIYSKLIGRFDYESINKFIERQYKGQSNNIQ IHELEILERDCEEVFQKRKEASQKSGLSDFDEEILKEILEEEKQRKKELEKELKKGKKKN KKSKDEL >CAK63923 pep:novel supercontig:GCA_000165425.1:CT868029:131562:132328:-1 gene:GSPATT00033776001 transcript:CAK63923 MNFFGSKKAQAPPPEPKLQSMTKEELRDKQRSMNKELQKEMREIERQNFQIEQARKKAED LLQKEIKKGDKADKFIKQTYAKQVITCQKQKERNLLNKGKIQNVMYGIDNMFANIKMAQA MGCISNVMKDINKLMNIKEISATMQDLQKEMMKMGIVQEQMDDAMENMNDDVDLGDMQSQ ADDLIYQIESQQAGPNKQLPQQQQMKQQEANQDLDDFEKKLQQL >CAK63924 pep:novel supercontig:GCA_000165425.1:CT868029:132368:132550:-1 gene:GSPATT00033777001 transcript:CAK63924 MGQCCAPSTSIQYQNPAQLKPKAEEEYDFKGVVTKIDGYYRGQVIRQNIDYEFFHLNTAL >CAK63925 pep:novel supercontig:GCA_000165425.1:CT868029:133451:133850:-1 gene:GSPATT00033778001 transcript:CAK63925 MVNVPKNRKTYCRKCGSHQSCKVSQYKKSKESPFAQGRRRYDMKQAGYGGQTKPIFRKKA KTTKKVALKLECVKCKLKWLKVIKRCKTIVFVDANQLKKQQEAKKNAK >CAK63926 pep:novel supercontig:GCA_000165425.1:CT868029:134017:135283:-1 gene:GSPATT00033779001 transcript:CAK63926 MLQTLSNYVDIEDESIRNMIKELKILEIQIPQDQQIELVKQIFEDQKAKPVQNQKYQVQI GNQYDLNLIEEHFNKPLLCEYLESQYTALIELISDISKSISEISLRIDQKQSASNKQQVY KFQEEAQTQILSLNQPLDHSSLTSNNKDIYKNEMVQFIKDQKNNIVYQQQVSQLELKVKQ TRKIIFEKIEEQNNLSDQVVQKMEMNIDNELKLLEKTIELKFVAKVESDLQQEVLKMEKS NANITFNKDAPQFQDSDQIDKQVNQLFNQICQTFQDIKEQKVKNNDLIYDQILNNIESQS KNLNKVCQQHESNTYQLQDYINNYSDSQQQIRHHQIEKIKEQKRDYEFCQSFLVEQQSDE GAEIDEFLKQAQNYNSVLKVTEQMANDYNVQIEGQKQQLELIKQYLLRLQKLL >CAK63927 pep:novel supercontig:GCA_000165425.1:CT868029:135529:138228:1 gene:GSPATT00033780001 transcript:CAK63927 MIAQPRYSQKMADTFSNSKDFYSFHQKQLIGTKVHPQLLEHLSISQRTKMTTLKDRTSSD IFTSFINRGMLHSEQKEINLQTYDNSSTMMIKQNDDDRISKEEQTTPQILQTQGEQEKSK KLLPPLKLITNKLKQKERQNQSKERTETFKSSLIETPTMQKTLARKHRAFGQGEQKSTIE NETSVHKMGRTSSTPFMQKSKEDKEDHLTSRSGTPQKELFHASPLRNRQKLQNKQAVIQT PQSKGVKEKKQSNAFYSSSSQQQQIKGTDIQNEKKVVPKKKKKVNQGIAIQQQNQQKEKL TQRHTSYRCFINKINFSNNQCICCPTKLEVPSYFYYVGKGNNGSLIKNMFRQRWWWQEVE TLDITKVNMSWTQLKQNMCITQLHPCIVDSGPQSSESFLLSHEETIGDTSDSDMEARPKQ LNSTAGQLKSTPQQQQKRPQNYYSLRRIFNQQDLQKILNYMEETGCQDTQLIFSDCTEKL LKDIKSLCTNQRQDSKTFRMHNHMEDNWHLGNKKALFYNMKHYYQITKEDYNKLLPVTFH VQKGVNDIEYQRFLEYFNRRTEEIKEIERTRERKDKKKLPINLWIIKPGELTNRGNGITV CNDLNEINKIINEEIQEGRPRTYIVQQYIDNPLLYNKRKFDIRCYMLLTSQNGIFKGYWY QEGYIRTSSKEFTTKCLDRFVHLTNDAVQSKDQDYGKHEPGNKISYLEFQRYVEANHPNS KFNFFIDIYPKMKSAALEMMKATYGKIDPHRRINSFELYGLDFMIDDNFKLWLIEANTNP CLELSCPLLSRIIPTMVENLFRIAVDPIFPPPFFEEWPQNKKLFIPDNVIENNKFELIFD ELIEKKNMINLFRDNKIEQECFDIEEEEEEEEKD >CAK63928 pep:novel supercontig:GCA_000165425.1:CT868029:138284:139053:-1 gene:GSPATT00033781001 transcript:CAK63928 MNYSHWIKKTIPNKQQMKKASPNTSSTTKKKIIKEELVGIRELYKEEKWSQLIAISKRNR GLFQEYDQIRVQGRDINVGEAVLINSGDNHEEDYVGIIKQIVSVMVPRTNKYICLCNVEW YMRKSEVIKNHPKSNEWASEQEIFKTKLSEYILAQTIIDKCNIVTCKEYAELNEIESNVY FNRLSWDLEKSKFRGYDKLQKFCLCHQPLNPDRKYIQCDSCKEWYHFECVGIRQEKMGSG HYFCSICK >CAK63929 pep:novel supercontig:GCA_000165425.1:CT868029:139111:142116:-1 gene:GSPATT00033782001 transcript:CAK63929 MQQMFKQQQAQQFLAQQFSSKPDKIKLSNLIPTQINENFFNKITNDVMEKKPLSPRSSNQ ASKPSQKPSQQIAIHKSNTSLQNKSHIVNEQFQEKLNQFSKRRDYSPNSQLSNYKKNEEF IQRRPSSLVNDEYSATPQINRFIAKSPTPSEIQESVASLLQNHQTISSPKGSNSQKPKTN PLQLGPYLSIQQQQPKSNHKLKGHSSANSVSYNLKPHILKKCDFGMNHTPTKVTTTTLNT EHSKSKSVLDEQCIRMIYYDREKENDMNLLNIGTPSTYLHSKISIEQQSVGESLNSKFHQ AKMLTQQSPYQNTSGSNDHLQESFPVRTCINHRTKKAKYFVDEHQKQQFYCSQCAIVVAS QGKIVQDLSQLIIKSQESQILSFQSSSVDSNRHSNSSDVNFKERELSGFLTKLEYSHSQR GDMLNQMQNQIEKINAWYESQIGKCQQSKQVMQQIINDACNNSIQILQNQRQESLKQLSN LYYVLQQHQLDAQNIKQDIEKNWTEVLEDIRMEPFRKIMDYYQSEFVKMNEFQIQLLSST IQMKSLAKTDMQSTLALIVSNFQLNESEQQLILHNNSIFNQTIRTQPTPPKKRTDTVDSQ INQSNQQQRVKTDYSGSKTQPQNNETPSNFYQYFNDTNIYQLSASKLQSQQQQSQQHPNK NNLYISFENKDIFMNILESEKNSKQSIHEDKQSVTSNPIMILESYRESKKNIPEEEQQLS DHESPKSTPHSPASGQTKQSVQRTATKLEEHAQIPKDAFQKSKSTFRTLFDNTEDQQNSE VLQNDSSVSPAKSEKFRTILNKISNNQSKTQQQIIPFIPIQILSIDDSVQSKKYELQGVQ CLQYLQDTETCKKAILSNKQSQLMDSVQQMNLCDDQQDPSEFENDEDKEVQQMFSSKEMK HFSDQKQMTYPSESSQNNSHVEIRKDQYQKTLFCSPQFKDQDILNVSESSGDSN >CAK63930 pep:novel supercontig:GCA_000165425.1:CT868029:142122:143285:1 gene:GSPATT00033783001 transcript:CAK63930 MTFLNYEIQIQFLNQLYKCLQIFINLITLYQQLLSQWCVFSITNQQLFTLINILSFNQIL KNKSFQYQIYNQSLNQNTPISQSVSQRIPTILYSASTSNMFTHKCLFVLQQQFYLLACLL TYLFSFNNHYNILIYLQIHLFSIINQESPSYYIIYLLQYSQFQLQFKTTFLSNQFQQNLS NSKVMQNLFYYLLFYNLNRFAQNIRSSLQFVAYQNFYMLDKALQFSQFKEPPSQFLEILQ QPLNVIKSKPNYFYKEYENSPNKFKRLRISTHHEGAANNIVIDLNIPSPNFRVQQKLDYH DNNITIHSQITSQKTTPKTSRSNSPLKKVYLGSSLVAKRKLNGYVKRKKSPDPYEICRQM TKKIQYKKTETLTIASQTLFLLAKYGT >CAK63931 pep:novel supercontig:GCA_000165425.1:CT868029:143782:144213:-1 gene:GSPATT00033784001 transcript:CAK63931 MVICNCFHQLLHIQKKVDIDGQKIKYDDQNTYQIISKQIEVESYILQMIGYDLHIIVTQV YLQEVKTKIDVSQEDSNQLIQFSQQYLSDYSSINHVYSINQRKQLKLKLNVLFSYSLCNI YGQQINKNNNRRFIEWGTMAFFI >CAK63932 pep:novel supercontig:GCA_000165425.1:CT868029:144591:146586:-1 gene:GSPATT00033785001 transcript:CAK63932 MKHNKEQLINKENLKIIRQSELIQNTVVWTNKNLFLRYCQSQNYYYTRDVNEILADTSSK AVIRYKDWYGYDDDDEYLKRYYFTDEYPQKVQLLTEYYKFHTDIARLFMEPIATLLNKYY DKKRKYEYYRIARLIEDENKKNPNRPPKGIVGERPSPANSQETQKEEESPTAARRFRNIQ ILKDLSWLNKSRILNKQKIDISCTLQEICKHLGNQAFEQSSLFIQAGKTEELQLNKFLNY MNQQVKKAQPKGDSQHLKSKKKLSQPHELLIQTLIQKQQEESKSRFGSQHSKQNTELLLQ MNKLSKDINVNFVKNQVDSLFKSKQSNDNPQSLTRVDQNEQIKQSANPKSKTIIQHQPKI STQLFLKDLKKNVQSIPQLLNKYNQNNIPSPTTNPHNKVSTSNQTNIGKLNLKQVSKLLI EEDPTEQEIKWKNGSQTHRPQSGTQNFFSNRNSPTISRGAQNDLKINKIKQTPTASQQAN SKITKQSGSQTNRKSSQPNIHIRYTSNQDKVININININDHPELQKQQQQKHKKNQSEGK PLQLNTNLPDTQQEYVCLTDRGGASEFLFKNSIAASCQNSPKTQKLKRVSSGTTTLKCSM STKNSLIQQMISQVTKQQQKQEVLSSQFRKPI >CAK63933 pep:novel supercontig:GCA_000165425.1:CT868029:147029:148078:1 gene:GSPATT00033786001 transcript:CAK63933 MDINIGSSFKMTKKLGSGIYAEVFHGFNIKTNMEVAIKLEPVNTKRPQLFYEGKLYQYLQ QEPSVIDKGIPNVYYCATEGGNNIMVMDLLGPSLEDLFNLCGRKFSLKSVLMLADQMIQR IEYVHSRNFLHRDIKPDKFLIGTGNRAHKVYIIGFGFAKRYIQKDGKHIPYKEGKDLTGT ARYASINNHLGIEQGRRDDLESLGYVMMYFLRGSLPWQNQRTRKLEYRESVDKKKLSISI EKLCEGFPQEFATYLNYAKKLRLDEKPDYNHLRTLFKDLFTKSGFEMDNIYDWNLIQKMD GTPGKTTNNNQTEQLPIGQVLQKQG >CAK63934 pep:novel supercontig:GCA_000165425.1:CT868029:149365:149840:1 gene:GSPATT00033787001 transcript:CAK63934 MQKPEQVMQDFANLLEKYRNDPEAWSQIYKVINYKPTQNIPKGQSLDPEKIREFLRLGKP GTQEFDPYDDMIYFDDNKVKEIVLRQNFLIAKGYFDPKAYFPSINLSSLDDTSFDEKIKE MLKIPDQADKKI >CAK63935 pep:novel supercontig:GCA_000165425.1:CT868029:149888:152556:-1 gene:GSPATT00033788001 transcript:CAK63935 MKFNNKEFWYYLKCFIDIALSGIIYSYSKDSFIVSINTIIIQLLGILLSPYIAKKFGGKK RKYIQIVMLSAQMVQIYYCCFYFTEILRYGYLLIKFYEKQLIDVLQKQISYIILIALNAV QLFLMISHNELGVTYIAVGYATMLLLKEKHLIIRKQEKIKFEQLSKTEIKPIQNQIRQSL LINRINESVSLKWMNKLQSFPVGIVIVKKDNLEILFENDQLLQIFQAERDIGRFILESLE CTIIQKKNTYFTNQTSIVLEKNSLLQASGSINLTQHQIQNKTFTIKQILNLLNLGQLEKI KSNDDQLELSATFCKGNEAFFEERNLLFKVSYAQDESEYLIIIQDITLQTNFKKMETKEQ FIVKMIDSFSHELRTPLNSAELFLQGLSQTNQLPIELKELFIDPAKNSLRLQAYIIRDII DFTQFNQKMIKYHFSDFNFVDIIQEINDLFKLIFQHKKIGLHVNAQRSIPIIIKSDFYRI MQILVNIISNSVKFSSQGQVEVVIQGFDDYVLFKVQDQGQGIEPQLLAKIQQTLQDFALN RSISQQHEWQGLGLLVSQMNLITLAPQNKSHLQIASKGLNQGVEVKFRIKTQTQVFSQQL GQIKTFKRGSLATPNTVPDLSEGLQGITYRTILIGLLIIANAEYKNTSVKNIPVKGLSSV ANYYGKPQQNQKLEIQCQFETDVQFQDSDRFELSHQLMYVQSNFNPKFITNKQSQNSLVS RMQQKIDDQSQIQSNFSKVKFKFKCQCQRALSVDDDSFNQKALELILAQMGFEILLAYNG QQAIEIVKNAKRCNEDCQLYVFILMDCQMPILDGWQTTKRLRNMMIGKIIPFIPIIGLTA FNGQYEIEKCFDSGMQKVLTKPLNIDDFKQALIRIYRQV >CAK63936 pep:novel supercontig:GCA_000165425.1:CT868029:153483:153773:-1 gene:GSPATT00033789001 transcript:CAK63936 MQSPQFQKSNENSSKSEQFTYGWLPIKNDVEQSIVSYLDQLGINQLTQVEKHCNQRNSFY SLDAALTPPKQQRRVSLTMDSELFQRRSFRMCSDQI >CAK63937 pep:novel supercontig:GCA_000165425.1:CT868029:153802:154323:-1 gene:GSPATT00033790001 transcript:CAK63937 MITLHFFYNMEKPICEKFQLIPVKFRKNLCQRIRDLKTRQQRKKKKKERGKYRSYSLNLK ICALKWIEEGRDERTIAEELDIPLKNIRRWKKQGPFRKKGCGRKSIDLEMEQKLAAWCHE TIRNTNKRVSRAMIQTQALKMIKQGVDFKASKGWTDKFVRKYKLIRNKRISKS >CAK63938 pep:novel supercontig:GCA_000165425.1:CT868029:154751:159243:-1 gene:GSPATT00033791001 transcript:CAK63938 MRRALKKKKINDKLGKLVFNGLVRMQQIMIRNKIEPTLFNLIFAKILLFVSALQEIQLIT STEIQNQTSTQIQSSLLSIQQKFSINLIKYDQVEPLFFLLLSTQVLYLLMLAIVYVDKNE KFILVGQFFKYFQNIYHLIIFLPTLNITFNFALSLKYIELSIIGIILGLSLEIMYCFCNI QNSLIEIEGCYSSRLVNLFYLIMQLIICFVVQSQEQYLVAPILLLFSRFIMITQKMNNYQ YNSWYYLQISNFIISYIIVFLIKQYCIQTSIRQKRLGEELFSKNSQTNLFKYSLFKNPEL VKYFTSYSQFFTATQEQNVIKYQKHVLQNGDIPERLTILQYVFKYKKIQLYLNLINIDKT NLSILQQSTLEANLLNVIQYCDGLIKSPLNVNLYIKTLLLEQQNYQLLINFIDIKSQFYL LLKKEQSLDQYLNTFRIQLDKILSTLEKYKNIFERQYDLESGRLLEFQNSDQISINIVSS FLFIFYSNYFKVKDLWKKQNDLLAYDRIMNTKFQKNEAFLLSSSFIMNSNNIINKNNKRL LQIIGDQLEPKQLVDLLPQFQKTVYNSFVNQYLYQGLDINQPLTLYFYKDEYLVECSLYI YVTISKDDIILQNLIVIKNQPNHFALFDSEGKMLGISQQIYEFFTSKSDNAFTKKLGVSE FIQSGMIQYYLPEIYSHIQELATQYSLGTFQQSVNIASRWQFPVNHKKCLDQTQALGLVN DEATISSKFKKIFYSSSTKRLPSSKQPKLTDEVPTIKDTKIKIMQMQIMNQIQALVVPPS RMKSIDFEGSLEFVQQKFIESDTTYFVLKFKQIEEFQQQKIVQIPQIEDIQNISLITDES QFEGLQSDYEFISNLIKSKKLYTPLKYNIWILLLMICVTTISLLVSYLLNYYNMIHQQYD VNFLNTPQAMTFNIGASFMLMWNQYCIENQLYRISPYLEFRRENQLIYVFDFWGSVHEEY TMNLSKKSTQLGMDTIDLISYQNGQKTQSSLDYYQFYTILREALVRQFKKTDFKNITTFD PDILKTDGLIRQNMLNIFKFHNFVLDDMISSTEQSFSQFENQTFIFCVYSSIVILFLLII FFIINCRLLRTETKLIRLLQYLNIKIIQEQIEILHFQKDQLSKLLLIQSQSKEEPVTQKP SEKAIANQPKFNPLSKLENYNEKYTLLIILSLIIGCELILFIFGSQLISKLYNSQYQSSL ILTMKYLKLKSRLDSAVIVGEVMKTEHIIKNNSAIDYINQTDHIEFFFNNIDVLLHLSDQ INNELVTTTSYNQSFQQQLIDIFNDDLCTYYASILSFCQISNIKQLYFENENYLTLIDKG IYGIIQDLNKLSKEEFNFEKVNLRYEYDQSHSDQFLQSSLHFHLFLQYFIELQTCIYFCF LDIFTETQILSNKLSNQILTYLITSSVAFLIFTSFVSAFWINKQYNRLNQLKLIATLFPP ALIHQKGFNKLLYSQLIQIK >CAK63939 pep:novel supercontig:GCA_000165425.1:CT868029:159396:164798:-1 gene:GSPATT00033792001 transcript:CAK63939 MLQSFLNCFKKQPKFRMNRDYEKDILSSVQDILPQSFQKHQYNKQNMNQIESHNKEFQAT NDLNRLNQEQGIFSPNPSQANIRLHFLENVDEQFQKNENPYYCQFSLDYFGMNNKFRQYC ILINESRVYQYSVYIFTTFCIVILMIKPYNGQKSGFGFSLNDDQILGVIVTADVIFAAHH LISIVSYGYLEQLCRLWSCKYGYYRNPIAFINGIALFFALILRQSYFYTLRILFLLEFFM HYKILRIVRKFCLTVEHSFISIVYITIIICFFFYVFAVVGLQIWTGIIGNQCINKDNEVD YYPTRFCGLGRSCSDGYECQQAQFEQPFIQYSHNFTKFDYIQESMASLFIVHYIEGWVEI EQSLADSFNKYVSTGYLWTYIFICSFFLQNLVVAIFLKQSQQEVHLDEPAQTVLFLNSHT KISLTNEKRKTVFQSPNYLSRSKQRVMTMLMNSTKRSSDQRKIRVIIKSILSSKITQYFY SLLFLANLVVLSLNDESAYINKLEGTLAKINVVFLVIYLIEDIARIYIWKQKESKIIVLL EFICDFVGLIVQVNQLEHPFILNTIKGIRLLWVFNYQTSWSNYRVLLSALWKSFNNIPIM ILILFIYGLVIGLMGRNFFAGKLRFNDLGFYDLDGSYIPRANFDSMRETITTLFIIISSD QWQTIFYTTLETGSWIPYIFFICVQFICKFFILPSFLTLMLDNYEDAKNEADISKARATK IISTMQNKSRIVPTKETSLLQVSNSQSEKKRFFGRLSKVSDDSEKEETHSKQHPALKRQQ SKYQQVQIFKSSDDFQIISLYQQNWKEFFGNSSLFILHNRSNLRKKLHILIQSKYYDWAM SFIILSNLILLGYDQPLRKENLVIDVFNYAFTGIFILDAFIKILAQGFYWNKQEPQKSYI MNNNNQLDFVVLIISSLDDLNIYKSQYLRAFRALRTLRILITLRRGSQNEQLNLISRSLL QTISLLSSMIFVTGISLWILSIICMTIWKGKLYFCSQVSLKDFQDIVNVEDCLAKGGQWI NNITNYDTIQDSLLCLFRLIIGEGWTQQMFSVSDITNRGLNPKINSSSQYQFFFYVLIFS LNIVLLNLITGLIINNYRTIKENIANFQQLNEFQRQWIQMMQIMQKKKLIYLIPKPSSKY RLICYHLASNQYFETVILILLVVNLILQLLHSYLQSITTQEALYGMSIFFIVIFHVELFI KLLAYWVHFFRDILNKFDIIILIVTDVLLIMKDSFDLPRLYTIPLIIRSLRIIKANKLFR FNKQLRVLIDVIQDLIPTLLSVIVFIAITIIIYSLIGVQTFSTVWSTSSDPHQRTKSFSN FLDGVLILIDISTGQYWSLYMADYTIDTKGCHAQTPEQFESEGARGCSTIFGYFFFISFL VLIRIIMTSLFLAMIIESYQECQLENTAAINPYQLEDFLVKWSDYDPQGTGWITPEDFAF LMFEINPPLGFKDENSQYYDFAAAKNQKLKSHFYNHKKKMRLFKTEIFQKLSDYQVQIYQ NKMVHFKDICIQLAYNAIKKKNELKGIEQIEDKIILRQIRKSWESRYPDLVGMKTQQFVV DIFAFNSITDILRGVIERRKIKKRIKEMQKEKNLKQLEINENAYITTKTLLERNKGRRRS QIRKKVYNFQQTNVQRRSEINVDIEQAIHQPKRAMHQNYQKQQQNKDDKNSLTSLNFYID PDEMCNGFPMKVVHETQKIDFPAIKSDKSLGTLQATQSEKQVKKLGGYISEKCSDEENQQ DSVIQNFKRDDMFE >CAK63940 pep:novel supercontig:GCA_000165425.1:CT868029:164842:166547:-1 gene:GSPATT00033793001 transcript:CAK63940 MRILIINCYDNKDLEKFQHFQFHVMKYLAEQKELIDTENEFYVRNRDTIEDFLYEVESSY VKKEAAQKFDQLDVIFIIGDCHTRPWAAHMAKILVLLRMCLRVQKLLFASGFAMQALVYL SASNIERPINLINQNGGKLSDLRELKIQIQQSDMFLENTSGDLYVFNYETSEWIPKLNVG IHLRSAAQEFQSIGKYVVKAPIYKPKQNGSFLSKNKNTETVVSIRNVYLQHWAFKDIESR FLAPLLNRWDIHNFQFNNSEKKFICLAESDEGPSIIEYPKALACMFEIDTKYPFTNTLLK NFVQYAMYNIKVSKQEKLYYVNLSSSKPFNSIENYHKVNTKSSSILDLLKNTNNQNQKKT TLELMQIRGKNGIASHSQQRLTYAQEEQKLQSMQHSLKRRSSIAHVGFTLNKNVPIRPVE INAVGKRRIKYQQGQQPSRKISADEAYLKSQRDSEDDVFNEAQSIQKTLPLKPPSQSEIR KLLHPEISKSCLEVREIWIPGHLSEQVKTQGDRRVSQIGGSFQSTQTSQRRRWI >CAK63941 pep:novel supercontig:GCA_000165425.1:CT868029:166735:166920:-1 gene:GSPATT00033794001 transcript:CAK63941 MDTDLQDKCQSQMEIEQFSNRIETHKFVVGISKPGEMRIGLQTINKSKITVKGILGIQEK Q >CAK63942 pep:novel supercontig:GCA_000165425.1:CT868029:167105:168048:-1 gene:GSPATT00033795001 transcript:CAK63942 MLLSNYVLLNLFVLGLVEQFEQFFMLQNSMIQTYVENMDKIKTIWCKYSSETQGQAMHYK FLCRFLLDIGKPLGGGSDENLWDVGKLASSFKLQCDHFGYIQYNQLIYELFRVCYHGEVF KNGTSSSIKKVKQFNKEMQLRLMYYRRNRFLQRSNISPILHLKANFNILHDYLTVLILYK AWEAYSRKLIKKLNIKQKQFTEEDLDEQDSSFDKKSINNQYIDQDCNQFLEDDITQQVIS NDEPRPENIHPNTNCSEEDQPKKEDIPVYRWQSDQQSGGLFEQAFISPDQSFKIRKF >CAK63943 pep:novel supercontig:GCA_000165425.1:CT868029:168135:173588:-1 gene:GSPATT00033796001 transcript:CAK63943 MQKISDRKQNEVARSSKKRFSISREIANRKMSNSNLFSSEESKIRMIGSRHSVSSIKSKQ KVNISMNKDLSSGSSSFSSDSESNKEKLDQSIILKDNIGIIQQAQSSFYQVQDKLILPNM SFDQDFINPLDISDGIEKSILKVQFIDFEHRWGMKQDINIQKQCGGDQMLQSQVSDGMSS QRSLKMSHRSKKGAFQSKLLAVHNRVKQQLSDSEEDDYADNESVEDKLKGKFKKLYERNP FEDPYYVKLQDLHGFERLHYEINAYNDDKKFLNLRKSIVYYSLFIPILCKRIIRSVPFKI IMSFLIIFNVTLYIYSQTNQDPLSTKDMETAIMICFLIELGIRILASGVLIPKGAFFKNF QDLFDCILVVVYVLNINYPDVFIVDVSPLRVITLLLYLGDIFSGLGVMLKALKQSFRFLL EALMIVGLFSLFFAITGVFLFQGLFNYRCQYDNGDETDGWVQCNQNSCYEEGMSCKYSSF TPKLPTSFNNVVYSYGQVLRTITMDDWSWVMFFTMRIYHPWTWLYYLFIIFVCGFFGFNL VIAVIKIHYAEAAEENAKEEEMRQIQQKIKENRELPERDIINVFDVAFLRYIEFFPVIQR YRLALKSPYRAFKLEENVNEKGNSNKQKGPRILSAKQKKNEDYNPKQGYFKRLIYKIQNL TVKNILLPKFYLLNEKQKTIHVKRYTEDEIELQILERLKSLQFSQLQSSVNQQIHQKFES EGDVLPTLNLPDCEKKELEKEILNMRNIKIPVVYPDIKQKKIQQIVYDRKKLSQFPIRKV KRIKLNETEDEEVETNNSLDQNLFIQKQSMALSHKLKFSQNEGKVPFAIKKQDQNWVHIQ GYYLNYAGVSLKINAKIQKNKHSQPSNEFQYRLLRRKDIQQNQISKKTWSGNDVLKLNES RLFNFREVLKRLNFIDIQIWMLGFKGKIETLRKYSYILITSQLSQLFFDSVILINFTFLS LQGIADSVTISNEEDVSTIFLCIELVIRFFAFSFKDISQSPDYVLQSCIVILNFIELTMS SVMTNLNEQNLRLIRGTKCLLFYRVLKYNKMAVAIGHIAQRTFRQYIYLTFLFFLVIFVY AMIGMEMYAGYFDQTDALGQLHSYDNILKAFMTIFNILTNDDWYGVYVMGGNINYIFAVI YSYSMVILLNYITYGLVLAILLDGFGAIDKEMQELDEEKEQDEEKNNEKQEEQNSQITEQ QEINEFQLNLNPLISQINLSETQQKKSKQHLITNLMKSIRQVHKDILNKYPNLYDGIQCK QSFYLFEKENLFRIICCRIITSKFFNYFIDTVLYFSIVVFALKTYNDYESDSSFYPEVLQ FIANIIFLLEIIFGSIAKGMWMNKGAYITYTWQIVDVIYIISFFLNFLSNQNKSIIVELI LYLGYFRVMKLMYRISWLDTLRLALGRSLADIWNVLITMLSVWIIFGVYGIILYEQQFGF CEDKMQFEVNKRECLEQNRTWINYKHNFDNITIAIPTLFVVSTFDGWGEIMQISENSQNP NIGPEAFNSYIHTYTFFITFCFIGSMFFLSLFTGVLYSNLKANQSKIEMSDITKAQVEFM EISQIIIKDFPLYSSPPTSKIRRFASDLTNSNTAQKIMFCLLLLDLMVLLLFFSEMDDNF FRILNSTHNSLTYIYIIWNILLFFALGVNRFFDNHWRRFYFFLILIAIIDIIADFESDWA LVYFRSSPADSGYQLLRLFFAIRCLRIILIFQGLINLQRLMRVMVFAMPFLGKIFCLLII TMYIFALFGCQLYGRLEKGQVMDDQINFQNVGLSHALPFLNVHQEMIGELQ >CAK63944 pep:novel supercontig:GCA_000165425.1:CT868029:173744:176216:-1 gene:GSPATT00033797001 transcript:CAK63944 MEKISVCLRIRPLNQKEINDNEIIAWDANDQKIQLNKICLKELIAQKKVVNSLIYEFNHC YGQQADNYFLFSTSVKQVIMQSLDGINGTVIMYGQTGSGKTFTMLGKRNQEMLQNNNSNI QQLIKDSFANNQYNDVGILIYSMMELFKQINIDEKRQFEIKCSYYEIYNEFIYDLLREEN ELSEPLIFGTDYRKEFVIKNIKEFNVTSIEDVIACISKGEKNRHYAETILNHQSSRSHTI FKVLISAYSNESEEEENFTFSTEACINFIDLAGSEKITSSPISDDITRNSNRKDRNKESS AINKSLFFLTRVIALKTQKVPPQHIPYRNSTLTKLLKSSLTGNFKTLILLSINPGLSQYD QTQSTLRFGTSAKLVESKIEQNVNFDASYSNNQQKLNQLIQKNKQNEKIIQDQQKIIDSL KQQIQTQNGSEILTNFKQSEIQLVSKPSVSQFNETDVSLLEKTQELNSQLQQEMEKNVLL EKNCTELHKRLQKSNEQILTYFNSHKEMEQQIKELKLQNEKFEKLSQNALNRLSCYEGQP NFKKFSLEDLQNLEHQIQQCLQEIKIEKQRRNSFQQLNIDAMPLFTQPTETLRSDRSSAS QDFRRIEEEDSLPKSYKKTPKKDVKQLQPKKQQQSYFKATSNRLSTLSNNENKSPLLKKP NLRDAKNFAKKIQDQKIQKPTQVQEEYRSKSNENHSQQGGQQPEQSKLKNDIQSLADRLY SLSEKFQLNRDSRVSQSRKSTNINRTDIIYETESELLGDSRTTIHKSNRSAMK >CAK63945 pep:novel supercontig:GCA_000165425.1:CT868029:176502:177290:1 gene:GSPATT00033798001 transcript:CAK63945 MLKFQFCQLIGQGQFSKVMLAKNSGHSYALKVIDKLKIQKCNLNQQVQREIDIQSKLKHK NIVQLFTHFQDNQKIYLVTEYCEKGSLYQKQFTKSKIKEITKQILTAIQYIHQMGIMHRD IKPENIYLTQDDVVKIGDFGCSIQKGKRRKTFCGTLDYMSPEIILSSSIMSQYDGYDERV DIWAIGILLFELFNNTVPFRDINKYKQQDRICREEIVFKKGEDPLLIDFVQCCLHKDPNQ RANLQQLLEHPFLQF >CAK63946 pep:novel supercontig:GCA_000165425.1:CT868029:177324:178672:1 gene:GSPATT00033799001 transcript:CAK63946 MDSITFKQKESNSKLTNFDNIDDQNNKNLIMLTTQVERLTLALKKKTNEVEILQGQIDTQ KSTQYPQEMEELITTVEEQTKEIEKWAQKYQNLQQQYQQLHQSSLQLQQKNQELEQVVQL LKNSSSLEFKSTESLPSKRFYQSEISRKENKLETDDNKFISQSKLQSKQEEINQLKLQLG QLKQELLEEKQIHRELLEAEEARVRDQERKNLNQYKLETKEQLILYKNQIQALQDMLDNK QSMQKHDNFEEKNRELNLQLLEKNKKIELLENLISQKDSQINQLQQQLERYQKEQVQLIK QQQSQKQLTLPSSSSTYRIVSTQHSSLDNNYSSLVNLNKPNSIQDQINQTMAQINRSIQQ VDQMKQANSVNQKLFQEQFNTNNLPSSLRSSQMPQMQSNLSIQDKIKALTSLTQQQNSQN YNKYI >CAK63947 pep:novel supercontig:GCA_000165425.1:CT868029:179515:179943:1 gene:GSPATT00033800001 transcript:CAK63947 MDSINTCLFLLNEKNEKESECTKDELNDSIDLYVDSKISEIERRVVRYLHDQGIQTKTDP GLKQQRYWNLRRIESNKQLEQFWSQPKLSLNSSVSRLLSGRESSLSTYFHKSGSSLQAFL TS >CAK63948 pep:novel supercontig:GCA_000165425.1:CT868029:180232:181534:-1 gene:GSPATT00033801001 transcript:CAK63948 MNSNKTYSETTCDCKSLRLNVSANKHETNWQPRKIKISSNRPASSSKASSSTIRKKSQMY MCFRDISDFQAMQQTLGQGSFGWVTLVKEISTGKLLAMKAMKKAELFKYCTVDNLKREIK IQRKLNHPNIIKLDSYFEDKTNVYLVLEYAEGGSLFKQIKKQRHLSEDEASHYLYQTCLG IDYLHKQKIIHRDIKPENLLLDNKGNIKICDFGWSTEMGNLKKAFCGTIEYMAPEMIKSQ STNYKLDIWCLGVLLYEMVQGKPPFTGRNDQEKCQAILSGSPLKYDEYVSEDCKSLISMI LQQNPFNRPSIQGILSHRWMLSKIKQHPPLNENLTYRSVSLLIDEQNQSPLQTSLTVLSE RKTTNKEWEDMKQRSQHFVFQQPQQVSEQPQQTLFKRILISLGCINR >CAK63949 pep:novel supercontig:GCA_000165425.1:CT868029:181554:182944:-1 gene:GSPATT00033802001 transcript:CAK63949 MIIELEDKLTASIHLSNLQDQINAVPQSDFQRKLFFTICFGHIIHIFDSCIAMFVYDNPL ILLIYFACYTVGQLAALNKYVQRYQFYCQIFQIVLICVLYMEMQQDIIVLIAFIIKSLIN GYMNQGLQMIRFQLIKISVNVGYLITIVFSLIGLVCKRFEYSLFRFICVAEFCLIVIFLK QFSHLPPLMEECLDNEFDIYIKYIEKCAESNSVLNYEEYKNSNEQISIIQTFQVKVHRPT LRKLFTKLSKINEQIYKYFICSTLQGFLFYSNLTYLKYFNSDNSNKYIDIMLLSFFGALS KPFYQFMTGLYTQKQVYQISCKFTVILESICIISQLLKLSYIYFQSDLMLLIASLIQGVF HYNITTDISSDYRTMILGLSQVCRHLGGMFMAYSVYDFLHEQLWEFLFVQLIVAQIMLCY FGFQTKIQF >CAK63950 pep:novel supercontig:GCA_000165425.1:CT868029:183015:184137:-1 gene:GSPATT00033803001 transcript:CAK63950 MINHQDSSSFEHNRLHQEMKQTAQFFNPQLGSLGQTIKYQQKQLQRVPFKNTKDQAFKIN SEGKKNVLSQQVNQKRPSQPSQQESIAKSAKQFVQNQYITPVMHKYGSPPKLMKVPSIKP FSPPQPKSNSEQVIIAQNNSVASGIQRSANGRFFQTPKTTEKEFNISMADLQPIPKYNST KAAEDYVKPEYPSYQKALLDSRQSHFNSEQMQKSNSTAFLPRIKPPIQKININQFIGYGS NGQQSQQETALRTSSSKQTITPVNEFINFNLPEEDISRKSRTAQHLRDNQDQQLQFKFGQ KLKNIEQSDQIHQLPSLQSPQSSTKQQFTFQSTGGSGKPKNFLERTPQKKIISRLFSSKL DNNQVI >CAK63951 pep:novel supercontig:GCA_000165425.1:CT868029:184306:186840:-1 gene:GSPATT00033804001 transcript:CAK63951 MSSSINHYKYTCASNQNTILDQETVDVSDVENYHALEIKSLDYLFVCKKSSKCLYLVSCI NSSEKYYLNSDKDISDFVLVYFAHTYYLIIIQNNENIQFFKIDCQYQFSLATELKIEGLQ QPKLYCSQQIGAILIDNTQIYLLQLDNKIIKSQLKQAAKQVIVTKCLKYIFILTQDSKLQ VLNSKTLQIINEHNLKYTESEPLRFQLFELNNQIALFTITLEKRIIFWTFEKEDLHTSSL LPNESVKFKFSSSKQEVELIDFQIDSTQNFLFILYQTQEMAVQIYVAQLNQSQIQKKEQS KIKHIKKFVKERSTSSFFIQFIIPPEQNQKFLHGGNNCQSEQEYLEFQQGFKDFQNQVLE FTDHELPYIFGLQSEKRDKIDLCWFYSDLVLGAVLPENLLQLYDQNTSKKQGSSIIQQSQ LIPPPYIKEEDVRQLQKQQQWENNNQQNNSGEIDPLVKANNIIENELIPKVQQCQQQQQF QMISNDVKKDLIEDITFIFDIKDLIESQVKTELDKQGQNDQQALLKLEQQFKQDLCQLET RMEEMVTQNLELYQQTCENFLMDNFNQSYFEQLIDDRIGSHLKVLANQQISQPECTQIVQ NSTNDYLKEQIGQLYQVELAKMSQGVVLIFEKVIQYFNDMQFIDQTKLVNFNAFIDSSTQ QLQTLSKNIAQLAENNRPGQDALQKLDQLIQQTEFMVKQYEDAKKGLKLAEFQQQQPQQQ QNFQSEDRLQKIEEHIVKLRNDLQVLTQNQQVQIQPVTGMDQFIKGMAAQLTQLQIKLTT PQMNIQSIQEILNQLILQFQYFILKFGS >CAK63952 pep:novel supercontig:GCA_000165425.1:CT868029:186965:188830:-1 gene:GSPATT00033805001 transcript:CAK63952 MNSSSSSQLLQKLQILYSGNKKSSEHSVSPLQPRPFASREINQLLEKSSPTPYLQVKKHD VEQWTERLIKPTEKASCSSFTQNENVFDIHLQKYSSISSISQQTFPALLQIEKKVKLLKY AKENDHNTCAAIIAKMKLFCLDNAQTCYDFYRSTDLILLKYMIFLLIQELNQRPAVEKQE ISNDQTSQFQSMFKDIVSIVYEIIEEVKQKSFAGSQIDKLNKHLVQIQNKFKADPNSTQK SINKSHHQRFSSCNFDNCKTPISLNKSNKESNISSDKYKSGHQKQQNNISGSQKIIRFQI DELDQKKQLVNKLNEQIASLQNTSKQQQVQIQDSNCQIASLKQELELKQQEIEKLLQSIE VLQSKDLIHQEEKEKYHTVFQEYENENIELKSNLQQLENALEVSQNQFENYKQETFAYYQ AQFNQIQQAKEKEISHLRNELRENQVNINHLLGDALYFGKQYKSLVDRIVNFKPESVAND LIQLQKELFYSENTLNAKLNAIANFSENLLSNFGQESNFGQESMSQSQSTNQTGSLYGIP KSRTQQYNASKKNCSQEYLIAQKNQFEIMEMLLIQSQVLEKFLF >CAK63953 pep:novel supercontig:GCA_000165425.1:CT868029:189177:190671:-1 gene:GSPATT00033806001 transcript:CAK63953 MNEICLPQNHHIIQILYEYLQKHGMNLDNPPTTKLFELFIQTHEITYQKKEFQLAQLNSK IFQITQELNTLQNNIEGQYSVIKNIKNRRVKLHIELDLQESHLHKIEKSISEIHNDPSII QKISDLTEGIKICRDRYLSIKEENEQFNKIQTTLTQQKQQLKNRYKFAQDKIKNNLIQID DLKEQSVSLNNRLIKQLKTVTELFQLNHKRTINQKHTNYVNNPIHNDDTSMILINLIEFL INLQMQILNKSGSNISSEDEILDLIQTILNLKSQLNQMKIQDNSEEQSKLTQQTESISIR QRFRYKTHHNLGEIKLSKHSQQGQDSKGTSQYDDEIMENQSSDNDCLQELIQISPKKPWQ FKSVIIDSSKNSEKKMEYLSEQQIKSQNLKSLASISSDASFQDLRVTTLQEELQTVYSYD QTQGENIQVTSCCYDTQERTQINSKMESNLYDPDQTKCIQDQKNRRNFKITGFIMMTIGI AGWMYQRSK >CAK63954 pep:novel supercontig:GCA_000165425.1:CT868029:190702:191743:-1 gene:GSPATT00033807001 transcript:CAK63954 MSEQQKYIGNILSRTKSLITTNYQKLPLKAPKFVENIVVYADKVTEPFVQKVTDTVDDNI NRSFQIVQSYEKTFNIAEQGQKLKQKGKELTDQLRENSKKAIDSGVDLAKNNGATQFVLH TCDNVANKFLTSIEGNIVRLQKAVLKEAKATNSEQTYNQRTVQLAHNLTEITQGLFTLLK NEIHTFGGQKVQQLKVFVTLNFKQHLNATLFTYKVIVVSPISSLYTTSLEELKSRLNVLR EKYNNKELIKHLQEQLIALKTFIEAQKFEEFKALLITALKQLNILTILKSQYQKTIQTYQ SSLVDQRKEVEEEKQPEEVTEETQTRE >CAK63955 pep:novel supercontig:GCA_000165425.1:CT868029:192234:192812:-1 gene:GSPATT00033808001 transcript:CAK63955 MLYPNYKFYQYAACQRQIFIILMLPIPELYQQLRSRARIVFLFLPVIKWMIVIKSLSNKM DLLVVIPEQLRKSTQLIRKLLEIQNQQSMQKPDYYACLQTKTSVSKCLMNLVFHLFVI >CAK63956 pep:novel supercontig:GCA_000165425.1:CT868029:193652:195366:-1 gene:GSPATT00033809001 transcript:CAK63956 MSDFFMPNRSEIAQYFQSGLGNLQDKYTFLLFISLCSNFGPYGGGSLIQNSGFLVQPDQY CSSVGLEESATPIQIYEFHEPEFQKPILFRSSGNLEMQSEEFGIDRLNCQVKTLEDFKEY KNPLQDSGFRSNEPSFQEFNIYISNLLDSLSYLESEQQINSQFKPDITYFDNQNIISENK SLFNVNQAKSIYKKKITLLADQTQKKIDLTIKTSSRQTKNQIKLQRKSSIIPNDQIEMQS CQMTGDENIDSVQQKLAKNRESARNSRARKKLYYELLETKVKELQEEIQRLKESNQARIC NKIEENFQTFLEQQQQLFDKLETCLLKNKENFEIEIILDALRYRTNSNIQERKDAARQYC ESMIEAYLPIQTKYLIYSLENNKDFFALQSEDYTDWMKETFKKLQIQPDQIKKVRRIKSK LQSVTNNISEYNYTFKFSSIQKIQDQLKVIQSEAIQVDLMWEQLKEHLTPVQLGKCILTM KQNSFRQELKTSSLFPQLKNHQLREEDKIIQRQDQSPIPKNRKRIKQTIQ >CAK63957 pep:novel supercontig:GCA_000165425.1:CT868029:195538:197563:-1 gene:GSPATT00033810001 transcript:CAK63957 MKQLSLLNLVNIQPKQTTLYSTKDSIKKIRSFDIIGKSPYKINPARQTQKQLIKECPPIT SRATQRQHIKSPPDFTKSNKPISISNHRMFIFIVYKLIFIKMKRKLKDNKNHIISNQNRS SLKNRTNCIRSIKPKVNTIQYRIGINTQITSNLIPQITKLHLRTNPEQIDQEVTQKLPST LGNPNLSKSEKLLQQNQQKFLDLQNKITSNIKQRNQTKTYSLTTHQPQSTVKQLPDQFQL PQIAQYVLQHYSDQLSEFEKKEIIDYETIYYLAPKNIAKAQRDPLGNQFNHGYDNQHGDY KFIKQDQIAFRYEMLDKLGNGSFGYVFKVFDHKHRKEMAIKIIKNREKFYQQALIEIDLL KIINKADNSYCLIKLMNFFEFRNHICMVFELLCCNLYEFLALNNFVGLEPDLIRRFAIQI LQALLYLKACNIIHCDLKPENILLKEFTKSGLKVIDFGSSCFANNKMYTYIQSRFYRAPE IIFGINYTFQIDMWSFGCIMAELFLGDSLFQSKTEKELLYAQTKVLGMPPKEVIDQSPRK VKFFDDKYQLNYKIKENEQTQQLKPLPQLLVKAGADFYDFISKCLEWNQFQRLTPEQALE HPWIINTLPQSIRNELLQKRTNVPSIIPNNKNADKQEPK >CAK63958 pep:novel supercontig:GCA_000165425.1:CT868029:197735:198841:1 gene:GSPATT00033811001 transcript:CAK63958 MFPFVGNLFRNDFPLFLFDDHFIPGPGVRVRVPRPPYTNRQFRDQAPKPPSLKLMQSVRK PLKDFTENQGQYWAILGDEQYEKGDFFNAITHYTKAIELTEGTESSFFRCRGLALKQAGN LDPAYRDAIIAIELDDKNIKAHLLCGQVLAERGKSSDNTQDIENAIIRLTKARTLCAGQK KEYYEDELSKYIYRAKKLLWYKNQEINNKNKRVAIENYKTYLNQRQDLNPEQRQKELEGF INSIGNPDQKQEYDIPSYLICKITLELMENPVVNDAGQTYERDMLIEAINKNGPSDPTTR QPISKKFYPNVNVKQATQDFLLNSPWAFEFQKGEEYQNIEF >CAK63959 pep:novel supercontig:GCA_000165425.1:CT868029:198888:200301:1 gene:GSPATT00033812001 transcript:CAK63959 MRVGILLLAILMMGTMAQDNEVVIQLLTELRQEAVDQLQLLNARFQPIKQAKLDQIAVIQ QAISEQKGECYKRQQDVEAKQTEIDLANEYKNWMKARQESNNNRIGVLSTNVCEKNNNSL NKVKNGRVLLRLIAYLRATLQAQLSTSFAEVKENTISEISHIVTAYKEYRKMNMLQTKQE EVELNGTIEQLIELLNQMEREIQDDIANGQNGQVQIGVTFSEFKVRIEKENDIFNRQIEV QDDLITHFQNQLTTLYGRVDKCESRQKEIEYTLLVAQEDLKYDQEWNDEDRLRLEEEIEL FDWLIKHYQAGNLDEADESERSSISNDNGSTDGSANGDSGLNGDDRDGVIDYTPLKEVSN AWGSEEEGDNHENANDHHEGNDAETEDRGDVIDYTPYEEKDVDWDSLLQKKQNKNHHQSK KHHTHKSKK >CAK63960 pep:novel supercontig:GCA_000165425.1:CT868029:200463:201722:1 gene:GSPATT00033813001 transcript:CAK63960 MNPSWRQKFEKDKQYPRHPMAHKKGGVHMNQEDDTKLFSVAGAMMKQIGSKIAKGDFNLA TIPKPICLTAPITCNECLLFDFDYTNLYLTEAAKCRDALRRMQLVVINEIAYLHGTHTYL RSLAPIDPMIGETCHRIKEDGTEFYCEFIKADPPTTLYHVIGDGWQVYGGEVVLAEIHPT CSQLIGRNLKPKYIKFSDGKLYEISVPAMIINGLLKGDRVLNKLDGFVIKCVQDNLEAQV SFSYVYEDSTTKIKNKLMFWSQSQQKPISDLVDVKINKLINTDDNNNNQSFTVSTGSGSW LSYFQIDGDVCWRIDDPISPWKEPSSMIPSDSAFRQDKILMLQGQDDAAQIARNSIEKQG QKDLNQRKRRR >CAK63961 pep:novel supercontig:GCA_000165425.1:CT868029:201730:206683:-1 gene:GSPATT00033814001 transcript:CAK63961 MNRQQMLSKYKEILLDYLDKVRKNIPKQYPSFKDFLNKMIDLVKYESLPKLGKHMVVFRL TFNMRQTKLTQLALSVLFKLVSNGFIDGRIEDTSQEFYTDEFSELFNDSNYYNGKKKTII DSLINSVTSCLLEKDEIIIQGLKLLVAFVLNPFCYVASQNLTRIIKTIIFSYTRTGTRTQ IVDRIAKSMLYQIINYTFQAVEGEVFTIQRNKRKMSIKEDSVQKLTQLVMLQLVDMVCLN KTSLGFSPQSNTLNENQQPAGYFGYCLMCRKSANLYCKDHRVPICSFMCKKQHQEYVEQT QNTYSGTLKQYEENLDSALQLYDSLCNLLMNKTTLQQAKNQQIILECLLYILETPDFVLS KNEKFIKITKERLCNQLLKYCLETEKTLYQYSFRIFQQLVCIMRKRIKHEMAIFINQIYL NILLSANSNVLHKQTALESLCSILERPKIGLEFYINYDCHTKHEYLMSKVIHTFYEIIVV SVYQKAEYQIQSQQETLLKSLAIKAIYYIIEGLNKVFDKFIITPSEESGTPQMDDQNVND NTTVMYVNPIEIQRQLKQEIMKGCQVFKKNPDKGVKYLLDAQIIRNDAKEIAKFFRENQQ QLSKDAIGAYLGGHQQLNIKVLSEFTDTLKFKDLTVEQALRYFLDQFTLPGEAMQVDRVV QKFSDRYYKENPNSAFKSSGSIYTYCYLLVMLQTDLHNPSVAEKMKLIDFQKLARSINDG DDLPQEYLTQTYNSILKQPLAVREKEKSRVFIKESLTQNIRKKQDLFQREKEALLKEGSE LIKTKQDLHETIYQNINQDMAYLIKPFLECIGKPSFEMFLFVFNNDQMEASSNQCIQGLV LFIKLCSFFSIPLQDYMNPLLKATRLNYSGQISNKHINLIKQILQTVPQIGNGLREIGWI SIVTMISRLDEMRMIKQSKDGVEGLNNVIQPELLLESDLIDKIFVQSKQLDDEAIQEFIN ALCYMSKQEIYQTHPRVFSLQKLVEVCDYNMKRVSFVWTKMWNIVKDHINEVAVKEKRVA MFTVDSLKQLSIKFLQKDELYDFQFQRDVLKPFETIFLQSNLDVKEFILSCINHIVLNHK HNIRSGWRMVFGLITLGLKEENDKISKMAFQILSQIMQHNLDRLQDVFIDLIQTLKVLAG KVQEDMALASIDFTILCFGYLSQQAQIAPKLNWNEFDEPEPTVRNASTAAQLEKIWIPLL GVLSELAGDKRNNVQAKSMEALFESLQQFGYAFSAEFWKMVFSTVLRPIFDEIQFTFQQN YAVESTNNDWFKNSCKKGFSLIIKLMKRYFQKLRGLLPEFLKLFENCIQNQNVKLAKYSI HSVKSMTLKIGLMFNEEEWEQIIQFIDRMIRLTMPTKLSSFANQSLSSSRMRNMVEDCFT QFTSQLLMIQISMEILQHFNQKLNLQQLQTIENTFLYSYQFAVQFNSQIEQRYLIWKQGI IQDVNYLPGLLKQERDAYGCMIIIQKYKLKNHNIFDIDSLIAPINTFIQKHEMIKAGTAL PDEQDQQMQKLRQLEIEREAQYYKQLLSTYILPTLCELDDEHLKQYNKQLFQILLKSMNY SNDSITCKECARCQKCLQQEKSNLDQQLLSLVQKLYHLK >CAK63962 pep:novel supercontig:GCA_000165425.1:CT868029:206697:208579:1 gene:GSPATT00033815001 transcript:CAK63962 MGNCVSNRTKISTNLDKPQKQYIHQAFLRQTLTNPESRNQLLLFEENFNEIFDDIPYLGQ YAYWFLMGLQNNESKGIEYETIVQFSEIFILGNSQSEILTLPNRVSLIIAIIALFGNLNI QGSLKEFSTMSITYLLTSKCLQVFIAIMIRKSNINELPVKSFVDAIFSKLDVNIPILQFI KFIDTFMPQLEEIIEDYYYDKFLGEQKLFHSPQLCNPSHILNQEWLALMYLCFMHKDTSK LELLYSNVLNENSFELLTDLLTHSSSSFLFIIQCEHAARKYIFGAYTNFEWKDDSLPNGS KEDCIFQLFPQFRVYRTKNDKFTRSQYVYLNSKNEELAKGIGFGGELAKDFRIFINSDLL TVQCKNHDKTYEPGELMPLKQGKINLMEIWTIQQIQGTTQFKDLIQQKIEDQVEFEEFEI PQIRLDEDIGSYRSGDHSSLNQSINDLKQKDSCDWEGSSQNGFEEEIIIKNDGYEEVKKN EKEFEVVELNDSNNKTSINVQNQVVQNNQFEFEEVEVKTADKMDSSALQVVYNVKSGKFG DASQDDNWNGDNSDWKGDTSSSSKQKELLVSQDLKTINENLQESTHAES >CAK63963 pep:novel supercontig:GCA_000165425.1:CT868029:208740:222624:-1 gene:GSPATT00033816001 transcript:CAK63963 MSQIDPAYFERLKDQLNNLNSNNESFTERNIFEIRERLGRISEEVHQERNRDSNHVFNLY NCLQQVLQNNQKTFVDSCLNFIVYVLQHVEPQTTRNTKFLIRAAILDLLQKKILLQHMTF QVVEQMTIVLEKDNENNAAVAIRIINDLLKSNQQQSHQYQRSNTLFSDQLLLKLYSIFEQ RIEFLVKFNNELKKSQYKKLLGDNQNNPFWDQNYVLQSDIEDKREKDIRLNIFSLSFVTN IPTFFIYTIFVLDSIVKEETKTQMREILKRALKTMNQVINETNFQDLLQKVPRNIVQELF NAYSKFLQLLALTIKRDDNQMQPQSRIIKDISDTIKLDSVVAIIIKTLKHCPVDIMHLRI DISNRLKQSIIQKRDTIQQNDMTEKINEINANVAELIDEETIVGKNLKIPPYQKTSIYQN WLDIVKHVVQSYLPKCEQQNQQPTNLERMQSDFINNQTYMEKIFNTIFKVLFDSSLQISI HEKALDLIKVFLDLFKQQNNYFIDRNLLQYYIDQPQQQQQQNDSIFKEVTTHSPKYKLLD RLFQMIGMKLAQIRKQIQQIKEMLNRTQKWGIIKKTTSQTNVSSMPCNNEPLSERYISQL EQYIKENEIDIDQNLITLQDQAATQVYTYDDEEEYQVNSISINSYSQFIEYAKEVKSKIF DQLNDILQKLLNFVALTNKEIVAKYKNRQNGQNSQRLAPLIQATNESRPYLSNLQCHYMS KIMKNGLIIFDELHDHQRNHFEILDYKKKTIPQFMQFFIQFQDPINFKNIFEPNSKLLLK ISVNLIKTCPETHCLPLLLQDHLQILNHPDNQSQPKLSVKYYIEFLLNLYLRELQTTPEL PSRFGYCRGGQLIQMSNQKGQNVSQKDQDEYISLIQKLLRIPIRLFPRVKCQQNEEPIVK PLLKQLILFFVKKSHETYYPIDFLGLLLSLVKRIQNNDQGEGLSKYFQQLCDKYDRNDRQ QMLQRQSYEIYHKLNLSGVNIVLNMFELFQTGIVEIQDIVAEVIANFPLQKTMQINLAKQ YPVFAQCLFRALHNISTIENQVIQKTLMLLENIVTNLSMEEMREFFGDLLQPFIEKLLTF PSEYKMADIYSKQVNLFLDPSFKSLKILSKLGALVRKSEWPIEIKRTHTDNPFVRNQYLE VQIEGLQTNLKINLSETVRCAVEILQNFLEQQLATYLFKQSSIQHSYSIVKMVLLGYYQM PIQFDHDLYNYQIPEGMALDMRLQSRSCEQDLLMYQDEELISAVTKVFIGLSNFHNIIQT LTTATFHKLKEDVENVVTTLSEMFFIQLADDHCEQIQQLKQTKPDLNSLYAMTEDIVAKY LREKPQSIKWLSHLFKGLNKRYMLTAEENMNFKDFYIQQHEFIWIKLLMGNCLKKYKRIL NNHFKKNNENMAWAYNVYYTVVIRLFEEFVYEKFGKQTQLQKEDDRRRKIQIVQSYGGTM IPSEGLNCRSISIELLTEIQNLRPKFKDYYTSNQILGLPYIPDQRIVECSFILLRQLSET IRVVSQQLTKHLLFQTLNQLIENLNVDHSKYAHSHQSILILSNKLREFVKVVAENCLTFK KSLLQICTELFDHIITNYYKGNAGPLFYMNIGDGMNPRFDEQIKPIPLDEFTGANQSQLY WVNIDYSEIPTSPYTQNTVLRELVLIIKQLCEEQKMSDITAQRYANGFRILSYLLQKQGR LESVQYHLFKVVQFENDPSLIPCFEDYVQQSFKIIQQNEETYYKQSKLILTNEEYHQQQN ALINVSHGLDDLEFKINIEDIDFEIKWQSYMVVAVYGFLKSMATVNYIYLIQKHLQRNID KNQTVKPVILAMKFKIVEILYEGLLRVESSIRQAGYRYLQELLQQEGISNDKTLFENDER LKKVMKPLLTCVQSDIFHYIPSFLKSLKLILKIFSKVFHKALSDKLQAHLTRIVQDNYQQ QQPITQNVQYCTTIEWIYQQNQSPRIIKLLDGYNIWTHLTSQLTNPSIGANNINIVQKII TDTNNLRRNYVFKVSQMQLNQSIDKPLVKFLNTLAANYFDVFQSSYNLGQIQQFQKQLEG VSQLLANQTPIKGLWENLRERLQYIKTVRQVISEPTAFPLREKLCREKSQLALKMERFNK IIEDLSSSLEKGLMNVQTVLPPELQQSFHQSYIQKLINVISEIKIEIVQFGFQLCKRNPS CLKKNNHFLDNCILNNIKDLLEKQKQNNQNRKVQQSQLQQAYNQLILSNQQINSLLNRHC SMLKQFISQNEDSKLAISGMLRLILYSTQDKVKKWVMNVAMNKSISLRKRIIEIWMDNFK FSEDDQLNQVQMITGYHVIYPILFHSNQRNDLSQISQLSLFQRMKEINDDFFKYYGKILS DIEHHCPMNFTYKLHNNNLTAKLSDVNHYYKSSVIDMLQISSYLLCFQEQQLPSDLKMSF LQFGYDMINKSDKLVAFHANLYFSKFLKKIGLIQNDPISVNKRYLKIYNKALKQLDESGQ SEQELYSLCKKIFSVVLPWLDQVEVQDQKDWIQATQQQLKQESSNLDFESAQKVSRFWSM FIKNHKIFHKHHESFTIHIINSMQQIGFFNQNQSPQAPIIINSYQNYRRIALDMAFLQVE WKTKLIKNDLLHNNTKKFRDSFYQSEAQNHDESLSSEIYQSFFIKQSLKAHNTEDAELQK RALYLLKKILIIAPLTNYKMNMETVKKNIVNLMNSIVEPQQGNQNLRMLQQQPTAYFRYF LIVLHILTIIAEFQPSTKISQLYQPCFDIIFQMNLLPDQLQYQLPPRPNAGRIPPTQPRQ PPPQTRIQYSQPPADRGQQHQNALTQDHAQLVFLIFNIFRKLLKNAKENQNEESDRFQNS LSNWVKEAVQQHLQIKKNSQQQMNQFMGPRLPAQALKVNILSIFLLKLFFNHDITYVRPL MPSLKRIAELLIDYLKQKDKGDQYLDNMRRYTIPKKQFNIRENEQWENDSQKQYTHTDLS SYISDFGLMTDDFPFGVRKSEELLYFPEDFPENQKIKFHLYRSALQKTLKMMAYNFDEIK DQKEQIEYLQILIWIIESVPDYELKLECIAILRMLFINGISNVEGYLQAISVYLSPVRSF QMRSELKKMEENLQFKPSKMSCELQFGFFFDLNKFQSMFDKKIDQRSSDSYDNQHGKIQD LKVFKLYFIFLCELLLVYPFKKQQGSINSGFYLLKNIYDKGNGSLREFRRILQIISKLST CLDYLQFFYKMILDIYGYTYDRFLQFVLGDPNNKQQEQPLQTEGEEIMLMAVKFMMLGRG HLLSPKLFQPTQPNKLVVKVVPKDEDSMMREQVLNKYLAIYYRKKYWQKLYNSSIKLFTS EYLPSIVIYNLSYIPLQDQPQQPLRECIIPSAAIELLGTAANVSSRLLKPIFNQVIVNDI KTITQITDYVSQQSISNGTLQTISNFLVQQILSQITNIYDIMDNQQTQLNFNNLIMCLKQ KNLLPSAQYLLEYIYQYLNEEDSSYYSQLNEDILVQLQGVYQEQWNKQCLLGCKALTATQ PWVKQLVLLNQLREPAQSYQLILNNQESVDQFWLQLEQETNEAQGIQKEYDQQIIHQLFT ESLQQLNQWNTLKQVDLSRVQAVQNRIIMGFRERQKDTTEQGWKELNQLSDVKQNIQQND HFCYNQAYFKLLDFVRDEASSNQHGRGGGNHVLQSIEQNLKYAQIINCYNFIKTLPKLTQ SYDQMMASPLLWGSKFLNFVSQFQLYTELEESLRQYAPTQPAFPGQAQPSYEQQWDLISN SYQSSLLCKKYFFERQPTIIDQQEFTFDLISQRMVQLQMCLQRFNGQLSQLLSSQQLPAN TSIDQKSYQLAVLDYKTLLYPMRYYQQNGIFELFDEQSILKEIPKTLQGYAEKIYQLEKI KFKTIKFQDVDNNQQNLTEMLNFFSSLQSGNYSAEEFKNYMISKIRRYKMINQFYKLLRL DQSTESNNGMQIEEQKLEVMVSQTNEFFIQNQVNYLKFWKEAHKVYQLAHKRYSNNIKFA HHYIQITPLSMQYKPQKFPITGMYMFHILNITNQTDSIVTDAFSQIVALIPLHLLQRFFT QLVSTYLQTPCDQTRKDCLDAITKLCTFNPLNSFQLLQYLKSLGQCTDERSQQLINSIPK LSKLNHKIASIYSLRYLYNSVFDQLVWDNVEEIVYQCGKILDSKQFEINSSNINDRCQQI LNIIQKYPQIKEMIKQYWQFGDLNKQLKSDQLKTIQSIYLASIKCIYINLFGVNMSLEED FPLQLSNSINSFMAKQIQIDQLYSINDEFQMNPLPMLGLDGQIKRTQAYETIQITNVFPT IHIIFLRRKRFIRQIALLGNDEKKYLFLLKTKKIESKKPVQSILEEHISTQFVKMTNMLN QNYKETKIRNVKHIVSDKYLLEHDKLNYQLEPYQEETYNLSNILDTILQIHYHANPKGDS SIKIPYYNTQVDYLQKNDLTNLILRFSKTVDTFVQIRKIIVVNIAVQYALSFTMASSQSP KQLENLQINLSNGNFYQKKYLFKVNNQRLTLYDPFAIRYSRNIEHLVGEINLNAYLIPTF TATIIGILNIDLHEYLNVVFWQLGCKDSLEPFIKKLKDIVYEDGIIDSKCERLIAISKTL FSGQEKLKLWAKRWF >CAK63964 pep:novel supercontig:GCA_000165425.1:CT868029:222709:224557:1 gene:GSPATT00033817001 transcript:CAK63964 MLSYQNVAQNEYQKEKDIPSPSPLTRPKDNNTNQQPTPVPQPFNTLLPANLLHPQTMEND RQSIKSNQSQKDQSFRSNYNINQMNPQEQMLLEKQQFLMEEGEDLKSQVRKLQNENSQQK KVIDQLQSELQSFKSQKSIEQELKAKFDYQEEMIVKLKSEVRRKQEALQECQYKLADMDK IQKKLEDYNLLSSKSNELNKQIKDLQDQVQDQKRQLVYLNSAEHENSNLKEYIETYKQQL REKDVKIEQHREQQEQLYLDNKKMKTTLDMLNFELKKQQENSNDQLHRSTLQITELQGQV GTYQKTLANQAHQIELQKQQVKQLENKEKELYSTKNQSLFKPQTNKIYYVINQSLISLEQ KEIEKLAMTLKNGKQEFISLQQKLAIAEQEIKKQSDQIQQLQKYKDQLIHQCQFLESELK KKQGNLDQSINQAQHKQIEMKTILDQQQNQIQLMEGELQKRDAIIFTLDQQNQTLKSQLD QYSQNDSLNKNELNNNFTKMKEMHNDLILYEKQVDTINLENHQIKLQNQMLQDRNNQLEF EVTKLRVILNIHIGIINPTRQPYQKFRN >CAK63965 pep:novel supercontig:GCA_000165425.1:CT868029:225061:225270:1 gene:GSPATT00033818001 transcript:CAK63965 MNQFEYKAYEVYQGNLLIDYWAYKENDHSYPKSEMIKINKKMKKMQIKGSKRKKKEKDQM IDKIVALCI >CAK63966 pep:novel supercontig:GCA_000165425.1:CT868029:227153:233899:-1 gene:GSPATT00033819001 transcript:CAK63966 MRKQKQNLLDEIELKFNVEWVRSQKELLAWVANMKVISTYDESEKEFTALLVYVITQNGT IFLKLMYDIPPYFYVECNQEHITELAMHLENKYEKQILKVDIIERVDLDKANHLIGKKEK FIKLQFRLIQDLVQVRNLIRARCEKNRRNVDENDMLIKKPSTSKDIQDQLIGVREYDVPY HCRVCIDTGLRCCKWFKFVLKERRIAECIEVKEMMSMPELKYLAFDIETYKQPLKFPDAK NDQIMMISITHSQNSILITNMQTLGQEVQNFDYAPKPEFATQAIIYNQPDEKSLLLKFFQ VILDYKPNIISSFNGDRFDWPYIEERCLTHGFYLEQEIGIKKNEENNEYYGRYIIHMDCF AWVERDAYLPQGSHGLKAVTKAKLGYQPIEVDPEKMVPMALQDSQQFAGYSISDSVATYW LYRKHIHDFILALCTIIPLSPDEVLRKGSGTLCECLLMAQAFQREIIFPNKTTTLLERFH DGHLIDSETYIGGKVECLRSGIYRSDIDVEFKIDKECMQSMWSTVDSLIRFVGKIENETF SEEEIEKCSEQIRSKLDVLINRGEVFKEKPLIYHLDVAAMYPNIILTNRLQPVSIVGDQI CSQCYFNQPQNRCQRQLEWQWKGDFFPLKRTEYEFVKQQLEQEQTEKERKENEEKKKQML KEGLDTRRLQLKGFSDLAPDEQIKRIKQRVIEHCKKTYKTIHHHSVELKKDTVCMRENDF YVQTVRDFRDRRYEFKELVKVYKNKLEQAKKEGVGVKECHDFMALYESLQLAHKIILNSF YGYVMRKGARWYSMPMAGIVTHMGSQIISTAKQRVDQIGLPLELDTDGIWCLLPSGFPED FLIKSQTGRNLKFSYPCYILNELVYEKYKNNQYQWLKDPNSFEYGIRSEMSIFFEVDGPY RCMIIPAAREENKQLKKRYVVYNKNGSIAEVKGFEIKRRGELQIIKQFQQELFSEFLKGT NLTECYQACAEVGKKLLRILMTGGEGISQQELLDLIGESRVLSKEISEYDNKKGVAITAG KRIAEYLGSDQVRGGSLNCQYIITKLPHNTPVNERSIPLLIFESSFETRKKYLRKWLKEP QLQDEDLTLSKIVDWDYYIERLKNTILKLLVIPAALQNIENPIPQVEPPEWLLKKLREKA SGLQQTKINQYFSQINKLAHQLAQFKFQPMDIEENKQLSNKKKLQINEDNQKQLHNQYNM ETDFKNFLQVQKQIWTKEFRNKQQNKNINGRGNLKNMIKFNKQQLLNSVWQVLQFYEVEP GLFLTWILLENGTLTSVQIQVDRTIYTNQLTKEPELQTIKKTLPRDKKVHYLYPLTMDES AFQLEHKQLFQYEANPNTESLYEHKIPLIFKLVTELGAMCKVNRQYVQQQKNVFKFEDLQ IVYEQPSQQQYLDRFQNSFYQSSYIILHLQLQDNFGVWSVFFNDEVLIIIVRKSEEKLNR QDLNQYIKSVQEQFEFKRKQLNKNFEMPIPEKNKITVQSMKDDKLAIDYISNNFETRGQS MKLCILQSNKELTYLQSQGLQFLCLKIPTFCIPNLHRESRKEFQWDINTVKQQLESYCKL RETIAQHIKYCKYVKVPLCNLTASNLGKTDVFKTDIEMLNYTTDIFFQRVLRQKTSCLSW YGSGLGRDNRDLVPTEFTKTYFDNPGIYIGYTCEIDILHFSINTIAQSEEIKNLDKEASQ LVGQNGKSDMLDNLDELGGAKIAFRLLQMLVSSWLDDIYAKGEKVSDDHILNINIWIYSG LSPLYDPYLGKLLNRLNFKFFSILTNKLKEFGIKIVKASPTKLLLYTGKQQKDMAKSLIE YIINTFQQSNLFKHVTFKAQKFFTSLIYKDKHNYLGKDVDYNTDDVDFDYKLKLQDFLPP ILSSLFVRLLFEILLKVEELRSSQIEEINILPTNLQISRITNLQTDIINLLVQYINSSYS QRIYDIIIDQSEKYKKEKQNYETYLEQQQQTVALTGKMKRCNIEDDEEMQQEVQEDYEQD SFVVGDEDLDETPKQIEIEEELEFQEDGKVSNFPKLWHLRSALGSRIDLEKHEQAILRTF IYLCAILSVDEEVHQQMKRIKNVCCKTVKVSESSKEAEFEDPCFDLILQNVPCYQCYMVQ EVNVFKDIWKCEGCKNDYDLDTMEKLICQFVEQQLLFYQIQDLYCVKCKQTQDYSFQKIC SCSAQFQLKSDQFEKCFIRTPTYFFDSLLQLSIEKNLHGLKTLVSSINT >CAK63967 pep:novel supercontig:GCA_000165425.1:CT868029:233942:234995:-1 gene:GSPATT00033820001 transcript:CAK63967 MQIIGKIPVEMNKTLDENYVMIKELCKNEMCSQMMNTLLDQKQASHIRIQFLYNIRQWDQ NLCLSDLCINQLEINITNNIKYYGQSLEQILKQTNLLNMKQIQHSCEFMRPIPIIVTHQS LEFITIDSTHLTVWDYNITPLMRFRHLLSQAQCTKLQQGDHQQILNLFKPEYKIDGNQFK LLVEQNPQLVSDLIKKLHQLGINAHEYLDQLIQIKISIQALELVNQLSKSINLPDQFLNT FIIKCIENCNEFKNNQPLQLARQVRLVSVFIRTLINQKAFDPKMIYVKLQGFCLEFSSIL EATQLFKTIKNSVQEQQ >CAK63968 pep:novel supercontig:GCA_000165425.1:CT868029:235195:237371:1 gene:GSPATT00033821001 transcript:CAK63968 MKEHKDGIYQGGLNAKQQKHGLGIYLWDVGSAYYGEWKNDLIDGQGILFLGGQIIIQGGF KKGVVHGQIVIKVGTRVFYSQFDKGEAIFIQEGERKITIQSSSAQITHDCTNDADRVLQA ISKLQQGDLQIQLFNHCIYYGNVGKANLPNGLGIEISNLFYQCGQFIDGKLNGLGRLDQQ DEIYQGQFQKGLYQGNGMMVFKDQRIQWVKGKYHQGQLVEIKSSGYLEQMETPQELVFKY CTKVTQQYIVTPISKEIIIGYIRLFEVMQFVRLRVNTLNEIKYQQQPPLRKYSTNTNNLS KSVEEIRKLQCQFNTQSLDQQNQIYEVILDQHDEDQTYRKTDTQQSRTKTQPTEEYFENK ISFGLLEIPSLKNSRKTAVQQNEEYKLQIKKNNDYNQQRSQSQFEIKQQQQQQQQQQQQQ QQQQQTNDRILTLKNILEAQQQKYNSDCKQKKTVFNDIQSTTAQRTKSIILQNNKNLQCL SEVVQKEINRSSPSEERRSTIKPLYVQNEENEQQYLSSQKTLPSKFSVDYPNSYSDNKNR NNSLLNKFNSIKIKPNIKQETQNFGFSTKSLSLKQSIQKVQEANDLPLGNIQNRISLVSH NNTVKERNLQTSFEKQQSKKMDDSNYNSCNGNCTQIQQEDPNTKYIQEIRQELAEQKQML TQLFQSLQQKQPQINKQYIKRLPQTPQRSIQMQQQPKQVYVYPTFTLVKQVSPVRL >CAK63969 pep:novel supercontig:GCA_000165425.1:CT868029:237431:238394:1 gene:GSPATT00033822001 transcript:CAK63969 MSITASTCQQISQSPLNLSTAKQLWSFPKSSRFGKLENPNNCAVAFYDLPDQKEKRSAGV GYSTKYDFTKNGPKTPAPDTYRIVGELDNNKSKNRGFPFGVSRDKMWQSGIMGGLNKVTP GPGKYESQSTLSQTRYTMRPKNQQDIMILTKNVPGPGAYNSVQGIDSKGKYPISKFSNSC ATLFNPPRSKRFDNKQYSNDVPGPGNYKLDNIGIQKTGFYPISNLHSSKCRSFPHDIRKT YSVSSMQTPGPGQYRLPSDFGYYESKSVSRS >CAK63970 pep:novel supercontig:GCA_000165425.1:CT868029:238702:240085:-1 gene:GSPATT00033823001 transcript:CAK63970 MITNHPSYPKKEIDKYYLQTKLPEYQTVPPNEPQKKTHDYYNDNTHIWNNPHQAKSNAKV FKQLKEQHDKGEKRIKKLQEIEYKEKQELQRNKIIYYNMAREEDLMRLQQRKLERGSLQN LCSQRNDVQVDSISVKPILSRTALLGRPDHLWNKLNQQSQKYVNLLINPKILQMEEQGVK AISEKIQKDLEIEQIKEELRLQNMVHIKQSNTRRRMTNLEIEQRSGILPSRLSMQRVTTA QTNSRPPKDVIESTLQQLENQQQSQTLQQLQNQIINSAQCNQTSRPYTVGSDFNSISFNV GQTAKNEQRNNPPQDQTTPIFILNSQKSKSVSTLSHKHPLDRTEFKGLLLAQAVEQAESN FSKTLKVNSTLLKETSIHFVRTKQSMIPKKRIQIKCNRNLTNEQSINDQNDLQVVNNMLD SFERKLYRPNNLDDEIL >CAK63971 pep:novel supercontig:GCA_000165425.1:CT868029:240303:241072:1 gene:GSPATT00033824001 transcript:CAK63971 MEQPQLLLSTKNNFLDLQAQVNQQIKNHCHQLKLIDQSNEKVIEKLLKQQEKLQMLVSKL DYYQIQPQVSYLDLILKHQYKYELKLSEDLELPCYRNRIFSIRFNLMYQDQICINQNKII VELEIWTYDELPKKVSHNNQGESIYKGCQQAFIKEGQGRLNKIQIKEVSSHFPRGQFVLI IVPVNDHGVIGNQKLGEIKKEWIKPLVLNEFVVKAKRFSNRHVPYYIRKDGTVNMKPI >CAK63972 pep:novel supercontig:GCA_000165425.1:CT868029:241180:241731:-1 gene:GSPATT00033825001 transcript:CAK63972 MINEIGTSENLIKQDQIEIQLRQVKLNLLDEQNIQIQLAIVSNQNEMKQVTTIENIEDRQ IYKLNYDAQDQILQILLLNDGKQLGFSNQLLETLFTKQINEIKIIHEQIVVGVLSIQIIK SQNETQIDSERLKEYLKQTGLEKIFMVIFAEILQQKIGINDVYKFAARRLREIGQKILNE QKQ >CAK63973 pep:novel supercontig:GCA_000165425.1:CT868029:241766:243102:-1 gene:GSPATT00033826001 transcript:CAK63973 MDTPIGKDLTNQVIGKVYKLVKRIGSGAFGEIYLVAKGKEEYAMKLERSDTKHPQIFFEA KLYNYLQGSDPRIPRIYAQGQDGDYNYIVMDLLGQSLEELFSKNNKRLSLKTVMMLADQM IQRIEYIHTKQFLHRDIKPDNFLIGLGKKATRVYILDFGLAKRYLTKEGHIPYREGKSLT GTARYASVNTHLGLEQSRRDDLESLGYVLMYLLRGQLPWQNMKANNQKDKYQRIMEKKLE TSPDALCKGFPIEMSQYLNYCKNLKFEDKPDYNYLRGLFKDAFKKIGFDWDYKFEWIKDE SLVKTQQDIQSENKLMIMTQPLQQQQQQQQGIKPNGIESDKKIGNTNSHHLNSTTQQQSG QRRPQQQTSKVSQQLSVEKKRTTSQNKQFIQSKELVKPVTQVLAPKIVTTKEPPRKY >CAK63974 pep:novel supercontig:GCA_000165425.1:CT868029:243447:245138:1 gene:GSPATT00033827001 transcript:CAK63974 MDKSVLLEMQLREALDRETNLKKLNESLMKAMGDISNHDKGKEIQLLNQLHEQEISKLKT FLNERITILEYEYRNKCQEFSKLEKNYNQLIQDYEELERKEKKCYQCTDFQLQITQILKQ NEIQKEGLAREFSEALSNQKSILEYDSNCLKLKLKQVTFELDQEIKEKEKQQKHIQELSQ SHEQAQRSLLQQVADLNRVHNETIIQNNQYQISSQAREQLQAQKICQLEQDKIILEKNIQ EYKEQNAKLENQLKQLDLLLKEKENKLIEQKTELSKKLVNEKKITEQCQAVALKLKNDFQ RKQSILIEESLKKEQQLKSVQTQLTRQKSKNKYYENALSQVNLLEVKNSTPKQIKHKKNN FSNDFHNSHQPGHTKNALSQGHVNKIKLLPSSIQKSEADSIHFNLTDMLNSTRNPDQQNL SCRQSNEDIGSHIEQFNCAAKFVIPLYYSYQVQTNEDSVIPIQQLTDRQLSPRTRIQTVN PKGPPQRIRQIHADLYKENLVLRPKA >CAK63975 pep:novel supercontig:GCA_000165425.1:CT868029:246878:247244:-1 gene:GSPATT00033828001 transcript:CAK63975 MSENFVEKYPDRVQFLIINSPILLQISQRSRLRFQDKTSIKKYLVSKSDHFYHFLQVIRE TLHLQKQESLYLFINNAGLIKAESQVDEIYQKFKSSDGFLRINLTEYPSFGNF >CAK63976 pep:novel supercontig:GCA_000165425.1:CT868029:247288:250320:-1 gene:GSPATT00033829001 transcript:CAK63976 MNQQLGSYVKFFHIIRELGDSNQIDVKQKQILKVNFTTKEKKLMNILNDLNDEDEEEIKY SLMNYLNEVPKEKYEIQRQMKRTRSSITDVDLIKGVQYDELKFTDTVADMEKALKLITHF NNKLKDGQTIDPKVNEIFENIRTLFTQTSPYNIQSPGLLGRGQSLNSDINYQIECELSQA YKEVKCQFANYMKSHLLLMDETVSYNTIFSLLKELSKNLLNCDNFSFFVINSNTEMELYQ SKTDNMEQVPMDQSIQDELETFPENKVFRFSKNSSFCSKLMSINYLSSYGLYTNNVVFIY HSKQNKQLETQDILKIANEYQLIDEIKNLSMFLLQTIQNAKVQFFSPLSIADMILDLGIS FVRASKFVLIEKMYNIISQIYKIDKQSQFNDRLQTDQQEIQVWDTESVYIVFKDQTVTVC FRIYQMNLLKQSDQRLYSEIKHFYEKYLRFIRECFDKSAFYKFFLRSNDSLIFEFDKSGH LLFLSRPIPKQIKSNFNIQFNSLLIHSNQLSYNKIFDQNVVSNIENYLQDHKWKMMKDNE KQYEIFLKIEEKTYKGFAVIFTENIKGWVKEQFKQLESGNKLDSKIKAKIRKQLIQHETI NFVNKLEENNPEVKDSVASLYMPLQQLILKRSRSIRDLQNAQNEQKLKKTEMPQKSKFLT IQEWDLNEFCLENQDDLIDSFDFNIMDLTSQIQKHRVVWVILKKNGHLDDFQIPSENLQS FIKEMEYNYNVNNNPYHNYDHGITVMQTAHYFCSELAQTSKSKIIDNFNRFILMISSFGH DVGHTGKTNVFEINSLSDLAIRYHDKSVLEQHHAALTIQVLKNPQSNILVNLNQQEFRNF RKGLIANILSTDMSEHFTLLKDFENRPTDFNDCKILSGYIMHTSDFGGAGKKTNLSIKWS SRVNQEFSIQYKLEGELGYPQQPYMKDLHIPHVMAKSEIGFLKVIVRPCYALFSEFLEDR LKHCMANIDDTIQHWEKIVKKGEEQQQ >CAK63977 pep:novel supercontig:GCA_000165425.1:CT868029:250320:251322:-1 gene:GSPATT00033830001 transcript:CAK63977 MSKSRSQSSIPAFLQKTYDILENSQLQDIVGWNEDGSGFLVKNVIAFQDQVLPMYFKHRN FASFVRQMNMYGFHKSRSDQKENEFIHPHFKRDQRNLLKKIKRKSGEHGDDQFTIMELKP HRNQNISDKQIQQIMTKQSELEKVCKILIEQNNKILQCNQQLRNQLVQERCTGNKKLQKL KDYFMGQQQTQGDCLQKRSLEDLIQSDNEELMVPNLKKIKGEDSDSTIDKMEQLYGQPTL MLTNNLVDNDGMIQLLDDHQFNDIYFD >CAK63978 pep:novel supercontig:GCA_000165425.1:CT868029:251529:252487:-1 gene:GSPATT00033831001 transcript:CAK63978 MQDSQNLKDLQVQLTKFISRLEELERENKYLKEHVLNQQYQLVQNVKIIDNKYQQMHRKY LKCLYRYGISQSCLTSMISQYNKLIGTEKMDLKQCIDRFGVVYEKDKIINEEEMKSIIKE RISKDSEEIQTLFFPNKFYRNECLSEKDSLQACFNNQIKYLYIPIIAIATLQNLYTENSD ETIINNLTTQRYLEKLQFDYQNDQTLHYPKTFKVERLLQQSNRDPNTQILTDRSMRMKQE RRAESRAEQTHNSRLDVKCSPQKQLNLSINEDRVKRFDNHQENEVKQQRRRSRAERLNLQ P >CAK63979 pep:novel supercontig:GCA_000165425.1:CT868029:252526:253974:-1 gene:GSPATT00033832001 transcript:CAK63979 MEEEMSEVVRQFKPKFRSIHKTQIEDDLSENTASSLHQIELLRTVITKNVFNIVRIQTID DRWIGIQLRDTYVTCGWLLSEVIRKLSQLRLNYDPADIVGFKTNNIHLDYHLSCLHYNLP NLNGVLLIPQIRQQLKEPINLDWFEIIKKLAAGGFSVVYLVKNKENGQFYAMKVIDKRLM IERDKEEMVFNERQILTRLNHRRIINLYCAFQSKSKLYFVFDYCPGGELYYHLRKQKRFS EEQAKWLFIQILDGLQYLHSQNIIYRDLKPENILIDQDGCPKLADFGLSKIVDNQEQLNY SFCGSLEYMAPEMIEQKGHNYTQDYYQLGVMLYEMMAGIPPFYAKTRQDMIKNIVSKQIN YPHFFSKNLSDFITKLCNKDKTKRLCGKQIYQHPWLQGPIKKMPIKYQCDQFNFDKLFIN QKCLDIESGPRCIAEEFNLLTLSQSRGIRDEEMCPFEKFSSFYYKK >CAK63980 pep:novel supercontig:GCA_000165425.1:CT868029:254007:255169:1 gene:GSPATT00033833001 transcript:CAK63980 MQIQDGNNLLLNLSNGPIDNSRRSCTNVFCTVLYSAILLSILGIGLYMNQSGNLLLIDRG YDPDHRPCGIDTLRDYPFIYFTTLNSDFLWKTVCVQECPSVTVPKYKHLQYNPPTTAAVP TTTTTPIGTTQNSQLKCAVNSIVTSCSQATLYNSIKFDQRVCIPTDPEQFKIVQEALKMG FLHQMISDISGAKYTLFIFIVLGICFTLAFTYLLKWCSKTVIWFIIFIIVVLSIFFGYYS YLQYKAASSMTIGLSPTGYLLQAIIWWCFGLGTIILTICFYKRINLAIAIIKSASDFVTK NVSIVIVPVFSTIATLILTVIFIYIAFIICSTGTPGDKQQQWPFGQLKYTLFNIL >CAK62206 pep:novel supercontig:GCA_000165425.1:CT868019:11829:13525:1 gene:GSPATT00005451001 transcript:CAK62206 MKIIFYFACFTIAFAQDFKTIFTAFVGSNWNDQEWFYSDIYGGIFGFCENEQLFGGHYVF GKNSLASRQFILPPHYYVKIQLRFWKIDSWDGEVFQLIADQKVYSRQFWPNEGGDFCGRG KKGNNDLLVNIEVSIEHNSQLFALIMTSNLDEHAYYVQVVFRQQESWGINWFELSILECF LGCLSCEDSTSSCLIWSSLASYWQTQMSDDGWLTNGGQIGGSNICGGLLIVGGTSILMQG QSLEKTLKDLPIHYLIKFVFKIWAIGEWEYEKLELQVDNQVWMSESIQNNNPVPFDCGIK QKVSIVNTHTINNHTQNEMNIVIKSNQSSQKPAFWVIQSLDIYIAECSIGCQECFGTEPT MCTKCIKKWGFYQNQCIIAPPIECANVRIVQFKDQNINNPDTFQITIDEVNQNSIDKGQQ KLFVSSSISTLTFKFW >CAK62207 pep:novel supercontig:GCA_000165425.1:CT868019:14131:15050:-1 gene:GSPATT00005452001 transcript:CAK62207 MSSLSISTTKFYSLASIYWRIKTLLQKYVLFNHYESFSINSQNVPQNYQRNDLSPIDFSH QFFRNHILRLGALLRYYAGLTDDYFDNLAKLIIIKSLCIMHTLPLLGIITEDQLAKLEKN NNMQLYRSQKITYQLIDIFSYADSLNLDFLISFILVFFVTFFSFKHWQNLIKLDLKVAAS IYHQNIVPQFMQLLSLFDNQKINQIKQRKNFIIQVKCIAEKCLNTKNQYLSVENIILFLE LFFNFVFHFVLGGFQDRIQSPTFLKKFQNMKGNIKMRQRDEVKMSKDLMIQLRRNKEQQ >CAK62208 pep:novel supercontig:GCA_000165425.1:CT868019:15878:17739:1 gene:GSPATT00005454001 transcript:CAK62208 MSTAQQFKDLGNQAFKENKFEDAAKFYSQAIELNPNDHILYSNRSGAYASLSKYEDALAD AEKCISLNSNFAKGYQRKGLALHYLGEFEKAIDAYQQGLAKDPNNALLSEGLKAAQTELQ GTKNNPFASALKNPNILKLLGILQKDPRTSAFASDPTFMQLIGLMIAQPQMASQFMQTDP RIQAALSVIMENPEAQQIFFSEFAGKMKKTDGEKKDHPHQQSQKMEEEHTQAQHEQEQEQ HHHAHSEQSQQKQHQQPPPKPQPQLEEWEVQKNLGNEEYKNKNFENALQYYDAALQLNKE EALLYNNKAAAFIEQTKYDEALEAIEEGLKVLEVHSSFQKKAKLLARKAKIYSLQNKFNE AIQFYEKSLVEDHVQSVKDELKKLQKLQKDLEAQNYINPQLGEEANTKGGDAFKAGKFPD AIQFYNDAVKRNPKEPKYYCNRATAYMKLMEFPNAVSDLEKCLSLDPKYVKAYVKKANCH FVMKEFHKAKTVYEKGLELDPNNLEMQQGLEKVKFSILQGSGSEEEQQQRAKRAMQDPEI QQILREPEVINLLNDMKEHPQDGLKAIKQNPSLAAKIEKLIEAGVLKTG >CAK62209 pep:novel supercontig:GCA_000165425.1:CT868019:17753:18625:-1 gene:GSPATT00005455001 transcript:CAK62209 MLTTIDLQINNQSLQFILNKGDNLWKKVQEFCSKNNLQKHQDQIFNLIYNEYANVEKYET IAVPPLKSTTSPVSQRQKWDKKNYIQKSNRNISPATKTLTPKMSPHKNSKTTLFVHLQNN QFEDKQLEQGCKIYQTPNVIHQKKENFYLIETSEQQQDNYNNSVINSIVKLNNLIKSIYK QIENITIEASVYPVCIQLYKRLLSTQEYRKTLKLNMINLDLLENKEIFVLEQIVQKYSLS LAIEMSKTQFVILMLGLIISDGDQMNKKGVKVKELINFNPMLTPHYQLYC >CAK62210 pep:novel supercontig:GCA_000165425.1:CT868019:19287:20591:1 gene:GSPATT00005456001 transcript:CAK62210 MISLLLDKKEHFRKEIRRQKNETIFKQKRALYQQPYNQMSSQVILNKIQKFEYCDELFKQ IADMDNPPKIIKDLVQFLSQTQSLETIKEGLRCLNNQLYYFDDFDNQENEIFLLLQKYFQ NDDYILRKRTLMCLGNLLYNCHQFIIPAQNIFFKEELLHEYSEEYSFVTNFLTQFGNYSD IIKSIQFLSEIIMINPNDMAFESVKSIFENCTPLDADELIEQNFILPSSRLLIHAKENKI AYEALLAILNKANDSTKIGYYQRGLNQLITYFLDHPLKKEQGFQLMLYFVSKFDDSEENL VIDSLFSIFEGTKKLHQLLMQDCNPMKLLIICNSIENGSMAIFKEFLDLNIISNIFIPYL SFSDDHSSIQIIISAIDSLLQRDEMSGEKFQYVKKAFNNEQFRAALERNIYNVGDRVETN SKIDSILNILNDFQ >CAK62211 pep:novel supercontig:GCA_000165425.1:CT868019:21074:22324:-1 gene:GSPATT00005457001 transcript:CAK62211 MVKRSKSMERTIKEGNDYLQRMEQVDRTRQRNRNVQQRQRAQRVAQVQKKQKESPKKIVQ QKQVKVKREKQQKQQNKSKEDLKTQSQISISNPSRKIEKKIPIQPQKVQKNNPVEQNKQK QAQPVKKNNTEMEIEKKNQKQVPKKVEKKTEIKDTEKSSKVAEKNIVQSQQQQQVKQGPR KTKSNISVASDANQTSIGMVDCVFVVDTTGSMDIYLERTTHTVQMLVERIKKQSKNEQVS VRFGLVCYRDHPPQEVTYLTELHDLCSDKQILKAIESSDCYGGGDGAEAALDGLNVAAQQ ISWRDSSKIPSLRYIFHICDQPPHGKEFGGYSELWDETGCPCGLKPDQIIHRINMRQIHY RLIKADSKRLEKFADYFRGKIVNYDEVTLEDGVAEGMEIKISDMVIRELCPDILLD >CAK62212 pep:novel supercontig:GCA_000165425.1:CT868019:22669:23474:-1 gene:GSPATT00005458001 transcript:CAK62212 MQLKNKQSLTKIDEGLQADVQMKKYQIYELPYKDLYYAIKIFKETTTQDERIGEIQASQK IKSKYIIVYVCHDEEKFEWILYEKFGDYNLQQYLQHFKLEQYHVNYIFTQIIEGYYDILQ SGYYHCDLKTANVLVDSSTLQIKICDLGFANQIQQKIKSRRGSRGYFAPEFFLDGANFLN EKTEVFAMGVILYQLLTNEFPYNNQNNCQKWIQITKSNWKDFWKNKRIPQQYKEIIQNVF EMDPNKRCNINYLQNIFHF >CAK62213 pep:novel supercontig:GCA_000165425.1:CT868019:23795:24658:1 gene:GSPATT00005459001 transcript:CAK62213 MSVNDNGQECNTLDEQHKQQMMQLETMGMMCKYQMIFTKMDAALLKIASILRQKQNNDKS YALFQIKDRSVGQKQLIMVNALSIAQRMKLKLNGLFNFCEKHAQENQFVAFHKMVILSKA KNQEQRLKEENEKQKKEFLFKLNQKDEDIDRQRKKNEELEGILYQQKQRESDCTIKLQQK MKLIQRYETDLLELKRQPSSTKNSNQENRLKELENNNAILSLQIQQNSQSLINFVKEMNE LLDSHSFILNEKNISSFRAKYK >CAK62214 pep:novel supercontig:GCA_000165425.1:CT868019:24700:26230:-1 gene:GSPATT00005460001 transcript:CAK62214 MKNLYVRISLICGALSTSYFVNQYFEETYFRRLRFIRKLARTYFKKDDGTEQFDDQIIGN VVARSDGMHLHFGNMKQYVAQKFSTPTAIIYVQKVEAIKKIVQKAAKYGFKVTTMDVDTL KQSQLILKGDQEAYILKVQHKEYDQQTQIVKVGVGNRVQDVNQFLAQYGRRIPLAGQQRL FTILSDNSTPLDSVEYDNLNQVVTGLVAISPTSHQLQTETPTASPIINQLFIGQQHRFGI VYEVSLKTESLELPIKQEINLDKKFISKTNLYYLFDSLIKLSQQQRQKIQFLYDCYSNQY KIIQQGTQNLPDLKQTLDNVVDKYVTRQLWNQNNNKQTNNNINNNNNLNEEMTLQLKYQL SSQQLLSCLLQLKELQLKQQQQQFLVQVDFKTGFLTVNINNHLPSNEKLQMVKSFTHYIS NRKGRFIQCNDKLINRMLKLETYPMELGLNSMRLEHQFAELIDKNQVMFHEFLQHTELDE QIS >CAK62215 pep:novel supercontig:GCA_000165425.1:CT868019:27666:28206:-1 gene:GSPATT00005461001 transcript:CAK62215 MEPEFDSYDGFLKGCNFTIVHAIIGFGLDFVPSTVVNILYTIIRILTNYFNSGQLLPEQY TLTIAVSIAYSYLIYKIEYLHRSAFLFKFRDDNWEALIPKLITKPFVILRFRIEILQFQQ ISSNKTYGYFINEEKTNQTNQQQSFTVSLQNPRRVLEQDGHKGC >CAK62216 pep:novel supercontig:GCA_000165425.1:CT868019:29226:29518:-1 gene:GSPATT00005462001 transcript:CAK62216 MNKRNGSMSSQTTQLHQLSNVFEIIAKYSILCSEDKYIQKKNIAQLSKYEKPRQRRSQSL LQQVLEKKSELQSNLQKRKCFMQKRKYIV >CAK62217 pep:novel supercontig:GCA_000165425.1:CT868019:29745:31521:-1 gene:GSPATT00005463001 transcript:CAK62217 MKSVIALLNKLNYPKRQIHHLRDLQDGILFAQLEDEFFQTDMKKLLWNQIINRLQKTRRN NNLQIKEFSEDIAFCDNNEELTKFIQYFLETLIQVKKEEFISYMTSCPEYQQLEIANFIQ CDLQEINGLSDYSQLEYQSTSVIISLEENLYSKDHLIQQQNKQIEQMKSDYQNIFIEMQS KIMEYKEIIDQLTYQINFFLDKVICNDFEEAWLKFEEFIKDQEKNKEQIEYLNNLVETQQ KEVSKKKRKNQKQKSQLSSNQPTERALDYEIPDSKDNSEIRTVELLKKEIQVLKEENKKL VGRKSQYEQDIGKLKKKLYEYEQESTQYKKKMEKYKLELEEYLNSNQQDKRSQIENLRTQ GLLSSNNSQILDIEQKFWVSPKSNCFDFNQSLIKLDHQPSIINQSFKNSDEITIAKLQQQ IAEKNTRISNLERQVNSIQHGFHSRGNSLTKVNQYPQDRHIDNFTMQENYRFFAQYSKEK DKELKEIKKQQNENFLLICKQLIKQNEQMEKMNSLIFQLQNEEQIKEDDKSQSEDVQSLH QYYLQALSDKDELLQLITSIFYENVKI >CAK62218 pep:novel supercontig:GCA_000165425.1:CT868019:31578:32081:-1 gene:GSPATT00005464001 transcript:CAK62218 MFGKNIYSDDVPSFPEISEDYFDIKDQRTINELMELYHQSKQLIPVNGQVSNQFREKQQG LLSLFDQLDNQLKSSQCKPDIYEPCQIDSSINTPYQNFDYYNLSDVNYDQNEAQQEAAKF LKLKQNDLQEKFEQMQIEAKQSGKLTKKMELLSFQDQEYND >CAK62219 pep:novel supercontig:GCA_000165425.1:CT868019:32852:36031:1 gene:GSPATT00005465001 transcript:CAK62219 MSDSIDQFNQQSCFQEDNFQGPNLMMVSERILLGDGDLYQKSPDSNSYTIRDPSKIQDLS KELVEAVPIDMRKSQKGLRKSEYQSAYAIEEELEISGKPQFLKLIISKSLQNNFINNLWN RSYLRKLHQLTPFQVEALDDLQFENEIFQEGDDNKKEINIWEIIKKFFIQLDVFTPYSKF IFIWDLFQIFTYVLIFFWLPYKISFQLDSLGQLLNYKPNNQMIEIILLTILSFDVVVGLN LAFIHKGIIITDRKRIIINYFKQYAFVDLVSISTVTIQFFILNDSQQDHNIDNDLTLQII FCVIFYILRMTKINKILAQIQEFFNLNGSLNDLVGLLKLTMIILFIAHICACVWHGVAFY NDSYSWLDAYNLRDSGNASKYNRAIYWATMTMTTVGYGDITAKNNVELLINNLTMFIASI VFAYSVNSIGIFVSNMYKGTMEYSRSVTLINTFMSKNKIQFQLQTRIRSYLEYIWQEEQN MNDDEVSQIICKLSSHLQDELQFQLRGNILRNCKVMVKIFSEKMIKCLLGQMEEQSFSPE ERIITINQIDDGCLYIITKGEIELIFEGMNSLNERVKRNSLKYLSQGDFFGELSFFTGEP RKCTAISRGFTKVFKIRRENFLKVLSSFPNDYEKFCEVKHQLKQGDFNSLQIQCYSCQST SHLIDSCNYLHFCADKEAILKKELYPHHQYRSRLVSRGAKAFKHKWDQYKYLIQRAKDFQ TDFYQQPTDNENDEIEQPSQIANDNYTYEDESKMEIDVPSTLNQRSNSRSLSRVSQKPNQ IIIEEDEDQKLYTRKVVNPRGTLQTAGFGNASLRESKKFDLGKDYEIEQAISEESSSEEK DQIIISQATQKPSQDRTQKQKITFIRNQSSEDIVPRQASLRSRTFTQNKLQLDILKRSAT PDKLSSDIEISPNYNITKRLAGKKHSTTKTFTKQVYEATEGNQNLTSMMDNQTYLAIIPQ IYSQFDKMQIFTHFFPFSNYDIVIKRYQKIQKYFGKKRQYPEASKVEIEKVGAKVIGIET S >CAK62220 pep:novel supercontig:GCA_000165425.1:CT868019:36180:37079:-1 gene:GSPATT00005466001 transcript:CAK62220 MNNNLKSTYNKISDVFSKSQIKKAVESLQTYKDRLKLIDSIIDRRQEIIKVNDFSKIQKC AQTFSKIVKKYKNPDEDENTINQRFQMQMVISQFLKKNIQNSRSPLSSPQSIQNFVTQTL SHKKDFTQQQASSCRSRYFSSLDSLNQQNKQLEIMSDDDRDIQNLQRKIQHSLVLRTRIS HDQNRNDEQNNLKVYLKQQGYLRNNRTKQSQEPQLTGFVENEQQCQSKQKLLNKIKETQE VNHKYLNQIKLNRNFQLIDDQGIKIRENKKYQKKKREIFDKSNIILCSFKI >CAK62221 pep:novel supercontig:GCA_000165425.1:CT868019:37133:38811:-1 gene:GSPATT00005467001 transcript:CAK62221 MKDQSPDQSDPMNNSDDLKSSVSQGYRLYQKRYAILFLFTMAELCNTAVYATCNPIAIEL SYIYGEDTSVITLSATLYLFMHPLFTFPASYLIMYKGSSMSVKVGAILTLLGVFSRCLVR QSFIYVLIGQTLCGMGRPLILNAQASVAVEWFPSNQRTKLMTMLNFIVTFSGILGYIIPP IFFAGVTIDKYSTQDALDTGDSRFMYLLFSEALFSAVFLIPLLIFFETKPKTPPSAAAKG SSQIISFSDSICQMLKDTKFIQIFTSFTLFYGSYKGYGVALVYILMPYGYGKSDIAILSV MPVIGGFLSSLIIPTIYKSWGKYKPIIIILESCTILTFYGFLWGCYLQNYVMMLAMATLQ GFFILPAIPLLLEWGCEQIYPLNDSFCIGLQYSGATMGSSFIAQIVSMVIHGKDATKFDG FMGITITCSLYTLAILSILFLKEVKHKLALKKSFVSPSDQIEKGFPHPYTEVNPDDLGLF DPDKVQDEDDDAGADENLIDDENHKNNNNSSHKQYQAGNSFGGN >CAK62222 pep:novel supercontig:GCA_000165425.1:CT868019:38883:41015:-1 gene:GSPATT00005468001 transcript:CAK62222 MKVITILFILFLSIDCQSRLKEKIDENDGLLLLDRNNYDYAIAKYPKLIVLFTTYGCNLC LKVKSTFIELAKRLKEYEIYVAEVIACENRALSIRMNSQSYPAAYLYNEGEKSVFPNDED LELLFEYALQNQYGLITQLNLQKEIDLFIKRSNIAVLSYYTTNEIADIAIKMPQIKFGII NQQINPKHHEAFITNKAFPKEIYFNGKLEEFQDFIEKNAYPLVFSLTEEEFKKAEAEEIP LIGMVLNPNQFNDYIKSYYEIVAAEFKGKLRFVLIDTKNELTLRRFQYFVGQSLEYKRIY YYNFYTKFTINLPYDEGSIPTFRKIVEQIQIYQEPFWEGDGYVHDLTPLNYQIQINQNPN HVILFNDMNACDLCFGFSDAFSDLSIKYQHRNNLVFAKQNRSVKPIREIIVEEVPSVYIY LKQDGLVNLVIYKGELSTEAISLYIEEHIPFTKFQSGSFPQTSGNEINNTNFDKLILNND KPVLFLFYSPNSEHSKAANLLFEQLTPLFQDKLIFCRTDATKHQFEGFNMNSYPSIFFIS AKGREIIKYDSQQRSIEKLVEFINEQLRIKNNYGTFINNGKVIGVTSESFQDIVIKSKQH VLVKFYAPWCGHCKSMAKEFEQLATLYRGSKDVLIAEMDWTQHQVPTVSIGGFPTLILFY KDGNSVEQIKYNKQRLANQMKQFIDELMNQHDEL >CAK62223 pep:novel supercontig:GCA_000165425.1:CT868019:41060:42480:-1 gene:GSPATT00005469001 transcript:CAK62223 MQNINERELDCLFQLYSSDNQTINWDDFYQLICPFDMFLDTKIHDNTNDQIVSDILQQLQ RLLKIEVMYFRQAEPIRMILFEKYKENGKQCCQLLEDNQKFLTTQNLINFMNKQKFQYNN QDIFCLKKRIKCSEENIPSETFIKYCPLLPFKVMISKSPDYRLITIRQPSPDIIKSTEIL KSKPIEQQIQPDIPQINLVPPPFQEEEIFQSASSVPQFRQLKKAEMSNYEFYTGKKAEAT LTQSNFKQKQPENQNLKNQTDYDFKLTKTQSHNFFGFKQSIPKDFGSTHRPEASKDNEQL LDKYLRPSASRKGELTKQQFFKEEQNNMNQKNKLEEVQFIQTNHKFGQIQETQNKPPDDF LNEHLHPIKPKQDQVLDSGTLTQTRKYKIFDDIPNNQLQSNALFDSKSKFLDSAFDSGNL NSQNFQQAQKKSKKYVAASMSQEEKLPQY >CAK62224 pep:novel supercontig:GCA_000165425.1:CT868019:42762:44134:-1 gene:GSPATT00005470001 transcript:CAK62224 MQIYKPSLSISGVRQQPTQYYHRIQSANTIQSNRTIPQQTISSNRSQTRITTAKPIRSFV INKTIKSKPVEDEDFDEIIKTSHDQALFKFKHKCNAPQHLRMEQIYRFIYDKKTSNKQKY SMQSKINQQALPVASGSISIMLNVARKDYGFNLQSFKKKIAPKSKFKMKWKTIQWLLKNR KDAIRQIFQNYQSIVKQAKDFPEGLNREQFQGLLISFGLGADKNLAEKLFYVFDEDSSGT VDYKELIVGLEVLKDDTIDEKLKIFFDLCDEDGSGKVSEKEIFNILKQNIINENDKYQLK MVIREMIKQVDQDGDGELNKEEILQAASKNPILRRLLEQTISNVRRIDAIIQNDLEEPFH QFVPSSANFISQKEGIHFATQQKLIDALEEIDKIHEKGMKIKEYTKPENQMGLTTYQGLQ EKKFLDDSQFD >CAK62225 pep:novel supercontig:GCA_000165425.1:CT868019:44851:47808:1 gene:GSPATT00005471001 transcript:CAK62225 MLSDYELQQQALKQKLAKQQAQEQINSLEAKLQCNVLPYSRNHSNLKRNRRTGLYYFIYH LVQYQIKCDDLQKKREQQMKEIQNLRASQEADLQRTRNMYNEMWKMAEQFQKKQQIFIYQ QKLDKDQLKSFQVTQTSKQSHYEMNKELVVPHNPFVLLSQAQGFLDSQYDAYYEVNSPKL QEIVEKESLYPKSQIQITFVSFKPDMPTLEQFEKRRFEGTVNQIPLSMQLLIDFYDFPTF KSSTLIYEDCPTIEQIRRQVGYDQELKLAYEDYFTNKTFGKKACFVFEVENDLKFVYYLL FKNAYIRVLDAITGENYGYCQIPLNSLIREDKSDIFSIQEVQVLSYDWTITYGIFTITIQ NIGISQESNVATLTNFDQNAFSQTLNIQSLLSVTQNVYFNQVMDNKSKIQTQYVNFSKVT SDSAVRSEDRMKIRISQLKPQSQISQARKKITSDKPKDLTEYGHSAIVNVKLNDVDERIR VNERIKSKRSDILTYLSTKDDFLKLQAVELSRKWDRYQFLSSVLPSHMIPNTLHLPVGEK CIQFIEYLNDTDEDKIVTVEISPPIITYLVGGEELESIYETKGIAFHSRVEVEQDSFKCH AQQKCIIAIIFYTLIEFTTEIEIAVYLKLKQQPICGSLYKVLPKAQVIDMNFDFYRQPNS QIELFLPQVFCFCEIKYRKTPIIICNREEVTFGINPDDMRIKLELKTADIGKQIILLLFF YRDKHCHYLLLSISVKITSLNLIKLSTVIGQATSCSTEFQSDKKRQIYFHSLNQDKIWFE SPFDKPVWVEKNQITVIPFKVFQMTSNSHNVKVNAVDSTTNEMVYSWLFQISSNMPQIKR VFTFDCYFRQAHPFKISYANSIGKVLSLNIVSSSYLLKVFQSQIKVPIAGKAEIELAFMK AEEYPSQDFNVVEKQREIQLFIYEIRNNIFECYLFQFNLYDKENESIPFETIEEFRKRPF SIQSKK >CAK62226 pep:novel supercontig:GCA_000165425.1:CT868019:47878:50758:1 gene:GSPATT00005472001 transcript:CAK62226 MEFNSTGIVVQPHPSIKKEIWNQFVCEYPRCSNQYKLPENPKDRYRFLSALMIANIFDTL TSWQQLKKLYDVYEFLGRIANEKEYPPLMQKHILNLMKSFNTLINNLQPFDSRKIDSNHQ HYQKIQIVQEYLQKQRKYIYSLLESEQGISTLFKLSRGIALSLYLKPDYEKITPVSRFIT SPSREEIIKKLSSEDLEINSKNHFILEDYEIVAISHLLSCPIHFFINFFEPKCNYLIKRR IHQQQSEEKTIAFFLEIQQTNFTVRILSSTPDIIHIQNIINQRKFIYQSNQPKAIVEYLQ QIIESILNQATHDFSNLNKFWIVKMLQIIKQGEAQTLIANRQAIKISELFARESYNLFQL LSLDPKMHSEFVLNSEQNLNQTEELIENIIISQITMIRDQITEIANSSKRPPSISQNQIV SGDKVSVPRYLVNNSLFQSHTNQTPNYFQQQKKLNLAGRLIAKDLDNDLPENSDSSERDR NHHFQANSIQNIPVTCKEYDTEDEVEFKRADDQQSAEKQIQRMEQYPSEEYPLVRPPKYS SQIKNDVVSQKVSQNSYKQVQSERKRQKSVENTDFEASLNLQNDYLVSSQNRASIIRAQS DLNALKLSVKQRDSLDFEPKKQIINQVNKMSSSQVLRSSVRYEQQQIGNELYQSHISEAM NESKSEISMIQKWFCPICFTEIYHPDQIRNLHDDHKVCKSCLEDWIKVKFNSGQWNFKFF KCPIQLIEGETMKPCEHIIEQQEIKNALSSDEFSKLTERAMKHGIIDIICPNLSCQASIK GMPPQNQNGFLCPKCSHKICWVCKNSDHGNSKCPQRLDEIKVALQDERVSCCPGCLEIYM KNDGCEHVSCTNCLIEFCFACSAQRPPIIAHGAHFHRVGCQYRMPWWKDQQKKIENLDDE YLPDECEYCKRNQKPCPRPMTLADFKNLAHLNF >CAK62227 pep:novel supercontig:GCA_000165425.1:CT868019:50891:51475:-1 gene:GSPATT00005473001 transcript:CAK62227 MKQNNHTQLPHTSIDRLKKDISKTFANQTTKSEQSIKLDQYKKRIMNVLSQMDDKTQQVQ IQQTSSEISTKLLLQTKNAIIENIKVLQPSDKNLQAIEKNLDKLFTIQEELQELPQNKEI KQLQWKIEMKITQQTNLTKSMKDDKKCQICFEKDREYVAIPCGHYIYCEDCKGLIKSDCL LCRNPITSVLKIYQ >CAK62228 pep:novel supercontig:GCA_000165425.1:CT868019:51537:52297:-1 gene:GSPATT00005474001 transcript:CAK62228 MLPLFKVFSLIVRVFARPVIARTKAAHLKKAQSGHTTWIKQFYVRLGNFQHKWDQKIDSK FMGIDKKSSDFFFKPLNDELALEKGVEFFYEILIYALLITLPTYEMYSAQQDSKKKSEQN TQKLNNLMKQIEENKQHSQLNIQKLDEQDQIRQDLLKQLNILSVQSFAQLDDLQKNWNLK SQQLIEENQRLKYELEQLKQNSFKDENQ >CAK62229 pep:novel supercontig:GCA_000165425.1:CT868019:52337:52959:-1 gene:GSPATT00005475001 transcript:CAK62229 MYQQRFAASNKIQQKTLQEYDIKPVKNPINQGNRNIQQVQQIYNLQQRNTRGMQIEDDNQ GIQIKVFQNATKTNLDQRSSSQQSKQDVSLQKRLFSGLESDQSFSKINSTTFQEQERSPR IKSDVIQIKLLKPLDQKFFNFIREYGYIIGDSTHDNQSSFTVSTMERSRLLSNERQVVYS NSPPPSKTFRTKLFNHVN >CAK62230 pep:novel supercontig:GCA_000165425.1:CT868019:53195:54837:1 gene:GSPATT00005476001 transcript:CAK62230 MIRNILKLGFSTATLNEEPKSRFSLFKLIVGAAIGVYGFDQGRKYREYFTNTFLTKEQIN ELVIKNITKSKDQQLTAHPINSINNIKNKEKVVIVGGGIIGISQALKLLRMGYNVTVIEQ ANTVASECSAFNGNVFNPMYFLPLVTRDNLIYMIKNIFEKPELTTVRFNMNAFLETNFIQ WGINSLLLSMTDYAQIENSRKQLKIGSLTLQDIEKLRPSGLFKGHLVAKGQLGFFASDQK VEAYRDRLVLLGIPHIKVDSFDQIEKFARTDLKTEENLKLFNRFKKALILVTESNLETRE LTQTMLKYCQENFPNQFAIAFQTSAQNFVLDGDKNVKGIQTNKGIVLGDSFVICLAHKSK QLAHKLKLNLPIIPAKGWAMGRTAPQNFNQTLVKEFTLNTPNYFATNLNGHLRLAGCAEV CNDTPSTDASSEWGAIQILNRFNEQNGFDFKMSDFTVRSCFRPLTPDDVAIISEVPEFKN VFHKCWSWIKRHELLLWSSRYHELDYGRIQGI >CAK62231 pep:novel supercontig:GCA_000165425.1:CT868019:54897:55383:1 gene:GSPATT00005477001 transcript:CAK62231 MQKKKGHSKNLSKQAIDLINYYNNLRTSISNEFAMMNLNKKMSLYIADQPIVEEDVEDSP IGLKRNCSKTEHVNINIYTQPYDLDEELQQDVKQLKQTLNQSIYHRQQLGITQLKSIINQ SEKMKSMKNQNNKENQCQNNQSLVNKTSTKKRV >CAK62232 pep:novel supercontig:GCA_000165425.1:CT868019:56191:57672:1 gene:GSPATT00005478001 transcript:CAK62232 MKFFNYFRWKFEETDLEEKYQIDKANEIKKPVFQCILLLSFCSNVTVLALHYFVQPIGTW YINAILTGLTIIQVIIILVLKQLQYLQIGLTLSSISIGILQLNVDPLNTTSSEFYVYGCI FTQFQAVLFMISNLNHALFQIICSLAIRTSITTLYSKRPDYLSIFLGVFGCFLILITIFV NDKNSRRHFIQNLKENNLKKLGNFLINKPYLKIQFNEDQQIFQLLSQSRINKFPGYNSEL CDGCNSRQILRYYKSDIGWLEQILLSQPQLTKQGCALIVKCEKIRFIIKVCVIDPQQHQY LILFQEYLQKVVKVLEQEKEQIKLKDFLNQNSIFYHQKVFNLGAFSVLFLNKQVLKRIEF KQLLQKIIRIYQSKFFPNIEIETQAKEDQIYICSYLHQLRIFLIQIFEIISEITLKDSEK VVVHINQHLNNIEVKLEGLNQQLFKQQYTQNYFIRKIQSLLLDSYQFNGKDSTFLLKNYP CGTFYQKLIETNY >CAK62233 pep:novel supercontig:GCA_000165425.1:CT868019:58334:59707:1 gene:GSPATT00005479001 transcript:CAK62233 MKQQQPLKGIPNIRSQYYVAAQALNSQLSSKISKTKSSYIDDSNKIKDYSYFQLSTQKTQ PKSTAKRVNVSLDQPKPDDSTVLPPLRKEILRSRQSQPTVTKSKQQPRNNSPLLPLESIP VIEPSKISQKNIGIVSAYAANTHQGLVRQYNEDRVSIILNLMRPNSNTSQGYWPQSSFFA VYDGHGGPQCADFMRDNLHQYIIKEDCFPNNPKLAIERGVSKAEKTYLEMADQKVLDKSG CCAVFALFVDNNCYVANIGDSRAVISQGGKGKSITVDHKPSTQEEQQRISKFGGQIYQTQ LQQLNGEIQLGPHRVLPGRLAVSRTFGDAEAKLTKYGGIPNVISAEPDIFQLQITDQDFL ILACDGIYDKMSSEEVIQCAWNVQTSNIHIFGGKAVEAIMRLSLQRKTFDNITVLFIGFP QLEKKLKNKSQIQQ >CAK62234 pep:novel supercontig:GCA_000165425.1:CT868019:59717:61635:-1 gene:GSPATT00005480001 transcript:CAK62234 MNIEKQLREDYTHDFHTFLRKNESETKQKVKTTLIPPPWQPNHPFRLVWDIISMLFIVIQ MMIIPLMLSFEIDDERTSLFMEIMDDFFLVDILIQFNCAIYIDGKIVLKRSSITCNYLKF WFWLDLISSLPYDYFVEGGNVQMIRMLRFFKFLKVIKMIKAFKLKLLIRRLETFLGNDFS SFMEFIKLTFIIVVIAHWSACIFNLTNQDDYDYLTSFYFTITTMITVGYGDVHPYTAEEQ IYTIFAMILASGVFGYTANSMISIFQYQDPQLTELIVKQQIINKYTKTHGCSGRLRHKIQ NYLEWVVENDYEVRSSLVICDLSEELRNQVITQMNLRFFKALPVTMSRAIKLNEYSIFYL LFQVLSPETKIEDQNHIYYIVQGKVSVMANNVHLEYAEQCFGIINFFSNIPRTAELITTE TTNLVKLSRQQFLQSLNYEQFQKFHMIKQRLENNDFLDLDIKCYGCNRKGHVIKFCQQFH YISLRLRRPKVKFLRTRNQKQRTMYNQFLIEYYQMMQARKMKSQTITQKEEIHQTMTQNF DIDEIQNYTFFDPEWNINEVLQQYHLKTIYRYSIRIIKKNLKFLIRENHQKSNNVKRFRL KTELKPKYIDKYKDQNMQQKIMD >CAK62235 pep:novel supercontig:GCA_000165425.1:CT868019:62055:62207:-1 gene:GSPATT00005481001 transcript:CAK62235 MLLLGKENVDQCQTLIQSFAKNNSEEQTMSQVEIEQPYLPSLYFIMLQFK >CAK62236 pep:novel supercontig:GCA_000165425.1:CT868019:62728:63318:1 gene:GSPATT00005482001 transcript:CAK62236 MYQFRSSIYYEPSDSGSVKSVQVGNAKQDQVRYLTDQLQAVIMFNEKLEKEINTLKQQNQ QLKQSVVDKDRLISEAQESSSKTISKLHVLLSENKKLQEIVISTNKNLKTSNSKVQQLQQ ENNVLKQTMQQQEEYHVEEMKKRANELEQHYQLMQNIVSDLTSQVSQLCEEKQRLQNELD EKNKLQQK >CAK62237 pep:novel supercontig:GCA_000165425.1:CT868019:63643:65121:-1 gene:GSPATT00005483001 transcript:CAK62237 MKVQYQTDCNNRVTQAPQKKKQFQYNTKQPEINVLQNYESQSNKIESIPGHIRSKSYEHD YFTKALNTFGINADQSQLQPLKTKRQHSISQKENDPGFLSPKFRFESCDTVVTRKSQNSV INELQECVDLSKVTELSMQSQTSPCKVYSEFQQKIEAKDSLIDELQKQLTSTLKNMTQQI DLLRNEKNKIIQQQVRQIEIYEQQLQECRQEIQSKNKELMSLKKSQKDIRKITDIRQEKH LINSIPQNFISKKECSCQQLERENKALLAKVDQFRQQLHELKQNLEFNNLSINNQISVIE KCDLTQFEKTFKQLADELNLTIDSKNINSSFSLLNQEVIEGVKSLKQQFKENEKFIRCLK DLVIQCAPQDYFCQSDPSLKEVWKFIKQILQSYLEHKKQAQLNEDLVFTLCKYFRCNKGE LNHKGACLVVDQEVYLRIVDKIKRILNLTNLNNIRELDRKLDYYLQ >CAK62238 pep:novel supercontig:GCA_000165425.1:CT868019:65194:65801:-1 gene:GSPATT00005484001 transcript:CAK62238 MAAANQVYSDAIRKTLEATLCLRSFPSEIIEKQSKPEIELNGYSSKTRQLVLSPIYLCRG EKEKCVIEPSINSTRISFSIKALDDVDRLIGEKFAKYLAVRADYFEILRRKPIPGYDISF LILDSHLEKYNVQGIINFIIDYVENIDKDLSDIKLNINTQARITAACFVGGLANQ >CAK62239 pep:novel supercontig:GCA_000165425.1:CT868019:67712:68010:1 gene:GSPATT00005485001 transcript:CAK62239 MGICNTKKQCQNTMNTNPTSQYSTNPSILEKITNPKKKKQKPNMNIVVSSEPQQYDPLNP HRQFNVIINGVRFEIINSIENCIISNEELEE >CAK62240 pep:novel supercontig:GCA_000165425.1:CT868019:68283:69455:-1 gene:GSPATT00005486001 transcript:CAK62240 MSCDCVHLRSELENIRNKQSILLKDHQMQLELVRTKTKEQYESLLETQKQGFLGVQKINE QEINKLKEIIGIKNAEIETLIQTNSKYRAQLNQEEQISTLKIQNLKKESQDILSLINKYQ EEINQLKSEQPQREDLLNEQHQLRIEEIQSYYSKLLKQHGKEKQEVLIKIDELVQKEKKL NEQINNQLSQIQLLKIEILDKTSILDQNEKDIQKLKAELFNLQNSQEQNLNENQLQKKSL KNNYDIIVQEYENELEIQKSQIAQLRTSLEQVTHHIQVQLTMKCNELKEETYKQNLIIQS QNNTIREQEVLLDKLEVHSQTNNMQFSQMMENQKKRLEQAYSYQLDNLKKSFQTQIILLQ QQQADESLMGRQKMIRRSIADL >CAK62241 pep:novel supercontig:GCA_000165425.1:CT868019:70661:70956:1 gene:GSPATT00005487001 transcript:CAK62241 MGVCVSKEKKNKSFKCATKSQLNLQNFMITQDKQTTTLYHSTKIQAEVQPREYDYTNPHR QYNVLLNGVVFAVVNSVERSFNEEPINNEG >CAK62242 pep:novel supercontig:GCA_000165425.1:CT868019:71100:71998:-1 gene:GSPATT00005488001 transcript:CAK62242 MDKNYQNVLALLPYGINIFILGRSKILRILIFTIIAGIQILIFLAIKPPSCHDIECPKIE KNYERILFYEKYNIQDDDLNLLRSEHFQQSYIINAFNFQLGVFLIIFPPRYYQRESMKKM INSNFSITLVDKQKIIKNTILLISLIFELFLIYQNSRNIIISLQVKRRYVPFSIRTNVEI LRSSFNKFLKNRNRSQIKGIYHRLIEQQDILETKPEVSLFSIRIYQIFYCYFWHLSNVFV KNIKNDIIHISKQRQCENPLTQEYFPLTDILLSQFTLQFY >CAK62243 pep:novel supercontig:GCA_000165425.1:CT868019:72172:73220:1 gene:GSPATT00005489001 transcript:CAK62243 MLDDITSSKSCLEQDLGPDNQSHFLEKYFYDLITDNCDQILTNTLYRSLIEQISDKKQLE TILTRYIQDQIELQQRQKIHSKYFFAKSAVPFKKLLQQFRQLLIPEENVSHTSSTLSQSF EKNNKSRFFKNSDFIELYENQPFEEMAELNKGELIYIDVRFRITNSQLKDELYKIRSHIL KQLLYQTNTDENFFQTFNDYYRLKTITVLLFVNGDINFDYEKYFDFKELQVGQKRFLIKL KVCYVSANRLYSNFCISNNIISHTITQQNMAFYELKRYGQCKVIINKTIGELRRMKQKSK LQHFQRLQIIHLSIRQYGNYRVIVEQFFKKREL >CAK62244 pep:novel supercontig:GCA_000165425.1:CT868019:73295:73778:1 gene:GSPATT00005490001 transcript:CAK62244 MNQPNHVISENLVISQPLYDNDQAAQSIYDKYLRDCSLIPIRLIEESKETQERPFAGTRQ SSQQQQNQRNGHNVQEFSSLEREQRNRNQQQTKINNINNIKIIKLDILIRICIIIKDNRI SCKIIIMTNNSIIIKIEETTKIIEWNKNIMAIIK >CAK62245 pep:novel supercontig:GCA_000165425.1:CT868019:74010:74296:1 gene:GSPATT00005491001 transcript:CAK62245 MGLELKIKFQLLREVGKKKKPKHKFWDEKKQEIRPFVFHILRKMKKIDFLPFFAQGCVDS WLATKGSCPLCKKYVRSLVQQFS >CAK62246 pep:novel supercontig:GCA_000165425.1:CT868019:74376:75116:-1 gene:GSPATT00005492001 transcript:CAK62246 MLTLHHKISSQPDFKVENSPQPKSQIFRFQKPASFLNNLEQHKNGQTENFQSDKPEGIYQ QGAQTDRVQEVTIRFPKIQQNSSQQINKPLNLMPESKHNQLKVQLQNIFSQQNSLSDSHI ILPGLHKQRRESHMFIKMIEEQLSFPNQESQRSLQNRFNRKVKNQTQSQTDLESQILQNN LENSQLDDSSTQRKVEFYKRVKVINMQNGRIATEVIKEEDELQLPVKVKRKFVSQKTKFF PENLNK >CAK62247 pep:novel supercontig:GCA_000165425.1:CT868019:75398:76216:-1 gene:GSPATT00005493001 transcript:CAK62247 MKAKKTKGFKKNLSFYRINFSFQPPYQLVFDGNFIKQMLDHNVDLDKQLFKHTKAKIWKH TTICVLRELTSLQHLFPRVFKYAQGLSKINCKHIEGVSPAECLLDIVKPKPEFGGDNNYF WVCTQDDELRSNLLQIDHVPVCYLFQNNLFEMAEPSRTCKMRIKSLKEIKYLPNEQEQQI IMPIKKEIKQQQAEKRMERERKLAQELAIKIKKPAKGPNPLSVKKKLGKVTKSIKKRRHK IKNKKTRRSNKQGVNNEIIEQNS >CAK62248 pep:novel supercontig:GCA_000165425.1:CT868019:76248:76545:1 gene:GSPATT00005494001 transcript:CAK62248 MDQQPERVFEIKKWNAVALWSWDIKVDNCAICKNHIMEKCIECDAQEGQGECIVAWGTCN HAYHFHCIERWLKNRQTCPLDNRNWEYQKYG >CAK62249 pep:novel supercontig:GCA_000165425.1:CT868019:77384:78484:-1 gene:GSPATT00005495001 transcript:CAK62249 MKKYSLKFLDVQSELQYQSQRNQHFRMPIFKFGHFGMLVISIIKLILNCYYSLYSDIPAI VATQIYIILSLVLVKYKSSCIQIALLFFNYSLMAYEQVLMENISLQALPLFINSFTICNI LIILVLDVLESYFLIVTTFTYKLINTIFIDTQRSYNIYGATFILILFLCYCSRRLNFQSR SNFLLSQKDNLWGIISYLITIEKILPKIVNQPFLLFSFDDEKLEFQHKVSKSLNFQCNNT NELKNFLRTSFYQSQSLEDFFFKSNQKISRNEQGSNTIMISNKKIQYPITYSIFYYQQPI ILVQFTEDILKNNSKQILSSPTKYKQAQFKLYQFFFQHLQKSISRNDCQSLSFLRNICYK QILNYK >CAK62250 pep:novel supercontig:GCA_000165425.1:CT868019:79100:79642:-1 gene:GSPATT00005496001 transcript:CAK62250 MSKKISYADITNPNQNLQLQKPLNKQFRPSKFLNDLDSVPANFFQEKECSDIYVDYLYPQ TARRRIAIGNDKQITKSECHLPSLQNSSIHKNHFSSPKNTKEDLRKSEILRTDEQQNTDI EIISRKIKKIINSNKRQVTFQSSLIVIDEQNQITKIQDPQLFNDQSKRRKTTFRQKTLAA >CAK62251 pep:novel supercontig:GCA_000165425.1:CT868019:79773:80298:-1 gene:GSPATT00005497001 transcript:CAK62251 MNQENLSSSLIQKQIMNDKKEVMSQLNKLQDGLHKMKTIYSGTHKVIDEQSNFIAENKRF LEEKVDPKIQILTRELQLYETKVNQMMDVQLQLADIKYYYQEFDTKMQEFYDFYKVIWAQ VHYYVERRRILLNQDPEKKQQLVQKLLQ >CAK62252 pep:novel supercontig:GCA_000165425.1:CT868019:80477:82634:-1 gene:GSPATT00005498001 transcript:CAK62252 MEAQQKHDQFESKRSGSLEYVDIQTRMQKSAFEQIVEICLKPVLFRTSKETTLLKDSLRN IKFFQEQFTDHYEEMLEDVAENARLHIYSKDQVIIKQDTYGDTFYIIIKGEVKVLKRVVT VIGTFTTKKGKQKDKFHEELKEITTLKDGEYFGELALLERKPRGADIVAMTDCFILELDK DSFDRIMSTKAQRQFLHLLETLSCNVMLKDLSKNAIKALFMIMERKVYNYGDVIYKQGDK GDCLYFIIEGEFKMVSNVKKEFTKDGSDEIFYFEREAEVCILGKNESIGLEEFLDQEKRI WKAICQSQEGVLYKLNKIDYKRIEQRYPDIVYAIQRVRDEKRVYYNKWKQKYAYPLEAGV ENQQVEQQDLKFDYQKYVEKTKDLKQIIMKDKEMNFISRDQITINDDMEIMLRYCPYFQL EDKKASPFLVNTPETIQIRRVKSANYRPISTQCLTTNEKSFEKTSKGKQRIQSKHDKIIA ETQPTITANQQTTTQPEDYKQKSILISPKIVKSTQNLFSPSIVDKRKSNQKAKFNNQNDQ MQSKVTTFMKLTPQKIEEMAIQQRLSKEEYKQKKLYINQYPFRTTKQMLNRIKSAIKFQS PICMQSYRQSQQGQVHKGTPTIIKSLSPQDSTSFGEGARIHSFKGSNQFNFDFSALPLKY SASLISSEYQKRKKQTLGNSLKFRQNSNFQSIPINL >CAK62253 pep:novel supercontig:GCA_000165425.1:CT868019:82838:84415:1 gene:GSPATT00005499001 transcript:CAK62253 MEQQAAATQEKTQNQTQAPSTQQPQAQPPKPKTVLSEIKLQILLLEKAVSSKDIKAIQKV SIFVKKFRNTVKSHHLAKLYNTFFPNVVVQQGNDIDANFNEDLGLNAQVVSKLTKLIEVN VFIQCLYLIWLFQQKQQESYEQLIVIGKQLLQQVQQNNKRYLDTLLGVIYEYLSKSHEKL GKLDQIRDVLFEGYRNACQNRDENGQAILINLILRNFIHYNQYEQSYNFLKKTEFPEHAF GNQQARFLYYTGLIHAIRGEYQEAYKNLTQASHKAPDNTAFGFKVQAIKVIALVELLLGN VPNRDTFTSPEYQQALYPYYRIVSTVIKGNLGEFQQEVARSENILRRDKLFNLIQRLPQI VIKAGLRRINLSYSRISLSDIHEKLNLPAQCNAEQVVAKAIRDGTLAAVIDHENQIVITK ETNDLYGTKAPQEAYGERINNCLGLYNQAVKALQYQNPEYDYGEKQADDELTTDELLSLA ELDF >CAK62254 pep:novel supercontig:GCA_000165425.1:CT868019:84435:85896:-1 gene:GSPATT00005500001 transcript:CAK62254 MFKYKKLEDEYQKSRINTISIPVFQFGSACIFIVATSKLITLVLQNELGLMPILIGCQIY SLLSFLFLRFNIHKINIQLIILAYLLIGYELIITTDTSPQALSLYQSNFTMCGVIIILIS EFKESLVIILSTFSFKIIYTILMETQKSYIIYCTTLILMFFMCFFSYKLNVLNRTSFLLS QYDYMWESVFPKIVDTPYLIFTFSEEKLEFIFKSQNKLPFQCNNSKQLKEFLREWHWNQL SIENVLFNLYNNQSLNEFHSRKIEIQKDNKTKQSIRYSMMKSLSSTFIIKFDDSIIKVDD CKVVLKGTIKKQEELRMQLVKRIYKNLQMSLISKQQNNLWLIRNNCLKQIMDFKIMKYQW KTKLFDTKKLLFLNDIFVYKLNRLQIINPKNQNITTIVVQLKRLIFEVLELTKNQSSVDV TISETVTISYEGREMKYDKDAALKLIWYNLVEKSEMQENLWVIQLHKEPCVNFTNQK >CAK62255 pep:novel supercontig:GCA_000165425.1:CT868019:86450:87034:-1 gene:GSPATT00005501001 transcript:CAK62255 MKQSQYIITRKLDKQKESLKELHQEENCQNQQNQQVLFPFSPPFLFNSKQKPPPNKGKIH QDFEFQKQNQPNLIKIQEVQQDFTKINNLSLEICSACLLPKQADHQCNDEYGLISCPYCG DHIVRNFLNDHLDDCIPYIENQFKNLETVEECSICMLELTTDLQTLNCTHSFHKACIDAW KAKTQECPVCRKPI >CAK62256 pep:novel supercontig:GCA_000165425.1:CT868019:88037:89134:-1 gene:GSPATT00005502001 transcript:CAK62256 MYDISNIFKRCIEEKIKIVTGRSSRADRSQLNSSMMVSRVKAKDNQYSCNESNSINKYDV ELACQTELEYNPRFLIKNKEKIEVLSDCFEYYELPKISPLDQQQIKKYSMCNSQHDSQGL RSRKNTYQKYRQFQNRLKTTKVGYLSLDPESPFIQEIFKQLCSSSIYQEITKPQVCYHQY LVSQLPCQSIRLLGHHIKINQPNVDAIFNYLSSVSIVFAPNQGDELAMYQIFTIIEIIQL VFSCYDVLSKTYLNTRDLFELFKSGGAAQRDADIIFKYLRCQGIRRTIEMNTTISTKMRG KQRPQKQMVITMMKEKARNLSEMYNRKQTHDKNIVTETTFLEIFQNTIPELFKSLVQLLA NYNCD >CAK62257 pep:novel supercontig:GCA_000165425.1:CT868019:89501:94032:-1 gene:GSPATT00005503001 transcript:CAK62257 MFSPAVVISTDGKLITIKLESGGQIQCKPTQVLERADPTILGNKGFDDMVNMEILNDAEL LNNLIYRFGKDIIFTYVGPTLLVINPFKQIHGLMSADIRNQYIDDIVKKNRPIKDLPPHV YAIAAQAYRQLFENEKNQAIVISGESGAGKTENAKFSMNLLTSIASDGSSKDKIEDQILG CNPILEAFGNAKTVRNNNSSRFGKYVRIIVDGKTRQIKGAEIINYLMEKSRINQQGKNER NFHIFYFFLQGLPQELLNKFGVTMKMEEFNYLNSSKTYTIPNVDDAEMFKEIEQSFSILG MQSDFENIVQAVLAVLHLGNLEFDNSTLTDTQPASVNESLAERLLELSTQQLSQALTLKS RVINKQTILSPLTLDECQFTRDSLAKDIYDRLFNWLVIQLNKVLKPKVESKISVGLLDIY GFEVFDKNGFEQIMINYTNEKLHQLYIQYVFKEEEKIFIEEGLKDYLGQLEFQDNANVIE LIDKQPGGIFSILDESCSVKSSDDGFLQKIRTVHKANPLVKTPKMPSDPAFILVHTAKDV CYTVTGFREKNKDEMGAQTIQLISQTKNPLLKQLYTMEGMKEKCISQKIKKEMIELMTEL HQCDVHFIRCIKPNESKQPNQVFSEMTLKQIRYLGVLDSLKVRKESYSIRRPYQFFYKKY ADMTRNEIYSKLIKKPDLNFRQLVLDMFKQHMPHIDSKQVLFGRTKIFIRTSGLQMIEDS YNKIVTLKHQRAAKLQRSYKIYKMNQHLKKMMRIAMTLRTLAQRIRFKVLVRKRVKAASV IQAWYKKLHERRLQQKYEKSAIYLKLYFERYNVLRDIARKKLAISKIQKFGRYVVQSKQE RKIREIKNVFQKIIDDAWQMILIKKAVMIQSNFRGNQVRKSNKKQVHQIKNAGRKIKMEG SVIKVQAAIRRFIARSQFRRAHDAAYLLQGYFRMKLLSTMFQRMRAAARCVQKFARKFLE KQMSDKKNYESFVQPWEKNVQQQKHDASSLWILTNENNENEEIQPLLETCLIQWRPRLPK IALFSVPIDIDILSDIYQCYNPNYSYSRTLLNIIIQQFRKDHPIQSYFTTEESTLCVTLG GTAIFEFGSGQLILNKDTFDTVEKNIFPDFIRIKSISCSDDFILVTTADGSLLQYGDQYK QIKYKPHYVNKECTMSSKKYIVSENKVFSLTNLNIHIPIKQKARMLSCGNQFIVTLCESG QLYSWGENYEGELGLGDRRYRHEPCLINIEKVLQVCCGFKHVIAKTRSKIYTWGWGERGQ LGNSELKNEILPKPLNITALWVSAGRTTSSIITNDRIIMQCGTNSKLNHSEKFEPVSLSY ALNSMIPIRIISTWSKTIEITYVTFANTISLPENQLPKSLKILNHLNQIWSECNDPHSID PPFNQSISNYLYEASMRKSNQFNKQQIKNHFKLQKEMLYIEDDRDWAMRKKILVEEIISH QKTLTKTDKLKAIRQRFLNLMSKPEDQLTNNDKNFINQIQNNEKIQALLKSIEQ >CAK62258 pep:novel supercontig:GCA_000165425.1:CT868019:94088:95562:-1 gene:GSPATT00005504001 transcript:CAK62258 MKPCQLPDDIIQHYSNYRNKKSKLKVSTILGSRFEIDDKYEILDNIGQGAYGIVVAARDN TLDSEDNLVAIKKIEKAFEHKIFTKRTLRELRLLRLLQHENIIGINTILLPKSREEFEDI YVVQELMETDLAQIIKSDQNLADEHCQFFLYQLLRGLKYIHSANVVHRDLKPRNLLVNSN CDLKICDFGLARALIPDLKAKAGVLTDYVATRWYRAPELLLSWRNYTQSVDVWSVGCIFA ELLRRKPFLPGMDTKNQIELTFEVIGTPSEQELNMIPKEKYRTIAKGLPKRPGKDFNKLF PNASNLAIDLLKSLLTFDAKKRITVEDALKHPYLSALHCPDDEPIAVPVQRIDFEFEEYN MTLQQLKDCIYEEILVYHFKDFKDEYETKKRNSSSIINHIIKNENSKIIDPDADDDDNDS DEEPI >CAK62259 pep:novel supercontig:GCA_000165425.1:CT868019:96538:98478:-1 gene:GSPATT00005505001 transcript:CAK62259 MNWFDQKMKFYYFCFRSKEKKRKKKRLRLEYEKLQSQLYLQSRRIQRQNCQVCRGFLLIG QAYFSIGIKLNTRILEIRGLDVQLEQIFLFSIFKNNISIWIPKKNNQLVQYLVVREYYDN VLDESEVQMYERKQTRKKSKLSLNAKEFQPILINSKEQIEILGENHGYDTLTYNSTNSQP YIINERQKEKKPSIKIYHDLKQLQFSPKKHQTMQPITPPQTFYLTFNSHPSLQEDNQIQL QLRQDDYQFRNCLEQICGNQNASRNLQKIFDNGTPLQRTMIFETIEKNLIKTSKDLFGNY LVQKIFLSGEKQWKFSLFHQFQGYCVELSKNQYASRVINRMIEFLKDEDHHFQIEFMDEI KQQVRQLINDNNGCYVLLSCLENFDYKLCEYMKKHIEQSVYSMSQHTYGCRIIQFMLQKQ YSQSLLDQIMEVSQQLCICEFGNYIIQFILKSNFKQQKMELLRIIKSNFQTLSYNKYGSN VVEVFLDVIEQEDIKFITNIMMASDQDNNYLFVAFATHPFGNYVFKKFLQLDQQYIIPVL GIMKRHPEILQQINTSEYGQKIFSVVERSIQFI >CAK62260 pep:novel supercontig:GCA_000165425.1:CT868019:99055:99333:-1 gene:GSPATT00005506001 transcript:CAK62260 MSASIYTLLSIYSKILAFNIIFEDLPLLETHFTPNNLKTTESNSKLYQLLSHIEIEQESF ANAFKCTIILDQSIHIIMYDYGLILTAFRNQG >CAK62261 pep:novel supercontig:GCA_000165425.1:CT868019:99379:100111:-1 gene:GSPATT00005507001 transcript:CAK62261 MFPILLGLFTLSWCNIEETKDIMQDWDKYMRGFLPDDMISFQIEKGGEEIFIETIKKTPT NIRGTFFIPIYTLDTIDFKVIDPSGSMIYAKMMKKEAVFSFNATEKGDYQLIFQNKRAKE SKVVQFAIDVAKSDKEEIEQNDIDPIENGVQGLLQKIRDVFYSTKLNELKSKGALADVQK INKQLMILTFIETVAICGVTAWQVYYIKKLLNDRRLV >CAK62262 pep:novel supercontig:GCA_000165425.1:CT868019:100233:101356:-1 gene:GSPATT00005508001 transcript:CAK62262 MSLFSKFTSLFHSKSAVNIHQTELNKFQEILNSIMGPYVQFDLKQMTKTMAQYLTTNDSY IDSLFSYMQEYKPSPGSTALIQLLAVIHQMFHCTDITQEISIKLKDCRIKQIEQQDSDNL VTEPDLMTRMKHEQFYTEMNVSEDLSITQFTYAYFSYLQRLAANIDLYRAACRNSYPYLS DKDQVEPKLMFLWHYKMQNLINSAAILLKSEMNISEIQKYIYFDVWRFQSFICFEVEKII DKYITLPNSDALSLYEIYSESKRHYDQLLKFKDTTKRLKLQIPVQCQIENNELQEFLNFV SRLKVLNQMAFKKSLKVPNKQNPCMGIPQKNPQVRFNVYFSYFIYDPPQANIRMTKRD >CAK62263 pep:novel supercontig:GCA_000165425.1:CT868019:101454:102903:1 gene:GSPATT00005509001 transcript:CAK62263 MNFLFISSLLVANLVAQSNLRPTQKKFESEVIEKTISRISDKMKDNKLKYTFINSFPNTL DTTVTNYDPTTPDAFVITGDITAMWLRDSTNQLWTYMEFVNQDSKLQNLVKGAITRQINQ VLIDPYANAFNYQKKQSEWSSDNTTRCFLGVNAHAMSEHLHERKFEIDSLVAVLRLSVGY YKETKDTSIINFKYLKAVQRILRTFRDQQADQTEQFQTNRFPYTFQRNGRSTDTVINGIG EPLKRTGLLRTFFRASDDSTIYQFNIPENAMASAILKQIAEMLSEAKLTQPLIQEALALS SEIKDAIYKYGIKDGAFVYEVDGMGKQVFMDDSNQPSLLSLPIYGFIEIDDPLYQATRKK ALSSANPYYFVGTAAKGIGGPHIGANYIWPMAIVTQGLTTNDKSEIRECLRMLVTTTGGK WFMHESFFKDNPSSFTRSWFAWANGLFGELVLKIDKEYPELLEENYA >CAK62264 pep:novel supercontig:GCA_000165425.1:CT868019:103004:104542:-1 gene:GSPATT00005510001 transcript:CAK62264 MQQLKNNYIDYLREGIQMNKVEMEDYGGMFGIKAVENIESGEFLIKVSHTFAISAFTVFQ NEQCVDIMKRNPHIYPSLQQIGNKKSDFQTLILYLTTQRHGKLKPIFDVSANPICLLDCD QPIPFDYLRELKQEFEEEYQAAKAEVMEIGLNADDYDWAYRYCMNRRLSVNNEYPFSFLV PMIDMINHGKNKAIFGLEQENYKPEEYHQNNYMKFKYISQNKYWFSQEDQEVIESVKVNE SERCSKILNKLLVKLFQELLRTGAPDIWVTKLMIAEDSEDEDEPTTEQSNSQSQQLNTKE SKVQAAVNDDYLRDYYEQSLDESEHDWYTDIQQSTLKCPAYLTARAVDDIEKGEQVLNLY GCLGNEHLLMYYGFAMSKNKYDRVKFRIFMDCNTKYDFLTNR >CAK62265 pep:novel supercontig:GCA_000165425.1:CT868019:104573:105625:-1 gene:GSPATT00005511001 transcript:CAK62265 MKNDNSPGNQQGKSNLGYQTSSPRKQYAPQDTMSQIIQFNPQSTNLKSSSPHRPKDQPKQ SFYFVQDAGQSEQETQEKFNQVKNNYNTNKQSITRNDKQRKQVDLSSNVFENTKNIDVVA KSPENQQLINTSVQWTNVHYGKVLTSVNEKAEFEKDYAKQRKHQELQQQYYDGSAHKSPS KIIENEINIQSKKQNQQFSDLFGQELGSKERKWLRPQRSLSPQIKWSNFDSNRDYKDFGD MSIKESKLRNINTDTQKDNQKDLHKLNHPLTSPFFDCQMSYEKIKGQYKQQNQLKQLESV PSKKFGQEKKIEQSGSNKKYEGLYSWKNNYQK >CAK62266 pep:novel supercontig:GCA_000165425.1:CT868019:105906:106262:-1 gene:GSPATT00005512001 transcript:CAK62266 MSKENKCSRFKKSKKQIDTLNDFYLRQQQWGQNTIKEIANVTNLPKEKIYKWYWDQNKKF KQEVPTDELVGEFIVKQDRITTSTHEILYCNGLQMNQEVSENLKSKLIKLARDLILIK >CAK62267 pep:novel supercontig:GCA_000165425.1:CT868019:106414:106674:-1 gene:GSPATT00005513001 transcript:CAK62267 MTKSTKKDILFNYGSLFILLISVVQLVIMRLQNKQKGLRLKNLDQPQKLMDKVKVYFKFQ IQISCKKGIYELESKPQLWKNKIRIE >CAK62268 pep:novel supercontig:GCA_000165425.1:CT868019:106789:108224:-1 gene:GSPATT00005514001 transcript:CAK62268 MKNQDQILLKNSAIPGYSGHIPYTKSENIFGRPFSAITREAFSHVPITDEKWNTSTKVQF KNPKTLVQPTHRQTEKVLKNKKFQNSGFLQNNSTGDQKGWKTHKNMLMILNMKENYDNYY LYLMSTQYRVPSNDNYIRDLENISQRERHGLFNQPPPLLLGDQYNDALKSQGKEKAILIN KKLQKKHEPALFSEPGYTTLDDPYKDSFKAKQIYDKERELAIKNPHSFKPNDHQKSVKHS EFEHMKEYNDKVFKTRNSAGNVITQARNFLTNPAKKGLGRTTTKNLFSQIEYIPDPYDRQ EEMERKERIQHKSKQLPGTTRFVTTSHGNRPFTADGILVDGAGYTILKKPPLYKGNPFRP SNQNKKGFQGTFEVLQYMEEGGPDIKTKQNTFEKLSATQTFEKPWRPNSNGTFARPCPSV SQQIRNRSAGSNQRG >CAK62269 pep:novel supercontig:GCA_000165425.1:CT868019:108269:109130:-1 gene:GSPATT00005515001 transcript:CAK62269 MNNVIKERSKKRNQMHYLLSIYQTMSNLKDVHPYSFQVIVAPFVPADQFYHDNVVYCKIF VAFPDDYPLKSQRFHFEIQGLSRNFTQDNLIRARKVCESVIDHHEKEADSQPIVFAVVEA LRDYVFDQSMYLQELYDQQNSKPDDNYIYIPPMPKFATNTPLWKKKFDQEIYEIKKREKN FNQVEEMMKKISGKQYFDRKQNKEDDVDVQDIINEDDDIVELEDEDYQGEEYYEEDQDRP LYEREQLE >CAK62270 pep:novel supercontig:GCA_000165425.1:CT868019:109143:110239:-1 gene:GSPATT00005516001 transcript:CAK62270 MAFVKLVKGTPYFKRFQTKFRRRREGKTDYYARSRLIVQDKDKYNSPKYRFVVRHTNTKI ICQVIYATLKGDKVVAAAESTELKRFGLTSGLTNYAAAYATGLLLARRTLKTLKMDKFYE GNKTIDGNLKTQRERPFFAVLDIGLVRSTLGNRVFAALKGAADGGIHIPHNNRRFPGFSV DNDKKEKYDANVHKDRIFGVHVDKYMALLQKEKKTNQRWKILSLMSNSTIGNKPLKTAWC QISLRIGSRRFMNENQKEQRQSQERCQIKSKREITPNTEPRDQISQIKKELMLQKRSTLP PNKLLNQRRRHDFIYIWYITKYPLSILLLYEFQQNNQL >CAK62271 pep:novel supercontig:GCA_000165425.1:CT868019:110655:111236:1 gene:GSPATT00005517001 transcript:CAK62271 MFIITEQQHLLLQKLAQTRNNDKQRLISKQSRSLRPSLFLNDINSIVDNSFPTIQQQKQV QHEELSHKPEEIIQISSEREYIKLPKIGRFSKLRKSTQTEVNEFQFLSQQNSPIRNNQDT QQLEKKYFSKTPLKNTGTLLLQGNQSTKKKSVKFNPQIETINEAGLIGREYIEITQRQSR FKKQIVKLHTQVN >CAK62272 pep:novel supercontig:GCA_000165425.1:CT868019:111279:113754:-1 gene:GSPATT00005518001 transcript:CAK62272 MYNIKISPKLKSDDRSIMNQILKEEAFFLNQKQGDIHSFVLDDDQEQLLNKLSNIHKMTN IDKENYTKHISYCMRNLGQKSIDKLPGIMEKYKTEDERIQIMFIEQLNLIYEVAQQAQQP VIQILSFFLLNSILKIKELAGQQLTKIALHLQGDEKGNQLLPIIIKMAHDDLNQDNRIVA LQLMGKLSSMFGIQLSESFIAFEVMSLGEDSKQDVRKEAVNQLPLVAKIVGKDFYNKKLF PFYLKRCKETNTKVKTACVEHFLQIVELSSQNQKITDLTPQLLQFQNDSNKYVKGVAYRC LARFIAAIEKDKLEPKLIENYLKMADSDVRELLPEQQVMFACAYGFPAVLQTVGVARWQQ LHKLFNHLWKQKNERICKTLAASLHEIAKIIGAERAEIDLFPILETIFVKEPNDNVLMGC VKNLSQFLKIFSDEKKEGFLEIFFFIQKDKKKWRIRESIANQLDEMAHIFPADIIFKMIM PIAFKLCTDNVAQVRKIASSKIYSFFEGIKNSPLYEQYKFCIIESMIGYQKSSVFYQRQS FIQMCSKVMNLEDDIFETYLLNPFVELAQDKVQSVKYMLYMAIENHIKDQGRLSKNQKLN DVINLLSNDKAIKSLVKYQSTLEVQPEAQQQEKDDTNLNQESTSFQETQLEEVDDEEVPQ RQVKEEPKILKELREEKQEMIQRQEEIQNQQLLEEADQKIEEQVGQLTLNENAETNLEAD QTGECIKDTSSDFIVNNNESQELQQFEQLQGQGEQQQKQSDELLMNEEYQQGSQSDQKEV NTEEDAQQL >CAK62273 pep:novel supercontig:GCA_000165425.1:CT868019:113791:114667:-1 gene:GSPATT00005519001 transcript:CAK62273 MFNKVLHKQVPQELQKLQTITEAIQNFDVRFPVPGSQNQTGSILAIDCEMVECKNEIGAS VQMLARITVVNYNGYVVLDQYYKPRFKVRNYITRISGITPQIIKDKPVYNDFEKQKLQLL FKDKTIIGHTLKSDFDAMEFDLFNESRLFEIRGGIKQYSQLNKGLKKMCLKYLGQNIQQG QHSSEIDARATLFIFRKFRNEINLHYKQLDLEQDKQRIQKKNSQKNSKNQRKRSQKEVLN KESENQRKQCNEPLSRNDQQKQVQIQKKQISKKKSS >CAK62274 pep:novel supercontig:GCA_000165425.1:CT868019:115005:116339:-1 gene:GSPATT00005520001 transcript:CAK62274 MKQKKGYVMRQQYVLKEFLPALKSCFCGQYVNPDQVLVLCNQCEKAFHVECLINKLDYGV VNCDTCREQISNNVIPEHIKKSLHSRNTNHSDQKYYQNKINERQNYMQIEEEGEEEVELN EGKIDLDKMVKKIKTKEGYLQNQVHVIQQNNICYEDSKKKSIYKEVPTAKITTNETIKQT SQPYKNISNTSIEKMKAWVERYRQMEQNLSNFEKKRQEVREKFFSVIFYGVEELKDMWHK QQNSISQQEKEIITLSDTLLFQFIRNLALDIEVFVHIKLNVQHKGRLESHYVDRCKLIYL HMKDDKNFELRRKVISKEFKAQDLCTRDERELYNPEKKRQFQEIAMSVIELNQKDKDDEE KITKDMEEVSFKENKSINEEVQFSQNMNDLGSSKNSKLMEYSVDRSLSRFKRRVQEELIE TERLQILASLELYQLTC >CAK62275 pep:novel supercontig:GCA_000165425.1:CT868019:117145:118104:1 gene:GSPATT00005521001 transcript:CAK62275 MNMQRKSHSPELRKELALKLLRNEKHPFVSKQSQSPEIKMTRSTVSQKRKAQDHPVNSPI IEDLLRQCKLLEELVKKSKKIIDEKETKIQLLLQLLKQRENCCKELQMQSHKLMEERQIL IEHITQLENQLNLQEEEEAYSQDGFQQHMTNLNDLRHFLNQIRHSNEDIPVFVDVDNLTY EQLLQLEDTIGYVNRGLSKEQIKTIPKISFDQCNTDEQLCSICQIEFESTDKCRALPCQH IYHSKCIKLWLGKEKHCPICKQELEINLPIQYQTEEQVMVEQ >CAK62276 pep:novel supercontig:GCA_000165425.1:CT868019:119369:121147:1 gene:GSPATT00005522001 transcript:CAK62276 MKFLIVNGYHASRNNFKQIEQFKQLIWDVQIQFSQITQQLKEVADVEFDFAIADRHSVQE YLFEPETSLVRPEHGLKFDALDMIFIAASPNVRPWHNRMRSIITLIRMCLKTKKLLFMTS FGAQALAYLCATNIQNYINITNGYGEGCKLVDFPKYTNQALKNGSDDFFLDSTTGDIYFY SKQTDEWIPKANVGIHHRRDAMEYQSIGKFVVKSPTYKPKQQMLSNQTEMTCIIKKQFLN YWLFKDVQMEFSIKQSNAWDIHTITFVNPEKRFSVLAENNFRGPLILQCDNILALLFEIE SKSRDQFNIIQNFIENGIKTIRYSNHYNQISITYEKYFNNQKGIKNIEIVFDKTLKKTNK LDDSIKPKGMEYRKLINAQIQEQEREKIHSIQAGLTSRNNKQSDVVSHNNIMQKNYKVKR RKSFSLKQQQLMMLSQQVQNHEFLKQNPNLDNFLSDQQQPKSSRHLKINTEPKPQPRLKQ EDDFDYDQRAHKTQFNQVQVRKLLHPSLDEQFLGQKRFWIPGFLNYKYTDIQSGLPSTRK GSRPLTDRF >CAK62277 pep:novel supercontig:GCA_000165425.1:CT868019:121385:122126:1 gene:GSPATT00005523001 transcript:CAK62277 MNQESIDESLERFRRDRQNIREKMAERNQLYEKAAQNYLSSLQREVETIKRTRPLAETRN QEFREQIVNLFQRYEDGSLRLKQAIQKTNIEKINYNNYLIKVYPNYFTDEKRKLKEENQR LMQQLQGFRQQVSNVPLQEIQNIQNEQYDYNELQRVDEYLGQDYQEQDFQNQQYHIQEQQ RQQFQQPQGLQNYQNQSKQNLTKQQEQDFLSEFLIPQQGKK >CAK62278 pep:novel supercontig:GCA_000165425.1:CT868019:123252:123440:-1 gene:GSPATT00005524001 transcript:CAK62278 MSLKSVSNHSYQQPFTERAPRNNIKVHSSVLEFKQNYKRMLTNSKYGINYMQKKVFSKLD PL >CAK62279 pep:novel supercontig:GCA_000165425.1:CT868019:124338:129187:1 gene:GSPATT00005525001 transcript:CAK62279 MNSIDSYQSKATMNAQSIISKIATRNGQADNGSLIAALDEETVSAAWNAFGLHVSRQLRM GRGVAVNKFGTFSFSAPDVILDGVTNPVERDKQPRQPVFLVAKEFVNGFNLKTAIAIGRQ LRPYKVQTSGKIQHAIVNWAEIALYASQNKDSAKMAVERVIKSLSDIVRNRDVVEVEIPC VGTFFVKSHCAAVQFMDSLMDSCKVKYGINICLQEITKRPLSERKSKGDMRLTQQYLQQL SKSQHPEENNLMIDEGARNYLTNSLGIELPDTRPKTAKNSQVQSKKGGLMSKTVSDYIQQ QFQLELRPSTQQISRMGFQRSVNDKVFALERLKYYIRDHALNIEDSFLDLCQQAFGKTSE RKIRMNFDDFKRAVLKIDLPLNEIQIITLFQTLDVNNDGFVDKFDWLKAIVDKKTHVNYI KDVVFKFQIHTDDLLQRMNLHRDHPPVNLQQLKFALMHLDESLNQHKALKVANEILDGKE TISMNDLLALFNTVEEDDKMYDLSWFKDTLHKMRDHLVDPQKMKVLRSSFEYFDEHQEGN LDTANFKTVLMESQLGLNVQDINRLVRYLPKNRDSLINYYDFIQMIMDVNKQMDQKDTAK DLVDFAQKISKYLTQKKFTVIQFLQQVKSGYGSCNIESTAQYLEKNLFTQLSHDECLEYC REMDVDGNGVVSDEDMNTFIKRYSYFNVRKDQSQIEQIVESLKIKPQVGDNFSAIEKTYV QSKSIDLITMGQKKVFQSLVDGMNQQKSLFPVEELPESKFDQILKDLRIKLNRKGMGYEE LFTFLDTDHNGFLSISEFYNIDKIMTLSQPAKDGFFAFMDKQRIGLIDLNTFVKFMSKSI IQQMPSLSEDDWDWELEILFKIRNWCQRENITIEDAFRTFDKDFDGQINKADLRTFLKDI LKVEEKEITEAKINRLYKLMDQYKRGKITLMDFRRFVEEGFFYGKNKQVFGQTTNLAAKQ QSESRSSFDWKMNARQQIGLIISRHYPSVKESFDIVSGYRKKLVFQKFKKWIDEKNVLSG FDLTEKLVYEIFSDLDSHKKGYLVESDWLNAFAQYNWQDQMIKEIQDALSTYFSSIQNAI HYFQMEHSHVITKESFAKALQTLFPKRFVEGDIETLWTRVQKNGSLSNHAFALIFGKGGK AYELPEGQQQLQHIRPMTQGGLSELPLDDRNQVNISLLDKIRRFLRNSNKNISELFKQYD SDNTGFITNLEFRQVIRSLNMGLTFQDIDILSAMLDTDRNSMVNWRDFAKRLDFRQADNK ILERAGIHLQKVNDHIYHYLLSPKDAFRQIDAQHTGYLSFDKFKDMIEMLYRLATEDIPP FAIIKDLFEFIDKRRDGLLDLTEWMDAFSKFSNPNEKKRPMSANVRMKKSSQKSLMAQTD KGWMTKQGALSPQQFEGLTDDGLMNATNKFKTFLRRPPAKQTALQYVENGIWESSKEFDR TINAIGKNRKYLLEIFKHLTQNGQIPLTEDLIKLEMDKMLRSQGIVVRDEQWPQLISWSK KNGRIDYKFLLEVYKDRLNGMDTQPRMGDE >CAK62280 pep:novel supercontig:GCA_000165425.1:CT868019:129213:129786:1 gene:GSPATT00005526001 transcript:CAK62280 MLYQQLNKNFKKALSAFQITSLLPDRTMSSTKNRVYKKSQTANSSKCLFVPKKKEREQIY ITPPSKHKNPLSNIEELNIDSNCSTDSSQRLENLSPVYYCPKGKEPCGELQVVLDGDVIQ VISQTRNRRKSRKFSDQETYAMSNFIAGPKCLDIPCPQFL >CAK62281 pep:novel supercontig:GCA_000165425.1:CT868019:129789:130454:-1 gene:GSPATT00005527001 transcript:CAK62281 MISLIKGVWQKYFDPPIFKILIIGLDGAGKTTLLNKLKQLAKHPFIQFDKIPKTVGLNIT EIEYMASNRSMNQKYKVTYWDLGGSQTQRSIWKKYYGECHGIIFVIDGNKSERWDEVRNC FKYYIHLIFGEVLNDKSHDQVPILIQVNYRQNRENGICLKIKELIQNNTNRFLSIQEVNI VDNTNVVESVKLLHNEIGHLFQLYN >CAK62282 pep:novel supercontig:GCA_000165425.1:CT868019:131264:132730:1 gene:GSPATT00005528001 transcript:CAK62282 MYLIISALFFILNSQSTKLQSNMNSTKNYHLNINEDTILLQFPRVIDAKLYTNNTEPFCT LIEKNVAFYIKQLQMLENNEINEQVDNQVYPFNLKQFICMTQIQDGIIALTTDFILYFFE LNYDAIQSNTNNQFAKKIWTTDFKPIIPDSEQMIDFAQVVFSVTSSQALIIFNSSAYILD LKQDKTKMQSLDVINVGDWVSRSTRGLTKSIDEIIFTCVGEYGIDIYKSAFNSLRFLGNL DKTSLELDQFNLKDFTLIKLKELSYKCYFLDFNGNVYVIEIDIINYEFTFQLVTQISSQG QGISIDTKNGINVFVAYSSSHLYQVIEYYISLNQSIYFELNSYKTRNSIKSLDATDEFVI IQGINHHKILFRSDVLQQQSLNLPVFTYVGLRDFEIFQLQSDIKSSFKGVEIFVGITSTN LFLAKFYIQPYELSCYTEQTEQFNSNSALLTFGQFNNLFGNRQSC >CAK62283 pep:novel supercontig:GCA_000165425.1:CT868019:133134:134601:1 gene:GSPATT00005529001 transcript:CAK62283 MIELFLLSLGLGWQVLGSQYEFKVEIGQIKTVLELNNVKNVSLSEESNQQFCWVENEHVV LQETFFMNQYELDSMNKYYYLLASQKAIWVLMNDKILTQITHDGIIINQRKIQDNISHFE GFYNEKSENPVFLVDYHFLDDTQITIQTGVTKICDGFLFVVNKYIFVYDLQNNTNKYFGQ IDLTKFQNIIDFDIKRIDEKKYYIYLMDKNNGLTILKLLLRYNNINSKVMYAEIHPAENP KSFFCNEYNSCFALYYNSKYKILNFKINFIDSSISIDEILEQEKKILKLVNIGDMMFIQS KNHHKIYLYNSQQIQNIQILGLQQISAVNSSLVYMITNTKLIKVELRISPLRVLCYCDDN DACPQKYDYGINYIEKTKQINLKFTIEFQSSLEDQMNDVIVIIFSILFLLGILLLLFWIY SLKAQLLQIEEKIKQGQQYMQKIKLPIFHTLENLAFTFRGVETLSKRIQQQESQEMQDLY >CAK62284 pep:novel supercontig:GCA_000165425.1:CT868019:134739:137388:1 gene:GSPATT00005530001 transcript:CAK62284 MSYQAQHQNQPPNYQNIREQYHIQGDYSQSGLYRQQQQSNPQMGRYTQANQDKGSHQFSQ NDLSREHRITNSQNVGHHDTSHGHNHSENEHHEGHYNPLQMPCNWEIAKKHALSRRTAKE KTKDPTKTLAVETCPCCGFEVDREDIPFCSDPMALSFLGSGFTLFYNYLKYCIIILFIQL IVKQIHNLYTNYEGTYCSHIKREKMEGHIIEEPYCPDSIFLRLSLANKLDNREALETMQV LNFISIFIIMFVLIYFRKSQRQIDTTIDEEQLTPADYTICVKNIPTGLSVDYKAELTNLF QKYAVLDSSKEIIVRKVVLVYDIEEIIELEKKLDTLIERKKEAIAEHNFDFHHSSVKQID EEIEHLEHQIHKIEEEYELHNTKFAGIAFVSFDDESMKQLVLQENPHTQLERIKSHWNRG KLTGLGNEDLSWQGQKLFLEQAPEPNDVDWEFIHITTNEKIFKRVRAWIYYILFESAAFF VIYLISHRLALLGDKAHEEELQGKLDEDTKRKINIMSFSISMTIVLFNKFGVAKIVHYIV DDEKISNKTKFQISFVYKYALALFMNAAIISFLVDIVILKNVKGAGGFIQNESQIFVLNA IFPPFIWFVDPWSLCKNIWRKYIISKGDKALLTQQEANKLMEEPDYLSAKRYSDVMKTMW FTFMYGTAIPLGTLFSAFGILIYYFVDYYNILRRRTVKESISIQLSTEMIEMLEYIISWC AFGEMIMTYTFFHEVSKIDILLIILAIIYQQLPMEDISEYLFPVENNEEIKPYAEGSASF DTDYDRENPVTKHKALAEWNQKQKSDENPHHKVKKILQQQDEFGYVADGHYGRR >CAK62285 pep:novel supercontig:GCA_000165425.1:CT868019:139033:140318:1 gene:GSPATT00005531001 transcript:CAK62285 MHCTRKHLLFDKSYFISFNQDSMNIGEVKSHLLTQSTQHTKYSIPLTLQTEIDWKVSSEN LLLEAFSIIWKNEQRYFYADPNVLLRVKNYLGGRVSFKRIDIFYKQIGKIENRSSTSIYT IQGFDDDKVYACKEVKKSKQHSNKLFQNEVETLKQLNHQNIVKLVEVFESENSYLIVLEL LKGGSLSQCLKYCRLTLNEVEIILKQILETLCYLHEKGFVHRDLKPDNILFRELGQFSNL KLIDFGISCKIPDLEKDSEMSFGTPGYIAPEILNNTNKKRLSQKIDIFSCGAILYYMLTG SRLISGVSAQEIYQNNKKYTLNNQILQKVKAENYRELLSKMINEDPDQRIDARQALNYFQ LMTVQTKGSLSTSLHCAQDPIQKLPNFRKLITKS >CAK62286 pep:novel supercontig:GCA_000165425.1:CT868019:140701:141018:1 gene:GSPATT00005532001 transcript:CAK62286 MNKRTPFKQGNENEKQIKYTIQLDLSTIITWVYGDRNCFIGFKFRWKQKMKTMILDQYKC LKLKSIFDGKVSYNNLVKMFNSVKSIREQSNNAVIRGF >CAK62287 pep:novel supercontig:GCA_000165425.1:CT868019:141141:141952:1 gene:GSPATT00005533001 transcript:CAK62287 MLELDVLSLQHKNMISVSEYFVENDKFHIIFEHLEGRSLRERMNSQYKMKKEEIIVVLKQ LLSLLITLHNKGYICRELNQDNIFIQKDGRIILTEFGYTTKLGEIMRTKRGTKDIDNIND LTFQNNLDLHPNNEEFDRQFWFAQDIYSLGELLHEMLTGKSLQKTIFDKYSGFQSSQNTI STLRKRVGLRKLLDRMLEPDPRIRISAEQALYFIKDMEFGDDSFVDFSDQDERNNYQEVY QFISKVCLQNL >CAK62288 pep:novel supercontig:GCA_000165425.1:CT868019:142019:142772:-1 gene:GSPATT00005534001 transcript:CAK62288 MVKNSAQDPQDNSLQMKTRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIKFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK62289 pep:novel supercontig:GCA_000165425.1:CT868019:142802:144267:-1 gene:GSPATT00005535001 transcript:CAK62289 MLSISKKKQYPKRQDELSGMLITKNAPIRNYSTCKSFNPITAATRNSRISYQSKQQQSQN QSEDKGITSRTFSVNEVTELPQISKPTNIILKRPKFIPRPKQQLRKLIHAQTSPTNLESE RKQSNLNSLSFQIINLNVDAEDVYILYKGFRNLCQFNKICILSYNLLFGEYNGTYFPNSQ NPQIKPLNNKQLIMKIRTESILVLQLNPNQKLNSLQNTQIDWFDCIYSTKTYHSKETLSS ELNKNNLWVNISKIQNLFKIQNKVFNYFYIDIIYSDPSIVIEVDNFINKILLIDDDNARL CHLFVYAPANLQMINQKLQDLFRNGSTQDLQQLNLKELIDRANQDMLQLQIRVKQLKYLE DLKVKTKQHFKKLHLGPSLMGPEQRAEKMRNQIVDYIKSNSKIKIANMHFVVGDTIMRNI EMFRNHENDKFYRHQETVQLIDHLIKNSLPNFQNNSCKANYAFYCL >CAK62290 pep:novel supercontig:GCA_000165425.1:CT868019:144683:146741:1 gene:GSPATT00005536001 transcript:CAK62290 MGTTCCHANFQSSSYEQGSSALILQKQSVSQQELNQMVAPLPLGKESQTPTKLEAMKQQI HFLQLESIEARNALVEVQQNKKKEEQDLTRKVSIDSEQQNIYIKEVRSPNQTEVNLLQLS PIELDSGFNLPQQSPSPQKKKCRRKESLNVEQYNNTTFTSPRIYTTNRQIQDSSASPTKK SIGSVSSLTIRMGVELFVNLKKGSLNKVYTIGQVLGQGAFGKVCKVTHKTTGLIRAMKQI KKSELIKEDEQKLFQEMNILKNLDHPHIVKLYELYQDQTNYYMITEYLSGGELFERIKKM QVFTEKRASELVHQILLAINYCHEQKIVHRDLKPENILFSGPEPEQNLKIIDFGCSRKFN TSKMTKRMGTPYYIAPEVLGQNYTEKCDIWSCGIILYILLCGYPPFTGKTEQEIFEKVKS GRLRFPNEEWDLISKEAKQLISKMIQVDVNLRYTASQALSDPWFQKHSTNLPINKKALDN LSKFQATSEFRTAIVQYIISQMTNHREIQDLQYTFQSLDVDKDGVLSKEELIQGYKKIMK NQEQAEQQAERILEEIDKNLSGQIDYSEFIMASINQSKVLSQKKIEQAFRIFDLDGDGYI TKQELEDVMGTLNQDVWQLFLQETDHNQDGKISYQEFQKLFF >CAK62291 pep:novel supercontig:GCA_000165425.1:CT868019:146912:148079:-1 gene:GSPATT00005537001 transcript:CAK62291 MSKKGKKGKKGEDNDESTTQIMRFYNRKIQSLGVAQNKIFVQAVAQAIENQEHLTTLHII EEIGPNAIRGIFEALMDLNYKHLANIWLVKAGLGLNNNEGVKYLANYIQKAQNTKVLDLT ENEITPQGCISLGYALSPAFKVPIQELILDFNYIGCEGMKELSKGLEMNTTIRKLSLNYC RLDLESVKYIQDILSFVDCDLRYLFLEGNFLRNQGVYQLFRALETNEWLEELNIANNQFG ESEDVPLIEKICEVLTKNNSIQVYDFRGNALYDDSAKKFLECIKMYKTVCRLEVPIEIQN AILEEIKKVTKKRKRRKNKKKKSKKKKKAKK >CAK62292 pep:novel supercontig:GCA_000165425.1:CT868019:148173:148822:-1 gene:GSPATT00005538001 transcript:CAK62292 MNFAKQSMKEINKQFWAKQTITNSSMLGGYDEINNVDIQQSELFLLKNIQQFNTLLELGA GVGRISEQLFTKYFKEIHLVEREAKFVNESKRKLSKFNCQYYQMSVEEFEPSTHYDCIWI QWISMYLTDQDFCNMLSKFKKTPIVLKENISQQDYLYDEEDASITRSDRIYQNLIKQCGF QIMDQQFQEGLPNDIYKIKFYLLKHQEL >CAK62293 pep:novel supercontig:GCA_000165425.1:CT868019:148836:149801:1 gene:GSPATT00005539001 transcript:CAK62293 MQSLSVKNTKYQIQYREWSYSCHQKRLSEITNRKGSNDSSYINIIESSRRNKFFNSRRKE IKKQEEILTQNKKLLNKIVNIKSSFYRSSSRQSISSNGSKLSARSIEQKQKNIQRIKDNQ VNRQKISQMFNFQVYTNGEDQQRFTSETRISDRGCLQKIEMPSSLKDKSSTITILSLCER QQQVQDIEFRQQGHCLYVTRNQKTQLINRSKDVKRQIEQQLITYINEQIFKKNTQIEQEN NSSTKTQSIHDISISSKLKECKQKGQKRLQINMESQINKNSETLIFQFYHGRARI >CAK62294 pep:novel supercontig:GCA_000165425.1:CT868019:150164:151752:1 gene:GSPATT00005540001 transcript:CAK62294 MNNYTKIIPNRQSPNKLLTQIQTLESQQIRLIILKEFLQGRLCLDIQNYVLVQTPDQLYS EIVLLWFLKKEIPIESAQIFLSKSDPKYFPFQLMQYFINPQIKLPLLRLIFNIQNIQFLN SSLDIVLMNFQTKKEVTSLLLSFDEQIQQPEIDLILYNYPKLSLLVSYHLRINPKFEELS SFDTNLIATTIKRSQTINKGIETLLNYLLQCDDKKSIVDILIQLNFQNDTVLNLGILKSP EEVQFKEEYIFFEKWTQTKSFNEKIWGRFTSLVNQKMIKLDDQEFTVNNLNFAQPLLIKA LFSLVKRHTSNFIIENAINKEIKMKQSLFLDYPDFFKIFFIDYTSLLDPNYNMLILESLN VILTHIERTAKQPIVQHLKLLLCQFLVQCISKMADPQKIEISIYQFIQQLLAKEKNLNFQ FAASLKLEQIEKAVKHIDCLFLLLSDLDLLQQKLRDKERFYLFCKCLQLKHKSELLDKPL ENYQNEKQLKHKYNTNLIMKKKEERVIAQLF >CAK62295 pep:novel supercontig:GCA_000165425.1:CT868019:151926:153519:1 gene:GSPATT00005541001 transcript:CAK62295 MKINQPFQVFVRIRSHSDSTNPIEVVNENILRISNREMSFQKIFTENDSNLSVYEGTLSK FISKIFEGFNMTVLCYGMTGSGKTHTMFGNSQDHYGIVYQAVKELFQLKKNGFIKISFYE IYNEQIIDLLDQSSQNLQVQEDKNGDTQIPGLSQQCIVDEDHLVQLIQQAQKRRQLASTA SNQYSSRSHAIVQIQVVNYDEKKNIKYDGKLILADLAGSERCYNYKNGGTQKIQQEGQNI NKSLLALGQCIMMLNQSKSHIPYRNSKLTRILKQSLSGNSMTLFIACISKQYSEETENTL KYAQQACAIKTSLTQAMTSIKMEQPKRSISIEIQNLEQQLNSYFVINNGLHKNLNTLYQN VQKEQETKVIVQNVEQQIASNMRKQKLIINQIKGLIVEKENQDKSFTYRGSEVRFCDLSN KSSIISQIQPHTADQSCQTERVYDPIYFDVKLGRNNSQQTPNTIEIHKIPESKRFSNPFL STMVQEEKTQKDVLQDRTNRFKIKIK >CAK62296 pep:novel supercontig:GCA_000165425.1:CT868019:153709:154471:1 gene:GSPATT00005542001 transcript:CAK62296 MQETNKGRTCKQRSSVHTKDQKINTERRSLNVDMNNYTPKQPKWAEKTIKNMNRCSFTDL SEQDKRGSMSTLATLTTMSTDFYVQDDDPIEEQTSSSDESQSNVKFKTEMCKNWSLLGRC NYGNKCQFAHGQKEKINRQCNQKYKSKLCRSFHQDYVCFYGARCQFIHESRSVDQIRKDF KSQTSFYQPSSNQLRLKSFQLITSDWKQEIPLQECFQLWKTKLLLQINISSSSD >CAK62297 pep:novel supercontig:GCA_000165425.1:CT868019:154521:155453:1 gene:GSPATT00005543001 transcript:CAK62297 MIEDQYLLMLKQNSISPQIIEAYLQQKQHLINNVISYFANIITYGPIALFNAEKICSSKQ SQQFVSTIRKNYETMFGLNDQQDSQSFIENSQEYFKQYFSQQIFDQHYLSFLNDLKECSY VKQLSRNQWLDQLQDIIEISTIQEINQTQIINEQFESDTLARERKSICYDGDEERTPQQS EEDEQNKNKYQKNKKEKELQNGEGGEEGYDASNSDHKTKISKLPRRIIQRRKQANNQEFD TDREYKQKE >CAK62298 pep:novel supercontig:GCA_000165425.1:CT868019:155453:156085:1 gene:GSPATT00005544001 transcript:CAK62298 MRSNPRSQSKKESKTVQPPPPPPPQKPVQEEKQFNPDTYVRQNLSRDEVIELKKAFDLFD DDGSGTIDPAELKGAFEELGLRAQNKMIYQVLGEIDQDNQGGFSFDNFIKLATAKQNLKE TRGSLMRTFNLFDLNREGRITWDELKRVSVDLGDDLNDEEVKKIFRKADLDDDGFVTFDD FYNMMTGRVYYD >CAK62299 pep:novel supercontig:GCA_000165425.1:CT868019:156254:159527:1 gene:GSPATT00005545001 transcript:CAK62299 MYIKKLKELISTTLEDYRNERYSVQHNQLILQKIWPTFIILVKFYAIIGLIYIIIYNSLY FKSFNKAYTTFYLYIQLVAIVLYVCIVERIANCLRESYKLTLIIFTFILVETSFQIFLVE VYLSNDVENRILTVCQLFINLLLVLGIVRTIKLRIMLLLKFYAYFFARGITFHFKTFQSL NIIFFILLILFFWDQQLINLSKVSQFTDQTLRSIPSAVCVLDQNCQDVLFTNNFTKKLIH SLNYGGLSGTKSYGILQNQGFPSYESIRSSPSDEIISFFENLTLPQSEVKEQLNSENSHR LASKFESTDQQQINLNQALNLIKIQEKQTQGSIYALRCSFNCQTYEDEYPIIVEARIKTK LQYGLNKNAILLNLYDVSPNFKSSYYKKLNSFKSQVIRSISHELRTRLNVIQGFLQVIQC NSINYDKETNKLLRAAFNNCRIQNLIISSIINYNLIREKKLVTKIEKCKLISVIQEAIDL FKEEAEMKNIRITFQKLVNQAQNEMLDYEKFQSILIHIISNSIKFNNTSGQIIITIQKEN QEKDKVTQNKEIDKRIVQPSYGSQLYKSSQDLNDSSFHFPLRKNTIFKQQFINPCSSFSN VAPQQQTNTNLYEYYLIEIRDTGMGINQQKLEAIQNLLKNEEKAFQDVDGDSASGMMLGL RASNALIKYLNGKEEDNFITIDSVMDQWTTVCIHLKCRITQLTEGFMPSDLYNKESSQIE LEGQVPDIESQTFKFNIWTDPDISSRANIINKNKKSSQINVNLANKRGSGQMFSNHNISS FYKNLEGSLFKGQGCGCDQKIVIVDDEPYNLLVLESLLKQLGYQSIKADNGKQCVNLIEN SISAVIMDYQMPIMNGQEATKQLQCLFKDQPRIQIPVFGLTGFSGEDDIINLRDAGMKGV YIKPITLKTLEEMINNVSLVECNFNVSNQIMPTTQNNYMNDSYQLIYMQISNNSQQLALT KIQNNAFNVQYSPFRLLNIKYTEASTQRLIEEPIHSIQVFQIQGSNYEMHFNTKNSKQTK QTKEQVKVISIDLKLQKKKLKTGSKENLSDYQRKLISDIQKIEQEILSLS >CAK62300 pep:novel supercontig:GCA_000165425.1:CT868019:159892:162587:-1 gene:GSPATT00005546001 transcript:CAK62300 MSLARTSQSLKHKMKSESQCQQQIKTLIQNVNNPPQNLTQQWIREKENEQKQLYEEVKQS EFGGRTSTLTQLTQLAKKIGKMKKKLNSVADDRMKSPASDKKSRKSTKSGKSVNSQSRRT LGKQTQSRVQMKSLEQSRSKSSKKSAKGQKSLKTQQTPQFTKKSIENKLSNFQKVVQQQK ENQRSNVRITESRASKNNADRSLSPSRSSYNSSKKKNKNKKVVTAYYDDGSSITEFSDSI DDINVKVNPKATQSKYQTLIESERKGQDTEICQLSREENLMLTTKLESVSKEKLLREYRV LYYRSKEVRKLLSEYYEQNLTLSDELNEIKQLLSQKQTQVKQLKKELKSQTKELKLIQAE YGSKLDQLGKSQTQLDKNSVPLNDYEIMKEENEKLLVENELLKDDYKDIQSKLQQIENDI YQKRQYEHSDQDVSQRLQREVDTLKDQIDRIREENIKLKLQLDKEMLNNREQAQQFNFKR SEVDGTVELKQQELAQTKMHLQSANQKIQTLESQLNQMKYQIIGDQEQTFQVQEKIQRQE EELRIANLRVENRDKELNEYKQKELSLKKKIIELEQKVDNSSSTSQTFYDPSFSLNKLNN AESYVNQELQAERQFLIKTQEQLLNIQVENEQLKNNLKQLELELSFEKQTNQQIKVRLAQ LQDEKDITIQRVQKQFEETENNRQKEVLLAEQSQKNQENMLYSYQQRINEVESELRILTK QEQELKNQINDQRIQIERQQLKIEQNEIKITKFHDQIYEIESENQHLNETNRQLRIQLQQ LNREVDQIDRIKDNYKTRYLSNRHDSKKSVNKYPEKENVMKQSYTHDVERMKSEKEQLER LKCQEDQQRMQRDKKVQVINDLQQMIKVNKKQNDK >CAK62301 pep:novel supercontig:GCA_000165425.1:CT868019:163156:163651:-1 gene:GSPATT00005547001 transcript:CAK62301 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGGMEPTIAAIAKKYNVEKKICRVCYARLPPKAHNCRKRKCGHSNQ LRIKKKPKD >CAK62302 pep:novel supercontig:GCA_000165425.1:CT868019:163671:164649:-1 gene:GSPATT00005548001 transcript:CAK62302 MQDDEIKLIKMINDPEKLDEISFTELVQKLCTRRSAQFEYDGLTYCQLSGEIIGEKSTIK LMIQPEHFIVVLKDKLKELIKKFCFINGAFEQSFSKIYKINILQHFNSPQDFKDYDIQQL EITDSILQQVYSQEEYQQILKNIMIQTQQIYQDPQMENNVTFECPITKQTYITCLESINL QGQPYSLQGALDFLNNHRDKLFSHQIEYLEKIQKLENEKRNQQENQFEQIIFQCPKTKKN YYTCIEGIKLKQNMYSVEGLLESQDKSDELINSDEYKNAVVYLNKIKKVQKQ >CAK62303 pep:novel supercontig:GCA_000165425.1:CT868019:164952:165232:1 gene:GSPATT00005549001 transcript:CAK62303 MNTVQVIEFSNRVFDKYDKDKSGFIEIDELTSLLNNFAKEIKSQPPTQNEINYMLRFLDK NNDQKISRTEFQKLGQLMVKVLGQM >CAK62304 pep:novel supercontig:GCA_000165425.1:CT868019:165355:166122:-1 gene:GSPATT00005550001 transcript:CAK62304 MASKKKQLFKIIILGDSGVGKTSLMNQYVNARFTQQYRATVGADFMAKEVMIDDRMVTLQ IWDTAGQERFQSLGGAFYRGADCCVLVYDITNPKSFDSLDSWRDEFLMQGQPKDPEHFPF VVLGNKLDKATERKVQESKSQQWCKSHGNIQFFEVSAKDATNIEQAFQDIAKAAASQEKD EEIFFPTTVTLTKQDPKKQTKQGGCC >CAK62305 pep:novel supercontig:GCA_000165425.1:CT868019:166184:167457:-1 gene:GSPATT00005551001 transcript:CAK62305 MDSIEIEIKEMDALYFDKEYASYHPSNNEILQKDIHEYQHFKNVIACFYNYTNDMKVEIQ RIKTHFESLKPEQRNNLIMNYQERIKKLDYCVKKNAHFCFLIVAAYVDMFPEMDLSQVTY VSDLPYFHHVQHGDIAKLRITLKQFYRDWSIQGQAERDQSYKLIIDQLQSYYPDARTKDV KYQVLLPGAGLGRLVFELASRGFAAQGNEFSYFMLLSSHFIINLTQKKEQYQLYPFANNF CNRLRYENDQFELVKVPDVAPAEVLTENDEMSFVAGEFITVYHQAKYFNFWDSVITCFFI DTANNVLDYIDTIYEILKPKGCWINFGPLEYHFANQFSETSIELSFEDLKHYIKQKGFEI QFEQVQESTYNHSKLDQKYLKYNCIFFMAVKK >CAK62306 pep:novel supercontig:GCA_000165425.1:CT868019:168289:168884:1 gene:GSPATT00005552001 transcript:CAK62306 MKWKEVKLLLDYDKKIEQCAYSKVEKLKSLSTQFKSIKFIAQSNSYITKQFLSYLDDKRS KIEKMLFQFLQLTYESETLPQGSKSLKQMFEKKDLLLQEIQEMVKDDENFSRLEKMQQNQ IKQEVTTHFDKQIVPDFLQQINQSQIILDFSIHQFKQFYEFPLLDSSGFYQDPTDIQIPN SE >CAK62307 pep:novel supercontig:GCA_000165425.1:CT868019:168944:169249:1 gene:GSPATT00005553001 transcript:CAK62307 MGIPESKSTLEANYTDYNKVNQKKQQNQPPSVEADCCDNQKNDYIILIDCGHLYHLHCWQ QKQREQKQFICFCESQMKAKLPFQVKPCY >CAK62308 pep:novel supercontig:GCA_000165425.1:CT868019:169999:170950:-1 gene:GSPATT00005554001 transcript:CAK62308 MKLRYSEPGSENKRINYFKLNQGYDVTEDDSEYVDDQDSYKAFKKIRKYKTKSKKEKMYI MLANQNGVIIWKCCYNGKNQLSANLMKQIKEGNHQLFKLRIIVLKKILGTDLSQYVKGVQ GIFIDNLFRKDLKNLDLSKKLISNGILFIWSDKGLINEILEIMESKGFTYIENLVVVQLS LEKALEELNKHMKIEQTEEAVLDNLNFLQQKVQVKDLIVNCPSKVLNQSKQVLIMFRKFD EQKTQLELRHQRTPDVLFDFVSNGKKSEKSKEYIYQTIETLLPKSQLMEIFAQRDQPRKD WISVCESK >CAK62309 pep:novel supercontig:GCA_000165425.1:CT868019:171128:171687:-1 gene:GSPATT00005555001 transcript:CAK62309 MLTDFGLSKEGVQEHYSGARSFCGSVAYLAPEMLKRCGHGKAVDWYLLGVVMYELLVGQP PYYANDREELFNNIQKADLKLPTYISHDGINLLKALLQRNPAKRLGSGKGDAEEIKAHPY FTDIDWNKVKEKQIKMPILSRKTRFIKVEHNVFEIGSFLEQSHLAGWSFAEHKIQQ >CAK62310 pep:novel supercontig:GCA_000165425.1:CT868019:171721:173071:-1 gene:GSPATT00005556001 transcript:CAK62310 MNVQQVPITKPPSRSPMQTYNIAKIIKTEPSQSDRQFSAGHRIERSMLSPSLLDEGSVLV QKLPPNKLVINVNIKVKASGKYPEFCIRDQDTGKVIDIRYQDWRNNWNTKSPNNAKLINK VKNNSYDEAREILLKEKPDVNSRDLEGNTVLHISVMIGSSKLVGLLLYHEAQIDSLNSKL QTPLMIACSLGAEEITQQLIRAGADINSQDINKNTCLHLATMNERQKIIDLLLNKQSLNL NLKNKENKIAMDYSSNQQIFLQAMQKQNNNSIKIYDARTDFGSENSNSFNEDKVGPHHFK VHALIGRGSFGELDTNQLLAMKVLHKSKIQKQNLTRYALTERNVLSLTKHPFIVRLRYAF QTSDKLCLIMDYCPGGDLSSHLRREQRFPEERVKLGFTQERYYFQRFET >CAK62311 pep:novel supercontig:GCA_000165425.1:CT868019:173461:175020:1 gene:GSPATT00005557001 transcript:CAK62311 MYSNQDPEEQFELNELIGEGAYAKVYKGKHKNGQIVAIKIVPSTGEIQSLIKEIQILKQE CQHAHIDGDLWLVMEYCVGGSIIDLLKITQKTLTESEIAAILYHVLLGIEYLHANKKIHR DIKAGNILLDEKGTIKIADFGVAAQLIYTNADKGTVIGTPVYMSPEVISKNRYNHLTDIW SLGVTAIELAEGKPPYSHIHPVRAMFAIKNNPPQGLTNPEKWSKEFNDFVKSCLKVQVSE RPSAQQLLQSPFIKQGKQDQKKLVNLIENFLNQIDEYRQSKTQGNLEKVQTLISKQEASE DEEQSCGTVVECGTLVIKEEEAQSKSKSEKELDFVAYAKKEKIINEIIDEQLSDEQQMEN MNQDERKKFLAFLQSQMEEEISKVKQKYMPKIEMLTQKIKQNESPELPKLQEYIPKVKPQ EYSKPQEQITQSKPQQLIQTQQSQLIQQQQQPQKIEVSVPFQVNPEVLQKLSNMKIPQIK IQNVSSPTAQLRSNPK >CAK62312 pep:novel supercontig:GCA_000165425.1:CT868019:175288:176212:1 gene:GSPATT00005558001 transcript:CAK62312 MDQEIVDQLTLDSIPYYEEQLYGALQVIIDLQQKVEQQEMILDDDNSNENQAEDVEADLQ EIISTIQFQQLKELQDIDEISQKQQEDFDQLFKQLHELEQMTNQYQQENNQDEQHIKITQ QMIFERQQQLKELSQQFMMQDIHNQENQRVIQNLQQKLQLDTIIMPQHGSNEQTIEVNQI VKHQRQESEEDVDYIQYLQLTDYKQKLEEELEQIANQPKVLKEMIEVSTQTHEQEFAIRK NKYDDFAYSISCVQPTYSLENTPKTSQILLHSLLKEEKQKNRGCCSDCRIY >CAK62313 pep:novel supercontig:GCA_000165425.1:CT868019:176233:179364:-1 gene:GSPATT00005559001 transcript:CAK62313 MDLGELHHRLCKKIAQLTKVIFFLNTKNDEYESNLKAVVQAYEQEMDQIVKDANQIVLTY KQALDKQSKNDDIQQELKQLQEKVQVEKQTAQIEYSQYRKRMEEKEQGLVTQYEKKIKDI QKETEFQKQKFDDLSKQVDKVIMKQEELRRGSQKQLEDTIKEWKEKYDTLMRKKLEQDDQ IAEKDRRLQKQQLEIDDLLKKIEEEKRKSKEAQDRLQDLMKQNFDQKLQSLQNEINSLKQ EVTNLKNQKDDLTKHNHNLSDEVNQLKDQIAKLTLDLKDIGQKYQQSQTEVLSQKNENSK LKQTNSDLEDKIKQLNSQIENLKSQLHAYQQDGSMRETQLTKQLSDLEQQLKSKDFEIRE LHIKLNELQKKADMLQMELNAVRDASDRSNSDKLKEIEELKKNVRRLEDEIEKLQNQAKN QMGELEKNLLNKIEQIEAEKRELIKRYEEKIQKITTEYELKLKELREELENKIKNLENNH AQEIESIKNDFNKRLKQLEQQLNDERANVEKSAGQAINDLKNQINQANLKISGLESEIQN LQNKIKELEQTIIYNLNQITSKEQEIKQLLQRIAELEDKIKQIQSEGSSNQGQLQTRIQE LEQQIKQQRIDLLREKDEQLNQQKLSYEQQIDSLRQQYEAEKKQIKVDFERKLQLKEEEI AKLLQQITSLKKDMETGQGDWARRLKLKEEEFEQMLKEINLKHNYEIELLDKKNTQMKEQ MKVYYEKEIQQIKDDLNVETQNKLNQQAREFENQKQSLIKNYENQLQDVQQRYERQLNDK ISEFENKLKQLQNKLEQEQSDHKATKDIISDLRRQLENSLELNKNTSDKYEQQIKILQQQ IKDLNIKYQQAEVEFSKQIKEKDVWVQQMKEEAKQLEQQLRQSLNQQLKDSLIKQKDFAD NLKNEFEQAQQLLMQKLQMLEEDYKEITRLYEERPSRPEDLELIKQLQSQVNLKDEEIKK VNEQMKYFKLELINRDNNYNKMFNVNPTIGTLNVLENKSKPQTGKMQQKK >CAK62314 pep:novel supercontig:GCA_000165425.1:CT868019:179887:180384:-1 gene:GSPATT00005560001 transcript:CAK62314 MFITTTKFINKIQLFLIMFVRALRLINPMGFQYRTFRFCSTTQNKELLEEVTAKVLQVMK SAAKCKVDKLSDKATFEELGFDSLDAVEMVVALEENFGFDIQNEEAERIKGVGEAIAVFY KYIVQKNSTSVKQ >CAK62315 pep:novel supercontig:GCA_000165425.1:CT868019:180845:181086:1 gene:GSPATT00005561001 transcript:CAK62315 MKVQLRMQELSIFSELINKSISNSDLVHQSRHRNTLHLIETNQLGQSNYWKILMQLEVEN WSRKGNQV >CAK62316 pep:novel supercontig:GCA_000165425.1:CT868019:181174:182649:1 gene:GSPATT00005562001 transcript:CAK62316 MNKITSSDQQQHVHYLEYPKRWIQLGLFLCALLSNIMFGFSLSPIVKEMSIIYDVDSRYL QFLTISFTVFSVIMIIPGNIINEKYGIKISIMIGCILTFVGSVCAMLINVSFWFFFLGQL ISLIGFPFRLISASKFVANWFYPEKRILIMVIIALCFNASSGIAIKIPLIIMGDYEIKDL HTQAEIDEGRRLMQNLMIFLFGLMTLLCIPPIFFFKGKAPTPPSFTASDFKQCIRVDYRK AGIIVAKNFDFMYLTIGFAFILGTITLFTLQMEYLIKPFDYTLKDQSNLVLAGVIAGLIG DICVGTAIKKLRSFKLVLRICNALVTVLFAILIVAIHVNKIFFFIMYFLVCGCSAIMALT FEFSCELCFPMSENTTIAMLGLFGNLINFFQGLPEILILKGDNKFCSTLTMILMLCLIAG ANYFTKNVDENLKRQKRDFQEDDEAEQRENAISMLEQSILN >CAK62317 pep:novel supercontig:GCA_000165425.1:CT868019:182673:184204:-1 gene:GSPATT00005563001 transcript:CAK62317 MSLKLNYIAGNPRANKILAAAKLANVDVASHLLEWKDLETPEFLAKNPLGKVPVLETPEG VLTESNAILQYVVRGTPLVGANEFQQAKVKQWLDFATGEIEPQLIQLLLPVLGHFPINPT QATQAHAELDWKFKVLEAHFANSKYLVGDQLTIADINLASYFQGIFQFLYGEDDRVKLVN IVRWYKQVSELPAFLDLFGRTRYTKKAFPALQFAGHHGHEHHEKKEKKEKQPQQQQPKQE KPKKEEKPQKAEEEEEEKPKKQVCEFDALPPSTFNIDDWKRQFLASKDQAAEFQHFWNVF DSQGWSIWIVKYIKGEGEGKVLISFRNNCNGFLQRADPHFKKWAFAIHGVYGDVPNLEIS GAWIWRGTEVPQYLKDHPTFEYLQLTKLDATKAEDRALFEEYWTQQTEDESKVQGQTARA LYYFR >CAK62318 pep:novel supercontig:GCA_000165425.1:CT868019:184682:186477:-1 gene:GSPATT00005564001 transcript:CAK62318 MLHKMFLTFIVPEIEQEYRINYQPYMINEYKTLTTLILFVGTFIVLSFISESNYYYALYG LICSLPVILSRYFVSKYPQFSNFVYPFLQITVTFIYNIFALSKNQDNIPQMYFKYQWYYG FFAAISHICIYLQGTILCFQTVTMIGILVWHISRFDFSSPNGAYITVQIVIVFVVVFFCR REQERNKRLSYLKYRENMKWYQIMDKIVKKWTMFVQFDKSQDQLYLVQQSKAAQSEFMIQ NNQEFRLFLRNTQIDQTSSQRTQSGSITLEDILLQLLSIKICKFDTMNSLKDSLYYTYVG YQQNTGRYLKVKLVEYYLSDSKMIVMILQNEKQQCKEVCQKYLAVENYFRCLTNKSLNLI EKTAKVISYKYLNLHNLIDDYNYFNAAFIWLNASKYVQNRSQVSVNKVEDQLKKIFQKSI QIQFKSEQCSFQSSLQVIMFISVNLIKFIQSQTKSNVIMKIQEQLIQQVRCFEFSFEAEE LKIRQNLVHLFQENKYYQKQQSQLDDQNFKRIMDSYINFLEIMDSSKSTCLVFAIIKFLL SHYGLTNRIQYQKNQIKISFIDLDSMPGCLLQQ >CAK62319 pep:novel supercontig:GCA_000165425.1:CT868019:186787:189235:-1 gene:GSPATT00005565001 transcript:CAK62319 MISKKANKFSYSKEIENIDNIEYCPCCGFPIIESQLSFCCKNEELAFNGAGVPLFFEFMI FVSILLSLNFLISGLYNALNNYKGSECEINSSVCKLNYYNTYSLTNSSRYFLSCNVRTPD VILLGLDICNILVMIVFSIYYRRRLNIIAAKIDEKNISISDYSIQISNLPLSSTKQEISD YFSEIKLNGSSISKLNIVQIVLGFDIQEYYKLTKLKIENELRITSILSEQQNLSMGSQTL NLEQQLDEINTKIIQFQQNPQLFKFSGVAFITYNTSTQAKQIRKYHTQTKFQYILQKLLF FYPLESTKTFQGKTPHVIRAPEPGDVIWENLGINPLIQFKYQILTNLGSLFILAICFGSI LGVSVYQDKLNQSDKKSRSTSIELYITVFGLIASFIISILNNIMGLLIKKFVSLELHSTQ TEFNISFANKLGIAQFLNTAIIPLLVNVALNDQDILTQTWKDGGLISDVCLVLIMNAIFP WLFNILDPYYFYRQYQIRKSQNQGQTCALTQREANLLFEGSPGDLSKKYATITKTLMLSL FYAQLIPLGVLISLSFIVIDYWVEKYLLIYRYSKGPTIGSQLADEQVDTYIELAILLFSI GNCIWQILLQNEIHYLVWFQLSLGIAQYLFPMDQIFDNFIKMEEPETQSTFEDNHMYIWD DYGKRNPVTMQNEIEQWILQISKRKTNNLQNKKMLKYFNRVNKQQQNKQIELQESIANSL ITSKFLKFLMNKRQIYPLHQEFINKLKTKTKNKTESQEQANYCQTINQSIQELQI >CAK62320 pep:novel supercontig:GCA_000165425.1:CT868019:190335:191399:1 gene:GSPATT00005566001 transcript:CAK62320 MQSLQSDLKYIRDALTLLIDKLLPYIEQKQILQFCKTLKNKVADDKVTAFSIYMETQKSF EASQVKAIKLNSTSDEKFTLKVLTKIRQAQTEFKNNTQQFASIYNSVKENVESVYVSSQE LQTMMNEKQTQNKVESRQEEIRRVSIYSKQNRQKTEQDISEEKAKKYKIEVKPEQNKEIK SDNNKFVQKVDQKSEIKQDKREKSDSKYSFEGSKKDISSAQDLLQNQRAIHQNQSQQQNK LEKPQAQLSPINYQQVKSQNISHQSSFNYLHTQPNSYTQVPLSKNSQTNNYTFVQQSSQS QKQILYSQSDQKSNSQMGSYVPNYDLLARVDQLLSKTKSNIQTQNTTHCLTNRI >CAK62321 pep:novel supercontig:GCA_000165425.1:CT868019:191447:195063:-1 gene:GSPATT00005567001 transcript:CAK62321 MICVLLLLSQTYILLAQESSNWVSLIQQGDRQVFWKEKVQINLFVWNMETDQSNMQLKNL TVTWNCQDLTLNAVCKKSDGSQLSLDKFNYTVVIPESTLSPKRSYQFTALISGDYVVNRT VTLYFSQNIIPFLNISYPYSILSRLVNFDEIIDFSIQLDDGVSPDDSKISVAIYLDGQVS KFQQLYPRLRFQASYYYPDLVNAQEIGICRIHFVYSLQNYIDPAEYEIIFRINYPPRNGE IKLDETNGMNIIVSSVSDTHTPILYKYWFYEGQSINIESINGQYELTDYIESSSYSTNLP VGQFTLVCQLKDSLGATSVISQNVTIQTSKSKLDEILVSLDTETSITKIMSASLVLKYLY ESFSFDDKIAYAVTVNQTLTKLKEYYSDEFLLKTQDKYQIMLQKAIYLIESTGINFIGED TIDLKLQYLIQFQTEIRKDIIKFTSLIQINEKSMIAGQYKGNVTAQELYLELQSLYGVIG QVINDVHDVFDTFLGRMTIDNPDTISEAINANTTNVNSTDYLKELFMNLTDTNNKNRLLK QVEESDLVSSDDGVNSFRAQLIQESTLTLDHFDLIHICYTRLISLVQVLNLIINSYTETN GRVIYRQFSIFQHLLHSSHHQECLKIPILRRKLDAVAIPVFSGYWNLNNDTINFEVQIDT SNKTDTGNYAARRNIYMWENRDLFTNLTEVEMFQINPNYLYLVSIITFSVNPYYWKQEVY EYAKWNQFSNNLKYISPQFIVQNGSDYQVVLQFEHFQFKYPFITEYSENNTRCASIVSNF TGDNCTKSVLTSQHTCTCKYISDIILMEEYIPVDLREIQFVSIENMHLTVIGLTIGTMSG IMILFTIITAILDLRSSRVVLPVNSPIMSEHGNQDDVDQKMFDKSADFTKIKQSTIFPEK SSVLSVRYKNKETEKYEGKQLFDQSIKEEDFSHMTIFNKNYNKQQKQSDDFHNAAVQYEP IFQTNVEMGIKYTSADLVLTGMIKLHELLSIFLYYDSKFSRPSRVLQLYMKLMVMFVVSS YTITYLNEIQHILLIIFIGEISVFFLAIVKAELNGWIQEKIIGFCLTVAILGGCFIYYFY NVIGLQIDTADNWARQFLISYLSNHILFDPIIVIFKILLYPWTLQQIIAQTKAPIAYLLN FFINHAPMRALYQL >CAK62322 pep:novel supercontig:GCA_000165425.1:CT868019:195142:196835:1 gene:GSPATT00005568001 transcript:CAK62322 MGSCQGSTVDLQQDLRMREVMTKFQVPMPYIDEYQIFQTLKQVEIIQHNSIPHFDLIYSK MGDSSDKMKNLVKKWRMKYQQHNHPNLLSIHNVSILDSMKLFIPYTDIILLIDKPLQPLT RHKKVIMKQPFSQDEILFLLDCVVSGLAFQQQNKIYPSGFDIDDIITVKTPFNTEIYKLV DRYQKPIRSNLFQDFIQLYDDKQTLNELKKTAFFSPAQIEAIPKKDKYLKHNRYKSDVFN FALIMIYLIQAKHPEIFDWKKWTIDDFALRKTLDDLQMTNFDENLLHIIEIMLIIEEDQR PDFLELDEMVSKLFSDLQMQRLQEKYQMQDFFIIPIDKPSDYNNQILIDNIYQYKQQQQQ MVSQTISQHQRNDSQKVKQIDQSQASIKPQKNEINNSFDNNIVVTQGKLHYSNGFYYVGQ ICNRRRHGFGVYYSSDNQKVTEGTWIFDIPEGDVILYNQPEEEKERSHKNMSRKDWVQYK GQMKQGQKHGIGVLYFSDGSKYMGNFSFDQAHGKGQFEYQNKEQIIGTWQHDIYNG >CAK62323 pep:novel supercontig:GCA_000165425.1:CT868019:196981:198081:1 gene:GSPATT00005569001 transcript:CAK62323 MQSKQGHSYLLSHKSSKSECIKKTVNHLNYSIPCINCDSLIPTVDVDKHTQLCQANTPPH SSQSKDLTAMEETDIKLKKLRQSIVIQSKTETNTHNKKYLIRAEELCTQILKLQNSDDKE YRKILDISEEIKMITESYKGVLLVQLFLERLHSLALVKQKNMRSLNSEKFQLINNLQKRN IQDIDKQSFNFLINKEELTNAKQRLGYQAQTSNNYLRQNEIFRKTQITKFSRENQKQTVC ELKSEILTKMSSSQCEEEVQGGEDVQNSNNYQRLFYSKCLNLKAQLSNIDPAQKVPLCVL FKEVLSRRIPITQWNQFILSAFDKPSQYLELQKQNIFNQASAFQNSAKQFAINSNFKERL RNLKII >CAK62324 pep:novel supercontig:GCA_000165425.1:CT868019:198698:202413:1 gene:GSPATT00005570001 transcript:CAK62324 MKCESQLQKQQNCYNFKDFLKQKLMTHVLYFGVGEFALQMQTITVENQKLQRIIFPQNKY SKDPSKTYKKGEQELCFISVHCCEQNTFLIDNQYNLWGFGSSQFSQLGLQQSSVVSPVNI SQITQNLYKSVSAGTGYVVAYSTNKKLYVWGNWHQLNYGEVLKLSEKQGYSEQIDQQYQI SCLDITYNFSIIKNTENERRQSHLPNHQAEILALKCVGTTTYLLTPNQLYYLGLQLQFPV QFVGIACGKKHGLGWDDFGRVWSWGEFADGKLGYMDFKLQDQKEPKQIENITNNIVSCAC GLNYSIALDAKGDIYGWGKGPFKMDLSIAVTPTKLCQKQRPFIKIMAGDSHFGALDLQGQ LYGWGINQKNCLGNLKDKTRHPQLFELKNIKVIDAAMGSTSTVLIVPSNENYKIPNLNID QYTSHQQKRIKEETAFMKEFADRKNRLDQIQFKSPTQNYCDTPISFKSSSNYEEAVFLLK RMKSQADSLKDNLRFKNTPSSVHFTTDIPTSQTQTTYQDYDDVTARLKQLENENYLYIPL CQSTTPITSQPIKQNDEHEDLSNYENDMLKLQYILMVKSQDESKLLENLLNDPKKHQSET INQFFLKQRILKYKQNDKGVNDKKKFHDKYDTFDPYFLVNVKRDVKLLNEQRKEIFFVKQ KQREQLNKEISEKMQLQKHPLQSRDEITKCIQHKALEKEQKLRLVQLKKKENYVGKMNFL QQQILEKTPEFKYKKKLDTIKTKQNLQILNMILIYLNTENICQMIQETSQRGLELKRMMF KEHMKARVIQNTIRKRNVIQRIKQKLGLRQKKILLSFIFRFKINFRIKSKYGYLRKINLF HLKNQLRVKVAINLKSITLKTETLQKFCKLYNNMFYVQLSYLNYKWDEYLKYCCKGNMPE KERDEIKQYELPNLLEKQSHLIKKLTVPLKCKNCFISELKLQQRPVLKATELKTGLLKKV KTIVQIDEDFQDVELRNSDRVLLPYTRYVENIALSVASKVRFDYQMMTQLDVHEKVFMEE LNVKFEVLKEQLEKIRRDHFLSMREFYVKLNEYKENHKQQINIDRGKAMIRFKVDGPEFK ILKQKDDQDLKLILSKREMARKRYGQNSIKYKDIIFNKIRQLQQDQHPFPNLIIKLAENM CADIRPKFRVKLNQLEWTRLFSQYQQELKQRYTTIMNEARKNISIKTKQIKSKKVVQRTR VLKTES >CAK62325 pep:novel supercontig:GCA_000165425.1:CT868019:202686:202919:1 gene:GSPATT00005571001 transcript:CAK62325 MKPLATRQQIKNKNQDRSKLMVTKKRISKKSGPRITRTIIETIANAQTTQPSAGTRRLPR QNRRNKLARVNALRRRN >CAK62326 pep:novel supercontig:GCA_000165425.1:CT868019:202970:205198:1 gene:GSPATT00005572001 transcript:CAK62326 MLPNLAKQYSFTQEEIIMLKNRFDRLCDRGMMTKQQFRDSLGILGLEQFLAERIFNQIDG NKDGVIMFEDFVKYLSMLLNGSTIDKALWSFGMLSNNKSILELEDMEKMIFEICYLWNSI TGSKSMPKKELVSEIFRIFDNDDDGIVQFEEFRVVYQEGVELIGWYEFLNNEDMSTNKIK EEWENVTKNKSRKKRMTLINRQLDEQTPQDLLNNQLKILQSEIQGCSELIKQEKEKEQQQ KQINFLASFYFDEKSQKTHGPIFNDGQVQKLYGDDDPDQNDEPYLKQYNLTSTINNDRFQ NSIMNRLRNLLKLTEDIKKLNNQQIVPKLPEQSVVKQFKKSSRSPSPISVNQTMDDIQQQ QQENVRKNLSIYFGHENWNLVLNMMIGIRKAIKSLHPLTDDILITAAHFEVKNQFEIIPK RTQKSCSFYEYSPLIFERIRKMYGISNDDFLRSIGPEQLLGDLIFGNLASLTEKVSSGKS GSFFYYSFDDKYMLKTIQKDEFVFFKNNYHSTIIKIFGLYQIKVSKSKTKYQKIYFVVML NIFYTNEDINFRYDLKGSLYQRTSRVKGQASLDQNIPLKDLDWLEDKQQIQFDQKTLELF KTQLIKDVNFFKQNKIIDYSFLIGIVDNYRMNNDNVQTQCRFYERWNGGCITRDQKRLYY FGIIDIFTEYNNKKQLEHKVKSTFVSKDVSCIPPESYADRFIKFILHSLK >CAK62327 pep:novel supercontig:GCA_000165425.1:CT868019:205236:205370:1 gene:GSPATT00005573001 transcript:CAK62327 MKIHNTWFAQATYLGVIGALVFATTRFTVPEYRLYYRVAKVQHL >CAK62328 pep:novel supercontig:GCA_000165425.1:CT868019:205371:205969:-1 gene:GSPATT00005574001 transcript:CAK62328 MLLFKPKYSAFFSEIITKKQFKKCCRYNQDGKPLTPIQVKALYETMKPMIEGWKVTDLRL FRYFYTEDYLSGIQFIKDVSKIDALSTKNCPGVHLVGGELLTLELFSPSLNGLSQVDFDL AMRINQMDFNEYFLIPLESLDNYKKEVQAHKLKKQAEQIQQELAQTQK >CAK62329 pep:novel supercontig:GCA_000165425.1:CT868019:206065:208347:-1 gene:GSPATT00005575001 transcript:CAK62329 MFNFARFFGFGFQSKLDNLLKQENLTLETILNEEDILQELKMSSSEKFADFIISHPNEYQ KMIHYIIDEVEPQEQDINTYIKYQFIISEVFSSENEKLINYLFDKQSENTQEDIQNPLED SQPDLVPTQEQLNKELIRQHLLDDFLVVLEKDALIITTAGYVNKIIGAIIHKRGHDFWEY IIKNQNIISNLFKHAALRHITEIIEKLIILDTNQEENEEKHFLKERAELIIRLQKFLTSN SHSNVIISNVCDIFIELYKRELQTIDTTPHLRTILPNVPSPQYLMNLAIQTQNTSVYNLL NIQFEFYTKIEVLEDGKQAVDLKQLYSSVISQLPSALIQQDIFKVAFQTSKGDQVYPLGD SKLSLISFIIQLCQTEEIAKEITQAIIFQNILNLTLKYPSNNQLQILFEKLVITVLQSKV SYLQKLLFQDQLLLKFLMQYNGIQERKQKPLYQGILTKITNYLNSNINQSEELANSIDQI KEEWQKYIDELNEINQKELQWMLGVNPRMKEQINSGSSSPFRPDPLISYQGIRNVENNDD NPINQQQNDDAEVQENLNNEIQSEDQDEQIQYDALQISTHETSNNKVQSDDKEEQIQQDT IQIASINQNQFDDNQQVLEQHQEIQVPGILTENINLQLEVIQTELIPEPEPTELIDQQQQ QHQQNSKNDEQENQQELCEPEPQQQNQFQNQEPQEEPDGSQQLLESNPQVQEDN >CAK62330 pep:novel supercontig:GCA_000165425.1:CT868019:208382:209458:1 gene:GSPATT00005576001 transcript:CAK62330 MHKQKQTKQCSQCGGLDPQFNLGKCGHYFCQNCLLAFKRDIANSKKSQFSLKCPLETCKQ EVDLKKLLNYLSLPNPCKKCNKEQQYTCSQCVVKEIEEIAKINYYSKLLKLDQLIELESQ KELCEDLQKHELICPGCKEFNQIPVIVCLQGHEICKDCYYNIRNNETFRQVCPLCGLQLL QKPPNSLRAQTLIQNLVIKCPKENCKQQIKYTEFVQNHYRKCCEEKQECPGCHEQVEQLF LEIHQSNYCKGSACPLNCNRKLGDHQNNFIIEHLLNTMRSSGVSHSFLHRIQVVEKFLFY GFFGVCPDCEASFAWQVDIRGVQSTFCFQCFTFTG >CAK62331 pep:novel supercontig:GCA_000165425.1:CT868019:209484:210242:1 gene:GSPATT00005577001 transcript:CAK62331 MKINSELIQGSHHFINPLNERQLDLRAKKITAIENLGATLDFFDHIDLGDNDIKKLGNLT LLKRLKTLNLSNNRIVKLTDISDSLPNIENLILMNNRLTDINEMYQLRNCKKLKRLILHG NLITQQPDYRYKVIAILPNLKVLDFNKVTLAEREKAVESFKPDELTDYMNLVNLKDATID KDHIKKLLENAKTFDQINQLEMLLKQQQVKNLSILNDQ >CAK62332 pep:novel supercontig:GCA_000165425.1:CT868019:210319:215250:-1 gene:GSPATT00005578001 transcript:CAK62332 MDLQSNFSKRVSDRNGFQLYVDRAKNLIYIVTSQLLHEDQQPLTINCLGIIIQYFQLTYL MFNSKIQNIWKNQPISDAISYFLSCFLLSPYTNSYSSLIILLYLCIGMFTLSTMLSFFVG LRLNKRKQENNWIKKGLKILINLFLSILYLPIIDVFISIIDCGFDENGVYVHNQFSEQNC WVDTHILHGIVAIVFSILFYCYCICFAMIYYESRYIPTNPNSKTSGRPLIVLFTYQLIQT SFQKLVDRNNNQYFLFILLIGGSFIIFQKFHTELPYNQKIIQKFWSITVTLNVWGTLLLC CSMFLEKVIFNGIIYAFITGLPLLILIVFRMEKLNFDLLLINHSKVSDVQDIINQTNYLL KLLHFIKTDSDAQIIVDGYLEIHRVTCVREDCYLKIKNQPNIRLSNSLLRDSTLTERETD LIFVLGQIYYNQIKRFPESVTLRVRYSFLLSDYMRQLQQAFNELTQAEQISPSFDEEFII LRKKFIIQEQLDSFQNENFGKVDVASEISFQNNYRQLVQYIEQTTLSQMEFWSILQEDFP DLAKVYQIGQKINKLFNLIDQLWVKIQKASSNISKAMRLYGQFINDVLQDEDYGEQLLKK SELYQQQVQQRKKQIIQFIAGDEIGFEQQATVIVSTSMEKFALVITLNQSCCHLIGYTKQ EIINRKINLFMPNLFQKFHDQYIERFLLTSDIKNINKDRFIFLKDKQNYILPCYIVLRIL HTIDENVNLAAQFITVKAFKPSCYIIVDQEYIIDSISATAIQLLGIENKHVINKKIRFDL LFPEFIENLTTYMTKFGGKIKYDQENFLNQFSLSNQNTIEKQQDFTCTMREIVNITTNEV MGFYIKLELCEQYIQNSKELLLPLKQVSQNLQFKYIINQKLYLGEFVDDNNSKLSQSILW DQVDQSSLGSSNQINNETTHQESNRRRTIRLSDHDKPVINYAENIRVLRLFQNQIQEIDD KDNNISDDEEKESVFQIQQDLNPINDQEQVENNIFRSRKNLESIITSNVTPRVIVKVKWI SNIIILIILILSFIDYFITINQTDEIFNTILLVKDGNLRNSELGIILSSIFNLQLLNKNV FNLTDDQAKIYELDQRSNMNDSINNVNILNQEIVAKASSLTQSNYDFEFSNKNVQIQVDT HGQFEYYDLNQAIQQILSKSLAIRDKKLSIINLEDSDVNFVIRNWLNSIQLYLKESSNNL IDNLIQKTMEKIGIFVVLLVVSISTINIGLFLLIIALISVSRSQSEIVSLFLDIPDKTIR YLYHKSENFLTNLQIGEDDDLMSENDENIDKEENAQLQKTLKSKRTKKKYKNANKEYRYF IYIIIFILILIQGYFILTYFLSGASIQNIHTLSSEYNLTARTEQFYRFAEICQRSLFYSR NMKILEKDPYDAVVENQDELYSHNSYMQQLHSLNIDLLQQKFVDAFNSLYIEDPCQVVIE QDQSIDAQSCALAMDSGFSQGLNVGVPRFFENARYLRTIYDQFYNNSKANFTLLARGFAT FKNITKENDNSTNFILNLNNFLHAKENREIQHHYFKNAFRYLTNQFIQGLNKELDNLKLQ RLAIFILFEVLLFVIYFLLWMPLALKMAKDIWSTRAMILMIPLKIILRIRSIKDYIRNQI QEGDQDS >CAK62333 pep:novel supercontig:GCA_000165425.1:CT868019:215881:216989:-1 gene:GSPATT00005579001 transcript:CAK62333 MISHPFPERILQTPLHQLPYIVSQINSQIHKTSHDMTKYLEENINVCMEQHNKKIQLRKQ INSTNEQYKILENIGVQLQMAIANLKGEIIEQENESQDNEEKIMNAIKNQNYDEMIKLFQ TIKDETLLIKSAKIAANLLSTPTKNFKNKIKQFLELIANHQLIPQNQKDEIIEICVRVSI QKCFKMYFQQNVWNTNKNQATYYSDIIQLYQKSFNCTFKLLSVFNLPNYHQAHIANNIFQ KFNQNVLNHLVTHDNREKSISVILNFKEVSQNNLFYHLTNIYCKTHLIPIMKQELQKKTL ILIIRNLKVKQDQNLLMVLNKKDPQQLLEWCKQSKLINYNCNLYQALFDSEMQLQLDIYI >CAK62334 pep:novel supercontig:GCA_000165425.1:CT868019:217421:219564:1 gene:GSPATT00005580001 transcript:CAK62334 MSHKEVENKPIHGNPPDEQLANGPIENRQCRDLICFLLFVGATAAFVVIISMGFNQGKPE RLSYAYDPNGRACGHDDGVFNAPYIYLVAPLVGYLNRSVCVSQCPNWQEGAKQPTRLECV VNNVITNCSERYSYDGVNDIRDFDVNFLLNSDNYADEPSVDKLFNYSTFIYNSTSVLDRL CIPAGDKYVEKIYGNMDDIASVQVFQQWVADIRLTYKILFASVGIAFAIGLFYMLVMRYC AGTLTWTAIFLYFVCIVLFIIYLNDKANAYKTRAALNTAQGISDTDNQDLQTYYGLRGSM IGMCIIGGLSLLGLLCYFNRIRMAIAVIKTAALFVMEVPSVMLVPPIFSILVMGYWGLWI ISFFYIYSMGDVKGSSDTPLATVEWNDNIRYYLIYHLFYGLWSNALLQAFSQFILASSAC LWFYQHSDGQLIHFYVLESFKRSIIYHFGSLIFGALLLAIVQFIRFWLEYINYQMKQFQG DPKQPVKCFIDCLRCYASCFERFVSFINKNAFIQIALTGDNFITAAKNGFYLAWNNAGQF AVTSGIGSVFCTLCKLFIAFSTTFLCYMIITTSDTYKEKLNSPIVPTLLFFVISYVIGDL FMSVYGMAIDAILQCSITELELVKAKPGLLQKKRAPQPIQDFLEEHKKS >CAK62335 pep:novel supercontig:GCA_000165425.1:CT868019:219853:221254:-1 gene:GSPATT00005581001 transcript:CAK62335 MHQKTSQSQKIFNNQYQIVKKLSSGSFGVVFLGIDLLSKYEVAIKVEKEENEEVRSLERE VQILKKLDGAEGFPKYLWSGEDQGYNILVIQLLGKDLAYHFKQLKKFSLKTVLTLGIQAV QILERSHQKGVIHRDLKPENMILGIGRDLAKLYLIDFGISKIYRDSNGKHISFKEQKSFL GTTRYASIAAHLGHELGRKDDLESLMYILLYFLRGQLPWQNMINVTDDERTKKVGDMKLA LESSLFKDQTGELQRIYDYIRKLQFKQEPNYKMILQEFKRAADSTNTVIDGNFDWTEIKS STHYKTDTNQNLSRNNIPLNSNEMKRSIEKQLSGLVQNGSNNLLAPPPVGSTRNTIQRDD IRKNSSLTQQTSINYCQSLNPNYQKSICEENLNDEQQHYFDFDSVEISENQKTDSSLHYK YDKIKNGFFVYLNV >CAK62336 pep:novel supercontig:GCA_000165425.1:CT868019:222067:223472:1 gene:GSPATT00005582001 transcript:CAK62336 MNNYRHIDGDSGLMTSQFHLGDSPKAIIDYSQSERIETLVQKGITKSEIKKSRTGESQSL IEHSNMQLNGHLLPQITLTCQSPAVFDQIALELKQYNYTCIMREANQMMFLQTKCDSFCQ KIIKNVCAQTYIASQSNNSLVLVKYSKQKLKIDALSGNYKQNEDVLLSVCTVVKRYNQIH KDFEPVDDMSHINPFAITAISMNRIYVYLDDNQEFKSKLDSLLQNINSIAKPKKQQLQKY LQESSQLVLDTFQQFKQKTLKYDQQYYLMAIEKVVFESVYDKLFPFYIDFNEKNENQFNI KKQQIIAKFSEHDTMEFLEIKNKYRLNQQYWNGIAELNKVDRSTNPRDKLRSIQQMLCLI KSIIYENSNCELSTMDDELPVMIYIILYSEFQNKFASIHYVDDFCNTDPTIETEKRTVTT LRVSLEYIANEWNV >CAK62337 pep:novel supercontig:GCA_000165425.1:CT868019:223503:224502:1 gene:GSPATT00005583001 transcript:CAK62337 MSEKKIRKKYHDKIDFKLDLPDTNNKKEKARQSAKNTRQRKKAYIESLEQKCEVAQLTAQ LNQNKKVESKPSLMQLMEQFLIDRKQQFDMLEKAYQEENEASIKVITNSLRFKYGIAGQG RLMAINKVFELLIDLLLPMHMRYLFWVASEDVDIFKRERQSGEFYSFDMSDSQINCLNSF GLDDDQILKIQNMQQTIQEQRSHYDNLIIEIYKIQQSIIKESEKCQTIVDEIWDVLEPKQ AAGQGKFILQNQSRIEQFREKKVYPDCVILTLQKRAKEEIQRQQQYSYFDMKKEQKQEEL GLNNYFQADFNN >CAK62338 pep:novel supercontig:GCA_000165425.1:CT868019:224557:225754:-1 gene:GSPATT00005584001 transcript:CAK62338 MHCFRAFAIFNFELNQICLILRRDIEQTPLWQQTIKEIQHQIGEQNVMEEKVFSIQSLLG KVRGKYDYFTNRLLILVSHEFVDDIFQAELLLMIYAYLCEVPNYKNLKIKELEAMEKFKI ENLIKTKEEQLKLNNSFISKLSSSKPNIQEQLNQKMLTSTTLSSENNDLQSFSSKQLLDE QYMKVFRGFMLYDKNKQYFLMFVRKGFSNDKTWIEERVRIENILLETTQKTSHVFCIQSN FGQFIIEYDAAIKFYFVLLSRHKAAENPQYQLLQRIKSFIQLEPKFHKQKKCDLESKLLY AINDIVDAEERIYASRFGTQIVESRIMRTKCKSDKTSIQPSQKQIPSISAIPNIQDCNSL NQIQIK >CAK62339 pep:novel supercontig:GCA_000165425.1:CT868019:225915:226184:1 gene:GSPATT00005585001 transcript:CAK62339 MMCFQYNGGKTRCHHGTVEHLVDGKWVKQDKKNKQIKQVIREIDEDQNQQQFKIQNQGQQ QQKPIQPDQQEKPEDLQQNNQPQLNKDSS >CAK62340 pep:novel supercontig:GCA_000165425.1:CT868019:226845:227278:1 gene:GSPATT00005586001 transcript:CAK62340 MNGEDFRSKLREFHLRSKEQSSQESRVILEDEKKMNNACCVKLYLEDHTIGNLTRMNLLK QKEVTFSGYRQIHPLQHTIEIKVQTNGSIKPYDAIQKTFQDLSDEFKNLEEEWARKVAEK EKHQMKLEI >CAK62341 pep:novel supercontig:GCA_000165425.1:CT868019:227300:229053:1 gene:GSPATT00005587001 transcript:CAK62341 MQQQNVIQDGQSVDENISKLYQLLEFKGKGAYGVIWKAMDRQTKKIVALKKVFDAFSNDT DAQRTYREVIYLQQLTQHENIVKLLQIHRAMNMKDLYMIFEYVESDLHKVIRANLLEGQH LIYILYQILKCLKYIHSGGLIHRDLKPSNILIDSDCRIKLADFGLARLATDIDEFTVMTD YVATRWYRSPEILLGSPLYNNSVDMWSVGCILGEMVLSKSIFTGQSTLNQLEKIVEVLGK PSQEDLVQINAPIAEKIFREIQMPRRKSLFTYIKSTDIIIDFISKCLTWNPNKRMTVDQA LQHPLLNDFRGTERENNYPSKITIELSENVKLDKKKYREILYKLDVNYQKQQYIKQLSNE EQIPSAIKSKKLSNQIQQTYQKYLNNGIDSKYQRVSKSTSKPNQFHQNFQSQMDIQSQIL LTQSIQQQNQQIMKQKMLQNRIKTLPENYVDIIIPTEQKSPNRERYFSQIKITNTQPQIP QSSTPIRSKSMKNRLYNESADHCVQPKENDSKKKLLTAYLNQKPKRPVLYNFKKTIIRNK LNTDQLLNKKHLGHLNNMDTSNLVSIAK >CAK62342 pep:novel supercontig:GCA_000165425.1:CT868019:229153:232223:1 gene:GSPATT00005588001 transcript:CAK62342 MDIRNPTNKKPQLAILHTKNLSKQFSMKSEKRIKTTTSDSNRSVDTKKILTDFYDSCKRR KITSQFIHERNKSQQHQNESLFQSIDSWRSFRQQASTSHVSPPNKFFLFNTCEKVLCQKS NGSKRIQTQYAEKLFLQQLNSNNKQDLLLKIEQYIRDYFTDECREMANLFDTFMRELNSQ ISDQKFQLTLHEKQLEIQKLDEIIKMNTNHTWAHQDMERQLKQLQSQHCEQLNLIAKYEN ENKDLQLRNNQLKQQLDIKQKEIVLKNKKIKELGYEVLQLQRKLEYVENKVQKDHRQSIQ QIRYSMFQSQQIGSNSNLSQTGNNNNNQPNKFTPLIEELVEDSSPSLTEQEDEEEKELNQ IEFNTERIVETREKESQVEYDIIKNYTKEQDTQTCLKLAERKFDDLTQDLCEQALNFIDF LNVHQDKVEIDMNQVNANLSNINLEKVSDEEIVKYFDQQALLQSNLQLSTASQNHSQLVR QPSVRGNMKRTSMILDRPSIQSQQQLPNQIKMKSMITFIKMQGSKMKQLETQLKEGIQEI EGLKQVNNNLEVHNKEMKEQNSKLLDQITNLHVKVNDLENQIMSDAMDLNQVLSQQEKRP PNIKQNTFVQRKTKKAAPHLGQKITISYDFQKNQSKLLIDKIKSKSMGKFSNYLPLKLVL KMITTFYFEKISNQKENKQLRDQDMASYIYNYYLQQFGYTKVTEQRFMILVLSVKKYISI VRVNLFAKFMNLLEEKSNYRVEELQRYLEALEYVSNIQNLGIAIKDNEQEQKHYIPYVRA LAYLGQLQNFNFTQEELNYLKLELENQKETDPKNMNKAGIIDFDLLMIRVLTIFRNNVEK TKLYVINAFAACDLDGNGMCNIDEWLLLIRHIEPEKFDEDKFIDIFEEFADLVEEDEKNL SFDRFSILCMEHELFSDAQQNKFLRVKSNQEADQKLEQIKQNWGQMYMEQLKRLNEIKME ENEKQKWQKILGVLDKKLSDQHSSKKPLLIAYKIFLEESK >CAK62343 pep:novel supercontig:GCA_000165425.1:CT868019:232446:235467:1 gene:GSPATT00005589001 transcript:CAK62343 MKKPIKYYQISLNKASKDNVRAIKILETSQFLNSRQRTSQEMLQSIVSHQSSTNKFYVPF QSDFAKRKNNSLSSFEKVGSSSRIGNRRQQIQQTEQFFQSQITPSNRQEYLDKMEDFITE ILTEEFKEISSLFTTFMKQYQLYIDDYQLQLSIKEKQLELENLTEFERMNSKYSKTSQQY ENQIKSLNYNVEQYNIDIHKLKSEIQDQGRKYKELRQRFELKQREIDIKNKKIKSLECDI QSQQKKIQYYENKLQQTFGKDQMTDIKQSYQDTFQRKNSTSNLNIQTYSQQTPSNNLIPL RTSVKKVSIVSILNSPINQPPDLLKKKSTTQKSDSSIEQLEEEVEEQDKLNQIELNYDRI IEYRTSEAQVEYDLQEMYCKSIEVQTELTMIGQNYDSLKQENIENALKYTEFIKEVNNKG ENQQDQNFDKMFDQLLKDAEDVNAQNGVQIVSNTQTQVQSSKRLSMYGTSKDSINLDDNS QLQQKNKQKKEQQIKQLYSFFNYSKSRLVELTDDNDQKKITIVSLQFQNENLSNQIKQLQ EEIHKLKLQAIDDRILIQDLKTKQEHATVVQHTNAEQNLITSQPLSQQNEHKQQKQQQQP KKGVKNRIPQLGQKVTISYDFQKNQSKQLIDKIKTKNMTKFTNFLPLKAVLKYITTLYFD KIHNQKEQKQLKDQDMSAFIYNYYMQQFGYTKVTEQRFMILVLSIKKNVKLVRANVFAKF MGLFEENQNYSANEQQRYLEALEYVTNQQNLGITIKDNESESRYFIPYVRALSYLSQLQQ YNFSQEEFNYLKSEFEELKENDPKGQNKLGIIDFDLMMIRVLQIFRNNVEKTKAYVINAF AASDLDGNGMCNIDEWLLLNRHIEGEKYDEDKLIDAFEENADLIVEEEKNLSFETFSILC MSLELFSDAAQNKFLKVRNNQDVLVRFQQVQTVWTEEYTKCLQKLSASEIEEQEKQKWGT ILNVLNDKILSNPEQVKPLLIAHKIFIEEIK >CAK62344 pep:novel supercontig:GCA_000165425.1:CT868019:235497:236139:1 gene:GSPATT00005590001 transcript:CAK62344 MFNKPQSNYLTLDFKKVKIKDVQTSQPQTHRINTSRTILRKLRMDGFRCQTDRDKNSYQE VTNYAQEKLIYKQEFEDERKKKLEQLRCEVEELKLDYVQKQKELIQSRKQVNNALKGLVN SHTKYKDYQIGDVKLYQQYYRCKTDTPFHLKNTIYDAQKYQNYYGKLQKANLKLNHQVQF AMIQYRKQLEESQQRIPNFLKSIQQVL >CAK62345 pep:novel supercontig:GCA_000165425.1:CT868019:236333:237793:1 gene:GSPATT00005591001 transcript:CAK62345 MKYIKKLILTFESKSLEQQYQLEKKNQIQLPIFIFTITLSFISNFVVLGFHFFNSKIETW YLNIAFSITTLLLFIFIVIMKKHQYLQDAITLSNLILGFLEFNVDPATSNYIEFYSYGNT FMQLQAVLYIVSNFNHATLQVIFHFVLRIAITILLSKRTDYLLIFIGLTSSVIILVTIFY KEKSSRKLFIQNLKENNWENNISFIIQKPYFRINYQQEQLLFNLIKDNKIEQFPGYDNFY CDGCNVRKLLRCYFIDKNLTLEEYLRNQKNHISQKLILTFKKRKFILKLCTLDIQQIQYL FILDEISIQFKKEEDKKVKQNDLIEFLRSNKAFSYQHFFNWGAQSLLFLNSKIIKKINLQ ELMIQLLHIFKQHVFHQIQVKIITYDQNTSIYTFYYQIKIFMMQLFEVLSMIQRNNNLQN QIILKRKGCDILIKITNLDLETFEQMFEKNFFLKNLQTLLLHEIEIYEGIQLHFRNYPIK SFAFDI >CAK62346 pep:novel supercontig:GCA_000165425.1:CT868019:238816:239839:1 gene:GSPATT00005592001 transcript:CAK62346 MNYIDQDDCNIPFDYEDDLIFPPYYENAETPEHSKSTDLEQKIKNDRKERILKKRIEKKQ KTNSDSSNNLSKEEIRKLRNRNSAQQSRDRKKQQFDSLMLENQNYQILLKQQEEQIQLLI EENNQQRLKIQYLEEINQSYKCMNCASEVNHEMIRVNVISKQKMMNYGLLSLLTITCILS IVNNEYEFSPKPISLHQISEQKYEFLAPKQKQQFSNPTSLTLYNHLTMPEHQYNNQTLFY NCTGNQKECQSFLNIIKAENSNNLYFVNDAQEHFPAEQDHYFEKGENVYLIKIKQDDEDN FQIFRARCQITESNKLFFERDDQDSYNNSLQY >CAK62347 pep:novel supercontig:GCA_000165425.1:CT868019:239941:240893:-1 gene:GSPATT00005593001 transcript:CAK62347 MLKQIGNRKNGVTIDQNFIKILENQEFLTSSHLIFFQNVFNKYFSNRRNIFLVDCYFFAE FMPKLTNQLSNDLIDFEKINKIKQQIVDKKTCQSNIISNSANLERNQPIQQAKEEVYKAK TKQKKFMFEIISINEIKQVDEGIFKISQLETLLFQQTLNNDYQKGSSIANYDYAYFPINL GNSHWISVLVYFKEGKIIYQDSLNGYKSDIMAGIERIIKYKCTKNFKWEIQKNTPRQTGV SDCGVFALYALFFLYTRGEVIQSDSYSETFIIRVRQNFVALAKAELNNNLNADQVIDLVN SF >CAK62348 pep:novel supercontig:GCA_000165425.1:CT868019:242177:242655:1 gene:GSPATT00005594001 transcript:CAK62348 MSKTSFAELLQSDRSQESQSESSEFKVELRKQRGSQTANADAKRYHKIPLKTQILLFQMV FSQGKRIKQVAKQLGMNYSSAKSLIHYYKNNKRPTPTAISSIISLNKACGIRKTKQGNNN FFVDIRIKKKRIRKYNYYVFLTEEHNQITN >CAK62349 pep:novel supercontig:GCA_000165425.1:CT868019:243300:244733:1 gene:GSPATT00005595001 transcript:CAK62349 MFLFFIIPIVFTSDNYQIPSYYGSKELDFLLRKSIINGNLSSFYWHPSVSKNDNTSTFYL GYKNAIFEIDKDNRSKIIAGSSSGKSGFRDGDAASSLFNNIKSVIYFSKNETLAKQEYTK QTIILANNNTECLNVDSTNFTQCLDTQSDPVDPYRIKDVYKEQSVPQEEFKEFLYIVDSG NHCIRQIDLQKKITKTIAGICGQSGFKDGLLGMNLFNTPDQMGIDVLGNIFVNDFNNHFI RMITLDGYVNTLISGSCRQDVRYQDIQFDKLNLKRVICLKTWIKTSGQPSDHLVVLLMIN YQNIKFSKNYDSPQQQQQSTKLPLIIDLHKKKEHQIKNNKVQSKSMHHGERKNNFILNSI ITDVNEMIKQYHVSVFKEDQGDKSVRDQKKTKQLWLQENENKDNKLITQYQLLELRLKQH QIKKDKSTISIQGNRLQTISTITYDTISKE >CAK62350 pep:novel supercontig:GCA_000165425.1:CT868019:245089:246013:-1 gene:GSPATT00005596001 transcript:CAK62350 MSTRTPIPTFILKTYQMLEEPKNSNIVSWTAQGTAFIVYNQQQMEKQVLQNFFKHSNYSS FVRQLNLYNFKKVRSNEGQIFKHKCFKKGMKQYCYKYCRSMLQFIKRRNQEDIQAPQIQE EPTINIKEEQNLFKECALDIKETNNKLKEDMKLLQETSSYLIDQMQNLNHFVYNQSVDIE VKFKQVGQMLHAINEELRQENKSDTQTNKLLGDYKCSKELDFQESKIGSPNPYVDYNSTA LNPLDYECFIDSFL >CAK62351 pep:novel supercontig:GCA_000165425.1:CT868019:247125:248075:-1 gene:GSPATT00005597001 transcript:CAK62351 MDQKTKVESDLCDNQNKYFKQSKPLLLSQIINIRLNQPRPEERKHHSEAIKLQARAFDVN KWQDMIDKEELYQMSLTGHLIKAIQLQNGNATLNNLINVTEPYLPFLRRQNGKNFTGMAT RTVKGCLSAVVFKRNDESSWSVDETKVEEFVNLANRKLSQFFEKLKKNFPIYDSIKQESN GKKSKKKIKTDAKRDDDQFNNHFQQFSDQKSDMQDHIKIENNFQSFDQNATNRTNSDWLY QISDNIFKNLYNIRSGEKLDDQFLGNLIAFYNMKSQLERMQECQRYVD >CAK62352 pep:novel supercontig:GCA_000165425.1:CT868019:248423:251186:1 gene:GSPATT00005598001 transcript:CAK62352 MKSSQSDSIEEESGNWNLSSPQGPQISLRNTFANYQPDSSVHSYESERIQDKSEEQKQQF TIEFNPDAQPIFKRTMIRTNPLQKLHQIAAKNRLVKQFKQNLFMNSYILSKDYQDKILQF EQFSQNKNLDYLISKTQSSILPVFEAYSNLMKGWDVIMILQQLIQLWFLPFIISFYGFDS NIYVIREFLILMTLLDIIVSMNREIFYKGSYIGNRQQIFRQYTKNGLVGDIIQLITWFCF LFIYQNIEYKAYLILLGILMVVCCIKSLLRKTEYYIDSYYNKGNLSNFLDLFILILQIYF VAHYMACLWHFVGQMGIQVEKATWLSEYGFLDESITTKYNYSFYWATMTMATVGYGDITG RNNYEILVSNIMMILSSCIFAYSMNSIGNILKSINDSKLNYRYHQTIILQEDYIINQQFX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXYLPKVLQKEMKEDIAKKINQKIKKLQQNFSHSTSNLLVQHLKIQNYAPGEYIY HQHEQQYCFCYINQGEVQIKEEQSQTQIQVLKQNSSFNEYSFFTEQATKSNALSIGFTQI VKINRRAFLSILKENQKDLEQFYNIKDTMLLYQDYSLLQKKCFYCGYIKHETIDCPLLTY QPKKMSVIQKHHKNSQLQSRKYIQRHYHYIPSRKQYQEIKNTISYAREYYFSDLQMNDSQ QFNKIQLLQKEDTNMNEIISPSQKKDEIDQNPQNISKSRLPSVSADANNLRRISKFQIPF IANPDEKRQSKTQLEFFQINFSGLEIDQVQNYEKYMIQNNLINILKSFQKYKRKTKIATR KQKLIESFNNED >CAK62353 pep:novel supercontig:GCA_000165425.1:CT868019:251274:253900:1 gene:GSPATT00005599001 transcript:CAK62353 MKNLQGEINKLHSEIDSKKREITKLKNDNTLRLEKINWIQEKVRKMQREKKVLELNDQTL SIGHATTKHSTTTKEVQQQNEVQKPPQQEIQLEKMQMLEFFNQYLDQTNKQMVKFQGNRN RKNGEKDEYINPYPTINLKYTIEGIEQGESSSFRLDQQTTFQNLKELAFYYWNLAPYLRK FDEKDSEIMDDLELTDESHARIELKEKVIEFFTNRGISNISQITLLLQKKKTVRPALNSL QIDSIRITGGNKAANYQGTFAVHKNRIKAIDTYNLFFEKFPGLKYYISELQIQKEFQEQQ KELEKGNQEKQEEKKFDLDDMNCLILSSIVVILVLALLSYTAYLSPKYVNLNFTFINNLI GITSNGEYNKITSIPEFYYYMENFLGATMYNSDKGDNPFRSNYEMIGGIQLRILRSDLYE CEKYVNELQRFSCFDVVYDSSKANKSQFLNYTYQTAEELGIMYFPKGNLTTYTDGGYVVE FNRDMTTEAFLEKINGLRKEQFLDAATAAIFLHFVIYNPSINLWIQANFLLERNAQNKLT PYRAELTGFIPNVYSGQDGENLFQIDITKLIMASILLAFVAYRFYKIKFNTGKLGPAFLY ITMEIGFFNCGVGILTIISVAMSLQQSLQGRDPQELVNSEDFVHLELEADLYKKAELFLT ISIMFLFLRLSYILKLNDRIAIIFLTYSKSFKELLPFLAIEFPLFLGFVMVFQIIYGNVF PQYSSFTLAIASQLTMIQGQLNITQFVNYSPIVSMIVILFYYFFMLFFIISLFQAILIEC FRIVIMKNGYPQDKDQGLSIGDLFKWMFSWTQLFTSKKNTTEEKQKAQQQIKPHADQEEK QGINSATEN >CAK62354 pep:novel supercontig:GCA_000165425.1:CT868019:253924:254904:-1 gene:GSPATT00005600001 transcript:CAK62354 MSIREKLLRVRQSAPLYKLQTPHVLKEEFLNANSKKWLTKGIDITDYFNYGFNDATLKLY IQKLNKLTDLNLSKLQKEYTARITEQKALIFKDNIPIDYGGLGISSVSECLPEELDYQKE ALIFQSFDYEGDLIFTNEAIIDIYRHYKQYLEELITKCDYFDFETVMHYPKEENQWQKEL EKLLRKDSNHLIDDVSEKKKIKHEKKKSKKEKKQKKEKKKKHKKKYSEEDLIQIVPQQII VRQDSLSNESKSNLIECELQIDSLSDHLSKQPQEEIQLSSPSPIPEIQQQQLPPPQIFEP TRQRNHNWPRNLIHGILQGNKNQQKR >CAK62355 pep:novel supercontig:GCA_000165425.1:CT868019:255583:256333:-1 gene:GSPATT00005601001 transcript:CAK62355 MKITLNKTEYKNVYVPNDDSYLFLDALKEEIQYFPQNAIVVEMGCGSGILIGNVSKLLQE QNNRALMCVGIDINYDACIASSRIMQENQIYFDCINSNGFEGLALDKSGIDIFIFNPPYV PTEDEEVERCKKYFKEKQQLFAQAYANNLRGQDLIEEVAKVNLIDCSYSGGKDGMEVTWK ILEKVTKLMNANGIIYLFVIQDNPINEIIAFFKAKGFEGYILKKQQKYNEIQLILKFVYN K >CAK62356 pep:novel supercontig:GCA_000165425.1:CT868019:256344:256842:-1 gene:GSPATT00005602001 transcript:CAK62356 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKDDAEVEVKEEKKDKKQQQPKEDKPKEQVKE TKKQQPKKGQGKKQ >CAK62357 pep:novel supercontig:GCA_000165425.1:CT868019:256997:258877:-1 gene:GSPATT00005603001 transcript:CAK62357 MQDYRGNFKRIEFGIQQTPAKSTTNMNDFQSSQKLKYEQMSNRPQSVNVSFVNAPVTTVS KELFTLQIPVKVKQVTDDWNTQLTLKMIMQQSQQAHGQTLRIELTDDNNLQFLQILDLTE GEFLALKNEQSLHVDFATFPMKLADLLQLCINSQRDEKVNFYVCLETKNGESTLAVIENN EFKKLTHLSLRLRSATDDLIKAFLANKLALERQENDEQQKRNKKLTELLEEKHWELENLK SEIRKFTEDKDTAIQQLLLDEQKKFNDFREQSLSKETNFKRESENEKQFIIEKYDKIVYE LQNKYTQLQQINQEISDQKSQLIQQERELKNKLAILQQENQQFQKENQEFRLQNKELDTL KFTQERQLIELRIQKEGFEKLIHDKEEYLNNKQQLVDNEKKQNSILEEQVANQKKQIEKL ETRISVMSEEVNKGNQIIEKLENELSKQKDKIKLKNTVFLQQEQTVQQLQDANDQNHKQL NEQKDSLEIRLKDQENMNLDLKNKLAESQKLLESNNQMIQYLNKCLNETKVQAPAQMPGI TSLKSQTFAKYTSPIPLQEDRSFRNQSVTYQQNQNNMSQISNATISNKFNF >CAK62358 pep:novel supercontig:GCA_000165425.1:CT868019:258927:259816:-1 gene:GSPATT00005604001 transcript:CAK62358 MFNGKRIPMKVLDICQDQTDQIPITKSKSATKQHYRSKSTPSSFSELQISNNENQNNLPT KNSLLIPFRKAVQKISSQTKNANPRRKSCYGSLFGNIQQKQIDHLPTVIEMHRRINGKEF ALSSFTQLLKKSQRNSPQCLTSKNKIIQEEFVVEHDTMERPPTCKTIQNYKTLKVSQKLS LHSSLKCSSYEWQQQKFSCFRNDSKSRKIKLSSIPFINSVKSRKQTIKLQSCLSELLIAK GAVNSTYRSMQQESPYQKQKEFLQKQIKFELKSRSIHNTKAMISVFCY >CAK62359 pep:novel supercontig:GCA_000165425.1:CT868019:260089:261069:-1 gene:GSPATT00005605001 transcript:CAK62359 MDDQLSEKLSRAQSNLSFHAIVNNTNLLNETQEQIPYASKLPPQALGAIYVLCQLLQLMI AYSLIQNIDAITIATQRCIVNVIITLMYIQFFDKRLFQLDQSCIRVIGAMAGCQVIASLI GFWAMYDASQFRFHFPFSEICAFHQLTPGYIQVFNHLLYSAGNQINLLISLVQTISTLLF GQNLLFVLVCLLQPACLAFSHVIKFLMNKQLPFFTLMLYQSLFGLPVYILLNLMTQEVQN IFSGAYFLQFLVWFIFREITFMTILQMIAYIAQNEIQAQKSIEMWVLPGAVTLLSIFVQV GYYQIDLNFGTLSIIFIVFTSISKER >CAK62360 pep:novel supercontig:GCA_000165425.1:CT868019:261371:262445:1 gene:GSPATT00005606001 transcript:CAK62360 MQVSELRHKKSPNDQQSFGLNDELFKENLQKIQSMKQRRKPSVDQKHQRAKSTLENKQHS YRLNKPTNDKEEQDGEKLFDQFFKLNNSKSDSDFMKDSMQRESTNKNQEQPDFLKFVENA IEYHKSSEVRFFKPSTNNKHSHLEQKLKEASLSNRLLINQLNQYKQKEIELKHKLKLMQK EFSIQYSSLIQQNAWLEELLAKQKIDNQNSLLAVKKAVEMLQIRCKCQKGMQLCDKILRD VKNQEKLRQQYEWEEESQRIQSSSEFTNSHEEEQNNDLNFQLFDKNRKKSISKAQHNSIQ STEDQQEQMVHSYIQGTSKNLREYTKDYFQKKRQLQEPYYIEEENTINSN >CAK62361 pep:novel supercontig:GCA_000165425.1:CT868019:262570:268517:-1 gene:GSPATT00005607001 transcript:CAK62361 MKVLITISILLMAASTTDVAVSDQCNCEKLLSQADCKAKQGCSWSTTTSLCSKLDSGSSS TEGYCGLITDAITCAKTKGCAYLDSVCQIFAGCSAYKGATDAECQAISKQCNSDGTAFCV DPGTCTTYKTAEDCTGKGSSGGSGTCVWDTSCREQKCTEADIAFNSDAQCNNFIKGCVTT GKGCVTSLGLCSTYSGDVESCEGLKGSDGYCKGVDGKDKCVVKVCTDAETTLNSDTACAK YQIGCVTNGYGCVKSPLGSCSTQTGDDVVCANKTGSDGKCKGVAEGKTCSVVDCKDAPET YSTDTECGKYKSGCVTTGKGCATSKGTCSSYKGTSSTCDGYIGSDGKCKGASDAEAACSA KVCKDADASLNSDAKCDEYQTGCKTTGKGCVSTLSTCSSYTGDSTSCDGYIGTDGKCAGG ASSGSCFPKKCSDALASLTTNEDCNNYQTGCIATGTGGCMDAGACSTLLNNSQCDGKSTC QWTPQCVSNVACSDLKSEILCKTVVLQNKNTCWWVSGTCVARTCDQAPNTYNTDSLCDAF LKGCLTKKTGCIASTATCSSYSGTKATCEGFTIKCTNTNSASDTTACVDPVCTDNTDATS DDACKSYHSTCLTKGTGCIAASAACSAYPGTSTDICGKFTGSNQANPCWWKSGANCVDKA CADADNTNTTDDLCGKFLKGCVTTGAGCIAGASSCGDYPAMNQAGCAALSKGCARREACT AEATCASINGATNQASCDAVLPNRCLFINNSCVIIGACSSYSGSSVAACKDLYNASGAKC YWTTGSNCADRACSQIANPADLKACTDHKSTCARKSDGSVCEDYVCTNVTSPTAETSCQN YHPLCHFGKVSNTDACTVAATCGAYSPSSSGTCPTAITAHGGSCKANTTAGNPCIDDVCS AYNASATDCKAKKVAYATAFAGSATAQYCFHTGSACVEKSCASANTHLGVTVSSFSQCNT YFDLCVYSKPTTGAVCVNGNNADGSSSGCALVQGTKEECLTLTGKYKNASNVWEYRLCYS HNSAGTAACADRLCSQKSDGTTDAICQAWLPTCKTNGEACVDIQTGCGSMTGTSESCQLL TGLVLGSKCQGNTSVNGTCVVRRCQDNGIATTDADCKKYLDGCITTGKGCISATVPCSGQ WGNQDGCKQLTGNSKQCWSLSLTTKGACIDRTCAHNTTATTDSDCATFMKGCVTKRPGCI EATAECSSYQGVQADCEKAVGNGKSCTNDSTATATTSCKVKDCTKLAVDSYTESVCQAYG IQCHYNGSKCDVISTCSSLKGNFITCAQYVASDGPCIGTALQTDTPTSCTAAKCSDAPIT LTTDADCNSYKTGCKTNGHGCGASVACADVQSSSSCTAVKSGNDFLCAWASQCRDVQTSC SSFTASGQSVCASSESTSGFGICIWKNSACTEAKCEDLPLTVGSETDCTGYSNTCTFAGA GNGCVTKGACTGYTRKEVCSAAKSTDSIGSCTWDETIVTGTQIKGCRAKDCQDAAVTLVN DADCDAFISGCVSNGAGCMKSTFTCDMYKTQAKCLQDSSLQPCLWNNNACQQYYKCADLS LKTVTTCQAASKYCTTDESKCTPLKICSNYTKQIQCLYGTDGTCGWVTSTKKCQLFTQCT DLVSKVSSECTAFNTKCISNGTNCIDMAECSTYADSEAACKIGGTDGTCQFDKDTSACRL RQCSDASTSTSTHNGCYGYQINPTSKCTTDGSKCISLADCASYTKQAGCVIDSSFKACVW DTTAKTCKTKTCSDTKKTKSSECAAALTGCISDGTKCIDQGKCADYTTKEACGAGGTDGT CAFTPASGSTTTGTCKLFSQCSDANSDQNACQLKSLTCKWTAAVGTTASSCSNHTCDSAA KGTNKCVTIPSFDGKRYTVCQVQGGKCVTGDPSALTQDNCYKMSQYTYSWNASTKKCIAC GAGASNNNNNNTTVDNNQTNTTDPTTTGHGFYLYAMSILITLMF >CAK62362 pep:novel supercontig:GCA_000165425.1:CT868019:270030:270950:1 gene:GSPATT00005608001 transcript:CAK62362 MSQLEQILLSNSSGIKTQFQIESEKIEDLINQLKQINDQLQATQITEQQNSQLMPAKQNF KMKAENIKQIVTSLEKSIRGNEQKNILSKLKQSFQKEVERQRRILKSSINSSYEKRFSRI SIIRQSRIKGSEIQAEQLKYFVEHNYVKIGQKDERIEQENKRHSSVKIEDNSKIKTISID EEFRDQEWIDLENILIEERQKELDDIEREAVQLNLLANQMGSTLGQQGVNLNFGQQNISQ VKPKVIQTQNKIVSVDRQQKSRFKKKYYIIIGILILIIVVMIILLISIY >CAK62363 pep:novel supercontig:GCA_000165425.1:CT868019:271078:271612:1 gene:GSPATT00005609001 transcript:CAK62363 MQSSYDQLCKNKQLAFTIFSKVKDPFPLIEQSDIAEFELAKQEQRSRFQTTFYSSLLSTC IFCLILKMRNPIYALTARYISFYKIRSSFYGLLKKYYIVPIFLSQPFYNQYSQLYDEKVN KILKKYDFTTLQFQQAFKQIEADGGIQKFMKKHLSEKDEEPQESVQQTQL >CAK62364 pep:novel supercontig:GCA_000165425.1:CT868019:271699:272244:1 gene:GSPATT00005610001 transcript:CAK62364 MKKISQQMLSLMNKNIQLDQPYPNDLKKNKSKPKTANTERTQSKTQKNDLLEYFKKDRNT LTQLVNSSKTNLQGSKSIDNLQQKCQKSEPLKNGQFNADTRIQTDEGNSTKRQILKQKNL SLATISLDAQSIDKMLKNQLSKQPQTTKQSYNQSPQKLNQIAYQDIKRSIAI >CAK62365 pep:novel supercontig:GCA_000165425.1:CT868019:272246:274539:1 gene:GSPATT00005611001 transcript:CAK62365 MHLLKNTVCNNEYQNYLNLKLNKQSIQSNNSLNHRQSIEDMLQYQKILKGSQQSQPGKTK KTESESKSTNLSQIKTEYSSASNQQFLKQKSKEETTSYDPNKQILIILYYKQQKYTYSYE FKNQTTDNLYNYLIQQIACIERSFTKLGSGTGSTEGDQIQQELNKICQFQTISKNIPYDY YLTISGQTLDVFKGKTLQIQPFYSQPITTKRVGLKDFTLVKCIGVGGFSRVYMVRKRDNG KFYALKLIDKKFILDNQKEIIVQNERDIMVRMENQFVTPLQYAFETKYYIAFVLDYCAGG ELFYHLRKLKRLNEQDAKFYFVEICIGMAYLHSQHIVYRDIKPENILLDLQGHLLLSDFG LSKPDMTPDDFAYSFCGSPEYMAPEMLMKTGHNYLVDCYCLGALLYELVTGLPPFYSHNT QEIYNSILTETIEFPQYVQISPVLKDLILQLLQKDPTERLGQNGGVVEILTHKWFQDVDF EAIANKKLNTPYKPEPLKYNFDEEEFSKGDSEFRKQYQTNMQKEFQNVDTANYVLKNFYY SRESQVGLDKTIRIKEVNLNQIQNLAIPTQSSSPSKIQLQSKGEKHPQQVVASPQEGKRI NRQAGKSEVYDYFKKHDLSSKQSQLLHQSTKLGHSYSKTMQQAQSSLQDLKQFKLFVDQS KALLCTDRTNTMPDQNLKNFTERLKTEQFGNLSSPKTITNSSLNKLTNFSKLFTSEKQKQ >CAK62366 pep:novel supercontig:GCA_000165425.1:CT868019:274603:275229:-1 gene:GSPATT00005612001 transcript:CAK62366 MYYNQNAPVHQYSPIKTIPVVPSGYPTNMYPQPIPLIYQAQSYIQPSQSYSNVRYTQNAQ PLKQSALSTFQPYRPDPEVEKIISKYTATSRNSQIEQPVTHQPSNSIQQNSKNQINYKHQ NSNEDLEFKDMHQDNNTPLHFQFDEIKQRKPNQGQNNYSPDIHEFQDFSPQKQKQVALPE QNDKIVKLIVSGIIVLLVLYIISILVLI >CAK62367 pep:novel supercontig:GCA_000165425.1:CT868019:275579:276233:-1 gene:GSPATT00005613001 transcript:CAK62367 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRIRVRGGNLKFRAL RLSDGNISWGSQGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQIDATTFKQWYAKKYN VELGQKKKKDAPVEQTKKKLEQRAKENVIDQLVQEQFANQRLLVRITSRPGQSGRADGYI LEGKE >CAK62368 pep:novel supercontig:GCA_000165425.1:CT868019:276871:278973:1 gene:GSPATT00005614001 transcript:CAK62368 MDLINQKINICIFIFFIYQFLNNQHEYRALDPQSQRKSKQLTLDQVLVLQTPINNSIYEL SHGIDINFGQKELLDHINEGVMMAKLLSPDDKISNLIQLLKRCSARRMMNCLYFLDQLEC EIPMESCQSQQNYASTSPPHQDKSDYQKKLFVSQSNFGFSLQEKKSFSPNSKIAFFQQQQ NSDSVVQVNKSNISQCKTVYQHLLQFARSNKNCVENEAQCESLKLCCTFEDNGKQKSIEF ICLLGLGKQIIIITRDIIYLNQLKELLQVNQQKSNMLNYVSHEFRTPLNCIINILSSVLD KVQVNQDQLLHIALESSQHLLNLANDLLDLAQIKNNVFNLSTEQFNVCLLAQVCLNSFQI QADAQNVKISLAATSDSLFIKSDKNRIKQILINLIGNALKFTYDGKIEIVLRQYDQFIDI GVRDTGIGISQENISKLFKAFGKVDNDKSKSMNQQGVGLGLLISNKIAKQLSADNQGIKV QSTEMKYENHGSYFYFTVKMESHRQHKLLSYKPPQKQINLSSPFIPSEGTQKKKSFTYTS IEQRLSPERIKETKCFHILIVDDNVFNLAVLGMMINEYFQNEIYLQLSIEKANNGTQAVG LAFYKCNENCQGFSLIFMDMEMPGLNGVDASKIILAKNNQQRIIILTGNNLSQQEIKELK SIGIFDYIIKPIQKSKLQSILEQFVTD >CAK62369 pep:novel supercontig:GCA_000165425.1:CT868019:279349:280625:1 gene:GSPATT00005615001 transcript:CAK62369 MSQNDDNSSLSEINKTLEDRKDFVFISSIFILTSSITTYFYATLIKQFLSLGLMPFELKL FELLLIPQYLKILICPILDTFFIRKFGRRKTYIILILFLLAIYCIVLSITYEGLITNLKL QTVRNLALLQLILVLILEIAAEAWIVDYVYDDNYKFAAAIKYSVTFISSTITTEIFHSSG TYFSIVSVFSIIMLIYTYQYKTENDQYQSKMRIKSLCKRLKTFYEQIFERSYQIKLFFLF SSMIGFGYAEDIIEQVILQQDFMSLNQIKFQWTIIICIILSLSVVTLILMKFKIAPIYLI VVILRNLNNFFLSICYNYFPSKLDNIISIYKLMEESSKAMHFTLLYILIYDSTKQKLSAT MMIAFLLVVKLGQDGNRLLSNYLYNSFGSPADAIIGVIIQSFNLIFAVDYRDAIFYK >CAK62370 pep:novel supercontig:GCA_000165425.1:CT868019:281045:282247:1 gene:GSPATT00005616001 transcript:CAK62370 MNLRPFIKTHIKTIMTNFDVQRGPFDSFLREYYKNNKTLGPSERSIISQTAFDLVRNDLL LSHFTKDVDRKCDLLSHISNYENDQNIPLQDYILINYKGIFDVAVQRCKNTFYFRLFQLV SDQYGQKRAYEFFMALNTKAPLTIRINPIKTTREKLMQEMNHLYFKKTLTSPYGLIISKE NNVNLSDTDQFKEGLFEIQDEASQICALRVQCRPNDKVLDYCAGSGGKTLAFAHQMEGKG VIEINDTRSEALSKAKIRLRRAGIMNYSFFTKKFKYDWILLDVPCTGLGTLRRNPDSKYR FSQGRLDDLIKLQQDIFDQAIRYLKQDGVIVYTTCSFLKDENQNQVKHFCKKYNLSVVDN DYFQSLPEKGGMDGFFSISLKKN >CAK62371 pep:novel supercontig:GCA_000165425.1:CT868019:282359:283041:1 gene:GSPATT00005617001 transcript:CAK62371 MGKNYRNRSKTSATPRKPFEKERLDNELQIIGKYGLKNKREVWRVQLTLARIRKAARELL TLDPKDPRRLFEGEALIRRMVRFGLLSEQERKLDYVLGLTTQKMMERRLQTFVFKSNQAS SIHHARTLIRQRHFRVGKRLVNSPSFLVRVESEKLVDFAVTSPFGQGREGRRKRKNSKRK QPKEE >CAK62372 pep:novel supercontig:GCA_000165425.1:CT868019:283665:285113:1 gene:GSPATT00005618001 transcript:CAK62372 MNPYTLHFLDKQLEEQYQEARIRQVLRRIFQIVVLGTFLLHGMKIIYDLALDKPNKPYIN IGFIVWTIIAQITIKINKKLIKLVITISNISTLVLQMNFDTSYSLPQDYYQFGCNITQLQ SVLYFLSDFLYAFVSVIFHTVARMVITSYYTQRVDVQDYCFSILCVAFVVSILYKNDINS RKHFLLRLKEEQIDDKLIKLVKSPFFQFDIKCDLQQINLQQVKHIEQFEFGREDFCDGCN FRNLLRDIFIDKNTTLEQQLLQNHEQEQIYKVKYIHNHQKMSMDIYFCNLGIECSKYILI LENIKTNQTIRKPQTHSVEDAWSQIKAQIKRISKQHFNLRIFYFGVLSTIKINDTLINYL NLKRQFKKFSQLLKCQGFLDIKGENKIIVQTYGNQLNIWIIQVLLIIQQITINRKQKSFI FLEMQDDVILIKFILKDPSSFILNYYRNFFIKQISSQLFSYNLNSKLELNLIKNIQQAFD II >CAK62373 pep:novel supercontig:GCA_000165425.1:CT868019:285385:286911:-1 gene:GSPATT00005619001 transcript:CAK62373 MDQLDKVQPVPQTIWCEQHKKKKAKYVVMVPNKDPIFASKKYLNEIKDKISYFKIHDTFN DWKNVYENFKNDYCSNLNYYCKYIKEQGFNIKADDIDEMVEKLKEIIIYKCDQIKEELKK KLELHQKHYKEKVVTFIDPEQKIKAEYDNFKNCLSTYQSNDEQSKKNLKQSLAAFVKKVV VTQKNDEFKDDKIVQKVDNLRKSQPFYINLNIWDSYQQMIERSLTELQESYITVNSFNQT QIANKGFIFKVFQQIKEDKDFNNEPQKMQHLLTDKLKPLIQSSKLNLLNNLYQFKDDLKN NVIIALIKTSTDQNIGFYYNAKQKGKSLLFNLTKGIFLPVKQGFELKMAEHEIQSPTLTF GQDLQIPNDFLKCSSNLGEAFDLKGQEYKIGNSESYLANGKYFDIEYFELYKIDDQDLLK VINQQHLQPQQPQQPKDPRTTLLGFPTGIPQGLQPPFNPVNFQQK >CAK62374 pep:novel supercontig:GCA_000165425.1:CT868019:287310:289075:1 gene:GSPATT00005620001 transcript:CAK62374 MTEKLQLPLQQLYDPIMIMFKQIEGYEQLFQELEARQKESRKNKFVIEKLIAMQDKKQQL EKEKVEFDSLYQKSHLTREQHKHLISQDIEVDQEFYQIYKILDEQETLAKELVNYDDPQG QGPSPLRGSLIENIDIIRSAALSKIIAHLKKHYEMITIEELKEINELFYNKVNEQIVFVL RYKFSNHLIPLLAGINSTDESSIKNFFKTLDYEIQQSFKHTEQIFEKGAATIFPQIIDNI CSDYAQQLDATLKFVESYFLIYEVNIQIQQIINFLKSTNAQMQCINGLVKLQQLCSQLKT KSVQIMSHNLQVIRFNIAQFSSYQPLKDQLEQLYDFLKKSNSEERQEILSNLWQPFQQLI QMKLNQNSYNRSSKIFSINALQIYISQLQGMKIQEIQETIDNYIKECQQLLVDLIQKLKT ENVSESAQKFKVQQREKNNQLSKDQFKKFLRQIVQLDENGFRNDLFYEIDLIINEQIKSQ IQLDIAETFTKEYYEPLYENLLKYLNNQQSEFTTEVIQQLCSQYSVTTKDIYKIPAYIRD PVSYKLNIMNFQSL >CAK62375 pep:novel supercontig:GCA_000165425.1:CT868019:289263:290281:1 gene:GSPATT00005621001 transcript:CAK62375 MNKENEGFNLPEPICKAHQNKKAKYKIVGVPYPKPKFNLTDLVCSKCAIALVSQGYKVDD IESDQTQVRQEQIQQFLETISQTFLTIDQSEQSLLEKREDLIRFCEKQKDKVREHYHIMT TTLDHKLKEQIDYLNELQGRALTMFEQKQSEIKESHNELLQMYSDIEVNLDNIILQIELL PYKQIMGQYNKRVQDIQQCLGKMETQNVYLGRVYKKATDQKILGGLFEDSELEIKIVQTS LLKTEQQQGQSVSRLMSPQSTQVTTPTNQTPDKQRSNSQPSKFLEILNKVNENQLKTNNF YTQLLRRDSSFDLSEKYCSPSFKK >CAK62376 pep:novel supercontig:GCA_000165425.1:CT868019:290436:292869:-1 gene:GSPATT00005622001 transcript:CAK62376 MTDSFQKVKVYGQLPSERFGHTMTYIEKGKAILFGGKECQIQDTTNSTGIYRFAEDIFSL DILTKQWNSVKVQGTVPKPRAAHAAVCIEINQIVIYGGETGGGSLASDDLYLLDLRSADD IGEWSVVSVVGITPGRRYGHTLTYSKPFLIIFGGSTGQEPINDCWCINVEKNPFVWVKIE CQSEQPMARVYHSASVCTNDVANETLIIFGGRSKDQQALNDTWALKRHRDGRWDWMRIIY KPDKEQPKGRYQHTSLFFYSMLFIIGGKTGNLNEMLTINVFDTQTQEWSKFKSIQRFKHS SWIVETNIFIYGGFGLSSPDIPTGAISLINLNNLLLPSKPLSNKLAQYALKNPRSTASCR TQNCQIITQKPYIKNQVEPSFKFSNQAIVAEEHNQSKSQNKKPMPPQIVQLKNIADLFLN KLLQPKTILNLQENTKFLFKAQDIILLCDQAEAIIKEQPILLRCNAPIKIFGDIYGQYSD LMRFFDLWGSPFLDGKDGDIEAFDYMFLGNFVDRGSHSLETICLLLALKVRYPDSIHLIR GNHEDKQINNQFGFSEECAMRLNEDPNADDSVFARVNRLFEWLPLAAIVEGKAFCVHGGI GGFLAYATQIENIKRPLEIVHEVTNYEEQIVIDILWSDPTDSDADFGIQPNLTRDPNGNS NLVKFGPDRVIQFLLVNQLNLIIRSHECVMDGFERFAGGQLLTVNSTIDECGKHKNKGAI LVIKKNLEIVPKLIDPKPYNSNNWIENDESINDRSPLSPHWKNQSQRRCYK >CAK62377 pep:novel supercontig:GCA_000165425.1:CT868019:294296:294616:1 gene:GSPATT00005623001 transcript:CAK62377 MGCCSSSKSTIKNVKKPIFKQDSLQSENSSIFIESQEKNKEQSQEQETCAKPSLNKKKTN QLICFQVQQDNIQNKDNLNAVDDEFFESKNQSNIYKIEKRNSDSDY >CAK62378 pep:novel supercontig:GCA_000165425.1:CT868019:295888:296877:-1 gene:GSPATT00005624001 transcript:CAK62378 MSNFLKQQKEAREEKTISLSKVIKKEKRRNQYTIEFKFGSDVDVSKLKQIIQISQSIPAQ ISLHVYFRLESDMLFPLQLDPYLQLGALPIDPILYNYRIVRYDKHQNNYIFYFEPIDRPF TPLEVVKNTLEQYHPNLGQQFELFHFNILKLDNLPISNEKEVQEYENSIKNSFVVYIQKK QKGRITTLIKSINAQYLQLIGINEEMLQDYVNQTGLLPWCFPNENQQFLKILQGMFQGAH RQLKNSMPAINYNGQQFEVNIIPKKFHLYDQEDDSYLSFMYFQQEYNTQIIAQNQITSNM NEYFNRKTKIPQSDEIKYDQTRRCNFRKI >CAK62379 pep:novel supercontig:GCA_000165425.1:CT868019:297105:299152:-1 gene:GSPATT00005625001 transcript:CAK62379 MLKYQKKNKNQTQTCFRKMYGGLDLFGSPPAFKILKQEKFTTSLGFLFTIGLSIVCVLYT LIQLEDLYAQTGPRVTMSEEQVVDTNAYPLEMKNYTIGITMANISLGSLQTLGTHFTMSV QNCKRVRSLDPTTNKTVISQNCTIYPTEPCGDQHFVTDLQKAYFSKIKLGFVQCLNVKQW QENPPQLQGTVQGTVYQYLQITIAQCKNTTNKTDCAPKEQIWKELQTGYYAVHLIDNLIQ MKNPGTPFKDIINLQYTTFSNSTSKSIFQNFKIIESDTDTGYIMEDIKTEYGLIQSQNRE SQDNYNNQFIIQHVINLDSRQGFYNREYQKLQDIFGNVGGLWQILFLAMSALLQPLTSTF MNLQMANKLFRFEGSNSEVLHSSDSIDEVKEDVKKSQSAQKVNVLGSQQLDVESRKSFTS KRSLQGQKSMSKSIFVKSVDKVNNYKQMIQLLKKRKQSLNLSMRDIILMSFGCKKKEKQL INYATEKFMNKLDIANLISKVYEIDRLKLILLNEQQQKLFNYLPKPIIPEAMFGEEFQKK MKVVEQKQAYKVILQDEKPEFMRLQEAFVAYVKIQQKHEISEVDKKILDILDEDILELFE NLYCNTQKLENIFQDSPMKLQLQFSDIESPKSNFQPDLEEDMANQLPVLPAMMVRSQE >CAK62380 pep:novel supercontig:GCA_000165425.1:CT868019:300572:302282:1 gene:GSPATT00005626001 transcript:CAK62380 MEQLLERYQELEKRNSEELEHDKSITHLLYIEGFKWDDLEKIKKIHKISQSKVEKRITLV QDFGLLRRDVEPHSTENEYCKKLLKDIDMHINSIMFEIDKLEQLKQKSQRFLRLQSQPNL KPETAPTDVMTQTGGLLSSTSDGQTRMYKSSFQFYRAQRDQQIQSHNQKWIEKQYSIQRK LIEDEKEFQKFRFEIEAKQVRAEMNLEEYQQWQARQLKEQEELNSKRLQLHKDRMLKDQQ QRQAILEDKMQRKEKQLKKQISLLQDFHEEQKQIKEEHFQKILQKSMGALNDKDKFNQYQ KEISEKKEQENLRKLKYIEESKQWTKQQLQQQADLKQQKIERANLILTRQDMQRREKFEE KEKKQLTYMEKCKKTFSEYQHMINKEKEDKMHNFQINKAIEDASREQLIKYQFERRSNSQ AQAQRLMERRLKIFQEEKAGEHLIKQLEINQRLNKLEWEQELKRMKQQMLEEEQRQRQLM IEYNDRFRLQEGCEGDDEGEGKEYSKVGNRKGVEREGDEDFEVLEGLNELQVIRKLVNLI IYI >CAK62381 pep:novel supercontig:GCA_000165425.1:CT868019:302288:304865:1 gene:GSPATT00005627001 transcript:CAK62381 MNNKQDIANCKFCKFRQIRIMEKEIHEKNRYFEQFNFYFAKPITEILANVPVDHVILFKD QLYLHDENEYMKRFYQREEQDPRLNLLCNFYFEQHKMIQPNLCKVNAHKFMEKRQNKLLK LQQKAQNSQNQQPITKKMIPDHIFSENYVESVSDSYPKEQISWDSKSHNIYEVSQVEAQK SIPINKPTQQCQCSIVNISGDHNKLFNCQNDQELDNVFNDLNGQTSRNLSAVTKVPLKKK PPSSSNAKKNPPSQLSQQPKIPVPPLKLQESAKSSTTACPNNNKSTSIQSLMKKYDQILQ KRFQVKEILTERNDTRYGPGYGTSCGVGTVRGEGGSSKKQITDEMLLKLIQNMKKSKNKS DNYQFHASYKSVVQQAQSGPTQSCTFEKENEKKKKYQVYLPKQIKKNPSQMSSPTTVQNT CSPSMLLRSLSNPSMAPSPLRRLEIKVNLNKKLGIGLKDAKFVEFHLVDQLIKIQIVFYK FILIYQLPQNQIKDEHQLCLQCILAIFKELRKSGRRQSVNTDFMQYHKLSQVFNCEVYKD KTFTESFPVSLQRTKPNFKNQLSDDHQIDFKNHPFTFQDKINQKTEVTKKKFVFDEHQST KQGEFKNFMSAMDDYINLLNESSDCLTLNSENYSQLENILFAKFNIIRQKHEELNKKYKM AQQNFKAQRYQNQLLKKQIVEIHSLLEEERQKNYVLQDANEKLKKKYRDLQNKTQFVQNS FIQDRMKFDHKRQNQFQQSISVDETDRGTTQQTYETNDDQQNVTKFLKTQMRKLRQNNQQ IDDYSRLYKKISEHNNDDF >CAK62382 pep:novel supercontig:GCA_000165425.1:CT868019:304966:305466:-1 gene:GSPATT00005628001 transcript:CAK62382 MITFFNYFQPHSIRVSHQIVSKYRHILCNIFIIVLFPCPILFIQFLPFNIIFINLNLISF GCIIQQCLIQEFKTTKVLQNISSNNYIIQTNYKSRILFQFLFLLLLLLIFMNYIKFQNYF QLSYSQLIFVHLYLNLTINHIDLIIQRLQSSQNNYPEFEDAILQQN >CAK62383 pep:novel supercontig:GCA_000165425.1:CT868019:305489:312502:-1 gene:GSPATT00005629001 transcript:CAK62383 MIIILLLFYVQAGHIYSGGLTITNPIATKALQFRLQFALENGLDADEYLKIQFPFPLNIG SIQAKLQTITTSSDGQFGDWDLGQLGEDNTINFEDLADAQSQGNTDNTYYFTFNQIIRPK TWYVIILHSNNPSVQTPGYKNPVKFWSVSSMHSQSIVYDENPAFAQMALQALPPQSLKLD LSYEPAKIGLSQLVTITITPTVSIGQKSQFIIEIDSNYQFLMMDEITECEISSGCSCTIA STNIMSIQCSIDLAKEKLSSTITTKIENSKILFSATQSTPITVYSIVGNGYLEYGVKKDQ IKLTKLSLTVDIFLLWGIPMDDQLITLYKPATGSVGYPFNSVKVQFSLSSPTPASEQLIT ITTGDADAVLQASIAHNLGSAVKCYFRKTEQQIYCKHVTSLKDNFNYFVTFKCAFTSTAT STQTNFARVTIKSVTRDTKPYKTYVLAGPTGKNLKVQTSQEFIDPAAQGLTNWGYNFVAF GDSTATFATAYSIGNTRTVGLKTGSQRIIFYLKVLPNQMCSSSYSYCSAGQQPELFMKVL FNKKNIKYDEATVSLEQSSACTQCTSSLKQYNDNDVYLSMKCSKNYNSCTQLLNGGKGFG FIAEIQKYPSLYPSDTLLDFIVAFYQAPTGSSESMATLVSQQLIHGYVIGTDSKTLSASY VNYITAGSGAGTITGQNKGEKIPTFLRLLGQVTQSNEHVGIFLDGSVETVLIDSMQLQEE NDDLGTSTTSKKLCSIDNCIWYGHRGTGTTHTYSDIYSNQRMILLKDFTGSTAFDLIVGL RNYGIKPESIVVGSLQLNADTNGFDVVYAERIFGGAWFINNMATGSTYYKSFGIQFPSSN WDNNNDWNSLLAGGNVVATYKISDITKIKPGSTIDLTIQTSHTDSSNLVTANSLQLWGCG FLMSNALNFTPTTWLGGKCIKAQDIIFCPYDQVTYIEASGSLTGSFIVPTKWGGGTALSD FKYAFSNNVGNMVAFQNEIQSSQNDAFITSCTVTDWVPIFPNSKVQEQSITFKTTLNYKF TLNQIFYVILELSALPNGLSIDSFCSYFNRHLFIACSVISSGNIIKFKSQIIATDDNSDY YLIQDSVQIVFYVSHSGLDTDVQSFQARLTINTDTNIVEQCATDGALSFSDQTLLKNLML VDFKLENQYQNSKSAMQMKFQINNRVNLQFHQCMQLQLGFMASTNLQLLSAQNHRCLFYN DDGSLNHHWNSLKYDSSNKQLVFELKTLYYSSVQMYQIKCTNIRNPDGTNTEDLMLIWAY YDASIDNKYTGLQSANIEYSSLNFISEKTYTTIPKLVKLLNVAGIGAYYQFQFTPSRTNM TYNDQIYIELSAGQVVRPQHLFCSINEVNVECTMNKRRIILLPQQQFYSRMNPNKLYNIS IGPIIQPKSLDGFGKIWIGSQLANNKEVFSEQSYIIDIPIQTTLPGLLPYASVQFSQYIS RINKVYLKVNFTAPIRTINFDKCIVIQLGEEFRVPQKRVSNLTIECRLYRLNDNTKTNYT SSCIFMREELIKINLIYDPVNSRQQQQYQLEIRSLITPDLVSLNTLQQYFPFEVQFFITD LNMQTINYIAPPCIQYLPNPLVFKQQDNQQQFQWYIYNKQENSYEKLIPLIGQTQYPTFT IYKGVVEQDIYLFLGLNTQQTQFDRQVNISITQNTINIFGTNEVVSNIYKYNNLGINYIQ LVDPNLEEDVTKETYQITKLLTNTMTIEIGDYGYYFHISSKQDVGSGIYYLNFDREITDK RTTKKETISVEGYSIIDYYSIIPTIALQIDTQKQCHIFVSISSDKIPLEGKSQPIYFQTT CIPTSELYIQPIVKDPIITPAPIIMSIKQLQQFYSGNPREQLSYYMQLEASSQGSIGDEI SVTFQLTGQEANIFSIDSISLLVIKNSNTEELVVNSIIDANSTQITVNCSMDGQLLWSIC PKSALTNTFNIQRNRQIIIADYSIDVNLYKYRLYQYLNPLNQYLQYYKQKESTVSPTYQS SLYSRQILYGIIGEDKVNYMSSILKNSNKVIKLNKVEQDMQIQIACKNQYGKISSIKTHD LSKSNNIITRNHSLVIMQFSRQISINQVNNLICSIKELLLFNIHHVTDVQCQICNPNLLY YNKKSWSDVLSLNESYEGIANTTLVESTSNINYYFYLNNYLTSQNIDVIAKTYQFISKLA NDTTAIQKLAKKITNTTADPEISYLLRFITIVNYTEASYSAAQNQITLTANMTQNYINIS ITNNNKNTLVFIGIQKFISQLDFSSPINLRRGITGNGIKLEQFILLQNAESTYQFSVQPY NSTSFKYFLQWSSSPIQANCLSQQYQDTQLLILEYNQPAQSYAPLISILIFITCILQ >CAK62384 pep:novel supercontig:GCA_000165425.1:CT868019:312505:313309:1 gene:GSPATT00005630001 transcript:CAK62384 MQYIQQLDLSRYIPGGEALKTPCNQNKPDKVKLWELGKLRCVSYCFQFTGRMAREQRFNM FCTFFRSKLHVLHSKLASDMHCRNFIKEMLDTTISIFLLIVRYECGDELYQEMRRYFKIL IDAIDYLVTHDSVEQVTEIITSEDFHKIVFYLKLLNLMRNIKQRKKFLEHYPHAAIKAIQ QSYLYNVIINGPVKHIKYRFAVANQDYAKYGLQFNIQSFNKVVNKQKAAEICWQTLYDLN IAAVIKEGIWDDLKLYL >CAK62385 pep:novel supercontig:GCA_000165425.1:CT868019:313320:313633:-1 gene:GSPATT00005631001 transcript:CAK62385 MQNKVTFKIVNTSDPNLAFRTVSVPQEAPFSAVVKYVAEEFKVNPATSAIITNAGTGVSL QQTSGNVFLKHGSELKIIPRDRVGNC >CAK62386 pep:novel supercontig:GCA_000165425.1:CT868019:313647:314434:1 gene:GSPATT00005632001 transcript:CAK62386 MQHDSESEQQYFNDADEYFLNLPQKSWEFKRVRVPNILPGAKDIYVKKWVKVNTLYDTKQ YKPRINYQASINRTHQELQRLLDNSTTNPQELSSLLQKMTQMKKQAVAQQPKIKKVAAPK FYVCPVTTCKKTFFDNSKLRRHQLVHTGEKPFKCELCQKGFSLDFNLKTHMRTHTGEKPY VCKYPDCQKPFSQSSNLTAHLKNHQNPDYAGTHSYKLHIASESEEVIEELEDEIAEEDVD Q >CAK62387 pep:novel supercontig:GCA_000165425.1:CT868019:314445:315304:1 gene:GSPATT00005633001 transcript:CAK62387 MDTKAYSEISEKALITELYRRYRCQEEGLKKRIVLLGMPGAGKGTHSAKMLARFCMCHLS TGDLLREEVRSGSDFGKRLKGIMDRGELIEDEVMCNLIKYQLAKPACANGAILDGFPRTI PQAQKLDEILKQSGQQIDQAIFMNVREDTVIDRLGGRWTHLASGRTYNYKFNPPKQYGKD DITGEDLIQREDDKESTIRNRLRVYQSKTSPIVEYYRQRSILHEINAERQVDQIWREIKN IVKQK >CAK62388 pep:novel supercontig:GCA_000165425.1:CT868019:315372:318096:1 gene:GSPATT00005634001 transcript:CAK62388 MLILLIITVTTGRLITNLNKGWNFRRSDNSTWYPANVPSTVHMDLMDNKLIDDPYFEDNL LSMYELELEEWEYKLEFTNKEFDYDINELVFEGIDTHADVYLNDIQILKANNQHRTWRVM IQNLQAQNTLRIYFHSAAKHDLMKQLQDSPLNLPYNYTYSRKAAYHYGWDWGPRIVTCGI WKDVYLDQYDYGRIVSMHAKALKIYEKSVVIEINIETFLINVGTYTIEVQFKGLDEITIN NQYPLNVVNTKFLYNMTDIQLWWPIGQGEQKLYQLQAYLIKNESYVDYLKITTGFRVAQW IQEKDGDNTQTFKFRINGRDVYLKGANYIPPEMFLPRALKNSTIYERIFQDSIDAGYNGL RFWGGGQFEYDIFYELADKYGIIIWHDLMFACAMYPGTDDFIANVQAEIKDNVKRLRIHP SIVLWNGNNEVEIGWREWGWKAKRKESEIQMLQIWYNTLFLQAIPNVLNEIHPEIYYWPT SPSTSVNDVERLGFGDIHYWGVWAAKHQIENYTKFIGRFNSEYGMQAMIDVNNFKKAVPK QYDLNFDSPVFQIHERHVRGIPLIKEYLKNYTNYNSYSHSFLQLTYFSQIIQHLALQTAI TSLRSAKPYNMGTFYWQINDVWPVISWASVDYYGCWKGGHYAAKKFHSDPALSAIQNEDQ IQVYLINDNAINYSGNVTLELRQYNGFIVKSWEYKFQEISQNASKRLLNYYIQNYNQTYR SLYLQMNFYCDQKNCDYRGVYNFGRPKEWQLQKPDISYTLKGNTITFISKSLAKYVYIYS NSECINLSDNFFDLSPDVPYMVIMKESKKFSFFSYYDILVTAINNELLLLNEGMAALILY MIL >CAK62389 pep:novel supercontig:GCA_000165425.1:CT868019:318218:319011:1 gene:GSPATT00005635001 transcript:CAK62389 MDSLNSKDDKCTTMTDSAQMKSFILNKNINIKEKSKFVKITPQNILKISSMHQLKKQLTL TLPTDRSSNLSRENEQFNDKFLVENIEKKQQQLKNKTSRFKNLLNGRRIKTSGDHFQDYK EEYKKVVETGYNEIEENFQFCQASLHEQRQNLMNAEIELQENQKELELLQDQISTLKEAI FLTQGQSKKSLNDILFRNRLRQNKERKLTQELLEFVNHLSIQYDYHISSLKEYLQSFKTE LSEILIENEYTEKS >CAK62390 pep:novel supercontig:GCA_000165425.1:CT868019:319204:324108:-1 gene:GSPATT00005636001 transcript:CAK62390 MSDQEVQNEAATQSQPQQNQKRLIHLSSLVNHVIGQSKDDPGDLVEFSVHGKLLSYTRKS LNLFDAENKIRLWAIWMIEWIWFDRFIITVIFLNSILLATQDYSWRETDSEAPNSWTDSF EYIFTAIFIIEFLLKMIAMGFLLDKQTYLRDGWNFIDFIVVITGIISLFISARVSAIRII RIMRPLRSINSLKQMKVLIITLLDSLPALGNVVIFLLFIIILFGILGLQIFMGALENRCR MTEHPVGNEWVASNYTKLCQDSSNCPDGTYCGNPNTYNLPQQESDDETFNYGYTNFNNII SATFTIFQALTTEGWTKLIFIYQEALSTAIVYIYFLLLIFLGSFFVVNLILAVINDSFMA AQMRSSIKNSQASASSQQQEDNEEEELEQNAEEGEGEQQQDPIALTGNIVNGNQTQNQQQ EHEQQINEEIPQNLNELNQIKIPDNQNNGEQQLQQQISKSNTLEQIQQSRRQSKKRSFKL KQSKFRQFQLILIVIIENKYFLAFITSIIILNTITLSLDRYPITKTESDILDIANQVFTV IFTIEMTLKLIAFDTNYLKDSMNIFDAVIVILSLIEWILSSFSAIQAFRTLRLFRLFKLA RTWSSFRKLLSAIAATVGGIAYFIILLLLFMSVAALLGMELFAYKTPYRYNFNNYLSSML VVFMLLTNESWNTIAYTFMYDLESIYPVIYFVVVIIFGNFILLKLFIAILINNFHEANVE QSQSIEENNNLQSSRHDQSMAENHQSIKVNQVSPEQVQQSFQVIKSASNQQTNNNSATRF KNISSQFMASAIVFNSTINQSQLEGVSLFIFPPQNKIRIRVSKLINHKYFEFFILTIIII SSILLALDDPLSSSNNITLNVIDEIITALFICEAALKIISKGFILNGPDSYIRSPGNILD LVVIIFSSLAFDESQAQTFSKIKILRVIRVLRPLRLIVRNEDLKMSINALFNSLSQMMNI ALVCLIFFLLFGIFGVTQFKGAYYHCDVEDVNDKLECFDKGGSWSNKNFNFDNVLNAMIT LFVISTTEGWINLMSDGIDSRGIDLNPQENNAYAWALYFVFFIIVGSFFIINLFAGVIVE AFQSEKDRLSQMRDLSKQELEWYEIQQKIYSSVPIEKFKISKHKTVRQLNDIISSDKFEI FILSVIILNTCVMMIQYLRSPQELTDAIQILNWIFLAIFSIEAILKLIVYRKFYFTSGWN VFDFTVVLLTILGVILEQSNVLSNVGTATSILRTFRIFRVLRLIKSAKNLRIIFGTFLVT LPGLVSVGGLLGIMLFIFAVVFMNLFPYVKRGEGVTGNSNFSSFGVSLFTLFKCSTGEDW NLVMMDTARTAQPNDICFDFNDYANYAEYGFMGCGNVGGILLMIIFMIIVSLIMLNLFVA IIIEGFQNTSKEENAPIKKLDVENFQQQWKDYDKNATGFMQCKYFTQFMIALPQPLGWSK LKYSAAQQRIRMAQLNLPVYNLNGKNMYFYHQALICAAQDFLESSGFISNFEISKDIIKM KLQPLIEKAFSPVSNLETEFDSGQYMAAVLIQQNAKRSLQKLKKSKSSVVVAQYTNDDEV QQFVA >CAK62391 pep:novel supercontig:GCA_000165425.1:CT868019:324178:325731:-1 gene:GSPATT00005637001 transcript:CAK62391 MEFTLTATMFSFFGWNVYLLIKKYRERQRQNYLQNLIDQESEYLIMNVAPYKKIKVNEDK KAIIKPIYTEDADSSSDDFDINSYRRRKTILEIVKQENFLEKTYIENTKQFDMLPVLSKK DGKLNESNKDFSFTKQNQEAKRFRKNSKINSDDSFPLDSPKQILQNSSNKVSDKKLTQFE SSNLKEVPEIQDFKKESDNCITSVITQAEQIQEAQGLAENTNVQLQFPIQVQNTYEQTKE QQNQFNGPSENNIFGKLLFQQKPNEYEQSKYPSPKGSKLLSPQQNNNLLFQKQKTNNTND VITFQLNQIPQLQAQTSNNSLQIQQQQLLQQAQPQPQELQQPQLVRSQQQQISLFQQNQT QPSNITQIPDLSLDQQNQDNQNGSSVNKNLFPSSFTFGINSSAQTNSKGGLFDSLLNNNS AGLFGNSTTTPIINEDQSNNNKQNLFLQQSQPTQSVPILPQPNIGTSLFGNNLPTQQPKE QVQQNQVGGLFNFSLNTQTGCNLFQNLQKN >CAK62392 pep:novel supercontig:GCA_000165425.1:CT868019:326286:328480:-1 gene:GSPATT00005638001 transcript:CAK62392 MVQCEQYPFFQRISFQQLNYRLSNYYLKKIQQFQLISQYNYVNIILDSYLSFEQFNHLLL LISFQALINNNQFVIMLKYQKSNISSYRAVFNALQMQFDIFGQLPMFTILKKERFSTSFG AFSTLIIFGFCLLYLWIELEKLFNRSDPNVIQYDSQLLESSEIPLMNKNFTIAVTLSGLN TQPFKNVKRYFSISVNTCKRERIFNKTDNTTQVIQQCQEYPIEACTVNHAVTESQKEYFG KLQLGTVQCLQNEAWEANPPKLQGVLQAMEFQYLQIQVQICHNTTTYNECAPIEEIQDLA KAGYYGIHLSDNLLQLNEFQQPFSQIQSMQQASFSLKTSRSIYQTLKQVQIITDDGIVQE NRNSFFGLIQNQWREESSTYNNIFLINHFIYLDGKQSTYQRNYIKIQAILGKIGGFWNVA VLLFKIFVTPIVITLMNVHLVNKLFRFEINERSQLFKDEIKEESLSDISKSQTIYKESSQ QQYDVINQNQKRRKPNKLHSNRRNQSEIQKYLTQSKQQLNLGIIDILLVAFCCKRKVKQQ IRYAKTKCQQKLDVALIISKIYEFDKLKYVLFSNEQLNIFNCIPKPIIPADLFNRKVSDK IKALEQQKQYLFMLEDEMPMKLKLESAFSSYKKLKNKKDKTYTDHQLLEFLDEDLVNLFE NLSKNEDVIAKVNDDLSSVSNRDKLNSASLSRQLNVQLEISQISQY >CAK62393 pep:novel supercontig:GCA_000165425.1:CT868019:329401:330661:1 gene:GSPATT00005639001 transcript:CAK62393 MSEEHPAVVIDNGSGQCKAGIAGDDAPRCCFPAVVGRPKHQGIMVGMDSKEAYVGDEAQA KRGVLALKYPIDNGIVNNWDDMERIWHHAFFNELRVTPEDHPALLTEAPMNPKANREKMT QILFETFNVPSFYVAIQAVLSLYASGRTTGIVVDSGDGVSHTVPIYEGYALPHAVLRIDL AGRACTQYLVNILNELGVSFTSSAEMEIVRDMKEKLCYVALDYEEEMKKYKESAANNRPY ELPDGNVVVIQNQRFRCPELLFKPNFIGLEVAGIHELTFKSIMKCDIDVRKDLYGNVVMS GGTTMFPGIPERLSKELTSLAPSSMKIKVVAPPERKFSVWIGGSILSSLSTFQAMWITRS EYDESGPTIVHRKCF >CAK62394 pep:novel supercontig:GCA_000165425.1:CT868019:331658:333238:-1 gene:GSPATT00005640001 transcript:CAK62394 MYPGQFNLHITDKNALINRPQNALYNQPYILKLRNQPILLDQIQQGKDVLTDSYLSQSSE QKYNQVQTILTLTHERIQVQSSVLNFQVKKLTNNQKNYLSSGNCVKIICCLQLLNQNKMY QQAQNFKILNFETELKQEESNHMNISILLNMTINLTLFLIGRLLENTRSKEFKFHRIVKL IRKIFILTYTCELQKTHILRKQTSTQKTKIQNKHFSLKTYIRWTKNYRQKRNQKIQELKQ IRQKYTKCLQTIGNQNVLICKLIIKKWVSQIIDPLIKSFSTNQFIQQSNENSINPNSDSS IQNRQHQFVLLTIQILKNMEKFTEKDKIPELIQTSLFLSLFKSQNNKAPVFVAKRTKFYT KSSVKIGNYQEKLIIGEYFIFRLLLQHLIESSNHMVYQNINHKMLCKFMILSILGILQIL FQDYFSELKQYDESSTELFQRRIKISKQQDISIITDDNIDLQQSLIYGLHDRQNFQNIIE KHQEWLQDICTRFSKILQNLHSIL >CAK62395 pep:novel supercontig:GCA_000165425.1:CT868019:333310:334854:-1 gene:GSPATT00005641001 transcript:CAK62395 MNPNKPQYLWQNNRSSLHKRYQISSRSNSLSIKSNREHYSSSFENDLRKSLLAQSQLEYQ GKLQQVMDTQNELLRHQLLEIQNRQLKFQNEVLQQQVEKIQHDVENTFKLVTKQKLPALN GQYQNQIAQQIINNESIISQIKQHHLIAETNKFRNYLKENQLESMERIEKIERMEKMEKQ SENTIKVIPQSTIRDNSNDYEFKIKKITIPQKPKKYVTRLKVIFLVVLAYVRWSKEFRVK RIEQAKKLKQIREKFQFTKQKILLINEDQIKLQLYQWVENVFDEFIYELCRPEFLKHCQT KDYDPSSHDNIIYRQGLILKLTLDYFIRLEKMTQMNVLPKLIVNLMYLSLFLSQNSKAPL FVAKRTNFYLKNSIKISSPQEKMIIGEYLLFRLIIQNMLIIFNNIKYHNRIHEKLTKFFV TIIASFIQILYMDYFEDIKLVKQINVELYQRKIVLDENLKALMILEEQIDENELLILGLQ DRSQFEILFQQQMDWIQNISKIFHKIIMNIHEQI >CAK62396 pep:novel supercontig:GCA_000165425.1:CT868019:334912:336450:-1 gene:GSPATT00005642001 transcript:CAK62396 MFHSYLFNTNRNPMQEYKPGQTIKNYQKNQLPQLSKYIESEIMKRSFEKEQERRKSLQQQ SNQIEKEIQNKLFLKERVNQKERDLFVGQRHSVIVNAAKIKPQKNFNELNYQFNQFSTVN QKQINSTFKDEASNRERSITFNIKNQRYQHFNLLEEEIPVTSKNKMIDCNQVLDFRISVD SKNTYSHMGSRQGRQIPNYINFFQSRFDKPYQLAKEEYEKKIQQPQNIFKQWGLICIFIF RLIKRLRQKKVKFIQNIKDLRARVDALNQEKIEEIKIEWFEKLMQNLLQTIQSSSFSQHF KQTQNPNDKKFILKRKQWIMNFSQLFFQNLFEMTKALNFNKEILLLAQYHILQINKKGSL FVAKRIRTKQIKNEQILVICDYIFFSFIIEKIIQQSNQIVCINDHHLAESKFQLVSICSL IQILFMNHFKEMQRYQPNISKIIQKKLNVSKNKYQDLNITEDEVIEQNENIIQGLNNFEF YENLIKQNQKWYQDISTLFGNCVTNLASQNNI >CAK62397 pep:novel supercontig:GCA_000165425.1:CT868019:337336:338973:-1 gene:GSPATT00005643001 transcript:CAK62397 MKRSRFNFQSAAERGLAKDDKFVPKQINQNEPDIFFPPFILPHQYNALNLSVHLSRKYNG ESCPEQQAKVLNESQMTKFIQPQKESKISAVQDIQLNTQQSIQFQELSDENLGFYHNFLY EQSTEQNLEKRFCTNQSYFSQNQFYLNHSPKKRQSSFQINQNLKTLDYTTEKEDPYDVEE HMSFILKNNNSVQKVFKKDEDDASQDKKQQIFQTFQNTQNQVQLQIKTNKFKTYFLVVRA VFRMMILVRPKKKLWLQSQQLYFQLSKIFKFKDKQVQSKIKQWTQISLTKVLSVLKFQTL KQWNFIVIFLLRIQESNLEDFYKDLAITNFLNLCSHLISNLEITTQSQTFLPELGYQSYL EQFVEYRQSYNIFVTKRTNYLQNKYSKITPKEKAMIATECVLMNNFIPNLVLLTENKKIF NSDDQNIQFLIRGFISILQQLFITTFSDIPEVKKPNTQFQYQQMQIGKNKFGMILVPIQT DIEEFFKGTFKSDQLRLVLERRSWYSNLTKKFKKVVQNIYQHKINDI >CAK62398 pep:novel supercontig:GCA_000165425.1:CT868019:339165:340218:1 gene:GSPATT00005644001 transcript:CAK62398 MQRHSNETNPVEFVIDAQSSKAPSSQEFEFETQSFTSKEDLLNNQNFKPFLQERDSTGKL VGKDRKLHFLKGQQIVLYTSDSKRLQFSTTSILGLNPRAVTADDRRMAKREPLKNFKLNL QADQGFIKQFREITKHIKPSSKMNSGFLGPHRDYYYKTTKTPGPGVYQSLYITASNPIEF PKSPQISKPGQPVCSKDFYDFNMVQKNISGPSFVKTQSRDSAYQRGIFAQIEIEKRQTLK QKPEEYDEQRIDREIDYLLQQQQKYGKQCMNIIDQLAQPNLAWPVPLQKIELPEKVHGQW TKMLERYGFKKQTGGRLIKNTTAL >CAK62399 pep:novel supercontig:GCA_000165425.1:CT868019:340257:341787:1 gene:GSPATT00005645001 transcript:CAK62399 MTDRKAWNQKEDIAILHLVKQYGIKKWTTVAEKMKEIYGLFGRSGKQCRERYHNHLDPSI NKDPWSESEEKIIFLAHREHGNKWAEIAKLLPGRTDNAIKNHFYSTLRRSLRRINKMIGD KNSNLRKQIFSKEKCTQQIKDIKPGVLSKIFILAEKNPSELKDDHMKKLCIACKGLQDSI LEFAQSKQKSQIIQFNEEKFKQLIEKIMDFNGLYTKQRENKLKSRKLNLKKRKNIVEEDD DEEDDSYSSFYKIEDNSIQIPIKRSIRQSSRKKIKFNDEDELDIIIRTKQGSVFKIIYDR FQFQTYENESSQESQNEQNSNQNLQNKITQLTISSNKLNFENTNSKELKNETIHFENIHT SKSSFTPLILIKPLLNVDHSNFDQYLEKLKQDIDANSQKYLQGEDLNLDINIDFAEATKD FTKSSSSKFGYTSSAFKKYKKDLDLDNFFVTPNNYQ >CAK62400 pep:novel supercontig:GCA_000165425.1:CT868019:341807:342481:-1 gene:GSPATT00005646001 transcript:CAK62400 MNIKELTSFIKDYDLMFTQKLVKYLVIIGISAMKSKTQDISIETIKLIASSCKQQKLRKE MATLKEKVINIQQSITPRIGNFTFTEDKQLRISKSPLIQSQYSPIQKKKEQASNKCKKNV SIQDQDLNFLSLNLKNKSNQLSTKVEQQIKQTQSQKVSQNSYKSSKTVNMDQIANNFLNS PLIKNPLSVRSQTQQNELQYFFQNTWKQF >CAK62401 pep:novel supercontig:GCA_000165425.1:CT868019:342862:345150:1 gene:GSPATT00005647001 transcript:CAK62401 MKLFSFLKRKTNNLHHITYSNNDEYHGEMKESLKHGKGVYKFDNGNRYEGEWSKNQKHGK GKYYYSSGELYIGQWKENKKSGHGQHFGLHGDRYVGQWSHNYKHGKGTIFYGDNSIYSGN QNLQLQGEFQENKKNGPGYFYSSITKELSFQMFENDKLLEQSPVEKVPSEFENVFSAYLV HDNHIKHLQSQLNKSDENHLIQQEAHGFETLQALNETVTVKGNKKMQDWNTNDVCVWLEC LGLSQYKENFQKNQMVGEILHNLTDKELKEELGIQILGHRKQILQQINMHKKYYIKTMSN QIQKTESENSADQSSKYDQLFSIIQKIESTTTLGKETKIPKQKKQKQKSTQGSEEKQSSP LSQKKQSPKQGNQGQIIKTEDNCDLNNFNVDNLNRLDSSGESSFCSIEIQQTQLKAENVY QKFLDKGYYNAQEHNLQQKHQEKIMSLLKDLGINEKLLINFQEIKQGTQIGEGNFGIVYK GNWLGQDVAIKSYCQKQDQSKNRQTMADFLKEVQVISELRHPNIVLYMGVCIKKHNLYLI TEYMENGSLYDHIHKKKSKNLNFVHIIEDIALGMYNLHGRGIMHCDLKSSNVLIDSDWNV KLCDFGLSRIKTKKTKSTIGTSYQMAPEIMRGEPYTEKSDVFSFGMILWEIMTGKIPYQN LSITQIIETVGWGHNLVEIPQQSNPPVLAILAKDCLQKEPSKRPNFARIVEIIQQSKNNK CQNKDKAKKLLIDFLQN >CAK62402 pep:novel supercontig:GCA_000165425.1:CT868019:346137:347618:1 gene:GSPATT00005648001 transcript:CAK62402 MNNDNTNNDANYNEFELQLQRFQFSLYAFGFNFYLDNQEFNRGDSFGEEDDIVRGQRLAQ RRERNSPREKYQNLQKYLQKRTEPIQNDHLDQSQPYIKVTCQRNTNVNNQYFINEFGLIG SLKNTNSKDILIGRSHRNQNQDLIPNDIILPEDRVISRIHCKIVCKDYFRKDQILDQGYQ FALMHINLPKMIKHKISQFLEEPRNVYIQDLGSICGTYLRVFRSEPYLLSIDTKFSIGSE TNFSIVLNETYKQNLKEVDQEFYRTLKRLSCLKSSQRHEIYFQDKQKQQEFQQLEEETTT TNPREYYQKLKEYNVPILGIKFSGQGVDQNKQHNILIGKQNLDTDDFYIGRGAENAIKIN QNTISRKQCRIKYSVKHQSWVISDGALDRDSANGTWVSLSTVEQSDMKKESNQIILKHNS EIKIGDFNLKVELVKGQRRKFGQQIKELLSV >CAK62403 pep:novel supercontig:GCA_000165425.1:CT868019:347716:348799:1 gene:GSPATT00005649001 transcript:CAK62403 MSNVPNSQHPPQAPQQGAPYQPNHFQPGFAPQYAPAPVAYGPPLTSSPLRYSQPLYQPSV VAQPVYAPQVVQQPVLCSTSCLTTSCHSIFVAQPVVAAQPIKGESRIEYVPYEKTVLEYE EVRQKIQVPRERYVTDYYAVEYQTEYVPQVFQEKFTEYVPVDRYQERVEYYPVERQVVHQ QVQPVVQQPVQVVQQPVSLSNNQSNMFNNQSSNNLWFNQSQSRLFVHQFMLQDHYLQAKL YHQDYPLKLKPNLPVNHKHNKNNHNNNRNQRAFWTDYSTEIDMDYFIKINISNQILNFLD SSIHLKISPFNKIILQ >CAK62404 pep:novel supercontig:GCA_000165425.1:CT868019:350046:350552:-1 gene:GSPATT00005650001 transcript:CAK62404 MRSKSPLVSPQNYKITTNLLTPTQKHHVSQLSTGQNNSNSPQTDFRLKKREFQLSTPNQV LDKQKLISTANNYYHPNSIQRIQTSESPAKILFKTGYEQQQEIKKLTQENQNLKELVRKQ EDQLKQFFELENILEQNKQLQEKIEKLEQENYILRQAQEQVNKSKDDQ >CAK62405 pep:novel supercontig:GCA_000165425.1:CT868019:350787:351728:-1 gene:GSPATT00005651001 transcript:CAK62405 MFTNKDNFAIYLKIQEVRSIYSNFKSSVLVQLEKLENQIETIIYELSQRDELDSICQNII ENDNSTLNDDEVQEIAQIWNKISYQKNNEYFPRFSDQLVTRIQKTLKLWEQQIQETLQMD LRIIPIYSQSLYKFDLTNKFQSIQINEEIMIEQTQSQTHYCFALTDQQLNSIETSTIQFR FPKFVGDIGVGICDLQILKTKNFRPQLNQVNNGAFVCFQDSYTINTEQDEYNWKTKGFKF GERDVIQVTYEPTIKRVTWIKVQKPEEGYSVVLLNDKRELYFCCIVKTLGAKVEIVGK >CAK62406 pep:novel supercontig:GCA_000165425.1:CT868019:352175:355913:1 gene:GSPATT00005652001 transcript:CAK62406 MDQKKIDPLILEALTNPDPDIQYMRAEELTNELTTYYGKPEQGMEDEKNQKLINNCYRVF YQHLSSQYREIQGIGIELFIIGNAIRQFQRLAPLMRSREVSYISLELLKSSIEGMSDVRE NYHICLQEIVEKISSQVISLEEVQETIIQKLDELKVNVKKLQVSNQIVHQEIQKNVEIQA ELLKILSLIMSRWPKLYYKDFGDLLLDNITNSNELSIRKNSCVCLGHLGACLNQESLNHL IQQKILPFTKELKFDQQNFTKILYLNQSLNYLAKSSGKYFDKTLVEQIFYRYIQTQNEHS KIDLDNINQYAEILEIQLLTINYLLSNYYARSFDLQLIEQITPLIDFDPLGVATIEEENA YEDDYYADETSDSTWRVRRCALYTFQELLKIQPQLYKLILSALFGPNQIIMKRMNEKNPE IKLSIIQFLIGLVQASAIIKEDINIMEVEQLSLIKQRSIPASISLIELVEQLLEQIQSIF KDSQEQQSLKSETTKLLLAIGQYFHSQIQTSHSCFSKIVEIINESITGSSMHYSNEQKLA SILTMKTILKITESAEFQVQILQQMVLILLEAVNQKYIRIQVEGYNTLEKIIEVFKLNFE EVTFSQSLAQIKQNLITKILIDNLDQEIKQSLFSLAATLFKNFGSIFSKAEVEQLAQISL VRLQIEALTNNIINLVQYFKNLNDPKVLISNIANQLQRNDKAQTYNTLIQLIQNNKVDQQ LAVDILNRFKQITIENYDLQIQTLQVLIKVTGIKLPEQLIRESVKIGLYQTKIKSEIEDY FHLINQPQIIEQEVSRQLGKEELYPAGQIYCQILKNVPGSLSSLYSSIGTNRQLKLSTLR FLHKYQKDQKAIEILCKLIKDNQDSDLAAIVIGSAISDIQQIQNLIEQNPNQYQFYQALK EFTEINTISNPANIASYILNQVNGKDKTISTICGDILGGLVKQNYKSLEQILFESLKSPS QNVRFSCIQSLKYSNQWASKSQILFEMLQNEKDIQILTAIIKALTQNIQHIKLINLTQYL TFTLRRYEEKELNFGNFVEKRDDAKDLRSLSFDLLELFIDKYNLEMKPILVEVFDKFVED KYDETRIPRLRIIQKIVKKDPVILGQYYEILIKTFEPILKTLIQNLQKQDQNLDKEKEKI RLIVQILQGLRQNSKEVDEIYRKYVTKQIQDFVCQ >CAK62407 pep:novel supercontig:GCA_000165425.1:CT868019:355937:356967:-1 gene:GSPATT00005653001 transcript:CAK62407 MGSQCSQCNCDKRGNDIQECISIPTGPSKTEKTWLIKSKMAIVIQKYWRSYRERTKAADH KILCALETDTVESGITINQDDKPRIEKPTFTYKILKARFPGGATYTGEWRGNSRDGYGIQ IWPDGAKYEGEWKHNKANGRGKFTHVNGDIYEGEWENDMSNGYGVYQHVAGPKYEGEWFN DKQHGYGIEIWPDGSLYEGYFQNSYKHGQGKYNWNNGQKYEGDWFQNKISGKGILIWADG RKYEGDFYFGNMHGRGIYTWPDGRRYEGLYFNDKKHGFGIYDWGDGRKYEGEWENGKQHG RGYFSINKKGKYGLWQKGKRISWEEQ >CAK62408 pep:novel supercontig:GCA_000165425.1:CT868019:357035:358175:1 gene:GSPATT00005654001 transcript:CAK62408 MLADKTSAYVNQSSVQAKQPSKRVQLDIQYVAQTFEKTQSINNLQDMFPDSKSTDLRHSI TTEESEIEKLSQAYADKYYYEMKFEQYQNLLTQQQGTITKLLNEKKELLGRLESAKSNEK EISLLQQLEVKFLESQKEKQQLQIECQQYKYQVEQLLAANNELQQSFQSLHNQFVEYKDK QDKYSIKQQELIDKLTDDIGNKKYLQEIHSQMKTIKQQNNQLSQQLSSQEHNFKLKLQEI QEKYVIQNNELQQDCESQLEQKEEELHLLKMGLEKQKSETQKLLNENISLQSHIQQLTQN YQDDIQAQASLLSDQVAEYKIVIQNLENQLYQMNLEIKQRDRSSSKDSIMNSRLISNYIS RCKQ >CAK62409 pep:novel supercontig:GCA_000165425.1:CT868019:358373:359444:1 gene:GSPATT00005655001 transcript:CAK62409 MNIFLNGQNTPIMESTTFSELLQRLGITDYSKVIIYRNDSQLILQNYNCQVKGFLYANDR ISIQVNNDMIPMQANVPYRPIINTTPNFYSSEQNQTQNPQHRATNAQFPINNSQGLNPSF NQQFNFPQGQQQKFQQFNQQPSQQYNQTLNQQQNQQFSQQFSQPFNQTLNPPTNPTPQYQ QPKIQTSGTFQNNFQPQPQTQKIDFPVNNNVEEDVFSTQFQNQTYDQQRQNYLNNKMNNP GEYNLCRDIDGFKFTLDNYGDVLIIEKADQSLQLRITSAYKMNKGKRQSTPLSTNEFESN VRMELNYTRVALIQNKDILAVQFNTGDKIFINLHME >CAK62410 pep:novel supercontig:GCA_000165425.1:CT868019:359470:360358:1 gene:GSPATT00005656001 transcript:CAK62410 MTCCQKSASLILIRDDFKVLMLKRSNEISFGGSFVFPGGILEETDYKIALADSQLIQQNQ QRYYCSLNQDWYDASLIAAIRETTEETNIQLEYKQLYSKIKPFMRIVTPQMMEKRYDTQF FVLNLNNYDQLEINKTESASYEWDNPVGFLQKFINSQIYLQPPIFLQLLILNQLGITLIQ EINKNMPIPIFSNLVSYKGAFNYPDPNFNLQKLLEAEQTDYLKKEFKTRYTQMERSDFRF EFEANLKSLTGFIGKFKNSPLALLDGQIINNGQFIQNKARL >CAK62411 pep:novel supercontig:GCA_000165425.1:CT868019:360405:360809:-1 gene:GSPATT00005657001 transcript:CAK62411 MTKLNQILNLSGVKNKFSTIKGSDGDKIIQSVQLLIQELIVRLIYNFRIANKKFNRGIKI KKEKTLNIKLLEKDLLVMKQKFYAVQKDNPLIQTKAQSPAVQHDVLRDNNRININSGSVQ RQSKPKAQQTFQFQ >CAK62412 pep:novel supercontig:GCA_000165425.1:CT868019:360963:366133:-1 gene:GSPATT00005658001 transcript:CAK62412 MNYQRPLLEDGRSCIKLDSNLKNTIIIEGNQQQQQDQKYFYFDYVAQQDSTQEDIFNIVG KQQAINCLDGYNGCVFVYGQTGSGKTYTMMGTQKQPGLLPRVIDFLFNCIQEDSSEYVEY LVKCSYLEIYNEHIIDLLNPQLGNLQLREDLKKGVYVEQLSEEVCTNVTESLEVLQRGSL NRHISSTQMNIESSRSHSVFTIQLESRRQSSQTQVINHRFSRFHFVDLAGSERQKQSQVQ GERLREGCNINKSLHILGNVINSLVEDNQSYVHYRDSKLTFLLKDSLGGNSRTHLIANIQ QSQQFYQETLSTLLFSKRVKQVKNKARINEDESGNLESLKNEIKRLKQELAKSVTQQQKW ESPKKFEQKQQQSQINEQFLQSLELESLMINDQKYIKLEEILKCYLEQSTESETALFLEI EKYLNGIKQLKEGFQLGQQLEQQLKFVIKLQNEQIQKLKLNQSADEIQQQIQQQLAYALQ CQGLVMKTFYENLTLKEQQGATQTVSKVQVQVDTNLNLLKEIVETVQNSLNERRQFQQQI DTQFNSQYVTLDKFKELETQSEYKEKLLSEQTQKLVQMEDQIKEIEKTILQKLAENGITF NENDQIIDVRDKQILDLKSRISDLNQELKNSNQELEEILNNSEQVIQQLKEIEINYEQSQ TNIQQFQDEFKQQISQFEEQIIQLKDQNQLQANNYQQLNQQNQEFKQQILNEKNQNTQLV QELTENEEKLRQINQIIVDNDITIQELKQQLAFYESNMTQFKNDLSDQKTKLQQNEKEIQ ELESENENLKQKCSNYQNELGVVQDQLRLSVCKLESSELELFTQLQQVQNDNQLLQQENT LLSKTKNEIQQEKDGLVQELRQKNQELLNTQLQLQQTQQNYQEEMIVFQQNQQIQNSDLE KNYQDQLKQQKKDFELFLSQLSAKNDCDLGILNQEIHQLNCIIKEKDNQISELQSSQEFQ INLLNQKLQNETQRASHLESIIETQKLEFEDIQKEQMSSVDLLQKQLESKQAVIQETSDH YNQVHELYISLQARLEQKDQVNSELKRDLLQHKSKIESQSKEIQQLQQKIVSIENENQQS RNTMEIQQQGKLQDMTQKLQIKIRTIEQLTNEKTALNDKIKEVECESNKFKQTINQLEQQ CNEWSIKFNSLQSQIQCLTNNINEKDSELEFMQQEFNKQNQILDKSSEIWKKETDQMEID NNILKLQINDKQKEIHKLEQRLNASLNDLQQKEQQVDQLKLQLNHLNTNLQQQLELTSKD NSQLKKEISQLNQHIDSQHEEIDQMRVELDQKQSQVDENKSIVKKLTKQLELEIKQYSLH LKKYEDQHKKEVQELVDQLDIHQKQLQQKDQQIEQLNKQVNGLQCAFETKEDVNQQTQKD IQQLKDKLKSDQNKFTKIIQGLENANIQLKNQLEESSYQIQENVHKYQSIQKQNDLQNIQ VSEQQDKYNLLKDQFTRLESQMKQESQIREQYSHIAEKVSKENNELKQKIDKKQQIIVQL EQALLQNEETLKNVHDRAKEFCKQQEKEINDIKDQYLKLEAEHNAILSQNQEREDENEQM KDQKDQALMQMHALEDENGKLKKELQEQHEKLTKSNNERSMLYKQIEEMQKDIAQLGGHN NPSQKIRYLNTIKQEKFLFEIRKTILIRIIIEGN >CAK62413 pep:novel supercontig:GCA_000165425.1:CT868019:366245:368124:-1 gene:GSPATT00005659001 transcript:CAK62413 MQPLLQSEDPSTNINRDPHGFGLSQATFVNDQASDIQRIYEDLYTKVKNGDLKGVRYLLG INDNDSFSQMQPINIQKIADEKKNTLLMHAVWKDHTNLFTDLLKYSLKSFGESDTKQWVT QQNESGNQCMHLATQKGNIAILDAILKLGIKLDAKTRQGQTTLHIASQSDQPIMLYYLVK IAHVNIDITDNDYSTALHQASYQGSEQCAALLLAWGCKINTKNIYGCTPLHVSAISGEYK ITRKLLLYNAKTRLKNKQGKTAYELSEQNEFLTISQMIMEYQEGDIKCNNLFGQKNKPRI NQKTRLQMYAFIFMLILNLIYQILFTLGNNVFGYIQILLDIFQICLFVYIINSDPGYQVQ YKKEGQIFYQILQNNPKKLEICAECETLKAKRSRHCDFCNRCIMVYDHHCPWINNCIGAK NHFAFMMFIWITFLTIGFQLFVSIVLFINIIWKQSYIGILSSNLGYWDTFDFLFKSLTDL RKYLSLSKGVQIEYIVLELLITLMFFVLLSHLLCTQFKNMYYNRTTFERLSKKYRGFNNQ QSSLLESSYIENEVSNSNCQRNCSLLLFRNKNSQDYNYVYHDNTSLTTAIIS >CAK62414 pep:novel supercontig:GCA_000165425.1:CT868019:368350:369880:1 gene:GSPATT00005660001 transcript:CAK62414 MLRRLTASVPIVHKLYFTFSVNEEYKIQILNRLKQIKHSDSHKDIVSNGYVENLSIDQDG RVIIDLKLDQDYRKMKALCSDALKQFEWIKNLDIRMAPKKENVFTQANTQKRGNLQNVKK IIAVSSCKGGVGKSTIALNLTFSLQKLGFNVGIFDADVYGPSLPTLIGKEKQQLYAPEDK PKEILPIEFNGVKTMSYGYASGNQKAIIRGPMVSSIVVQLVQQTQWQNLDYLVVDMPPGT GDIQISLCQELNFDGAVIVTTPQRLSFIDVVKGIEMFDVLKVPTLSVVENMAEYVCPDCN HVHRPFGQGYMNMLQKQFGIATAVSIPLYGDISKYSDLGSPVVLTLPEDHTINNIYRQLA NNVVHELSRSDLTKTPTVRYDTGKRVIIIRDFDGKEKPIKSVELRSKCNCALCVDEFTGR RLNQNQQLDQEVYPYKIEPKGNYAVAIVWSDGHRSSIYPYKRLWSDEIIEHKS >CAK62415 pep:novel supercontig:GCA_000165425.1:CT868019:369883:371238:-1 gene:GSPATT00005661001 transcript:CAK62415 MIDDQNIESGDQSQYLRNCDSLNAYEISGQIQQDHFSSLYNKAIEKQQRINQKQEEKNEE IKQQANKVKIDYNSQKILLKKLETQINTVLNRHQIEEQLDYERFCNVLVDLGISTKNDHV SIDLWKLLTLGLDLESAFIGFVFNILVILLEKQLTQTQSIVLLTDVINVELEDQNIPKQV INFEELQELMFRLTNKFKLLNVRFSTGSRGQSSSKKESWNNNNSQNNGKQRQQFDTHLSD TINRFEVLYEHSKVLQEKKRQLESYIQDDPECLFKPQLTSNQTKRQDNVSTFNRLYQNAE AIKMHKQKLKDNLNKQRDEEELMECTFKPKLTNKQRYSFKPVKGESAILERMTKARQMKH EKENFYSMEQTNIQNRPHQTVPEPFDLSKRVSNPKNMLLNVDIRISKNRKARICIREGDD IEQVIKGFAKAHQLSLEQQNVLKETLEQYIN >CAK62416 pep:novel supercontig:GCA_000165425.1:CT868019:372014:373161:1 gene:GSPATT00005662001 transcript:CAK62416 MLVEISIAQIATPINNEVQLIIILALSLDIDKFIQINMTDGFMRASKSFMLQNGSKQDLC SVDVSLDPFRLIRPIAAVQTSFEKIMHYQSTDQPYSPNPYYIQYSNDGQEVCNIMNSQNR CLFNNSLEGKLWLQKPTDIQLSQVKQSTSAQPLNVSPLASQLPHVEQAQQKHVKSIKEEK HFLKEQLKFFKEDSIQSEEVLNAEESSICQKPKKKNNLRGKRHKHTSDSSESFRISRKKK TSKVNDTKNITKNYSKAIISYIFNNPELVQKIMSKHRYDDFINFLKNKKNQMTNIKQLRD LWVDGGKNSEFNRVFRIISQQFLKSQAVSYVYNSRISNTQWHLKYRFNLLRALREPENFK FIKDI >CAK62417 pep:novel supercontig:GCA_000165425.1:CT868019:374268:374558:-1 gene:GSPATT00005663001 transcript:CAK62417 MIDNQSFMIKESMNIVFDKKTSEQDSKFEQIQMVNPTMCSSRQHAHIKVMNGRIFLVDGF QEKKSKNGVWILVKHQRFSSESQYCFKDFRLAISLN >CAK62418 pep:novel supercontig:GCA_000165425.1:CT868019:374586:375001:-1 gene:GSPATT00005664001 transcript:CAK62418 MDYQTKSRVSIDILSSFTQEKLQKVVITNIINKCHQKFDIDSGLVLKQSTITNLNKDLLQ EINYTYQQDDILSLIYPMIINNHTIQVVVNLQNDGIYERVTKQQELFRGEEYYVGLPAGQ NK >CAK62419 pep:novel supercontig:GCA_000165425.1:CT868019:375464:376792:1 gene:GSPATT00005665001 transcript:CAK62419 MGNKINRIQDEEDSIKVYLELNQTKLQLNKNLEGKVIFKVPPQIQGQFDLNLKIYGLENA EYNFKEKNKSIYQYSVKNHEFFEKTLSLVYNERIQVDEYLFVPFDWNPEISIPSLDYKYS ADLIVSREYILEAILIVKNQRNKKFQQKWQQKVLFYKDSDEQLQIMKDSEKKQNQKVDAI TTYKWFRQQKGLVFVSFEADKSIIFNGDYLNVTADVDNTFGYLKIQSAKILFYLEFIIKE EFLQGMPKVLLCEEMLVVTDQNKYFGKMNFQITDKLNLPYESVSERVGCRYIVVLQLIFE QLCLGSGVDNVEFILPYMDYCRDNKDNAEPQLECYTEIKNIDSLNKFMSPNYQLLSGRQQ IRNQNTDFLTNRETRVAQQSQILIWKN >CAK62420 pep:novel supercontig:GCA_000165425.1:CT868019:376807:377408:1 gene:GSPATT00005666001 transcript:CAK62420 MEKLSVEILKQIKSNTQIRYQQDDNCVVISVHGPYQIGSQKANQQAILDIQIKFEQDKSL EYQLKSIFEQVLNLQEYPQQQIRVSCIIQINTINIFSTLCNGIMIALLHQGISMSKSIYS ITINNTRLVFQQITNDLLFIDNKKSQPIDQTMDQIKEGISKAQLFEQWLRSYLYEYYVNN NLL >CAK62421 pep:novel supercontig:GCA_000165425.1:CT868019:377446:380026:-1 gene:GSPATT00005667001 transcript:CAK62421 MQQKDQNQNAGCLCFGKKQKGEFPKTFKKSGKILKKYKDNSLDISDDAILEVMIRLYISE NKSKAIEMLQRCRINPNYEANLIRNDLEYFIPQLINFLVFHQQLSDERLIQFITKASQID FFFAHLVYFQLKSLSQIVAHSNRVELKIVQKFVQEFEEKMTINYQGNLLIATQFLKIHLD DSIKSSSLRKSITSISNKKIKQEVYQGSVRIRSYKQNEVVQLYGTNQWEQEMANKSPSQY IVRNYEDIELEDYTSTFDNNEDIQPVDTAFQSNINFWNDITKICDELSKSNTKTQYLHSL LNKMNANLPAAVYVPFVKNSIRNYAVLNIVSKESRVFSTKMRSPYSLTLEIYRPEIEDNY TEQQLLDKQMSLAIKTNMIKADGNCQSQVIISEQQNTQMNRTFSLAEAQNTFNNEFQNIK FNQFNYQNNEPESDGIGLFYNYRQTNNQNQDSDQQDEMIDQQIIVQQSLFSNATDDQQND KVAEQTSSQKLSGLGDQSNLEISSFNSRVSYLQVGKGLVLNQEEYLEIKQTIFGENSIDQ SERIKRQSPFQTLRSWKLVHLIIKTGDNLKQEQFALQLICQFDQIFKKEGLPLKLRYYEV LSLGPDCGMIEMIKNATTIDSLQKNLQKKYTQFSDFSDFFRSFFRNNIEQALQNYVQSLA AYCLVCYFLQVKDRHNGNILLDDEGHLIHIDFGFFLSISPGKGMEFEGKVPFKLLSDYIK VLGGVKGTLFQDHFRKLFYKGFKACQKHQNEILLLVEMMYTGHGTTLPCFQKGEVALKEL ENRFNPRVASDAELFVYVQGLINKSLDNWRARWYDKFQYFAQGIFY >CAK62422 pep:novel supercontig:GCA_000165425.1:CT868019:380268:381664:1 gene:GSPATT00005668001 transcript:CAK62422 MQREQVESNKNRKYERFIMNQLINSHMGQANCACVKTVNFDHNQIESDKKLRVSRKEQQI EDEEIKLLRCIIKIQALLRGWIVRKKYHYVQVQLYNSKVNNILKQFSLTHLSKFSKMAPF PFSEHRYQDNESEAYENRFFRNAVLLENGAIYIGEWFGDKKFGKGIQIWKDGSIYEGFWV KDMANGKGRLYHANGDIYDGDWEDHKSKGYGIYIHSDGARYEGNWNNDQQNGFGIEIWPD GAKHEGEYQNGVKHGKGKFVWADKAQYCGQFLNNQINGIGRYSWPDGRKYCGEWLNNKMH GLGLFQWSDGRVYIGEYIDDKKHGQGIFEWPDGKKYIGFWAEGKQHGRGIFIAGQQRKQG EWKNGNRERWIIFDSDNELDLMEKKMSQLKVQYSQITETQLLDKSKKALPLPNNSLIKGE KFKPLQNHNS >CAK62423 pep:novel supercontig:GCA_000165425.1:CT868019:381756:382505:-1 gene:GSPATT00005669001 transcript:CAK62423 MAKQQGAGSLWNPNSWHWEEKNYTPISKQLIESKIKSCKIESGDITLFNQVVKSITGDAQ VNIRKGKQVLIYDFDIEVEWHGVNQDHEAEGTYKIKDLNSLDNDFEIIHISCNTKTAISD KCKDLIKKDMFKKLKEVFVTLMQEIGQYESDPEKLKKDQEARKLAEEQVRLAKEQNGELK EKIFYEQKLKEQQMKQEFSQFAQK >CAK62424 pep:novel supercontig:GCA_000165425.1:CT868019:383278:385959:1 gene:GSPATT00005670001 transcript:CAK62424 MDEVLMTQRMGPSALTQQLTSKQESPSNLMQEDEYQAQSQRDIIENKSIDMNMSRLDSKT EFKKHALFLTNRISRTIQPQKQSHFLQNFKLQQHVHRFINNLFTNSYILRNTQKQKIKDK LLEQQFISQHKKRDYENDSVIPIFLPSTNSIILWDILGFVCNLMMLWLTPFLGAFNNYYN ETISVLQSIILIYLIFDFLAQFNRGIFVSAVLITNRKMIINQYLKSNALSDFLRLFIWAD LKYSLLSTFWFEIMIVLQIILIYQKLLRYLSEYYYQYIYSKGGQNFVLDLVQLIIQIYYF AHIIACIWHYVGENTYYLQNSWIIQNQLSEETVWHRYNSAFYWATMTMTTVGYGDFSAKN QVEMIVSSLIMFFSSYAFAYTMSSIGIILKNVYDTKQTYKKNLIQMIQYMSKNQVDESTQ GRIRDYLRFQQAQEKKENQDEIANIINQLPKNLQQDLNSDIQSRVIRKMSLIINHFSKFT QQQVAKNLELIQFIPGDIIYKQGDQHEDNLYFLSTGDAILKEIQTDQQLRSIKSSQYLGY YCFFTGFPPKETAICQSPSELYKISRRKFLDIVRQNQKDFEIFHHIKEKLIFQTNYVLFD HKCNFCNRYIHQEIDCPLIQYKPDLEAIVKKQEFNQILNLRRSIIRTKRKHHALSQNQPI EQQLKLFQQDNQFCDDTDSEQDGKFRKEKSIFSDQQKSSLQLLVNEEDGLIENNSSRQNQ KRISQHYGPLKLQKMSSFSKQKIGYVEQKTSIRRTYLQNEEQIVIKSQSMIEEMQLLIQK QFKSSFNLDKVCDAFHNYMPQYTIESQIRELQKVQKKRQKRFWKQDQKLGKYTFSNNVKV LALKILNQGRRVSKL >CAK62425 pep:novel supercontig:GCA_000165425.1:CT868019:386099:386701:1 gene:GSPATT00005671001 transcript:CAK62425 MMPIYCNQNFFEIALLNFCSSSYQYLHHFFDKNVEQQQQIKQEELLPEVKNEENSQQQEK NLKLVEYQNTNQREDKKIHIFPGQSKNYYKNMSQKIVKFIFEHFQDDERVMNDSHIKKFV KIPSQSFNRDSILKLKKSKLARRILRLFFANLKWVRPFISQNKAELTLYFRYNKQLYCPQ KSQQQNQSHQARQDCIKQEE >CAK62426 pep:novel supercontig:GCA_000165425.1:CT868019:386872:387483:1 gene:GSPATT00005672001 transcript:CAK62426 MNPKYTYRKKQVTNAEKQQILKILGNNPTDKQIEYIAHENDLKTRTIKAWLKNKQQFCSE NKHTSDSEDNQYNKKKSKEDKALFDYERHFNQNSNQYNYEITNSDLLMKKNGNLNDSKQL QNGLAFPLSHISAQGEKSQQRQQDKYALQNFESLALLLDQNDEIHQLISLFQNQERQIEN IKKVQQDILEYMCSHLTKKPKRI >CAK62427 pep:novel supercontig:GCA_000165425.1:CT868019:387588:388274:1 gene:GSPATT00005673001 transcript:CAK62427 MKRQILNEHLQMKKNKSCQISRSQQNEFSLERIQSISQNKRSSDKGSMQIVEMWSKKMKK QCDSYLEVLKQKQQQEIMKLNHVPPIMLKNIDVMKRSDKTTLPRSRITSFNQQDPNLRSH KEVIQKNEDPIGTSIFSNSGRSNAQPFFFQRLKLSPIREVQNCIDQCDTLLQDVQSISKE FYQPKQDRFKLYAQKRQKEKLKEMFLLRRLQ >CAK62428 pep:novel supercontig:GCA_000165425.1:CT868019:388380:389286:-1 gene:GSPATT00005674001 transcript:CAK62428 MIKQLFKLRVFTFCQEANFGFKKVKLEEKQEHVNQVFHNVANNYDLMNDLMSVGLHRCWK NSFVEELGQLKNGDQQIRVLDTQHFVFLKSIREINLFNENLKITVLDINQSMLDVGQKRA NELGYQNQIDFVCANAEELPFEPNTFDAYTIAFGIRNVPRIPKAVGEAHRVLKQGGKFQC LEFSKVQNPILSLANQFYQFSFIPAMGQLVANDRHSYQYLVESIEKFHSQQELLKIIEEA GFRYAGFKNYMDGVVAVHFGFKL >CAK62429 pep:novel supercontig:GCA_000165425.1:CT868019:389926:390656:-1 gene:GSPATT00005675001 transcript:CAK62429 MLKILPNQKKKKKTQQFRLKPEQITDPQKGVVYLYNLCKDYRFGNDELDELNKYMHVIEE WHYQIMPKYDFDYFTNRLQKFGGNNSVQTHLQFLRKAHKGLIPWHFVLNPLGEQPINDQT INQTFSETIANNSVIQEEQHQQNIIQHDQNLSQPDPQQNNSTTVSQKKPLKLSLKKQNTQ QSQVKSVKKELTVRFNDEVQYEPSPKPIQVDENFDDIFEQLEAAYKIKEEKKLIN >CAK62430 pep:novel supercontig:GCA_000165425.1:CT868019:390927:392128:-1 gene:GSPATT00005676001 transcript:CAK62430 MNLDFSKLTVYTNSLLAYRLFVLGQNYPLLTPQIIPKLEENGLYLAAANLAEKLKTSYSK DELNQARKTISEKKNQINQTKNLQNRNALKELFIQLGDLYLKYGDLVEAYAAYYKAHENN QTQEALNYANSWVTCMILSNNYMHYKVINVNPKDSDVKGYQKWLLLSAIRNYVRMDYKNF LIDLLQIDTNHLESVDFITSYFDLSKYFAIASLITKLPKDIIEILQNPFIIRILDADPSV LETLNCYIDYDFVQLIQKSQLLIIELERDVISAPLISQIKEKVINTLLKQYIINFRRMSL VSLANLLNLKHFEAQALVENLIKTENLNYVIDPIDMIVHEKYTNEQQQIRQCHQLGVTVL KALDHKYFQILQDQK >CAK62431 pep:novel supercontig:GCA_000165425.1:CT868019:392161:395043:-1 gene:GSPATT00005677001 transcript:CAK62431 MLFILFIIQSINGQLCETAEQFYNPLTSKCEACPAGTGLINNQCICDSTINGVPVNSACP AETNLCVSDTGSWQGSACSCSGHAITLPTNLCQQCPNNQVNVSGKCSCSASSLASDNYCV GLYINVFSDDISQVLGPKAYDGCNLYNDMVSCQQLANLFILKRSTVSTEKDFTYLYNAVL TFQNKKNMPSIIHEVSTTVTSVTLIEDTPIQMQVNFKEDRATSLNRMPFYVMEYYIDGSV SELKPLQNQFQLCSSSYGDTDLASNFGVSYKNDCNVDFTKYLNSNYQTVFYSVWIKDIDG SFIQVPIKIADYDGNGNGETEDQYGYTNTKFLWRFFMVDNLKSPSEIIYAKSIRLISYLS TKEDDKTFLPYFYVIYSEPISITTIENEKYYPVKYGHYYYQAKTSFYTVASALLIVAHIF IIIVWFIRIYVWTKRNPSYTGQNDWCMYLTIRSFYVLLDTWAEVIFYYLAIFTGYLFCFF KFQQTIYILMPDLSDYANNYRPFEILFYMMFACRLISMFNLILRQADVQVFFIDWEKSEV LRPRELAEKLDNNVLRMVEQAQSKQSAWRMILIANEFNELQIYRIVSVEWTLLFVGFFLE GLNWINLGQEQPNLSLDKTIPKNYVLQYFLCTFLYMAIGLAQIIIQKLFDIWFPILVEDF VDLCAISNVSILILDDVLHGYYIHGENPIGYAEGSSEHLANCLHYEALGKGKKRGFIQES SLQHSDDVDLQTFELFLPMRFREAYEKVYNQELKQKTDNAQYQNYNEIRVLRQGVPDGLD MALVQAIKDTFSFYLKDVLTQVRTNFAKCVRDKLPVQRFFDYPPADLEENYFKDQSMPVF FRDPDQSFKSMFFCGHEFFFLITDTMIFNFWMILTNNTYLSLMLTYLISAGIFNFRQWVG ERNLADQSKIDSRFLI >CAK62432 pep:novel supercontig:GCA_000165425.1:CT868019:395366:397122:-1 gene:GSPATT00005678001 transcript:CAK62432 MNNEFVKTCSITQNKEEYICMNDQCCNSNRKQLHCYECITKIHRQNNNNFVNHLDDFKKI NLIMQEVDKKKKERITFLNQIITTLNDFKKEKFQIIEGYQNNPKEFLEQVKSYVEKEIDQ LIQKFYEQIMEMNKFTEYQQQHHVFMLKLYSQPINQYTEDILQKKSQLQGKLNNYIKKMD LDLHQQIAKYLQKQQLLEQQMQQLIKQPSITNSFIFKSTVVLILLVIGLNPIIMYQDFNG RSELQQKMDEFQTLVKELQGQMEKKENYGCQELNSNAQQQMNRMQEQIDMINQQMNQAET PYNQFKNYFNTKLKQYNETVYNSLSERINLQGDRFKQITQQILAQNQMNDKILSDTNRTS SVLYYQQIRVMKLEEDLSMVNKNISANYEAIEWYKLQLINNLMKIHNRQIETQNRDEIAK KLVGKIHVDLNSKELENFVIIYDELLTKTFNRKIVREIQSIIKNDTILCIGGMKVENPEV LLVVGCDYQQEIFQFTYHWQHARKSSSGDIYWYMAYPVAFGFSPTEDIYLTLCDDLDPND KRRFSYWFENGEDGGRRIGNQTQLINSIEYKMMIYAF >CAK62433 pep:novel supercontig:GCA_000165425.1:CT868019:397479:399931:1 gene:GSPATT00005679001 transcript:CAK62433 MENKIKKANTFYVVSHRPSNEGLVSPSGESIKFSLSIKTEQTKHHFLNQKSCFYPHIHQV QEIGIRIINETIEQQEKIDEDFQKSISNKHVSFDCQINGLQKIEGKPQKEDTRITHLSTK EDRFKKLWDIFMLIVLIYCSFYIPFVAAFEDEQTVYNVSKWIFSIIFCNTSSIFLAADII ILMRMCYYDENNNLVQDSISIVKHYLFGWFIIDIISVIPFHPINSKFFFSELKQDVTFYI FNSLEFMFDFLDVKQAALFQILFFSLIGFHYSTCLWALSRQWSDQGIPQYIDAIYWAMQT LSTTGYGDVAPTSMIEYISTIVCTLCGGIFMSLVIGNLSSILADIDTESQFNTTLNNLKI LFNQVTILPDYKSEITNFIVTNHKHNLSWSVNQEEWFKILPQDLQNKILLAVAKKELLGI SLFRISIPFSLKAIRHIGLMKAKAGQLLWLKGDPVDEIYFLVEGMVQYRNQFGKELLEIQ AGSIFGEQEYFNRRQIIKRQQQRGQYAIAKQDCYYLIIRNSHFFKYLKEFPALQKYLQML AEHRLDDILIRFKQYEIEAEKERASAQKLELIKSANRIEVRDYKGIMKHKQSVIYDTRQS NTESILERLLKNRITKLNMLKDRFRKVVQMIIFANRTLEKQYLSSKATSVQNSQSNLFAR KVKANQVVPMGILLKMRQKFIEIKQHKKISLERRNEFKRVFQGLFQNKIDLTNSLPKSDS QEFQEKKKNVISIRNPSQKLDEFFTQMKTLERNLNLAQWAIHESQKDLEKELQSINRLFD KQDFTKLI >CAK62434 pep:novel supercontig:GCA_000165425.1:CT868019:399962:400720:-1 gene:GSPATT00005680001 transcript:CAK62434 MKPKRSTSAHITLNAQLIKQTSPPSPVKNKLQEINDKINQMFIKAKNPNEQVKNIETHLQ SQFQSSKILSTISKEKTKLLSQITSKDGKIKEQEYKINELNKENEYLKLQLEKYQQISIE MEQLEQYCSKIVQENQQLKQQVNQLQTSFSFLQSSNLITLGQEIDQQIQSLSKSLSKCFK LLEYSCQKEFDDLQALIKINSSVNSQHKQEGNLYTILKESLEQLKRLIFETDKQFDLNYK KVSSNVFYSYNY >CAK62435 pep:novel supercontig:GCA_000165425.1:CT868019:400839:403767:-1 gene:GSPATT00005681001 transcript:CAK62435 MTEAKSKRDQIWEEKRRQREERLLRMEGQMQENNANQFQLPPPRFDDQVPISFPSQSQEY EPYIPPEPYVPIEMNRNPDPPRMYQGSIPQQSEFREQRENRSNSQFQQQSAVQQSPLKNP IKQQQDEYREYLKKQMEEKEAEKRRRRDGTNNNQQQIQQVQYQQQSVNPAPLPAQPVNRQ KQEYGEFLRQQMMEKEEQKRRRIQSGNNQNNQQQQQQQMVQYQVQQQPQQYQQQMYQPQQ FEINQGYSQGNQQSNLPYQQQQPQQIDQNKQQKQEYGDYLRMQMLLKEQEKNDRKKNLQQ RMETGDAFPFGKGGSGAPYQKGANNQVPQNYNQQQIYQQPNYQQQQPQQYIQQQQNFQQP IQQIPYQQSIATPQQYQYQEQGLPSGFDQQPILMEPQADPQLKQGRNRLMLENQNIDDIK KKELQKLEMQRVLQEQIEEKKRKKEQERMQKEMEDKQEEERFRRQKEQEEMEKKREDDMK KQQQGKFEQDNIDLKEKRRLERIQERKNRKNQQQEEDQPQQNFQAYEPQQQYIPPPSRNP PPSQPKSENYLKEFLMNEKMKEGVSPHQSILDFYRNGPQQMNKAEIQQNREIDDIKRQML QQQENLQQQIQQVMNQAQMAIEGRNKAEQELISLKEQVKNKYLQEERHHDDLMIALVKND PKWEKQVFPPHLLAQAEVGAYKDLSMPALNENFNFPNLNQNKAPPFAPAYESKPLVLKEE SKEQKQHFREQFGLQSLVSDSNMVPIDHNASLVPQMQPMNKKVSEVQLDKIDELNAHFED SLKLGIGETYQIRNDNFKKRPPSNNGQHPSWNVPSIDSEFVKEIDDLLKYKPAQQSLNRP PSGFTKNGFDQRQLQQSITNRPPSQTNQRPPSAFGRAAHGILGSAELNYKGITLDSEFPD MTMIKKGTNTETFDIAGIHKRMDQKLMHLNEIEEDEDLQKLDKLLYQYNQ >CAK62436 pep:novel supercontig:GCA_000165425.1:CT868019:404291:405549:-1 gene:GSPATT00005682001 transcript:CAK62436 MQSYSRIKKLGTTLKKIMTLQQQNNIESDYLLWFKKEEKYIITEQGIKIETFQFLRFSLN QSDDKNIEEQKCFNDKYGQFKEIKAFKGQTEPIILQIKHNNFIIVHIREKYQYIYHISLD KEKNPKLVFQGKHNVKAKILVDKYLELFYVVFQGEDQFLISIYHINDLLQKVEKLKQSQY PLNNNNFINQIDYITLYNREILLLFKQRTAVIFYQNLSTYQVVNLQKQKKLFYYTQFPYT DTVLLMIETENNELILFQNKQNAIQNLNINFQISLNKIKMLSLESIQKMFLLDLIQDLPN LQEFCFWDSNDYEVVKLLIIGSQQTEEATSAIIFCLKYKPVFVIGIIKYLEYYCFLIDQD FKQLINSVFELIPGYLIDNLTYEIAQYPNYINYLKQYHIIYNMGNALQYD >CAK62437 pep:novel supercontig:GCA_000165425.1:CT868019:405954:406154:1 gene:GSPATT00005683001 transcript:CAK62437 MGKDKKKEKKKDKKKDKSHRKDKRRKHSSSSSYSDSSSSSEREKNWGGRGRQSRSSSLER EKEKEK >CAK62438 pep:novel supercontig:GCA_000165425.1:CT868019:406904:407205:1 gene:GSPATT00005684001 transcript:CAK62438 MVEEQNKIGNQDVQPEEEGDDEEDYEEKMKKVNQELLKKFGFTSFGSSKGKDHTASAVEG VFKAANQKRKYRQYMHRRGGFNRLLDKMD >CAK62439 pep:novel supercontig:GCA_000165425.1:CT868019:407281:408808:1 gene:GSPATT00005685001 transcript:CAK62439 MAKAATEYSDYDISDDSLLKGRINRSKIDKPLICFCVLNFFLNAAVSVIAPFYPPLAKEK AHVSVSTIGYIFGLNPIGAFFFSLVMGKKMSHWGRRRCMLLGLVIQSAVVVAFGLLDFMT FNKELFVTVSCVTRFLQGASRAAYSSISFAYIPILWPDSIQKKISILETLTGLGLMSGPF IGAALDALFGYQMIFYVLGGIFFVALIPTAIFLPEDDHSINKKPQLNSKRVIRNRQIWSL GLLLTMMSAGTTFINPIFSIHMKCYNMEEDVASLLLGWLTISYIICINIVPKLLQCIDKK VVLTFGLFCSCIGDLIIAPLGIFPNKWFMTMIGLPLIGVANAFCVLPAIPQFIDYLNQLF NSDPSLRLPISDLSSGLFISFYSLGTFVGPVIGGIVYDAFLVNQSNEAQLDSFQKSSYTM AGLQAIVSILFIFGGDVYAQKYKRIRQGENMGISLEEEDEYEGSNKIGVQMFSSTQPNVS HDESS >CAK62440 pep:novel supercontig:GCA_000165425.1:CT868019:408822:409309:-1 gene:GSPATT00005686001 transcript:CAK62440 MSEYFMQFQKLQKRIQLREKASDEAWQVLQGEERQDLLNGINFYNYRFFALRTFPIAIVG ILLMTPVLQTKSRFFAKELSLIFGFSGGIIYGDYQNSEYFWNNYGKIIMENTNYNDSGLS QDQMEKMRKLYEKSKNYKPENKTDKMYE >CAK62441 pep:novel supercontig:GCA_000165425.1:CT868019:409370:410558:-1 gene:GSPATT00005687001 transcript:CAK62441 MNQKSQIQLDFDSEDTEGSDISFESSFDEQLEQEFEQTLQQKGIVQFKDQMERMMQLNQE IGTIKLEKANFEDEEELKKLFPPKQQENLISRKSISNIQIMSPRQKSQSENKNNSQWYQV SKNILNSSKKVASQPTLEEFQQRLNLFRINNTKSDIVDLIIEEQDKLLKDIQQQEKKNKA IENAVHESIEAKVRKETGDVGYVSFRKKIQFQFRIRKAEELAQEKVLKQKEKKETDMHRS LQIFDQLFLNRNYDEEGEVKSLAAEELYKKVRRCQDDIDVKNTIIQFIILHRKKQIDEYK NKPTILKPTKFISEDSREFSLLQPNMQFRQQMMQQQLNKEVLDKRDDLEGENIYLLTKIN QILEGNLMKLWKHKLGSKM >CAK62442 pep:novel supercontig:GCA_000165425.1:CT868019:410679:411798:1 gene:GSPATT00005688001 transcript:CAK62442 MHYQQLQQNSPNIKCQYAQHEEMPCIYICIDSNCKKKKIACFRCFQEEEYHQNHQGITIE EFNQKVHYRLENINKLLSTLKTFQEKNAEFNKLIAGQVDYAKKLIMEGEKYQQIRELQKE EKIHFYFRLDQDHYAPYLQQQIDKIIQIIQKISKLSKINFQFEYNEEDINKIKNNVINKF REKKEKEYLIEELKKKSIIDYQQMLNVKVSPEAYYYDAIIELNKGLKQCPTNCNLISLKC TCLTNLKQVEKAIQFNEYAFQINQNDETVLLFRAKLKMEAEEYDECIKLYDLATEPNKYL AYYKCISLLFILVDSLKKQQRVVEFEKFQNECLKLFGNEFMKNYKTHEVGSGQIKSQQLP SQLQW >CAK62443 pep:novel supercontig:GCA_000165425.1:CT868019:411884:412167:1 gene:GSPATT00005689001 transcript:CAK62443 MSLNQQRQQQQQQKLEIQRRLDQYVNAVKDLQNEFKVYYGMLERNDEPNQERFKQTAFKF LKFEKEMNNQIEEIVQKIIYQKARK >CAK62444 pep:novel supercontig:GCA_000165425.1:CT868019:412473:414019:-1 gene:GSPATT00005690001 transcript:CAK62444 MRQQQQLPRLSEDEQFPGRLHTQSRKLKFGTTDNFNKRSKGQSPMAESQFIKQIDTKLEL YNKDIEQRNDMIFTIEKGFESVRQELIKEKLLNEEKTKQLQDLGSQYQAAQSKLKSIHEF NKNTGQQGTDKDRDKIHQLETVQKVILTKEHQLNQTIDSLNTQLNDITHKIKTKEEMPDD SKKLKDSIRKIEEKKYKSQAIMDELKKNLESIQAEKLLIKSQMDQYKKEYLAKEECLSSE FHNIQNKIKELQKEKNQLQEQVKKQPVNSQDQNNKLQEAINQFKQQLTEQNKLKDKEINQ WKANHDISIKNIDQLTQQIEDYKLKIESSSKNLNEKTNSVDQMNKSIAEQEKTLKNQQAE IKLLNQKIDECNKKLGDSKPKNMSQVIETITILEKTHKEIQKGLACTFCNKFIKQPVTII PCGHSYCFECKKGYQKECSKCGPKLKIEAMYRNELLDDIIEMVKLVEQSILNMKQITQNQ >CAK62445 pep:novel supercontig:GCA_000165425.1:CT868019:414482:415349:-1 gene:GSPATT00005691001 transcript:CAK62445 MNLKITINQQASISDSLIIANLNLIQFFRDNTFNKIECDQLLTLKDAVQISQILKDQGVL IYEGEINESVITYFQASGLYNQGQGKFIKQVLQIKKLNIPQQEFNNCYGKYDYIEQKFQN QINFFKQVDINGKQEIIDENELLDDGVQVKQVESCASKPRACANCTCGRKEMEEKQDKEQ LLEQLKNNSIKGCGSCYLGDAFRCANCPYRGLPAFKDGEQVKVLQDDVFLQEQEEKDQVK LENGKVKLKI >CAK62446 pep:novel supercontig:GCA_000165425.1:CT868019:415369:417287:1 gene:GSPATT00005692001 transcript:CAK62446 MPKVVDSYVLERSIGKGQFGEVFKGYNKQTNVDIAVKCVKRELLKGKFTELLENEIKVLR TCNNDNIIKLYDIKKTANNIYLIMEYCNEGDLSQYIKQKKFLLEEEAVDYLLQILNGFKT LVKNKIMHRDFKLANILKHDGNIKIADFGFSKLLNDNQGLATTMLGSPLNMAPEVLNNQE YDSKADIWSIGTCFYELLFGKSPFTATNMVELLKNIQTKQFVINRKVNNITPTAEDLLRK MLVVNPKNRISWDDLFKHEINFYQEEKLKKDLETTLKGGELMMNMSKFYIKNNMVIDHPA EIKKKEDLNNFAMQVAQKGPQNQQQQYVGPLLKKPQEENNLARQDSAKTVQSTQGPVQDI GNEEVTDKETQREKEIKAKKRNANRILHERNIYVFLASVAEEAMNNMAIQNYDVTGFLLV KKLLQLIDFLKTILQDKQNYYQLEFWEQFTQSKDYKDIHTYISKEFDVFKSYFDSIYEKI SIQIQQRPKMDEAIKQAINSNFKQNNQQILVKCLIDYSKLLIETLKKTKPQDQNRQQWIH ADRVLDCIKLEETFQFDDRLTNQQFNFKQYYENDNLLEMEALQKKVLQKFEKLK >CAK62447 pep:novel supercontig:GCA_000165425.1:CT868019:418132:419534:1 gene:GSPATT00005693001 transcript:CAK62447 MQRTDVLANRTGILIAFLAFCVLIAIFGRQSPEDKTISERFEPEVTESEIQYYLENDINF NSSDQFGIQTIQDWLPIQSELAQLNLALEYFSRLNLDKILDKHLGLEEKVNKCLDLQLNQ ELEKYVNDINSTSINNTINQDALLNYNVLFEKKIQLNEAIFQKKTQLDRLTAEEKQLKQE CTQYELSKLETITLESKIKQFDKCAQDVNNLESQIIELNLLIQQQLQEQGDKEDLTKEYQ RDQELAKNMSRLFKPTETKKSVEIHDAKLEGILNVLDYNMKQYNTTEVFLNGLNFHPEIQ KNYIEEIQQKYIRFQSQREQKQKEKNNLQKEIDYLQQQRKEKNETYLTAVKRLEEIRAKK NELNAQTQDEKRELDQVLYELKNYNPQSNLQSNEQLDAQKKFKEYLYQHLQEDQLCVEKC IEYDSLNQQINIGRYRISLKSIQKHFSSLELKFKEFKI >CAK62448 pep:novel supercontig:GCA_000165425.1:CT868019:419587:421320:-1 gene:GSPATT00005694001 transcript:CAK62448 MYNKLSQVTTKTNWDDIRKEQMRQILEQGSNMTDDDVVYIKHCIKNFSGPPAFMWLESNL KGDQINEVHNLQQQQIIKHIKIATSNDGSNPIYFVQEGELTSKTRVYKKGEVVSNEEVEF GQGAIAFQVDRQLYNSFKNSAEMQELEIKSQNLQRCIPIRSLDIQKKQALLPLFKETKKK FGSIMTVPEGGTASLYFQTKGTTLIFTSTKSHNFIIVGCIQNVGVFNEQKLLFDQGSEYG SISICESTLYQLQAEDVSKMPDDCIQSLRAGFLAKKMIYKTIVEKVQQMKEDDEFVEFQD KICKKYSIEYGNILNVIQKNTKNGKIESTDKQEQIEKNKQMFEKTIGGNRMNNVLSQMSD KERTLCLGTPRIVNKQANFLGLTQEQETSLFALRQLATDLRKGNTNTNSIIPMSKESVTK AKQALLQEEKINIGEVLQRKTISTKLQEDPSMLGKMKKDNSELLSQYPQEEEKVLKIHSE DTNKEVKPTDSDGNTDQGEFKGRPLGRQSSIKGQQTAQQIQIDKKNKLLQSLV >CAK62449 pep:novel supercontig:GCA_000165425.1:CT868019:421846:422223:1 gene:GSPATT00005695001 transcript:CAK62449 MSTKVKISKRGQQYELSQKENYNPFSNLSLCPKSIIALAQSSYDPQLIEFTLNQLKTPCS SKHSTHLDRMRQIADKQETFVHGSISTNSQIETVIQYSDHSFYDQMETSHRKNSLHYFDE SYQHF >CAK62450 pep:novel supercontig:GCA_000165425.1:CT868019:422563:423229:-1 gene:GSPATT00005696001 transcript:CAK62450 MHQLVKTGKSKSFKDYSILKKASSTSKIIEQGQSAFLKQQSFEVRTSRKKSSILLKDIID QSILIENIKSIQNPNLHLGMNLLQLKIWERIQKCNQQQIDEYSNVAIIVLKPDYEYEFNF SISKFSCFNTIKQLSEYLAKQFHDLTKNKLDEPYLSMLIGKIKTQRLDGDMRLFELLNII MNGRKLLVLQSQIQ >CAK62451 pep:novel supercontig:GCA_000165425.1:CT868019:423314:424680:-1 gene:GSPATT00005697001 transcript:CAK62451 MGDKSSSFITLLITAMILSGAANTIVYKLQNTSKVTVDGWAASNFNHPFMQAVTMFLGES LCILLFLNIKKKPDYKQGCIEAAAKGLKTKVNYGWVAIPAMCDLTASTLAYISLNYIPPS IYQMLRGGAIISTAIMSTCFLKRHIKKYQWFGCFFVLVGITLVGMSSFLFPPKKDNNDDS DSKSEIGAAYFISVGLLLLSVVMNGLQFVSEEKLFDVYYLHPFEIVGIEGLWGFSVYIVL AIALTFIQCPGSMENSCIQKRMTDMFYFERADLYFLQIFANGLLLFWVILGIFTIATFNI CGVSVTKYVSSLARSLVDVSRTLIIWAVSLAITWIDPEAKWENTRWEAIVLELLGFFVLV TGNLIYNGTIKLKFLDEGSQVDPLNDATQQFLDSKNGQSLQPQ >CAK62452 pep:novel supercontig:GCA_000165425.1:CT868019:424908:425449:-1 gene:GSPATT00005698001 transcript:CAK62452 MDKGLSNMSIETISQPEIVEGKPQKILVDKATQTDEVKFVKVQKINFGSLIFLLLGVVIS FVIYVDIQGKLNIQQQQLEQKRKGCILDYEKQKCFLQLPAQKIFCRELELCINVDWELQR GIELSGIIADMLNNFIDRINLRTYFLLIIPFIAISLGIIKIIFK >CAK62453 pep:novel supercontig:GCA_000165425.1:CT868019:425720:426185:-1 gene:GSPATT00005699001 transcript:CAK62453 MDNLYTQEIRQRYNSSMTYQEYLDAYDKPPPKPHIKPKESPLMLDPLSLEPPKGPKIQQQ KLTVYSPEDVIFEESETDEKPRAANHIPCQKALIEHDIRIRSQSENLGFSVFRFLSSKKN KVP >CAK62454 pep:novel supercontig:GCA_000165425.1:CT868019:426223:427629:-1 gene:GSPATT00005700001 transcript:CAK62454 MKQWVQNMVKTYKQMNKPDDKFNIKKFSSLQYPQCPFGGDHSGEAMSLICLEKDCLQSQI LCCCICQEEFHKGHQLKPLKLLLCEYDQQLREYQNISLKQSEKDILIKKINEQEEKQLIY IQEFKEQINNKLSKIVTLEKEFFENLRKFVKMRDFSTGNQYAVIETIIQNQTNVEMLSSS VKNLLDALVVQEIPEKDLNYEEINRIFDFHMKDQKDHLSQLEKQFNQGIQQQIDLLQSKT QATIFQKSYLFQFLPNNKHPQVDIIQPKIVKASNSNHGYKFAVMTPSLDKNQTTVFGFKL NFVHQSNWIAVGVCDLSIVQSKQFGFAFQSLGHGAYMVSSNGGVWSSTTSNLNNVVKCFK FGKGDVIVCTFDPKNETITFHKQKSSTTFKLDIPKSDHEFYPCVLFYYALDEVEFIPPEG INKQ >CAK62455 pep:novel supercontig:GCA_000165425.1:CT868019:427656:430090:1 gene:GSPATT00005701001 transcript:CAK62455 MYEMQSFKNNMIWKKNGLIITIRIFTFIRKMLANLHSYQFRKLTHQNFKALNDQSAYYRF YANQNYVNSNLTYMDKIRYWFNANNFLNKIKTGYKYTLFSHTINPNNSFKLIFDFILSIM LIINVTYLPMNMSFNIEWPEAEVILLMLPDYLFLIHIILQFNTAYYDSGVLKSQRKEIAK HYLKNSCILDCLSMVPLIFNFDQSYFMNFFILLRLNALVDILLFFEENYNMRKNYGTYID VIKLMSIFLYSSHIFACLFFLIAKTEISDGVQNTWIQINHIQDVSWQQQYVTALYWGSVT TLTIGYGDILPTTNIERAYVILVALLSSIVFGFTISNIGQIFNNITELKKTQRHRMSMIT SFIQKRGLNKELEIRVRKFFEYYFQIEENRDQECEVLMQQLTEDLRKEVKIDFYKKYLMK QPLIFKTFSEEFINKICLIMHERLLIPEERFLFKGDQVNELSFIIEGYADIIIEFNKNKQ NKLSQIRRLDSSQSIAMNYFFTQSPIPYTIKSKTFTRIVQINLQELQQLLVSYPKDWEKF KKLQQSIRLNECPLIECDICKQTHQLEKCNVLFYTPNSKQIIKKIYQVKQDRSNFIRENR IQYQTFNDKLSVQEGVLSFAVDENYFQKESINEEFVQKYDFVRLRNAELNMENRSVKIAQ SKEEPKIIKRRNGVRASIRQVIAESNESSDSDSQNLIQMFKILSYRSSEQIEFKNYPDQI LYNEYLNIIKPDVVSYLDKQKEFEHFNPQDNITNVLQRNIEVNKNYLKLKTKKSRRLIKK STWLDSVKPKKVQF >CAK62456 pep:novel supercontig:GCA_000165425.1:CT868019:430183:430565:1 gene:GSPATT00005702001 transcript:CAK62456 MHFQLSQNITLDLRSPVEDGFDDDISSTEQLSEGSVESLEDLLPKIHQKQSPLCIIEEKI IQYLKQLNLAFKTDPRKKEFEYYEKQKKTIPQFLGSVEGSPGIKIIKQKTKQIMQYIKN >CAK62457 pep:novel supercontig:GCA_000165425.1:CT868019:431201:432690:1 gene:GSPATT00005703001 transcript:CAK62457 MGNKQTENQYNKEHNEKMLDCLIIENRNQIEVLIRKAGRVSKEYSFLTPPIGKGKYSEIR KIINKKTGIMRAVKIIQKNVSNKIEGKIINEINTLELLDHPNIVRVNEYFSDDRFHYIIT EYYSGGELIDHIDKQRVFTETQAAKIIYVLLYTINYCHKKQICHREIKLENILFDRNSED SLPILIDFGSCSKMDHKMTSQPNHPYFQAPELILGNYHSGVDIWAIGVILYLLLCGYPPF RGKTDELIIKSVIRHEVEFDDPEWDNTSEEAKNLIVKLLQKDPNRRMTAEMALNDIWILK NYELKVFDGLIKRVVTNLATFQASSRLQEATLKLMVQFLATREELSELRVVFMHIDTKLD GVLDQDELQAVMLKYYDQSFVHQQINKICQHPLTYSTFLTRSVDRQTMLQKSKIETAFKL IDRNGSGNISVEELQDTFKVCNAETENPWSEIMTEVDSNQDGSLSLLEFNQMMRQLLIQ >CAK62458 pep:novel supercontig:GCA_000165425.1:CT868019:432706:433620:-1 gene:GSPATT00005704001 transcript:CAK62458 MNQPLISKQNFDGLLQKASQLIGQAKELNDDIQSSDQTQFEKMKEIIENARVAQLNLGEQ LEEVMQQLDKIAKISEQKKKIKQLRDLYGKEINRYKQIQKNLIEEGYEIRRQTLHRRSTQ TQQYKPNVMQSTVTLKQSQIEQKQFDDLVEISQLEIDNAVIKEKQEEIDTIEKDALLLNR IVNDMSTEVNKQGNQLNEVEMNMTTVQDNLKVTVKELDGAKFEQKKRLKKYLFLGLIVLV LLLIVGGLIAWWRIEVNRNREKNKDDNNDDEKNVVNTTMSLLIKLINV >CAK62459 pep:novel supercontig:GCA_000165425.1:CT868019:433691:434137:-1 gene:GSPATT00005705001 transcript:CAK62459 MGLACSNKRKINLVLQEQGKQEDSKLPFPTSFKQEILHQRDSDIENLLKLIELIDTQDAY SKFLLQNLNELIIRRSNILFLSHKQISAINDHLSYCVMIIRGLQGNEEFEHYFPILSYSL YDMCSRLEVYLRKQSNESTRYCSLQRIT >CAK62460 pep:novel supercontig:GCA_000165425.1:CT868019:435115:436521:-1 gene:GSPATT00005706001 transcript:CAK62460 MAMAEKFPKEQELYRVISQCAYRNHSQVATDAANILYNYPKLFNPLITQTSENGVIKQLL ELKGELPIKYKGSTYSIITSIQFPFIYSDAPAVIRIYNPDISKFSVNQYFIQGASQDQSV VNIHNTELQSWYQHRSIARVMVALVRELEGHFPFFNRAQQNSMQLYMQSIRAVQQPPNAQ APYQYQNQPQQYYQNQTQGYNYQYQNNPPNYYNNQTQPYNPYATQQQQPYAPQQQQPQAP GYYQQSNIQTQVEYKLKEKCNQILSDDLNQIQNDFKILHQHHDRLIDEVTKQETKKMYLQ NLENQIEQSIQQLENENKKLSEFVDKNDVLELNEETLFQNIRENDQFSTSILELYSDIQA CRETLHFIVTKFKNFNLPFETVIKMTRKYSEEQFNNILLLKKYTSPTKKL >CAK62461 pep:novel supercontig:GCA_000165425.1:CT868019:436651:437268:1 gene:GSPATT00005707001 transcript:CAK62461 MRIQEHQTINYSKNEIQFDQVIDERIQLVLNIQRISRVLNSKLNQDLCIKNNLKMEDQIS LVRYFADVSKLVTICYKLSLEKQELLKQVKCHSESQSPSQIKSPFKVDSPKSILNKSESN VKQQLEIQISNQDQKKEKQNCLSQTRKGTNYFKPQMYSNKVVKVSKDDNIFNCQQCDKKY HHMKSLKRHIKWNHQIVKLTNQIEE >CAK62462 pep:novel supercontig:GCA_000165425.1:CT868019:437318:438824:-1 gene:GSPATT00005708001 transcript:CAK62462 MAQQLPKIQERLNQTIENPFYVPDEYEIFKMKEKEKQIKMEERIKFQNLRVHEKGIKSIG KLSIREINDIGKEEDKNDKEYNAKINIIDAADNAVKNRVRQKEPMYQFIDKKREMLLFQM LIDHKRGMIDEFEKLTKLHRLGLEKSESLIEEDVELFNKFLEQNKMSSREAIKEAEKETK SKQEKNNEIKSLQEHRTDLMTKIQQKIDNLEDLLKYKKFLDKITPKEFQMKQKQPKQQQQ QQQVLLSRNNQNNQINNELQQLLNDSDDEQVTYFTQPKQLEEIFQQLEEKNLFLIGNTKE REQMVEDLRNKYQIKIKTLEDKLKTALQTKNDFLKQIDQVNDQIKVLKAIRSDSEVFEPL KNLENQIAKIYRSDVNVEPRKDITGIEMLKETEKLLEQRINDLKMFRQIAPELVLEKEKN CIKARKDIVKQMKQEQDLLDQQKKQKEQQKEQIVHKRTGRPIMVRSWPAQVQVEEQVVDD ITEEEKERLKYFEQ >CAK62463 pep:novel supercontig:GCA_000165425.1:CT868019:438882:440313:1 gene:GSPATT00005709001 transcript:CAK62463 MGNFSNITGCQDQEKCLNYLQMANFELESAIQLFFEIEIQSQQFVAPTQKVSQQESQPPQ RQRRQIQENFELEQSQTTQELVQKYKNYKESKIDEDPGLVTGVWKIAKALFTKNQNYGED FQKHIQSKKVKIEIKFDLGSFQDNIKIAQDRMLPLFVYVHDVSCLKILQAMFQCKSLVSI VNRYFLSYAFIANKDTLAQLPTQNIEIPSILIYRINFIDEVCLVKQIKLFPQTNFEELAV EIKTIRSNISKISAQEKLAKRLVDSPEQIHQNQIDRFRQKQLDMEVRRQQEIEQREREEL LQKQDLEYMMALQQADEKKKKINDEKLRQEQLIHEQQEEEEQRQFLKAKLLSDLPQEPKE NCITIQLRFFDKVITRNFNFTDKIQFIFDFVMCQDDQLFLNPKADVDLIQNFPKLSLFDK KDMLIQEIFNDSTGEQLIILEKE >CAK62464 pep:novel supercontig:GCA_000165425.1:CT868019:440472:441729:1 gene:GSPATT00005710001 transcript:CAK62464 MSAKDLLIQLFGLPKGEIIFQDYSCALKGLISKYGRIFIAENHICFYANLAGSKTNLVIK LDDIAKLDSKNKNDIDISLKDGKAFCFNGFHDKEQVYNLMNALISGQPLSNQQTFQSTTD SAREDESQIENAEVEIQFLQSGASMDQEMCKFTFSFSQDKFFEFFLADDALVYSIADHRQ SEKDTDIQLTKWTPVEDNPQMFQREMKNVIKLTGVPFKDKSRMHKLFTYKKEADKLIYTC TTHTLDVPYGNCFQAEEKWEVSQLEDNKCLLKIFASVVFTKSTMMKGTIMSKTMSGLKDD YEKWINNVKIKLEAMAKSQKSQTSNINHEYEDSKKLDDNILSKIMQTSQTQQSKQTTSQT QFYQGRKSELLYLLSIFLLIIIMLIQIGILNKQSQKLENLEQLVLQLQKR >CAK62465 pep:novel supercontig:GCA_000165425.1:CT868019:441761:442890:-1 gene:GSPATT00005711001 transcript:CAK62465 MKAVILLVLALGAFAFTEQMMSLEELANFNVKTMDCQRSDQFSFIEKQMKQWEDLLIHQK AISHDIKILEQMEKMLSTKHHSFLEAQVSVSGKKLLKKLHKLELPLTKSKIGLSQVKALR EQCHALDSENHEDRTAAKKELCKLLREYISSLNNCKQQCRSTPITVIKIKGQIKDLEIIR GGCTSNGSETGVKVTSQDDETHEITIHHHHKGKTTTETTSAAGASKSKSESTSDAGESHT AAESGSDASSSHSGSESSSAASGAESQAESHESSSSSDSSANEQTQTTEEGEEVTEETTE TGEETTEASQETTETGQETVETGEETTETGEETTEEFTEEVTEETTEVIEQPEVTD >CAK62466 pep:novel supercontig:GCA_000165425.1:CT868019:443817:448004:1 gene:GSPATT00005712001 transcript:CAK62466 MIYITLLSCILQSIAKDCSLFPSDYTKIVGKENEIIVQDIWEYFSQVKYDDILILTDQNN KLTLLPPIDLKTNSESVPNVDKVLSYSVLTTQSQWMNKFQLLAIRNQDEQIISWTEKIVA GTQQRYPTFDKTILLGKTDQVICQSSTILDITHLLIDCYQVNEQEPQNYFYMISENDAQQ QLKIENAYPYEKNQQRYIVSTQNYIYRVTLKTNNNPAIIELFTYDLEKKDIKLQKTINQE LIQQNVNITEYSFDMVDAKISPSDQIAILDKTGNVFLLKYYSSNDTIELNQQGYLLGESI SFDYQFNNNEFAIVQKQSIIQNHRSYDSLEDISNSKLHFSNNFIFLTSPTQIIAFDKYLY VNQIALGDFSNVLTDQNQNDFLVFTNKNIKHYISNPHYRIQYHNNEQGITGNAKLILNGC QVTIDYQTVPAKSVDLVLVSKGNIQDSPFFSQKIFQNNYNIRPRQLVSGPKQHISFQQNT KNDQKSGGNMTYIGVQGDTFDKYTNKNLSLTDLIFLQTVSMAQSFDQPFAIITQNKQKEL AIYSCLTFTAEICQLSYQAKLDFEITKENTLIQYYYYQFTFITLLNEKTLFFYKYQSNSY FNRTITLKDEDQIKSIDSIYLSYWYLLLFSKAAKTISVYNFQYVDFLYVINSEKLSNLGF ADWDPQRLFSNDFDQQLFVVNGQAQNQLLILNLTKTDFSFGHQGYQFLQSIWHPLIAELK GKFTFQIYNRQDPTNIYLEKTPSFYDYQIESFDSFFWVAYNNLLHVKAKSEFKSVILSYI VDLTDHNSLYCVQDWDPANQFVTATQNTILQFSKDNVWVYYIQRYPYVSYSVSFDDSNFI SDQEYTIVYSNEQLLLVNRNFRLVNIYIDLKISQDKLNITTNLTSNQEHNYIQDMGNDWF SGEVTQFELYLPQFKDQKAEIINPIEVVKPYYLNNSMNAIDFSTNYIFVLKNDSYVLISK KTNEVVVTEKITADYLCEDVIASFDSQVLIQCVKDKLQYIGGIQCENTKCKIGSSWLQIN GDIISGYIDTENIFIIQRQEILAYSKDILDLSKAQNYGKVSVTDLDNYQYGLTIQKIKKN HYHVYCTDHNYAFITLEYSIKQNQIQRTNKITFNLYDFINENFYQLSGTIYSCIKTINVV TTDTSYKADFILFATVGPHYGVHVEFACTADQCNLQSKKIVFVLQGYGSFELLEYIFAKV RIVNNFIQISYTDSGRVKLVQTIYQLPKVQATHTAVIFFAALRPAYYYSRNYIQEELYSY ENQLYYITNSEHIDQLALYKINSSPQLLLDGKFDKSSGYIRVKNDFSFDQIPITIQGPGG NDDDDHDNTTTSGHTGVWVALGIFGGCLILGTGYYCYKKKKTKVDTLI >CAK62467 pep:novel supercontig:GCA_000165425.1:CT868019:448132:448524:-1 gene:GSPATT00005713001 transcript:CAK62467 MNLSCHCHECGGNIRKKLLVKNENDIDQKGLDNQLFGQRIKTEPVLGHQQLGIKRKTFYY PRVSNITRLGVSNPLNFQDIFDKKLSLKFAAPLTSRYKSPENKKQRTLSQRIQNTYFKNI DQKLKNSTKK >CAK62468 pep:novel supercontig:GCA_000165425.1:CT868019:448707:449912:-1 gene:GSPATT00005714001 transcript:CAK62468 MKKKRQIPQDDLQNNKKQPNAYEDLENTQSNVSELIKKMKFRELEEFEIQQFIASHDEQK FLMITGQPGCGKTMLLTKCLKQWQNNYTTIYINAMQCKNYTEFLNICKKQLNVKSSTAKQ QTRKVIMDRLKELNTIITIDEFDNLFKVSEKEAFDLFSLSKHAIIIGISNDIEFLQTQSV RYKFQLPQFKNLILKPYTIQQLQELKYSKYVYLYRKYDEKAIKILTTRAYNDKGGDMRNI IDIVKRTLRDKEEFTTDAVNQEIGMSVFDNKMKGVVTTLTLHQQLILLGIIALLKKDSIQ LEIDLPDLIRKVNEIKYKLSLPLSVDVEEEINLLKDYNLLTTKEAKQQKMGFKITVKKIL CKFTAEELKYQLSDLDAFKNILQQL >CAK62469 pep:novel supercontig:GCA_000165425.1:CT868019:449971:450874:-1 gene:GSPATT00005715001 transcript:CAK62469 MRIFKQAFESIQGKKGEEENKSGSKGAFYVDEQFMTPEFLYKQNNKEVQLGQQKKINLTK QFFLTMSTMESKFNLSPIKQINMVDQLVGMYQECVQQYDTLMDPIKYYFLDKIKNIVQQA DKFTQREPRSLQSSERKIQQPTQIMSSEVSYFKDFEDFNDRIDKPTEYATPKNEDQYKEI DNKKLVIQLQNLIEEGKKNKENPDIIMKNHESPQSQFNDTKQAQKIDESSTKKRSPKIEF RNENNETPIPKLLLKEEHQVKQNVVESQLQNQSKSIQDRLMARQKSQKKKET >CAK62470 pep:novel supercontig:GCA_000165425.1:CT868019:450900:451856:-1 gene:GSPATT00005716001 transcript:CAK62470 MFVRSARYAFSTFPSPLVSVQYLKQNLNKVKVLDCSWYLPQMNRNAELEYKKSHIPGAIR FDIDANSLQETSLPHMLPKTEDFERSVSDMGISNKDQIVVYDGMNIFSAARAYWQFRYFG HKDIAVLDGGFPAWVRENCAVSDAPPLIKEAKYKATPQVHMLRELDFILKNIENQNKGKR GDQVLDARPAPRFNGEVPEPRPGLSSGHMPYSTSLPFSQLIDQKTGLMKTPEEIKEILNS LNVDVNRNIVCSCGSGVTASVIYVALERIGLKNISLYDGSWSEYAATKDVEIRKK >CAK62471 pep:novel supercontig:GCA_000165425.1:CT868019:451944:454250:1 gene:GSPATT00005717001 transcript:CAK62471 MQLSENLIARVFVIILCLLSMTGCSLILWTFFRTPRLNKNPGSIIQRMTIAQIIITFLML FAQFYISFDDHPTGGAFVISQQFCSFLGFIEIFFSSQYSLYNIYFPINLYCSLKTQDYNF NKYFKFMEISSLIFSFLFTLTMFFVQDVKINVVGVCGLMMVNFSTSFSAILVIITLSILI LLVFIINEKSSFKTTLYNKDSEKFHQKYHKEFIIVNTLYTSVFLGTYMIPSCLIFWGQLI FNDDTHFYSFPIIYPLGGILLFFIRINDPIVKKYIYTMIMGKKKKNQNCKLKDKLLQKED YNGIEQMSMDSGLEISVCNNKKLRYTVRAVPNDKQVQPDITVSSVFGSQIKLIKNNQELF IVLLSIKNAINHCIEEKQALLKSLKPFNFTHITKYSLYLQDDFKDLDQKYKNYNNLCLKD YVNNICYKRVINCYSYASKTLIHLFSQVLNIDLNQLKSSLRIEQNTKKIVNSKLPQSYGP IFLTYDNYFSIEIISKQHKLLLTKGGGLMNICKRYQTEYSRQKNGNTLLPAILGLYTIQI DEDRYINIVLKLNQIKINYPLQVNHFNIQVEQDQLIQQDVFGWIQLSLEKGQFKLFIAEK LFDDKFQIKIEDNDFKLSQNAAKDLVSILSKDIEEFCFCRNVTISLVYFKLPTNRLDSMC KHENSLSYHYQIYKNSQQITPLQMNEYFKDLGQFELDSKIGFVRIYFDNFWQEWEYINEN ERCLYFDKLVEQLSEVI >CAK62472 pep:novel supercontig:GCA_000165425.1:CT868019:454294:456598:-1 gene:GSPATT00005718001 transcript:CAK62472 MQRNKITKGLRLRLSVKQEVGLNQASSMILKNLIRCLQRVQRWLFLEQVNKMIQTNLTLS TRKTNVINDSSVTQSRSTHTEILKNSPVVQDLTKKIPVNMTKDKDDLYAETVYLKDMINK LTSENYDLKAKMRFLNKNTERMQTVVQNVGGYLQQKYVADKQDLALMTKLGISENLLTIT LKKQIKELRTLIKQQNEEISNLKHDIKYTKIQELEKEINVFQEETLRLKTLLEQSQRNEQ IIQMTHDFNQFEHKFYLQMQIINSLKQENSFYQGQITIEQEEKFKLQNHMETNQKLLNKQ NQVIDDLQQTLKDKSTYIDGLQQELNSYKDINQNLISKANKLDNNQLRIIGLIKVEQELR KELQQKTRDIEYLDKTTTELKQKLNEKSSIEAKIKDQLQDELKKLKIAYEELDEKYKHLL LINAQSKLKETAPSIQQKQAQTAATVNLKTQRPLNQNNTPDSINQDKNQQQQKFKVIKKD DVDHLGFELNYRLRTKKITLADAIEKYLFDNKNKKTGEIKLKEISERMQKEPFLLIDEDS ALLVARYLTEDNSQEFVVYNDQLNQSTTIVRSILQKLIGNYEILTIEIEIQKTKEITQVI SKYKNSLKQYFDQLTSKYEGLLERKQIVETFEYMGIDLTHDQYDFLFLRLFSYSNNTQIF PYIRIFEIFQEIQIERIDSDKKKKSRKKEFKDQGDNKNKKVEIFTRRQSMELAN >CAK62473 pep:novel supercontig:GCA_000165425.1:CT868019:457646:457810:1 gene:GSPATT00005719001 transcript:CAK62473 MGLIILNISKKTKYLSAIFILNDRKLYEASYKQFKVRIVNEIQRET >CAK62474 pep:novel supercontig:GCA_000165425.1:CT868019:458750:459211:1 gene:GSPATT00005720001 transcript:CAK62474 MDISPFLYDNLPQPQENNYLIQEECHQYLSKLNFNKTLFRMQSPEPSIQDDCFENENEKS YDVDFADFNHLNHQRNLTSDDEEEIQCSKKNQKARKLRKKSKNREGPLSEKEFIEIMKKL DQCHQIMSMIDNMSKIVNTFKLQLQKQSSLNLK >CAK62475 pep:novel supercontig:GCA_000165425.1:CT868019:459398:461568:-1 gene:GSPATT00005721001 transcript:CAK62475 MNKFEDDLKYAQKANGNEFLNWLQNLSFELVTQKEYSYGQEFLKFQHQVYELIFKQDGIL NSSFRTTQMRFGSIEDTLFFIKILNVLSPNEQSFYQSLPSEYPLNPVQSDILPAHLQLFL KDPSIVNMNSSFQTFQEIFQENILVEKTQLKFCVSAKMMFWIYLLNGAVNFKESALHATE NSQKILDCAFSKCKKKFNTKDFLLKQLTFNPYLILIRRIIEYMVLQIKPGNPIQHNQNKQ VTIFTFQQMIILLQEYSMYEHLYVSKLLDLNLTNEQQKLFYKIKPSSVILDTQMMLIFLT IFFNNRYGQDLEATYSQFKHFIKSTDILSKQSQIYYQNRGFFFECGRQKQQVTYQQGLYK FLQNSFESYHNERQCNQISLLSHLSTYVLFLRQQFAVYEISIYNQVLIFDQALLSCLISQ NTILNQLSKQQNFQYKSNNPIARFILSELQPIYQLCKNKFGYCPQAILFKEFRPSNLLQC IESKFNSHEYEVNFHYRELDQYRNQMKNLLRVHYPFYTKLLITIFKCINNLSYLSDTEYQ GIMHLLTFLYSQDQNALFCDLLKCQQNQPYQNPAYFEVKNFCDIYIKDSKEEDFLIKQNE NIQKWIQQIIIWLMQYQQQKNIKNDKIVKYLGEQFNIQMSTIKVVPMRNLSPSKSTGRLS RAKIQINQWKAPLRDYEFYFLFVLMWYLSYCLDKLRVSRQMNFLKFSGQENLLRL >CAK62476 pep:novel supercontig:GCA_000165425.1:CT868019:461847:463462:1 gene:GSPATT00005722001 transcript:CAK62476 MKRSKLQTSDILDWYKKRFPQKVKRNYLYLPEEIKQQERAQVIFENLDKKKENKLAIDKL YDICVKSGMSINRNQVRMLFEKIDEDKSKWIDLEEFQKSVLDQEVGEFAMKVRKNLEEGY LPIYYKSLISHLSFVSNREELIQQINDQSKSKIERFDKIKEIMKLPYDDIEQQDNNLQVQ QARRKLSELKQDYEGVQKMDPETQEFITQMNQKLEDTKNKGDEDSLLLKKRVKRKSLPHY SSIMSLPKIEQNHMKWHKNLSLIDNQVRQKIFSEIQTKSNQINQLISPRTKKQKDLVYLK NQQSKYIKKAKKQFDIQFDFIDENQQSIDYTGGRSFEEGILSLFQQQQQQGSLCNFTNIS TIKNDKNDRDEILDYSGDHLPKSILRKLQQYKNVTRDSTQILLDKSILSSQQSKQSGKLT PIYYQRMIHQTHTNTDSLNSNDMPTQPKRRTYSQQDIKYQTPHFKQSEFQLPYL >CAK62477 pep:novel supercontig:GCA_000165425.1:CT868019:463496:464461:1 gene:GSPATT00005723001 transcript:CAK62477 MGLQCSKCQINDEKEFILANIVPEHKHNMQLENLEDSFESDPNYPPSTAISKTMEEKSIQ FSKMQKESQHTIPSDYQKLEELQMDDDSKFFGQTKDGQANGNGKLWLRNGDYYEGDFQDN LMHGKGIYNYQNGPIFEGQFLYNKPDGFGVESWPDGSVYEGNFKEGKKCGKGCYKWYQGC VYAGEWKNNKIHGIGRYDWPDGRSYSGSWAYNQMHGRGKYIWKDGKCYDGEYQNDKKQGF GIFYWPDYKQYQGPWQDGKQHGKGIMIYPDGKKKVGIWQYGKLINYTEDDNFQIIPENWQ QQ >CAK62478 pep:novel supercontig:GCA_000165425.1:CT868019:464598:466768:-1 gene:GSPATT00005724001 transcript:CAK62478 MNNQSSKSVRFNEGHKVLQPVNTNTTSSGSSKSSKVTSAIQELRTSFNNQAQKLTSSRVS DQLNESVATVTRSPGHSCAPSICQPCQPMCQPMYSSVCQPQFICQQQPVCPPQAYVVQGG NDQRHLEKEIKKLKKQNKKLKQSKEEIIVSTIAETPKKHRPQQHVQDPEIDTIKREIQEM ERIIRQMEQQPKQQSNSEIELYIEDNKKCLKKMCKKIKSLEKELYEVGRQRDEALIIKQQ LERENQEMFDRIGELESLLKVADKKVFDLTVQLERQNGYVKQLEDEVERLRKKKKKKQIE IQERVVEKIVEKPVEVIKTVHVNQPQQVHDVKPVEIIKEVIKEVPSEPKIVERIVEIPKI EYVYQQVPQYIEVPKVQTVEVPVVQRIEVPYEVPYYRDVPYEVIKEVPYEVIKEVIKEVP YEVIKQVIKEVPYEVIKEVPVYIEVPVDRIVEKRVEVPVERIVEVPVDRVVEVPVPYEIP YPYERVVEVPYERIVEVPYEKIVEVPRDRYVDRYVDRYMDRYIDRPVDRYVEVPVEKRVE VPYEKIVEVPVEKIVHVPVEKIVEVPVEKIVEVPVDRYVDRYVRDESELEMLNIENRELQ RIIGIWEDRANKLENEVIKERRISDKLRFDIEELEYMVEDGRAFNNQQQEQFRHYLKELK SKYESKIIEARKGVVIKHHVIPSEVQQTVPTFIQQPISTGISQNLPQFGGQKINQ >CAK62479 pep:novel supercontig:GCA_000165425.1:CT868019:467193:467701:1 gene:GSPATT00005725001 transcript:CAK62479 MGNTCAVKQEISNEEKAEIQNEEQSHKTRAVKIPVFTKKLGLNFKLDEGIQKIRPKHDNE SVQDISTERNLQDSNAPHFFVQYKQNEDSCKGYWKQKHTEDFLKEICSKEVVSEKAVIQK KEDEYDDYYDQIRENDLDQFKFQTIFIMKLVDRNQSQFQRK >CAK62480 pep:novel supercontig:GCA_000165425.1:CT868019:468493:469713:-1 gene:GSPATT00005726001 transcript:CAK62480 MSSKESRKRSNRRSHKKPKCSTSTSSITDEGSNGSSSTDDYKHYRFRKGEYIKDYKVRRH ISDGTFGRVLKVRRKSSKSYAMKIVRSNHAESAQQEADILFYLKKKDLNRYFVEIIDSFY HRGYYCMVFERLGPSLYDMLRMNQDRGIPMNLVRCISRQLLKCIGYLHDIRLTHTDLKPE NILFSRIRELKKGQNDLYLPADHKIKIIDLGGAEFDDEDHNCIINTRQYRAPEVQLQCCK WDYKSDVWGIACIIFELYTGHLLFQTRKNEYEHMALVEKITEQNFPYWMASNVKGSLKYC FNTKNVTNGKYYQWPQGTTTKDSILKVQNQKTLREMILDPLLRDLLQKMLEIDPNKRISC FQALDHKFFCN >CAK62481 pep:novel supercontig:GCA_000165425.1:CT868019:469745:470616:1 gene:GSPATT00005727001 transcript:CAK62481 MSYQFGINQLQSTTVPNAKYKTILCRHYQATKQCAIGSKCQFAHGIEEQRQMNDPLPASA LSSITTAPQSTTIEQQQKNQSPLFKIPCKYHQTQLLQEWGRLPIHSDVQIQTTQQQQQQQ QQQQIQLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLLQTQQSMDPMAITLTYILMEMQ SIFTQEDLLQKIRYAIELVRQGNLVSACDQIRAIINSQDRTADEIQQYTLLYNQSVAYYK QLQQQ >CAK62482 pep:novel supercontig:GCA_000165425.1:CT868019:470657:471531:-1 gene:GSPATT00005728001 transcript:CAK62482 MEEQQRQNYSDLVNQEIQQNKPIKWDADNMPQTKVGIMKKEALYIFWFEFIGTFMLTFSI YASKYICLSNKLSNNPFVFACAYGMLILVAQNQKCTFNPAITTVLSGQDNGLWVSVAISS QFGGAFLASLFGFLCFKNYLNDVPYLAQTNIEAYFAVIFGEIFGSLILCAGFIFQYDDLM GLSSDRLEHSIIISALYCVGRTLSYVAKSSLNPSYRIRVSDILQMTSLVLFECCKDGHWV RFWNLWIYSVLPFFGAFFSLALIRAIYRDCYEQQLQAGLRQ >CAK62483 pep:novel supercontig:GCA_000165425.1:CT868019:471557:472704:1 gene:GSPATT00005729001 transcript:CAK62483 MLAIFLFIILVTSNHAMNLSKRETQKYQQYLNRIEKRQIGSLIISMIQLDQPQINQLIEQ SIEDFESEYSTLQDFHISRKTQIYQSMQQLKAQLLDLDLLQSSFIDGPQEKEREFHEQKI TRLNQLINQNYKTIDQKEVQLIKMQNSLSSLIDEYDLNINQIDQMLQTIDSILYDEEKVG IKFFQKRGKVNEIIEHFGKSTIKHIKLDLPMLKQIAQIGIKSNFADKQTYKQVQELLISL RKKLVGELNSLNTDKIDQLEISINELKNDTLKLKSQLLESTQKLQIENRDISSITESKKR IEQELVILDEDLRLENELFNQKETFLIEEVNELMEIEQLLKNRELIQYIQEQEQQ >CAK62484 pep:novel supercontig:GCA_000165425.1:CT868019:472729:474516:1 gene:GSPATT00005730001 transcript:CAK62484 MDYQIQIKELLKRLHLQLKFAVTLQPKIQLLNNLILQTNDFLDLFAQSNSMKIITQYLKD ALKSIQPNEELIYKILTLILRMNLSEEIVRESEIAKPLLKIKDKKLFDNIRSNMIEQLQQ QWSRCYIKFKPLLEEWKRNNNQKDKQEDRKKKGKRNQSSSSSESSNKKKKNKKKFVRFQR DELLLNFKYFKRDDEPNQRGMTLEEVAQFQKQYAGELRRFNQINGAYNIKHRESLMEGQE HKLQQDNIQQMLEQIPFIKPAKLFLQSQINYDTNYIETAVQQKRTESKMSAFYNRDSIPD QPGYNEISNTQSGMQPKSIHLDPPKREDLIYMVSIICSRGLKEIEEKNKNANKKQVKGIL KKPPDEQMKQKDVLNQAKQDLQPKMQQLVQMVEQKHQHSQETILTLLHDIIQKLKDIGEE KYIKNFKSILEVKLNDKQTIMSEVDRVKAQQDQQRLRLEQLQTINPVQALRLRAYKTKHC HNFHSSLGCTRGDNCNFIHDSRYPGRTAPTLQYPNFLNKTLYPQSSMAIIVPPLLQQLNP EILLGRQKKYESL >CAK62485 pep:novel supercontig:GCA_000165425.1:CT868019:475064:475505:-1 gene:GSPATT00005731001 transcript:CAK62485 MNQDQSAFDKVTLFAVVSLSLVSFLFYQFTSKPELYGGDGCVALRECAYYLVWLYIVYVI LICILIQWKPQPDSKIKLYIYGILLIGFVISNIFLIIEYFKNEPCNLLRYVIFWYLILMS ITFVLFMSIVGAIIYMM >CAK62486 pep:novel supercontig:GCA_000165425.1:CT868019:475553:477271:-1 gene:GSPATT00005732001 transcript:CAK62486 MGCVSGAEIKQNPIVQMRTRNQDLVFTSTEDISKIYQFGKVLGVGSFGKVVTARMMKNLE KQCAIKIIEKAKVKGREDILANEIYMLQKLDHPNIIKFHEVYQNKQNFYICMDYCKGGEL VEWIPKKYKSFHEQHIQEIMKKIVSAVSYIHDQGIVHRDIKAENIMITSKKDDGEPKLID FGLANKFDTSHLKYHLQNIQLRRLKSFAGTPMYMAPEVITGSYDEKCDIWSLGVLLFTLL SGHLPFHGETKEELYDNIQKANISYTSTIWSHISNEAKDIIKKMLFKQPGLRPNSKELLK HSWFKKQFKNEDKNSSALINSLTSSITENRSIYSLLKQNQGGAKFKKEVKTLLINQLSEA ELNSLKEIFKKIDVDNSGTITFQELKQALQAEGSPATFEDIEKLITNGGPPMSHEEVGKK KKEFVIKYSEFLASCIDERKFITKEKLSSLFKIFDTDNSNFITKQNIKEAFARNGKQISD KQIDEMIAEIDPNHDNKISFEEFCQMFDNAGVNKNFTEDGSMYA >CAK62487 pep:novel supercontig:GCA_000165425.1:CT868019:477421:479121:-1 gene:GSPATT00005733001 transcript:CAK62487 MGCFQPKGMNKIVAMQTTNRDYIFTSTADIHKIFSFGKVLGIGAFGKVLSARRRNNNDKQ FAIKMIDKKTVKGREAMLANEIYVLQRLDHPNIIKFHEVYQSELYFYICMDKCRGGELME SIPKNQKSYTECQVRGIMVKIFSAIAYIHDQGIIHRDIKPENILFSDCDINSEPKLIDFG LSVKYDAFSYNKLKSGVGTPVYLAPEVIEGTYNEKCDVWSLGVLLFNMLVGYPPFYGKNR QDLYDSIRYQNLIFDKKHWRNISEEVKDLLKRMLNKNSMVRISSKECLQHPWFQLPFNEG IQRASRRSTGFSNTASEDDQRTLYQMLKTYRLGAKFKREVMKVLVNQMNEKDLAKLQFIF KKIDLNNSGIITVQELHHALQQEGSQATLEEIEEIMEKIGYDVDDMDDITLSNSHRSNLR PATIKYSNFLTACIDERRVFTREKLWSIFKYFDTRNENHLSREAIRESFARHGRSVPNEI IDQMIAEVDPLNENRIHFDTFCQMMGLAGVQETLEFKDEPKDPSSLAHSIQ >CAK62488 pep:novel supercontig:GCA_000165425.1:CT868019:479330:480976:-1 gene:GSPATT00005734001 transcript:CAK62488 MQTMNKDQVFTSTADIHQLYNFGKVLGVGSFGKVLLAKMKQNSEKQYAIKVIDKRRVKGK EALLANEIFVLQQLDHPNIIKFYEVYQSPLYFYICMDYCQGGELVERIAKQQSTLSEGQV QTILQKICSAIVYIHDLGLVHRDIKPENIMFSEKDIYSEPKLIDFGLANKYDTTHIKRLK TFVGTPLYLPPEVIDGEYDEKCDVWSLGVMLFSLLCGYPPFYGKNRAQLYENIKTQTLVF DRRHWRNISEQAKDLIQKMLMKTPKKRLSARECLKHAWFEMQFQNVKVHREKSQQLLTQT HEDNRTIYQMLKMFRGGAKFKKEVTKVLVNQMNEKELHHLKQVFQKIDVDNSGTITIEEL REALQQEGSPASLEEIEQIIQTIVLEEDEGESNNLEMDKEPSPLVIKYTDFLAACIDERK VLTREKLWSLFKYFDTLNVNYITKEDIKEALARHGRQMSDEKIDQMIQEIDPNHDNKISF DEFLQMMGVAGIEQTMNIRDEVKEIEIQS >CAK62489 pep:novel supercontig:GCA_000165425.1:CT868019:481027:482064:-1 gene:GSPATT00005735001 transcript:CAK62489 MAEFSLWLQENRRQISIDLAAGTVSGIFNCLFGHPMDTLKVRMQMSKENFWRSIIKIIKH EGILAFYKGMTFPLLSVPFLNAIVFAVYEYSRRQFTGYNENGKPMTYFQTAICGGISGSC AATLACSIDLTKCRLQMQIDNAHKIYKNPFDCLWKIAKQEGFRYIFRGMNATQQREILGY SAQFATYEFVRDQLMWLQDKGEPSSHDLLISGGLAGMACWVVGYPQDTIKTILQCEMGTT QRRFKPKFLDGGFIECLKYRIKSDGVPSLWRGFSACMLRAFYANAIGFYAYEISKDQIQK FYA >CAK62490 pep:novel supercontig:GCA_000165425.1:CT868019:482452:482933:1 gene:GSPATT00005736001 transcript:CAK62490 MDNNFFGDTFVNKNGPCKIKLSDMKVIVLYFCASWCPPCVNFTPTLVEFYNDVNLETKQL EIIWVSQEESESQFKKYLEEMPWPAIPHNDKRIQQLVDKYEIKGIPTVTVLRKNGDVAKK NGKQDILKEGEGAYNLWEQLVNSE >CAK62491 pep:novel supercontig:GCA_000165425.1:CT868019:482978:483270:1 gene:GSPATT00005737001 transcript:CAK62491 MSAGIFIGTIIFIGIGIGVTVWLKGVVTKATKNLSDLNDNLLLMYVSVVSGTIQFWLLWF CMYMHQLNPIITPFRGHE >CAK62492 pep:novel supercontig:GCA_000165425.1:CT868019:483524:485132:-1 gene:GSPATT00005738001 transcript:CAK62492 MGICKSKKQNHSPPILRNQNVKTANTADIVRENSPNNVAAGSQLAFSQQDFFIRSQELMT PDRLNTANKGKFFNTYTLLNNLKSPNEQIRQVCTVQHNQTGVTKIGIIQTHHYKQADLIK VQNKIQQLKKLDHPNIMSIYEFFVDSKQLYIVTENYDGGTLLSRLKFENKEESTRKNQLI QIYFQQILAGLQYLHSNGINHKNLKPENILFQSQQSQLIKLVDYSNTRVNKKDDDILDLT YLAPEVIQNQEFSQASDIWSCGVLLYEIWTKFQPFKGDNYKEKKENILKCRITKDENWNQ IPIQAQKLINSMLKIDPSKRLTAAQCLQNEYLKNIVSYTDKTFVEDVFQRIQQYQSKSEF QKLLLSIMINKLMDEKEKEKLMVAFEAIDDNKDGKITKSELKKFLASEKQKSLTNKIFQI LDSNQNGYIEFNEFLLASCNTDKLVNQENLELLFKFIDKNQSQQITIKELKSLFIEARLT DKEWELIFNQGDLNQNGKISFQEFSQLLQQTSN >CAK62493 pep:novel supercontig:GCA_000165425.1:CT868019:485409:486452:-1 gene:GSPATT00005739001 transcript:CAK62493 MNENKSLHAKRQSALSLLNPPQTTALSWCIVTSIGDELASKSPSLKLEVASLTKIMTAYT AIQLSDIIQFQNETFIRIPRIATQIGGTSAFLRRDDTLSLEELLYALMLPSGNDAAISIA LHFGSILNTHRRQKIASYITCDTIQGFYDDTQMSNMNRFVRQMNENAMKLGMRDSQFSNP HGLSSKANKSTALDMCRMSSAYMKIPILKQICNSKSYSCRNYEWFNTNQLLHFENYSGIK TGITPNAGACLAVSYESLLGSIIVVLIGAKDQKRRFEETDKLINWVSREYTLYFNKTMYA PPRTAQSKGRSFIIKKQV >CAK62494 pep:novel supercontig:GCA_000165425.1:CT868019:486495:486818:-1 gene:GSPATT00005740001 transcript:CAK62494 MYQQSITQAFQRQPNLVQTQYIGQQQIPRVQQQRSITPVLHKPNAYTVSFTQRDDFSQRG QQLPKNMISDDSQFMKALIEENQLLKQQVMSKRAELQKIIEYYHQCS >CAK62495 pep:novel supercontig:GCA_000165425.1:CT868019:487775:488026:-1 gene:GSPATT00005741001 transcript:CAK62495 MQEDLNSSLDSLELDLYHRPNPQIKAILNFLRSKNLKFCTDPRKKEEDYYSKMDNMEDNK DLGLVRNKFFKRNNKHFVCETKQ >CAK62496 pep:novel supercontig:GCA_000165425.1:CT868019:488073:489700:1 gene:GSPATT00005742001 transcript:CAK62496 MKKIHSCQLRTLGFVKNYQSDSISNNIINHTLYHKYASSQNYYYTREINEILSKQRTSSV ILFQDYLHFDDEDEYLKRFYINEVYDNKMKLLKEFYKFHKDLPRFALTKQILQTLNYYYD KRRKLDYYRIQRQIEFENKQNPQLPQKGIVGDKPIETQSTPNSESSSTSNLNTNIENILK DITRQESKQQIEISKITQVQEEQQSEILKIINMISTPLIKNYQVFKKSKGNFKKQLKLDE LGLSLSSRIQQNPQEAKMPLSARYPSYSLSRLNHKHQSLSKEKTADTHLIKDNLLQLFNK CPTTKKIKVENKSSSRNIQLDQKKQIPEIKQLELKIIKSLQEIEKNKNTQKIVSLDSKAL HQILSKFNQPLSQRHIQQVDSFKSTSNQSIKIAHNKIAMQKVYTPRNKFVSSKTNEIHPQ HTKKSLEKQQQLVKALDLKKLMVYKSEKSAKTERVKKNVPVLNLNAVQNNNQSSLTSRNQ SGNPSFNQLLTPKPQTSRYKVNNLIHWQVKAIQAAQREYKLQLKPSQMKIEQLI >CAK62497 pep:novel supercontig:GCA_000165425.1:CT868019:489766:490657:1 gene:GSPATT00005743001 transcript:CAK62497 MSIINLELLQKVIELINSQPSIYQQLNSSELDKGIDLIDIYSVYGDVDQNIYEEPGEIQF NFVMEQEQPFEEVKESIPIQNTKKQTITLDYIKNYDKCDQIFRTIAETQGELEVFLDRNQ EIYRQFKIWNDQLNYGELYSKDPKYIEKIKFTLILLSEWLIAVCYKSQVKKRILIQTQTQ PRVQYKANIFLEYIYEPKKINESRRTSEVKRQAIHEILLAATPDMAYVLSEGFIISKLTN QLIAPIQGSYCKIKDWLIAVRGVTNLNVKEFQELLKISREYFSEQSTI >CAK62498 pep:novel supercontig:GCA_000165425.1:CT868019:490694:493010:-1 gene:GSPATT00005744001 transcript:CAK62498 MFQDQTNQDDVINSFQEKPIKMKFGSAVRKLTILQQFFRYLSKQKKQENVFQSKQIQRKR QKLPFYPDQLYLWKQFMLLQTAVTLMLYPIYISLSDFNEFDSLTIITFLLDALYLIDIIL KQITCQIDNNYNLINNFFDIFLFNLQRWLIFDIISIIPYKLFAPTFFDLMGLNLLKLLRF IKYFCYNERQIYQESHNSLEKSEYFEKLFQLDGKILSILKIFKNMLIFISLFGCLFHSVL LYEGLAQKENNYSIYIQGLYWAIQTVTVIGYGDIPLTTSMQYNLTIIWIFIGVGFYSLTI GNLADILDQQSSTDGYEEDLEALETLVQKIIIPEELSNQLFSYFQYNIENNPFWNYRKII ETLPSQLKIFAIAFCQKQLIENVNMFAFNINFAAAILPYFTMYNFKQFDTIYYNGSPSLD VYFLVSGEVRLCDEQGNTLLNIQEGYIFGEIEILEDCYRKQSAVACRDSLVIICPISQFL QLIQDDESLLFEIEQLGLRRKLLLQENLSRIKKNAKQLKRINVIEGDPMTQYVYKKYLLE KQFKANVIKESYQQIHKRLLRSIFGHQQEKKWKHRAMFKMAVRKIIDHLKQSKTQKKTNG VIGKQMLQNFAQVKQQELKIQNQIYKNKKNGKDQQRVKVKDILNHFSQKDSAPMLITPYP YYEEILKERKEEKQQLKQKQNKIQELSNRLIKINLIGQYQICNTLYEDLIEQIDILYDAQ YQTHESQDQMESVYYQIYSLVSSID >CAK62499 pep:novel supercontig:GCA_000165425.1:CT868019:493262:495370:1 gene:GSPATT00005745001 transcript:CAK62499 MLIILIYTIAHCFQADNTYVTHVFDKAIENNYTRYPELFAVQNIYDSVSKQITLKNGRKV LCQIPIPQITQPFQLDKLTIVRNLTDEEVNHILFPLEDQCVQHYTKEFVYEYCFKKYIRQ FDDLNTMLNMRKQYKEDFSLGISKSYLRATDETNGIHQPDHALYTLDTHYHQIINQVDQL IIISECIAKLRNYTKILLKNYGYNLTVNTITATYHFEIALVIHSQLLLLKQCADQTIISD SFEFQPFDEIQERILPNQYYQYKNIIFGMNLRAQEMFHPQQSLGVVFTEHVHIVQVEKII DFCAILVSGNSFRKKPKPIFQIKNLILMDTNAVYVEMKRPVQIIENILFFEWVDQMDIND YVTIFENSTSVFSYVETFKIVGYQNGMATLDKQLTKGLKYSGKAFIKRNGQFNYAENITL FQQNNSESTDEEYLDFYKIEHDQIQLYLFNNSQQGIKIKQEYQNFNGITFHVSPLKSAQA PSLFIDIISQMYEETHISFLTVPQHTIFIKSKSRPYKQMEPRYMNSSIMNEQYWIIIDTH TDKLYIGNEYEIDLVNRMVEFQLLRIRNTTSPIIFDFTKKSNEVKVLDIMLLPLKNFQIF QSNFLNGTYHPLNYTGQGQGQYFESYDQGSYCKPINSTRKSIVQLRCYPGDLMRFLSIYE EEICQHISPMREQLRHRRAF >CAK62500 pep:novel supercontig:GCA_000165425.1:CT868019:495496:497103:1 gene:GSPATT00005746001 transcript:CAK62500 MIEIPRDYEQLNSQQRLEILQFYFETIVSEYTSFNHSQFIYPLYQTFQVQLNQQMRSLSN QDEVYESFKNLQKKATEDSTIQEMLFEYDEFIHPIKIQALPKLIKQKQTKPKSDKKAASC ILDEQPIKHEFIMTGSQSMMVNQNRDRVRSISELDDDLKLNVIREFDEKSYISQINFERS PQSDLKSVIEQRMEQSQNHYFNEAQLDDAYYVIQQAKAEIQNNQQLEVWFQEVYGDFIDM IQDKYVTMQHNLNELDSDGWVIEKNTKQLVIKYKIDSKNSTVTLFMDSVFEANITKLMAL INEIELYQNYVPFCVRSSMPKRIGKCCKICDIQVYFPLISDRKAVFVGEGIDRLNINGTI VFLCKSVDNNPEFLKLHNVDLSKDKGKFVNLILNYYVFELTPLSDNKCRVRAVTNSDPQC RYVPKALVALVARKMASTLFEKMQKITQNFAKSPWYPKYLENQEFYNWIDNKVTNHFSKQ QN >CAK62501 pep:novel supercontig:GCA_000165425.1:CT868019:497460:501641:-1 gene:GSPATT00005747001 transcript:CAK62501 MIYITLLSCILKSIAKDCSLFPSDYTKIVGKENEIIVQDISEYFSQVKYNDIVTLTDQNS KVTLVPPINLKATKETIPNVNKLLSYSVLTTNQGQWINKFQLLAIRNQDEQVLAWTEKIV AGTQQRYPTFDKTVLLGKTDQIICQSSTILDISHLITDCYQVNGEEPQTYFYLISEKDDQ KQLIQNVYPYEKNQQRYIVSTQNYIYRITLKTNNNPAIIELFTYDLANKDIKLQKTINQE LIQKYVNITQYSFDMVDAKISPADQIAILDKTGNVFLLKYYPSNDTFQFSQQGRLLGESI SFDYQFNNNEFAIVQKHSIIQSYRTYVSTDDLSNSKIHYSNNFIFLTSPTQIIAFDKYLQ IIQIVQGDFSNVMTDQIQNDLLVFTNANVRHYLSRSKYQIQYHNNDQGITGNAKLILNAC QVTIEYQTVSINSVDLQLVSKGNIKDSPFYTEQIFAYDSLTRPRQLVSGPKQKISFQKKT KENALGGGNLTYIAVTGDFSEKYTNKNLSLTDLIFLQTISMAQSFDQPFSIITQNKEKEL TIYFCNNLQAEICQQQYKTKLNFEITKENTVIQYQNYQFTFITILNEKTLFHYKFLSNNY INKTITLKDDDQIKSIDSIYLSYQYLLIFSKAAKAIGVYNYQIVDFLYIINSEKLSQFGF LNWDPQKLFSTDFSQLLFVVNGQEQNQLLILNLTRTDFSFGYEMAISQSQDIRVINFFER FAILQQQAQGKFTFQVYNRQDPTNIYLEKTPSFYNYQIESIESFFWAPYNNLLHVKAKSE FKSVILSYVVELTDHNSLYCVQDWDKANQFVTATQDNVFQFNKENVWIYYIQRYPQLQYS VIFDDNTFIQDQDYTIVYSNEQLLLVNRKFRLVNNQLSVKIKKDKLNIKTNLTSNQEHNY IQDMGTDWYSGEVAQFELMIPQFKDRKAEIINPFEVVKPYYLNNSMNAIDFSTNYIFVLK HDSYVLISKKTNEVVVTEKITADYSCGDVIASFDSQVLIQCVKDKLQYVGGIQCENTNCK IGSSWLQINGDIISGYIDTENIFIIQKQEILAYSKNILDLSKAQNYGKVSVTDLDNFQYG LTIQKIKKNHYHVYCTDHNYALIVLEYSIKQNQIQRTNKITFDLYDFLNQDFFVPKDTIY SCIKTINVVTTDVSYKADFILFGTQGPHYGIHIEFSCSTDQCNLIQKKIVFVLQGYGSFS ILGYIFSTVRIVNNFIQVSYTDSAQMRLVQAIYQLPKVQSTNSAVTFFAALKPTFYFGSY QVQQELYSYENQLYYITNSEHIEQLSLYKINPSPKLLLDGKFDISMGNIVVRNDFSMDSI PITIRGPGGNDDDHDNTTTSGHTGVWVTLGILGGCLILGTGYYCYKRNKTKVDTLI >CAK62502 pep:novel supercontig:GCA_000165425.1:CT868019:502736:503206:1 gene:GSPATT00005748001 transcript:CAK62502 MSRFVNPSKRHFLRYKDPRINEQIKNQYLKQIYQINDQVKVIKAIRIDVNLLRIEKTQQR KYTKIKWNCIHIHLKSYFSHSSTKNVIKWEFKPISQILQMVYTKSVISKLMHSNNIQQQL FHSSLMLLQIQPNVEYFVGFRLKGTGQSTILIEDQN >CAK62503 pep:novel supercontig:GCA_000165425.1:CT868019:503366:504099:1 gene:GSPATT00005749001 transcript:CAK62503 MDYKTEQRQKLFRISNPAQKAQTLVPRQLRDDSLKPQQQQQMQKIVVSDDQLRKQNEQLK SELTATKEQYKILQEDYKKLQSKYQNLQSQMRGPHQEMLMAQEMQRHLRHYQYYEFIQEA MMPQQQFQTDGMTYEQILELQEQIGHVSKGLTKQEIKKIPKRQVNQKQKDPCTICYNDIE KFDKIRELKCRHQYHSKCIKKWLLSQKKCPICQTEV >CAK62504 pep:novel supercontig:GCA_000165425.1:CT868019:504212:506209:-1 gene:GSPATT00005750001 transcript:CAK62504 MSGSISSSDSEETSFVLTEQERILKSSNIFKQKEQSNNQCAKHPNKKAKYYVQCDKTKQF CSKCALTLALKGLKIEETQENQHEVLRQQRIHRFQELLQQIMEQCIYKSQEFNNIEAISS KQLQEHQESCQQFFDSVIQTANQLKQTYLQKFQNDHHMLLNSIQLKATQIKQIDAQIKQF QIDIEKNHDNIVKKMDMKPFEDIMSRYEKRVLQTKEQLSECTQEFQAKPIKFEQSQILAD MNKMCYNLLLLKSDDSSTSDSRSLQIKLENSPKIRQNTNNSPVDMKVFEMLEGEDIYQST YSNPIKDHTQSPIRILQQQQQQSQMQKSKSNTYSNPTSTIQNSRRESRTNTPESWQQTTY NQKNNPLITPNERESYKHNISVGEQLNSQKCLNKQLEINEKYYVQKNEKQKTSSEFDKIN EQNNQQLINNLSQLYMQKNNKDTDRKSFEDGQQLTPKHQKNMTTSGPQTFKLITNHASQR SQYQYPQVNNNLFEQKSQKEFSKTNYDSLPNQKSLTPLHQEPQSRNNQQLNLKIDNHQNR RSNSKQAKQPSFDQIEGKRQFIMANINSQQYTSQPSQHVANEDTLKDRILKELCSHPSES IYSQVLKLNSQQKLKNQKLISKENAEQSTTVRNKNNNGFLCVKKQSYQQ >CAK62505 pep:novel supercontig:GCA_000165425.1:CT868019:506250:507572:1 gene:GSPATT00005751001 transcript:CAK62505 MKPSSNASQQANQWALKKKEQMEKAAQMRAERKLAATGEMALGNKPNYDYDKPPSQNSQK QYYQPDLGNNNVRQQQYGQQQFQMNDIGFQNVQPNRQSSLGKQQPQPSYDKYGYNQNNQF SNNKPPSQEGQRKVQQGVQAKPQMNQAQQSRQQYGKIEDDYDYQNRQYGQPKQQQQQQQY NAKQPPPVQKQQQYRPPSGTYDQSNGYNKPSNQSIKPPVQSNKQPANNKQQSNLRTNQQP TYQPQQQYYNPIDDIPIKKSDNIPNINIQNPEPLYECSKGCGRSFAKLALQKHEKICVKV FQKQRKQFDAQKHRIISNEQISHIKNQDKIEQKYEKALAKKQNWKNQSEAFRAAIIAAKG GKLTKDQKNAMQEASKSNLVQCNYCGRSFNQQAAERHIPFCAQKSKIPPKQPQKRR >CAK62506 pep:novel supercontig:GCA_000165425.1:CT868019:507619:509304:-1 gene:GSPATT00005752001 transcript:CAK62506 MGNCIYKSQVDNQAQDTIKQVDGIIDQAIQEENVQKQATSEQLKIIQNEVRALNQESPES NSGSYCSNPQIKYQSDLQLSPNLLIRKQNDGEKFLFHYEIIKKLGQGGFGEVYQVRHLKT KLIRAAKVVIRQSIENESLLFRETEILKTLDHPNIVKILELFSDNQHFYIITECLDGGEL LDRVRTITNYSEEIAKTYMKQILSAMIYCHERKIVHRDLKPENILFDTLDINSNLRVIDF GASEKMMSKKLTTKIGTPYYLAPEILRSNGYDEKVDVWSCGVILYILLIGKAPFRGKNRY ETLQLAQQAKIEFNAQIIQRISQDALDLIKLMIEKDPNKRISMKEAMSHSWIQMQSQKSL NFDQTFFRNITQFKGYNNLRVAIYQFITIQTLKKEECEKCLEAFKRLDNNGDGVLSEEEI LQGMLMVNINQITSQNMIKEIMSQMDTNDSGKIDFTEFITASVMQEKRILKESLRAAFRL FDLDGNGTISRSEIQEIFGGIQIDNNAWQEILTSCDDNKDGLIEENEFLALLENLQ >CAK62507 pep:novel supercontig:GCA_000165425.1:CT868019:509514:510714:1 gene:GSPATT00005753001 transcript:CAK62507 MLHIPDVVIGIERANHKHFDDIYETQKLANPVKISMIKIQYNKEHVTGVKLKYKAIDGRV AKGTCSMKFKLLGGLLSGTKKEKYNIADDDFIKEIHGYVGTEINQFGFTTYKGVSHRCGI AKGVSFSHHFPLHTFSSARGSYDKFLDFIAFRVVPLTPDQLKKLGLGQGNVNVVVTQVTQ PPPPQPQLQQPGQYPPPGQYPPPGQYPPPGQYPPPGQYPPPGQYPPPGQYPPPGQQPPPG QYPPPGYPGQQPPPGQYPPPGQYPPPGQYPPGQYPPPGQYPPPGQYPPGQYPPGQYPPPG QYPPPQNTTVIIEQQGPPPPQQHSGGGGAVAGALIGGALIGAALANDNHHHHHHHGPNVV VVKKHGHHH >CAK62508 pep:novel supercontig:GCA_000165425.1:CT868019:510728:511335:1 gene:GSPATT00005754001 transcript:CAK62508 MQKNEIHIGIERENHKLFDDLQKMDLLAQPITISMIKIQFTHERILGIKIKYRGMDGKTI VGQSSRKLKIFGVHFEKFKIDKNDCIKEIFGFASTSINQLGFRTYKGHQVTCGSPKGQTF SYQIPNHTFIAAKGSHEEFLEYLCFRVIPLTQEQITNLGIDTQINKQQSFQT >CAK62509 pep:novel supercontig:GCA_000165425.1:CT868019:512288:512896:1 gene:GSPATT00005755001 transcript:CAK62509 MNSQLQGIPDNLDQKLEFFNERKQQELQKRARILKYSHLKSKGYTFGLGRNPMEKQLETP GPGHYITQQSETPISYSMGVRLNQKGNCNSIALVHKFEAPPLGTYEIKGLDKYGYYTNSK FKNACATLFSPLKNSNAKQSIVTPGPGDYELPGSINSRGKYFVSNFKTNQGWALGKKSLS QRKAYY >CAK62510 pep:novel supercontig:GCA_000165425.1:CT868019:513001:514530:1 gene:GSPATT00005756001 transcript:CAK62510 MKKQSSHHSKTEPSIRKSNKTPTSPIINLKADDQLTQSQINYDFLKTQQSFRSSASPQAQ NSSLSSKATYSAKTLMQNNQKKQMQILSKQRMQNMHERSIIKVMGLNMEAICHRRNHENN KMLYFCQYSDCKADSRIGCSYCLVEQHSDHSTQIMEVQTFCKLFDDKKKQFMNLSESILK PPDKTPEIKFYFDQLKQYLLDRLVFIEKNVLQSMNQQLEWNQLEKELLNQIISLGSKNIY EMSQDELKESLDFIQGKHLQELEQMKQETNHYLGQKISQVEKAWNEYKKQLEVDLSHLLD ENNKLVFLDPQSKEFLEYKLNFYEQKEKKVKEMRQPYFTQLEVIKEEEQYQQETLKKIMS LQNSDFNLKDEENKKEKDKVTKAEQFLKQQKYIKQREKEREKEREKEREREKLLLTFPYK IYTEECGHRVQCNTIPIFGCCNKAYPCAKCHGNIAHPARIQVPSYRYCMKCLEIYLVMYP TNYSVNCLKCQK >CAK62511 pep:novel supercontig:GCA_000165425.1:CT868019:514808:516188:-1 gene:GSPATT00005757001 transcript:CAK62511 MNLDFICLRKHLFKDTIYQIDIQDEQLRLIDATSRKPKYIIKLDWSVEITWNLTGQEQAF GLKANQKFKWFHAKPQVISNLKKQLQKHVTSTDFNLFYTLTTHQSKELSNEFEILKKSDN TANLIARCVQKDQMDDQNIIYGELNILKLLNHQGVPNFEEFFSTQDMYYIIMEKMQGEKL TTIINTSKLQLNLRLIQSILVECLQILQYLESINVMHRDIQPENILYEQQQNKKKVVKLI NFSNSAKVGTEPKKCGTPGYIAPEIFLDQQYGCECDMFSLGCVFYKLLAKKDLFQGSSLA ELISENKKCSFNLKSLQLIRIPSSAQDLLSQMLEINPKLRISVQDALKHPFINDPFKPNS NQNSQNFSQVRSERYLIQKELLSVQSQKKKLDDDLDVDYFNNELPPKCQIPVMDSVKGGQ RFSSDSVQDNDQVCRRVSSKSTG >CAK62512 pep:novel supercontig:GCA_000165425.1:CT868019:516203:517215:1 gene:GSPATT00005758001 transcript:CAK62512 MKTSPQSFQRENEETVWHTRKLRQETEKDIQLMKNRLKLLKRGDAQLSKRIDETKKKTQS MIDLKMNHHQQIEQKKLTQKNDEAQLKEKQLLNYDQKKQQEEQLEMIKKAMEQIKLEEYK KIKELSIRNAEMINKQKQDFMVKNREKREHIKEIMQKSKSNISLYWNEKLSHIQKENEKA KQENKKACEQNKAYQEKMEMEESYMMQKLMRSQEVQKKLAIKLEKAKNLPHDEFNQMIKE EEDNSNNIKTNKSAEIPRWLPTPEKDFAIIQDLDTEPQQDSDQQKDDQGQKDDQEQKDDQ GQKDDQGQQE >CAK62513 pep:novel supercontig:GCA_000165425.1:CT868019:517753:518510:1 gene:GSPATT00005759001 transcript:CAK62513 MQINGRLTSGDRYPEVNIEQEAAEMASRILERVRQKSNAKSTQKLLVKPENFRSSDYIPR PSEIQSVREGTISITDRNELKFEQNFNKMNPLKNYFKSNNNQIETMKTPQRSQFKSASSG MQDIYQKTVKLNNNNYYVPEHQSQSTADKPDSTKLIKLTDSVNSLVNKKSDLLAKHRRVE SNMQFKLVLNAKPDQLQTPVKSNKEDIVSQLLGSLRNQKKYSDYKNSAQK >CAK62514 pep:novel supercontig:GCA_000165425.1:CT868019:518535:520285:-1 gene:GSPATT00005760001 transcript:CAK62514 MTYETKIIMNYSYNTCDIIGKGFSSVVYKGINTNTKDYVAIKVAKFHYLDQVINRKFSEQ LPAIQNEIHILRSLQGRHILKFYDHFASKNNIYIITEYCKQGDLGQKLKQQGYFKQEQAV AMVKQIIDGIYVMAQQNIIHRDLKPQNILLNEQEVRIADFGFAKPLNKLKNEMNVGTPIY MSPETLIKSQYTSKTDIWSLGVVFYELLYGKPPWVAQTEQELIYKVLNKSVIFPDFPFVS DSVKDLIKQCLIIDPYMRLSLNDLVQHPVIKKSSKQIVKPNETESTDQTIKSEVPNASDP WTYKNSDKKLVKINYKNPQINTIISKYLNPNTTNQKDNKQIKDNQSNFDEITSILQSQFN LCLFLNIMISKIRDQKLLTPLLNEKCRIIFSKHLQNVKENIYKQLIEGENRFKFKEWSLY CKENTYNKFSAKFILENAQFNKTIKDYNEMIKSNKSLIIEYQKYDSEFYQILFGLEWKII RKIIKNLILEFNHMIVQNVDFFNQSYQITKNQATEIILLQQLCCYLEMIDKFFLKNFDQE KFAKQSKIQTYLKAKEIKMSDYLEIRFQIKNLMRN >CAK62515 pep:novel supercontig:GCA_000165425.1:CT868019:520743:522864:1 gene:GSPATT00005761001 transcript:CAK62515 MIKTYTLQFASQEIEEIYRQSLLTGIKHRREYTYGILSLYLGLIIIKYNLQEVLLPQIFA GVGIALELALFFFMKKYWQNKEILITMNLILMATICECLRLFESQDFLWYQGQHSSCLKL IIYLQGSQFLIQSFIFCCSQAVAIYNLSQYDISAIISHCLITLILVMLRYQYEIINRKNF LSGLSKEQYENVIEDLLPSWVVVLKYNKLASQLQIEKINKNLKEKFNLQSNEALRDFLRK LTLYDFEVAAQSSTIKIEHEIIQILKSKSEDHPVQRYFGNLEKEVNSKQHKFKITQVYFK AFEPQVILLFEEIKEDKYDQFLNQIEQRDIQQHHNSKVSLLSIYQQMQLIQQVHTQTQMS TFIKKDQSCAIQQNLQKLMQQCYYLYNLNNNLSNLYKISHRQIKYEFQDLQTEQFFKTTC DNLLINYQQRRIDITNFSIKKEIIRTDKNKLVSIIMNLMEFMKILLSIIYTDESIIFQIH PFKKPFSLSIKQSKLQNDSILITLTHPNLNIPNSIINLIQNIQTISIDDKKRNWNNKNYY DMISSLNHTLSKMIDHYKNDIQSLATIGVELQNSGQQNRTHQHTQQKQFNTLGFIMAQYF ISQLGPFNKINFKQALIENDILNPQYISALYQTKIQFTIYKDLQNFSREISKLEGNNLDE NLFFSQKKYNNQQITDRLFQINFEDQQKNIF >CAK62516 pep:novel supercontig:GCA_000165425.1:CT868019:522900:523785:-1 gene:GSPATT00005762001 transcript:CAK62516 MAEQPLFITENKQILPTLEKIHPDQAKNMQELCKEMEGLIDQTNTNVNHMLKSQQNSILN AFKQVLENMKKDIDRINEKFHQYVAFHEQESQVVNAQNKMVFFRQECHTLNEQCKQLKHN VQTLAKANQFLENELMFTKEALLKQVQKNEKLKQIIKRFKEQMNENSDLKNQNLKLQPTE SKMLQDYQQHIQTSVKRGRYDSSHNNKNTSFNSIPNSETKSKFIFSQQTRAQSLQKSILA KRSGIFETTILDKSQQQNQTFEYSRYHSIKYDNENHQ >CAK62517 pep:novel supercontig:GCA_000165425.1:CT868019:523785:524527:-1 gene:GSPATT00005763001 transcript:CAK62517 MNIAYLSWRNQHEFSISDTDISDNEENTFEIEQNNSLKYLNVKPSKKHFITIQEKKQYIE EYTKKKKTELCKNFVQTGRCKYGYECSFAHGDSELQPKTHLHSKYKTKPCKRFFQQGYCP YGIRCQYIHDELINKTEFDGFLQNSYKELGVKAPISSKQLKPDLRNDIQRFIIAMNNKNI DLELFIYNKPRLCFFKQITDPQYNFLSDDSLKKLVVQGKNCDY >CAK62518 pep:novel supercontig:GCA_000165425.1:CT868019:525000:526005:1 gene:GSPATT00005764001 transcript:CAK62518 MLDVISTFSIHSCQSRRNRQTGNLSKGSTARQSTRPNQKFYKIQLDDLPFKTSPKSEQRE KIEIQPINEQNKQRENENENQFGSNFYPLINFQKISYRQLLKEVKFEKDIKSLHPSDKRN LIIYKIMRELFQELQFEIQNILICQHPISKLFYIYFSDTDYCPISKCCNQNVVIRIGEAL GGEIRCLNPKCPLGVLTTFEIEEKILWNSKFQQKQVISSLISSGKYRTKSLLQSVQVPQD SNAYVPKQQQKQSQNSWKRSSTFYQRASQTNYEQIYRYELSKNLLLNSQIFNKNFDDQID EKYKYKCKF >CAK62519 pep:novel supercontig:GCA_000165425.1:CT868019:526026:529932:-1 gene:GSPATT00005765001 transcript:CAK62519 MLNESQDIASKLQCQISKEVNEVLQIENINQNEFGIFRTKKGHLIKFDEFNDYTLDQDVL VYIDKKVKSQYVMQLEYEKNYDIEQYVIEGRNYVYIRTKLVNMQNKQEIINLHLLNIYQQ GSIKQLIQETLNGLQFSFKFKDQQDYFVQIIFATLFENDFKGTEPQVTNLVQQIQKNYIS CKVNECKKDKELFHLLQSVQLQQIAPKFIKQCSLKTSQSIQYYKLINSIYAANIELEKNE INFNIEFTLECIKLISYSEERNHIMMEFDQYFCIDNLIYHFRFSSIQNQQRFNYYVILIQ VNNDINQNQLIEELFFIIENLKCQKAISQNIHSIEKMIQINSLFIQQQQNESKEQIKIEE KTEKNRFIEEKVEIKIDEGIQQINTQSKLLYSNNNNEKYEGVQFIQINQSQGSIAQLEIN KDLPLEEDQQPREVQQEIDIQHVNNDDIFDQPLQVEEQQHQQRLNQIDQYEKKTQEIQES INFNDSNNKQQQEQKIESIVFDEYNQSRRQQENLVNQNIKNNNQEEHFQNKDHNHQHQHQ QQDDVQYGQKNHYDQYEPHHSHHHHHHHHSDQFRSTQYEDEQSYSEYERPIHHRHHHHHH QFHHHNHQFDDSIQENEDFNLRGQDVVPKRNNLRGRNFRNDSLYNNNDPEIIDKIIIEYN FSQQENELSQNQQSQSKKDHKKENPIPGPKKQQIQYGEDESQSQQNNQNPNNNNNKNKQS SGNQNNKQQSKSQTQSLSNDQEEGGNNEQGNDNQTQSNKQNKPNTSSTQKQNTKDKDDKQ QQKAPSNKAAKTNKSGSNQEEEEASNQNNNEDDQNNKEKPSQSKSKNNRDKDKEQENQEE NENQNNDESTNQQDQDQEEPAKEQDDEEPAREQEDEEPAKEQEDEEPKEEQQQDENQEEQ EETAQEKQKDKQKSKTTKKQSEVNDKKKQKKNKEKAEDQEEENIENQNEEELYDFNGRYI RNDPFYEHRQSKRGRVPFNYPTRDPFYDVFEDDRFWRYDTMRRQQVRYPFNGYPYRHRKQ SIDHSPFPHVNSYYKMHPYQQQTYHCSPWVGRDFYPDTGYGMSRVTAAYKGRYTDPDQVL RDLTIKQQQQKQWKKSMQQYRDQVGYKYGDYDLDFQPDYSQSYENIVQQYPDYDSTIQDP NQFQPYQEDQKKTHDYLNDPQYKFRQGRKPLVKNDRIKTFNRQQEENDCFVVYDQCNFQG NRLDLCGEYPDIPQYIDGFKIFSFIVPDNLQIRFFQSTDFENNFQNVEGTSDCLKVPYEL VPNLKQ >CAK62520 pep:novel supercontig:GCA_000165425.1:CT868019:530352:530742:-1 gene:GSPATT00005766001 transcript:CAK62520 MPHYPEEIEYSDKYFDDYYEYRHVILPKHIFKKITKGKLLNEMEWRAIGVQQSRGWAHYE THRPEPHILLFRRPKNTDPNTGLPPPGFSAPY >CAK62521 pep:novel supercontig:GCA_000165425.1:CT868019:531384:532635:1 gene:GSPATT00005767001 transcript:CAK62521 MKFILQCSICLQNLKNPVSLSCGHTFCQNCIQNSFETQEFCACPLCRQPALLSNNKTDEL LPIVQQIYEQEGTQSLLNEFPSLIICLCCALTPINPVVLPCQHMFCQKCIEESLKEELLC PACSDYCFNIKVNTNKKYKDLIDWYLKEFKIEEEQEMTQVLQNDSIFGIPVFHFDQSVII YGQTDFQFLEFRYQEMIKMVGSGSGNFVVSNDLINGDLVQIRSIKKTNKGYQVQVDGISR IKIKQVYSYIDGVKTYYQNWNIQQLWLCDCEYVRDQIFKENCFQQYKFIVATLEKLYQNI KLEVRDVFQAFMKKITTLSNSESSLILLASLNNNFELDYYSTDIEKRIQQIQQHFAILEQ KIKGLYDKDDQIQHAKLKYDSIEIVQYPEFDVTTQYFLNLFNVKKVLCF >CAK62522 pep:novel supercontig:GCA_000165425.1:CT868019:533206:533554:-1 gene:GSPATT00005768001 transcript:CAK62522 MYRELEAAINSIDEQTEKQFKSTISKLVKAFSLCMENDKADCKKYSTIFDPLKNSLDQEK QGLYKCVDENQTKYQQCIQTFQKKSIQIIFQQLTKIEKEIK >CAK62523 pep:novel supercontig:GCA_000165425.1:CT868019:533943:535193:1 gene:GSPATT00005769001 transcript:CAK62523 MIINKFTLNFKSKSIENDYRKLQQSYIKQEFTLFSIHTILFFSAYILYYFEEYTFNGIIV ANISVFMLSIILLRFIINSHPAWNEYILPILQIYIAYIWNLDNFFPTTTEDPNYDYDASY EYNWYYGLQAFYFHFAILQLGYQIWPQAVALIGIYIQYVSYYPTETLEYSAMCLTIFLVF IGLLVMKYTNEKTKRLQFRDSREQNRWIKIIDQVLEQSIVVIKLDQEQDQLILQQINDIS KSRLKIQNNIELREMLRNMIIENTHMEREEIRFKNLEQEIRDLIIRYDQYRFITHQVDVR SNVLNKEYKVKLIQQVLQDEFCVIAIFEQDLKNENHKHHLEVKCKENIFQFLLIQFFQQM KTASTRLKILYSMINFQQIRLLLNNNNENLTSI >CAK62524 pep:novel supercontig:GCA_000165425.1:CT868019:535227:535673:1 gene:GSPATT00005770001 transcript:CAK62524 MNIIFSKKVQISIKTELQGFVTKQVYLFSILMSISQIFDEFHHMKIKGKTILSVQRVKIT LIGKIGDAISFQNESFTQNQRIILKQLQKIITNKKIENQEKNQLLSIYLNKFLLWEGFRE KHFRLKTKDMVHVSFEFDV >CAK62525 pep:novel supercontig:GCA_000165425.1:CT868019:535819:540635:-1 gene:GSPATT00005771001 transcript:CAK62525 MDDEDKGFDNFLRKIKFAIFDVLAILDQGEEDSESLLLFYLQTVADYVQIHSFPFNQKID YIWKASSFLEIVFTAFNILSIGSYLPTINYLTFILSVYFLLLIIFLMVLDIIYVSYSFSR QRFRWMWPVHVLRSGVSIVVTLFFLPITETLISLITCETNQDGEYALTQFPTVLCWSGWH IFHAILASLFNLIFTIICSIVAYAFFEPKMKTKNRTSRQDSNGEVVFILNKVICQVLYSF LGPQDSWILIIVTFLLSLWLFKVYNIDDPYYDWEVGLFFNVISSYYLWTNSWLLICKILE NTTFNGGLIAWLLGIPFIVSIMASSRKSKIDMLIRQSNKFKSGEEINSHIRYVLQLIQNQ ERDKNSYMLLIGYIEKHKETCNPDECQLKEKNNKKISQNNFEAIISGLLAELDKLFQQGL EKFPQSTQLRISYAFFLIERLNNKKKAHQQFLEAQKFGRPAFDQEFIIYKNSKAMNNNQE KKEQNNDVISMIEFENNMTICEDMMKLSANLHKEFWIELKEDQPDLRKLNALGQRINKTT NMINESYFKMQKINPNIFQTIRVYGLFLIFVTNDKLKGRQFLRLAKQVQQSLAQVEDEES FSLENNLKPAISVSMIKHGVIVGMNQLVVNHLGYSKQELIGKSINQLMPKMYGEQHNAYL QQYLENVKNNHIDSDYINFDQTNYFKHKNGYIAPMKYKVMLNLEYLQYFVTFESNLEQQN LIHFIVDEDTKIYELSTGAINIFGLDNKAVLNRDIKLNDLIPDVMHKDKYDIHFRSNIKN EERLHFLRCTIKFIQIRIAADVDENQQQQQQYYQITFQNIDKTEAMQSNKKSINRQSIDI LKLNPTFQIYSAHQSSSFMYATQTNQQNLDTNLVFSMMANFQQGPRPEEIEQINNYLVRE EKQTITIEQTIGIDDVIKTRRLINGQIVPIDEEFEEQILKELEEQEQDDSIFKNRNDFQI NNREYKNFSKQKQQSTIVNALNTNHKHKQITKLKQYTLFYLIYFMGFAIYQFSDKQSFYE IQNESLTTMHYCYQINFELLRLFTRSVDHILLLKNFTQYTADDIILDINKTVINLNDITL KSVKYDIFNDLLMTDEIDFVTIQSNWIPITRKTRFDQAIVLMETYAQIWISKNSSTLNDI EMVNILNNFHPTMTSKLIQLNALLYENGYDKVERLQTSQIIQIVLTLIISTFIIIRVIIF MIQVKQQRENIMFLFLAIPDSHLSHFQKNCEQFLKQFVSIKELIAQTYDLEASSDEEQEI DVNDQVQEVKKQQEDDFANEHNRKQAQKYKKVLQKYQSNILKGQLNLVLSVILIGWIILG NTLFQFFDLVDKQSFLKLIWPQNYLYQQFTMNYLDHLNIYTLMLLNSSIEANGQSIKEAA NQHLSVFKNNQENLREFSVQVFDQFQDFTELYNTIQYFMICDPIKDIIGEDEYNKCSTYI NTTNLLGIIAIEQYMYQFFERRQRPYLNLTEEILDSPQLNDSLLFEINYCLFNYIGFSID YMIEQENIMIENVFQSSVNLSLLLTIIYVIVMFFFYIIVALRYFNQINKETNQTIQMLNM IPIEVIKQNKNLREFVIGLIKMMDEQQYK >CAK62526 pep:novel supercontig:GCA_000165425.1:CT868019:540661:541279:-1 gene:GSPATT00005772001 transcript:CAK62526 MYSYAPLGVSFASPIATSVVRPAPVSYVQPVSYAQPVSYAQPITYAQPAPATIKGESRYE YVPYQKSVVEMEEEQRIVKVPKQKWVTDYYPVEYQKEYVPQVTYEKQIDYVPVEKTVPRV DYLEREVRRSSFVAPINTAPPIHYASPLSYSVAAPIAPVTTSYVAPAYSTVYRY >CAK62527 pep:novel supercontig:GCA_000165425.1:CT868019:541355:543202:-1 gene:GSPATT00005773001 transcript:CAK62527 MSYYIRPKLNRPQTTSNHNRPLDNSAIRDSRLVKQYTTPKMGREKENLYDENIKLKSQLN ELTQQLLYARSKIQQLERELQRFENLIEDSIKPETSQQKVQIERYGLIMKMKTKQKQLLL ELQNKTKELTELKRTMRFTNYQELEIELKNYIDETLRLRQKLEYNEQMIAIQSSAEPLEK QILHLEKTIKVLQRDNLELNSKQLNNDIITSKLQIQLDENLKQNEKQLNEIIRYEQMVKE LNEYNRQCNDFISQLQFQNKVQVQSQQNTTSEELFKVQSQLEMLQNKYSQDMDLKSQEIR SLKTEVQRLNLHIQELEKVIENQEDKIREIECSVYSPVSRVGNAESPLQNGFKIMQIEMK SQVMKKKLPRVQLSDIREISMKLRLNLVKHKVQYQDIEKYFNDSEELSIHQVKNILHKPP FEIHDPQQIRLLSRYLVEDNSEDYLIYDQDRTNQTTIIKSVMKRIVGKYEILQNEEEQSI LQSIKQKLNPSLIETIQMMLTKKKNLQPGTCEQSDLDQALKFHEIQFTHREQERFNLICF EVSNSLDIIHYEKLLTYPK >CAK62528 pep:novel supercontig:GCA_000165425.1:CT868019:543613:544134:1 gene:GSPATT00005774001 transcript:CAK62528 MFEKALKFQVPKKGDKMKYVQPLLPTINFNNSEKYNDSTIIADYQSIGNRHYHYNSQKRN QIKSIRRSSRIGYTQMIDNYKNTSRQSQENPFSEEFLIKPFQNNRNKEQLTERQPIMTMD YQLHKYNTIEGTTKSIRYKSQTQKVEQIETNMKISPYIHQNKRFQCIDYFYII >CAK62529 pep:novel supercontig:GCA_000165425.1:CT868019:544136:545454:-1 gene:GSPATT00005775001 transcript:CAK62529 MLGIYQNEQLQYRFYLIQQQSSEEMNKIIILAMIGLMSVSAFDIDFALLLQTGSESNDAV QAVYDLLNDLKTSNIEAQGVADEKNISDEEIGQARIAALSKVNELNQKAWASAKARREQI GIEYREATDYIAWATQRLADIDRRSVELQELRCFSNGLFVRAIKQHNDALGVIRVLKNDL SGYLTGQPSSLVEINVQNVSDKLKQYSQLFNQDAMTKFAQLAAEQASGNAELHALGQENG SSSSTDRQPGLNVGQLVYNALSDLEDQLKSSLANLEANEIAAYYQLADWLADTESEVAHL NDEIQRKTQLQDKLVVQEQAALAVQAKANSVLKDSQNAINAATASLYELRDLYETELNRR NEENAIIDEVIHIFKQQVLEMANQTSYGKK >CAK62530 pep:novel supercontig:GCA_000165425.1:CT868019:545596:545973:1 gene:GSPATT00005776001 transcript:CAK62530 MSDSLRSLSTNQSIEGQVSKKKKVQSTSSKTNRPQNISYNELVDLLHPNHLFINISLEDK FNAIEILESAYFPNFKFVVDENLEDTEVKWKRLPSFIKLTT >CAK62531 pep:novel supercontig:GCA_000165425.1:CT868019:546237:546524:-1 gene:GSPATT00005777001 transcript:CAK62531 MNIFKVLLLVFLILSVTAQQLRGNNDQPDTSSEVKKCSCGSGKTTTGGQGQNKVSLLQYQ DALKKLHEGIKDASTDFKAKHI >CAK62532 pep:novel supercontig:GCA_000165425.1:CT868019:546556:547415:-1 gene:GSPATT00005778001 transcript:CAK62532 MKKLAQSTDFDAVIQKLNIQCKTKMDDYSDPMHKIKNSSPMIFGDYASSNTPIQLQLSPI DTNQKSYISLLSDNIEFSVKDKKQGSNSQQSLEQTKYTTSEGISSFIQKEKIINEEQSFL NKRLKEIRHIYVKKLEQLDEEYLHERLQILAEFEQHLSQKSPKKLKEQPLKSALKKKDSI CSSRYYTQNINDSQEDDWKFIRDAQNILRRQKSSQVEEFTTPSKVRFQKKSKKSLLKNDS FQVLQFRY >CAK62533 pep:novel supercontig:GCA_000165425.1:CT868019:547903:553639:1 gene:GSPATT00005779001 transcript:CAK62533 MSESENFKLRREIIQLKKQIEQLREDGRQKDQRVAFLEAEQEFHQGRYEEQKAKYNDCFT RMRELEKMVNRDDAYTKETLKNQLDKAKDDLDREKAAVQQYIDQLEKVKLENGNLMWQLT QLSLKYKDENIVKDEFHYRDEVQDNREKLKNLVEKTNALNQAIERLSAENRVLRKMAGVP DDYQFELDDVIQEGQLQVEKYRAQVIELEREVEELEEERARLRRKLREQVAGGEVNLEEF DTQSNNQLRKENQELRSKVKMLEEENAHIRQNQQDYGAMGMGTQQGIMRITNDQMQEMMQ KQQQELKEQLQEIVKTMKGQNYQETTQVKQSIISQKQVVDTTGLDKLSVPPNPIPGAFGN YNDIKEGYSQRFNVRFPVDMSSAYGDSGMDQMQLKYELASLQLQNIETLRLLQQKEAEYT NLLQEVTTIKEDIKGKCLLVQDQLFVKYIEERDKFKVMYGEIQEKYKAQLDLNVEAKIKL AHYEDFMRDREKPKKDLEDKLGEMAKRTAILDVNLIKLSRKYDALTEEYKQMKTSYTIVE SESMAKEKELLEKIYNLLSWKKSAEEHMKVMIKELKNSVPSDLFNNLRQKLEYTQDKLAN AQLKEAEFQKRIATLESHEREAFERGERVRQLEEELVEIQLEEEVIKKRLQSFDPAFDKY VKIYEHIVEFIKIKNISVIEIFKLFDENGDNKISRSEFTTAIGNIGVPVNNDDMEIVFMF VDLDGTGQIEYQEFIRKLKRSGINLRKPEDQLLYQLYNAIKESGYDLRSAFQAFDTNCDN VISKADMKEALLQMNIKHDQKAIDYIFRMADTSGDNQINYEEFYVLFDEIVKSELINQGK SIQLTLDIKYQIMCKLDQSIKDQRLTLLDVFNMIDRDGDQIITLMEFQALFRELEGGIAP DLIRQLFDQMDQDRNGQISYNEMLNYLRTAKTEEEKYQKLQFIQQRTEQLKSLQEADVNL QKAKLENKGQTLEDRLKMKISILEMRERNAHQKCEVLLNQLTNTELKLKETTKTLFELQN QMEKSNDTFYRDREEKLMLLERLKGALSREESEKMTKQIEQQRLTISDQNSAITTYRQLY DTAVMQTKSMKLTIEKIKNDTDTMQQTIKELQAISDQNMMIGKLYHHLMVARWHEAQTNQ KYEKVLDELRKLKLQSESYDTTILKQSQEIISQNTIFSEQIKSYEQQLTELKLKILPTVT LQRVEEQAKRVKELSDMKSEVERQNRELRDRFYELQLKADYFDNYKEKLDNLERILRQNN PDELSQQIITVSQKLSDEKIELLRVRREMQVSAEKQEYYQRLNKQYTDTIKKLEYELAHA DLDLRKREEDWRKRFYEQRKTIFAQLGLIEKGDIKEFSQKNTDASKMLIDAIQKKQNQQT EYQNQPTEQQQIENSQLQRRIQELEAQVKAKSIEIEAYVERQKIVAGGSTVFQQQQLEDY TKTLLAQEAEKVSTAGQQMLKAMQEIIKDKDRELERKEQQIEAMRQESLMNKKKDVSEIQ RLTDLLHKRDQALQDANRMGNNTNFNNFSYLQQNQMSELQPVLIEKYQQQLSEKDRKIKD LELSFEAEKNALKKVKEQLTKTIAMKEQLEIDLESEKKLNENMQYVSKIEKLQNLVNEKN SLLASQKKTIIALKEEQFKIAGESMNKEQTYKSKEAKLNNNTTELEFKLTKMVNETKLLK EEIKDKQKKLEQLQEKEIQNRAQMTDLQKKNSELKAIIQAGGGKLEEQDQTVTNIQAKLQ QFNQMSMEKVQLEREIQFLKQQGGTRELFDKDATLKPSTGLYFKTFSDLLDTLKQYLITK PKFDLLFEFKKLDKQSEYILPMAKFFEVLSNAGVKLKTRDQKLVIDTIKTKDENADYYFK FYYVYKGWADNIVQTDLEDKSQLQQQPQIQQQQSQKFSLKNKK >CAK62534 pep:novel supercontig:GCA_000165425.1:CT868019:553681:554878:-1 gene:GSPATT00005780001 transcript:CAK62534 MTDKFNCDSSNRTDDYFMQVCQPLSSNRCLQREEIEFKFRQSKQDYVFPRIPFEETPDKY QTIESPQRLKTEGNEKSEDQLDLNFNFNSSSEHLSDDAVVLQPKPYQQKLKPPQPKKAKH AATQQTYRTILNDLERKLHTINCKDFVKKKCEQKGASRAKSNSNIIINGSISKKNQRPFE IDTKTSSVKKSIPTESKKSLSKTNLAAILSKQKSKSNPSKANTIFNVNNSHINLNVNINS QTMDLQQQALTVAQILQKKQLLNRSSLSSYQRNSNRCSTEPLEEMKARLSNNNQKNKTTV TNQSRCNTGMDVRAQSTIQYLNQMKQQQKQKAIKTAIITILIKAITHNADQALVKYKIVK IIIINRRSSTNTSKPRISTSKYKKYNLSIEMN >CAK62535 pep:novel supercontig:GCA_000165425.1:CT868019:555966:558663:1 gene:GSPATT00005781001 transcript:CAK62535 MNTNRTDHCLLQDGYFGPCILIDSANINNYKKNSPSKNSITSDISQKEIESNNISQNVEI FPTILQISKAKQNFRNNKGNPNQQSQSNFIKGSKRQLLEPKIQKSERPSFMRTLVKNNII NKFKNNLFSSAYVLPNEMKYILENETYIYEQKEKTKANQTKIEMNKENGYATKVSELSML LMPGKNLTILWDFMSLFVLFLRLFFCTMIAAFGQQDKFFKGIEFFFNTYLIFESVLTIYR PIILQGEIISENQQIWILYSKNQLIEDLTSFIIWFLIYFDLNEILVLNEILAISQIILTV RQILRKYNIQIEQLYLKGFNSHFLDLISLIIIICFFAHFTACLWHYIGYITINQGSWLTY AHIINEDIWAQYNYSYYWATMTMVTVGYGDITPKNQVEVAFASIIMISSTCMFAYSMNSI GVIVKNIYDEQTKFKRTLILMSKFMSKNEVDSQIQSRVKNYIKFSIEHEVMENKEETTKI LNDLPVGLRLELESDIQQKTIQKIKLITDYFSIQTQNQVKNNLIPVKFTPKDIIYHREDI KDKNLYFISEGEVQILEEQSQKIIKRLKAGDVFGEFQFFTGQNTKESTISVGFTQLYKID RDKFISIIKQTQKDYEKFHKIKDSILYTKNYTSILTKCYLCNKFSHKDIECPYLTYQPSK YLKIVKFNKFDFNEREKFKRKEKKKIKSQLDQQQIEASIKDFQEFLSISFIEEYNTQVQT YQVSETSGQVETTPVEELREKSQNSIYRNIQQNGLIQQSGMGRRGSNTPILDYEKRKSLV LAKKKLSFTEEEKRRTQIYRETLIFKQLDQFQVKQQFYVLEGFDKMQNYVDYLPHNNANQ VIRNSNKEKPKSKQIKPQVFKFSNSFRVMKNI >CAK62536 pep:novel supercontig:GCA_000165425.1:CT868019:559320:560752:-1 gene:GSPATT00005782001 transcript:CAK62536 MDNATILTLQVYSKNIFFDHPYELTYDGDKILFYKLKQGVYIFRFQLLIRIMTQIKWITK IEGNLPKIIGFTINIKKKFKNFLCKDVNGLLKQLHHQMNVSLKNFLSCKCSFANFDELFN VKSEISRGSSGSVKKIQCKQTGNELAVKFISKKDLTPLNYSILEQEIAVMNKVKGYNYFV KIQDLYQDDLYYLIIMNYLEGYSLSHYLENNKRMNKTFSLFQIFSIMKRLFEALIKLSQL EIIHRDIKPQNLVLAEEDDFNSLTIIDFGFATFTNINRYLLYKCGTPGYAAPEVLNSQCT YYSFSCDIFSSGCMLYLLQLTDSFFRLFNELPFKGDTTEQLVHNNRICQLNLQQEKYFYA VIQNLLKSLLEKNTSDRITLKAAYEQFSSLYEIYKQRCDTEDCHDSSPLVNRLPNFDIQI GRIFESSSIKEREQVNKVVLS >CAK62537 pep:novel supercontig:GCA_000165425.1:CT868019:561311:561778:-1 gene:GSPATT00005783001 transcript:CAK62537 MGANCCRQYNDKPYELTNLNQFNTSNISQKKSSTTANILNKEKCQQQDENFKEEASVTYI HEPHKLEGENQEIKEVNGDVDAVESIQQSHQFLQDCIPNNNESSSESAESLHCDDTNTKK TILKHELRYCQKQGSAQNKEVIKKKVRFDLKSNVK >CAK62538 pep:novel supercontig:GCA_000165425.1:CT868019:561806:562330:-1 gene:GSPATT00005784001 transcript:CAK62538 MQCFTQKKNKNQEDEEEELDVVKLRYMELDQEEQKKRKPIRAFFNEFQEQSVLQLDAQDY EWLRVKFQDLKEALKTNDEKIWQKEMQENKQIQHQQKVELDQLLEIFTEQRYKIREMEAQ KEKKIEELDEMKKTYLKVLIKTSAIKKELINQQNKFADQVHLVLNQIQEANLYY >CAK62539 pep:novel supercontig:GCA_000165425.1:CT868019:563272:563960:1 gene:GSPATT00005785001 transcript:CAK62539 MQNQNFMPVLIYSQPGAYPQYYPMYYMSYMPNYLIQPQYQSSQEPEIKQIISKSSDQSSF SNHNFDSNNLLNSELIKQNKNETAVENNEENYTNTESNKPKQKTGKKKINLLQKSTNIQK NYAKAIVSYACGQRVLIINTLGKKKGIEFLKIINQLKNKLKNINHIKNYTQKESFLSMFR ILGNKFLKNEAISYIYHSNIQQKSCHLKNLKMIEQNLLKC >CAK62540 pep:novel supercontig:GCA_000165425.1:CT868019:564060:566991:1 gene:GSPATT00005786001 transcript:CAK62540 MKTGSIFNPRSVLDLTYKITAMDAFQNFLFTGDEKGNIYRYNLTLESVNVLAPNSIQQQQ FTKAKIDQIKIFPHANANLLVLSDQALYCIEAATLRGEVIYKEKVAIFALNEFSKSNQLE LVMITKKKEGYIMQYNLKTGKFEQMREKFILSDIPITVAFIGNLFFFGIQKKNYSVINLD DKQLQVANLLMDIGSNPYLKATDNDEILIITTNNVGIFIGKDGQMKQKSTILIQNKTISL ITTFKQYLIVIFDNLLQVFNLSDSKHMSDIQLSQAARCITQTSNHLFYGSAAEIIYLYQT PAEQQISELLKNGRVEDALQVYSQNNQAADPSKNQQLEQLKLDCGWSLVRQMQFSNSLNY ILQTNFEPRDFICLFPDYYPAAEKLQSVNPNPSLQTISLIINKFVQESRGDPTQIQELKF QAKEFLVEILEKKRAVLTSPQYAYSMKDKLNLLTTTLNFNQNQWQPIPCEQLLELIDFAL IKLYLESSQYFPKLKAFFTSNQIYCIQMYNQLQGLFQNNRGIEQQQGILARFYESFNKID QSLEIWKKVGRESIHIQEQQEACEETTRILKQNPEKNRIFKFIVWVFKKQFKVGVQIFQI SDQIITPDQMLKFLEEQELDAELKRKLKEKYLEILVLEKQTEEERFHTQLAYSYIDSLFQ QYPKEMDFSKIDLRKNQVVSDQYQALKRFLKNPNAKYNSSSILEKKVKDSWMINEVILLY GREKKHEEALNQLLSLGFYEWAEKYCCDYTDNLLTKLFKKYKELYFYLEGKQKERPTDQQ AQNAFNQIKTTINSFLKKFATHSQLNVLEVLDLIPDNWILSDQSEDDGLFQFLKSVISKL QGETQVIRYIRKVDLLYVQCQVATTKQSNVRMTSEKQCSVCSKTIGEKVFVVYPNSVLAH HTCIKSNTICPQTGRDFEKYFKF >CAK62541 pep:novel supercontig:GCA_000165425.1:CT868019:567234:569695:1 gene:GSPATT00005787001 transcript:CAK62541 MKVIQRFVVMLYQINGLGFSIYYYSTSSENSNLAYLILQSILGLILLYIQTKNQRIQYIG NIIIIQFSLFWQVITNQRNIQYNSEAQVIIAHFLTTQLFQDFRRFQEQQVQIRYRLIWFL LLLQSTFFQILYISQTSEIDLCYCQGIICLLLSFVINYTISIRKPTMMQSKANESFQHIN CTQMIKRKERALSLAESEKISPKSNQSPRFQLIPELSFIDQFLNSNILDVKLQYSHEGLL GLRLKQNNNQDMEIVYSNIASRALLSVNSQKEIVEVLNSFTNLNYIYHQNHDDYELHLKH SKQLYTKSMAFLKSDHINQSPHNQEVIYLFQTHSDKNQAPNLKDIFDKFKSDSFNESFII HVLFPINSVTMSQSEKQVEKLLELTLIKKHVNLYFMLIRDITHKQKIRYLKEYDMQKSKM LSYVSHEYRSPLNCIIQMIEDALHSNQLSNDLIEILQAALDNSNYILNLSNDLLDLAQIK NGKFAIQRSKFNLIQLIKEVLKLFELKAKITNINLSLSFDNTLSSVIVSDRNRIKQILVN LVSNSFKFASSKIIISVTSINSTSLRIGVQDDGIGISEEDQKKLFKSFSKVNSEESAKRN EQGVGLGLVISNQIAISIGCGGLQIDSKQNQGTYFYFDLHIQTTRLKKVSSFRIKEISAS FQEVDEGQTFHAEDNMKIDIEPPLLRCKHMLLVDDEVFNIFTFTKILNKKNITDIDSASN GNECIQKVKNKKCSTFCTGYRIIFMDLEMPILNGLNAAKQLLQLNPNQTIVACSGYIDNF EKENCLKIGMIDYLVKPIRDQELTFVLNAYYF >CAK62542 pep:novel supercontig:GCA_000165425.1:CT868019:570345:572099:1 gene:GSPATT00005788001 transcript:CAK62542 MNENIIIQDDYQITAEGNFSISYQGLPGYRELYLIFDAKTNKSQSGILIIVNGIRRGVIQ LSGEYTQFHLQFQHQAQDVVIQIRFEKYTKVEIKNFNVFIQECQKGCKYCLSGSCDLELF ENCNKLRYKDQCLEECPQGTIAEYNSCVQASNIFVQGLELTEIEQVKTFLQEELNTIKKF GGEKQVKLNFETDFNGYLEIVFNCYSKQERNEDQLIKIDLMNGNSQVLYPSLFTYWNLQF KKQCKSRFEMDCVQVKGLFEIDFLKQNQVNINSYSKKIEQTGFWEIESLKIYNVEQRSYE CEIKNCSQCSYHNQCAKCAEGFYVYQNKCIKKCPFYTVRDETQRYESKQPVDLNILVMLV SPFQDIKEIFGQISYDLNEKISLRYENETTFIGGGLLDQWRRTTYQKQMNLGKHYAIRIM FNISIENSSIELDSFFYSIDGRTFNVYKNTSYVDHTINHNKNILNLNLGCKISSNGRCVI SNYSFMTMKCSPLCQSCTGPSQSDCKVYQSNIEKFDYQNHQCQDGYYLSEKGCQVCSQGC LICQSLNKCLKCQDTREAEFICKPNL >CAK62543 pep:novel supercontig:GCA_000165425.1:CT868019:572155:574216:1 gene:GSPATT00005789001 transcript:CAK62543 MFYLNYIIAILLVNASVAQWTITHYEGYDKSFTQNTLDNYIQLNCQAYNLPIIAQQGSLQ KVISLKDLSPFAKTKILVEFIVPLDSLYSIQIQNKTIDSQQTWQLGYGFSMINECFNTSD YKMLSFNYELEGKNYTEISINIQLLDNKSNQQRRYVGIKQIHVFQIQCPQHCQNCEETEN RIYCQDCQQNFTLQQNLGTCSCQNFIFKNQCLKQCPEGYSASLFSGCKKVEYLETSTFGQ NTNLKINKNFEQSIQLKKSSQVRLDFELILSNFKVFQQIEITVNDIYQGGITNYYSNLYI SIFNISETKCQYSSNCTLIKLQTPLIDVNSSNLNLNIGFRPTKSKGHKQRYNRFEDLGDP TKSEDSEIIIQNIQLTQLLIDESICSQDNCKVCDLKYIEQDECKQCKEGFYLFENQCLSQ CPEETVVEGFYCKAFLNKQTNSQLILKDIDITQENWAGETSLPDQHSVFQFRFNKTNLLF GGKWRRQEFTKILILQPHYALTYKFTVSYIDLSDKDEETGFFYQLDGGEYNFINPKDLIQ IDGDANKPDSIVEYSKYFKHTNNDIMITIGCNTNGFCFLQDYYLIIHTCTPYCKSCTGPS KSECLEFTRNSYEFDYKTKQCKAGYFESDDKVCQRCEVSDCSECISKYQCQICKKGCHLH LQGSRCTCE >CAK62544 pep:novel supercontig:GCA_000165425.1:CT868019:575113:580381:1 gene:GSPATT00005790001 transcript:CAK62544 MKWMQLFVLRMLMQIVHCGKILNIHQGWELFKSELIDSSTFSLWKNSNNSPGQNLVQYCD LQPSLNSKLLQGDALLSRNIIMPTSRSFSKIRISFDLYYINIWSSVESITVYANSLLVHY DKPRTLINQDYPLRFCVSRPFGKSYAEQFSRIDQEISFNDPNLTIEIAHDRASIGTTSEY GIGNFILQVYFCDDRCEECDQNECVLCKTGFQLIRNQCICNPILQFSYFNPDLTCVDECP LNYASDKNRICQPSIVSALYSDLQEDTFNSYKFKFVADKYYSVNQLKINNVGSKSIAGMF SNTDSIIYDDVNLQPAFKYMIKFHLYITGKISKIYPDSIQILFNQFVVAQLPDFNQVQLI SPIAESTTVGQAQSCSISTYNHCAQYEITLQVELNELVTEIMFKSKYQLNTPSRTWGIRD FQISKYELISNAIQCSNNCYTCDQSKLNVCLSCSGTLKLFNGNCVSQCPNYTVTIGNSCD DSTLTSLNEKYLLNMYHDMNYYHQDTVICILTLDKCTLVPYSEIKTSYFMNRIILGGYGM WENQQINLRIFHDKLIYKVKVYFNLVFIDSTNNQILFRTSVNNELPQYSMFGSSISVGNQ VGQSKGEVVQSVSYVKGFDSTKELRLQLQCIQGIDTDAYCGIYDFRIIVSTCQDNCIKCD EHGNCINEIDTVSQDIDGCKTGYYLEKDTCLQCVSGCTQCTSINKCQACKAGYELKYNTC FCSLLKERIEYCEQTNCFHNCKTCIDTRESYGIWNQNHPKSCLSCDETKNLWLNVNQCSC LDGYYMEGFSCYLCLPTCLKCYQQARVCTACHPGQNRVLDKEQCRCQIGYFQEDNDLVCA KCSDLCQNCNFTKDQCNACYSSQNRRLNADQCICMDGFYESGDLVCKKCPSKCKTCLNES TCLTCNETQFRILSIDNVSCTCQSGYFDQSSDTTCGKCHSSCLECKQNNNFESCTRCPTT REPKYHSNSNVNLFECKCRRGYYEINQQQCSSCADYLNPPINHYCYSNCGDGILQWNEDC DDGNNIGRDNCYKCLHGNSFCFDYTCTGCLAGQCTGCIDGFYLTQEFICLPCNSSCKTCV QRADNCTNCIIYKEDQSGCVMCDQNLGLYIVDSECVPICGDAIKVEQEECDDGNLTSGDG CDQTCKIEPGFECGSHCEKAIYPNILFEVNTSDKKFDKQRLVRIKTDSLVSITGSIGSIF AFKINNCDDYDLTLVDLTQYSDKFTQVFLELTITFKQSVPDPELVCQIINQNAVLNQDGN TFGEKNYMIKLKEFEEPSLLTEQATDGLMKLSKYVLYLLLGFAILAFLLGGLNIFWNLLD ALQLVSYLQFFNVSYPYNVHNYFTIFGFAQFDFIKNYLDLETFISQYVNTPDADPKFRDQ GYSTVFYVNIIPVLTVFVTTLLTYIACTALLSTLTKFSHSFIYVPLNSQEQSICTFFIYR ITRAIQIQLLRFNKAFSSGLIRTFMAVAFDYNLAFFLQLKDFILSDPILFTSFLFCLLAF AVEVKFISMAINFMSKPAFVFKQKLSLDNFGAIYEGIKLEQNPFTYYFNIVLLIKKMLFM LCLVMFYSSPCLQVGFVSLLNIAMALYLIKVQPLEDKDEQYKQVGSEILIWLAEMLILGY AINEQSNSLSVDSQLTIGWFVIALTSCLIIFQLFIDVKQHVGFLINEYAIVKKFFYKLKK MFSGRQPEQEEENIFLKGKRRLGIENLTSQTNIMTNKLRNPSNFKQGRMVTFTISSSSS >CAK62545 pep:novel supercontig:GCA_000165425.1:CT868019:580428:581683:-1 gene:GSPATT00005791001 transcript:CAK62545 MSLGFIQLGQCGNQIGHALFDFMIQETQMSSPGAQALLNEIFFFERKNKQIAKSLLIDME PKVVERCLKAEYYDKAFSLTKQEGSGNNWAYGFNNHGPANKNAILQIMDTLLEECGYLES LFFISSLAGGTGSGLGSYILELMADRYPEIELFNICVMPHLTGEVILQSLNTVLTIGSIY QHSEGIILLQNDEAQALCNNLLNLKSPSLNDINQVMSTNLASFFWPCLTNQVYSTFHNNL QYVQELLNITNNQYKLLQLNQIPQLPQQSKAFQNDTWSALEKRIQQMVLTGTKEYNINWH KKGQQPFKQLMIARGNDVKKHQFNWNTVQDEHQFNKNEKSITVVHNSSNIVESLNQISDR ANQMIQERAYLYQYEKFGVKIQHFQESMGVVEGIINDYEQLIQ >CAK62546 pep:novel supercontig:GCA_000165425.1:CT868019:582212:583023:1 gene:GSPATT00005792001 transcript:CAK62546 MTDINLRENFLKQHKFISIFLCYPIKTLIRFQQKHGTLLQDSLKLIYKEGGLKRFYSGFF YRYISKSAEFIVLLEALNSWSNITQNNVNPINLLLSFVMAATIQQTFVPFNSLYYFQQVY GHTDGKELLFYKVKQNGGLVFYHGFWSFLCGSFFGGLGAFPALQNYQNYNTQEENKKSEY SMFYLFSAFLTAEVISNPFKILAIQKLTSIECKSYAQIQHQLMQEQGYQWIFRSVDTKLL YSFLKTLFIVYVFDYKKLSREL >CAK62547 pep:novel supercontig:GCA_000165425.1:CT868019:583026:584193:-1 gene:GSPATT00005793001 transcript:CAK62547 MDQSILFKPLKMGDVELPNRICMAALTRQRCESKDLVPNDIIKEYYGLRAEAGFILTECV PISQRSLAFPGEPGMFTKEQVEGWKKVVENVHSKGGRIYAQVWHAGRASLSTLQDGLEIW APSPIKIRGIHRRGLIEHEVPHEMTIEEIHEVIKQFRQAAENCKEAGFDGVQLHGAHGYI IDQFLRDSSNKRTDEYGGSVENRSKLCLQVIDELISVFGRGRVGIKISPVGRLNDQCDSD PLKLYTHLVKELEIKKIAFIEVKDDNDPANLFDFGYPSSKEQIPDLFEVFRPLFSGILLA NNQYTPATAIEGIEKGRFDAVTFGRLFISNPDLVDRVRNGYELNTKWDIDTFYTKGIKGY LDYPLYSQIQK >CAK62548 pep:novel supercontig:GCA_000165425.1:CT868019:584386:585488:1 gene:GSPATT00005794001 transcript:CAK62548 MRQMQQYGSQGYPQQLQQYGSPKAAIPSFQQTAQNQQLQTQQSRQGNRADVHHFERPLQV VPQEDIEQKWKEKCLRLEMHVYELQVEIQRLRLQNSSGQITYIQDDTRINELMQANKEIR NQEQQMRIQNKQLLEDLESWKSRYKLLQESNSKSSGLDEEIRQLKKKINELTEDLAGSHE QIQLRDNEILNLKQLLHDKDNELDQLDARIRELEMMCENYSQSETQIISLQGEVELWKKK FKQVNEQNSDLAEKLTMAETQLEAIKKRQVTVTKETEVRKSGTHGGGTTTSYEQNVIKGA QLLHPRGSQYLK >CAK62549 pep:novel supercontig:GCA_000165425.1:CT868019:585519:586033:1 gene:GSPATT00005795001 transcript:CAK62549 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLLALWLGKVEK >CAK62550 pep:novel supercontig:GCA_000165425.1:CT868019:586036:587319:-1 gene:GSPATT00005796001 transcript:CAK62550 MDRNIFANNPMIIDMGSSQIKAGFGGEDKPKVIFNSYIGRPKVKALSATNSQELYVGNQI TDSIRGNMKIKYPYQKGIIKDMNDMDQLWKYTFQELHSNPKECPILLTEPSFSSQIQKME IAKQFFENYDCPALFFAVSGIVSLFASGKTTGVILDVGDTVSQSIPIYDGFYIQHSAQRV DLAGRDVTDNLNNLLRRSGYIFTKSAELEIIKKIKEKRCFLSPTMIAEEKFQEERKIKDQ YMLPDNNTIEISYEKQRAPEILMSPEKYGLELNSIPEILANSIQKVDLDLRKSLYNEIVL TGGTSLMQGFPERLIGEVKRLIPKDAKVKIWAPPERITLCWQGGSILSKLASFKSMWILK KEFEDEGERILIKKQL >CAK62551 pep:novel supercontig:GCA_000165425.1:CT868019:587757:590747:1 gene:GSPATT00005797001 transcript:CAK62551 MINRSPTMKSIHKQNQSLDPLLILTPPSSLREISCSFRGNLNRYVSIQSRRNKYEQQEDL HQQRQFRLHLQRLYQESFIKQLLDKAMPASRMNNYQKQVLDDLQFGQDTQIDDIDSTCYK FMFNYLNNYPIIQPQSSLKLCSDIIFIINTLMIFIWLPFKYSFDFDSILQILNYDYYYII EYLLVVILIFEMIISLNQAYIFKGKVINQRYHIIYNYLKQDMIKDLICLVSVIVLLFQTL EVAELNLVLLCIFGILQGAKLLKVVNNTQEYFNFQQNNIIPLIINLIAVIYVIHLVACIW YTLIKDINNLKSLENVFQQYVQCFHWASVYLTSSGLSNLESNNNEQLIFSSMISIISILI NGFVTIKIGFFLYNHSQRIKHNQYINMMTNFMNLNSINLNLQHRIRSYLQYIYKQEQQMN DEDITQIMGKLSLQLRSELKHQLQANILEQCKMISSNFSIKLRKQLVYYMEEVKTIPEQR ILTLDEQDDSSIYFINKGEVNMIFEQTNNMNEKHSRNHIKTLQKGEYFGFLGFITGSTRT ATIISKGFCQLYKIQRRHFVNLLEQFPDDKEKFYMIKDKVQFQQDLSMLDVRCYSCKSTS HLVNQCPFLHYQPDKDRVIKQQLYPIQQEREEIQRKSKYINAMLQQAVIQDLAKELMKDL EHVEGGENLSEDESQDVETRTIKSTSNIQTKSLSKSISQYSNQLSRFSHRPSQTLTVGLS QNYQSSKSQEEIGKFEQEVLKKMSLQRKSQQKETAGFGAKFESQMHNPIQENDEDIPVID IIDEEFQLQQQENQKAAQQFYYSDKSPRIYRRVSQRVTFDQNQLGMRKRRSSLIYENYIQ QLYEEEHYQIKFPIITQPSVGDFDKICEFREYYPEYNISRVMKFLQRVASTYHRKNINSL YSFLFIAIQKAQAMKDKLNHFQSRGTIKPNSNKRIYKKGKVKTVIF >CAK62552 pep:novel supercontig:GCA_000165425.1:CT868019:590813:591181:1 gene:GSPATT00005798001 transcript:CAK62552 MLKRDKKQKTDKIVQTNMEEDPREFYLIDTQEEVSGHILERLRQQPIVQMKKVPFDKEHG NTIDSVPINIKSHVKTDNELYFELQYQNPNLLTSYYPFYFLRERFPKLLIEYYKNNSVLI LK >CAK62553 pep:novel supercontig:GCA_000165425.1:CT868019:591191:592708:-1 gene:GSPATT00005799001 transcript:CAK62553 MQLSQSFIKTDKKCDRQHDEGADRLIAICLNPHCDKRLMCCFCYHDYHCEHVKDVKTIAQ VQQLCKDSIAKFDKLQLTIILQQKADQFKSIVQNINEELERLLQYYIHDIQQLYQMLEDL ADSFVILQSGNINQFTNEQCELLSTFHDERILDNIEDISNFIGQKMGIQDLLKISNDLHE KLMMLETNEIFEKNIYNEQLKKFVQSHEIKFDWIQPEFLLTRSPEIAKIVYPNEDFYIGE VKGDKKFGRGLLFNKIAQTYQYGVFREDNFVWGQQLMVDQKKRYWIKQGKWVNGKIEGRG IHALFKGEYYQGDLRSDIRDGFGIMRYTSGDEYQGQWKMGQFHGKGLYKYATGDEYEGDY VQDRKEGIGSYQYKNGELYVGQFKAGLRHGSAIVKFPNGEIYTGEYVNDKKEGPGVYKYI NDNIFEGNYKDGQRHGQGIFTDVENKTREIGEYVQGKQHGEHMVFKLLKDKPYCINVYDN GNLVNTKSL >CAK62554 pep:novel supercontig:GCA_000165425.1:CT868019:592744:593906:-1 gene:GSPATT00005800001 transcript:CAK62554 MRQKDRQKSKGKYLSISKELVQIVDVHGGPNCKNWGQVARHLEAQVGIKIEKAYRLKRAW ESFVDYNENMSKEQLATLFETAIKTRGNMEYAKHEFKRLTGIQLYSTRYADYVKTWLKPG LLGFKDCYIFSVVKSLRRSDFYQKHTMIQPITVLSMLRILDHDIEEYKDDFFRLQELLKC YASCFSDSREERYSKFSRITTRHQFKKIYFYLQLMEEFRRINRFFTSNVNQLTFHPMLLK TIDQNKQCPIYKLTLLENDYSRKLKLFNENEQFSVLLKPKKKRNSQKSGNDEEKNKQKEQ QNPYVYQLEEEDLVKQIKVPKKMSETKKKYKDYRYFRGHFIRDGQYQCKGLTTKGFEYDV PLEQ >CAK62555 pep:novel supercontig:GCA_000165425.1:CT868019:594180:599286:-1 gene:GSPATT00005801001 transcript:CAK62555 MSTTLQICILSVLIKVIKNQSSKLSEWQYYSSELVDNTFPSTGWNAVPPTYTCNPIVPPF QQFYDFTGQSWSRSIDLTQERGYMQVRIIFDLYIFQYPQDSILHLPFLFKFDGNIIPDDV DLFLQQNIDINCTYCNSRAFTDLKYALDFQINIPLNTVNFNHLFEIRPLDVTTQFSIKNF NIFINKCSKNCLQCELKQFCKVCKPNFQLLSNSCRCSYPKPFIFNDDCFDSCPLGYFDFN EVTCKPYASKSILFVDDVNQNLIKYDYIKDTLSNIFYKSSTYEADQDVHGPFRFNDKIQY SFRKSSITSILEFEITIYLFGNLAELSRLMIEVNNYRVATITYSLITSSLFTRFGLIKSV QDCSRIGFSSCKKVQFYMTLQTFFEQDIVLGIGSQFNISDPFYGWGIDQVIVNEIEPAIQ LPLVCTLWYKGNCINQCPSYSTQNGNVCEDYDSVFKNPQYILKEFYPNYLVSPDQKLLMN ILNIAWYQFESRFLYLFNTKLYGGGILQQKFQMQKPHRKLRLMISVLLIDWQNNSQDYFF ELVVGVGLNQQRHKFYADPLYEFTSYQLNQEFPDYIYRNYTMEFIHFFNTTSLEFRCFSP FDGYCSFFDYFIIIEKCDHGCEQCDSNGVCLKYEFRLENQVSYQFNNYRCSDGYFYDQEQ CNPCFFSCGKCKIDICLQCKDGFELKEGKCFCQGSQANEICKQQNQCPQQCQTCQLNYPA CDSCDLNQLKVLNMNTCVCIKGYYLNQDLECILCNPKCITCNGYESCTSCNSETNRELFE NMCECKQGYFEIAQSIFCQQCHHLCVNCYFEYDRCTECDTQLFRQLSKGKCVCQTGYYED NQQVCQKCNPICYTCEQDSLCLSCDIAVNRVINKQQICICNQGYFENETNQCQKCHYSCI YCNQSEEFNQCTACPTSRIKSSDKMNSFECKCRKGYFDLGVLECISCKPYQNVNASHPCY SQCGDNILQWDEECDDGNNNSRDGCVDCYFTTDRCRSNICKKCYQGYCQICNDGYYLNGN NECQQCSQSCLTCITSQDNCVQCRFTLEDKTCKMCEQEFGFQIKDDKCTAICGDNILVDI EQCDDGNIIDGDGCNSQCQVEEGFICNPTCEIINPIDFMLKSDPKDKYFNNKRYCYLDFN QDVAIYGNLSSMINLQFNNSQVGYNSNITNLNPQSLLIEIQFLTDIENPFLQVEITTPQK IKNQQGAILENNIQKIQLLSYIKQSEGTKSATNSSSNFAYFIMVFLLSLAGFSFITGGLN IFFNLLDSIQMLAYLKYLNIIFPYNLQMYFETFGFAEFDFLKEYISVQLTILDFDFKMSE NQPDQKFAEEGYSSLFVINILSVLSVFVITYCTFMLSIILNKLCNYYIHYLHNKISDDIY FVLGMFQYYLYIGAKHLLFLSGIYTSQIHNNIIRTILTVAMDFNMAMFLQLKMYQNDMGF SYYLSVIAFIAQLLFIYYGLNAISKKQYEFVTQTYKQKHESFLEGNPEIHQNQFVKYYNL MILIKKMMFMFSLIFLYHCPSFQILISSIQNIIFIGYIILTKPLKDINEFYKVILTECIL WLEQLFILLIYYIQSGTNQLDQSQISNMEILGWVIIGLSVVMLLIQFLIDIKQHAYFLYQ QYSFIQRMFKFVSLVFNKQVQQVDQIAEELIKEEKNIKSKTKIITYQFTMERKIYDI >CAK62556 pep:novel supercontig:GCA_000165425.1:CT868019:599483:600498:-1 gene:GSPATT00005802001 transcript:CAK62556 MAKKSNDVDVEALKKKVLPIIRIAVLGPKGVGKTAIVNQFVNNSFEPQYEETDDDIRRYK KVHDLNCSPTDPQYVVFIIEDIFPTNHPDLVRESDFLKTNIYFGTLENRQQRFKEEQKNI LDFDKQIYGYIFVFDGNQNDSYKGLEEPIKYISEYCEKQRSFSMLTSKKVVVANKSDLIE AQNQDKVFSKWKSLEKFNIPTRFVVSAKTGHNINAVFEEIGKQILQDQKLDMIDKAWLTE MNSLIMGIESYRKKNKNNNQDDNSKKKGGNKGFLGCGEKRKQFVADDEDEDEDEEDEEFK NLQQYPGMMEDNKEGCDIF >CAK62557 pep:novel supercontig:GCA_000165425.1:CT868019:600585:601665:-1 gene:GSPATT00005803001 transcript:CAK62557 MKIILLVLVLQVFSQSCLSSTGTQVDWWLIFKLPADSAIPYSGFEYYYCDSQNDCSGMNL MSDDLRDRTSPLQRTVGQISFTSSTTMNVVWNDQPYGKSTISDRAHSKGILSASSSGQGF IINHSTPQFPVFDESQSNIIPGMPSSANVNGQHYFCVTMTTSQINVVAEQYIIAQTLTQK ANEISTFESTYPNIFALRNNSRNIQAQSGSKQVKSKNGLSILVISKNQNLVEDFYANIVA PNLKVGLVMETWGNGTGGLQEPSCSQTYQTYSNIYRNHNGYKFKYTKDHSKFGISAQSAM PYVCMADLNRMTTQNKRGGTTFCFLHSKIWNVINKAFVERQTC >CAK62558 pep:novel supercontig:GCA_000165425.1:CT868019:602571:604111:1 gene:GSPATT00005804001 transcript:CAK62558 MQRKIECYNKGLFTSMAQFEPKQRSNGKTSLGTGSFGTVNLVQHLKSSSLYAIKSIQQSN IQTPYEQEGVEREIKVHLKCHHPNIINLYDSFIEHGNVYMVLEYAENGNLYNYVQRKKRL DEKEACKYFIQTCKALQYLHEINVFHRDIKPENLLLDSNNDIKLCDFGWCAENIHLKRKT FCGTYEYMAPEIVSDLPYDYKIDIWSVGVLLYELLHGYAPFKGKEYKEIAANIKSGLIRY SSSINSDAQELIKNILQKDPSQRLSFKDIYQSPFVQRCYPQQGQLKISLSPRSSFSVEQN SIQKYQSPLISHKQQPIGRLNQTNGNNLNNSSSLSIKSPNISKTRVDSKKQSNNIFDDIK RKIIYEQTSPLRDATNMHKRNQASLTNLIKNSNTKITQIKSPQPKKIQLSKYCSKSRNLD ENGTVKLLDQFKSMPSFTIEDQQHTYEEENRLNLKTDRVIKGFKKESHQSQDLDKILKMY LSMCSSRQ >CAK62559 pep:novel supercontig:GCA_000165425.1:CT868019:604584:605000:1 gene:GSPATT00005805001 transcript:CAK62559 MNIDESVIGMKSVLGMKTRSMKVYQKQHEMKPKRVYTHISKETKAKLIHTVLRQKCKIKK IAKDLNINYATAKTILHCHKKKQINMDEYSESKRAGCTLNKNDSKLYVQIKVGEKVIHQY EYFEAIKRTP >CAK62560 pep:novel supercontig:GCA_000165425.1:CT868019:605531:606181:1 gene:GSPATT00005806001 transcript:CAK62560 MKDNQNESELIKAKVVDINNHVIDFISIDYKLLSKVIHQATFLFVIEKQTFRIFCHKRSQ SKQYQPGTFSTCFTGIVLETEDYRQCAKRKLIQETGLDLELTYINSFYFESKKNKIWGQI FYTFYDGQIINFIQDPKEVEEIELMSYKEIVIREQNGEIFTPESIQALHFLNKRVLRQ >CAK62561 pep:novel supercontig:GCA_000165425.1:CT868019:607114:607839:1 gene:GSPATT00005807001 transcript:CAK62561 MITDSEIKEFLPQSIGKQYKTEPIDQNISDLSFSFTHSLKSSVKPKLNDSIQFETQIGSR IQSKPPLTSKSDKKFQIPIIRDKTYFNSELISDQMSTPRSRLQDCKFQLIHLKQQNQQNQ KINKQIVVEKLSKQLKESSTINISKSKEMIQIELLLAAYNQLHLSHSQLRQQNKNLEQEI NMWKSKYQELEKKTKQNIHAKSTSLQVQFNKPINQNIWERLKTQPYSTNTFKLSLTDTIK Y >CAK62562 pep:novel supercontig:GCA_000165425.1:CT868019:607886:609062:-1 gene:GSPATT00005808001 transcript:CAK62562 MSKGYQASVTSLGQITQQQEVNNPMAESKLASSVIKVPKLIQSCNEFNTHNIPKINCYNH QGYTYTNFCQLESCCFPLCPECIPQHVQEHFESQSKPKLDSIENVLNKVQMTVFNEGKTQ QYLKANKLASFSQNIANSVFMADQMNMQCIKLLNEKKERIIKIIDQYFNSLLIEVQGKHQ KNIENYKRDALFFQQVIIDRHNSHVELLDNLKNSDCMRPLIRFLKSKTLQENEQYFIQAQ EFTERYAHYQTKVSFDSEKSAQLGGFISEFLKVVNYDLPEYVNIHKLAPPEITTQKSNAG TANNSKASIRQSEQKISQVQSRSGLEISQQAQYMNQSTNINNYMQQSQQQFGSQRQMRNN YGEYPSLGNFGSRRN >CAK62563 pep:novel supercontig:GCA_000165425.1:CT868019:609106:610238:-1 gene:GSPATT00005809001 transcript:CAK62563 MGQCKCATQCCQKDEIASQKISTRSIQVSIKHHKLQKDQTSDQIDALVQDDLDSGIMKNS MNSKVSIQEISKNLVSNKQPMPQQVKDTIQSQERKLLPMMLMPNGTKYEGQWLNGMRDGY GKQIWPDGSIYEGQWRQDKSNGQGKLIHADGDIYEGEWVDDAACGKGTYVHYNGARYEGE WLNDNQHGYGIEVWPDGAKYQGQYQFGKKNGKGQLTFIDQAYYEGNFIDNEISGFGIYKW TDGREYVGNWLDNKMHGEGTLKWPDGKCYKGNYQQDKKQGRGVFYFGDGRKYAGTWINGK QCGIGIFYQTQNQYKIGIWNNGQRTKWLNDEELENHKDEVTQLLEL >CAK62564 pep:novel supercontig:GCA_000165425.1:CT868019:610251:610566:-1 gene:GSPATT00005810001 transcript:CAK62564 MQRVFSQMGRIAIPYPRLLSSSNLYSPQVYLQALRIQRHQIPQSQILTSISRDNTINHYC EQSIAVLDCLSKKKKRARKKQIRRKGNKIINSRNR >CAK62565 pep:novel supercontig:GCA_000165425.1:CT868019:612382:612975:-1 gene:GSPATT00005811001 transcript:CAK62565 MINLNSQNEKFCQREKEISEFIKNNQGNINLVSVFHVEYLEKQKQFVIIMERCDSNLKDL LYKKQKLNDQEISDFLIQFLNGYKFLYNNKIVHKDIKPDNILYSKQNSSIIYKIGDFGLA KVYKNNQTTNDFSRNGTPVYSAPEVSVINTDQEIQKKLLEMKSIHAKSRIDIYSVNNSQQ QKAWNNIILNDFWKFSF >CAK62566 pep:novel supercontig:GCA_000165425.1:CT868019:613419:614072:1 gene:GSPATT00005812001 transcript:CAK62566 MQRITKKYYFSLIQKVDNYDCLHINPQSLDKYLVNQTLLKQKLEQILQDSINKNLNAIWL RLDKHQLNLSQLISDLGFQMHHCTQEYLLFSLWLQKEPSRLPNYASHKIILQYYLINSKT QVFLIDDQMPVQDVDDSSLIPMMAQKYFKCNHNLNVQPLYICDLTQSENSNIMITVKCSL LEQDENQQHFVNKSIKGMDQKFEINSFDKYIIYKKCQ >CAK62567 pep:novel supercontig:GCA_000165425.1:CT868019:614222:616058:1 gene:GSPATT00005813001 transcript:CAK62567 MFDLNSIQETVFIEQKIMYIELIEKLIIKNQELEKKNYILRLKCQEQSIKLKYLEQIKQK SQHQIFTKVLQDSGYQIIQFKKNLQGKKKQEFQLLTKKDQIKKQDMQFEQNQETEKKQKK QQELEKTAAKNIINQQKIVELDDLQKNDLENQLKLDVNSITNEQFHTIQILNQSQNEQKQ QIGLEENKDPNYQNEKTSQKQQQQSLDLNNQLKNEHIEEIKSYRMLIQKLQNEKLQNEKK IIELTQYISQIQKMSPNQEDSTMIIEYTEENQKDQFQNGHSKKEYMVNQNHHQIDQLEKE ELLIELNEERRKNNDLIKSQQTFLQELTKIYKSSKIRKLEFEDQEEEDDDDDNMVVQEKQ INQYQFLKEWKNNLQQLADEIGEYEENERNLKQEVQNFTAFKIKYEELTMYYTEAYNRLG QALQQIDELNQEIDNKNSLLQEYSKQKTQQQEGDYNQNEDNQFQENNEYVSQLKQEILYL KHNMSQLQAQLDEIQQQEYYQRMSQILQENDELKKINSDNLEDSQRKDKFIEELQQQLLT NSQIILNQDLLEKKNQQELQQENDFNQQNQD >CAK62568 pep:novel supercontig:GCA_000165425.1:CT868019:616549:618240:-1 gene:GSPATT00005814001 transcript:CAK62568 MKHDFIKERDFKIIEKIGEGAFGQVYKGLYKGEEVAIKSMQGAQLQENSIMENLKHKNII KFYKYFKQGNNQYLVMEYAGGGSLSDYMKQSLDEETISTIMRSIFAAVEYLHSQQIIHRD IKPDNILIKNSEDLSSVKIADFGLSYQYMPEIRYYQTVSQQCGTFIFMAPEQILNKAYNK AVDMWSCGVVLYMLLNQGEHPFYPRISTKKEFINSFPDLKYEQPFHASLLARDLLQRLLQ YDQDSRYTAAQALVHPWITRNFSQPIPMSVKEFGICQEQKKKFFQFLKALLFLNQVQEDS IKQSPIQSKTDLGDFKISIVEFDEDNYEQEYQDTNSPKNQQFLNKLSIQRPNKILKSYRT LNNIPYKGKHYQLNSILNQTNESPIRDFKKLKFQQQFSIDNDQIVIPKDPESPKKQLRGT QSNKLLLPALTESTQVSLSPIKQKQKPHIKQNSFRKSETSFFMGLNRLNNDSALETGTFR NRVETYDRTQIPIINTQRFYGRNTITHLVTSPKNSIATSTNLLLPKKII >CAK62569 pep:novel supercontig:GCA_000165425.1:CT868019:618462:618995:1 gene:GSPATT00005815001 transcript:CAK62569 MSITQFSIEPPLHTPLFYNIDIIDRFQDLPQFEPIHLFSQQNQFCKFQKERKIPPTINLD NNSLMFYQIQVQKTRVKKPRQQRSCKYRRLPDFDCNSCLKIIQPSSMSVKNTPRIQTKPQ RLPSKCVCHISMCNESQTKEQKKISIRLPIIERSKDNSPTINCWTRNTSTSFFQGSY >CAK62570 pep:novel supercontig:GCA_000165425.1:CT868019:619352:620073:1 gene:GSPATT00005816001 transcript:CAK62570 MNRIHHYQGLDSRQKTGQEATEPASTNNRFTRSLGKSRSSFNNSVSQSITNSIQKGKSSY LNHLEAYYNMHLIEILAGLFLVNQGFVSIRREGRFVEEMVDQLKDLLFLIKQVDFEASNF EELYQTDAEYFSETVYRDIKHLEQQHYDLIYSSSLRFLSNVLQCLQFNFEIDIYEFDIRD WAQQGLDHILKFSGLRRSFRQPVSFCQRYCKCISNLFKNNRQRR >CAK62571 pep:novel supercontig:GCA_000165425.1:CT868019:620196:621023:-1 gene:GSPATT00005817001 transcript:CAK62571 MFDRFGLLEPYRSQSEIIKINNHSIWLGDYSAALDIVGLKVNNIKSVLSIIHSMDVKYTD INHKIIYIKDKPDIDIFQYFDVTNEFIESALQQGSLLVHCSMGISRSPAIVIAYIMMKFK YPFSKAYHIVRKQRPIICPNFGFSFQLKQYERICIQPKIMPEVVYKEFKCPPIQQVRSIS LRKSPQQQFINSQAQSERQQCNTNTSLSASSERKTIHQKRKQKERKLPQLQPQINFVSFN TIKEFAQKLKASRQNQILYD >CAK62572 pep:novel supercontig:GCA_000165425.1:CT868019:621870:624939:-1 gene:GSPATT00005818001 transcript:CAK62572 MTDSQPQQRFRDIQYNKIEIKAISHTTVKELIGSLNNKVFDNQFETKYANHMNERVFVFK VIYDGQGWEIRRTVGQFKQLEQDMANNIFYYIFQKKKITGSLETDDPKKTLVLLHKFLQM ITCNEIIPQCALTFLEISCINLPFKMKEGFMEKKSGGRATTNCCESFETKLAKWQKRYFI ITQQSLLYLKGPEKDKCQIRECLSFDSDFSFQYGKKETGDDNKIIIQFSQRKLILRAGSL TIFIDFIYSLFRSIKDSPYTKLHRFGSFSPIRTSECKWYIDGDKYFEDVCDAILKAKQTI YITDWWLSPEMYLKRPVDVRKYAQSSEFLYTRLDNVLKLAADKGVQVLVLLYNALLSFLY NDPKHAKMQLESMSPNIRVLKHPPQKIPKIFSHHEKMVVIDQKIGFMGGLDLCFGRWDTQ KHPLFEVHPFEQLWPQIDFSNSRVRDFFDVRNYEATLLKENEPRMPWHDIAIQIQGDTVI DLSRHFVQYWNHVMMTKQKKKKQQLIHANDLKMEGFVPSNTSSFVDYSNVVYTGRKESLI QMKQPILLDEIKTQEVQIEMNQTIKQQEQIESQQQSNAQQFIQQQYKDFQNYQKHEKMFI PTQEDDEEDEDQNYYILQTQQQQIQNKQKSKMKIEKSELKNQGNTEGIQARINLEFFIPH IDINQNQQSCITQLTRSSCKWSTGIKQTEKSIQNAYLSLIEDAKHFIYIENQFFISNTAG YPVKNLVAQALISRIKDAHEKQQRFKVIVFLPLLPGFEGEIDQSNSAVLKVQLHFEYQTM SRGGKSIIEQLKQEGIKPENYIQFFGLRQHELSPQPNSIPVTEIIYIHSKLMIIDDQIAL IGSANINDRSLQGNRDSELAIIVQDQVTVDTIMDGQPYVASKFAHTLRTSLYMEHFDMPY EKVIDPLNLQFEKESTAQANINTRMYKQVFACYPHDDIRKVSDYQEFKANKHLDEYDQFK SFIKGHAVIFPLQFLCEEDLNIKVTQKEYYVPENSFT >CAK62573 pep:novel supercontig:GCA_000165425.1:CT868019:625699:626236:-1 gene:GSPATT00005819001 transcript:CAK62573 MQLNENEEKIIKDLFKKVTLYYKEYMSMPDDGDFPNQHCVDDIAASFRIICQIVESFLIG YKQLQHQHVLLEQKLVEAESEIRNHIRIQQEIKVWGDSLQDEIISLQQDLKRQKVKVLVK NKFNEFLRHLKENQVYRKIIKMHAQVKKDYQQKQLKIDKTKC >CAK62574 pep:novel supercontig:GCA_000165425.1:CT868019:627375:627827:1 gene:GSPATT00005820001 transcript:CAK62574 MANQMVINNLSGQRVQTEQSRRIKTIRSQKFKLQYKSENRETIKFSNYFKLSSSDSRKQS NYNSHVNTSTSDNEEKALMIQKIIFSIKSPLQSLRYQGSILDKRHQNIDLNRRKTLRNSL LTNSYKNQNFLMTGNDCGWSRKSSESLIFV >CAK62575 pep:novel supercontig:GCA_000165425.1:CT868019:628748:634834:1 gene:GSPATT00005821001 transcript:CAK62575 MDKIIMIFLLYTTRMYCQLNSQWTTIYNGVYEAKNIYCTNINCIYGFKNSLTNSPANFVN CSSSPQTAILLDSLQMSIIQSQTTLSPLTPNVKKLLLFDVYFTSQWMNDAIDINYDSYYY QVRYTTQDPLYFSQGLCSSIQFEIKTFYLILPYTSSNFTYPIFSIVNPINQAQIRNVHVG FLSCYPKCLSCNGPNMIDCLVWDVIFSRCSLRQSILPNGTCVNQCPIKNSIVRSQNRICV DFKQISFFWSNLATLDLTTLLNWNIIYDPLHLDVSNKKVSPYNFGVFHFREGAYIAMNIN SGNYTVGAQIQIVFCNSIPAGSGVSFYVNSTYYGSTFYDGTQFKFDNVNLRDLKAYPNTG QCTNNIFIELDMFFPILQKKFMFIIQGNFTQPNAGWFFRLFTLTSGFCPLNCILCNQRYM CQTCASGFYKVSDGTCSLQYCPADSLLINSICVYYNQETKYSKYLIKEFYDFTVKDTLNA TFLLETSFPTDFQKGPDIFWSYLTNKTIFGGKFVWAQSRFSQIYTLDPHHSLTIYFQIIF GPNFPVSPLNYFSYEINNGTEQRVLQSLNPVQIIEKVLTYNPTLTIAIQCYGVDVVDSYC GISNYYIVVHYCKPYCNQCTNELTCDQWMAYNPSVVKVEQSQCLSNQFLDETTSTCEDCP NECLTCFNEYECLTCQPNFRLVSTTCILNCQLNQYYDGTSCLNCHYSCKQCQSSNYCIHC ETKSLRYLENGQCICYDGYFDQNNIQQCQPCDKLCSKCSGPTNKNCQACTVLNYLILNGN TCECSNGYYFDEYQLKCLACSKKCENCFESSNSSCLSCHSTQLRVLDGFDCKCQLGYYDN SSDSCIQCPAVEDSSLTSCYKICGNGISIWFNQSCPTIICPSGYNNVNDQCLPICGDLQV VTEEQCDDGNLIQFDGCHNCRFQCPAQCTVCNSTTVFPCLDICGDGVVSGLEECDDSNSI QFDGCYQCKNECQPQCTRCNKGTCTECLEFGWTLDPYNRVCIESCGDKIQVGNEQCEDMN TLMLDGCYNCRLSCQQSCFTCTNIGCTSCTAGFRLIDHYCKNICGDSVIVEGEDCDDGNQ FAFDGCHQCVYQCQTQCLHCLKGVCLFCIDGYEVQNGVCVDIHEISIIPYQEQYKPKIIE FCKIFIQGICQECQKGYLLNQNVCQFQRKNEIVKLKVLEALPQFIQFCYFQINAQCIICD KNFELDIFTNTCIPICGDGIISGTEECEDLNWLVLDGCYQCKYQCSSNCEICEFGECIHC EINTIIVPATFQCEVLEICTQPGSYYNQDTNQCYSICGDGVIYQKEECDDGNDIEFDGCH KCKYSCLPGCKCIKGECYRDQINCEQGLYYSFASQSCRPQCGDGIIVQPFEECDDSNEIT DDECHLCKLQCGINCLECSPQNICLQCIQGYELVNMTCYEQTISCGNSGCKLCENDLCLT CLTGYYLINDMCYSLCGDGIMADLEECDDANLLNGDGCDNNCTESKNSKCKDNECVVLGS TYSFLEIEKEQSGVQYVKLRYSTKMRLIDGFTQQDYLDNLKFEIENQTDVVFIQIMPKIN ITYDLQFVDIQVSIEFIKYCQNPILKLRFTDKSILVNQDGAEIQQDILRITLLSSNFLKE QEQKVIKSLIGLNDYMLKFAALLIAISSISGQSEIISNLLDTIQQLYYLKYINSRIGVNL QRFFETFKIIQLTNFFDLLGINPNGSMSSIVSFYHSEYVFESDDRNANYLNNIAQIILVY LIFFPTYFSFKKATFYLLKKIDQLTGFYSNHVLVAVVSAIQKLCLRIQMNNLIESIQAIF LTMIYEFGINTFLALKYQKSDSEGKLGVSIAILILYGVILLLISNTSLTIHIAKSQQLSQ QKNNSFTYLCMQKMFFISFIIFFFESSQIQILLCLLNEFQYIYFLYIRKIQMLPSENFKQ FTSHFLQFIIFGMYLVNDFYQYQADVLIIIGWNIIGLMSTILFMTLIIDLIKIIHPIWQK CKASKTSELSNNKQEIFCIVENPCNAQRRFTV >CAK62576 pep:novel supercontig:GCA_000165425.1:CT868019:635240:636532:1 gene:GSPATT00005822001 transcript:CAK62576 MNYIRDNYANAKNNVPLLIDYGSYTIKAGYATSQAPDAVIRSYINKFKDSTQSNQIAQWD TDVFKNYRSPFEKNLIQHFGALEQLNDFVFEMLQAGRHGRVNHPMILTECFATTDLARMI VLEQMFECYQVPSVMLGVDALFSVFQDDLEACLKQSQFILVIRLCMQCQQSMVKQNLGGL NSLKYFYQTIQLRYPYLKFTYAQMDYWHKQYANVAIDYQLQLHYLQGPQQYYGYRDKISE QNRFYDDQLQFLDPINIDIPIVQKIVSAEDLKRKDENRQRMKVRLQESIYKVDKTRSLNL DNNQWHYNRNQKQTKTMINQKRKLQHSKLNLGQRLKKLRGIEIQFIKCT >CAK62577 pep:novel supercontig:GCA_000165425.1:CT868019:636557:637267:1 gene:GSPATT00005823001 transcript:CAK62577 MNSRNSRFNQKRIQTLAFPGADDKVEDDFGKDDKDREIYRSVTKEIDSADEKSKYKLQEI EQELKTQILILKSNFLNQLLTSIKCRPSKKLRNLLPTQLNWSRTKRFGRYDQIIIERFGQ TLIIKHYPNWRCSKNIMDYVRLQKIQYPNMIVLQLLKLLLTLYLDHGFGMKNFANKYQSM PSQFSISIDDYNEIGTQKWEIFKQHPFSNIVD >CAK62578 pep:novel supercontig:GCA_000165425.1:CT868019:638349:640979:1 gene:GSPATT00005824001 transcript:CAK62578 MEHRQLLETLVVDLLNSPVNPLIQYRDFQIQHICKQIGVNEYGGQRDAIFFKHFASHFIS YLNEKNELSSIIQQAQISRNQSKAQELQENKQIALPQKVQQFVSPICVKPKNDTAQIIDD EIGDSNKRKIDLETFVPKSYMPVPNFIGVNFDINNNQFNKTNDNSKHTSTKTASTKQDNK PKDLKVIPDLIVKKKNEKTPQKIDNNNKTYTFLEPVEFKETQLNRSLQSKTELQNNCKKK KLSPSLDLIKKKDIKPFKPFKPDSQSESLEIRTSEDLSMREDSIKRKENIKLQNKQEKKL SKDLENFNKKEKLQKIEKKKHSEADIKQQLEKSTKQIIPMIDKKERKKKERLEIDKLRKE KRELERQQQLKEKNQILERLEKEKQNKEKLEKERLEKERLDKFEKERTERLEKERQDRIE LLEKQRQEREKQDRLEKERQERLERSDKHRQKETQELESIIEKLLCANPNDSSKVKKNQE QVIIVDSNDKVTKKLEKEQSKLEKPQCNYCKNMDSKTIEIQDGVRICTQCLLVSINPFQK IITKLAYIEYKYSGKENAKTCQTFTIDTSTNCNLEIRCVALNKQGLYDLTFPMSCTLLIN GVTIKEIRPLQEKSSLKKRRDTSIYINVKDLIRQNNYSKKFLFSIIERLAEQQYRKDTVG SIYCFGLFLVEPLNVIQTIEKFKSLDIQPKIKADQSKKEIQVAKTIISLYCQFTLELIQI PAKGEFCQHEQCFCLCSFLEMMIKVEHKKWICPICKKVCFHLVIDKYQLFIIERIKQLEI SIDQLALDHNGQLDKDEQINLILQDQTIKTYQDIVDRGYRNINKINKNEDEDDIPIVTNA GKSQNLAIILIDD >CAK62579 pep:novel supercontig:GCA_000165425.1:CT868019:642226:643468:-1 gene:GSPATT00005825001 transcript:CAK62579 MFKIIFNELHLLFMEFQQNRQCQMLSQIQLTQQYHSIIRISAIQALYLVSEKSLNDRYWK FTNYMDSLNYFQVDFITIQVNTVAPYSLIIVHDVSLNPNLNALILKSKLSQSQYRIKILS NLYLYISSICIHSALSRGKFSNSLIEILSSIRVFNRVYLFFVERYFIILQLQFSLFFTLL HSITVFLIYLIYIFFLKYQNMAHQFLIQNYIVINIIIKWMNRFIRSIFFQYDFQPLLQIS YFTVQITIQLRLQLIVMIIQLILMNQFTNFCLTVLFQPFKSSYLVFKLKILFQFAIQFFL QLVIFIPYFQFASRCFFKPYIIFLSYPQFLLYLYPYFCFLPFLIHACLTIHVSFMIQLII NLHQNQQFDIYIIKLAYIHATIRAWSHYLSQFTCCLQQQTRFSVH >CAK62580 pep:novel supercontig:GCA_000165425.1:CT868019:643582:643977:-1 gene:GSPATT00005826001 transcript:CAK62580 MSKINCYEVGLNQLIMQIRNQIHQSTRKNRFQIQEMRQNDFDLRSNLLTFRLNMRDSSEN PQALTAYSRSIEIKKRYSLLPLKSILIKSAQQSQREKYKKKPKDLNREKLIKLKCEIRAW SISSTNQTDQY >CAK62581 pep:novel supercontig:GCA_000165425.1:CT868019:647126:649752:1 gene:GSPATT00005827001 transcript:CAK62581 MSDEKFLMFNSSLINNKDKIGYLIIEISNDFKQTEIKFASNQFQKLFERKSFDQKLQLDD LLPKFLIKYHPSLMENFLITGHSKFFQNFQLNFLNTKDGLIKGVRMCYDLTKIEKQQDQL IFAVFFQELSDCKSYILVDGTTKFSYFSESFFKKIGFQDHEIKFIKSSNILLNLSITYLL PDYDTLIDINESEIKLQGVDLYFANVFRLFSTIFTEKKVQNSFNTLSKITWQRKDKLFKY SVNLQITRRQFKEFYYIIIEILSIESNSQTNIIDSINRIEFQDYLQKNECSISEESESYS SGQLNIQCQDVPNKVEVFQGLKNEFILSHHYLDSLSQPRITICEPGRFITPNESSSDTKR KFHSRNQQEFYSQKLSQVDIQALISEKKLDLPYDDEQQQQLKMDQLSDKSSIEDEQKKKF MKKFELVQKLMVYKRPLKLIEILSLLTLQNIIFTIFSLIAITVMFNDLSQVLKEIDTISL HSSLMVKHDIYFSIRATIKIYQEYKKKQVLLNVTNLISPFYNHLTEAYIDYQNDLYNQFQ NLNLQPSLDDYYIMIYQLESLDNYQLLEKNVTVREALIVYLQYEFAVLDAFINQKDISNS TFQVFLFTNFVKLHQYLEDLTQNLLSESTNRSLSVANKWMLIWIFFQIGALILAIFNFYC YYNYITFYDKFLLTLKFIESDLVAQEIERYKEMIKRLKDNGRHVFEYKIDLDSIQQYKTN KRQLSIKNKEIINKKVIKLRRFSSFMLIFVLNLIFGGYSAIINQRTQIYLNKYYQTPCLG VVKIAFYIKKYWLALITILFIFKKIEKICIRCFSIHKILFNFNSYLATDSFIILFNDLKT NSLCSYFTQVCKKL >CAK62582 pep:novel supercontig:GCA_000165425.1:CT868019:651076:652676:-1 gene:GSPATT00005828001 transcript:CAK62582 MAQSQDKVKRNDNDCEESISQLFLNLVRCTFQTVFGYICLDVCVSISLHYISQEINPIAN AGYGFALTCVYIILTPFGFGLNQSLNMHTSQALGANKISLAKGYFNLNLYVIPATLIPLG ILLLVLKYPLSLIMAEDQRQQTSDYSQEFLYYLIPAFILAFIFESVKCFMVAHKVTYPFT IIHFCTLITHWLFCWIFIVQFQWGVPGAGAVIIITEILNILGLVLFVENTDLKKEIFDGT KLLLDVDRFKKLGLQYIKTSVPIVLHIFSELFVFFTLSFIALSLGISEMNAHMGLQNMCG IYFSINQRIIIGIPICLSIALMTFVGTEMSKGNIKLAKIYVFLGVIIFVIACAFSSFLIW SQRESLANFYSSNSDEDEFTLSAKQIFLDTIPWMIGGMLIVDGLQGTLSGALKGINKINL VFVLNLFIIKYSTILAYYIICLPIVSFFAYKWGLDQGVIGIWQGFGIANLILAILDLFIL FTVDWELESKNIIQRVESQNQIELKTLIELEDKQANV >CAK62583 pep:novel supercontig:GCA_000165425.1:CT868019:652922:653980:-1 gene:GSPATT00005829001 transcript:CAK62583 MFSTNQSFEKENTIYQDQWCGVIAIDEKYNFLLVGHKYAIKVFKLKNRALITLNLLFNHQ DFVTSLSLMQKKSFFLSGSHDMKIAIISAHLMSNPKYIIKLASHMDSINHIVSHPIDQEM FISSSKDRTIKFWRIQSKISKKHCFQTINYHKQSVIKLSISEDGSTLISLGMDQLILVLM QQESFWIVKQKIYINKEGPNILFITNEMFIFQPYQGFLKDQSSENIWVYKLDKKNEQYSM NYSIQISDNSQGCIGSFPLFYNHEKRLLLFQNGNSLKIIKLYNLEKGEFNIEQVIFFNNS IQENPLRFICGAISKDWKYLVTWDNTSFCLQVRKYIGLESISIPLLMEQINI >CAK62584 pep:novel supercontig:GCA_000165425.1:CT868019:656730:658916:1 gene:GSPATT00005830001 transcript:CAK62584 MKNFIGRAPSSKKVQSYPITLLDGTSSMNFEYDEILKAHKKNFDDLNDQQLKIQFTEDVH DFEPFMAAGSGDITKAFKYVLNKLLSEQFPQHIVIIFISDGDEKFVLDELEDLIKKIKER NYQIQFVSISIGKWFPNTISNQLRAKLHNTEFIEPIYHHDKNPERTEEEMFNFFDITFQT IRSQSLVYQDILETDKEVKLTLISNPQNTLPAGALFVSEEQVCINGQPIETSNDIRDKKE ILVKSAQQALILFASDVDEKALENFQTIKDFSDEVVNEAKQNNQNQGQTEQEQKLDIQFN NVVRIVNQFADGDVDIDQCTPNTLTDLQAKLADPNASASIQLISQQISISDNGKELEPDN INAIQKKKLKNVGCYARAQAKRKQIQQLEQTYESAQITMAKEIIINGLKKYKSLFTQNQK QQDVREILIEYYKSTLIELDSVFERVQLANVDEESYDLLKELNLMMKEIDSVRHIKKALP PMEANKILDNVLVHAGIGIQQSMAIPEINDEFDFLPESLKSQIRPENDDRENFVVAIFDN NDSMKNEIDSAIKNFNQEFPQKTIKLFWQNTKFSLFDSQQQYTSLVDIFNEFEQKNYALK TKKICICLITDGLNDYAKLHKQLKNLKPNRYLIKLSYVTIGSSFHFQITNELDRMMQSRN LKGRPLVLNVPRQKTVGNLKGQISQLTNSTTALNIDSHFAKRFQDLRNHLFPQVQVEKHQ WNNKSQIY >CAK62585 pep:novel supercontig:GCA_000165425.1:CT868019:659317:660445:-1 gene:GSPATT00005831001 transcript:CAK62585 MTGVQIKYMILQEDKGFILIIGNLKKQLFKQNSQVIQNLSTINLEIYQGYREKIIDQKNK PIIMKNLEQIKHLKWEGEFGINNIQTGKWTAFWKGKQLDVGGYYNENGLKIGNWIELFEN YWDFSPVTYVGEYQVGYKQGKWDTFYEKQKMQSFTNRLNLEEVVVIRMVIKLVYGLIYTK IIIGDYAQHIISSCKVTLTGEYQNNYKIGRWDTMFQNKIIGSTDYDQNGLKNGIGIEVDE NFYYCCQVIYRGEYKNNIKVGRWDTIFLENNTIIGGGNYDIKGLKEGLWVDLHDGFNIYY KYIQTGTYQNGIRQGQFIESQLS >CAK62586 pep:novel supercontig:GCA_000165425.1:CT868019:662110:666137:1 gene:GSPATT00005832001 transcript:CAK62586 MHMNLKGPLNPNQEFLTVIILSQLIGCALSQTSIYSNFFGDFSYSNDWFIYGSYTGPVSY CGSTKIFGGSPQFTRYTHIIKTFNLPPHYQIMVAFKFWHLDNWGGEYLKVYVDNVEAHSQ SYSSEYTQPSICGTSGSSYGDGISSVSRIMIHTSYTAQIIINGFENYWGISEFELLVYKC ATGCQICDSSKCYVQNLYMRKLDPINFDSNYGWLYNDQNMNTYAVCLDQNYLKSPSSDLT KIIRLTSHNNISLRLKIMTFNSDPHSVQIYIDTVLVQTSNLSEQVFTYSDLCDVMTLSQI QILEYQHSRSTIQIQVISTWSGKYNSALQQTLGVSDFELFVHPYPTHALICNDQNNIAFD GCFSNTYDCVVGCRNCVKGDCIKCKVGWEYQISQRKCIPICGDLIITYLEECDDGNMIPY DGCYQCKFSCSKFCGNCKFGKCLECLQSFRLIEHKCVYVYNYYDDPELRQKAEFNNQIIN FMERGFYQHALLYDYQIGLQQVKQLDCNQQSYGIFGYYYHQCSIDRIFNCKEQLFDICLE CQPYFYLKLKNKECHPICGDGVILQSEICDDQNNFQFDDCYKCQSSCQLECRQCKQNQCY DCIDGWSLINNYCYQICGDGMQAFNSQEQCDDGNYENNDGCYECKYECDQNCYFCSQSNI CMLCNQHFQLIDNTYCAPICGDGIIVQGLEECEDLNDVEFDGCYQCTYQCEINCSKCIEG ICQECIDGFELTLQGCQRIINYEDESEIEEEIVKIQLHCGDGWLSNDEECDDWNILNNDG CSSNCNIETGWVCNEQEPSKCFSMTIFLLSYLNRTYQHQYVQLSFSNLVKLKDNSQNFTN SLTCLINNLDLNEYNITVYPVIDFNDAEFVPAVFNIKITIFQPIQAQPNLTVAFNTSIID VNNMLMKQSQQILLLQTPQILDSSQLQAANSFQELGNKIMLGLGIISILMLLFGRIDLSL EILDTLLFQTYLKFVNVNYPQNLQIYFQSSDFVSINPILVNFKIQGVLDSAIGANYIQSY GKLSEYQVNADLFVNIKGQILQLSFVLLLLMFARVFQKFFLNYCFTSRYFYCIRRSKSRF IEFIAIKYYQMINGLLGLKNMLSMGRFRQIFYANSWDLLFKVILFLKSNNQSGYRTVFSY FFSVGCLSLVIFFLSSHFKKQNGKINLTIVRNEQHQGVILFKKLSFILILIVLQNAGAFQ CLMITFLTFSYIGLIFAIKLIKQKFDLFIVVLMEAPVMFFTSTSILYCSDFQQLLSLNQQ VLLGFGQIGILLISLFGPVIRFNYIIYKQIKAYLAKREQNEITRLVTQTNLFAVVDI >CAK83112 pep:novel supercontig:GCA_000165425.1:CT868455:71:1054:-1 gene:GSPATT00039525001 transcript:CAK83112 MSKLKSQTVSNQALCNDTSIQQQNHQQVKQENQYNLSSTDCKRQKSFLGDRFVTQLKKNF SIIQENQMESQDIASAQMALEMLYKQQILEQEPIFESDSMQFINQSGFQYNQQNNFDIHN SKIYNSILIDHKYFTISETLSNYYGKYVRKIPKVPFKVLDAPQLQDDFYLNLIDWSNQNS LSVALNSCVYLWNAQSSKVTKLLDLHNDSVTSVAWSLRGPHLAVGTKTGEVQIWDAIKLQ RVRTYKGHIARVGTLCFSDNVLSSGSRDKLILQRDLRLKGNYFSETVSPQIRSLWFEMVT >CAK83113 pep:novel supercontig:GCA_000165425.1:CT868455:1133:1699:1 gene:GSPATT00039526001 transcript:CAK83113 MQQRLKTHAITEEARQSSSDLLSPLHEMYQIRRNVMKLEKQRTDESCHRKSEEFIKSISQ SHRDVIIPQHLNFEDNLDGSRATINSINSVAQHYEIIKPNLHNIDWNKENNQNQSNKNKH HQKCDSNKHKTKYVQLDNQRQQINKTIQQMSKCNRQLAIVLKAQVDKLFDTIKTDYTYA >CAK83114 pep:novel supercontig:GCA_000165425.1:CT868455:1932:2677:-1 gene:GSPATT00039527001 transcript:CAK83114 EKNRLEKQQYFEQKLSNINLKIKQEREEKEYELFNKVGWKLSKSEKLLEDHEQLLLKMSQ SKKNEHQQKFTKQRERYKQSEEKQQEKIEEWDEKLKSIQDRIEKFQTKKSEEWKSFKEQR IQNKKDHLEFFQLIKKKEEKHQTQQLDSLTKNQNKLKKRKDEQEYILTYQTISKQKFQQI NQTQKGELINLQYSSSIQLLDKLKNLEDEETFADIQQKYKKIVKVPEKKPVE >CAK78286 pep:novel supercontig:GCA_000165425.1:CT868300:2425:4465:-1 gene:GSPATT00039269001 transcript:CAK78286 MQYRFRQRKTINIEYRSQPPTIIPGDKIDFLPGSFGHKNCNDKLLHFFLNELENPTSPFA PIIKYDVPTYPINPCDENIILMEEKLYDGLRSFISLSWDFVVQEQNGNGDLANFVTDLIN FQLLDLTIPEKTLPIQSNVTLILVVQNFVLKKTAYQILIQTHAGQFPSIFSKLKRQYYPF ESIKLAFTLVKKSCIESSTLSNDNSKYQTKFYEVYRNNSKSKPSNISYTDLVNSNLLEFI IQRYSLSAWTAYTLQLTISDSSIQFYNQQNLTIQIKSAGIFCQFNGTKKLLKYSDFTNIY IQCKDLDIQQNWNEDPNLLIKVSCLDLTSQKECKDSKQNNIQINSTQTTQFFPKATFLPF TIQAWFVIAKKNSLSYSYKIIIISILIMDIQQGLSIIMKIYNLLLKYLFRVDNTFYIIKS QQFSDYQLVTILKPQYYKYSFQLYDYYQQFNKGDKFLLKFLAQYINDIMPNQVDISLSLN QPPICIFQMLEQNIKALESHKMAINYEQSEDKPYLYQMKVFLFTDDFEEFQNKSSDNSLL FYSFQQSNNLVGYFPNAEIIIIFQIIDQRGSITNIQQRLNISQTQIVCTNQTISQLVFRE KIAWIFEIMINHQDEQNCVRLKDELLKYVELGLNSKDIYEQLLAHQTINLYKKLIVKQQA SNTSKRYLE >CAK78287 pep:novel supercontig:GCA_000165425.1:CT868300:4595:5107:-1 gene:GSPATT00039270001 transcript:CAK78287 MNSCPCMDGYYDVGIEMCQKCSEFCKTCSISSKKCQSCFPNHLRALNQNDCTCTPGYFDN GSLICESIYQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX KLANFYAILAKQLVILLQIQLAGYQLLGAQVQTSQYYRLRSSCNEDQIIR >CAK78288 pep:novel supercontig:GCA_000165425.1:CT868300:5133:6283:-1 gene:GSPATT00039271001 transcript:CAK78288 TVAFKIYYSCLTCEITSIRCNSCQVNSYRTYNAFLQSCLCNDHYYDSGNVICQECHYSCL QCNTLGADQCISCQPQAASFRILNGKVCECLLGYYDDGFTPNCQKCFYKCLSCITSQTQC TSCVQTRQLYQNQCLCDPGYYDSGLSNCSKCDSNCYNCNFNSKFCTACDLSILRILNTYD NTCYCQPGTTEIDGQCQYCDGTYLSNLDDKCNTCNSTCETCDGLDTFCLSCSQDKNRILN KSNHTCICIDGYYEDIVNNSCLQCDQTCLTCFGKSSNCTQCDSSLNLTLNQQNLCICKSG SFFNLVAQQCQVCHYSCTECQTQTQCIACELVTRYFDSDTSQCICKDGFYEANQNSCLSN NYENMLNLIKQMFNL >CAK72123 pep:novel supercontig:GCA_000165425.1:CT868115:2815:4695:-1 gene:GSPATT00038740001 transcript:CAK72123 MLGQDNKKPNQMVIQREFYQSISLLMVLHQHQVVMISLSVYGMLRQDNTKPNQMVIQREF YQSISLLMVLHQHLVVMISLSVYGMLRQDNTKPNQMVIQIVCIQSISLLMVLHQHLVVKI SLSVYGMLRQDNKKPNQMVIQEQFIQSISLLMVLHQHLVVQISQSAYGMLRQDNKKPNQM AMNMQLLQSISLLMVLHQHLVVQISLSAYGMLRQDNKKPNQMVIQKQLLQSISLLMVLHQ HLVVQISLSVYGMLRQDNKKPNQMVIQIMQDQSISLLMVLHQHLVVMISLSVYGMLRQDN KKPNQMVIQIVFIQSISLLMVLHQHLVVKISLSVYGMLRQDNKKPNQMVILIQFIQSISL LMVLHQHLVVQISLSAYGMLRQDNKKPNQMVIQIMQDQSISLLMVLHQHLVVMISLSAYG MLRQDNKKPNQMVIQIMSCQSVSLLMFRYLQSVYGMLKQDNKKPNQMVILVMLLQSISLL MVLHQHLVVEITLSVYGMLRQDNKKPNQMVILIQFIQSISLLMVLHQHLVVKIILFVYGM LRQDNKSYPQIIVIKIFQLNFNVQQLKIMFFQKVLILTLPSFQYHNNSYFNHKVLQFQKD NFIFHKAQT >CAK84802 pep:novel supercontig:GCA_000165425.1:CT868529:740:2249:1 gene:GSPATT00018983001 transcript:CAK84802 MNHCLKEANESQSTYQQTVNSLKKSLKHSNFISKTKLTVNEIKNIIKQYDLKRSQRMIRQ ATQQDQNTDFQKQITQQGQVINQLQQQLRKQSLEIENLQKAFFLWENKKQNGVHKKSKSS TEDVLDEQINAINKKYINLQSYDQNLENQIKEIISQEFEKLYKLISQQLKSEINDIIYQS IQEQIFVSNNNSPKPETFRKYSQTKQQSEDSQSNINVIIECLNEQFGECENNNYNFDQIK TKLKCLKLKQINLLYEDLNNEFTQNSLKDYFIGPAEPLKQQQYSLKQTKLLEYINGEHRA REDSNNFYAVFGFQYLDIILTNADDKNFETFMENIKGIPFDLLNNQQEFTKEEQQELKEI FCYRCIELRQIEISQRSNELWCQISNIHNCFYGLTMIFIRNFIQQIVRQSELSQHLNEKD SVQFLTRILEWSSPCPEVEFIIEIISHELELCIILFYLKEEKQEFSLRIFGDEQNYQLNV IQRNNDFYSIGIKN >CAK84803 pep:novel supercontig:GCA_000165425.1:CT868529:2426:3845:1 gene:GSPATT00018984001 transcript:CAK84803 MTTDQSFEYFTTDLYVMGDNKESQCGIDNQDYVNKLTKINLPMVIREIACGLEHTLIVTN EGQVYAFGSNLKGQLGVENISVACSPIQVQGINNLNCIKIAAGEYHSCLLTDENQGYQWG DNVVKPQFIKQAQNIKCGLNFTIFQDDCLYLYKNQVLQTLQIHSPVSEFACSAYNLFYLD NINSLYMHPDNKLMDNVSNIFSGYYLSLLTEENKLYYYQDKKLIQINIQTNKIDSTFSKE FAIVLDENNKAIIINLKTQQQKEQDYVFHAISSGVNHLILIGNITRGSKQDQEQEEQEQI QEGDDDSILEEDGMSPIITQKTQQDQLLNTQQNNTFSFSIRKDLQLLHSIKTNHKETQTD EDIYYQLKYENEELRKIQQQQKKNLEIQIQFQKTLQDQINRLTQENHMLKLGQNKNQLLS LIKQKQQNLRNMIDEFYQKQKEFLGQKK >CAK84804 pep:novel supercontig:GCA_000165425.1:CT868529:3879:4480:-1 gene:GSPATT00018985001 transcript:CAK84804 MQQQSANQQELKQIFQLFSQENSEEINIQQINQLIKELETINQDQQQISVPYQHGRKLVK KISSTDNFEEIPKDSSPKSPTYVSVTELKYKSFKYRYFPNNKTTMHFSDFQELYNECMSN KDQHDELLESAFSVFDFDKCGTIDSKKIRKVFSKFKDNTSEEEIQNVLKFCGVLHDQMSL QEFKEFFKKNL >CAK84805 pep:novel supercontig:GCA_000165425.1:CT868529:4630:4960:1 gene:GSPATT00018986001 transcript:CAK84805 MRVVLSLLKHLYHQIQIIFINRYHQLMIRQFDEVADFIHNHLKNGNVLVHCYAGISRSAS LLIAYLIKYHNYTTLTTINFIKARPIIEPNDGNHFQDNQDLLLN >CAK84806 pep:novel supercontig:GCA_000165425.1:CT868529:5788:7136:1 gene:GSPATT00018987001 transcript:CAK84806 MISNSDIETINKFILEASGTLENIQKAFVRKFSQEYGPYLYFYQGLCDNIFTKGQRLLII FLLHNSELRQCLSDISNYCLNQFEKKFIQDPQLYAGLTIKTVLEELDKFTMNEKQINKEL WQPQSSMFKLRNCISINNNPPQQYKLIKFRKLLQNQNLSQQQNGFEIDFLRLTPNIVQNR CDWIEFYQVYDVQWDYSLQINSDKLSQIKEIMQKAHLQQLTEQELKQFQEYLRKDNNNLL KNNGLLNFNLSDLIEKNLNLAFFLFTKHFPNTEFLDLMDALVTIDITPNSLEMMCMIVQQ YHVPQEYLNYYVNYCIQFCSNIKEKGQQVKMIKYLTIFLKHLINKKMLKTHELQTELQAF SIEFSNIGETSSLFKLIKNDQQQ >CAK84807 pep:novel supercontig:GCA_000165425.1:CT868529:7342:10347:1 gene:GSPATT00018988001 transcript:CAK84807 MKQTILDSDNRAILDAGQNNQEEEPSISSSESEQSPSKKGSETMRFGSQSKVEHAERQYK TEDLVPVDGGWTNIIWKRGSLRIMTYVVRFVISILINSEKSKFSFMNRKQFTAINDITGV YQFYEENKLIRQRIKKKFKQIIKSYIQNNVCYKIIRNGLETFVHRCLFVIDPSSTIKILW DFFMLFVLSWQMVFVPLKICFFIQIQDPILNFILNYLPVYVYLMEIVLTFLTGYYEHGVL IMDQKQVAKHYFKKSFFYDLLNVLPLLVSAYYVQSNWLEFSVLVKVKTLKFLSDQLEEVF GLRTNYQTLIDVLRLMIQFIFLSHLFGCFWHYLGILQGDYGMTNTWINALELEDDSWQIR YINSIYWSSITTLTIGYGDITPQSSVEKIFTVGVAVFSSVVFAYTISSIGQIFSQLNENK KNQRYKMNLIQQFIGQRGVNKQLQNKVKKFYEYFIQVDHSQDSECELLLNSLEPSLKNEL KIDIYKKYIMKSKLFKSTFSLEFLDLLCQLFQERQYTPDEQICAADTEVEELCFVLKGEI SLSIQLNNCNQTITFLMQNLKNHILGERFFITGDRLPYAGKAITAVRVAFINKTQFFSLL KQYPQEYEKFLEAKSKVVLKERVKVQGCELCYQNHQVLQCPFVFYYPNVRVIVKRQENIT QKRQFKQRSYIKSHKSLLDRGGIQYQLISYALDSNLMREESLDESLIKNMDLQFIEPTKK QFNKIHSDISGDQQQQDQSNPFLNQTPLRPSQEQISEDSDVGTSKTNKTSNNGLQKLITL RQISQQSQNQQGQQKKVEKFKQKSLKAKKSEFKPPEIVELQKIEELQSFESPLGSGQKAN QQSMFFHLNENPITRDLEIKRILWEEYVQSQIIEDKERYDQQQDYQFFYPHCNLERILEQ INIKVNERKKKTQKSRLTTLGKNRLGQLQNISVRPRKSMITIEQAFSQDDERQKSLKL >CAK84808 pep:novel supercontig:GCA_000165425.1:CT868529:10381:11798:-1 gene:GSPATT00018989001 transcript:CAK84808 MITKLEAKNQNFLNLSNQKQTEVFLKTQKNKQSLLKVHLDLKCINYAQMKQYLTNRSEFI KLNIPANIKKQNTKVDQPQPPLQPQQPLNQIQQPQSSNQQQQQQFGFVQANQLMPKKRFQ PPTKVGDKGNQEQLALKSEKQQNKTNFEDNIINKIESDIIEIMDRPTQWTDIVGLDHVRD QVVEIALWPLENPKLFEGIIAPGSGLLLFGPPGTGKTMIGKAIASEGKATFFSIKASTLT SKYVGEGEKTVRALFALAAQRQPSVIFFDEIDSLLCARSEKDNETSRQIKTEFMVQLEGA TRGGCERIVFIGATNRPQELDDAIKRRFQKKIYIPLPNQEGRQSYFETLIIKEAKEGKRI EMNSSELQSLVELTKGYSGADIRNLSREACMYAIRDAAKMYTIKNLKLDQIRATTIEDFK RALQIVKPTVNQNDLKDYLKWNQQFGSYNYDAESLDT >CAK84809 pep:novel supercontig:GCA_000165425.1:CT868529:11826:13047:-1 gene:GSPATT00018990001 transcript:CAK84809 MNSNEVLFWIKNPVSIAGENINGICYLRLIDDIQQANVQLIFVAIEYSKILHKRQVMHFY IFLIPYDANNPQMIPEKLLEKNKQVQITLDSPRNFKISQSNPKKKYELQNGTMFQVTRQY GVNEDYTHIQDLYRGVIKSGDYKLPFQVPTKFGMNSSFVYRNSDGLQQAKIQYKIYLKIS DLQSNQVIIQKSIPVYINSRFKFTEQKRESEGNIVQFFCIHRGMIELSIRTAKNLYKPGE VLELEYILNTTRAQRCISKVEVKLNHYLSFIDDDENERVIKNNTLYTTELPGNPAGKRSD ILKHSITIPNDLAATVKMEFIKNHYILQVQAFSDGLLTSLAIPVICQIPIIILERRKQEK PNLDDWKFITQSQDNNSFSLFTSQQQQLFN >CAK84810 pep:novel supercontig:GCA_000165425.1:CT868529:13137:13660:1 gene:GSPATT00018991001 transcript:CAK84810 MSYSQEFSPLSMKEEIFFQNETESTSLEQQLRIANEKVLQLMQYQQQLSEELEHLHYKYR ETQQENEILSNQLHQGPDETKFLNCVLDMVRVCHPQEKPISLKYAWKWLKYVVDDYIELR KRNKSANTQSEVFKNPKKNSIIVGDLLRKNCQVAESQYIKNSKCHN >CAK84811 pep:novel supercontig:GCA_000165425.1:CT868529:13736:14520:1 gene:GSPATT00018992001 transcript:CAK84811 MGSLFGKEEKQKKQQKQQQSSYPTKSQFPSQKKELSEGEKMRMKLQHNRDELRNKRKQID LQIETYEKEIRTLIQSNKRDQAKFVLQRKLLYDKFLDNIMEKEQIIEKSIISVDQMQSDK EMADIINQTNQVMKDIQSSIDQDKLRDAFADMQEQKQKNDEMNQMYQQYKVGDEDEINEL YGKYEQQVCKTTQPKQQQFVPLPQQQQQQQFVPLPQQQEQQQQQQHQQQQESNEYTDKLA MLE >CAK84812 pep:novel supercontig:GCA_000165425.1:CT868529:14627:15485:1 gene:GSPATT00018993001 transcript:CAK84812 MKLKVILLDKEYNFKRYSKYQDFLDIFCSIEPQFELDSFTYYDEEQDLITISKEEDYNCF LVSSISTIQAKVTSREEFKANLRLQTGQEIIQLMQLTHMISQQKMFYEHNLQITRAIQKV PIEEQPLQQEKNIILRKIEEQKKRIEKNKKLKLKTDLVYHFLSSDICSIVNQVDRKLRYD QTTQEEFDKQLESTQKYLVDQFFQVYLQRLNKIIEIRETQQNGNRKMTELKNNLKQIEYQ IKRTNKELKLRENYFLQGIYAIKCLVKKL >CAK84813 pep:novel supercontig:GCA_000165425.1:CT868529:15506:15850:1 gene:GSPATT00018994001 transcript:CAK84813 MKIEVHIKDKQFAINCGDGLQKVKWLGDVAIFRHSHFYSQINSTTKGIKLENGEMVDLNA IISSTLAENTHVWVVLKEDLEAVGMDVKQQQQQHKRPITAVSRRK >CAK84814 pep:novel supercontig:GCA_000165425.1:CT868529:15896:19506:1 gene:GSPATT00018995001 transcript:CAK84814 MYIVLFSFICVVYSITYVLNKEEIKILENYANGIEKYDKQKEKLPLQSVLKLDNNESVVQ VTSMFTPFDNQQRVDILTKKAENTYSVYTIYYDKQFNSEPKYESVEIQQTGRCTSLTYLN NQFVVDCSFTSIQFILQNGTNVTYNNDQHLQFTQILGFYGGILALSPGQLTFFDEQLKME RQTLANYIQVLKDEKNVYVLNEHQVIQYTQDDGILEYDHKCQVTPEFMTILDDTFYIQCG TLRKVDQSEIEVFQLTVSQLQSTNRYIILNNTSIFNKNFDSCFFVSYGQLYPVNYDDDVI QVVNNQISIGSIKGYYLIQSNEISQFKLSYDQFYVVDKGLQVLASGETDFYGNEYQVVNY VSGPNVVISDQGQIDGPISWNIEKQISNKQLLTLLNYLDESIVIIYQQDKKLYSQKCDVD DKLKFEKEALITQNVPSDIKNVQGSVVGNQIIVAYISQGSLFIYQNNTLLQQVNNVITFQ LKQSLIIILNDTQVTISQIVNQQLQYLSILNVGCTMVSKFSNEIALVDQKNNLLLVSNSN NGWYQSFSKAFTDKIINIYYVNSQLIVLTEKSAQVYENRILRGKLDLDKLENGKYLQTQT HLYYYNNSQILQLQIYSELSLSSWPQQVINYKIQQKFLVLTFDIFEILLLDNNLYAYSSI LLFTPNTIVERDVYSRFTYANVIFNNYQNHSVDVKVKVIGDILKLQTLPYNESDYQINDG MVQIDQALLFDGPISNIGTNVKDEFEIIQRVSRSKQQPSWINTGLVDLIYIGNNQKVAYL NPTLFLCSDSNCQTIANNTKDCFTLEQDQIQFYLVCSKSIYYGLKSKPEQIKSIDFTATS DQLISIKFDQGKKIGVISQSKSGIQFSIYINFKFNGKIEIDDLKDFQFGNDHIILLKSKQ VMMVDDELKELNTFDLLDSLISTEQNFALKFLEFSKICEYKESQYIISSVDGPIYLIYFN KEYSELVLQFTNIQDSTPIQTYLFEKQILICVYEDDDDHYAAFYDFEDKSKSSLIIPYFN VIQLGSSFSKLHYTQQYNNNSIILVDSSNNRSIFTIHDYLQIKSISSNKQLQDLIAYDLL FQQAKINLKIDLTETDDANDDWMLAIYILCGILGFLVLLIIFRYVRRYCLTRHLKFVEEK PSEFKNLQLELSLSKNVLFINISATFN >CAK84815 pep:novel supercontig:GCA_000165425.1:CT868529:19908:20384:-1 gene:GSPATT00018996001 transcript:CAK84815 MQLENPKPQVFQTGNFEFTQQYIKRKQQEYDLDVEDPIDEYEIFDLIKTIKDPEHSFTLE QLNIVNPSDIQIKGNRVMVYFTPTIPHCSMAQTIGLTLKIKLMRSLPKNYKVYVEIKQNM HIKEVELNKLFQDKERVLAAIENQQLLKIINFGIQGLR >CAK84816 pep:novel supercontig:GCA_000165425.1:CT868529:20430:21703:1 gene:GSPATT00018997001 transcript:CAK84816 MNISENDLCQLIQSVNKRSMFKTQYDIELIHSKLRDFTFFRNMNKEIGDLQYYKILKELQ YECPIPYEPLVNIGDQCSKFYFILAGRFLILTNNQMANIHLPTEIQRTIDKLFPNQIPQG YLSIGQYFGEQVMMFDQQHTQTILPLEKSHLLVIKRETYRRIIDQETKKRESERFSNLST IPLFQKWSAKTVKMLSSEIQELNFIPNQIIYHQGDPVDSVYIIIDGQIQLFRTSNKSQLP LSILGCKESFGDDEILTQFRSHSAKSLTSVKLYRIQKKQFLDLIPFDYSNKYFKKDTPLK LNLNLNEDYSRIYRSESLKAIRSPAQLLQRIIRKSQDKNKTHQSISFQQIHDFTRKDLRY SNTPTVLQKSSSVHEQIRIHANGKFDKTTYLLNQIKLRSTNVWKKTK >CAK84817 pep:novel supercontig:GCA_000165425.1:CT868529:21752:22249:1 gene:GSPATT00018998001 transcript:CAK84817 MNSCQFKNRSLHINKFHSLTPSHRNEFSNRQNDQIFSFNNVDQITERKYMPFIYTKYKPY SHQRRNQSNVAKSGDYFNSTIEQSSFNQLKLKSPGSITPLRKKTINSFLFSDIQQKQQSS PSNCKSEVRQFSIDLPQMQQLPKKKREYTILKKKKKVYALKIQVD >CAK84818 pep:novel supercontig:GCA_000165425.1:CT868529:23258:25058:-1 gene:GSPATT00018999001 transcript:CAK84818 MSSEIWRLFDQVQPVLLFLMMCIVVWIWVWCTQLNDSIKRIEKLKNESLLQNGKLTNHNS SEKNNNRKNQKKKNDHKTPQNPQSNLNHENQDKQDSQESIEKDQVENSKKQKQIESLKQK ESLIEQQSYEINNLKIEYQSIKKENERIQEENKGIKEENITMKEAIQKLEQLQNQIQSEM KEQKKKLNEMPLLFEKETNKKNDNFQEEMKQLKNQLQGEIRQLQGEIKQLIDQVQNQQAI INDQSQEVRHDITKVNQNLEILNKRLERVEEQILDQKNQIQKIGNPQEKIEQLTNEIQKM KEDLKKLIPPSPSPPLPPSPPPLPQDDTIISKLYNLTCRARSVQPQYRNFVSDFQNQSCL IQEYSFKMEFKNKLKQQLNGMRRARGDGNCFYTSFVFQYLDFMINNSKNAQYEQLIQQIS MLPFEIYYGNEMYNQNDFDNMKYKFIGVCQHLRSQIEEERQNQFFQYFKDEENEFYGLSI VFLRNLAFKFCSEDSEISVNFQALGLNLKEQLLEWEFDCQSNENVITILSQKLNIHTIVF QIENQSFQILEYNFSSNPITKIYLLFQPGHYNIGIPKVEQQLE >CAK84819 pep:novel supercontig:GCA_000165425.1:CT868529:25260:26300:1 gene:GSPATT00019000001 transcript:CAK84819 MEDQEVNEIAKSQVINMLNSQTKSQIFKSQDRDDSAIDNAEFDIVEVNENQCYDANIINP ESKIDLQKNKIQDLQSKKEQLEQELRQQQQEVNQLEEQLKKKQEIQNVFAMKPQPDQENL QKQEWAQKQLEYQEILSQYEEVVTQENDKELKDIYQEYYNQSTKARNDQQRLQNSIELEK QLNLEFDQVNQEIDQIKNILDNEINQDNINELQQLLISDDESMIKNMDQQLRNKKQLIEV IQEDIVNLQNQLNEAENKFQNIFMIYNEQRKQIKLLMENINQIEKPNEIGIQKVDSRRSQ TTGRSSKISNCIEYFFSGLIALFGGFLMFLFLQDIYVSSQQQQYFN >CAK84820 pep:novel supercontig:GCA_000165425.1:CT868529:26408:27874:1 gene:GSPATT00019001001 transcript:CAK84820 MDQAQEDNSQGFQNEKQEEPTLEQQIVQTAEYPNSPIEIAITDAITKDGGLGIKYTVYLI KGLDNQGSFEVFRRYNDFYELRVALIKKWPGCYIPPIPEKLLGSGNDAEIVQIRKRLMEI FLNVLTELPYIYYSEDIQQLFLRSTNTEINKVFQQQKQVTSADIIDRFKRAFPNLDVRDS SNSEYMLQISTFQGQLKKSQVHLKQYIEQSQVVAQTRKQIQNEKLNLFFGSLPLYEKVNL TEYVYGKENLLILSNPQNIEPLTKLLDQLKEVYKKFNSLDNITDLFRFELKDAESIQQSL TYRDQLLIQRANQEQKMREDQAELAKIAAGKQTLTTITNSVFNKAKDQSQVKVEQKISEG QQEIERLSQLYNITTAIIATKEIQKYRKTRINHYHKFLRQVQNQEQQVYECENELLQKIV EECERLSEQQNIQS >CAK84821 pep:novel supercontig:GCA_000165425.1:CT868529:27924:29061:1 gene:GSPATT00019002001 transcript:CAK84821 MNIFVQEELENSQQLSSQSIVKSNSYVYNQMVKINNGLKTYALSSILLNQNHDPENLMIS ELTFRSLSNDVDSKILQEFQIRRHIIEPHQIQIVQSSLFKPNKQACQICFNELNNIAIIE QCNHQFCQKCITLYLYNKIISGEVHKITCPQVGCSIVLSDQQIKQNINQDVYLKYQRQFL LIKQYEHVVNGKWCPRPDCFNFVFQQGSEKLLQCVCGQQFCFDCGNPNHPNKTCQESVDQ VFAQALQDYKIQKCPNCKANILKNGGCNHMTCTKCHYDFCWLCGCRYSSIHYDWMNPCNC PGEQYQERDPYSYPKILIFIRAILKLVIYVLLSPILVIAGIGMLAYGLGYLLSKTCHLCR CLKRCCQTLCN >CAK84822 pep:novel supercontig:GCA_000165425.1:CT868529:29523:30206:1 gene:GSPATT00019003001 transcript:CAK84822 MSKTNNSIKDLQLRLLISESEKQVAQQKLNDIKRSERAKPSQSLFALSKDEAKRKDKVGK LLIELMNLIATNNSLQCMLQINSLENMMKMFDQGKCYKLLKKIIKPFTLLITYLLNTNIT ETMSVKSENQTLQHLSNYNSVQAGIQTPMSQVITINSNNMHNHKTSLPSSIAFKPPSEAT TPEKSVKQAPISQPQQKQTITQSKGIGKTQKPISRRTATSKLNTCGD >CAK84823 pep:novel supercontig:GCA_000165425.1:CT868529:30276:31080:1 gene:GSPATT00019004001 transcript:CAK84823 MQLRNSRNRKSVDYQESQLKRCLATQKSVGQIKQKRSLSRDYEIQKSHVSTNHTNKKASE IIKLKDILKQKDFNKSEQESKELIQSNPSPFKIECRTNNSRCTNCNCKNEIAEDDLILKQ EILGHLDKIHQIVQKNDRFLSHRSQLELRTDSLLKKERASIDGRMKENEQELNQDILRNS KKHNLNYIIEQQQQQISNMKSQLSSQEQMYIEKQQKYEQDIQQLKNQIMQLSNGLEKMKE HFTYNQFKKQDNSNNIHLKK >CAK84824 pep:novel supercontig:GCA_000165425.1:CT868529:31423:31687:-1 gene:GSPATT00019006001 transcript:CAK84824 MDMLQINQFRLCHRAYIFYNVQFIHQLNIGSNRTMDQQRQSKIQKLSQIDHLLILTTNYQ RNLMRKQRPFILRNQELNLLS >CAK84825 pep:novel supercontig:GCA_000165425.1:CT868529:31701:32849:-1 gene:GSPATT00019007001 transcript:CAK84825 MDSEDYKVKDITQDDFGRKELNLAEVEMPGLIAFREEYGPEQILKGARISGSLHMTVQTA VQLKHSTLQGLKQAQDHAAAAIAQAKTAAVFAQKAQSLLEYWDCIMSALDLGNVEGPTLI VEDGGDMAMILEGFIWEKRQEANGELPEPEKGESKDEQALLKVLRKEIQQSPNKFRNYIG HLIGVSEETTTGVHRLKQIAQKESQYSQPQVLMIRLLNRNLITFMDVDIQLQMESSEQQM LCYQERKHQFVDLEMQEKDVLKHSKDKVVEFIHLIIIIHIDSFQYFNYLLKIIKNKYFYY CYGNKEIINPLHMSQMKHNAIVGKIGHFDVEIDMKVLRQWEGIKKVEVKPLCD >CAK84826 pep:novel supercontig:GCA_000165425.1:CT868529:34285:36011:1 gene:GSPATT00019008001 transcript:CAK84826 MQPDQIEEQKKKIQESEHNARKKEIELQFKNVFDEAKKILKREYYENEMSEKLRNLLDWI ESDINKLSEKNDRDFVKKYKSFVETYRSGLVEFKEILLNLKKIEENRQEIKKVKINSFKQ NPQCLNTEIKFSEKRLQRMRAGVHLIQVLEESLARKYQETMKKSISEQPIQQEPQQQMDL SETEIQIFQELENGFKKDRISQTNEDLDKGIKKQKDIQIFDQVERALEEQKERYQVISKE FDKGFQKQKVKCESIVKSSYKPVKGDLDFTNKYQDSYFKNYFREKFKLANYFLGDQLIKL FLHENQKYVNKVLNKNSNSSQQNSFNEKKIYNKELDPKNFIQQDDLESNGKALQKILKIN EEIQKELLQDEHQRNIEEMNKIEIQKKQEHWEKVLQQDDHRKSTPNLIKKTSQVQLKKSQ TVEKKTINIKPVISSLKKRTIYYQAHPNFPLISEVYKSHQNVPEERLKKAAIGYDIIQQP SRTLYTPPTNVNSQLENKNKVLKLEDDIYPQHPNLRVPRTAMQNFRGTFFPNSQHGLSRQ NNQDYKSLTTLWGEQWNNY >CAK84827 pep:novel supercontig:GCA_000165425.1:CT868529:36119:38052:-1 gene:GSPATT00019009001 transcript:CAK84827 MPSQAKKRPSKETLKKLKLLQKSISKNRVQEINQNLIVDMDDLINFFKQNKALKLYKGTL SYFKINPLNNMLYLLSSIQINQQQYKYNQEQLQQRVIYGLLQLLEEKRVIPQILGCIKLE NGNFKLNDNLLHLSELITLASLNDDYKSRNSEKIDIDESQPINDQYLLLLSLQLLQPQVD QFLNPKHLNQHDFNQIYETFKIIQTASDTDHINKQIKQIYTNIAEKINSFGCYHLSNEIL NKTNNIELLRQYIIKKLVIISITQINEQKVGLPEIVRKIILSNADSNSFIGQKGVELRYP LFFIKQLSKQDEIKDQKLQYCIRQMDENLNWSELKTLYYNTILPSYQNYEMLSEEDIIIA QLEILDQNFSKYERQIRKHIKKPSAKQQITQIENILKEESNSSSIPNLQLEKISQIGQKL ISFREICNQESRQKPKVPKYARKILEAPNKVAQPAESYNCLSDLLKFERLKFSHFIRFFG EYLINKNVPYPYFFEILKCGQSCVRVDVRITTIEQFVQDAHFINFMFEEYQIDISGLSLR ERANKLGNVPYDVLIKNHKFKECWGDILIKQAQLIYENRKTLQWELVKRLKNEKVLEVFT QIEEQGRNISWKRLELQREIIKLKNYLQKQQ >CAK84828 pep:novel supercontig:GCA_000165425.1:CT868529:38926:44620:1 gene:GSPATT00019010001 transcript:CAK84828 MFNDLRQNNRNFENQSVAKTRSEEQQKQKIKIGAILKNYETLKEWSDLGQWLLKLQGVFQ TYQGSYIPKKKLLCKRLAQCLSPIWNKAIHENALVIYDLILQILCSNTEYDLVQDLPLFS LGLFPFFGFASIQCKPKFLNILEKYYYPLQKDLLPCMGGLISSIIVGMEDTNEEMMKKVM SSLDQAAESVGIKQFFGLLWVSIIRSGRCRLGAYKYLMKRWSKQQQVDIENCILQEDEKM PNKKALVINALLASLEDESILVKRAALDFMCLYCKISENVFQEQENLILIEQCLLLFIKL DHAVVRRINNWFFGEDELNENMEIVKYIQVGLRRILIEEQGDPLKILQNWYMQHPETVSM TLFDIGYDLARFIYKKTIQYQEIQSDKLGELQKASERLIESISSQSELILKSIYEHLKKF TIAQKQDLIKECIQIIDYCLNTLMKQQFSDLSKIDDITLFQQRTQCLQEFFVLALKEIMN LKIERLFEIQIPQQLLAQILDCINKIRQRLELLENEKDLSLALGSNYGRISNLQKQPNYQ LIDQMDISIISQYCDYYVEITKYSIQNEQEALFPLTSQILLRSQLFLMKHADVQHSAIPN WLFQLFDSLEQGTNKISLCSIEMIIELLKQAQIHQNVFLLTEMITRSSYYDIQPFEKMES LSMDNTQYEYSSNQNERNYIKQMFEKLWLLLDQNYHDQLAVEFILYIAERFQHIFVDVIE QALKQGDQRRYQIFCRITNVFYKKHPKLNTGVGILQMLNYLEHENPLIRYNTKTWLSESA PFFFRIIDPILLRLESILKDIQKNKDFSLELAVDTIKKLQQIYVSKEWFQPYIEKTKPTF SQDEHLNTYYKLICQILIQFVLADFNNNEFSKINATSAELLENVINLTLDNKNKIQVLLL YFNQILQKFEQVIQKNDQLLQLQLLNLIKVFLLNTYELEKELSQQHRNELIDLYEQPSFF SNLIIGLQSSKVFFLKQRYLNTIVVGVYSISQLLPPKIITEKISIILKSLLQLLGQCEYN QNKIGANIEKRQTNLSLIKLVNNEINNNFQQLNIQQLNSEQIHIKTGLSGDDFEEVMSLS NSIRAIISYFFQFKYDTKDIDQRPNAAGVLVDLFTLNVFFKKTDKNHILKYQQTQPQFQN YSDTCQMLLKLFPQILESYLECWRCSLNWEHLSIMGCIVYEYEKFDQFNKVLKDELQRSE QEFTDEVQIKQSILQLLRPCSIHFSSNLISSILEVWQSQINVNKNQLKINQNMCKLIELA ITLQIVPDEFMTAFVRTRQVQTIIQYNQENKYKKGPYSFNYEQAKFENDVLFFLYTYFSS VQYQNLKNKELIKLWNVFLSFSKCLLQSRHINTVCYVLEIIYMMSWKFSPKDVLEEFRKE LHTQLRQNLVILAEMASFQQNYSTQVQENTIDVQADQKFQLVVPFTPTIFELYEDYQDKI AQAQESQASYQIQQFLFGSISKQPINLTESIFSKHCSIIALKTLKRLSWVTLINTYEVSR SERIFENTKEFMVLLFPLIENKENSNIVEAASELLCTILKHNKEILPQIFLNNILDLFNR QDFFKCTGRCLKFWTEIIDIISDKNDILTDQLGNNSKFFQGFAGLFKSKSAEMMKKTKGF ERVCFIIYSGGVDKYQSKLTTLLDAILSVIKDINSQDAAISILIIFCIRILVLRLSQSSL TQVFRQIWPYLVSMLMQIFDRKGKQANPFLLISGLKLIELFSLFQLEEFYFYEWMFVFDY FGITINHNPIQEQQQQKVKIESPYKFIPYMAYQFPKSSEYSVDYECKLYQEQQQQNLYKK KRLIKIKDIQEEFEPKLRQQALNLCQHLIDINQQRMIISPQSLEQIIEEEFISLDDNISK IQ >CAK84829 pep:novel supercontig:GCA_000165425.1:CT868529:44849:45736:1 gene:GSPATT00019011001 transcript:CAK84829 MDLMLDQEIAQVEKVEEQISSSSECSQNSDSSFDLENNGNNKSHLKQLASDQNGDDKKRK GKFGLKYAKISNRQRQALIERVTSTGCTIKSAAKDLSINFSTAKAIMQIFRREGRVTKKI VREIKQKSNKNLGSNNEDNIQKKSLFDTQNQKFVKVEAIQDNNETNLYQQAEEANRHQAL IIQQLNTQNLYLQSRINQLQQDKLQLNSKYELMVMQYHQLQQMAQQFLQPCMFQFPTFAQ >CAK84830 pep:novel supercontig:GCA_000165425.1:CT868529:45954:47106:1 gene:GSPATT00019012001 transcript:CAK84830 MGNCTIQSNEIQDESNLSSRNFEFIELLGQGSFGQVWKAQRKKNRELYAIKIMEKQLILK RQHIRLVMNEKNILSQIRHPFLVNLIAAFQDKKRLYLALDLLTEGDLRSHLNYNRQYSYS NKEFFVACIIVALEYLHSQGIIHRDLKPENLVMDRRGYLRLTDFGLATFWKPNNCQDISG TLGYVAPEIMCKQNHGIAADYFALGVIAHECMLGKRPYKETDLNLMRDQMLTKQVQIKRS QLPVDWSIEAGDFINQLIQRKPENRLGALSPDEVKNHKWLRNFDWKKLQSKEMVSPYQPK KKFQPRTYNQQESTKQISNKNINDFQLQSIFQFKLLAQFEGYFFEAEQIKNTL >CAK84831 pep:novel supercontig:GCA_000165425.1:CT868529:47363:47971:1 gene:GSPATT00019013001 transcript:CAK84831 MITIISKDGLKISISNQIIELAKGLEPYQVDKDIIFQEIVTGDFLQTFKLFYEEFQFDVE KMKFPKPIQSKEYEKAFGKVLSEHIKPFMDIAIREKNIDIFIQFIQGAHLFQAKHFIEFI NCCLAMVIQFKGQSEYDIKQFQKDWNLSDQQQESQFNQQIFVLFRLFFSKLQNKYIQVLS AKDGEQQVQQIPMEEDLFGEEW >CAK84832 pep:novel supercontig:GCA_000165425.1:CT868529:48165:48477:1 gene:GSPATT00019014001 transcript:CAK84832 MAMSHGSSILVGSIIYMVLGVAACFGFNTYVTKKTKNPHDVPENRTITLVSVTIATFCAW LMWVVAYMAQMNPIITPEWENHQPKSDS >CAK84833 pep:novel supercontig:GCA_000165425.1:CT868529:49811:50233:-1 gene:GSPATT00019015001 transcript:CAK84833 MKSESLNPDYLELNLNHSKQQDLSNSTHIKQGHWSEEEHQQYVQFLLRVKGSGDSQRKGQ PLFKRMSQIIGTRSPSQCRSHHQKFNPFNPRLRKNHKNKKMPKIEKPLMRSKQIMRQYFS QNKIHSEDEIQSE >CAK84834 pep:novel supercontig:GCA_000165425.1:CT868529:50601:52961:1 gene:GSPATT00019016001 transcript:CAK84834 MQKTHQRQSKSPLVNNKVIRTKTQHTSKDESINLDNSIKDQTLEKLFLQLDQLRRRHEQE KKEMNDTFNYQLQIKSNQLQKSAQEFQQKNLLLESLLFQEQAKTIHLTKIIQEKQKSTAL DQEKEQYKNKIQQLESILQDSQRKNQIIPNKLIQKDTSFKILIEIINKVSTNILRLIKDK NTILSWIDQEILEIDILKQKDLNKSLLKLNTIFEKFFVSLQERETLSLSPYKIATKNNNQ TINTEKPTATTIPTQKSKVKMMNSETQTKVIQNKISNFQQTDKIDFKSKLTQTDFLNVQN EGKIEQAFEKQKLQVEPQKQKIKEQKSKKNCRIQQLVQISILLQCPNRTSQIAKPKLISS EVQTDQIQDQQLKIPHEQKKHYSKITEYDEEENELSKDESKKNSQKEDNNGADSSFDCNK PDYDSDQENDKMLIIENKYYQFIINFESAALIIKFVLHYSLQDLITNKWSIKLKDHNATL KYSIGILSQSSTFLTNMIKSIYGVDQQIQFDLNQIAIQYYDSGFQENYRLEIIIKQLEFP SQFLINSAKIQERQEFQHFWIDFMIQQCNLFIYLVQDFNEDDQRIIKYLQDKNKKVAIIS YDLNDYTLDNFDVFNNYKYNIDLQIIKIQNNQNILQSLWRDILQSDWEWEEVYESILVQL QQFISQIVENDDCIHLQIKEDGIFEISLMIDEIAYDYLLKIPYVKNKETLDKEVQVLLEL PEKKRIQEVKCIGNQILIVIDRFILLEQCDQLIQLNSINNNLILLTIIQ >CAK84835 pep:novel supercontig:GCA_000165425.1:CT868529:53014:53787:-1 gene:GSPATT00019017001 transcript:CAK84835 MYQNLQVQQPNSKYKTQLCRHFVTIGSCALGARCQFAHGRQELRTNNQVVTNYTQTNIQQ NHQGDTQNRVQHINPMIANYKTQLCKHFNPQIGQCKNGSTCTFAHGEQELNQINPYLQNQ YMQMQQQIKQQSQVTLQAELTQQILIMILTNMEAIFPGQNNIINLLKLGQEKARQGDNQT ASEIIKQIIHDEQRTKEEKNQYQLIYNNAQNHYSQKLKECNM >CAK84836 pep:novel supercontig:GCA_000165425.1:CT868529:54074:55362:1 gene:GSPATT00019018001 transcript:CAK84836 MSHRKFEAPRHGNLGFTPRKRTKHHRGRIRAFPKDNKSSPVHLTAFAGFKAGMTHILRTV ERPGSKLNGKEVVEAVTIVETPPLAVVGIVGYIETPRGLRALTTVWSTKIAKDTLRRFYK NWINSKKKAFTNYNKANAEPKNLETQLKRIVKYCQVVRVIVHTQMSKLNLRQKKNHVFEV QVNGGTTEQKVNYAKGLLEKEVKVDQVFKQNEQIDVLGVTKGKGVAGVIKRFGVKHLQKK THRGWRRVGCIGGWHPANVRYSVARTGQLGYHHRTEMNKKIYRIGAAGDKNTASTEADLT SKAITPLGGFPHYGEIRNDFLMLKGGIVGPKKRVVLLRKSLVPQTSRKALEEITLKFVDT SSKIGHGRFQTQEEKAKFYFTRTQRKLKQQQQQ >CAK84837 pep:novel supercontig:GCA_000165425.1:CT868529:55364:56018:-1 gene:GSPATT00019019001 transcript:CAK84837 MYKFINGVFCIYDIYRICIKQLKRFIQSFEMGKFLKPGRLIVMLAGRQAGKKAILIKANE ETTKDCKFPNGLVVGIQRYPRKVTKRMGQKQIRKRTTLKVFIKQLNLNHIMPTRYRLEES TLKEVRDRIERVKESELKNVEKRKELRKNLRKYLAEKYRTLPAGSLADKKAQSRFLFSKL RF >CAK84838 pep:novel supercontig:GCA_000165425.1:CT868529:56031:58734:-1 gene:GSPATT00019020001 transcript:CAK84838 MKQTKCLIINLVIFYLLGLLLFFRGYFIDRRYLLDTTEGKMKPTQPVIFLIIDSFRIDLA VSQHFTFFKNMTENKPDQSLFFLSFAEVPTVTGPRLQAMTSGNFPPLSKLLDNFHASEIK EDNIMFQMNKFNKKTLFSGDDTWIGLYPDQFTVKFPQKSFNIGDMHSVDQFNCDKILENL DKGFDLIVSHFLGLDHAGHKNNKVLNNPDLNQKLSQLDQIIALIYEKMPNDTVLIVAGDH GMANDGNHGGNSTEETNTLFFATRKQGKFYPNYMKHIPELQDNYQSPLINQTEYIRKISQ IDIVPTLATLLGVPIPFSNLGYLMNEFFNSEEHCLNNLKQVWHFVETVHSRQGKFSYFQK SQWQTQYSEVKTCKDALILMNDIQAVSRKIWNEYDIPLLNLSFVLQGLIVVFFILVMVIL FQAQQNDDLITIQQITAAFKHVLQNNKKSSIVLAILSIFLFLLYELEILITFLLIVLIAY IDFGLLLKVKKNHIQNLNQYQWDLIETPDSIKLNNRFSKLLQTAVFFFFLYKIFLQGNLL LSIQQKKFEERYLYNEIIQLIVLSSVIGIFNFISRLIDTQEDSSTLFKVKDVIISCISAI IIYFALNFEQFYLTFQHYEILNQSFYMLYLPVIFLHGCLFMYHKKSPILDKIIAQAGLIL IDIFYIYPNAQMEDQPYKEWVVLHIPKIIYIISIYYYFSKDPIFLMLSCIVVSDKYGLAI YSYEILIFICIYYHWRNLVKFSLLSFFAMISLICQITWFIFGNRCTISSIKVDRAFVGVK EFHLWLNPLILTLFLLGPYLIGLIFIKYIGPKLCKYGELNERQVKNSNNLFKLMFLFNFY LQVQFTQIHSYENAGGLIDVQFKYIFDSVTLLIVSLLMLLI >CAK84839 pep:novel supercontig:GCA_000165425.1:CT868529:58782:59464:-1 gene:GSPATT00019021001 transcript:CAK84839 MPAKKRTTRIGNWYKSDDEKVHFVRKRKAPRPSQLRKISPGQVLILLAGRFQGKRVVLLK QLKSGLLLVTGPFKINGVPLKRVNQAYVIPTSTKVDIKGVDASKIEDEYFKRTEAQRRKN EKGFWAKRGELTEEQQVAEKKRLDEKRKTQKSIDDALVSTIKKTQLLKQYLGARFSIGKT TRPHDLVF >CAK84840 pep:novel supercontig:GCA_000165425.1:CT868529:60649:60900:-1 gene:GSPATT00019022001 transcript:CAK84840 MGCHIRKQQKPIILDPDLKDKEYQYQIKGQGARKMQIYQWGEIKIQSIRMFLEILVSQKY HYEKKKYYSTIYFHKLKGSKPSI >CAK84841 pep:novel supercontig:GCA_000165425.1:CT868529:62893:63453:-1 gene:GSPATT00019023001 transcript:CAK84841 MLVSYPLCFLFQPAINCSFTFKLKSEIQHFIISYYYIYTQFIYHYNQPTPFYVLEFKTPT SHFCNNKQNREKSTQIPTYFEIYKRWIAEMKAKKVLGIKKKIKKKIYIIFINEEYLGSPQ NTNSFLLKKHQPLETNNGEEVQPPGSMLSYLLNEKKIDHQSNNPNNFESIKDTIELPQLP NNYYQI >CAK84842 pep:novel supercontig:GCA_000165425.1:CT868529:63668:65169:1 gene:GSPATT00019024001 transcript:CAK84842 MQQQQKKQSWIQQLFCGCVREEPLHQDQKIQRLSSKMEIQKQKPMNLISMQQQSEGWLNK TTSQEVDYSADIEKDVDSDEDHDKVYKRQLSYLEQNSQLQKTSFSDEFNSNNIEMKILHR IGGLLSRQSNIQIEMQSHLWIMKIFKDVQLIVQDKEFESKFKSQLINPAIVDIMNQRIKS NIILLAHDPTGSILLKLASPSRKIQYLQQDKTFSNIIDRGISKSKVKVDKVLKMDLDQKS DIVIVNLFYIDCKDNLKNIMYEYLQYAHDIIVLLQPNIENSIIYNSAEQAIALSEQANQS CSVELQCLTDNNDNIVAKLLYYGDITEITLNDELNFVYSCIDSSIKKQYNYKLMFRDLRN QMGMSKLIRTLSQFQIISGIGSFSEFATNINGSKEKYLLMNIPSKDYENENQDGKHKLSE LQSSSSSTESEPEDNQDKFGSRSESFNQSQMLEPLQLKYSNSQPFNY >CAK84843 pep:novel supercontig:GCA_000165425.1:CT868529:65379:65722:1 gene:GSPATT00019025001 transcript:CAK84843 MPPRFSETVKILFEILKLEEQQESERLYLNPQFDQISRQANIIMNQEKKPIQHIQYKLQE DHQEMPPHPLLQAEQRQESNKLDVIFDKPDKQEKLLKLEQIDKNKKI >CAK84844 pep:novel supercontig:GCA_000165425.1:CT868529:66405:68113:-1 gene:GSPATT00019026001 transcript:CAK84844 MSLQYSPTVSSILNGFQRTGLSQGQAYQMEQLFSALDKLTGQLYDRDVAQQLFEQCSPNQ RGQVQLEDFAQVIIEADKVLKKKINQTEQQIQQYRDELKECQQQAQELQYNQKLNPQGVD RDSQLIIVVKQAHNIQYSDYQFSFVSISLDGAEVQTQMSTGDKYNPTFNQQLNFQIQTGS EEILIKLFVQDRNQRRILIGQSHIDLGEMHDQQVHSQQLQLVNDRQQLQTYLQLESQWIH NKLKYHQEMILKYEQTIQQSQVDIDDYQRDLLTIQQPFLENNLRNNLQQSLKQPQNYSLP QQNQAFMYQQQQNLPQQQKPFQMQPQQQQNIQIHQIDPNTVQDELSDELYYGFILYMLML LLALFQCFARPDYLDLILAMFYLIIVCRDCFEPTYIKIVGVLTILSVINDIVWISIYKNW WSGTDKTLPTWGEAGDPIVRMTLVFCVFNIILKTALCYILFHYYKESQQNKTIVFKFWQF QFPVGVLKQNLFTTNGLLV >CAK84845 pep:novel supercontig:GCA_000165425.1:CT868529:68414:69091:-1 gene:GSPATT00019027001 transcript:CAK84845 MIKQLFRRSLITQPRLFTFSEYFKERDKAEIFEYYNNKFTDKRYIMYTQKWRNDLEKKAK RRARHQELERQRTLPVAQECKFIVHDQLKGIELPTSLKFAVCKIGNSQYKVVKDDQIITE FMEGLDINTTIELDQVLMVGAKDYTVLGRPFVENAKVLATVEQQTLSEKELIYKKKRRKR YQKSQGHRQKITILRINEVVHDVNDQLLNRAVALI >CAK84846 pep:novel supercontig:GCA_000165425.1:CT868529:69302:70265:1 gene:GSPATT00019028001 transcript:CAK84846 MSEESQYSEHPGGWIEWFCAHEDHQFLCEVDDDFIRDPFNQIGIKGKFGFYKQKSQRYLS EAIKMILQSTSPEDQDLEDERFLEVYQEASDIYGLLHARFIFTSKGSAIMRERYLQGKFG HCPRIYCEKQNVIPIGLCEDLKTARVKVFCPRCEEVYMPKKKCADIDGAYFGKSFPQFLL MTYPDLHPKYQLLPDTQIKANFEPTLFGFKIAGKLGSKIKRFEQQLQPNQIITQPIIQSA EQNVLIQEQKQIRIQQQQQQQQQQEQLTKEQNSEHNHKKKNKKKHKN >CAK84847 pep:novel supercontig:GCA_000165425.1:CT868529:70340:71075:-1 gene:GSPATT00019029001 transcript:CAK84847 MKLFLIFIISAYCKLASNDTNGNHADHEERKQLLDDWEGQMADFIPDDMLSFELKKGEVE ILEQYIKHPTNIRGAFFLSIMNKDKIDFSIKDPRGKIIDNKNQKKEAVFSVNITNPGDYK FVFSNTNGKNNHIVTFALDIRNATYEHIKEADLDPIQKKLSNLYTGLNDLMFDTKFSQQK REGGYQFLRDNNKMYFIYTIVETLIIVFVSIWQVFYIKRIIGVQRKIV >CAK84848 pep:novel supercontig:GCA_000165425.1:CT868529:71120:74866:1 gene:GSPATT00019030001 transcript:CAK84848 MQIKRNRPKMGVPKSTILNTPQSTNPSLPSNKLIGQRTIHEPFTDQSQSINLQRQQKEMK QTLKLSPVKQLSPFNLDSTFRQKTLIQKTEGSASDPLLKINLKNLEIPKDKLLFATETKH ISKSLIDLDDQFDYIKTFRAMPMQTIKEIYDTDRKEIERLSSAERHIPMDSRLAPIRPQL QQPNIPEFRKYFKENERFQEMQQFYQIDPNIYQKMTEKIKQEKLMPREMKLFTNNNNDKL IANNLLGSDMYVDLFSEGLSSSHFSNLKSLQMKNNKLNNNRITMIAKNLPSSIIDLDFSN NAIGNGGIASICDFLNSKNCYLQILNLEDNKLRDGPIMTILKTLQQSKTIKVIKFSKNYI TDISMDQFGNLLKTSNSLQEVYLHYNQIRNQGGTVFFRALLKNQYMKVLDFSFNKLGQHK ECVQMISEVLGKPHSELSHLDLSYNNFNNEDSKIFHQAVMFNQIIYGFHFEGNGDYCINT RGFFVNQKELDQEYNALVSKQSIRLSTQQFVDQSLNKIDLKNKMNKLDQNFQLADPSLFK RIQSTDWTNNKSRDNCWLCEGWTEIRFQYIVGKSGSISDYPIYLHLDFENYRPMIMEQDQ QIFFLLRMCPPNRKIKYFFSNPFLDIQFTAKDQRITALEDFEPIKMQGIPMIYADTSIVY SQKISIVNYLSATINKKVLDSQKHYIPTVLSRPREQEKIIYIDPNKAKEKIWSIENSIFR DFQADTEGHLLDCFDFDFECGRLQKHIPDPEDLEVLKTKVKVFYKNILACYKYYCAETLN YEIPCLNQQSFIDFISQTHILSKSILNNIDLQLTYLSSYVVQKSAEFIHVLDKCLVRYQF LEIIIRLAKEQYLRVNQCNNITEALDKLFQQDKVLDLIQEYGVPQDWRDNRYWTQLMDST IKMKIQFLQLMYDYASKITFKHTKYVTLTDFKLFIEQLDLGKYVSEKELYLIYLQSMQTQ RDELRESKHVQMQFLEFVEAIARLAEKISPISPMYAVRNPTVNKITRKSLPLFVKFEGLL FIIFQKIKQGITTKTNEQQIQELEKNVISKTILKTIQAKKLGVFEEDRSSDEEREKESRN VPLLPDEKDLQEIKEKKVAGSGWSKLRNWANKKKLQKQGNPEQINMLKQLQTYQDEEERY VQVEYEQASIYEKMEIKQRQKMKQEFQRTKLNQEPTFKFEEEDEFKRF >CAK84849 pep:novel supercontig:GCA_000165425.1:CT868529:74909:75541:-1 gene:GSPATT00019031001 transcript:CAK84849 MNNNAQKKSYHNKTDNSNNCKTFYNVPPLNQTLNQFQFKYPLIKEINENLIFSNSNQVFG NHTEQIIRKGLLNLDNTQEQKHQQAKKQYVRRRVNTSYIVYPIENNRNLSYQRQKENKNE PKLLYHVQIPDTMKIPHLNKKNGLIKSQLRQLQHRQQEQQDDIRIASRISFHIKPKSNII KKSNLNVNEQLQIILDEHQSSDLSFINGWE >CAK84850 pep:novel supercontig:GCA_000165425.1:CT868529:75564:76183:1 gene:GSPATT00019032001 transcript:CAK84850 MHNNNPRSSSHKQLKTLQSQIQSTPVLKSIEQLKTEGIQYYYHQGYERKALHKIFTLNTK KRHIQRYINQQVYNADLNGISLKASQMDRFNLYQKGLTDELLANSKSYSNLSRLKKGDFQ TSSTEQLYGYKNSQHRDIRITSGQRIPSVQQENYQNNQNRVSVSKHQVRPKREEQLVQAI RKDNLFTIVYKSNIFSN >CAK84851 pep:novel supercontig:GCA_000165425.1:CT868529:76206:76859:1 gene:GSPATT00019033001 transcript:CAK84851 MNFKFKTIRRTRNALNAQQIYWEIIKLKKYFRVKTIFGEEKKTEIIFHRTQLPNKYRTHD MSLFIEQHQETQNSFYYIAIGLFSFATMHFYAKMLYDDDTRYWVSIRYPNHMKYFDIFNT RIQQQYDYILEKLQLKNKDLSGAVGYHNVKQGIKDLFKRETKIIDFETTDQSIQGLDSFL QEQDKKVLKSIK >CAK84852 pep:novel supercontig:GCA_000165425.1:CT868529:76876:79112:1 gene:GSPATT00019034001 transcript:CAK84852 MPALQLISVILNYLVGDTRQSCGIEKKEEIYKTILIIKNHLKSDPTLKMNLYNADYVVKN NDLTIKDLDIHYKCKFELNEIKQKIKNRDQKLAETTYSYLRDLQGLREQLFRQANNLNYD LSDHRHFDTFEITDPKYRELLNTKVTNIKADYEQKIKYLTQILESQKKEIGALKSQIQDY QYRAEHYENPEFLIRKLFHVERDPYELWRLVQDNQGNPFLFQVFSNQKKGYGIDYTEIDQ LLMAVKVQDRIFERQKQTLDQQLAQFMERILEDVYDLRQQVLDKESELDTQQKQYIRNLT LLNKTILKAFNKIISSYNLLLMKTTFKIWKDKELKLAPLLKDLSKFQIKNLEHELFDYFI QQINEMQDKVIFLELKLNDQKALSKTLETKNQIVQNTLALLKCNFNIKNFDLDLEQKLLK LDNFQIRFCKELLDQLMLQKLTYQKYLQQIQKQDQYVQTDCIEIVDQSLKTKEIMVDNVG FITYIKEKYQEKKEKQAVQSGIHTQNRSYSIQENQKPNIDQLPQLKQQNRRMTKVDSNTI KQSNQLQVSEQQEQQQQQSNKITRKTSQKDIEILEKKQSKFKTDQQNYSPKSNYIQNQRI NPSSFSFDNEPISNKQMICNSDDISFEQIDNNLLFPHQRNILPQVQVHKEIKQSIMKQAK SIQHTTQVLCDSEPERQKKCNEAKNKHKNIKYKNNMIIQYEDYPKYEQSEQLQIFLNQSS CQSKKNKTKLPQFIYKV >CAK84853 pep:novel supercontig:GCA_000165425.1:CT868529:79806:80186:-1 gene:GSPATT00019035001 transcript:CAK84853 MIDLFSLRNRNSEVTDLSGNHSLTQKSVLHELSMPKINILVSSFEPKLENLIKADGDCIE INPETERVMKKTHQRRDMFQNEILKGKKQHRVTFRDQILGQSLKQIKYYTKEPQQDLDEC CPCKIF >CAK84854 pep:novel supercontig:GCA_000165425.1:CT868529:80358:81432:-1 gene:GSPATT00019036001 transcript:CAK84854 MSSSFICNSHGLQRQYLCIEQYCSVEDKLICKKCIHDHSHHLIVNCQQSIKMSQEYIQLK KQVHDDLLLISNNLINLRINLMKELEFVELKIKEVIQQLKMKQQIESTIEKYLQQKNENT LTELEFQFVAQYLSQVSKKDNKEVKLDIEFKIQYESAQYVESLLQNVQQKLEVLNPYRNI QKLDSKVQINQFMQLLKNEILTVKPNEIIVTTIQVARNCKLIGFMQPCINGIDQMQEQAM HLSIHQGYNLIEFIYQQQLKLNHNQLNVINNQYYIKITPVLLESNKEYTIALQSKTKLHL DQFYKPAIENPYLKFIKVPETDYKKKDQKLEIITNHGQFPILILETFVQK >CAK84855 pep:novel supercontig:GCA_000165425.1:CT868529:81457:82724:-1 gene:GSPATT00019037001 transcript:CAK84855 MKSCCSLLLLTFMITQCFALYDADSKVIKLTKDNFKQLVLDSGEPWLVEFFAPWCGHCKA LAPEYNKAAKALDGIIKIGALDMTTDGEAGQPYGVNSYPTIKFFGVNKADPIAYEGERKK NAIVDYLLDRAREIALNRLGVEIKPQPANDDSKVVVLTDSNFDEQVINSQEAWFVEFYAP WCGHCKQLQPEWNKLSHQADIPIAKVDATAQTALAKRFNVESYPTIYFFPAGNKKDTHKK YEGERNLDALLKYIKEQKPVDGQSVVTEVIQITSDEKLNQICNTLCVLGFLPSDKKEQED ALQILKKTSLSLTGRANLGWFVGEQFDDFEAELSVIGEGYPQVVAIDLNSKKYYRFKKQL TVENLNEFVRGVIKKIESGQSFSSLPKLNTQKQDL >CAK84856 pep:novel supercontig:GCA_000165425.1:CT868529:82951:83627:-1 gene:GSPATT00019038001 transcript:CAK84856 MGKQYAREPAVAKQSAKAKASDLRTHFKNTYEVARAIKGQTLAQALKYMQDVLQHKRCVP FTRFNGGVGRTGQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIEIWAAQRDENVKKEANNKVVARQSRKQAARSKL AIGA >CAK84857 pep:novel supercontig:GCA_000165425.1:CT868529:83656:85062:-1 gene:GSPATT00019039001 transcript:CAK84857 MNCLTHSGLFKSLWTRPIYRAGNLYTWGVHSLGCGINQDSKGDQLRPRRIDEFNGNVAKV YPSEYFTAVITDNGDLYTFGHNNYGQLGLGNTEEHQTPQLVRYFRDKGLKVTDVALGSNH AVALASDGNVYTWGNANNSIWDQFQGKASGLGDNYQNNVATPQAVEKLVGTPKGKFVSAG IDYSIVVNESNQVYVWGAGKRGELGNGCSLNFKYPELNPIFEQLSKSGYTIQKIKSCFAG TLALLNEGIVVGWGRSYYGSLGVRQQEYVVTDLENYTPTPVNQKYFQPNEKVIDFDLGYN LSLFLTDQNRIYLSGFDESYVPRPIDLPKDEKILKFSASNNSYAILTDKNFYTSNEFVIP QQKRNLGFLFKSQPKELFDSGNIEQFGGGYRVRYAIVNN >CAK84858 pep:novel supercontig:GCA_000165425.1:CT868529:85294:86484:1 gene:GSPATT00019040001 transcript:CAK84858 MSDLISKAVSNFYDKITEYCLPIINPNSQESREPNKRLLLNKSSKSQSQHAIQKIIATSS NQSPPNTGTTLQFSFGQNNQPKDNESIQPSSLMNKTIDQQESYNDMININQLINQKKKEL NAENKYFLQEISRIRCNYPLSLLENFEMKSKIRNKFQKERKSTTSSQDKYEKCWDETLKE INKDSKDFEQLIEQSKRFKRIERPKPLRSQVFSRIREHENQYFKQRSESIIKQMKQRQIQ VVDEVSAQIEQVQLLKKEQQYQRSKEREEGKQEIIKSLKFKEQGKLLKLLQNKSSNRHLQ LSRKILEKSDDGIHSFSKRNKIRSISFNCVLPTIDLKQDEGLIQTIVQQQHQTNHKFIEK PNFKRKSLIVNQINSNPYQDLSKLIRCS >CAK84859 pep:novel supercontig:GCA_000165425.1:CT868529:86541:88535:1 gene:GSPATT00019041001 transcript:CAK84859 MSQSCKLSLSTLKGQQPLFPNISYKTSESPSKDLIPLTNYMLFEQKQDKINANRMKSGNQ LKNERVETISRYLRQLVLTKKSFNQDISKIQDKDKITDSKDTISNSEESTLSFHNLKKID DKFRKQMFQRISKSCKDLTVQGNQDNQMQNHCIVELDQEQEDCERNISHVIKNQINISPN LYNNFIHNKIQKLSPQNQQNVVLDFSQQNKEFREKNTQIIHMIRNVQQNIFRQREEERLN LDKDYKIQKVQRQKERKEGLHNAQVGLKDRKLKLLLNALKGSQSKQSQDPLVLNIKKKQN NMGNQSTIISRQNSFQYYNLNCITDKQNDDKMIRKFSQVSDVCSSETSLGSNCYICNKLK IICRISTKTFQSHLIIDYQYSIDYHKFVYLISLLENKQKKQYLMSGELPKITSKSLFSTY VEKKNAEEESLKIRNRIDQIRQERDKILKRIQSEELKAEQIYKHRLELQLKKEEKIRQKV EAPPPFSLGVSRAQRETLKRIKEEMLHRKKTEVKEFRSWHRHDLQETRLQKSLDHESYRS KVLQGKEEERQANQNTLEKLKERRNRIRYELEIEKDRIQREKNEYDQKIQELEQLEQLEL ANLQKTLQRQNQAKEKVNLAQTLPPKELEAKFGYNSTSS >CAK84860 pep:novel supercontig:GCA_000165425.1:CT868529:88827:89610:-1 gene:GSPATT00019042001 transcript:CAK84860 MFQQSQFSEQKNQSGFKQGVNYNEQVTNMTIRMMKKLKISVSDKNEILFHDKPITLIQVV ARAESSQDSDGKGSIVINDDSGFEKISILFSEGDYVREMFNIVNNEEPKTCYFQFLLRTR IRKEGICFDIMNIKKVNQIGQVISHMLNIIQQTIKSNHIKNPNFMQTSTQIVEEEQFKPS QQSLSDKILNFIKQFGDTSIPMQKIINMFSDQHGLQDIKQSIRQLYDNGSIQQGQGVNTY MLVD >CAK84861 pep:novel supercontig:GCA_000165425.1:CT868529:89722:91080:-1 gene:GSPATT00019043001 transcript:CAK84861 MRVQSTDYRVPYYQVYHGKSKHCLKGRLVMGYSRLMFMASFVYLNALSLIQLFRISPKLD VFFAEILLIVLTDIFMILTVFSDPGIIPRLDSQFQKVKYYMNKKQKFTNELISVIQTKVS ELKFCDSCKIYKTSSTAHCRRCDNCVQGFDHHCLWLGQCIGQRNYRYFYLFLFFLTIMLT WFLTVQIQHLSHLNDYLLIEFIIYALKTFGFLVFSAYLLVLHTYFIFANKTTYEYLTINS CYSIMDKGVYYRGSQLDYIKPIRSKFISFSSNVYYEVPSYVEQQRIQQKMQYMFNDTIDK MQLEEKTKTYQSELCSVKQNRQMQTQRENEITLPQQEFDFRFLKVESESNGEQINQLSHN SSQREEKKDLKIYGLSKSQHIEYNKLKDVQKEVSTAKNKQSIRLNKELNEIQIIQIDKLG >CAK84862 pep:novel supercontig:GCA_000165425.1:CT868529:91385:92670:1 gene:GSPATT00019044001 transcript:CAK84862 MKQKREEFRQQIRKQANEKIFSQVRGLIQRSDQEQNQMVTTESEFFQELTLQIKKDFDEK SLLKILKKWEDNFDKKQLQILLFNQEFPYFKFLIANFKMTKKYIKILIFIFVAIEHDISE VHHALYQYQLLSQLQSNFEKVDQEMQQQIMFLFSVLVQYSQLYRLAIKNSNVMDIVVTQF INQKIDSFTQNYIECFLFMIWSLINPDISSMSDLFEWLFDCLLNLNAALVDFQSEYLLPI IFKAASQFTFCREKLFQKNMNQIINQLLSYNRNQTIYLEFLDFLNNLLMQQPDIFAEFFI QNCWNVIKQILTQSEFIQEAKIRAGYIILEAVNNREIEEFLKGQDILNEVLNLVLQTQNF DVMWKLIIQLIQVYFNLLQALLIQEQCQQNQMDKLAQGIVHSVTLTTEVFKQ >CAK84863 pep:novel supercontig:GCA_000165425.1:CT868529:93009:94070:-1 gene:GSPATT00019045001 transcript:CAK84863 MKAIVIVVLLALTYAADPEQCLKDRCPNEYAACQKEVFGCASAAMKCKNQCGGEDAECMY NCSVASKNAKLIALAECGHEKCQDVALSFCDVEECVASFKSECAQNLGLQSFQCASTFFE RHPECSCVLSSEEKINLKDISIEYDIYACIYQFFCLDFLHNVTGIYIKNLIINNVLLKLM KALLFIVLLAFAVAQDDPVELCVREKCPDEVAACEKNAFCAIAATNCSNKCAADYDCLID CSNKSGNKLLIALSKCGKANCYPSFQFSTGCDVAACAAVLREECLDSNSLSSFSCAFGFF ERHPECECVQELV >CAK84864 pep:novel supercontig:GCA_000165425.1:CT868529:95086:97982:-1 gene:GSPATT00019046001 transcript:CAK84864 MFAEALGEDDEYVRLQKISLGIKEVSLNLRNMQIITDHNILKSMICSYPFQQASIELLIS AFFDYFKHKLFLFAFYRALQDVSELQEYREFLGNRSTRSLKMTFKYIKIYMHSGNVNKII EEQQKQGSTPFSKQVIEKLLIAKRFACSYLKRFFLLAIQLNVDLEEMSIIFKQFITHTRC QKFQQQLIDQFFDCKQSIFYEFPWKNKYDYELDDFCNRWTIEIAPKWILDRKKSMSSFNY IGTEKQIKTEQDDEYITPASKVESVSDYVEIMQKIICKPIEMVTQHDQLQLHNNDNIEIL IKFIINPLETELIDDWGLLFQKKDIDSNDYKTTFKSSTIPMDYLNTVRSFKSLQFLTQKV NHSRFYLTIQDMTPKIFEQIIESLNNQSIQLNLNHLAILIDQLLLICPKASVLKIIELDF LFLFLQYSSNPFIESLIIDILDLTVDKYKLGFFIQKQIWQYILETKWIEYLSNAIFQQNY SIANLKFPVTEKDEEKQQILNFLSKFKDVQKPIEIVKISTLDEFIGPLGGSANSQNLEEH IQYPENISYAQLLENDVDAIRAYLDERRVHKSINYSRKQSTKAESQMTTHRTPTVSHSRN ISLNVPTLPSINTPKSVSNKQSELQFAFNDSKSKRGSVEKLEKHEKSVSSKTSLWAQNLQ QTSRSSLSSETGFSSSKLIMLYPSGNQKANPSQFEIHSSEFYFNANTFEHLINLLEKIVN VIAIHQMRNLDNGEHFCNLVLNQELVLSLFKFYLYEIHMKKDVSFQCGKIINSIYYLAKR YGNAEQQEFLREIFYSTIEYLNKIIINLNRQNLDLSFTSQFILFQTINNGFAIFEPYDAT KLNRNIYKFLSETTIHLYIIFFFKSKQNSLYQYQFVEFINMIFEKAPTYLLQNILFNVGL ISSLYNAYTTFYANGFKSSQLQ >CAK84865 pep:novel supercontig:GCA_000165425.1:CT868529:98383:99936:-1 gene:GSPATT00019047001 transcript:CAK84865 MNSNLVIEEVAEDVENYKEVVQIADENKIKVALIDNQKLKQEFLSTDNYPSFTQVLIISN ISHNCYYDDPFFHYILMITKVIRKALKRMLIPNYIKSKQEFISKENQLFRCFYQDINIGF ITSTGQIKSTAFIYIHRNIREFIIKKIVIDQKQERVRFLMHFFRIENIIKIPYVQQDYKN QFLKTNKFKNEFIILNEEIESHQSLFHVYKPVDDQIYFVKRIHLRTTFNSSFMNVFHSKD VHIGRAKILASLSHPNVVRLYDWWLEMLNFQPYLFMQIEYCTYPRYVSQAKNLLSYAYFY MNPMSCKQKLKLIQDIMVQIVQGLEFLKLRNIHIVDLKPENIMVTITVTGDLQVMLSDFN PINNNQQTLNNIENMALAFGALIMHLILTFPGDSTLRNNFIAKFQEMNLEDSISLFDTWA QKVKNRNREFSFNLFKNLMQSAQSLLIKQYHDFHELKTIILKIV >CAK84866 pep:novel supercontig:GCA_000165425.1:CT868529:100184:101738:1 gene:GSPATT00019048001 transcript:CAK84866 MTLQSDNRALNVKQTIFEHFIFPFKLNPQILNQINSSFISFEQFRDIAQKYSVSNIDADV IFTFFQLALENQPTVERKIVDLKQLSLFLSLQNFNHAGRHSIFENININDVKYQERQRQP MNSYSPLNSPRAKTMRAQSQQNDIQQVIQFVKSNVKDWMSLLTNNQEAITSQEFNLLSLI LFNEQKSISQLIFDRTNKLGKEIVSEWTIKNIQCQEYASTISGFTKSVTIKNNVGEDLKI TQCDDSMIYIDCSVNTLSISQCTNCQIFVGSVRMITSITSCEKITVCVASNYLKISNTID STIHYYGSYSPILYGDCRSIILAPNNSNTEKTLQRLREASIPINKQCRDKYQKPLIIGQS KIDWSLLPIEEFSKFILPESHFGCIDSPLILECNFDIDRMNKEITEKKILTQQMNYEKIV LPLLAPPEYIKAVYDRYQLFAGIQAQIKQSNLKEENQKLLQNAIQGNFREWLVSTGTIKG ISDLVKLIDQEQ >CAK84867 pep:novel supercontig:GCA_000165425.1:CT868529:101738:102130:1 gene:GSPATT00019049001 transcript:CAK84867 MGGIYRRKGNSAKNKQHHRMLKTKSYIRANDQIHDDIKPENIQKWQNQPIDETLPGLGQY YCVSCARYFVNEESIKKHQVSKQHKKQEKRAKEKPYTHMEAEQAGK >CAK84868 pep:novel supercontig:GCA_000165425.1:CT868529:103598:104234:1 gene:GSPATT00019050001 transcript:CAK84868 MRKPPFEHCLDCPIIIKLMDACPLSPFWQIFQEEGFGGLADATLLYELFMVIISLVPWIS GLILGILLLKRRDMRSLIRAGTHLCAHITCDVVKVVYFKEDRPDGSCSLKYGLPSCHSIF AGLYTSWVLIEILVIGMKLKTRHIIAVISMLIVPYSRIYLIYHTLKQCIYGWTIGFGFAV VGLTLHHQCKKRRKNKQVKAKQ >CAK84869 pep:novel supercontig:GCA_000165425.1:CT868529:104762:106012:1 gene:GSPATT00019051001 transcript:CAK84869 MLSYYSTGQFPKSLDPPSRFKQRQASKGDGESMYKRNFEDPQKHDFKQSAHALNQEFHHK QYHKINADYKDRFFDTMHNTTKLQKQEDKLFYESAARQQNLDQPNHLHNWKIVKERQYAE RVQQQSKCDQGKLVSKNEYQATQSSNPESKTNTLARSNMFEISKPLLRINKDLKSQQLIK NVQIVSDDIPDWCSSTNKYYTPEHIEQIKKQRQQQLAKADILGNFIKEPKKDPDNKVYQD NPFVGQRYEPKRMCVFYDKPDHVRGQQSATQDLSKSKVNLTWKENVQSQRFEPRLPEQIG RTDQPVIKSLNQKRDPNFPKVEKIGQSDVYKKIEKDKPIQLKIGHGSPSTLNPVKY >CAK84870 pep:novel supercontig:GCA_000165425.1:CT868529:106033:107580:-1 gene:GSPATT00019052001 transcript:CAK84870 MSDTPQINIIANDCVSINQMKREKFRMDIRKNKLESLFRQNRGIHRLGDSKLPSNLNILD SLQEFLKSDALETLNQFCNLIDSKDLFEAYYEVSQFIIDIKYYLIQPLNHQSHFNKMLQL LKNCIIVNPKIVKTILSDEKDKIDLALLFQLMKILQSTEWKSLHVDALNTLNLLIEQESQ LLDIIQQEKLLLEQIVYQAFDLPSDDYLLALTEFLSLYLQSKRELKFQDQFNVTQDILNR AIQTKDLQIIYGSVLLANCLSEQEFTFFQQIYAISDIIVEYLEQDLSQFEYIVIFKTLRI CIKKMSELLFPLIGKNNIIEVLYNLAFKLEKQAGKDLLKLISCYYKTYTNLHCLIEDQIL YKYVQKSLMEYLDKFVDFYAQSNFAFLYKIKVLDKLINCQKHIQFRLQEQQQFNPEPYIK FFGVVEQALDQVNIKVEQILYLIEALSNVLQIEDMHYQTEVLKLIPNQLYDQLNNLSMHE NERVSQETTNLIVLLQFC >CAK84871 pep:novel supercontig:GCA_000165425.1:CT868529:107631:109248:-1 gene:GSPATT00019053001 transcript:CAK84871 MNYKDFLEISAQFSLGGLITEQAHPHTVGLSEFAKNDLKTGIQRMKDLDMYVFDVLMNKL DQLAHMNQMVLDTWSKGNRVFICGCGSTGRLALTLETLYRQITKQTNIISFMAGGDVAII ASVEDFEDHPEFGAQQLNELGFKEGDLLISSTEGGETPWVIGAAQEASKIGKPFYLYCNP DEVLTVQRSQDVFNNPNINKINLSVGHQAITGSTRMQCSTVLTYAIGLAILCKENFIDYA TNSIKNVRQYYESIDAHEFIAKFVELEADCYLKKEFVFYRSQPNIAINILTDTTERCPTF SLHPFESILDNPINPSWSYFVMDVTEGNYNNSLQAWESLLYGRQPRALSSNYWHKYQHKV GLEKLLSHDISQDQIERRIKYAGGNHNQFRIVYDQDNLEMSWEFLSPNAERIHFAKVKAI DDVLGQNIVLKCLINIHSTLLMGRIGRYQSNIMIYVRPTNNKLIDRAIRYVLYLLKQNNI VNENITYALVCEHLFEEIKTLVYGESIVLKTFERVKNLFSL >CAK84872 pep:novel supercontig:GCA_000165425.1:CT868529:109271:109799:-1 gene:GSPATT00019054001 transcript:CAK84872 MDSKQNKQKAHKTKTMSNKEIYRILAFEFQQQDSLGIKIDLIAEMVSSLFYPNDQNGEAK QNFKNLITQSFKSHCYKDEINEESFCNAMNSVEKYINEDTTDRFLQKIFKRHDSDKDGFL NQEEFVFLMKNYKDSHLTEADMLAIYNRMSQSDPKGVSYENFKKYSL >CAK84873 pep:novel supercontig:GCA_000165425.1:CT868529:110477:111663:-1 gene:GSPATT00019055001 transcript:CAK84873 MEGYNIELIESKKFENLDFDAWRMNTLILDLQRQLLILGCTNYIQFISITGLKLEQLKSI SIEENDMINQIKQYDHLLFVITMNSYIYIYDLDNILIKPIKLQGLYEKIADCSIWSIDVC QQYLAVGSNSHIISLWSKKLLGFDKPDHKYWEDREIRLKFNKQDLMRSDIEFPIRYSIQN HKHNIPCVSFSPCCQYLASGSIDGGLRIYLVENGTQLYSIIFSEWVWCISWILLNEYTKT TSIFELDQLPQYNIVAGFKDSIKICQVINKEFKVIDNNFYQNRSSFGQRFQRLSYLQDLN LLLAFPQHDRQFCLMELYNLDRIFKDQDIIIKLTQENLISADIQQIQGSYDAVIAVLTWQ KYIKLYRIKV >CAK84874 pep:novel supercontig:GCA_000165425.1:CT868529:111678:112305:1 gene:GSPATT00019056001 transcript:CAK84874 MYKISILSVTFPNNKNKQDIYCKLTEGDQTYQTKVDMDTITIAKWDQNFNVKQTQSEITF SIHLWKGDNKDQLLTETKVKWEQFLTLMNTPQTIKFDVCEMSLIIEEVTIPSNEAQIKQF SKYLSDTGLEQSFKLIFAEILSKKIDRSEVFTYTALRLRQIGDDLKMFLTNNHKMSQLLN NISEVQQDDEPDQFLESQDQ >CAK84875 pep:novel supercontig:GCA_000165425.1:CT868529:112331:113284:1 gene:GSPATT00019057001 transcript:CAK84875 MQQESIYNLIPKEYVPPPKEPMYRSAYPSNLVPTASTFNNHTTSRPKINNINGDYELVKG PHSHKGQSNSLGRPRGSYKPDTTMFRLKNTGTMGSNQLPEIQSYKYPPSIKPQVPKKDEK PIHGLKSNKNYIITNAVDNILSAPKQIVEDAAWTSKKDYGKVPDYLTKIKQSIASEYEII RNMHISEAEEMDKQKYLLSQEEVQQLKEGLKKKWEAVNKEYQSITHIRMIDTVGLKRKKE QCEKELAQLEKDIEKLNKNYVFVDTQK >CAK84876 pep:novel supercontig:GCA_000165425.1:CT868529:114150:114990:1 gene:GSPATT00019058001 transcript:CAK84876 MGICQKKSQKDNSQKQTGYESEQIIVLTSIQSHIQYFDQIDLELLKYPDISLLLRKHIKN YTFIEEGKTWVVVKEIQSFLNNKMPILIRNFNPETDAALQLIQVFESMVFQTQKVFECSV TFNEAKVELFQLFQNQLVQTSIECLIVVNTLISKRLQWWNEEYFKWRQNHLISMFIIKNI NKSNKEIIGPIYDFIQLLIECIRHFGDIEVSKGVQETDLKAEQKFLDQFYNQMRIGLIQN SFFSESISNEVVPEQIQIDQNVRAYAILINKK >CAK84877 pep:novel supercontig:GCA_000165425.1:CT868529:115047:116021:1 gene:GSPATT00019059001 transcript:CAK84877 MKNIGIDLFVGIVSSCVNTMVGYPMDFIKTRMQLQHTKQGTMQSIIKIIQMEGIKALYRG RVSIQLLNSVFAGSIYFTTYEQIRRQFEKYDNLPRNSYLPLHQTFLAGSTAGICSDLFAI PFEYTKIQSQKQEILKGQKVKGPLYILFETIRKQGIKQIYKGSLLQVIRDFVGCGSFFLA HSETLHFFTPEGKSRNEASQTGIFAASIAAGFGYWVISYPLDIIKTRYQVDNQNVLNVAK QTYLQGGVLQFYKGFKITVLRSVFVNIFQLYTYENLRRACYKYA >CAK84878 pep:novel supercontig:GCA_000165425.1:CT868529:116112:117162:-1 gene:GSPATT00019060001 transcript:CAK84878 MYQFPFFVSMQKNVYVGIYLWEETKLVADKIEQKGICTVRRDNTQFLRDMLNQVLHEILI EQNNKKAVSIVKEKIQQLLNGEINISNLIISKSLSIKIQEPDFVDEDLINKEEEFHSYPD KYHDTNDFRKQPHVKVAQDKVKNKQSNTFQKGDRISYVIVENIPGQQLSENAQDPLEAFK NNYKLNIQYYINQIKIPIIHILEHIIPNPEALFNLNQYTSVPKKTFCNVVQNNGSKKALN KYLKKRITCVNCRDEVPINKPVCFNCRSQQSEILFKISQQLINSQSRFQKYNMICQQCQQ SQFDQVICKNEECHTYYKRNQEQIILQNTWDKYDQIYYCEW >CAK84879 pep:novel supercontig:GCA_000165425.1:CT868529:117168:119375:-1 gene:GSPATT00019061001 transcript:CAK84879 MNEFKDDNHKTLDYNETVHQQEMFQTAFSKADQNTQNFNNTHFSCILNHYRRIPIQELDK LTFIILDCDYKLNTNQIRLFGITDQQQSLEIKIIDYFPYFYIPFPSKLVRDDKDIDYFLH QFNNHLYKNGIIAESQPIREITVVESEIIRNYKGQNYQKEPFLKLSFYNVSSMKKVAQLI EKGLNLNGFQFAKQTYECRITYPLKFMIDLNLRGMGWAMAKNLKEDGESNCQKSYLAKTE DISPHEDQQHIPNLRIISLDIQTIFPSQITLDSSKEIIVITCVIQINPVFERRIVFTQKK CYEIINAIIYQSENEIDLLKQFNQFFLSFDPDVITGYNLHDELLPLIIQRSRTLGLNCQY LNYGRSKNEESLISNGRFFSTVMRMRETKFVETNGRIQLDTLICMLRDTKISQYSLGSIY YSLFNRQIEIYDQETIFQLYEQNNIKRISTYSLRKSEACLEILLYKGWIYTYAEISKVTG VPIDYIIQRGQSIKVLSQLLRQTKKNEYLVPDENHLVKPDTSNLKGALVLEPKKGFYKIP LALFDFVSLYPSIIIAYNMCYTTIIKDYSIKLNENDYHIIPEFGHKFVKQHIKKGILPQI LENLLAKRAVTKQEFQLRRRQVKEKSIRCQIASIKNRCQFYLWIYWLCRAWVSSLFRNYI IYTQGRKSHIIKFRYLLLITIYPNAEILIWRHRLHYQQISKILRFKHSNLSNQFPFPKAN KIFSF >CAK84880 pep:novel supercontig:GCA_000165425.1:CT868529:119635:120323:1 gene:GSPATT00019062001 transcript:CAK84880 MITPQEQLDIIIKKIKSYVNGKSQTTIRTLGKTFRGFESFDQKCRIDKDEFLIGLKQNGV VLTKFQTDFLLNYLDRNRDGSIDVAEFLYLIRGSPNENRLNVIVQAFKKFDKENLGIIVA DEFKGIFNAKNHPKVTRGELTEDQAYIEFLQYFKEGNKSGHITLNEWKEYYAAVSADIES DENFIALIKETWRLK >CAK84881 pep:novel supercontig:GCA_000165425.1:CT868529:120325:122136:-1 gene:GSPATT00019063001 transcript:CAK84881 MGNPDPYGRMLPMPNPQYYQCWTPPFPQPMMPPPPNQKYPFPDQFMHDPGLQMNSLPPNQ GGMNINGMPQGLPNMPPMPIGFGMPPMGPGQLPPGTMGPGPMGPMGPMSAMGPMGPMGPM GPGPMQLPGMGPIPQGPMGQMPNFDFPFKQQPQLQQQLGQNQMMPQQQQQQQQQLLSQQQ QQQQQQQQQQQIQQQQQAPQTQTQTQASSNTNNNSMTQSNNLEQGFSQVVNEFQLKILNM FVQQNQMLLDFKNKNANLESVITQILDEITNLQRIVEQKFDNGQTEDFVSRLSIPHQEMT HNNVLLKSLSYSLNEFQYQLVLINDLDTVLFKDKNFNLEIALKDMKGQNIKNKNKIELEI QLYSSDDKPMLLQLNSQNQGILRLPEDNIWLEDGIIEVEKLQINEVTSHFQNGWVHMIVY PIRDNNKNGQDDINPALIKPLILQIVVKSKKTLKKSSRSRSRSHERLRRLEKLENKSSSE SEQEIEQKDIKISQEKQNGNENQIEEQNENPKESDKKNNSIQNNNEQQKENQQQKEGIYQ NNESES >CAK84882 pep:novel supercontig:GCA_000165425.1:CT868529:122158:123329:1 gene:GSPATT00019064001 transcript:CAK84882 MKQQHISLPNLSKLQNLPSSDRSPITVNTPSRKASEVLVKFNFHLNPSLHKKKQIKNTTN NEFQTKKISKVTRNIMELRRRKKMKCDSLQIKPRIQACKTNKGGTISVLKNNEQCRSYLH KKYKDQIYTGASEFSDKSKDKFRLQMVKWLIDNKKDVVSALNENKQNILEWVQCVEKVDR DEFDIILHGIQLYKDPNLINRLFWVFDLNDDGYIEFNEIQYSINLFREYDQQDKIQIFFE LCDENDDGYINEEDIKKFFSKNLTNQEELRQMKFLMKDFYQELNPYNFKGLNAEDLYKAT LSDQNIRIIVEKNTLILKSNNKKEDDIGSSLNNLIYSGQQQLKENKAYSSLRLRVQLMPQ LRRTEL >CAK84883 pep:novel supercontig:GCA_000165425.1:CT868529:123425:124297:1 gene:GSPATT00019065001 transcript:CAK84883 MADQLEERAQRIFGKLQSIQNNVEGEKQQKFKLILGYLQSVESAIEDVQQQKNQKFLDIA EKLQRLKSMLDTEKEARDQINEKMKKEILSVEKNCKTLLNSFSKERLETEKRVFQNLSQQ VDQLSTEIQKEFHQKSETQNKLLEIQNEQIPQFYQEIEQEIRIREEIEEKIAQQFNEQLD ELKRIFDSQQKQREQREEEIIGILKKIYQNAYDACKRNRADRERNEELLVKLVEQVVEKI KREIVDSDF >CAK84884 pep:novel supercontig:GCA_000165425.1:CT868529:124329:125335:-1 gene:GSPATT00019066001 transcript:CAK84884 MLSIVDKLPPIQKDHMKRIQTEIQQEEELLIQVASKTLPGQNHKKKQKINQDCLAIKKNM CNQNDWHFFGIFDGHGQSGHLVSQFVSRHMIKVIENQLVQNNANNPNYFSQSLQIAFQQV ENDLVDKTNIACNFSGSTGVVILLIGSRIYCANIGDSRAVFFYKSQDLWYNRPLSYDHKP NKTFEYKRIMKFGGRVEQSLIDGKRLGPYRVWLANEDVPGLAMSRSFGDMAAKSVGVIAD PEILRYKIQNNGFILLASDGLWDKMDFESVQKIIDQLDPPFTQLDIDLGVQKILGETYQK WDQNDGSRDDITLILVHVQI >CAK84885 pep:novel supercontig:GCA_000165425.1:CT868529:125449:127013:-1 gene:GSPATT00019067001 transcript:CAK84885 MALLGKTLKGRTTKAGGTEQKRNIKIRQITKSQQEFEESQKQAQTQFKKEQLRSKIREEQ KIVNFNTKKLMAYWRRTMRAVKTEQLKLDIELYSQNNKRELDSKEAFIQMLDKNLDEAED QYQIALRNHLIHLENFHLLQESRNRALLEEFERDIKILQEEFQIEFDDMTKTHKQQVKEL EDMIKTVEEEEKRKAEQAKNQHQTNREETKNKDVEDTSQMKQQLEDKQTKFYNDLEQMHQ KYQSDTAKKTEDHTKYYDANKDMSKKIERLVRSIASKKAKIDLTKYKILQHTKECNARNQ ALKKEKENIAKNYQDLKLKMNKFREEQSRLLKELVNNSRNAVLKLTEYKELGEKILKTAE LCRRLETEREKVLPFYEDTVDLDQIPENLKNDFEAIEKDQYEEFAYLNNFYKRYNKVLLD ILAIQKQKEALQNESNQLQSLLKQYLDGLSCNDDVLSNPNPLFVKNFNIDLGEKQVIGET QQTIIEGVFNVRSTQMQLQGQRVGPFQ >CAK84886 pep:novel supercontig:GCA_000165425.1:CT868529:127058:128683:-1 gene:GSPATT00019068001 transcript:CAK84886 MTNDLTKNKSIGQYLFAKTLGEGTFGKVKLATHVLTGEKVAIKILEKQKIADASDVERVT REIQILKQIRHPNLVQLYEIIETPKQLFLVMEYVNGGELFDYIVQNQRIKDVEAVRFYSQ LISGIEYLHKLHIVHRDLKPENLILDGRGKIKIIDFGLSNFYKQDDLLKTACGSPCYAAP EMIAGKRYSGLQVDIWSSGVILFAMLAGYLPFEDPNTTQLYKKIISGDFKFPKYLTIDAK DLIKNVLNTDPQKRYTILEIRKHIWLNFYNQKIPTGLIVGHHKIPIDPEILKQLVQYGIS AEYAEKCIETNRHNHVTTTYYLLLKKYIVAGNKSIADISSDVFEPQRISIRQTTYTDRKQ TMPTNPLPLIQRQSRQNNTMHLEDSIIDTKPRLNNSVNTQNTIQQQSPTTLNQSVEVRRK ITLDLQQTTVLDGGQFQQSNDIKVLKLRTPVNRNYILSEYYGRFPRKSRNQDRSSSVDKD QSYYQTFYKGTSVPKK >CAK84887 pep:novel supercontig:GCA_000165425.1:CT868529:129279:131000:1 gene:GSPATT00019069001 transcript:CAK84887 MEQNSNYFFNSTIKEQREFWNRDFHILVNNHENFEIQNDNCTSFYKRSKILKKWIPRFYS VQGNYILYGDRNLKGFRKLDCVYLMSSIVSGPYKYKLELTYSGFTIELYTDQKQDYDSFK GTLQSHCILTDFHTQYTLLRQIGFGSSAQVYIARSNYNQQLYAIKRVQKNYTVKQKKFEQ ESALRNEIQIMKELNHPNIISFYSVFETNKHINCVLELIQGGELLKQGQYKSIRDARIVA KQLALCLDYMHQKGIMHRDLKPQNILCKSNSLDVLIADFGLATYIKNQKQQYYRCGTTGY VAPEVLMYKEGSKMYNEKCDIFSLGVIFYQLIFNVHPFKDSTKAGMLKRNLVADYKLDDQ MRVPQSCKDLIASMLRLNPKQRPSASQILRHDFFNEALNELSYPSLIGSFQDISDHKKSG QSFNSQVGELKLSTFQKNGQVSIFEKMPLNIKSANQSLEFKELSPLWNKRITLQQPITQP RSKAQSMQTNKNFDIRSQKVSIFQQHSKNSDNDIEYHQVPYQTISNRCTIKNK >CAK84888 pep:novel supercontig:GCA_000165425.1:CT868529:131285:132302:1 gene:GSPATT00019070001 transcript:CAK84888 MNHSDGAQSPPYFSMYPLPYWPTSSQLLLMMNFQNPLMSPIHNLGSPILTSHLSFTQPTM NCDIKYEQNSQNKETTLSKQPEKYTSQIQIIAEQLDDMNYDEITLENLEQLIHLLFAESP KLKKIQQQLKEKKCLKVVKILETLAKKIRTQSKSREELIKFCLRKAFREIFHKIQAKSTK TKLNLKAASKIFQQSYQAEKMKSIQLPFRKNSRNKTMNNHFLHELFQSKQFQDQYKTFLE KLDTIIENDRNKKIKAIAEKSREFIQSNRKSYTFKRLPWSIKNIEKLKETANEMLNYCDD QKFI >CAK84889 pep:novel supercontig:GCA_000165425.1:CT868529:133156:134068:1 gene:GSPATT00019071001 transcript:CAK84889 MNNNTNNGLNDEELLRLIKQELHYPNSPVYDQISDQYSSVSDEEDIIIRDPASEITDESS KSQQFEKKQAKWKYCCQKCTKSDKGSLKPKTCVCIVPASQRRIQIGEQGCLSCHCTGCSI EDEKKKQKKIKKKRKSSSVSSSDESSEFKTVNGCCKKCMKAFSKMGKEAKSCLCQVPRAV RKKPLPAHGCQYCGCHGCNPEDKKKEKVKKNSRQLSPVSNEANEQNLNNFQNAPLIQWLN AQNLNPGILGIGIPQRTYSYIYGKEQGR >CAK84890 pep:novel supercontig:GCA_000165425.1:CT868529:135377:136786:-1 gene:GSPATT00019072001 transcript:CAK84890 MNSMQKVIEQLNGFQNEFIKYKALYERECLVTADLKDQLGQLDKQAKQLAAERDNLLDRI AKLQAEIEQLGKQIQVKNDENAAQSKTVSTLEGQVAQLKPLEAENQRLKQLIDQQTKELG DLRQKLADLQLAADDANKQKTQFQQLYNSVNGEFEQLKNKFAAKDNEINALKASNTKQES IVKQVKVIDNTNPNDLKTLQDQIAQRSKENEEIKKKFNQMDVELQKVKKDNTEISKLQQA IQAKDKELDDLKKKLDKFSQDSSNLEKLKKELEAKVNSLNSDLNTSKKSFDNQQNDIKKL NQQINDLQNEIKRQQNIISNQTADLQTWNNKYASVVKDLRSDNPPQSTNQTNQFTTTITT QVNKQPQTTYQQIDDSQSNRSSGYRQNLFPTASQVQQTSTQKPVTASSIYQSGSSSQQPP SQTSSSQIMNQTSTTTTRSYARRY >CAK84891 pep:novel supercontig:GCA_000165425.1:CT868529:136939:139517:-1 gene:GSPATT00019073001 transcript:CAK84891 MSRAYINKQLNQNRKCEDWRGKAIDYETLIQAEQARNEELENDVQQLNDENARLNDEIKR LMEELGKLQSQVSELREQTTIIEQLRSDLHNVQHQLDLKLQQIDDLNHDVQTRDAELFKL QGGSSVTIITENKLLQMQSEIDRLQSLLKQREAELDGWRLKYSSLEKVNIQLRTENASID SLQGTIKTLQQELASKQERINLRDDKIKQQDDIIDQLQNELNHLQGLKLEVENLKQQVHF KVQELTTCKEKLAAALREVGALRLYKGEVQVLESEKQLLRDEVDHLRGEIQKRLLEVEEL SFIKASQEAQLKQIPFLEDEISTLRNLLADAISEKGILQTQFGQLQNENLALDNKYHTQE GELDELKLDNQRLLQINDHLNEQLQKVRNERNQFERDADQLDKTLHDVQQLLADAEEKNA QLEKEIKELKDELNKLRQQNLQQELDLQAKDRQHEQQRVQYEGYLNEQSLEIQRKEQLIK NWKDKYLQSEQLVSDLQFTAELLKQEQIRARQLELEIERLKQRQLHQPPPQIEHIVDQDE INQLRKQIQELQRENFDQYQQIQKLKQQIEQLNNHINILENEKHLFIQEIDRLKTQLNMK VEENEQMRAKMNDLNQTIFNLKHLESRVPELEQTINLLRQHSQDLIQQLNVKTKEYEDLY GRYFDKSLEANQVNQLQLSNNKLDIVRQEEVQNRENVKTDLNKVGVDNDRLRREKEYYES KYKYLLLEVEYLQRLKAESLQQDPMLELRGGNQDDVNEDIANLERQQQVLQDQLAKLQSQ NEQKDKEIDDLNAQLRQLQAELNKLRNIQDLYNKLQQQYSLLQTQITNLQQSSSNSSAEK DNYINELRISYQ >CAK84892 pep:novel supercontig:GCA_000165425.1:CT868529:139594:140355:-1 gene:GSPATT00019074001 transcript:CAK84892 MSRVLPASVRHFVSPPRTSQNPRETTVIPIQETIVPMQPVTSEYIVREQKGSVRQEQPRT QIKTQVIVKEADHTFCEQRIAEQQKEIDLWRKKYLELQAELDRNTSYEETIQQLQDRVEI LIGENKKLNQSLKQKIGDLDQARISINELEQHIRTQKNQNEEIQRLQKQLDQSKKQVNEW KNRFVTIEKQISTITNTDMKTSELEQKISKLQQDLSNWKERCLRAEKEKKDLEDCKQQ >CAK84893 pep:novel supercontig:GCA_000165425.1:CT868529:140580:141463:1 gene:GSPATT00019075001 transcript:CAK84893 MQFLQKSHIPKAIQIIQVIEFFTEYFAKLPQKRIYQNFLILEILKLFLKLRKWHLIDKYG LMISENNKFREADHREDSAVNLFQEKWGGLQKDPPIVDIKLAKEQLKKVNEPMLEFNQGQ YDTIGDKQVSIIKTLPIPNSLKKRDYDSQLNILRVQIGEILYLLRPLVYCSCILKFGTRS YSPYLISLSIDILRFLIQFKIQIFRKSQKEELRLRAKDAIICYVLRDPFYSQILKQKCLN KVLGLLVKEENILHRLVIGLLDLRSSKCLLL >CAK84894 pep:novel supercontig:GCA_000165425.1:CT868529:141513:142963:-1 gene:GSPATT00019076001 transcript:CAK84894 MKADSFQTKFLAQQIKNKIDHKNFYSSFNLGNSVFTSKLKSEESIDKKLSLSQPMSPSYL KAMKALQDRIRTLEGENGILNEQINISQNYCSTAHVKSKQRNKVEKLLSTMTKHDVFKND KNDEKDEKIKELDKKLKQSEIENEDKIAYIHSDYKFQISQNESKIRELAEEINNIQQQLN LNLDTNESYKKKITQLNQQLQKEKQITINYQLQLDTFVKSSKQWNESMSKMNQDLKQYKE QIQELQQYLDWYTTQYPVDKFQHMEFDIKQLQTKNADLVNQLDQQKQQNSQLLSDIQLLK LQYERIESQKHKQIEQLNGKIFELQLLVQRQDSKDQYQQTSNKKQVKKISITQAPTSEQE QIKLTTDDDNSIVIIKKNNEDTQEYENISRQIIKLELMLEELDQKYDSIVKQAQIETDMR VKQTLRQELIQILAQIKDINQQINLLISQQKSLKTRI >CAK84895 pep:novel supercontig:GCA_000165425.1:CT868529:142996:144057:-1 gene:GSPATT00019077001 transcript:CAK84895 MAEYSNSFSKQFREDQEEFLNIAIKKWKFHKNFKRNLIIFSIIGIFLLVFAIIFLVFNLQ IVEKEVYYGSSCTANQLNCEIPIEISSDMTAPIFVYYQLENFYRRNRNYFKSKSIEQLKG NTDADLSNCGDYQTNSDMEKVKSYGGNQLNKSENAFPCGEIAYTYFTDTFKLKNSKGEIV EIDETDIAWESDREFNFKNPKGWEKFAWTNIEDEHFMVWMRTAGQGRLKKLWGRIQNDLS KGQYVLVVNNTYNEQLYSSDMVKSFFMTTTTIFGQKNMVLVGSYFAGAFICLCSIIVLVV IYFRDKRRKII >CAK84896 pep:novel supercontig:GCA_000165425.1:CT868529:144813:145628:1 gene:GSPATT00019078001 transcript:CAK84896 MHKLRQLQEKEIQCHPTQKVFVPFIQERDSLGKLQTNHKRNTSQKSTLKKKSNTKKLTNS KSEHTKSKINFLKDVYTINHNTEWANLTNISRLEKKFNLRIQTEFVLQKPLTFLNSKLQL NGGFVQHRANTQLNNSPKLGKNLCMNSVPPIGYYQPKDLPIKKQPMFVKMNLQQDTNRQT KKVLKTECSSTISIPKLEFSNIRILNKIPQELFEKAYLTERLSTEHKFRYTPLHFDNEEI EQQEYKINQLRQIYKVMKNSIS >CAK84897 pep:novel supercontig:GCA_000165425.1:CT868529:145664:148487:-1 gene:GSPATT00019079001 transcript:CAK84897 MSIMIKQLTLVVQLQNYHIFLLLEFHILSTQQQRTCINEKKEAIEALAANPSDENFEIQS QKYNTTIRNLKRWFNQGYVRKQGCGRKRVNPQAVSELEQWILSETKKGGKKITRDFIKSK ALEIFNSDTFKASKMWMDKFLSEYDIKFKVQTILQEHGCLSKLQEVKFKQEQNSRREKES ESLETKRLVKKESQEQIKTDLNLKQGFEEKVYVEDFFLDQDAVNLFSDSFEIQSGQNQVE LQQFSEQYIPKLTFLGDSYEEIYHIYFSYYYSYKYDIILSMIFFLKSFYKLMKYSLLIIF VLISITLTQQTPEQHLKKELLKRVANYVGVELPHDLHLFCLPCKLMMKQVQKFSKNTLLT IIKKEYLALCPHFYNMPHCLGKSRQYHDEFAVHFIEQRFCITYRNYLKPSNACQLLGACK LEHHPQTLKEYINEVMHDKLTKQQQQSWKEQAEALLINNEDYKVVQYTDLHIDTEYTEGA DAFCDAPLCCRKEYGTPKDPSKGAQYWGTLASCDLPFRTVQNLLEFTKEQIKPDFIIWTG DSIAHDVWQQLESNQTVPTRIITEEIQKTMPTTQMYAMYGNHEAYPAEQYDMKGESSQWL RDETAEMWKQYLSQEAYYQLRRNGYYSQVDEKRNLKVIALNSQAYDYDNFFLMEGVTDPR GMLKWLVEELYDSESKNQFAIIIAHIPPGDISCNTQWADRFSVVIERFEHVVSGLFYGNN SLILGHTHSDQISHIRSRIDGRYIKTLYIAPSVTTFTRYNPSFRVFQFNGKTNQIIDYSQ YRLDLAKANKEGQNAILNWDIAYNFLEYYGLQSSSIEDVSTLGYKMRHDEEILKKYIYSY ATGSEARYNQYLKDLKKLFLKKGTRNYYICGVETATYDDWFSCIGFIESLQDSAQIRYKI YELFYGKWLKD >CAK84898 pep:novel supercontig:GCA_000165425.1:CT868529:148567:149739:-1 gene:GSPATT00019080001 transcript:CAK84898 MIIFGGEVHQIPSNKRIFSEITCDIKIINLISNELKVLKQTGIIPPRKSHIAEVIGRSMI VHGGIDLKGQYLRDVIAFDLITQRWSQVVTEQNSCFPDGVAFHKSAAVYFSNQIELYKSD SDGKLSNQGIYIFGGFDKNGHYLDGLIRIDTTTKPVGFEQVQTKGMSPIGRCQHSMSYVD KYQLLAIYGGKNDDLNINGFLNDLHLLDIKNQTWISVDIRGNQVAGRCNHTSSCIDSKLY IFGGCNQSGFIKSDLLVIELDPILVQTLSSEDDFVERPVKQQLKVTFKPEIKKDHLQEIK EQINQICVQPQNQQISLKKYRRISDVLGSSNFKELKFQSQQLRNGGKQQEAGGNPSDIQI KNLKRTMTTIVNS >CAK84899 pep:novel supercontig:GCA_000165425.1:CT868529:149795:150622:-1 gene:GSPATT00019081001 transcript:CAK84899 MNSNKNLNTSPSEKVDIGLLLKKFKQANTKLSPLPLEQFQQVAFDSKIELLQQLPDCDKT SFMRFKELNQGAVSELKRSFLQSKQIWNNYGHSLFTEERVDQLKYYTSRKMQMIHNRDTF RKIKEQYKKQEESSIEEVRKYFNKIKSKGPDIKSYPSLQYQDLQRMESIRKQIRISKLND LNNKNKDAQDLQMKLSEQINEIRIKKQNVYIYKDVSIQWQPCSRNGSTMLSYNHHLFLYG GAGAKQNEDFCCAYVDKSVIFKYVRNLQMAIGKTR >CAK84900 pep:novel supercontig:GCA_000165425.1:CT868529:151363:152885:1 gene:GSPATT00019082001 transcript:CAK84900 MDPKLNFQETLQCQYCKVYVNKACQQVHYANCQFNKNQHQQGITNNNDNKSFTAFNNQNQ PKDIFGPFQQITFKNVPQKTHQLNNTDIQNTQKTPPPIQFIKKPIQNIQFQDTQIKGNQQ YQQSFIQLNSNTETQQQDNRFVSKKEFPFYNKEISQEPFPLPKPFQTPSIPQQNTSSEQI LLHQQQKNSNGNQPKPELGFNQFLIFQQSQVKSFGDIQNQQQNQEQSLIFKTQNINNDVN INQQQQFPAPKKFENNQQKQFKINFSHLNPNMIQNKNLQQIQPQQNQQQQQQSIYNSQTN LSSSRFNQLQNNRQPDNHQPTSQSQPIQGQPSIPRQFIIPQMPQNIQPPPLISSFFTRFK YSPQNNEDDDDDDDDNDEYDTYNSHDRGLPNFDINRQYTDDEVNRMNQEQIYQYFSNLHI NINHGYSEDLINEKIYQNFPIRVDDNRIDICVICQESFTQETFTTDKQLPCSHLFHEICL IGWLKRSKQCPICKTEIEL >CAK84901 pep:novel supercontig:GCA_000165425.1:CT868529:153190:154178:1 gene:GSPATT00019083001 transcript:CAK84901 MDPIQNKQNIDDSEGEEIIQEGEVDENFEQRVQKLLYETDNGLNLYNKPVMPLIYYEFNE EIKQQAQQVISQDTTIIPQDKYEKYEQEASKIWDKFYRHHQNNFFKDRHYLEREIPELNH FKESHQKDETKLYVICEMGCGVGNALFPLKKNYTFFKKVYGFDFSKRAIDVLKANELYDE NVFQACVCDLVLDALPDFERPDLGTLIFVLSAISPENHLMVVRKIFEWMKPGSVLYFRDY GQYDFGQINLSKKKNRKLKDNFYVKHDGVRVYYFSNEEVTSLFTTAGFKQLDVKAHYRYI ENRKTKVKMYRVWVQGRFLKE >CAK84902 pep:novel supercontig:GCA_000165425.1:CT868529:154205:155865:-1 gene:GSPATT00019084001 transcript:CAK84902 MSQHIANHYFSHPIKNESSRRIKTLYSMNDSNSCKIRKVIVPKNISIDKETLYEQLHLEK LKTKQLQIEIQSLQNILSQYGKEVDMMDENDQRKVIQQSILRMKQKDQEIQMLKENTQFK AQQDLKKQIQDLKKELLKYQILQKFEGDQNQIVQDNINLLDKIESQKQYINQLEKIRVDY IQVKAKHNQLMQVMKLKDQQIQRFRDRDPLSETNLMKHHSKNENLLVNELQLKIDEIQHL QTRLNQYQQIIQENQNALTELNYDTKQKIQLLEAEKKQINDKYDKLQMDYTALLEKKKQL DIFLQQFAKKKLQTQTLIYGEDQLFQSATQVLLSPLISPHHGDNVVVKQVRKQQIEQTIL ELKLSLRKKRISLQDAELILFSTGNEIAINDLEKQLKQDPFHLKNSTLLARYLIEDYVDK DFVYDPDLKAPMAKVKSVFRNLMQNYKLNFDQNVQDLVETSIKQHLIDFCVKKSVNTLNL DNISECMISQDIQWNSKHSDYLQQMYYNKYNKYLNFEINNLLKLFDIAQ >CAK84903 pep:novel supercontig:GCA_000165425.1:CT868529:156113:156855:1 gene:GSPATT00019085001 transcript:CAK84903 MKKKQTKKQQQQQQKKQTPLNSGQLIKLMDEQHKKGQYKEYPLYGLNFAKIPIITFTPEI NKYLQKYPNESKYCTDMTLIASIGFTKCYLNSLEGLEYAGEKVATIIMNYNNLQGDSLLK ISKLFPNITHLNLSHNQISKIEDLQHLNKFKKLEHLDLRFNPVKRYKDYRKIVFEQIPQL QLLDYDQDVDDSMDNSSRDNWLSSQVEESESEASFDDESSEDVKPKKKVKK >CAK84904 pep:novel supercontig:GCA_000165425.1:CT868529:157075:158578:1 gene:GSPATT00019086001 transcript:CAK84904 MNDNYQSQFRSIEMMQDRYNHLSSQLDKLKNQMDQKVCLEDFFKYTSKIASEYATLQMLD LSIQSIETRMRFCSSDNQMKGSLVASRVKQQSKQTQVYEYQHTIEQQLEERLNRFRELIT SQVRQFCDQVQAQDVSKPFREQIQNNVNNLHEKLHQVELFQMEIQRHLEAREFEKLIKKY TDVEERLNVLENHSKGLTNLIQVLNQQKKIDEQEQKKKVKEKKIEDHLNQYLTIQDFHKH QQYIKEHYLTSVQGAQFLQRINESEDNIKNLFNKDIYDKLSLEIADLDEKFGIVVESLQN KINVQIQNQATKLNTFEMSINSFMSKSEVIQMLDNPQAMMKVNSAVQNIQEKFKELYAKQ IDILESSFKFTISNIQAANITENDKLNQNALLLKKCEQIKVALFEYFGQNNQHNYSQKKK QELNYQQSQQFKNSNRLESKYQNRPLSVGSRITKIRRQSATPKVISASFSGSSAEPQFFQ KVLQNPLVQKMKK >CAK84905 pep:novel supercontig:GCA_000165425.1:CT868529:158606:159238:-1 gene:GSPATT00019087001 transcript:CAK84905 MQQPINLCWCHICKKQFESSDLMKCAFCQQEFCEIIDPSNDPRGFVPYGENQSQRQSYPP QRQQQQPQTIIHITRNQIPIRFQQRSNVFDNLLQLMFPNTQFQPGQSLEQLIDFISRNDP NRYGSPPASQSAIDSLQKINLQSECCTVCQEEYQSQQALQMPCQHHFHPDCLIPWLKQHN SCPVCRFELVTDDDDYNKRKNLK >CAK84906 pep:novel supercontig:GCA_000165425.1:CT868529:159266:160656:-1 gene:GSPATT00019088001 transcript:CAK84906 MKAFAIIALLFITTYSQSNDIDVVLKMLADLKNGTSKQLEQLDSDWSATQYSKQDIVNDL MRSASTQRGECNRRDQEWANKERDIRITLSYINWLEKRIKENNERLQRLDLNRCESNSNF INDIKNSKKTLNLITFLRRAVKNAKETDLPTLLQSAQFIQLKSYLADDEDEPQVTSDNNE PPHVYEDAEVDTEDSQQDTQEVEEPNQETTEETVDASAPAPEDHEIEQHQEAGEPDAPVP EHPFEAAEKTTNEQLAKEAAAFNKIIEKGQKAPAEAGSQKDYSQFTVAQQELLNFLDILE SEVRGSFSKKQDNQVTSAMGYSDFKGLIVKENETFKGHLVAEDVNLEKLQNQLITILQAT AACKERLKKIQNSIDLANEDLNSAEAHYKSVSETLTEELNTFDDVYRIYSSQVGSQSSQY KRDVQGKITN >CAK84907 pep:novel supercontig:GCA_000165425.1:CT868529:160849:162551:1 gene:GSPATT00019089001 transcript:CAK84907 MGVCGGKGAKNKDNAKKDQDQKQQDTHPKSIENGQKNDKKAFTSQKTQFKVNPSIFVTLK KGDILNYYRIDQTLGEGSYGKVSLVTQKVTGLPRAMKQIKKEKIEQRDNMIQEVSILKEL DHPNIVSVYELYEDEQYVYIITEYLSGGELFEKINEIDHFDETIAAGYMRKILEAVNYCH NKNVVHRDLKPENIIFESRKTNSSVKIIDFGTAKELLDSTKLSQRIGTPYYIAPEVISKQ YDKKCDVWSCGVILFIMLCGYPPFNGQSQQELYQRIQAGVYSFDEPEWKEISGEAKDLIK KMLVTDPEKRISAQDALQHEWIKMTQKEKKINHKSLENLARFHSQSKLKVAIMQLITTQV MTNQEKKKLQKQFKKIDVNHDGTLSREELLQCYREIYNDELKCQQIVDHLFEQADVNGSN QIDYTEFVIAFAKKEQIMAQNKLEKAFKLFDKDGNGQISKQELQDIMGGVQLSDNQWSSV FGELDLNGDGVVTLQEFTEMLIKGANEQE >CAK84908 pep:novel supercontig:GCA_000165425.1:CT868529:162788:163682:-1 gene:GSPATT00019090001 transcript:CAK84908 MFQPSPMKQKLIESYKKQMDDITCILDCGHIGSLYLGNIESACDFELLKKLKIKSIISIC TSKIPNQISSSMRYYQQIILDDNENANISRHFEICFDFIEKARSVGNVLVHCMAGISRSA TIVAAYLMKKHCVSSKEALSQLQRKRWQVYPNEGFIKQLLQYNDELIAKQKGLKNEAKNQ NKQEVNIEQIRNPAIFNNLNNQINSHQNEKKQQADEYQKIRKKYVDTKNQQQQKKIMDYQ TLQERRMSYHKKDNHEIDLFQQTSKIQRIKSSYQQIGSIEKNPIKNECKF >CAK84909 pep:novel supercontig:GCA_000165425.1:CT868529:163954:165816:1 gene:GSPATT00019091001 transcript:CAK84909 MHFRSSSQGSSPQIEILQSERTLLTTPVTQSALFKTFTEMAKAKAFSNSIQRIPSPRARL TLQLTHNKAQREQIISQLTERHQEVIGNALINGNQKKQKIRIVQKQKRRDHSPKKPVNLK EGAKVYQMPNLEMPTFEAEFDHPTELTFYSEIQEFYRSVKSFRPSFTESGTMIFYGDSLY LYGGIAGDGIRDEMLRFDLSKNFNQLGYQEWYMVEGLGEKPKNGRAAHTLISMRNHFILF GGACKFNVKLKIRECFNTVYDYNVTMRFWEKINTQGDYIEPRRHHKACVYGWKWMLIYGG INSNEQVLSDTALYNIEKMIWKQWDVKSTPICCHSIINISLSTKFNDNTTDLIPAEIIYS FGGKDQTGNSTNFIKKLLFYPNTTTAIAWETIQAGGKPPLPCHNHTMEYIKKIQGIIILG GQRDDLINGSLESNQCFIFYPQLNLWQEVQMEGNNLPRCAHTSVLLSSKIAVFGGIGNGR YLEPLINYIETDQTQVSSKITKEQFNKRQTQYLLIKQKSSLDRIESLNFTPKQLPSFHND SYKGLGFKIHTKKLQSYSTKSFQPQKRQALIRYDILIGFVSRVIEDNKDILNNFDKFMRR QSIK >CAK84910 pep:novel supercontig:GCA_000165425.1:CT868529:167200:167544:-1 gene:GSPATT00019092001 transcript:CAK84910 MHKFDTIQGKLFYTVYMMHQQRQIDTKQKGKLKGMEVCVCRIIDLIIQKHPSLNKIETDN TVIARRSLLDLADTEENEQDCQKVEKKRPIAIRPILTNSKFKSSRSLMSSPQRK >CAK84911 pep:novel supercontig:GCA_000165425.1:CT868529:168006:169892:1 gene:GSPATT00019093001 transcript:CAK84911 MQYQLNIIDIDGFENKWYYKLRITNNQNSCYRDVRVRFQDLNQMHRNLQNENYYSNVKEN LYFCYQLPLFPEKSLLISWIQSNDSREELLENKEAIQNYLSAINKNPPFKYEAINQFVQN TYDPKRSTQLRFLNINKDAFYQVHIKKSIIKKGKFNKIFLVKGEYQDRVVHQFLMPSNDA AKKDYENYKRAQLLITDHTYIVKCHEIGQITKKKPFFQRKQKKTIYNALEIAQDSSYDII YAIEDYAQQPINKLIQKRQERQEHFKLETISEALITLINVAQYLQFLQIFQKQFSVTNFY YDEKFGFKVGGFTPLYTYKKKYRLKNETEPNTYKALNPPELCGSAGGYTMKNNLNSSIKT DVWQIGIVILSMASLTLPLDLIWSEAIEEKLKLVQYKHGEKLAFLLKNMLQRNQNDRSSI NDIIIPAQLLMPMNLTQFKQVRSIERLQIASISQQQLEDMDKQFKEKASDKKYIVHLTID KFIVQQIFLFNLERIKREFVIQLHINVSPQTIPDDLIDKMMSSLIEYQHLQLLVLNLKKC TISDQAQRNIINSVQNITKLKQLTLDISGNQTIPISIPQSKNRVVVYNQ >CAK84912 pep:novel supercontig:GCA_000165425.1:CT868529:169962:171749:1 gene:GSPATT00019094001 transcript:CAK84912 MSYQVIFLILIKLSVQSTRVRTKESYTMNHEIEEDTIRMAHKKQTVYVLNLTNAYDVDVF DESESDDPIQTPILSLDTKDVESLEVKNQCVGFPKHPQLKEILQYKIKDATEDSYYTDMV TLSEYSNLFLMTQELQLIQVKLRCSDSGWIAQESQSVFDLKKQLKLPNSTIIANAQFSCP KKHLSCLIITPFGGFWVSKFSDFDSNDLPIEPHPEYRILQRKVVHKVSTFGEYLAVAVGD DGVDLYTYEQEPQDIYKRSILHLLTISKQQFNVTINIIGVKIVDDRLFCLDDTQGLYIFN ISNIQKPVLIMDIHIPRTVAFEVYENTILVVAQTPSNIEYILEIFIDLRDHSYFVNRVYV DDFTYRDMQINPNYAFLIGEEAHLIIKHSIFNGFVKYNKELVKTFFENQLVRFELYSKSS EPAELRFKETFYYVGLSRQAIHVWKFMDYHAFLLCSFDEKSEHEIIVKLNSTSCDQNNQR DSLYQQCQAEQKINILVSGPLFYSDTFTVLVFILIFLGIVLCIICICVCVRWRRLLRELE DNRYQLKEMKRYGQLPEQEQNQI >CAK84913 pep:novel supercontig:GCA_000165425.1:CT868529:171777:172699:1 gene:GSPATT00019095001 transcript:CAK84913 MRGSLISAPFLGYLLKLQAKIRVANVIMTEQPLTKEGNAEMSQKLCANQSVVNLCDITAQ LKPAARLLPQQQLFDQFVKENQFDLQTPIVFFDEHIHFAARAWFTFRYFGFKNVFVLDGG YSKWKNHQPAEFQEHNIQDQPRFQGRIVSTSEVSRISQLKHSNDPNGEEWAIVDTRDSNR FKKGSIPGSINIEFSEYLNRDKTMKSDEDLRQVYQKNGIDLNKTRIINTCQTGKLACIAT IAQEILKTKQQILYDGSWEDWKLENHKK >CAK84914 pep:novel supercontig:GCA_000165425.1:CT868529:172709:172927:1 gene:GSPATT00019096001 transcript:CAK84914 MEKKLLSTPSEKLAADAADEVTCQNHMNAYTSCLQKHSLTNNYKECVKHMAQFRYCLIMA AKVQTEAQKKPE >CAK84915 pep:novel supercontig:GCA_000165425.1:CT868529:173502:175086:1 gene:GSPATT00019097001 transcript:CAK84915 MIYQQTVSLIFTFAYNQFFNKYCPMGEVGINIPFGQPLNKDKKLIEMQEELISHINYVND CFDKELLAIGSSNNKNGRRIKLSQYKVDPNIFGFSVNTFELREHVNFLSQQFYQICVSEP LYKNNKNCQQKEIDQSLIMKRVKPLTQQFGFREIIIQILLVILCFGLITWDAIQLQLNIY PEIGGSSIHYLLYLRISLIFLLFISQLIYVTRAIKLQFYLSYLLSLIITILTILCLYGFN LMKEKYGDIVMQMGQCGAILIGFFLLCIHLYKLEINLQRIRVLLGAQYQSPLGCF >CAK84916 pep:novel supercontig:GCA_000165425.1:CT868529:175704:177434:1 gene:GSPATT00019098001 transcript:CAK84916 MQIEPQIVYQFECFRKGKNENKKYIGVLKPERLDLYKENSQVSKYTLPIQLQTHIKWEVD EDNLNGKKHYKIVSFKFQQVSIILFFLKVKQIKENHVAKITTFYSDEKTLTILKEHLRNK IIFQRIQDFYTPLQTLGKGASSRVLLVRHKNTELYYAAKCVDKSYVNETENGIESMFQEI SINNTLDHPSFIKLHAVYEGDNTFYMVMDLLEGRSLHDELNNHKNGFPEDIVRNIMWQIL TGIEYMHEKQIMHRDLKPENIMLLKKGDLNSLKIVDFGLATYCNIEKYLFPKCGTPGYVA PEIANLVDKTLKYDKVCDVFSAGVIFFKLLTGKDLFPGVGFNLVLKLNKQCKIDLTPLQM KKIDHSINALIQKMLEKEPSQRISAAQCLQDPFFASCAQAPGMQGPSKLTANQKKQMFSS SGKALTTEFPNDKIKNSPQEKLENKGSFITQDNAFRPVQSNQQKIMQKFNTTEFDHDENA QSPQMEKVIQQFQKGDVIEEEDEK >CAK84917 pep:novel supercontig:GCA_000165425.1:CT868529:177610:177849:-1 gene:GSPATT00019099001 transcript:CAK84917 MGMCNAKKPIRGELPLICEKVKENPKSEKILREMKENNQNLNPFKNPILSRRIHSISVQQ SFQQTLQQTINSKTTDQNQ >CAK84918 pep:novel supercontig:GCA_000165425.1:CT868529:177934:178960:-1 gene:GSPATT00019100001 transcript:CAK84918 MSTVQMKQHINLLRKAVGEIKDSTQERITQISTEVQFNPQDNKEFNDLLNEIKQETLRKL HAFYELKVNNKTNVYQQELSNCSTNYTELQQQVLEHQEIVKEKINQNLQKREQYDKLAIR MVLNYERLKLYKKYYKSLKQYATRKRKSRQAKFDAYQKYQLGLQTKVFYYWRSTCHKTGY QTMLITQAAKEIKNIQQCKVIQITLEFIDIVKILKAKIAETEEQIQIKKNAKAEFSYNLS RNLLKTISNLSMEVMSLRQVTIKGKKVQQILDNQINNDENTKFLKEVNGLIQSKLSSIQQ FKEKLKSSEEPAASETHKKNKQSIQFDLQNQSRK >CAK84919 pep:novel supercontig:GCA_000165425.1:CT868529:179063:180649:-1 gene:GSPATT00019101001 transcript:CAK84919 MQVSLQELRSKFGILYSELVKIDEDEDQPSYNLTLLQNQIKFEGQAAFSILLRETIISLL SYSKETIQFQKSLLFLRVNIIQAYDNTIFLIDEFTQILNDYSSNILFFWETILIAQIILF SAPFIINLRNWYSFEKRHKYLIQIISRINEDQASKLVEIKQQQIQNSETEKQQTNMNQSY YTCKSPLRLMQSTINQSYQNLRSRDSQFLYEKIQNKSLDIIIKLGIALFLYITLVAFVTF GYFQIQLSESQHRPIENLIKTYVKFEVQLGYLLSFATILKGQHLFQEQFSKINDPEIGDI KNYFQEDKVPIYFQNISQVYQKKLESIFSSIILSDYIDDNDKSELYDLYKGDFCYYLNDI LPFCNITIPLNQFENIYGQFYPYENNSEVLRKGITGFISNLDSLFKNDFEIEISQGIYQK NNSNDIYYYKEYNNLVVQYFFNISQGFQLFYDKIDIVSQDLIKQKKNNLLVYFYTFGLTF LFIYFVTTTIHIICAQRRYKNCILGLVTLTEDLLNDKTNLNLLKRLSK >CAK84920 pep:novel supercontig:GCA_000165425.1:CT868529:180801:183514:-1 gene:GSPATT00019102001 transcript:CAK84920 MNFTYQNFVHVLSIQTKTKIGLIKLLMIIEFVQNIALCFPQHGWQQLKYDDKMLSSIQQI TNYILFLNRNPTEYQFEVFIISLSLIYFIMLILYFNFPKSHQVSQLISITLNIFQKTFRI PLIVIYFEFMTQHQTSVLEIIITILVFALFLILLASTTYLQRDIEINFSRIYYPLNPFYT PYLKIIYTLDLIRILVFVIVQTQTGFIIFSILTIITQIIAIYHNAFQQNDPERFNQGLIF CNLMISVFLLLGLITNMNENLIFNLLLYSMTLLFYLFTQIDLFQFIKSNNIIHVIENKLK LNFNQLLLKQVVQFKSNNAVYVGFIKEIIQNEILHNHNLQNETNVLILFDYLTKNQQSYF QALFILNKFECQQMNLTLFYKIYSQLYKKSMQKEIIEVNKSTLLIQQLNRDLLIEMEYQR RFSDILTKQIELYNNLLQGSKSFAEMENKIILVSQSILNTEKWLIKNNIKDNHTNIIYLK IQINFSSIIMQNYVQALKLKKVIKKILESDHKTLEAIQIMKSKALVLTVSMIKQKGIILN VNQSMLQFFGYNKDFKLEFLEQLMPEAIQPFHSQFIDRFLQRNSLKTNYLQNSFQVLQQQ ENGKLQCLEVNFTISSDKSDFSLISLLKKVNQDKGYIIFDTSGKITGMSDYLEQFNELHS QMKQYSYIQYYSTELFYIIQNNEFLNEKEISFIQRLKVNNIDKQYKKFIQSQVKYNIQNN THNLDCLILSDRSDQHQLLSQRNSFSNHETFEFDFLDPQLQEKLTQIIHLNITENDEALK FKIKLQKIELKGNIIQYQLEMTPSETKLEYNEEVENINDDILQLENIKAKSQNDVSIVGS EQKGQIILHDLTILTHLLLLSNFSDFGFIIYDAVNQLAKY >CAK84921 pep:novel supercontig:GCA_000165425.1:CT868529:183541:184407:-1 gene:GSPATT00019103001 transcript:CAK84921 MLQGLNVPPLFSINREEILKPVQQAQVEQVPTKQTVELKEIDLNPPNKTLYINRLNEKVT AEEMRQTLYALFSQSGKVLDIIVKKNILMRGQAFILMEDEESAIQAQIKFHNTQLYDKVM KVNFAKEKSYYISKGDNEEKEKLPMSDKIKEHKKRIQDKRQREMFNQKQQREAPTISMPL TVNQVYTGASSKIKVLLYNQFFDSKLTKQRLHLVDFVNLEQHFRNFFGFREFRGIKPKGV AFVEFEDELQATHCLNELNGTQFEEVTLQISYQKK >CAK84922 pep:novel supercontig:GCA_000165425.1:CT868529:184451:185605:-1 gene:GSPATT00019104001 transcript:CAK84922 MQELTELKNLVIQSLEANGSLAKIRAQIRASVFNVVDQQEGNSKKPSPFFWENNKAQTIY ELGCGRDMLELIKEFFLFFDMHYTNSIFSSESNLKDEINREQIAKKLNIEANDTTKPLLF FLLKNRHSEKQSEEKAPQKNAQPTQKEVQLQQQQQQQQQKQQQEQKLQEQKKQEELRKQE DHKRQEEQRKQLQEEQKRQDELKKQQEEQKRLEEQKKEQQRKQQEEQKKQEELKKQQEQQ KQEQQKQEQQKKEQEKLKEQQKQQELLKQQQEREKQEAAQKKPQQKKFDNRNFEDEKYDN EDFEEELEGEDLRDSQLQQDQFQESDEYMQQSQSQGIDMTVDSAALEEFDYFEEIEEMD >CAK84923 pep:novel supercontig:GCA_000165425.1:CT868529:186133:188089:-1 gene:GSPATT00019105001 transcript:CAK84923 MIGKKEIQISNATRDRVEACRIYIERKYAKQIEEEQQQLQGWQQLSKLMDSLHMNAKEQE IIKKDILKKEAEQMRKKRMRLSIEDFQPLAIIGRGAFGEVRLCRHVPSQQIVAVKKMKKH EMIYKNQIGHVTNERKVLEEAKGNNWIVEMKCSFQDEKNLYLVMEYLPGGDLMTLLMKKD ILSEAEARFYMAELVQAVSSVHKLGFIHRDLKPDNILLDNNGHIKLSDFGLCKDAELHFD KPVFSSKFKSKQTRREKAFSTVGTPDYIAPEVFLQQGYNETVDWWSVGVILYEMLIGYPP FYTDDPSTTCQKIIRFQQCFTFPEEPKISQLAKDLISKLICDTNNRLKFEQIIRHPWFGG LSILKIREMKAPYIPTIRSELDTSNFDKYEEEEPWIIKGYQNSKKEMTFVGYTYKQEDFE EKRPIQKALEELEYSKPSNSRGTTKTPNSPFQSPNLQFKSQPNRQTPSTQQIKSVSTTQS PFIKYQAVSPMPLSKNQVQKKSFLNNRLQTEQNESFHNINQQNLQTQGNLNNENSNPNSN SQNIKNFIYQKIQQHTVNNQQSTNNCSIQKTVQFNVDLQKLVQNQKFKPDKPDTEQTKIS SNSNYNIHALKQNIMSQIRAISPLTKR >CAK84924 pep:novel supercontig:GCA_000165425.1:CT868529:188512:193647:1 gene:GSPATT00019106001 transcript:CAK84924 MQKIKNYISRLLNDDHVCFDQSYQFILFIRMFQFLQYLAITLQEINNNEKQVDGHFSIFF QILKLSILDINFLPDYIGFITTFVNIGVMVLFILQLAYFNRQLVLLTRGLITYLALLPQI MCFLFVSSSFRSFILKEETNLILQIWAICDVSLIFSISIISCILFRNISFNQSTYTRDQS QLKIVSQIFQFVTQILYFYDDREEILILKQLSALMWIGLEQIDIYLYMPYSLYDSKLIFT LNQIKFGCNLINLILNNLSNIIETTFSQYIYYFIFSSMCFYLGETFYQRLFYAKGLDTFT KSSEKDQHLQIKVLRQFQIQAQFTLTQTEKLLQIGMVNQHIIHCNKQCRSQDSSDVITCI VNCQVSNKEDENLFLFKIQYLAFQNKQYSQSLARIKHYQIKYKQELSWYFNLVQIEISNK LSQEITKQQYSKILPQNSSFVNFTSDDLVHLDVQSDILIPIIRKTLSAKLDIWKKQLKGF SSVKQLQQELVKLAQELEQLRIKFKLIINRDVYEVNISQVNNLYHLRMLSLYYSIIMNDQ LHSFLCEKQYYLIYSYEQTLQQDQLNSIALVLDKVSIVTVSLVQSLGSILNTNKQQLGHM FNYKKVEEFQNIIHLNQLMPDFMAESHNSMLEKFLIKGDSPFMQQFRLIFGKTKDNFIFP LYLKLANDFSYQDDYVIKGIFFSGVKKYDYLLFDSNGKILGVTRQVYYDLFTNNNNKHQP SELTVLQNQCFLYYFMPDLFDIVKRFQQRQKDAFDNINFSEDTIFISHHEIKEFHDKFQQ QMKFIVNDFGNIMNFKKKQSLQIRTSTLQASKDINPILIELNLLNETYAKAVKEYINQTV TSQKTCFVRFTLQYQKYQNDGYYIMQISDYRKHNFGEIATELFALQDADSTMKKSTLRHL KDLIISKRRYGDIQAFSTIKKKRQMYSRQLASVNQSEMLMTQQLSSIAQTPKACFFKPEI ALNSEMESVQEIRNSSSEESKFLDEFLSDDESKKQGYKREKKIQSFSKTNNFTENEQNPN QSQHSSAISKASNNQSYFFQIVFKQKGQLPKNIIILWIIVYCINLISVGGFSFTNYHIIS NYYQEQLQSEQFMGPLKFNRYFCKTLSISWNFILTKYNILNNSQYSNEQNLYQFSLLDQF VFSNLSQLYPIFLKMEQDGTLSNMTLTYNMKSSENVEFTRLLNFLEEAIHYLVQISYFDK ESFLNFVNKDYIDKALIIQYNLPEVIDINNHLMNQLNNSSLDNQELESSIFVVEHLIHTV IIFFVMLIQLFQWNNIEQQNRNLALLVGRIKDNEVENEISYTIYIALNIQAGEDSWKRYN YYNYGFQQDASVYQPRQKLSISQKLQFGQQIQKSVRNFNTKINLFSHLIKILVFVLIYQA YLWGGYSLSKSQKDRLQPLNNLLVEFALFSTKIDNLASTALIIKTQFLIQEKLKQEGLFL EKDLNDREEIIKLFNNIYTEINESFAQLYEQLIKDLQLDYSTEEAQGLLHQDICLFMSSL LPFCELKLKQNQQEFIKQYGQYYAQDDNSDYLSQGILSLVTQMQQFYKQNFVFEDEEQSQ DELLSFLNSQEFNVIILSHFRDTYQCFAIYLELLEDNIFLIRSQNLSSLLIYYDLIIIMY LVIFQIYYSYWIRQNVKVMRNIKLALIAMPHFQLTSDPVINILKRYQ >CAK84925 pep:novel supercontig:GCA_000165425.1:CT868529:193745:197856:1 gene:GSPATT00019107001 transcript:CAK84925 MQCRKVEPNVIMNSARLVQRPNETNKLEEEIVHVIKSPEKKSPHNGKSLKRTSSGPIINL QQQIIIHQNAPTKDSQVLLKFKNQMKKKKKKKKLQKKKKIQTIDNKGSEIRVEQLLKQNL RRHQREKQELMIQEEIQKQRVVSFNEMRKIMDQQIRQINQQRFARSKSPPSSMLPWGVDQ NKLKQYWTKMLEIHDKLEKPQQVNQTATEHAIKEKIRRHKLGLDFLNAKPERDIQKMIQS KIQLKILEQKSKQVLETISSPQKHKSSCDNKDAEQDVKLYMMYKRELWRQQKIEEYLIYY LCRKQLQKLKKEKLQQNLQILDQEAKLFAKSRNASVKSNLTAQAASYHAQQSSQHFRTSE PPNFNKLEKEYKELMRSLRQSSYSSTQSHSRKTSQLKFLDWLQHLPESYLQEQLSQMQAI NEQMNNKGFQYKLSEQEMYQFLEQFLKEKFEQQTKSTIKDRFNELQERFQQVSQQQLSNS QQLSQLQNITHQSHQSNKSQNQKVSTSPKEYTINEMNEEELEEIQNVAATIIQKVWRGFK TRKVVFQYLQYLIQEEEFEENTEGPTLSQKFEGLSQEEEVKSLNQITDSERRLNPLDLHY KSSSFPKFQGQAPPPSESLQQSSQQHSSPRGNTSIPPLNLDSFSQQQSSPQKSVQQQQQS TSQQQLQQQQQQQQQQQQQQQQQQQQQKQQLQQLQQQQQQQQQQQKQQLQQQQQQQQQQQ QQQQQQQQLQQQQLQQHQQEQQQQQQKQDQQLLSESQIQEQEMNQNSTPQKSIHLYHGVN SDPEYFMQVIKLREEALQQKYDQQLALLEKMLDKKKVSNDLFSENKEKLDKRYRRERDKL QQSKIEVERLHQMFKDTIKSTQKDQQFMERMKIQTDEENLSIRQIFSIRSETEQSDGEIE KRSIPHYGLLQQIKNDQFKKYSKNRKQVRSFDQQVSLDEISMKNEEIQTSTIFNVHTESI NHSLHKSQQLPSTLQQSVPSQELVQIETVESPENKVIEKKDDFKNSIIPFDDRKIDNLTE NIVQNIISEFAEELNNFPLQSLGVLMEWDEESQLDQQFPPGFPTTLNFIKDYLVSFSEFI QKHYLAIFIQLVNTPLGITPQDLLKTISLSDMQQNALDDSTSGPLYPLIKHIHQMEPLID EEIWNKFNQSYALKLKYFNDINISDQFKELEIYHLRMIYEAFNEAINYVRPFGIRGQPYP WKSNPLKVYQNQTTQESIDRAMGFAIAKMLKWGSFLCGFIPEKIETPQGEIIVIEDDYLN QIKEDRLQQMLEYEIQESEEKWLNYDEEQAEVAVELADVVFETMLDEAAEEIFKISQGGK YQIKDFQQ >CAK84926 pep:novel supercontig:GCA_000165425.1:CT868529:198024:198422:1 gene:GSPATT00019108001 transcript:CAK84926 MSQEDNQSVNMNKIISIINKAIKKCPQYEQSQNYLLESRKIVLFNEGLREQIFKCQDEIR LIQKELTQPSKKLRRKANEISKKYKCSNCDKKYGSEASLNLHCKIKHAFSETDSKTQIDH SFQI >CAK84927 pep:novel supercontig:GCA_000165425.1:CT868529:199280:202252:1 gene:GSPATT00019109001 transcript:CAK84927 MSHLKRNKIVQQESNLDQSQKQQFQVEGLNYQAFHQYIENKFTDSKQFKFLNANALNQNI YEFQISYQSQKWRIRKTSLMFNLVDNMVVQDNFLYLINYLKEILEHSEFNQKLKLFLQFS DVQVNKKYLSCYVDKRSGGRHKRANCRERLTCCHQQFQRRFLTLTSEGICLSYEQFGEQS RIRDMILFDHSFQIHYGKKSTGQKYGIVFKNNTRNLLVRCHSEFEFVDLVVQTQIVFNQS QSVKKNPFDSFSPIRNRNFAKYFIDGQNYFDQLRQDIEAAKEEIFITDWWLSPELYLKRP SHENENFRLDKLLQQKAIEGVRIYSIVYNEPKLALTINSQYTQTKLNNLHQNISVVRHPN SVIPMLWSHHEKIVVIDQQIAYLGGLDLCYGRYDTQSHPLFEEYQGQFPGCDYSNSRIAD FRDVTNFKQSDIDREETPRMPWHDVQIKIIGGSVRDVAKHFVQYWNFVLIDLTKKDEYSV LQLQDNLESLNRWDRYKLKLSQISSRLEHFLMTKLHISNENNQYQQQQTPESSLASPNIN NQNVQIQLVQSQIQIQSNQNEEKQNYIQEEKLRTKSASFYLVKEGFQIEEDILNAMNKQS QIEDSNYLFQAKKRTPSFQQADKPQINNEKIKLDVRKTSKSGSCSIQILRSANKWSLGLS KNHTENSIQKAMIHLIQHSSYYIYIENQFFMTSLAGEPLLNPIGLAIVQRIKQAYYNQQQ FHMTIVLPLLPGFEGEIDDTKANLMKLQLHYEYYSLCRGGQSLIEQLKDIPNINSYLTIA GLRNHGVNSKGQPKTEIVYVHTKLMIVDDSIVLCGSANINDRSLKGSRDSEIAILIEDST KVGDGRRFAYDLRRDLYKEHFQITDYRKPNDPELVKQIQVQMKNNTLLYRKIFACYPDDS VLTFRQLKQFKSSANLQSYFALQHEIVGFGVEWPLEFLKEESLKLPVFAKEVLVPDINFT >CAK84928 pep:novel supercontig:GCA_000165425.1:CT868529:202467:204063:1 gene:GSPATT00019110001 transcript:CAK84928 MLNKYFSFYKVCREKNKLYNYIKSVFVEKIEQIEDSLIYSNDLPYAVLSLENSRFINPNI GQKLANTAKLVFHNNDLSKLKSAQFAVEILNSLYQYADKSDAELYKEIYKFYQNHPYLFD KNLITRLQYYYHQLAENAHFEDLVEIGRSVGSITNTRMFTPVVLDLYNIKRQSYWNLIVR YDPDKLTSTATLTHKSGITIRMQGVYPNNYEDITDTKQMIAEKRFDAVILNSAPVSIAQI DENKKFDIINKITSNLEANRIFKDKVEQVLVTDFEPIIEELKKNFRIDNSKQILTGVQQG FEVPYTLESLIYQFSTQKKESNQPHLILGGLGIEDKLRLFLNQINPQKITNELQTLRFKW LNQVFSHVAKAQKIGCFVCGTQPEAINPPSKILNENPIILNFQSKFLADITLKYIGNSTK KDILLILNQSIFYDTLKHIVEAEIIKNDFSIDEYRSNLIKQKLGVSPLNQNYLNLFKQFY EDSLETNQIYKLCSQEILDLNKISKLKYIPGQQIRCGIRDILF >CAK84929 pep:novel supercontig:GCA_000165425.1:CT868529:204115:207200:1 gene:GSPATT00019111001 transcript:CAK84929 MHSTEIPDPQKIEEAFNIIMNSKNQQNGKEADSYLRNLEQNYPYILVSLLQIFENSQVFL CIIIFLKVYLNKFQALLLIKNVIVRNWTKCQIKDSQKFQNISEELKTHVKDKIVSFLGVV QDDKFKTEINLIISVIAKHDFPLKFQGLVNYFAQGLNTIVQSGSANSAMTYDLIVSLKVV QQSVIQNRNTAFKLQQMQFFLVIWNNLQHLWKNITSIQQQELQQNINNYSFVNKISKKLD RLLSLSIMSLNQEQDQEKIQLVFMLLLEKTAILLKNVQQQKLLQPNLKTLIHSLGNIQSL FSFSINQGFQDYLILLKLIIQNEWEDTRVLRTGLIGIFKILKSLLFFRDEQFYKKKLQEA NSEQFKQTIQSINQLLNSFFQENMQFFIEQLIKIAAIPTDLSDEELIEQEEDLTIDDAKN EMQCPIFTICLICQDQLMQRFPEQFIQKITEIMQQLINSNFNASQQILESFFAILGSTPR LTTKLKVQPIQIQPVLQYLIKTNTIQCQRRFAFLCRSYCNCFSDSELPQILEYARLLLSN SQDQIVQYQTLMCIKSIIQYLGPNFDFRNVIFLEQVAPVIVKLLCNLQKSNILWPLLSLL EILIQKYSETNANSMQILIKVIENSDILILMKTKSQLLIGALCDMFLALFVSFPLGTNLS HLYKLAIILIDNNLDSKENNIFELLQFLLQEYDPISDNNQTGILFSNLYLAHEKELLEDT EFNHMSTILRIIEELLLLNLIQLTPNIFNLLQERLSIAQQIDCYDIAMILKKSCISLLET IILKQFDSITVQQNQQIIVFLIQELIKLNGGDQIDQMYYTIQYKNNILEILNRFLLKDIV SMIQVLNTVSISADSYFILWQDTAQHITNRGNRKINVISQLLFLKYLSKPTFEKVFQHML SEAFPEIDYDFELRSKEFRDLQQQNRKKLINSRQSRLSKQFRNNHRKEVLQELCLYDETF NLRQFFFSTIQECMKLHNYSELTQIINIQSVIDKLNQLINEK >CAK84930 pep:novel supercontig:GCA_000165425.1:CT868529:207212:207703:-1 gene:GSPATT00019112001 transcript:CAK84930 MVVKALAHKRIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKAGH RGDKKTRYLDQSGFRKLLITNEKDLELLLTNNRTFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKVRAEEKKE >CAK84931 pep:novel supercontig:GCA_000165425.1:CT868529:208294:209077:-1 gene:GSPATT00019113001 transcript:CAK84931 MNRNFELELNTRPDQLIEKVETLTQWIYRVKGYYYIENQLCESNNLSLKTTELAAQIIQI CLTQKSCNLNNILLIAITSFFISVKYNECQSLFQFNLQDCILLGNGTYSKEDFLEMELQI LNLIHFDMNLTTICDLLQEEQTKYIDLILFVTLDSEFWSFSKFELLKAIQQFNNNHQNVQ NETTNKVSHSNHQQILNLIQTKVNLLTAIGDEEKSNFQLKRKRIQKWKFKSKKNFKNRNF NSLNKKCINIQML >CAK84932 pep:novel supercontig:GCA_000165425.1:CT868529:209953:213621:-1 gene:GSPATT00019114001 transcript:CAK84932 MIENMIKEVILENFKSYYGEHRIICGSHFNSIIGPNGSGKSNFIDAIQFVFGKRATSMRC KTVSQLISAGMSECRVEVVFEGFVLKRTIRGNTTEVYIDNEPVKQAKLHQYLKSKNIDAT NKFIILQGEVESISMMKPKSGNFEQPGLLEYIEEEYQKEQMEESFKKKQISQSRYFESQE KANIAQHTLNEIKGMVDLAEFVKSVYSRIFRVQLCQIEILKKEQILCQLEDEIMNENAEI LLQTECRQRQMDDLKILRGEKDRIDQEIKDNENQQKIINLEINSINNKYDQNEELECQLN INKLQKQIDDLIKEKELLEQDQRKFEQMIPEKQNKVDKIEKEKNIAETHYNKLKTDLKVE SRFLMKQKEELEQKLKPFNKQIHDLQVQLEKLQLEKERTSKSPDEFLNQLDQFDKKTAQL QIQIEQINSYIERQLDLQSLIRDSKDKTLKELSERKAEEKRLTNDIAQKQERLDQNNEDR KEKNQYSTVLRALIRASQAGQLKICGRLGDLGTIDPKYECAITTACPGLDGIVVENDQDA VDCINFLKQNQIGRALFIPINKVPDNVIQFMERPFKPLDKTLRLFDLINFKDTKYRGVFY NLLRDTLVVDNIEIARDIGIGQRKRVVTLDGKLVEQSGVMSGGPEQRKGGMSSKFYEELS NDQREQLIRERNLLKERLDQTKFEILALEKKLRETLSDEEMVNSKHKQRLVDKNMLQEQI NDNKKQMEILQIQYQECHYNQQKNQEVKKEIEVVQREIEKIQNKICLDQQDLQAIDDKLK EIANQDLNSAKDMFSNYTQTFETYQQELLKVITQKTITGKKIVQNTQNIQLEAENLERLK DQLKRIQDKKYQDSNVVEGHKQQLHRLQSSLNVVKAQQAIFSKQIDDLVKTITSINTVIE ETEDKKQAFILKKNIEKQDQDKNRTKQKELIEEHKEYFLAFNSDSGNPNLFFQTHQDNIF CQQLLTEIQKVRNEYYQKFREFFIPAGIEEFLPEQIPKDIQLIKDASKLFAKQQNLIDIY TELGTSDNKNKDKNLMKIFMDRSIDYEIKLKRAKDDEINFQQEKSRNEDLFQERKIQFAK TLKNVELKLQEIYKHLSMGGEAEIKVIDELEIFKEGLQFMVKPPNKTWKQISKLSGGEKT LASLSLMLAIHFYRPTPIYILDEIDAALDFKNVKIVAEFLDKIDSQFIIVSLRTNMFEKA TNLIGLYMRDTHHSTEVIQLEV >CAK84933 pep:novel supercontig:GCA_000165425.1:CT868529:213792:216146:-1 gene:GSPATT00019115001 transcript:CAK84933 MFTKKIINLLRRLGIRVSPITPDHTLKLLWDLFVFTILIINIIYIPLKISFEIQGSNSGI DFFLETLPQYVFICEILLNFNVAYYSRGVLVLNQVQIVKHYLRGKFITDFIVLIPFMIGR SNVPYIEFVLLFRISRIMFIFENLVETLNLRVNFAAVIDLVSLLATFLFASHLIACVWHF IAVQEHLFSDSIFTWVDKAELETDWISRYITSFYWACITTLTIGYGDITPVTQIEKLFVI FVTLLSSIIFGYTISSIGGIFAQMSENKNYLRDKMTMIDSFIKRRGLNKDLQVKVKKFFE YYLKTQKNTDSECEKLMEHLSGTLIREVKIDFYKTLFDQSKLFRQNFSGDFIANLCLLVK EQSFVPEEVIFQEGQQVDKIYFILKGEVEAYLRNNKLIKIYKRKQAIDEKGFISQNCAQF TSRAVKFSKLAYVTFDDVLSLLKQNKEDLEKYYRIKHQIQFGGRIKFSGCELCFQNHSFT KCPFVFYTPNSITLFKKKANNDVQKRLFLQRQNAQKLQANKALLNLRYLQRNILEYGNQN YLYSDLLPDSEFFTVNRVDEMNFAGDGQSVSDDQESINSPDNSGTQLKHQLSSQSQKGNN MKHSVQKVVNKIQQEPGISQMRIKVTRGTKKQTINVSSQKIVNEFEDLKDTNQDSKLNIS RQNSKQVQETPPPSTRLIRLEPSQIKLIELDIVREIFDKHEYSIDSQQEFEFYDAQFNLS NIIKDLFTIKRKKMLFQINRKRQKKHLYCIPNKKGASQNNIHSSMYVDN >CAK84934 pep:novel supercontig:GCA_000165425.1:CT868529:216160:216623:-1 gene:GSPATT00019116001 transcript:CAK84934 MNQPKTDLLSVTPRILSSRNSQPNEQLLDEAGRKPSILFTKLDKIQISNEPSDSVQSNES DEIPIKFTQGFKNKVWKERALNVIVLVARFVTYLLTNSDKFKLRYLELRQFKVIGDQAAD YNYYLNKRLIRAKTKKQFCVNMAKQL >CAK84935 pep:novel supercontig:GCA_000165425.1:CT868529:216904:220044:1 gene:GSPATT00019117001 transcript:CAK84935 MIPNNNSQQSYQFYQPNQSQQGEGQTQQNQNIPVSTQPPLGSSTSYTMPQWNSTYGGSVP TPNIYNAQTPNNVMPPNNVMPPNTSFPFPITNMPNMPNVPNMPNMPNMPNMPPIPSISNF SIPKPIPPNKEKQHQQKINELEQKILEIEEEYTTKMAKEKRSMLSQIDNLKRRIKDLEDE QYDSNQTVSSQKNDINQKQTYIDKITKDKASLEQQFRDLQDEYENLKLQYQSLLRRTQTN IGGSTQTFDQTLTQQLENQMRRLRDDFKRQKDDLMNQKNALEDELRNAKSELDKSVKQVE KEHQYFMESRDEIAKLQKIIKQKDDKLRQIEIEKENSFDLLKQVNVEKQRLQDNLKEKEF EISSINQEISLIKKELDFLKNLIKESSNGKAIKLDQNMEFLQFEQEKQWLQQKKHQDQEK LKSENEQKEFQRKEKLEKEKKRKQEALEQLEIKKGNLIQKGAENMQQAKRVYGQLDVCFI VDVTGSMDSYKDQTKRSVQGSLKIIKQATNRETNWASVCYQDKDEQRQLGGKYHEFPFSK DQQALEKWFDGIKCSGGGDAAEDIRGAIKQVCKLQWPSKFKIAIIICDAPCHGKKYNGGC GDNHPNEDIQDAIEELIQNGIVLIALNFTKFTTVMYEEIKKIYESKKRLDLFLYQDLQKM DQNQMAATMTKYIGEASQNATQTNKNQTKSKQPQIQQEIPREKEGAMEALCKQGNFYNFE TQENVKIVNTKFQVWRVELKEEEFNKNIESIDKIQCPQDFLKTNEGSWNCIRTEKPFAFG MMKDVFLMKKTNVQSDELYVIKTPIGSLPYKSMDDALKECKSHLICQKLMKLFVNELQEK ASEKQINIKIPSVIYSDFLILEESKNSFWIAERFFKGEFVKYNNNYGFINEDHTSELNKF AQSFTYYTYFISTFNYMVSDVQGVGNYFTDPAINTIKGNFDETDMGEEGQGMYLVNYENK KVLLTSEYLGLLNIYE >CAK84936 pep:novel supercontig:GCA_000165425.1:CT868529:220046:221118:1 gene:GSPATT00019118001 transcript:CAK84936 MKTTTVVESQVIKTNVEVEQAPIRYNRKRNYMSTSFVSAQFPNNQYGCYQIMIDNHECRP KCQPPPQQPYMMPMYVPVPYPQQQEKECECEDGESYKEELLFLRQRVAELLAREPEVKTV KERVEVIDNTRVEQLELEAQKLRLQLQQAQNQLRQKEQEFIELRSGNDQSQQGLQYRIKI IEEQLYNVRLEIERITGLLNQKEQEIIDWEKRCHEIESSVTYEIEEKTTKLRSEVEVWRS RFKKLNTDYFNCQEQLIMVQAEIDSIKNGGVKEVKEVKVEKKVVGPTITTVSSNQSRTRG SRLIESSEVIKEGTPARLYP >CAK84937 pep:novel supercontig:GCA_000165425.1:CT868529:221118:222291:1 gene:GSPATT00019119001 transcript:CAK84937 MFSSQFTWTILQIIIISTLYFVNFQAIAVEEQQKEISFIRHGARSPNKYNPLDQILWKNY KPGYLTEKGFQQLQNLGQSSQTNYFYDAEGNCIYQKLNFVSSVVPRVMHSFIGFVMGLCP NNFQGILRNYFQDYYSQYSKNDKILNEIMQSDFTNPLIFDFQTFKMERDFLFHGHSSSQC PKLDDIKEEIMSKQEYLNKNEEFKQRPEFQEVFQQMKLSNSSLDEESITINKMKTFYGGF KCNRYQGFDKPVLSLNAQKYLDEIFIYKFYKMDNSRSIQHFSALTEIFQWIIKQIKGDQT ISLYFGHDSNQVAMLSVLVEEPLIPPFASKLSFIIQGEYVNLYFQNELLETRMCRSKINC TRGQVIKYLEQYISNNLNELCQI >CAK84938 pep:novel supercontig:GCA_000165425.1:CT868529:222487:223697:-1 gene:GSPATT00019120001 transcript:CAK84938 MLTYTDFCLKLAKIIKEEQIESLTLKTLVDETIDLLDRQQPPVDTYEEVIAKELLFYRTE CQYLNKLRLSLQNQVKLLQRQLQEEKETNMELANFLKTQKQEQLLNKTKTEELNNIALKN ESQNVSARQHKNCNQLLLIDFYLELNITQRQALQPQTTKQMQLAPHLTIEIKNIKTPLLE HQDPKNLKELFQQCVQQISRENQMRGNHIKKNKQMCSRDHSGQFNISEDQKDDIFSKLDI KTLTEKFFLNPQFFKMIEAQIFDVKCCNNKACLYNFKQSQLSSEPQQIQNESKIFQIPKK IPQFRSDHRSRSVGRQDDLKLQYQYLFADVLRLERELCATPKQNKNRQIELKSQLKDIRE KLYQLQCRILN >CAK84939 pep:novel supercontig:GCA_000165425.1:CT868529:223722:225274:1 gene:GSPATT00019121001 transcript:CAK84939 MGSNNQKQNQLLNNYKFIVNKTDPAYGDIVVYQSNRDPSELMAVIKLQSNKPELLCQQIN QRLKHPNIIQIYDCSQSSVKDFCGTLNIVHIGIQYIQKNLLKDMEIRRKLRTTYFEGELW YLIKTVCETLIDLQNNKQKYMDLHPQNIRITDGGDIKLLELSCTPQNISSFRKVKLGLRE LEYLSSEELQELKDSQNKYNFDLEKINIFVLGIICVVCISGLEVKQFYDVEKCIFQYGLA ITKLRQYIQKYNYSQAFEQIVIQFLSYSPFQRPTYAQVLNMINTFESTTIADHFYVKCSQ QPVLLDTINTISKSDQKTERSPDLNSHKAKAFNSNSNSTPQTIKVASLVRTKPIVQQFSL TPEPRPHINRPQSSSRQNSQRAQSSQRPEPTQKPQLPNYSYYDPYIDSALKKSKDLLVYH NQNSNYIRQSRTNSVSVNKYTPIMASLNIQNDDYTQNYHNVQLLIKQVNIEEGKQKQFSK SFTKQ >CAK84940 pep:novel supercontig:GCA_000165425.1:CT868529:225296:226816:-1 gene:GSPATT00019122001 transcript:CAK84940 MGDIQSLKVAFIEFIEKLLPSISDPMSITQQKCQLLIKLLKDQGKTISDVCLYIQNLKIV HLKPSNQNVQDEDKVKSPTKIKQLDHELFQPESYFKLSKKFFYKKLDLPNKDLIIKKSSL KNIELREKNNNAEQNERQAEDSSTPLKFGIDKLKKTPKMTSTFNMFFQQVKGFSIVSKEY IIDNLQQSMKEFTRHTKIMGCIEQRTFEVNSGEISEVDKKLHHQNYNFTSYSKQVERQKS FKKMSIFEQKKVPESSVQNQNNQQLQPSQQIPSIPNGSSNQKEQDSTNIESHSGQVARTY LPSKPSLYSYRAQQRRAEQQGSQNQRNISQQESNNEQSSNTNQQNNVLENQQHDTKPKDV QLSLEQSQNQTSEIIQIGTFPSPKQSSNQKSDYDTGSIDTQIKSLPVSPMLTNTKFTIES TQSQNHSREQSINEEVKVDQKYEVSQRNTYTRFNYTQQRLQLIRGNLIQNNYRGSINNNG EDKPTDIKIDMKQLDQNF >CAK84941 pep:novel supercontig:GCA_000165425.1:CT868529:226831:228134:1 gene:GSPATT00019123001 transcript:CAK84941 MNNFEQDFYQFVKHQLAKKRRQKDSSPNTPLGETDEMEKNRLVNQNVTKVLQQERKKMRI QKVSKEQQFDKRFKDFSNMDTFLDIKQFLRHLSNQKLASVEIKKKLKTLKKIDSDSDNDD LFDYADIIQRSKNIVENSTVIRHYPDIKGYLIQEEQPNLTKQDVEELKTEDDKFYNAIDK LFIDIRTKSEQIALQQKYEQERNNCKGSIQMIKMPQKQLEENLQEKERMDELISRQAKQF KQQTEKVLTLLEKTTKQLFNNKQKKGLSRFIKKKKRLTEPNQQLSEISEERTTLHQFYPE TSRSTIKLPYLSPNTSKIYSHRTFLSQVVIPVSRKDSQIIEKVEPQEKIKNQFRQFIQKL DKTKETFDKQYQTDKELLSIQQRIIKNMNKIGEIPLESLRILNKKEVEANNLKLSKFKKL GPIQIL >CAK84942 pep:novel supercontig:GCA_000165425.1:CT868529:228344:228732:-1 gene:GSPATT00019124001 transcript:CAK84942 MNNIQGYSLSKPSTKTTQAPGGNSSISFGSDEPAPQQKRVVRDPNASQFTLGGEPVPQKG QQSQQQQQQDQGTHTSVKVKNPPGGRSQIQFG >CAK84943 pep:novel supercontig:GCA_000165425.1:CT868529:229615:232242:-1 gene:GSPATT00019125001 transcript:CAK84943 MSQESQTSLLGNLRKVITLVDQLRDIGLNDYIKLPRIVVLGIQSAGKSSLLEHIVGIDFL PRGSGVVTRRPLELRLSYSPQSVCAQPTAEFVEEIKGKKYTNFEEVRKSIEELTDKVCGS SKNIIDKPIILAVTGPNCPDLTLVDLPGITRIPIMDQPKDIEQITTNMAKRYCEDPSAII LCVVAANADMTTSDALLLAKKLDPDGIRTVGVLTKIDIMDQGTNAIKMLKGEEVPLKYGY VGVKLRSQQEIKDSVPIVQAVQREKNFFANHPVYSSIPGDIFGTQVLTGKLTRILYRRIR SFLPTLMQEINQRISKVQNRLDILGPGLPIEDSDKLHYIWQLIHEFSVRFRNSISGQYEK QKANIKSLQVPAGSSIKLLFKDLYDDYSQLDYCALKKFKEEDILQVIQKYQAQSIPGFLP VDAFYALLNPELKKLYAPAYDTLEQAFQILEQYANTILESQLQQLPSVYKMLQDQIMEVI HECKKNAHDSITDVLDAEQNYIFTNDLNYLSGKPFIKFGKESKADQQKGNPMVLELRTKI EHYFKLVVRAARDNVPKLIGYFLVKGCQNQMLRQLQQNLMQNQTILSVISEDQNVVEERK KLNREVETFKNAQKIIKRDPDLSEYILSANEEQEDQQYIQKQKQANSAPTQQNKPQQQTP QQQQQQPKPQQPQQQQSQQVSANTNQNNKPLTTNATQRTDVPKDQEQLPQKPNDPIVNRN TTQQPDSTRQQPSPTQPQQRQAQPPTQPTQPIQQPQNNYPLNNNRPTGAAQPVAQQPAPP PAQQQPQPQAQQQQAEKKSLFGFMKK >CAK84944 pep:novel supercontig:GCA_000165425.1:CT868529:233293:234645:1 gene:GSPATT00019126001 transcript:CAK84944 MISFNKHYINLAQSNFDIQQKYLQNVPDDEAPQQCIQQYDLKNPVDVKYGYKDNQSLLFE IHKNLPHQPDFKIGVDLIYLIDINQTLNDEALEKMKSALKCLINYLTEQDRLCIIIYNNK ADKLFPLIPLNEKNRQIILQKIDQITLQKGNSNILNALLVANLCLKLRQYKNQITSINVI SQDVEIQQNNHDFKQIFEKELVFKLKTFLLLSNKQQESPKIKKKPGNTKDGNLQIVKSVD QLSSLLCYQATKLQQSVIKNLLIIVKTHKQCPIQLSEQEGGKFQYVNPYEIRISKNLISF GYNKVHLISLKNSPIIEADQICEIEVSFEQTTSNHRQKYLIPINTQKSNGIIDRSVMVDK YRLKSRSQMNEAIIYYDPHRIQDSTEILKNYLSELANLPDDIKQQLTVETKQFDDALQKY LQNPFQQIQNPFIAIDDLKKQQRLKPFDNH >CAK84945 pep:novel supercontig:GCA_000165425.1:CT868529:234889:236386:1 gene:GSPATT00019127001 transcript:CAK84945 MSASKLMYSSPRPKNQSAVSYGGDRDRALSPSRAKISQLTEKLSNLQHSIDEDQAFKKET FEQKVKILEDKNDESKFKLLKEQLSKVEEGAQNEKIIRESDDEKLRTKDLKGLEAYLGKE LQGEKIIKKLTIEFIFRLDQARQKKYREETEEKYAEEIGDRVLQLQEEVEEERGQREEQY QQTIKRLGNSILKLQEILTTEKKQREIAQAQMFRMLDEMNVYLNGELNAEKMRERLLNKP SSIQLTKLVIGWRIDQENELYNKVKENIYFLNKYQQGVVQMEEEINFKDSLNNELTSTRS PKSKKIKKCDSLSPKKNVGHWTKEEHEKYLKFLEDNIQMKKNNKIFKPMSEIIGTRSPSQ CRSHHQKFNPSSPLAQRKSCKIISASNQATPATTLYEETNATQEDEIQNSNRIKLRFFEE DDMVEQNFNLDDL >CAK84946 pep:novel supercontig:GCA_000165425.1:CT868529:236767:238660:-1 gene:GSPATT00019128001 transcript:CAK84946 MNVQMKKLLKTNKDLGNQYLDFTQLFEKKLKQPTINNDSKKELIEQYRQRNFKHEPQPFY ESSEIIVYGSFENSNIMAVQQEGNELNILLNGDTNTNGCTQWFYFGILVKQPQQLTFRIL NNRRGKSLLKEYNHIRVYDNNKWNSETTKELFYYRTNINHPFYHSQDSSIANLQQNFPLH TLQFNYNFTQNKSIVYFALTLPYTVSNLYHLVYNSTLKHKVLCSTTLGLPIFKLKTKHKT SEVVIILARQHPSESVGSHICEEMIKFLDSGHQVQNKYRFIIFPMLNPDGVFLGNSRCNF NGVDLNRKWDMPNQNTEPEIYNVVKHIKKYKVAFLLDLHGHSKKLNQFLYGCSTPIKHIS DYMRVKQFSRLLQQGSDLFNYFNCSFNVTPDRMSTARVAMWKKFSIANSMTIETSLYGAS KRPFEKEEFHLLAQNILNALFQYDEYQTDPRLFDAQEINQAIKLIKKEGIEDKESGSDSD AEQDIIVVRNPRLKVTSRRDSTPLMVKSKPKQQSETPIQQPTLFFKNEQTYSYKKIPSYQ MKRKVSRMNSFQSQIIDEPLSAQLPTIYNIPSRQYKGTLDLIQAIQVKSLSRQQ >CAK84947 pep:novel supercontig:GCA_000165425.1:CT868529:238843:239905:-1 gene:GSPATT00019129001 transcript:CAK84947 MENREQDVPATENPVAEVRLEDKLNEIQEVGEKGINEIEKYYKITGSPINNAIIVQVLIC ALASGSTALVNSLGPVQWLISLIPVFNLLNFIIYAVFLAFLQFGSPFFKKKPWNFLFFGI HFIGKFSFMVFYGVNYPLNKFEVFYFLCVFGYLYVLALVRNRDAGLNGVDFTIKGNFFQL AGLGIFLGFFLALLTQAGFWPMIWQPIVGVLYFLYLLLEVQRFDGSLDYLREGKNALFLG AAQIDADLLWFCPLAIFHIKSKGEVIAKKSEEFAQEAKEETKQLINPEQNA >CAK84948 pep:novel supercontig:GCA_000165425.1:CT868529:239990:241197:1 gene:GSPATT00019130001 transcript:CAK84948 MKGAKQQKKQSIWDQVKNEEKDFYFNYLSKKSRNLQKKLKDIADLEELSKTKELKAEQVQ KIQSKEENNEKLKELEAQLSNWLQAKREAEQSGTLLTQETFILILEHLSENQDTLALLSQ DHNSLYELAKLLQNKVNGQLRKKDQPWKALNLKHQVQPVPQSQEVQEVQQLKQESPHSQP IVETQKEQPQQHEQYVLIKSSPKKSFQEETKPQVHQPLDQQHHQHSEENQQQENTNLEQN TNQPQQQTQEPPQVDNQENQEKTHQQRDGHRQHHQNDNHRKGYKKNYHNDNNYERKNNGD DYKQRPYRGNRQYHNRDRQQKEEWQEKKQGAEQQQDNDHQSQHSSDEEYITIEKRQKKPQ QKSQRGGNRNRQQRQGDAQQNVNVE >CAK84949 pep:novel supercontig:GCA_000165425.1:CT868529:241233:242805:-1 gene:GSPATT00019131001 transcript:CAK84949 MQQNSSFDENVQMEIENQVEQRVESQELAENQRFNEFQTPPKQDHSQLENEINNCQESPH FTCQLKKNRQPTDEKYNSENEDGTFQKKDEFQINMHLLNNLIIQAQERLQNQSQLSLCTI DLSLCNETYSEIKQQLFEIEKVYRSKDDELSQLNIQLEKEFNACKNQKNLDQTTNSQLNQ SFDIIKRYQQLQEIKKNKLIKFKKNYREKYNQLRKELQDLKSIVEQMFSKNPFFLEEEEQ ISEFCKVFNQRYKFIEEISFMDNSNSVLDDQKQESPQLKEDENLDSFILTLMKQFNINQG DVDQIKSQIVECVKNQKELIEQQKLQLEIIQTNQNLSANQQIHKLKTKKDELENELIQLN QLKESQQKALDQTRKEVQELKQQLELTQKIDYVFLNSKLFQFESTQMKQQQKLVQITQII FQQLMQSTAFKSQIKEVLNEQTQYLNSYCCKANEIINKQSWQQDEDPLKYLNYHQQTISK MVDTICIEYSKMIKQIQQQREELMSQL >CAK84950 pep:novel supercontig:GCA_000165425.1:CT868529:242865:244924:-1 gene:GSPATT00019132001 transcript:CAK84950 MNQQKDKPQKPECSPISEQNDFQDTTQKRDFPELNQLYREKEDLIKWLLDQLQIAQRLCT QIVTIKNKDQIQDFQELKRILDESLEKAKNQGYSQETSVNPFDSPPKYISDKEIIDSDIK TKSQNKFINNPSDTKLISNTNNHEIKCNNLDYLVGHRDNQQKIYQNTNAYNETLEQSKAN QQEDFNQENGDEEFGSSCHFINSSYELRAKESFMRLKQNQLKLSQEYLNLQREFIIYKEA SQKFIQNDVKLLMQQFKIQISNQVRSQPQIQNYEPQSQEITIHQNRQIESQANHNIYYLM FSNYKETLLQLRTQFESLKQGLINENQKLRLEVEKELEKFKEKLQSIFKQNQSNITKIKD LSDNLSIKHKENEDLKKSLINIKKELDVERQNNQELQNRFQEQANEAQLGQFNDSNVYSL LKKFEQNQRAVCQNGNEAFEKLQMMEELNQQVTQIKKAVMKDYETQIENQDQEIKKYEMR IKSLQVEKKQLEENIRQNNLQGILNDTLQKVSDQEKKNYELSIEISKKANQITFLKEQIQ TLNDFVQGKQNEIRKQLMEEYKNIMKLQLEGCYTLQQQSILFNFLGLKIFRQYIISENSN RTQIDLTMEKLNQFNLTCQKKENQKLMESKEIERFYKDYYDQLKDIYEETLQQFKRLLKE IQERRIKAQ >CAK84951 pep:novel supercontig:GCA_000165425.1:CT868529:245321:245874:1 gene:GSPATT00019133001 transcript:CAK84951 MQFSSSKESQYLLTLYEMCRRNVINQSQKGELKDLLIQGDRKTQEILQIYQKNENKQELE KGILDLLDQSDESYSVYSIPSQYKSRRPKPVQVKSLEKNWACKSQDSLKQKPMMQEELNK LSKVLKERYSFNHLELQQSKNQGKRLSENFYIESVNTGF >CAK84952 pep:novel supercontig:GCA_000165425.1:CT868529:246536:248062:1 gene:GSPATT00019134001 transcript:CAK84952 MIELTLIIIYLLQQLSCQMILLNETNLSQKDIYQFDNFIPQGFYLKIGEVILFSLSSLDN SENQPIMELCTDQYKAKLIYFLFQLCLKCQDCQLSKHLEFIQNIIQCYSFQLQCLFRYKI QQFFQSYGSNYQILKLPLVQICNKPSDCKNGGICSQGICICPQNYFSHDCSIMGKSILET STLISSQFYFFDIYEWMEKEEKFTQYYFARLEEQAVIIVDCYANNPYLLQNTTERQLSII NITVDEMYNCLNYVNELEQNSQQQFAKIIIKLKQPFIVQFKGSESPVDPFVIGIVIGSIS LFIMIVVIYCIYRCKRDDILQKKKKEEQERLEKEKKLMEEKENQMMPEFSYAEILQKFPG LKNEQECEICLNVFKVQERVKVTYCTHIFHADCLKQWLNKHQTCPMCRENLNVSLLVQSH LLSSSDHQGQHISENQQAQFFLLTPKSQHNTRLYGDEHQIVVQQFSEQVNIPQNNNEEED QNKQNQLTK >CAK84953 pep:novel supercontig:GCA_000165425.1:CT868529:248320:249529:1 gene:GSPATT00019135001 transcript:CAK84953 MQFQAKQYFAQYSFTQNVLQQITDRLGCIYDINSYYQNSSQAITFDNNPVSKEISELFSI FYLFSSNPFIGIISQGNESSFLLELTQMRKNQCAKICQNNGKCINGFCECPVSYLGSDCT IQIKDIENQLDLEPKQYYFFHIKSFNISKFERQLETFVEIRYTCQHQYQMQFQGVQITTN LINFNQTFLQSCLMKTIEMQEQLPIKLQSYVIFEQTSSNRIKITQQENHFVFQNLIVAFI ALLILALLSMLYIFYHHKNPKDLKALRIVPIIKFSLALEQVEQTDIECALCMKELQQNQS VRITYCNHLYHDFCFKMWWTNNKSCPRCRSPLDLETMRKNQKTDILQQSTFSNLQSNRKK NLVTFVDTGSTIRHMPIQQKLELQIK >CAK84954 pep:novel supercontig:GCA_000165425.1:CT868529:249561:251058:1 gene:GSPATT00019136001 transcript:CAK84954 MWYQNNITMIILFFLICINQIVNQKIEKTYQRVQGVQSFSITNLTKDGFPMRSKIAISLT YYDGEIPIFLLCSNKPNANVTMEYDSITKEQCTYDANAYEDNSKKQVVSLQDRLEMKKYH SNFNIYEFKGKGLFIGAISKLQSSYKIQAEIIPLSACAKDCRYGGSCFQGVCQCMKGSFG DDCSIQGLDILQQTTLSSNYLYYLDIEQLTGATFLRVLSSSINLRSQCYADKPQINHGIS ILTNLIQIDNDRIQNCKDVTFLVSDQMKVQQTPYYLFKLSDECQVEIIDINDEKVGASTI LFILFIPLPIVLILITICCCYKLFKSKANQQHNLQTEPNPTTYVDLYIPTQKFQEIKDTD VHSINLDYQYCSICLEKFDLQNNVKITYCKHLYHSNCLQLWIEKLKVCPLCRAPLDEQTI ISMQTQKSMSLIDQITSKSTNKLKPSQASLSFLNNHNMTRFQNNNCQRSLIYLDQ >CAK84955 pep:novel supercontig:GCA_000165425.1:CT868529:251574:253384:1 gene:GSPATT00019137001 transcript:CAK84955 MYYRERRASSYQKPQPGYDNLRNQLDLLDKFMKPSYDIQLPHDPSQKSGIRQSGQLNRSF QFPKVSQQADDSVNEEVVQMQLAIDLQNQTLKRLLKEQREAQVFKQELENLKSQVHTIHL KLPKLPQYEQLNEIKQEISSLRQSFFQQAQQLQQPIIQPPQIIYQQMPQQIQQPQYFPPP YPYYPYGQQPPPYGQSPPPYPLQQQYQQPYQYNPYQSPYYPQPQSQPQPHETQTNQQNPQ SLGKIKTVGSQAQNSRRSSQKTIPLISKRSIQQTKQSFFSDNGDKKALFQGSRLKVIFNA VRYAMRWKIYCKPLNILWRKLYKHSVECKAVIQKISYPIALKRINDWCKMVLAKVENYLI KIKEIDFINPEKPLTEQELDQSYMQLTNAMKYLMTSLVTYCTNDFMIPELKFLSYLQFFD QPEIDRGLFVARRVLFWKEKQLDMTKTQQMMIVGDLVILVHILPALMEIPGQVFIVKCMV SLVQIHFMKYFDLRVLNRNPEYRIIQLNLVDVVDGKLVARLEKLDKFEDERYIVGVYEDS QFQGFYAKRPHFQDDMQKALFQIHTNLLQALAAK >CAK84956 pep:novel supercontig:GCA_000165425.1:CT868529:253432:254701:1 gene:GSPATT00019138001 transcript:CAK84956 MEDLLECTKCGNEVDQILALSCEHNLCLQCSAKLYNKLQCQTLVCEICSSQTLLDPSAIE VLQDMYKLQSSRMSTANLKSSRQQIQYQASTHSKASQIHLNTQQGLTVTCQQHASEEALL YCYTCETPCFCMECYLQGLHKNHEVKNVQKSYSIIRTTKADQFYQKVKSSQDQLLQDQCK FAAKKKELVEINTSTKMQIQSNFQELYKALQLKESELIQVADDTMCEKVKEIDAEVQKIQ AQMDKLNDVLSDIESYFPDHPDQSQSIMAFNYIALNNRKIDQLTRTILTERNTWGASLQL QYQLDPQSIIQQIEDIRSTKLKIMSLRALETAEPTINEKKMYERARDDRTKRLFQFQTPL QSLVQDENKENNQSFTLNNGEQTQLSEFQRKFQEAKRTLSQRQKLN >CAK84957 pep:novel supercontig:GCA_000165425.1:CT868529:254782:255415:-1 gene:GSPATT00019139001 transcript:CAK84957 MYDFSRMQKLVDRMQKLQPPQPPADTRFLPEELKKEKVVEDPKGIEKKAKRQLLIKNQKG SGGLKPVEDERLLLIQKENYLKKKQRELEMEQVKKELAEQKKQEKQKLEIETQRALQFVK GKKEVSQVEREVKIKKQNDNEFLKRKQKVNNSIQMSSIDASYVSQSQNLNNSLIKKQILD YHTLPKEQYYRIYRQPAVSPPK >CAK84958 pep:novel supercontig:GCA_000165425.1:CT868529:255566:257437:1 gene:GSPATT00019140001 transcript:CAK84958 MASATKEKLIKKKEKEEQLQSKSLGNFHNLELLCKADDRQKKTLEKIIKQKPDLARSLNI YADGVKYYIARQQQRDKLLAGKDIPLMEVSEEELSQPTLPLITTNRQMIRQNKFDILSPR LLSESQENQNKRYINPNNYTPFANRSKLTIPALNPTLNYNRDRNLPKLKFNYYVGSGNNG ELVKRILQKREQYWTAVPQSFQYKHFIWQQSYFGMDFNRLTPSESNYVRVMYNFFEFHKN ITSKTGLSQSLLQYYNNIERTFDIIPLVFIFNFKTQDWVRDVQQFTEFYQTNNPLGQTNM KTSLEFDFYLSNLAGTKVNYNGIKTYKLQETLMSKSQYLWLLKPADWNRGEGVHVFSTLE EVEALIKSYYYGKGNYECKEFVIQKYIERPLLLSGRKFDIRCWVLISQDMQYFLFKEAYI RTSGTTFSLDNKDRYIHLTNNAVQKNAQNYGQFEDGNQLSLKRFQQLLDSQQETVYNFRK EGWPVIKDVVKITMNSTRLNKRNRKYGMQLLGYDFMIDENLKLWLIEVNANPCLEESSNL LKMLIPRMLDDAFQLTLDKVFTPEVIHGQLSPKYKVDEYEDQENMWEPLGFCN >CAK84959 pep:novel supercontig:GCA_000165425.1:CT868529:257494:258786:1 gene:GSPATT00019141001 transcript:CAK84959 MDQQTEQDKLESIATPGVLDKYQNAGKIVNVVLEKVIAKIQPDADIASICAFGDQEINGE LQKVYNKKNIEKGLAFPTTISANQICGHYSPLKSENSNLAKGDVAKIQLGVHIDGFIAIA AHTVVVGEDQVEGQKADVILAAYQSVQALYRSIKPGTTNTALTKLIQQIADDHKCTPLEG VLSHEVKRHFIDGNKVIINRETQEQRVDEEEIQVNDVFVLDVYITTGDGKTKESDLRTTV YKRALDRQYQLKTKHGRAFMQEVYEKYPSLCFSLRAFEDEITAKLAVQECAKHELLNPYP ILISPNSIVAQFTITVAVLANSTIQISGLKLDETKFKSAHDLNDPALKELLKLPMDKDSQ KKRHQEQKQKA >CAK84960 pep:novel supercontig:GCA_000165425.1:CT868529:258907:260579:-1 gene:GSPATT00019142001 transcript:CAK84960 MKGLNYRFPHSRQDSGQANRGDKSLLNQGNQGAQVMHIFYNHLIDGMNTTIILLPPQCMP CRRFQYSLVNLHLLIILKNPPFLKPKQTQQMSFLNYLILKKEDEEQEKQVVQQQQNQHKQ QQSSFMQNNYFPNEVVVQRNMFNFLYVIGIGGFGKVWRVEHKKNGQTYAMKEMSKALYQY ILISKGSLLKKVQILVINERSILSNLKHPFLVNIYFAFQDRENLFLVLDYMQGGDLRYHI GRMRRFSEDQTRFFMACIFLGLEHMHSKNIIHRDIKPENLVLDKNGYVRITDLGIARVLR PDNAQDTSGTPGYMAPEVMCRQNHSYAVDYFALGVIGYEFMLGKRPYTGRSRKEIRDQIL AKQVQIKRSEIPDNWSLESADFINRLIQRKPANRLGFNEPQELRQHSWFKNFPWQKLYNK ELKAPFIPHQTEDNFDARQISIEDEENNELIQKNVIMLRRNSIQALFNGYELDNFTQANE PIKQQLNNSNY >CAK84961 pep:novel supercontig:GCA_000165425.1:CT868529:260676:261868:1 gene:GSPATT00019143001 transcript:CAK84961 MNRQIEESSENQIKYVTKDEDEKKFKLLKQLFRSQFKQSYKYIGIVFFLRSGFYLLFSFG KYLACFYSLQQRDKKCEVNPLVVWLTIVGMYEFFNSIRYLAVLYSLQMNSKDIFLLVMAD MLTKTSDESMNLSGMQERNRRAQIKTLIKMKLSTREISQEELFAEPPFTQYEIIHQAEVL NKYTRIMKVYNQFVYYMIFTGGNVAYFQSDSNDCDDNLNNVTFLLLLIGYVFSAFLIIGF GLAVISMALYMPIILFLFIYKSTRNIVQKIQTKNKIQKMRVFHYNNSMEISISQCNICMC EYLENDKIIQLPCSYMHHFHDHCLQQWIIIKQQCPVCRKLI >CAK84962 pep:novel supercontig:GCA_000165425.1:CT868529:261880:262173:-1 gene:GSPATT00019144001 transcript:CAK84962 MSDQTQQIKIKLCDMTSELLKDVQYIILENLRKHSNEKDIAYYIKRELDKRHLGPWHCIV GKNFGLFVTHEEGYYLQAIKGQITVVVWK >CAK84963 pep:novel supercontig:GCA_000165425.1:CT868529:262238:262735:1 gene:GSPATT00019145001 transcript:CAK84963 MKSSDLLQQFNDFRRNLKAQIQSNEITKTPQKNYIKTQSSPQKLSSRIKSKINLTQNQNK NQDRCNTNQSIQNNNQLESLKNNSKLQCITHEKLSSKQQNVQSFKSSNCSNKKELTVNKL ISQEQLQKLCSTFQSISDEEVKQLPNEYKQLLKQLSTFVNAKFLK >CAK84964 pep:novel supercontig:GCA_000165425.1:CT868529:263630:265309:1 gene:GSPATT00019146001 transcript:CAK84964 MSYQLYPKPFQFYYYLPYLRQVAVPMIPPYNPNNYPQQLSSFYHQPIIGNSSDNCIVIED DNIQHPLNPNQQSIIQTQTNRDQTAQSDQLMILEQPLEKQMKQSDRLNENEPHIRISVFK QHSSQIDAEYILQYDRILGNQYKKEITIGRKKLQDDRSENCDIYLPHGDKNVEKLHCKLS TEKGFSYSNLLTKSVLLFFSLFKSNKHARKLPFSIKQHIYSFIKEKPQFYLTDNATKTGT FLKIKKDNLKLMQLHNTFLIGADTYFHIMEIKSKPIEIKQKRNKDLNQFYNALAKEHIRK GAKIHGLSLEESEQLNALINEFRTNNLRQRAPHKLSQYDRPYLKFAFNSPSINQIQTHIF IAKYDEEYVFKIGRSQECDVIININTVSRRQAQIIYKNNEWFIHDGEGIRESANGTWQSL QNYSTRNHEKKIQSKPRLIEDQMEIKISENIVKFDFVNFGITKKRKLNQALIQDLLW >CAK84965 pep:novel supercontig:GCA_000165425.1:CT868529:265488:267399:-1 gene:GSPATT00019147001 transcript:CAK84965 MGSKCCRDDNIQIKDEILTAQNPDVTAPPIPENKKSLSQQGSKQSQVEDLQAQTENKQKE YDMAIDEQELKQEKAVDSVIQEQTSKVPEIVQTQNMTIEQSNQYFVDIVKNEVQSQNQTD NCVKSQGRVFKFGVETFVKEKEGLIGTHYNFGKVLGQGAFGKVWKATNKTTGLVRAIKQI KKSSIIKEEESRLFSEMNILKNLDHPHIVKLFELFQDENNYYLVTEQFIELYRYLSGGEL FDRIKKMSSFSESIAADYIRQILLATAHCHEQQIVHRDLKPENIIFISEDPKSQLKVIDF GTSRKFDNQKAMSKRLGTPYYIAPEVLGHSYTEKCDIWSCGVILYILLCGYPPFVGKTEN QILERVKTGKFTFDPEDWDPISKEAKAFITKLLRVDASKRLSAKQALEDPWLVKYAPSTQ VNRKVLDNIRQFQAQTVLKQALMSYMITQMSTQKEIQELQKEFQRLDINNDGFLSKDEFL KGYLQIQNDLKLAQEEVEKILEMIDINRSGLIDFSEFCMAAMNQEKLLSVQRVEQAFKIF DQNGDGFISKKELEAVMGDLGDDIWNQILTDCDNNGDGQISYEEFVKMLKNKKL >CAK84966 pep:novel supercontig:GCA_000165425.1:CT868529:267554:268530:1 gene:GSPATT00019148001 transcript:CAK84966 MQFQKQLLKNTLEEQQQYRQQQQQDQKRRVIEQEQQILQEQAKALEYDELQRKQIQKIKQ RELSEAYEQSINQKKQNQNIIKQKQIQDEYNLVSQAQQYQEMQKQQKMLQQYQMKQIAQA SMDDKMRKIMQQKELQEQDKIKEQLNQRDEEFRITEKQKQYRDYYRQVAEQQDKMQKNFA DKIGSDKQYQIENMINRGIENVQYKEEMEAQQKKQSQLYNKQMMRDSLQKQIFEKEQSTK NQNGSQVMSNGFRSIENNQIDPSVHNPLLNPIPGYNQNPYLNQRSSLGTYGNQVLKMY >CAK84967 pep:novel supercontig:GCA_000165425.1:CT868529:268548:269196:-1 gene:GSPATT00019149001 transcript:CAK84967 MQKYFFILVIFATCSNALTFYLTEGKQRCFLDEYTANTVVIGAHQLLDKVQPNGGGVELS VLDPDNQVILTKMTNSDEGKFTFTTKKQGRYQVCLKMSNSKGWFGDKKQPLYFLRIKQGE NQEFQSAAKESQITGLKQKIKSLQKQEDDFIKLQLMNRENEDKLSIENIKINDNVFNATL IQIVVIIGAGVFQIYSLRKFFAQKIYY >CAK84968 pep:novel supercontig:GCA_000165425.1:CT868529:269235:270096:-1 gene:GSPATT00019150001 transcript:CAK84968 MIQLPKTPQYSSASFKNQEVNSKQNIQSLKVEKSRNFLNDEPQEISLTLIKQKPCYNELS VFDTSIRQKISPNETKILLNNDQSNLNVNHTEDALQNAQSQGCCFCKENENLIPLCRCSQ AHFKCANNFLKLGPILEQLKCQKCNDFRQVNSQVYFDFSLWQQNKWHLLFETIFLLIIFT IIGVLSYFTTHLLEDSFENERILISCLVFSYTICFIVLICMISKIISHFKKISFTIKSYD PLYQKINQNYIKLMQEL >CAK84969 pep:novel supercontig:GCA_000165425.1:CT868529:270442:272100:1 gene:GSPATT00019151001 transcript:CAK84969 MADQEDQQKIQQQEEEENVDQYSYSEGDQYESDSDNLKFDIAKPEKNPFRPIGIDFQKIQ QIAHLAPNSKEIQQEEENRKKIEAYQLYQQKVQEADQNQKELEKQIEEKLNQQKEEQLQN LQKQPIELQINKGGQLVNADEIQKIIYPWQVLFDDTWKKNYYYNPVTKESVWELPLDIVQ KLSDYRRQFEHRLYDYQERNFFKFLPKQYIARQREQLFQKRQKVMLRPARKQVEESLATK FGYKQGDEEYNTWFDRFLSDGNKYKEKDSALTRMHPDIDSGYTKADLYEKFSTYFCLFFA RGCCAEGVNCRYYHRIPTMDECEQIDNSKDVFGRTRFANHREDMKGIGCFTSDTRAIYIT HYKMPKADTSTQALALMYDTLWRHFSPLGDIDDLNVIPAKGVSFIRYKHRCQAEFAKEAM DSQALDQQECIMCKWAYEDPNPKAMSREFEEEKLKLVNAVKDKEKKEQQNSQQFRKKVDG QQIRDRSQDDRKGKRGGDRGNRRGGPRGRGDNRGRGGNRLFDDD >CAK84970 pep:novel supercontig:GCA_000165425.1:CT868529:272140:272744:1 gene:GSPATT00019152001 transcript:CAK84970 MGNTQCCNKIVEDEDVQEIVIREDSNKQTVKLQEVQQVKHSQKKTEDCGTPAFPELRSQQ SKKSEQCQSLKEIQFMGQVEKDEQIFKSSCTLGRRRQNDSPIVAFEAKNSLKSFDSGRLK KLQSVTEQQLNLKNISSPREEDSFMQDSLKGGQLDEKSSKSILKQEMKYSKFRNQQNQAE SAFRKVQFNLE >CAK84971 pep:novel supercontig:GCA_000165425.1:CT868529:272801:273728:1 gene:GSPATT00019153001 transcript:CAK84971 MSGGLTYFNEDFPVYSDLISTINDQLQSIDNLHVITCTTENKNNIAQYLRAKNATMAKYL IHLNMYLLHKLNYGNLDEFPVDQLIEDKILIQKIIQAQKKLQYSIDKLSKYQETQINEDD QIVDQVEKLTLKPRIENLHKDEANDKVNNPNQKYVPPKLAATLSKSDLNKQKQERREELR KTQKKASLIKSILDDQETDKPKEMTERDLQQLYYGRAEDEKQIEKRKYEEDHMTRLPTTR DEKRRERIAERKANVTRLDDFQEFETINKVLNKGTKKIKKPQGQKLKGSMKKIVKRKRR >CAK84972 pep:novel supercontig:GCA_000165425.1:CT868529:273764:274629:1 gene:GSPATT00019154001 transcript:CAK84972 MTTAHRPTYRPAIGGSEQGGNKMLVHSRSYHSKDLPAYLILKMRKPGQGTQAELEQKDFK LDLIKREEEGRRQRELKALGVSETSLAIEATQKNQNKDVQEPQILKKTKVEEKEIYPQDA DDKEFIKSSDDEEENAQKPEKPTVQQQEMKIEENSSSSSSDEEDDDELLMREYQKIKEQR EIEEKKKILEKQEYLEKNREEEIIKGNPLLISEDYSLKKKWYEDTIFKNQSRLEVKEKQR FINDAVRSDFHRKFLNRYIQM >CAK84973 pep:novel supercontig:GCA_000165425.1:CT868529:274694:276446:1 gene:GSPATT00019155001 transcript:CAK84973 MDYQQKLTLSPLQKYELYNQFPWQMILHTLLIVFTTVQVLNVLDQLTAQSRAQHMIFKNI LLGLEEDNDEQQFYNFDDFQQQLLTMMDNLNGLEDILFQNVNMSLSEFKFNIFYSQFDTD NANQTVFDVDIDEGFTEPFNINDVHSIKSFIGNVQLMEFQGNKIYNFINFRDIEGVESCT NWNFKITYNFDKIGVINAKIETGNSNCPEDQKKQSGQDFLLIHFIVLILGGISIYTTIKY LYDIGSEYMYNKQMYKDIKNIVETQPLNDQSDLIQQGPQVGVKFSQAWRNVDYQQDVKAF NNWYILNALGGFFQVVGATIAIMDQILTNDLSIYKFQIMMMGFGCFSSWLMLLQYLEYFQ DISLVTWTLKKSSKNIAMFIFSILPFFFGFVYLAQAIFWKYNYFQSTIDTILSLFSLSNG DILLESFNLVKPIGIIGQIYLIVFMIVFFTAVQSVLIAIIMEGYDEINAQRKRENNQDQK IKDEILDQIQKEQEEISKSARNDEFDDDKEIIISKLNLFMRRIDRMLEDLDLMADEIENA NITINEKEYLLNVLRENIDNISRNVKFQISNMES >CAK84974 pep:novel supercontig:GCA_000165425.1:CT868529:276672:285948:1 gene:GSPATT00019156001 transcript:CAK84974 MSYFSLSPSSEILSHQSKVQSINNFENFVRSKRERDKTIDNKQVKEFRVIAKFLQKYEIY VQMLLGRQLSHKDEMKLSQKWSDNVDSFNDQMLPDAILRFPNPDFKNCESYDTMTALESM LYIERVLKLDRNNIENKIFFKAVEGVLTNLEPNKISRVRFAFTQNSQLKATHQDLYLLDN IHQQISENNEPIYETMQKKQTDTQNHSLPKIDMLNKLISNFKELLNNKTDQQANIRQKLN ELLDVDYNILKRISPRKMKNGGFLTFTNGTWSRNQSDAADFLTLMRNIIIVQLLLTGYNV YNSISEDGKYIFCKLYATEDNLKTMAEKQKMKKKLNFCFSDLFSLEPVDKSFRPLRLNNR LWKPDDYDDLTDMFLYLRPKIINLIEDINFKRVAREVNQSRISNQLFQYGKLDIQDDDEL PTDLQWFAYYQYLVHLEKELRSIRVKNVINSDIAALINKQLTPYELYIIRNNKNDQVKKL TKQNIKDKQNWFHQQEVKKIQEKVFEVIQEYSRFFVQADKVTTKLLKLFKQERLAQKYFK IFEEALKVANSEGKVLFNFWDMIHFERLDSYVIFTKPTKTCSTTMKYQYKMCWCKYQINE EKKISLFSSSERLKLVEQSIDSLFQMNDLISKKVVISLFCVNDHYELFGHQTIHQQENLD EDFYRRKMYHLEYEWAFNIAKPWSTPINQICEYYGEKIGLYFYYTTYYTQMLLKIAFIAL ACNLIQWVIQDSESDLYYLLRIIFAFLQIQWTNLFVVLWQKKQLIFNIQFGQTAKEEIQK QRSNFIGSYKRSVENDYMNSIGINSFELFTRMLFATSTLIFIIGLYAAIMIGLYILTTTL KASFQNIQALQSGFFEILVTASINIVIIQFLDQVYDIIAIHLTDFENQKSVKDYEESFIV KKYILYFFSYVGPLIVIAFLNGPFDLYCKETNCSDHVQYHFATMIIWIFIFKTFKIIKLL YYVKKIPIFKYTFYENEINKYVEEQSNRQNYAKSQERYGTLEDYMEIFLQNTLLSIFGYT FPFSFFLLWVQNIAQMQADKAKFIYYLQRPWPQNNSSLGVWNSILELINYVCILTNTGQM IIDYSFKYGEELILIYLTFLISNFMMNFIINGILGQIPFELGLFLQRQKYLIKSTIEQFK KTQAKALMKSQENLKKFPLFKVFGSSNIEIKGQFETISSEDELFDHYDLNYMEKFCEVQK KDKLKQNKGVENYPQKTFKNIENNQLRSTKNIENHQRRTLIGSFQKLPLGSIQKLPIGSI QKLPLGSVQKIQESKQTLSIEDKFGKHKFLFKEEKENKKEQKQVVNRQISALFDQVMSHQ TISKYFQRRKNNYVFEIKKWKRSDKKKLHSAQEFLYQKVLLNSHRLIWSDLKISCRFVYM RRKTLYLRNLDYRKFTIFKRNQQAIQELYKEKAKNKFRKDFKLLQNSKRNTNHINIKEDI EELSLLKIKQKNYINKHTWLNSRKVLLLKVKGIWFSQYRRLCQRVPSFKIALDFLSKAKL IEKIRGETDLKEKLAKSLGDPAKIQYIGLDTLITGYLSLQYNPKDRFEIPCTTRQFNIID YYINKKKSDIFTFIVDSFRDTYIFDHQYQKLQQFSMQYFEEELFPIENFKLRRQLNSTTW ISENQQGRKFLIQFLQIRHEQQFKYQRPNYESYGVCYLEGDLNIRLSNLVDQVDDFYIKG YCVIKYNVYSQITLKQVIKYRFIHGIQYKIEELYEFLHACLQIIKQQKCGDINLSSFVFH QRTYILFKSNHNDDDDLINLAQVIIEMILLKPVPDAISGYSKITISHPLKPILQSMIYED VQIDDLLYRIPLTSSFVEIDVEDEIKIKERKKDEDIIDFLTLSIHQINLNFRMKQFKEAL KEIQKAEIQLSNNKFTSATSIEQGFYRYFITNQYKILTSHSDISFTFNVLLIIYIKFGTL LQMKFNFIQELEMLYYALMRSVESLDDLVKQLTLNVKLEQLTNTEQKIMLRRKLTRSNSQ IDLQSKDRLQLIKEIRKNPTHIKIITKVKKELQRYCLQFQALHSLYLYFNQDFVYSAQIL EDIQTTQTMIIQAQTKIIQVVEEPDDLTPNQFNFNQQSPTLDAALKIAQEDNFEIQKEFD DSPNYCLQLLYYKYLQLIVWFDGGKETFHNNYSDFLKDQVSSPVYEYFSSQLKIIQRYEI PKDYIAFNKECEIGKYIVISMNKWIEQSYFIPSKLEYCNDFQLMWKQLTSYSVLEQSLEN RQILIKLLNQKEQTLPYILLELRAIHQLLHLDNYVPLHISLNPKHINTKNLNSSFVKNSL IKRLQQLHNEVSIDSDSWFHSKGLLQFRLFYILSLFFSFSRKHFENMMAEDSNLLFLGEM AMKQASCQSESDAKRVQASQIRMMTFDVKELKSDPKPNQVNKILSSYFFIRDFISQNEDD DLKFLIDKYNDQLKPSLIVLAIIQYYIAIDRKDLADIIILQTFKLIEYNVSLFDYAHPTF KEDLLLLQIKVNEEKLVHMEPFDRLFKRKADFRSLTSDVLLNLQYVIYNQFFHLDIQFNI DMLEDQFKNFSQLPPQYDKLKSIYQLYLLYLQTTQGNINLEEIEKFIKIEFKQNTAYSAI IKNILVRYYINMQDFELALPLVQNILDYLYSLKILSNFVLKQINETSYVIENNVNFISSI VDVQLIEVHPEETDDQYFHIIDTQFIQENLIHYIDIITNLQDDTQKYPKIQEIFKLLFEI SEPTTQNNLFKVLSQLFLCYSQPEYLPSIFNQVYKNQERQLYTYLNQRNGLKEKMSQLII INELSEEDNAKMTAQINVLNYLITIYESVSKGITQTTILSWSIQAAEKAMIGYKIQGKNF QSSCHFIYPQLFLQLSDCFVRLGQISTALIHIDQSEEDLLDWFRQNKHPLKGFFFMKQAL LRPLLTELFTKYTALLMELQQINRLQIYDIIRALFQFNKKILQLFENSYDYQSLEYGLLA YTKSLDSADKITVDIFEMYQGNEPISLMKQVMKISPYDMTGINILIDAQSIFQLFNQNNQ FTKIVQKKIEEYI >CAK84975 pep:novel supercontig:GCA_000165425.1:CT868529:285965:286812:1 gene:GSPATT00019157001 transcript:CAK84975 MEYISRSNIAKMFGNQLVKGSTTDKCKKLVSWSWEMLGSLLIIMGSPLSVKSLKNIDECD SLKSIDINRQKEFTLVLDLDETLIHSDLERTSILDEEIIVKIGENIEKYYIKVRPYAREF LQSLSQLFDLVIFTAALKEYADKVIDFLDPCGFIKRRFYRDSCTKKDGVYYKDLTKVNQN LEKTFIIDNSLSGMQLNLSNGMLIKSWYNDTKDQELKKYQSMIKKGIKQGDNVVTCISAM KSKYPNNILN >CAK84976 pep:novel supercontig:GCA_000165425.1:CT868529:286906:287199:1 gene:GSPATT00019158001 transcript:CAK84976 MKFEEIIRKYVSPIKRFDHVLPNNYSAQDIYNYYEELVFDLVNQAKFNEIESFTKIRFAR KFAEVAQTQDQMDWLNDRAKEISNVAMSLFRLRFPQL >CAK84977 pep:novel supercontig:GCA_000165425.1:CT868529:287250:287962:-1 gene:GSPATT00019159001 transcript:CAK84977 MNQTFDKISAEDWHQNQNNITIPKAKMNEFVLNFFIVEGYRDAAIEFSKEAGIQLSNKEL DKMIERIEIKKNILNGEIDSALQKVSMSNNKQILFKLKMQKLIELIKVNELDQAVSYAQN QIIDFLKDQPHLIDEIEKAMSLLAYKDLSKCPLNHLTQNSQRIKVASEVNQQLFQDSQGN EQAKITILMKILQWAQDILNSKLQYPHLIEISKGQFSKEH >CAK84978 pep:novel supercontig:GCA_000165425.1:CT868529:287994:289570:-1 gene:GSPATT00019160001 transcript:CAK84978 MSDKSKSPPALAKKTTKPIIKIQVSNEKIQTKNYQPSRFVVAERLQPITYKTQEVLSEFQ TKIESTILNPNLLKSVQPSQQRVIQPKEYKKKPLRRQENEMQMYKDIFVQPKADALQELK EKEKDFEALFHKGQAKQYDKILNIKEVEEKQTKTLLGSLLNEQIMKSTAPMDNIDLKKEI DKVAQMDLAVQPETNFQFFDQQISVQPPDLPNASIASPLTPGNGYAPSIPYTHNVKENNR TSIKDLLVRAKAGMQAGDIQKEAHLSFYLGMVYESSKNHNEAVRFYKKFVACAKLMEDKI GMALGTNRVAFNYYNAGNYTKSIEFHKQNLQYSDQENMFTEGQEITRIQWNILNKLWSTG AQKRDEAESQCISFGQLGIVYLEIKQYQLAYENFQNCYELARRLKNHKLQLECLLNLIKI SGYLQTPVEIQTDILRNAIQCANHLNEKSIATLCLCNLGVLESKPQQPIEEYDDLEYEQF >CAK84979 pep:novel supercontig:GCA_000165425.1:CT868529:289815:291470:1 gene:GSPATT00019161001 transcript:CAK84979 MSMWITLKQKFQQLRMRNQIFLIKIFVLLISFFFIGCCYLVQQNIMLEIYEDSSKIVLHK QDRKSLMLLLPQLHYYIVSKQQSRIQLLSSISTFYSQLDQFTISSNFKNSLPCQTIDQVN FLFKIPEPCYTCFLCEGGNIIPEQVLYKDLEKLERMLTEFIFSFNTLQKNRIFFLATGNI SFGYMYPQVIFNWSYQPAKVNWFTNHLELRQKNPDQKYFFSLLYFSGTAKIYKQTISYSL ENRINNHLDAIAATDMDAEDWNMKSIKANTYLLNQYGEIIYRNVDLNLSVLELNFIYEEN KTGFNQTDWNQIQNLARGDKVFSNCYMQQTQILCRYNSIYKEPIKIVAKIIPGNFTLMMF TNSSFEANLQNQFLIMEQNIYEQLKQGFNIQILSFLAIIFVSMIVVILMFLPILKVMLLA KLYIKRMGNNLDKEIFKIANKANNSKGIFNELQIKIINFGDIIEKSQLSKSDLCKDIEKI QYQEKFYKREQSEFLGQVHLQNLQDDTQQRIPQKAIIQFITCLFKQTSQFHHSQK >CAK84980 pep:novel supercontig:GCA_000165425.1:CT868529:292150:293519:-1 gene:GSPATT00019162001 transcript:CAK84980 MRVFVIGVGMTKFIKPGKDDNPDYPIMAAQATRRALHDSGLTFKDVKMAAVGYVYGDSTC GQRAVYEVGMTGIPIYNVNNNCSTGSSALHLAQQFITGGLYDCALALGFEKMEKGSLTLK FPDRTQPVDKLINYTDEWSTEKSKGPWAPKIFGNAGVEHMQKFGTKPEHFAKIAFKNHLH STRNPYSQFRDKYTLEEIIKSPKIHGPLTKLQCCPTSDGAAAAILCSEKFMLEHNLQDQA VEIVGCALTTDNESTFAQKSLMNLAGYDMSRRAAEQVYKKTGVDPKQIGAVELHDCFSAN ELITYEALGLCGVGKAGEFIDKGDNTYGGRVVVNPSGGLISKGHPLGATGLAQCAELCWQ LRGMAEDRQIKNLNYALQHNIGLGGACVVILYKKFNQKNGKVRADQSANPDILEKIEAQK QQERPRL >CAK84981 pep:novel supercontig:GCA_000165425.1:CT868529:293783:296622:1 gene:GSPATT00019163001 transcript:CAK84981 MNNQPSRQLQDTFIPQSIIDRMAIEKWINEILKVSGGNGKYTNLRQLGLDRNSLKSAGLK DEDVNRIYRSMFVYTVGFYEMLKDILKNYELTAMIWQVFGILLEYVAKGDFQFTINQIYK EGQQKIEELNERLMQREQKFMSIEIQAQDEINHLQNLIQDLNNQYNLLKQQKENVELDFQ QSNIAFEDAVTLKILFQSKIIEIASIYREQAQNYSQLSEELNELKILYDKNNDDLIMSKK QVESMMAEINMRDNSITHLNQTIENQLRLLDEKQKKIQTLELNITQLRKDYVFNKNTYID YEYQFNLLNMQFSKYKEENIQKLQEFQIFQITYGDTLELNKKLANENQVIRQETSKLQNE NKYLKIVTDELENLEQGYKSSILQLQQDTRNLIEEYEDKIKKFQITQVEYQFGIQRIQVQ QDEILAFNQNLQQMKINKNHLNEIIFQNQAQIQQLQSGLEEKEQTIQELEKSQSKLKQDE ISYQKQIEQLRNTINSQNFVIIEEREEFEKLKNQFQSQLLELRKVKSLYNDQVLENHHLV KRQKYTDNAINDEKNKIIELVNEITFIRAKHADFLQVYKALEIKFDEFKIQMNKDIQLQK DQYTDSLNYYKNMQKEMVHKMILEDALSLIDRTNQQPINLNQSQKHVEEQRNLLKNKNLE LHKEIDELNTNLKQQINLIQKLQSDQNNTSNRLKIVKFKQLVYFVSLKEVTEELKLKTDS LIQIQNSNEDLKIELKRLKEETINQKQLISRQIISSQGQFEQIEDQASTNDFQDFRSTKL QINQDSSNDCQGYFNSQIMQQNEIKNSQNQMEKKVKRFSQKQEQQKFKLKQLFKTVNLLE QQGDNQSQSRNLQDFQIQDSFRDILSHNLAALAQVKQMKVKGITNPKEKWILRQETKIIL >CAK84982 pep:novel supercontig:GCA_000165425.1:CT868529:296636:297133:-1 gene:GSPATT00019164001 transcript:CAK84982 MFSQRHKPRNASLAIQQDKYIKKQVQLSKKESKPRYLTPKKQNQSFQARLLDLPIISSSP KNQLCVTQIFTQTNEKERNPYIYNWNQKFDALLCGTLRTFNLTKEQKLFNKSFSFEEQQE IIKSFKQKAPPLVGKKPKVRQLKKKEFDKEFVIDDISQYFNKIQD >CAK84983 pep:novel supercontig:GCA_000165425.1:CT868529:297412:298736:1 gene:GSPATT00019165001 transcript:CAK84983 MLRQRSTSKGKHFDRLVPEKVNLSDYQIHMVEDQKNESLKELDLNEQLRVENSQAKYNSL LKQKLMENKSQSSLFVYQRQPSKYKPYIFENECPSPVRKINKAPYKILDAPKLKDDFYYQ LVDWSMSNQIGVGLGNSVYTWNALTNETTQLLEIEAPVCVSCIKWCDRSEIIAIGDDTGA VRIYDIVKAKILKTYENHNLRVGCLDWNGCNITSGSRDKSILFQDIRTNNDYELSFQSHK QEVCGLQWSPNEQFLASGGNDNNVMIQSIKMPNQSMYVLKDHIAAVKALAWSPHQPNILC SGGGTTDKCLKFWNTSNGQLQNSVDTGSQICNMKWSTNTNELVTSHGYSLNQVAVWKMPK IERIATLYGHSFRVLYLSLSPDGENIVTGSGDETLRFWKLFPSKNKHSNLVNQSKLDSIR LDIR >CAK84984 pep:novel supercontig:GCA_000165425.1:CT868529:299792:300500:1 gene:GSPATT00019166001 transcript:CAK84984 MKDSNSKQINQEMILNIYLRSKLVKLMNIKQIRLRLLTTINGYENVIRCVIKLNNGDYAT SSRYKTIKIWNQNNFECSKILHEYLIIKLLVVQMRRILRSILKLRKVSQIIDFNVLFGNQ ATPQEDTNPMQLELYLMINLIILSLLLTSQKVLQQFYMDMIIVIIPQPPKRISEFQEIRG VIKQNGHSAIWKLKINKRNKRNILMAKLSSEILTFNLFMLLQNLK >CAK84985 pep:novel supercontig:GCA_000165425.1:CT868529:300676:303954:1 gene:GSPATT00019167001 transcript:CAK84985 MESYAQPVQICLSSYSSLIFELYIHKQFMLKFKRVYHFSKWPIVDLLDYNHQINLLKSQP AKPYIPELLGPNYEKYQHTSIQFDYVSHNQEPSEIQVLSSAHPEFKQADRIFSTFDQLKY VRETESLKHQKFALKEIEEDDVFTVDAMQSYKPKLTCDNLTENQLLLINLPINVTIQEVQ DFVQSKTNQQVQVELHTCSLNINAYATLTFQNQAEQYKSQLHLTKFNGILQECRSLENTA EESPFNRTLVITNINKTLSLQEVLHTVGQYGRVLNAVIPLEVQNVDLPLYEDIIQTLVDP CKIEILNVQTGLTEIEFYPNLEQFEQLYKNFPEYYDPKLELTLFEYQQQKDQKQDQFRLF RLLNQKTVKCLKVPSHLKENYKKLWVPQINVETPKDNLQYQLYAKTYKNRGYCVCTFSSK AMAQRALFAINAEGTHDLAVLSLPLLNTPKFYPEITSHSNQEKYGGKFRIENLAYPKLRA MKSWEDLELYQIDLIYNLKQLERIRTQISQVRDQTIEAIQTNYDTYQKDLEEKKEKVKQK AYSELNKSDEYKNFVEKNSFAESLDSFEDSVAVVKDTKPGRKFNFSIHNEQYKNYVEAIK DRAKDVKLKEKEYADKLVKKYQTIFISDSIQRKLEKNVEKSDDTLLSLLQARVLGGYAKK ERKMKKRLTRGSRLVSTAAMYAADSEMQFKRIGELFSEIQKKGKYVINEANRDSKEAQAK LAQKIALRRQRKFKRRFSSTILNPFYLKKLALQKHEEFLEYIKKMLLGDESQNESLIAIH PLNHKDQLKVSLALAKDDLGNEFLNDKIINYVREFDITDDTRDLIRQQEANRKHLMSQIT KDQIARIKEFSKQSYTQKLISLDKHGITTETFEVTDNEVDINQMVKDLNTFVAVGSEKYD FVVDKLTKKRYIIKSEKPFVKYDLDAFSIKDLGVHNLEKEFVDLLSKNGIQSDKAIEMLK ALENDGQISEEILDLIDKLPENTETDYEKIYQTQGLTRKSKSLDFRNNLDEIQNDIDQQA YLKDISKKYSPLTNDTFVRDKEQGKLKIKNKNGKFLVPKQKKY >CAK84986 pep:novel supercontig:GCA_000165425.1:CT868529:304470:306541:1 gene:GSPATT00019168001 transcript:CAK84986 MLRLVSKKSFSSTFNVLDTFKTLKSVITAPIRHIRSYVRQDGVHSSMTPNLTYQAFDEVL HEYYAAYSGNPFHLQVYNNLDNIQTVNFGTVDNPCVIFTADTPFRYVGCTGLQNEDDYEQ HEIHLFMLREGPLQRCPMCGQVFKLVRLRQQEDEEMSYYRDSFHPIDIFELDNENVQSIN MLKMWTHREASLFESALYEQNIMVTMDNDLIECGDFSMVKINQKYIDQQLKQAGYNEERI YRNPKYKLPMQKATYAAVIEAEKVLAIQERLERKVNRFHVREYLDFANHARRERRMRLRQ KERWENNYTYFYGGLTEEEQLYNDYFETDQELYKDDEQIEQRIDEAVAQVDPKYSPLRFD FQEAYTHNPEEDQTSLLEKKLWRFKYRLAFDCTKDYQARERRLIERHLARMTKDPEYVAV FKNLQSAVKSENEFALLQAEKDYYNLAAKEGFLQYKDYFEGDTSAEIELVEELYKASPLA FSKVFVNHSARLVQQEGFQKFPKRAWNDSLGLIQNYVLDFQDFSSNIVPKAQKLANYAGL QNVLPADEQELVKLGLDKTEQITRQKIAQAQAKPQLNEPPKQAQQQAPPKVEQEQQQQQQ KPQQQQQQQQQQQQQQQKKGPFNKKK >CAK84987 pep:novel supercontig:GCA_000165425.1:CT868529:306554:307986:-1 gene:GSPATT00019169001 transcript:CAK84987 MQLIVLKSQQQNSQTLFGRMNLFKQKVTYYYDEEFGTYNYSTTHPMKPLRVAITDDLVGH YGLKQYMNCIDQSFVQTYIKRVDEDVLTQFHSYEYIDLIKIITPENKCQYEDQLYRFNFM EDCPVLDRLFDFCLCQTSGSVGAACVIADQKSNIAINWSGGLHHAKQSEASGFCYVNDCV LGILELLKTYQRVLYVDIDIHHGDGVEEAFYLTDRVMTCSFHKFKEYFPGTGHIDDVGHD KGKYYAVNFPLNEGLNDDSIQHIFKPVIDKIMENFRPDVVMLQGGTDSLSGDRLGCFNLS IKGHGTCIEYLKKFNVPIIMVGGGGYTLRNVPRCWTYETSLALNVPIQDNIPDESEYKVY FGPEYKLHLPISNMEEQNSKDYLEKNIVQILENLKQITPGCAQIDHHAIGKESRQKVDYQ ELFSEYRDNREEMQIEQNQDIQE >CAK84988 pep:novel supercontig:GCA_000165425.1:CT868529:308140:309090:1 gene:GSPATT00019170001 transcript:CAK84988 MFSARDQLRFSRKTIQTCSIASSKPIRYATQYCGDDEYSTSNFNSDYELLRTKEKYKQKC EEIDMKKNQLHSDNLDLELRAEKLRQGENEVRYMAEILKSKIKQIQQQEQQIKENQTAFL EKFKDKELCLIEQQKLIQEKQDQLNIREQQLSIREQSLKEKESLLSEQIHYYTQFNERLK INLEELNKKEQFYIQQISNMNQTVESLMDHENHIRDLEFKLTQQIQQLKDFDNQIRIQVL NCKQQEELIDQKEQGIFKSQELINRKLLWDQKNITRQHLQQISNYMSDQNYF >CAK84989 pep:novel supercontig:GCA_000165425.1:CT868529:309566:310015:-1 gene:GSPATT00019171001 transcript:CAK84989 MPTQIVQRKRNTSEKNKIHSILKCQKSNEIRNRKDRSGNDIIHGGNYKITFQDSFIVLKT NSYTPQNEFENYSESEQSDHSESELSQFQEQIQGPQVNQTLEVVPKVAQTNCDLEIKIEI DQEFPKTNSHQSSKIGTKSTQKSQCCTTF >CAK84990 pep:novel supercontig:GCA_000165425.1:CT868529:310748:313373:1 gene:GSPATT00019172001 transcript:CAK84990 MLNTTELDQTLKTNKEALEIPKLIVNSSKITVASSNNLNNNSQVPEKKTTFFRTEDKFSR LEQYEEIDRNDELNLPFVPPQGFKGPVGKQVQFTDQLIGRQLIKHGQVYFLLYMCYTEFT LILQLQVEILIDWPNGIDFRGEICGIGSLKNKPFLYYAGPVMDIDVTWCIRQCPPSTGRE TCMYDTDHMTVTSFCYIQMQADQMGYYCIPKEPKSRELVFEQLGSTRNTMRRIGSDLWQS WDITLCGFGLSIILSYLFTVLAKFEKIAAGLIWGAIIFAEAGLLLYGWLFYLESIRAHEF RCIDGMNSDTCGGQIVTTYQILAIVFLALAAIYLIVTLILFKRIQRGISLLKTAQHIIQV LSQIRSFPFIVAFIGLVVCCLAFILVCFAMTVGTTELTRAKYIDGHLLYKVIYNDYIQMG LIYVAFTVFFTLTLILTMNDMFTSYALSVWFFTKQKDTVRIPYCFSFKTLFRYHFGTCVF IAFNLMFLTIPQSIMDYSRSIMRFLPQTSSCVRYTQASCMACIHAFEVFLRYISKHSVVQ VAIWSEPYYQSAKKAYFLIFRNQDKIKDLDFLQTLIVFQIRMATAFMASIPIYIYINFAE QTFMGKPTSGIESPIIPTLYVFICGMFFSNIFQGSYDITCKTIIQLYCMDSEMFFGEQRF VEQFIREFMEFVGKIEDKEWKIGFTKQIKFNKDKFNEKLKNYNDSDNDSESEQEYDINED EEPQSDEKKATQKKKIEDDQYFDYDEKVDRGNAFIGLPLEYKPKNDVTKKPPEELGNASQ SVIQDQTMVAGDQTMALGSNKQLRLKTPILNTSQQSFQILLNANDKSQISQVSDLDEKPQ REKVIKKQRI >CAK84991 pep:novel supercontig:GCA_000165425.1:CT868529:313389:314715:-1 gene:GSPATT00019173001 transcript:CAK84991 MQIANDEYLIPNLLQGIDIRNSVFNLFNIQEISSLSQTLDRLHFIKGLHEEDNLRLRAII TDMQYNFEAVVAQKNIELSRLNGRVKYLEEELYHLNRLPQNKYQISNKDEFDPSKLRELE RTLKLKDQQIQDLTNELQLLKAQIANRIQEAINQERKKVQNEQKEMNLPVLVENDNLKKA NSDLNRQVKNLQDEINKLKSELNDANTELAKTQRKLKDCLQMNADKDDMKQKSQPVYVKI SEDKNLLDGILALMHYMRESVTDIIFEIFVRSANQAFNRKQSSAILFSINAKELKSRNVR GFLVVLYLYRKMKSFIKIYFPYYGKLILNDHMARIALGEDQFNLSERRDNTNLWLEECLA QLSTQKRKSDKNNEEDAEFQHLFRLLSLRYRCKYPMKGNDEMRVDIDIRVRLENQICSSL LKIFID >CAK84992 pep:novel supercontig:GCA_000165425.1:CT868529:314884:315160:1 gene:GSPATT00019174001 transcript:CAK84992 MSQEHDLDDIAEQQLAEQTALSQIPSIPDQALPTRQYLEKAVIDQLHDALKALARERPKN PIEFFSYYLLTQHCNKKQELQEQ >CAK84993 pep:novel supercontig:GCA_000165425.1:CT868529:315217:316092:1 gene:GSPATT00019175001 transcript:CAK84993 MLKHLYDTDCVTWSPQGKLFQVEYAMEAVKQGSICLGLKSNDFVVLCSLKRQPSELAGYQ EKQFKIDDHMAIAIAGLTADARVLCKYMRTECLEYKYTYESHHPVGRLVFKVAEKSQHKT QSGAKRPYGVGLLVAGIDPNGVHLFETCPSGNYYEYKCQAIGSRSQAARTYFESWFHLFE KSTLEQLILHGLSALKKAIMEDEELNEKNVEVGILGKNQKFIHLNAQELKDYIVKLETFN ANTQIQQE >CAK84994 pep:novel supercontig:GCA_000165425.1:CT868529:316093:318399:-1 gene:GSPATT00019176001 transcript:CAK84994 MEFDFQSRPSSVKFNEIQAYNLKGRPDSAKSRMPAQLANTYGGTKKLPNVRPHSAIVTKN SKLGKKRTDEEVIIEEQDYDNFDKQGIDINAILLHPPSQFADKKLPKNIKKEKETLYEEQ FELKQQINKLTEENIRSKTKNGQLQKENSKLEKLLDNLDNYIQTGQGHQPLGDYLLMNNL KKTLKDVKSQLTEREAELTILKKHIKLTKIQEIEAELKNYIEESIRLRSLLDQALRNQAA QKMAVQMDNFEEKFYVQMKVINSLQQEMDQFNGLIKLKDEELLDAQVKCEQFDSLKIRAE EQTKQLQQQVQEYNNKCEILQQEIQNLKTINNNLIIKATKTHNDDLHIRELEQNIIEFKI NNDEKNETITQLEKQIQEMKYYEQENFKQLEKERKQLENDMEYYKNQFELVDDKFKNLLL QVAQQDISEEYLQYQKSERKSERTSTTKLAKMGTMGVIPSFEKDSKPPLSQLPINQLQNH NYGNQLGLHQEDKSNNKQRPQSGGIRRRRTVKQEDIKDLGLELNMRFRLRKLKLEDVVEN DFFDPQIKQKGKISIKDIALKLSDRPFELKDVEKMYLLARYMIEDNSQDFVEYDEEASSQ LQIVRSIFKQIVGKCRLFTEDEERQYNNEISAQIIKFKKSILSYLPTFNQALLTKDQLTK AFNYIGINLSSEAFDYFFLRLFELQGESDVRRFDYKLVIEEWGKEEKEQIKKQKQPDRRD TKLNTNFAYGQKFNIEK >CAK84995 pep:novel supercontig:GCA_000165425.1:CT868529:318427:318930:-1 gene:GSPATT00019177001 transcript:CAK84995 MFQNKKSSEIQTHTQTTKNKLKRQYSQLYDANAVINLKTKGSRFVLSKLYPYYFLRQNTL IIEYISDQDLRLFFDDLNKTIAINWPSQKLITLSYMLIPFSCGFSLLCPFFSFERAEKQV QLKLDEYNHKWESRGIRLYWDDDDIQIQLFNKNKVEDEINNIDIIVE >CAK84996 pep:novel supercontig:GCA_000165425.1:CT868529:319061:320828:-1 gene:GSPATT00019178001 transcript:CAK84996 MDNLKQMQALKVEKGDGSHHTYSQDEVSSFCDHINYYLRDDKDVADILPMDPETNDMFIK VGDGILLCKIINLAQHRAIDPRAINVKKPLNIFNENINLNLAIQSAKSIGCVVVNIRPDL IKDRREHIILGLVWQIIKIQTTKMVNLKENPFLIRLKKEEEEIGDILKLPPDQLLLRWFN HHLKEAKAQRQVNNFDKDLQDGENYIVLLNQLDKDRCSLDGLGQDPENRAKTIIQNAESI GVPKFMRPVHIVKGNSKLNLLFCAQIFNACPGLTPSQEDYEKTKMLQEDDDPESSMDERV FKMWINSLNIEDGYINNLIEDMRDGINLNRLLERLKPQTINWKNVKIPAKSRIIKVQNAN YSLEQAKTFKITLVNVGGVDFVDGKKKLILGVIWQLFRLDVLKTMGDQKDDQILEAANKK VPEAERLASFKDPKAKTSHFFFRLLNSIEPRAIDWDFVQKGETPEEIESNAKYVISVARR LGATVFLIWEQIRDGKAKMLAVFTASLLHFAEDYKAAKLGHAE >CAK84997 pep:novel supercontig:GCA_000165425.1:CT868529:320851:321375:-1 gene:GSPATT00019179001 transcript:CAK84997 MDEWIVIDIETPEGKFFKSPIYQEEQIHNVKRNLIDNIVQRCAQYGKLDNPYQIARKTGA MQKDIAYEKGQNVYDQEDSFIDDEEIDKENHAMVIPETELDDYHMCICNLKDFQKSIEYK KRIAILKKHTVESKNKSAKKKNKNKEKTEQVIEKQHQEDIALQQNSAIQDKEIS >CAK84998 pep:novel supercontig:GCA_000165425.1:CT868529:322342:323272:1 gene:GSPATT00019180001 transcript:CAK84998 MSLSFSSFGKILEKTKPIAVDEVINLNGHILPTIQKDKNKLQMFSLLRKFEGRQVNESFP FNSVSRRAKPALCCLMPEKSYEAKYTPKFDVVQCKSPSFDFGQRSKSQIRTRSISICSQD YYIDQYTSFRTISKSSKGQAEKKSTSSQTREECPKFQHYLKVQLTKNKRSKTNNCSPDFN KYTDRKCNQREFLQQYDLLGVNYARINKRLDVGYVEFGKFTDKVVMKQDRAETPPSVRLD YDFDKPKQVRNIYLSNNKPTQPKLKPKLLLPLINDSRQRYVKRMQELISWSNQ >CAK84999 pep:novel supercontig:GCA_000165425.1:CT868529:323390:323971:-1 gene:GSPATT00019181001 transcript:CAK84999 MNNRQFKTVFLGSSNVGKSCIVKRIVNNQYDGKTEPTIGSSFLSKTININGEDITFQLWD TAGQEAFRALTKIYYRQSQIAILVYDITNKDSFRSLARWHEDLKENCDGKRMILALCGNK VDLENDVVTYTEAKKFAVSINAELFLVSAKTGQGIEGLIQIKYRGNVRQSWHHGIPNGIR ES >CAK85000 pep:novel supercontig:GCA_000165425.1:CT868529:324000:324569:1 gene:GSPATT00019182001 transcript:CAK85000 MGQSCMNKKKEQNDPMHQSIKPQHRTKKPTWELHYNESRPETQEDLDFDIETRNPKKKEV RFTTPERSRRSFSQGVKYQKELLMKQMFGDMFARKKYSLIRSDRELRQKEYNNRINKTQN VDISFSPGQFSLKQIHVNHSDQTSTLKEDVKSQKSVKSNSQPQSSILKQKSTKAKSLYSG SRRSVRFQF >CAK85001 pep:novel supercontig:GCA_000165425.1:CT868529:325548:325841:1 gene:GSPATT00019183001 transcript:CAK85001 MDQDPEPPKSRLTKFFQKVDNWIGPSRLQYKPACHDLKEMIVECVLESDCMKNNEGDFRF CLTEGINKECKAIRHDWYICRKSQVDWNKHHFKDDPR >CAK85002 pep:novel supercontig:GCA_000165425.1:CT868529:325876:327149:-1 gene:GSPATT00019184001 transcript:CAK85002 MSFPDYIDQLNYDSNSSDLQFEQDEQPSKRQNNSSKNKKQRPNTKNTYINKISSLIKITN QEQQSDEKVGKRQVPSKIQSQLIVGSSNCDKEDKLAKNRESARNSRKRKKIYLELLETKV TKLSEQLEIFKRVNEQTTELATNLQNKIHQVTEYQCQKQDQDQNKITLFQNLQNSVQSNI NEMNIDTIIESLNKKFGSGALERQQQIDHYARQIYENCLSPYLNYVIGVAKTDQDVFSTS EVQSEHGVLRSLKLTDKQKQILQKKQKKLIRFQNELTNTLSSFQDIKNQVQLELGAYGQT LEQLRKELKPSQVAKFLLQIEKKDMQHQFKDQFEKCFGSELDEDDSLDLYQFMAEHNYCN TLGIDIQNTYQIYKQSNDFLKGKIDVEESQQASTKIE >CAK85003 pep:novel supercontig:GCA_000165425.1:CT868529:327200:330046:1 gene:GSPATT00019185001 transcript:CAK85003 MLFTLKNIQQFQSVFTFQQYLLFIGAEFDQNTIFYQTTLICSDNIPLKKFPIIKPSNFLY QKLFEEKKKDENKCIQYSNNENNFQNKKYFLVSQKLILSDEMETFYKIVGEQNIDFILQN FKILIKEDTNKETYIQIWGEPIMKNTIKQKSNNQEKICRQQILYCNHMSRQVGFFKKNFI SQAKKEIIMRNFIPKEQISNEMISMKATSNIFDQCFFEGKFKEQEKQQLRILTQKLITNM QKFNFPQAFKIFVPLPTNYLNLKKEVSQSIKQNLYYIPNLYDEIYKNFLSYDQVISILRY FLRTIIPIDFLGQSNLYTFLKDLSQFITFLRFEDQNYLDYINRLNVFQIPWMNSYFSKKK QKILISKKRQQLLPIFRFLFQLIIIPFLRHNFYITERMKDDWKLFYYRKEIWTLVLKLSL NQLSSNNLKQISPEKITTQYIGKLRIVPKPGTFRPIVTYNRKSRISKLSLNKKLLDIKYV LRNLRSQQLGFSVFGNPQIFNRLEEFKKLWIKYQFPQTYFMSMDIHKCYDSIQLEFLLKF IEESNLIQSAYVINKYYLIIRNNRQSRGSKQMRELFNIFDRTCAIPINNPQALQKGYIEY IQQNKLAIIANLGIQTTVTFSEFLLSIKELCQNNIVQFEDRYFIQTLGIPQGLNISGILC SFYIANIEKNLTRKLIGDTLIMRLTDDYCCLTFDKQNLITIKNNFKEVEKQYSIHLNDDK TQHNIDQKIVSFKWIGKIINLDKLTLKPAFAQEKDKFSNQINVNLPSRINSYFFKAKLKS LMLNQFKFFFNPKINDQPTLIKIAKTFIHAGLIKLINFMEKAKLFKVSKQKSNKIDFLKI IKQVQIEIANYCFQQAQERGSELDQNYILRIIKKQLQKTIKNHKKLKTIINYKNL >CAK85004 pep:novel supercontig:GCA_000165425.1:CT868529:331282:331732:1 gene:GSPATT00019186001 transcript:CAK85004 MIENDLENMKIMLNNDNPMPISTLQYVLLPKCKDEIFTRKNNQNYIKSYLSQGVNPKNIV PTNLEDGIWVGLPNQTQNQFTKSILKDAQKYTEQLNYTINRYSEYTQMNSIIKSCNTSRK GTKRVTFENSETLPEKYYQPKQ >CAK85005 pep:novel supercontig:GCA_000165425.1:CT868529:332184:335540:-1 gene:GSPATT00019187001 transcript:CAK85005 MTSFMWDQSFKLVPDQNIALVFDQALNANDDYLRLLKITEGIKYVTQKLPQATTFSSSKL LFQRKLYFTNLIVMCLYPFQKSNIESLISAFFEYFQDFRFISCFFEATSDISMLQETSTQ SLKQRITMLQRFNKYLSIKIQSKPKDGHDGQQFSQAVSSEIVTIKLFTVNYLKKFFELAN NLQLDFQLYCLMFKHYIQNTTNQKLLEKVMDCFQDLRFTIFDKCSWVNQFSEEIIICNNI WFIALVFKNTPKLFPSYSKYKIVVIEEDSDTEIVSQTQITVTQQQNKRRSYVSSEQDPSL YIQNLCCKQDPDQPLQHNSVFEEIENIDILIQFVLDPTQISIASLWNENFPKLPDIIDNE KNIFKYKSTNNLSKYQVFRSYRALQILTNIENFKNLLGQYSQIFAKTFSFININLYDETK NINLYHLAVMIKFLITLQPKFCIKQLIEQKIFPKLLELSYNPQVNQLLTEMINFNEDIVK LGIYYLEQLWSYLHSFHFLQLLSNYSFDAQLVVDIPTPDQTAYNEIFQVIKALSIFDPQR MQKKLLKQETLDIQEMNAMQSKNDYDNLEVFQNEKQEKLKRAEDDQFKRQSSISINQSNS KVHQVQKRKSNNFYLPKISSNTSCQDCDDNKINSGNIKTSPLMRKRNQNSQHQGKNKMKT EQDTELYSNDGFSSSKLVQIYPTTKSVIFLSDFEKEKSQFNKNSISELHLKMLLHLFDQL IVYAEKQQELQKRQPKTQIFNVDKFAELIITEKNIYSLFKVFLYSILEIPHNPNSMSVEC GLLLHKLYIKVKTIQIFDNYKEMLKNQFQLVIDYLVKCIVQLNSIKQKLDSSSFAFKQYI LVSLMTNGLQLYDRQNYMIKKSNRHHAPYYYHLVFRQLGRIQFIEQTFTKFIYHIFCQEL LCLILSNIIFKLGLVSSLYNAYTKFYQNEIKDTSYVEGIFFYILLINYIIRNAIKVRNLS DLQSALIILDSWQQLEQAQPFENPMALRNADQLINVEQPKQVEKVVPKKNEERNMKLIKT KTQLNQKVNSLMSLQKKISEPNAGLNAQTSRPEQSQRKLI >CAK85006 pep:novel supercontig:GCA_000165425.1:CT868529:335856:339426:-1 gene:GSPATT00019188001 transcript:CAK85006 MNHLLFEEALGEEDEYQRLTKISDAIKLVAGAIMRIKTFNNWQILKYSKNSLANQIVICS YPFQQEVIQLLMNTIFDYFQDIEFLNAFEKTLLEIAQLKEQRVFLSTRSQSLRIGVLQQK QQLLLEISYNNLNIRKEQLKQSAIPFAKAVIEQLTVAKKFAASYIKKYLVLAKTLNQDIK QRVFIIKDFVVNTRDHHFIILLINTFEELKQTELKDQKWVVDFDQDIEHYCAQNNILVPL FIEKQNKPKQYEQNSSDSSSDDGEFHQQNKQHNSNSSSEKQSIVDEEELLQRWIAQKQLI FEQQQIEIVSREENLLALIDFILNPIEQQIDDCWKDQYPMVDITDDGLSNKIRNQKIEFY ATVRSFNTAQMFHHRQNLEKLQQYNPTFLQKFFRQTSESFQNKNRNLNLYHLALTIDSCL SSFPKSSMALILENQLLFAFSSYIYNPLIMGLLIDIHQIQFNKYDFGPAFTEQIWKYQLF TDWFMWLQNILFEKENDQKLLDQQYKGDNLIFIIRCLKELSKKEQKIAKSKTSEDNKIKL TSLFGSLQAGKSLMVQNAKDYDNWKVNSKVKEHLTLEEFKSQDYDNLMDFIKQRDQIYKQ QLEQKIQDELQIQIDNIQLKRTSRVRMSQVNSSLCKSPMSNSKLIFQSTSPNQSQTNSQP NSSRSIKLPSIYGNSQYNESKFSNYGSQGEISLDLKSRNISIFGQSPKNSKLGLASQRRL KTENDDAAMNSIQSNDGFSSSRLITIYPSKQAQIKFEQSKIVQRQDQSVVENCLSFFSEI LKTILDYFQQPNKTNLQQLNEQILIQQILSMNFLNSIFEIYLSSIIDQNVSQQKIGESCG QLINLIYHKSHHIELFQLLREQLRTIFLANVDNLTRAIVALNKQMRLTSKFVNKCQLQLL LQTYFFGFNLFSIHTQLISTSNIYKHLNETVMHIFIIWYFDSQQNNCYQYFFTKFLTILF AKAPFQSLSNILFNIGLINSIYNALQQFWVNGMKSSCFEAGVYFYMRMIVYVINKALNHR NIQILKEYLQPLESWKGVCKLIPEEDSNYMIELQELLKTGQTPIRRIQLKRTKLEKLKSQ LTVDCFDSKLREIKQFEQAQQEKQKLQSTPKIPQQGKKLPPRLSQRSFRNRTSLKNDN >CAK85007 pep:novel supercontig:GCA_000165425.1:CT868529:339817:340597:1 gene:GSPATT00019189001 transcript:CAK85007 MQISKAIIYGTIATWLGRRSDEKKTHSWICYVRGAHNEDLSYFIDKVVFVLHSSFENTNR VVSQHPFVIAETGWGQFDIIIKIYLKGDYDQPLVTVHPLKLYQNQTQNIPLTRKPVVSEQ YDEIVFINPKPELLEILNAKPTQENNQVEEEVQVDQKEPDFEQMTPAQILKYNQPHFTIF DINESKVTIEKAIQIVSQDILADYKKKNHQLDTEIQELQIEYENLQNKVTQTQQ >CAK85008 pep:novel supercontig:GCA_000165425.1:CT868529:340790:342059:-1 gene:GSPATT00019190001 transcript:CAK85008 MDKQIINSKQSAVTTKIQIPHRTTAEQIYIKRLKETEAFIPKEEFIQKQEKINKAMESQS KIPLKYYSHINEIRSVKEFLYISEKLKQKNIERKMNERLELRGKLNKIKEIEVLKKLQYY IEKKIRLFIKDRQHEENPQMKTFEAFRIAHKDDKRIMQFPPEFQQEIFQKLDNQPNIIQP NPQKQKMTSTNSDQQLQKKNSQFDLFSSEFSESINQNTNDEGSSKFLTLKVANKLLGMMI VESSKGKRQPIIWAQDKRKELEGILNKPYGGKMNFNVHSFNWLIDNALSRSQSLMSINKR SANRVVSMDERLEYYSPANNHKEIQSKINQGIQQITKTFYSRPRSTIINKQRKELKNRKQ VTTEYNITERIKENITSQALRNSNIQSQQKTESIFFQNDSYVNHYI >CAK85009 pep:novel supercontig:GCA_000165425.1:CT868529:342060:343540:-1 gene:GSPATT00019191001 transcript:CAK85009 MKIKGGFSSIKNVIAREILDSRGNPTVEADVITSKGVFRSAVPSGASTGIYEALELRDGD KSRYLGKGVAKAVANVNEIIRPALVGKNVTEQTKLDKSIVEQLDGSKNKYGWSKSKLGAN AILAVSLSLARAGAAEQNVPLYQYLAQLAGKRTDKFVTPVPSLNVINGGKHAGNKLAMQE FMILPTGAKSFKDAIQIGCEVYHSLKSVIKSKYGLDATNVGDEGGFAPSIQDPNEALQLL EDAIKKAGHTGKVDIGMDVAASEFFEKNTYDLDFKNAKNDGSKKLTAQQLTELYLKFVSS HPIVSIEDPFDQDDWEGYTQFTAKIGQKVQIVGDDLLVTNPIRVQEAINRKACNALLLKV NQIGSLTESIEASNLSQKNGFGVMVSHRSGETEDNFIADLVVGLGTGQIKTGAPCRSERT AKYNQILRIEEELGSKAVYAGKNFRNPQL >CAK85010 pep:novel supercontig:GCA_000165425.1:CT868529:344064:344742:1 gene:GSPATT00019192001 transcript:CAK85010 MNQCFNKLLGSLDHMYEALFQKIIEIFARRGIIRPLIRRPTVPVIELQTIDPSLKQPLVL HSCTSTPVFAKCESIYRRAESEKDFRKCFIPNLGDTINKVDSYSRDASLLNLFDDQQEQH QNLNQQDNHQIGHRLQTIIEENKIQNNSHEGYQSQNQSQQFIRQQQQPKDSNPQEQDQTE QFFSIIENPMKITQTEQFYSIIDRSSFRVSFNKQQSKK >CAK85011 pep:novel supercontig:GCA_000165425.1:CT868529:344817:353829:-1 gene:GSPATT00019193001 transcript:CAK85011 MQQQYFSLMSYSNLSPSSQSSDSNSQRNKKNIVNFDVIHDPVLEKNNGNIQKNGRASLKN QKMQNMVKTVNKYLATYEIYLKLLLGRQIKSKDEQRMNYKFGRFIGQIDENILPEAVLKF PNPDCSQCEQLEIMPPIDAILFIEKVLKLDMKKIDNQIFMKAIEGVFTKLSPYLRRSKSR FGFKQNSNLKSETILEQIPLDQENIQTPFESIHHRQWYRSSSYKKMDPLSILLLQMQNKL MGTEAVNLQQYKQTDTFDIDYSAIKEMKPKIMQGGGYFINVNGKWHRSHEDTNDVLTLIR QIMLIQIMMGGFSVKSCITDDGKYILCRLYAHEENLKSLAERQKLKKKLNFWFTDLFSLE PVDNCFRPLRLNNRIWKPIDYDDVTEMFLYLRPKIIKLLDEINFKRVSRETNQSRINGQL FQYGKMDLQDDEEQPTDEQWFAYYQYLVHLEKEISKHRKHFKIDSDVAAIINKQLKPYDL YVIRNQRKEEIKKLNKNNIKEEVNRYHQQEIKKIQQRVFSVIQEYQDFLTEDQVPNSKLI KLFKKERLASNYQSIFEEALKVANSQKKLLFTIWDLIDVQKLEPYVVYSKPSMLISPIMK FQHIMCWCKYQINEFKKISLFSTSERLKLTDQAINNMFQLNDLINQKVVSQFFCVNDHYE LFGHLSSNQNDLILEDDFYRKKLYHLEFEWAFNIQKPWSAPIVLICDYYGQKIGLYFYYQ TYYTKMLLKIAFIALGCNLVQWVIQDDKSDLTYLLRIIFAFVQIQWTNLFVVIWQKQQMI FNLQFGQSGKDEIQVQRSNFIGKHRRSVENDQMNNVGINELELYSRMVLATLILMLLILL YCGIVICLYILTIALKLKMQEDKTIRDATFEVMVTATINIVIIQFLDRVYNIIATRLTDF ENQKTVKDYEESFVTKKYILYFISYVGPLVIIAFLNEPFNLYCKATNCQEHIQYHFATMI IWVFFFKTVKIFQLIINVKKIPIFKYKFEEVSISKYVQEQSNRRSYAISQERYGTLEDYM EIFLQNTLLSIFGYSFPFSFFLLWVQNIAQMQADKAKFVYFLQRPWPQNNSSLGVWNKIL ELINYVCILTNTGQMTMEYNRKYGYEILMVYLMFLIFNFFMNFIMNGTFGQIPYELGLFI NRQKYLIKNTIEQFSKNQSSGQQSKQDVLKRFPIFKIFSSVYDQQCGDFETVSSEDDLES HYDLNQMKFLCKTDFKKEQTTKKQVVNDKSKKPVVTQEKQMLKQKMNELKQRDMLKEQVM SDQVMLNYFIKRKFNWAFEIKSWKRHFPKKFLKSVHFLYQKQLLNQHRITWCELRVSQRC LYIKRKTSVLRQLELRKLIVFKRNEKKVIEQYKEKAKSKFRKQFKMLDPKSKRLEEIQEL EALQKKFDTYVNKHVWLDSTKVVIIKMKGIWFSQYRKSNVKTRSLSMVKDFFFKTKLIDK LKGDSGLQDQIESALGDPHKLEQISLDSFIKAFWSLGMTSKDQFEVPLCSRELNMMDYYK NKKKSEVYIHIVDRFRDTYVFDYQYQKLQEIMMQYLEEEMFPIESIKLQRQLSETVWICT DKKNKQCLIQFLQIRHEQQLKMNVDCNINSGVFYVKAYPQIRLSNIVDQIDDFYIKGYCI FKYEILSQYTLKSLYSLEYSLTDLHEFLHANLILIKQQSHGNLNINNYYLYGRSYVLLQS NKEENDLINLAQVVIEMILLHPVENAVKGYQELYTLHSLRPILMIMIYESPTIDEIIEKL ISKHSFMEYNINQDDRHKSIKQEDTILGQLALATHQINLNFRMKKYEETLSEIIIIEKFL MQKKFEENQEFLDSFLTYLIVNQNRIISKQSEIKLLQNILLIIYIKLATLLQMRKNFQFE MEQLYHSLTRSIDNIDDLIKQLSLNVKLEQLSTTEQKILLRRKQTRSKSQIDLQAADRMI LINKIRKHPNYIKVICQLKKELQRYALQLQALHSIYLYFNKNFLYSAQLLENIQKIQTEI IHQDHKIQLVVEEPQDLSPSQFNFDQQSPTLDATLKQYQENAFELQKEFYESPNYCSQLL FYKYLQLLVWYDGGKETFQNDCIDFIQHQIQSPVYDYFCSQLKVMQKSELPMEYMESNKT CQIGKFIVVKMNKWIEQTYLTPSNYEPSNDFQFNWKQVLTYSTIEQSLENRLFLSKIVGQ QKLEQAYLLYEIRAIHQILHLDNYRPLDNSINLQNLDISNLNHEFLKTSLIVRLKTLHNE ISIESNSWFHSTGLLQFRVFYFQSLCFTFSRKHFENMCCESSNLLYLAEIALQQISYDHQ NDVHRLKAFQILQRPYSLFELKSNVRPNQINQIVSAFFFVSQNYSMQEKEQYFKNILDLY GEQVKPSLVVLALIHFYISKGQKEIVQLVVKTAVKLIHLDAQLFQNAHLSFVDDLHISLL ECNQDVVEYLYPYDCLFKRTIDLRSFSLNQQILNLKYLIYNQFFHQDADFNIDILEDHLK IFATYPHLIKLKNIYQLYISVQKSIKGEAPFEEIDIFLKMQFENVTVYSSIIKSLLARYH TNLKDYEEAKPLLEELISFLYTIQMKSNFMLYVDKANYKIYNNMPIFKMLFDVQQLEILT EDSDNLYYHLIDEQFIQETIINYLEVLANIQNDKIILPSIQTILSFFFQVRNFQTQQNLF KVLSQIFLCYSSPIGVELVQEQIGNSYELQIQTYVQQRNQLKERLNHLFLIKQLSIEESN RINSQINLYNFAIGIYEGISKGITNKTLLTWSISASEKALIGFRIVSKSFQRQTHYQIPD IHLILTDCFIQLEQLSTIIFHLEAAEDELMNWFKQNKHPLKAHFLMKQGALRPWIQEQYV LYISWMNELPRLNRLNIFTVIRGLLLQNRKLLQIFEQNNQDLINLEINLLLYLKGLDESQ QVYVDIFQLCQSNDPEILLKQVTTLSAFDFNGVNILMDAQAIFQTFNQNNCFTQKLQIMI DQQISQQNI >CAK85012 pep:novel supercontig:GCA_000165425.1:CT868529:354605:355036:-1 gene:GSPATT00019194001 transcript:CAK85012 MQLFSQSIEVFGHAILTLLTNVIPTTFQKIIWTICTSHLCSCQIPPRIVGSTIYKVPFIP IKGALQTLNILETKWALIIGTINQSRLIKGAIIKMPLCSSELYLEENQHINYLLCMEKKM TIGNKTIKFNYL >CAK85013 pep:novel supercontig:GCA_000165425.1:CT868529:355391:356814:1 gene:GSPATT00019195001 transcript:CAK85013 MFAMRYVGMVQYQKKNKCDDDDDLPDDGCYNCKYSCYPACPLCILGECVDDCSACKEAQF QKICGDGILVKPDEECDQFSNEEDFQFQNCKKICNQHCYICDDNKKCIQCKINYELFDGK CYANQLNNDHSLINNCIYYEDSQCKQCSIDYFYHPFENKCVPVCCDGIILDGKLCDDGNK INGDGCDSKCKPSIDSQCVNKQGISFPRPIPLLKLIKEIGNSQIVYLTMIHRQNYQTIIQ FKFLQTPSNFKQIMELQINSFLKLVSQIKNLINTFKWKLTLLSIIYQDYDSIAEKIEFNN EQWHNLLIILLFIIPEAFKQQNTYLIWILMSIKNVFELYLLVICFKSPILQIQYCALNEF MYFYYLSLQKKQLHKIELFKKQFTHFNLFVINFMYLKNELLKNDPFKIILIGWVIIALMI SIISITLLVDICKILYPLVQNLLDQNLIKKLNVNIQLILQQISFNS >CAK85014 pep:novel supercontig:GCA_000165425.1:CT868529:357261:358556:-1 gene:GSPATT00019196001 transcript:CAK85014 MNQLQISTQEYSKYKDTITQFLKDNNLYDCLAHHNQLVVMDQTFTCWEVFYIFVEENLEE TLFWNSDISNYDGVFTHSDLIKVLLKLYENAFNNKSSLQQNGPLFNVIEEESEDQEEIIQ KKQYQKQQPSELNDDQKLRLIYEMKTISIKQWNRIVKDDLESSGFVQGRNDERLYDACVK IVKSGNSRLFVIDPETLMFQGVIHQKDILSFLIKGFTQYLGAVLKQQNNILSQHQLSIKA FFSNHYLSKEIITCKENETVYQVFYTMMHNNKSFIIPIVNSNNQYIGQINRRDIVLIIKN GLFELFSQTASQLLVFLQNEKAHIPAYIYYSKQFFSMDQTIKEVVENLVLSDSSALICLA ENKEVQAIITISDILKFILKDAH >CAK85015 pep:novel supercontig:GCA_000165425.1:CT868529:358600:359148:-1 gene:GSPATT00019197001 transcript:CAK85015 MIKALQNNYKLNKQVNQDQLQECIIDLNKKCLKQVCEIDSNYVILTLHPPQLILKQLKKT IFRQNFTLLEFLHLCYMLQKVQLETFLIMIKLQKQDGKYRFVYDFNDFPLSNELSQACLR NGLQAKINKSIKHDLHYFKLEYVPFKIVIYDPLEMKESEMPLDFNVLEQIIIHKLNVEFK IY >CAK85016 pep:novel supercontig:GCA_000165425.1:CT868529:359154:359877:-1 gene:GSPATT00019198001 transcript:CAK85016 METSQVYQEQKFGQRSPQKHQIEKQINQISFQEEEIQKLVEIIPKTQICYEKVVLTDSYA VILTFHSFTIKFGNFIRNLNFEETTQLMHLESIDIITKYICENLQLNQNENININIGQHQ DQFQKFSIPSTPITVSLEDLGIIEIQYPRLQAYFQDSGQCENIYLTNTDVLHLIGNKFST IQQYDQFWKIENLHQIILQFEDQRNRD >CAK85017 pep:novel supercontig:GCA_000165425.1:CT868529:359901:361561:-1 gene:GSPATT00019199001 transcript:CAK85017 MGSGASVEQEQQNFNLPAQNNIFIDEGVGIEQSQLSRKWTNKMTMFKNLETKNFMESQFQ NKSPRQTVKLEQKPSVVYSDDSDQISKSSSPSVKSSKMHFSHQKKKNSFHTDSEEESDIE EKQLYNDRRQTKFQNFQSDDSFEERDENTKKIKQLIQQAEDNVTSRKSSVNSKVDQQFLA NFEINDVADTINLKQQSFPHQYPLSQLYIRTQISDQKLLPGKDKLVKLSCRSNLIDSESE DQESEIQTDYSPEQLLNQIGIAVISKKGNKNETAPLAQDYLIYNDNYQKILILSNGHGEF GEKISNLTYRMVFHYLIRTPTFYTNPMRGLEIIFKKLQNKLKMYIEKKKLDDTHNILLSG CVITVIIQRDSKLYCAQIGDNRAYIYKEQVNQTKESNIMAQTLPIHTPSDSSEKARIFNS GGEVRKIPQGEEYVFVRGRLYPQLHVTRSLGDVIAHVIGVSSQPFFREYDITIKDTFLIL STASVYAYQEENDIMSQLKGFSLHEIKSACDSLYKQCKNSWILNEGMFEDMTIILLWLVQ QKEPK >CAK85018 pep:novel supercontig:GCA_000165425.1:CT868529:362355:364469:1 gene:GSPATT00019200001 transcript:CAK85018 MQNFEDETFVRQLISDITRPIADKLEKIQKEFENIRLSIHKHSRMIDIMSDKSDQLSFDI KLTNDTIENLRKNIKEDQQKVFEIENHFNLDFNNTRLEQGVITQEQDNLKKEIKILGDVQ QSLTERVEIYNNYLSQKLYQQEFTISNVDTSNSKVIKEITNLNLKNQEELQAQKIQTQDI LAKMQKNSQDLNKTLCSQQWMQDMIVELRQKSQFYLTIDQVDSQQKQQTPQNNTPPVITQ SCQISNQVDQQDFSEIIAEKLNKLKNQINTEMQQQKEQNSFQIEELKKALNTFKSDFVRR LQLIQSNLKDTNDKLLISVGEVQEQKLSLKQQISQINELLQRQFSKISQDIQTIQTNITG LDLRMAETHSTVIHVQDKQKEAFVNQTPLEYFNNQNDIISLLPIKQESQFYDSFQFNNTV TQKFIKTDQTLQFQPQQLNSVKKQREIQFVEPEQTQTVTENLENQNNLITPQRKVQNEII KLKSDFFNEQQYNQNQMNKLLKQKTITEQALQDVDKQLKQFHSYFGVIFSLILHNELTGT DYQAKIVGNGFKFEFLPFQQDEKTMMLYQNNKISKVDLLMKTIICTNSMIAKQKQNGLQF QQEKQKQNTEIIRELQTMYINKKNRLIDDKYQTERLNLSLDTPAYQQTPKQKVTKVLVKY KKLLD >CAK85019 pep:novel supercontig:GCA_000165425.1:CT868529:364498:366012:1 gene:GSPATT00019201001 transcript:CAK85019 MENQLIALVGDPAVGKTSIVKYLKGLEFEEDYSPTEQIESTDVTIQDNETITIYDTPGNT AERKQVIEILKSVQIVFVCFDVENIDSFDSTLQWLDDIKKQINNSMQVCLVACKIDSDNR QISQEQAFEFINDYPNVEYLEISVKTGENMDMLIDKIPVTTPQGEGDLQQSYRTQQSNKQ SEKPPHSPERQSQTYKSQTSLLNKEEPLWKVYDFLFPTGRVAKLVKISDVNAKNLSIQDI LFKLQLNQSYPVVNLIGAKETNKGKFYAGIARACFNTDAVIVDSGISTGIEKYAIRRGVK LIGVAPEQEVKYPNQNTGFIDPFEISNGHTHIFLLNNKEKMMVFGLEGVFKINLCKKLAE GRLAKNGQRESLKVVHILLGEQEGFLNELQQAVMANHPIIVIKGSPLCDQYFQNYNNPHA NYSNASFGQLVRKGHFYGLNSLDSEDIAQYVHFFLTVTPYN >CAK85020 pep:novel supercontig:GCA_000165425.1:CT868529:366519:367627:-1 gene:GSPATT00019202001 transcript:CAK85020 MQEKHKNISLRIKNIENKNQAITIDDDEPNIQKQIKQNEYQFDGEITPWLSQNTASIKHP TIRFHNEIIDFYDYIIPTSEEHRRREQAIMRVETFIKEFASEVDIQAFGSFKTKLYLPNA DIDVVMIDKSMSAKELYKKVAQSLMKSDKFENVNLIANAKVPIIKFFEVESQYQFDISFN QMDGLKQIDEIRKAFTIYPEFKYLIMILKCMLKQRELNETYSGGIGSFLLFQMILAFLRE IRKEAFANKKQEQLKNITLGEYILRFLEFYGQKFDYQRKRILMINGGSITNKPTPDDKFS LISPQDPDHDIGSGSFKIKEIFKIFANRFNFMSNYNFKPEESVLKYLINPSDQKFTFIKQ I >CAK85021 pep:novel supercontig:GCA_000165425.1:CT868529:367931:368456:-1 gene:GSPATT00019203001 transcript:CAK85021 MSKRGRGGQVGIKLRITLACNVGAVLNCADNSGAKNIYVISTFGIKGHLSRLPSASIGDM VLCSVKQGKPALRKKVMQAVVVRQRKPYRRREGYYIYFEDNAGVIINPKGEMKGSAITGP VGKEAADLWPKIASAAGSVL >CAK85022 pep:novel supercontig:GCA_000165425.1:CT868529:368651:370565:-1 gene:GSPATT00019204001 transcript:CAK85022 MRIALLLIVIVLTRGFLEINEKQQKTLQHLLMQEIHDGGNFQAADPKAIANIDFIFSGYN LFFGNPITWRETSDPGFTGRNIFLNSYSKKQVTSDGRHKIPDNLNVITKKGCKYNFESFV IKNTEQIQNYMSSFVGVNLVSNIDITPWAFTASSEFNHMQQKIEQTSATFVISMATCQIA QITQVPELAEFHQSFIDQLSALPVEYSAPQYLEFLSNFGTHYATDILLGSKVGFVYTLPP GVVDDFDQKKFKEIDLKQAATITSALLKGVIGQQILPKEQEAKAYSDVSKLSTQSFTIEI GPQSTENTPKDWLRETELEPTPIKYTLKSLSELVLEGKGQLSSVKEYQKIGQNLKKALTD YCNLLQLQGQRNGKCETNKREIGQWVEQRKVCLNFLKDCDWSGASTQICGNKNPIQKIGM DLPDVQANSVKFHSDKTVILGVSKFKRDKNQDIVIASFHNDYLFLDKPEICLINQGMSAS AEYPIYLTDTAKCVVVLLWNACEGEVFYFTSVICNQMDFMNAQFELLGSMGKCEIALLDI RYPNSAQLRICLFKEPKLEGQKACFTNENKDAYFGQEFKSMMIEENEVMIHRSMPGSIAI QFG >CAK85023 pep:novel supercontig:GCA_000165425.1:CT868529:370600:371283:1 gene:GSPATT00019205001 transcript:CAK85023 MDLMDNQFQQKFQQLLKLKEIYSTRNVLPQRLQRLKLVDINFQIKQIQYKQQNKLNQIIN SSIVSQNIPKKQSTERSLSNYTNHLNIAGQQNICVSCEKYIFEKKVTLNCQNKFNHNYHS TCLANIMKQQLANQCIKFQCLCKSQINNGQISRQKILELDVYINRLMLNQLYYLKTHYQN IKQCANKDCEFFWIYKQQQKQIKSRSNSPLKTYKITYVNYCPDCRFL >CAK85024 pep:novel supercontig:GCA_000165425.1:CT868529:371852:373905:-1 gene:GSPATT00019206001 transcript:CAK85024 MSSEKGEGTDPKLRNGPVVDRECTDIFCLLLFIVFTFGMFFISGYAFKNGDPHRIAQPYD PDHRACGVDEDVADYPYIYFANPTNPKYLYVTTCVSECPQEESEPAPSARHTKISHLEEA KTNSKLPSELKCQPNSIVKSCKQNMLSTNPEEVVVIYNTELFMKTVCLPTTKQYYETVKD KIDVETMEKVSNDMITTKWLIFGSIGISLVLGFIFLFLIETLAGCVIWTLLLLLFSVLIA GGIYTTGYYYALTDPSKLPASAQAEIDAQTLQEQAQAENVNPKNYLYIGITLFSLAGLIL LVVCCMYSRIRLAIAILETACDYVQANFTVVVLPFVTFFIMLLYFIYWFVVALYLYSSGE TTSKPKQLPFGQFSFTQNQKIFANIHLFGLLWNSSFIIASVEFIIAGSVCIWYFQQGPRA QEGGPIPLPTAIGRFFRYHLGTVAFGSLILAIIEFIRIWLAFLYKQQEELIKKNKFFEYL FKCLMCCMWCFEKCVQYINKNAYVVSNMTGKGFFHSAKEAIFLIARNPLRFATVGGFGEI FIALGRGFIALLTGLFCYIVITRTEKFKYNVTYPEAPSLLCGAIGLVVGSLFMSIYGVAC DAILIVFVMDEEMEKQNGKGVALCCPPKLEKFLEDQ >CAK85025 pep:novel supercontig:GCA_000165425.1:CT868529:375118:375756:1 gene:GSPATT00019207001 transcript:CAK85025 MLDSVLTRHFKFNDHKYDSRKETMYITKRRNSHNNSFDLQSSRDQSYGYFNQQLNYCAVD YYDVPIPKYTQKGFSSPVKKRKSNSYHFHSQPKQNCQILKFEGYISRESPFRNWIGTMNK SQASKISQIQRIHNQVRIIEKNQKSESYQLQEYLNAVNHRIQWNSLPKVFNYQRCQTPDI LKGARERIKKF >CAK85026 pep:novel supercontig:GCA_000165425.1:CT868529:375930:376585:1 gene:GSPATT00019208001 transcript:CAK85026 MQKIFKIKEPQVNPQRANEFQILFLRIQQEIDQNNVLYNLSPLMENEQVNYQFWRFEFRG QGNFEGKCISGVLKLQSDHPVSAPEFYFDPITTEQRNEILQHLNIYGDHTLCIPLFTYWK KTTSEYEILQAIEHIFHNPNFQEGAAPANPGFEHETEEKKNQIQRRQAKYLPDFQKEQ >CAK85027 pep:novel supercontig:GCA_000165425.1:CT868529:377204:379001:-1 gene:GSPATT00019209001 transcript:CAK85027 MKHKVSQMIDIYKEIVYNLNLEPQNEINMQIIIRFILLDNSLQMRKIIALFFKFFFHPQK MKQRILKMKQFHQEKKYKRLLSFQYKYKYYSQSNQVLNCNLAHIRGSQIVKGDLQHLSNF LQILHELSKLFKDRALNSVKILHPLSKIHKNQLRCLEYFYNSQDEKQTYQEEDNDHLQKS SIQSNQFQINKNAKQRNNQSCEQQSKKKDDRKSSQLKNQQGSNQQRQKRPIRSHSLKKPT DQDPKFQKKLPYEPRSQPKKQQSFTKQKEKYSENTKSSQDSQYSLDFETQNKDEVDYEFL QQFDEKDIKQLDDNDPIKIKYVLEKQKEEILKVLQEKILHEENHQNFPASDEQIDIQMKN IKESFKKMKPKPSTENMENINEQKNQYRNFLKDQLKNFQQIKQIQNVQKQDVNSRTSTKI KQNDLIKDQFENVHLFMQHKLRDEKLNYLKKIHRIVFELEKRQIIDEKYDHRQARRQQNI LTRNILDSVESSYDDQIVFLKQKIQKQRNDRLYEGISEKSILSKLEKELKEEKIKDRQNQ KEVWKYEKEKFEQLMKDDGELEKKILQIYKRY >CAK85028 pep:novel supercontig:GCA_000165425.1:CT868529:379285:379720:-1 gene:GSPATT00019210001 transcript:CAK85028 MQKVEAPRIKPSPIADAKLQTKILDLLRQALNYKQLKKGANEVLKNLDKGICELVILAAD CDPIEIVANIPIKCEEKNVSYCFVSTQASLGRACGISRPVVAASIVQSEGSQLRTQIIEM KDLIDQLFI >CAK85029 pep:novel supercontig:GCA_000165425.1:CT868529:379746:382037:-1 gene:GSPATT00019211001 transcript:CAK85029 MSEMNCTKLAIFNENPLKKQHLNQKNKDLEVILSSPQLNKKQIVFCKRIEQLDLSNQGLS EINKEKLNNIWIIKLDLSHNCIRQYGDEFKILQNLRILDISNNYLKILPFNFNKFHPQLQ NLDISNNYLSILPQMPDELIQLNIEYNQVHLFPQLLKHSIQILLLTGNPIYELKYYSQLK EFSIDWVIYLGWNDRINGQQLEQFQNWLQKEHQATFQNMIKHFLGDYFNYQNTDENGNTI IHQAALKQHLGVILGCSNVVDKNSQNYQKQTPIQVALFSDKFQSVKCLLSLNVNLNQVKI TLFQQNLVIISLIKQQINLMQGFLQHGTDPNEMDKDGNTGLHYLMNKWPSFQFPEKYAAL LLYYGQSLQKIISACPLMLNAQGFSSFHIGVKRGFISAVQFALQYKGQLDIYDRCSHPFE ITQVTGIDNLSVFDICMQQNQIQMCFLLLEYSQFYISCKPKSYGCLWKLILKNNKDILSE YFQKSRNKLYQYRKQIYKPENLYTCCFNLTKQLNASFMKINQPNYFTMSKSPNYYFKTQD APLLKEGDNDHIEYSDSSIDSHEETKGLLPVNWSRYDCNNEMNAPKLFIESNTVVTEKET HKGNSKIRQMAAAYYNEYILNDNLSKKEEILIILLKLNFQINTVNQMSDYSIQEILIQIV QDYFQKCEIISDQQSSIQQESLFFKDLESIDQGASTCQIILMPSSINYLKQRFDQWTLEY LLRFTSKFSEHSKLSEIHQFELFQL >CAK85030 pep:novel supercontig:GCA_000165425.1:CT868529:382535:383316:-1 gene:GSPATT00019212001 transcript:CAK85030 MDPNFPKNNPKIKIISTIQQPPSQNSNERQNVNSNIKVKQEPQVNPQRANEFENLFQRVQ QDIEQDDVLYNLSPQMENEQVNYQFWRFEFSGQGNFEGKCISGVLKLQSDHPVSPPVFYF DSIFIEQKYEVLQHLNIYGDHTLCIPLFSYWKKTTSEYEILQAIEHIFHNPNFQEGDAPA NPNFASQTEEQKNQIQRRQAKYLPDFQKAQ >CAK85031 pep:novel supercontig:GCA_000165425.1:CT868529:383524:384160:-1 gene:GSPATT00019213001 transcript:CAK85031 MLDSVLTRHFKFNDHKYDSRKETMYITKRRNSHNNSFDLQSSRDQSYGYFNQQLNYCAVD YYDVPIPKYTQKRFSSPVKKRKQSPKRSNSYHFHSQPKQNCQILKFEGYISRESPFRNWI GTMNKSQASKISQIQRIHVIYINVIQNCVRIIEKDQKSESYQLQEYLNAVNHRIQWNSLP KVFNYQKCQTPDILRGARERIKKF >CAK85032 pep:novel supercontig:GCA_000165425.1:CT868529:384803:386394:-1 gene:GSPATT00019214001 transcript:CAK85032 MKKRTLQELSEEQEEINSSYINVNSLQKQLYLENQKRLEDVKQEKQEQQKNEGDEVKQVD EKIKLCFPVGKFCLNQLSQYPKEEKQSHILSFINIIQENAYSDVQKEKPKITLEAALMTC YGFEDHLLKPLVLSGVKLFIINDNDNNDKKLEIIEEFNGHPNWTVIKPSKLSSITFGGSF HPKIWILKFPKFIRIVIGSQNLHVGDWTVWSQAMWIQDFQIGNSELDEVSKEFKVGLKEF LDNILPSSHKFEDLLKIKYNDYDFQNINIRLITSIPGRFTGNQMNKYGMMRIQSVINSEL KSSDFEIPKQVSIAYQTTSIGQLDVNYVDFVQQQQNNKSIAQMLFNQQEEKSILKLIYPT SDYIQNQTSAGPEYANPLFLRKQQYDNPKFPKNIFHRYQGSNYYYWHAGNIPHLKVMIIT GIDEKIDDKTSIYIGSHNLSQAAWGRLEKNATQLFISNTELGVLYPPKKDSAKLKQSIIE QLSFKFPPDKYEKTDQPWISEVYYEQQI >CAK85033 pep:novel supercontig:GCA_000165425.1:CT868529:386429:387129:-1 gene:GSPATT00019215001 transcript:CAK85033 MGDIVPNQLFVAGYSRSKVSGDKDIKEIFKKYGTIKDVAYKGSYSFVTFSNEQEAQDALK ATNGQTYNGQKLKVDVVDNKKGRKTGPNDEDKCFKCSKGGHWARNCPNGRSPRRSRRRSN SRSKRRHRRSVSRSYSSNSSSRSRRRRYQNRRKRHSRSPRRDARQKKRSISPRRSPSDSG SSKRKNSIS >CAK85034 pep:novel supercontig:GCA_000165425.1:CT868529:387861:388413:1 gene:GSPATT00019216001 transcript:CAK85034 MSEIEEINLEQTTSKNRQQRQQPQQGKTRKPIRKFKRQNNSFQFKGRDRERDAPRQKEWK QQQQNSGNAPELYEIDIYNFLAKNQISDLISDIKTRCGELVKLHIVANNIQENEAETRVI LKAFFKDKKSADECYFQYSDATLDKLLLRTERKY >CAK85035 pep:novel supercontig:GCA_000165425.1:CT868529:388624:389766:1 gene:GSPATT00019217001 transcript:CAK85035 MGNICFKEEFVQNDFVKLKFDEDDLQATSIQIEGREAKQKVKLSDFKKLKPLGQGAYGKV MLVEYYKNGIQKLYAMKILEKKNIKKESQIRHVFDERKILEKTDSNFVVKLRYAFQNHAR LYFIVDYMAGGDFYYHIKSQPSVPDHFIKFYSAEIIFGLQHLHSLNVIYRDLKPENILVS ESRHIKLSDFGLSKILENDNEKAKTCCGTIDYLAPEVLVNDGYTFTCDFYSLGCLIYEMY FGKPPFYSKDKKQMMENRIIRLVPFLELCSKEARDLLTKLLEVDPKKRLGRKGAQQILDH AFFKELDIKKMKDLQIEPPITLIQSNKVDQKIFKEKIPKTQSKKENLKIFEGFTYFQKES QDN >CAK85036 pep:novel supercontig:GCA_000165425.1:CT868529:389813:392076:1 gene:GSPATT00019218001 transcript:CAK85036 MLPKQNASSYIMVLPSSNQSYISTEQPFVQKSTVLPESRSKMIIPYNMTPLVKLFEPIKT KFIPRDAVNQSIQYFNEPAEYSQAEIDLLDQSLDKSIQQHHQENYDPLQPVQMPQQPDII SYPQLFVEPPILIPYFMDYINWDLNKMKSIVRTEEDETTRYMNFYRYFKFITTKIQHKYY TAKGLIKLLIEKYQIVQRLNELQMDLKYHLDNQVGDLAHQSLEQLKKHEWVQQELDFEKL RQKIYQIRRESFEILMLTEHVEDCVLQETSFTDQLNQLRAELDHSYDLFEEQILIYIFHD VAGVEVCMNYLIDDIMNEEIEELKFFNSIPNFIKDLDNFGVELRHLVKHLRLIIKSLTDK TNQNLIDLNKAHRSLEIEVKKIIELFNEIPAMVTKMQLSINQARIILQKIKLPHNNYRAE NQEIYDEYLKLQEENTKLEQLIQRRINKAAIISQVCEEQKNILQFEITQLKEDNKNVIEL YQLLQGIQEKEFQLSKIQSQRTEIWYQMKQVKSEEIQQLNHIELKQLEQKCINIIEQITS YFGQNRMPHQFIRLKLWAESELMALKIKFDVPKVNLNALDSSLKQRIEKLVKWEYSEEQN EEQNVSQYIQYFHNLNQDISVQQPDIELELDNQFELLQDDEELLQLNETYTIWKNMQTAA IYLNDDYLQGLLSSHDQDRLVKFRKNVSHLFIFFKLMKQDQYVGYVDEVEQLADLLIQGR KLDAKSFIKSSRFIPKNYRENLLSAF >CAK85037 pep:novel supercontig:GCA_000165425.1:CT868529:392116:397302:1 gene:GSPATT00019219001 transcript:CAK85037 MNFRQDQIDYKDLVRRREEKRQVVGKKKNQFATSIKLIYNLDSLSNNEEVYKQLYRFDPE RELQEYSLAKNEEQPIQQAGPIEQSQEEPIEEEEQAQPDNVTYTGMVKGLFKEKVQVSKI QKANYIDATVFHQYQKLIQTGEDAIKFFAKYGNTTPIKFINCVSKAGFIELQCEAYNKKE KLRRQSNTDSAELELPMEFNKSKEPFRPYDLIVVKTRENQKEQTLREYYTISAHGIVHVY TDKGKKRMQGDSSTEVISLSDWMHESTMFNIITNIQFFKNYAITKIFNIWKSNVRYNLFS KTRKRLIHESFVAKPAFAQHLMDINQMMYELQLQKTLSNSIQQANKTWEADDFFKGDQKK ARSDASKHYDSVIDKVLQKLDTVCKEVIDRTNQNNQQENEEARFGQQVKQKPMNEERKEK EENALLLQLAEKDKGRLHLFIKLVDYMTVETLVSINQTSMSMLLEEMKKERKNGLFNTAV NFDVQIFTPDEKEISEHLKCLLDDMIVVMRNTARVISHQSMIGYIKNTKLGELLSEQKEN KDCIADVQGIITDSIEYQNIKNEIFEKVTNDFLAAEAYVTTNYMKCKPIYEFLNTWNQGD FEKEEHTLDIIKSHVIELKKWLQDINFYIKDIAKGILNVDGKKIKNKLQPQVITKLENFK DYLYKLMQKKANQTLTTLQTYTSNLSKNPQNLKEYAEFIEAIQEAEQNIKGLEIAKIEVE AMHSLLKSKFDLNPLKNSDIVMMDDIQAEYLRLTLKIKEAEELCKERKQEMMKKHEAEFA KFITNIQNTTSSVNKGKLILEDTQPADALQQLNEIKLRSLDRFKEMDKNYLHYAKLMGLS VSTNRDLQELEATYNDRRMLWTHSDKFNKLYEDLQKNIFTTLNVEEIEKEMKSYEIGILK LRQNINNLSKEGRDKVLDQHATRVSSVSNIMPVIQALGNKDLRPRHWKKIFEDLGSSWQP GKTFTLQELLADGVMNKKDKIEEISAKASGEASIEAQIEDIKSKWSQLSFIVNHYREAKD KFIIGSLDDIIAALDDHQLKVQTMLGTRFVAEIRPVVEEWERKLVLISDIIDEWLSCQRQ WMYLENIFSADDIQKQLPLRNNQIYGHYDENIQKTISIRLLQLLKELLKKFQINNKILED IQKSLDNYLETKRQAFPRFYFLSNDELLEILSQTRNPHAVQAHLRKCFDNINRIKFSDIE DSTEIIAMQSAEPETMPDNQFQFSTIVMAQGPRIQNMMVKSLYDITKKAYLAYPQKELER DEWLFDYPAQPVLTVDLIKWTEGCTTAIERMGMGRKTSLSQYYDFMVQLLNREVSIVRGD LNTLQRTLMGALIVLDVHARDVVATMVDKNVASLNDFEWAKQLRYYWEADVDNCVVRQTN TRFIYGYEYLDKCYMTLTGALHLNFGGAPAGPAGTGKTETTKDLAKALAVQCVVFNCSDG LDFKTMGRFFSGLAQCGAWACFDEFNRIDIEVLSVIAQQILTIQQAIRQKVDQFDFESRT IPLNRRFGVFITMNPGYAGRTELPDNLKALFRPVAMMIPDYAMIAEIILFSEGFEGGRIL ARKMVNLYSLSSEQLSKQDHYDFGMRAVKSVLVMAGALRRKNANKPENEVLIKAMKDSNV PKFLEHDLPLFNGIIKDLFPEVDMKEEIDKQLVDAITNQLKLIHYDDPAAYKKKICQS >CAK85038 pep:novel supercontig:GCA_000165425.1:CT868529:397333:404488:1 gene:GSPATT00019220001 transcript:CAK85038 MVVGLTGTGKTTLIHTLARAMEQLNKEGSQDYYHKVVQKQTLNPKSVTMNELFGYTNVLT NEWTDGIVASIVRTAVTDTTDQKKWIIFDGPVDALWIENMNTVLDDNKMLCLNNGQRIKL PATFTMMFEVQDLAVASPATVSRCGMVYMEPHHLGWEPLVKTWGVQLREQYMKDDKVPTY VDTLIDKIEHFFKDNLKVVREEFKEKIPTTVNNILKSLLNLAQINLKLLTDTVVLEKMNK FDMESHIAMVLIFSYIWSAGANLHDSSRSQFSQYLKGKIISLFSGFPFEGDVYDYYCDYT TKEFKPWTEKIQEFKYNSSIPYFNILVPTSDTVKFKYLITQLIEGGFNILLSGETGTGKT VIINEYLYSLESDRFVFSTLNFSAQTSAKNLQDLFMDKDKFMKKKKDLLGPPAGRKMILF IDDVNMPALEKYGAQPPNELFRQIIDQGGFYDLKKLYFMYVKDCQFITACAPPGGGRNPV TPRLFRHFNQTWCPDLSQRSMEVIFTAILKGFLMEQNKGLDKFASYIVKSSVEIYFKITK ELLPTPTKSHYTFNLRDLSKVIQGILQIRYDNLTNKEMLIQLWAHESQRVFQDRLVDDKD RDWFLTLLMGHTQRVFEFEWEKPQVQNLLFGDYSNANKDYIRIDNPQELPRKFQDFLNMY NASQKQMNLVFFTDAIMHLSRLCRILRQPRGNALLIGVGGSGRQSLTKLSAQTRGQTVFS IEITKNYKEQSWKDDLKRLLKTAGAKDQPVVFLFSDTQVVRESFLEDINNVLNTGEVPNL WATEDIEEIINDVRPLAKEQGLYDSRDVLLKFFVSKIRENLHIVLAFSPVGEKLRNRCRQ FPSIINCCTIDWFDKWPDEALNSVAMKDLGGQEHLGIGDFVDSLASMSVIIHSDVKTYSE RFYDELRRKNYVTPTSYLELLKLYIDMMKVQSNILPQKIKKYTVGLQTLKDTNEEVGKLQ KKIIEFQPILEQSAKDNAKMMVELEGKSKEANATEQTVSKEAAEAQKKKDEVNEMRNSCQ AELDQALPILEQAQKAVQSIDKAAINEMKALKTPPNLVQIVMCAVNLLFDEKEDWPTAQK VLGRMTFIQEMLEFDVTKVQERRLQKLKQTYLSNPDFTKEKIMNVSQAATTLLVWVVATE KFAQVKKVVGPKEKALKEAEASLKKVEQELAVKMGQLKEVQDMVNELKRNLQTSINKSEM LRQQQQTAEIQLVRAEKLVSGLASEAERWKVNAALLEEDLRNLVGNIMLAAGSIAYLGPF TYNYRSEIIAKWINNCKELSIPVSDNFTLQRILAEEVTIREWQEAGLPADNLSIDNGIFV FNCRRWPLIIDPQGQANKWIKALGKETNLQITKLSESNFLKTLENSIRFGQQVLMENVEE ELDPSLEPILQKQIFKKGAQYLLRLGDQDIPYNNDFKLYFTTKLPNPHYIPEISIKTTII NFTVTPQGLEDQLLVEVVRQERIDLEEKRVNLILQISQDKRQLQELEDKILKLISEAQGR ILEEEDLITTLDASKITSDTVNQRMAQSKVTAEEINQAREQYRIIARRGSVIYFVIADLA LIDPMYQYSLEFFIRLFKKRLEVAPNPPSLEERLAVLIDDITKAFYINICRGLFEKDKLL FSFLIASKIQLQAQYIHAREWNIFLRGGTGTVPHEEHPSFLNEKSWKNCMMLSKQSHVFA QLPMSLRDSNDEQLWREVMDVQDPWKCDLPLVFRSLDPFQKLLLFKTLRDEKLVILIKNY VSDTLTSFFIEPPVFNLKGAFQDSSCTTPIIFVLSPGADPITYLLNLAKDMEMETKLKII SLGQGQGNIAKELIKTGRRTGDWVCLQNCHLAITWMPELERIQELQVEADTDANYRLWLT SMPTDKFPVPVLQSGIKLTNEPPKGLKANMMRTYNDISGYDSCTKQDEYKKLLFSLAFFH AVILERRKFGPIGWNIPYEWMNSDFETCQLQLKMYLDEQPEVPYQTLNYIISEINYGGRV TDDKDVRLITDLLKQYFCPAILNDPNYIFSSSGVYHPPQIVDLQSVIQYISSLPLEDDPE VFGLHANANITFQQKTVAEFMSTLLSVQPRMVAEKGVEETPDQIVFKVAKEILGKLPPVL VQKKEVAIESLAIFRSQEVDRFIKLVRVMKNSLELLQKAIQGLVVMSIELEKMFNSFLDA KVPENWENVAYPSLKPLGSWVTDLNQRLEFFKQWLENGSMKSYWLSAMFFPQGFMTATKQ TYARKTKTPIDTLTFRTQVRPFYKDNIQDVPQDGVNIDGLYLQGCKWDVGTNQLEESDPL VLFQEMPVVWLEPVIASTQNQNSQKFYKCPLYKTSTRRGTLSTTGHSTNFVLYLELTTGV EPAVWTRRGVALLCQLDD >CAK85039 pep:novel supercontig:GCA_000165425.1:CT868529:404542:405717:1 gene:GSPATT00019221001 transcript:CAK85039 MHSSLGNLIHNLLLKTQILFLFVNVESLKLQVIFIQSFQFCSGLSLQSSFDKNQIILKGN QQDPVSSLQQENLINFGNTEQKRRNNCSGDRFIPTIKKKFSILSETKAPAQDIASSQAAL EMLYKQQILNQDPIMESESGSLKFINQNNFQYKNEHVHYIDSIDPKNYNSPLVDHKYFAL PETISSQYGKYIRKIPKAPFKVLDAPQLQDDFYLNLIDWSNYNTLSVALNNSVYLWNAQS QKVTKLLDLCNDVVTSVGWSLRGPLLGVGTNNGEVQIWDVCKLQKVRTVGTLCFAEGILS SGSRDKSIIQRDIRQKEDYIFISIAHKQEVCGLKWSPDSQLLASGGNDNKLYIWSAAQYD KPIFKFQ >CAK85040 pep:novel supercontig:GCA_000165425.1:CT868529:405762:406175:1 gene:GSPATT00019222001 transcript:CAK85040 MGYQQVVVELQIKLLDSGMLQKAECYSNRQIAGSQVCNLMFSKMENEFISTHGYSQNQII LWKCNGMKRIATLVGHTSRVLYLAMSPDGYTIVTGAGDETLRFWSIYTQSMGNQQNSKCQ LAPHNISIR >CAK85041 pep:novel supercontig:GCA_000165425.1:CT868529:406237:407289:-1 gene:GSPATT00019223001 transcript:CAK85041 MSDSDDSLKQYQLPQNFGEIIDSDDENWMPPKKSYSQLEEFEPSKFNKNIVALDWDFNKV FVKQLQIEALQDPTWRAQVIKQFEIHPTLDHPNIVKVKEQNYEEKKIVLEFLNEANYFKK AILEQARPITNMIKLRSYIQDIAEGLNYLHNLNYVHMDIRIETLQCTRGLEDLIRTVKIG DFGNIQRKGDILDAFHYEFIKKRQPMMPPELAIGSQADPKMDIWCFGIVIHQMIMAYSPN LMPQNQNQPAKISFAKAHWNKYEVELFKLVKSMLQINANDRPSAQQILQIPWLQ >CAK85042 pep:novel supercontig:GCA_000165425.1:CT868529:407336:407605:-1 gene:GSPATT00019224001 transcript:CAK85042 MAIRSDSQRVFQTQILKTLMNENHLLKSQAKQKDALIVQLLEKKVQESMQALKSERKGKK NGSQTRRDEKDLRIHTRIKTLIDLLPDGF >CAK85043 pep:novel supercontig:GCA_000165425.1:CT868529:408102:408578:1 gene:GSPATT00019225001 transcript:CAK85043 MLQENQRENEKKQYSMFYQEDERSKSHFLNQQYLTNFFEFTNHFKDADLPNQGKQEEKNQ PKFPIIIQYEQEPRYVNQKQYRRIMIRRIKRAQQALKLEELRKEQATKVLDKSNQKYIYE SRHQHALKRERGPDGKFLKKQNSAESPPKL >CAK85044 pep:novel supercontig:GCA_000165425.1:CT868529:409083:411013:-1 gene:GSPATT00019226001 transcript:CAK85044 MEFQCCQCSIEFNQTDHSPLVLPSCGHSICLSCVNQYTSGEQSLVCKEDGIQCNVPRDIK CFPHNQSIIALLKKRRSSGRCITTIQTPDEQQQQIHNQTKDVSDFSSEKGLSHSQSQEAL PKTSLCKLHQKELELVCQEDGQYICVNCALFGPHKFHNYLPIEQYLKQIELAVQQISNVY KQIQIERNSQEQFKQLLVLGLNQQQNCLKSLIELQFDDLIKSIGDIKLQVLHKVELNYQN SIEHHSNKLDSEFISLQIEADKWLNQANIQLSLLLEDSQEQKNLKFDDQIVKQGEILISQ FDKIKEQIKIKIEQTYNQLDVQVPIQIIINYITKMMGLNKTKSEVIKQEFIQPLESILEE KDPIKKIDQSDFLLDDRQLQEEIFQQYEQHKPLQIDVAKGKRYSGIFSQSQQPTQPTSPS QDMTKSTNFTSNQNQPIKFKERSMTLSHHELLDVSQILVQKQSKISNNNQNSNSKSQKKF TNNQKMNDKLSNTFSIIHQDKSEIIDLSSIDFNDQIIQILGDYLKGTQTVKVLKLSKCKL TDDLLYKLVLNITQTKINTLHLQQNILTEKCLDNILTHLRQNGQTQLKSIYVNQNNFTAA KAKKKIDELKKFGIQISL >CAK85045 pep:novel supercontig:GCA_000165425.1:CT868529:411013:411573:-1 gene:GSPATT00019227001 transcript:CAK85045 MSTPQIKSKLVQTFGRKKNAVASASVREGKGLVRVNGAPIELVNPAPLRQKALEPLLLLG QVRTGRLDIRVTVRGGGSTAQIYAIRQAISKGIVAYYQKYIDETQKREIKDLLLQYDRSL LVADPRRCEPRKYGGKGARARRQKSYR >CAK85046 pep:novel supercontig:GCA_000165425.1:CT868529:411795:412319:-1 gene:GSPATT00019228001 transcript:CAK85046 MEQAEKQRQKEETRQFLLNFKNRTNEYSVNDQLKERLINEENNRQWETKEAKWKAEDDAR VKLMYEVYAQRAENVEIKKKIIEDEKNVKQLDKMELHRQMELYQKELEEKQRLEQEKIMQ TKHNLINQMDEKKQRQQLLRDKKQQEEGGTSKIKRGI >CAK85047 pep:novel supercontig:GCA_000165425.1:CT868529:412358:413304:-1 gene:GSPATT00019229001 transcript:CAK85047 MIQNSTRNRSEQLIYQRRQQEQYVGKLNTKLRQEHYEKTFAAWENKGKDIANWQYTKNRL QQIRSEAEANKNQRREKLAFLLNAEHEQYQQEIKAMVETPEQVKERMMKEVAELKQRKEA ERARQADAAYDRRFRENADELRKVNQQFNELQAVAYRNMQMMDKQKQLEDQYEEEMIYAE LYRREILKKERLEKEKVLEQKAKVDERNKVLAVQTKMNEVKHQKTKEEIKSEKFFLAKSL ADKDRKKNGKERRKDTIKGKINICIIRRKLIQKLHQIMKNKRNIKNKLNSKRRLRTKI >CAK85048 pep:novel supercontig:GCA_000165425.1:CT868529:413322:413870:-1 gene:GSPATT00019230001 transcript:CAK85048 MQKYVKFFNIAQQLKFVKRKGWTRFPPIKEVESVADHSWMIQMIALSLPTNELNKDKCIK IALLHDLAEVIVGDIIPSENMPANEKKQKEDNAMRMMVQDLDEDIKNELYSIHKEYENGE SIEAEVVRELDKLEMLFQAFDYEQKYNVRLDEFYSCEGRIKTKYVRPLLDELLKQREQFL SQ >CAK85049 pep:novel supercontig:GCA_000165425.1:CT868529:413914:414385:1 gene:GSPATT00019231001 transcript:CAK85049 MNTDLDQKTIDQIVDKKLALMEAEYEMFKKYDNPNYKGADYPVDQINNLNNDNQQQENQD EKGKEENLNLEEEDEFQEYQGYEKMEENSDKEEEDEINYDQVEHVDPFAKPLDVDLIKKC MAEIQLPTPAWAQSFQGWEQKLSQMKSL >CAK85050 pep:novel supercontig:GCA_000165425.1:CT868529:414410:416838:-1 gene:GSPATT00019232001 transcript:CAK85050 MRPKESDFYKRIRLLGQGSFGKAYQCESLKDHSLCVIKQIDMRYLSEEEKKETYSEFRIM AQLKHPNIINFREVYKTVKGKLCIVMDYAEGGDLAQLIKNHDGYFPESRILDWFTQMCLA IKHCHDRKIIHRDIKTQNMFLTKDMRIRLGDFGIARLLDNTRDKAHTMVGTPYYLAPELL ENKPYSFKGDVWSLGVILYEMCAKTPPFNADSLASLALKIIRGQYQAISNNYSSQLRTLV NQLLTVNPEKRPDVHQILKMPIITNRIKNFLSETIKRSEFDHTILHNQQIQLTDTTIPLI DDQDPKGDQAEQTPKSRNQIQQQLPGIKSPPIGQEKKRKNSDLRKLPEIKLPKPERPPIS RQQTSRQQLHQLPSQRVQPSTPDLGMGHKYKNSRFITKESPDSFQGSDQVLEEVTKNSEQ GSPKFFQNILDKPHIKNIKGLSKLDQIQKIKLVQKSEDEAKKPIYRVHAQPKLVEQFIKK QRDRKISEEITTTQQRQEECQQQQLEKISETPEQKLLDKTTISDFQSPEEQQLIQNNKQT VETAAFDFQPQFQFQVFDVTQKKPTKPNKPQNSKTDEEKNKKKIYKIIYKDPFQKKAKVM QQRNSEEDMKEMINELKNVLSEQPKKVEELPLQGQNDSEGSRIYSEDSSEDFRENADTLP PKTQINTWMQSNSDQIQKVNTSKSQPEPRGKYQSGSKSLKDKLTSKLGQHFEQLYKLAKL CSHQEDLGKQHIKMAILDNLELDENKAETCATLLVTLATIGY >CAK85051 pep:novel supercontig:GCA_000165425.1:CT868529:416864:417448:-1 gene:GSPATT00019233001 transcript:CAK85051 MNIILLMQGYPYIIVLSKQLIVFKALCIRNNLWQTFVDIIQDELKDLLNPYCIFHYPIHL VFSIFSYMLLIFSWFLFVPYELFEIMIIQSLTFNFFQKNLSNFSKIFLLISLQSQTIQEI IQNSSLLIDCFTTKRLFYCLRFQLIQINCKEPIKINNEYIILTILFTAINQFAQYSDWLY MNIFVCYIQFSVTS >CAK85052 pep:novel supercontig:GCA_000165425.1:CT868529:417505:420213:-1 gene:GSPATT00019234001 transcript:CAK85052 MEERRLPPHLRRTQQQQPINREPEKPVQQPPVQQRQANAYQQPQHENPQQQQYAGQQQYP QQQQYQPQQNFPAQQTEQQRKPSKIDVDQIPRPYIKAEESITYQQFSTAPAPLYHPPSVH QFYQTFDEGNCGPRYMRSSVYAIGNEPSILQQTEIPFGIVVQPLMEPSLFEQEIPQVEFT NEPLRCQRCKAYVSPYFQFCDGGNSYVCNICKMKNQVPPDYYCVLGQGNQRGDKFQRDEL HKGCYEIIAPTSYIKKEIKNTLILLCIELTQISVAKGVYSQVISSLQSILDTIPYPDKTD IAFITFDSKIQFYNIPQTLTGEPQIIVVSEIDEANVPLPPEKLFLNIENDRDKIDYMLEK LSKFGETITQQANQLVSVGTVLSNAVQLMQPRSGRILYFGCSAPRYGIGKLPQKPTDTKL FGTDQEKLFYQPNDQAYVKLGQSCLKNHISVDLFIANDENFELATVSPVSNITGGSIYFY PHYNQQINGTELHYALYRNLTRSYAYDLIMTVRTSPGIILFDYFTGSGKISVRDLELSTL NSDQSIAIMLKQEEKILDPEAYIQYALLYTNQQGQRVIRVFNLQLQVVNKNSGNNAWHNI FKTGDVDCIASLLSRKNLPNIMVQQIRQIRQTLFESVVNILHAYRKYCSSNSPAGQLILP EAIKILPLYLQTLAKRDLLKYGNVRIDNRIFEIHLVLTQSMHFLTNFFYPKLFPIHDINN QLVADKYYVGTLTDDEKTVLPHNIATTIDKIKPDGIYLLDTSQFIYIYVGQNADQQLLQN LFGVNSFAELSSIELFTKIETDYSTKVQNIIESLQQTRGGTYVPVRVVRQNSPQASLVQQ KLVEDEQQLEKSYADYLCELHGAIQNKGGV >CAK85053 pep:novel supercontig:GCA_000165425.1:CT868529:420234:422035:-1 gene:GSPATT00019235001 transcript:CAK85053 MPKYAQVIQLRLKDWNEAKFLEEKVELSVSKKAWSYIYMAYNENVNEVHTLLHLFAEDKP VIFKGIQHFVPHFVGIYVGKDPHSRRFQGELQKWVAQYGQGAFVDVLKKGYEDNLPNFRH IQINQKYLWYEKEDRVIQTPEKVEVTFTQETESVDEYAVGVWTRWLTAFPTTLVDRADVH TIFRFSSTRQYQDKSELGNRMLSAFLTKGNYEFSTYDASKPANAVDGQLPYDSIEGEWTY VYAAYKNKQFYGMILFKEQQKAVHLTLDVTHQVLTGYAHFVLGASEFGYKAFHGWFFDPR IFLGSGSFINDSQKVVEMIHKLHRKLPVSPQQAEDFKWPVTMMDTTNWEDLDTKKDKLNY EFTDKAQIQSYSFGFWYQNAVLLPEMENDFRGLVRLTTNGPDTAGDEKFIGDRTLAVFTK TDQLLASTYTIKDPSFEPVSHTFDIKKHLWTFVYFGYEKGQARTYILLPEGPQDKVLLVN HIIPNQFYLHIVNDIGHPAFWGKIYGLKVNFGEGSYLENPLELIDRWPYDPKQHQDIDKK GNKALSINSAKVQKQKSEEFKE >CAK85054 pep:novel supercontig:GCA_000165425.1:CT868529:422083:428117:-1 gene:GSPATT00019236001 transcript:CAK85054 MKKTFITFMLLMVVAISSKLEASELRTRGMSYTEAYNLDVSRLYCNNDFEFIIGELERWI DIIDNREQLIKDLAIINQIINIVEKAKGTIHVIHSTMLVEIQQKIEKSLQNIFIQNKWAA YRVEDALEYVGQLNSTQTNEEKIALANQIIAHLRRTQEEIYLYLNQCQYAKKTINLRQKV AQLRTLKRECEQDIRKARVIYESDWDSEDEYIYYNEIPGDTIYYYFEEEDLETPLHDVYH EPQHYPHSKQKDGQHKHLIKNDKNQEMRRNQRYIALGHEIEGEIKNSDEDNQNIDETQEV ENVVQAVKVSKIEDLNNEESHITDVTNQNDNLEEQSVTEQQESISVQEESQNNEEVHQVE STKVQKTETQAENDSKPLDLPIKNEQDNSQTVQQKQIDEERSLKIQSLREDLDTNEPTSF QPPKHKANIGRVQERKIVAVNTKYQNSEFDGETTEQLEFTDRALLQDSSEYGYGYWVRYA EHSAKEHAREEGEYYFLSRMTINEEYEDFSFYGDRALAVFLFDNSFVFSTYDTSDKLKTK DKAVVLNENMDGMWYFVMFSYSSPLRKAVGYVVSYGEGNGIYRVEIDATHIPPAYIKIIF GGKHMNYYGLNGQFANIFYDIDSPAFVDGDDALDEVLKTLSNPPQNVPAVIDENILTKPK HFNGNDKGESFHFDPQESQLVIEEYAIGLWFRWIDDLKVDEPNTFQIVNLRSNKHKAAGK GVLGDRALELHHTFGGGAKSTVYFNTYTIKGNRAKGQPYLSKTVESSEFLWTYVYFGYDN DGGRAYGALIKPGHVGEIQFEGIQHKLVNNLMVTLGGDEVISPFNGMIGYVGIYLGTGAY REGLDFGMTFNYGDGVMAVYQVGKPVTYAGGDINSARYVEYDSVENIVDKIVIHNDEGMK INGQSEYAFGMWTRWLSTLPKYLSKRAPIHNIARLGTQGYVIESIDGRLVRSNANRAQTP KDTTLSIALTTDSYEFQTLKLKDELPFTQLEGRWHYVYFGYKRHGDKGLAKGYVQFGVDG EVEEVNFDIYHDFLLEYVEFIVGKTAAPLFNGEMARITFSFGPGSFVPTAETLKLFTQNT LPEKAYIHPVSRQTLQLVGAPQQIKEEPIQFEFEKYQGAEEYALSGWVKWSGPQVTGKVA HLITMAQKRLDDLNGKNEETLQITRGDQAFTFITYNQNKEEYKLVSHDESYGEYADQWTY IYYGFSQQLRKTYGFLKYTFTESEFRQEEVNHFYLAVFSVLIQEKQQFTQFIGQIKTWVI NVGDGAFREGGFDENENIKVHFGFISGTDHIKLQQAGQEAHHEETILECSSQSKDIPLQI EFQQSDKLHLHGVSEYGYGYWVKFQYFANKNTIYSKPPLMGLSRLTSNRDYRDFDAPGDR VLLVLLGKSSYHFGTYDVITKSNNVAGDIPYQIDSESEWTYVYFSFKRISQTQGHAMAFT HYQENTSGIQMDVMHSLLNDYLQLTVGNAGKYYSSFNGQITTIRFNLGPGSYIDTKQGLL QRIKNKDTIPDTLSPSKKFDVLIGKHDVAKIEEEQHITHITEEAREYSIQLWFRWFKQPV KTQQLIYRFTSTNPDSLGDAQKIGDRTLALFHTDGIEFSTYSLNPLSLSNSYEAKIPSQQ LEVWTFVYFGYSKNHQKVSYYLLADEDEHKGLEAALHAVSSNYWLFLTKDALVKPFDSRL AQVILNIGDGSYREDNFNTLQVYLAAPKLFSSDSKFDWEAEDTITLVSSDSDKWGSKITF AEPDRKIESVQEYSVGLWARYLQAWPERQWHTPSEMQIFRLTYNDERESGKIAVGDRILS AFVILENYLFGTYDLNDDAPNEISTIPYTHLEGKWHYIYVGYKRQLQQANYFVFDGEEIK HATNEKLLHKPLGDYVHLILGGEKDISPFQGLLTEVAAHFGVGSFINNGEELLKSIDTSF ALPQELTVEYIHKQKHGQQQLIGEGDNNEGSESTGDTWSGVGEYAISGWFKIAETQVKKE GEINSPCQILFRITNK >CAK85055 pep:novel supercontig:GCA_000165425.1:CT868529:428926:429377:1 gene:GSPATT00019237001 transcript:CAK85055 MLRIYLLNISNTHYVYFRNGEKGKQKYKKELTKKINVRKNILSDPPSPQRCKMITKKTLK NKNLKFTKQNRQVKEETLQIELNIISHKTIRITMQLKPQNAIKYLLFCSIKNKLSLSQTI LSKQNCQEILIQNVHMIELII >CAK85056 pep:novel supercontig:GCA_000165425.1:CT868529:429460:430184:1 gene:GSPATT00019238001 transcript:CAK85056 MSFIVLKISKNAVYAKQTSIPMIKKSIQIIIQQIHVKTAMSFKVKLSSIVTQLEDLFNHK CQQCQYCSQFYSPQELEDHLEQCKLIITKCLFCGEAIQNKLLELHRPYCQQQYEKGKFKC EYCKEYFNSQQNLKYHQKMCEKDQQLCPYCNLDLMADFKEEHIKICESRTEPCSFCGQRI LLKNKPNHELNCFQQQQNYVQDKIEIYQPTQIQYEQITDEYLEEYLKLNDSDY >CAK85057 pep:novel supercontig:GCA_000165425.1:CT868529:430226:436834:-1 gene:GSPATT00019239001 transcript:CAK85057 MESIGNNQKVVARRIVQNDSSLNEWKKNQKTGQLEKVIVQNEPAKYIEEEVLVMNEETGK MERKLVRKQYQPGLNVGNNIQENDSNGNKILARRIVENTSSTQQVEKEGWKNNQGNFKKP QEEVIIYETRRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKFEFCKKTL NNEEIDNLQMGQNLEEDLGNGKQVISRKIVQNDQSNQALKQQGWIKNNEGNMEIKFQPEP VKYVEEEILVQGEDGVVQRKLIRRPFLPQDNKLQTGAVIEQDLNGNKVVSRKIVSNNKPV DSTWVQLNNGQLEKIVSQEEPEAYIEEEIIIINPKTQKQERKLIRRPLTQSEVGEIGENL QEGDGTRKVVARRIVSNEQSMDQMKDWQSKQGQMEIQLAQNEPTKYVEEEVLCYGENGIQ RKLVRRPLTKENQQMEFYLEKQLIILNHLIKSKRIGKKDKMVLQKRQFHKKSLSNMLKKK QQQQNPKTGKQERKLIRKPVYQIDEEVNYGECLNELGKDGNKIIARRIIQNQFSSDILSQ QGWQQKQDGQLEQVISDAEPSKYIEEEILVMNKETKQLERKIIRRPLSPNQKNLKFGDNL QEIDSEGKQVLSRRIIDNVSPIQSQQKEGWKVEKGKLTRLVSFSEPEQFIEEEVLIINEN TGKQERKLIRKPYIQDKQMIFGDEINEQQQGCKVISRKLVQNNISSEAKKKEGWITNKQG QMEKVIASSEPSKYIEEEILSFNQETGLLERKLMRRQIQDNLVMGDSIQEVDPLGNTILS RKIVDNNSSKGWVKQKNGTLVMQLSEQEPEQYIEEEILLTDKDGQQKILLERRPFIYGEG KVERTDGGFVLSRKVVQNNQTLTALKQDGWVKDNKGILKKIVDQPTANTTLSQQLINQDS QYFEEEHQILNPKTNEMEIILLKFPYNSSKQIGKRLKEQDSDGNQIISRRIVTNLDIDYN PYQFVEEIVEITNQKSGQTELFAMQKQVNITEVSISKNLNEKQPNGFIIRQRKVINQFQI DSLQSWKKVTDAPKEYFKQHPNINIKRSMYQIDEDSQEQRDSQYRSNEELNSQGIRVSDF DGSRIDKFLVNSSDKRRQLLMKTLEEEILIQNPQTKKIERRLQRKQMQEEQDPEIGEDLY EQEEDVVILSRQQVESLSPQDLMKKGWYEQNDKIWQRILSKNESFQMIEEVVKEGKGNKQ KILITRKQYKDEELVFGENLKEQLGQDSILVRRTKVSNRSLAFYKTNDFIKNRDGSYVKV MQGNDNPNIQLKIPKYQEPNLKKSKSNCSQLSLIQAIEQTQLTKSKSLMNVNESQNKTQG INRGQTNEQFMDDQAIINRTNISDISETDKMFLKQLERKDHSKKYSQQDILRNRIGSDKN NRSSSSPFSDQDYNMSSNFQIGIKTIKRLLQKMIQQKIRIGFDEINLINERYRRIQKAFL LIKKFGTKYAFSSLNEHRLKTIIEFNEDDIIKYNQMLTENKIQAMEHIPEQGQQAEPIEL SPDEKGSRVLVKSQSQILPKQLNFRNSFTTTPQKEQQQQSQNKLKSGQPVRSALAPSTSI IISTPPPTQQLTRTSNSRSSTIKTVASPQPQPQQTKYAAQQKFSQQSDDKKKSGQNTSYS TQKSNRQLEASSVNQSQNASQVSIQNPKPQSVALQQKEKISQQFKKMGSYSQKSKK >CAK85058 pep:novel supercontig:GCA_000165425.1:CT868529:436864:437223:-1 gene:GSPATT00019240001 transcript:CAK85058 MEIQLAQNEPTKYVEEEVLCYGENGIQRKLVRRPLTKENQQMGNNLNEVDQDGNRILSRK IVDNSQSFNQVQKDWQKGQNGALEKIISQEEPEQYVEEEIIIIKSKNRQTRAQIGQKTL >CAK85059 pep:novel supercontig:GCA_000165425.1:CT868529:437251:440047:-1 gene:GSPATT00019241001 transcript:CAK85059 IKFLQEELQKILHQLSKSRAEGWKSNQGNYEKTLSIHEPTKFIEEEVIIMRQGGKQERKI IRRPLDEDENIEEGEQLLEDLGEGYYVVSRKIVDNDLSLTSSKWKKNNDGNYEQSLGVEP SQYITEEVLQFNNETGMMERKLVRKPYFGGKVQEGDSLNEVDKNGNKVLSRKIESNLQPK ESKQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKIVRKPLNNEEIDNLQIG QNLEEDLGNGKQVISRKIVQNDQSNQALKQQGWIKNNDGNMEIKFQPEPVKYVEEEILIQ GEDGVVQRKLVRRPFLPQDNKLQTGAVIEQDLNGNKVVSRKIVSNNKPVDSTWVQLNNGQ LEKIVSQEEPEAYIEEEIIIINPKTGKQERKLVRRPYENDEEIGDQLNESIGNNQKVVAR RIVQNDSSLNEWKKNQKTGQLEKVIVQNEPAKYIEEEVLVMNEETGKMERKLVRKQYQPE NDSYGNKILARRIVENTSSTQQVEKEGWKSNQGNYEKTLSIHEPTKFIEEEVIIMRQGGK QERKIIRRPLDEDENIEDGEQLLEDLGEDCLIMIYLLLLLSGRRIMMGIMNNHWELNHLN IQQKKSYTFNNETGMMERKLVRKPYLGGKVQEGDSLNEVDKNGNKVLSRKIESNLQPKAS KQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKIVRKPLNNEEIDNLQIGQN LEEDLGNGKQVISRKIVQNDQSNQALKQQGWIKNNEGNMEIKFQPEPVKYVEEEILIQGE DGVIQRKLIRRPFLPQDNKLQTGAVIEQDLNGNKVVSRKIVSNNKPVDSTWKIVSQEEPE AYIEEEIIIINPKTQKQERKLIRRPLTQSEVGVNWRKCFNELGDGTRKVVARRIVSNEQS SWILN >CAK84788 pep:novel supercontig:GCA_000165425.1:CT868520:1768:2277:-1 gene:GSPATT00039696001 transcript:CAK84788 MNYNRNELENYIFRPHVSYLHNEYKKKTYYWEQIKLSKRAIMILILTYFETKIHLKVSLI GLSLIIYQLLAINKKPFIITKFNKLDLSSGQICSISISLSAIKYESEQLNNLGISLAFQT CLIVLLLMITFPFIESIVKIYYKKYMLVIMKIFKFNFQIHEIYKFIIST >CAK77376 pep:novel supercontig:GCA_000165425.1:CT868267:3:393:1 gene:GSPATT00039203001 transcript:CAK77376 LLQKKKIIVLDEATANLDMKTDDFIQNTLKQQLKECTLITIAHRLNTIADYDKVMVIENG NVIEFDQPFNLLAQSLNSTIIDKQSQFSKLVLNTGESNAQAIFDIAKKKSIQTCK >CAK77377 pep:novel supercontig:GCA_000165425.1:CT868267:2696:3169:-1 gene:GSPATT00039204001 transcript:CAK77377 MIGLDAAGKTTILNRLKLGKIEYQIPTIGYNLETIQNKKFEIISWDIGGADKIRILWINY LQKSQGIIYVIDCFDKERMDQAKTELHKMLLELVEQPLLIFANKQDLVKMNPEELVVELA MQNYSKNWHIQPCCSSTGEGLQEGLNWMEEQLQLQLK >CAK76087 pep:novel supercontig:GCA_000165425.1:CT868230:31:1020:1 gene:GSPATT00011660001 transcript:CAK76087 LTLKRVFASLSVLGQREEALKLLKLVHKEDNQLYGTQYYLYDHLKDEKNQYRQNDIQQEV QVGNQQEESRQPPKATQQVMESESQKEEESKIKKVTQNPENQKQRMENLNDPSQAEQIKA ENAQPEQKIEQLQVKKQIDNNNQQQLQNTDEKQDDIDRNQRIQNTQHQNQQPNVQENEVE KNDKEIQKQEQILISQQKQKKIDQKSIEINDQDKDEGFKEFKLPEDLKNINSQSKTDANV VKKDYSETKQDNITSQKYGYESKSNSNKYSQFDRKQYEDRKPQQQPNRKYEKFEDKFNFD EVKNNYVQGDLLNENWVKVDILQGSQKKL >CAK76088 pep:novel supercontig:GCA_000165425.1:CT868230:1600:10105:-1 gene:GSPATT00011661001 transcript:CAK76088 MNSSNQYLRRFVQLKDDEYTSNSCLTYGIWSKYNPLSTITQIGRFGLFDSLCFHLHNAID QESQSLNLVYYDCLNSATKKITKTLLFINNLEEQNRFEITIDPLDYENTWFYLQIISWPL QDMFRLIIMKRQEIQYEAIRQMKYPFKDTNLIFSFGGNLLVHNSKIYDQSIGQTFSYFPG PIILQDVSISSLALDYAFIDSANKSCVCSSNSKSLIGDMDVKLQDINQFISEKINCDSFV LVGWIKVKEIVNLQDQLTYQLIKIQANLLHPTFQNQNLSPFQIIYHISSQKNELEITTYS YTFPDVSIDFSNNPFLLSKRILIENKITMWHYLKVELQKNKLSVAIIFYEEKDTFRYDAN FQVLQFQNCQFKVQYGNCLQTKINYLNILIRNLEFYNCYKKLTQQSCHYSCLECDGPTNQ DCLSCSVDSQRIYLPKYKVCICPQNTIDNQNQCQSYQKSGLRLIKNEEQNTSQNCKYGYF EFEGDCIKCPSLIKEQFISCVECLNNPKSWFDYPICQIVYVIKPNITFDEAYISFGQINY YFDGILLNPIHDTHTSAYDTDLQNIDGIFKEFQLAQNNFRRFCQQMDFAATDQFICYECF IDSCQVCQITPTTFECVVCYSKYVLVDGECIQQSQNNSISDLVCLPPFYYSFEKQCKICE IKNCIYCFEYSTSDLNVCTLVTVSDFNLRNLKNIKIGCALCEENYIFDFTLGLCLRQIPE IDNCQRTYINLQSQELCVSSKNTDFSIAPEISNCQKYIENCNLCSLNIEKQIKCVVCQDS YVIEGNKCYQNEEFNIQKNQIQNYTNKIQSFILQFVPNLKTSVYSKYLSSLNSVQQYCDS QCLLCDITIPYCKYCPLNYQKKNIITEKGPNCTYCHPLCEVCQARSAKEIQLNFPNFNVT QDNQIYTKKCIKAYWDPTIFYDHYSQIVKYCFNQDCKDKFIFDVSYYSCDFTRFNRFYES AINTQYCNQIGMEYIKINFTFQVLQPKCFLILPLDFSTQLKQKVFTVKKVDFKLSSQNYL QITLFTNNSFKNYDQVEISNLGFLITADQFFLFQNTNNKIDLILSNFTITQSVIQNIESL FRADVFGNIIINNFTVENTTFINSSIFNFELYQVQGKISITNLKINNCTIIESALFKMSK IESIISLNYFILDQSLLKNSSIFSFFSSYSQQSRYLNVFNTIIRNSNFSRSYLINCTNQI KVSMNDFQFYFNSLEDSVIISVSHNISINNIKIYQNVLSLSQFISITQILLKYQIMCKIN DFIANNNHYQSSNIILIFSTFSTNLLIINFDNFEITENFKSSKQNENIQLFCMNSQEIRM SNFLIIDNHDLVIFYLSENTKISITNMTYKNSIHNFKIPISSGCLITNNTNKLLYIFGFT TIYIANVQILHILSVDEPIIQINPSQQNISYVTRQIQIINVTFTQNILIQSTLTNLISLL IIESDKKESILLQNIEYEENFFHSYTSTALREAASLLYISSSLSLLKVMNFFCKNNAFTN SSNAFIAMMSAEIILINYTITNHNFLSQQIWTKYYELQFNENLNQQNLNEIIFQILQIKN IGGAGQFSVKNMSCIDCAFSKILAMQSSVFDITTNERGLINLFNITIDQIENNLLSIEKG SGCISIYSSNSDLNLNLVNAVFSSIFNRMAPSIFTINPSKSLNIIQLKNTQIINCISLLN QIINVQFSSSQAKENIITVVNMRIAQRYDAWSIYFLKIRDLLIQEVTDTVNNQNSMISFQ NCIIYIQEFFIEGIITNSIFQFTNTHKLELLNVKIDNIQMLYSFNLIQVTQTQQIQSIIQ IEHLVVKNAFLYKNDMKSFLNYLYHIYNIRECNLIQQDPDSNEKDYFYVNVNSLQQNNQL ESSLLYFKSISNQNCYYFKDITFQHNDCKQCDNGLLFIEISDFKYIKFENFNCIYNSIKQ FGCLHFSQQTYLKSKISITNSNFLFNNGSQGVGVTAQKTNLTIKKCKILNNNAETFGGGL YMQVQSSGFNINQSIIIGNKARIGGGIYLDDDCNLNNNNFLESFLLFNAAEVYGNNLKEI PNHLAFFVNYVESPSESVFLNNEKINKLDLKTHRMVEQGIEIFTKDLLIPSNQVIQTYQI FDIHNSKYKPYIKDISLYFQNSRNEKMHNLANSSCEVKDKIIAKDQQELIDKSNYQILVF DNENNSFPFGSLSFSLDPYQQNYSHLQIEISCQLQESSKILTYLLYVKSLKCQLGEFYVD NGCQLCQSNQGYYSVIYNATKCSIFDKEKYSDITSNMIQLLPGFWRPSNFSDYVEPCFKN PIFCLGGWQVSHNSCNLGHIGALCEECDIYNIRGHGSYFKNQWDDNCLKCQFQWSNTLPI LIGCLWTFISISMSLRSISRSNQLYASLIIAQRFSKILFKLNQDQESIQLKMMINYIWIF SVIFTFNIRFQFSLLFVEQTSDTSYFIAKDFDCQISSIEHIPLVYLKIFAMLIFMITLFI LTIAGSILYSLTIKQKLDLSLLSNTALYLYVFNYAGLIKMFSSLISKREVSNNYYIQGDL SLKYGSQDHYLWMYYFIIPGLFVIGALIPILIFILLKINRTRLEKIKLRRHISYLLNEYK QERYYWELIKLFKKSIIIFIMTNFETDIVLKASLLGLCLLVYQILATFHQPFTIKKYNSL DLQTSQICSISIFLAITKYICEQNNYVSPSIMLQIFIIGCVIKLCYPFVFWNCKKLFQKV LILLFEQISFSSKLESTKFLYYSNVNEKIRKRKIKEKEIKESYLQIEEPFNLLLKNITKK LKTNNVRFLLKFIKFKDKQCKIKQNRN >CAK76089 pep:novel supercontig:GCA_000165425.1:CT868230:11467:12430:-1 gene:GSPATT00011662001 transcript:CAK76089 MGCVSTKDQHIKQFNIKLPESSTALDVILVNQTTLQTDLDLSDSQDQYMQIGMNLSSIGD LNPKVQIVLQELGDLFPNLSGQYLLMPDQSIFFGQTLNGKRNGQGKQHWPKEGNLLEGIW IDNQLNGRARMIYPNGDYFDGDFVNNVANGLGKFVNSRKEVRGFWINNKLIGEGTEIRKN GTIYTGQFQDGKIHGQGKFEFANGCVYKGNVHKGKMHGNGELVFNDKTRYIGEFRNNCIQ GRGIYEASTPIHGWFHSKYENQTMFLYFFRQETPVLIDHQDCTLIQKQLSTFFD >CAK76090 pep:novel supercontig:GCA_000165425.1:CT868230:12760:14041:1 gene:GSPATT00011663001 transcript:CAK76090 MSLSRNGSKFSRHDRITNSQILDLRKGKMYPSSSKNSDQPGGFFLSLANNKAPDYGQAQL LNNDALKHKIKEKRNQKSMDNDAVLNTLVTKVDAKLISSPLKKKVIAIDPKIDVPTQIKP YSLTPQSRLQSFRIASPSQKPRSQSQNKRNDIYYLTKLQRAFDTNGSDYFSRMYREHFHQ TYQGLNCRFFPQNNNDYNKSHKLPKKHQRQFTLFFDLDETLVHCNETPTIPCDVVLEINV SKHQVVRAGINVRPYAKELLKNLSNHFEIIVFTASHSCYAEKVCNYLDPDSTIISHRLFR DSCTQTNTSLYTKDLRIFCDNTNRQLSQVALIDNASYSYAWQIENGIPILPFYDNKDDRE LIELEKYLKNMIGVSDIREYNRNNLKLHLFVDQHGPYKVLENLFGKPQQLL >CAK76091 pep:novel supercontig:GCA_000165425.1:CT868230:14068:16833:-1 gene:GSPATT00011664001 transcript:CAK76091 MFEEFYQKYLIIGSNVPEAQRQKLNFLIFAIKNHSNNEIEINPENYPDLDIDEIQGLFNL PVEAQKSMFCSVFKSLELEDESKIMGELNVKGETLGIWRSKKLELNLTHKKLIVPRKEGD IELQLSKYGIMWVGQKKTKSSHYCFILIAHNQAIYDHYKQLIMGSDDEEYAKKWFNLLSG ICDTKPIQPRRMSVEFTQQTVSISPLNKSDQLDLSKSDNLNNNWLEFKSSNNKDMQRISE QPESPDNLKRTKQEFLTNLKQEEKPNFQVFIPQIEQAHKVPAYLSDMTVDKFKQGEFYRV GNNVYKSVSKKGLYQVQIILEHDIEIVRHFLYEGLGFNKFISTEWTMFDYQDYKVVDQIR IKSIFGFEKTQQLYIMRYKATDCVIEKQLNSVNPIYSIAKLFRKSIQKCSFGGIQRGNQN YSTDSMPQQTIIQIIYQLDDNVFFDSQNISFMEQYNYLADHIDYVINFRDLHFEQKQLQT VVSLSNHSEDLFVQQFNQSIELQNTVPNNLMELLEFVQNNKHWDVCLNKEFQIEPMKVEP KFQKSTSEGHFLLKNYWKVDENQGGLLYVDQVGLREQKKVFSYLLSQIGSNLATGKSITN ISLPVYVFEKQSNLQRYANSLTYLQYLDEAVSKDYLFQIKNFLPYGYGTIILYLNMWKPF NPILGETYSGFINGCPIYAEQVSHHPPISNLLIYGKGYRVNHRLSTIASISANSVNGINQ GYTKVYFYETKNELIFLPCSGLYTGTLYGDKLFQMVGKFHVINLKHKLVAEIKLNPYPGS IWKKRREHLDDYYEGCIYEVNDDFVKRFQKDGYLKFKELKQNEIIREISKINGVWHKNMY IDNKEIWNINTEPYVLEDEEHPLPSDSNFRVDLIAWKTGNFDLAMKMKTNMEEAQRADAK LRKKR >CAK76092 pep:novel supercontig:GCA_000165425.1:CT868230:17070:17890:1 gene:GSPATT00011665001 transcript:CAK76092 MSTQKSQIQVEQKLPENIAKRQSRDSKLKALIYKRRVDRLAANKQARALWEKNAQAYEAE YKATDKSLVDNIRKAKADGGFYVPAEAKLMLVIRIRGINTLNPQVRQTLRLLKLRQLHNA AFIRINKATIEMIRKVEPYITYGYPSRTLIKNLIYKRGYAKINGQRIPLTSNNIIEEQLG KSGIHSVEDLIHEITTVGPHFKEANRLLWAFKLRGPRGGFIAKRRSFINQGDWGNREDLI NDLAKRMI >CAK76093 pep:novel supercontig:GCA_000165425.1:CT868230:18619:20525:1 gene:GSPATT00011666001 transcript:CAK76093 MSEEWPKKQIDKYIIVNKKLGSGAFGTVYRGFKKNDETKQVAVKAISIASIKDSSKMVEH IKREISILQQANNPHIVKLFDVARTPHYLYLFLEYCHDGDLKKYLSTKYGRRLSEVEAVI FLKHLVEGFRTLHQLKIIHRDIKPANILLHKGVAKITDFGFARVIDTGMNDPAYFSRVGS PLYMAPQILEGQPFSSKCDVWSMGVMLFEMLYGKPPWDGDNQYNLLQNIKKNILVIPDAP VRSDKIKELLKHMLVVQEKERYSWEQIFNHEIIQIQEVQIKNNLEQLLKEKDELSRSESL NKLYLEMNLVIGYLDQPEQIPQEAQSPQLTQGNDEKQSLDDVDHEKVSHLFIPLKGLKII NQYETEQKRRKAMLKYNTYFLFERNIAFFFNYVIQKIIKMSHQGVLKLTQELYYSTIFCI SKNQNVHLKRMHDQLMSTNPDKFDRETWGRYLISQEYKKILTVTKNDIKHTEDFYLEIYK KEQQINDKELTQPDNKRAVKIKQVLDVNFNSNTFFQQLYQQVVQECLEIIKTYIKQTKDS DPSYKDLLLLGWFFVICLNPYLEFKDINMDFNQFYEEMQILTETQLLEKIGKRLDL >CAK76094 pep:novel supercontig:GCA_000165425.1:CT868230:20580:22298:-1 gene:GSPATT00011667001 transcript:CAK76094 MKTDNTKCFGCSKKEVEYLQIEDIQSSYALCEGCLKDKKENKSKYLKKDEFLKKYEEKLK KEFPHYSKVIQYRQNIEKLKFWEKQMKPHLEDALLFIKNNNYTQQNFYFLGTFFQKKGKT MDKLANQLLNFLNANKLDISNPIQFMEQNKNDKFKEIFLNYLQEIIQKQQFSDVKKFIEA EIDSKLSKIPKEFQKVGTELKCDSNEKEQSKYQGYINLFDLKNGKGILKKKDIIYYGIFD CDIFIYGVKFEQISQNEGQFFFGQFVREEIQGFGKMLAYKLDFQMMYQEYEGNFENGLRH GKGQFMWKQNNKILIYEGGWQKNLQHGEGNISNQNSIKNVQYEEGKLKQDQPVQKNGFQM GFQTQFQIVDQSQALIGQKMPPPQFGSFTINQPKNQFNYINPAPAPSVGGFTLNKSTQPD SLKVEKRVVAPLQTQLVQQPQNQRLATALITPSNGFNSYKQTTQVMDSNRFQISNFSNVT QTQKQQISGESLQTIPQFSQQSTFKLQTLQTQQPSLNPQNINNPLQFQSDSYRMQTQLAQ FNVNTQAMKNADVATFKQNNVGLQSRQNMSFQ >CAK76095 pep:novel supercontig:GCA_000165425.1:CT868230:22363:24123:-1 gene:GSPATT00011668001 transcript:CAK76095 MNDQIRWQHYKHKLMNNKTSDAQILYYRINQLKSVISNLCLIQVFNIYLTISMQNKLIGC QMKRIENCFPLQIKFTLINFQHLLKQRIEKPIICMFNDKYMKFLKIGIIILWNVRKRLKY CIQIKHFIDFFWRILQVRMITTFWYFDLRRYKPITRFKSRLHSLCFKIFILMFKNNFKKY ILNSNFIFNEFNNFDLNQFIRVKPVKTFRNFIFYNIKYYVKKYLLMQEQNNNTSEKQEFI SSDLTYEFYKLHLNIQKIQIIMQNQVVQNGISEIQKYTKAILGNKNYVAKKLAEDPDYFS KLAKGQNPKYLLIGCSDSRAPPNELTETDPGEIFIHRNIANVVNMTDLNLNCVVQYAVEH LKVHNIIIMGHTFCGGVKAAMNQDSVGGLLDLWLNNIKHVYEKNQHLVNQFQDENDRVAC LSCLNVREQVLNMWKNPIVQKSWQDGHPVMIHGWLFRVETGYIEELQIDESIPENLSNVF RLQFKNAQQAAKAQQQDDKGDNNEKNVPSSNSEKYQQMQNKLESEFRKLSPNSQDSNQEP QKNMVSQISGLLQEDPNFKQENI >CAK76096 pep:novel supercontig:GCA_000165425.1:CT868230:24221:25052:1 gene:GSPATT00011669001 transcript:CAK76096 MNQIDQKQTLPPLRVSEIQELLRSSNEQHKKEIISSYRDTKKRKLKEIAEQEKYKVIERK VFNSQSKLQGQTIGKTLDIFLSKEPIIDDYLKRHIIAPQRNLEKGLKDIKTGKNQLLDGR CFGDIPQNKLSKKEKQSQEYWNQYNTQLSEQRYKILLDSQTGLQKKINQQNRLKALKIFV PNKYSPGLFDDHPSQILSFTQMHKHSQPQIWSPNSFYCDSFDESLKQQQQSKSILKLSNT VLKDHSKQSIKIE >CAK76097 pep:novel supercontig:GCA_000165425.1:CT868230:25496:26759:-1 gene:GSPATT00011670001 transcript:CAK76097 MIKTVYVNIPSYIEDSNYRYKMPKPQLKIEGRGNGIKTNIMNLHEIAKALNCHDQYPLKY LGFEFGSQTSYKFKNDNDVTCIINGAFQDDQIRKQLDKFIEKYILCQKCRYPEMYMKIKG GKIIYGQCDSCGHVSDLDNAHRLASYILKNPPGNQSIKSGQEEVQMINSEGQTGNKEDKQ KKKKVLAAEVGLDSELLEAFLVQLRDTYNRLVVNDKIDNDVADMMLTQDIKKFNLDRHLK DRVCYLIFQSMFDENPTKAFERNYELLTTYFNDLDPQLASCHIILNIQYLMKKRNIDDKY FTTVLKGFYDYSYIDEQYLLKWFENTDEWKNESKEDFLFNEQLDNHYRQLSEPMINWMKS QAGQEEEYYEEEEAEGEQPDVQQ >CAK76098 pep:novel supercontig:GCA_000165425.1:CT868230:27451:28941:-1 gene:GSPATT00011671001 transcript:CAK76098 MIVTISQTQNYIQDNLKSISNEIFIKQTSQQLDNIWIYKQSMERMFQFTQRQIYSTRRIY KYFDYLEHNSQEDQYFENPHMCLNNKTEVDSFCFKFSTTCGIFGVPMNNQLAQEAESITA LTFILTSFRIALDHTNSAPLYYFLDNESLFYCITTGIPFPTSFLPNKRPYYIEFKQKISN DSSSDNFYFASPYQVVSGKIRIPMMISLVDYKDKIVGMVAKDIDFGYASVASNSNENTTL YVIDDQGKIFYSLLYNQVNLSVYYFNDSDITGFNTNDFIQIMNNHNGLPFQNNCKNQQST EILCRYNTKVKDNLIIKSTQLADSPLILIVLIETNTVQQQSEEHFNLQKELQQKAVQNMM IIIALMPIAIILISQTIIHLVLKQLNILINLVKQNVYQNKREYYFSFLKKDSLFQSDSVS ALIIASTKLSNCIIGAYKTEECIKQETIKYPKFLRSHLRMKERLTSTIRFFNDQFRDKVN YKGFEFRSLISICNLF >CAK76099 pep:novel supercontig:GCA_000165425.1:CT868230:29536:30339:-1 gene:GSPATT00011672001 transcript:CAK76099 MYSYPHYLQTPYSQYPYMQMDYQAIYNQFYLPYQNQLPQFRQHTFHQAQQPAQQLNYITI SDDEESTPKQEIPKPIPPQLVQSVQTLKQITKMLDLDQLEASGKLFDCESSPPPPLPKQI IQKPKKHLSKQQKKRKNKIKQCPAKRPIPCLKQVQISKDRQQQVFQQSSVKVRLIRVYER NEDQFLKLYEKLKLNFPQANDEDVVIILNNCNKDYSKAESFIQESGCSISYYLNSQKDQQ IDETTTDGK >CAK76100 pep:novel supercontig:GCA_000165425.1:CT868230:30453:30722:-1 gene:GSPATT00011673001 transcript:CAK76100 MQQEVHYDELFGISLISMRYLSLTVRLVVLLKQQGIILQIRSYVIQMMQQQRDILIFNKS RNQDLSILDLSSEILNDSQFVETMKLIGD >CAK76101 pep:novel supercontig:GCA_000165425.1:CT868230:33170:36014:-1 gene:GSPATT00011674001 transcript:CAK76101 MQFLEPKLIEKDSDLVCKKHQQKIAFIILDRQLQSDKRLLCRSCSKRNPNNAKIVDYEQL KQKFDRQQREKIQFYYQIIQPHIKEIEKIYLSIDALKTKIFQRIELLANFSKDWISDLNN SIIEYSFLKELDSLIQKQPPLIDPKIIIEKIKIIKTHRQNQMKPAMGKFKNSTEFQLFEQ TIQFLHYLIQNQEIDINQEQARIQSMYEVEQINDQQDFQQGLVDLQDFELFFTNIIQQQE QEHNQDFLGILSTNFNNLNTQSYSLDQSEYFNQADSVHSMKDYEEALKYYNSEIKKTPNN SYNYYNKGKNALYSLNSNNFNKIEQILRGIRKLYDSNFERTRKIRILQLQRYKLILHLLT GNALTKMYRFEEALRDYDLAIQRNFENSDYFYCKAITLRKMNRFQEALEHFDLAIQRDPQ NSDYYHSKAITLTKMNRFEEALNNFDLAIQKNPENSYNYFCKAITLTKMNRFQEALQYCD LAILRDRKNSMNYNCKGNVLMKMKRFEEALESYEKAIHRNPENSLNNFCKATALTNMNRF EEALQNYDYAIQKNPENSYIYYQKAITLRKLNRFEEALEKLGYANLEKSRKFIQLLLERQ MYFTNWIAITLRKLNRFEEALENYDYAIQRNPESSYNYCQKAITLTNMNRFEEALENYDY AIQKNPESSYNYFCKAITLTNMNRLEMALNNYDKAIYINPANPDYYNFKGNVLFKMKKLQ ESLSCFNLALNLNPLNSDYLYCKGSIFLHLQIARTLREMSRFEEALECYKLAIQQNPDNP DYYYSQCILFWFIFTQQQLQQIRIDLMKLMQFIININQIQVCPQFSICIAQPQATSLNQN QNQQNQRNNTLTPLPQ >CAK76102 pep:novel supercontig:GCA_000165425.1:CT868230:36169:37022:-1 gene:GSPATT00011675001 transcript:CAK76102 MISLAIVNDKLSFGEIKLIHSFSENNVLSSFFDFIFSWSQMWRCSINQSYSFQKVSTPYS NLRGSKILKNQNKYNNKTFKQHINQIQMLNQNICHFLSENQAQLQNSYSQPELQSQRQEL FVLFKSNTDNNKLFILGRSLHIFVLFNDFLNRRSDQILQKAFLKIIKASKNSSYFQICLL VSIENKRIENLEQLLETKYQQKNQRSNQKNINTDCEKFQKQQNSKITNLSSVAMMMFIIG ILKISNLELQIRLQSNLIVLISLLRLFNFNTSSVQNY >CAK76103 pep:novel supercontig:GCA_000165425.1:CT868230:37975:38675:1 gene:GSPATT00011676001 transcript:CAK76103 MSKQANSQDYDWLVKVIVIGDSGVGKTNILSQFCDAKFSITHMATLGVDFKIKTIDVDGK KLKLQIWDTAGQERFRNITKTYYKGAQGVILTYSVIDRQSFQNVDGWLRSIQENTNSSDV QLVLVGNKADMSAERQVTLEEGKKMSQQYNIPFFETSAKSNMNINEAFNNLAFRIIQTLS KMQTNEEKQNLNLKPSEGKDKPKSESCC >CAK76104 pep:novel supercontig:GCA_000165425.1:CT868230:38781:39652:1 gene:GSPATT00011677001 transcript:CAK76104 MQDKSNTNSSVQESYSPQKPAEGGEKKKRTRTRKYSDAQREHKCECGKSYLSYPALYTHM KQKHNGQSLKNLDEQRLESTFSESQTQDIFATLANALGKEEDKSQTSFNNEDMYDLINWE LQLQMMETDNKLIKLDYYRELMKPTQPADITTPQDAFIRFIHELKGVLSYEQMKLIFLFV YSFRKLLIDYPDCPTSGLVEKANQLICSQLDKVLQSIQQLSNRNYIVHLCRVPNLREVMI KIVLGFCNWLYARHLTDDKLLLKSDSD >CAK76105 pep:novel supercontig:GCA_000165425.1:CT868230:41079:43879:-1 gene:GSPATT00011678001 transcript:CAK76105 MNRSFNDDDETLTLEEEIMEDYSPTKQEIREYAIHLGMNLPEDNKYLDIAEAGLKAKLPD EWKICSKKINGIDQVYYKNRKTGEIIYDHPCDMIYSKKYEEAKQIDLQNQKKKKSTGINK FKNDIEKYRVQNAQDGEDDTESMMMNSNEYYQNKGYVMQNPMQPSATQNLLSQVDQEFAY QAQEYEEEKKKDVNKLKEENKAKLNKMEMILMNEADPELFGLKEEYERQKEDLVKNQEEK KKKAKRKVEDEIEQSINNQKNIQQKQLEHNLENYEYEQERSLKNKLRMLEQELQGQQKDI VKRINQRQKELSESDSKWMKQIQEKKKNVENQLNKYKKEYNQEIYQKIQEIDQENIRKLR LEQEAIKRKLTNEFDKVKREYKFEIVDLQEENQRMIRSIKEEIRSQYDPKFNQSQLTFND QLQNIELSESQILQSQIFEYKNIDIEQKKQENAKRVAQQNEKFTQQLQNQLINFEVQKKE KYQEMKRMLEDTQRVKLEKIQKDYEKKTGKILGNGKEIDLCMDINQTKSLIQELKEEIKQ MKRKKGEMEEKVTSLNVVISKYDLQNELKQRDVIKFELDRLQFLVEQYKLDDKKVEKELE LLEQQFQSSIRQNQEFNQRQQMMQSMIQSFHELRQQLAANQSIDNSKFQSNMFRQTGPQY FGTEINIQNNLSMNQSVVIEENVTQMRKWKLILLDERVALNREKQLYLTNKEKINQMKKK FLVDSEQLRSQLLLAQQFDQNNMKKDLIRKLKSEMDEQQNKIAKDTEKSDNWRLIILQRA RILDQMEININDDNPQSSLLYSLEQLYRLYFQMGEIELNDYIQGQSISKIDQPYDNQIQA DSSQQAIQAINQEQQDQLAKQFIIQKEELQNYKNRIHSTYPQSIDAKERLQRYQEFIQQK V >CAK76106 pep:novel supercontig:GCA_000165425.1:CT868230:44299:46256:-1 gene:GSPATT00011679001 transcript:CAK76106 MSNSVIGDEFSERDECDIEGDDQVPQFNMEQIYSSMTSEFNGIFAQSFIISKQITSYVIK QSLKIAEEQFIQTQVPISSNAQVMTIMERIVASYFNQDGLTIEGCNMPEDSEPNVIHYDR WRRNRVNIEEMSQSFSEDQEQQVENESPSQHNSSKNNRQRFSILERKKPFAGAPPKSSHS EIRLIEFQVDEEEDDFVDKLRQKKLYDIKKKNEIEQKIKEEIIQNQKKEQSSNKYTYDYD GKILLSKGVIYDKLQPTALKIKVDLKDVPKTTNQQNIPQTNSKKGSKQVIQTQQPLPIPP KTTADIPNKNIIDRKDAAKDATGDKGLRIDRGAQLPYDTFSMTNGVKLIYEQRYKEGIRH QVSDSAEQLQYRLSGQNFLPGDDITKQMQQIRLTRTEYKLLTDNGKPFQQQTKSQFIPQE TVETKKNLDNTKLEKTVQFNESHLVMNKFSPNKTSQQKIDTKQEKILTKNAKLLENLLVQ PNKPETPSQTIKKNEPLNKLVNFNQTRNPIDTFNQSLLNSKDWGKANAKIGYFPPVRVSQ NRGHNGSLEQLYKLPRDRINVQQQRTQSEFYKLQPKRSTHKSMSEGMLQTFYTTHSKFDE KLRQF >CAK76107 pep:novel supercontig:GCA_000165425.1:CT868230:46417:48545:1 gene:GSPATT00011680001 transcript:CAK76107 MQQSIAFKVFKNFDIFGQNIQLNFNGDDSYQTATGGIFSITIIAVIAFFFQQNIVDFLSK NYVNLDVQTLFDANPDDILFNDDNYMFALAIEQSKFNTNPYFNITLKQRIYTRLNNGTTI KQDSFIDLIPCTIDRFQNIFNNQNFTDQFISLGLSEWLCPQYNYSIRLSGGYTSQLFQFT KVTVSECSNNTDNNEILTWKPKCASSSERDAHLNNDRSFRIKMYMTNTIINPLQPKNISQ VFLDDELFFSFILQTGTETDVFYQKYNVTTNQNIFPYIEDNQEQLFNIKQQGDFRVNNVQ NSGSSYSAIYMRRSPYTYQVKRDFQDLADLLSYLGGFANIVVMIFGFFISFYNKSQFMIE LANQVYDFPIKNINNIDTQQRKEIKKTIARAKSRTIILKPNTQNSQLQSPKYNQNQQFIN NDTPKQDDQCVTKQDEQHTFQQEQEKIIQQLGITNRKSYLTQQIEKILNRSRPIFFNCRY ILYQLFCQRFFYDRNSILLQKAIKNINQDLDLCVIIDKVKEINLLKELLLTEDQLVLFDF APKEVINLEEDKKQTTRSLARNTLRNFHQISSILNTKDEQNKSNQSINTYYKLFQAYDRM HQALQQNDKINEKLIGKLGQEVRDIFEVSQFIQWENNRKMNSEPLDDEFQCDSVNSDPPC ITSLDQFKVNFKLNS >CAK76108 pep:novel supercontig:GCA_000165425.1:CT868230:48784:52718:-1 gene:GSPATT00011681001 transcript:CAK76108 MGVPNFYSWLMRRFPLCLYEHTRAQRVDYFYLDMNQIIYKCATDPTVLFKDQLRERDFDD IWVSILNYLDMIINLVNPQQLLFLAFDGVAPRAKMNQQRQRRFQSQKRYKYLSAHLQQIG LFQKNETYKNNQFSPGTEFMTQLNQQIKFYIERKYSEDPKFKNLKIIFSGCDVPGEGEHK LLSFIRNLQCDPNSVHMIYGADADLIMLGLLTQLKNVLIIREDLKFKQTASAAANRVVKI PEFLVINISIVREYLDLEFAVLKDKMKVPYDINRIIDDFILLCFFVGNDFLPRIFCFDIR IGTIEPLVDLFKNHLTNANDYLVHRGDINYKELISLLDLLQGFEKLCINDRQQEMLNYLN TKHQNRQVIAKEKELIDNILKYFSLQEQTEGRKFYYKAKCQITKDDELDLDKLGDMCVNY MEGIYFVLQYYFKGVPSWEWYYKYYYAPLCGDLVGVVSNMVKQLQDKEEPILLTKGEPYP PFKQLLSILTPENAQLLPEPYGRLLTDKENSILRTPIDYYPENFETDSYGTMYEHQHITK IPFLDCKIVEEAYNSVPDTQDKRNQQAQSIFYQYDSSQQQIYESTLPKHFSNFKSCCKKQ FIDIDDKEQCQIACGQQQIMEQFENTFQIPTLQVVNIKSSKLVNIQMHEDDMKKFENQYL LLELQSAKFDFDQFIQDVIKNKNFVYCGFPIQQQCEVLAILRPDHLNLLEGVKLASVQLL KDYSKKDHSKIYKEIRNKTLNQYEKFMKLDCTIFLIVKQYKHYIRDNNNQLSYPQEQYRE LVYPYEFVFTDHKIKYIIPNFEDFQIDRQVVIFHEKQNGANGIIKQIDQKLTVQITASPT LLGYDYIHSDIYYSLQIVSEKLQCSVKTLLNLLGSVVVNMEDKESKIADQLDIGLNIINR TNNQLVPELVRLPQADQYATGSSKYQKFKDLELSEKCVTILCKYRNQCSRVQQYLNQLND SQRNCPINAKDLFPNSTDPNIDLLKIYIWILQLPESQYLLQGSSSKVAEIMVHKKPIQNN NINTMEKVDPGFAVQQTTQTFLPPFFIKHPTIHKIGDRVVNLNYPFGIYGTVVGLLEQKE IMVQVLWDQKYIGFTNLGGRYDLLSCSNCKFTDIFNLSSEDWRLNLAKKGCHKGEFWDLW TKVYKPDFKSRAIECHDQLKEQNPFKQLVELPVETRPKVLVKDKDAPQGLELLQKLVQEQ PNLITNQPLQGIKEENIDQNEDEAQKEIKKLFQLQQQIDLQSQVEQLKQTQKQTNGEDQN VQSQQIEEKEEKQNPQQQIKQQAQPQQVKKVLTKKKDQQQVQQ >CAK76109 pep:novel supercontig:GCA_000165425.1:CT868230:52750:53812:1 gene:GSPATT00011682001 transcript:CAK76109 MFNSYQYEKQLAFTKDIDKIKKGYLREREVRKELNNRYAQNYKKRVENFVINMLENPIVC VDYHQPNQSAFRDEDPSKNLGDPQFYVKGFKHEKDRIQEALDKNKDLDFLPNRQVAHYCF RERDPSKDIKRDIFRYRDKTSLERIEQFLKDHTQTQVENQKFPKKKLFNVETLSDNMTSQ DRKAYISRLVAKNLLPSLHQKTHFQAASTMYNNLPLSLMDHTRSLPQMKQLDTEEKKSQQ QQREVQKTEENDNKDNKAKQNNEMETFNPIETSKVILERCQVIRNKNPKTHVIHKGEGHL ISTLDKSIQEVYKEIYGIDFSEPKFFQK >CAK76110 pep:novel supercontig:GCA_000165425.1:CT868230:53835:55135:-1 gene:GSPATT00011683001 transcript:CAK76110 MSCDICKKPFNILWRRDTKCKRCNRIICTDCSKLQQVRDVFRNICKVCMQDCETIRKIIS ESLLAWNHNSEIAKRYWVKDVEFIKSMYKLNKEIKQSNIARDVKTILQTPMNYSIQEFLN LLIFNNRDVEVTITNVVDTFVTRNPKVGYNQYLIKLTIFLLCFCKDYVCLKILEDLYNKI PKQYYPEYQTTQEFIKDHDQMQHDAIQDVKFRFKLEQDQIMAAKQFIESAMMHYKNQFFL NLTFSSAFYILDKIIQSTDSTEIDKFFSVIIQININQLQTKNSELVIARTTTVEGIKQYQ NQLAKKRSSLYLSVDKCAQDARQQSQQSCRTIQRKMDVSEFEVDENENLSHQSPTLLQSQ KLEITKLTQELLSLEQQINSLRIENQQLEEISVQNTATLFKEYENAISDLIDKTEILLKQ QMMSG >CAK76111 pep:novel supercontig:GCA_000165425.1:CT868230:55192:58473:-1 gene:GSPATT00011684001 transcript:CAK76111 MKRILFTGVMSCLTVTAMSACNWQYRRYQESIRKWKLIDEQFKPDHPISHMMIQDILSLD PQRYSYILVKTSGTLDKYILKVYRYQDGRPGMMLCGILRDNQNDAIVVNLGWVPENFLDQ IESMEGMQLNEMCAMIKLPEHRDKNTDQNLMNTFIDLELIAKENKLPEKSTNLFLERIGT STENAGLFKVQDLYPVPSFYSTFQKPYLTPDKHKAYYWFWGSCSCLGIKMRVINIYKFIL FNEEYKKISCEVQFKLLIKVLKSIDLFGQNILLNLNGEDEYKTAFGGFFTLMILGIVVLF FQSNIRDFINKVNIQSETTEVFEDQPDQIQLNETNFMFAVAIEQVNFNTNPFFNITLRQR YYERLENGTLIKGDQYIDLIPCKLDRFQNIFTPYGLNFTQQYREIGLDTWLNYSLSLKGR YTNKYFDFLKIAVNECSNNSQSNSFFTWKPVCASPEAVNEWLMDQRSFRVKLYIYKCFML RYITNKVINPQKGDEYIQSFLDDELFFSFVPNVIGKETDVFFTKYILKTDNNLIPTNEEL ETTEVFAKQEGDYRDQSIYAAEQFAAIYLRRSPYTNYISRSYQKIDKLLSILGGFANIVF VALGFFVGIYNKQQCTFLNHLDLIELANEVYDFHFGNENKAKFERQQLVKEITYVKSQSK IISQKCSPASSINPGNASINCNAIQSVRPIISHQVDCTLVIHNDKQDSDQKTHKSNNKNS AVNLQPVGDNEIEWESLDKSMFSCNLTQNNNQTDIAMFNSTAKFNQERQAKTQNDHEQKS MKHSIKQMHKQIINKIGIHNRKEYLTRQIQMMLDRSRPIVFTFKFVLHQLFCGKLFQDKN CILLDKAIKKINQDLDICVIIDKVNEINLIKELLLERDQQILFNFAPKEVISIEDLSAKH HLPGRRDSKRAFTKRLGVQFSDVAKMMFDKKFKKGQFVNPGLQIYYKLYQAYERVILSEE KNNRFNKILIEKLGQEVKEVFDISNYIQVDKSRMIMDKLKKKKVNLHEEEVEELPGLLSM DQRDLKLSMIK >CAK76112 pep:novel supercontig:GCA_000165425.1:CT868230:58513:59574:-1 gene:GSPATT00011685001 transcript:CAK76112 MARSYRSLSVQKENHVFSGYSIQNKINKGGFGSIYKGIDKSTNQEVAIKHSQFDLKKEYQ IMTLLSNVGGIPKVYDFKEQERQSFMSMELLSQDLHTIKSKFKQFSLKCICLIAIKVLEI LKQVHQMNVVHRDIKPTNLMVKSLKDPQIYLIDFGIAKDQSRTEHRLEFEGTFLYDPISV HKRQKYRFLDDIEMLAYTLVFLYNGHLPWKKYGNEVLANEDALKQKEQYINSKAMTQLPF ADLFGYIKWNQHKQKPDYEFLINMFRNILREKKLNEDYQFDWMEQATPALKRESKHLIVE DLNTDLNEAEQTYKGVSFLSQLVYKFFKD >CAK76113 pep:novel supercontig:GCA_000165425.1:CT868230:59990:60656:1 gene:GSPATT00011686001 transcript:CAK76113 MKSQNSKKPNLKITLNKKSVAQLVQSDKPPSSKCSQKTTSSNGKTTASSKQLCFKFQDNY STANPSVISEGEKKSLDPDQSQLIQLLIQENLQLQKQNSDKDTIISKLITNKKPVILQRA NTSNTERSPEKCFQIKKLQQNTCQKKSISPLGFTFCNVDQEDEEKVIQSQPMTRSQKRLP KEFQIVPNQRRFFI >CAK76114 pep:novel supercontig:GCA_000165425.1:CT868230:60970:62176:-1 gene:GSPATT00011687001 transcript:CAK76114 MNQTNYDILSYLNQRDPAIRNQLIPIRVDYDEYQEQIIRYFRSIFEQTANLTSDQQLEIE IKLGTFLAKEASGQCMIDSFKFASKCHHLLITQQAQYYTFQPNFVLYPWTKFDVNSLSSS FINLTQYFRNLEKNDEISKRIYSMYIDPEKCLPSLKQQYGLRLDLLLQNGQRVSVYQNGK KAIIQKKRLENLDIMKDHIQYRLSSNIENNFNVPQEFDDFIDRNKFRSIRFKQYQIIQYQ FLEISLSRVLTVDIQNFSQLKSQFKVGGQIQWNKLLHNLLFTLYDVNPELKYPTMKDNII NNVRRCEIEFEIHDTNFFKQNLSAFARMQRNVDSFFYCDTLSHDILYNQISKIHPEADRK KHCAPVIGNYLQTVYRVANKYV >CAK76115 pep:novel supercontig:GCA_000165425.1:CT868230:62258:62824:-1 gene:GSPATT00011688001 transcript:CAK76115 MMSKSINLALFSIQKNKQLLFKVRVKLKEKLEKLKKKLRKKNSQSIHNNLKCLQNYKEKQ VEELNLDDIQQNASKCILLDQRYQRQRNQFIKQIYRILIIKLEIQSKMPFTFIQNILEIS VFKKSLIVYSTIHSIKIRIQLQSTQLNKSNIFMQSLIIKQVLVIMNFLCRIVNKQQTTQY MYIQMQTI >CAK76116 pep:novel supercontig:GCA_000165425.1:CT868230:62934:63050:-1 gene:GSPATT00011689001 transcript:CAK76116 MQVKSIEQFQVNIIVNLGKIMEPSQTTLYSKDLKDELL >CAK76117 pep:novel supercontig:GCA_000165425.1:CT868230:63273:65008:1 gene:GSPATT00011690001 transcript:CAK76117 MDILLPQTQGEIVYIYISARNLRNLDHSNILDFRCRVYLKDDFVGETEVSKSSLDPDFKQ PIPIMYKFNKKQKLTFQIVDADQELSNQLITQSNQAALVGSCKQPLAKLMGARNSLSQLN LLKGDEIVGNIIIHVTKKGPQIIGQKQQGPKVTEIKWRWAGVKLLDLDFFTKSDPFAKFH SVNGDQIDLIHQTEIIKNNLNPNWMGWETTEEQAFKHSKQLYVEVMDYDKLGSQQIGHVT IDYNEIKNNKRAEFPLLTPKGKNAGTLKLVELAIIEPPIEAVEIVQEEPKDPTFLDYLMG GWQMSLTIGIDFTFSNQPITKPDSLHKIDPNKLNYYQQAMKVIGGEIVAFDYDKEVPVYG FGGTPKLPTYTKNTMDDCFPINGNKDNSNCQDIKGILEAYVSAVPQIVFSGPTFIANVLS KAHQFAVENAKQNIYTVAMILTDGQIEDQDDAIKVLLQCQNLPMSIVIIGVGDENFKYMK QFDDPKFLKKHAKENQTIRDIIQFVSFQDFKNDIEQMSSVVLDQLPKQFMDYMHVNNILP VKMSSVHIGKALQ >CAK76118 pep:novel supercontig:GCA_000165425.1:CT868230:65011:66180:-1 gene:GSPATT00011691001 transcript:CAK76118 MKSIILIALIAVAFTARVQERNMAKVQADLAKSTYGKALLHLVELHSMAGGPVQELIDAI EELINDLEDELEELEFNFQVRTNEHNALVVSLEQDIQDAVIDVNNTQDTLDNLLYPRRAQ IQSKIDSVIGYQEDNRKNYDEAILVREQEHEAFEAQVAELNDATASVDDALALLASLTNP SLLQIRRFQNTLKNIENKIRSRSRMAPMIKALINLASNQNFSNQDVLKNIVDALNEFRNA VVDQINDLTAQEAQDVVDHEAYLEQLDEEYSEFQRQINRATVDLTATNEKIDSMTEFRDQ RAADQKQYTAELELENNTYAEETDIYTNTKNEFTRELGVSESALSLVKSVDFSNIKV >CAK76119 pep:novel supercontig:GCA_000165425.1:CT868230:66564:67476:-1 gene:GSPATT00011692001 transcript:CAK76119 MQNHKFILNEYMTTIINKRVCLNQDVGNQNVFNQTLNSKSNEVGLSISIYLEHNMSHRRI LAEQWILQFKSNNNRNMPCSKQCTFEKNSLCCIFTKSSLHQNFLVKQSCYQNYLLQIVIE HNGKNQNLELYKCYHLNMEDDVSIIARFHQHLNLTQEVGKPRSLSFQEQTMIPKQNNRLV RFNSDQIRTPERKVLMYSVEEEVTFLIDENQIERVRSQNSDSDFMIQTIEEETDVTEWQI IDHKHLLTLDQKKMLNSLNEMRNLFNSKSKHSHQIQQTLGLFS >CAK76120 pep:novel supercontig:GCA_000165425.1:CT868230:68153:69947:1 gene:GSPATT00011693001 transcript:CAK76120 MLSIFQSCKSKTSSFDNNKLRCHSTRLSQTQYSCPRVTEEDKLLFENTQLKYQLHQLEEE FKMYKLKQRHMKTGQNFSGEICFQLQNLRKLQTINKQLEQELLQKVKEIEEMKKSMNLTK QQELQIECKEYHSECLRLRKQIQQLNQLLNYKLNGVNLKDLYEDLEKRLKKLQVETKQQQ QLIQQLQSDTTKYKNQIEKLELENKHFERENKKQLLLISQIQVPQPNKFKNRLQIYRDEV EITKLQAKIMELQQQLINQQNYKEQYIQQLLKIINEKDDQLQAYELSNPNLIIKQATETQ QLILDQKYQKFVENIQSEKSIENEIISDMPSQMNLLRQPSIRKKQHMLDYQKSFQIDITS INGFEENSPQTEEVDDNISIKKEFKIQKLPKVNYYEVRTIGQRLKFQFQLKQIPLIYLDQ LLNYYEDSEITIEEVIEILTKEPFMMNDEREKLLVARYLVEDNTQDYVLHSLKNSNSISI IKSVLKQLLGKYELFSNSERNNIEQHLKQVLTKHQYKIQDTLQQLAIKKNYSQQGECDNS DYETTLRFCEIQLQPRSIEYMEMINYNLGKNLEKINYKSLLQYFCDSQS >CAK76121 pep:novel supercontig:GCA_000165425.1:CT868230:70122:71310:1 gene:GSPATT00011694001 transcript:CAK76121 MKIIPFSNKYTNFVNDKDKVICFSKQSQQCSLYNLTLSKIIRKFNLKKQPKLMQIIDNNK LLIGEQNGDIQIIDISTAKLITNLSNHAYQVVQIIPFEDQYMITMCQNKEARIWDSKNLK QIFKINHQTAVKQIYFSKNGQKVILVAYDCSVKIFSTQTGEIINQINHNIQQVYYIKYFQ QFDQCLIAYQNKQDRQVLLWDIQEFTNKQILQGFNNRIQDVYFNSKSKKILISKDLDLAV IPSNSNKLIIYNILSEEIRAEIKGLAHQNKINVEFTKNNHLIIDDQQHILVYTENGKFNQ TLFTFNENQIKYLQIIANQSRNNNRQSIQMNDQKITQDDETNQSQIWLHTPSYLAPIS >CAK76122 pep:novel supercontig:GCA_000165425.1:CT868230:71759:72608:1 gene:GSPATT00011695001 transcript:CAK76122 MQYTSSQLIRNQTPEDLIQSYSTQKRDNVSTNSKMQTQIKHSIELLKCNLISRQTVSDFT NRSQDQLVYQLFQLQQKVHNSQKQFIKKELYLQEQILQTQKNFDKTMNLMKLKYQMQIDD LNSELKVKDEEIYSLNLQLQQSNLNKIEERLYSSQQKQKSQTSEYLNTESSRMNSQLKMP RSSSGVSKYQKELNRIKLQTAEFAQKFENEKNQIQTDIAILKNQKQVLQGYLNFSKGQST DRSYYNSPIKLNSMMTNRQSSSSFGVKDYFSRKQ >CAK76123 pep:novel supercontig:GCA_000165425.1:CT868230:72651:74788:-1 gene:GSPATT00011696001 transcript:CAK76123 MEFYEIVKSIGSGSFGQVYLARNKREDRLYVIKRIKIRDMSQKDRENTENEVRLLQKLRH PNIVAYKDSYLDREQYLNIVMIHCEGGDIYQKIRNKKSFPESQILDWFAQMTLALCYLHE QKILHRDLKTQNIFLKNGRVRLGDFGIAKVLDSTRDLANTCIGTPYYMSPELFKYKPYSY KSDVWALGCCLYEMCNLRHAFDAQSMNGLALKILKGSYSSISPTYSKALRDLINKMLNTN PKARPTIQEIVHKPIIKLRIIYYMLEVFSEPQSADLDDMYVDTLYEQAEQIGVLPLIQHY QKQMAQGATIADIKNELEKGGRAEQRMLIQIQEQEALKLKQLKKEQIEKKKLEDEIKRLE QKKQQKLPTQNTKYTETNPNTKATTENITNKSENTQKTDAQQRPDYLRVNQRKVSLERDR RPNEIRQKQKERSLSLEKDRLRDDSTISAKERVIQKKQKRDEEKTIQEEKERQQIYKESY QNRKLAQERKQAQYRQSKDSSLKVQEEFYDSEDSEGVMAIQEIENEEEYEEEVDVDQRLD LMKTKLKDKTLKIQEISQSLQQNRQVQNMQIKALETGQVIPLVEEELENEDLDDEDQGDD VGLDQDEIKPINSIVARIQDRIKLLRHRCEGGLGNNLVEKALQLMKTKQLSTQELRKQLV QLLGEENIGYWQLFDQILYMEELLTKY >CAK76124 pep:novel supercontig:GCA_000165425.1:CT868230:74835:76394:-1 gene:GSPATT00011697001 transcript:CAK76124 MSQIFIKLEENILVHLQLIQEKRSSINILKICESQQSSRIQSCYTNQNNIYTQQLSNPLF YISIEYNRFQNYQIQYFDYSFDDCYLNDLDSFIEDEGDNEIIQCQSKLKMINAAYLNFII NTLDSDIRNIMLQDQCSLIDSKMVKDPSHIYNDDQINRKVSSLYRRIKRKDQMEQSKKLI HHYSDILTGIFEDEYEIDNLAHDYGLVEFDEQIQMQQSTSKFEKARIMINSAKPIKKRTS NREQSPPSERSNQKTNTKIIMSSPRHQTINYTTNVQKPVKQLLQATSSQTSVVQRRSDSP QDEMSLKESCNLNTTQSNKMKATLTLQNTTNPISQKEEEIVKTLMTKSQERRNPIIISSM SKNSLQMRTQINEARRRQSIAVIEKIKLLIEEQKLAEIEEEFTNNPNLMINERFKGNNTY LIMAAQTGNIEIVEFLLRKGAQVNLQNNDGDTALHKAIAYQFYKVADLLIAQGAQNIRNC DGLSPWQLVQ >CAK76125 pep:novel supercontig:GCA_000165425.1:CT868230:76480:77478:-1 gene:GSPATT00011698001 transcript:CAK76125 MRSKGHSKVKSLHTVQEVSNTKTFFISRITQRSFLKNALAPLPLEQSPQIQVPTPLSGRV VARKVFKGTSLKTQGSVLDCEKKNQQSLFQVIASEKFKNIISEGKLRKEEQTLFTLEGPL TFKDRQREKIFKQSLNIENQYMQQEDNQNIQIFLKNMRQIITTSHIQHNDIQTNENSFLS DNFKTQMTSPRFTFTNHLSTIQLQNQPTQLYKVGSTSLLDPIEEKKEQMKPTMQPTMQIN KKKLTISVSNPENQIGVYQFNVPDYCKKLEDKIEEVQEYTDKPKHVLKQALQFVSKFDVN IDFLITQKNDIQILQIHFKDYWYSRSRECTRR >CAK76126 pep:novel supercontig:GCA_000165425.1:CT868230:77680:79082:-1 gene:GSPATT00011699001 transcript:CAK76126 MLRLAFKQLSRQSFSQKMKIYELLFERGQKFFNLNQYQNAIDQLETCMSVFADAKQSKRW EDTKFEDLNCRHYPEQQQIKVCFQDLWNRLFELMGKCYYVLHEQAACTKMCDYWQQLNPL CAGAFILRAQVLNFQINKSRFLSEQVTQLDCQMICKDLKFAQQLDPLNEGITKVYEMVKT QLKRFEEQDSDKELYDQQDTTQYDVYEDEELPQDFMAQFAYQDNDQELSYELDPKKPIPK EVQELGRFIETRGMEMVKTYQQNGQIKEAEDLKDKLQKALIAKKQLEKISQLDFNRPKKK LYQFAQKFGIDLLDPQVQNEFKRIQEQNLEDIREWLKQNQWSIVDKATQIQQQEKARQEL AKLQFKRKMIPQKVIEIRQVIFQHAKHKCIKKVALSMHQNSSSPLTMNKSVSSELGSVQL NNSCNILGVQILQLKVMMNLFVI >CAK76127 pep:novel supercontig:GCA_000165425.1:CT868230:79570:80769:1 gene:GSPATT00011700001 transcript:CAK76127 MSKKIKISQPLPKVNWKDSDMEEELTIKIPNANLISKIIDPRSSPQEYFVPIRFPAQQHM KDADGFFIPLPKEDPKKKLQQQHQFQNERYQIQLQDLISIDDLGQGSSGRVIKALHRPTN LLVALKTIQVVNDEKFTKQINLELETLVSCDHSNIIRCYGAFLEGAQVAIALEFMNLGTL QDVIKKSGKIPEGMLGLIAYQLLKGLDYLHRTKKIIHRDIKPSNLLINSAGEVKISDFGV SGQLLNTQDQRSTWVGTVTYMSPERFLCEPYSSNTDVWSLGLSLLECAWGVFPYPHPGTN ETTPSLGFWEIKEYIVSRPAPPSPPEFSQMGADFIAMCLQKDPRKRRSAAELLEHPFIKQ YEDVSLQYLEGWLNINQ >CAK76128 pep:novel supercontig:GCA_000165425.1:CT868230:80787:81407:-1 gene:GSPATT00011701001 transcript:CAK76128 MNIQETKNIPEEVAKGLTQLAKDPFTQQNFDRLSKCVFMNLAGEENNTKILDQLNTEPLT NELFNLILLFTVQCIKLQLMEIEITTNLYDYGFDQTIVDGYIKKFTQFKQFVNDPDNAII AANFESKIGFNYLVDVDWQQEIVVSSKYANKVYQEIYKIQLHTKSTDNKDKDIVFKCTLP QLIEINNQLGIMVKNIESSSDLKHLK >CAK76129 pep:novel supercontig:GCA_000165425.1:CT868230:81902:83106:1 gene:GSPATT00011702001 transcript:CAK76129 MGNCCKQTGQKNFSSIQLNDLLQRKSLLLEQLEQLQNPVYNQTSLQLKMITWIKDSHDLF DYENSNRIAQSLTINSSIKLYRDKTSGKIQSAQQGKTLIRIENRQNCFWIEPRLTKSDDH HVWLVIKSIQQQENGVKLQYNDVIRVGKVEIKLKELHFDVGERKLDERETILSQSMDEQR CRICLLSSESLDDPKIEPCNCSGTMALIHLRCLQHWIVTKYNMENSNTIVFLWDQMKCEL CKSNFKRKLQLNGQEVDLVELSKRQFQSYAVLEMKKPLIKSKERLTYILNLEKLEQFKIG RANDNHIRLCDISVSRFHCKLILHKQEFYIQDNNSKFGTLLKLKQSMPLLKEFQNVQVQV GRTLFEFENVSNGYSK >CAK76130 pep:novel supercontig:GCA_000165425.1:CT868230:83122:88198:1 gene:GSPATT00011703001 transcript:CAK76130 MSFSEQQGQELQIRKADLDDYDEIMQLMQEEGEEDLQQLYAYPKILTLFERSYLSVTVLD SQNNIIGSAVFDDCPQGVTGQVDFKHENLWEQWIHDGWDIGFHVSSFNCLWMTFFFLDLA KKRFQLTEDQQLQITKQIFQKVYDYLNVVNGIFFLRRSEAIDAAQQELDIALENLFEILP KRQDFKLKFMQGVNQNCEIFYSASSMVTELLEIRMAREEDHDDLAAIFNRQSDVHTEEFG EFFIADLIATQNQTRRQARNYRSDGKAIVGQVGDKAVGLMSISIQISYRLLGQCFDLEVF DNLYKSEYMEAIRNRLDQLALEEQINKQITNYKKHIELTKEAMKCHIIGQRLYLQQYCFD RDQEIKQKIDDYGQEDLAKTLTQQVVTNMINGWLKDYQVFKPSDLFLEYPDSFKDLECIT IKPIQVLLEALEFFGLPKGYMNGEGHWKDWAKKKEEEQKALSLKRPKRQQKKTNKKAKKE DKDEDIFKPPPYFDLGPFLLAFTKFTSVSAETRAQFRKQIESRVKELIMEFCTENGEMDP SRHIDLIEFPDVLRNKGFDIGAPMGENLAFILECFGELEVDNRIVQKIKEEKDAKKKDAN LKKVGDDAPKPVDVLQKMTSYSEFIGAIQKLKNYDQMMCRLQLVRSNTLQTEVEEIIQDE QNKITNLERAKNVERNQTQFDEHVSQILNLLINSQKSLKQLKMLLLSIYFAQMNDLNQDH LILQKEPLNSSLIEIQETTLLSHFLQVSRKKHSTFEHVLYIFHRNSLDSHLIELRKFNQS EWNQCQFLVENLLGKENIEKDVRNVNDNETFIVYCKDEIIGLYCMKKYVNLQYLKSHFCI QDHVLIKEHPKHLHTRLLHGILNPLFAKQTRFILREICRLMDKTCIMLEIHDRTLLPDIF HEFNFVRSRAFPHFLKQKWDWTLDDDQKERMGTILDDRDPQDQTQSPFSLAMLTKKQLSN VKISNNSRIVVVGASDTGLSFIESLLTIKDIHFTNIILLAPGGLITMHVKHEFEMLKAMS TNYTLEELRALMIDARVQVVDAKMVKLDKKGNRIKIDKNAFIPFDYLIITVGLIDTELQS REKISFGLSKSPYYKNSQFINGVYSIDDPYLYSHFKRTGFKGKRKSHKIQQFMVTTLTTI TFMNGLLNRGVHPSRINYVMPPKTFQKQTRFENNKQRLEQEDKMIFDPDQFENEEVKNKV FDIMVKLGIKIHQGFTLYELKVGKEGFGLNSEDVLQEVIFRKQADNYEELKIEIQRKEQE LQELKDNSENNMSKDMYGEQEEGENQLEVLAREIEQLKASEYDYLQLDSRFFITSGLIDI DKEIFHIIHENGLVYNGRLIVKSNFQTTQENIFACGKICEFSQRYKHHSVGKSLRLDKYN GRELGQKLSKCILEQLNLSYLTSQTYSVDELPQLYMPIGQGGIVPHKLYYYYIKKNDFSK PRQLQQQPSKPIVSDNFKDSQGHFLQFDVDPNGLIESLTYFGSEAVHIPSLIHFIELSVR YLNRLDQRGKLISNVSEFLSENWAIALYHEWFSEFRHITKSEMLKNELIDQVLEKSQEDA REGRYMDDNFFEDIKKLDHQRHTSKIYKKVPSNLSDKIRTTYLCTLFQKRNLIEIIIEIK YYEQKFKVFIPQSSSLPLTSQSK >CAK76131 pep:novel supercontig:GCA_000165425.1:CT868230:88862:90313:1 gene:GSPATT00011704001 transcript:CAK76131 MDFRKFQITILRLVDIFGTPYLHSIKFNQKIQKSILGGITSIFVLVISLAYFIYVIDQWI TFQILPKTNNSMKVEQYSDIHLQDENPIIQLSYGKYSEQKLDPFDTQNNILMPIGIYFID GKPQKPFSLLNNKQKSDVYPNKLLPSLNTLTLVQNGNADQDFQKTTELVIMITKCHQEYL ADGEKCATDQQIDEFFATSVTYLDFWINLKQFNFQTQKFEVVRKQYYFWFESKSAQLSQI MIKKAYLHVDKGILFNSYSDYNYIQDTQIMMSTTKTQLWSPLVSGETYLSLIFRLDPVSI DIQLVYPKLGEILATVGSIVNLLMVVKYGAQYYNESLLENKLTDKIIKYYYSDFEDLKTS QDNQTKIYYNQLKKQAKKKLIFHNILYELSRIQLFLFNQFGRTLIENSHQLKFRFQDFKV DFEGDVFTAKQQQTQLNLIAHESTSNFMIISIPDKDRTKLQRGDKVHPEIRSSIEQQSFR EDK >CAK76132 pep:novel supercontig:GCA_000165425.1:CT868230:90358:94378:-1 gene:GSPATT00011705001 transcript:CAK76132 MNNTLLPNLDDKPYYHIYEPSLKETLNASRLIYIIRLVHVESQTESLSPNDEKSIYIQNV IMRMFPEIQLRQHSILICQHLYTKTYTIFFMNSKQVPYSYCCNRPLQVRCYPIGFGLVLC SGERCSKPELKAFKFSDNTPKLEEKLNNKLSKLISMGKHKHVNLIQQKQRLGLEEFKKHV TETPDTVVVKPRKFKLLETTLQIIKMDPQLSHYTQFFIVVTNLCKTNQISSEQKALLKSS LTHKEEKICRVLIQNSGPGKEMQLRQALLDYLEEQNKALQRRRQHKSKTMHFTKDVSDED KQQNTSKLEQSIPQPLAGVGAVMIDQLTELLNKHIEKHNLLVPEDKEKLLSLCNLILQLT SEQADPNGQHAQNIGDDNKLAEISEKDADSSEEDVYDKMKRQIDEIYKELKNIFTGNLHT HLLLMQEDISYENLYQVLKFLLKILVDADEFTFFIHRDKDWEVYSSANDSIKDVTPEEAQ KVNDELTQIRPSYIHRVDSKHNQYPQIQETCKTNAYAQTSIVKFQLHIKNYEVLFCLHWT DKDKNNIKRNFINNANIYGFNTDVTHLAQFLVETIITAKVQFFNPLRFADHVQDIGITFM RISRFLLLEGIKQVLSAKYEVEQEQQNQTEGLVKKNKEVPSLKIELKDSNQVTLSIKNLD LKNEQDSQLYSLVIIRYYIQQIIQILEKYDGYVKLCYEKSAFYKYFLRTTDSLLFDFNKQ GELIFLSRPISKAQKYNINFDPKAILYNKITYQDIFAQNSIISNIEVNIQNIHENRQNQY LSNVEIPQFEIFLKVIDNDFKGFTVIFHENEARRLKHYFMTLKMDSMTNDVQKEAEVNKS FEEDIQRQILIQYNKHQTFKFLNQLDETQDVANSMIALFIPENELQQIRHRKPDVKGSDS QSKDMQIDQWIIPPQKKKKISSSVYIKYQQQLEQVNINQFKILERDSQLDQFEFNILTLD SSQEKHRLVYSILEKNGFISQYNMNNQCLAQFLSVLQQKYNKKNNSFHNYDHGISVMQSA HFMLQCEKAKQFIDDFRRMATIISGLCHDVSHTGRTNVFMINSQSKLATRYHDSSPLEQH HAATTIFMLKDSSLNFLSNLTKEQHQQFRRILIDNILYTDIKVHFTLLKDFESRIKDDVT KPFGTGDDDLKLLTGMIIHTADFNGGAKVFDISRIWSERVNKEFSAQYEEEGRLGIPQTP FLKDLHKIHIMAKSEMGFFKVIVRPLWFTLNAFFDGYLHQSITNLDNTIISWEKIYHANL PKEERPPQQS >CAK76133 pep:novel supercontig:GCA_000165425.1:CT868230:94392:95727:-1 gene:GSPATT00011706001 transcript:CAK76133 MKPQRYLMDFNLSKSYLPQKIQANRESSAKKLSNSEVNAAMQKYFQLLKKKESKVQHPII TPTQSSKILSQLVTKVSPQLDIQYPVKPPTPTGTQSYRPSTEKKPHHRPQQSVGQSKDLI LKKLQSALFQKPKTQSQQVTPKSAGKGSLSVNKYFESTKKLNQDQPQYYITKVKNAFTKP IHDDYFSRMYREHFFQTYQGIYVASYLSPADPKDLKNKQVRLKQKDIYKNKISIVFDLDE TLVHCNESLAIPSDVILTIQVSPQETIKAGINIRPGAIKLLELLVNDFELIIFTASHPCY AQKVIEYLDPNKTLISHSLYRDNCIMTTGGMYTKDLRIFDRPLSQLVLVDNASYSYAWQL ENGIPIIPFYDNKEDKELESLLKYLRGMQGCRDVRDYNKENLKLHNFSDPSGPGAVFEKL FQQKMEIQ >CAK76134 pep:novel supercontig:GCA_000165425.1:CT868230:95947:96776:-1 gene:GSPATT00011707001 transcript:CAK76134 MFKPLLLLESSMFFTCTYGAIVIINPFSKWSIILSGYFQTFKELNDQIKDQLSHNLIDKL IKKINEFSYINQMNSQCYIHGYPLACQNQLQDLFNLIREGEEFITLERIFKLLRAWQYDV PFSNLCKLLRMANQVCHQNVKNHLNELAFHQLLHNPDLQMTYKPKKDETANTPPTLEGLF RRIGEQIERKRLQEKLNEDNITNREKFNFMLQLLQVGSPRNDRKSSIVVEKVKSVKRFKE DEFKLLMRPKKTKKKG >CAK76135 pep:novel supercontig:GCA_000165425.1:CT868230:97606:98170:-1 gene:GSPATT00011708001 transcript:CAK76135 MIILIYITLILAINAKKKFDPLSVRPRTFDKHIYCMGCQAIIRETLKEIKQSRSEVLIED ALRYAYISRTVESGCTVFIGGWRDQLSEHLMKRESNESIEDEFCVQYTKACFEIDPLEVQ KYRKEMYQKKQPVLMDGKYYMPDENGYVDKTRDMVDL >CAK76136 pep:novel supercontig:GCA_000165425.1:CT868230:98699:102282:-1 gene:GSPATT00011709001 transcript:CAK76136 MLSVIFNSEEGDRAEILLAFYDFDQDGKLSKSEMEFFIHENRQYLQKTDFEVSEMQKGMF KIWIDTNFNLTRYFEIFELLPGPLKERERIKEMLQSTSASSQKYYVISYKWWELWRFYVN YNFVNNPIRKIAHISIVDIEEMEEQKIIAPQQDDQGVQGSQFEEKNEDLYHPGLTFNHGL HESLHGSQFYIIENQTSIRPGSIDNSDIQGEYEGELKTNILNNHDYLILPEAAWISLVQW YGCYNNLAYKRRSFHDERTKTQIVDLYPPVLQGYITTNKGELSFRRSYKFMVNLRKSMRQ VMNKLVKKFKIKNQYDYQLYYMKVNESWTLVEDMELKLLDLQIVSGTFVTLVLKGKTPKS VFQQVGCGLGQLYDVQHPTSNEWLLCIVNGFSEDLSVIRFHIQKESYQRDFSIPATERSI RIYPPNSKQKQEPNYFLIPHHIGLLNLGNTCFINTVLQCLINTPVFDDYLYKQAFKSEIQ KNSKFTYLIEELSKVAIRLKDQKDKAFAPEQFKKAIDHNLPSFSGFEQHDAQEFLNMLLD TMSDELKKNLQKKSIVQSNINNSFEQFETVVKELFTGKTVNTLICQNCQYKSQKFEEYYT LSLPIPINDEIPIFIILFKKCQSLQSEIPPLDKYGIKVSKYALLKDLFIAIEKVTGISQQ RFELTEIYRNQIHRQFGNLNPSIPIRQIGLKANQLLHVFEIAKVVKDAEDEFQELMSMFT YRKENFQTSDYVDIEDRLGDWRHGQITQIIDKNHEKNYKVQIKKQNQYPNVQTFHQYQLQ PFRSKVQTQKKFNLVTVTNRYFNKATEQYEKFCFPFLILVPSSHLTLNEFQALIYIQAKR FIDFGYLKKKSCIQKMQKEKVQYYNGAQDISNDLHHSKKYNAQSVYLGQAEMRKSSYRRT EQDLEPNQKKINRPNYESIQEELNFIKSNDFPYKIKILDQNMNCIACEKSTANSSNRYNV CTGYSCEIDPMFLQLPQNYCIVLDWIDSLSFNFFSTVVSHHETYQQLIENKNNRKDRVTP DQCFSILTQEEKVEINCDKCQSKQQAIVKLSLGHTPNILILHLKRFQYRDGYLEKIESDI DFPLRSLNLKQWQIGQNSNKIYDLYAVANHFGNGQYVGHYTSYVLKNIDQKDVWVHCDDD QIKPQSAEKVVSQYTYLLFYRIREIPTSSLLNLTYNH >CAK76137 pep:novel supercontig:GCA_000165425.1:CT868230:102326:102606:-1 gene:GSPATT00011710001 transcript:CAK76137 MGGFCTGGKRNKQNPLLYLLKERPLSTLLDMWPSSYLQMLKQKYLAVNPIDGMDHFKFRT LFPKLKSQSNKFIGLGKLVACLQMF >CAK76138 pep:novel supercontig:GCA_000165425.1:CT868230:102803:103340:-1 gene:GSPATT00011711001 transcript:CAK76138 MKRIDLKVVVKKELMGYQIKRILLMEQRFRKSKQAKKAKQARSSLLNHMDFYYQRKWNLL YYYNNRRFGLKSIHKRIGKYIRRDRVLFQVKLKINRIYKFQLKGDPKIKQFDIKSNVANN LNTLDGQEVPFELYNFDRQEEQFEQEQNDDFLGNEEIRRQNDKIIVSNNH >CAK76139 pep:novel supercontig:GCA_000165425.1:CT868230:103559:104676:1 gene:GSPATT00011712001 transcript:CAK76139 MSEKKQKRKQNDKEDGEWKCLECCKSYLSYPAFYTHCKTKHDSKWPKQYSTPRPLEEIKR DKTKPRTNMDEQKQHEKEDQIFEFLGKLGQSNEEEDSSNNCIRHYLKQPIDPIKSLNDDL FQGTNYLNIYNQVLKELEELNKKVLDQEAIWDFKDPLTLTNELEEFTFSNLKGMFHQLDG QLNVVNVTAIFMGWLSRHLKKNAYQDISIISIITAYFFKNYQSLKSQEVNTEGKLDLMSL DQNEAQHDDQRKKQQEYCKIDKQNDTDLKKEVLSQMNSYLKKEYHKFQNQVSYIRTPDIA QAFFCLLIDWIEAYSDLEDYVEKGKEVQQEKQQLQKQDEKGE >CAK76140 pep:novel supercontig:GCA_000165425.1:CT868230:105209:105883:-1 gene:GSPATT00011713001 transcript:CAK76140 MYRNLFNQTPLIQSPPSMFVPYHSPNRTFSPATSYLHTQGSPNSPLLYDRYSSQHRPDSN IYCKSINYIRIVKSNEPAHSSCFPELSNLVRQKSHFSKANQSPNKEQDISHKIDYVDGST YYGETKNQMRHGKGTLYSSDNHLIYIGEWKEDKYHGLGILNKGNIRYKGYFEDGLVSGEA IEETKTFKFYGFFKKGKRNGPGSLLSRNHVTQGIWKDNLLQEGC >CAK76141 pep:novel supercontig:GCA_000165425.1:CT868230:105956:107616:1 gene:GSPATT00011714001 transcript:CAK76141 MNMTEKQLDNRPQNPGQQSDQEPNNVEEPLKHIISYPTLSVQPSLFIQMKRETIYSTYSV GKLLGEGAYGQVSIVTHRVTGMQRAMKAIRKDCLFEEEQAKLFSEMTILKNLNHPHIVNL FELFEDEKFYYLITEYLRGGELFDRIQKAKSFSEADAVRYMKQVISAVAYCHSNNIVHRD LKPENIIFASEDQYSTLKVIDFGTSRKFDKNQNMSKRLGTPYYIAPEVLQKKYNEKCDVW SCGVILYILLAGYPPFYGRNETEIFDRILKGKIPFHTAEWNKISKEAKNLITNMLCQDVG KRYSAQQVLDDPWMQQVQEQNLVDDNFLKNLTEFSAKSKLKQALLTFMACQMIQPKEVEQ IQELFKQMDKNNDGKLSKEELVAAFQQKVQSKDRLIENMETKINKIVTEIDVNLSGYIDY TEFIMACLKYEKLLTIEKIKQTFKIFDIDGDNYISKEELSQVMEGVDDDIWKQFLAECDQ DNDGKISEEEFINLLQDKF >CAK76142 pep:novel supercontig:GCA_000165425.1:CT868230:108087:109147:1 gene:GSPATT00011715001 transcript:CAK76142 MATPESLNPAPLTGLGDHSYKKVLEDDYSTGKLNLKFKGNTKNLGTANYKGWLDLSKQQS KQETKFQFPYQKYFFQIATREDGAKVHIDFGEVAKVGSNGRLNLFANAKLGSSHLGQAVL RFGGVTQFKQVTSHIRFEYNPSNVVNAFNRALWKNNNWVSVFAQDVQVTNGFKVRRFDFL IGRLSQNYDVFFRHLTQDPQKPKEVPQLLQGKVILDLVYRKDKNTFGLEAEYNLAKSQLN ALAGVSTKVEKVDVKARLNLTQKKLALSGKGKLNDRFNWTLSTEVPIDGSSPAKQGFLPV PIGFTLDASL >CAK76143 pep:novel supercontig:GCA_000165425.1:CT868230:109161:110728:-1 gene:GSPATT00011716001 transcript:CAK76143 MNPDMPVHVQSNSKIPYCFVDLKYEDFDIIKPLGKGAYSEVVLAKNKLTGHESALKIVDK NFLLKEKKMHHACIEREVLSNLRHKGIIKLFNAFEEQDKLYYSLEVLTDGNLLEYMNRHV LNDSIIKFYAAELLLILEYLHQNGLVHRDVKPENILLTKDKHLKLIDFGTVFVYDHTKID IGNKLEDIRIQYQKQRSPSLNDFEKPTIKYCRDSSFVGTSEYLCPELVDYNVIGPQADLW ALGCFIYQLYTNKTPFYSENEFELFNNISQCSWSQEQSIPNDALDLIKILLDKDPSKRFH GEFNDCQYNYDNLKQHQFFRGINFKQMWLQDVPFLNTATIYKRKVSRIQTTLVENNLTSR DKFDVRGISVTKHKADSIIISGQLDKEHGVLFMTQFSLRYASIVCQDGVANFIYTNPQLN NKKRIIPLNQFTSCKLLGKGKFIVGDRNKKKYIFKQRENNVPAQQWVNLINKYIKSNHY >CAK76144 pep:novel supercontig:GCA_000165425.1:CT868230:110824:113123:-1 gene:GSPATT00011717001 transcript:CAK76144 MSLQYPNYLDYEDDYERHYQKLTKGPFLDQISMDEAESYLYHNIITTNLINYTFKYIQSQ IHKNITLETYIVQHPSQFEEIRTRIPQYAIVITFKGMKYGGMLWDTLNEQIFLINGNSQL EDAFTDQQIYHIKSYSKDLLSVIAAVFIRILNDKNKDLSKIDSIFQECLDHALIKWVLLK QYRIKQMKQKGESYSRILDDNFLKDINSSQSHSNLKSKKQTYLLPLTEMNNQSKSFSELA QADNQLENKRRQPSSQSRHRSLIKIDNHPNLGQEHGQKQAQAQKQVYGQQQEYQKKQLEF MQKQEYQYKQKQIQIQQQEQEQKQQNQNYKQNQTLSLNKTPKAYQINIQEPSNDNIDFIK ESISVKDFLDGMNNLKQHIVKKDPKDQNIATTYPYYSPEQVLKLKFDDLEGMLQDQVKGN KNSMNYTKPIEKLLHLLKYYSKYNPYQYEIIVNQYSDFIQDKLLENIGLPRAVQNTHKTF QSSPIPTSKSIGRDMKMDQDPVIDLKFNQKIYLTEYNQLKDSLILSQNIMDIYFKYLQET FQRVLILPLEFYQVLSQNVVKARSFTDRYQGRNNTIFDLFDQIFIPIQLTKNEYVGVYID FNYKIMYYINTLERTERKYPLRVEILDMPIMQFIVKFIKNEYNVKVMKSFNILNWKFVEF QNEYAQDITHGGLVLVYIIDSICNHENVLGNTQRLKMFMSKILVVIKKLRIER >CAK76145 pep:novel supercontig:GCA_000165425.1:CT868230:113265:114267:1 gene:GSPATT00011718001 transcript:CAK76145 MSNANKPPLVIIHPLVLISVVDHYNRIISKTQQPRVVGALLGERKADGVIDITNSYALPF EEDPKDQNIWYLDHIYNETLFELHRKININEKIVGWYSTGSRFKPNDIQINQIFYKYTST PIFVIIDVHQFDPLSLPTEAYTSVDEISKSGEIIQNFVHIPSTVQAFEPEEIGVEQLLRE INNVDTQSLSAKAEQKINGVKGMNKKIAQIQQYLTLIQQGKVKPNQLIINNLQEILNYLP NLGSQDVVQAFTTKNNDNMLTIYLASLMRSIIAYHNLINNQAQQEKKVK >CAK76146 pep:novel supercontig:GCA_000165425.1:CT868230:114602:114916:1 gene:GSPATT00011719001 transcript:CAK76146 MSLLQKFTKLFKSKDEMLESLGILLKPKSQKDFDVACEYFGSLMKRYPAVVFNLLRSKEN VESYQPKAEHLLNLLFVIHANISDDSVCGQLRGPPIYWLDKLID >CAK76147 pep:novel supercontig:GCA_000165425.1:CT868230:114948:115923:1 gene:GSPATT00011720001 transcript:CAK76147 MICEASTSSFFPQQSPITQPSQFQGKTEQVKEKRRTRIEMNEQLKNPVQKTEAQLVSCQL KAKIFCIIYYSFLQKLSVNQLVYQSCKDLQYPFEEPEDVKLRYIWIYKIQNVMLMGLKLL ECKNIKYKIHQDLVYQDLLRFQVFVRQEIEQLIDQYATIPNLDCLSLYEIFSEFQRARTV LENYNDEIDLKLRVSTRQIEEFLSFSVRIKVLNQFAFKKSIKVPNRQQPNISIAQQSQSD RKHHKAVSDINKENLEPLNFQDLQYEQTYERGVMEKKFYNQSTENSMDDIGENIQLDVVQ HRPQHLKGLSTFQQY >CAK76148 pep:novel supercontig:GCA_000165425.1:CT868230:115958:117290:1 gene:GSPATT00011721001 transcript:CAK76148 MIIAAQPNRFQEYEDQAKKIFYQDYFENRMKTFPIICRFKKREYQSQFINYTTIFHDLKH HVEIGNQIRIWKENKQKLQYCCSFQYIYTLIPQLHLGIRLNRKVKDSNLDFSVYKYINNF SSLTYCYNLDSKYRTQQKFIFNFKESTLTINKEKLKLALQFGDIIKPYVKTDLRTFSKVT FGVKAKLKDFELFAYKEISKKEQNSTVSLGVMLNIFDWKVGLKMNFKDLSSSFAIFIQKY GLAIQLPIYSFDCEDLLEKYTIAAFGFMIACSILKCFQQPKVLPLSKQQRIDQSRNQLNL LEHKTKQNFRYEKENNGLLILFAYYGNAEHIQLLNQQQNKQKYHLQNDLEIIDVTLSCQF NVKNSKLHLPKYSKSCLFGFCDPCENVNTHKLLLFEYTYKQQKFDKIFDDLDEVILP >CAK76149 pep:novel supercontig:GCA_000165425.1:CT868230:117320:117906:1 gene:GSPATT00011722001 transcript:CAK76149 MEELGMRPETSKCSRMEKRRLVSQSHQRQESSQAPRINGITLQQYFRGSNFRVLEINEDE WDNHQVGQPRAPPLQNTNFRIKTDKKINNKKLLERSPAQNLTTSKDFNQRKINRPHITSN EFFQNEDELRKTRIELKYGRMKEDVNNRFGNPDQDLINKYAEKFNINREPPKLTLAPREL YAKIK >CAK76150 pep:novel supercontig:GCA_000165425.1:CT868230:118616:119112:-1 gene:GSPATT00011723001 transcript:CAK76150 MQHTEQSEMTPAMHMMDMRMYMIINWDYKVRFVFNTWKSTTQGEFVAGLFVTMFFCAFLC LLPVLKGFADRQKSLFLKIVWQLLAATQSAITMFLLMTVNGWVFLMMALGFGIGNFIFYP KMPIFEKHKLEDEKQELIRQN >CAK76151 pep:novel supercontig:GCA_000165425.1:CT868230:119199:121139:-1 gene:GSPATT00011724001 transcript:CAK76151 MNQLDEVVKFALYQCRARNNPITETLASYVAQTILNKRTNKFYLEEKLNESELNELKNET LNKLSNQNAPDLKTIQLQIQYDSAYVEMELQRQEKIKKQSNETGKYIDDVVTLEIKNAKD FEGLTTLYTKMFHYLIYKNKELIEDPINFDALGQFNMDKEVGAALESVIPRAALGPFVSL NPSEKVTQLVELANLVIGIRLFNKKIGKGGVSLSSLEDLTKYNARELSDQIKQIALETIE QCEIYTTFFINQDKFQMNNEDIEKYKDELAFLRQYLSYILSLQEDIEQSEAMIEQNRIRF IKEMEDLKKLLEHKSSAPKEQVYPKFALLAQSHIGLFEDKQISIERVELFRLLVDLRKMM KLSMPLAIQKQCKQMESTQPDNLALINYQPESGVQRLLPQNTPDFMQTQLDYFGFCIWSV VKKNGLLIPGKPSLGVFRYRDKNCVFSNEIAINEFLSEPQRYLTGVIDICRQKPHLIRLF RVEENFKNLNLKLTFESGSLLSNKLMVDKDVQTPVHFIEKNLDPNYCWNEWELRRKAIQM ANIRKRQTKASQTILSNFKVDSEAQVYEMKDQQTSTGQNKGLNPLRPRNYIVGLRDKTYN QQ >CAK76152 pep:novel supercontig:GCA_000165425.1:CT868230:121173:123296:1 gene:GSPATT00011725001 transcript:CAK76152 MKQVLHQLARQHTITNNKSFSLPTKQSLEQSYQDVKFQFVMQFSKNTTQEQLEQIVNILF NHGFQSYKTRNLQNDKILLFICIWDPKIILEQAEQMQLYKVLIDRSHSQMHEVYRKIAEK INVKKVLDERLILTLNQIDKRIVEFENYQKFKYDLKSDFCLHNDDAEVIKIFSPSETLLI IYNYLHTIKIGDLDMIHFLKTEEYLLQVTPIHDEIVSLNTVSDIERYFGGNLAVYFEFMN FYQSMLKYLAVAAIITLVIDHQSSLFKITNVASFYAVLTMVWSTFFIIAWRRKENELSIE WGVFGQQHIKRLDLNPEYKGNPKMNYITGLIKNSYPTSSRIFYYMISLFEAIPILIIAGL IKIVVFNINGLIRNEDSIFYIRVAAKLNQAGGLLYYKYTTNILDIFTILLIFYINTLYTK VCINSTKRENHRTNLRFYNSLILKRFIFELINRFFHLFYIAFVEFDIPTLRSLLIKLFVM DQIRRVLLESLLPMLMKQQYEKQKEQYRLNLQKKEDINEKIVDRIAELELWEYDDFDDYI EVIFQYGYIVLFAAIFPLAAALTYIFNFIEIWSDKFKLANKLYQRNLPKKAHSIGEWRTV LMTLSVLSIYTNTAFIAFAYFNVFDTCQKTGCDWENILILLFIIEHFSLGLKYIVQQTIN SKPKWVRIVMRRMKRKRRNFHN >CAK76153 pep:novel supercontig:GCA_000165425.1:CT868230:124149:124536:1 gene:GSPATT00011726001 transcript:CAK76153 MNKFLITLARIPKCQPRFGFADILKDKDKGDEKVFFTREDQKLMQKLLKKMKENNEKPKS SGSNDKEDKEDLQKIFSRYKINYTDALVEEVLNWKRGD >CAK76154 pep:novel supercontig:GCA_000165425.1:CT868230:125799:127789:1 gene:GSPATT00011727001 transcript:CAK76154 MYQQQLQQESIELGNKRNQTRLLESINDLSLQQKQQLLINILQIPRQERKAEILQLMRII VMKVDFFHQFQVENIDNEAYDYCLRHLTFEAFSKNTVIFEKGDYPHNMYVVLRGQVSIYQ NDYQLDQKMTKKHSLPVDQARECSPTNRTKFSDFTMKSLVRLTEFKDWKTFGEQAILDQR MRTNFAVCDVDSTLAILSKENYTIAVQILEKKKELYRMNNFKLNPSFQGLNRKLINIMLF TYQSSDYKFRDIVYKQGQTDSDIIYIIKQGEFLVYQDQRLNEYQQMKVRKQIAIMTTGEI FGDYEAFERITRQFNVQCNSHTGSLIIIPLQSLSQKLSQFNEQHYIQQLKSLCLKKNKWY HDFKTNIEQTQGKYSHFFTIQEQKSNNANGSSNFLDSPSKIINDNKQFNDASKSISPIQE LKNTLNYLTSIDDQTSEQLTEINQSINLQKIKNKNTIYVVSPDKLQPLPKVQLVSSRQKE RSQLSTPDRFKQVIKHKMTILKRMHNLSPEDSVNMNTLQQKTIYVQLPKQVKLEQNIEKH WPIKATLYLNDLDNRIRYHSNNQKMQNCNSSRDSSVVYEQFSMRMDGSEQNSQRTSRMGI LNNILQHSVTKRGKNKKKRALTLKYREYLESQFQEKTQNSLHYS >CAK76155 pep:novel supercontig:GCA_000165425.1:CT868230:127798:130494:-1 gene:GSPATT00011728001 transcript:CAK76155 MQLFTSLTINNDSKNAQTNSTKKQNTQIFKVHLYPKQYIIETNQKAITLDKHLIIDRDNG NLYEKSGAPPQSKHEQMAFSAFLGTIYILNEPFLLFVDEAELICTIDEQDIFQIASVSFL SYMPNIMQSAKANTILKTIAELRKLLVMGFYFSYGYDLTLSKVKQHIEEKTDERFLWNLN LIKNHLKQQIDRKWLTTIIQGFINYFYLYINGKKLDFYLMSRRSSQRAGTRYNARGIDDD GNVANFVETEQIIYYNNHCCSHLQVRGSVPIFWSQRGWLIETKIMRSAELTKRAFKKHFA SLFEDYSRVICLNLMAKKKKDEQMVTQGFEEQIKANSTELNENIKYEWFDFHHECKNNDF SLSNPLIRKLMDHIQNFGFFLVELKTKKVVSVQHGIFRTNCMDCLDRTNFIQTKIAMSVF DIQIKKLGVDFQNLLKMSPIEALDENSSHITNYFVQYFKNIWADNGDMLSNQYTGTGSTH TNITRTGKRDLDGILDHGKKTIGRVGESTIWDNFKQEAIDILLGNHADITASYSKQIVEK LKSRCQEFCSFSERTILVATWNVGGETVQQQYDLNRQILDYQADIIVIGLQEMVNSATGL VGGSSQYLKNWDKLIANNLRQRDKYIYIKSKELQGIGLFIYAKERFQDRITKVSYDKIKL SMWGNPFTNKGAVAIKMFIDDTLVCFINCNLEYGEKSLDTRLQNLNDIHQTLFNQTGIGK KKEEKIEQCDYRILLGNLNFRINLEKDKILIVCRKKSDGRSQGNILVYYQFNIRTLKKYD EIKINREENQYLNQYQESDINFLPTYKFDPFSERYDESRTPSWNDRILVAQNEHCEHSYQ YYKSHNVTYSDHRPVSALINIKTRKEIAEKKANVISSIIQNES >CAK76156 pep:novel supercontig:GCA_000165425.1:CT868230:130505:133552:-1 gene:GSPATT00011729001 transcript:CAK76156 MQQFHSLCPICDQSQYIQTINNIDFADSNLSFSFLEYVLNPIIYVSKFTNEDEKFMMKHQ GISNLQFHHYDFYNQAFPVEMDVLYGFDFFIQGCQFLIQNQQQIANEHFQMFEKINQFTR NIFKRQIPEYYRFHNKYFNDVCEEVLNIIKSCYQKLETIENPNVKSFLLRNCQSILQGIE NLQFPAQTIHENSFQLVLERKKKLHRCQVQLACLSRTITEDAIHTKTYQTYLQDTTHINQ IGAILFTGLINTEKLWEIYFVKLNGEQRLSHITSLIFFLNKQYDDSYIICLLKLGKHLKR FKEYWLSLVIYSFFRKVSIVFQKQFNFNCYLPYLYYQIAKICLYLGQFNEAYQLYLKAYE KSKQLNYKNLYIEIKDSRLFCYKIKYKIMILSLYLQKSVKAVEMVNELLTDGSSMPYHIS IFTNFCNQYLQDAKQFNETYKYRKCELFLKNLYKVVRSNLNNIQIPNDDIKQLANVIELK DKDIQKVILKQKIEFEFKLNSYFSILQFYLILVCHMRNDQMTTKHYYEKQEIMERFLQNI DCRLYITLQSVIEQFQFSQDCIENLLLSYVYQYISMQDLSMNRLVQFEKSKQDNKKKYTV QEGQLFKKIVDNCKSLIRYSREEPFQEQCLPTSDYAEIQYSIGVRYLKDRRYREGVEYLK RASCNPAFKDLCTAHLLKVVQQSREDLEIGNSNLRENIGDIFKQIDFDRLQSTQSRRTSY YMEYQRQSFQAESRRSMNYLVESQALSQISRSNSLVQDSVYYTLWQLKYFLMDQEIVDLI DRIEQEFANVQQLNQQSFQDKYTNYKEITPDVTVFSNDNETIVMKTKQITEMQFEKMKNK LKDLLIEILAQVLVNKCNYRGFAQLISLQYQINISQSYASSLKFYLIFPYYEPMVKMDIQ QLKDLTLSIQILNQNMIFHRDLKPNNILMKNGNPVIIDFDCSYYWEPNLQQWLRGKGLTT KYYPANDIEQDKIDIYSLGIIGKELVENCPEAFYIGATQEYSSRSSLLQLQELLN >CAK76157 pep:novel supercontig:GCA_000165425.1:CT868230:134966:136435:1 gene:GSPATT00011730001 transcript:CAK76157 MKGRKHSQSGKVSERSSRRQLENEQLVNKPDFLKAATLGQIFVVCTQQLGGLEDGKITKL QFRKFLMTEQICFVFNLMGLGLSVMQYDLEFEEEDENISNWLLWIIFISTLALLVLTVLR YQQHMNWLKSRKQISQNDKIWQTEQWYPMLIELIIYCVVPYPFTIGMRVYFYNSFQDATA YYHVNEILALFMITRTVFIFRTILAQTFWYSNRTQRVCNLYACEGNYMFVAKSLMRTSPY TSQFIALVSLIGIFGYGVRICENPLARNDPANNNLGRYANALWNIIITITTVGYGDFYTR TDLGRFVIFVVCILGIFVISVMVVTLINSLVISTLESHAITVLERIQLRSNLTKSASQVV LYSLKIHVALKKGNLSKVQLKILLIKLRKNLNDFKIARRKYRNKQDVGNMNEEITNQFSL LKSDFSEVIEKQKGLLLQNQDIMNKLGVEAKPYISSP >CAK76158 pep:novel supercontig:GCA_000165425.1:CT868230:136469:137943:1 gene:GSPATT00011731001 transcript:CAK76158 MQNKIFLQLPTISQNYFQLQSKFLNKNNNQIELLCYENQLYRKSQKSLKFTILNSTLRFS IKHEQASIILRLEKDECNFKEYVFLDKADPWEKKLKSKIPQLDYQTYYELEKLVGNGSFA SVYIAKKKSDGSKVAIKAFLKKMLIQNNPNSWRLTIENEIKVMKCLDHPSLLKFYDHFEN RAQSYIVMSLARGGTLEQGLKKLEEPLPFLSVKVIFRQIVDAIKYLHERGFMHRDLKPSN ILLKKPMSLKQFSLVAQQDPNIVVSDFGVSSEIKDDMDIGNYCGSIGFMAPEIISCEDDK NFTYNEKCDIFSLGCIFYRLITNKPLFNAQNQIALKQLNKECHFDWIKISEELYNSKQLT QLLMKMLSVDPTKRPSCSDILKAKILEVEYDNEGCPLFINYKKPKSQSIQQAKTSRPSIK SISNNRLPFVSPNLYYNIQNNSKPSNVRSGNLLLPPINKKLQTEQCQY >CAK76159 pep:novel supercontig:GCA_000165425.1:CT868230:137990:141329:-1 gene:GSPATT00011732001 transcript:CAK76159 MSVQRLPLEKQATPRHYSSKPIQQVSSTARTITKPPQVQQEMNPDNTILSFMKSLDGKQA GILNRSIRDGEKLHKPLIKPRTYIPQQKSIPTSNVERQILNNLETRIQKLPQYEQELVLQ ILASIEIGQPKHEELISFFKSKRIHLKIHSNYGNKTQVGLTSIELLNNNRKYVKINCIYS DDDSHNTINNLINGHNLTIYPEQMWITNFKQFPITITVCYLLQEESEFLTSVKIWNFNKN RKELDKCVCDLEILQNDTVLWSGQIARGVANTFSEYAQTIELEPLPQQSINTIKESETRN KNQNDSTQPFNQSLQSINSKKSDENKEINLSKASSQQKHAKPKLIFDPFDDDKKFKKLEQ KQEPSSVKTKQTIHQQRFFKKSINLFSQKQLTEEDSTSPQLNFKRGVAHIAAGTVSTRTK NISIPECPFGSTLTIKFLKNWGDLYSIGLTGIEIFDYKGKKVKINSVSGFFRNAAVLFDD NYLTQDDKNMCIERIDKNMEITIKFNDTKLSMIRIWNYNKGRTYRAKCVRNIEIVLDTDS IFSGEIKQANGTCGIDNAEYIMFTNNPQIMEKIQEQDWLNTLHESQIQQQKHILENTVKL SRPDTATFNKPKGEITAQKFANNNLNSQFNKDYQHQKSDSIPQIVRQESESKLQQFPKRL FSSQNQINVKVLPTVAVKVLTLYIIRNWGDKFVGLDKIDIHDKFGQSMKIKKYKVITSQS ETVVNSDERWQLANGKIKIQFVFIQPTQISRVAIWNYNKEDELEKGVQMINIKGDNQILN TKQGIYLRKGHGLSDVNEPQLIELPYQKKIEIMKVNYQFERNIYQDYETTQLPQGTKIVI KLLSTWGDLHYIGLNGIEIFDPNGEQIQPKLFAKPFSVKELPQMEMDVRTPDKLLNNVNI TLDAKQMWLSPFVNTYTDRLKTNINSSVFDQISYQVNKLILLFDTPQQISAISFYNYSKT PVRGVKECEISIDDNIIYQGYLNLSTLTQTVRGIMGNNKTTVLFTRDERLIDQIGTVEIR ESIIGSETLLINENQKLKYNSIKNKEVRLQQQGLYKQLDRPTTTTVK >CAK76160 pep:novel supercontig:GCA_000165425.1:CT868230:141385:142085:1 gene:GSPATT00011733001 transcript:CAK76160 MGEIVSNQLFVAGYSRSKVTDERDVREIFRKYGSLKEVAYKGSYSFITFSNEDEAKEALT EMNGTTHNGQKLKVDVVDNRKGRRTGPNESDECFKCGRGGHWARDCPKGRSPHRSRRYSN SKSRHHRRRSGSRSRSSYSSSRSRRRNRDHRNRRRYSNSPKRGEEQKKGNYNKRRSPSDS QHHSSS >CAK76161 pep:novel supercontig:GCA_000165425.1:CT868230:142088:142476:-1 gene:GSPATT00011734001 transcript:CAK76161 MNSSRYGVGNRSGASQSQNQLKPAKGLWGKLIRFFQTGWLNPRTLYRQSRRLLWVGTTGL IFLIAPFAFSHFLEVSEELSQISRMGAQEMSKI >CAK76162 pep:novel supercontig:GCA_000165425.1:CT868230:142808:144678:-1 gene:GSPATT00011735001 transcript:CAK76162 MDQFKRIKKIGKGNYGDVLLVQRKSDDKLFAIKRVDLSFRESYVVDPLNEVKLLRSLDHP NIISHYDSFTHNNKLCIVMEYAENADLSMMTNQAKQTQTYIDENTVQYLIYAILQILGWF SQISIAIQYLHQLKIIHRDIKLQNIFLCTNGIVTVKLGDFGISRTLDNTLDLAQTSIGTP FYLSPEICQNQQYNHKIDIWMLGCTLYELCSLQKPFKGESISEIAFKIINEPHPKIHRNY SDFISQLIDEMLEKNPEKRPDISTIIQYPQIQSELYKLQGLYKTQFNYILPVSPMQSNKS SQKKMHKNSIHFLVEQSKQLQQQQQQQQQQSPQVCKQKRRKVSLQQLIQDSSNQNSPTFK QLATADNANKNKPLSFNLHTLPDVINESIQQNSAVMAQRQLRYQKSISINTQIDDATKRG TNEYENENQPTLLKNPKTFSFAGQFLNPNAPTSPQRSILLTDFLKRKIGEERFQQMKTLL ESSNNPMKMLDQEKELVSEILGEENMECIKIFKVLISSSITPQAQHTRTKSSQSFQQYNH NDQSLIDLIKESDLLQNAEHSQNSVFEISFKNLQNCHDAFKQS >CAK76163 pep:novel supercontig:GCA_000165425.1:CT868230:144772:145768:-1 gene:GSPATT00011736001 transcript:CAK76163 MLENIKQLLIPQPRERYGIYPSVLKEVFSQLSRGVQIYIYFTISKLLITLIFFGEALKCE LSESHSTATTLILHSIFLLYHEFKYKMELENIEKLNLIQQVLEQQGMNLEQIPDRQSFNK LTMTVKKSIFSQCPDLNRESKFLNFLAQVIIIRFFILMLDSPFNFNMFEFYQETCDAHLL NVIFIIVLSMFLEFIFLYCLVFVLVIALPLLCCLSIYRKGKQLYSIQRLKRYLESIPPHK YTGLDDTWVKEDKNCCICMQEYAHNESILQLPCSGQHQFHENCVRNWFNVSECSQVYRYR IVVLFADNSQVEDYI >CAK76164 pep:novel supercontig:GCA_000165425.1:CT868230:146305:147004:1 gene:GSPATT00011737001 transcript:CAK76164 MEEQIFKIVIIGNSAVGKSSLLIRYCDDTFRDIYLSTIGVDFRFKTIKLDGQGIKLQIWD TAGQERFRNITNSYYKGAQGIVIVYDVTNAKSFEDVTKYWMAELSHYADQNVKLMLLGNK IDMATEETRQVSEEMAEQLSKEFNMQHHVVSAKTAEQVENAFINLARSIYNEKSLREMVH PQQQQLTSKKNKDEKQKVCC >CAK76165 pep:novel supercontig:GCA_000165425.1:CT868230:147004:150337:1 gene:GSPATT00011738001 transcript:CAK76165 MSYTSRYSSARPQTVKSSIETKPTTTPAAQVNKPAEQSPQPVQRSDVRRSSQLRASGQPT VINVPPPTTERKWLGKNPEVVCFLLALENDRMMRENNAQFNRIKELEGVNEQQEIRIREL QTKLESQTTEISNYKLKSEIEKHIIRIKQLESELNEYKSKLGSVDQSLAGRLKEAERKLQ DSDKELTNWKNKYNTDKTNWDNEIRRLNDLLTQKQKELDDYKNNQGKNYEQLQQQLRQYE TKIREYESQISTYKSDIDRLNKLLLERNSEIDRLKNELKTRLQELDDWRSKFTNLQNQFN TMKSQYESKINDLTNTLQNRDRDLNDWKNKYAKLEGQFNNLGSLQSGSESKITDLQNRLS QLQSDFDRQATQLRDRDAQIQALKEKLQRLEQDNFNLNNQLQDLQSRYNNLLKENEKLQN ELRNRKNELDFMKQQLQQANDQLKRYEDQINQLNEQIMECKDQIMALQNSKEMLERQLQD LYSKSNDNVGELKSANDQLEGKDKDIADLRDQLNQFQVDRQIMESMISSLNNQLANKNDE IQRLLAQNKLRLQQLLELQSRYAQLDYLLSDLKGVELQNKQLIARISELQQLVDDLKRKL AQQELQLLQSKSNEFKIQELENIIKELAKEIQRLNEFLNQKLSENDKLNMQIISMENEIN KLKNLEPLLRELEKKLQTHLDDIARLKQLLDSRTNELNEWRLKYSKLEPVIDEKRQLEEK NRLFENRIQGLHQDIERLTKQLRQKQLDIDQLNWILNQTEGLLRNRDDSINSLETRLNNL QRSYDNERQKTLNFEQIQSQLQQAVDKINQLETQIKGLQNDLNRAKKEVTYQQNENDQMR QQIAKSEITILDLKQNEARFKEVENRLNQSQKEVEKLTRQFNDKLQAYEELKQNNGLLDT KYKNLQQQYQELLQRLNQLEQINNQYQILKAKNLELENNNKQYKIDQDRLNSTLNQKLQE LNELQNLLPQLEDKIHQLTPFEEKYAIVQPKYEQELNKSDELQRKIRGQEQQLQELEKVK KIVQDQTVMLTVLFGECEGLRQQCTDKDGEIQDLRFNVAQLSDQ >CAK76166 pep:novel supercontig:GCA_000165425.1:CT868230:150403:152840:1 gene:GSPATT00011739001 transcript:CAK76166 MKMRFIGESNYWEKGLLERHIWWKCIQDGTLCVIKQVDLNQMKEDERRETIKEARILEAL RHPNIVKFREVYKTKKGRLCIVMDYADGGDLSNKIKQTGSCLFSEVQILDWFTQICLAIK HVHDRKIIHRDLKTQNIFLTQDGIIKLGDFGIARVLNHTREKCKTIVGTPYYLSPEIIES RDYSFKTDIWSLGIILYELCALKPPFNAESLHGLALKIVRGQYNPIPDKFSTNMRQLISS LLQVDPNRRPNIHEVLKMPIIVNRIRSVLSESIRNAEFSHTILHKQNFVNHNLIVPYDTE LRSVCSQPNLGKIQQQQPLQQQYQQQQQPSNYLLAFNARGQPQNNLLKQKQLYQQHLPQN YDYISKPTQQNYNPYMNYQKYQQNKQQQQQPQQIQPLQVNNNRPNISPLNPQRNEKSPYY DDRSPLSKSPFNKDVRDKSPFEIQREQVRQQIKRNEEKFQQLQENKIKYLQPDKYELPKL SREPYSQPQIQYKYQKQQSDPVSVKDPVRLEPINNNQQQQKSEQQQQQKIDLQQKIEQQL QSQYPSSSREQKEQRDSVYQAPQTERVQVAQYISQPPPTQQQQQVDPIQKTESVKPSKLQ LKESQLILQEIREIKNDTKDDREKMKQLMEIKRQRNSNTQSEIQEVIKEASKNSDEQEDE ASQLLAELEDIVIAAEGGDVKHSQVINVGDGDLINMQQSVRESVDREDDESLEQKSNASN ENGERESFQDDEDIVCETPKELLYQLLLRQIGQEQLTKALNKIQNAYSQDYVNLMQNGLD ELQQYKALIFMYECM >CAK76167 pep:novel supercontig:GCA_000165425.1:CT868230:152918:155373:-1 gene:GSPATT00011740001 transcript:CAK76167 MLILRTQRKRDVQGSYRAKFEQIKIQVVDDKNVLSTSIIFQPQYDKMSMIMIRLEDYYLV RAQQIDDFHISTIQNAIQCKRFNPSLISKVAGQPINVPMFPIQKSSNSIWESNNQNQQQQ NIFNSNASSSLTDNRQQNNFFSSMNNQNNQQQQQKQQYGNIFNAPPINNSMNTNNSVNIF SNPNPRQDQQPQNIFNQSSFNNNKPQSEFNNYQSKDLNLPWAQQQSQTGNIFQQNKPQLQ IQIPNQHQSNNDTNLFNNQSNNNHNNNNPNILSIFSQPQQQFMNNMQQPFQQQQQQNSQQ LMFQQQQFQQPQQMYPLQMPQQPFQPFQNVNTINMLSNDLQMIQYQNQMNPIQFIKQSVD MINNFTTTLNNSIQEMEKLYKLEEEKYLEQEYLINQLEQKKRIERQKKQQEINLLPKKKN DSHTLFRTMPSSSNLNLANSQKSSRISIQHQSQSNCGFTTSRISQKSTKSKREYIIEIYF EESENFITFNEEFNDIKSKIDFKDKILQKIDQMQVFKSDKAAYFEKSEFIIDENNNQDNH QTLSFRILQTYRPILTKQGYYTLPDINQLTINQLKNVEDFTIFNEFGSLRWDSPTNLLYI NLDRIVDIQDSKVEVYDLDQIHEYLKPKVNKKLNKSCLITLKVPITINKDNYETEHKFLQ QKCKEQNIELIEIDQIKQQFIVRVDHFSIYTFVHDENEKQQQQNDYIISQQSSYELNDII VNQKAQNQEQEIKESQQVFLQQANKIQNQSKQSKNQYIHQLNDKDQQIQNLEMFCDTLMH ESRILSNFESKISF >CAK76168 pep:novel supercontig:GCA_000165425.1:CT868230:155542:156281:-1 gene:GSPATT00011741001 transcript:CAK76168 MEKQHSVILTIGVLLSLLFSSKFDANEGTVNIKLTLSTMSWILISLILVVIQHLYTRRKI PSQPQQETIQEKMKDIDSPMRPRKEAALMDEQPTLSKEDSCADMGESLKLRSTQSQQDFD QVSMTSSLRKRSNSIASEGFQRKVSFDESQNKVHTYKKNNKLEIRNFESSFQAMKQEKKK KNELVKQKRKEENFKKLNINDINKKGRKRKSSLDENLSQSSY >CAK76169 pep:novel supercontig:GCA_000165425.1:CT868230:156311:158485:1 gene:GSPATT00011742001 transcript:CAK76169 MSRRKTNTKVVLNDNDDEIAQKELMYAPVIQIYTDNQYLTEMQVDSITGIMQNIEIFKTW CPETSALSEFTRLISQNLQYERFQKQNAVFNLGDQGDKFYIILTGTAAVYIKRQPQQIET EEQEILPKIEQYLDKMQLNSITEIESDSKFSFFEKLIKKQTKPLKQIESELLLLNTGNFD MYFTKYGICKFQQISQLHSGQYFGDMALTTDKPRAASIVTITELQVLSLNKTNFKKIFEK QIKAQQEKIEYFLKMFPSMTKFKISKLIMYFSQYKYPPNYKIWKQNDIVDGLFLLKEGEI QLQKTVDFHPFLKSEQNQIPSETKREKTSQMDLITLANLTDGCFIGETDIFLKNERRDYT VKTVTQCNVYVLHMDNYLIVKRQFPEFINPLQLLSQKNINLYKKRLDEIVQTKVISLNFQ KKEESNVIERRYLNDIEINQQPNFVHQHQISSPILRSSIHPKMTKQQMVEQNQSIAFQHQ KNEKMSNKQEEFNMLKMAGDNFQKCLFIRVEKQFEQFQPTKPKGKTPFFSKHQKDIKDLL NQIKRNQITQETTINQYEESDQHSLPFLTLSKRQLKNINPQVQQKMEILKQCLTSPTSCH SNSVSQTIDQFYKIKAQQGFVLCDSLHPIQDRGHTQSRFNKHLANVKLTQRNQKTIQQCL NSQKVSIDNLDTNIFSSQPTLQYL >CAK76170 pep:novel supercontig:GCA_000165425.1:CT868230:158526:159312:-1 gene:GSPATT00011743001 transcript:CAK76170 MAGILQQSTHEQHTFQELINQKDQEIQNLQEELKIIHQHIEQRMNKSVISNHSKKSQNIV KFEEFADIDENDINEQSDEEEEIMKEKLKLQKVLQENDEKIRRMEIQLEQTQKFAKTKIN QELSNLQIQLNNQNKVIEELNTLNSNQFKILEQKEKEIQDLKEELNRYYQQKDHIINKSN YSNSFGSDNEKETADQQQLEKKQDDNFDIQRQVEIQNKQIEQNLKKTLNEAIDIQQPKNE CLKEESQNNKDCLN >CAK76171 pep:novel supercontig:GCA_000165425.1:CT868230:159525:162877:-1 gene:GSPATT00011744001 transcript:CAK76171 MASKVKIECIKQGYQISNEMKIDIMDFYNRSLHQEHYAHKTDYIRHNLEEKYGRCFSIII YSIGSFVSHSFLYADDFLLELRSPEHHILAYLLPPSYTPPPLIPDPQVVPLSRTLSHPLH ASKPVQLQLSPLLQLTISSIQDLRLSNIIFILLCQQILRWLLQKRILVKINEFLFYFINK IQQFMHSYRNPKILQLDRPSRGSANTKSEMQNTHYINKPETQWFSQQPNNSTKIYESIVQ YTSHSSQHDPNQNDNESTEKQINTLKLSTLTSKFSIQNPKQQSPFNSSKLDTPSRVDSLL LEISEIKQQNEKAKQFYQSQIINLQNTQDQLTIENISLSNSLKGLTQQLQDARYNMHKIY QQTDLDEFTNSIQIDSNHHPNIQQAFYQFQSEIFQFIYLYQSQINQKIQLKQQQLNKIII KLNSIKNHNQTNYNSISKSTVGQSKPSYKKQADFIMNQNQGLEKQFNELKKHHQYIINNF EQEKLIAYQQEQSLKREFQARIQQLEQQISILLGENQKKELIISDLNDFNQLKVLQIQQQ EEIQQLKAKLSESNNSQINLNDQIVLLKKNNNSLLNDFEKNQMDLSTLQKEKQYFQMQFE KYQIENVDLIKHQQLLNENQQLQIDKLKQEWQYQTNRLQEQIRTKDQKLTQFQENYNTLQ RYKQELENLLKQSRNEANKFKDQMDQIQQLQTKINKQNYTIQNLIEQNQSQENMINNYQS EQNLFEQKIVELQRKNQQIQLQQQPQERESLNQKIYKLQKNYEDKQSEIKILQADNFELQ KQIKQEQVNQLQTKTLITNLQNDRLQYQQQKAQIEQQLDKQQKHNQELLKQMEEVIQNNL NQQEQQTNEWQNQQKMLTKKQVQSEKHLESAFSENEKLRVRISELEYKLEEEIDRNQKLV EEIKKYLIDSKQKEIQISESKSQIQRLTLQLNNIERDKQEQKATLLNDSQQSAQIQEIEQ LQFKIKQYQNESKENENQQKQLNQKLQEALKKLEQIQLQLQEEQLKSLNLMKQLQSISEN KSSIQQNQDIMDLFDKLYYCLNQINLKSSSKYYYNNQKNRMRVHCNKNHKYRVVGIKLLS RLNNLEIKSLI >CAK76172 pep:novel supercontig:GCA_000165425.1:CT868230:162955:163832:1 gene:GSPATT00011745001 transcript:CAK76172 MQESIYNLIPKEKTPPKKVTKLYKSTIPGTIAPTGSTFGTQSLIIPVTNLNGSYIIQQNR FRSESGILGKSKNQKLAPIKHRHMGNNSLDIWAEKGSIHRSVDLGHQHSATKPQIPKLDD KPIMGLKQHKNFIATNAIDVILANPKRKQSEPDWTKKSAYGKVPPYLTQIKDTLKEQFYE EQYRKQLEQQEQDNKLQQMAEDELEQIRQGLKKKYDELNYQYQQQTHCRVFGTIGVKRRK ENYEKELIQLEQDIEKLNKKYVFIQH >CAK76173 pep:novel supercontig:GCA_000165425.1:CT868230:164176:164496:-1 gene:GSPATT00011746001 transcript:CAK76173 MAEENLDQIIDMPEQSDMINDARTQARYAVEHFKVESQISNYIKKFFDEKYGPNWHCVVG KHFNSYSSYESKRYMFFYEGQMAILLYKMG >CAK76174 pep:novel supercontig:GCA_000165425.1:CT868230:165380:167095:-1 gene:GSPATT00011747001 transcript:CAK76174 MDNPKEKTHNMPQEEMLLDMIPVIQQLVEEFTRNTKNQGSVVLFVGSTGSGKSTTFNFLS GAEFKLDHNEELELKNHSNIFSKMNAGVISVTKQPNFFHNKNNNHLIIDFPGFLDTNGDW DQLLIELLFNKIVSLGALKVIYVINNPQNTLQNRGADLQEFLKQLDNPKINLILNCYMAK WPDDKLRNKIKEDLESVKSLEKDKPNLISLIDKILIKRRAAHQEDLDIFFSDEQRNIFWN EIEELTKTQIKPRIIPKSEIISKYIISKATTIIQEYGKQLISIFNSDENQNDGKYDSELH LQLVQFQSLLNNSNNYSPSQWFLNFIQICEVLTQYKFKSASNDFLKIFQYFEQFEQFIDG YQQLENINQLAQQNLEQVQKLFNIRIQYQEKIQKAEEEKRRAEEEKRRAEEEKRIAEEEK RQAEEEKRQAEEDKRRAEEEKRQAEEEKRQAEEEKRQAEVENLKIQHQVEIEKMKQETVR LQMQNLEKEKQQMMLQHDLEQRNLRLQIENANNSQWRNSLICGSQSATSRLKQQQKKDKN GKKTTSKKTTSKKTTSKTNQQKQHATKSKIN >CAK76175 pep:novel supercontig:GCA_000165425.1:CT868230:167662:170497:1 gene:GSPATT00011748001 transcript:CAK76175 MIVQREQNYSQLVEAGLQTEEFGLELDNDLTIFSEKISQYSVRRCCSTDDLFIILYIKGQ IAYIFNEAIQRLQMIYPISDKLIDYPKRQWIFRNIQQQNIQNGNIQLEVTTIVIGMSFGR EKEQEEASKIRIAKSRVNGQNYTKIIVSKQALLVFSLLESMRMERGNWLTLFDGKILQLN LIQFNCNGLYDEYGLKTGNWVELNSNFSMFHILQFSFNQLQQTMVKRRILKRTKNKLMGC NLKLLKISNWMLQCNAKGLKQGSWNDLSEKFIQYYIFAYPVIRNFTKRENTKMEGEQGND RSQQMIKQLVKHSMTKMGQYKVSKQSCSKIQQGIYKYVLGFREIGFMQEGEYLNGKRVGQ WFIVLDEKKFGGGFYDADGLKIGKWVEILKKMNQIPSFTQQSGIIVKFEGEYFKGKRVGN WKLKQNQKAMYKMRNLTISGSGTFNENGDKKGRFIELHKQEDFSEQIYKQFQNQIQVNFK CNRIQKWSNNTIIRNSIMGKMMQRVCSYKSAGGFYDLDNHKTGKWIELGERSDGVSDVKY IGYYINAKKVEDGRFNMIIVQGKRDLEFKIEEAGLMMKRTRKMVYGMNFILTSKVIKQFK LEITNMKLKLENGKQNKMAIQCIKTIYISGSGQIDENGLKNSEWIDLHMDYSEFTKIFNI EQYQSGQQMGINQRIHNRNVMQQSMDNQQAIKLEWIETWKMDGITRVLHPILVDNIQWRL QQWQQNWKMGTVFKNKLCRFFDLSKSSGGFYDDNGLKVGFWIDLCQNFINVRQVTFAGKY NHGNRIGKWDLIFKDNIIGSGEYLEFDTKQGDWIELESSFQDYKLKLIVNQWQITFGIRE IRRWQQNWKMDYSERLSKIVMRLINSIQS >CAK76176 pep:novel supercontig:GCA_000165425.1:CT868230:170721:171053:-1 gene:GSPATT00011749001 transcript:CAK76176 MQKVFINQGKTDYVSQFFWNPTQTKRKKTFFANINPSLRCIFLYFFHVRLLNEKIEKSNT KIQIKKEHAYIVELISKILLLLRFEIQPSLENQIPTICLNDIHNPIQRYL >CAK76177 pep:novel supercontig:GCA_000165425.1:CT868230:171938:173640:-1 gene:GSPATT00011750001 transcript:CAK76177 MKQFYLQDGEILKKEKKTDKIEINKNIEMIKYAKWDGELNNQNMKCGNWQAFWKGKKLGI GGYYDQCNLKTGKWVEFFNQYWEQITLSILQVGVKLFSQENTKMERNSVFGKHSIDTNKG NYFKQCLCTYDKDGLQQGRSFEVSEQFWDLCQVFEFGVYRDGKKVGRWEIQSKQSIILGG GNYNQKGLKDGRWVELESNFWKYFQLRVIRQNQVIQIGDYQNGIKIGFWKIQFKMTELFD YQDMYKNFLKYNRGGGSYLNDKKIGRWKEIHDNFHNQCQVIYAGEYFNGVKIGQWNTLYK GIRQDEYINMYYIMITILIEEEANILMEKKQNNGQIYILISKSIVALSYLSQCQVFQTGV YEEGLKQGQWKILFRINNQENHQNMQIINQNQRGTGYYENGLQIGKSCELHQIYFNNYQF VWTGEYTKGNKNGWWDIIWRNKSNDNQEKIIGGGTYVKGLKNGLWTDLVENFSSQSWQEK VLVGEYVNGEKPGGFKIQQII >CAK76178 pep:novel supercontig:GCA_000165425.1:CT868230:174453:176095:1 gene:GSPATT00011751001 transcript:CAK76178 MTEQKLEIIQQNQKQHYKEGVYKILGIKEIEQPSRVSFFIPVQYLHGWRDTQNVRINQFR EQNTKYATILTNIDQVQKLKWGTQNLQYTVKWCPIWEARIIINAGGEVDKNNLKIGKWVE LSDNYCNISQVTHEGIYNNGLRIGKWVTYFKENIICEGEYNSKGVEIGNWVHVHDRFSNN YQIIYQGEYKNGKKFGQWNIIDISTQNKFVIGGGLYGTEGEKVGKWTELHNNFANFNSSN KYGCQILWKGNYRNGKKIGKWDILYSKELKFVDKYECIGGGLYDETELKKGIWVELSENF NNCSQVRSFGEYDNGKKIGRWNIQIRDEKKDNFITIGGGQYDDEGKQKGKWIELYQNFRR CQKNNNFLATPNSDLWGIIRKELNKDSGDCILGIFFIKIFNIGNFQLVNLLSSVGAYNFG QKNGKWMESDLQLNFTAVYWYLHQFNFNQLRNKTNYHTVHYQNGKLLSLKKVN >CAK76179 pep:novel supercontig:GCA_000165425.1:CT868230:176882:177617:-1 gene:GSPATT00011752001 transcript:CAK76179 MDDSIDMYVQSVQIIVISVPAYLNTIKDKPQRMLAPLLVQKFQELLMSLELLLFVYDLYN KSSYENKILIFDLGSGTLDVSLLSIEVGVVEVRATAGDIDFGGDDFDNKLIQYCCNEFLQ KKGIDIKGNPSSLRRLRIQFKSSRRVFNQPINLQQKLTHQLELNLNSISMILQQIQKDGF LIFFLQRITKIQQKVILMILNLTHHRMKLKLVKQAEKFKDEKSQIENWFKDF >CAK76180 pep:novel supercontig:GCA_000165425.1:CT868230:179133:183340:-1 gene:GSPATT00011753001 transcript:CAK76180 MILFILLTFNKKRTPPGFLNFQIFIGLILQNQIFQLTMAQTEISRSFFSPISSDDNWKTY LAESSPYITDCGSSYIFGGLSVFTYYTTITKTFILPPHYKLKLEFKFWRLTSWFGSPYIF YIDGSKSHDDNPNTSTGTQICGVGTQGQVYQISKEIAHNGNSAIATLISQQTGSYWGISD FILYVQKCPKGCDYCDITGNCLNWNRVLAFFNQILVTGGQGWERDYFLYDGTAECGSFQF YGPFQMSEKISIDLNLPDPHTKYRIQFKFLCTYVTGGITIRVEGNGVQLTNFAYPLNIIT TTNIICGSYLKLDKIDLGEFASSASILTITLEISAFSTVSSSTPLFGIRDFEVFTDAEKK QVLDGSIICSDNNIYAFDGCFSYIYDCNEGCNNCVKGLCIQCLSPWVLNTISGQCVPKCG DQIVVSSEQCDDGNQQPYDGCYECQFSCPLNCMVCQYGKCLICNYSYQLIDNKCQFTCVE DEKLSQYQDNNNEGHYCQISNFLINPYLQHVRLNTDIQFQYDSDLCKINSYGIFGYLYDM CPFEIPRNCKIGFLNQCQICQDEYDLSNNNYCIPKCGNGIIQEYEQCDDLNLEQFDGCYQ CNSSCQLECLECYYSQCFECIEGWDLINFKCVSECGDGKIALLSDEQCDDQNNKSNDGCF QCKFECTQNCLFCNHNLDCILCQKYFEIQNNICVPICGDGITIEGFEQCDDGNDIEFDGC YQCQFSCKQNCQTCDQYQCLDLRENQCQNGYQLIDDQCHSICGDLIIASDEQCDDANEIP FDGCYQCKYSCSFNCLDCMDGQCIKCDDGYEIINNICLDICGNGIKSEKEECDDKNLISQ DGCSDECKIEINWTCSQIDLETSICLLMKPPHFNLLLINQTYESFFIQMQITSQVKLLDS YSNLTQSLQLSLIDIDPTHYIIYEYVLVEPNVISVQDINYLFQIKFLKQETMEIYFQVSF DTELIDQYGFSVENQYCKLHLRNPIVLSEIERTVSHKMSSLNMYMLIGLGVSSFIILLSG NPVECFEVLDTLQYQSNLKYINSNYPENVMIYFESSEVVTIVPVLEKLQFLDLFNVVIGQ EKIQAFEKFLFYDVNAELISNLSGLIAQIVIVVLLLIASKIYFWIFIKKCYNQFRIFIYN SNKLQFTKQIALIIHKLNQLSIRINQLISLNGIVYIIQANSWDLIFKTLLYLYSQKENTF RNQFQNILACSFLICILVLLSSIFSIKDSQMGLKKRKSILHEGLIVAKKFFFLLVLIGFQ KSSLTQSVLLSLINTFYITIIILGQIVSENIDLILILMFEVPVILFTLLNIAYEQSYANF LSYESQIVIGFGQIGLLSFGILAPLIKYVHQIKIKLHKQIQKWIQQKEKEKQPPTSLFL >CAK76181 pep:novel supercontig:GCA_000165425.1:CT868230:184136:184321:1 gene:GSPATT00011754001 transcript:CAK76181 MQIFDLSKSSGGFYDDNGMRDGFWIDLCQISLMFDKLLLQGSTIMEKELENGI >CAK76182 pep:novel supercontig:GCA_000165425.1:CT868230:186402:186928:-1 gene:GSPATT00011755001 transcript:CAK76182 MINLQSYQNQYQYLILITFVQHLKDSKYQNTLTQDLAQLDSDQQIKYMDYLLIRFPQLIF LFWIKGFILMNEKKYDEALLTFSAALSRDPYNFWIASFKAITLSHLKKYQEAIDLLHSVL DISPENIKIQKLIGLILQKFQMKSQSMNKLNQMMKQKFKKISNLIEQ >CAK76183 pep:novel supercontig:GCA_000165425.1:CT868230:186937:188345:-1 gene:GSPATT00011756001 transcript:CAK76183 MIQQQKSNQHCKLHPNESVIFCCFKQECNQNRIFCLDCLKQKQHIEHMDAVQKLEDANIF INQQLSKIKEVITATKNEFDLAKESFNTLIIGLQYQVFGLEAVMNNMNLNEIQETIANLF LFERVKSTMQQSIDQTLYKLRKTIEDTYQNFQLNLFIQKDEEILVKIGSYLFEQQQYRKA IEYYDECLNMNVENEQALFGKGECLRAIQQFKQASECYQKVQFINNNNAQAYIKQGQKQF NFQENVYDICINLIQQLRYIIKPYFWINLILHQFIIRVICYCLLQLWNFTEAKECFEEVV KINSDNNYTLLAKSNLLLLTLHPEEAKQILDQILENSPQKFDIFLLNTSISTFTIREFCD FHQDTNEVEQMIQQFPQNIFALYLKGIKSIYFQGISLIKDNNIEEAQKIQEKLIEIDPTS WMIKHLKGVFELIFSEVFKK >CAK76184 pep:novel supercontig:GCA_000165425.1:CT868230:189178:193147:-1 gene:GSPATT00011757001 transcript:CAK76184 MIQEKSLELPCSLAEHKSNIKMVCLNEQCKEFRLFCMKCVQNKHHSTHLQDWIDIPQFIE QIENFETDYQSLMIELSQDVNAIIQNFNNLKEGIKTKYILDQERIEKLSLKEINVVVSQM LKYKEFKEETIKTIQEYGSMFNQQIINLQNKLIVSEEKNMEVNQVDNQSSEKISRLSEYK YQEAMQKDGGLSDKISISSDKISRSNENISVRSQKNNISTQKKSQSREKKIVSNLKDIQQ SLELCVKGQKLTEKQMFKEAIEMFNQSLVLNPINSKAFYLKSYGLRLTENYDEALVWADK VLEIEPNHIKALDCKIYCLSILGKYKEALDVIEKVLHINPNHLNSMINKVNCLRELGQYQ KSIQLADVVLKQDPKNINILFCKLYGLVMVGKCQEALECAENALVENPNNSKILYCKIQS LFGLQKYEEAIQLIDKTLSEDSNQVNLLSVKAKILFVQKKTSEAQKVVDKVLVQDPNDID ALECKIEILYSLGKYREAIKLAGKILTKNPKHLNALIFKSIVKILQAMSLFMRKKYKKVE TYADKVLSIDSNHQTALFYKANSLKQEGNHKDALICINKLLEKDPKNLELLLFKTQSLYL LDQFQEAINWADQVLEIDPNHLDTLYCKADNLRLLGNYKDAIVWSDKVLAINPEHTRTLH CKVSCLRLLGQYQDAAIWSEKAVVANPKSVNILRQKANQLKNMGQYQQALELVEKALVIE PKHINTLDCKLQCIYWLGKIDNSIVLSDKILELDPSNLNSMCCKADCLRQLKRYLDALLY CNKVLEINPNHIDILSCKAQCLYNLKQYDEALEYINKVLALDPNNEQVISCKVNVLLQKG QHVEASKQADKVLETNFQNKDVLICKTQCLHLQNKNKEALICVDLVLEIDPNHVPTLFIK ANTLFKLGRFSEALESTDAVLKVDPDHAETILCKCIPLYQLANCLRLLGQHSEALIYIDK YLTIDPTNLTVIEQKGIKYFITQQAQLLHSTERHEESNLWIEKVLEQDPDNMSILNYKAD NLRALGKCKESIVIAEKILAYDSKSVNALFCKADCLKAQGQYNLAEQWVDFALKHDSKHV NSLACKGNKFSQLGEILRMFKQYDQALKQFNIALSINPNNYISLIQKVQQWDLYKFRCMS TRNKTIFRSIINL >CAK76185 pep:novel supercontig:GCA_000165425.1:CT868230:193489:194142:1 gene:GSPATT00011758001 transcript:CAK76185 MQILISKLQPFKILKQDFFLFKQIYSSNGIIIHAKDFQIKKQNFLELLTNKLSKNFTLKT FIQDRTEYILQMLITQTIEIKNSYLRMSQFYKLPQFVDDCFLYLIQGKCLDILAEKYWQT LISFQFRHGECEEQIMKIKKINKQVYEFIKQFYVSISIKFKQCIYVRQSEMRLLYLSVQN MQISLIYQKKVKFQRKYRSKEKPYIINREDTKRYKMM >CAK76186 pep:novel supercontig:GCA_000165425.1:CT868230:195799:202851:-1 gene:GSPATT00011759001 transcript:CAK76186 MKQILCLWLLIGISLSVTTSITECSCTQLLSKTDCTKRTSLGCSWDETKNTCSKGTVTPP VTTTYASYCQNFAQADCVKTFGCLWNTDKCTHFTGCTAFKKTTDDDCKAISNRCITDGVH CVEIAECKSYLNQVSCVVNSDKKDCFWKDNACIDATLCEQYPKTLTTDEQCRAKNVKCTV AKSGTGCQDSATSCASQDGQPQCKYGDQQAKQKCYWNGNACVNKTCDQAPTSNTRHEQCF TDYDPSCTTNGAGCRQITICSDAKLQEGCKINSSGQQCIWVEGSCRDYKCDSAPPSKNTN SLCNGFIPGCVTKKDGGCKENGTCSSLDVVACEKDKNNSPCVVNGTLCKDKICDNAPTTN TTHEACTLYLPTCTVNATSKGCRIRSCDNAPSTLVSLTDCSSYWPNCIPKKGGGCQNLTT CDRIDVQEVCLKDQSSKDCVWDKDLSKCLDKTCANAPADSKTSHEKCNGYLSSCTVNSQK NACIDMICENIVESGDCKVDKSGSKCKYKSSCYKQECRLASQSITTYSGCQSHMPSCTLD NTGKGCMPIPPSCGAIKTQEGCVSNSLNGDCAWSGGKCQDKSCSTAPSTTTDNTACGSYK NNCLVNNTANGCIDPTTFACTSRQIEDNCYSSVGFKCVFSPSKKCQPRSCDTASDNTITS PSTGGYLTSFTNSNCRAYFDGCVVNNSNSGCRNKASQCADYNQYNCSEKTATDKSCYLNS DNTCVDLVCEKIKLTTHVACEGVSGMSSACTVAKGSTPTACMTKLESCGQYEQNQCKSTK SGKKCIWDKAACREATCSDATDDGSYNTHKKCNDYVSTCTVIERVDNKGCIPRKANCSDY TSKPQCVLNSAGGSCYWNGNDTCVTFSTLTCAQIVLASYSDANCEAAKNTCKANSTTACQ NKICADYTKVEGTASGTAVSTEDHCKSLDSSCTINSASTKACMTKPATCTGTDQNACSYS TAGECFWDGTNCVLKSTVCIQKTGTNLTFAQCQAVNSTCSVNLAGTACIDKKAACSGYTS ALASCRKSTAGLCKISGTDCADIDATLDCTKIVKNGSDKLTYEICQDFSTSCSVKADGSA CLTIGTCSSYVAAAGADCVKGSDGTCLWYDSDGAAGANAASCVAIAAGSDCAKVTDAGSA LTDDKCQSYNSSCYALSDGSACFEKKTNCSDYVQKSCTKTSGGAKCYWYTPSGGGTASCI QISTASSDCPKLLKAQLSNTNTSDAICKAFNASCVKNSDASSCVEVKTKCSDYTGSSTNC VSTSGGIKCYWNTSGTAACIQINATDCAKVTGTGLTYSDCQSYNTNCSVNTAANACEAKS CTNKPTPWNHSACSTYLSTCTANKVTGADACKNAPNKCSEVTVQADCIGSVTDADCEWVV GSDGGQCVKKTCYTKPTTTALTHSDCNTYLNTCTVAKVGGCIPKAACNTYLSSEQCTNGG SCFWNEKKALTCVDLSCDKIEDSYNTHALCNGISSLKCTVKSTGVGCQNRQATCSSYNDK NCYLNSAEWPCVMVTQEDGSNKCMEKACTTAGSSYTDHNGCYDYYKANAGTKKSDHCTVA IVTAADGTVSASGCQKVGNCTDYGQAQCFVDSEGRTCVWDDTAKCRIKTCETAPDTESYD SDDECKLYLDDGTCTVASDNMGCVVRPDKCEGMTEKQCVKDKGGNACQYLDGACYTKACS TAPSDVTTKDGCASYFSGCTLDESSKCKLEICEDFTFTTDDECQKAKAGCTTNGTKCVLR TSCQAVTNVAGCVKDDQNGKCQWLTASSTCVSRTCATAPVSTDYDTEAECIAYKEECTTK QGGGCVIKSTCSAATAESACNNVAGQPLNKCTWDNGKCRDQGCQDFSFATHAECKAATTK IKCTAGLNGKCTTQLTCEAATVRAACIEGLYNGTYQPCLWISGANSGNGGCFQYSSCKSL IWTDHDECQKISGQCTTDGSTCLAITLCSETNTKGCRVGYDGPCIKTVPALDSTDSAICK AYTSCTDAFYKTHRLCQEASNKCTTNGVTSCAPLAACSTYGLQEACVLNNVGAVLEQTTN LITSTGQCAWENGTCRDEVCKDLKGITHDQCYRQLKGCTSDGTNCLAISTCASYTSQIAC TTANGSDGPSGKCLWDATANNNAGACRVYQCSDIAGGISTSICQAGLSTCVSNGTICIDQ ANCSSTSYQNKIACNSAGKDGICVFTKSTATGATENQGTCALMTSCTQAKNDPKACANAK DRCSFTPESKSGTTTVPSKCDPHTCASYKQSNNGNCVNFLSWDQKSQQICVVDGADCKEK DPATFTQDQCYLTSGYTYTWSTSTNKCGVCTAVQPNNNNTTDPNTTTPNNTDTSGYILGF TTIILGYLVF >CAK76187 pep:novel supercontig:GCA_000165425.1:CT868230:203769:204491:-1 gene:GSPATT00011760001 transcript:CAK76187 MHNQIIHSSFAICKTDDEEFFGSKAQEILKEKMKIFELDKIPYMGQQQKKKTPDKQRNKS QQYQNNSKVTQPSSYSQYRISNKENKEQIMSSQNRVSKQILESIKRKQSGHEPKLIDIPI VRVSREQALSSKIESQNNISLILMEKYYQAAMKYEEKNQRQTTKVNLTKNTSKLIINNQA VKNLQRKIKNSRRELSKELNTSTKNQQTKEQLNSVTPVGSNKYFAPFKSILIRGNYSTDN >CAK76188 pep:novel supercontig:GCA_000165425.1:CT868230:204531:205942:-1 gene:GSPATT00011761001 transcript:CAK76188 MINKGASLTRTAFQMLKMITKNQTRYQYSKLEHFFANYEMSEFECPKITISKFQYLIDDI YQSNSIQFNTSLASYLNVNDIEISELQILLEKIYQNNLFLSRTNKQCLTQIVLNQLHNFN EDIISIINQACQLGFINEQLMEVFINQFTIQEFTQYNFTIQVQLLFIFGQALLRYSNLTK NEAFIKQWQDLIKYAIANYKPEYKISGCMFSQISFLIKGLFYFKLEQEATYIGQFVEFYK TYYKILICNFAEGQDFNNMTPKETTILITALLKLKDQFMKQEINVIISSLLNRIQKTGVS SFPDLALQSFVFNLTQLTDYQQLMAGEILQVIQQRVQIQQFSTKIMIIILFEMHLKWNYV VSKEHLLNLISFINIKEISDLELGSYFQLLTLLPLDQTQHIVSNIESEMILREQFQKMNQ QFAKNEPQSTDRMRRSLQKLINLLQTHGKNTESLERTIKKIL >CAK76189 pep:novel supercontig:GCA_000165425.1:CT868230:206061:206857:1 gene:GSPATT00011762001 transcript:CAK76189 MNKNKESGKQTQNNKANDSNSNKNKELQKLVQNNKHFEFLSSGKIKCILTHHEILPTLQE FTNYLNGRSYKNALENEIEFTQFEPYIVQHKTDKNKLFCNLTRQNISKKKSVVLKHVNGK RYKYYLSKYLEEQAKEEQENQQDNQEEEVQNELEELNNLVNEEEQEHQPKKQKSGILKGG KKINKKKVKPQQEEQIEIEQTVQNKSKVQQKDGKQVKKLKNKQQKGQVE >CAK76190 pep:novel supercontig:GCA_000165425.1:CT868230:206858:208235:-1 gene:GSPATT00011763001 transcript:CAK76190 MNSGEYQKFEELGLDQWLLKLCWKIDYKEPRPIQVLSIPPLLQGKNVLISSQTGSGKTAA FSFPILQTLSQDPYGIFAIILTANRELAVQIAEQIQIFGASVNLRLALLIGGLSSSKQVK LLGQIPHIIVGTPGRCAELLSIDVNFQKYIKNVKYFILDEVDRLLEPQIWDDIKKVYEQC ESPQIALVSATLNNVTQQLKEEFANINFVECINNPEQKVSETIKHKFVLMPDLVKDYYFI HLMKKLEGASTIVFAPTCRKCHELNQLLNHFEIKSTCLHSMLPQHERISNLRAYRSQKAQ VLVATDVASRGLDIPNVKFVINWNVPKVEADYIHRVGRTGRAGRRGTAITMMTQFDVERV LAIENLINLKMEEIKFNEEKVLANMTDVTKAIKTIKITMQQDGTTEKFLDLQKKKVKSKQ KRQGSQEEQQS >CAK76191 pep:novel supercontig:GCA_000165425.1:CT868230:208795:210316:1 gene:GSPATT00011764001 transcript:CAK76191 MNRFCDYKSCQYFIKQPTIEQRSKLNKVMCPLCMGANYCSTRCRDLDWPIYHKEICKKSQ PDRARSCNDTIDSCSTTSVRRRPEEFEIITIGSKQELGRGSYGSVKLVKDKQNGLLYAMK IMNKRQVFEYCSVENLKREIKIQRKLAHPHICKLHHYFEDKENVYLILEYAQNGSLFNYI KKRSKLPENEAFVYFFQTCLGIDYLHKNKIIHRDLKPENLLLDHDGNVKICDFGWSAESL TEKRMTFCGTYEYMAPEMLNKQPHDFSLDVWSLGILLYELLHGNAPYRGRNNEELGNKIK SGQPINFAPTLSKEVITLIKGILKYIPGERLTMDQIFDNPWMVKHAANYNIDIWTFVYKT QYPTRQIPTVNFQQKQKPIEIQKKESLARPISQDYNKFKEQPINNNATNYKAYQNDYNNT KQDDVNKPRISRVSNRNEIMMHQHQLQQQQQEEKLTFMDRVFLAFGCLNREKK >CAK76192 pep:novel supercontig:GCA_000165425.1:CT868230:210371:211749:1 gene:GSPATT00011765001 transcript:CAK76192 MSKVYELYIHLLVKQQHSPMISTISKYEPSGFKDDNNPLCMANKFRVMRYRSEKLLLPDN ILQHYINLFRHNRQLFILFLRQLTSPVQEHELSDQQQIENIIQQIELDSILIEQMNLWSN IFQLTQQQLQSFFLCQNMSDYLNFLKEFLSDQLQRFQNIDLSQINLDDDYQKNEQTQIIY VNEMYENHYKPSSKKKLVSFTHKNELSESDQNSIIKIIQKKKLASIRDIYNAIITQFDLQ PKIQYTEQNGQWICQIEIKQIQSKQTSQNKSLSLICSQIHVIKQLCPVIFDYILENSNQD IDQIKNNKGNLNLALPTSKNHKLIPQEDYLKQLPYNYELIENYIEHKNSNETCAIRKLQN EVTNYLIQKYNKFSINKQLLSVASTYELTMTFVENGQLKQIQKTFTTKLRFCNARLIGQQ YIINVIGNLIFGEERSRQFDNINQFLLQIQ >CAK76193 pep:novel supercontig:GCA_000165425.1:CT868230:211955:212860:-1 gene:GSPATT00011766001 transcript:CAK76193 MNLQDQIQQEITNYFPKLTQYLQELGQSTLTIQQPNNALKGIQIIISVLDELFGILQEIN CQYAQLEQQLQKYEGECRSHIRTEQRLKIHCENIQELYEQQLVIEKDYKAQILSYQKEIT QMKKDLEDAKYQKNQQLQQKTQSIIDKIILDQQKQTIQYDSKSRSNTFHNNFMNLNQQKQ HISVKSNTRKNRLITEYQDFQTNSKQSIEEKQYSSLEKIQELATLYSRPKSRLQDNSNKK SVTLHVASIKAKQVKKF >CAK76194 pep:novel supercontig:GCA_000165425.1:CT868230:212860:213498:-1 gene:GSPATT00011767001 transcript:CAK76194 MIQTLYRLVPRFRFASTLGSSNVASGTQTSASQSNPIPVYLRPYEAKKYEVPSSKIKLTT GYSFLDVEPMPRAKIMKLCYTILDKLKAEIPEGVLYRIYTEEKLKYIMRITDEIEDIRQL EEEFGFEQIEFLIQSLAKEVDLVDQMKYYKPWEKTQDDSTFELLRQRHPALKHQRNERPA REQTKFIGN >CAK76195 pep:novel supercontig:GCA_000165425.1:CT868230:213523:213969:1 gene:GSPATT00011768001 transcript:CAK76195 MSVHRKILEKNGRLDFLQQQQQQYPHPQVQELKQQIEKQDFEVLINLESYQQYNAINNIR VTSRVFPRTKQLYMEVKIPFAVIIQPYGLTIQQGFPTVIYGSNQILRCQNCKPYINLFME HMKDEDYLRCNICTSIIKIPTNFIRQES >CAK76196 pep:novel supercontig:GCA_000165425.1:CT868230:214016:215784:1 gene:GSPATT00011769001 transcript:CAK76196 MRPPMAPAYFFMIDMSQKSQELLGIMGQIIKDMIVEDKLNQRTLLGFIMFNTCIHSYNFN SKIKQVQMYVLTDDNEMPTPDDYLYYCGLSESIGQFISQTKLKSTQFISAFNFAFKIMQD KGGKLIILTSSPIKELNLTDNSKSSQNRFLTINNVLKQITGKMHLNCICSSIFVLPCGFN NVVTLNQLVKFLNGDIFFYDDTAICSKLKGFIPIQFQFWREIILGNQSSEFEYQLDGKLI YRIVKRNESTQSSLRQFISISRFTICFNPRGEKNTYSQFLYSYSDFLRAIYSQIDQSCLE ISLYIRLPCLNQIVPKRSKTVFKPMISQQLKPDPQLIILRFSRNPLILQLLYVRNHEILN YAYDQQLALTDYMISFRSIIQYTDVDELITYIHRQSDIQSMIPQLYNISILQEQDYFQDE NGYFTYPQPISLVLEEVSNGGLLLMDCGYYLILFICKSIESLIIRLHDESQIGDIFGKQY YSLNLVEDNLYFNSKNPINDKLHYLITELRKQTFNVIMFSNKYSKYASLYIVKQGCKSYW EEVFFQNLIYDDFNKNYRMDYNQFVKYIG >CAK76197 pep:novel supercontig:GCA_000165425.1:CT868230:215819:219569:1 gene:GSPATT00011770001 transcript:CAK76197 MKKNRFQQQKEEQKKQNYFDEEEDIDLEDDGLFGKEVLTASKPYEEYFQKFNELYNNHIV KFSAFEEDYQIPLTSPDYENIIQSHFMVYEKNMGLFDFMKSDNKVFDKISASFVFIDNQI RQIEDQFYKFLDKLTIYGELADLHLEEERKLTDGEAEIMICRMLGQFKQIYDIIKKLINY ITHLVNQLNLLYNKKDPISKIIKIFNLKFSIRYNRKSIATAFTSQTMLQNRMIILQEHWN YCKRMIKIVKPDPTKFNSNAQNVRQLEKIMIRLDKTVLSGSCLQSVLAQNWDQNKNANIP AIKNNKEFYNVLNTYLKERYELFDKTLGTAKEMFERDLLLPTFCVYALVRQLYQNDENRD LWKNLWSLQKKVPMVDGHSQVLCYLSKFLMEVTPLSKKSSTMDPKDPIANFKSYLQRLSA SFQSSLTAQYVAFTTWIVRVDSFSCSQASFNNIDKIDRERAQRYIEERMNQRVILVLQGL TIASQTRNLLQALLLGHFGLEEQSLDMSLSKDVVLAVEMLKAIEYYFEQKYDVFQPALIQ NHITKNGQRIIQQVLEKMKANIKSFGTGGAEILGALQILNSILKSTLSPLKLQTMYYLMD FMVAKDIFTNSEKEDFRNILWKLDKLILLPLYVKKVTASTYFYWCRELLPSFFQYIYQNP SQAKRFQFLLNAVSDCSIQLKDCMHLENPDELFDSFRQYVVKEFYAQYLQPLARDIEDYL RIQVHTVLIEKITALNPFKQQVKDLKRLLDIDYVLIFDSIVNLRTEINQILNETFYNINV LNMYDQETYEQMRSLANSKFGLNLINVYLPTQTVDQGNFDVLNVLKNIQQFFTKYTYNLY QQQFVQVTSESKQVYSISIQQIADSIRTHGIGILSTTVNSVYKFLQKKIQMFSQFLFDDL IQSPLKDELDGQYPYDRAEALYKEIKKMGTFDDGTNYLDKFRTLITQIGNSLAFVRLLRS AALHVNSKCLEYVPSQYNPTQLESLTREAGFSDATVSTAKELEEIFTLYKSSFSEKIDYI NLLLKAFSSINTPENEHLSLFYLIVPTLTLNFIEKMLISKDQIGKKNSTTEVFISDDGFI LGIQFFLTLLDQKGQFESLHWRKEIKNKFNLDLKSAKTLATTKPNQSQRATDEINMQKEL SLRKLNMQYDEFQMFFYAFNSCKILFLGE >CAK76198 pep:novel supercontig:GCA_000165425.1:CT868230:219607:221974:1 gene:GSPATT00011771001 transcript:CAK76198 MNQSQYGAFGMSGNNFNQTGGKPPVNNYNFENVRAQQPAPGGPQPSRGKPLGTAARQPQA EARPMTSNRGANFGQKKDPFNSTQNQINLNKPKLETNPEEQFKGMEKEINTLIEQSAIAK LRGNLSECLEKAKEAFNKEKKLRQSKEAQNLAESINADLSYCAALTQACALHANGLHQDA LAKYQEIIKCKQYPQAGRLRVNMGNIYFEQKKYPTAIKMYKMALDLIPATSKEMRFKIQK NIGHAQVRQGKEKILEAINTYEQILKSSPDFPTGFNLMICLYFSGIKNKMKDYFVTLLTI EIPGESEEENNENKGTTITDKLREDTKERRREAVHYIVTSAKLIAPLIEDDIIVGYEWIL EQLKNSTFPEAETEIEICKAMAHLKKKNIEKSIETLKGLRKKGQINYGSHCYKHLFFAEK YAEIAITYDRYNAKALVNRGNCLYVKNEFLRAKEQYLEAIGVEADCIEALYNLAYVNRKL NMFVESLQALDKLQTIVCIPEVLYQMATLYEMTGNSKQAMKWYLELLNKVPNDPNILARL GSLFAREDDEPQALHYFLESYRILPTNIDTISWLGVYYVKQEMYEKASLYFERAAQVQTR DEKWKLMVASCYRRMGHFQKALGTYQKIYSDYPDNIECLRFLVQLCREMGLPYEEYAGQL RKLEREMEMIEGYQGQDINLINNEEEQIRLPQGDDNPVSFTNNTRRANKQPPPKTNVRQN LEDEQFQDGVEDNFLP >CAK76199 pep:novel supercontig:GCA_000165425.1:CT868230:223043:224158:1 gene:GSPATT00011772001 transcript:CAK76199 MLIAVVSTTFGVFLISGLGAYLTRKKIINKQLTNQLSCLTENLFTPALIFTSFQKTLTLE TIYLYIPCIIITLLCLILGYVAGILSNKYWIKEKALKSVIILACANPHTTNLQLQLCYGL SNYFAKITGQPQKQLEQRLITTVIIQTVVVNSIRWSIGKSILEQHENNQSDLEMTNLSVP QSHQLTLPLSQQQQTKPENESQKKSFWNPPLYATLVSIVFICIPGLQATLLENQIIYNAI FLPLQTISRATSPIILLILGSSLYQIYFENQERVEKYSTILYIAFNRLLLMPIIGIFIVI IVQSQKIINDQCQLFMIFLTFCTPPSINILMLAKQYLQSAEEIVAVILLNSYLISIITLP LWMITYMIFFI >CAK76200 pep:novel supercontig:GCA_000165425.1:CT868230:226955:227682:1 gene:GSPATT00011773001 transcript:CAK76200 MDQQFTLSVPIDILSDISKETFQKVVFRSITDEFSYDFDINNGLVLKDSTLTQFNQAMLK DINCTYQQDELLSLIYPTKINNQSLLMVVNISNDGIYEKINNQKELFRGEHYQIGLPAGS NKQTIEIKEDQIIINSQSFAIKEMLQIVFVKKISDNKYEYIQMANPSDCSSRKHAHIKIQ NGRIFLLDGFEKQVSKNGVWVLIKHQRFSNQNQYCFKDFRVAIRLKY >CAK76201 pep:novel supercontig:GCA_000165425.1:CT868230:227737:228795:1 gene:GSPATT00011774001 transcript:CAK76201 MDKKYTIKQYELNEEFKQKVQVGDFILTQTDSFIYSLARSILKIEYDHLLVLIKEDQLLH IGWPQIRIINPLQILSQSSRYIIFRPPYQEGELQKFIMYLQTSLKADYDYQKLLQGMIKK LVNKVPTDPVPFQPNLKSSRVCTDLIFYWLEASSAKFRELLPNYLGYLDYPLIGSFTPDD INTLAKMNVFFQIIHTKEIQNPESTLESLGRNIQNKGISNIFFAETPTLKQIKKLIQFIP YPQNFIGLIQQFIFGFQLIKLYQMPKKKRMKLLLLFVVKRYSNDVLDEKKQRKIVKKLMI LVDTMEIIISPFQWISYIRLIQNIIELFFFTNQSAKDQVIKMIFKDIFKSKL >CAK76202 pep:novel supercontig:GCA_000165425.1:CT868230:229097:230381:1 gene:GSPATT00011775001 transcript:CAK76202 MLKIQRSKSCDAILITLKNLDKGDNQSQQSFRVYENSTILDLIVHINKSQQTKSDIKLYF EQNIQFTGDLNQYLIDIIKKTKNRSIGYRLIEQHPQTKSEYVKINQYTQSEIYPEKRIIA TQIPSVEQYNPDLNINTQNQVVKNQLRNKENYEEERSQRIDNFEQFKQMQEKASQYQQFS QLVSKTENIHHEQQQSNHQQSMSQNYKNLEIENQKLKDAFKTLQNQNQILAEENNRLKIE NQILKVNQNNQQVKQISPVSERQENKLHETTQFTNKCKHQIKEKQLEQIFTQALLNKQFA KCPQCNQNISNKLCQQFIIFGRQYLDMKNQMDLYVLSENIQQKLRQNKEQSLVRCSSKNC NFICIWQQNLVTQKQLGFCPICLSNSLGNPQIKGYRQSNLLLNKGTK >CAK76203 pep:novel supercontig:GCA_000165425.1:CT868230:231173:232563:-1 gene:GSPATT00011776001 transcript:CAK76203 MGKQKNLSLEMMERVIIDLKLDQDYRKIKGSLLRCPGNNMNGVKNLDIRMAPKKENVTTQ ANSQKRGNLQNVSKIIAVSSCKGGVGKSTIALNLTFSLQKLGFKVGIFDADVYGPSLPTL IGKEKQQLYAPEDKPKEILPIEFNGVKTMSYGYASGNQKAIIRGPMVSSIVVQLVQQTQW QDLDYLVVDMPPGTGDIQISLCQELNFNGAIIVTTPQRLSFIDVVKGIEMFDVLKVPTLS VVENMAEYVCPNCNHLHRPFGPGYINLLQKQFGIATALSIPLYGDISKYSDLGSPVVLTL PEDHTINYIYRKLANNVVNELNRTDLNKSPTVRYDTTKRVIIIKDFEGKEKSIKSLELRS KCNCALCVDEFTGKRLNQNQKFDQEVFPQKIEPKGNYAVAIVWSDGHRSSIYPYKRMWSE EINEHQS >CAK76204 pep:novel supercontig:GCA_000165425.1:CT868230:234177:239910:1 gene:GSPATT00011777001 transcript:CAK76204 MNLFIKYARPLIEDGRSCIKLDSNLKNTIIIEGNQQLQQDQKYFYFDYVAQQDSSQEDIF NIVGKQQAINCLDGYNGCVFVYGQTGSGKTYTMMGTQKQPGVLPRVIDFLFNCILEDSSE NVEYLVKCSYLEIYNEHIIDLLNPQLGNLQLREDLKKGVYVEQLSEEVCTNVAESLEVLQ KGSLNRHISSTQMNIESSRSHSVFTIQLESRRQSSQTQVINHRFSRFHFVDLAGSERQKQ SQVQGERLREGCNINKSLHILGNVINSLVEDNQSYVHYRDSKLTFLLKDSLGGNSRTHLI ANIQQSQQFYQETLSTLMFSKRVKQVKNKARINEDESGNLESLKNEIKRLKQELAKSVTT QQQKWESPKKFEQKQQQSFINEQFLQSLELESLMINDQNYIKLEEILKCYLEQSTESETA LFLEIEKYLNGIKQLKEGFQLGQQLEQQLKFVIKLQNEQIQKLKLNQSADDIQQQIQQQL AYALQCQGLVMKTFYENLTLKEQQGAIQTVSKVQVQVDTNLNLLKDIVETVQNSLNERRQ FQQQLDLQFNSQYVTLDKFKELETLSEYKEKLLSEQTQKLVFMEDQIKEIEKQILQKLEE NGITFNENDKVVDVRDQQIQDLKTKITDLNQELQNSNQELKELSINSEQVIQQLRFENQQ IVNQKQEIESNYELTQTQISEFQDNSKQQIINLEQQLSQLQDQNSQYSNQYQQLNQQNED LKYKIENEEHQNKQLTQELIQNQEKLKLLDQIIVDNDIAIQEFKQQLTSYESIIIQLKKN INDQNANLQLNQQSISQLELENANLKQECSKQQNELTLMQEQVSLSMSKLENSEQELFSQ LQLSQRDNQNLQNENSLLSKANQEMQSEMDVLILELNSKTEDLQNTQIQLQQKQQKYEQE IIIYQQKSQIERSTLEKDYQEQIKQQKKDFELLLFQFNTKQSCDLNKLNQEILSLNYIIK EKETNNTESQSQQEFQINLLNQKIQKETQRALQLESLLEGQKNEFQEIQKEQMSSIDLLQ KQLESKQAVIQETSDHYNQVHELYLSLQARMEQKDLVNSELKRDILQNKSKLESQTKEIQ QLQQKVISIENENLQSRNTMEIQQQGKLQDMTQKLSIKMRTIEQLTAEKINLNDKIKEIE CESNKNKQTICLLEQQQHESQNQNNLLQAQIQSLNNELNQKEQEIGFLQQELSKQNQVLD KSSEIYKKETDQMEIDNNILKKQLSDKQKDIHKLEQKLNATLNDLQLKEQQIDQLKLQLN HLNTNLQQQLELTTKDNLLLKKEIQQLNQHIESQHEEIDQMRIELDQKQTQVDENKSAVK KLTKQLELEIKQYSLHLKRNEDQHKKEVQELVDQLDIHQKQLQQKDLIVESLNKQVNGLQ CAFETKEDLNHQTQKDIQQLKDKLKSDQNKFTKIIQSLENANNQLKSQLEESSHQIQENA HKYQSVQKQNDLQSIQFNEQQEKYTLLKEQFTRLESQMKQESQIREQYSHIADKVTKENN ELKQKIDKKQQIIVQLESALLQNEETLKNVHDRAKEFCKQQEKEINDIKDQYLKLEAEHN AILSQNQEREDENEQMKDQKDQALRQMHTLEDEIGKLKKELQEQHEKLTKSNNERSMLYK QIEEMQKDIAQLGGHNNPSQKIRYLNTIKQENSCLKQEKLYLSEQLQKEIEEKNKLLQQQ QQNRKNTDSDNQSSKLDMQKLMVENQRLNNEMTKLNQILNLSGVKNRFSTIKGSDGDKII QSVQLLIQELIDCKQEIQQRNKDQEGKNLKYQTMEKDLLVMKQKFYAIQKDNPQSQTKAQ SPAVQHDVLRDNNRMNMNSGSVQRQSKPKTQQTFQFQ >CAK76205 pep:novel supercontig:GCA_000165425.1:CT868230:239958:240847:-1 gene:GSPATT00011778001 transcript:CAK76205 MSICRRSAALILIRDDFNVLLLKRNNDLSFGGSFAFPGGVLEETDYKLAQADSQQIQQSH LKYYCHQTHSWYDSSLIAAIRETTEETNIQLDYKQLYSQIKPFMRIITPQMMKKRYDTQF FILNLNNYEALNINKNESISYEWNTPVGFLEKFISNQISLFPPIFLQLLILKQLGSYKNI LTFIDSGDSLKHTYPHIFQFSKKGILNYPDPNYDLQKLQESEQTDYLKNEIKVKYNQLER SDFRFELEGNLQRLTGHVGKFQNSPLAFLNGEVVKDGQFIQNKPKF >CAK76206 pep:novel supercontig:GCA_000165425.1:CT868230:240884:241899:-1 gene:GSPATT00011779001 transcript:CAK76206 MDIYFNGQPIQVPENLTFYQLFQYSGLPGNISDKYWQRNGQILLVNQNSLVKDLVQPHNQ LQLIDKPQKTIQTFNQQQGNFGNPSIISNQSTLQQKTIPQQIQSNPTPQFVIAQGVFQPQ IQIKQVSQNPQSPYQSMQSSNVNLLPQMIYQTPQQNVNDDIFTTVQDPNPKVDDIFSTAY QYSNYETDRQNYLRNKGTSPGNKFLQQKSYGYMFTLDNYGDDLIIEKLDSSFYLKLNNQF SLNLIRQLNIPDKKQKFESNVILDLNCTRVSWIQDLEILAVQFNTGKIIAIQLYMG >CAK76207 pep:novel supercontig:GCA_000165425.1:CT868230:242038:243183:-1 gene:GSPATT00011780001 transcript:CAK76207 MLADKTSAYVNQSSVQSKQPSKRVQLDIQYVAQTFEKTQSINNQKKMFPDNQSTELRNSM TNEESEIEKLSQAYADKYYYEMKFEQYQNLLTQQQGTITKLLNEKKDLLARLESAKSNEK EISLLQQLEVKFIESQKEKQQLQIECQQYKYQVEQLLIANNELQQSFQSLHNQFVEYKDK QDKYSIKQQDLIAKLTDDITNQKYLQEIHSQMQTIKLQNNHLSEQLTQQEINFQIKLSEI QEKYIIQSNELSQDCESQIEQKEEELHLIKMELEKQKSETQKLLNENLLLQNKIQELNQN YQDDIQAQASLLSEQVAEYKIVIQNLENQLYQMNQEIKQRDRSSSKDSMINSRLISNYIS RYKQ >CAK76208 pep:novel supercontig:GCA_000165425.1:CT868230:243252:244280:1 gene:GSPATT00011781001 transcript:CAK76208 MGSHCSLCNCDKKSYEIQECLSIQTTGSKTEKTYMTKNKMATVIQKHWRGYIERRKVDYQ KILYAFETETVESGISINHDDQARVEKLTYIFPGGATYTGEWRGNSRDGYGIQVWPDGAK YEGEWKHNKAHGRGKFSHINGDTYEGEWENDMSNGYGVYKHIDGPKYEGEWFNDKQQGYG IELWPDGSMYEGYYQNSLKHGKGKYQWSNGQKYEGDWVQNKISGRGILIWMDGRRYEGDF YFGNMNGRGIYTWPDGRRYEGQYLNDKKHGYGIYDWGDGRRYEGEWENGKQHGKGCFYMN KKGKYGFWSKGKRIAWDDQ >CAK76209 pep:novel supercontig:GCA_000165425.1:CT868230:244319:248014:-1 gene:GSPATT00011782001 transcript:CAK76209 MDQKKIESLINEALKNPDPDIQYMRAEELTNELTIFYGKQEQNEKNQNIINECYNVFYQH LSSQYREIQGNAIRQFQRLSPLMRSQEVQYIAQELLKSSTQGMNDTRENYHICLQEIVEK IPIQVQSLFEVQEGIVKKLRESKETVKKLQVSNQIVHQEIQQNVEIQAELLKILSSIMSR WPKLYQKDFSDLLLDNITNSNELSIRKNSCVCLGYLGVSLSQQSLNDLIQNRILPLVKEL RFDQQSFAKILYLNQSLNHLAKSSGKYFEKALVEQIFERFFQIQKEQQKIDLDNINQYAE ILEIQLLTINYLLSNNYARAFDQKLIDQITPLIDFDPLGVAAIEENPYDDDYYIDETSDS TWRVRRCALYTFQELLKIQPQQYKVILSALFGPNQIIMNRINEKNAEIKLSIVQFLISLV QASAVTNEELNPEDELQQLSLLKQRSIPPSISLIELVEQLLDKVSLIFQDAQEQQSLKSE STKLLLAIGQYFHSQIQKSQLCFSKIVEIIKQSIDGSSVNYSNEQKLASILTMKSILKIT EPAAFQVPILKSMVQVLIEAVNQKYIRIQIEGYSTLEILVFVFKQNYQEQFQDSLSKIKQ TLIIKIQVDTLDQEVKQSLFSLATSLFKYFPSIYTKTEVEQLAQTSLLRLQIEALTNNIM TLVQYFKNLNDPKILISSIANHLQKNDKSQTYLALINLIQNNKIDQNLATDILSRFKQIT TENYDLQILTLQVLIKVTNIKLPDSLIKETVKIGLQQTKIKPEIEDFFNLVNQKNLIEQE ITKQLGKEELYPAGQIYCSILKNVPGSLSSLYSSLTTNRQLKLSTLRFLHKYQKDQKALD ILCQLIKDNQDSDLAAFVIGSAVTDFQQIQKLIDQNPNQYQFYQALKEFTEITQINNPTE IAQYMLNQVDGKDKTISTICGDILGGFFKQNYTQLEQLLFYSIQSASQAIRYSCIQSLKY THQWTNKAHILLEMLQKEKDIQILTGIIKALTQNIQHIKLNNLTQYLTFCLRRYEEKELN FGNFVEKRDDAKDLRSLSFDLLESFLSIQNVELKPILVEVFDKFADDKYEETRIPRLRII QKIIKSNPLELVPYLEILLKTFEPILKTLQQQLQKQDQNLDKEKEKFRLIISILQGLRLN SKEVDSFCGKCLTEQILKSVGQ >CAK76210 pep:novel supercontig:GCA_000165425.1:CT868230:248369:249312:1 gene:GSPATT00011783001 transcript:CAK76210 MFANKETFEVYEQVKEIRKIYSQFKQSIVVQLEKLEVQIEKMIYEFSQSNSSIDQIYDNK IENENTLLNEDEMQQLISNWNKVCNQKNVEQCPKFNHQLVRRVQKTFNLWDKQIQELLQF NLEIIPRHSSLQYYFGTNSKYQSIKINEKSIIEQTQTQNHYCFAIIEQRLNQNETSSIQF KFPKFIGDIGVGICDQKILKSKDYRPPLNVVNNGCFVCFQDSYTINTEQEELNWKTKGFQ FGEKDVIEVTYEPALKRVSWKKVQKPLEGYSIILKNDNRELHFCCIVKTQGAKVEIITE >CAK76211 pep:novel supercontig:GCA_000165425.1:CT868230:249477:249978:1 gene:GSPATT00011784001 transcript:CAK76211 MRSQSLLLSPQNYQLNPNLLTPTQKRYISQLSTGQNKSSTSQTEEFQLYIPQLVSEKQEQ FQTVNNYYNPNSITYLKLSESPQKILFKTGYEQQIEIKELNQENQNLKEIVKKQEDQLHD LGNILELNQQLKDKLLQLQQENDILLQKKEQHQKNSQNV >CAK76212 pep:novel supercontig:GCA_000165425.1:CT868230:250150:251193:-1 gene:GSPATT00011785001 transcript:CAK76212 MNKKSPINCQQKRLEINPNQGQHFGIQKRFSNNQNDVRSKSSQIRENNKLKQDDNLQLNQ YTQKTHQIETENRDTRQNNPNSINNNYMHSNYFYLEQPTKIKQENCKEGLNYRQQTNNDD LSKYNNDLFIEIKPPIIHIKGSLYSQQNDVQNEREDIIKMKEELSKCKNELQIERQNVIK TKDELSNCQKDLYKERENIIKMKEELSKCKNNLQKERQDVIKTKDELSKCQNDLSKEREY KIQLKEELSKCKNDLQKQGQDIIKTQEQFSKCQNELQIERIQTFKLKEETIKLDGILSSK QIEIDQMWNQTNTFLQFIKKFKENPFSSNQEEVKILVKKI >CAK76213 pep:novel supercontig:GCA_000165425.1:CT868230:251372:252242:-1 gene:GSPATT00011786001 transcript:CAK76213 MNKQVSTQNNQELQQQQQQSTKNQPQTPQQNNIQYGPVFYIQPNTFPMPNIQQQHTNDYY KSWFVYHPVMPDDPFLSYLSYVSNIVQNPHQQYPDNPIKIENDQDIPQPQFQNQTSQINL NKTIQQQKHSQPKNQIKIKTITNLYKASEIQPCNCSQSSCLKRYCTCFHSGRMCMDECQC KDCKNCDLFSEEREAAINYVFKKCNRDKNVPANELLSLQISYGCKCKSTGCQKKYCECLK RGQACGDLCSCEDCLNIPYNITFQDKSKKKINIQK >CAK76214 pep:novel supercontig:GCA_000165425.1:CT868230:252284:253233:-1 gene:GSPATT00011787001 transcript:CAK76214 MSNVPNSHHPPQAPKQGPPYQPTQFQPGFAPQYAPAPVTYGPPLTSSPLRYSQPLYQPSV VAQPVYAPPVVQQPVYAPSVVQQPVVTQSVVAQPVVAAQPIKGESRIEYVPYEKTVLEYE EVRQKIQVPRERYVTDYYAVEYQTEYVPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQ PAQQVQQVVAQPVVQQPVQVVQQPVQYVQQPVVQQPLVQSIPVQTVRPPVYAPGSLPLGQ TVSPRLPPQAQTKPQAQLPQTKQPQQQQKTKSFLDRLFDRD >CAK76215 pep:novel supercontig:GCA_000165425.1:CT868230:253325:254816:-1 gene:GSPATT00011788001 transcript:CAK76215 MNNDYTNNNENLNGINLQFTLFQLQIYASELDQFFFIDNPAFNRIAEFDARGMAIIAEMR QPRRRERHSPSQKLNLQKYYQKSTEPIQNDHWDQEQPHIKVTSLRNTNVNNEYIINEFGL IGSQKNTNSKDILIGRSHRNQNPDLIPNDIILPDDRAISRIHCKIVCKDYFRKNQILERK YKLALKYINLSRCIKHKISQFLEEPKNAYIQDLGSIFGTYLRVFRQEPYLLSYDNKFSIG SETYFNIVLNETYKINSKEIDQQFYKTLKALSCLKLLQKHEIHLGDKQKQLEFQQLEEDV TNMGFKDLYNILKEYNVPILGIKFSGQGFDSNKQQYILVGKSNQDSDEFSIGRGADNTIK INSNTISRKQCRIKYSAQYQSWVISDGLQDRDSANGTWVSLQTVEQSERKKESNQILLKN NSEIKIGDFFLRVELVKGRNSNFRNQIKECCLFD >CAK76216 pep:novel supercontig:GCA_000165425.1:CT868230:254842:256535:1 gene:GSPATT00011789001 transcript:CAK76216 MNINDKFIILTLQNYKNEKIPLIYSEIIFTISILIVPFNTFYLIEGLIQKLNHFYFPPNK LCYPLCNNRQNISIIINYFNNYHFVYSSLQIKLLFENFLSLIHIYKLIRNHYKIYSCGQQ IQTQIKQQSIKNYFYFFVNPNLLISINLLICNLQLRVRQCINLIIFSLIQQVFQNQKEGI LGLDNFDSYSILFYIQTMDQYIGFQLDLTSFNSIKQIVQMKDGYVVFGEQQVEQKLRSVS LSMSNQKLLYFEIKNGECISEKPYQIGQGLAVLSNIIANENHILALISRQGNRSLVQFNP KEHTNVHLIPSLPYKQYQIDCESNYNVYSFQDRVTLIELYKLQNSIYLECLNYPDGYHTG KKFKKAEQIQTLKIYNTNHILILADEEFYLFDGSGDLFAINIQMPSEFKLKFIIEIKDQY VLVMKDRMDKFYKFCHKEHEIDYFSTFKCQELIDGQFDVQTTKKQSINLSKLNDDYYIWI STIYQCEDNQNKLFVNVFKISIDKEPSLISSYELWKSQEIGFIQKDEFVHEYLLFKDEQV IKAFCINQLK >CAK76217 pep:novel supercontig:GCA_000165425.1:CT868230:256851:257551:1 gene:GSPATT00011790001 transcript:CAK76217 MNIKELSSFIKEYDLKLTQKLVKYLVIIGISAMKSKTQDISIETIKLIASSCKQQKLKKE MATLKEKVINIQQSITPRMGNCNFSEDKQLRISKSPLIQSEYSPIQKKKEQPSNKTKKAI SVQDQDFNFQSLNLKNKSNQLSKKLEQQNKQTQSQKDNQNCYQSSMRINLDQIANNFLRS PLIQNPLTIRNQSQQNELQYFFQNTWKQF >CAK76218 pep:novel supercontig:GCA_000165425.1:CT868230:257571:259083:-1 gene:GSPATT00011791001 transcript:CAK76218 MTDRKAWNQKEDLAILHLVKQYGIKKWTTVAEKMKEIYGLFGRSGKQCRERYHNHLDPTI NKDPWSENEEKIIFLAHREHGNKWAEIAKLLPGRTDNAIKNHFYSTLRRSLRRINKMIGD KNSNQRKQIFSKEKCTQQIKDIKPGVLSKIFILAEKNPSELKDDHMKKLCLACKGLQDSI LEFAQSKQKSLIIQFNEEKFKQLIEKIMDFNGLYTRQRENKLKSRKLNLKKRKNIIEDDD EEDDSYSSFYKMGDNSVQIPIKRSIRQSSRKKIKFNDEDDLDMIIRTKQGPVFKIIYDRF QFQSDENESSQNCYQESQNSIQSVQNQISDQIIKSTSQKSISKEQQNETYLKETINNNSS FTPIILIKPVIYNQDYDQYLEKLKQDIDANSQKYLQGDDLNLDINIDFAEATKDFTKSSS SKFGYTQSAFKKYKKDLDLDNFLVTPNNNK >CAK76219 pep:novel supercontig:GCA_000165425.1:CT868230:259122:260176:-1 gene:GSPATT00011792001 transcript:CAK76219 MQKLINESNPSDFVIDAQSSKAPSSQEFEFETQSFTSKEDLLNNQNFKPFLQERDSTGKL VGKDKKLNFLKGQQIVLYTSGSKRLQFSTTSILGLNSRAVTADDRRFAKREPLKNFKLNL QADQGFIKQFREITKHVKPSSSMNSGFLGPHRDYYYKTTKTPGPGVYQSLYITATNPIEF PKSPQISKPAQPVCSKDFYDFNMVQKNIPGPSFVKTQSRDSAYQRGIFAQIEIEKRQTLK QKPEEYDEQRIDREIDYLLQQQQKYGKISQPNLAWPVPLQKIELPEKVHGQWTKMLERYG FKKQTGGRLIKNTTAL >CAK76220 pep:novel supercontig:GCA_000165425.1:CT868230:260556:261995:1 gene:GSPATT00011793001 transcript:CAK76220 MNSDTYSPEHQTKVLNESQMTKLLLPQIDNKIPVQQDNSVNLQQSFQFYGLMDENLGFQH NFLHEQSFEQNHEKKQISRQLTYSQNQFYLNHSPRRRQYSFQINKNFQSNLDINKENDFS DDEIEESISNFEKNIIQKENEIQQIGASQDSNPLLTQTIQEVKCKEYIYCQKFKACFIVI RAVLRMIILVRPLKQKWTLNQQLYSQLIKLFKFKDKLVQNKIKQWTQISLTKVLSVLRYQ TLKQWNFIEGNIEDFQKDLAITNFLNLCSHLISNLEVMTQPINLLPELGYQSYLEQFVEY RSTYNIFVTKRTGYLQNKYSKIKPIEKAMIATECSYQPKIKKVFNADDQNIQFLIRGFIT ILQQLFIMTFSDIPQAQTLNTEFKYQQMQIGRNKYGMILVPIQTDMEEFYKGTFKLDQLR SIFERRGWFSNLVKQFKKVVRNIYQQKIQEL >CAK76221 pep:novel supercontig:GCA_000165425.1:CT868230:262037:263504:1 gene:GSPATT00011794001 transcript:CAK76221 MLRQNQKKLSLSLHQDYILRNYKDSHQCQTVTVRQQQIPKLNLSPIRPRQAKAPRQITCN LDVYMDQLSTANSQLNSKIKADINYTMCYDQDELKLSRKIDYNYTHGEKVRYRSTINPST ILRNNLQEKYQQSPENFLIQTSRFTKRKQVMPFKMQYQTEISRITNLFCQNQRKFKILNK FRCLTRTIGRFVLLYLRLAQHISDDYYAQRAKIEHFNSLKTAFKLDRNLNEDFIQKMQEW AAPAFQKILYYMEKNSENKANDELIDSEKIQDQEQLWCLNYAKFLFQNIELITRKGYIPI EIIKEVSKATMITKNTQMTLFLARRLKFQNSPLSKTEYQLMAGEFVYFNIIMRQFFDQAN KLKYSSLKHNLQQKKKIIEVASIIHIYFIKYFLNMPQRGDVSDKLLFQRKLHISGDKNQE LILIDTKDISLSETIIVGLKSEEHVRNLLKKKESLQKLLGKLFDKTIQNIYSQIEICDNL D >CAK76222 pep:novel supercontig:GCA_000165425.1:CT868230:263593:265128:1 gene:GSPATT00011795001 transcript:CAK76222 MFHQLLLPPNRNTKKDSKPDQNTKNIQKNQLPQLSKYIENELMKRSVQKEQERRISLQQQ SNQIDEDFKNSLLLQRRVNKKEIDLSVGQRNSIILDVTERKPHNKLKQLQHPISQCITIE NKLNYNGDKEEPSNKLRSISVNQRNPQYSHSYLMEQETPNEFQKNMFQCNQILDFKIYID SQHSHNQMEKKQGKQIPNYINFFENKVEQPYKYGKKDFQKYKKTKNILKLWGFIFIFIFR LIKQLRIKQLKTIQNLRELRVRLESSNQQQIVDIKMKWHDKLMEKVLLTIKQNKFSSNFK ENQNPNDKKFIQNRKQWMINLTLLFFQNLDLMTKAANLNQEILLQAQNHILQINKKCSLY VAKRIKTKLNSKEKLLIICDYLFLSFIIDEIIKNSNQLQCINEHHLAESKFQLVSICSLI QILYMNYFKELQVFQPKVLKIIQKRLNINKTKNQELKIIEDENIDQNEIIILGLNNLDFY ENLIKENQKWYDEIQTFFRNSINNLASQNNI >CAK76223 pep:novel supercontig:GCA_000165425.1:CT868230:266298:267926:1 gene:GSPATT00011796001 transcript:CAK76223 MLQLLQPGQFNLHITDKNAVFSPRLNDHYHQPYALKLKNKAIQQDSTQYEKDVQIDSHVI QTNELSQNQLLKLKMYELENLSLKQQNELLSREIGHKHKEITKLIKICQYPHLPPVIKPK QFTFLDQEFQEYLPSTSGLYQNGQESKRAQSYDYQNQSRNDSYSDSNSYQHTNRSYRPSR IQSRNSKEFQKYHEDPSQPSQLYLPNLRVKSTPREQYQILHRTRRYHLNVSRLKVLFIFI LAYTRWSKNYKQKRNLKLQQLKQIRSKYTKCLETISNQDVLICKIIIKQWISNIIDPLIK SCSSIQFIQQSNENSKNPNSESSIQCRQNQLMQLTIQVMNNMEKFTEKDKIPELIQTSLF LSLFKSQNLKAPLFVAKRTKYYAKNTLKIGIYQEKMIAGEYFIFRLFVSNLIESSNNMAY QNINHKMQCKFIILAIMGILQILYEDYFSELKQLDQPSTELFQRRIKISKSQDISIITDD NIDQDESLIFGLHGRKNFDNLMEKNQEWLLDICNKFAKILNNLHQIL >CAK76224 pep:novel supercontig:GCA_000165425.1:CT868230:269112:270388:-1 gene:GSPATT00011797001 transcript:CAK76224 MSEEHPAVVIDNGSGQCKAGIAGDDAPRCCFPAVVGRPKHQGIMVGMDSKEAYVGDEAQA KRGVLALKYPIDNGIVNNWDDMERIWHHAFFNELRVTPEDHPALLTEAPMNPKANREKMT QILFETFNVPSFYVAIQAVLSLYASGRTTGIVVDSGDGVSHTVPIYEGYALPHAVLRIDL AGRACTQYLVNILNELGVSFTSSAEMEIVRDMKEKLCYVALDYEEEMKKYKESAANNRPY ELPDGNVVVIQNQRFRCPELLFKPNFIGLEVAGIHELTFKSIMKCDIDVRKDLYGNVVMS GGTTMFPGIPERLSKELTSLAPSSMKIKVVAPPERKFSVWIGGSILSSLSTFQAMWITRS EYDESGPTIVHRKCF >CAK76225 pep:novel supercontig:GCA_000165425.1:CT868230:271570:271824:1 gene:GSPATT00011798001 transcript:CAK76225 MEIPFAVTFLGVFGWNVYQLVQKYKARRSQNYLIKLIDDESNSLINTLSPYKKRKLNDYK EVVIKNPQKVEVQENPEECESNTR >CAK76226 pep:novel supercontig:GCA_000165425.1:CT868230:271921:273027:1 gene:GSPATT00011799001 transcript:CAK76226 MLKNNEHIKKSKQNQDFSFASQQQNHYHDDQKIDIPDTNRVDELNDSESQDKEKNNLTFS IDTDQQHQKQEDDFNCNQQIENYQCNFQDDQNVGQQIFHQENNNIGQESFHNFEVQSNLL QNFYNHNQEEQVSAGFPYNNNLILPNQTPNLVHNLSQINYQAQNIQIDIFNQLGQQQFQN QISYQNFANIYTSNPQPIYSVNNIQQTTQSYNQGYQGIPYNQCNLDLLNYQQPNYNQQHQ SITNQNTSIQSYNFKESTQIPKLQKEEEQFSFQKRNNRSDQIHQEQNQQQKQSQRTSNNQ VAGLKYIKGQTDVPTNSTDNQSKSQKDENKNQVNDFSFYLQLLGGGGGGFNSKISVPSGN LLSLLLNN >CAK76227 pep:novel supercontig:GCA_000165425.1:CT868230:273430:274138:1 gene:GSPATT00011800001 transcript:CAK76227 MIDTGKTNKYLHSPGEMFLMVIITAVSNGVMIPQIIYTYKISNAHTLFLSVLLFVSSFMY HLSESIGVEPIILKEVDWHQLDNIASICGLMELFNYLMQNDRRTRKSIQWINFIAVVIVQ ESHAWNVWATVIPILISLIMFIIKQTFYPKKGQIVNKDYLQKGLFYLLIGFVAFYFGLDE YKDYLRLWHGLWHLAMNQAYFHLYQLFNPKVYTFLECWDLKQVYAKE >CAK76228 pep:novel supercontig:GCA_000165425.1:CT868230:274408:275935:-1 gene:GSPATT00011801001 transcript:CAK76228 MNNQLHNLNCKFCKINQNKFIQKEIHEKYFEQFNFYFAKPITEILANVPIDHVILFKDQL YLHDENEYMKRFYQREEQDPRIKLLSDFYYEQNKIPQPNLCKVNAHKFMEKRINKLLKLQ QKAQNSQNQQPITKKMIPDHIFSENYVESVSDSYPKEQISWDSKSHNIYEISQSVAQQSQ PKNKPSAQLPILNISGDQNKLFNCENDQDIDNIISEVNTHTSRQLSSITKIPFKKKPPTS ASKKKNQSIQQPQQPKVPIPPLKLQESAKSSTTTAPNNKSTSIQSLMKKYDQILQKRFQV KEILTERNETRSAAGFGSSCGIGTNRGEGGSSKKQITDEMLLKLISNMKKSKNKSDNYQF HASYKSVASHPQIGPAQSCTFEKENEKKKKKPKQIKKNPSQMSSPTTVQNTCSPSMLLRS LSNPSMAPSPMRRLEIKVNLNKLIQQQEQEIGYWTQRCKVR >CAK76229 pep:novel supercontig:GCA_000165425.1:CT868230:275991:277641:-1 gene:GSPATT00011802001 transcript:CAK76229 MEQLLERYEELKKRNIEELEHDKSITHLLYIEGFKWDNLDKIKQINKISQTKIEKRITLV QDFGLLRKDLEPYGSSNSNCQSLVKDIDMHINSIMFEIDKLEQLKQKSQRFLRIQSQPNI KPDTAPTDSMAQTGGLLSSTSDGQTRMYQSSFQFYRAQRDQKIQSHNQKWIEKQYTIQKK LIEDEKEFQKFRYEIDTKQKKVEMNLEEFQLWQSRIMKEQSEGNSKRLQQHKSRVQQDQM QRQVTLEAKISKKEQQLQKQMSLLKDFHELQKQQKEEHFLKILHKSMGALNDKDKFNQIQ KEQSEKKELQNNHKLKYIQESKEWTKQQLQRQSELKQKKIERANQIQVSQDIQRREKFEN KEKKKLAYMEKYHKVFEDYQNKINKEKEDKIHQFQINKAVEDASRGQLIKYQFERKCQSQ AQAQRLRDRRIKIFQEEKAGEHLMKQLEINQRLNQIQQELEMKRRMQELMEEEQKQRKLM IENDERLNIREREKNMRKLEIEKEQRDKEMKKLKYSKV >CAK76230 pep:novel supercontig:GCA_000165425.1:CT868230:277702:278465:-1 gene:GSPATT00011803001 transcript:CAK76230 MQNNKYNQLFPKSLRTKESTITKHSEAFSIGESGMLDAKENLELSVLRYPHPQLFQNPSA QLSFPNFLFSHQYNPTMAEHLMQSQMLPQSVAVEGMRIVCEIDILMMKHYYILRDQIFNK HNQTTLKRMDNNSNQIDWIKGRFQYRFKEKISKMMCKLVDEVKSLLNNKTEDDQQINIER LRFQPDELIQLDKEIREKAYDSQEYLDPYIICGRIAKLIEIYFRSSDQ >CAK76231 pep:novel supercontig:GCA_000165425.1:CT868230:278654:280299:-1 gene:GSPATT00011804001 transcript:CAK76231 MNLFVLFLFCVIFHNVASLMPMPKAIQKGDQVVKIENKCSLRYQATEDQYPDFILELLEH FHTLMTPKQCNFRQSFGFTNDLGAMKISMQIESFEQLYGVDTTKQESYRLDIDNELNVQI QVNNHWGLVRALNTLNQLSEKGEIHDLPLTIEDEPTYSYRGILIDSARHFLSVQLIERTI DSLVMNSMNTVHWHITDDESFPLLLTEYPGITHSTKYSENSYYTINDTTRIVEYASKRGV QIIPSFDSPGHSMSWGMTKELADIMMMCGSTIKQYGVLDPTLEKTYQVLESILKDFYQMF KKVKFVNFAGDEVSKTCWDQRPEIKEFMQKNNINDYFELQSYYRRRQKQLWKDVIKAEQD IIYLYRKEDNLPLDKDDIIHWWGNTDQLPDVADKPNRIILMDYFPLFIDAGFGNAFGNPY SVYHTWKEIYKWTPSLPQGSLNTIIGGEVPLWGETNNQNTHFNKLYMRTSVIAETLWNPK VKETEKYASFVKRLIQMEDRMTKQGFPVTPVTHGYCRKNTELCFPVLSEEEQKEQIQTE >CAK76232 pep:novel supercontig:GCA_000165425.1:CT868230:280390:285353:1 gene:GSPATT00011805001 transcript:CAK76232 MKTQEVCILKNKLNIHLTQILNEQTLVTYSSIHHNDNILPIEVPYLKVLSIQYILTSDGI LERDGNIVYLSPNILDAFVINNLIFIQLTDQFLLHNTNTQEQYTILNNMKIESFAISYPK VAIESSYYYIFDDKTRSLIKVGNTEKNKKFGCSFYQSYLFCGRPNGILWKAKMTGEVQSS YKFAGLKFNQINIVNNYIYNINQNTCTIIFIDDMSILTNIELISQQIYFAKNKLLYFDKE YLYTLQILNLEDAFNQYATQGDPKAIELFKTHSQLQTYQNGLLLYKYKSNEIEDILQNLQ RGQFKFSFLSNKTLIPNKQQIQFKDEVGVKQSCQWLAVDPVISNKQVDLGNVLDKINSKL FQYKGMRRLRRYLNVQSFNNLNLLHSQIIKNIRAFKKWELLIIQQNFKTLILYSINPRID KMEKQTVRGQNLNKYYIKQKIQKKFKMAEATPEITLQIYASALENLEKAYDILHTPYSNF MVESTKILVSPDYPVEIRINIGVLLRNILIEQWESIQPSRKIIRELLLNGLVMNVSNMPI IELIVIDNQYFQVFNNNPSHIWPSPLMELLNWMDDINAVESSLELFLQLFGKLSETNGEH QNLLKEIVPSVLEKGFGIFAQPELNEMLREKILLMVYLILRSISFADGTDNSLVNKCLDN TFQIWMSLFLSALQTSPRSHIFIKKLVLKILIIIFRDFGFYSRKSLALSLIPIWKFFNSI TQLYIGHIVYQIDVEHIDGLFSEETKELPFSNQRIINLRNGKFTCVILLDIEYKYLNEDD DYENHIEGLCAYSIELITILVTKPALYNLIKFGTFPLLNTLSTFLTATKEQEKQWIKDPS YFILNDEEELLQKSVRTLALRLINDMIEKYGDQFIQQILIVGEKLILNRDEKEFLDLAQS IISKLNFQELKGQQTKDFDQDSVMQFMKGSIITINNNHYLKSFIQKRKEIGYLLLGSFSE DIIVFQQKHQSTFDIKKCIQNILYELEKQNSYSLQARAIWSTTRYSDLISHQFKELIVPL FESVIHYLDSKYPITLRIISVKALGNYASKIHKYSIPFEYQQEFMESVLNVLQEANQDQM ISILESTIHLVKFSPTLATTLAKNGSKVLLQFFSVFHSEQCFIKPLNELIVRICQCKEAY PHIFEVFCPFILDCFQVFYEDVHKIQDKSKIKQSDISLMSAIMSITSTFIKFCSDSKAQE AFINLLPSMVNLILINEDPQLQVHTSQCLKNFIIIETGQILKMNLVQDVMKVNLKLLEVP QNSANESASLFAGNLVMITINNLLDGNPDLNLLKAVVFKIYRSRMPSTVQSLVLVYARMI IEKPKESIAFLTSWSIDNRMALKVLIDKWLLQQPLFRGKGTKNATFSALMKLFLVKDKTL ENLLVIGYNPSHQNINSDVYAPFKILSLLIRCLDNEIVPSKQDNVNQQQEDDRLEVDNDD DDFNDKKYQDQVDVDLEKIKDDEDQDIADRFASLDPKEKKDKGLADLETGSTLYMSEFLD FNQEVGEECDETTEEDLTYLKDPCLNINLVNTLQEFFTNLQKNDQEYFKFCLRHLLKEDI LLLQKHIKLNF >CAK76233 pep:novel supercontig:GCA_000165425.1:CT868230:285763:288225:1 gene:GSPATT00011806001 transcript:CAK76233 MQNNCKLVWIDKQINNYENTFFQKRLKDKIQDCSFLDSTSEALKILLNQQKGDKFIKIIS GQFIYEEKLDLGDNLIVFCGQSKKHLQQFLSKKELLVLVDSGIVEVEEIVNLNEEFLIAK EYLHQSFDQKAQKQTQYYHQFEATSFRFLLINKKLEKNYQTNEELMEKEILQFVSQDVFK NCQAKMQEAQKNKKNGGKFSIFEKFIYYYTGKEIYRELNRNFAESNYSKLEQIMCVLFEG YSKLQSKPLSNNILYRGISDQEQEIYNNIIQDLQQSLKNNQSMFWNTLASTSTKENVARC FCNKRYKILFEITLNQQNPHPYFVIETYHSQYPNEGEVILFPQFEFKVVDMYNKDNIQYV KVEQVNNNFSMSLDNSKRQKYWTNKIENDLKPKLKKICSFYQIRIDYIIKNIMQFSQDID DLKALLKNNLEQYLRKLVSLLSSFYNDNDDYYRILNQLLETGYKEINSAFIKESDFFKKL SKFIRDVSDLLIDQFLKIIQKIFNIEEYKEKLITLFSNCYDLITHCASNQLVQGVAAFSN QILESINNFKDFKNFNPKQTFQIKASEAGGQVIGATIVDALNGKASFEQLALKGIFMGIS SISVQFRQFIWILWQLSLIKCTLCDQNTSHVEKAILFGKQAFSVGVAASWRMSGSSIGGT IGAAFGPAGIPVGAFIGGIIGGATSQLAQDSLDNFLNFKIKVSFSSKNKSIKENGVLISL GVNPQVEFKNVPDKVQNLVIVCKANKRVAWLTIVKSSISIIQENQLGLASLYAGPNPDES DITFHAFGLETNDINTDSVLEDIHQKKYKVICCDTQNICE >CAK76234 pep:novel supercontig:GCA_000165425.1:CT868230:289804:292373:1 gene:GSPATT00011807001 transcript:CAK76234 MNNFEKVDAFGQLPQARFGHTITYIAKGKAILFGGATGDTGKYSITGDTFSFDMQSKQWK RVEVQGTAPSPRAAHSAVCVDINQIVIYGGATGGGSLASDDLYLLDLRSNDDLGQWTVVP VVGTTPGRRYGHTLTFTKPFLIVFGGNTGQEPVNDCWCVNVEKSPITWVRLECKSEQPLA RVYHSASICTNGSANGMVVAFGGRSNDQQALNDAWGLRRHRDGRWDWVKAPYKIDKEQPV GRYQHTTLFVYSMLVVIGGRTGNVGETLTIDVYDTETSEWSKFNSIQRFRHSSWLVDTNI FVYGGFELDSPNIPTDIISKINLNRLLLPSEILTNKLAQYQHAQRNVSPQSLSPQMTPIS PDTQQASPLNNSLIQKKPGQTIQNTQKQQKPFAQNQADKSQFKFINQAVVAEEARDSKAP NRKPRVPQSAQENNIAHLFISTLLQPKTFINISENAKFLFQAQHILMLCDQAEAVLKEQP MVLRCKAPIKIFGDIHGQYSDLMRFFDLWGSPFVDGKDSDIEAFDYLFLGDFVDRGNHSL ETICLLLALKVRFPESIHLIRGNHEDKWINNGFGFSEECAQRLGEDPNDEDSVFARVNRL FEWLPLAAIVEDKIICLHGGIGSQLNYVAEIENLQRPLEVIHEVTTPEQQLVVDILWSDP TDTDQDFGIQPNIIRDPAGTGNIVKFGPDRVINFLIKNNLSLIIRAHECVMDGFERFAGG QLLTVFSATDYCGRHKNAGAVLILKRNLEIVPKLIYPQNLNAHNWIEDEEALKKRPPTPP RWKNQGQRRSYD >CAK76235 pep:novel supercontig:GCA_000165425.1:CT868230:292398:292655:-1 gene:GSPATT00011808001 transcript:CAK76235 MIKTRTKPVCKTHFNLSSKQISKYRKFILNLVNRKWLRQVDDVSTDKCKIRFTENKIHHF VPSETMIKKVIRSDSQPKKGILKTT >CAK76236 pep:novel supercontig:GCA_000165425.1:CT868230:293308:294342:-1 gene:GSPATT00011809001 transcript:CAK76236 MNKENEGFNLQEVGCKAHQNKKAKYKIVGTTFNKSKFNLMDLVCSKCAITLISQGYKVEN IESDETLMRQDQIQQFLETISQTYLIINQNEQNLLQKKEDLTKFCEMQKDKVREHYTSMR IALDNKLKEQIDYLNELQYKAITLFDQKYQEITETHNELIQMQSDIEVNLENIILKMEVL PYKQIMGSYNKRVTEIQQCLTKLGTCKVVLGRVYKRAAETKILGGLFEDCELEIKIAQTS LLKTEQQSNSRLLSPQSMSQTNIMHQTPEKQKRSNSQSSKFLEILNKVNENQLKTNNFYT QLLKGETSLELSERYCSPTFKK >CAK76237 pep:novel supercontig:GCA_000165425.1:CT868230:294661:295306:1 gene:GSPATT00011810001 transcript:CAK76237 MIIERIDLEAFKQALSLLRMDMQYQISFLNYQLSIKTQIEENTQMNTYSCKSNCKIPILV NCDKEQVKMLLNLQIQNTLVHLEFQDCDSFRLISQQYKALKLHRIQFEIIPEQTQLRLQT YFETDYNMIMKYHFNNFKILDKNITEKEKFTYNSDEFVDSISMLDKDSTLTFLLTNNGTL LIGVLGGQVYSTKSLLSRIIVHDDY >CAK76238 pep:novel supercontig:GCA_000165425.1:CT868230:295898:296285:1 gene:GSPATT00011811001 transcript:CAK76238 MNNSDSGQDSYEEKSFEIPKQIKDLRACQFCGLLLTLEQWNKITQCLNGCSADQTKIYSG VICVMKPSKSWVIKKLGNSKNIHPGLYAIDVQAE >CAK76239 pep:novel supercontig:GCA_000165425.1:CT868230:297404:298395:1 gene:GSPATT00011812001 transcript:CAK76239 MQGPQIPAVVSIRPFPNSNRFLSTSSKKAKHHGDDLDVKVVHQESEDTYFLLENVHGAVY KIKPASHPNHFVFCSSESNKKYGTDLDIRTHHHNEQRNNWIIENVGWSTFTIRSETNPNF YLFAADEGQHQNESDVRAHTNLEQRNLWFIITIPNIVHPYPLLHQPPIVTLRPILLPQHF LTFGDAHSEFEADFAVKARGLRTEKCTFYLDRLQGNTFTIRPTASPIYYLFCANGKTLNK WNDFDARFHLNKEARNNWIIEPSGPGYWIIRSATNPDQFLFGVQDEGNGQEFNVRTHPCN EERNKWAIDGFNG >CAK76240 pep:novel supercontig:GCA_000165425.1:CT868230:298706:299689:1 gene:GSPATT00011813001 transcript:CAK76240 MELGSTPVLISFRPVAAPTRYLAACTNKSRALKEDFDVRCVQEKSNDTYFIIQQVKEKVY VIKSAAKPNHYLFCSNDDTRKHEDDFDVRTHSFQEARNNWIIESHGWSVFTIRSETNPNH FLFVATDKSNKLDKDFDVRTQNKENQNNHWYLVTNPTFTTPYPLKHEPLIVTFNPAHLPQ HSLTIGDTHQSFTDDFSVNVQQNNNQHSKFILDRVTGDAFAIRSFQYPSFYLYVDNKKEL NKFHDYDVRFHPVKDLRNVWLIEQTESNHWTIRSLTNPNQYLFAVQDEPKNNSSFPIRAH PFQEDRNQWIIDGLLQY >CAK76241 pep:novel supercontig:GCA_000165425.1:CT868230:300538:301236:1 gene:GSPATT00011814001 transcript:CAK76241 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLISGEKRVRRIRVRGGNFKFRAL RLSEGNFSWGSQGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKLWYAKKYN VELGPKKKDKKDAPAEQTQKSKSLQKKLEQRVKDNQFSNQRLLVRITSRPGQSGRADGYI LEGKELEFYVKKIESKKK >CAK76242 pep:novel supercontig:GCA_000165425.1:CT868230:301242:301760:1 gene:GSPATT00011815001 transcript:CAK76242 MYNQQLVQNQKQVINKVITPEQLYPKPPILYKQSNLNQQLPQPGHEGNPQKQKFSQLTYQ PYLPNPEIDKIINKYKSPEIQKPSQQNQVCFDNRFQDPNSNILQRPNTLKNEDDSDKLNL INNRNQNSNQLRKDQKLTASQTDYKNDRLFKLIIIGIIILQSLYIISLLNSN >CAK76243 pep:novel supercontig:GCA_000165425.1:CT868230:301890:304739:-1 gene:GSPATT00011816001 transcript:CAK76243 MKKISSQIQWLMNRNSVQEPSLSNEIKSSRSNPKSAKTERPQSKTKKNDLLDYLKSERNA ITQTFNSVKNAQQGSKSIDILQTQSQKSERFNNGQLNYVDLNIQTDDRNNSKKSILKPKN LSLAIISLENQLFKQSQTTKQSYNQSPQNQINSPIIKQKEQYQFDMQILKNIKTSSQDDY LQHSQITQNKQSILSDDLSYHKKTLEDIFCNKKQPKQAGIQKPLHQKQCENDKRVANQNH CKTEVSPSQIQQKYQNTEEKLNYEQDQQLKIILYYKQQKYHYLFKYQNKTTDDLFNYLIQ QIASIEKQFIKHGGGTGSTDGDSIQQELNKICQFQTLSKNVPYDYYLTLNEQKLDVFKGI TLQIQPFYSQPIITKKVGLKDFTLIKCIGVGGFSRVYMVRKKDNGKFYALKLIDKKFIFE NSKEIIVQNERDIMTKMNNQFVTPLHYSFESKYYIAFVLEYCAGGELFYHLRKLKRLSEQ DAKIYFAEICLGMAYLHSQNIVYRDIKPENILLDLQGHLLLSDFGLSKPEMTPDDVAYSF CGSPEYMAPEMLMKTGHNYLVDCYCLGALLYELVTGLPPFYSHNTQDIYNSILTEQIQFP NYVHISTLLKDLIQLLLKKNPEERLGHQNGIIEILHHKWFQDVDFEAILNKKEKPPCKPY PLKYNFDEEEFNKGDAEFRKQFQINLQKEYQNVDNANCLLDNFYYSRESVYGQVKSRRTT KVYLNQLQNQAIMAEPFSIIQSMRLQSPQQDQKDQQFQQSPQVSKRINGTKVKSEAFDHS KKPETMTQSTQLQHQTSKLGHNYSKTMQQTQFSLQEFKQIKFLIDQSRALQSSDRITTMP DQNKKNIIERVKTEQFGNLASPKTTTSSTLHKMNNFQALFGSDCKKKKLF >CAK76244 pep:novel supercontig:GCA_000165425.1:CT868230:305385:306328:1 gene:GSPATT00011817001 transcript:CAK76244 MLVSKNLDSENENNTNLQIQRHNLSFSPIQKEDWTQIMEEESISDFRQNDLTLALFYTTT LTPQNHIDLINIFSKSKEFQMANPEEPNAYYAELIDRDIGRTYQQIPYFKDKQNQDKIRQ LLVNYAQHDPDVGYVQGMNFIAGTLVYFQVPQNQKIFNELIYKHRNNYVTGTPGLFENLA KLKFKLKAKIPQLFKHLQEIGLNDLGISFSPFYLTMMLQSTPIQYQMIILNIYQLMGQKY ITKLLVAMLKLSKKALMKLKDVENVNKYIRTQLLANFFQEIEICRKEQRLIQLFFNIGLI RRINEF >CAK76245 pep:novel supercontig:GCA_000165425.1:CT868230:306505:307694:1 gene:GSPATT00011818001 transcript:CAK76245 MLQKAYKKSLVDQQTPQFIKMSTTFQNLRKQSFQIQMTVCTLLKERGNKFYQMNDIQTAC QIYEELYQFLEFREFKNNTQYLVQYNGKSPEEMILLNSKTISIILELKIAILNNISATYL KLQLYQQTVEITTYVLNHDPNSPKALFRRGKALLSLSPLTNENLFASIEDLQKAQQIQTD QLILSTYQQAIEIMNKRQLNQINLIENANLTYEIDYSKEIPQEINEVKQFTEKKGIEMLK DLQKQGKIKEANEFLQELNQIQEAKKQLEKISRLNFDKPKPYLNEMIKRLGVNSIQLQQE FKKIQYQNLQDIRNKLKQWNYCYSKDLNINNQGLQGEQQEYPSENQTQNILLILGGLLIF ILIGVFAAGT >CAK76246 pep:novel supercontig:GCA_000165425.1:CT868230:308483:309234:1 gene:GSPATT00011819001 transcript:CAK76246 MNQTKISKVDILYQRNNQAILEMPKDYRKGHFMIRKNEIKIEKEEVQPPKKKDADQNTPK DYYQQRKKNILIIRDEREKQPYQSQKFLDDLPQAQSLILFKNKDKYSLNFVSQKSEVYKH MTEEFQNKIFKDIDKGLDARKNQENRDKVDIRVKKQIAGYEEDVLSGSDIEDPQSGKKKQ TRKNKKEKVSHKLDYQSDEDKPKKKVKKDESSDDEVSSDYVQDSSDS >CAK76247 pep:novel supercontig:GCA_000165425.1:CT868230:309482:310590:-1 gene:GSPATT00011820001 transcript:CAK76247 MSLSQLSGKQGINYAKLRHKKSPNDQQSFGLFEDMNRNNLQYIESLIQKRKPSVDLKHQR AKSTLDNKQHSYRQGTPINDMEELEGEKLFDQFFKLNNSKSDSDFMKESIQRDSVNKNNE SDFLKFVENAIEYHKHSDVRFFRHSVNKQSHLEQKLKEITLSNRLLASQLNQYKQKEIDL KSKIKMMQKEFTIQYQKLVQQNQWLEELLAKQKIDNQNSLLAVKKTVEMLQIKCKCQKGN QLCDKILRDVKNQERVRQQYEWDDESKPTHSSSELSNNTQEEEDESTDFNYQLKNRRKSI SKVCHNSIQSAEEQQEQLVQSFLNGKSKNLREFPKDMFLRKKQTPDPYYIEEENTINSN >CAK76248 pep:novel supercontig:GCA_000165425.1:CT868230:310894:312874:1 gene:GSPATT00011821001 transcript:CAK76248 MIPKLSRENTTSNTSYLPRHLNIQGFQKFNIKSNSPRTLQACQELGIDPIVLELKQVSCC IPEKNQISNKMTQMRKSFNSDINITSTELIVQDEQNLLQNCSLKQLKEGKRQFRDKDKNN QIIMPQQNPQIDQKQSSCSNHQTSASNNSCIQFLRVRRQIDSIYNRTVSFLHQKSESPTK DHFTGDVYDLNQLEGGIEKEIDRYNKHKKQKIREAQLKLKEDAKRLQLIEKWKQKDSQIL DSILKRQYAFKQKMKASSSGRKTPFQKIPQSTDPKSFKRDSESKIDKQDINVNLRGGDTS MQKTMYRTRIDDSKIKQRREMVIQRKEELNKMEDIELQKDLEKLQLKLNQSEKLSKQQAQ IKVERIKEQYFREQQLIQQQREINVIQSQEHLSAMISKMINKEQEFRGQLQSQLMQDLEK KQQQKEKVNKIKQNQNDLFKDHDKKLKQLNDKFIKIEEQNKLRKQELEHKILLKQELRRL KEQDKLDNYERQKRQNDYKMMTLYMKSKFIEEKNQLKQYQNEVIQKTSMEINKQEIQERQ RIYSQLQELSDNLLNYKSVSQHESRQKQDQAKYKSVKQSSYLLYRLLKKFAKLEDPNIEQ HTKVILTMLQPKPVENENSNRKLSVHKK >CAK76249 pep:novel supercontig:GCA_000165425.1:CT868230:313047:313489:1 gene:GSPATT00011822001 transcript:CAK76249 MDNEIQIHNKVKRIKERYVHEAMLLVEHWRSLYQQPYLQNGRQLKITLDKAAEIVGIPRK TLEDYYYQLKKAETLVDLQNFKNCKIGVIRRIVKESKKQSETTTHLIDTNEFFLQENETI VNRKNSFEYDD >CAK76250 pep:novel supercontig:GCA_000165425.1:CT868230:314155:315135:1 gene:GSPATT00011823001 transcript:CAK76250 MKNISSIVDYPPFEFTGKRIPIKALICNELSFPKETKPIQNFLIFSNQSGLKRSKSTPPS LRELLTDAFTITLTERTLPSKRISILLPFKNAVEKIRSNNREIMARRKSCYGSLFGINQQ KQMVNYPTSIEMHKKINGKEFALSSFAELLKKNQRKSTKRPSLARKLSKQMSLVEYDNME RPPTCKTPRETKIILFKKKNSSTLTKEKYIQGQKLKLFHFMNDSQSRKIQLNSMPFRNSI KHRGDTMKLKTCMTELLSTQRSPTSSYRTTTYERPYQKQNELLSKLIKTEHKSRSHYLPK STFNAFGY >CAK76251 pep:novel supercontig:GCA_000165425.1:CT868230:315192:317078:1 gene:GSPATT00011824001 transcript:CAK76251 MQDYRGNFKRIEFGVQQTPVKSTNNMNDFQSSQKLKYEQVSNKPQSVNVSFINAPVTMVS KELFTLQIPVKVKQLTDDWNTQLTLKMIMQSSQQAHGQTLRIELTDDTNFSFLQILDLNE SEFLALKNEQSLHVDFATFPMKLADLLQLCINSQRDEKVNFYVCLETKNGESTLAVIENN EFKKLTHLSLRLRSATDDILKYFLANKLAIEKQENEELQKKTKKMTEQLEEKQWELENLK SEVRKFTEDNNAVLQQLQLDEQKKLNDFREQALSRETNFKRESENEKQFIIEKYEKIVFE LQNKYTQLQQINQELSEQKIQLTQQEKELKNRFSIISQESQQLQKENSEFRVLNKELDTL KFSQERQIIELRIQKEGLEKLLHDKEDFLNNKQQLVDNEKKQNVILEEQTNNQKKQIEKL EQRIAVMSDEVNKGNQIIEKLENELSKQKEKNKLKNTVFLQQEQTVQQLQDANDQNNKQI NEQKDSLELRVKDQENTNLDLKNKLAESQKLLESNNQMIQYLNKCLNETKVQAPAQMPGF STLKSQTFTKYTSPIPLQEDRSFRNQSVTYQQNQNNVSQISNQTIQNKFNF >CAK76252 pep:novel supercontig:GCA_000165425.1:CT868230:317222:318344:1 gene:GSPATT00011825001 transcript:CAK76252 MDQGTFKAPKVKLTDYEILQTLGTGSFGRVRLAKHKQNGEYVALKMLKKAEILRLKQVDH IISENTILSNISHPFLIKMLGFTQDERYLYFLLEYVQGGELFTYLRNKGKLENEEAQFYA SQVVLMFEYLHTKNIVYRDLKPENLLIGSDGYLKLTDFGFAKFIDSRTYTLCGTPEYLAP EILLNKGHGKPVDWWCLGILIYEMLAGIDPFNDEDPMAIYQKILKGKVKFPRNFDKEAKS LVKHLLVADLTKRYGNLKGGANDIKAHRWFQSLDWEFLLQKKIQPKYKPVVKNKGDTSNY STYPDSTELPKPVKPTDDPFINW >CAK76253 pep:novel supercontig:GCA_000165425.1:CT868230:318407:319944:-1 gene:GSPATT00011826001 transcript:CAK76253 MFCSYKYCGFSNKAQSDGVISCPFCYQIRYCSQRCKDLDWTISHKQNCKGKQQRQSDIND TQSTLKSIGKNIDDFEIIVKDGKSELGKGSYGQVKLVKDRQNGQFYAMKILNKKRIFEFW SIDNLKREIKIQRRLAHPHIVRLHHYFEDKENVYLILELADNGSLFQYIRKRKRLPEKEA FVYFFQTCLGIEYLHKKNILHRDLKPENLLIDKQGNIKVCDFGWSAEANQSTKRTTFCGT VDYMAPEMILNKPYDFKLDIWCLGILLYELVHGYAPFKGKSNQEITQNIVNLSIIEFNQI CSFELKDLICNILKFNPENRLSLLQIFEHPFMKRNYEIYKIDLNQYLNKEEKIDNRSLSP VQEQIKTRNRNFVLPQHNSSHELNHPPISQTFSITQKNSLVLNYKVTNQPTTGTSTSIST YSQEDEIKARVSRVSQRQQQAYQQREIGSLRQSNSEIGFMDRVFQALGCLNRDKQQQNQQ F >CAK76254 pep:novel supercontig:GCA_000165425.1:CT868230:319998:321220:-1 gene:GSPATT00011827001 transcript:CAK76254 MLLSKQNYDDFQQYNIHKTIKQEQFQIKQMKQEVMKTSLEEQRQIQEEAKRRNQELRQKE DTDEIQRIQLLNKEMADQQKQKKLQTKESLSKKYSEIIDQRNLIVNQKKSEDLNIENQII DSAQKALQEERQNQLQKRLMQKQIYDEQMKLIEEKKQKERLNRDQERVLFQEYAIKDQQR IIQQEEEYKKYYQRLAERNDHLQDIYRKNVDDPRSSLDYIINKQVKEKMDREQEEINTKR QNDKQLKDQYLNTLSLQVKEKEQRRKDQEILATQNKQEIRKAAESFNDEQQQERRKKRDY QSQYYEQLSSMGNGQNQQDKLIDNLSLQQSQAYNPLTNPNPHQIQNPYILRQLGLNKQSN LQSPQQSQSKLAQLGQSSLFK >CAK76255 pep:novel supercontig:GCA_000165425.1:CT868230:321259:323804:1 gene:GSPATT00011828001 transcript:CAK76255 MKFSLIKQFEDNDMPFKRSMETLQIKQDSYVQFCNFDWIAVQKDSDLTLYKDGLQDVPLY SLKQANEIDLTQLFGKTQCGKVQIHFFKFANEQFDQKQMILIGNNTIIGYDVYELPKTCK FYMNDDYRVRRKLQFSEVQILEQDFNLYILTDHFDLITLNLANKDNRFNYQPLVKKGNFI ANLLFQNNLDFYIPDATFKFSVFEDTLLIIQKTSANQIKSYQCSLNPYNLISQSQKTFVC DVINLNCQLAINNFHLFKTSKGIKLMFLTIIQNMDQLERNCQLKLMSKEMEITDPKADFF NLDRPNYEDLNELQLHGEKLKSFFFQNDQDIVLLYSVLDTNYYHNNLCTSFKDNTLFKGN LQGAGISVNNGLSQLKVCFNGKILIAGENQQKCPKQLITHFQGRCKNEIVFNLLKEGFNQ FCTHSNQNSAFLKLEFQAFQEQIICQVINQILWDYMETPISKYTVVESQLQENQNKLEQW KQYTKTVVKNEQIILNLIRSQICLKVGEYISKNENSLQQLIQDCLNKWLVFSQFKYQFYS NLEDLYTILEQLLKLEGKENHLFNILTIIYSGINEAKEFNNIQYPKFWTHEPEWLSLIIK ALNKLREQPQIAFFSLFKYIITDISIVFEFERKISPLQFQVIQEFSNQISKEEFQDILIN NRLYDILFQCYLQTSLRLEKVFELISENEQLCQNFVNLCLQHEKENNYQDQRDLNKQNQN QAKRLHQILDQIEEHRCVDQVLKCLSKYPKLQNILLIRSMRLEEIQNIPIISQIHDPDSK YYRFLVDTGCHIVDKNKSQIEVEL >CAK76256 pep:novel supercontig:GCA_000165425.1:CT868230:323934:324493:-1 gene:GSPATT00011829001 transcript:CAK76256 MAQFKKQQQKTQAPQKKKVKSELTDDQKQEIKEAFDLFDTDRSGAIDCHEIKVILRALGF EVKKQEVQALMKEYDRDETGRIEYSDYIELMTRKYCERDPQDEIFRAFKLFDDDNSGKIT LRKLKKVSKELGESLSDQELQAMIDEFDKDGDGQINIDEFLSIMKQTTIY >CAK76257 pep:novel supercontig:GCA_000165425.1:CT868230:324697:325190:1 gene:GSPATT00011830001 transcript:CAK76257 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKDDAEVEVKEEKKEKKQQPKEEKPKEQKKET KKPQTKKPQAKKQ >CAK76258 pep:novel supercontig:GCA_000165425.1:CT868230:325525:325990:1 gene:GSPATT00011831001 transcript:CAK76258 MYKLISNFAKNLSTQVPIYSYHFPNGSVYNNPAVTAKRIIKVVGERLRKIDPERWESTPI TFNTNWNDAAGYVDVATCIHIHDALEKEFGIEIKDRAFLVSSIETAFYIVNIHHDSH >CAK76259 pep:novel supercontig:GCA_000165425.1:CT868230:326909:327828:1 gene:GSPATT00011832001 transcript:CAK76259 MDQKTKVEADLCDQQHKYFQQSKPLQLSQIINIRLNQPRQDERRYHSETLKHQAKAFNIN KWHEMVDKDELYQMSLTGHLIKAIQLQEGNATLNNLINVTEPYLPFLRKQNGKNFTGMAT RTVKGCLSAVVFKRNDESTWSVDETKVEEFISQANRKLLQFFEKLQKNFPSYDNIKMESN DKKIKKRIKMEQKRDDDQFIDRFQPYLNQKLDPQDNIKIENHYSNFDQNGSSRINSDWHL TISDNIFKSLYCLRQGEKIDDQFLGSLIGFYNIKHQLERMAECQRYID >CAK76260 pep:novel supercontig:GCA_000165425.1:CT868230:327862:328734:1 gene:GSPATT00011833001 transcript:CAK76260 MGNNQNNNNAANHQSVQHLTNDPNYQQVLRDLYQNNPQQIVQDFPQYLQNQDQHPARFKH NVEVKKVVPKQNNTYIKKDSFKLAQIDASTYQIEFVFECLEPVTLKIHLLAVETINNEFI TQKITAYQSKTYHFEPVSAYKFDQFQFDIRQIKLEDLEYTNQEKRQYPLIIEMETQEKAL FQYCFFKLNQNEIQLQTLEIKMQKNGKAFSVRDVYGGQEDQDKDCVICLSNKVNTLILPC KHMSLCQTCCQGLKERKIEQAALEQQ >CAK76261 pep:novel supercontig:GCA_000165425.1:CT868230:328738:329693:-1 gene:GSPATT00011834001 transcript:CAK76261 MSQSSKYISVNDNGWIKWYCNLEDHHFFCEIDEFFIADQFNLYGLKQLFDHFEEALQMIL SPNTPTDEDLEDDQFLELYNEASELYGLLHARFIITPQGLTLMKSKYLQGRFGVCPRVLC ERQNVLPIGLSHDLRTSRVKIFCPRCQDVYSPKKQMSDVDGSFFGSVFPHIFLSVFSELN PTQPANEYVSKIFGFKVHKKKGSKFQIQQNQTEMYYYAEDHIKRLSQIQGSQQQQSQQQQ QQQQQSQKNDEEAEKKQKEALNAIQKLQKQKQKK >CAK76262 pep:novel supercontig:GCA_000165425.1:CT868230:329725:330659:-1 gene:GSPATT00011835001 transcript:CAK76262 MKPFLIFILILYILFIVALETNDKAKPKKSKKNKSISEMKTPITVSGRAWARKNCMFGEI LSKGGIEAKNLKTKKLEAQVLKTKLLKVGTLKSKKEGRPLVINGSISVYKMKTQEKKQKV LLQEIQWELLHHLDFNDQSSSKCENLRDGHYFGGYCENTNQTLSKKFNSLPQHTQVKVEL ALHVFDQWESEQIIMKADEQIIWKNKFENTAHHNNFCGSSRFGDQINIPIMKIFDHTHNE LNLQLQGLTSKSTCEASFGIDNLVIYYR >CAK76263 pep:novel supercontig:GCA_000165425.1:CT868230:330811:331708:1 gene:GSPATT00011836001 transcript:CAK76263 MSTRTPIPTFILKTYQMLEEQKHSNIVSWTAQGTAFIVYNQSQMEKQVLQNFFKHSNYSS FVRQLNLYNFKKVRSNEGQIFKHKCFRKGMKSMLQFIKRRNQEDLIAPAIQEEPTIQVKE EQNLFKECAIDIKETNNKLKEDMKLLQETSSYLIDQMQNLNHFVYNQSVDIEVKFKQVGQ MLHAINEELRQENKSETQTNKFLGEYKPIKEDQFQESKIGSPNPYVDYNSTALNPLDYEC FIDSFL >CAK76264 pep:novel supercontig:GCA_000165425.1:CT868230:331770:332396:-1 gene:GSPATT00011837001 transcript:CAK76264 MKILKTLIEIKNMTYLLLITTLIVLGSSLSTQLSQQPTCFIVNSPEENSNLTVNYIVSGL DENQTDVFIRKKDGEVLIKVQNTKDGKIREILKEKGNYYVCFQSKDKSYKMVSFDFDLEG VDKEYAQTEQFDQMSKELQKTQKNFQNIYRNQHWISDRESAHALILDQTEKSVQWCIVIK VGILIVISLSQIYIVYNYFKDKDLSGQV >CAK76265 pep:novel supercontig:GCA_000165425.1:CT868230:333308:333783:-1 gene:GSPATT00011838001 transcript:CAK76265 MQNTDQESSINNSIAKEQEQQNFVKNKKNTKKSSRRIHKIPIRTQQLLFVQVFQEGKSIK QVADDLKLNYSSAKSLIHYYKNHKRPAPNQVVDVLNGKKPCLYKNMQNNQNAYNNLKIEV WLKHSFIKAYNFYERLRKQEQRFASQCLS >CAK76266 pep:novel supercontig:GCA_000165425.1:CT868230:334259:334727:-1 gene:GSPATT00011839001 transcript:CAK76266 MRKSQYADLLESDDRQNQGSESSEYQVESINQRGCQSASADAKRYHKIPLKTQILLFQMV FVNGKRIKQVAKSLGINYSSAKSLIHYYKNNKRPIPSAISSILNQAKPCGIKKTKHGNKN MFVVVQIQKNTIKQYNFYQLLQQQQNP >CAK76267 pep:novel supercontig:GCA_000165425.1:CT868230:335170:336772:-1 gene:GSPATT00011840001 transcript:CAK76267 MDPKSTAFHLYKQTLIRKNTNPIGQIYSYEQKDKIGQGAYGSVYKAIHKVSKQVRAVKVI NKLNIKYKERLLSEITIMELLDHPSILRLYETFEDAEYLYMVLEICQGGDVFDKVLEKGC LPVEDAFKIFIQYMRAVNYYQGFKIVHRDLKPENFLFQKKNDLSSLTLIDFGIAKRCVDK LKTKSGTAYYVAPEVLDGLYDHKCDVWSAGVVLYVILCGYPPFYGENEKEILTEIKTGSL QFDGDEWQGISQEIKDFIRSQVCPAEKRVLPKDLLSHKVITQYNQKFVQDNKLLSMITLN QWVKYHPIRRLALFYLATQLDSSELVNQKNCFFFINTSQTGLITQQELSTYLKVNKQDVQ KLWPFIDCNSNGYLDYFEFVAITLTQQEFVKQLQFMFDFLSQQDKVISQKSIKSIFDQNA NFNNKWDSISDTKNHLAIVNQHEQQINVKNIIDKDMDFNAFKAIMQ >CAK76268 pep:novel supercontig:GCA_000165425.1:CT868230:337089:337593:1 gene:GSPATT00011841001 transcript:CAK76268 MNKIIILSLMTACSIAYNLNYYRACQKAACAVPYQYCQNKPACQQTFKTCEECIEDNCLE ACIFSSYSLDVQYLARCSLNNKCTSSFKSHKDITCPNSCQEPAKLGNEACSTPKTYATTE CISDFLIQIGRDECAGEDCVCKILPALDIYIVGCYC >CAK76269 pep:novel supercontig:GCA_000165425.1:CT868230:337621:338719:1 gene:GSPATT00011842001 transcript:CAK76269 MLKSQKSEGASDSCNNVSDKAKIQVLKKAVFELREQKEQLEISNQSLSIELAKVLKEKDQ NEQSYVELVEELKQRISILEGNIETTPVRIQSQSNFSAQIVKAEGTTDQQLQSMIKLNKQ LQQEIKILQNNFQAQSCILQHEQNNLQKELSNKESVLGKLSKELEEANILNRQLKKNIHD IEEDLYNKIIEQSQINTELKIKIQNLEQGLLQKESEIQNQENIIEQYKLSIQELEEIRGK FLQHRLILKNQLLEINCAFILRQNIYNDYILELETPAKRFIYKANEITDLQVKDDKHFIL TLSKRQETFKLQQGQDIRNICKSIKSFLIKSQIQLSNIQIK >CAK76270 pep:novel supercontig:GCA_000165425.1:CT868230:338946:340401:1 gene:GSPATT00011843001 transcript:CAK76270 MDTHRNYENLDYAIGFGNYVETEALKGAVPKGQNSPQKCAYNLIAEQFSGTAFTLPRASN QKTWLYKIRPSAAHSPFVDAPEFGKYVKNDFLNDHGLTITPNQLRWKRLPIPEKPTTFAE GLVTVCGAGDPSIKQGIAIYLYAANKSMTNSSMFNSDGDFLIVPWEGEMLITSEMGKMTV KPREICVIPRGIKFSVEMTQPIRGYICEVFKGHFRIPDLGPIGANGLANPRDFLVPVAFY ENTEEEFQIINKYLGKFYKCTKKGSPYDVVGWHGNYYPFKYNLDLFNAMGTVTFDHPDPS IFTVITCQTDEPGVAVCDFAIFPPRWMVAEHTFRPPYYHRNVMSEYMGNISGQYDAKEGG FQPGYGSLHSLLQGHGPDHESFTKWSNIDLQPIRYPYENLAFMFESTYMFKTCTFVMDDQ VNLDHDYYHCWDKLAHNKLGDKP >CAK76271 pep:novel supercontig:GCA_000165425.1:CT868230:340900:341777:-1 gene:GSPATT00011844001 transcript:CAK76271 MSEESQYSEHPGGWIEWFCQLPQNQYLTEVDSEFIQDPNNYGHLIKQFNLFQQALQMILS SEQPDTLDLENEKFLELYTEASDIYGLLHQAFIQTPKGLAIMRERFLNGRFGHCPRVLCE KQNTIPIGLSESLKTSRIKVYCPRCKEAYAPRKSQADFDGAYFGRSFPMLLLLTYPDVHP KFTIQLGTELFTPFQPTLYGFKVRDEKQIQQTSTQLQSQLPQQQQQQQQIITEHTNGIVE KENHHQQEKQNAEQESKQQKKKKKNKKQ >CAK76272 pep:novel supercontig:GCA_000165425.1:CT868230:342878:344517:-1 gene:GSPATT00011845001 transcript:CAK76272 MSKALQYNTISKGDYLTQKSIPNSAPMLRPQSEMVKQSQVSPPVQAPTDVIASQPMYKEK QEKPQKDAPFERSIFPQSEIPQQNAPIKSAMINTQQQIASQTNIPQQQSNVSNQNQIQIL QQLKGLQLENQELRAQLINQTREIMNAGALKSENAALRERADELKVIADHVKEADAQNQA MKKQVTELQTQLSQLKAQNGELQKVADEVEQVRKEIATYKAFEMQYKELLRQTLQLKSDH DALNKQFNKLLSVQDENKRVSQQYDQQVTENEQLRKQLAQQEKDNQLLLNDNISMKQLLD QLNFDHRSLRSQFAKLQQQQQSQYEENQKLKDKISQLELEIVQLRSRNDGLQKFVTAVDT REQEIGTLKYHLGMCENNMKIVQQNYDASLKKLEIQRKDIEQLVSDNNLLKGQLEHLTLQ NRQLNELQWKNKEQDHQTKQMAEEIKRLNSLILVKNNECNQYKNDASRFHSQMMSLQNTE NLNKRMNDQIQQMDQDIQFLRKMNQDQQMQIKQLEEFRYNTIYNIKQQDFSSKQNPY >CAK76273 pep:novel supercontig:GCA_000165425.1:CT868230:344541:345589:1 gene:GSPATT00011846001 transcript:CAK76273 MMPMKIEDLQETHKIIYVHPQNYRKYSMIDERNSLYGVTVDFRFLQEILTNQYLTSSHLL FYCNLFRHAYQHKKIAIAQCYFFAEYMEASTKQIDNSIIDTSLLVKKHELSIKQNTQNSQ QQNTNQELQSQIANPQQQQNIIVKNLTSNNQKWNFQITNIQYVQNTTIQNKEKYNINKLE SLLTQMVNQNDLKDIQSLKNQDYLFFPINQQNQHWICLIISLKEKKIKFFDSYKQYLNED IITAAKEILKFIGVTMISEYAISTHFNKQSNGYDCGIFTLLSLLYTYQNLPYDYNQQIVT KYRQSILYNLAIVGSQIEVSQELLERIIKLQQQ >CAK76274 pep:novel supercontig:GCA_000165425.1:CT868230:345651:346781:1 gene:GSPATT00011847001 transcript:CAK76274 METDINIPKTKAVNVFFQFARMQFYLTVFSNLLPIIISYTNQVCSNEGIKLISLSYKGDI VLLILNIISLLFIWLKEPFARLEFFVMYAINICWSGIMIGQTYLDVTTQPNECEGVILSQ KLILFFHLKTHLEVLLTLFSPILFAFRITNLPNSFFAIYLILAANSPQSLCSRDISNIEF GLLFIALISCLIGLPLNIGLFLTKKVTIPNLFRFLLMLTIIGQSGLIALIYQADVDLRSE CSPTHLLYRSYLYIIPITILSALSLLFSMPVQDHDEIRQLLIQARMKPLKAQLPIQQDVT KAQVQQNQDEILNKSAIDVGKSPIGAKSQVGAKSQYGTISRRG >CAK76275 pep:novel supercontig:GCA_000165425.1:CT868230:346806:347420:-1 gene:GSPATT00011848001 transcript:CAK76275 MKLRSISTIDHPKEFLFPIEPDLSASMTVQNSKIYQMYQKNKQATPNKNPLQAQFNFTNT SKLENNNSISPLRLYPEKTELINLSSKRSLTPTSQPQQIKIKGLTEKFNETQLKRTLRHQ GVGIVYCRQNYNKINNKLDGTGEIMLEGIQNLHDVKKRLKTFGLELENEGIQHGVKHMIS EKFAKILQTERNKTSRNQHNYFRA >CAK76276 pep:novel supercontig:GCA_000165425.1:CT868230:347622:350637:1 gene:GSPATT00011849001 transcript:CAK76276 MEKPTFWAIFGFLTMGIILSFSSMGIFLTKYDQDKDALIQKKSILTDANALNWGATPGYK DVKEINTFQFFTHQSGIMFASTNESLNYSYVSELSNRTYVPSSLGFIKFLPKFKFEKISD PTSSITNLNIAAIQYFYKSFNQPAFAKLPRYLNMIFRGLSEDFMNYYFTHTIFDFYTSDQ QLVFDTIFTPAKINQETQNKMWNDEQYGWSSEVSFSKWIRAYFNGGDSNLQLYFSLSDDQ MNQLIGKGSTINKLRKLIINSVSTGVQGQQKGSCLKKNECTLWELGIIQWAFGGLTAKDF VPPVTFSGGSYSKFNDSIPQSYEFYLGGSEYQYDDVKNIISQQFEQMPNLLGFNTMQYIW ENRDFLERVSNKLVWQTDNENNFTKSRNLIKYLNTHYDYINNIEDTYAFQTWRFMSKMIY EAGVMLRDGLYAKALYYKFESIKCEDDYFIPFQDACGGKYDFTLNAKNALIWVNAAFEKK QSNSFKTLMKELKANEQVLWDYLTDGMSDFSKAMNEFHLAISKHYKCIYQVCQAEELWFK QWGYGSVTQSYPKELAFWDSDKTNTISKWDIYADKPFVYYEFFAFCGEKITEKVQQQLFN FDMGGIFGYYSLRNLYEHHFNSTKELSPKFPLKGEQLKLLIKYLKHCLTNYWYGGHMIVT QPSKYLFDYDNAFTDRVAEFEPIYEGSNFRDNGAHLLEPNNQEFLKFATMYDFYQMDTGI KDIANVGQIKRTLDESKIKRKSTIYYNPQTEYSTQSYNYPYGKNVNVSGSYGYAFQPDLI AKFQKELTIFDFDIHKDLKLHYHETTTHKGYDVIKYKVEDQIISLEPYTDMPIILTIGEV SLDGCASINGKSCNQYLVNVPESYYTIEAITGKVVSFTKTYIYWLKVDNTSPLQQEPLND ELFVPLAQRSITYEYTEDQMNEHFQDVKDLEKHKDVIFYVTLTFGLIFSLAFIGFIIYFR KNEKNINSRAPSVYSVVADE >CAK76277 pep:novel supercontig:GCA_000165425.1:CT868230:350681:352069:-1 gene:GSPATT00011850001 transcript:CAK76277 MEAFEHMPRQKPIERKQIMILCEDLSHKNEIVQYILLKPKADVRSRLLCRKCIEGKFNQQ TNEICLISEVLDDPYKVLKYSNYQGNVNQILNDFLHSYDFSFDVDAIRYKIEEIRQRFLY HLDELEKKLIEKYEQYQGTKAQVDNLKAKFESYFDITKLEESLTQAGMQFGKLTTKDKQK LRKGVNDYVAQIHRLNQDQADQEVYKTQFNVIDQNKRNLAEKESQLRLQKEKLDQGCEKI IKELQNMEQTYSTLNFRQSELSTTYMDEFMARVNHNFKQRNNFMDKIKRIYQSKYDGLNP LKVHEKIISLGQWNTQTLLFLFQTSSQQVFGVYVSSNSSEIFHQNKKQEFVIKRGVDPLT LNYSNNQADVLLTFGKGDIIIKSSFNGCLSDLGEGFQDKPEYNIGNLKRYLANQDYFDIV EMEIFQILK >CAK76278 pep:novel supercontig:GCA_000165425.1:CT868230:352149:353171:-1 gene:GSPATT00011851001 transcript:CAK76278 MDFIDQEDYDLPIDYEDLFFNTNIFNNHPTPDHSISTEDLEQKIKDDRKQRILKKRIEKK QKVKTEVVQTMSKDEMRKLRNRNSAQLSRDKKKIIFDNLIQENKSYSNMLQQKDEQIQLL QQENTSLRLRVQHLEEITQQYKCIHRVQDDGVQIQRVNAEAQSKMMNYGVISLLAIACIL SVINNDYQYNPKPIALSQLSNQKYDFLAPKISQKNQTSLFNQESNFTIPTHTFQNQTLFY NCTGKDKECQNFLNIVKAENADNIYFVNDETDHTPADRTHNFEMGENVYLMKIKQDDEDN FIILRARCQITENNSLALGRNTYEEYNNNQQY >CAK76279 pep:novel supercontig:GCA_000165425.1:CT868230:353850:355562:1 gene:GSPATT00011852001 transcript:CAK76279 MGTSQSSLMLGQPLKQTKMGTHYPCGILERKIQLTDEQVSTLTNFIRLKEQNMIEPIFLK IHAVQKDDSGIFCNSNNTIKIYTEFSEIDLSNEIRRRRITSQTFTNQELVYNLKQLIEAM AIYQTFNIQYNLSSNTLLFDKILKIMDPYIFNLKSPQQPHYKDQSGSVYSSWPIGIILLE MITLNLMTNLVNMDGTLNTHLFNHLLEQINEPFLKQCLLCIFGGIQRPTFIQLRDDLNGQ IIRLEPAFQMQPENMQHPTNFSIQEQSKYYEAISQQQQITKTQQSQPLPLIPLAQSNLII NSNAISQKKENITPISTTRSNNQSVLQEKIEQIRATYFNHKYQQQPSQVYLYRKSPEKQS ETSSTGSEDLKSFSKGLQIDLQSDPFYREQESDVNTLSKQFNFQNEEYICETYLDGSIYE GFKRNQKKNGQGSLYYSDGGFYIGQWQNDVMNGYGSLYYPSGKLAYQGCWVNGKFQGKGM LFNENPKDCQDINYEDLTSIGDCWISYSGDFAFDKKQGKGVLIFTNGDRFEGQFKDNMIN GPGTFKSGKKVISSIWSKNLIL >CAK76280 pep:novel supercontig:GCA_000165425.1:CT868230:355776:358838:-1 gene:GSPATT00011853001 transcript:CAK76280 MEARNHHNQKTTQPSIHTKHSSKQYSIKSENRIKTSQTDLPKQIDTQKIMSDFYDQCKKR QSKLLYPYEGTQNFIHQRNKSQQLQNDSLLQSIDSCPSFRNQIRTTHNSPPNKYLLFNTC EKILNQKSNGSKRIQTKQAEKLFMQQINQNNRAELLIKIEHYIRDFFTEECREMANLFDT FMRELNYQIQDQKYQLTLQEKQLEIQKIDEIIRMNNNHVWAHEDMEKQLLSLKSQNMDQS TLIKKNENDVKDLQAKISQQKHLLEQKQNEILIKNRKIKELGYEVLQLQRKLDYLENKAL KDNRSSIQQVRYSMFQSQQIGSNSNLSQQGNNTSNKENKFTPLIEEQQEDSSVSITEQED EEEKELNQIEFNTERIVETREKESQVGPDLIINYTPMKEVQTQLNLTDKKFDDLTQDICE QAINFIDFLNISKDKTETDLSQVNISFMNINTVDKLSEEDIIKFVDQQVSQYGIPQSTSS LVKQPSMRANMKRASIILDKQPPQTQQTSINNSKVKSMITFIKFQGIKLLQLENNLKESN STTEELKKVNDNLVIQNKELKDQNSKLIEQIAGLHVKVSDLENQIMSDAMDLNQVLQQQE KKPQNQKVNTLAQRKNKKAAPQLGQKVTISYDFQKNQSKLLIEKIKSKSMGKFSNFLPLK LVLKMISTFYFEKITNQKENKLLRDQDMSAYIYNYYLQQFGYTKVTEQRFMILVLSVKKY IQIVRVNMFAKFMNLLEEKSNYRVEELQRYLEALEYVQNIQNLGITIKDNESEQKHYIPY VRALAYLGQLQNFNFTQEELNYLKQDLENQKENDPKNINKAGIVDFDLLMIRVLTIFRNN VEKTKLYVINAFAACDLDGNGMCNLDEWLLLIRHIEPDKFEEDKFVDIFEEYADLLEDDE KNLSFDRFSILCMEHELFSDAQQNKFLKVKNNADAEQKFEQLKENWIQVYTEQIKRLNEL KMEEIDKQKWQKILSVLDRKIAQEHNSKKPLLIAFKIFLDESK >CAK76281 pep:novel supercontig:GCA_000165425.1:CT868230:358921:360665:-1 gene:GSPATT00011854001 transcript:CAK76281 MQQLNSNDETSSIDENISKYYQIIEFKGKGAYGIIWKAIDKQTKKIVALKKVFDAFSNDT DAQRTYREVIYLQQLTQHENIVKLLRVHRAMNMKDLYMTFEYIESDLHKVIRANLLQIQH VIYILYQLLKCLKYIHSGGLIHRDLKPSNILIDQECRIKLADFGLARLASEMDETAVMTE YVATRWYRAPEILLGSPLYSKAVDMWSVGCILAEMIMCKSLFAGQSTLNQLEKIVEVIGR PSQDDLNQINAPLADKIFREIQNSRRRPLTQFIKTQDDIIDFIQKCLTWNPYKRMTIEEA FSHSLLNEFKGSESELTYPGQIKIDLPENVKFDRKKYREILYKLDINYQKQQYIRSLIQE DQIPTTLKNNKRFPHQYQTQFKPVNNGIEMRQVRKSRSSIKQHTQPQQYHSQLDIQNQIL ISQSLQQQNQYPTKQKQLQNRIKTLPENHVEKIVPIDPKSPVRGRYFSQNKIPQQTQMVT STTPTRSKSMKNRKYNDSLDFNSYLNNSSTMQKLLTAYLNPKRPTQYNFKKIAIRNKTNM DKTFIKKHIASIHNIDAASVISLLK >CAK76282 pep:novel supercontig:GCA_000165425.1:CT868230:361289:362378:1 gene:GSPATT00011855001 transcript:CAK76282 MSRDIEFDNEWQALLKEIKLQLHKQNVLEDKLLQMKSNFGAVRGKFDIFKNRVLILISNQ HVQEIFQNELLLQIYSHLYEIIHYQTVIVLVIQQINTTELELQQKYQIDRIIKKYEEKLN LSNIFSLSLPKINYLDVDQEQISKLKSFTCVTEENIETLSQYKQYTQDVDQKAFKGFLMY DLKKQYFLMLIRKGFNSDKAWVEERSRIEKFILSRQRQQSSILSMSTSFAQYLIQYDSQT KFYFILLSNNLIPNSPQYELLQRIRGFISSESNFQKQRKNEIESQYQYVVSDIIDAQERI YMKSKYRQLNPSIATIFQQQIKIYDMKKQKQELSLQQF >CAK76283 pep:novel supercontig:GCA_000165425.1:CT868230:362811:363469:1 gene:GSPATT00011856001 transcript:CAK76283 MIKIIIEKFNIAYVKRLSIMIYRTPIFTQTTINYRIKQQKYLNQTFEPQNILLGVDKENL KIFLIDLGISKIQRDSNRMYIQFKDQKSFLGKTRYALIPAHMGHKLGRKDDFRIFDECRF VFFEWIVTLAKYDCLQDEERAKKVGEMQLSMEHEIFKDYCIESQCIFEYHSNFQFKLHQK TRIKIRAKLQNDSLIIQKSR >CAK76284 pep:novel supercontig:GCA_000165425.1:CT868230:363718:372571:-1 gene:GSPATT00011857001 transcript:CAK76284 MNQSNKSLNSTMTRIKQLSTGPPIEVVPSEIIFKDIQINQTYEITVFVRNLTQTARRIRV FQPHSNFRCDYEMQGAIAAGLSMKLIVTFETASLEQYQDSLKIVSDGNYTIDVPLFAFPP QAAIVFEPFINLGFVRVGKEKVDNIYFKNEGRSTGKVELKIDRLPDFKIEPTTFSLGPGL EFTVKVHYKPKDAGIFRGLVEVIADGQSLQKTIDINATSIEFTRFLIDDTGVQNNFFDFG QIYYGQYKQIETYLVNNTPKQQKFKVKLKKGLHQQEDALKLQTPAELGLEQTERIMECFP EEGVLESYSQIAIVFKCKPKVSEEQQVWTRSFALSPEQLKPDYDEFHYSAIFDFQDNEPL MNHLQVRCICPTIKFPPTAILQFGVCPANQSKEIVFEVTNKQTELPIQIHWPVVPYFSVN PTLSTLQPEEKQNFWVSFKPKHTGNFTTVISAELLGGIFKIPVRLAGVSQQFTNKLQVKR GPESLPEDFEMKHTITKEQSISNIKSIEKVQTLDISQSVQSLVQQGISLDKIEELKQKSL NKEIYNEYLKLHREQRLKKVKDKKIKQKFTQMNERLKEIKQEPVKPSGAAKKQEPDEEPK PEPPIDYEFAVGMQDDGYEQELPLPEANETLFVTKPIFHYEPLIQNQLKEGKQLKPFEPD LRNIQKKKFPFEPKTHSEIRDTSAELNADQLQKIQAGPVKIEFGNVFIKSTVIKYFYVRN DLRNSISVRLHTDKEDFNQSYIKPQIIPSGQTAQFDVILCSKQLGQIKTHLKYVINENHI FEFLVQANIERVFLEMNRTQIKFGFNEDNNEMETAEIVRLTNNGNAEAKFRWITTEKKIF YVQPDSGVVPSGKYMECQVIYKPSQLQPLPPEVKQPVGTQQQQQDKNAQGQQQQQTQQQQ QQSITIPQQQATIATIRNEEEKLTLKTEDGLDQNIKCTGVVSEPKCAIKQAVIDFKEIVV CKQEAKVLTLKNLSKSSAVFQVQTSKLPEGIEVYPIKGKISSEDSRDLQVKFLSKDEKIV KGEIIILIRGGKQLQVPVIGSAIIPKIEIVEEEFDFGNITTLGTSNQLTMTLVNNSTIPV DLVLDLRHQNENPRAPDGIDCLEVKPQDEDDTILHSIHPENEDEPAPKMDESLDNQSDKS EQIDLETKEYRSYNLTILPGTTQVFLMKFSPKDVKHYQFDMPITLARFNQNLPSLTRRVS CKGLKPKFLVEPQSIEFKKKIITSPDKCYPTVEEIKLSNPDRKDVKWRIDTSPLKIEKIF TIEPSEGIVASGQQVRIRVKFNPYGPGVFSSTVSLYIESDPDIPKTLPYVDLIIQGSGAY PRLLFDKKEVILPVVPLNVVSKCSFKIINDGYENLNLKHNWSSETSNFYLELKFPEGQTL GVAKSKLRVDVYFSHKKPISFTTRAEFQDEGRVYSIYVSGTTDNCLLTNQIYLQRIQKYQ MVADEKKPVMFQEDDGADSDNEKMKRNHTMSVKSTTSSKGTSHLGYRPLDAETQNEACDY IMRWMNYHVLTQPMNKFPEDIVTNFGQQIFELLTFLTGKANFQYKAQIDQNWKRQQKAEA QFKQYDELVRQLKIEGALLNHIRSEYLLGHNDYNAWLKTVPKEKYEFVPDNRLQLSQAKY NYLHYDAWISTFYQIIKIYYLNRITAKSFKQLPGIPTERLNIPDYYLDGSNVISHAEGVI LWFYEVCYEQQHTGQIRRLSNFASEFKDSLLVADAVTQFIGPSMQKFFSNLRTNLQSEDD YRHNADKLMPALSDYGLQSHVQARDIYYKPYPREMLMMLVQLFFSLPHYVPQKEPIIFQC ILGEEVIKSIELRNPTPKPISYFVKYEGHPDFQLEGDESIKIEPDIPYNYKVKFTSRISL PVTGRVIFTNKKETNVQAAALVFDLKSNITGRKSEKQWNVSSILYEIFDFQIQITNKFPQ DGEFQIQVIHEKKQIEQRGKKKKAPPAKDAPLEEEFPSFFCTIDRQRIRKNQTINLSLTY IPLTIDTHKCSLIFTDPNVGEFQHDLQGTVEQPNIIGEFKPDKSLYVDSTQTISYTIPFR NEQLARARSQIQQLVQMKQKDRYLKGDKQASSLTASKINFPGSNLDIITFEVEIYPPTQY LNLPPTLQITDLNKQTKKPGTENIDCKLPILYAFKNATKDFSVILTLRNKFDIRRYKLSA IVLPKIVKAMLEFRVPARQSVIQEIPIVNQTDRDWQIKVQLSESKGLFQCPQRDFFVKRK ATSNFPITFSPSWLQECEAKLVLTNPTTNDVFEYDLKGYGEEPVAEEHIILNCQARKVTK KEIELRNPTDKPIIYAVETDLIYATGPSQIVVQPQKKANYVLQVQPVLSGQYTGSITFTD EQGHFLWYTVFMNTESPKSVQTLEVSCLIRQATVIQLTLANPLTETAIYEVMINGEGLIG EEQFAIGARKEAGYELTFAPLKIGRWRGSVAFVNRLLGEVWYEFILTCEDQPIVRLNVLK ASLGKVEQQVVVLENPSDQKIQVQCRITNPTNFDIYPDQLQIQPYDSLKVALRYTPSSLD IIEQSDIIFTSPIGKWHYLVFGSGLPPTKFPATTVSIGLNKDYSSVIHFKNPFKEPIQVS ISLDAEGHNQEVFKLLLVRNQKNDKTLVPGMNVLQVPFSFVPREITSYYCEVVISMNEKI QWHFPVKGVTESVSTQTLFHFKAKCREKWEDEIKVGLQGIAQSLQADDKFDFELGGMPLD LQTMIQKCFTIKCLKNHLDSPHDNLLYFVRFQPMKPFKTSMEFIVLRQSGGRWKYKIQLE ATEPEEDDTILISSPLNKTTSVSFKLTNKTKNYSKFTAAFSPESDAEFSVIPKIGDLEPY GREGTTFIISFTPVEYGKIRKGKLIIQTEDMYWSYSIRGVLPRYVPPQIKQSLVDNRQQQ QQQSQIQMSMTLSRNFVVDNIKKARQLSPKSARNQLSLPLIEKK >CAK76285 pep:novel supercontig:GCA_000165425.1:CT868230:373208:378154:1 gene:GSPATT00011858001 transcript:CAK76285 MNTNQAFGLSNKKSGCISLFMSRAKQLIYTIALQQLGGEQQPIIINCFVILIQYFQLASL LFGQNFLRIWKNYHVSQGIHDFLQYFLISPYTLTFDYSSLLIMHYVCVGVFVVMTMLACF IGFNIKKRKEENSWILLILKIIINLFLSILFLPFIDIFISIIDCQDDGYGNQVHYYFTNQ QCWVGAHILHGIIAIIILLIFYGFCMILGGIYYELKFIPDQANSQQNGKISGYLYTYLLI VVILIRLIKDQKYQYLEIIIILIGSLILLKKFHMDQPYNSMIIQKCWSITVGLNFWGILL LCCAKILEQRYFNGIIYTFLVGLPILAVSILRIEKQNFDLLLINHSKVKQVEDIINQTNY LVKLLNLIKFEDNTQIIIDAFLEIHKGTCVQSDCYLKVKNQANIKLSNALLRDSSLTERE VDLICVLGQIYNSQIKRFPESIQLRIQYAYHLSDYMRQLQQAYNELKQVEYMNLQFDEEF IIMRKRSQIQEQLDTFQNENFGKVDTETEITFQNNYRQLQQLIEETTLIQMDFWSLLQED FPDFQKLYKNGQNVSKLLLQIEQLWFKIEKTAQNLSKALRLYGTFIKEVIQDEEYGDEML KQSVLNSLQYQQSKKQVVTFISGEDIGFEPQATVIVSTSVEKFAQVITLNQSCCRLIGYT RQEIINRKINLFMPNLYTKFHDHYMERFLITSDIKNINKERFIFLKDKQNYLIPCQIVLR ILHTLEENVNLAAQFITIKSFKPTCYFILDNEYVIDSMSASVFSFFGIENRHLTQKKAYF QQLFPDFFDELETCKTKFGGRLKYFPDNLKRTVHQSLSSINALEKELEFNCILREIMNAS VNEITGYYVKLELINETQQQQRLASVKKIGVRNLQFKYMINEQIYLGDFILEENSKLSNS IQSNSVDHSFESQNNHHNEHTHKESSRRRSTRFGDRFQVMIDYSENIRTLRLFDNKLQEV EDEESQLSDEDERESVFQDQIEIKSSQSQLYEFDNNIFHSRKKLQKIIASSNTPKAIIRV TWIANIIALIILTLSIIDYSISNSQSDQIYDTILLTNQGNIRNSELGIILSSVLNLQLLS RNVFNMNKTQATQYEQQQKQFINDSISMINDINQQILLLVDSMTSSAFEFEYNLINIKIK QNQETIQLYNLNQAIQQILSKSLILKDKNLDVSFVNYNLLNDIYQSLKQSSNYFIDNLIT NASKKYTAILLLLLISISTISIGILLIVIAMLSVSKSQTEILQLFLNLPDKTIRYLYRQS ENFLSNLQIGEDGDLTSENDSNQDQEEKHDHKQLQNTLKTKRTKKKFKNNNGEDNYHIYA IIITMLLIQFYFILAFIFSKTQVDQIEIVCSEFNLTARSESYFRFSEISQKSLFYSRNMN ILNSDPYDHVMQNIDELFNHDSIFQEYHSQNIELLDSAYIDAFTSLYVYNPCDILNDQDQ QIDIDICNQFMDKAFSQGLNVGLPRFIENQRYLMTIYNQFYGNPSANFTLLARGFTSFRN ITKTSDNSTNFILNLNNFVQAQENREVQHLYFKSAFRYLTNKFILGFKNDLNTLKLQRLA IFIVFLIFLIVIYFLFWLPLNLTLTKNMLDARTMILMIPLRIIQRIKQLKDYIRYNIQEV GQDV >CAK76286 pep:novel supercontig:GCA_000165425.1:CT868230:378232:378922:-1 gene:GSPATT00011859001 transcript:CAK76286 MNSEGFVSLMMEKDDSYQFQPTENKFKINNDDDFYRQLSQEFYGQQNSSYFKKNKKLIKT IDTHKFKSKPPFQTSESQKSQYSFTNQQQSQQIIQQKIYKLFTKQTPFQQTLSKQLDRSI YKARNLYDNTPQFTFKLNVSNSSKNLLKQQSPQLSQRSKLNEEDYQGFQQQRSHRNTLNS CDKSIKKQDKTSDIYYPLKLNPYKAKEIGNLFQLSFRSKQ >CAK76287 pep:novel supercontig:GCA_000165425.1:CT868230:378965:379726:-1 gene:GSPATT00011860001 transcript:CAK76287 MKINSELIQGSHHFINPLNERQLDLRAKKITAIENLGATLDFFDHIDLGDNDIKKLGNLT LLKRLKTLNLSNNRITKLTDVSDSLPNIENLILMNNRLTDINELYQLKHCKKLKRLILHG NLITQQPDYRYKVIAILPNLKILDFNKVTHQERQKAEESFKPDELTDYMNLINLKDATLD KEHIKKLLENAKTFDQINQLEMLLKQQQVKNLSILSEQ >CAK76288 pep:novel supercontig:GCA_000165425.1:CT868230:379750:380834:-1 gene:GSPATT00011861001 transcript:CAK76288 MHKIKQTKQCAQCEAMDPSYSFGQCEHHFCQDCFKQILTNTVQSKKSKFQIKCPIDSCKH QIDLKELLKYLTLPNTCKRCNVEQSYTCGQCVVSEIEEIAKINYYSKLLKFETLINLESQ QELNEEITKLELICPVCREFNQIPIIVCMQGHEICKDCYYNIRNIEDVRQACPICKQELL PAPPNSLRAQVLIKNLSIKCPNDNCKQVVKYPEFVQNHYRNCCEQKIECQDCHEKVEQLF QDIHHSSYCRGNTCPFYCARKLGDHQTNFIMEHIQSTMRQSDVAHSFLVRMQIIEKFLFR GSFGSCPQCEFQYAWQVDVRGIQQTFCFYCFQFTG >CAK76289 pep:novel supercontig:GCA_000165425.1:CT868230:380941:383383:1 gene:GSPATT00011862001 transcript:CAK76289 MDTLLKQDNLTLEAILNEEDILSELKNQSSSKFADFIVQHPNEYSKMIYYITNDVTDREQ DRNTCIKYPFLISEVLGSENEKLINFLFEKQGDISQEEIQNPLEDQPTESQPQEFQNDNE NLRQKLLPDLLSILEHDSLLITSAGYFTKIISAIIHKRGYDFWEYLKSNPEIISNLFKHA NIRHITEIFEKLIILDTCQEEHDERLFIQERQLLIARLLKYLKGQSHQNSIITNICESLI EVYKRALMSLDTMEVLREILLTIEKPAFFMSLAFDTQNSSLYNLLNVQYEFYTKMSQLEE KHYDIQFSTLYSPVIDESIKALIQQDILRASFQTTYGATVKPLGDSKLSLIQLIVQLIQK QELAIIFQQGQIFQQVINLVLDYSTNNQLHILFEKMIVAIINSKQPHLHELLFQTNFLNF LIINNGLEERKKKHGYQGILTKITNYINSPNVLEQYLVVQTAIANIQNDWQKYIEELKVV NQIEQAWLLGINPKFREQVNVDSFSPPLLWPEPLGSGQYQTTNNQTAETSENSETQQDSQ EENSNHNQYQDSNEYNQPADQFDSEEAQIDNEKEAPLEVVQVREENLEQLEEQQIQKHEQ QILDSPVYVTDVQLQFQYENIPEQTKEVSEQQPNLIQEEQPQEMAQHKEESNQEQQQQSK DQIQEEQNLHQEQQKQEEQQPQKDEQLPMLEEQQPKQEEQQPKQEELQPQQDEQQPQQEK QLPQKDEQLPQKDDLQPQKDEQQPQEEKQLPQQDEQKLQVEQQLPQTEDHENKEQIEQPK QPQEEA >CAK76290 pep:novel supercontig:GCA_000165425.1:CT868230:383626:387250:-1 gene:GSPATT00011863001 transcript:CAK76290 MTHGIMICNLVLYFGVGDFALQMQTIMNNNQKQFVKQLFRLQRIVFPQNKYSRDPSKATK RGEQELCFISVICCEQNTFLIDTQYDLWAFGNSQFAQLGLQQPSVNMPINISQITQNSYK LVNAGTGFVVAYSTNKKIYVWGNWHQLNYGEVLKLSEKQGFTEQIDAFYQISSLDITYNF SINRYMELERRSSHMPVHQAEVVSLKCVGTTTYLLTPSKLFCLGLHQQFTVQFIGIACGQ KHVLAWDEQGKVWSWGDFSDGKLGYMDFNQKEQLSPKLIDDFQTKIMSCACGSNYSIALD VKGDIYGWGKGPFQIDLSKAVIPSKLCQKQKPFIKIMAGDSHFGALDLSGQLYGWGINVK NCLGDLGDKVRYPQLIELNNIKVIDAAMGSTSTVLIVPANSKYKIPSLNVDSYTSHQQKR VREEAAFIKDFADKKNKIDQVQVPTSNHILYDTLELPSKNTQYEQTAGLLKKMKSQVDSL KGVPKNKWNAKSLRLDTEMINFHTQVNQDYDDVQSKLKQLEYQNYLYIPVCNTTPTQSQN WEQRQDIDQLLSHENDVLKYQYILMVKSQDESKFMKTLLNDNNKQSIENQNYFQLNSKIC KRKSDYLNDRKKYHDKFDQFDPYFLHTVKRDLKALSQKRKEIFIKKQKVREEFNREIAEK MQLTKVLIQNKEEIIKGIQASASERNMKLKIVQQKKQDNFLEKIQHIQQQIQEKSPEFRF KKRLEIIRQQQNMQVFGMILTYFNMENIQQMMQDTSQRGLELKRLMFKEHMKARVIQNTI RKRNIIKKIKLKLGLRQKKILLSFIFRFKIHFRIKSKYTYIRKINLFNLKSSLFVKFRIN LSTILIKTQSLQSFCRWYNHNFQVQLSYLNYKWDEYLRQSFKGQMTEKEKEELKQFEIPD LMEKQQNLLQKLTTPQKQLNWNDLKFQLKPVCKASELRTNLLRKVKAVVQIDEEIKDIDP KILGRVFLPYTRYHDNIALSVTAKIRFDYQMMIQLQVHEKVFMEELIVKYEILTHHLVKT RREFILQMRDFYTKLNEYKETHRQQINIDRGKLMMRFKADGPEIKQLKAKDDQDIKLILL KRELSRRRNGQNSIIFKDIIFNKIRQLPQDQQPFPNLILKLTENMCSDTKPKFKMRLNQN EWSRLFNQYQSELKHRYLAIMNEARKTAALRMKNLKHNKFIKRKKTQKAEQ >CAK76291 pep:novel supercontig:GCA_000165425.1:CT868230:387708:389414:-1 gene:GSPATT00011864001 transcript:CAK76291 MGSCQGTTQDIQQDLRMREIMSQFQVPMPYTDEYQIFQTLKWLEMQQRFNHRIQHNSIPN FGLIYSKAADSQNKLKEFVKKWRTKYEQHNHPNLLSIHNISIIESMKVFIPYTEIILLMD KPVEPLSRHKKVIMKQQFTQDEILFLMDCVVSGFAFQQANKIYPSGFDIDDIITVKTPFN IDVFKLVDRYQKPIRQNLFQEFISLYDDKQTLNEMKKTAFLSPAQISAIPRKDKYLKHNR YKSDVFNFGLMLIYLIEAKKPEVYDWKRWAIDDFALKKVQEDLITTNFNEQILHLIEIML NVDEHERPDFIQLDEMVNKLFQGIKRHRLLEQYQPQEFFVAGYNKPSSYDNLLGQNIFQS KYPQSPTMIKSNQNLLKNQQIEQQRYRQQDTSQASIKDQRWQEGKTQESKPGFENSVTQG KLHYPNGFYYIGQICNRKRHGQGTYYDSDNHKVTEGMWVFDVPDGEVIFYNSPKQELDKS HKNLSRKDWIQYKGQVKHGQKHGIGVLYFADGSKFMGLFSCDQAHGKGQFEYQSKECYIG TWQHDLYVS >CAK76292 pep:novel supercontig:GCA_000165425.1:CT868230:389622:390612:-1 gene:GSPATT00011865001 transcript:CAK76292 MQFFQKSQEQIRIEQKLQRINAAQQEEKYRKPNPCQVTFPEENFIEMIVQQEGKMIKLNT YRFQATGKPKAIVFMFHGLCAHINHCAHIAQKMAQDGFLVVGFDNRGFGKSEGIRGYLES LEIHLSDCRLFIQKVQELQGNSNIPVFLSGLSMGGMTSFRLAVGGNIPNLKGIILYAPAI KTLFSNLQIGTIKFVGYIIPKYKLIKPKRGQTTKNPQITEDLMKDPYTYQEELLPRTIST ITVSMKECESLYRQLHTPWVVIQGGLDKLVDPDLAYMLERESPSQDKTVLYYENLWHDVW HEEEIHDIIPKVLQWLNKRI >CAK76293 pep:novel supercontig:GCA_000165425.1:CT868230:391099:391919:-1 gene:GSPATT00011866001 transcript:CAK76293 MLSLKEVIPALTKDFTQGITRVDKHIYIQPKKEHKYTFIWMHGLEDVPDSFLAGFNNPEL NPFDNQTTKVILLCAPVRPLTKNKGEMMTSWYDIMIPNWKQFWGIKSDKELWGVDQAIES RNFIWSLIDQEPIPKNNIFIGGFSQGCCMSLLAGLGYKESLGGILGNSGYLFPFTEIKNK TPIQILHGVEDEVIPYEFAEKSIQPLLKFENNLEFIKLKGIEHAMMLDNFKLMKEFVARH LS >CAK76294 pep:novel supercontig:GCA_000165425.1:CT868230:391943:392405:1 gene:GSPATT00011867001 transcript:CAK76294 MGTCSNRPEHKQISENLRLKAIMIFQKIDIQNKGYIDKERTLQFWKSNFAKINTDALFSQ VDYKKTGNITVQEWLAFWDIVKQQGYTEQEISDELEELQQGKAWVQFYKVDAFIRADTQR HKTQIDQIVQMEKKTLLKSKSFNYN >CAK76295 pep:novel supercontig:GCA_000165425.1:CT868230:392827:395691:-1 gene:GSPATT00011868001 transcript:CAK76295 MFSTEEFILQYQKLANPDKTISHQANQYILKLQQSKEALRIAKQLLDQPNIQQEYQFIAC QMIYRRLKEECNIQIQQYLLEIIGQTFTKCCHELGMFFFSCDRCWKQIIMGRELIQLMKS RMAIGIEILTQIAIQGKEWYKKSEQLRLQQEFISQENTLSEIFISLLQVQDLSIFNQTVK CIECWTQNQLEKYQTQYIKHDKLFALLNEGVIYSQYNRQQNVNQIDSQVLQNLNFILEFI ISIYNPLSSKGYVSFTTKFLCDFYVLVLNSKYEKDVFNLMGNIISNPSRNIAFQTFEFWN QMREYNNLQGFGLNILQTMIDKCRMKTIKLTKEFIQGEREEEEENDYNLKYCNETEEHQI STSDFREHSKDIFYSIYKSAEINMESDQYLQVVLNNLIIPNSFAHNQILQSESALFSLYS IIEQADISVNNQLILQIIQVILSLPVHSNFDIIVKTSLQLFSEFTNQLQLHNDVLLQLTQ YFFKYMLHPLLGPLAGVAFEQICNLSSMDNVELISESVKFLELHFYEFINQSQVSNYIEG IIKLCFRLFEEGKPDCLIQLFKFANHQFQQVCNPQVTEKQFHYNNSLVVAIFQWVNLNAK ENDQIKQLLELLCQSIFEPLLIVLKGQYTFSFFDDTFKLIQIILKVSNFSNQQQVIHLLQ ISYQIFHSDPIQKHHWLQLITQVISRSIDHQFIVQWAMVNDHQIHLFCIESFNRWKDPDL MKVYVEYIKECIRCCPQVLFESPYLQKIIDVICDAFINLCSFEMHREILHFFKFLFQSLE NSKEYFNPLVVRVVSTIFMSISDINPAIVFQVIQILLLILKKQVNSNELEELIFQTLQKS WGKDKPAQKVKLVSQAIISYILKSEQGEVNKDLKILLENLRPQSEEEINYVQLEIVIKRK >CAK76296 pep:novel supercontig:GCA_000165425.1:CT868230:396572:397330:1 gene:GSPATT00011869001 transcript:CAK76296 MCIIIEWDQIQDEESQPQQPQQKHYTSNKPKPKMQSRGEIERLIDECPDEEILLNIVKCH FDATIEEVKLAFPNFEFLKVENYNPGSFSLLFKNKEQAKEFLFTTKETKIKDRGFWIKFP PRFQKSSQKIPDNVNKQNPLPSTGSKFVDAPQKNKDHYNRKEHDGKQFNRSDGQKNYKKK DEEDDGWETVMPHRMPKQFKPYRKPQQQQQQQQGVKKN >CAK76297 pep:novel supercontig:GCA_000165425.1:CT868230:397867:398313:-1 gene:GSPATT00011870001 transcript:CAK76297 MMQLCRIPINNNGNINKYRILNINYWNCQNLLNLRSPRKNTILDSESYINNQQKLPNLSL SINTNIKTCNSYRIQARQSFQDIIKCKICFTYIEYDNFQLHCKHSFHKDCLKDQLYQQIN QGQSHINVLVATKNTKLLVEKSIKQEYD >CAK76298 pep:novel supercontig:GCA_000165425.1:CT868230:398680:399978:-1 gene:GSPATT00011871001 transcript:CAK76298 MEALDNERWVDLQAQVDHQNQIIKTQQEDINQYKQIISSYKTQIGQIENKMNSFSQAEVL LRDANHRNDILIAEIERLNVIVFQQGNEVEEWKNKAQRLDLALQEYKQFELSNRDMVLKA TRLAEEVERLKDLLTKKQLDYQQLQIELNQALQDLEDERNKVKLLEDRLAELESETPTEK ALKQILIQKTEIIRLQQVIQSLNNKIQYLQQEIDELNIKYNNQLRANDDLRTSAEANEKK AKKAELDLQKALEEIEKLKKQLSDVKPVVQTVPQGVDQQKVDQMQDLIYSLQKQLRETED NLQDALNKLRDAENRIKQLERQLKDLELQNQMAQDRLKAQQLLQSQMNNNNNNVKQQPYP TDIIADYERQIQELLRELEKLRNAKPFDDRMLRRQIQDLQSLIVLMCAEIEALRAKIR >CAK76299 pep:novel supercontig:GCA_000165425.1:CT868230:400015:400671:-1 gene:GSPATT00011872001 transcript:CAK76299 MEQSEPIPEDPKMLLLTMELNKMAYERQIIKSKDEIQKNYNNSNKDNQYIKEQEKQIKQL KEALNQKDSILEQRVQELNQEKQKNQQLQKQIDSISIQIQSKKEHKYQLLIDQKLIQQLS QQKQQTQNCQVSPLLSTVKQKGNPNYIQNPNQLPQQPPLIQQQYQSYSYQQQQQQYKPSL SKHISNQQQQVYQPQQHQYLQQQQPMYSNIQKIYYGRN >CAK76300 pep:novel supercontig:GCA_000165425.1:CT868230:400690:401683:1 gene:GSPATT00011873001 transcript:CAK76300 MQQSDQVQYDLPSNSSELMLQISQCHNLMLLDKAYEIVQFYMTRHNQDKDNFPINKDLFH EIQTFHKEATFLKQQLSDNKDWIHDCNTQYFQMSYKFIPNSTNVALKFESVMKLPIINAC ALIYQTELFNNWVPFCKVSKGLKTPGLGHKICYIMMDLPVLSNREAYIRGYGVDKLEQSK SFLICCQTIHHDKEYQALHQINTTQVNCVQVEINFFAVEVTLINAGEFVMKSVANLDPKM KFIPQTVMNFLMKKIGKFMYERFCKLAQNIKGTQWEKAIQEQPQFYEHVRKRFEQYLSKY >CAK76301 pep:novel supercontig:GCA_000165425.1:CT868230:401714:402272:-1 gene:GSPATT00011874001 transcript:CAK76301 MSSQEQEIDKELDQEQENGCDQEDQEEQDRTLSGKKKQTKKYERITEGLSNRKPGQKFTP EEDRLILELVQSIGPKFQKIHKHFPGKTLAMVKNRYYKYLRYRWEVLDQQNNITEQNKET NKQNHHSNESYEILCEKQKKISSLLHQERNDLVNSIAQRASTPNAKIFVEYLIDQML >CAK76302 pep:novel supercontig:GCA_000165425.1:CT868230:402421:402701:-1 gene:GSPATT00011875001 transcript:CAK76302 MNSIQVTEFSNRVFDRYDKNRSGYIEKDELDTLLNNLALELNSQQPTKKEIDYMLSYLDT NNDSKVSRTEFQRLGELMVKVLGNK >CAK76303 pep:novel supercontig:GCA_000165425.1:CT868230:402768:403942:-1 gene:GSPATT00011876001 transcript:CAK76303 MSQQFQGRSSHGQLERKFEPAVENPKPTQQIRDIHVTGVNRDSSKTIHYPKQPKVIHLSF IQQIQIIKVPQRCGSNQTVCSVQGFSTLTYDDIRPKEKEESVINKIMRLFTREVDPCKCL PYQNNTCIKAKEWLGNYDADKLKTKYSNLLESKCEPKIIKQINLDVERTHPLKNYPQKLQ DLQQVLIAYSKYNKIVGYMQGMNFVAAGLIYHADNYVAFELLRKLIDLLQMNDLYSPMSP GLSKHIQLIDYLILTKMPDLYSHFCINGVKVDMFCASWLFSLFGMMIPIQKQVKLFDCIF RYGWTYIYQLIIGFLLYHQEILMSEDMTGIICILSQQNYRIDDNDLEVDWDELLVSFLIN VKISKTFIQEMHMKFDSKIQTFKL >CAK76304 pep:novel supercontig:GCA_000165425.1:CT868230:404038:405006:1 gene:GSPATT00011877001 transcript:CAK76304 MYSVVRGETGAWGIEGYEVPKKYCDPLKQAQEREFFAGKLKKPPTITKRGHFLDDIAKPF RNRKVPVQYNVEYKWVNEKDKERKRSKSELLKRNTFIDDIFLQNSKQNYPKPGPGKYDEN RSIENQAKKWNGKSKIQYGDKPDFVQDYQHLGAVLPAGPGSYNPHPILPKLKHNNTNPKV MIAKHKDFDKFKLEMIKNYPKPDCTKYKPYPVDYNTFGRSLELLYDKKKIEPAKIKYWGN PSKPVTRNKSEAKLPPQYNLIAYWPGKEDGSGKNKELKKFNWMNKVTKGIQQSIYY >CAK76305 pep:novel supercontig:GCA_000165425.1:CT868230:405044:405716:1 gene:GSPATT00011878001 transcript:CAK76305 MSELNAVVEFINNPTINKTSQEISNLIQPLMEKNINLIYDGLTYCQLSGEIIGKQKKVEL KLPDNQTFSVLQEQLKKIIETNCFINGIFDPFYSTLYGVQFIQESQKKQAFTDPINIEIT DDILRLVYEDKFDKIKEDNSTKNFYEESHRNLEDFVKTLPIKCHKTQKEIQILKDGFNIQ SLWFSLEGALKLLETNKEVFFTHQCEFLDKYKKMMQI >CAK76306 pep:novel supercontig:GCA_000165425.1:CT868230:405822:406315:1 gene:GSPATT00011879001 transcript:CAK76306 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGGMEPTIAAIAKKYNVEKKICRVCYARLPPKAHNCRKRKCGHSNQ LRIKKKPKD >CAK76307 pep:novel supercontig:GCA_000165425.1:CT868230:406327:406709:1 gene:GSPATT00011880001 transcript:CAK76307 MNSQQQTNPEYFPPPTYYCNKNGHELTWKSSSGHPCAECKIKNCRSRYWCQQCQEAFCLQ CMPPPLFGIMCGAGHPMKLSIVPHHVCDYCSETIQNQAHRCQICDFDICLNCLLQKED >CAK76308 pep:novel supercontig:GCA_000165425.1:CT868230:406747:408951:1 gene:GSPATT00011881001 transcript:CAK76308 MSQLQQFATLTSNKKIIKLRFPSLQQFLLTLLSLNGSIELGVYKATVKTYKQQYLNKLYI ESIRTSSELIPITIYLFFKQLNNADSQLSQVQNIYTHTCLSCNSNLKHVFCAFSINSEEC IQASSNAGHMSNMQGPKHFVRSHNWNGKFNWSILIGKGQNPGDHYLKGCFILWGKRFESK KREKMINTNLFRRGCRSYFNIFFNVNIKLTKFVFSSMSVYRDESILKGLLQGKTIEQGAE LHLFILVEQNVRKIIQEAIKYQRHFRKKQLNSQDIELAIKDQNMQKSEIFGFQYMDSINL CKRMDEYVLNDQSLDLRDLISHQMRTVKIPLGFPSLSIFNVMKDYQMINSQETQSIMQYK DIIQTESFQSMENKKSFNIIKDNVISILTVHQQSIVKNFKDLFEKEVISLKFNFSQEFVQ LLSDLESYKDVAQIVPFIVQYLYSQQDQVQLFYYKHRCVIIECLSRLIMNNQINLEFQLH QIIKILVKFLTAKIIEINLKFQIELQVKTAKCLNYLLDKFNLKYQALRQNVDLVILNKLD RVTSKIEKKNSHKSLLKAYSIIQYFIEQNICVQHLKFVEQMSVLIRKIEKGRQSINQYNP DYEHLAGLISLSLGSILIKIINGLQYLQPNHENQILHIINNTRELMLELGLYEMFVMQQH VVEHMIL >CAK76309 pep:novel supercontig:GCA_000165425.1:CT868230:409009:409861:1 gene:GSPATT00011882001 transcript:CAK76309 MSRRYDQKTNTFTPDGRLKQVEYAIEAINQTGSALGVLTNEGMILATEKQEVSHLLEHSK HSEKIYPIDRHIFSVVSGHTADANILINYAREAAANHRYQFQDNIALEQLIINICDYKQN KTQVGGQRPFGTAFLFAGYDKKNGFQLFSTDPSGNYAGWKATAIGKNNLAANSYLKQDYK ENLTLEQGLDIAIKALVKTMDTSSPQPSKIEIVVISQQGKEVKSKTYNEKEVLSLLQKNG FSNEQMQQE >CAK76310 pep:novel supercontig:GCA_000165425.1:CT868230:409939:410915:-1 gene:GSPATT00011883001 transcript:CAK76310 MNQEEGEVNQLEQHEKVVKYIVKNTPFGQANLVIKDLCKIIGNINPQHSIMLQALRDHNE EHLALFKGQSTQILTSVLNSQEDFYVDQKNNETIQVDHEKLEVISRSQVELPSDPELNQL RDALEQQLNLYLTQQFNEKVCGAYVMYQGDASDFTFYIGITAKTINLPNFFCGSWISTWE FTKTQLKGDLKVQAHYYEDGNVQLKNVNTFSEGINVDLSTASESAKQIIHTITRLENRHL AGMDNLYNSMPDIFFKAMRRPLPITGTKMNWNENVHKLVANLKQ >CAK76311 pep:novel supercontig:GCA_000165425.1:CT868230:411201:413592:-1 gene:GSPATT00011884001 transcript:CAK76311 MSTKRKDIIKLMKSMNIDPTTFSEEDIRQFEQGQVMMSDSESDSMRDSVSIADIENYQQT KHNRGGNTHDTVNMKNVLLQSIHFQNYSSVVGMQEKQIAFLNAEIKRIQAANDADRQRVF ANHRIETDKLLSEIKQLKSKMIYQESQLPGIREALAQVRDMLAGLVPEAVYLRLRDMNEK EMPIQDWVLVQVWEIVYPFKKEGEFQKKEILALREELRQITEKHQFLLNDLEHGQKMMVD REEDIRRHKLNYDNARKALELELTKSQEELALLREKGARFDELSRDYKRLEQEKFLLEEK AGFYQNNQAGDKAGDQYKAQDDAKRKGDLLQQDKEYLTKENIQLLEKVKRLEDKLDRTEK EYLEAKNQAQEYLFQLLNSKTDQTQAYEKRIYSEIADLKEKHHHELEIAKQNLVDIYETQ IKFLKDAKEEIQLRKDNLEGQVKEKSTLYDHLLIDYRNLQRKLDGDLSEQRIQLRLKVEE LDRVQNIYEDTLANLKATKHENEMLREKINVLKAEYYKCQVEAKEQMSSINAQLQVAKEQ LTNYEGIEKEIDDAIMKSAGNEYDAMNPLLGFMGTVPTSSKRRIQQALNLAQRLQAKQRE SEELQRQLRDKSQEIERLQEETKLQRDVLDKTHQPHSYLVAHIEEKDREILKYKSLLKKM DQDYQILKAENDDVANRFMRAEEDLQRLRIKRQNLQNIQNILIGIATGSQNDMRQNLRSA INEMSEALNTKTYIDPQSAIQKKGMNLHQLQGGIQSSQQKQEDGPSWYSKLKQKQNK >CAK76312 pep:novel supercontig:GCA_000165425.1:CT868230:413863:416548:1 gene:GSPATT00011885001 transcript:CAK76312 MSQTRTSQSSKHQRKGDNRFLQQINSLLQNVSNPPLNISKRWIKDREGEQKSLFEEVKQN EFTGKSQTLTQLTDIGKKLAKMKKKLRTFTADDRLKTPVSENKSRKSTKSKKSQSKKGQS SHSQSRTQLKSLEQSRSKSSKKAKKPLKSSKTQNTPKFKKKTFENKLGSFSKEMQQQRDS SRPNVRILESRIAKNTVDRSSSPSRSIGSSKKQVRNRRTESPCEESSSLTDSSDTKEIMK LKMNPTYAQSKYEVFMDNEKKIKELDAGQLSREENLMLTTKLESVTKEKLLREYRILYYR SKEVKKLLTEYYEQNLRLTEEINELQQIIYDKQLENKQVKKELKSQTKEMKIMQSEYSTK LDQLGKSYQQHEMNTVPLNDYERLKQENDKILIENEMLKEDYKGISLKMQQIENEIYQKR QYEIPEQEISQRLQRELESVRDQADRIKEENMKLKLQLDKEQISNRELSQQIYQKKNELD GNVEQKQYELTSLKSHLQTANTKIQKLEQQITQMKYQMISEQEQSFSIREKIIRQEEELR IANLKVENREKEINEQRSKELILKKKILDLESKIENQFQQSQPYFEPIVTINKPINSDSF INKELQVERQLLAKTQEQLQNIQGENDQLKRNLKQIELELQYERQQNEQIKLKFTQLQQE NEFTLQRAQKQFEETENHRSKEFQLTEQQYKNQENLLFSAQQRINELENELRILQNKELE NKNSINDQKLQIEKQQLKLEQNLTKVNEFQNQIKTLEYENAQLSENNRQLKVQSQQLTKE LEQIDRIKDNYKNKYLNNRYENKKLLNQYNEKENIMKQTFTNELEKVKCQKEQLEKIKSQ EECQRQQKDKKLKVINDLQQMIKGHKMALDK >CAK76313 pep:novel supercontig:GCA_000165425.1:CT868230:416605:417327:-1 gene:GSPATT00011886001 transcript:CAK76313 MKQEKTKTKPKEQREEILPINKIIQKCEQTLEITQLEQQELKKMFSIVSDKMHQVNQKIL TFEREERLLRRENDEWEFYLKEKSQLLKQLTLLIDLISGKDHSFEIDISTNPAYKEIQHF QPKKKQYGEDLLKTIQNDETSIIKLQAQLQEIEEDTKLLRQSGAFWNCVRCNVTLKEGFN EETCIFHPGKLKYFSCRTCGGDEYFTCCNQCRDCNPGCKKGLHKP >CAK76314 pep:novel supercontig:GCA_000165425.1:CT868230:417387:419136:1 gene:GSPATT00011887001 transcript:CAK76314 MNSSDHQQQNSVGWLLNLVGKFSPKDLEKSNLKEIGEEYMKKILLYPQLQSGNVFTYNQQ KQEFSEKLFHIYPNILICQHDRIHTHLILSNCSIQKELIQYKEAKTYGLIISNNLGNTYL FFPQFIQYRNWYKLMKQYCKLNDFFGKYKLTDRMLPGVYQCYKKSNNAQFTVQIYKMEDF EQWPEIEEVVHNEIQMLRSIKHQNLLQLRRVYEDDSYLFILYEHFKGESLYNLIITNPLH EQLPSLFNQIVYQILQLLKFLDKHQFYHGNLNPLNIIINSNNQLLQIFMINLSFKQYRIN DKLDWILNRQIEGFIAPEFYNGVPPNITSDLYSLGVLLYFMTFPSQLPSEKHFDKYEIDT TKIQKLLQKKFSQNPIEGNSPLDKSIEKNISLSELDLLSKLLYTNPKQRITISDSMKHHW FVNIKSKIKQLNVVKKKKVELPSLRTIIELRSQSELDLKVQSQLSNNRRQSRLAVNQVDD FDLVPDEGHPLEQVADLDFCQLLRSSTQLRKLQTLSNKSIG >CAK76315 pep:novel supercontig:GCA_000165425.1:CT868230:419495:420251:-1 gene:GSPATT00011888001 transcript:CAK76315 MSDVSKLRTYKQRTSVQQKTQKLNSERRSLNTDLNNYLPKQPTWTQKCIKNAKRCSINDS NEQDKRGSMSTVATLTTMSTDFQVQDDDPIEEMSSSSDESQHKMKFKTEMCKNWSLVGKC NYSNKCQFAHGENEKMSRQSNTKYKSKLCRSFHQEYVCFYGARCQFIHESRSVEQIKRDY KSQTVFYQPSSLQFRLKSLQSITSDWKQQIPLQECLKLWMTKLLIQINLSSSSE >CAK76316 pep:novel supercontig:GCA_000165425.1:CT868230:420334:421276:1 gene:GSPATT00011889001 transcript:CAK76316 MIVRMHLNTIKMAYAISNKLAANKITKLSSRIKQKQSIEDVHNLQDFLTTQIQENKLYNT IHRTSKYDDGFDEFLTEYKKKHKNILDLTRYATSPLNKTNQIREEEFRQLQSFLDKRKKA LKNEIIIDNNQVNDESDPQNDKFVETQKMDQFFVILIDRDTNTQVTTLQRINSFRQVIFI GNGNGVIGYGKGKGKDFETALRRAKIDAKRNLVPVSLDLWHTCPIPLTGRFNDAEIEINP RPLGFNAYGNPQIASMLMLT >CAK76317 pep:novel supercontig:GCA_000165425.1:CT868230:421789:422301:-1 gene:GSPATT00011890001 transcript:CAK76317 MSTVKQTKQVSDILNKIQDFYRTQSLNKTATKKFNISRQTISNKNLYLQQFEKKLEEVTA FNPRKSMVLSKFKEYEQSKQEQNHNKDIATILKEKQKIPKTRICRQYIKTKQCRMGANCK YAHTIKELDVEYAPVAWTIQFNPDLQVKRPNTMGEQRSYRVLQPFQKSMQ >CAK76318 pep:novel supercontig:GCA_000165425.1:CT868230:422615:424105:1 gene:GSPATT00011891001 transcript:CAK76318 MNDQFNQAHYDIKQEIQHYEHDLDHFWTALTGAVVLIMQLGFAFLEGGCVRYRNIQSIII KVYANTAISIIMMWIVGYGLMMGKTNDTQFNGISGFAGFRFKDHPESYADFIFNAALAAA ANSIVSGGAAERMSIPGYIALSALFSGFIYPICIHWAFKGWLYDLGYHDFAGSGAIHLTA GIGALVVTYMLRPRTNRFNPQFESQFKPANTTFICLSCLTLYMAWMCFNSGSTLALSDGS VFTVGNSIANTMVGGASGGLFVFFYHYFTNRNTDNQFSLVMVCNGNLAGLVAVTGSNDEI EQWAAFVIGIIGGIIYVLVAKILHKLHIDDPVDAIPIHAGCGLAGAMCPGWFDRRRGIYY EQGAYQWGVQVLGCVCFVAWSAAWHYAACRILEKMGLLRVNNTIELEGLDPTICGGHAFF YESIREQEMKEPFSFQRDVQQQEQAKLNEIEFDDRNLSIIKQGDK >CAK76319 pep:novel supercontig:GCA_000165425.1:CT868230:424370:425980:1 gene:GSPATT00011892001 transcript:CAK76319 MDMYKTYIFDVCPTVKNFQNKLESLLPNPNENKKLMKKIIKHKWVKIYCNDQQLQSIFHE DYCTYLIYNRIPIPNGKQYQNFQNDILEIFQNRVCQQIKQINQGFYENFMEQDINSQYFR EEQVDNFDYTELVEYINYDLNDIISEEQEYNIEDFMRRTVSDIISKEYLAVSAFIKKFYS DLITETAINPSQQYPQQFSYGNFGRGFSGLTPRENKQTKIQEIQECQELSKLDVGIYSIK VKKTIIILDITTKYKIAYIINYFDHYKNKSSIFNQLKDFKIQKIKTIKLSVEEAYALIKY LKQKNPSYKQLWDGTIEFYKQQDFDEFNEYQKQKEQNYYKMLVDIKRFISIISPQSNNYK DIYSQAFVQTLQQNQRYNLDLIPTLEFDYVLKNLKDSKKQKAAILEDKQNLTIGVVLFLN QNQTILLLKNYNNLKTSNECFLESSKQMNQNEKILISDSNSHGEEYKDFYLQIKKINADQ KTTIIFDVNIQGIQSSVAQFYVTLILTRTENDQLKNIKKFLELKDSAWASILKILG >CAK76320 pep:novel supercontig:GCA_000165425.1:CT868230:426012:427158:1 gene:GSPATT00011893001 transcript:CAK76320 MHNNNSFFQNDISQQQIDQALLRLNALLKEEPPKLEKQKFKKPTLINQEDASIVVSSQPN YTSQTKMTSEQINHFVERMNDKYKQTQNKIIEQRKDKIYSPIKVNEKSQSILKQKNQNGE YQSLYERAKIRQIEKQEKIKENEKLKLLEEMMSIKIKQSDSKSVDSFLQKVDFWREKKTQ NIQNQQQEKIQKELEGVTFKPSLNPLSAKIAKKQYRDNDVLERFQKSNQNKLINEQRLLM DELKKTPFTPKLNKNSVLINNQIQKQSQSKNHNYFLKQQTEKQQKDTSFINGTRQTLKEA YNSKQSRSLTPDRISSKYSTEKIKDENQPRKRSTTPLKQNKIIPNVQEVKYNPNLKFLMT LAQKELNYSSLF >CAK76321 pep:novel supercontig:GCA_000165425.1:CT868230:427233:427688:-1 gene:GSPATT00011894001 transcript:CAK76321 MFQTYSDHNFNPQFYEYFHKIWCLSSLQKEYYLSNPIRIFIDGNMIQRSHVQKDVKEALL NVLYPILEEELNYNGLKVNVLGQIANMDFPIRLLVSTFFNPDGFFYIVIKRFLFLIIQIY KFQFRLILQILINCQKVQQNSKK >CAK76322 pep:novel supercontig:GCA_000165425.1:CT868230:427940:428161:-1 gene:GSPATT00011895001 transcript:CAK76322 MDNQAQEEINIEDIHKQINEQSFLGTFIIQDVEDKSQILLCVCVVYNNKYPGFYFESIFN HFIRFSNKVKSSL >CAK76323 pep:novel supercontig:GCA_000165425.1:CT868230:428574:429334:1 gene:GSPATT00011896001 transcript:CAK76323 MVKNSAQDPQDNSLQMKIRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTTVLTKSADIKRAKTNQYRGDSIKFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK76324 pep:novel supercontig:GCA_000165425.1:CT868230:429494:430771:-1 gene:GSPATT00011897001 transcript:CAK76324 MYCIRKHFLLDKSYFIYINQDSIDIGEVIFWLIVKSNQKIKYAIPMNLQTIIDWKVNREN QKLEAFAVRWKGKWKFFYADQNVLLRVKEHMDRKVAYKSMDSFFTITEKIEATQQSSILL VQRNENEQIFVCKEMKKLKKYSQLLFQNEVEALKQLSHKNLVKLIEVYESEEQYSIVMEY LKGGSLNQCLKYCKLKNREVDVIIQQIMEGINYIHQSGFVHRDMKPDNIVFNDLGQFSQL KIIDFGITKRIEDLEKEKDMKFGTPGYMAPEIFDYKQKITEKVDIFACGVMLYQMLTGQK LIPGSSSKLIQENNKNFNLSNQILSKIKHPDFRILVSQMLEKDPEKRISALQTLNYLNLM KIPSVAVSTSIQILHHHEPVQQLPNFKKLIDKQ >CAK76325 pep:novel supercontig:GCA_000165425.1:CT868230:431192:432783:-1 gene:GSPATT00011898001 transcript:CAK76325 MSTQYQKQRMNKFVLFLSVLSISLCLQALDDEADLVDKNELNRLFNINYDGKVYSGYLKA NTEGTAQFHYMFYPAPVDALNKPVILWLNGGPGCSSLQGAFNENGPFVFKAGTAEFEMNQ FSWTNFANMLYIESPITVGFSYGPQGDQSDESTAKYNINALVDFFSRFTEYKKLPFFISG ESYAGIYIPTLANEIIDYNAGLAADSRINLQGLAIGNGCTDPTECTDDADPFQIHVYKFY GRHNFISEELYEQILTVQNDCYGVKDGQCKELADKVEVEVSGKEQDQIKFNPYNIYGYCF TYTPEGSKMSQKFGGMRSPNEDSDIPPCADVQGLYHHLRSAEVRNLLKIRQQSAQWAVCS RTLGNYHVNPKGSYYLYQKILKNQIRILKFSGDVDAVVPLTGTMYWVDKLQKELYLATLK PWRPWFVHAQRDVDPDQNAGYVLDLDGLTLLTIRNAGHMVPLDKREESEIFMQKFIKDEL FP >CAK76326 pep:novel supercontig:GCA_000165425.1:CT868230:432830:433696:1 gene:GSPATT00011899001 transcript:CAK76326 MGNGTIYLYLKDALSSCTKKSKSSLTLRESNLTIRRQFNQTTCSILQGDIFVSGLNIVNC SYEPADSLIVKQDQNIKKKQRDEIRAMHVGDVILTERQNLYLLHAKLPMYSEVKDLQKVY STYEKCLQVAEENILSEITFTEQNALNFTIPKQYNAECLIRSIINHISLNPQTNLKQINF LSNDYTTINIFKLELDNILEEMKVPNLAEKNRKTFLEFLNSTNCEEAPYFLSTGLTTSFV HLAEKSTE >CAK76327 pep:novel supercontig:GCA_000165425.1:CT868230:434989:435894:-1 gene:GSPATT00011900001 transcript:CAK76327 MEQAFKQDYNFPGNIIDLTDSQQELVEDSQQYKPLDGLTERILRKRKNCPLNKSLYDQLF SDPIYKSCIQNEFLFIKHLESLGFNDIYDYLKHCNKNGILLEDEAFKKYNIKPPQSKYNL YQCKIIHEKNVDFRNIQQILSGMKQLKKTMKLESKSKKDLNIEFYQTNDTTTNFVIHQTK QHRYIAPNLINKMLYEVEPLNQEINENLIHHCWKQYRKFYNKCLEEYQAMLNGNHNEFED ELSETNIDFDQMSQDSLAPWFIDPPYERKPQYRSMNLSYQIQHLKILQLSVI >CAK76328 pep:novel supercontig:GCA_000165425.1:CT868230:435948:437737:-1 gene:GSPATT00011901001 transcript:CAK76328 MSEFKQMEIESYILNFKARHTEIIKQKLQKLHEEESADQLQPFYQAFLKNCRDYLHQKLK LTCKKVLDEDLLELLKVNIFLAELSYSISKEVPELKSYPLTFSEDIYECLSLSELYDYFN NLYSQISTISKFQDENKYVLLRICNSMLKRLSTTHDTFLRGQVQIFLTQIFSTIHPSLRK KPINIRDRGFDEESVKVNASLPYSFYKNFWTLQKYLNNSELIFKNKVEFDDIGEEAGQVT QGVSEPQRTKNLQAVIKVIMRALQYFKENPLEPEIITVKRFPKFLTKYSLFKNQLNDPYF RKLFLNQVLLFIFVAELENHEKQFIQNLKIEVQKQLDELGDKLGEKVQHLIDTERTWRLW VTEKQCFDDGYEKLSIKASEKAKKKRQEIQKRIYDDLKYKQTDTFQQILQDQGRPYKIET NPIEPGLNYYLNEVFYQLDQNRKIADNERCKNLKDYAWKSVRVISKFLLKELKITNLITQ ELKPLPNLEDIVENLKNAGNEMTAERQQQSNNNNNNQNNNNNNNNNNNNNNTNNNNNNNN PVTIKPVHINQQISNQK >CAK76329 pep:novel supercontig:GCA_000165425.1:CT868230:437872:440491:-1 gene:GSPATT00011902001 transcript:CAK76329 MNQSTNKQHQSHKDDQVDQHGQGAHGQGYQSQQLQQSHPEIEKQPDHSQHQHLHNDQSHH QHSNHGHQDGDHQIHNTSHDHHEGHYNPLQMPCDWEIARKHALSRRTAWEKKKDPMKTLQ IETCPCCGFEVDREDIPYCSDPMALSFLGSGFTLFYNYLKYCIIILFIQLLVKQLHNLYT NFNGSYCSHIKREKMEGHIIEEPYCPDSIFLQLSLANKLDNREALEMMQVLNFISIFIIM FVLIYFRKSQRQIDTTIDEEQLTPADYTICVKNIPTGLSVDYKYELKNLFENYSVLDSTK QIIVRKVVLVYDIEEIIVLEKKLDDLLQSKKDAIKQSNFNFHHESVQKIDEEIEHLEHQI HKIEEEYETHNTNFAGIAFISFDDESMKQLVLQDNPHTQWERMRSHVNRGKLRSLTNNDL QWNGQKLFLEQAPEPNDVDWEFIHITTSEKIFKRVRAWVYYILFESAAFFIIYLISHRLA LLGDEAHEEELKGKLDEDTKRKINIMSFSISMTIVLFNKFGVAKIVHYIVDDEKISNKTK FQISFVYKYALALFLNAAIISFLVDIVILKNVKGAGGFIQNESQIFVLNAIFPPFIWCVD PWSLCKNIWRKYIMSKGDKALLTQQEANKLMEEPDYLSAKRYSDVMKTMWFTFMYGTAIP LGTLFSAFGILIYYFVDYYNILRRRTVKESISIQLSTEMIEMLEYIIAWCAFGEMIMTYT FFKEVSKIDILLIVLAILYQQLPMEDISEYLFPVENNEEIKPYAEGSASFDTDYDRENPV TKHKALAQWNKRQQSAENPNPKNKKILAQQDEFGYAGDGHFGRR >CAK76330 pep:novel supercontig:GCA_000165425.1:CT868230:440885:442488:1 gene:GSPATT00011903001 transcript:CAK76330 MQSRLFIQKQYIQFNNRQNPIAILRQIWKGGDNYYQIQLNNNIYKCSRSSSCPQKIIQLY AKYQNKSQKVKKFQLQEHTFMFEDNYSPVEIIGSGAYGCVIQADDKNAKVEKDRQVAIKK IERAFEHRLYAKRTLRELKILRLMKHENIVELKTLLLPKSREEFEDVYMVTELLETDLAQ VIKSDQVLTDEHIQLFLYQILRGLKYLHTAGILHRDLKPRNLLLNRNCDLKICDFGLGRA MADPSSSNNANIMTYYVETRWYRAPELLVSFKNYTPAVDMWSVGCILAELLLRKPFLRGD STKRQVKLIFELLGTPNEAYIQSFQDEKVQNNLRKVIKETGPKQGIPLEQLFKNASKNAL DLLRKFLTFDYRQRITVQQALEHPYLAQLHFEADEPSAQLVNQLEFEFEKYEMTREQIKD LLYEEILLYHFPEFQTSYEAKKKSGQSLISHVVNNENAKIFDPTADDDLDRD >CAK76331 pep:novel supercontig:GCA_000165425.1:CT868230:442496:443460:-1 gene:GSPATT00011904001 transcript:CAK76331 MNNKDYLKKQLEYKSNLVGQGFHTQLEDNRHACVNIFGEILEASNFDNSQIFLQWKFKIP PGWDLDDNELLELDQKEYEDIQLEEWNRIQSITQQSKVNTIKNDDGTITAKAHFCFPFDL QLQVDENELNFGKFPQLLVQVSSMDSWERNYIQGYGFIEIPHTPGFHEITMKTYRPNDDL YTRVYEFFLGGGTKVKDLDSISNAFYFDKQNQPKVLNRFPIDTESSGDIKIRVNVSIQRE SYQTMSRKKDYQQKNQEMKTKYRERLEYMQQQQEIQTGLPTALIKTDMGYVNRFHTTNLP QY >CAK76332 pep:novel supercontig:GCA_000165425.1:CT868230:443472:444019:1 gene:GSPATT00011905001 transcript:CAK76332 MEDFVPSLNSLVKYSTPVLVSVAGKNTGEKKDAQAKQQVRVNSPGRQEKMITQEILQQNL PPKEYRLKNGQLWVQTVLSTPATRIEVVQLQQELDKRLLSRGARETGICPIREELYEQCF DELIRQITIDCSQRGLLLVNSKRIPQSIEFIQNLI >CAK76333 pep:novel supercontig:GCA_000165425.1:CT868230:444039:444369:1 gene:GSPATT00011906001 transcript:CAK76333 MRKMIDAEQKRTDKSNKIMFMDRECQELQKAVEDLEQKIQYTKKVETEKLQKEAENHKNE IEKIRAYNNSLKDELGRLLEGRR >CAK76334 pep:novel supercontig:GCA_000165425.1:CT868230:444607:445245:-1 gene:GSPATT00011907001 transcript:CAK76334 MLQQQLNKNLKKSLYQLFKNKSLPQNRKMSSNKTRVSKQSQKYNSSKCLFVPKNKERQSY YITPPSKYKNPLTNIEELNIDSNCSTDSSQKLENLSPVYYCPKGKEPCGELQVVLNGDLI QVISQTKSRKKSRKFSDQETYAMSNFIAGPKCQEIPCPKFL >CAK76335 pep:novel supercontig:GCA_000165425.1:CT868230:445268:450120:-1 gene:GSPATT00011908001 transcript:CAK76335 MNSIDSYQSKATINAQSIISKIATRNGQADHSSLIAALDEETVSAAWNAFGLHISRQLRM GRGVAVNKFGTFSFSAPDVVLDGVTNPVDRDKQPRQPVFLVAKEFVNGFNLKTAIANGRQ LRPYNIQTSGKIQHAIVNWAEIALYASQNKDSAKMAVERVLKSLSDIVRNRDIVEVEIPC VGTFFVKARCAAVQFLESLMDSCKEITKRPLSERKSKGDMRLTQQYLQQLSKSSHPEENN FIIDDNAKNYLTNQLGIELPETRPKTAKHSLYSTKKGPLTSKTIEVRPSTQQASRIGFSR SVNDKVYALERLKYYIRDHALNIEDSFLDLCQQAFGKTNERKVRMNFDDFKRAALQIQLP LNEIQIITLFQTLDVNNDGFIDKFDWLKAVVDKKTHVNYIKDVVFKFQIHTDDLLQRMNL NRDHPPINLQQLKSALMHLDESLNQNKALKVAKEILDGKETISMNDILILFNTVEEEDKL YDLSWFKDTLHKMRDHLINPQKLKVLRQSFEYFDEHQEGNLDTANFKTVLMESQLALNVQ DINRLVRYLPKNRESLINYYDFIQMIMDVDKQMEQKDTAKDLVDFAQKISKYLTQRKFTV IQFLQQVKSGYGSCNIESTSQYLEKNLFSQLPHEECLEYCREMDVDGNGVVSDEDMNIFI KRYSYFNIRKDQSQIEQIAESMKIKPQFGDTLSAIEKTFVQSKSIDLVTMGQKKMFQSLV DGMSQTKSLFPIEELPESKFDQILKDLRIKLTRKGMTYDELFTFLDTDHNGFLSISEFYN IDKIMTLSQPAKDGFFAFMDKQKIGLIDLNTFVKFLSKSIIQQMPQLSEDDWDWELEILF KIRNWCQRENITIEDAFRTFDKDFDGQINKTDLRTFLKDILKIEEKEITEAKINRLFKLM DQYKRGKITLMDFRRFVEEGFFYGKNKQIFGQTTTLGVKQQQESKSSFDWKMNARQQIGL IISRHYPNVKESFDIVSGYRKKLVFQKFKKWIDEKNVLAGFDLTEKLVYEIFSDLDSHKK GYLIESDWLNAFAQYNWQDQMIKEIQDALSTYFSSIQNAIHYFQMEHSHIITKESFYKAL QALFPKRFVEGDIEILWNRVQKNGSLSNHAFALIFGKGGKIYEPTEGQQQQQLAIRPATQ GGLSELPLDERNQVNISLLDKIRRFLRNSNKNLNELFKQYDLDNTGFITNLEFRQVIRSL NMGLTFQDIDILSAMLGTDRNSMVNWKEFSKKLDFRQADNKILERAGIHLQRVNDHIYHY LLSPKDAFRQIDAQHTGFLTFDKFKDMIEMLYRLATEEVPPFAIIKDLFEFIDKRRDGHI DLTEWMDAFSKFSNPNEKKRPVSANVRIKKASQKSLMAQTDKNWLAKSNGPHHQQFEGLT EDGLINAQSKFKTFLRKPPAKQNALQYVENGIWESSKEFDKTINAIGKNRKYLLEIFKHL TQNGQIPLTEDLIKQEMDRMLRSQGIVVRDEQWPQLISWSKKNGRIDYKFLLEVYKDRLN GMDTQPRTGDDE >CAK76336 pep:novel supercontig:GCA_000165425.1:CT868230:450138:451042:1 gene:GSPATT00011909001 transcript:CAK76336 MKAYLRYEGISKLQYPQSPLQVESKQLFTLMPRRKTAQATFGKSLHNNKSPTNFDRQFVL EELRLTNASLQEVNKELQQLNESLQEQVQKLQESNKALQLQINQMTNQIDDLKINNRHLR SQDSSLHLHSKTFDQRLQDQNNHIGYLQLQLKKLNDQLEQKRTLESKPMKMQQQALREFD SICLEMKNYIVCKLCHQELKEPVTVIPCAHSYCRSCQKGYMGRCFICGPEEEIEATYANL LLIPMIELFKKICEIRELLK >CAK76337 pep:novel supercontig:GCA_000165425.1:CT868230:451406:452134:-1 gene:GSPATT00011910001 transcript:CAK76337 MIGESIQQTHRQKQSKENSYILKKKSNYTVYLRDINIVFYRICSTLQKYCKDEKSIIQLW FNESFLDKLINAEDREYLILILEELVLVLLRTEQYSKFFIDRGPRYYFVVSDLNQLSNQK YQFQQEYYANPSFVGLTDKGNEFGLFLDAYEQIGIGENGRQSLEMMATFIQFYRLFLIEV IKIYNMKIDYKVVPYIYVNFQNINQLMLEDMNSLYQVVLGIMDCQKQLLIH >CAK76338 pep:novel supercontig:GCA_000165425.1:CT868230:452368:452705:1 gene:GSPATT00011911001 transcript:CAK76338 MQQQQSNQEIAQTERQCYVKLPSIAQCRQSIKSIMSEAELISKSSVNNNTRCVNAKGERI LRKELKIHSSIIEFKQNFKNMITNSKYGLQYMKRKVFPKLQPL >CAK76339 pep:novel supercontig:GCA_000165425.1:CT868230:452730:453136:1 gene:GSPATT00011912001 transcript:CAK76339 MNKKNDQEFKEPPPYYFFGIPLHNKRVAQQFCFIFILVLIIPFSMFFGLRESFGEVGAGI SAVVSIQLVIAYYVVMIIKDPENFPNAEKARKQIEERKLKTKQSQVETEPLKQESKPLKN KSKQN >CAK76340 pep:novel supercontig:GCA_000165425.1:CT868230:453171:462323:-1 gene:GSPATT00011913001 transcript:CAK76340 MDEDEQVDQTMVTFGSIIAISDFVDQSAFLFSDGFLKPGLFLKNFVYKEQKKKTYEGLDN DSFYKCLFQVLPRVSDTVKSRVLQDCNVTDNKKEEKDGRNDKIKMSNQRMNEELSQLMNE YKQNIDAIDKSRYQKLTYHQPFQLLHIASGKFLACHDNEAQIENQNYLVKLDDFSSDYTV FKIVPAYMYQSHGEQDVNAGEMCAITRTMPISNRLAYISCSQMEISEIKGNAQLRSEHIL KREINANVEQSHSWKIVLFQQYISESSRNLRVGDCIWLYHSEAEATLSVKKYQEAVDKTN FTFYHLSEWLSINNLYVSITKSQTESAQGYQGSTNGLWQIEGEEFLQGGFVKYEAGYRLK NITTGYYLSVIESSTDKNNKQNKKQQYKYRLTQELDKSTIFTFVDLKTQPNQKYLKGGSY TYLQNRQSQNWMDFQNDKQNHEYIPILKAPQEKTEHAVFKIHMATRNEVWEIQFLQSCFR KLAKFVIQIQDPKYPGIDNFPSKRKWFGEFQQLKSCIAELENFVNNKSYTTSAEQIFGSI NQYRQKLMREQFYIDILIKILERIITKGELEFYQKLENDLEKNDRLSKYNLEIENNIIFE DKALMMHEQKTENTLKTQYQNYVKAKVELIDQIYQFLGSVCKLNKENQIYTYDLIPYFQL HTKYLPTAIEATINIVSNNKFLLYKLSEDIKIEFYEENEIDNQQIKILINLYQFDDKDEQ MEIKQHEKIMKKPVPLIEYFINLLWDDDAKNNSYYLKFLRNVCSHQEFPIQINQENIYKL YKKNNTKQPKLGVETKAEESKSNDGTVRLTSKAGQLIIPQDCDIRDINKSSRDKFMYIIE QLTFFSEVALGRNYSWKNELGAQFEKSFLFRNIWFKHPIDPKYIDLQPGFARLALTLYID QEPLRIKKAPIMCQLFSECEKPRDYILFKSSTQAGASNVQELNTYKDLVNNLMNYVNEKG GEIYEIMCPPIHNQAGEESGSKKSSNQIGPDILKDELILNTMRILDKVMKLNLIWVLDYS LGDALQVNLKPQLFIQSMVKSCLDIFTYEKYELNLIQAHCQTERQRVQKKLQDKQSQHKL SAPNFMNLLKKQNKEEDDNEEQRGESQITNDQNIYLNPIMKGYLKLQSVIQTQNFLDPKY IENEVQLKIKICDFLEYLLDLRQDFMMQNCIVFFKTQILQGDHIENNPVAYSQYLQKENK KKPLNQRRQYEDLDQKEKNLLIIQRLLKNHALGLFPNLAQTGIKEIDEPQKEEMSLSSFT QNLIKINKQEEKAKTRFYNYINNPQIPEILDLDQYLSFALDDRNPNDQPVASLLPTFLHT FYHIKDKELEKRGLQLLLRLFTQKEEFKKNLQNMQVIFDSEKTILHKYITMNLEKFQTLN ERLDIWISSYIFEDKITSDFEQAQKYLTSFYHGLKSDIKFEDNELISQLDVIDPKKQQLY ANLGAHIAVVNLLPNGLRYIHEYIMDVEFEEEKKIVMIDFFRLAFDVLKNFCFNNNENQI ILFEYLNYYRYMQYDLGQLELIETIFQNNKTLLVQKVDQQLIDVILQLILKEGRQKRFLL AFESLMTYHSNYIFDNQILIQNSLLPLEFGEKDIKVLYCDGSRNSDLRLFLEDPIQEPEL KFVDKLREIDYRDTFRDEPFFYHAQLLDILIQTTLNNVEKRKFTADTKEEDIKKNFNISI SKLKRLFSATYLLEILCTNDAFVKMPITTKSQIQYIDSNQKIKGVTLIKLQVIEFLRLVH ISSERGQVQHSQLFHCRQQVIDFMTFEINRLEKITDLHLFTQDLKVYHIEGIFPFLLAYY DRFLKNIEKQDLRKDLQIIEKFLQEWLKKVKNLTSEQNILYPIITTTEDAKLLVRCLQTF CKLFYEKTVNQKEDPIWNQVKIKLDSLKEADQNQDEDIIQNTNTNKKAYNEMIIGQNSRK SSLMSKQLSNPDEQLIQNTSKKYLPEKKKITVFSDKDDDCEELLEGYDAMKSKKVEKLWK LFLKELLNKDHFVKEAEKERETIANAILNISSLLKPEFSKNLTNKPDVKSISRKLISYLQ SAFSDPNCKGSIQTLLHILKKIIDTDPTRKVEMQNLFDKLGATQMVLLVLSENNQDKKLM MSFLQFINTLLDGGNDQVQGTIYSFMMSFSQSENIFQKIYFIIRKQIENLEILSKSKGGS EEESAGLLQIDYNEFQEDLSLVLEVLTFLQNAVEGHYRKLQNYFREQTNSKNNYDLTNAI TDLFKTYYYDGRIQKNYDNMLKCLDTLNELVQGPCSDNQKAISESKFLDIAADLFSQQYI LSPPEEIEQIGKRKSLLDQPLQRWQICRLMNKILNLIMSLLEGSEINQNNPILKRIMRNL PISLLEKHSVNEYNKYVKIYGDKYEIEALEHLSVDPYKLRKMKESKYDKIKQISSQLPYF ETILQNGFLLFFLMSYYMECDPYIVSPIIKITRMHKKRILKTQTSNEVWQMFKDSFVYML IQFTIALIRNLFGTLNSMKNLATDQFKNNQVQPDMSEEERQRIKEEQYRQDLQMAINFYL ENSAHIDVMHDENLEVVYFIKLPSTKYLPKEQKILFHDQVDRSTTQSKVQGLMNIAPTLI EVCKHEEYLKRLFDRQKYLALLTDYVKLWRELAFFLTLFLNLFILFSFDGTVGDRVEDYI FFSQYQDFSPMLTKTIIYIIGIVMTGLSLFVVSFYLLKNAPLILKRAWLQKGLFEDQDPN PLFILIDMFYKFIQTILSFLQEVEVMYYIAYGLFAILGTFYHPLFFIFHLTEILFRYPTL KNIILSVYRPRTQLILTFFLLFLLVYVFTIFAYWRLSNEFAGYCDTLLYCFMMNVEWTFR GSIGDYVQQELGVNDVARELGVGRFFFDEVSNIILGVIMLNIVAGIIIDTFGSLREEEGN KLNDMVDNCFICGNLKADFDRLQSKSNGGFREHIKINHYMWNYVYFFAYLRWKEKTEYSG IESYVDQKLKEEDLCWVPFNQARELVDLDGKKGIREKELIQKIEEKIVFVQEQIIDMGKL MKVEFKKNN >CAK76341 pep:novel supercontig:GCA_000165425.1:CT868230:462427:463237:-1 gene:GSPATT00011914001 transcript:CAK76341 MNQESLESIIERFQRDRQVIRDRMEERNQLFEKANQNYKSNLQRELETIKLTNKQAESRD QEFRQYIVQLFKQYDEGNQQMKLTIQQTIQEKINYNDYLIRNYPLDSMKEKKDLQEENVM LRNQLEFKQFIQQTTTLSHLYIPLIEIQKKDQEEQLTLDRYLGEHIETNVQQKQYPPIQS QSTVAQIISKPKIQEQNQTTQVKLLSTPSKKMEKDFLQEFMVPLAGRQQ >CAK76342 pep:novel supercontig:GCA_000165425.1:CT868230:463273:464324:1 gene:GSPATT00011915001 transcript:CAK76342 MKSVYQDMLNRAYNTLFHPSVQWFRILTPGYNIFRYPAPASEQINHVEHDFKTPYRDSKY YVRHDDPVIERVAKFTFTDPLNETPTEKLVRLKLLTKDQVSNSEAVQAATQLYEQKYGLP LSQRVYCNQVGGGDALQDFFQGENIREVMASFVREQWEEVNENALEDLNCTNLDDDYHPT LNEKVGFNLQENDPVHRQLTIDLHTIVKNIGEKTKQEGHLTFFRGDPNYWHVLDNSFPVE SIRLIQNTLGDEVSQLDPKALSKQHNREDYHIEQKVQVPIENKYYS >CAK76343 pep:novel supercontig:GCA_000165425.1:CT868230:464327:464539:-1 gene:GSPATT00011916001 transcript:CAK76343 MNQDRMTEEKAKKKTKRFDKHNQPILKGVSAKAVTFRDQIEGIPIQDIYIVERIQYQEQK NKCSCSCAIQ >CAK76344 pep:novel supercontig:GCA_000165425.1:CT868230:466016:467608:-1 gene:GSPATT00011917001 transcript:CAK76344 MGACQIRSKLKSEQQKRVDKRKKLLYSKSVLSGTVKNYTFESQQTIKTEQTSQKEQVCSI LISTQNFNKVYTILNQYKPIRIGEDKILTIQHNINAQVRHARFIERSQENDSWIEIFLKQ NFNHPNIIRVFEYYSSEKDYHILIQEQILGISLCEYIKPSEQIKECLAASLIVQVLQAIQ YLHQNDIVHGRISQNSFFFAQENNFTKLKLVDYKEMYLRPELNLNSIIFIPPELITFIQQ EKFSKEGDIWACGILSYIILNSASPYSKCKTMQSMQSQIMRGTLFFESTSFDKISNPGKC FIKRMLARLLTQRPTIQQALNDQWITQNTVSKKTNTKDTLNKLSQFKQANKLQFHIMIHM INVFFSQTFSQLINTFNEIDANKDGKVNMEEMMVAYKSLGSEDDAKDEVKQMFEKLDTDG SGDIDFYEFLIAVTDRQALFTIQNLQMTFQTLNISRNGRLTEQELSYALQIPIETVQENL PKTHIQKNGKAILDLRSFKEFMLDLL >CAK76345 pep:novel supercontig:GCA_000165425.1:CT868230:467810:469069:-1 gene:GSPATT00011918001 transcript:CAK76345 MQYLLTLLLFSLVLGQQVPEENGVLILSDQNFEYVLKKYEFVLIDFYAHWCGHCHHLAPI FASAARQVRNQNVQFAKINCPQYEHLCRKYQVTGFPTLKLFGDGQLQMEYQGDRTEKAIV DWMRKKTNKGSIEAKSLDQLKKISESPNLVMVFFGEQKESYEFMQYFQFSQKNKHISAMH TFNQNFANEMRAQVPSIVIYKPYDERKAAIYDNFEISYIEQFIKKHSYPVLMNFDLPTAK RIFKGDQPTLFLLQNSQTNHAEKHLRLALSKIKDQILICIANTDNKYGLRLMQYFGIQND YLPQIVAFNPISESFNLLSEITKDGIVSFTQQFLGLHQNTQKQDL >CAK76346 pep:novel supercontig:GCA_000165425.1:CT868230:469701:470908:1 gene:GSPATT00011919001 transcript:CAK76346 MKTIILALALIALVSSTQSDVIDTIKKIDQSPFGRTLFDTIWLELQTGDPLDRLVSTLTD LEDRYVAEQKEDDAKNQEYQGACTVDITAFDKDLAESNRKKIELEARLEGQLYPQRSILE GLVAQKKAEVKGYQKDLDELDAQRAEEHEDFEEKVLEHQEATAIIAEARRLFADNIEHES FVQKGKAAKQPHKFTKDVANLIQKHFTTSAKKTAKFQHRKGYSKLFKAFATIASKVEQLA DAGAVQKIIDLADELLAKISDSLSLLRFAEDKRVESYKKSRNFVVIALNVAGSALANAIS DLAALNDIIAQVEASLDTTVQRIENVSADRHDRFTQCEEAVQDYSDARSARQSDRDVVSQ TIGLVNKELRTLREQLALRQQAGEQI >CAK76347 pep:novel supercontig:GCA_000165425.1:CT868230:471010:472505:1 gene:GSPATT00011920001 transcript:CAK76347 MQQLDNQEILMKLKSQKQFWDIKKKVKQGFSDQQKIGINDIQKFAQSCEIEDQLIKLISH ILKKSKKRETLKEDQIEFLNTSKIKWTELLFKNLDIVHQKAKNQEEDDTNTDSKLYIYDE KNLLAFLQTFKQQQPSVLYQNVQFQSNKLLNINIPLKSSQQILDKYQYILLQEELPEEKI NKIRKSIENEHLDIELEKIAQTYVPNGERCLLYLKYFEFDHQETVLQQNFAKLQKECQNQ LFIDELLEIDGHEISEDTFYFVFQEQILTCLKCFIRDKSIKENIRIQLQNGMKKEHAEFQ LPVAGFFPFKNISKYVAPLCYLSTKIHIIYALFKEFFCRYFCFLHAISSEYNSILSLCMQ IEEIVSTRSPKLFHHLKVIGCDTLKLIIHQLIYCFVGDMDPPHILTIYDQILGHDSLEIL VILCVGFLNKYKPKLLLAKNYENVQQIFQELREDKFQELVQFAYKQ >CAK76348 pep:novel supercontig:GCA_000165425.1:CT868230:472529:473366:-1 gene:GSPATT00011921001 transcript:CAK76348 MAEDLNHFAKYQTFSSYSTIYNEIDTPSKIQTLRRNPLEYYTKRGLDPPKTGYGDPAKIL EPQEPQKQEQSKETASVGTVHHFANEINRLCIEVGQIILKPQAIKDQLNHKKKKSEEQFQ NLPSSDIKISSLKQISSFNDLNPQIQQQNPQQMIQHQQQDLPGDDQSVKTNGLKQELKMV LTPQNEQIDSLQQQMQISQQRDESLYHGQKKTVQQLYQRSNKSVFSVEEQSRRMKLNQKP YVSSLTELAQHQDQEIQKAIKILQGGVKKS >CAK76349 pep:novel supercontig:GCA_000165425.1:CT868230:473384:476120:1 gene:GSPATT00011922001 transcript:CAK76349 MNQDHVSQYTQSQTPHNHEPNPQLSSNQSLHIMNPEQLQLIQEIEEEQIQPQKQRLRLSL DSDRDRDRESVGNQWIKQRPGSSSPSDQKTVKNITNKSGSQALVSGNGRSSKTPQDFQEE IIPQVRSILKQGTFISHSSLPRSRGLRLSRLQSLHSLPQSIKKNRVYPEEIRDNIGSNQN DSFSDDSFFEETEQNELGDETRYRFDKRYANLKYIIFPDDPTKLFWDILVVIALLYICIM VPYDISFKDDNQEETPTQFGLGLAIDLLYGIDIIINFLSAYVDDQDELVVDKTTIIKHYL KSWFLLDLVCVVPLDYILDNNETSGYQKFAKLPKAYKMIKLVKMSRMLKFCVQKKKFGEL ITSFSNITVTIFVLKQQNIRVMIISLFSVILVSHLFSCFWYFIGTVSSESETWITHYVED QTNFERYIMSLYWVFQTMATTGYGDISATNSTEQMITIFIMIIGVVFFSVTIGSVSSLLT QLDTQNLKYKEKIDTLNEITKNHKIDNALYAKICKVLKQGYKNNQNEVVEFLHLLPQNLR TELSQAMYKNVFLGIDLFKQKPLRFTAYIGPLLTILRIPEGDVIYNEGDYASEIYFIREG GVSLCIKECDYHPFVTIDAGQYFGEIELIKETQRKYTAIAQKQSELLALSKSHFFKIFFS EFREIGEELHEDARRKKRDYEDKYTKTKAYLQGLEQKAEQQIIEANNQPKQKQGLEQFKK NLIFQAQTKKGFLDKAIKDAEQLASCELKRKMTKLKTVLLQKGIINKFDENSPTKISPKM MKRNTLNSEYQPRKIDKRNTFLPMEILQQAQQLSSEDDNKQSQNNQQEQNAFRFPKKAMT AFISVDQNQQQEEFITSQDGMNNSQHPSSYNTNIQFLKKRKKQLYL >CAK76350 pep:novel supercontig:GCA_000165425.1:CT868230:476920:477150:1 gene:GSPATT00011924001 transcript:CAK76350 MSEHYNFSDFVKFRKSNTFGIGKIENFSREEDWFEYFEYILSEELPTGWFIYCIFYVYQY YTTHIFQYSLLLYFSF >CAK76351 pep:novel supercontig:GCA_000165425.1:CT868230:477226:478624:1 gene:GSPATT00011925001 transcript:CAK76351 MTDIIEKVQLSKLPEYVQMSEKKGYVMRQQFISKDLMPLLKSCFCGQYVNPDQVLVLCPS CEKPFHAECLIKQFDQGNVNCDSCREILSSQQITDRIKDALQTRQSTVVIQSNQPKIYEQ QRAIQIEEEEEEEQLDRNERRIDLEKIVKKIKTKDGQISSKVQIVRQDSNNNGNSRDKNK QHEITIPQANPPEQQKQQTQPFKNISAQAVEKMKSWVERYRQMESNASSFEKKRQEVREK FFSVIFYGIEELKDMYQRDPTSITQLEKEIINNSDTALFQYIKNLALDIEVYTHIKFNTQ YKTKLEPLYVERCKLIYLHMKDDKNLELRRKVISKEFQAQDLSTRDERDLYNPEKRKQTQ EIAMRVIELNQKDKDEEQKIAKDIEEVSFSRNQSINEEIQIPTQKKDGHSTRTLLKEKMM EYSVEKSIMRFKKRIADELNDKERLLILASLEQYQLHQ >CAK76352 pep:novel supercontig:GCA_000165425.1:CT868230:480107:480637:-1 gene:GSPATT00011926001 transcript:CAK76352 MSNQYFKQPKQSEISFKPLRNVRPSGFLNSFDLLPQNYYTPLKISGNKFTKQNYNLQQKP ASLDPIYEQSLPKIEEKRNPFQNNENRTSQQSSVYTQVLVMNSDLNRRIKTEIDEQNDKI SKLLKCRDKRVQIVPVLDLINQGNKLEHMGLDQNEQRPKRKINRQLTNGRLLKLDP >CAK76353 pep:novel supercontig:GCA_000165425.1:CT868230:480980:482033:1 gene:GSPATT00011927001 transcript:CAK76353 MAFVKLVKGTPYFKRFQTKFRRRREGKTDYYARSRLIVQDKDKYNSPKYRFVVRHTNTKI ICQVIYATLKGDKVVSAAESTELKRFGLTSGLTNYAAAYATGLLLARRTLKTLKMDKFYE GNKTIDGNLYDVAEKENPERRPFFAVLDIGLVRSTLGNRVFAALKGAADGGIHIPHNNRR FPGFSVDNDKKEKYDANVHKDRIFGVHVDKYMALLQKEKKTNKDGRSKFDVQFHNWEQTL KTAGVKSVQELFKKIHDEIRKNSDRVKRGAKQNPKRDHTKYRAKRLNAKQRRANALKKID IATKQAAKLKKKA >CAK76354 pep:novel supercontig:GCA_000165425.1:CT868230:482156:483230:1 gene:GSPATT00011928001 transcript:CAK76354 MSTQYRIPSNDNYIRDLENISQRERHGLFNQPPPLLLGDQYNDALKSKGKEKAILVNTKL QKKHEPALFQEPGYTTLNDPYKDQFKAKQIYDKERELAIKNPNCFKPNDQVKSIKHSEFE HMKEFNDKVFNTRTPTGDVRTQARNFLTNPAKKGLGRTTSNNLFGQIEYIPDPYDRQEEL ERRERIKHRNKFLPGTTRFITTSHGNRPFTADGTLLDGAGYLSQTKRPFYKGAPFRPANQ NKKGFQGTFEVLQYMEEGASDPKTKQNTFQQLSATQTYEKPWRPNSNGTFARPCPSVSQQ LRNRSVGSNQKGEIRSAIIAIN >CAK76355 pep:novel supercontig:GCA_000165425.1:CT868230:483788:485377:-1 gene:GSPATT00011929001 transcript:CAK76355 MGNQQVFVNYDSDLAFIQDLNEQLLDKGTQIHPSLGPIKLWQMKKDPQHQLFSLVIQTSK LDAQLLQVHQQRCKMQHPNLLKYYACSKSIQLGGVEKQQYFFEYSPKTLKQITIQAPLKE EQIWAFVEQIVDVMQYIQSLNKFHGNLTSEAIFIDKQQNAKLLDNLGQKRQNKDSISEDI FQLGLLCLEMMTQRSSQLSFSSALKQLMGKYSLQLLQLTSKLLHKDAEMGLDFVELKNIL KNRFQIPITIKQAKIIKTEQGYQSCSSVQIFDKEEINRIKHQKPQNQNQHQAEIINTIKY NQNQNQIQIQQINGNYLQQFKQLHVLPQKQQIDPDFSKKNSIQQVQFNSQKNQQQANQNI QLHRSQPQIIYHKQTASLQLQNSHVTSRNPSPMDRIQFSKVKQPQQPQNNHFNMKQALEI LDKIYKNQNNSQQKQSQKLDMRSSIDSSHSTQFYLSQNKRQQTLSHSSHSVIQTPCHRFQ SLQARSFQSIHKKQEI >CAK76356 pep:novel supercontig:GCA_000165425.1:CT868230:486064:491488:-1 gene:GSPATT00011930001 transcript:CAK76356 MLNKFEKPTQRVFEMILIILYIQLDLQTITQQKESIMNRQFSSYLGSQTTRSRLRSNSPT QLKNLEFVIKSAQQKFKSQQSTPIRGQQIVAPLKPQNLDMFSTASEIRKLRVQSMRSQSQ IDNKIIWNQVQDQLEKKTGACAEFEKLRNNSIYRKLREMKFKENEEKKLHLERRLQRELL AKNKNQELQNNQAQRQIETKRQPKFQLSTIVNALTLIQIHSKTYSMKLAKLIAKNYLNIL DYNNYMEQKRLNENQSSQNTPRTPNLEKEQILEIQDLVNGGIHQIIIANKTKKHREQLKA SEIFSEDVLRRVRLLQSCFHLKVFSKKSKSHKYRRQQIIGVPSTRVKAQTRRLGDIKFMN QYSPKSNKPGTMLNTNNELKQNKKSLRLFRSLTKGSLTTQKSLFFNRQNTNEFLLKGVQM LHYPIIKYISIFYKNMVVWSISYKDITLDKSCIVLFFKGEGKYKYRYRLDVPIGQNPMIN YNENCLTVWPTVRDYLISYLHMKGQLALLDQKQLPTAQVYKLNFIKGLTDRSRMKITSLD IHGITETKKILDYLQKSQIVKIKKVFGTVYELLPQFIEVNKYGQKKQYIVNLPLVHNIVL QLRMFEEQLLLQKRSLGEVEENLIDRYSSFLCGNTDYINQILMFRFIHNNPDFFQDQMLK DIHLLQEKSIYIQSATLKLNDILQVESNTDHISYGSYCLLSIFLTINCSVNLKLLLLDVS DKSMYTNLSDTINLFEYVCKKRNQLQLIFNQEYFQFQIKVTFHERDEAGYNRDVYSKNLF ADKKDRQTKQLVLSVNEWLKLCKAIKNSVLVDDSEQYFDFNKLVKSILLEQPYHSRIVEE TLKSVFCISLKKEQVILKASYKHILSVSYNFIEQLDHYNFSKNLGYVHKYSVYPTEYHDI KQIIKEQKKSTLTSKDAKQFNKQFKQINTADIKLTNTNTKISLIVDYQYEYHISQSIPVD CNQLQLISYCQFRRNHFVYQIVNNRDSMKIIIQKFFKAPIIIKIEDIDLIFKILNCQHLS TFLLSQELLGCMHQIQKKNSFLTNNLQFFIQKHKYPLPSYKQIKFMLINDSDKLLNYLDR LSFMFLFKQTFSGSQILVHAKIYFICKDSHTRSDEKIYFFHSEDLFLGLEIQEFKSKLKK YIIILNKFDLEKLFDIKGYLDISIVHYWCKTIINNLSFDKQNIYRLPYLQNLNHYIIPKL DDEEENHRLKAQRSMTNDYKHDEQNTVCKQFLLPQIKLFRVIGHYIMKYYLLKNSKKVKL ATRLPQQFHNEFDSYTMEYIIITIQAHNIFDMFRIMYYFPKSRRRLMTHISILQFQEMDF RDNLIFDLLEYNEYKTYQDIEVYVKSQKCGIHFKHSIQLDKQVLTRIKFMKQSVDIQNPF QFAFKKNQNNFLKVSDDLITKNRYLLSQIKQNQQLSDKVIKFMQFTRKLNNDLQFELQNK LIEIKIWELLLNQVFELKFNNSKSINNYIHLIDRLIQTFDQKKRNQVKIIGKEYLLKKQS SGALKNNYTVQSNVTQLYTRLILTNNIKVTEKLNFPDTDINLNIGFLQYPLLEFICSQEI KLERLGNAYLECFIEQIKKTQQNQQVFYPQQLISYSQSSDFNIYLRYYCLQNLKYKDIRL NMRELLNLFIADGFFKSKTNYMNSRLSQSDIQNMCYYLVQKIRDQNYLNLSSLVLLKKER KFSLASQILLETQDSLIPNQNNSTMLFDEGYLYTQSIKGNKCFQILQLQIFPSTKKIIVN LSDSKAILFQQKIYTFQEAEELVMNFLILFQSKNVQQALKRLAKALEYNLIQMQKI >CAK76357 pep:novel supercontig:GCA_000165425.1:CT868230:492087:493439:1 gene:GSPATT00011931001 transcript:CAK76357 MMSINDKELEYIFQLYSSDNYQINWDDFYQLICPQDTSLDTQMHKNVNQQIVSDLLLLFQ RLLKIEIAFFRQAEPIRMVLFEKHKETGKQFCTLVQDYSHQLPNFNLINFMKKQEYIFSN QDIQFLKKRIKCNDVIISSQQFIKYCPLIPFSVLINKQPEYKLIETKVQMIPEIFKQEDL FVPQIPQVYIDHPQQKEYEHFTNDQEQIPLVEPVDQGGISNYEFFTGRRPQVGGQKFDYN RYSSPDPKESEQQIDQDQRLHSGQSQNYLSPYQTILQGQKFNSPQPIANYQDTTQLDKYL RPSASRKQEFSRVPFTPQTNQNEIGIKKQEQYVQNQNQEVQQVKTMHLADVPYDPEEDLL QQYLQPATKLDQTIDKIGTSQTRKYKIFDEQPETQQQFNKTLQKSKQNSPQIHQGPAFQS QQMEQPKKSKKYVSPSFSQGQQ >CAK76358 pep:novel supercontig:GCA_000165425.1:CT868230:493466:496083:-1 gene:GSPATT00011932001 transcript:CAK76358 MFNILKTKSIHRQDKSEAYDAFKRPPDFQFAEKHGLASRFAVAENEKVQFCACCGMPIQN DQLPLCCDKQDINFNGAGIPLYFEFIQFAAVLLVTLGLISGIYNTVTNVQGSSCEEQSDT CSLNYYNKYALSNKAEQYDVGLNVLDLISTIIIIGFTIYYRRWMNLTAQEVDNSVVTISD FSIQVSNLPLDATEQEIKEFFSKIEKKGVEKQLHIQSICLGWNIQQYTNTLNDKLAKEIQ ISNLIALEQAGKLKNKQQKEILEQEITALNKEIQGFLEAFDQKFLLSGVAFITFNTSQEA QECRKFHNQTKIQLLFHSFYYCFRKKRQLTKFKGKTPYVVRAPEPGDIMWENLGEKPWKQ ISNQTWTNLATLLILCVCFAAILGISIGQTSLAKSGSKSESKSVGFIITFLGLVASFIIS FLNTIMAFTIKKLVQYEKPYTQTDFNISYANKLGMSQFINTAIIPLLVNLTLQDEELLIS LWKSGGLNSDVSLILIMNAIFPWLINILDPFYFWKLIKRQRAKTLGSQCTLTQREANLLF EGTKSDLSQKYATIVKSLMLTFFYAQLLPIGIPIALAFLLVNYWIEKYLLLKRSSRNAPL GKQLAEEMIDLYIELTLLLFAAGNCIWQKVVVGDINAIVWTQLAVAAFQFLLPVDWIFEW FFNFEEAQTTETYEQQKNQLWDDYLKRNPVTQQKCIEQWIQEQGGEQNKNVQGFLQKLEI KYQQDMTEAQHKLIKKFVPKDLINSHYEIRKNQILPNNLEESLLFKQQGMSAQKPDIRQA ESLYFPKRETEFVPNRPQIKQADSFTYD >CAK76359 pep:novel supercontig:GCA_000165425.1:CT868230:496345:497351:1 gene:GSPATT00011933001 transcript:CAK76359 MNKKLANTYNQISDVFSRAQIKNAVESLDNYHDRLKLIDSIIDRRQQIRQANDLSKITKY AHIFRKMIQRFRNKKEDQAANQRLQMQMVMSMFAPKGKSSSPRHFYKNLEQKKIDPHQLK IKEISEQRRDAVQLKMNQTSKISNFHSDRKHNNQLSNAKESCRYSSRTQRFLSSIDVDDH YQEKELYLWSVDDKEIKSLQSKIKQSVILKTRINLNQNTKDNENGLKLFSKQHCALSRRQ YKPHSQPQLLDLGDKDQDIQKYDPMQNLISQEGSYQSYSENRLNQLYKKSFQIQTNASQS QHNFQRLIKTNKDIIELSKIKLGPQKH >CAK76360 pep:novel supercontig:GCA_000165425.1:CT868230:497788:499577:1 gene:GSPATT00011934001 transcript:CAK76360 MKSAITLVRIITIDIAKQMELLKKVDAQLYGNLRWHSVCLIIGRLVNILFKISSLGMDVR RALWNQIISNIQLTRKKYKLDVIDFDDDIALSDSNLELAKFIQYFINTLLRVNSQYLIEM MQQLLPQQQQEIMGFLQNPIDDIGSFQDYGQFEHQTTNTIITLEESLNAKDSIIAQQNKQ IEQLKIEYQNKVEDQQTKITELRERIGQLYDSEGFFLSKLNCGDFEEVHNKFQEYIKEIE NNKQIIEDMNYLVQNQQKEIAKVQQKNQSLKSQLLSCPPTARSIEPEQQQIIIKDPQELK TIESLKAQIQGIKEENQRILGMKQAQYESQLTALRRSLYEAEQQATSFKKKMEKFKLELE EYQSMNQYDKKLPFESLRHQGVEQRFGQSPKSTCLDFFQSQNKSEFDHAMSQNNQSIKYM DETNITKLQLQLKEKSNKVTQLERQLNVVQQGSHSRRNSMTKQYQIQQERTFEQPTILDT LRQYVELSQQKDKEINELRQQQNQNFIDLCKQIVKQNEQIQKLNAVIVNNKTPDQMQEIT EKHQNDLQALNQYYVEVLNNKDELLHLIISLFYDVAK >CAK76361 pep:novel supercontig:GCA_000165425.1:CT868230:499805:501496:1 gene:GSPATT00011935001 transcript:CAK76361 MFHNQLRKQSFRFSNIAQNYINGVWKASNGGIALERRSPLTDEVVGITKQTTQAEFNEAV DAAKTAFKTWSQVPLPTRQRYMFEYQARIRSKIDDIANIIVEEHGKTLADAKGDVIRGLE VVEAACGITHVMQGETVENLAKGVDTYSYRVPLGVCAGVCPFNFPAMIPLWMFPIAIVTG NTYVLKPSERVPGAVTYLTKLLEESGVPKGVVNVVQGGFETTKHICEHPDIKAVSFVGGN KAGDYIYENASKTFKRCQINMGAKNHGVIMPDADKEDCLNALASAAFGSTGQRCMALSVA IFVGKSREWIPDLIAKAKSFKVGPGHENIDIAPVSYKELYERIHYLVGTAEKEGGKLILD GRSFKHPKYPKGYFVGPTIIDNMNTNNTSYKEEVFGPVLNILYADTLDQALEIINNNPWG NGTAIFTQNGSIARKFQNEVNAGQIGINLPIPVPLPMFSFTGNKRSFNGDANFYGKAGIR FFTQMKTITSRWKEPSDSYTLSTAMPTMK >CAK76362 pep:novel supercontig:GCA_000165425.1:CT868230:501663:502273:-1 gene:GSPATT00011936001 transcript:CAK76362 MQELTSIRQTTDDDINTTCQSIQIPVFKRHFGNNLTLLYIRGEPLITIGPHWPLTLCMIV TFGAASYFLIFEIAISKGLYVYYCSIFVAALQMFSYLITALKNPGIVTSSREYKGVLQCI VCNNPRDLGALYHCEDCDVCIQGFDHHCPWTGKCIGRGNINAFYIFVTMIPIYIVYFIIV SLL >CAK76363 pep:novel supercontig:GCA_000165425.1:CT868230:502352:503620:1 gene:GSPATT00011937001 transcript:CAK76363 MGGQLSQDSFNDPSDNQQDIIIQQGEINEPDIIVEQFTLNHKKEQIKVPKTNSQIKVGKQ TTSQINQSKISLIKDLKQEVQQPVKQEVQQLKESLIGSQIRKTEQQNQQNNLSNNQSRIF LKSNPKKISDTNFQIQNHFPEWMNKMIEQYGNYQCPEKINYENSTEVILQYEDGSYYKGQ VQGYDRHGYGVYLQESELFEGYFEKNIRNGWGRELQQDILKQGIWKGDIITSNLEIRKQD EYYKGDCQQGLPHGKGKLENKDYVYEGYFQKGLKNGRGYLKYKEKNDIYDGQFKDDKMDG RGKYYFSNKVVYEGNFKEGQITGKGEMRYPNNKFYRGDFLNGKMHGQGILHNSSDDQHDG SFKDGKKDGYGKSTLKGMIITGKWENDILIEEDPDQQ >CAK76364 pep:novel supercontig:GCA_000165425.1:CT868230:504438:504941:1 gene:GSPATT00011938001 transcript:CAK76364 MGSCYSKKPKTENCDIRLNEKPREEIEKKTENQLILEQYHRLSQLIELIGNTDSLNHKTR ERINYLIIIRSNISIIIQHEIKKQFKLRNITKQVNLDDEKTLYHDKEFSQRVLQQIKKLI SLLDSLQDDQEFENSYPILSFSLIEINESIKSIIHQFLETKTRNTSI >CAK76365 pep:novel supercontig:GCA_000165425.1:CT868230:505014:505603:1 gene:GSPATT00011939001 transcript:CAK76365 MYQFRSSLYYEPSDSGSVKSVQVANQKQDQLKYLTDQLQAVITFNEKLEIDYNQLKSQNH QLKQISIERDKQLKETSESSQKSINKLHVLLSENQKLQEIVVSTNKHVKLANTKVSQYQN ENIIFKLSIQQQEDYHLEEMKKRATELEKHYSLMQNIVTELTNQVSQLCEEKQKLQKELN DIGKSQQH >CAK76366 pep:novel supercontig:GCA_000165425.1:CT868230:505649:506334:-1 gene:GSPATT00011940001 transcript:CAK76366 MIKEIKITFLGNSGVGKSSIVKRYCFEEFDEHNQSNLGADYVPKEMEYQNNKIKLQIWDT AGQEKFRSLVPLFLRNAQAVIIVYDITQKSSFTQLKEWVSSLEQICSKNQLFFLVGNKCD LIEQEQVTYDEAKQYSYVQQPYIQNLKAPLQYTSCKNNEGIQELFQKIVEEYLKQEEELK NKELLINEKQNSKLKVEKKNYSTCC >CAK76367 pep:novel supercontig:GCA_000165425.1:CT868230:506499:507974:-1 gene:GSPATT00011941001 transcript:CAK76367 MKVQFQTDYDSRVTHNPSKKREFQYNTKQPEIITLQNYESNCNRIESMPGHMRSKSYEHD CLTKASNTFGLNVDQPSVQPLKTKRQHSISQKENDPGFISPKFRFESCDTLVTRKSQNFI NELQECVDLSKVTELSMQSQISPQKAYTDLQQKIEAKDYLIDELQKQLTTSIKNMTQQVD LIRNEKNQVIQQQIRQIEIYEQQLIESRQEVQQKNTELLNLKKNNRELKKLLDSKQELYM LNSIPSNFQTKKECMCPQLERENKALLAKVEQFRHQLQDIKQNLEFNNLSINNQISVIEK CDFTQFEKTFKQLADELNLTIDSKNINSSFSILNQEVIEGVKTLKQQYKENEKFLRCLKD LVIQCAPQDYFFQTDPSLKEVWKFIKQILQSYLENKKLASQNEDIVINLCKYFRCNKSEL NHKGACLIVDQEVYQRIVEKIKRIFKLTSLTNIRELDRRLDYYLQ >CAK76368 pep:novel supercontig:GCA_000165425.1:CT868230:509606:510948:1 gene:GSPATT00011942001 transcript:CAK76368 MGQSQLGPYNSDKSFSVIRFYHDKQSSFSEDINQEFLNNAINFSHWHFMIHQSLIINGYF FSNCKLTLNSSLNHNYSRITLQKENKILTFQHEFERVSNDFRFKQNSNPTNTIIEEVNLN ELYKQLNLQQQENMLILDSFGTANSTIQPSINRGRQFNEAKQLFQQSSEEEDFTDFEDKS DSYSPITSRIQENQKNQIRKNHFPSDSDSGQEIHKPNYAQKQSNYQESPLSLINRNKMED DQQEQELVYQINSELRNLKNKIEKNIGPSYKNLDVSEEYTTNYQKLIEKQRNQKAISTQG NSQQLQQHCGVKYQSNSSTNLNYKNEHPKQYQVNQLIPKEKEYQKPPEQIKHISVKINSN KMPTYSQKQKSPNTREPQIPFSSQQILKSPRDPKQVSTLNHTTGSESELNLNTYKSKNPQ PQPINIKIDLKAMTKKLG >CAK76369 pep:novel supercontig:GCA_000165425.1:CT868230:510971:511490:-1 gene:GSPATT00011943001 transcript:CAK76369 MFEYYAIGDESNKIQDIHKYLLTICNKKMVDKLVNTQYKQQRVSTSIEQKTLPSFNYRPK EKYPTLIGAQYKYFKAQPKRKKIYLSTLQNDQSESSPRLKANSIIQEQKIENQMNSARVI YTAQHPIDRNISIQKAQTSKNYHLPKCDSNWIMNLKSIRQRQEQL >CAK76370 pep:novel supercontig:GCA_000165425.1:CT868230:511951:512679:-1 gene:GSPATT00011944001 transcript:CAK76370 MLTLHQKSLTESKIKNDNTSFQINQNLRSFNPSQFLNNLDQVHNIKTPQQNENTQQIPTL TEKAEGSYCRFPKLQSNSLLNISKPIDSMPQSKHNQLKSQLSTIFSQQNQISDNHIMLPG LRKQRKESQMFIQMIEQQISFPIQETQTSRQKRFQRKRDHQTQSQTNLDSLNSLYPDNSQ LDDSNYNNKRVDFHKKVRVINLENGRIATEVIKEEDELKSPKQYKRKFVSQKTKYFYEDV NS >CAK76371 pep:novel supercontig:GCA_000165425.1:CT868230:512925:514216:-1 gene:GSPATT00011945001 transcript:CAK76371 MGNKHSTEFGGIFVQTSKAYYFPGEMIQGTISLNITKPGYPGRKLYISLTGKEILHGAFD INENSDDEGVLSTNTTQKILKNEIVLYEFQQPTIPMGQFTTTFTFQLPSNIPGTYNKIHL SSAFERIIYKVKGYVVPTKGYKTMSHIQQIQVREPIYFPEQQIIGDGKVGDNGYCCREKG SAQITAVTDRNVYRIGEAIRILIEVIDEERYQKPEKIIIQLENITQYKYKNNIETRSKII AEEQISTTTKKLGSYKVLNQQEVVLFAQLKNHNNNDQYNMIQPSTNGEIIKSHYQIVIRA SFGISCCNQSECLELPIQILSSTVLQQQSIQPPLGWNPMQLNDENLQLLAAAKQSGTSLH SFSTYQKTLQGRNSYNEQQESQRSIRVGNSVRSIQSLNYQMN >CAK76372 pep:novel supercontig:GCA_000165425.1:CT868230:515929:517570:1 gene:GSPATT00011946001 transcript:CAK76372 MIRNLFRPLAQIQKRFQPFYYFSFSKSNKQDKETYQLILKNQEFDKLVEYWDSKTSQPKQ NSVSIQKVLQKINLAENLIGSELQDKNWEFFEEMFDKNFIERLYIPKQYPQKIQLILNIS MELSLLEYQYRLNRREILQLEQVLDRIKGILENIYNFESKISDLGEAEFNNLLRQSQYFD MKAFYQKTLNPEGYVQFLEESTKCLDQAVKIVNDIIKHKENVEQIKYQVGKQQAMSKIAR NFEILAEFLLISGQEEESRSFLEKALEIYETFASGITPKSILCQCRIARSYLRENYEKSC QLISGLYDEISQYGESEFLLLVQMYKFVILSQKEVDEAEYEKLFESMKNFRVTDPYIISE VLYLSLEYTFKQGYSKALSEHLHQALILIENSSEKINLEQLLHLTAQIIIELPVDVKDQQ SLLKVIYENFEKFRNRIDDAYFQDHLLLKALIRAKLLQFDKEGPEIIIKPILQFIEQAQN GKEPQFLNHIKQIQRFLEKNGLIFAKKQIEDLSSQK >CAK76373 pep:novel supercontig:GCA_000165425.1:CT868230:517662:518201:-1 gene:GSPATT00011947001 transcript:CAK76373 MIQRLSYADIRSPPISQNNFKLAVKPLRPSKFLNDFESAPKNFIKEKEVQEIFDYAFPKT SRRRVALGNDKVQPKFQLALPSVQNLSIHSVNQLSQRDVIADLKKSEVLHTDKQIENELE SINRKIKKIINSNKRQVTFKSSLIVIDDQNIIAQVKDPYISKESQLRRKTIVRHKTLEV >CAK76374 pep:novel supercontig:GCA_000165425.1:CT868230:519033:520584:-1 gene:GSPATT00011948001 transcript:CAK76374 MLNQSDINNVENVIQQVIDSLSICRQRIEELHIEKESHDKMKENMDLIKSSQQDFHHIIN EYFKAVGENFRNLQSRYPNSEVKKDVITRLDEQIQSLDNQAQKLAENQFTQKDYEYFKQQ NYVKFIDDSSKEIDELATYSQKTSKQDQDLLPQVKVNHRVVEGLYLTLNDYASVQWSGDI PVENCHKPIIEAQGGIALNHLIILDERHLQYGLYDIVTNQYEVHQYNSKVNINYSPTVVQ GESNQTYLIGGVTQQGLISASFSQLDVNSGQITSLPEMNVKRVGCSSIIIREGDCQYLYV IGGKSCNKVKTKLCERFNFTTQKWEFITKMNHARSNSAIAKHEDYIYVFFGIDSENQNTN VIERYNYANTKTWEIISIQNSLPGFDSSNCSAISINQSQILLLGGIRYSIIFNDQMYFNR KLLTLNTTNSTFSVLDNQLPIDFIPYSHMVIYKNEIYAIGYTMKQVEVKPTLFLDGGFVL KISKDYVKILKYIPAYQEKQN >CAK76375 pep:novel supercontig:GCA_000165425.1:CT868230:520607:521947:1 gene:GSPATT00011949001 transcript:CAK76375 MEISPKKIDIGIQCEVLQREYIPPNIQSTFFHESEGWSIFYRSRTISLLIFMLVTLYITS YWFQSSTLQESAYIGYIFSFLAFCAYGGSYFPNTLIQRPHPLFWRLLQSWACVYMMSIVF LIYFTPEQLQQFLQQFIDPKLGRPLPSKSYAEDCRFYTPENEHSKFYNLTSSFDVFVPAH IFGWTVKMWILRDFKLAMIQQIAFEFMEITFRHWLPNFWECWWDHVILDIIVCNTGGIVV GYYTMKLFGMKEYKWSLRKENRKDSWFKNLKRLCLSPQLDKIEWKVFSSVKRFLLVIWFL VFMNLCDLSFFFNKFVLQINTAHNVMKFRVFPLGFFSIVAARDYYVYISDENVTRLGPNA WLMHAIVLGEWVLFFRNYQGIFVEKFPTYIIIIWIGIFTLFFVTLFSIWKRERRLNKLKQ S >CAK76376 pep:novel supercontig:GCA_000165425.1:CT868230:521973:522380:-1 gene:GSPATT00011950001 transcript:CAK76376 MSQDQDELFSAKSEILFNVKQCEELFQKDKSEKLKQDIETFKKNIKTAKEKEEEFYKTLL LEGKEKESIFGFPGYQKKEEPKVIVWDPLQGNLIITEHEFKIKYDQPKQRNSNRQSQIPL QPEINLND >CAK76377 pep:novel supercontig:GCA_000165425.1:CT868230:522412:522966:1 gene:GSPATT00011951001 transcript:CAK76377 MISQPDKEQNTEDKEIVIIVNEKNEIIGQSPRNEMRQQKLIHRATYIFVLQTKTNKLFVH KRSLEKRYCPGYLDTCFGGVVAINETYETNATKEIHEEIGLQNVNIIPKNEFYYEDQISK IWGKIFYLYFDGEANQLTPQLEEVEYIDLKQVKDVLASDDKWTPDGMQALKLFIDEMPEL IKHQ >CAK76378 pep:novel supercontig:GCA_000165425.1:CT868230:522993:525153:-1 gene:GSPATT00011952001 transcript:CAK76378 MNRPKKVSAAKRRSSSLHSNPEQQQVHQESGVELEQIIEICKKPVSFRKPHETRLLINSF RNIKFFKEQFNEHYEGMLEDVAENAKLHVYSKNETIIKQDTYGDTSYIIIKGQVKVVKRV VTNIGSYITKKGKKRDKYHEQIKEIMTLNDGDYFGELALLERKPRGADVISVTDSFILGL DKDSFDRIMATKTKRQFLHLLDTLSCNSILKEISKNTVKASFMIMERKVYNYGDIIYKYG EKGSSLYFIIEGEFKMVSNIYKKEEKDDSNEIKCLERESEICILGKNESIGLEEFLDEER RQWKAICSSQIGIVYKLNRIDYKRIEQRYPDIVDAIQKIRDEKKKYYNAWKEKHANPLEA GQQKQIQNDDIQKFDYQKYVERSKQLKQIIMNDKEMQFISRDQVTINDDMDIMLRYCPYF QLGEKKATPFLVNTIETIQQRRVKSANYRPLSFVNQVSQGVIQQHNRPSTGRILTQQKDD IAKANAAESQPTITINQYLTTQPEDNKQKSLHNSKAFMVTSTPTQEQESKKITIKKKARN YNDVIQNKIIFFMKLTPQKIEQMAIQQRVDKEEFKDKKLYITNYPSMTTGQMLTRIKSAV KLQPQNNFSYRSLQGQIRRGIASSVNLLSSVESIQGGECSRIHSTKNSTGINLDSSALPL KYQADMIGRQFLQRNKQATPSSAFKGFNRQKFKQLSTEY >CAK76379 pep:novel supercontig:GCA_000165425.1:CT868230:525482:526638:1 gene:GSPATT00011953001 transcript:CAK76379 MGNKSGSEFGGIMIRTEKSLYFAGDVVKGNIYLHIIKPGYHGNVVQFSIVGKEKTQWTTG SGKHRRTHYGKNVFHRDAVVINTFLDENLMVGQFVFPFELHLPSNLPGSFQYAHAVLASL NYKVKARVISTSKSINDIKNKQEIIIREPIKEILQVSSKQETANLTTWCCKKQGSSSISA KVEKNLYFPGEFVQITYDIDNSDCKLNIENVDVIIVNRLNIKSNSGSQCHLEFQLSALSQ NGLQKGLKIQPASQIGCSLQLVNTKQPQIVLTPSTTGNFVNSSYYVKILPNFEGCTCCSS KPIVLVPITLLAVLPNDYLEPIQQPENWQPQAFQPLIIKSNNIDPFNNNNTDLKNMANPM NS >CAK73218 pep:novel supercontig:GCA_000165425.1:CT868148:3574:9812:1 gene:GSPATT00038874001 transcript:CAK73218 MLSRDLKTMHLRTRICLWQNEACVLSSARTYIQDHQDESTCKNYAEEDCRLQKQCGFYLG QCISFVDCLVFKKDQCQLSSYRCVSDGTKCVEILECKDYKTEISCANKSQNGGYCFWVQE MGKKCRDVITCEELPIYLANHIVCKQALDGCTVSELGYGCIKQKDECTQYLNDFQCFESK KSTQNCFWDSINSNCVERVCQNLPFTSDYECQQILRECTSNGIHCILRKQCSDAKNKISC VTDAQGNKCEYHNNQCKIKSCDTALHSLQNYQQCQDYDNLLDCVTNKNGGCKQRPQMCKD YDNLIVCGKKINAKKENVMMLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTLQCQLLNCSLLLVMSDCSIYSTKCFWDGS SCKTVGDCNEYSTSILCLNNNNSKRIPCFWNSIQCIEKTCQNIPTIPTSILDCNNWLFNC QFNANDQTCVEDCTSASYSHDTHNECELYYLNKNCTVKLDITQCQDLPVSCVLAKMTQCY MDINGNQCYYSISTQQCLLLTCSNLDQNLKSHEQCNQKLKECTVNTTLNGCQQLNTCNNY SIKEQCYFDQNNIECEWIISQKVCIIKECSSAQLNLYTAYGCRQYFGDSCTVNKYFDGCQ IGQSFCIDYSYQQCISDGQINLSGIDCFWNYEKKVCQERICANGPTDASSNSDCKIFLPS CEINGCHVKGCFDYHYAIDSACASIFEDKRCITNGIQCVKRKNCEAIFQIDECRFDVNLN PCVWIDEKCYTKTCQTAQVALVTHEECNSFLPSCTVKENGGCSNKQSCQDYQIKEACYTD SANFECIWDININKCLSNQCINYCGDGIVTDTDEQCDDGNYLPYDGCYKCQVQCPQGCNK CKGKQCQECIKNGWQLVEGVCISKCGDGYVVGNEQCDDGNSIEFDGCYQCSYQCDKMCVD CFQGQCILCQVGYVEDGYQCLNICGDGYFAQQLEECDDGNLYNNDGCSDICKIEKDWKCS TESNISFCNYAILPKITLTKLSKTDISFQEFKLSFSEPVCFSEKGISDEQFLQLIFIEII NAKEDEYDVEIKSIISITSELADVAYKLLINFKTNVKNPVLKVKVNSDSIVNSQGNTLFS KEAKLEFRSPYKISADQLSLMSKTSMLSRIVLYFIVFISGISFLCGNLEILWNLLDLLQQ LSYMKFHNIGFPIKLRKLF >CAK77385 pep:novel supercontig:GCA_000165425.1:CT868272:240:1973:-1 gene:GSPATT00039213001 transcript:CAK77385 MSENHIQVNVLQMEFIVYKESNVAMLRINIGCVTDSQGNKCEYHKNQCKIKSCETASDSL KNYQQCQDYDNLLDCVTSENGGCKQRPQKCEGYVDQVDCYSIQQEDCIWYKNNCAKRECF YAPLYYSHQDCKKYGNCIGKAGGGCQQTPQVCDEILQEQFCEIDYNKQICFWLEGICTLF ECSLLKLPAYKSHKTCQEANSNCTFNIDTLGCQDYLCENIQEIEFFKIDSKGRICSINEG CIDKKCLTAPPNYESNSKCEEWLPYCTVNVQELSNSIVLIGCVDKKKECQFALKEQCHST FSGIKCKWDNVGKKCVSQICTDADPNIFLTNTDCNSYKVVEGTCIIGTPGFGCQLWSTSC NDLISQQQCELSLQDGTKCFWTGSLCKILECKDASIINYTNNIECNTWLDYCIYNSTLGG CMDRPSSVACTSCPNDMMYDTHIECQAWNPKGTVISSFNPEGCELKKANCSDYIRQRNCI TNFAGQLCYWDDKLQKCMGENGDTDCSKRVYGDLTHQNCENFLQKCTIFDIGNRTCVSLS GMCNYNLEQKCVITKKWQPCKWDLKNRIVKMQFVVII >CAK77386 pep:novel supercontig:GCA_000165425.1:CT868272:2008:2652:-1 gene:GSPATT00039214001 transcript:CAK77386 MFILFLLISSQEISKKCICGHVFIQTQCENSGFCIWKNGVCFLNYGRAYISENQDESTCK NFAEEDCRIQKQCGFHQGNCISFVDCIVFNKDQCQQSSYRCVSDGKKCVEMLECNKYKTE LGCANKNQKGLYCFWVQEMENKCREVAVCEELPYYLVSHMMCKQGLDGCTVNEQGYGCIK QKDQCTKYIYDFQCFESYKQIIKLLLGFKKQQMC >CAK77387 pep:novel supercontig:GCA_000165425.1:CT868272:3683:4249:-1 gene:GSPATT00039215001 transcript:CAK77387 METIWFQDHCDSSKIYISNYQVKIFKFIQHAKSLIFQITKGQYCALSLLFVQRLFLTCQS LILYEKIRQNIQILTMQLHPLKQIFEEIIQTNPVVILLEPDVIIIIVWNIYFLLIVIFYV SLGLSFVEIVEMNWDITPFNLTERLFCIIMALILTPTFAYFVNSIVQIFQQMSKQSTQLK TNMNSLNT >CAK77388 pep:novel supercontig:GCA_000165425.1:CT868272:5232:6014:-1 gene:GSPATT00039216001 transcript:CAK77388 MFQTTRGNPPVSQNFSFFSLQHHKFLNIDFMKNLIDLKNNKLDYNYQNNQLQTTSSNYNN HIMIKQQIQEFLCTPTSIMHNNISIPIADYSQHIHYLDEQLQNSLIPLVNLEIAFDICSI IAGISTKMPESGTPQTTTLADNLDLIQSTQKQADILSIRRLLPVLPFIIHHLNLTTMIQL FNLLQNIIFHYCILQDQIYILDGLKILNKFYVFYHNNLGEIIFQLQQYSFAVWFSQTIHD KTFVFLNFQCFPRLSLIKIN >CAK55566 pep:novel supercontig:GCA_000165425.1:CT867985:2180:4210:-1 gene:GSPATT00000001001 transcript:CAK55566 MNLEQEDKVTKQIKINENNRIRIVGKQYFQISQEKIEYQLEYQNNRIQYFKDGQILLRDW IKGDFSDYEIIKDFNFLKYLIWDGQYDNQNKKIGKWTPYWNGDKLDAGGYYDEGGFKIGL WKEPYNMFRDLEQYYFFGEYKKGKRIGKWEYCYYSYSSKKKEQTFHFKAGGYYDNYGIKQ GKWIEFVDGFSFFQASLSDKKQKIVNIGEYKNGRKFGIWEMQFDEKLSISGTYDMNGQKN GIWSDIYDFSFGENRIIQTGNYKENVRQGEWQIKLQYDYKMWEEFFQEEIFGGVYDEDGL KVGKWIELHDNFLQCPAINVGIYEKGIKVGKWDIIDQDGGGQFDNFGQKLGDWIEVIHFD PVYICFSGTYTDGKRHGEWIYYGEETMYEFQHNQFSGGGKYDENGMKYGTWLEFQEYFNS SELLCKGTYINNKKVGSWNNQCQNYDLPQIIENLGEYDENELKNGFWVEPSVDFWVLNKI IFAGEYKNGIKVGNWEILQNQNSNNILRGGGDYNEQGRKHGLWIDIRHDFNMTKIKFIQG EYSNGKKIGEFTVIG >CAK55567 pep:novel supercontig:GCA_000165425.1:CT867985:6076:6213:1 gene:GSPATT00000002001 transcript:CAK55567 MEHSEEVPSGPVSQMDERGKAQMGCIEIQRGQEMRRGKKVKFKEK >CAK55568 pep:novel supercontig:GCA_000165425.1:CT867985:6312:7858:-1 gene:GSPATT00000003001 transcript:CAK55568 MKSQIIGIKQFNTMLIKNVITQKNVLSTFQCIQQNSQKSKVKIMKLLIIGILLYYKIKAN YIFIYKKLKYQKDKANFKKSQNVGIKGFYKICQILTFICIKLQHQKDMVINYKFQIVGKK VQNKTHITNYSTMKNQRHQKNSKDMKRSLRVGIMPYQIIEMSNGLFWKGFQLQLIKEKNK KSQIIGVQIIIIQRANIIFMSNQVRKCLTKANALAKISKFEEIILIWQLGIESNLYNQYN QYQFCLEKCKNKSFMVIGHALEKQNRFQEIIECWDYCIKKNETNFYYYYQKANALEKQWR NLEILDCWDEGVRKNQLNLSFYKAKMRTLEKMNKFQESIECIDQGIEQRSNKLIDLVLHL QLENQQAQTNFRYTQGSILQFYIEKEKALENQGRFLDIIKNWEEAISKINIDPQFYQNQS QYFFQKLADSLKQFQINRKALIYERFLQNQGSQKQLREKI >CAK55569 pep:novel supercontig:GCA_000165425.1:CT867985:8677:9456:-1 gene:GSPATT00000004001 transcript:CAK55569 MTCDIISFIYYQYKINQRNQYIGLKNSRNACQNYSNINYKILMKAIYKFSTIKPLIVSGP SGVGKGSLVTRLLQNSQSFVYSVSLTTRKPRPNEQNGVNYHFVNKDVFEENIKNNEFLEV CEVHGNLYGTAKNQINDIIKQNKIPLIEIDVQGAEKINRQLNNQCVNIFILPPSISILRE RLIGRKTETSDVVEKRIKNAETEIEKAKSFQFYHFIVNDNFETCYKELLEFVNKKYQNL >CAK55570 pep:novel supercontig:GCA_000165425.1:CT867985:9548:10841:-1 gene:GSPATT00000005001 transcript:CAK55570 MIFCILIYFAHAAINRKSLKELYNKYLAIDFDVESGSATGLLKEKNNMFYQLVYQKGFNE QTITKDNIFLIWLQGGPGCSSQSAFYELIGPFHIEKSDADFTIQKKDNSWNDFASILFID QPFGTGFSQGDIQINSTLQASGYFVEFMVEFFKVHPEFQQAQTYLTGFSYTGHFAPLFSN SLLNSDIKFNYQGIIIGNGLQSMLYQTSSISSYLYVNGYISDEFKKITKKEEYLLQSMIL KQQDKLAGSAFTSYFNKLENFAKTDVSNILLKQGEIRSNEWINFMSKYKDQFGITNTITQ VCNQQVKQQMEPDFSFDLTKIIEDLLNKGTVLFYNGQMDASINPAGTQNWLSTFKSDQIY QWKSAQKSIFKSNGRTVGNFKKTEKFTMVTIYNAGHAAVFDQPQVLYEMINHHLNKDEYW N >CAK55571 pep:novel supercontig:GCA_000165425.1:CT867985:11190:11487:1 gene:GSPATT00000006001 transcript:CAK55571 MADKGGAKGGAGEEGKEGEDKEPEKGCCDKYAECLVVTGKAVYSCCLAICTCIRECLAFI WYPCKERCCGCLDNCDKHYNQWKDEGFTDV >CAK55572 pep:novel supercontig:GCA_000165425.1:CT867985:11722:15705:-1 gene:GSPATT00000007001 transcript:CAK55572 MYKITQTQLLEWVKDGNAQTLAVYFRENLAGNQQGLQHFFKMIDNQKRNVVHWAAYFGQL ELVENWLQSYSQYIDLNKTDMHHYTPLELASIKGFMKEQDSLIQFSLIKLLIENKAVVRL DNPYQRATPLHWAYYYGNTELIKFLLSNYPDLQYQFDQFGMYPVDYLFLENRPQEYQRNY KGIFIATVVEYAQTVYNHQFKNLRNLNTQMTMTLRSQSLKSQGNDSRDRLQLKEPANEID SESEERTQNYLHAGLTTMTLMSKVRQTCTMSQKRDSLTSRLSQQFKQIKERMTKLPNKNI PNEIPFDDSQQELNEPEAEKIPTVKIMQPTNTIFIDPHKNYFDQSNKILNSKSDLESERN QQKNLVQSDEEIPRIFKSNNIYSRSELSRYQPSQCQQSCAPSQFGRQLSQEMQISQEDFR LSMKDAQQFRSMKPSLKKPGQKLFKRKSTTFNNEPYYIFHYTKSQNKRQLFECRLQFWST RIESIEFFTYFLKKGCNPFLIQYQGFNCLHIAANKGKYNILRFILENEYKYKELEELKEK QPYITEEELTKTQQSKVIFNKNNAFNMMTDLNPSNALHLAIEINNYECMKILVENGVSVD VLNHRCLKPFELTFDTKMVKFYENQYLKKNEQSFNFMGYMYVLQTKGSLDVNQDIVMLQL QNIRQSLQQNDMDFEFIVINTPNYNCLRNQQENKMKHHYYVLKLTANTIYKLADKYEIEC YHFTKKHLAKFKYRDYDHYEFPKPLQIQSLIVNTLNEEFDLDKFILEGLVISHFPLEDNS KQQKVDQLWKELQYNCIRDTIRFETHQFSLRPLNSIAAYYGPVIAWYISFNVQIVGWLII PALVGSAIQLYQLFADKVHASILPAYALFMSLWATLFMEKWKNRESEFKYIWDMHKFKQQ EPQRVMYTGEYVINQCTNKIGIYDYFTTFKRRLIVEFPVILLGISIIVVSFFAFNIWQSN QDPNNIYMPIIINSLNGVGMTVFCDLYKRLCKSVVNWENHKFDLAMQYSYVLKVFLFEFL ISYISVVYAVLFKSDQTQLTLSVASIIITRGLISNLTSNCMPFLFYKYLKWGLEGQFDKF QDYCKDFKICELQYVNDKLPLQDQIKFMQIMEDSHNKQPQKELYNEYTSIAIQFGYTTMF SPAFAAAPLFFLLNQYINLQFSIYNYQNVLKRERAQAADSIGIWLSIFEIMNYCSTFMNC IVIGIVNKSEFEKLIGDTDPLFQTLVLAAIEHILLLIKYILGAAIPDCPYWVSKELRKYA FLEGKSAKIQEDSQNTF >CAK55573 pep:novel supercontig:GCA_000165425.1:CT867985:15864:16787:1 gene:GSPATT00000008001 transcript:CAK55573 MKTLHFQDQTFIQPYYTPRGDSYRYDYHKQQTMQLLNKVKRHLQICDSTRYRCERRSPVD VKYLDNQLRDEVKDDYVEQNADQEFKILNGTCLKEMLAYNNIQDVRKSDEEYEFAQTMMP KQRRLKKLQSTILVTKLVRAKGIDKKSIQQIDKEKLEEFMQNHKDQIKADKKSKLHKLKL PNIPEASSRRFQRATITTFREFKQNSSEVDGSPLVQRQTSLTLISDTKIPIVRQGQSKKT IGLLIDRINEEKTAIIEQKKDIKTSIAKVQKHFDKIDIFGKLDRQEKFNYLKFEQRIFGK GKHKRYF >CAK55574 pep:novel supercontig:GCA_000165425.1:CT867985:17845:19798:-1 gene:GSPATT00000009001 transcript:CAK55574 MQHLSYPPDKKIQNYQFSFRAKLGKGAYGTVYAGRNTFDNKIVALKVIDKKLLLTDYANQ LIASEIEIMKKINDSHVVKLLDVLQSANNTYIITEYCNGGDLREFIKNRKYCIHTFRVIP EDEALKIMNDLLLGIKALLKIGIIHRDIKPANILIHDNQFKITDFGFAKQIDANLDTIMN SLVGTPLYMSPQILKRTKYSSKCDVWSLGLILYEMLYGMTPWHSQNLVELMNKLDSKPLS FPVHPQVSENTKKLIKGCLQINEEKRWSWEDLFNSVNINLKTIDNQQESPTSTVKEDTQI STQRENQNYNQSKYSIQMLRIKQRTESLCQQINKHNRSLSNTAFLTNDGAKRYENKTPIN EKQKQITSYLDLKEKLKQTQGKVERERSNSQNSKFPTQRSELNNYLEKIHNVQQKLNSNN DSCRTPSALQSHSTNTSNKQNYDLDLVKVSEFQLDDNKGSDYFTMFRNPKTERVKRTNSL SGNFGYQQQHSPFQGKSSIQQLIKALSNQLELIPNHVNCKVEINTMVILHKDLINYTQNK QIYTNQDLRDLKQLVNNLISYLNSNSGEHSSKQSQVLLLLLLTYHKVLIFNIKNKSLNID VCLIESIKKNIQIQQNQMNIESKLIREQIHQLM >CAK55575 pep:novel supercontig:GCA_000165425.1:CT867985:19897:21533:1 gene:GSPATT00000010001 transcript:CAK55575 MTRVNQSFSSIVLKYFREITNINEIHNIFLLIQLYIQWQSISIIFGKTSLQLLWGLLLFY RIWLVWPGRGRIKVRTHAFKDRLLSCQVKIQGKEKYFHSEFMFNLHKNSNLEYVYTFVNI ANSHQHLISFRVCSVLLTSYVLIIIIEFCQIINYLLAGLQVFEDADIYGQTTFVTQLNLI LQFLCFCGFAIILFTWQINNKDRFVIMHEDTSCWLMFILRGIVLMLFSVFVFFMPQDKKL LQTIVSEYKYKKQLLGNDEETQIRQQLLTNESTFTFCQPDIEKQSQVTDIEVKSFQDNKD LNSQRKYIIYEITFKYQNKHKIVFRSFSDFLTFHRDLALTYPQIQLPHFPQMTKYLTPQD IENRREQLGVFLQRISSQFNGNDILLDFLNATGKCFQYKPKIPLPQQQQQRPKKIATQQQ YTKAKSIQSLIPETIWEGQNDEDEESIEVDTRKTKKASTYVKMHFGEEEPQYQRFNSAND LDEDQRTFDFEKPSDDQIGYDQFQDDDHDHSFEEEEKHSSQNMMIMQ >CAK55576 pep:novel supercontig:GCA_000165425.1:CT867985:21590:22235:1 gene:GSPATT00000011001 transcript:CAK55576 MRGKPQAQVAQKQQPQKQGNARKPQERPGLTDDEIDEIREAFNLFDTEGTGRVDPRELKA AMQSLGFDQKNPTIFNMIAELENEGTDVDFDQFLDAITSKLGNRESKDGINKIFDLFDDD GSNSINLNNLKRVSKELGETMTAEELAEMLERAASNGREITREDFYNIMVKRAF >CAK55577 pep:novel supercontig:GCA_000165425.1:CT867985:22236:24478:1 gene:GSPATT00000012001 transcript:CAK55577 MIKLEAHTFHNKNVSQPMLIQDKSPIDIVRQKKIIFRREQINDDSNFFIQTEDSQEIISI KPDNPDFVMNHFTKIALSDDISDFQALEFHDRAAKLLEFYTLNQIQVQYTSVLFSKINYA IMLKRYAAYEESLGLLLECEQILKIKGKTLKKGTFDAIQMAKIIQNKLLRLRVMFQITLL FSETKRNKQALDIAKDAVKVLRSILQSTIKLCQQITLFNNVNKKQRANSEINSPNFKNSI SLQCQPQQQSQPQNLSYPQIVEIVFKEILNSIRSVIPYDDKSNEGGSQNNLLVRNHQNRS QSLFTTEQIFQTQANNQQNKLFSVIDDQHPLLQMQILGLMQLTYVDLEELFPINSYEMVI AEEVILELIMLTGLSFYSISTELRFLNLQQNKMNIEIWLGKALEIFYTFVPHSSSIFTQI YQVYRKLYGVDKQSIPEDEEVEYHTKLLKPHAFNNRATLSNQLVIVVKVPILHKSTQDPH KMPQQTNATITKLQQTLTAKKQILYPQKHSVTQQEAEKKFETQQEELVISPKQQQQLFIK KYKILQPQLDIKQRVDILMNQILTQQSKLTQEKLKQKHTPITTLKQKYHVSTKIYDEPKQ VTLTNSQQLKTAIPVSRSLSNSRKASQVHLKGQNNNSAKTAQLRYRNQINTLPGDEPSKQ IAQQISTQVASLNRQSNVFCLFIQKYFLLKRTDSAKKSKIPFQQR >CAK55578 pep:novel supercontig:GCA_000165425.1:CT867985:24960:27260:-1 gene:GSPATT00000013001 transcript:CAK55578 MSEQDDMFDLECQHNWYSQEFDVEYPESEKQQLERIKDKCNMNLLKRQLVCLFGFPTIQV DSTQIFAYLNGIMEQNEIEQKRMIRVLISFIGEVTSMEDQETRLQTIRGRVEQFLTIKGI KIEWSNKEWEQEIESLFESRYCNPYYSKIPYTQFEYANFFALLESPMPMFLRSLRHPCFC QVFDAKSTEDFKQLCNITDLKNVRRIRKYIQSIIDKLEEFRNLLIVIESRDYFNVTEVID SFNQLSGELDKIINIDLLLSDKYSSKQEERIRYHNDVDNQTEKNSISYLETKTSAKTFTT INQGSLDYRSKKQKKKQKQTEKCYFPSTFLNYIDSESNLSQENSQNPESKCEDQLFLQDK FGIDTDIHKQLAIPCQYIDDITRKIEIYEKQQLSLQLIGFPCPILDQNRLKQILSSYNSI QTNKQTLIEQVICSIWESLTIGQIECNAIDLVKKQAFLQFQSLNLSVDQHEFENVLCNRY KKQATLPYNKNFEIAPFSLEKLSKLVNRKFPDQYTINNCLTSITVFQSKSIQPLVSSFNR QEIKKIRFIRTYINDMYQSLESLVQFRNKLLKARQNKQLDQQLVQEFNKLELNHLNTIVN RKIPQQYDQNQYCIIIDEKDNFDEQCNQNHKINPNAIEIENDIFLDEDSIKQEEKKEQNT QKSQKEEQDINLKFEPLTGAEQANQEAIEQQDIKYQNFIQEDLISPVQRNYFHEYQSPNF FNSPCFDQGSPLGKQQMFFGYCEFDQQEIESPDRQILQFQTPHNSN >CAK55579 pep:novel supercontig:GCA_000165425.1:CT867985:27650:29171:-1 gene:GSPATT00000014001 transcript:CAK55579 MKSNGGQKSNNTLGSRNSRKIKRMRLRSNKQQGQNDMKRKMAGNNSTQSVKIIKTKSLQR NRNQQKNISIQPKIEQPQESQTKDKQKQSQDTKVNEKSKRNKQTTKQKRQSSKDKEQERI DTQMEDQINQKLKFYNAKFTVLTEIGIPTINLHYDRIKQFIKQYQNEIKLNDESVISKLI GAIGEAYVESDNEVTLNVESKKVMIQKQITEYLEDCGIKLDWTQERWDKIIQSTLFEIPY FYMPNVNMRRDLEGKEKYQIILETLNLFTQINKWSKMQMQCRFCQNFPLQTKESIDIREL VDENELHNVRLIREYLSRIMNALNKIQQLQVQRQTRDELHLTANQLQDLSVEYYLNKMKV LQLKPVILQYVTNQPTEKHTQKDEISQDLILDKSSIKQNDQNDQSQDGQVNMQDQLQQKK TIQIKVLKSKTLLEYFKPAKQLSQNDDNSQNGVESKEKDEKLMNQSSENKPQTQYFDILE NFFQSKLGKQTKVQDQKK >CAK55580 pep:novel supercontig:GCA_000165425.1:CT867985:29253:31636:1 gene:GSPATT00000015001 transcript:CAK55580 MSKLKEFGFFEIFLNPIRYNQYKFFTWNRDLNVNDYLQCINLVTLKKQGVMILKILIILI PIGGFQMQKYKGDCILVLNIIYQCSGSRISKNWNCQAQVRSIPRSIECSTSKQIKYMLQR RLKATNLEKLNEYKEEAVQLSKVQNCGNVIRFYGYYFAETLYNTFRLGIITEYMDHNMNL ENLYRKRKKPNQFWKENELVTMLFSIISTCSYLQQKGICHRDIKPANLFMIPNGELKLID FGESKDYFFDLDNEAKNTYTMATIRGTPQYLSPILWKAHVIDGNSRYVEHNIYKSDVFST GLVIHQMSAMQEVTGFNNTQSNEGEQLILQSLAQLEQKYSPAYVSIIKLMLIFEEAQRPS YVEIEQLFLEHEEKYQSGKIQENVAINEYILLYNQHYNTMLKRGPPYRTSQEAEVQFKNV ITQHMKNAGSSPMIEQSQKSKQPLREQPSSQKQQQITQQQQSQPVQVIKQPVVSQSAFYR DSTLILNDSCLWFEFGGKTISKFSTSKQKWRQIAKLDVELDRQFTTLFVPDKKAFYLIGG FQETNFRVYQNDKLELMKHQIPMNRFFCSCLYYNHKIYCIGGYDEQHKIQLSSVLYYDLI SEKWVQLADLKQPKSQAAPCRINDNEIVLFGGYNKEEGTLDTIERYLINENRWEKCNLKL PLPLRRFMAVRIKKNLALLIGGLTMYAKESQKVLKVDWEKKEIIECEPLEKGGIVESEVL LDTEGNLHIFLENANGTSPYAHIKYYFDPNATKYETKAD >CAK55581 pep:novel supercontig:GCA_000165425.1:CT867985:31666:32379:-1 gene:GSPATT00000016001 transcript:CAK55581 MILQLINYPVEGTVEQDSSKSPKRRGILIKHFRYPMKIQTNNLIYPLRSPKIQSSKSSTR QNEITWFSDCNQIREHNSTFYQTNSFKPFFKLGSEQKNRFAIIKKVFKKEDEKDCQFLER KLSLLKFNFKEKEKEKEKEKEKEESQKISIDYSQIKIPQTAQSTRKRQFQNSNKPQIFQN KINRRFNSDTDLQDLKPNRKQIISYSIRPNTHNYDRDHQEFAQSILNQYLKKSLQDQ >CAK55582 pep:novel supercontig:GCA_000165425.1:CT867985:32526:34461:-1 gene:GSPATT00000017001 transcript:CAK55582 MHQQLPPEQLDRLICLEARIHKKSKEEIIQEQYLQTEKNKQNDENDNNQPNTDSNKKPED LKCSNQSEISPDNIVISQVMSPVHKKTNYVSKNSQQKKKKKTKETQQKDITQYLQAQKKQ LKVTKGEQKLIEYTIQDSPFKNKTTIPNDHAIEQQLKEKQNKIVIQEIRIKELETLNCEL KETISTLTDQHSKMQEVLKLLAIDNEKLKKQQLQIQIKNNRFRLGEFNLQREKINVIDVW IEGQEIKDAREQAKNLENTKQDLENKKKNPKNKDEAEIRAINVKLNFIAKEEQLIQEKID RLETEKVLHIKQMKRLYEEEHARFTKNNDYPLIGSRYQILSLLGKGGFSEVYKAFDLQDF KEVACKIHQLNSNWSDHAKQNYIRHAIRENGVHKELNHQNIVKLYDSVEIDKTSFCTVLE LCDGPDLSYYIKRYKSFPEKEAKLLIFQIISAIKYLNNHKNKIIHYDLKPQNILFHQNDL KISDFGLCKVLEDDNSKLQLTSQGVGTYWYLPPECFHMGDQPPSISSKVDIWSIGVIFFE MLFGQKPFGQGLSQEKILKEQIIIKSQTVTFPQKPVISNECKEFIRGCLAYNQVDRLDVH QAFNHPYFLKKQY >CAK55583 pep:novel supercontig:GCA_000165425.1:CT867985:34604:35053:-1 gene:GSPATT00000018001 transcript:CAK55583 MKIDYQINYSILMSFDHLNDTNITNQSEKEHVNEFYDYQIRNMYDKEKYINPHDIAGQPG LVTSQAVELEKKKVQFRMANEIYLRKHPELSVMLSIFLFRVLEEKPDDVLMYAGKFFDQQ YFDIYCREHLEEVVLIQKKHYLENGNATA >CAK55584 pep:novel supercontig:GCA_000165425.1:CT867985:35671:38259:1 gene:GSPATT00000019001 transcript:CAK55584 MKQTETSSLETTIDEPRAGKRKIENAYFLIQTEMKYNPNLYAPIAILLGICLLFNRKKNE TTQAYVGYACIIGGFSWFAYSSKALLLMMYQKFIQEEVNIQIQQQTKSIQKNQSKKKQQN KNENLVKTPPQQQTTQEPEMPQQSKENEVQKVVVKKGSQKLANQEKQTKPKEEVAQNKVK NTNIPQAQSTQAKKENKQQKIKQPLLVQQDNDDNDDEWVEVGNKKKQVIRPQKKEEEVQV QQQNQQPQKNQVIQQQPSKPSVQTNKQDPPKVESTESSKNQSRKEKKQKQKVEEPVEEDD DEWDTVEKKQPKKKDRAQGDEQTDYNNQQNSRGLFTKDEYRKLKDYQKQIEEAYKQRIQQ IDDIHHLKKISKLTQYEIKNADQVLNTQNVVSIPEIDLKIPDVQPKQYSREEIDKLVQAK MPKPKNVVSNDVFVEKSEPIQVQPKKSQQADPIVLKSKLTLFKPLQQEQKQKEQLIYTDP SISFIVNKDKQRPFFSGQIELPANTTGKDLFGRVKKYRRPTQVKKLSEIKQSNQQFIADG NDNFEPILQDLGKAVLPGYKTLDEEGRIKLIRDSVQVQYRQDAENLLEEETVELILRGSR EIIHQEYIQLVNKFRELRRKSLQERKYEEYISIIKEMDEEIYQLSTRGTHIIMQSLNISE EDYHKSFLFYSQQPEFVSQLAFFDQNLKEILPAKFALNKKQGIELIRFKIDVIEHHESNQ QFNSVMKIFHSQEDGDNKEIMAILLNSLIDDIIYQKYGYEEEDKIRFMKQFDKDSEIQGL QMRLEHLVEKVQGAQQVEY >CAK55585 pep:novel supercontig:GCA_000165425.1:CT867985:38401:47225:1 gene:GSPATT00000020001 transcript:CAK55585 MDKSRTIVYVIRYLVTADGYTKNKILLKKKEDVLKYSSISSCLFKIYPTFYNNEYQKALK VKEEKGKIKKFSIIEMRNAQLEIPKNIKAMEDETTLNHEQYEKFKGSPVVFGQTIQLLHI NSNKYLYYDSNHVSEIESNNLKLSLIDEHSDETCYRITPCYTFQQEGSGNIYHEDIVHIV STVDQTKKIGGEPYLHASRPKIFDTKSSAQKEKREVNISTEKKTPWKVNIFAEYLDEREG FLSIQDTVWINYSEQDVILLILFTIAQPHCHQRLNQKSELHIFFEQCTQSANYTKFVGNS NGLFTIESESMFQGGLVKWDTPMISSSYRLRHLTTKKYLKVVEEKKETEDKSRFLLTSVS DYQKASLFHFELIFSTLTTYNREKAQLYLQKDSYFRASTYVGQDQVWLRTESIQNEEENK DISKEKKKSVPAFNKVKKEEYVFKIAKANFNEVRMTNFLLSCLKTIKGAQQYMDVSFLSA DIKDEKFQKSYKGFVAHMQRLSKCLSELQLFCHNKLIAYLYIDQEFGQINHFIQNVLREQ QFIELLVKILCVSFPDVSTLDTLRSIEKSESMDKTKKRVVDESSKNRDSQIKKEYYNSKK KICSLIYQLLDCICQQNIINQEQASRYIPIFAQQSVYIDDGLKCIVQIIRDHEDLLLKIH EQVNEDDETHQLRQLEQEIVMANHSNTFQQQLTIEDYRRLYDQIIKKILNEDHFQLRSKW DLLQFYTNLLKEKTTSAEKKRDIISFLQFASTHRAKGLNVNQEGIYRCLIDAYDRDRVFK DEVLIKFERQQKIIKIFVKGEEKNFVEFFTKTDKKKQHFQEADGDEIGKSKQLNNFLKKQ TVKTPSQELKPKLILSLVTEQLEFYISMCNTRNNTWKRFVEQFLPFDVLIDHLKMGDIGY DMRSIICKLMNKVYLDQEPRREIIFPELCKVVITDQDNVQGILEEEEGGLKIYQDYKDGL YQFIKECGLNVDKMSSNWDIKFGEAKQRETLKEISIQCPETYNRLTLEMIRSLKLLITFG QYRIQLCNTPEETTQKNENFFSIIKYLFTILEFDPKYPESRKILQIKREEDKSKGANQGT QQIFGNALSLLGKIGSLINVFSLIEDKDGGKDKKRKQKNIQFESGPLNMNESFLKQADVL KKILIKFLQESSEADQQIKLEICDILNQYLDQKMDYCLNQFKQKFKDYVTKHLKIMARLE EGSLNLTVEADRKTIEQEFQRAIKNQIKALIPSILKTGTDADKRGQPKSENFNLGFGLDL AQLAGGVEVLDQSKEIDAIDICTILGFEIFPTLINLFCMSQSLDMQTKILNILTRFYNQR EEFADLVSKILLLFDSQNIKIFSDLKKKMRQLAKNIDESETWIKDPTLPDNEDILTQTIK YFEFINNLLYKDSTLEDDNVSVTQRILIDEFRQSIMRNLKVHDMCVQLITDCIGVTERQF EGRTRKLIYELFGNIFLLLKNFTLNNSTNQLLLSEHLNKLQMKMELNLGQLDLINEIFRD NKRICIEKPGTVIDNIRKLIKTNGRQSRFLEFFILIQKVKKEFILSNQKGVLNMLLDPAY KSSIFWLKDRQAQVSDKNQAQASIKHEFDFTPAKNDNPNYRDEPYSYHCTLIEVLYTCAI GKEGMLQNESRLRTIISLKYALEILCAPDGMTDDDIHVVNPRYDKYQVSQLKIPLLKYIY YTYLESEKIAEEIFKCINDIQDYVNAEKIRIEKFTKLPVSEQFQEYFFTYYFMCINGFLR LYQKEQNDQNLVHQNQAQVHQEIENLFASVKKLRDLVENHEELNNLKLSQQQMDLRLYFL CDNIVEEEILQKQKKEEEEKQQALKLEEQIQEEKRKNKKKQKTFNLGLNLINLDFNKQKN KPADFRTDDAWKQLWQIFMQTFLNSKELLEEIKKEQKIFSEALEKVESIYSKDPLIQDHI NKTEIFKKLITFLSKGHNQPGCEQTIKQVLRALSNYIIISEDTETTEQDEEKQAEMRQQV REDRQNFLNNNNAMATILVILSDYKDINRYNLLYNNLLSFGIEMMEGGNREVQKSVYNFF VNFTSSEVFFLRLHERFEDQKAELKKEDEDEDDIKYNFQDEPEETQNTLRFLQLLVEGHY TELQNYMRFQQMNYHTYDLFTDVVELLGEYLNVKKTKYFYCMIQCFDTITEYVQGPCFEN QQALFQANFLDMATNLLGFDERIEEINLRQKKKTLDESQLDPNTERKKNEVFPRWMYARL KYKCSITLLSLLEGRKSNDVIIRLAKSFNLEMLKVNIIDIYDMYKSLYQDHYTAEIFSHF SMEPETESKETEEGKKSADMASFIIETGFNLFVLYSTFLEVKDPTDGGGQKEGEKGDDIM EQFKANIVGEVVFLAINIVRGVKDFFLSFAEKLNQAVRRDTNEIDYDQLKKQIQDSRSKL FKESIKFFSNNSAHIEVLREDKMLEKTYFYLLPYCKSLDKPTKTQFNQEAKRISVKAKVT SLLQESPDLIKKMKLTYQIQSYLNKIKVLALIVSKIQLYRDITFILALTINVMILFVFHK EVKQCDPTSDPAEYQACLDDYVYEEDLQDQDLQLQGVIQTLGIIVIVLSMLIVLFFLAQT APLIIKKAWMGWENDNFNIIMYIRRLLMTVVYLVTDFYVLYYLSYGFTAFLGTLYHPFFF AFHLFDVLVRYPVLLNVVKAVWEPRKSILFTLFLFIVLMYVFSLVAYYWLYESYPANFCY STFQCLLTAVDRSFKSDGGLGGFLTPSTDVKPNDDGYFFLRFFFDNIYFILLMIIMINIV SGIIIDTFGTLREELNVYQLDLQNICFICGFDKETIEKSSKNQLGFTYHIKQEHYMWNYL FYIAYLKDKDSTEYSGIESYVMDKIKNEDTSWFPTFKALCMNDDQEGQEQGQMMNELKQI QDDQERLQELLDNINQQLEKIEEDQKQGNQ >CAK55586 pep:novel supercontig:GCA_000165425.1:CT867985:47255:47793:1 gene:GSPATT00000021001 transcript:CAK55586 MFTGGAFIQSLHEIKESCPPLQHLNYSHKKSFKQSLDSITQKIPIVKSIHKLRQEQEQKI FQNIQKVRRMNLMHEINKVNPKLHHELKLIPSELLTIKYVEFKQQSQENHIEVQSEISYN KYAQQQQRFEHLGSGYKIFHQKPYIRNIMDDYEEEGSNHSDSYINKYLDLN >CAK55587 pep:novel supercontig:GCA_000165425.1:CT867985:48767:49649:1 gene:GSPATT00000022001 transcript:CAK55587 MRPNLGENLGLGPTFSKSQRISCLLASNWAVSIDPKGYYMSEKLDGMRMIWTGMEMFTRN GYSLKFPSYFIEGWPTTYLDGELWINRGTFNKVLTSVRKRTADPNAWKIIKYMVFDAPFL QEPFSDRYAKLKKAIEKIKNPHLVYVPHKICRGKEHLAEELAEVQNIGGEGLMLRDPDAL YDGIRSKSLLKVKSSLDAEAVIIDYVQDTHMKKENVLGGFLVRNDEGQEFEVGLGLKIGI KKQRPPIGTKITYKYCGLAENGYPKFPEFVRIYPEDI >CAK55588 pep:novel supercontig:GCA_000165425.1:CT867985:49979:51281:1 gene:GSPATT00000023001 transcript:CAK55588 MFQYTHMQLIIFYYCFNAMVMLFLMILKIKQILTINFRFKLDVGNSTFDILISTIIKTII LIHFRVRELEIQYLGYVVLAYYILRVFIIVLQKIILNVSTNRFRIYIQGLKVLLAFQLML ITIKWKEMVDWNWFVVFSVIWSLLVIFFIFHLILILSTIETTHEYFVQKASKSQLIGGVW LIIYFCGFSGIPSWFCYIICNDQETVDYPTKEASLTLGILIILQSFLVVIISTVFKQHIK NYILDIGFEEENQSLNVKDAKQQPNINIIQKPSLPKKLIQVSSTYFEYFQSASQQSKQNQ NITNSNYSIMNQRAKLKAFDFVVRNDQLQSPEKESNQIQYEQKCQVCYTQEPIIVMLPCR HGGICYECLRQWLQKSPNCYICRQKINQICKVHKDDSGNFTVKDITVCYW >CAK55589 pep:novel supercontig:GCA_000165425.1:CT867985:51417:52007:-1 gene:GSPATT00000024001 transcript:CAK55589 MISLLLVITSVYCLQFGYEAEKGQQICFTDNFSKEDVFDIKISANSSNYGLKIAEANSQS IDSLYDNKGSWEHNYQYQSTSTTAHIRYCLTNFDNDFIVFNITYKTGSELANMGKVAKIQ DLNQMGGIINKLNTQLDDIKRERSFLIEKFDYMSKLQGSISKKQMGFGLLCLGLSMIITV VTVNMIKRILRLKKES >CAK55590 pep:novel supercontig:GCA_000165425.1:CT867985:52088:55757:-1 gene:GSPATT00000025001 transcript:CAK55590 MQEPNIAVMHQRHQQTPKYHSPESHLDNFVNLKTVEPPKTLKKKKLTQGLQGKPKPQAQQ NKKPAQQSMSVKKSTKRTVSPKKKKAHTVDVKKQHKVIKPSQQQRREELGLGKLNEKQIK QRIKKIKSKTKTVEPLLKKKIYQPNHEIQHYMTQKKAIDNTTSMQQQLISLLEKQRKLEN LAKLNESVKEIFKKCKTLENSQPQTPKVTMKKKKNDDPMDIEQRKQQPKSRENRAKQEPK NHQNKDQLKKQYDNLNQRYHQLKNPEPNQGGNDQYIEEIEDEEQEDQYSQISENQFTQMI VDTLSKIPPTKFLLEKIQEILKSQNDLDPNELTDQDYLVLQYHFMNEAATKLQSVWRGFS IRMQLLHELQEMLEQQHDDEASDQEEEPDEEIETPEYIPPKVLIKNDKNVGQQQQQMKDQ QQQQKKQIQEVVQQQNKIKPYQLQQQQQQQQQQQQQQQQQKQQQLQQQQQQKLQQQQQLQ QQQQQQQQQQQQQQQQQKDLPVQIKNRFDKNLSDQTEDLVIIQQPNSPANQEMYFYNPFG QNIQQSLEKQIHYSNIKSSVVSEEDQKSALSHSVIQQIQQELELWNSQIENIIKQGPEKQ AFANVKQQMSQTILNIVSQHMKKQKEIKTHERRDSEQSVDMRIRSQLEANNEKTFNDARK RLQKNHECSDERLQLSSKENDVNRSSLMSLQSQLMKKETELLNMREEAINLRYKAELKKM QEDPIKKQELENWLEKEKEDLRNTKQAIEISRKREAKAIQKIQRDLQVVQTYDENNPKLQ SLKKQVDEQLCQSSTIKSQKQIGSDIKIINHFDVEQHDDLDEMPETFSEDLQNENLDQRN LMRQNIIESDKQIEIYQTLSAITNDILDEIVQNLTEELLLNKDHFEFIISNLISQSVIPQ LPTSIKDIKAYIKQLFSYVLEHHKEELIRNLNIPYGFTPFKRMQLIHGYDNDGEEAEDEF HQNEDDSQIAFPLDERLFQEFESYRLQLENDPSDNAQFGMKELEHIHNKAVFDACNEALN YERPNFLNSGLPYPWENKQEKLVIRSEDLDIILKNMEAKVINWSGTLGGFLPIEDDSQIP EEKQEILDEKNQQMMIQQLHQLENPLLFDSQLSQQMFDNVAQIRDERLYKMLIQDIKESE FRWYLFEDDRTEFLLELGDIIFEQLVEEMASEQLQL >CAK55591 pep:novel supercontig:GCA_000165425.1:CT867985:56140:56393:1 gene:GSPATT00000026001 transcript:CAK55591 MQSCINRENLPNKLCAVEGLDFLECVNRRKQYALNYKIQQELHKNSILILPTYDVENDKF ISKYQNVTEVFQKAH >CAK55592 pep:novel supercontig:GCA_000165425.1:CT867985:57022:58660:1 gene:GSPATT00000027001 transcript:CAK55592 MNTIYLPKRVKLLQSPKTNNESQLQTTRSVISANQDVPKVIVRCEDQDGVPKDMRLKNWN DVFGDFLSESLLRKKQTDMRRNTIATIDRAYLHEVPLKIYNALLNSPSIRSNFTKFILGE ISAQEFVKRKNQYQKLDKLIPSANQKQKRPKINLSYRRSAVTDEGNHEIGFLKLLNEKNE EENKKQKAQQRFEMIRNVIVEKIEKREEEDLVKRSRDLKNVGMIKQMYDISDTYIQDLQK KTMEKDMPLSKRNVFKGNSVNIIQHQNPYQLANARSHENPNKIKYEPLPEKNVIRHLVDK RAKEYMEELQLKDYIENEKTKKKIYMNCQYQQNVLIKKKKNQFSSPISSDQSDSKIKIAH PSKQKEQKNKQVIKNNLINNDLELIKYITNYPKYDPFNDKEFQETIQKELLQAGGLASEK KIRILKKPYLKKANSKNVTTQQMDGNTFDSRSENDESFNSMYEFNVDNLYDQSIKLQKKL LEKQYTDGFSKTIRAIQKSQQLKKYRFYNYPSEIIQKNLKKLEGLPLK >CAK55593 pep:novel supercontig:GCA_000165425.1:CT867985:58937:63245:1 gene:GSPATT00000028001 transcript:CAK55593 MVFSISYKQEIEELLLSQEHIIWMQQKEIDDLKKRLKQLESLDIQNEQILHFQNINVQLK TQIEFLKSQLQEKDALIAQFTLKPLSSPSTEMLAAQQQLIQQNLVLQNIIGDLQNQILNK DGVISQLKTQESSLDNLIGQLSANIQIKRNQVGSAQKQKDIQNALNELQSYPEALQEVVQ RIIQEYKRISFLMDEKDIEINNLRARLQMQQQKANDSIQEIIKRNPSSFEESRANELIQQ NATMEDAISDLERRLISQESRKKELQQKLIDVGTEILQLEEQKEKANQFNIFDEFNKKQS SQQQPNLAEITFQQQTKDQTFQLQCAPSEQQIDELVNQNQQLDDLIVELQRKLVEKQDHQ EEIQLEIDKIDVISLMQELQKLNQQCDSLKKDIEEKGNLLKDLEKQNIELSTISGELKQS IKLKQDCIDQLQKEEQEKLDLQDSLLKNKEYYGEIQQKQQQIEELKLQKENLQNQLKNAL NSMDDQTTKVQKQNQLQNEKNQKAELADDIVKQILELDELIQNLEVKLEEKKESESQLQK ELKDINVKLQAIQESNLKAQTQRNQLEQDFNQQKLSGEKLMDNIHELLVEIKNNQTELDK LKQQETEQQNIIKELKLNIQKQSEEENQLRQCLQNFNTQNNSIQILEQNIEENKKILFNL KVENNLLQQSVDEVQQVHQKLLSKKESMENEISQQTVILNNLEQHLETLLSEIKSQEGLK QEKEQQLKQLKAQIESIIIVDQVVLLQEKLESQREQNALIEEIERLKQRFENIKQQQQNI ELLIDNQMNLNQELSDDLLKFKSTGNQLESELHSLTAQQEEMKFQIKEKSSQLENLNEQF TKIDEEGQMMEAVLTLKQKELKQIQQKKENFTKELDQITQEIFDLKKEIALRRKYQQQQN RVDLNQNEDDLEDEIQGKLNVLNTKNNDLKDDLQKLVELSPVPQEQKQNDDSAQISQFNE QQAQKVLKDRDKRIEILELTIRTLQQQNTEKYSMFPSGVSKISQQQTFFLEDDPTLVELQ EKFTRESQLLQDLQNKLKKTAERHYDLSLKLQEWAEKENRLANELDARTQSVEKMEQELQ NVFNKQTDLESKQITIIERILILESTNQQLLEQEAMTKNKLKEKKDYIQVLCKNLVEMDE KLLQLRNRMALYSQEGRQLAELVGNQENERENQMSHLQAIKEELEQIELEKKEKISLIQQ IKKEISDNSQDKERLELEFITIYSKNSQLKAQIGFEEVQYQKLLQEFEILKKKDQIKYQN LYRDGSTQIEYEQDQFESLINEMQFSKITKDEVLFLKKNCQQNLQMLTQSDGNQQYFNNN HILKKILHLQQLLIKCQENENQILIEQNSRRSSILGFRDEEQAQNQTFVLEQIDQFQCKT TIVEIHINEQCLIIESNNNKEQQKFLIIIQKTFIIKDGQIMDFRQTI >CAK55594 pep:novel supercontig:GCA_000165425.1:CT867985:63447:65088:-1 gene:GSPATT00000029001 transcript:CAK55594 MGICKSKLRPHESKLSVVRRFSKLNIHQFYRFTQILGSGSFGTVKLAFSLEQERNGLQSK CYAVKSIDKRRIGQRLHLIQRELEILVQLDHPNIIRVYETYEDMRYYHFVMEYCRGGELF ERIVRKGVFSERKCSILMKQLFSAVHYMHQQGVTHRDLKPENLMLASPDDDLDIRIIDFG LSKKYPPPQSANQGRSQVRTQTKVGTPIYVAPEVLQGKYSQTCDEWSLGCIMYVLLCGEP PFFSNNLKVLEEKIQNKDIQFNENSWQNISKEAKMLVIRLLDKNPKKRITCAQALSSPWI LNQASAPPSRMESIPNNEQENEKAIQLLKTYGNISKLKKETLNILLNQLNETQIQSLRQQ FEEFDKDNSGTISVKEMTQIMKKLGLNDTEKEMSELIKKLKFSNKNDGNLYGDLTIHYSE FMMALLNQQQYLNEERLWGLFKQFDIDNKNYITSLDVRRAFQRRGKQLSTVKVDTMFNEI RLNSQDKIDFQRFHDMMLADSLKTQDEDMQIPNISKMSTNNPQNLPYLNQPIGDFNFDI >CAK55595 pep:novel supercontig:GCA_000165425.1:CT867985:65469:68196:-1 gene:GSPATT00000030001 transcript:CAK55595 MLLWLNGMTNPSIKHPMETMMDEYHANPSNIIPLLAEDEFFIQAKNLNLKVIDFIRQHQK SIAEQLLYLIVQQIDEVTEKNHDNVVIFPILSIEFFELDLIPLTQMILQETAIKTLFEGL HKTPDQIHEPQWSNIQRLFALLLSKEPKQVCQTIFKFDLLKLFLNHLESATVNSILIQLF NPENGNYEDAKLEVLELGFRGFNVMEEMSVLNFTYLIHEIMTRYFSSDLCHKLVQFIVSK QIILQMFKCLRENHDKLFLSKSSAHILSLISNYYSLQMQNINIYEENIPKKIIEENSEIA YHQKLTLDFEKTDFMNTFTQEMNLFVESFNQVLENDNKRLRTLRLKLLEIFDNLTRISNI TLWKQMNQLKLFNQIVQIYFKYKNSDIFHSIFEKLLLYILNRAISDFHPYWCTILIEDIN IYTLISEKITMKTPIPGYIYLLANHLTWFQKELNDKLIIDGPLAKYYQQLKHIEEVILLN DQWRRVSPIFNITFQKNTVKLGSSQPGSASNIPVSSEPIIHYGNEQHLNNSNAQCLFNKV KNNQTNIASISGDEPPEFESPDKYEERVQNPQVDEYQYEPRKSPEKLNVQESPKKDEFVK VPSDPSQTQIPQTQEQRKETDYKFVEGVYLQSTLPTKPQQADQQDVQNPSAPVFSNWQEV TPERNHNDKKIDMMSISLQDFVKPMDRFKFSSGGKMDQEQNEKLISPNKKVQDIIKKYSN MSKSVTFGQANMGNTKQTDNLSLIRKKSMESSLRLKQLDQVQNEEFKILKTNKQDSKILT SDNKRILMVDELDKDQDVSSLRNSLVNLSNISFSSTSSKKRTIKFKKPKQAQSNGQEKDL NRSIPEELQTNKNIVFSLQSSNSLRDSFVSSQSSITEEKKFRQANKKDIKFLNYIRNIK >CAK55596 pep:novel supercontig:GCA_000165425.1:CT867985:68216:69048:-1 gene:GSPATT00000031001 transcript:CAK55596 MVSTFEEQLDIEYEGFHFVNVITSDDLVYHFTNQKYQKIDGSEQLLSYQYLTLQGSKISA LMDDTNADNVPEQLNFDFQLFTDADKIKQVTILLPLRCYFASLHFKFDCYLYFQESEIIS GQGLSQLQIAGEVQFYQQEPLPSSNFDIDYYSEIIVDDNLSNQWMGEMLSQIYTRTYSVR PRYISRKIGGNSEKQLRVSIKLDNIKLQLIQRWVSLLAIMKLAWLQYFSVFIIIWIIGRE FLIFLFRFRIVDTCKVEQL >CAK55597 pep:novel supercontig:GCA_000165425.1:CT867985:69161:74926:-1 gene:GSPATT00000032001 transcript:CAK55597 MDKSKLVQHTSSLNTNLQYYLNKFEKNQEWADIAPLLMKIEGLLKQHPSPYIIEKIQLSK RLAQCLNPHLSAPIHMSVLKIYDQLFKNMASFSVEGDPSGVVKLYLEDLALYSIGLLPFF SNASSKVRPQFLDLIKQHYVPLGRELIPMLPGLVASILPGLDDQQEANQKNCMSVLDELS QSAGRKFLIGSVWMSMLRSSKCRSSSIKYLSQKIPKMQQEQEDEQSDYMSDEYEEEEQQK SQQIIAQEQQQNQLKAPQNNQDLEDIKDDSIIQAMDNKAQNEIRKRTIVVEQSMELKRKQ ALMIIEDGIDEETPENNFPPLYVSALISSLEDENQLIKRQALDMMYTHLKSNVIQESKEI LVEASLKLLIRKDLSVTRRVNQWLFGKPDMDNKYQITEQNKDILQHVANSFNKFLQQEAN EQNAVFPIKLLYNFFMEHDHMVELLIGQVSFNLIKYVETNHKKYPEIVKSCKRLLESITS FLLIVVNEVSKVYNTYINFLFSILITKEDNFEKSIEFTLLRSAAANLMTCLSKFSPEELR KQSPGSTLNTLLVRMEQLPQQLDKQLVGPAEMSNYDDAIEEFGQLYQNLSDVILDGDEQI RVEFESISKSLIKGLRFKQNKEVQLLPKWFTSITKIIKEENPSISLLAIQSMIEILISEK VDIIYENLKKLIIEESRTKMLKNQIVEGQDYTKLTLEKLWNLLDYPFFHERIIEMIVNLS KVFPTFFTEVVIHQFKNMQEAAIRRFALFWKLSATHYKELQDLNKVGLFLMLDFLDHENP IIRHNAKNWLLESTEMLERILDPLFEVLIQSSSSWYLTDNQQIFFAKVYETKRINETIKK LKSILISFSARFMEYVQETEISSYIKDIRMHFTNESSVIMQKDTMMYLDLLVIICLKYVQ GQAIESMSLKFYKENAAVNASACEYIELLIVNVENPIVSLKFAEYIMQPLQIVLYHAIEN EDLVMQVQILNLFKVIFFNSSFRTKFQPEQRELIEDTMSRSVSNSIFIPTLLRGMHTHVL YVRAQYIHFISTCIPLLAEHLRQQTLTDCVQQILTAYFKMIKDIANRQYEQQKSDQKMNQ FDFLQGSSQHEIQSILIGVKTILKHILGLQERDDMFYEKMKGDNTVFNTVKSMITFGLLN VQDQSKTTKLIFQKFQNTCEVIISTLPSILELYINCWSLGQDFIKYSDTMTAMGIGSYSF DKFNSFNKILQEQQTKETNIVKPQILQMMKPLFMSYSKQFMTALLSVWSNQINLLQKLFF ENEQILIDPFSLHQNTFLLKTIEIMIMMQCPIELFLDSLLECQQLQELQKYYDIYRRKKL STTMLNFQISQQETNILYFLYCYFTFAQIDPNQLKKENLLPFWVQIMKILQILALSKSPS TNMWLLELQLLLTRKYSPKEIVGDQRFRNQHHEFINDKLKYVAQFGGKLNIFWNDPGIKS EGDDLFQQYSIKFKVVTPLTPTLYDIYLNVIRSLNKEVQYEVTDIEKLIFSQLSSVTEDF IQTIYRLMCIKTLKNVALELLQNSYAVEKTERVVLRCKEMMDILYPLLENRSQYNQIYVQ MISEMLYFQLDKARQVLLKVYKDSILNIFNKEDFFIQSKHTLKYWTKIINWVVQYGRSDL FTQYLEQVAQQSSFFFSREGEENKRRIRTFERICFLIFSGERDRYTKKLDLLIEKISEVI KSDSANPGLVMLILFCVRILILRLSPIQLNELFRNMWPTLLTLLISIFKNKQSNQNLVLA ALKLVEMISFMQQDEFFLHQWIFIYDYFGIKIQENQGIGVPITPFLYQPYCSNFLFQQYS VDYSKVEQTNVTDPQKREIIIKQIKVDGQQQLEEISLQLCNYLVQLNSIRIYTANEDIED LIENDFIQLDQFIYEIIMINICLLYQEKNLKLHTPAN >CAK55598 pep:novel supercontig:GCA_000165425.1:CT867985:74982:76182:1 gene:GSPATT00000033001 transcript:CAK55598 MKSIYQFTKFRSSTYNQSLPYLEQTKFDPKNQTAILMLNLGGPNSYQEISPFLVRFFEDT TVIRIPFGLGPYIARLRGPAKINKQYEKIGGFSPLFKWTMVQGILMSKPQGSGFLPAFRY GLPLQEDAIEYAFEKTEGRIQKFLLFSQYPQYSCSTGGNAIRNAIELLQKYKTIQPKLEV SVIDSWHKNKFYISAMATLLNNKIKAQNLDPKDTLILFTAHSLPADFVWDGEKYPYYIYE SCQLVIDNLKNMGVSITFDLSYQSKVGLNKWLRPATHHALSQYIRNSKFKNILISPMGFT SDHLETLYELDIQLMEDLKKDIESSKKNVIRGDSLNDHPQFIAALSDLVTQGLGNKKCSS KRERCVDCKFEQCDIVNKHEL >CAK55599 pep:novel supercontig:GCA_000165425.1:CT867985:76219:76602:1 gene:GSPATT00000034001 transcript:CAK55599 MQGPQLTTKTITYESDIFDVETRYILFALGPCLDLDLQYYVFNIFNQFLKQGISICEVDK PLEGRKEIFFAKLQPDIDPLLVPNIIDQILSPIKEFIINFTKDDKNLDSLYDETMILIKQ LNSIKIE >CAK55600 pep:novel supercontig:GCA_000165425.1:CT867985:76695:77136:-1 gene:GSPATT00000035001 transcript:CAK55600 MNQQNKRKFNVLEYLKNPYHLPQKQDKFDIPQTCKSGSRTNQRRFYRPYKHQTLFPELNS TNALYLSKTSQQFRQSRQDWQGELQICLQSQSIRGVPNTSKVTQLFMKSLHNSSSSQLLK KQLPELKPLLEVQAHKLF >CAK55601 pep:novel supercontig:GCA_000165425.1:CT867985:78508:79092:-1 gene:GSPATT00000036001 transcript:CAK55601 MGSMCAKCKMIENIDSDIYSLDTKMRTLNEMSKSTKFSSLKMSITYTNHLNYKLSESEVR DADDPIHYINNSRGRMKCFSFQEDCQNSLKKSQITDSPMTVKSETRGIKKKIKLQRDLIH IQNLDLSFYLQRNYQQQIYEEHSQKSILKSPLNRSFNSFSDQKKVHFATGTNFKTNRFQK SMRTNLTKIRKSLI >CAK55602 pep:novel supercontig:GCA_000165425.1:CT867985:79508:80459:1 gene:GSPATT00000037001 transcript:CAK55602 MSSVQQFCEDLSNIDLNDPKAKEVMMERIKKYDENFAQTNFHPTPSQLSQNYKKDTSKIQ LPSNGSEMEKQLKTQFIADGSIFGEYQQILRQAHVPAFVVPPPPPPKPEEQAAQIFLRLP YNYLDQNANSKNESNILQQPQNHQLSQLSNLFSTIQHQNQIQNQNQQQQIQNPSAIQANI LNNQQQMDLQMQMNQTPNGLDSDFITNIKKTVNVLGGIEDTVQIMKQSLQDYELQHQVER KIEKQPIFKNSTLMNPLKGTQTPTNPQQYHPFERYMATEQLSVIQQSQRLREQKLQQNVH Q >CAK55603 pep:novel supercontig:GCA_000165425.1:CT867985:80753:81028:-1 gene:GSPATT00000038001 transcript:CAK55603 MKYCLTIIENTFLNNPSYNKTLLNEITSKLQELFSSNNTFSNKFQFSLLQKLNQKETDIS KFDTKVEFLKINDIYSLLKQECNGQQLRIFR >CAK55604 pep:novel supercontig:GCA_000165425.1:CT867985:81765:82334:1 gene:GSPATT00000039001 transcript:CAK55604 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK55605 pep:novel supercontig:GCA_000165425.1:CT867985:82524:83700:-1 gene:GSPATT00000040001 transcript:CAK55605 MKSSQQLIFGQVKQQSRISSYLNLYEYNESSVIGIQSLQETDVTIQYSYQTTEECFYDCK NDSECRNSFCICREQQIGNDCHLVLDNIQTQYMFQGFKMYYLDIKQYYNLDYVLKFQSNS KYITYCIIDSMNPNLIQLNVNSILNITKSDIASCQKKIESFQKQFNQTVNFYYLVYFDNL EITYLNQSNDAADAYQLIIILTTIIGTLLFLIIVCTMRSKFQKKSRVPKRINLEPKNLDE NAIITLMNRFCPSQEYGALITRQNKYLVYEKCSICQDTYNHGTQIRLTFCEHLFHTPCID KWLDNHKVIFQVIQQYCPECQSPFDLINIRMQCKSQKQLEMLQWSYRMDEVIMKNDPLVN QSNQHQSFSQVESIRNSLAFEYHN >CAK55606 pep:novel supercontig:GCA_000165425.1:CT867985:84912:85995:1 gene:GSPATT00000041001 transcript:CAK55606 MKNQDEKKLQLDRIGTASTMAQTGQQSHFQQQLQCEFIQPAFGKKKKEQNYKINNRYQKQ DMNDDPVDQDQQICDNQNQQKIQQFQQIDLQTKQKKAKDDENQKLIKFNEDQYNDGNQYS EPDQNQESPVMKPKKIKGDHLYITCQIKSFAEFLVKYQLQDIAHPYITKNTKTKCCIFTV NTKQSLYQTQKQNIIKVGQLLYDDDNEDHCNLLYSIFYLIFQLEKLSKLKSQEISNNKIN LFQLLYTLSCVTLFGDDIFQIGKFDKEQIISNMFFCSKYIFQGLKNGILDPIFKNTESKG ETFHNLMTCIHMGVFRCISISNCTQEQEIFKNLENKSVKFLLDDWKKNKFKNN >CAK55607 pep:novel supercontig:GCA_000165425.1:CT867985:86241:86614:1 gene:GSPATT00000042001 transcript:CAK55607 MDNLKKAQKLIKFGQITKEDIVIGNDEVDRKFLTPCGPVYTEYHECLKQNYGDVLICDKF REKMIECEVSQGFFKYDVSEIRKRRQKLHGSDA >CAK55608 pep:novel supercontig:GCA_000165425.1:CT867985:86981:88061:1 gene:GSPATT00000043001 transcript:CAK55608 MSDGVGFFFDFYQDFQSCELELNQNQILDQNFEYQFCYDHSKEMIEFQLQNLQIENQQQE EQLSFSKNLYELQSCDSSSEEENSKQQYQNFEQLNQSRSRSREIEKKEGKLKKWTQLESD LLTNYYNQFNGNWDRIAEQMKGRTIIQCKQYWHRKHKDEDQKKSKWTFEEDQIIKDNINQ YENNWAAIAKILKSKTGKQIRERYINKLRADIVDSKKQPWTPQEDLKLIQLFHQFGSKWS SIARQFYGRSDLQVRNRTRKLLKDDIPQYPKSVEDQKLKIQVQLENDFDAFNDIGSTCGK PQNKIKFSFDQSNSSTFSFNQTKLD >CAK55609 pep:novel supercontig:GCA_000165425.1:CT867985:88813:89667:1 gene:GSPATT00000044001 transcript:CAK55609 MKRKNITLQLNPNEVYQLPLRKLSLLELLQKKLIKHKQLRSIEQDQSEQIITKKQLKAPL RNKSCECSCCGLWQIKLIDKEQINQINQQIVIKRKNRLRKIFVNSVKMIIQVNRFSQCGL EHLVNRLKRKMQRIKSLHKVENKQILFIKCNTQLMLAHYHIDLGNMINDQSPQNNTNEIQ KTKQKSKKLHLRLNKRADDKYQNSKQSDHFDLSKYTIRSRSNNTINQYIQQKLTHINYLP PISLPQTARSNISENKQLGQLSPYSRLSQPKGFITRSVSTNKRR >CAK55610 pep:novel supercontig:GCA_000165425.1:CT867985:89846:92989:1 gene:GSPATT00000045001 transcript:CAK55610 MKFQKLEDDQTLKDQQNLKQKKELLKQEFEHLEEIKKYDNLSFVSSNDFKFKAELDKLLE MNRSKNKELSLLLKPQTFQSSKSQMGGSQLQTISGTYGQIRSINNHHSDPLFATFTQSIY TKDTDSNEQYIEQLRVQEERYNDLILEESEKRDSLEQQLIQIEKSKLILQQKVEQYHMLT RMSNKRFANTQSLQFLAEQKKETSKVNLRSIKDEFEQMKKMQDTYKKQQEEKQSNLQKVI YVGEENIGHNAIKIEHALQMNDECIRQIEKLEIEKQKKIEKKLESRYILTYIECFENFER IFMEHTGVQNAYRIVDEFQVNEEQLRKYSQQQIQFDQSANVQSEEDFDRNELLSQITKFY EQGENRTKYILNFMLEQYWHLQSTLNKSSLEYENLLKQKKVLLDAIENLEWEINELKQKN PNLEVHEYSSVAEDAGLLQVKEQMTTLNIKKMEMEDHSDNVLYQKAAKAQGLLMKFQLGL AEFMHRVFQIISLIDNKNKEIKKFKYTGLSSEILNYEKYLAKVYHSNEKKFQITNNSEQM DVQPLHLNLFQISNIISQVLNINQNIVEEISTYFFIQCKTDQVLWFHLTQDNFTQFISQY KSLDPILILKELQSPKMINQFREKLVDIFTSNFKELFTFFTNMYSKVKDIAEDLLIHLKE NNPKFDFNKLNRNLTKEGQDIKQAKELIIKRMIEYKTGDNFTLRKENSMQALKNAKTKME DDQVIEQVQTKLQQDYQNSKDLFPLKEEFDESQLAQIKSFFRQKIKEKNEEKKECKTDQN STKDQITIIKDQQDFQQKKKIQERNENKYEKGRNKKNQEVKPQNQAIRDELEQERELLKA QIASNVQRMQEEKERKEKPEFKEQQNERKTQIKESTERLYGERGYTVQLIRNMALTSNIL SDIDQLEHFQTKIEQPQLVSAFVMQRKNLHKNLTKDLTLPQLSYLKPTSAYIARRNQSSE QIKAMDYKRYYEIENGVSIRPQTQQLARKSISKQKNNTFNTEEYKKKYSVKNLKNYNSEV KSNQMPQPIVEIPMNYGPQSCLIPQMN >CAK55611 pep:novel supercontig:GCA_000165425.1:CT867985:93041:94110:1 gene:GSPATT00000046001 transcript:CAK55611 MFLSNKRKQELFRLDSMLDKLNEFIQNQSLQLEKIQRIKTLESIDQQKQFQFKIKPLEIT ILFEKLNTLLLKRKSSILIHMLIVTKYQTASKVKYNTQESINIKCFTPRDINQQSLNSIS GGGNKQKMSSHFSRLQQSYNKENIGSTRSSHQNSQLRSNCIYYQIDTIKENNKVKKKDFL LSPTETPLLNQKTTKYNFLKNKIYTPSYNRQLKSSYKSYQSQSRERVFSKNFRKGLLQFD DNTLNNYQITQTIISNQQNQISYFTIETNTTEEEDQQDQLFTNPSEWNQYLISRDNKFVQ SRQQTSVNTQTQQLQRETTNILKSCLFGFFLGLFLGLMLLQNLYIFM >CAK55612 pep:novel supercontig:GCA_000165425.1:CT867985:94316:96076:-1 gene:GSPATT00000047001 transcript:CAK55612 MIFSKGQVENQEFWNKTDIPTFDQEDFQNIQVLMGNFTKVGKTFKQRCVRTYFLVKQFLL YSDVGKGNRIKGFVQLDTVYCQFHQFEQGLEISLINNGYQIHLYTHELQQYNIWVEALSN CCILSDFDKTYKLGAQIGQGSFSTVHQCQNKEGEIFAVKIIKKQTIKQINKNKNYEEQLL NEIQALRMFNHPNILKLFRVYENQSNIYLLTEYINGPELVSQQSSKKIYSQEDLRIMIFK ILSAIEQIHQQKVMHRDIKPQNILLSGNDIQKPVIIDFGLAAFTCQKQIPFPQCGSPGYS APEVLKYEESKKTYNQQCDIFSIGITLFVILYGYNPFKQNDLKSTIKKNTEAYFEIPASK YPKTQNLICQMTKKYPKDRITISEALNHSFFKTPFFCQISLPKQIVSKQYHDINAKGETH VHMHASMEMDQGIHYVSQANTQTTPQNFGNKNRTISILSVPISRKSQECLKQQEISSFSK ASNEKEQNQVDKYGIRLPSKTLPQQKNQQYEFEDEYQLNNYEHDNNFVDQEHLHKLNFSI NSKLFQTSKLNIHKNDNCL >CAK55613 pep:novel supercontig:GCA_000165425.1:CT867985:97350:97884:-1 gene:GSPATT00000048001 transcript:CAK55613 MKANRFIIHNPTIESYQLEEYYNYFKRIEEIDKDNINIYKTIEQNQSATNSRFQLLQYTS KFNKQKQQNAQDKLQKLFLFLKLIIYWMTKSKESKQEKIHMNLSNDIKSSKNTFNSSLEN INLIKKLQTLKPNISKKNLDIHYEKQREYGNRLRKLPKLPDFDQKSHYLF >CAK55614 pep:novel supercontig:GCA_000165425.1:CT867985:97896:98699:-1 gene:GSPATT00000049001 transcript:CAK55614 MNPIQQAWLKFLQPVSVVINEKLAKRSGLLGKIGRFFLIGPREFGYHPTNQMFIYFNRRV LFATAFMGHKYSVLKGLTHQGYHMLRPMRAAVFLGPLAVLGGLFRLVYYSSENRSYYPDN LDYVMKKATNALHFPLNTLNQRLSAHYTEISSIYTAEMMKRYHKQHAKIIKERSTQPEHV KKTKYADPSYKYVPMTPVHIEDLKLA >CAK55615 pep:novel supercontig:GCA_000165425.1:CT867985:98702:99163:1 gene:GSPATT00000050001 transcript:CAK55615 MLQETFNKNYQLRIRKSLPKQLPKPSFVPIIERNYQLSKINQNYQFPRTDTSVDSCDEME IYGVYDLNQQNNKKIKFNKHLSTLDVQIPIQKRRLKTQHEDNNQKPINLSLNIQRIKTEV SQDNISIKLPSLKFRSKKNVLQLRSISNTICHQ >CAK55616 pep:novel supercontig:GCA_000165425.1:CT867985:99610:102591:1 gene:GSPATT00000051001 transcript:CAK55616 MSEYHDEFQEDQQKLNALNLSWTLGFNYKMVNGVHNLTNDSRKEIFYATAHTGVIFDYGN NQQRLLQGHCNKISCTAYCKELDIIVTADAGPDSMLVIWDAKTGVPRRTIFEPHANGVQA VDISENGQYLVTLSKEEPDKIQSISFWDWQSQDPRLRTTVLDDKLKDYQYYVTINNNAEQ KQNQQQIFEFATTGKKRVVFWSWEYGAAGFEYYSPEVQKNKVLTQTVFIPKNKNVVTGTL DGYIIVWDVSLIIEEYGQPDERRVIKIVNLMNVANKSETQQKKGNAQILLLRVQGRYLVV GASNGSIRFYDFKFRIRAWFEDVVIGQNGLNIQCIGSITNLSFANESVFQEDFETESDEE KDKDEQSQKKSKPFLCQDFIVVDDKANVILLKSSQFQEIEKEKKKGTVIMSSIVPNVPVV AFSCEDNKIYEWNFYEKHNKLKEIKEKGSELQIQSTFIEYSPDGYYLAVCSKNGIVHMYD CREEKWLKNLLVSETDKTKPKITYLTFSIDSKYFATIDESYAVSVFNFDFDPNSQLKQQK EWIFAGKYRVHHGPIKSVAFGETLDEKNQVQLKVFTIGEDMKLAVYDVLDPTQDPYNRVK LRSVVSIEQECLPSACIWYPINLQREDVLLTTNSEFKLKLWTVLKDLRIICKKTCLGPTF GGHIKRLLLLNPSDMKNEYQDKYLAYSTGEKVVGIIKLPLDGNPNKTMGLIAHPEKIVDL SSTQDGKLFFTSGGDDFAINIWSVDFAALEDNFQTQETEYEIFSNLLDGGPEGQTLRDLK DFFYYSQIRSKDEHTTKARKLDGLVPLTAIADMMRSMGYFPTNQEIENMINEIKFSKYLE TGEQISELEINTFLKLYVNHKPVNGVTKGQIYDALKTLSKDTGVMPWDQFTELLKTKGEK LDEQEIEYYLESLLPNKNYPQQLHLNNLCDELLGFEDMDGTQEQQEQQEEVQDAGSEDEQ Q >CAK55617 pep:novel supercontig:GCA_000165425.1:CT867985:102969:107876:1 gene:GSPATT00000052001 transcript:CAK55617 MYKEEAIPLITYDPQNRTYNLAEEAAKMIRSINNPIAIISVAGLYRTGKSYLLNRIVLNR SNGFGVGPTVNPCTKGMWVWGTPITVGKTSILIVDSEGTGALNDSDVNHDTRIFALAVLL ASSFVYNSVGAIDEGALESLSFVVNLTKFIQLRSNEEPDSEEYGEFMPQFFWVLRDFSLQ LLDNNGDTLTSHQYLERSLQEQPGFCDRVEEKNRIRRLLRTFFPERECFTLVRPVNNESQ LQQLQYQDLKQLRPEFYEQAISLRKMLFSKVKPKQFRGKTLTGGMYINLINSYLQAMNSG CVATIESSWTYVFREECRKLQAEAFEIYEKQIKETLLSKLPTTLEDVKALNKICKSKAIA HMGNNAEIIPYVNELKQKIKGKFENLLAFNETESMALCKQYLVQQFAPIDQKLKMSEYKT YSEFEKDLKLFNIFLDEHGPKVVKRKQLFVEFIQKMTTEGATFFVQNIQQDFEGYKKFSE EQINKLQNGLNTIKLDSQKQVEQQNQQIKQLNQQNTEITQKYKTQCDELEKLKQKNTQST QQMKTQFENDFNKLQTSFEELKQKCQTAEEALKSAEREQFILQSESKKKEALLEQKISHL QKLNDEFNKKEKQYDSSLMTSKSEFSVKLRELTASYEQTLSEVRAQNLQLNEKLQETSDQ LMQLEIKYENYYQVQTHKEESQSNAIKKLMQSQQTLQEQINIEITKNKQLQDDLLSTQMQ VESNEVGEQYKQQVKQLEIKLQEQKQIQLKSDAILNQQIQFLQMELEEKKNTINDLKKSH DSAMLALEQSQNTQNQQQLNKSIIDLKEQHMIEIRQAEHTSQQLRKQLQQQLDQLQGELQ EAEMRGDVFLQEKNKLQEELSESYQVQDELKQKIQQQLKEMESNKHTQFREKELRMNQRI KQLEEELSQCKQQLQNTGNLDKNSIEQQVNELRNYYEMEKDVLERRIHEERQKADQKYQI LFEEQEQKMRDEQQQYEEEIETLKDELRDLEINLTTQQQQYDNEIELKNKQLNSMENILN ETKEQLVQLQNTFQTQVEQRINNLNVTIQSLESQLTNQQQNNQQLVKENQLMTQKLENLD VKLQQKLSEFKQLKEDQEKEKTQLQESLQDLRRKYTATCDEYLEKKINYEKAIALSAQQN EFFAKKVEELERQLESCNLKYEERIKIQKQEWTQELSDRLSKLNEEKQQIESKKKKETQF LKAQQDLEKETALSTEKIVYLEQKLREHEQQTSSENSNAAMQLKQLREQFSLLKSKSSSD IEQLKSQLTNLEFEKQELQANYEKDKILWQGKTQFLESQRESLKQELADAMRKFETTIQT LQRQRSLERNDHNQDITEMLNQIERKYQDQVKDIQQQHQKKCDDYQERIERLEKELKQSQ SKELLDQQSKIGQQFERKTAELLENEKRLLSTIEELKQERDQRILEHHEEVEQEKEYWRN KINELEERQRESDKKQSQLIFYHEKERAKWSQEKDYIMQQKMELQDQLSRLEKKKELLLK ENEKMKNSSKSLRKYNPNQTLNNSYLNKQASDKKIPSQEVVNMSYDVTKSLEDKDPSQKE NLSTSTTFGSFKKYYQMMNSKQVSPSKTKEISDI >CAK55618 pep:novel supercontig:GCA_000165425.1:CT867985:108036:109514:-1 gene:GSPATT00000053001 transcript:CAK55618 MKNQGVFDPTALERAAQALRELNKSPHAAKALDAMIKTEEAKKADKQALQKQHEVSKVKV EGEERRRNMDHQKQVNQQIADYNDKLERERTKDKLKDKELTAQRMREEAEESIRRQENMR RETLTMQMKKQFELEERKITLQAKLNAENYRKNFDLIVQEQEKKAQIEKQAKIELHNLYF VKFQEGFKYLQQNPQGLFTIAKVMLFVSGAFFFSKYSLGLGFKRLEAMLTKPNLVRETSR RSLKWMMPSSKRIFDKLVLNPELEHTLQLITSGFIAKQSQSTPLRNLLFHGQPGTGKTLF AKLLAYNSGLHFAIISGGDIEKLGEQAVPEIDKLFSWCNSTPKGTLIFIDEAEAIFYKRS SSKQTSAALSTFLAQTSAVSKKYSLILATNLPNKLDEAILDRIDQIVKFDYLNEEQRVKL LKKGFEDTFQKSNMLSLILNPAKAFSKRFQVNFNLSEDEIQSLAKQMEDFSPRQIDKFII SFV >CAK55619 pep:novel supercontig:GCA_000165425.1:CT867985:109533:110184:1 gene:GSPATT00000054001 transcript:CAK55619 MNCVNCNTKVENPDPMTQCPHCNHENQDWYFGCENNILLVDMILCKVSAYRHLLINSQYT LIPASFILFITYILLELFYKYQSNILHKQEQELNFEDVQKQTIDITLTLLSQIVTIYIIS LIIFRKLQHQQMIIGLILSQFGRLAIIMFVIWGHQPFYKQMASLSIIMSEWTTIRSLCEY KNEWLKSGIVIILTVLLRYFVNNSITIL >CAK55620 pep:novel supercontig:GCA_000165425.1:CT867985:110672:112402:-1 gene:GSPATT00000055001 transcript:CAK55620 MEQKEKKGKKQQDSTVDKLKCISEIVAELIKQYDSNQKINLSQIKAEFSKKNKISGAPKI VEILSAIPDDYKDKLIPILKAKPVRTASGIAVVAVMAKPHRCPHIAVTGNICIYCPGGPD SDFEYSTQSYTGYEPTSMRAIRARYNPYIQARDRIAQLKQLGHDCDKVEYIIMGGTFMSL DQEYRDYFIRNLHDALSGHRSQTVKESVQFSEESRSKCIGITIETRPDFCQKSHLSDMLL YGCTRIEVGVQSIYEDIARDTNRGHTVESVKKCFAMSKDSGYKVVTHMMPDLPNMGVERD LESFKEFFENPDFRPDGLKIYPTLVIRGTGLYELWKNGQYRNYPPDFLVDVVAKILALVP PWTRVYRIQRDIPMPLVTSGVDNGNLRELSLQRMRDLNVACRDVRTREVGIKEVHHKIKP EQVELIRRDYTANDGWETFLSYEDPSQDILIGLLRLRRLDKHTFRKELTQEPTSMVRELH VYGSVVPIHSRDPSKFQHQGYGTLLMEEAEKIAREEHGSTKMAVIAGIGTRHYYRKLGYH SEGVYMVKKLV >CAK55621 pep:novel supercontig:GCA_000165425.1:CT867985:113040:113297:-1 gene:GSPATT00000056001 transcript:CAK55621 MQKQEQSQLSTLQSFGDISPVPEEEDTEIKTIVTSPLTTKNSQRAYSFQYSQRIRKKPQV LELTEKLYSWNSYLTKKFAESPTKF >CAK55622 pep:novel supercontig:GCA_000165425.1:CT867985:114667:115086:1 gene:GSPATT00000057001 transcript:CAK55622 MSNFKRNDNFLKRKILTKPILDIQNQMFCWVISLKGQIIFIEQDTSTTIYKQFLRYCIGY SFHKNHISIIFDQFCEQWSKIVPKKQIESLQHQEQKELQHKIACQYNQMQQQFTIKNIDS SIFYLDLSKSETEQAVENY >CAK55623 pep:novel supercontig:GCA_000165425.1:CT867985:115870:116157:1 gene:GSPATT00000058001 transcript:CAK55623 MDKSVKINEKGMGITDQIQNEIVTIVKNAIQVHKQYLGEVCNQIANVLCQKYGGWWCCFV TDKDANYGSRLFNFDHMYLDATIEQRRFTIFKSAK >CAK55624 pep:novel supercontig:GCA_000165425.1:CT867985:116326:118488:-1 gene:GSPATT00000059001 transcript:CAK55624 MDNKSKGSSKSRKELGGMVQYSLIDELSLKGVSLFKLDVNYDHLKQFLEGVQADLDNHTV EIEGIKKLLGPNEIILLVQEQFQLLSDSLHFSDKDIEKELSTQLSTYITQIQGKQKYGKG ALEKIITEFRGKLFSVISMIQHLYREKKRQDQRLTKLENDMLTKFDTKECKEKMRKQKNQ LEQQIEDLQKNGRNELDTVEKKLSTDIQKFEEAVRDVERKTLWKIHDCQDLLLKRTNEEF VNEAIRTSEDKILKEIQQMQNNDQLIKFQQDIAYIRSQIKGIDDTVGEKQSKLKLQLNEM NDLLKSKINLIDKLVEQNKQQGDKIIDINSRLATAINKLDVSNQLDQHRQKIKQLEDGIQ RLNDEAKERVEALKDMNLNAENVAYLLSKIDPHKICTLEGDIKKLEEMSIRNNVHWETLD AEFKSRYDSFQFFSQLYQQQQQQQQQSQTPEESKYVTKDEINRMFEQFQQQGSLEDDKIR KIQKDLQDIGHKVENANEIERRVTRIFRDVDINGLMRQVKVKANEDDVKRELFNLETRLG QAMETINYLRREIEALQVQLKKSPMNFQQSASPPTDQIIGINTKKLYPINCLSCSTTNQQ RVKGTDGKYYQADMKRQTIDQQQFYDLQQDESQQFNQQHYVQRPQSAVVNKQSNGVKRPV SAKK >CAK55625 pep:novel supercontig:GCA_000165425.1:CT867985:118581:120216:-1 gene:GSPATT00000060001 transcript:CAK55625 MTEKNIDNYTFLTSDVLGVGSFGTVFQGFDLNSNRYVAMKMIAKDNLLNDPKGQSGLKTE INIMKKLNHKNIVQLIDVLETQNNIYIIQELCENGDLSKFLKKNKLVDEKMALNIILDVL QGFYELLKYRIIHRDLKPANILIHNNTYKLADFGFSKFVENFNPNTLQSQVGTPLYMSPQ ILRNEKYSMKCDIWSLGFLFYEILYGQTPWVASSIPQLVKNINNQPLVFHDSINQVSNGV KDFISKCLAIQEVDRISWEEVFIHPIFAQDFSQARLVGALFEEKELSIAMSMRQQSVTQS LSINELLRQLAEDEDRILDIEDFIGIFLAINENLLEEQIHYIFKIIDEEYIGKVTCKNLL DWLIKHDICQQETDIRSGSNTIYGAPEKGQVQQVLQQNELSQDRQLIQILYEEIKINNLN LVELFQKFATSSNTMSYQDFTNMMLNYDSTLSDWEIFETFKLFASNKSVNINLNQFKQVL EQNFRDDDF >CAK55626 pep:novel supercontig:GCA_000165425.1:CT867985:120958:122092:1 gene:GSPATT00000061001 transcript:CAK55626 MASLNKLSSNDIGNIDRQIAKLKQGQILTESEIKSLCIKAKEILSDEPNIIQVRAPLTIC GDIHGQFHDLIELFQIGGNLPDTNYLFLGDYVDRGSQSVETFSLMLSLKVRYKDRIVLLR GNHENREINKVYGFYDECFRKYGNEIVWKQFTEVFGYLPLSAIVEQQIFCAHGGLSPAME SVDQIKQLNRVQDIPHEGLMCDLLWSDPEETKNGWGISPRGAGWTWGCDITEKFLHSNKL KQIARAHQLVMEGIQKVHNQKTITIFSAPNYCYRCGNQACIVEVDEQLRMNQTQFEPAPR ENEPHTTRRVPDYFL >CAK55627 pep:novel supercontig:GCA_000165425.1:CT867985:122094:122699:1 gene:GSPATT00000062001 transcript:CAK55627 MLSNINQCLFDIMLYNPYYFTHAQIPYNFLQKLYIFQFANQGILYLSFIQTSLLFVSLKL LPKPKYWARNKFWTILPYSQGALTLIWFFNRYIIPNEGFQFVFGKQIQHIQEQNLLQKPL LYNRQYIINKLMCYNEDQSKTTSNKKLSLNQKLKDANQIIIDKSLDNSKVRQIYQLEFDQ QIYSDILLYKRDLQSYQLSPP >CAK55628 pep:novel supercontig:GCA_000165425.1:CT867985:122945:125842:-1 gene:GSPATT00000063001 transcript:CAK55628 MQQIQIQTATQDKAAYNRNAQKIIDKLHANDPELIDATIEYALHVFNSNEPPLRKLLILR LIKDAIVIKSAKFINKYIQTRPLLTFIGNICTHKLGDGNPNRGADLFQGQDPQSSVEFLN LALELVEHLATKFPKDSKGSPTKFKIQYDELLQMGVKFPPSSSLILPFDPNKASQEPISK QLDNNKQRDETMLQLNQRKQAIQQDIEMINETLVNDSDMGYINEVLVTYSESMNVMEKSF ENLIRKAEQYDGVLNEDELAELYSLKDFVQKFQKYYYGYLEKECTPGAYNSLKKKTLAHI QKIQNKDFDYPPPKQQKELKQQNLQQQQYSQFQNEDEMLRRAIEESKIQQQHSQIQQKQI EEQKKQEEIKRQQEEELRLAKIREEQIKQEQLRIEEQKRENERKEQEKQEQIRQEQIKQE QIRLEEKKREQLKLEQLKQEQNRLEAEEQLQKQKKQKEIEDYWNNQFQTPNPDNQQYMTP TKLGSYVNQQQQQQQQQQQQQQFQQYQQQQYQQSSFQQQKQNQQQNNINQNYIYSTFDQQ NQQKQANANQFEKNNNLLEMPNQNYLHGENKREQPPMNHLNSNIAKKKEFDENFDGLSQS TLTQQYFPLKMDQQIPIKQYTGKYAKYDNSQFELLHTYGLSESQKKRFKLATIKGKAALV NSPQLQVGIKQALQYVPLKEKNYLKLILYIGNKTQSNFERCKMQFEGDNKQFSMWLKPDK IPDKIEPGQQVQQEVIGIFKQFENYRLVNVVFSAEWANKQITSNFFLTTTLVSFMDFKDI SLQVFRTKWRQKKQQIFRGDTFLLNPKIVKTGNYLKKIFPKLCEFNSYQQFQDQQLDYFN DRQLKYITYKLCGIFELTNVNQNYMIKFIVLPNMQCTIQIIGNNDHLCKQMLNTLNWILG LE >CAK55629 pep:novel supercontig:GCA_000165425.1:CT867985:125850:126989:1 gene:GSPATT00000064001 transcript:CAK55629 MNQILQIPPKYYANFNKSQPKEYWDYDNFENEWGDSDQYEVIRKIGRGKYSDVYEGIKYP QGTRVVIKVLKPVKKQKIKRETKILLTIRGHPNVIELSDIVRDPSSKTPCLIFDYIDHVD FRSLFPKLTDYEIRFYLFELIKALDYCHSKGIMHRDVKPQNIIVDPKKKLLKLLDFGLAE YYHPGQDYNVRVASRYFKGPELLVDNVYYDYSLDIWSTGAMMASMIFKKEPFFQGQDNYD QLVVISKVLGTEELQAYIKKYRIRLDPVLESKLGNYPKKEWVKFINAENKHLCSEEAIDI LSRMLVYDHALRITPKDAMDHPYFLPVKGK >CAK55630 pep:novel supercontig:GCA_000165425.1:CT867985:126990:129213:-1 gene:GSPATT00000065001 transcript:CAK55630 MILKQCSSREGFDSSNDIDQQDLEQSIKKSKTVQNNVEGNNTFENKPMIQESKKQCRFLN LDVPKAMTNNKTIYLSQPFYNTKQIHMLINNTEEQQDFEIQIPKIYRPFVGMIKQGMKFD SNFESGNLDRVDQISNDEYNLYMRIDTNSIGHSNWFYFKITQNEQRKVKFNICNFTKPQS LYIKGMKPYVLSEKSKIKYFTQQGDNIKYQQQGQYFILSFAYYFEYENDEVSFATLPPYT YSQLINKIKKWSKSSKQYFTKLKISNTLSGLVLPLIIITDKSIDKNKKIIILTARVHPSE TCSSYMIQGFISFLLGESFMAQYLRKNIIFKIIPMLNPDGVIVGNYRNGLSGVDLNRQFQ EADLTLLPEVKALKCLIEDNQQKLIGYFDFHGHQVRKNIFMYCPTLSSETKIIPLILQQR LDSFRFRSCEFGIPKFKMGTARAYANQFIESICYTIEASFCGYQKGKNVRFMSCDWVKSG FCIAETIFLYLNMKQQHQKQLKSNQLLKKINEMSLKVEQTEVKHDSDDENNSQSDAEIFE DYDKEKLNELQNQMKKELSHQNLIEQGDAIFKHMKVQSVSRRIKATPLYLLTKKDQPRPS LYPDLSIQNWEFRSKNANSNESRNLIQKRGRTNSKLLQSFRFENKQRASSHLSGSPFNQT IEQINITQIIPKKTIYNFPILDNMQQSIGTPLPFIRKLLNGKSKRLPG >CAK55631 pep:novel supercontig:GCA_000165425.1:CT867985:129387:130014:1 gene:GSPATT00000066001 transcript:CAK55631 MFVLLLFSTIQALSTGLDGGKELCMGVQGKRTALFQISFVVSGFQEDNIEMKFYSPRKTL LKSSIKQKEGNYKEIMTDDGQYEVCFKSLDKYYKLISFNFDFVEENDLALAETMDQMAED MKQAYRSLKTIQNNQHYQNDRENEHQRMLESTEKKLWWCSAGKMGALIVICISQIYMLTG YFKGKSFGPNV >CAK55632 pep:novel supercontig:GCA_000165425.1:CT867985:130040:131674:1 gene:GSPATT00000067001 transcript:CAK55632 MDQKQDHANTILTQLESAETLNSLEVAKSLSINHQDLVGCLLQLESANYIKTEGLKQDQL ILTDEGNTILNSQSGEYEIYQKVPEAGISMVDLQKVFGIEQVPKVKQEQGEKKEKQVEDP KKRQFDQGFGNAKKMGYIKMDKGVITKVANDLPDKIKLELQSFNTIKLGSQQAKLLVERK LAMISTIKYFEIKKGFKYAPKFREQFAALTTEQLLSGEWKECEYKSINLNAEGEKISMGN LHPLLKVRKQFSQILIGLGFEEMPTNQFVESSFWNFDALFQPQKHPARDAHDTFFCSDPE LSEEVDTTLRDKVKSMHQTGGVGSIGYQYEWSHEESRKNILRTHTTAVSSKMLYRIAQQY KEKGYFPKKYFSIDKVFRNETLDATHLAEFHQIEGVVIDKNASLGQLMGIIREFFRQIGI NKLWFKPTYNPYTEPSMEIYGYHPVLKKKVEIGNSGVFRPEMLAPLGFPEDVNVIAWGLG LERPTMIYYDIRDIRTMVGPEVKVETVRSNAFCVFESK >CAK55633 pep:novel supercontig:GCA_000165425.1:CT867985:131711:139508:-1 gene:GSPATT00000068001 transcript:CAK55633 MVKYIPTKRQSKKETLQEPKQAARVIRHYHLEEKVDKRHRFKTTKQQREEIDINLQRRIE DIHIETKSDISGCYFRDIIELKRSSLSTLMEKRYMNESYNYAQSLPQILVNLKQLLDIAY TYLKEKSSKNLVLDTLPYLIKDTREEFYPYLETTIREILSQIDIEQVEHLEKSFLFIAYA IKYLEKVLINQFEPFIQLMQEVCFSSKFNIIKKLASEAVGYLFRKNRTLIHRALCIVDHK QLSYSIYISIQSIKGYLANNTFKVIDEIWKTENKELISQFYIVLVQNVVEKPHQSPKIMI SLLEIFKDLKQRTQSLDQIILIELLKNNKRKVTPQIVNEIITFVNDSDSIGYLLRLHNIQ IEEIIISNSTFVNKMLNQITIEEFSSIIEVILQQPTHQSSNIYKLLIAATFQQLFRQKEE ALILLLKLDEIHCIDKLTPDQLDQLYNSELFNKLLETDNNFYILSLCKLIQMVEFPPQIK QQIINKLQVTLNILNKKIISQDQKIDYSEIIEKIEKLSQHKLEITEEVELLYAEQHQDNK SFEDGIMIKILQNPEIKQIELNRSIYNLFSRMNLQTKEFFTLMKNIPQNHLEIGLMSRKR QIRLICAQQLNQIENSEQLQLMIDIDSEKINIDNERRIKNSIINLKNLVNKSTNYKQIER YAFGLAFTKFLLVRQTIRELLSTVLSKCNIQSILRIFLITHYQYEKVNLSYLDKHCYLEQ DEEQLESQVCFDEVFAQAIQHCELNQSIKQTIFEISTKYLQQQYDEDINCSKVEQYYKRR YGDKTSQFHLKMLHQSSQIQLFKHWLLKDDESTQMIALNNIKFVEIQNNLQEYHGIINEF FSSMEQMINGNTFKGEIIRFQLDLPQHLQEQYKLYILNVLYPKIFSKQKLHKKKHKNSAI QVRRNAVFEQLSNLNLQNELITLIQANHGFHNDLNEVPIQIKIQYLKILKNLIEKFGYQI NVEQLEKQITIIRQLLNQAYELKQNENVTSLSKELWKVGVKRLCQIVNKYHCEQTYTSMI QNNLQFCLQDNGFIQGFEKIVIDSKSQPSGVLKLLQNICLIKDYQQFLCQNHELLLSRFF LLYDFTYIKQIHLGQDEDGRLAHQLKQQISQKISSQRNCIQILNSILYSIYQLSEPSATI ELEPNQLSSLFSQQYGELLLSCLLNNVRSNIESESDQIKDINMVYLIYTILMNRKEIKNS ILNECLFLFSKQINNPKLYDSQIYNHNLMGKLLNNCIKCILDKEIVVEYQLVVDLFQSYS KLLILVKNLTTRNLLVDVIISMAHKFKEQYPLNENDVYLLKAMNQRNQVTEEIEFEKCLE ACLQFQKIIHNNQQTTELILQNCFYLLTSSELSIRISVLEIFKSYLSKNIQSDYIKQNYL PQMIQIMKINEETSVKAAIQLFEQYLLCIKIDEYTQQILNNQPLNMIDEQYDSNYCEFKV LFENENADQNVFNLLFDIQLTKRIKGVQLIQKRLSQIPILLIDSISKIMLPLLDFYIFYA GGELNNHKHKLTDANKSHYLTLQDVSIQMYGYICSQFDFDRRISLMQQLTKKLEKSMIGN KQQLSNALIKTITVIASNKDDNFNVIKLIKERLQMNSESKLQDYLQQYISIEDEQQNAQI IDFEFSYRSLIQQEKDLAKVREIKDVSNQFWNQIIDITVDEIQEWQERSNILLKQIYLPL KNLFLLDEKHRVQLCISLTELIIQLPPENFMVELNRLVGKLCRLLKSKDNDVRDETRKCL LDLSRKIGPIFLKFIIIQMKSILIQGFENHVLSYTLGLLLEQITEIGQIDNCLEEIMEIL LDEVFGRLCTEKDTETLPKKTKELKSKKGMQNFKMVGQLINFVNSLPKLIGTIDYYITQY QISHNLQSKLRLIFEHLYLGLINNSSVQNLVQVIYLMICETSYKLADSLKQSHNLDFTYA QGTYKRITKEDIMTIQLPSYGSQSISTYIKQNKLKTSSTIIYGLVNFLSIKLLHHNIQNV EDSPLLQKMFSIILDCLSHKDMITECVSVISAMIKKFDWVTQFKKQIVQNAMQCLSTITS TDEQFIRDSFLLLKAILDSKLNEFTLQQQKTLVHFIDLYLESNEALECLKLIIHEIWPLP QIYDIIMKVRESMLQTTKKTQHLSQQIYINFLIKYPLSSQVLQQHYDFIIANLQYKYFGG RQIILQCLQQIFQFFPQDTVNKLSEISYFSLIVALVKEDVDSLKEQLSNVLNILIQKTTQ DKIMKFTQNSLIWMTNEKLKVQISGLESLYILKDHLKYYQSKFILSSNELIDKCITNWNE WKNTIQAKNIQNKNLKNSQWANELEAISVSEFPELQESLCSLLKLLNVIDESQLILIYEQ NTICKLFKCLSYPHQETANQAIQLFIRSKHLAIIHTQIQIQQDHIVKNMQNITKYLFLIK FARNQIIVNQELTFQFILDYLNLLKELNQQSLIQLIFDCILKITTNLMAKQLKLNKGIEN LMEFYKYIMSNGFESNQKMIESTLKIQSLQQDMPIIDQILKLIEKQLGPQEFISMYSVIQ QELNKVKGERKIQTKMLAIKDPQKFQEKRQRKMKTKLMQKKNKLLMKRGKIAKE >CAK55634 pep:novel supercontig:GCA_000165425.1:CT867985:140143:141000:1 gene:GSPATT00000069001 transcript:CAK55634 MKKGRRKGEAKQVKRKNQSNFFKYNKQDQELYNVDKLSNSEAMLNKKYNIQNRVYDILIC TDVKLMDFQKLREDQLKTLRQLYDVLMMDPPRQLSSSQPSRGVAIAYQFMTDENIRKMPI ETLQENGIILIWKINTKYKVTCKQIEQWGYNVIDEIKWCKKTVNWKIAKGHGFYLQHAKE NWHKRQSKFDPNRLRQDVTKEVKVRNQKKYIDILNNQFQIVIQHYFKGYYLQIIGRRNNV RENRQMIGNEL >CAK55635 pep:novel supercontig:GCA_000165425.1:CT867985:141089:141675:1 gene:GSPATT00000070001 transcript:CAK55635 MGCICKTQANQQTIKTKLVIVGLEQSGKTAIFEYIKRGNFFETQPTEGYNVDFNNKDYLI FDLAGRTPNLWQHYYQNADGIIFVIDSSKKENLQQVKEQLMKLNQDIELLNIGVLIMFTK SDLQLIDCEAFLEECEVLKNTEQEKVWQSCSSKTGEGINEGIQKLMLLIKKWNIRRQKI >CAK55636 pep:novel supercontig:GCA_000165425.1:CT867985:142139:143030:-1 gene:GSPATT00000071001 transcript:CAK55636 MSTFISTKQESFIERQIINQQPETIKQYTSYTTEPTLLREDYAVKKIERPEAYQFWHKKE KYNSYASRVLNTNYRSCSVINPGQSFQINNVGSGISQSQVDNNNEFQCCTKQQMVVSVVI VSREEIEQPWREECLYLQSVIKELEKKKEIKIVKEIDYARIKELEEENRHLQFELQEAQE STLITERVTQNNSEVEVWKRKFQEVNHDYNEAQEKLMNVEIELEALKKEKARAATATTTV TRSTVRTGTSNIRQAGQI >CAK55637 pep:novel supercontig:GCA_000165425.1:CT867985:143796:153229:-1 gene:GSPATT00000072001 transcript:CAK55637 MIYSYDSNGNLIFTTEAISYLYNNGCLIGQKYAGIYKCIYCSGVNYGQNCATSPQTPRCG QFCKTCDATNTQCASCIAGQSPLDSNDLKCTLACQPTHKSCQLIDSVYSFSGCIDGYEFL NGECVQCPMNCKVCSSGECSQCIWPYTLKSSFCFEDRNCMKYDYIYDSDGIPVDINCQQC DVGYFKKGTRCSSCQNEPGLEKCFICHNANECKSCFATHQLTADKKCVQNTAGCNSPCQT CLVTDPNYCTTCFYQYKLSARIIPGQCVCDQIGGYAELNGKCLLCTIGDCQTCTLKFGDC TSCDPLRNRILIGNTCPCLQGYYDTGLEDKICKQCYPSCYNCSGPFDTDCTDCGDPNVYH KQFFNGKCICALRTIEINQSDGSTLCQRKNTFRKNLACHPRCEKCQNPADSTSNQYCTMC ITEQIRVVSDDLKCICKNGYGEDGIQDICFSISQYQFQECHYSCLRCNGPLSNNCTECSS ENNRYLNSDNKCLCGSAYYDTGRNEVYCYLACFHSCTNCLTAGEDSCTACPSTRAPDRVG ATFKCFCKDSHYYSDQAQLECLECHFTCKTCNGIEKTNCLTCDLNYRQLIISKCECPFGY YDVGELQCQKCYYACNTCFGSQIDNCITCSNQSNRIVKANQCVCKDGHLEKQIGDQICLK CSYRCASCSGSIDNCDSCPDFSFRDLGVNNSCLCPPKYLDQPENPICIACHNTCLTCSGG QSNQCTSCISSTGRFLNNQGECQCSQKYFDSGLPECQGIKLQCFSACSNLCYECKTSSTN CTSCQPEKYLNGNTCLCKTKLQGLKISTYLSQQFCSVCHYSCLVCKGRSSSECDSCWDQD KRIQQGTTCTCQPNYFDVGIAKCAQCNFRCQTCAVLDTQCLSCPPQSLRVLIQSQCLCPS SYYDDGMNIICQKCHYSCLTCSQIAAKCMTCSTTAQRYFNSIVNSCSCNDSYFDNGIEVC QQCHYSCLNCQGQNSNQCKTCIDKNISFRVYNGGTCQCLIGYYDDGSSGNCKKCSVQCVT CQNYSTFCTSCPTTRHLNGNQCDCDQSYYESGQEKCYQCDKSCLNCITNSTTCTECDQSQ LRILNKITCKCQCQNGTTEINGVCQYCDISCQTCLNTGTNCTSCGLMKQLQNNLCICIQG TYETGIDKQCQLCNQTCKTCINQANYCLTCSDENFRILKVGNQCLCKDGYFESSTNQCIQ CDLSCFTCQGSSKYCLSCDPAFHLQLSNQNKCICDSGYYFNTSTKQCEACNITCKECQSV SQCIECEPMTRYNDRDTFKCLCKDGFYETIEKMCQPCDMTCKTCVSQSTKCLTCESIHLR SFNNSNKCPCLDGYFDVGIEMCQKCNDLCKTCQSISTQCLSCYETEQVRILNSNICICKS GYFDNGSLICEMCSKSCLTCYGKSDYCTSCDLNMNRIDQSAIHKCPCQTNFFQDENEVCQ KCHLKCSGCISQADKCISCYVSNTSNRLTISLNCNCKDGYYDDGIQFQCQKCSYQCKTCV QSSYNCLICFSNLREGVPACNCKPGFFQNEQQACETCDNKCSTCEQIPSNCTSCKGNRLN KTCDCQEAYFEAGQPNCIQCDFQCLTCIGNQSHCLLCRGDRINIPVCKCQDGFYDDYQSM DCLQCEQYCQTCNLEGCLTCNGNRVLSEEKICDCPLDSISHIDTTWCSILIACEVAVIDV RFSDDLLSIKVLFDFSLNRNYFQSQFQEKICYKFLADETIKQLGNNPDCFLDQENEKQII IKLGSNATILPGDKIIFINYSIGHINCLAKISKFIFNYLNLPINLLPPLIEYDIPQYSLN PCDDNIILIKSKSQHGLRSIISIKWSYLLIGQSGNANLEDFVLQQTNLQQLDLYIPIQTL PKQSSVTFLVEFQNFIKQKGFQQIQIQTHSGQLPTILWQGKKQYYTFEIISLYFKILKKF CSDQTQIQKDRSQYQVSLVEIHRNRSNSRSSRVKVSQIVSDNFFEVNIEKYTLSPNTAYT FKMDTQETSTSFSSTQNQTIEIIQGGLLCQFIGTKKIQNYRKESIINIQCKDLDTQYKWN EDPEIKIEVSCTDLTKNSFCTNSNNMIFQVNKTDSIQVIQKQSVKPYSIWCWNVIAFKQG RQYYFKYNIVFLDNDFKILDVSYSKGYAIRPINSYETLQFDFNISFEDRFYVLEYQIVII YNFEVIKILEPQYFQYHFRLFDHYQYFNQGNQFTLKFLAQFTNDIMPSQYDLSLTLNQPP ICQIKLGQQTLQALQTSKIVANCDLQEDSPYTYQFRFFMNIQDYKDFQTQRSDYSLILNN FQQTNAFEMYLPKSEGVVLIQIMDSRGSITNIESYQNITEFKFNCSEFVLSNLSFKEKII LLLEVAKNHYGQSDCVRLADQLLKYTLSNFVSEDLFEQLLVLQTQKLYKRIIIDQTNFNS TRRTLSQPDQKGCYDIQKKLILINHSTAQKNSSDDTIAYTKEFAKLKQFFHKILQTKTSL ENQIQQNEIFMNELLFQSKESISNCLEAMILFIDDQFKKISQTSFESEADKAEIFGLTEK LIKLIDDIALPANDKVQVNGYPFTLNGQQLQYQTSKVTKDVFNQQLGLEKDLMDSMIVYV KKEQLKIHFNNLNISKTQIYEIKAFLNQTQLEIDQETQVKTKLQNYLYKKQYVNYERLNT GYIVDMTEYFYCNETSLPAYNFQCVQYTDNGSLSLCTLLIQEQNNNQSIQISCQCYYMGI IFFTRQLNSSQRTIEILEITTLQQDQDSNCNLNNQPYLLFHSIYIVFSIFMYYELEKLEI PKIIESFYNKNLQKKNIIKFYDFQSILRIFQNSIKFIHEIVCLFYYDDPIITKSYRFLKL SIFLSILIPLSFFETVLMIEKTFTSIMIVNYIILLFLRIIFKLFEAIYRFKGKYKTFIII LFFLIHIMSYFFLQYQYQIKQKLILDQKQLRQIVQLQYVNPNWWNYNIELCIFGPNIHFS KNCNIQLNHFINKNIRIKSIKIVCLFFYLAQQIGSNIFGQQYL >CAK55638 pep:novel supercontig:GCA_000165425.1:CT867985:155448:156000:1 gene:GSPATT00000073001 transcript:CAK55638 MEEEEKIWIQLIYIEQYQGYSDFCENMNVTNNLLCSDMRDVGFICFCFIVFAILVQVRKY NIQILEVVRLIVYLKKGNPCVLCKLKGKLLQIVFILLLTCGYVGYFLTILSLFQYNQKIK YFGFAFWMGAGSIMGLILVSFYYRKTKGSLNRNNQVQKYLLFDNGKVEFSNIAE >CAK55639 pep:novel supercontig:GCA_000165425.1:CT867985:156023:156621:1 gene:GSPATT00000074001 transcript:CAK55639 MSIQLFALSSFLFQFYQVYMQKKNNQLILSPSGLGSNIKPGLFSKHCELNKLNKQKSFKI EETLTPKLFETKESSFAPQPKLQKSQSASRLLLPKEQKQERADRQEIQKIPSIKQDQITE LSQKLYRELIEFNEQADFGGQNEIQQWSEEMIKKLQIIKNSLEQSDVFDNQDNVTEVQQQ LL >CAK55640 pep:novel supercontig:GCA_000165425.1:CT867985:156858:157283:-1 gene:GSPATT00000075001 transcript:CAK55640 MRFFMPNQAGKAINSSIKGYLIQFLFEDLPQIIILIIFLIKQAIKNGDLKWNIIITLLTS SFAVITSFYKFMSIRPTYLQQEHFDELSDKKILSKEDAALKDLSQEYNQIETFTNLLKKT ETVLNVNESAMLL >CAK55641 pep:novel supercontig:GCA_000165425.1:CT867985:157579:164156:-1 gene:GSPATT00000076001 transcript:CAK55641 MSQNAVVKALKMLVQNNQELQDKLKFTTQEVQSQKKRCTFRPGIQVTNQLNQFNALVKKG EWNGEISIKDYKGTFKIEHWIYIEQELRSLTQLSLLQFINTQLDKEHLNILGRLINWINP IDFTLILKQNEIDDLDVKDFLYDLLLNKINFQQIFIKSNPGIYKGSFIIQLELFLKKEFE AKRRNYFYKLIKNLENFSMGLIKIFYNEVDQLSYKEKIANAESEIDQTSFYDLKVAGCFT NTNYKAFYNLIQLLYHEDHLEQLVGLNINDNYLGELGEFSKACQQFSTSKGLLNLEMSNQ PLLHNSVSVESLCGNRYDQLRLSMLNISYNDKMTPKVFELISYNILNDLKVINFDNSLPA ELPTLLKLFYFIESYDKQIANLSRLTQQTKILQTLNLEELDLSTVENYNRHDLMYKLIKN TCFNIHSNLRKLTISNCDAGRMEAYYKAYNDFVKEIKANSSNCYYQNYRIPLVEIQIPEQ RYSMETPINLQFLLSLVFTTTEDYLQMESVTFTEAFNVNQRNEAFNEALTIISRSDQNVR YTLKQFKGIRMRFYLEQIHFNQLVFNNNLSLEKFYLEDTSFGTDSEQFEEGLTTFVNDLT LNNQFFGLKSFTLKNAYGASLELYSSIHYLIFHKCSFLEELCLIGITITIKDKNKEILQE VMKNEQHSIKSLKTLEIGNLYGEQVYENIFEFVVLNSYIRLNKLTLIEIPITNFLESIIT KNINIEDPDHQLSQLKQLSLESIELPDVNQWQLFVQTFLFNMNSQLTRLRLKSIKFCENM QKAIQKVFENLIKKIELQNQIIKPRLNSLTLESCEIQTEFLIMFALNPFCKIKELSLNDC HGFDKYLMSSKQIIKENELKETLTLSLNSFVAINTEIDEAFEWFFEDIVFNEAKQQLTTL VLRNCKCQKKHVQSLCKIITNLKNKEKNNNLKRYFKLRDIDLSLNPDISAKQWNNLFSIL LDVNKNNLVQSQIKEQQEGENNNEGSLEVENKLSEIKTIIKNQDLNLAEKILFHYNKFQF QPPQQLRYLELDMNLTYSPEEQTQQIYKALVCGIICHPLNKLVILKLQNFNIELFMRNVE EYQKYGQQLQRQINVNEAYNYQLEEITMEGITNDSEETTRLFVNYFICSKYINLKKLDLS GFNYGIIKEIIQQSQQKEKYYINQLNLKGISETLDRDNSLKFFKYFIFGELFPIECLEIG EYFNFEGKINTNDWYGQNKYEKDILLKKLNIYSGGYDIDKIETMSNLMNEILQNPTTQLD ELTITGDEQECRCFDAFYERGLKEIKQLHIKKLVISNTVLIDDNFLSLLNKSRNFLVNLQ LQGITSYAQTETILNEQINSSLNKVCDLTVKSIKGEDVQVLQEKFCFNKNFNFTSFTYCH LDSFNEQLNIGNEYEKLKYLKLSFDLYYNNQEQLSEESLRIIGEKFIYNEQSQLEELTFH QCHLKPPGIQALTEGASKFRSEIESRNKQSSTQLKLKRFNIYYSLYIGLEGAELINKEIL YFEYISIERIELEVTNWSSEMQIDLCKQAINWLKFQKQFKRTYINHLPLKYFDIGRNEFV QTEEAWNLTCSTILFSNLTPCMETVFLHFMALTDASTEIITKNARTYFASKPSGYVYQVK RLSFSYNGSLTHKGWLDVSQNLFFHPKVELLELNISDTNLDNDRKLQNIVDSAIQKAKNS SNRKLSIHTLLTHNTVLKQKIQPYLSDQPEGYGPPPDLPIDIDYGMNDVGRFAGTPEEFG YFNQLQYNIMYNQRNFKTASWTEQAIIKVSQYHLSLANHYIQILNDHFIENRMQSATIYL NLNTLDLFCNYFKYTTKEPGCPYPFTLLFQNDTFLAFKQQNSIIQKIVIINAQTQGLEKV THQFILYIWENIKSYQSSIDQIIMDYYLDDDLIDEMFKLGYTEAEIVQLIRLIPPSKIRV QNTLTLQAIKAIYSIFYDTNHFEYSSIQYDFDNFLNIGIGYALRELAYNMKPIGCRKLFK KVLYKICNAFVQQTKQYKFNNEVTNLNKFLSTKQIYFFFLVINNLLFLAICLIAPYFFSH YYQGDASAATRQDFCINQPNKVAFYLYYSFAGVSMILEAYIYKNIIQHVPTFIEKFAIQI YPNEDSQIQQQKENDEKPKSDYKKQGSVLKSAQTQLDKFANSSQAIVEIVVLCKNIVTSQ LAQIRLIQ >CAK55642 pep:novel supercontig:GCA_000165425.1:CT867985:164312:166550:-1 gene:GSPATT00000077001 transcript:CAK55642 MFHLSQMDQIFLKSLADVVRTSRTTSQYDKLTFTTQTIADIKNEIQSRQESVRYQALLKL FFLAMEGNNIRWAEFQIINLMGCADFQLKLGAQLAAHLVIDSQSQGLIMVTNVFQKEFKN GHVECSATLSCLGTIANKDLSDSLLTHVLKLTTNTKPLIRKKAIAVLSKIFTINPLNIPG NLEMVIQQLQKESNISVLACGISLFCSVMKVAPKLYPLFLSIVYDQISKQKSNWLLIKLV RISNKLISLEPRFQGKLIEHYTRLLNQTNSKSLQYELVYSIMKYFKNHSQLYESAGDILK QFLNHQDPNLRCLGLECLTHISSSAGLMEFQEQILESFKKSDYFSKLQILQLFKDFTNQQ NFQTVIEFFLKYSDLESNHKIIESLIFIIMKDKFINVDDPEKLLLVYIPVIAQKIDTIDN AIRFKELLFELLTRVPGLKVYSDQLCNQILQTYAIQSDTLQGPTLNIVQRHTQLKYSLNH REIIFKSLIMIVGESVKLLKLDALTNIIKFLEQLNILNCYESSLSDIDSLLFKIYIQIIK TDPSNDIIPQIEQLIKNIYNKLHNISIVKISFFYESFILNKTKEQLQQLYNLYAEDLPPI NLEAQKLIKPPEGLDITQSFQIDIEEIEKIKNSNNDQLEQKPIIQQLEQADLVSKEQEDQ NTQILKQEENEEELKPLNTKTDPIPKKVFTINRDEELPPGVNQNDINQSKEEE >CAK55643 pep:novel supercontig:GCA_000165425.1:CT867985:167157:171135:1 gene:GSPATT00000078001 transcript:CAK55643 MPSIILQIRALLVKNFTQSFRNKEYISDLTLPVVTAIIVSLKDQLEFLGFFAPLFLSIAV STPPRSLLINLVEEKSERFKESQKIMGMKQRSYLIGWILYGYIKTLMSTIFLIFFWWLLT LIINDGNYGFNIESYKIFLTYFLYSSTVLNFSLAMSTVFSSPKLANEVSTFVTILSILLT FLVFLSSIQSSSLFYYCMSIFPQSSISFIYMGALKRGFFNSQAISSAYPLSNAALQLGIE SVLYFILFFYLDQVFPNEYGVSKHPLFFLQGLFGGSKKNKLNDSLLDEDYTHTQNGDYSS AIYHQKLNIQKKCTVSIKNLTKKFGDFKAVDNLTIKLYEQEILCLLGHNGAGKTTTISML TGMIQKTKGTIEINGIDLEENIDAIRENVGICTQRDVLYVSLKVIEMLIFMGRVKGLEGL DLQQEINEIIEVTELEEDRDKLIKELSGGSKRKLSLAIALIGGSSVIFLDEPTSGMDAQS RRIIWEILQKVRQQNRTLILTTHHLDEAEVLADRITIMAAGKLLACGKCDYIKTNFGEGY HLSITSQNQKILQACSDQVLSIIQEAQNDPQSQSDTRIFLIPFKSKPKLDQLIGAITKQF QNEIVINLKLNSLEDAFINIGMDEEKFIKKAKGSKASVNDSEIAMEQEVEQQRDIKIPKC LNDPPVYSFKQQFTAIFLRKFYTTSRTFSNYISIVIPFTLIVIGTLIIDEINLDFLKDDK NLEQYFKLVLISLFVILAFCFNSSLFITLPVLEREFNLKYALTVMGCRVLPYWIGTYAFD FLLYSFFVITFVIFSYIMQLSFVTDHMGYVVFAFVTFGFAYISFSYFAGILIYKKTSTAM KTFPFLNFFIVYCMPQNFWGICALLWQKEIGSPALIEFLIKSIECIFSFLSPFFAFQRAF QNIIKIDVTPNNNQPPPLTTETLYYSIAMFYQGIIFFILTLYLEGRQFKQVANNLENNDV KRSVRVDQQVIDQEQNLLKSNDPVKMYRLQKVYENGCVALQNVSVSIQKQKILGLLGPNG SGKSTIFNILTSLINKSGGQVKIKNQEVHRGKHEVFQDVGICPQFDCIWENLTPNEHLYL FGRMKGLSGADLSESVTYFLQTMQLEEYINRESGRLSGGNKRKLCVSNALIGGPCIQFFD EPSTGVDPIARRFLWRTLKLGVQLRQSSVMLTTHTMDEAENLCDKIAILVKGQVYCLGSP QELRIKYGDGYDIQLREYKNRQEITQFLNRQFQNITEIIQKDQENLQFHIPSQSFDFSKA YFSLTELVQKQLIHDFSINQSSLESVFLQFSKSQQDQYN >CAK55644 pep:novel supercontig:GCA_000165425.1:CT867985:171304:173472:1 gene:GSPATT00000079001 transcript:CAK55644 MDDVVKIQVADGTAYVKNLLYVDKKSNYYLILANHTTQVGKLFWKTLGLSSGNIRLSKEE QIADALKSSKGNVNPFAVANDTNNLVKNIIIDEELTKFQRLALHPIENTTTIEISLDDLQ NKFLKAINRQCKVIQLTDSAAKQELEQEKDQQNLQTLAITVKKSDFSEWYQQVIRKAELI EYYDVSGCYILRPWAYFIWEQIQRLLDDLIRTEDVENTYFPMFLSAKHLNTEKDHVEGFK AEVAWVTKYGQSDLNEPLAIRPTSETIMYPAFAKWVQSHRDLPLKVNQWTNIVRWEFKFP TPFIRTREFLWQEGHTAHSTREEAVKQVYTILDFYEQVYGELLAVPVIKGIKTESEKFAG GDFTTTVETIIPQNGRGLQGATSHHLGQNFSKMFEINFEDDKRQKAFAWQTSWGFTTRSI GAMIMFHGDDNGLVLPPRIAKYQIIIIPIIHKDLDEKQLNERCEQIRQILIKQKLRVHFD NRDNYSPGWKFNKWEQKGVPIRLEIGPGEFKNNEVRVVQRFDNKKYQIKIEELNQLNQIL DNIHYAMLEKARNELNQRIKQADDWKEFMTQLNQRNTILTKWCQREECEQQVKKQSGIES KEKDSEIGGQIQLTGSAKTLCMPLKQDEIKEGEKCFHCGQQAKRYVLWGRSY >CAK55645 pep:novel supercontig:GCA_000165425.1:CT867985:173951:174061:1 gene:GSPATT00000080001 transcript:CAK55645 MGNLDTYDEFSKWKIGSTLKIQQVQIRNTDLLNLSV >CAK55646 pep:novel supercontig:GCA_000165425.1:CT867985:174224:176109:1 gene:GSPATT00000081001 transcript:CAK55646 MEQDSKQSQLISPIQKAQQILDQSETQKANESQQKMISKSRIQKFRTEDFSLRKKLQNQS FYGKMKVICKGMQQYIYILLEDPNSSLVAYCLQFLLLTSILLSCIAIIVDSLMDNNSNSQ YDEISFYLEYYLFIFFGLEYILRMFSSTAFDQKLMGFILSPLNLIDLLAIMPFLFNLIFE GASLSGLRVIRIIRFMRVFRLFKLSRFMKDMLMIVDTVKHSAKDIIILITMFFFMVLFFS IVVYYLEYDERQIVEDEQKIHSISEAIWWCIATMTTVGYGDKLPLSIPGKMMACIAAFFG ITSISLPVAVMGMNLTQTLKEHEENIEIQKLKDQFVMENDTELINKREQTQLNLKELKFM ERRLEQLLENNQKVMDYVEQSQQLFDEVTQDLMSLYSALTEQLDLHIETKMKNLKARHRI MKMEKNLNQKKSIELSQIVSAFKEKQRLISQGSILVCEESQADVFSIASKHSRQSKQYTT TRKNQKLRSKNSRGSYLCVINNSNLNPFKTQTDFNDPDSHQNSIIYAQISSKNSVLNQDA LGGNAEFKFNLEESSGNIDEEDDNDNRFDLSSKMQSISNIQDFKLKNSIKNNNIL >CAK55647 pep:novel supercontig:GCA_000165425.1:CT867985:176182:176950:1 gene:GSPATT00000082001 transcript:CAK55647 MILSTIKRVMSSSKRIMKIKKNNNIGDFITKVVYANNALEFINGLRLDQFFGYGIDENLY LKIKTCNLNYKKCDTINEELIKQFNDIFQLIWIDTSIEKLQAARLLYNQIIKNNNQFTDK QICDLYSQLLQKIKSLKNKNTFKQRVHIMKMEFVIFKIYDYQHFPDIKKNCNICKVCQQH KSKYYCGGCFYFTGKKWSLCLDGCFSKFHQEPSKYLCRKKMNQIK >CAK55648 pep:novel supercontig:GCA_000165425.1:CT867985:176964:177705:-1 gene:GSPATT00000083001 transcript:CAK55648 MQSALVYITLPGEKIPLKSLVSGNTIKTNKQDVNITKSLEIETKHAGWFWLDQQKIAICH NPIYYTPEIEDYVIVQVTGKNSEYYFCDLGNGLVYNLNQMDFEGATKKNKPNIQVGQLLY ARVIELNHYLKGKLSCINPQSKKEWTTGENLFRELTGGVIVDLPLNFVQSLLSSQTKPEL FKEISKHSSFEVCVGKNGRVWIKGVDAVLIINLLKRCAPLPLEQQLNLIHKFASQFQQ >CAK55649 pep:novel supercontig:GCA_000165425.1:CT867985:177721:178095:1 gene:GSPATT00000084001 transcript:CAK55649 MAAEFKIIVDRAIQKYQTQIESLQIKQQKCQAQVEDLYKNDEKIKSALLIECNKDVNNFN NYLQQYRQQQINSMTEICYQELQLFNDINSQEYKTAKRNCIRNQLLNNVVFEQNIQLKLS GMQV >CAK55650 pep:novel supercontig:GCA_000165425.1:CT867985:178149:179716:-1 gene:GSPATT00000085001 transcript:CAK55650 MIQDSLFAESFWNPVYDKWQLNLDDEEEQTFELYCENNLERFKVVSGQLYANSQYINLLE TYVILDERGVTLQNKFGQINVNGPKLQQFYKVVDQHCMHLDIQLYYEIEKVLFDLEKFQV LQVRDKQSGMIKSIKKYAKMEGKEYLKQIIRNQKHEYLYEDEHYLYIVISYLNGKPIIEL SFEQSFFGLLKMLYKYHNEGLVLNHFQVSNIILLDDNCNMEVLDNGKIEKMTNEYSKEIF QDVQLIKSYLISKFPKEKDTVQNVINSNVQSVQELLFNEIFINYFGESEFYRLLNSQPYQ RLNHQSQNSNLNLLETFNTIRSSIMDSSDELEDVQLNRQFNFSSQQFDDSPMLSPSQKPK HQSRLSSRQVVLETLLQENIITQQQFQQFYREEDFQNEQEINEFSEMLTKEIENSSLTTP IQSDSNTTCPNTVIIHSKKNAANRICDILHEAQQELIQAAKKPYNVNKVQNVRKNLFNLH >CAK55651 pep:novel supercontig:GCA_000165425.1:CT867985:181077:183169:1 gene:GSPATT00000086001 transcript:CAK55651 MLTRRKSSELIEPEIVKKVKGIDESNLKAYIKNKQTRKVLEGRGISNLFPIQQQCFETIY NGDDIIGQDRTGSGKTLAYCLPILERIRGLGLKQNKNPYVLVLLPTRELAIQVTTEFNTI LHKENEYRIYSIYGGTDLRNQIDQVRQGCEIVVGTPGRIQDLLERKVLKLDEIQVVVLDE ADQMLNFGFQENIEKIMSYFNERKIQMLLFSATIPDWVKELSHKYMEANTKHINLIKRHE TQTSTTVKHYALQCARNQLSGAIGDVVSVYGGRHARTIIFCETKRECNEIILHSKLPAET QPLHGDIPQQQRTVTFEGFKNGKFKCLVATNVAARGLDFPQVDLIIQCNPPKDIESYIHR SGRTGRAGKDGKDMGLIERVERVAKIKFIKISAPQHQDIIKASSRDLQTIKRLVDMFQPV AQEIISRCDPVEALARALACVSGYKDKLQNRSMLGSFEGYITQVLRSSTPFQACGYIWKF LKNNFSEQICNSIKGMKKIRNENGVAFDISEDVKEEFENQYQEISQNGYCRGIEIEQATS LPDIIEEQFQSHQNYHQQPTVQVSQTVKKEQEIFIGGLDFKITEDEIKNEFKNRGVELFN LRLLRGQDGQSKGSAFGVCRTKEMVSVAIKQNGTKFRGRNVRVNMANEKPK >CAK55652 pep:novel supercontig:GCA_000165425.1:CT867985:183300:184094:1 gene:GSPATT00000087001 transcript:CAK55652 MLKQKLVQLQQQRKDLEDHIEQLNQQLQVYYDKGYNKSLIDEEGFPRQDLDFGELSTYKN LRREFNEKNNDYKDLMKLLEQTMISYHQELQNDPNLNNEIEAYTQKWKEQQQQSKQIQQQ QQQQQQQQQQQQSSSSQNQRNEYSKQNENDLIKPFAYLEDVIKDSPADKGGFKINDFLIR FGIIDHSNHNRLQNLYEYIKNQQNKQVNVKILRLLTQQANVTNIDFSKESYIIMDLTITP QTWNGKGLLGWKMNVI >CAK55653 pep:novel supercontig:GCA_000165425.1:CT867985:184138:185324:-1 gene:GSPATT00000088001 transcript:CAK55653 MCIGSPILLTIKDLDSQEDLCKKGVNQIATYSYYGFAVITLIFESLLYKQIVALIPSHVS KLIDIDENTQDNQDAQKQSILGFIQQNRVTPLDEQHHLKENGENSKDYLTKFNSNIKEFV NSSYAQSIQFLITLTLSQLSKYDLYNDVVFIINCFNCKQDSLYYAALIITVFNLAIYLIY FIEVLIRRIQRIKLQTKYLSTQTINDFFDLCTVGRNAALAQILDMVAPYNVSVFPNRWII RKLIPNAAGKSLNKSIKNYLIKFIFEDVPQLTLQLTFIYKMTISKQLTLGWSIYITLGTS IVTIIISFFKFMKLRPSTISQTDFDKLERTNYQIYDYKIAHLSNEMKMLQKYDAFSASER NVEQSLIIKKNDSDSMV >CAK55654 pep:novel supercontig:GCA_000165425.1:CT867985:185351:185605:-1 gene:GSPATT00000089001 transcript:CAK55654 MKVTTFNIHTFRMIIEESQIMELVIQLEHQFMESTFSPKFSFSLRKFYISCYQSLFQMQI NIPTLQKLSNQIRNFRVLYCILIF >CAK55655 pep:novel supercontig:GCA_000165425.1:CT867985:185877:191229:-1 gene:GSPATT00000090001 transcript:CAK55655 MEEYINTLKKTVDQERSSKRHVQQVAQLEIKRMFKFDPDTQLQKQMKQFDILLENKQWNG NLRFVNFQGNFKIEYWIYIEKQLRFCDQLKKMKFTKSKLGLEHLQLLLKLLIWLQPQGIE IEFNHNELDDHTLNDFTLELIKSKLNLKYLLISNNPGIFRGKYLQNLSDCLEKQLTLNLS INHQYKSIILYNKRLFDEKNYSYIQKKLKRMKYHSFNLSNCFNEQNYQGFEYLISTCYSE SALETISELNISNNYLGPNTLIESLFVSLAKAKGLLKLGLNNLNQLTQSNCKALIGNRYS YLRLLELDLSNNSQIDESALQILLDEIISKSCKYFAFENTFQNSESLLAKLYHFAQAFRN RIKQGERLNLESIDLSTIEIIQQYDLLEDLLKFVVFNPFSNVKSLVINSLTDGICQSYIN AIQNCKKQYRTAQQLNLQSITIKKQNQQLGEEVLKQLWNNLFFTQEKEFISIQTLCFSSR SFELDSNCFTFQQYLNSLDVYRTFSLKNLIIKNTFVTDKLTEKILQVFLAQRTFDLETLQ FKEDNFNDTIYFDQILLQSKFLENQSDIKFFTLKKLDLQIVGLQMNISLFLKCFITNSQV KLNQISLANLSLTYDQLSHEHYVSPVKDDNFDLQTVKFKDNTDINNKAIKIFSEYCIFNQ FLDLQYLQISEFEYDMDLSFQFDSLKKLCLYHKFKKFDLPLKIILASPKLEEVNFKKVDI NEDLSKSLSKYFLQRQQQEEQGKQLFYFITKLSFIECNFSSEVLQFFFLDPHCDLEQLSI IQCKGFEKTLDKLVAGLKDSQQLIKSQLTVLIMKKLKLQKNIKAFLQFLEYVCFNQEQIE EKDLRCQLEQLVLSNCSLNDEFFQGLIDLISTCIEQEQISKKFLKCIDFSDNNQIKSTLW RKFYQLIMSKEFQQQKKTKILVQHTDFQQQEQRLHGFIEQNQLNLQDKIQLAPEKFIQSP PPRVQEMALKFFTEFENEKEKTNYYYRMLAGLVYYPKSKINNLKISDVDLDLFTSISEKI LIQSDLQIKDKKQAFTSIKKISLSSIKFNDSLNTQSFYSLFINNLVSLKIADAQSGFIEG LLNFLDKFEGKLKITKLHLIRVDEYLNQNQSNQFLKDIIFGQKLPIKQLKISESIQFKDD DRFVKQNLGDWTSLIQKLIFKIENKDSFQFLQSFSKYLLESQKLQHFEIECPQSGSLLFD NVDLINSIPKLNVLKLKTVVDLTPKLLEYISQNSTTLQEIKFSSLRIQNIKETMAWFNNA ESIALNCKLITKMEEIGEDEQDKIIQNFLSNKIFQWQQLEYKSFKGLQKFNNKHLSDNFK NLIRLEINAQFELSSHKKISNDFLLYISKYLIYNEESKLKEFILVQCNITVTQIFKLINQ AEEYCENVGKPLSLTRFTLSKSQNIDEEAFQELSRYLIFFQYIKLERLELVQLNLSNQMI QNLIETSKEWLQYQKQKVFEFKCLNFSYNDTFGSVETWESLCKTFFFSEYTPMLTHLFLN GMDIKNHIAKLIANCAFQFFKRQGLNYQHPLKEINFSQNRSLNEIGWYFLSKYFFFHICV ELKDIDVTDCELNEENKIKQFMAPIYERVKQFGNIGILKFRSDNIHLKNLLYKVNTIPVK DKFKVVSDLPFKRNKYAGWGKVEGKNQSFGILQKNIEKTLKMQRRINFCDCWKNLNEVKF GAYHLNFINHFLQVLPQLSLEKEYSFSYYCLDQLFNLFQYPDNGIGNPYPLSGLFNQRCQ DFFSTSQISKITLVETLFPTA >CAK55656 pep:novel supercontig:GCA_000165425.1:CT867985:191416:193901:1 gene:GSPATT00000091001 transcript:CAK55656 MQRDLAIFPNTPQLQGLFNPTPIHPMTAFVARSCYKYNQLQRNKQQRIVIQHIPQKLRPN EKRHISLPRALQAIKKQQFESLRRSSHQKDQSYSLVFSEFKQEELILSNIDKFYNMQNKL QTSNDDLVEERKANLKELKRSNSKMKNALENDKTKLILQNILGGMQQKVNEVAHENHMRI MGMQIKKFKLQDQQSIRNKAWSQEKERESSKSFVEKRDFNTRSVQKKRYQSPQTKIKNIS QQAMKTNQNFRFSLTDYSSLSREQLFQMKLLSYHYLIFIVASQSNFYVQPSEGVIELKFK VGKGNNSLLIKELFKSRWWWNLNQEAEYKELNFIWTQIKVPQFMNLQEWSKDPSINRLKS LSSTFADLKRQKKQKSPKSNKQIQKFGTFDDPIKKLIVEQDVNELKSMDKSIQSFIKYAE KKELKIIENVNKIHNHIERNYHLGNKKAMFHNMKKYYELTKQELSLHLPMTFHVSGTKDK QYQQFMEYYKQKKGNNIWIVKPGEFTNRGNGIIVCQSLAEIHKIVSKKQVHPNGKPFTYL IQKYIEKPFLYNKRKFDIRCYFLITQLNSIIRAYWYEEGYIRTSSEEFDIKDVSNQYVHL TNDAIQKYSEAYGKYENGNKLSFAEFQRYLDKWHSNDHLNFYKDLYPELKIITLNAIKSV YHKIEPYKRNYNFEIFGLDFMIDEKFQPYLIEINTNPCLELSSPLLGRIIPAMVENAFRL SIDTLIPPPEQSTWPPNKKHLLFYDNMLENNRFQLIFDEREDAQELNVLYSNQLNDDQTD EMDEEEEEYQSDAD >CAK55657 pep:novel supercontig:GCA_000165425.1:CT867985:194049:195658:-1 gene:GSPATT00000092001 transcript:CAK55657 MIQRSADDSSLTIDNILKGNQAFYIPVLLQASQVKEQIVEETQEDKPNFFQEVQLLTKIP EIVSFNLIYNYKTIFKNEGISYEDAKHLDKTRIKRKEREQKASGTSVVKEIVSTKKQYVR LYKNQISKLCFIAPKNLLLISQHLGNNTQWEKHLKLQDFSGQRPSLSIVQEKTTGRFYIY DNKQGKVHIYSSDMLINDNKDKPLVSLNLNEDKPRQDPLLYLIECEHEYQWKSVLFVIGG HHLQRGEKKPLKTIKVFEIKRREVTLTPVLIITMTKSRMNPIVFDLIKDKGLIWQDKDNG EKEKVDAEHRSVDQKYIFIMGGNPLLEYEREVDQELIEANQTCEYVSLKLILEHIARAKL FSMTDQANLSLNFGKFSIVDTLTIKYQNIVATEKVYSHFYNASVTKMVDDVFKQKALIIL GGKASQTFQIQAISFKLNEITLIGQTKQISKPSLIYSASNLRYYEKKVFYFDDTDKKEPS QLQNLESRRDTKAACTCSIM >CAK55658 pep:novel supercontig:GCA_000165425.1:CT867985:195993:197681:-1 gene:GSPATT00000093001 transcript:CAK55658 MNYKLTEPLQNQSQPPQIVGEFKTQKGKTRVLHGYSNQIIVANKKKFISLTFDTKFSLLR EPNEEKFKVGKPLGLQIERENVQHKITLFSDDVQLLKNWRDYLAKHINQRGFHESFKAHR KIGKGNFASVYLADRLEDERSFAIKAFSKEVAYGQDKGKLSILNEIAIMRQLDSYALIKL HEVYETDNSLYMVLDLLEGGSLYDKVKNRPQFNAFEIEVLVFSLLEGLHHMHSKNIMHRD LKPENILFRKQGVIQSVCIADFGLAQRSDEFPYLFNRCGTPGFVAPEVINCKDGGRYDPI CDIFSLGLIFYILLTGKPAFPGKSYNDVLGKNRKCEISFDASIFESVPQQAYDLLMKLLD KNPKTRISAKEALSHGYFGRRLKQIEENEDNALKNQEEQLRFDKQRLKQLNNSPLHSPLI AASSKLRKDYSNDSLHQQSPLLNGRTDQIDSPLINSFNSPSAQGRQLNRNEQQQQKPSRF SNNDGNNLSNEGNAKGNSTQSKSFSLNQNPLHKYAIRNEMARQQNQQEQKQ >CAK55659 pep:novel supercontig:GCA_000165425.1:CT867985:198195:199869:1 gene:GSPATT00000094001 transcript:CAK55659 MQQQVLTKDREKIELFFSARGLANKDVLSDSDPQLYVYLTEGKQGAEKLIAKTEVKKNNL NPDWKVSVVLDFIFEVNQYLRFLVVDSDGDDIKDDDIIGTLNTTVGEIMGSRNQVYMGQL SYKNKQTGKLLVKADKRQEIKGSNQVIYWQWYGKKIKNMDGWFGVSDPFLRFFKWHKNSD WLMVHETEFIKDNESPIWKGFEITHDKLHDENPQQPIKIELWDNEKDGKHQLIGSVEVTI EQIFFKEIHEFQVKTPKGEFGGTIGIKSFQKPSFIDYLKGGEQINLQIAIDFTGSNGNPN HQSSLHYNVPNQLNQYQNALSQVAEILLNYDFDKKVPVYGFGGIPSLPNYHKGSTDDCFP LNGNKKDPEVLGLVGIMDAYKHALNHVSLSGPTVFAPVIENAIEIAEKNKKKDIYNVLLI MTDGQIDDMDECVKLVKKAAKLPLSIIIVGVGSANFQKMEDLDGDGPQYQDCLRDVVQFV PFLKFSGKPGDLAKELLSELPDQLIQYKQLIGKGPNPAQQIDLSKLA >CAK55660 pep:novel supercontig:GCA_000165425.1:CT867985:199903:200865:-1 gene:GSPATT00000095001 transcript:CAK55660 MRQRINGFIDSSQNVTHYSSFECFCKFISQLILTSQKESILYIEKSNTMKLSKINNYSSF QTLIDKSRNLVFLGGTLQPLNEFEIFKERVDSNEFIFKEYPHIISKDRCQLLVINAQLEY SFKQKNENLNQLMIQTNSLIQDIEKCIPEGIVIFMQTYTFLEQFKQYAKSNNLQFSKQVF FDEKQSSQILEKYSEVAKKGAILLSVVGGSLSEGINFSDHLARAVIIFGVPYPNLDSFEL KEQININGNQYYDNITMRAVNQCIGRVIRHKNDYGLLFLIDKRFSEDKLRLKFSTWLQNR ITIQNDFKGTNFFESFKKIL >CAK55661 pep:novel supercontig:GCA_000165425.1:CT867985:201211:202177:-1 gene:GSPATT00000096001 transcript:CAK55661 MNKDVEEKIRERIKSQYPFPPYQSQLDLSKDIYVSLAQGTKVSIFESPTGTGKSYALIEG ALNYLEDIKSNTLIKVKQKCQIDDGMPDWFNEPDVELKLNPLRKPNENKQQKKHVKLVDD DAIFDYSSSEETQINLRGQSLNDKIIYCSRTHSQLKQFINEIRRSKHKVRVSTIGSRQQL CVNQSILSKANNNVNKLNHLCKSHKKQCSYYKSLDTLEQYTQEIFDINDLLTASKKCQSC PYYAAKELSLQADIICTPYQLLMESIDQYIEPIIIVDEAHNFGSALLQTESCEVSLSQLN IIVGGY >CAK55662 pep:novel supercontig:GCA_000165425.1:CT867985:202262:204329:-1 gene:GSPATT00000097001 transcript:CAK55662 MLKLIQVFLPLLLNSLFAIMSIIYLQLKGQQSYFLYSEVCCLILGAALFIVAQIQPKKKQ IQQDHSPVLFIILLLVQFCSLLQLDYRSLTQNILSLLIGGMLFLQKINYTSQQNYIKIKR TKALLQSTTLLFIIILVITSQKQSQYQFVELAITFILVIVFLVIQLLPKKLDKKSQNKII SLTQNSTTQQINSYHLWEKFLEKQILVVSANKNDIVIEKISEYLDQYLKEKNQNIDDYLK NTQIIEISFVNENIILNKMIEVKQSLYQYIKDILNDNQKQKTIPKNLKIQHQFQEDQSSK LMSPKESRSQLSIMFKQDSQSQKICSTNNGNNAIDQSTFEKEFLNKSTKDLSLILSNQLQ QSYSPLIQNKFKLLGIYNQEKYNYKKITFNNQNQALILEFEEDNIEQLKIQLNDIQNQYK LTVTKILCQRVSLMLKDLKSKLNQITTQNPDLKRLNQIQSQIYLLNLCNKNILYFLTDDF KEGKQSQLNLDVFLNKIISKLSQDTLIYEKGIKISINNELLLNQTVITYPQFLQFIIVNL IYNSIQQCSKTDSTHSIIINLKQLNNEDIKFEIIDDAGGLLNKLDYSRVLAGKLGIFVAQ KLLPHISQYPILKFKTIDLDGTKKGNLVSFQISRHIKEINNLKSYSTQNILNQDIYNVAK LLQSQ >CAK55663 pep:novel supercontig:GCA_000165425.1:CT867985:204357:205361:1 gene:GSPATT00000098001 transcript:CAK55663 MSQINSQLLRSLIVNPDNIDENFLCGICCQLVVNPKECENCQHLYCHECINDWLKKKSNC PYRCSESDIKLKEPHRFVKNSISHLNLKCQNADCEQIIELGMIDSHIKECKHTIQNCQNE GCEEKVKNLNLEEHKQKCQFRKITCDKCLSIYKISQDHNCIRALKQQIEDYSQIINQIKQ LYIQQQATQQEQQEQIKILQQLVARPQVIKQLTCDKGHQLIWMQPIYNQKCGRCALSNEI ARFKCQQCQKIYCQQCKRPYFYNQKCPNNHLLQFDQNARASISCDFCGEVPFKKGQGVWS DRECDFDICVSCYNSAQQ >CAK55664 pep:novel supercontig:GCA_000165425.1:CT867985:206874:215929:1 gene:GSPATT00000099001 transcript:CAK55664 MSLHLLVKDSGFDMFHSKRIIYNVRVKFQILELNDEQLTLDTINYFIYSLIRVSDESQLV FNFVELNKLQKTINYIIYFYTDQSIVKKILPINIFNFEGVWIFFYFYNHYDVNHILFYYY QSNLDQLYQETLDSTIKVKNTDQLQSSIRYFKNIQILESNIITYPFLGIIKQVETTSINI LEDLNSFKQTCNVELICTQIKTQLLHFNQSFTGGQSTDGQTDNIFQNAYKFSGWVKQNPI DIEIKLDSALLSISIDWINSQNQQQQYQLLKVLYNQHKVRSLNGFSASTYSYSFPYVLSS DQIETFKILDDSLQDLLTQWHFIQYEEGSSLNQGRPIYRVYFPQNDKFIECKWSNQINHF SDVILKFQIGGDYLSLYNFRGYLSDWKFSYYCQRNYESSYEIPCHYTCSSCKGITANDCL SCNENLNRIYSPNTNQCLCLDGYLETFSTAECKSLSDYNGVFEVKYFEVSCDTVGYYQCD ESKVQCDFGYFQYYGSCIEWYIYYFIMSPHFSVDIKDYKLDCALCYLSPWIFNQTFMCDF DLIADNSESPFYYFERYYSNTEIYKINFNNRYEFSYQVVGGKYMQQNQTFCKAGYFLQQG ECRQCIEGCEFCKSEYFCVQCSSKYALTAEGICKLCTKCELCYFDDRNEELCQYDFYCLD QQYYLNNLCIDCGQFCQQCDSEQCFYCIENKKYYVSLDGINCGYCSIENCEYCIEYVYDN DNNLIVSIDVNRDNIQSDMYQIHLGCAQCVKGYYYSFQTQKCQLLADDMAECDVGLSLDV NQGIVCLKSQSLSDSIQSTFCQDTKLCLQCINNYSSTLPSFCIVCKEGYYSSILTGLCKQ CPQSCKACAQQSSKYKDYWKWEIKAFYSYFINQNGQHPFETYVVDSDDSEQEINCLSCQV NYVLFNNFCILGCDDDCEDCRVMVDAFQQYQSRCFKCKSNVFNKLRSYKFENQLQIDSQC ETCPNYCSACYPRTQTEISQINLFFNQTSQYLKYSFKCYYVDQNQNYKYILPETLSLQNC RDFQKCTKTLEIKFKVYNNLGQLLDARAEETNINLLEMYQYLLDITLYNMWQQYETPELL EYYNSQNIEEISINYQLESSQLYLDFGSTLQNNLKQNVFNLKRVNVKMFALNKYVLYSTF NYFRVINYDQIIFEDFDFKSPSPYYATIEKNITLRFEITNKHYPQIVIFKNVNFVQTDQN NTLDFTLKCNRSKYFSMIDMKFNLNLTDSIFFQYYPFISKEVSKMTVQNFRVFNNFLYNS TLFYYFAENNTFNCVISFINVTIQNTLFTRNSSFITSNFQKDYDIGIIQLENFTLIDVIF ENSSSFIRILSGKQISVKNFKLTNVKFLDLSSFLIGNTFSIIGFDVVACYLEFGHIITNI GKFSESKQVRESSEVLYLENINFTNNKYVQEKAFIYILQNQVSNISITVNNLYLFNNQQF YVQVGKLSYSFVSSDLSQLYFECSYCTFENLNITRGLGYPELTIRNSKHVELKKFTLLQN PQFELKSLHTSINCIRQFYLFDLPFVLSIKSFLKVYIDDVNISDQVIIDYPYFIFEGQNL NDQVEPGFITITNSQFANNMLIITQLNRLSSIISFQTYQDTKILIQNSTFQGNFLNKYED DTQLHSSSTIIIDSQIGQVIIQDCLFQQNTVSNSSDSNIYIKSETLVVQNCMFINQNQLS HQLLQKHLLIPIDQTNYNDIVNEIFYIMSQGGSGYFFINELTMIQCVINNSLAVNGGAFY INTKSTGKIIISNSSFENTLTILNSNQFSSGGVLYIDASKSRLNLAITETKINRAYSRTQ GGAIYIEASRIQNEIILQDVSIANSFSFKSSILFFSPNQSIFQSTEQEFNIYLSQIEDLS IQDILPFQKENPAIYISYSNFTIVNSSFPEIHLINLIEIYNGININILNVEILNSTIFAS QIIRVALRQDVKARLVINNLIIKSLTIYQFNKQECISEIQNINNQLLCPTEFKNILLNPS VQTKLKNNTNQLNCNLNLVQTYFVNNFSLIELNSFQELHEVQLDNIELNSCHCKNCYFGL ISIQEFDFSSLKLKIKKMKVLNNTCGTLGCLSIFQSQSNDIVNENNRLLKAQNLVEIEYS PLYDIQMKIEDSQFLYNKALYGGACYIQSVNLLVDNCQILSNEAQYKGGGIFYSSNNTQI TVINSLIINNTADIAGGIYMSNDQMAQPKVMNLQMKNNIAHYYGDNVVEYPKQLKLKFNS HIILQNKIAFKNASSLIEESEIVPYSIFGQESKTSTLLLPSGIRISKYKYFDFTTQTYED YNLTFRILAINSFGEQITNLSNSFCSIYAQEIQNTEEVLFSKLQFDPEQSKQYLSITKIE FNLTTGDFNLDDLIIYFNPQTDNKISLLLAIACDQIQVFQFNEVFPYEKTSVISDYYVLV QLRTFKCQLGEYLNSTSGGCVECDITQNQFSVTIDALKCEFRDEVKMKSLQPAMIELREG YWRAYYYSNIIEECYHLRVNCEGGWHTGEQSCFRGHIGALCEQCDLYNIQGDGSFSLYQQ YQCSSCEDMAANIATIGFVLIWTLLSVFLSVNSTIKLIEEFTYYTKLKTIVKHIIFSSSQ TSVLLKVFTNYLQIISSISTFQLSIPAGIQLTFRSVGNPIESMSFSLDCYLAQMTIIPIL YFRIIWAFVMVGIYILIFIGMHLLLLIFDKKKKMSITFITTTFIYIYIYLSPNIISGLVA LLSYRNISNVLWITGNVAYGYNTEQHYKWLSFFIIPSFIIVGFLMPLFFWYVVYHNRAHL NTSKVRKIWGYLYNEYKVDIYYWETIKILQKEFIIYVLIYYEDYVPVKSSLIFFVLLVYG YLTNHYKPYEAGDLNSIDSLQTAICKVSIILSQTIYTSQSLGIQEIVIPAYFLLAILNFF FIVRVISKILFAYFERLSEQVDKVKQYIVFKFPYIVQNNNKYCLRLFRNSKERKQVIRNR FKLIKMYLIQHAKFIISYKQYQQQQLKQAQQSRCRGEQDYL >CAK55665 pep:novel supercontig:GCA_000165425.1:CT867985:216263:217016:-1 gene:GSPATT00000100001 transcript:CAK55665 MDNQNNEDYSDFFKVVLVGDAGVGKTHLMTRYVKGCLPKNAVPTIGIEFAGKTVTLQNGK KVKAQIWDTAGQERYRGITSTHFRKAGGALVVYDVTKEKTFESVVKWMEDLRYQAEPDVV IMLVGNKIDLVENNGSARLKVQKEDAKNLAQQHKVLFEESSAVTGQNVGQCFDRLLQEMY KIKSLTPGQDNQNQGISLINQNQTQNPDNCKC >CAK55666 pep:novel supercontig:GCA_000165425.1:CT867985:217215:217859:1 gene:GSPATT00000101001 transcript:CAK55666 MLLQKKSIFLDPNQLNLCNKYFKVIQILSNQLVETFTIRFDQVIYNYTNNTKFKDRINTK LLSFIFFLIFQNLFQMIFLIKQIFLNLLSNIRNHSNQNEEFNQQNKLNFTNLMGQICQLP SIPELDTDLNANHVKQMTYQDEIQYEEHENKICEQNQSFVLENQISMQLSQTPEFSLPPQ QTKLFSQQDSHYTPEFLALSQPLQQQMNIYSQQF >CAK55667 pep:novel supercontig:GCA_000165425.1:CT867985:218018:219237:1 gene:GSPATT00000102001 transcript:CAK55667 MCNQARRYHWYSIQARVITVIPINDITVVLIAIYHNLIIQLQMGATCSNTCCTNDQEISS KGGNDKQEVVLSKNKSLKESQRNNKETERFNADNDQGKSDASISSMKKENQLIQSTVGKM QRVQLEGMGLVNLEISFSDGTTYKGEWMNGLKDGQGVLKWPSGSIYSGAFLEGKLNGKGK LILDDEDYYEGEWKDDKCNGYGVYLCKNGARYEGNWKNDKQHGKGKEVWQDGNSYEGFYN DGKKHGQGILKFSNGTIYEGDFSNNELEGQGTMTWTDKRVYKGQWKKSKMNGYGVLTFPD GRIFKGHFQDDKKNGFGEFTWNDGKKMISSWTNGKLIYKLGKQNGIGICTNGDRKIGYWE DGKRTKWLDEQEKKLHSESIQQLELVKFL >CAK55668 pep:novel supercontig:GCA_000165425.1:CT867985:219254:220441:-1 gene:GSPATT00000103001 transcript:CAK55668 MSDPYDWPFPSRKDLMYLSSINKRDVGTVRPDKLTGQDFNLQTKDIDVGAQPCMKPYKFT NKQDFTNTTDDIAGTRSKVLIPSVGRDGFSLNNRDIKGAYPQLNKFQTTRPPTNPVDPVY VLPKAVPVEPLQPKFIRDQINIDDIPGTKPNPPAAKLRKTVHIFDEVDGAKSKPAFMPRD HMEIMDVKDINEYRVFKSSRVTNPLEPEYQLKDVEGQPANSKYGFVGGSKSRVLHPQINY NTKNLDTKDIEGCHVGAISDHFLMRQKRSQYRAINDTKDVPGAQVGTLRKGLLNHRGTNP LTPEYQLLGRNEIYSQNVPRTAFEGNRQNQGGEKPKTQQSLRSNSLNKIEQPLSTAQKFD QFIKK >CAK55669 pep:novel supercontig:GCA_000165425.1:CT867985:221564:222639:1 gene:GSPATT00000104001 transcript:CAK55669 MGVTQSSQNDHLEKYLGQDFPDGEILIGFENPSNICYSNVILQALYYCKDFRNYILQHQN SINQNNLLDLTKLLFQSISNHKQRTGVISTKKMMNYIKTKNKIFDGKYHQDSHEFYMWFI NECDELLKDKQNNWIRQIFQGQQLTQTECLNCHTISQREEMYCDLSLDLFPNYSLNTCLQ QMSKEEQLNGQNQFFCDKCQSKQDASKRLLLNTLPNVLVIHLKRFKYDERCGQMIKVSTK IPFSQQLRIKAQKQTKTYELTTIIIHLGQGILYGHYICITKIQGKWFKFDDDKISLFVDQ DLHYVYGRSYPTQAQTCAYMLFYNAQ >CAK55670 pep:novel supercontig:GCA_000165425.1:CT867985:222792:223891:1 gene:GSPATT00000105001 transcript:CAK55670 MSKNQDFEQVCQDICIYHESVEHKMKPIQKPISKLNLKKDKLMKKFASQIIRSEQRIKSP FQIEIFQQQKRLNDKCVETMSSELVLSKPQKNLSIDLSKKWHLDLSDLTDKGVRDLSEGL SKLQSLEQLNLTLCGWGYWNQNITDKSLQYITNALILQNQLHEFNLDLNMWAYENNSITD EGAKNLMNGISGLSNLGKLELNLKGWGDGNQDITDNTVLGLSTCLKKLGNLSEVKLVLWQ NIGKQAIKQLNKTLSKMENLTKIDVKFESCAQQQPVQNNNTDLKLQQIKVNAVYKRRLLF QVEGIMINLESLINKRTLWDIILKL >CAK55671 pep:novel supercontig:GCA_000165425.1:CT867985:224035:225322:-1 gene:GSPATT00000106001 transcript:CAK55671 MSIFLGLIVHDQVPRDRYIRALTEIEQLIYVHKEELVILNSDNILKFKIQNSVFWLPKNP IYLNTIYYRITGNDDLQSAIELIWDCLCILKENFPSKLELYQENIDEFNLKEWENELLRR QLNNLNNRQSNEPLTKDEIEDVFAILNIAQQLQNVKGQEICLNLLNQVQPFFLQTLSQSY LDQLEQIAKGQHKIIANSLLNKIIKVTSNTNNQITQRPNNHDQELINFIRQNPRYEQQFS NSSIIFQMKETNEIDRICFYLDYTLCKNQMLLKSIKPTIQTIIEKYYDGQYGLGQSELTK YCVFHTLLGQTILNIQQFDTTTIQKIFVIFEEGILNWIQSLVQMSPKQIYTLFPSTLLQI YQNQQYSSQIKSHKSNIFSMICNKMGMTANNNKSDQQKIYQNLDEIKCISPLLAQFLEQL I >CAK55672 pep:novel supercontig:GCA_000165425.1:CT867985:225492:227576:-1 gene:GSPATT00000107001 transcript:CAK55672 MTQRIRSYSFVKKNLDGSGLVKENSILKDKVHKMKFLVEDNLDILINELDQDLKQNASIT DMSNVFLIIQQNIERWKNQINTLKNNTKILEAQLERLKEDEEQMKIQTLNDINIISEKKQ QQIIDKDNVIVTLNQQVKDLQNQIEINKSKLQDQILHGEELMKQHQQQLIQRVAQLDNEI IQIKQQHQFETQAVMHNHEKDRKFFKEELDNQISLIEQKYKKYYLENQQFQEKYQKQVQQ IKQLKQQRDNFEKQLDQQNQKIKSYIEELASERKNKAKENKQLQEQQELINQLQNKILIE EKRQQRLKEQIQQLQDQNQALLQKHNLEINQSNSHIAQLKREIEYKDIYIINQQSNFTQQ ILIQKVKYENILGNPVTIEGESQEQLTKEFSQQYDDEVQIQYVKGLEQEIKRQQGIILEK SQQNADLQVKFQKLQQSLIQQIEQKVKETQYECKLQFNKMQQEYENQREKMLSEHSYKIN LLQQQLSYEVDKFKCELKELQQKIELLNKQIQEKEQIIIYLSEQLQQNKEQQSKDKTLAE ANINNLQIAQKQQVEQLEKIHQQKLNDIISQLNLQTSQNEQLNQQYSQLLKNNQELRNES KEQKQSIQQYKIQCDKLSKELQIQWDIAKSLNDRIRQMQVELENAKQTYNIFTKTTRIPA VMSSEIRLSKLRTSPMNRKLRSISNTRLQQLQNL >CAK55673 pep:novel supercontig:GCA_000165425.1:CT867985:228114:229447:-1 gene:GSPATT00000108001 transcript:CAK55673 MNQLNSIQSSLDTQRKRNKSLKQEKSYYEQAKRRKSVFVDQIDSHSSNQIIKNYKSFLPQ IKKNQVNSDGQDVSIILNHFKLYQQQNVKPNNWNQFFASIWDFIQKNGDIYDLYQELFKK LLFLQFQQLQKQILDLCYQEKILITLGYELQQDQLFNQLFSQFVQNYQLCLQELFSKGKF NKKQLQDVQSLIQMNNIIEYVNIDVQSEKFQQGNSTIWMINRKCNQTQQYKLNLKIIRRY FALWLHEARNLEIIKVLPRQLLTASHPFINAKLSQPILIVDLDETLVHFDQASNRLMIRN GSQQFLQQMHKYYYVIIWTASLPRYAKWALKKIDQQIHQYIDVLLTREYCIPHEKGFYQK ILSMLGQELSLLLIIENDYRSVIDSEKDHLHLIDSYLGQDDNVLFDLSNRLIDAYKFYQD GFSLQRAFKMTSIKQN >CAK55674 pep:novel supercontig:GCA_000165425.1:CT867985:229658:230018:1 gene:GSPATT00000109001 transcript:CAK55674 MQTEFEAQTPNSIRMSDISMSDSKIDDFRQVGRIESDNDFASYFALTRITKKIQDSFIQS NDPKAQSIKATYSSLKLIRKLFVTIYVLMTIFQKPIWCNPRQYSVYYQLQLG >CAK55675 pep:novel supercontig:GCA_000165425.1:CT867985:230122:231709:1 gene:GSPATT00000110001 transcript:CAK55675 MMMFKAAKYYSFHSITTERKIVAYCFVFIGIIIIINDIIVMIFDNDYSYNISTLLKPIFL IFYSQYFLGIIMDYALIIYRGKEIYFMMIFSYVFFVGLGTAIFRNQSEISDITQEEGICN SSGLPFSQKNYCTFLIMFQLQTTVNSPDIYLPYYGDRSVAVIYFIAYQFVNTILMINLIL SLFYSQYKKLVEERTKSLLDQHRNKLQQFQNKVLRKKKQNSIANLIKQQQQQANTNSQFL IQRILDHWIFKSIMQILCIADFCFIFQDNTDRDIQIVNISLNTVMLIETIIIAILRGLNN INKYPAVIFDFTISLVLVTLIIAIMAVQQDSFSQDILIKVCCSIMSLRLFRGCSWLLKSK NFVNILLKISAISTYLLQLFGTLIVTITIFNSIGQLIFGGKINYNQQHLSNYQWVNFNDF LSGFCTCWFLLIVNNWNVMSYDFSLSLQSDFIYLFFIFYYIIVVLLAQSVTIALLIEYLV NHVKDLSSSAEEDTKSEISIEENFQNELHVPLQQNFKAQ >CAK55676 pep:novel supercontig:GCA_000165425.1:CT867985:233081:235039:1 gene:GSPATT00000111001 transcript:CAK55676 MKPHQQLNTDEDEMLPDEPWKDYIDQFKDFKQKAGHKTEQDDQLLIKLEQNINQIGKGPK PNPDKAQFIHKTKCLLLRVKKDLHLFFAEEHELNLQCITIMLIQILEDYPYIFNELQQQI LEMLTCLTLKDYTLILLINSISLKEYIKKLTKEIFLDSTQYNPTSEILFLLIVMMIAHFD FIKNPELEMVQLVVKCFQHYQDQRDKTLKEREAYDQRKKFEKKIVRKQGLDKEPQELPPE LKYMQVPPETCKILKLGLSLIPNNICQMPSSYKYQQSEEIMKETSLNVFFCTLSFKIKQT LVQTIKENKRDFDVVQMLVDIAGMPECFTQQREALRTVNMFLEGFDDTLLWIKFDSTNLF DNSNLNNILIFGDPTKLTIDQGLFYDGCGCYFDKDQVLQLEDPLKINKKQISVVFWMVMF NPETSKTRGASNNYQIRTLLGSSDGFTSLIISDAYSQLLGITNVGPDGYGYKFFEPKNSE KNLSGWNHVVIILDNEQQSAFLYLNGNYESQINKVTTQFDIQFIGNSASLDQPIGYICDL RIYPRILNETEIKTLSYYDKKQVMLNSKSDIVDQILSNKVIVDLKRLLGYFTGKNSEALI QALQILSKLCQATTIFRLECAKYGIFDLAMQLLPSPNQQISYYAGQLINNMK >CAK55677 pep:novel supercontig:GCA_000165425.1:CT867985:236121:236490:1 gene:GSPATT00000112001 transcript:CAK55677 MINELERGAKGFEQKKQVKNAKQQLAKQKDRYQQLSKELNKLSFTQIEHTQNKSQQQNQI SEQQEQQFTHESLDLESQMIQERQEDINHIQNQSMTLQKIMNELGLKVNDQGRLVA >CAK55678 pep:novel supercontig:GCA_000165425.1:CT867985:236691:238972:-1 gene:GSPATT00000113001 transcript:CAK55678 MILIFVVANIHLVLSKNCNTFDEQIILGDYYSNQEGQIMAILQYKSYEECNTFVQVKRMR LKFQSELLENRYFVYTTQIYQNYSLQINTDYNITLGLKSLILRLPTRNGKQPFKLLNQYG NSYILNGNSLLNLQIEELDVMSFKIESIALIFINECNIKRILDHLSNKQDFWIVMFGDQS CQFQLSHLQYDTFISTSENQHVTFLKSNPFKRNFKIEFQIHNSSVLQFQHYQNDELIQNE FVNKSFLVSTNLGDNCIPYGQRISLGYYYTNYNKEDDIIDITYNTQSYCPQGFLHIFDDN GIDSWIKIRETRILNMSKIYENSSQQFTYVTYINIVSLNSQTIQRLGQIYYYEIYGDIQT KSSKYQFKVPLKQYDNKEHKIIFFGDMDSNWTGNKSKQTFDWFQSIQYNQSVYDALIFEG DMAYDLESQDCQQGDWWLRNMTTFTSYYPLLSTPGNHDSGANYEFDFYRMSFLSPDKSQY NTRKNYYNFYSVDLGLVHYIFYNPTNIVYDDSNQQQIDEMVQIMENDLNQANQNREKVPW IIVNSHFPMYCSDSSDNQCSQNFIALRPFADLFTKYRVAIYMSAHQHNYERDAPFINNQS QVNTGLITDGPEQHLVKNSAAPIYVVEGSAGQEYYTPLVPYESQPYTVYQTGYNDGIGIM TIHNSTHIYFEQLDLVTQEVVDYFWCVQDRQDSSSKALNVILWTFIGFFILGVLGMGIFY LIRRQKIKEQLLP >CAK55679 pep:novel supercontig:GCA_000165425.1:CT867985:239034:240007:-1 gene:GSPATT00000114001 transcript:CAK55679 MSDFLEWAYENRRQIGLDILAGSFSGMCNILSSHPMDTIKVRMQMSHDGIIKTITSIMKN EGIFSFYKGMLFPFISVPILQATVFSNHEFWKRFFVGDSKQSLTCYQNMIAGGLSGLAAS FISCPVELAKCRLQMQVQNVNKMWKNPVDCMIQIARKEGISYLYRGMNVTCQREILGYAA LFVVYDVVKDALISVKKQKEASNLDMLISGGLGGIACWTIGYPQDIIKTILQCDTGIGKT RKYKPHFLDGGFYSCLVEQVGKNGWRCLFKGYSVCIFRAFYANAIGFYAFETAKKYLNR >CAK55680 pep:novel supercontig:GCA_000165425.1:CT867985:240302:241454:1 gene:GSPATT00000115001 transcript:CAK55680 MNILFKPLKMGDMLLPNRICMAALTRQRCDINDLIPNDLMVKYYTLRAEAGFMLTECVPI SERSLAFPGQPGIYTKEQTLGWKKVVDSVHAKGGRIIAQVWHSGRACHSSFQNGLQVWAP SAITPRSKVRRSQFFHEVPHEMTIDDIKLVIQQFKQAAINCKEAGFDGVQLHCGTGYLID QFLRDSANKRNDEYGGSIQNRSKFCLEVIDEIIKIYGNGRVGVKISPVARVNDMCDSDPL SLFSYLIKEFDARQLAFIELRDDNDIANYSNYGYPGSKEQIPDLFQAFKPLFKGVLIGNM GYTPETAIKNIEENRFDAVTFGKLFISNPDLVTRIQKNYELNYKWDESTFYTKGEKGYLD YPLYEEVQQ >CAK55681 pep:novel supercontig:GCA_000165425.1:CT867985:241461:242421:-1 gene:GSPATT00000116001 transcript:CAK55681 MQINCLFCEKQRTDGKINFPFLLKTALYLKYNQNDDQPIDDVIKHSHKKWVIDFFEKAQE IKDEAFVRSYYQSNQIGSKLVDLTEYYKYRLDTPHFFMLPLSKAINQYNKIRKEYHYTQI KYQLGMLVHTKNNQNDLPFVKMLKMGETKQEDSVSKSLISLLNKIKPTQIKAKQIKQAKV YTQQSSLSTTKLNYQPLIKKSELVSRKLKAILNENGHSPVKQNNQYKKIQSKSQSNVHLN TQISMYNYKKLSVQQSMQMLMPTKSVQQSKLGSQADIISSIYLSKNSINLKSRQQKKLRN MFQK >CAK55682 pep:novel supercontig:GCA_000165425.1:CT867985:242442:242769:1 gene:GSPATT00000117001 transcript:CAK55682 MRPIPWFVRLGIDVSIFTVPIILLASYPRLGTHFLNNRRRKYRRRNFDEIQYRNDSTLSS EEVISFRQRLGFQDLI >CAK55683 pep:novel supercontig:GCA_000165425.1:CT867985:244733:245154:-1 gene:GSPATT00000118001 transcript:CAK55683 MQLCPQVDDNEPIVLGNVSEEWQFFLEAWKHIPIKYYSLQVGNCYILIPKREGKYASSNI NIRIILSILSKDEGMYVKFTITPPSTPCKINVKFVIEDIITQLPVEGIEMEINVKNF >CAK55684 pep:novel supercontig:GCA_000165425.1:CT867985:245304:247848:1 gene:GSPATT00000119001 transcript:CAK55684 MIRSEGMSLYQLLIPRESSYDVMSELGQIDSVMIIDHQQHLLSKPFINQVQRCDEILNKV EYLLDQLNQIGQTIDHVYDFKLMLQEFDRVLSLKQIQKHTFINQIEEYITGKYQQVQQQI DTLSRLKSKLQNTREAKQAMIYARDWLGGAYFHSKSSTALDFDEQMIKSYHQHGGMMPSQ KFTHFVGVMNSKDYQIFQRTVFRITKGNFMVNQTLLSVSRSCFLLIFPTFSLQSETWRKI KKLCDVLKVDHISLPLTEEQWDQRYCDYDKDIAEIENMNKLTHQLLQSILKPLLEDENTQ PSLLFIRFFLVRERTLYENLNKVKMQQSIFLANLWVRTSEIQLLENILQTIKQKNPHIPA PQIKINEIVNQQPPTYFQTNQFNKLFQLITETYGIPDYKEINPSMFSIITFPFLFGVMFG DIGHGAAILIFGILLSMNKVFSPRSEQKKLREQRIQLGLQIKRQVNSKDFNDEDLNDFNL TQIIFDLRYMLLLCGAFSLYTGFIYNEYFGLSLNIFGSCMNIQDCTYPFGLDPQYEDLSF RNSYKMKLAIIIGFSQMLLGILCSGFNYLYFKKWINLFILFPARLLFFTLFIGYMVMLII IKWSTFYADTSQAPSIITTLVDMWMHDGQVTLKTFESADYQSQLQKLILVICILCLPFLL FAPIIADSIAMIKQKKKESARFEMIPQNINSNLSNDDFVSKQSQHQSYIDIIVEHLIETL EFVLGCISNTASYLRLWALSLAHSELAKVLFDLTLKEPIANADLLASLLGMPAFLLSTFG ILLCMDSMECFLHALRLHWVEFQSKFYKGNGYNFEIFSYRKEMKKYQDKMKT >CAK55685 pep:novel supercontig:GCA_000165425.1:CT867985:248100:248519:-1 gene:GSPATT00000120001 transcript:CAK55685 MEINDFIFSQCEQEIDTKEDHPSLLQSDQNMRLSLFGLHSPEPSIIENLSNNGSLFDYNF SNHDYYGTKESEIVICKAIRKIRKRKSQKSGLLSKNEFIAILKRVEQCQSIQFFLDQLSH TVKTMKEHLLNKLKKTCDK >CAK55686 pep:novel supercontig:GCA_000165425.1:CT867985:248519:249563:-1 gene:GSPATT00000121001 transcript:CAK55686 MEVLVKQLIILALNYSFGEYQQLQIQINMDNTQITNSSILTLKREMFRSKLRRDMLEKRF KYKRAMKIKAVCDQIEKLQEANNMKNDSCSEHNQISEESADYFAVFQIGRGSKRQILTYK ILKSMKFYIQKNNTYQNQMYKVFNFCTEQLLNPQQENAEYLPLLAEIIFLLQNYSNIIEP QPFVVLLRAFVRHQEYKSLILQYPNLIEQLEEFCQERVDNNNLIKLLCEKITYANLKMIS FLFNTLKNKDVLQLFELYGLKQVLIKNVNNENYGKLINKILFTTGWIDEQNESENQSYQI FADVYNVF >CAK55687 pep:novel supercontig:GCA_000165425.1:CT867985:249625:250227:-1 gene:GSPATT00000122001 transcript:CAK55687 MDLFFNYDDSQQEFGCLYSKLNDKIFNNYFLQMENTFSDEQDLNTWNEDSNQIMLLSPIL LGRPSPRMKYIQEDFSVQSLPFQSDTIDEEQELNNPLDSVEKILKITKKIDKKTKKYRKQ PKTTSNPILNAARDFFSQVKQSNPAQQIQQFQQIKQMIDSMEDFLGQVKQQLITQVHQKG SRV >CAK55688 pep:novel supercontig:GCA_000165425.1:CT867985:250411:251015:1 gene:GSPATT00000123001 transcript:CAK55688 MCSKSGLFYLKFMSSACQDSSTRGIFQKAKSNNNYEQVNEEKKKTEICKNFLFKGSCKYQ ENCSFAHGDNELRDRVPANENFKTKPCKNYHKFGTCSYGLRCQYLHSEIKDKIKCLKRIW FHLLFHGKSKTRHHRSKEQTF >CAK55689 pep:novel supercontig:GCA_000165425.1:CT867985:251039:251471:-1 gene:GSPATT00000124001 transcript:CAK55689 MDDQQTEIRMMYKNLTTDLRNKYSPHYNLYQKQTLDEKINCFKQNSQQPDLYYKCFAAID ERMQSNSVQLQQSFNKIEIEDQGCQQKCKDSYQQDNLKQNMCLKKCMEDLREKAFKLQDT FYQAILKNNAEFKKIK >CAK55690 pep:novel supercontig:GCA_000165425.1:CT867985:251474:252760:-1 gene:GSPATT00000125001 transcript:CAK55690 MAPTEEFKPVTHFLRPQYVYLSLASLLFIHVLPLITEVPAGVQLVVQSLACLYIGAMATS KIKYNKENNKLEKEEKQQEDKMTQKDALQFPLYLSAYLFGLYLLLKYLDEAFLKTGITLF FSAVGVLCLMGIIEDAIERLFPIDYSTKIVVEKKFNLNLIFTSKEIDIQLTKLNFISFLV SMLPLGVYLGSKNWICNNLFGIAFTVSGVANFTVIPNFKIVYLMLWGLFFYDIFWVYGTD VMVTVAKSIDAPIKLQFPFTALNDEGNPFTKYSILGLGDIVVPGIFVGMCLKYDVDRQIE KVKKISEIKITYFLWCFVGYAIGIVTTLAVMILSGHPQPALLFLVPGCTLSVLIKAYLDK SLLQFWAYEADPEKPDDKASNADPIKNK >CAK55691 pep:novel supercontig:GCA_000165425.1:CT867985:252783:253424:1 gene:GSPATT00000126001 transcript:CAK55691 MLTKQFQLLRSVAFFSRVKVGLNSEIYVRKSHKYLHSIYEKESPIENAQQIVKDFNLTLG QRYQHGFEPEEMKDASPLVQKAFGLNNATDGQIVAYRIQQAIKKYQKWPLDTASALVKAA VLNERVISLMNHMEKNRQDKYCALTLTKLLAARRTAMYYLRTHDYQGFLWLVADYGLKDL KYHNHNYLRHRHVAATKTKRYTRKDTNRTRWAL >CAK55692 pep:novel supercontig:GCA_000165425.1:CT867985:253823:255635:1 gene:GSPATT00000127001 transcript:CAK55692 MTEPANPEKVQNQRTRMIGNYAIGKTLGFGTFGKVKMAIHEQSGEKVAIKILEKDRIVET ADVERVQREIHILKLVRHPHIIQLYEIIETPKHIFLVMEMVNGGELFDYIVKNTKLEEVE ACKLFQELIAGIEYLHKIRVVHRDLKPENLLLDKGKNLKIVDFGLSNTYKNEELLKTACG SPCYAAPEMIAGKKYQGLRVDLWSSGVILFACLCGYLPFEDQNTSALYKKILSGTYQMPS HLSKDAQSMITGILTVDPEKRFTIETIHNHPWFKIYSRSYEIPPGIVVGYNRIPVDQDIL KYLKSFGIDIDYAQKCLDANKHNDITTFYHLLLKRHLTNGGRSTADLNSESFDIRLLEPK QRPNKAPINSLVNNEIIKQQMRDEIIKQRSYSNDKDRGRIIKTTEQNNKILADNDQSVNR VGRNGQASSVQTRQKDENYFDQSPNIKKHNSKIPTTNILNNIYGTKTKNNNIASTEHRNR DEGKKVSSRNKKELDMTNPHRNTNREFQQINRILQSGGRSQNHKKERVYVTNQNNQSFDA PQNLNQSGKQKIYHIQKFGYYE >CAK55693 pep:novel supercontig:GCA_000165425.1:CT867985:255720:257172:-1 gene:GSPATT00000128001 transcript:CAK55693 MVFINNLVPLSILYCHEGILLGLSLQSVPSELKEKGAEINTYKFSFLILLPYLFRYILAP LIDSISLEKFGRRRSQLTILYAFLGVLLYISNFQTLDQSTLFWNLFWIFCVQSLIDLIIA AWLVESLDREDRGYGAVAQFLGIIIGGFIGAYIFQMFNSLDFCNTYIYTSPQEIPYITLQ IAFQDLSYLSIGLAVACCFIIYKENTNNQDVMETYKAAFNAFSNRYLIILFLFFFFFRIG QMPINLAHLHMKKTSFTEKQIQFLEILAFPIACALPYYMTKMIKANVLEIRLIVVFSIYE IIISGVFIICLLANEEGLLFPYRDLLLKISLISVWLLNLINITLAYSYIYKNTKSSISAT FIALLSTGITFQFIFEGLIQYLLENYNYYLIWLCGIGIYALFFYQVASRTTKIDQLDCSE FCLEIDIKKERFLISTELTTLS >CAK55694 pep:novel supercontig:GCA_000165425.1:CT867985:257198:258096:-1 gene:GSPATT00000129001 transcript:CAK55694 MRTPNDQQSFGLRQDNLMFQHNPSDTQKHQRAKSSLQNTYRQGTPITDLEELEGEKLFDQ FFKLNNSKSDSDFMRESVQRETKSTESDFLKFVENAIEYHRQSELHFRPGVKKNTQQDQK IKELNLSNRLLANELNRYKQREHELKNKVKLMQKEFQSEVSQLIQKNEWLEELLVKQKID NENSLLALKKSLEMIQGKCKCQKDLKSQPRPQFDLQATHSSSELSSNTEEEDFQYDFFKR RKSLSKNSDDHQFFQSKIKNPKDYSRDFFLRKKSAQDPYYT >CAK55695 pep:novel supercontig:GCA_000165425.1:CT867985:258201:259491:1 gene:GSPATT00000130001 transcript:CAK55695 MNKSQIQYCTRQHKAGQERIISICMNKHCQIRLACSYCYQDYHLNHVQDLIPIKEFEAVA LNTYNEQKHFEFISLIDEKAKILQSLKNKLHEYVSQLEAEFNLDCDNLIQSFVYIQNTLL KLCHCHQHSIKEEELLTVINRYSNVEYNSIKQSIMSQLNRPIECIMKLHDIVSKECKMIQ RKKFINSYIQEKELQNFYQENVLDYKMAPKKHSLIRGTMFTQEFMELEGKNKHYYGEIHK GVPYGQGILIQIDDQKIEEGIWIDGNLSWGQRSIQLKGVPSIVCGEMIDGVINGLGKMIC KDGDSYTGEWINGQKHGMGIYKYANGDMYKGEFKFDLKDGQGTYKAHNGDIYIGQFEKGI KNGDGKYISKKEDTYIGKFKDDKIDGEGTYKYHDGKMYDEIQFWLVNKECIRMVIQLECI >CAK55696 pep:novel supercontig:GCA_000165425.1:CT867985:259580:260304:1 gene:GSPATT00000131001 transcript:CAK55696 MKFLILAISLFIVNSSRLTADIYVESLCPYCMMFIKDSLYTAITTPDIEQMVHIRLIPYG NTKRKIVAGKWVFTCQHGETECYGDLIELCAQDSIVKALGAAAAEIPKAGVVHCMEDFIQ KPYTNNSFVQAAYHCQQYYPYDANEVINCASNSNGELLHLVAADETDNLIPKHLGVPWAV ANKKYTEESGDEIINNLLRWACQNYDGEKIAACYTQQE >CAK55697 pep:novel supercontig:GCA_000165425.1:CT867985:260426:260789:-1 gene:GSPATT00000132001 transcript:CAK55697 MRGFFALAKQFVSYSTVQTQQIKIAIRLVNQSVNSQFRLCSTLAALRLNNVYGLHQSSSL ADSEGELVLEAAISQLLSLSLKAELNTIRNG >CAK55698 pep:novel supercontig:GCA_000165425.1:CT867985:261156:261753:1 gene:GSPATT00000133001 transcript:CAK55698 MIHLPTIDLISLPKPNENEKNEHSLDFRKFKLNKITKMNHSLQIKLNIVNKESNAKNQVA FGSSQERRRPSGKKFPPLQYNLNFKSVDRHQASPKLRTIRSTRIKSEVSQQFCERFPYSI LKTETIEQTIQPTKLNCLQKLIKKVKTPMIELKSNIILKQDEFIPMSSKELKQSLVSLMQ KYGIRQNEIRK >CAK55699 pep:novel supercontig:GCA_000165425.1:CT867985:262231:262765:1 gene:GSPATT00000134001 transcript:CAK55699 MNSRENDLETPCHITFKRFYIKKVTINRRPQLLQQTIKERPKIAFGCNIKCALKDRSEQP SLFYDKKYTCIDKHHGVPLIQSARNSRTKSEYDGNEKNKKTYKFDEFQNSKSLSLSFQPQ KYGQKKKVPIHSDPLNYLNKFLPMTSRQLKESFIMLLKKHQSGHINLEQK >CAK55700 pep:novel supercontig:GCA_000165425.1:CT867985:262908:263830:-1 gene:GSPATT00000135001 transcript:CAK55700 MTSIYEKLRPVVFQANPISQQRSASQSMMGGNISKQFNSSGEVQNYFMQCRKNVTQQHQT TREKENTLNTTSTIKKDEIAFLKQRITQLEKMNSHQINENNKLSEIIQRHMENEKLFNKS QLSEIQYQTQIQELEKQIVRLQNENQVLLQNKEQYDQIELDKYKTKCYLLQNKVKQFQEE KRITDLQKKGKKLYLENQQLKSTIEYLQSENSTMQQQLLTKSTENPKFNQKDHKLQLELQ SQIKYMTQIIDLDQVKMKNLEEKVELLTQENRRLQEITKEKMI >CAK55701 pep:novel supercontig:GCA_000165425.1:CT867985:263876:264544:-1 gene:GSPATT00000136001 transcript:CAK55701 MFSKTLRNISKIVYNCSQQSKDVWSDAELLYHPKNPLNFQNGRSSLYQSQIFNRFRNYYI LISALGGFLTYYSAKKIYNYQDRGYLGILFYLGLLGITVRSMRSVTSQYQRLLISIDLLP CGRKVLIQHPSIYGFCTSKEVDIATISRPKDAYQKSIQKMEHVFPVIMDTQLLYLHRDPD FIVNKELLPAVMNAKYIKID >CAK55702 pep:novel supercontig:GCA_000165425.1:CT867985:264648:265961:1 gene:GSPATT00000137001 transcript:CAK55702 MSSLKSSITVGIFQSLSFCGLRQKILKNIAFQPPKVSYSLKPRLEDQMTKSTQTNSSSLQ VIESEHQKRQKSKSYDDENIHQSGLLDLAEEIMQFTSETSSPIRQKVSEPAYDFYLIDGQ GQEIPIPKQENLELTGYFLKGRKAHRIASLYLKYQFPASDYVMLFSHRNASDLGYMIDTL IDLCSNLRINIFAYEYSGYGLSQGKCTDLNIINNIQVAYEFLVSQLNFDPTKIIVYGYSI GSGPSVMLVSDIEFPVGGLVVHSGLSSGLRVLNSKIKQTPFYDIFPNVDRIKDVTCPVFI MHGKEDEIIDLHHATLLSNNCQRLYEYWEVENIGHQGIDTNDEHRKNYFYKLRDFIKLIQ QENQTIKELKQRNTASPKQFGQHNHYYDNKIREFHLSCRKVEDSEQFPKKFR >CAK55703 pep:novel supercontig:GCA_000165425.1:CT867985:266060:267388:-1 gene:GSPATT00000138001 transcript:CAK55703 MKYFQNGINQICSQIIRPLRAEYQQYDLGPIQDQTYTRNDFDLVNHCQQRIKVSLFQGNV QSDVCIIYLHSANGCRLEALRYVNEILNQNYMFLTFDFTGSGISDGDQVTYGYREIYDLQ TVITHISQYAKAIVLWGRSMGSVVALLYMQQFQNVFVKCLVLDSPFICLQDIVVQMASKR TKIPNFILNSLSSYVSDEIKNQCGFTLNEINCLNNLKLIKIPAFFITSIIDSVVSHEQTE KLFNNYQGIKQIYYTNQDHNETRDFALVDKVMCWLNQQLQSVGQRIKSKIIFKDHPIPIK LIDLNSFNNKERSSTFDDNRIISISPKQKTTNVQQRFQQVLQTQRSAFIERSVSTNKMNI SVDGYDTNQIKLINQNRFKQQNYFNQHTNPIMFSKVPNKTRDSSHHKY >CAK55704 pep:novel supercontig:GCA_000165425.1:CT867985:267450:267880:-1 gene:GSPATT00000139001 transcript:CAK55704 MKQVLMSIHNNDLVKRSQNESLNITQKNKEDYSQKMIDHSNIHHSSFFLQMEFCSLNSIL KCQTRNYVDYLSEIFLEYLFLMSKVVQLITFLTGMAVIKVIQIVILILYEISYISKVEFL CVFQQRILANTILE >CAK55705 pep:novel supercontig:GCA_000165425.1:CT867985:267987:270631:1 gene:GSPATT00000140001 transcript:CAK55705 MGNICRQNTIIDKENNEISTSQPPAVQQAVAPKAEEVKAEITEIKVQQDIKADQNLQEDQ PKQENEPKRKEQKKMAKIAAVIDQEVIYENVQKQEKLKSPFDYQLLLNVFANSFIFGQMQ PEDKVKVIESMFYCTVHDGEMVFKQGDKASSYFLIERGQCQIIINNEVKKTLKQGEAFGE LALLYNAPRSASVKAVGDCAFWAIDRNTVRKAIEAISQRDYEQNKEFINKVQFFESLTDD QKAAIPSALINLNFKAGEIIVNEGDQADSFFIIKKGEIQISRGGKELRIMKAGDSLGEQA LQSNSVRGATAKAIKEDAVVLALARDDLTRILGDKIQFIMYSNLQRWAFERHPILNKLTK LQVERIVSNMQQIQKKAEELIIEKGQPCREVIIVLQGSIKYGKEVFEKGQMFGDKFLDQG ENVKLGEPVIMKDDGMIAVITFKQFFEIIGGSLEQIFAKNEKAHDRFIKKEDGQKQDMYK HFELDQLISVKKLGQGQFGNVYLVYNKLDKKTYALKCISKAQIIEQNLEKHLAQEKIALE TVNFPLIMHFARSFKDNIYIYFLEEYIRGMELFDVIRDIGLLNTYDSQFYVGSLILCMEY LHLNNIIYRDIKPENIMIDEKGFMKLIDLGTAKNLKGKNGRTYTIIGTPHYMAPEILTGK GYTYSVDLWSIGICLYEFMCGNVPYAEDADDPYEIYEEIQKKALAFPSVLKDRKAKKLIE QLLSKTPELRLGSSYASLKNNAFFERFDYDSLINRELKPPYLPPKNKLHSDKDIQKAIQV GKLISEEIKNDPATASNVYKPEKARDPNWDKEY >CAK55706 pep:novel supercontig:GCA_000165425.1:CT867985:270662:271954:-1 gene:GSPATT00000141001 transcript:CAK55706 MNNPVQKWMIFPGINLFLNDELPNKVPKQKYVNGRPTIGPTYIYLFRYSYYLINKFLLLF LISMGNCATCDDPSIYEHAGEQMRPFKNKPNFEDQENVQQQAQKSKLAQSAVKSQNASFI VQQHIRQDLKKLDSIPDFTNAFTKPLIDQLQPFNYDQNEPFEFKSLPFYGPVEIEPSVFY YGQWKNGFRHGRGKQFWADGSIYEGYWLQDKANGEGRLIHSDGDLYEGKWLNDKAHGFGV YSHKDGAFYKGEWYEDQQHGNGLEKWADGSMFEGTYTNGMKHGQGRFSWPDGSSYVGEFI NNNIHGKGHYIWADNREYEGDWKDNQMDGQGVFSWSDGRRYVGGYVNDKKEGYGEFYWPD GRVYKGYWKDGKQHGKGQYKGTNRILKEGEWVDGKLLKWNN >CAK55707 pep:novel supercontig:GCA_000165425.1:CT867985:272166:273018:-1 gene:GSPATT00000142001 transcript:CAK55707 MTSIVQSSIQKFYHNSNLNLVILHGLMGSKNNFKTVSQSPLWTSQLNSTHLLDLRNHGES PHTQSMTLGEMAGDLSDYIKGINDVVLLGHSLGGRVIFKYLQQYEKEVQEKVKGVIIVDI LPKAVQSTYVHELLKKLIQINLNQITYNQLMEKVFEASQNKSIAQLLMTNLQSQQPIMRK DIQYDFKWRVNLQGILNDFQTNILTDITANWKGPHCVICGDRSQYVNNQTVNQMKMVFPN FKEAHFIQDCGHWVHSEKPQEFIQIVSSFIQTLR >CAK55708 pep:novel supercontig:GCA_000165425.1:CT867985:273327:277990:1 gene:GSPATT00000143001 transcript:CAK55708 MLNQTLNDAQIVAGIDNQQQKNHCVYNCEVYPNGEKPLLLMCTQYLAVDDKLKWNVFLSY VRTLGIQDQHRLGLKVDRQFYANLRKIYEQIFTHCQEEDLILLESQFGKPAEGYQDNQLQ EIFFMFSKCSPFNNDARTGRFLKIGAQLEPENLDDRSTVVAVQLFRKFFLQYADYNFKQP NPFHIIKNSNAIHYDTTVVREKIVNILIKNEDFLNYIEKHTSLFQSQSFFDQQKKSDLQK EILMKFLIENTDLHHFIIMVYDLLNKVTEGEFNSTLILKHISLQILDPFIHPLALSICDF YEQILEEEYEGTEDVKQMKEKYLPKITFLNMDGYDSEFNYKLLDNYSDSCQYISVLDEML DSDDKSQMFDLVTKDRNCFMQAKNSYIIPIRQSHKQKRLNDMHFRHILDLLVYPLIKSFQ PQVIIFSYSFAYFNQESDIQLSSKLFTEITTHLSLISNYKVIFLPRILSSGVQIQDHRFE IELLKTDHKNILYYLNIYLTHAQQSFKFLHPSIQQNCELSHYANYAMKIVKSNSFYEKKK QGNITHYVLNKEKERYMFEILSGFLESCRKSYLQEVKDKDKRMQDPNLQCQDLLQYKLSY ANLLDKLYQFHQKHLFSNLFKSTQQQIHTYKLLSKQHQKTQRREDSQFVSFLAQDLKDYI YVIENLVNDEPQLLQIYLRRLQSPVYIHNRLTQYFVNYKLKTILFINVLSFIDIRDIGID SYQVCLVNYEQYLNTVQKTNQIWAEIQVIHETTEPPVFFRSLCFDKLKNNIYCIYGKEAK NNTLCDSIDTYNFEKQTYKTIYRDKNTKKESDTYFARAYASSAVFSFGQQQKTCSEVWTF GGSFLGHYNQEFQNMGFCNLVERLFISEGSYRSEKINKELIVPLSMRPMYGSLMLSVQDN DESAILIIGGSQNELLVNMEQQEYIGYKFQQIDNQYYVSAITNRGQKINPISFYSQNQNI YSVQDKIYILNDATQILGKSYRWNNETNELEETDPFSPYGILSITTLKISNNLSSQEIFQ KKKISIISIDAKNVILNGPQVEQPFAQMHKAMKFLYKEQDNVNYQARIIFQDNNNNEIVG LIKNFQLTRYIYLYVNIKQDENVQQGYLKPCSICVSYSNKSLYLLTTNIENGFVYFTIYS YQINQLIQDINLSLNKNNTKLVLNVYTIFAKVVMHLPNNVEKIFKQLTCDNENLYLIGGY MGLIYQQNDLDLILLQGQQTYKYHKHIENGNNNNFKIPFDNPEQNSTFGQSNKYMYEFPY IIWTAKDQILIIEKEFKQKFFSEVIDRVHHDFKIWITKFEIQMNESWEQGILVLPSFLLT KAYVEMTSQVITDKLKEQIQHRKLDLFLQNTNTEFETIVKIVDYISIDQRIILTCAVQVL NFIQMLSFEFSLENQEEYLLQYKYNGTHQQHQHARRYSVPIFIPKVTLLVTTQKQAVIEG YQIYDPVNEHQTIRQQNQSQIIVKMKEQIKVYNLIPQT >CAK55709 pep:novel supercontig:GCA_000165425.1:CT867985:278940:279732:1 gene:GSPATT00000144001 transcript:CAK55709 MLNYQGNLWVRKNYVLGNRTQYPLFLGTVAGTLLLYLYCDFVLLQKYCEIPNLSKVIVTF QILSIYSMFKVNMTEPGVQMQTIQDDKYFDGKLCEKCKAIKIDRCYHCKFCDHCVNDFEA HCFLMATCIGRRNIKYLLGLVTFTGIALYLLLLILIYKCRSHSNNYSICIGLQERANLYY SKYCESGFDIGSLESVYIYDLEKCIIKLDKKRNEQNQIQWITFIYLQQLQIILYSIL >CAK55710 pep:novel supercontig:GCA_000165425.1:CT867985:279941:280657:1 gene:GSPATT00000145001 transcript:CAK55710 MKINNNIPNYLKLPHIGHQWKFLKNIELSTFTNSSMEQSCKNNNSSLMTHSNVGPNRTQY EETPQNKKKRLIKSQSIDVDQQLYQIDSVRESNCDQVKKIAENLIKQYDNVKVSEKQKSA LMLKKQLTLNNQIVQKPHLDKCQSLYQLQNEKKQNAKQPETSEINSFTQFFQIVQNQEQS KVKKRKCQINFFKKSQSMDTIDVVEHQKKITKLLLQQKIRIKPKFSSTINIQDLLQQN >CAK55711 pep:novel supercontig:GCA_000165425.1:CT867985:280691:281984:1 gene:GSPATT00000146001 transcript:CAK55711 MLISKTDNDDQQEGVVDPKLPVCPNCGSAKEGILEQGPSPASFIISLICLYYCGIWSVLL IPLVFQSTKVIIKRCQYCNQELEKRNYFQLPNINDQVMQFKFGNCMIVASRKYALILLGI IFLLFFYFQQQIEKEPIKIEIDYPTDKSWMDFLQLCGKFQFVENGLKARHQFETNFKGKI VAWNGIYVKTKSNNDMNYSYSHWVYIKMDPTESTDDIPDVVLGVNSQQYSIEKFNLLEQG QSINFNAKFISLGSEYNFHILELKQLEINTSESLVDIKQIKKFTLIMDQSQQLKQTKLQD SLKRMIHMYQPRRNDSQSDNQNNVFRMGLSAEEIQKIEEDIEELQKQLELEDQQENEQVV DQSSQIKNDQLEDKVQKEEKDRDMTQKLENNQDKQVEKEESTKDSSIESNLDQQ >CAK55712 pep:novel supercontig:GCA_000165425.1:CT867985:281987:282091:1 gene:GSPATT00000147001 transcript:CAK55712 MRKIQNLRIIVIQLINKWNFSAILIFYQEALIQS >CAK55713 pep:novel supercontig:GCA_000165425.1:CT867985:282110:284253:-1 gene:GSPATT00000148001 transcript:CAK55713 MQKVERNNYSKKICSLLQNLDLFAQEVQLRINKNDKFFTPLGIIMSLILITLSLILAINN IVDVIQKTNPSVVSSDQQEQETPNFILMPNNFTFVISVNKFNLDNIYGLDTLFSLQFTQC YRKRDTETRKITQEYSNFEAQFQKAYFQQFNKSNIYCINQDEWIANPFSIQGSAASNEFR YLKFNLLVCQNKSENNTCYPKDYIQANFPGGLLVYYVQDQLLDLKKPEEFFVPSIRQGSA IFAQKTQKTIIAYHKTVYVQTDVGLFIEDLQERNSYQNYLEKESSDAVDTNKLIEYVYQL DPRQTFYNRSYPKIQDIIGTIGGLWQAMYFIISILIMPFIQGFMELSLINKFFTFSYKTN AILDDCSISQNRSNLLSPPQSKSTIKKSFTTQKLQIEKISDTTQIAQFLRERKTLLKLNL SDLCGFIFGSQKKQRKQFEYSQRKILQKLDITFILHKLFEIDKLKMVLFTENQLKLFNYF PKPCVSDSLILNSNDKLLQNQERQIEFSYLLKEELTHEMKVQEAYKAYKKIKKLNNQEQL DQRILIHLDQDLRNLFDSLIESDLQNDSCNCNYSYVNLKQTMSKFQMIQNIKIQSQHIKP TKNRIQNKMIDNQYMCCYYNVVVNKLQLLNDAQFWFPHPSLIPHNFEKNLSFFLMLYTII QSIQAQPMHVLL >CAK55714 pep:novel supercontig:GCA_000165425.1:CT867985:284435:285360:1 gene:GSPATT00000149001 transcript:CAK55714 MLDYLYLVIELYGLRTVLYFVFLLLGEIYKCLQPFPNLKKKYGQDCWAVVTGATDGIGKA YCQELAKQNVNVCMIIRNKEKGDKLVQELSAKSTSKFRIVIADFLQCAEVDFFDKIYEQI KDLDIGILINNVGVSMKNPFERQQESDIRQMLTINIFPVVFLTKKVLPIMKQRKSRSAII NLSSVAGRLPLPYHQTYSATKAFDDHFSQSLAIEVEGIDILSHRPFFVTTPLTNYEKEAG AITPEQCARGGLQRLGLEVTSHGYWYHRVMGVLLTYIIPQSIRTKQLKQVLIKRAKKNQ >CAK55715 pep:novel supercontig:GCA_000165425.1:CT867985:285427:286408:1 gene:GSPATT00000150001 transcript:CAK55715 MFFFIIGSATIAFIVFRILEEIYKCLQPFPNIQAKYGKDCWAVVTGASDGIGKGYCQVLA QQNVNICMLVRNEEKAKKLIEELSKGSTSKFKIVVVDFNNSLEEGFFDRVYKQIENLDIG LLINNVGVSHTRPLEKYNDNELREMITVNCFPIVFLTKKIIPKMLQRTKSAIINLSSFAG RVPLPYHQTYAATKAFDDFFSRSIALEYTNIDIMAHRPMYVTTAMTNFKKGQGAISPIQS AKGALQRLGLEYSTHGHILHRIQGFFGAVVVPSFLRNKLVKKELKKLVRKYL >CAK55716 pep:novel supercontig:GCA_000165425.1:CT867985:286455:288904:1 gene:GSPATT00000151001 transcript:CAK55716 MDQDQNLLTLVVQIIYTNNERHLNCAFLDQDRRMIEVCEFQDNEHFSVFECLVLQVNPNG QDAKLTVLIQMPELESENRKVRDILEQCEFEVIEKNKKDFSEINLSNLNKVLKKNFNTCR VEEQICTQCIQCLLEHTRLYKDDTNTQKFNIGLLNLHKFMRLDLAAINALMIFPKQGIKQ FDSGNNASTLVDYLDRCITQMGKRCLRRWIKMPLQSIQEINQRLNIVEYLYQNSSFRQFL NEDFLKRIPDLDKLYAKFYKVASDKRNNANLSDCVKVYQLIQKIKDIIKRVNQEMYQSQN SILQEIFLKPFEENLSDFEKLEEMIEKSIDLSKAYTGEFIVNPRFSEKLMQLSKNITQCM NDIENVRLDTETELGITVTLIESGTYTYIFEAKKQSADEAFRKNPKKYKTISVKNRALTF TVEKLQSTVADYVHFRDLYQEVQQEKVQEILKIVCSYYPVMEQASRLISEIDVLSAFASV ARNAPRAFVRPIFTEKKEILLKESRHPLLEAIDSTCIVNDLEMDRKSSRLHIITGPNMGG KSTYIRQIAICVLLAHIGCFVPCTTAVVPVIDAIITRVGASDVQTKGISTFMSEMLEASC MLKTAKPDSLIIIDELGRGTSTSEGFGIAWAIAEHIAKQIQSYCLFATHFHEMTLMEHEI TGVKNYYVSCVTEDDKITMEYRVRYGAVDRSYGLLVAQMLKFPKEVIDDAKQKAIELETF EHNLESNFIDEEVPIFNNIDDELQYKVRNSTLQAKERVIKEAEKWKNELTNEKNPQKRKE IIEKRKQIILKLLK >CAK55717 pep:novel supercontig:GCA_000165425.1:CT867985:288918:290999:-1 gene:GSPATT00000152001 transcript:CAK55717 MRFIVIAIFVMLATSQEFVEFYSAFSNADVGQLDGWTIQGQISKAQTDNFFTCSDAAVFG GPKAFGKGAIVTRQFQLPPHFQIKVQVEVWKFDAWDTQRQFFYLDNYLWETMWTGSDGTK RCATPAGGNVNSFSVDQTITHNHAALFIAFASSADKTADKMSWGIRKLKLSYLPCPAECG TCLGPDAIVCQMWVPVSSSWIKNIDADGWTAKGSSTVIKSSTCVGIPIIGGPGNFGNNVS IQKIFDKLVPHYRIKVIAQFWKIDNWDNDNAVLSIDNQEKWKQNVAQVEDKEFFICDKIS PGGVDGTEKIINVNFETPHNYGAALVKWTSTIKKSSKQASWGIRQFQLYVAQCSSNCATC TGPSVEDCTACVSPYVLLDPEDGNGSGCVLKGDWEQVGQLMQIGKLLMVKMDQILQHNVE NSNSLVVLIRLEKMLKSLENSIYLLIKELELHLKHGRLIIGMEKIILLKVDGLNVWQRTF GFGDPGLADICGGDGFENYAIVDFVIGHETPTLDLLISTTIGKNNDNASFALKGFKLYYE KPDACATLYTECNYTGKSFNMCEDLPNFQLAKYPSKIKSIQVPAGAKVILFDEMDYNGKT IEYTENQKCIEEYQYKFLQTNQQSYVLTANN >CAK55718 pep:novel supercontig:GCA_000165425.1:CT867985:291043:293735:-1 gene:GSPATT00000153001 transcript:CAK55718 MDQIIELIQWSAQPDNQIQKQVYRRAQELSQDIQFLIHLCSVIIGNYEPEIRYRAAVILK SAIKNCVALPEQLQQMLVQVDLSVQIMRQAFIIIVPEVVVRNGIKNSNLMMEYLVKLIDT DPVIATDCLSKIIEDLKFNSENINYYGTDSGLQLIDQLLLKFITLVEHQNTQVVVNSLNF LNYNIFFMPPSLSQYIDCYIKILITGTQSPEQQIRLKCFQGIQALIETKRDKIKQMNLVI MACVQSLQDQDKEVVRFVELCLTDFLTIDDAEDYEQTHLLEPYLQKILQPVILNLAITRA DQIAIQPTFSNVYSQSGKAEDEEQEEEKTLGEYSLRSVSNLLLKKLIEFYDKIVVPIVLQ IIDQLQQQQDWKQQEIAVLCLGVFAEKIMENHGNLVPNILMALFNEKNQQNEYIYASTLW TFSQYNEWIKTVAINETQFIQSYLKLLLISIENQSIIVKESACSALNSLSKDAFFILQPY LLDLFQVYLKALSQKGGVLLYIYQSITTILAECETIENQELIDLIMTKLISNLVDLNDYN ICPLYECLAEAVEKFGQRAINYIPIIYQATIQSMNGYVQSIKNGKTRYLYQQKEILKRSF DLCIKIINITKERFLELCDQSFLQIVDLALQDSETDVKQYALSLIGDLIKDCYAIFKNVN IAIILNEYIYAQSISIDPSKLFLATSNNAAWALGELAIKDPSQITVIFNAVMEKLIKIIN EPKFPKSIAQNLCIAICRIAGSHIQSIEEFIPNFFKRVCLILSQIKSQSLDEYKEESFRI LINIVKMYPGRVINDIKYFVYCIVASTEFPSIKPLFINILQELQQSFGQQKFDSMFSSDD LPNGFRMKMMNVYGV >CAK55719 pep:novel supercontig:GCA_000165425.1:CT867985:293756:294210:-1 gene:GSPATT00000154001 transcript:CAK55719 MSYLLPHLNNGWQVDQAILDEEEKLVIIRFGHDWDPQCMQMDEILYKSAEKIKKFAAVYL VDITKVPDFNTMYELYDPVTVMFFYRNKHMMIDLGTGNNNKINWAMNDKQEFIDIVEIIY RGARKGKGLVVAPKDYSTKYKY >CAK55720 pep:novel supercontig:GCA_000165425.1:CT867985:294247:296316:-1 gene:GSPATT00000155001 transcript:CAK55720 MDNQSEVQDSQGSQQNHNHQNSNGSALYPESFHSQKRSDQTVQSQAVNGLQLKRKSSASN KNEPLLQSNDQEKQDEYDELSQSQNDQDSFNQRENQADQSNTQDQGNEEIEQTFQQDQSK MLENPEDQVFQDLPENNQSKKSDDQSNKPTRIAREENQSNIILKQVSTEITEIKQNEEEI QSNDKMLRTQEVMDKFKQVIKSPKTRSPQLSTDDPYQLNKPMIKPQQLSQQDNERSYEKI VEANTKEIYKFYSRQAQTSNKQNTFDQLHQIQQVMTLQKFMYFCKDFELIDLEINNDFVY QHTGQGANKPINKIKYKHKEKENFVVTKLILVEIFKKCSNIQELTYQEFLLALIKIADII FPVDNSLRAFYDYLGINDPKIYRKKMVVVGKPFNSKDQSEVLTQEKLCSRRLVLPAKPKP RAESYKYEPPVTNPNNISLQEKPKNSVKNLQKQKEKPNNLIKWEDLGNVSKEFDPKELLL AQDLSDKEDDYYLQEYLITSAEKIKKEESLLKVQQKKQQNSNKQQEQQNQADEKPPKHNQ NNINTIQDHSQSVPNQQKLFKQLINQQKTQNLQTATQTNQSPAINTNQSNNQTPLLLSKQ KNLIAARPSLEIQSYSQSYKAQQIKAIKQSTKAVLNTSIEQSSPSTIRKENDGLNAIRKI EAEKKQREQQILVAFMKNQEQREKKVNKK >CAK55721 pep:novel supercontig:GCA_000165425.1:CT867985:296497:297298:1 gene:GSPATT00000156001 transcript:CAK55721 MQKILRTLNPLYRFSTKKAQRIQNKIQDVKDQESTSTLESVAEQNTFTDEQMVKIIEDRR QFIKELTQETKAPREVKYITIATLSPLLLLSSATLYSCINPAYAIYTGQLMHATIKYASI NVAFQSGIHWGFAMSQHETQLDWLNSSSEARTTFFLASIPIIGAILISQYFLYGNNDNIQ SISAIIGTAILNLMVTGLDIRARSYTPGWFLKNKLFYSFGFNVALIPLIYCVFLYGDNFK NKESIYRVQ >CAK55722 pep:novel supercontig:GCA_000165425.1:CT867985:297341:297653:-1 gene:GSPATT00000157001 transcript:CAK55722 MSQKWKLIPQYIQELQEQNDENSMAVLRKLGKLQSLKECVDRDESELKKQYILLMRERNE YFKKLKAIQQINEQNPNSQLAQSIQLLFDAINKQQ >CAK55723 pep:novel supercontig:GCA_000165425.1:CT867985:297795:299781:1 gene:GSPATT00000158001 transcript:CAK55723 MPSAIQYFSDLDSLHHVTNNFLRPQSAVSLYQNKKISSSTMVDNKQVSDTRLTDPRQTPR YIKKDKEELYQDLLQLQQKYNQCNEENTKLKTQISSLEKQVIHLTDLVRNVEMFCNKKTS HFNVFQLKKQIQDLKTVLKTKESELESLKQNVKTTKIDEIRIELQESHVECLRLRTMLDQ QLRQQVLFNYNDHTLIEEKLYIQSQMMNQLKTENDQMTGLLKIQEEETYYYKNLLAETLK TVRRYEETIEDLHKNLKGKNQFMDKLAQKIEALKNTNNGLADKVVKVEDLKEEIRILKCT IDDQNKQIKSVLSDNEYLKGMVHELKIKNNEKSEIQAKEKKVLQDQIAKISNQYDLLDDK YKNLLLIQVQAKNKETAQSSLIHKKEQTVGLTLKNNNDSFQQQQIYVPKKLRAIKMNDVL HIGEELNYRFRIKKIILIDVIEQFLFDDDNKKEKKVSIKQLQKRFEKEPFMLFEQDKALM FARFLIEDNSQEFVEFNIELTETLERVKSIFTKIIGNYRIFNPDEEMKHKEDITKLIIKY KNSLKQHFDSLQSNNGELSKRQILETFIFMDIDINNHQLEYFFLKLFVFSNKIDRFPYQK IFEIFQQTQTASTPHLQRKETQRKKATTESNKQPVYVTKSLFKPVEATNLQQV >CAK55724 pep:novel supercontig:GCA_000165425.1:CT867985:299947:300474:1 gene:GSPATT00000159001 transcript:CAK55724 MILSTEAGVTNIKKYSQLSAEERDKLMKGGNEKFKLNVNDVNDKFHSHKALQYRFEVFIE LQQLALGLSEYDSDVSTNYASPNKSFIYYPEQKKRLSKALELGGSQQDIEWIQIQYQILS RDLKNKIDKNHYLTRLEEIGKKMVEKQQQKQVQKKQTYNQNDVFSSFSALMKKQT >CAK55725 pep:novel supercontig:GCA_000165425.1:CT867985:300734:303563:1 gene:GSPATT00000160001 transcript:CAK55725 MKKINQQMQIIAGRNSLQESPQRLEKKSLKNPAKTVKTERPQQKGKTKLYDYFKKERNSL AQVVNNIRAKLKGSKSIDNMFLQTQKSERIKTSQQNVHHFNSLKQLIEQRVRTDDGNSSK RLNLKTKNLSLATVSIDLQKKEQQKQPSTTKQSYNQSPSNQSKPILNKSKSKDQYLFDLL KNAQMISNQYQQYFNLKQNKQSIQSDTSTNHRQSIEDMLISQKSTKGSPQPYNSKLSKQT EGDSKSLNQGYSKTESTPIIKQQSKIKTDDSLQYDPKKKLQIVLFYKQTKYYYLYDYVDQ RTDNLYNFLLEQIASIEVTSAKVEGGGTGSTEDQYIQDELNKICQFITVNKNIPFDYYLS LPDLPLNIFQGITLQLQPLYSQLQETHRVGLKDFNLIKCIGVGGFSRVYLVKKKDNGQFY ALKLIDKKFIFDNAKEVIVQNERDIMVRMENQYIIKLHYAFETRFYIAFVLEYSAGGELF YHLRKLKRLNEQEAQYYFVEVCIGMAYLHSQNIVYRDIKPENILLDLYGHMMLSDFGLSK PNMEDGELAYSFCGSPEYMAPEMLMKSGHSYLVDCYCLGALLYELVFGLPPFYSHDTQEI YNAILTENVQFPDYVQISDELKDLIIKLLQKDPDKRLGKKGGITEILTHVWFHNVDFEGI VNQTLPPPYKPEPQRYNFDEEEFNKGDAEFRKQYGQNLQYEFQNVDKANYELENFYYARS QQEFKNRTKLVNTSKLVSQIVQQEPISPNKQMISKVKHSPSESKSNVRPNIKSEAHEYFK KHNLFTRSSQILKQSLKQGHTYSKTLQQPSMSIQDLKKLKQLFDQSKQLLSSDRVTTIPD QNNKHAIERVKTEQIGNLPSPKTTTHSAANKLAKFSKLFGSEKRKK >CAK55726 pep:novel supercontig:GCA_000165425.1:CT867985:303617:304344:-1 gene:GSPATT00000161001 transcript:CAK55726 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRIRVRGGNFKFRAL RLSEGNFSWGSQGIAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFRQWYAKKYN VELGSKKKDKKEGQPEATKKSRSLIKKLEQRAKDNAIDALVQEQFTNQRLLVRITSRPGQ SGRADGYILEGKELEFYIKKVEQKKK >CAK55727 pep:novel supercontig:GCA_000165425.1:CT867985:304410:305002:-1 gene:GSPATT00000162001 transcript:CAK55727 MSLYYQIKKNVVEPKREIEFKDFKIRNRYLVKHILFLEHKSFQSQQGEHLPKLRLPSLPH SDSNQQCLSKSPKNIPQRSVIEYPYLQLLSQQSQDLKEFIIQQRPVDKPFQKKKVNTVFQ TDDLSFEYRKPQTLRKYSLQFQDDKKQYTLRYIQIQFQSKKQINLIFFICSYFIFVRIFS LSLLFIKKTY >CAK55728 pep:novel supercontig:GCA_000165425.1:CT867985:305853:306624:1 gene:GSPATT00000163001 transcript:CAK55728 MQTLQSIIQQRVLQQINKQKTEPLESLYITYSSATKIKLALEDAAQVRKGIQSVHLQKQQ SEALISNSKEPVLNVDNNNAANQLKNEEHKQSPQKGRFLVRNEYGNKMQSENKISHSSVA PNKYHKNQSSFGEGGKKIRAEDIWKRRKDYDQKVRQFHLPKIKEYNRFQNNSLQKQQSGQ QTAEIQFSDVFKDDLDDDLLQEYNEKYYKKQLFLWNKKQKQFDMANDDLFD >CAK55729 pep:novel supercontig:GCA_000165425.1:CT867985:306757:307146:1 gene:GSPATT00000164001 transcript:CAK55729 MSQVKKSLLKVEKLQFDIYINKQLIQVDYDDQTTVGEVLDLIIRKQNISNSDVSLYLAKK SGEPKLSFPALERNRKLIRTNEKRFVVVVKQVEPEIKNEFHEKDIKIIEGRQKKQSIFKQ LFGISMTCK >CAK55730 pep:novel supercontig:GCA_000165425.1:CT867985:308441:309166:-1 gene:GSPATT00000165001 transcript:CAK55730 MEINKPFIITRRQGDSLLPPDCEEFNEAIEDKIRFLQSSNIFIICAFIYQCIRFLYYFIH YRTTCYTYAILCLVIVGGNIFWIASSTYGFLGINSRSSSEIGKYLCLTSTVLAIRLITYF LVWALMQGYAQQYNILSKTRLLQENSTATTQQTPIPTEITEDQKQEIICGQLYQGTLMMV QAIIETIFLGVIIYFVVQVKKYITNYESNQKKKQRTWNLQASIV >CAK55731 pep:novel supercontig:GCA_000165425.1:CT867985:309205:309882:1 gene:GSPATT00000166001 transcript:CAK55731 MNQLRNQRGTDTDEVYIDPERGIAINARQMTTEQHFKYYKSSFSTMRPDLTEFEYEAFAK RLRVGESFLNHMRVFLNHESGRITNLYPVSARMEKALNYQQQYFHLRPPFILGHRSNANR NWADASKVVNYVEKQLLKITKYGLDYPNYYAPATTQELKQREDEIYERFVREMRKPPVVA AQ >CAK55732 pep:novel supercontig:GCA_000165425.1:CT867985:310051:310504:1 gene:GSPATT00000167001 transcript:CAK55732 MNRTNKKIVFEDDISSTQSSEGEDYIPKRIISKSNTRRIHKIPIELQQQLFRQVFQEGKQ IKEVAKALNLNYSSAKSLIHYYKNNKRSAPSAVLEVLSGKKVLVCRVSQKIDKKYNNLKI EVRQNNQILHSYNYYEPLTTIK >CAK55733 pep:novel supercontig:GCA_000165425.1:CT867985:310719:312784:-1 gene:GSPATT00000168001 transcript:CAK55733 MNQRPIKNGYLQQKHIFGLKTTKFYYLEGTQFLIFEDDKTHIPQERIDLSGFIVDGTWQE DGYYTFTLRHLQQEIIMQFISMTYDDAAEWVSKIKQAILISEYEALLHQSNYQISIDQNR LYKNDSSNVTKNIPEYVQKQLQLYQELSKDKWIIEKTLKQMKLTTIQSQSNIVLKGEYVF NTSLQNVITIIQKGGKLLDLFIKSSEVHEIDCCQCHQDVWHFNNDGKKCVLESKYIQFDF QRNNSFFLTRESISQGKFPMVITNDKQQQKNLISMFKVLEIIHVVEEDSKCFTQYMYVVK KDENEKVIRKLMKEQIINLSIISTELDLLLMQINNESIPITQSRIVVGTDHSNQDNLENE FSKGENIHFPPQDRLGYVDNQLQPNQPAAIYENMHKRITHQDEEQLKALAELKERIGHLY LNDQTMIRYLIARNYKVKDTEKMILKCLQWRKENKINSRKTSDYQIYANENVHTQLGFSR WGHPILVTNGMNSHPEKFESEQGFSEQGYLEYHQSLMEEGIRSMRGYVDQFIVIIDCYKL TPANFSFSVLKNAFIEIFNYYPERQFRIYVLNTNFLTRSFYAMLKPFLPSRTVEKINFIG QDFNEIKTALLRDLDEETIPKRYGGQNILIQ >CAK55734 pep:novel supercontig:GCA_000165425.1:CT867985:312815:313424:1 gene:GSPATT00000169001 transcript:CAK55734 MKILYLICLIYFGQSLSVQITQKPACFYVKSYTGNTQLTVNYQISGVDENKTEITIESEN GDKLIKKSNTKEGALKQMLREKGTYYICFKSLSKGYKTVSFDFDMEGVDKEYAQSEQFSD MSKELSRTNRNFQTIYRNQNWITDRENAHQMLLEQTQQNVKWCASAKIGILLIIAITQIS VVYYFFKGKDFSGSV >CAK55735 pep:novel supercontig:GCA_000165425.1:CT867985:313457:314306:-1 gene:GSPATT00000170001 transcript:CAK55735 MFNYMAMNEQQVREGVQKYGPAVGARKIIDQEYQHALETLNTGIYITYYCAEQKSECSRI GSTSKCFCGHLFSQHKQQLGKKFNTGCENCECKRFQFVPSRPEECGMYWLPRRKDFNILT WRPPCKCNHGCEDHLPNYPLRCRKCQCSDFDSYFACIACDRRWELHETLFEDESERKALG KKVGQDYLPLSNTPDIQEHVFQDQKYQQMPQKLQQGLVGNDKPFPKQQIQTNKQQQQTTA YTRPNQQQQQKAIQQQQQKPIQQQQQTNKIVQKKK >CAK55736 pep:novel supercontig:GCA_000165425.1:CT867985:314341:315539:-1 gene:GSPATT00000171001 transcript:CAK55736 MQIYDVCIIGAGPVGLSLAAALQKSKQLNKVLILDSIKKPKFNKQGLPNQRVLSVTQSSR EVLQSVGAWDKLEQGRVNPYNRMIIDESNGQDYLEFKNQQAHIIEYDNIVNALLEVYDGE IEFESKLEKIELKPNEYVTVNDKFQCKLLIGSDGNKSKVKECSNIGTYGHSYHQMGIVCT VERTDQDSEVAYQTYLSNGCPLALLPLYNPYSSIVWTAYMDDYKYLMSLSDEEFLKVLNS QLGRYAPRIKSISNKRMAFPLQSLQAQRYIQKRIALIGDAAHSNHPMAGQGMNMGINDAA LLANCIIKNSRSGNDIGLEQSLEEYESQAKLMNYTTSIAMELIKNTYENKTISPLRQLGA KIINNLDPIKQIMIQYGSKHPLGPSQFEWVK >CAK55737 pep:novel supercontig:GCA_000165425.1:CT867985:315609:316406:-1 gene:GSPATT00000172001 transcript:CAK55737 MSEDIISWTNQGTAFIVYNQDLLEKNVLQNYFKHSNYSSFVRQLNLYNFKKVKSNEGFIF RNNFFRKGTKSMLQLIKKRNQEDSAIQFAVQGEHASRQKQEQNLFKECAIDIKQTNTNLK EDMKLLQETSSCLIDQMQNLNYVIYNQNVDIELKFKQVGQMLQAINEELKSEKQSDSQTL NQSLVRKEDCYQDSKVGSPNPYVDYNSTALNPLDYECFLDSFF >CAK55738 pep:novel supercontig:GCA_000165425.1:CT867985:316589:321029:-1 gene:GSPATT00000173001 transcript:CAK55738 MFNPAPTYKNEKGTEDKLKKKKQREKKQLQRRAQLAEYISSDDDAPQNKQPILDQDDYGA LGDLDQDILGYFQMKSQKILNMTQKIPDQIFDVGSLHLGCVSSIGPNGVYLIINFTRNKK GFVDQHNLRDRKGVNGGDYKVGEYVITKVIEEQKNNKVQLSMHPNVINDQLSVNQLVVGM QIPGIAQSWNEFGTTINFGSQQFSGFINEQKLKCGRVYLFNIKEINLKEKIAICDFEQRD VQLQNKKQISKHLLTPGNLWKCNNAKSITGGQIVKLNKFGVLGYVFQDYQIEQEKNFLCR IIGFDEPSRQIYVSSKQEHVDNTTFIPPYEVGQQYQGVVINQSLYSGAYLVNAILNDDQV QTKKSKKAQKAQQPNLGPICMLNKTQIPADQEIIDHIQRVCIIKEVNYFDHVGFVSFEVN SQPKLSDLSVGAIVKGVVKQVLLKEDSYSVLLKINDNFNAILPSIQMSDYPLTNPPKFRV GSKLRVRILQIDEQHNNIIVTMKPTLLTDIKVFKSLDDINAGDTLYGYTIKKLENGILVK FFQNIVGFLSNLSLDGQNPDDIKDGQIIKVYVKYINQSENKLLLSLKKIDPKQKQVTEGQ TSNVPLTKQVKIKLNLGEKVQCVVSAIKNNVVYVNLKDGKFGRIHKAFFECYRNEDRLFK LQSEFENLNVGSQLTAQIIGENKEDNKQIFDLTCLSDHIQLEKDVQKDSNKIVYGIIKHI KSDSKSPLVVQIYYNYNVFIDGWDINVEQPDILNNLESSIQQGSPVKVKLYEFDKQLKGI IITEDDQSQQQQQNLNDILYTKQIFRILSHHDSYIRVQLKNRQFASIDITELQELWELNL FEQFPVGKWGLCRIIAKDKVYWASARETFLNDKLWNKCLHPVKSNTISYQKMILSLKYDV RTQLYKKTPLAVGQVAIGYVTGSTYSGTFVKLNYETTCLIRGFKTDIKYRPIVLMITQLN KLQGTVDLNLLKYKLDDKQIRIGDVVQGLIVNIRNEKAAVKILGTLQQGELDKKDAEGLE GEDGWWANKLFKIGQQIQCLVIGQRQNKNNQTVLRLSNIQELINTEGKRQIDLGDIQTDV IDLHNSFEEMVKQHQIKNNVIVEQQNQNKDIEEEIGQEVNEGEANEEEDQEDAEEEEDHK DQEIEEENDQEEIREEVQNLESRAEYEKKILTNPNSAVIWIEFVAYAAENEGIESARNVI ERALRVINFSNELERLNLWTAYLNLEFNFGSEDNLINIFKRGCQNCDGKKLHVKLINIYR KAEKVDLTVELSRSFVQKYKQSCKSWMEFLQSLMEWQKVHDDENPLYSFKDTLNRAVQCL KKSKQVKLLSFYGRLQFQNNQIEEGKTTYETILDKNSSRTDLWSQYLDLVIKHCQPDVVR SIFQKAIHNNKKPRKIKFLFKKQLEYENQYGDSATIQKVKEQAEQWVSQFMQRDVQQEDE EESD >CAK55739 pep:novel supercontig:GCA_000165425.1:CT867985:321141:321498:1 gene:GSPATT00000174001 transcript:CAK55739 MRPKSQQTQMLDNHSNFASLLFSRALIQVNYHANMKRVPITVFCPQCKYKGTTVIIREVG AATIMVGYLLFLLTTIFCFWIPCCVDECQDAIHQCPHCKAEVGIGPYQIL >CAK55740 pep:novel supercontig:GCA_000165425.1:CT867985:321577:322529:1 gene:GSPATT00000175001 transcript:CAK55740 MLSPEKDFILYNAQKPPNMQVPSFMTTASSFRNQKLSSLRNKSCATAVQDAKHISTIDYQ ICDKSEPINLKNAGYPKFKSEQSEISHIAKSQISTLITAPKIIQFIDFCNKKILDDELKK RKLSQDQTHKDFKLKINNLHENKKSMRHAQKHTRSNFTLPQMHYHEKQETQTIQDKINQN KIDTTSFNDSPVPKLNNKQPNFQAYTTKQPIRSFDMLFNVKHLVRLQEKRQKEFEVTQQN DPEFQLEMIKRQNQIQVLMSNTKKLEQLKTASKGILSHINQQDQQAEQIFQDFKQKLDLK >CAK55741 pep:novel supercontig:GCA_000165425.1:CT867985:322647:325338:1 gene:GSPATT00000176001 transcript:CAK55741 MNTSIKSINVQKQFMLEVHQLCKKFSEDLQHLYKLLSVQVDDEETLSQNTVLSNYILSIS HIIKSRSEILFEEAIEPFYQFEANYSHLNNTISKNYGHLLENLKASHELLKHKAADYYED QNQSIQAQNEQQYKLSLNQMNCIWEQFFKELPQYYEQYQLNEETRLTVTEQTFVKFIEAL EYMFKQPAKITNEKLHQSFDIQREKFKTRDLYGELCIQSKKMINFMNEPKCEMFTSKDEY FRNKAASLLQETDSSKNSNFKDEDFRIMRQLIEKPHQNLQLLYKIKLIPHLSSKEREEIV SFLLGELKQKNVVQLQSHFQSNLFRILIFKLFKWFEDDFQNQKPSSKLIQQFLQIIYISL SLKSDQGCSLLSKISKKVEIFYSQTLWNSIYEELLTNYHLQKQMIDITLKYVSFLQTPNS KIQLPKEMDQKQDSIFNGFFSTKTRKITSNESINIVNLILIASNLPPQTTSSIIMEIIRS IQQKVSCSIPFIELQEANQMLKEFQPQMRQKQLSQKVGKRKNPVYCLIKSLKYLQFNENY LNVICISKEHSKTIKTKMIRYFLVSEQTGQLNLQQRVRLWSQLLDIEVNYKQIQQDYLSA SKKLSREIERSIVVDIKRSFSTDCEQRDQIVNQYENKLENLLRLHAFDNPEISYYQGMNM LMVFFLYVTNLNEELSFRLFRSLLEKLLRDIFLEGLKSMRMHFYILDRLIAIFIPRLFIH WKQLQIESPQFATAWYVTLYTNSINLSQVENNQVCFDIFEIVVARGWTGFYSSTIGLLKF YEKILIELDFDQSQKFLNNLSKTQFYRQKIDQQIEVPFFTNAKNVKVSIKKQLITELERE YYQIREKIDQINLNNL >CAK55742 pep:novel supercontig:GCA_000165425.1:CT867985:325437:327057:-1 gene:GSPATT00000177001 transcript:CAK55742 MRLNFLEQLQDSQQERSCISLQNMVETLKDPDFIQKLKQCQYVYEQIELFPLVGYGITIT KIKKNSETKIKVKQFSKVERIIDDSYLDLNKMSRKEGMANCILPLLSSQEGNTGLLNCDL FKRKISYLVHQKLDSYKEDTFCQLLQASVHHIIKTEGIQSWGQEIIFLIFETCKQVFIGT PLYCDSVVSQKFKNSKFQLLSLFCQFTMGTLTSEEIFENYIGPKVILQKYQKFDDDKIQG FFDKLKFEGLDKIYQNINQFIENYLRENNYFIIKLTQKKIIQGLLDYMIPKCHQWAFIEK LEYVQEELMELRKLKYFTISSMFTKLLNDLFEDYTDEKKIKYFESFCQHYEEDHEFSYQV FLMKHEKYTDIYDVYALYKENREKFQSYNSEQIEKYQSIAIRLILNKIPMDQIKSKNPES FLDFSSLKELEKIQNYLQIFQKIFQVENAQTIHNMIIEEIKNLTWLNYLSSITNFKETNS IKKLLENFLCHLKIWKPKQQQDVCAKNLIEYRHECQDQFIYLINNNYRIL >CAK55743 pep:novel supercontig:GCA_000165425.1:CT867985:327316:328797:-1 gene:GSPATT00000178001 transcript:CAK55743 MSQQLFKVYLQSISHTFKDLPKGNFYWRFHKRDINKIITTPCPVDSPAQEQEIQLYKELR AQIGVREDFVCPQCPHHSKCPKAFQQTDGGNMQTLLLPHLSKFLETISTHQMNLQNDQKS FWLSSTRVLDTFHSVLIDFQLNQNKLNEQFLSDIAQVSENEPIRQQEPIKRTDKYTKKAD FLDEQNNSPKKEGRKQETEEFDNENKYQGRRQNSYDNYENRHEKFNRYDKGDRYNNKFDR SEQFNRRDRFDKYDNQQKYDRQFDQDNNNDSGRFDKYAYKQDKYERYDNKERYNRRERPQ RYDREANGDQYRENRSFDKYEDRGQRQDRYERRDKFDKFDKFDKFDKFDRNDRNDRSFKG DRFDRRNTDYNEESKETSRNYRGRKDDFSDSFNNDEKGHQENDRFQKNNKQTKNKEEDDF NTFVKQREQYLKEKDESYEGDKKFNQNKKEVKNVQQNDQTNQNDVNDEESPQGRKVKKSM KFKMQ >CAK55744 pep:novel supercontig:GCA_000165425.1:CT867985:328797:329242:-1 gene:GSPATT00000179001 transcript:CAK55744 MQSQAPLYAYHFPNGSVYNNPAVTAKRIIKVVGERLRKIDPERWESTPITFNTNWNDAAG YVDVATCIHIHDALEKEFGIEVKDRAFLVSSIETAFYIVNIHHDSH >CAK55745 pep:novel supercontig:GCA_000165425.1:CT867985:329242:329759:-1 gene:GSPATT00000180001 transcript:CAK55745 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVEVKDEKKEQKKQQPKEQKEQTKEQP KEHTKKTQPKKQQAKKQ >CAK55746 pep:novel supercontig:GCA_000165425.1:CT867985:329789:330479:1 gene:GSPATT00000181001 transcript:CAK55746 MSDSDDDIFKKKKPSKKKIEQQQKQKQPQQVEQQPQQLKQELNQPQQQLNIHKNPKVVIY CKVCTFPVEFCSYSKAPQECKEWLKTSFKDLYANLYPEDLKLEQEKQAAQQQPQQEQQQQ QQGQPPEKKKKKKVKVTESEMVYIKVKERNRSKFITTLTGLEKHGLDIKEAQKKISKKFG CGSSINEKGDIELQGDLSAELEEWLPKEYPTIKEDMIAIQEK >CAK55747 pep:novel supercontig:GCA_000165425.1:CT867985:330517:330960:1 gene:GSPATT00000182001 transcript:CAK55747 MKHRQKQKHNSQLYTVEKVVDKRYDPVSKELQYCIKWEGYSEADNTWEPLKNLKNVLSEI EEFENQQNNKKQNISLKIDNKKLKQLLLNKLTHFLEKIALKPSQQQQEISLSDFESSCEE IKPKKRQQDAQPNIITDQFKPKSQILS >CAK55748 pep:novel supercontig:GCA_000165425.1:CT867985:331150:332142:1 gene:GSPATT00000183001 transcript:CAK55748 MIIEANSLADELKGVSRYEKVAYISIFMIGLLVIKTDDAYITCQTSTEFQGIIWEMGLIP LAFLGDVTKNLNINIFVVGLVQFLVCQLRLQFTMIYLLYKILGQGKMTYSLLYLIPLITN TILPHQKVLFGFAQFVLVLLIIMLNKTLMQEKQHKQKINFKFYASRLTACIILMQKLDMV NSNFYENQVILMGIFLFIQIVLSLPIVKILSDRVPDITFAFIFLIYFYLSHSYLFIQNIL QGLLICFVVVKLLPIIMDQKFSCIFYDKILIVTISLLLPIIILQFPLPEYFFVLLIFLMI SEPPQNLYEQIEL >CAK55749 pep:novel supercontig:GCA_000165425.1:CT867985:332170:332803:1 gene:GSPATT00000184001 transcript:CAK55749 MGRGDFNSRGGGRGAPRGGSFGRGGGRGGRPQQPQGPPAKVEPFATFSHVCGNQIIVKAL GKQLVPRFFRSVYFENKQPIGKVDEIFGPIENYLFSVNLEEGIAPKSFKQDQLIYMDSYD CMPMDRFLPRPKGAPGISRGGSRGGGRGGPRGAPRGGGFSRGGPPRGGRGAPRGGGFSRG GGQQRGSYGRGGFKR >CAK55750 pep:novel supercontig:GCA_000165425.1:CT867985:332830:334852:-1 gene:GSPATT00000185001 transcript:CAK55750 MKEGLYSFKQHLDQTKNLRAPTDKRMIQKDLINLQKNGFVYLDRIQEKKIDLLDLDKCLQ KLNPHEHKQSESLNKHILYVQILGGLKQQEDLEKLDEFIQQKQNKQYEEQLNQLTNANQF QQKHHLFLDGKRRVEDINIVEEKKAYVRTHRLGALLSCLKSNPKYLPEDQQQQQQVDEQS EVNQQEDLSISQQKTKKSSKLDEKTIPQLIAERQEQEPELSKEFLTVFKNDTTLDKQKLQ AAFPKIDFDNVQLDKKLDLSTIQTIEKLKDSTLAPLKINKVQFNPVAKHITYDVDTYEEN GESVFKKMEDFHESLRKGQSQDTIMDKKIEIVTEAEKQEYKWSQNQYDLYDKVKKLYIDK STPQYYQQQVDEDQHYHQLNKNPKFNNIYLNTTVKRDNNYQKAGNQGQSIVDSISKLQNL KFHQYETAKDLQLEDRPTKDQSQIEILQQQLQKVQESYFDKDLDDQEVFNSYNADINRLN QVIDNRGNEIFAGVEKLYRQYNSNQFDKSLQQIPPNFNGVVKLDFEKVKEQFKVIPTKQK QYNDQQKGQFSQFYQNIQNPLDQLHQVTNTQQQAKEIEQDLIHNELHQKSLYLIGQLPQP QFFANKYTTLKNGNEKSVFNLIGNQHQIIKTPDKDLQKRYRYQNILSNVDDARDRFKYNL TCQ >CAK55751 pep:novel supercontig:GCA_000165425.1:CT867985:334910:336575:-1 gene:GSPATT00000186001 transcript:CAK55751 MIDQESLLAELGLLDERKLLLELTIQLGQNEHDLIYLYEGDDIELKASQFCEKHHLKDEI KEIIAQNIKLHLNEKENYFSFVNQSNQISNTNTNKPTQDQTHNQIREVNQEKKENKNDIL NKSQAAIKKTDIYEKQVKQMNYKNNVLQKQRLLKEEEQVKEATFKPRLNQNTLKIVSTTR QNRNKTPEYYLLKYGQQLQEQKRKAEQQFLNETSRECSFRPEINKISQRICDEIIKTDVS MTKFDQLYNQAKKRRANDKSQTSIIQESQTQQTRRSITPNKSQNKQYLPFLERMEMQKKI KEEKLKQEVEESLMYDEKSGQKLFQPQVHSANRSRGGLSIGEYLYQQQKSVNEESIIQFE CDDLSKSVQTDRSNQIVLQMRRKKLEEYFKLMDSDNDGLISAQNIYLEELPTEALELLQP VILQLEKLDIYLNIETWTAKCLDIIEKMSVFDKNRLFQKQPKQQKTQPDSSSTFRPQLNQ KSEIIAKQRQTFKGPAALYQKAMKENIVKEQKIKQIKEQQEQKEAKQCTFQPKIKIFNRS TTSTGFY >CAK55752 pep:novel supercontig:GCA_000165425.1:CT867985:336846:337589:1 gene:GSPATT00000187001 transcript:CAK55752 MTKVFVLLSLILGVCVGSQYTPIPNIPDGLIIGDNPNLVIEAYYDIFCPGSRESYNIFKT VIDSLEKDSFTFIIHQFPLPYHKNAFAASAGFKYIWKTVSQEAAYKFEGLLLNNLEQFTD LATLNLKQTEVNQKIADLVKTQLAQYQINYDELLNSMKPGTPENIETRYSWKYGTSRSVS GTPTIFVNGVLFDKGEELSAQQWIQYIQNRKQQN >CAK55753 pep:novel supercontig:GCA_000165425.1:CT867985:337589:338261:1 gene:GSPATT00000188001 transcript:CAK55753 MNRVFLLLGIATLVLSNQYVPIPNKPLGVSKGDSKKLIIEAFFDLQCPDSRNSFRILEQV LAQGYGTQFKYTIHMFPLPYHRAAFPEAQAFAFLSEIDAEAAYLFAKIIFDNQETLAESA TLEWTWQQILNKVADLAKEHVYPKYQYDEVKFAKSLLPGTDWNLKARYWWKYGTYRTVSG APIFIANGVILNGAENYEAEEWIDFINRKNPKTQEL >CAK55754 pep:novel supercontig:GCA_000165425.1:CT867985:338373:339378:1 gene:GSPATT00000189001 transcript:CAK55754 MYIFGQEIQNVDSFLQLKETFIWFSYRANIQYEGRAISDQGWGCLIRVGQMIVANSLIRE STNSKPNDLKTKIICLFDDNQCFSTLAPFSIQQIIKRADLVYNIKIGDWYTGPKIMCLLE DLLQSAKTIKQLKIINFLEQCVIEKQIDLQFKQPQLLIIHAIIGNKELDQYFVAELQKHM QIPQFAGAIVGKSKKAYFLIGYQNNQGIVMDPHYVQESNLLQLNSQLKCIPLKEFSGTIA LCYYISNSYDYQQLKTNLKDLKGSIFSIIDETCTCFF >CAK55755 pep:novel supercontig:GCA_000165425.1:CT867985:339410:340507:-1 gene:GSPATT00000190001 transcript:CAK55755 MDQATFKAIKVKLTDYEILQTLGTGSFGRVRLAKNKETGEYVALKMLKKAEILRLKQVDH IISENTILSNISHPFLIKMLGFTQDERYLYFLLEYVQGGELFTYLRNKGKLENDEAVFYA SQVVLMFEYLHSKNIVYRDLKPENLLIGSDGYLKLTDFGFAKQVEGRTYTLCGTPEYLAP EILLNKGHGKPVDWWCLGILIYEMLAGIDPFNDEDPMAIYQKILKGKVKFPRNFQQEAKS LVKHLLIADLTKRFGNLKGGANDIKMHRWFQAMDWEFLVQKKLQPKYKPVVKNKGDTSNY STYPDSTELPKPVKPTDDPFIGW >CAK55756 pep:novel supercontig:GCA_000165425.1:CT867985:340515:341173:-1 gene:GSPATT00000191001 transcript:CAK55756 MDTQPECSLNLNKIPSFARCKSLGQLISIGPSFQQSPECQLNIKLDGNEILKRDIYNLLK HLIFTETYQKQSFTVIFDTCPPYSKAFNALYYAVLLHGVRMKDSFASCSAFLDENRRLVV DRREKQWSAKLNLVYSINLQQILCSDVMGQFNNDDLGLLISGCISGCNQIYNFIKDL >CAK55757 pep:novel supercontig:GCA_000165425.1:CT867985:341202:342406:-1 gene:GSPATT00000192001 transcript:CAK55757 MNLKESESINDFFKDDTKFIGEYSMQDYKVLRGYIPGFGQDLRLYYTKLDPPNKKASICI IHGFGEHQGRFLHVADFFAKMNFVVHLIDLRGFGFSGGPRGSQSIADLQLDVEVLIRQAS KDLPLFLYGHAMGALVIISLLIRNPKLKISGVICTAPTLGFPLNRNIGPLKQFVIKNFGH YLEDLVINTNVNPTSLSKNNQHIQRIFEDRLVMPFLGVGMARSIYKTLPFIFKNSHQFQY PIMIFHGKQDTQSSYENSVQFINQVGSKDKHIKLFDEGYHELQHDEEFQSIKQQLSEWIK IRLESSMPFGILSQPRLLIGIPVQKNRRKLIIFLMLLLLAYFLTVLIKKGKFKRVQYPFV PILILYNKLIKKQLK >CAK55758 pep:novel supercontig:GCA_000165425.1:CT867985:342440:344209:1 gene:GSPATT00000193001 transcript:CAK55758 MKFEHKKKFSVHLDISQLTSNSYLNYPLSTRRKFDNQQSTKKLDTSPKSVSPNTSFLSSD RKLQQKYPINNSKTNSLKSMLQPYKIRSPSEKENQHSFLSNRSQLHKLPLTTEQFLKLYN VTRNEQRELNGIKQVFYYKQPKCLVDQPNGDYSYNIKDHIRYQYEILSLLGQGSFGQVFQ VLDHKTQQLYALKVIKCQDKLKKQAVIEANILQYIKDHDTDQLSNIVRNIEQFTFRGHQC IVFEKLEQNLFELIQKQRFKGIDHELARKIGIQLLNSLNFLNKHKIIHCDIKPENVMLLD NSKSGIRLVDFGSGCFVGQQIYTYIQSRYYRAPEVIFGLKYGIEIDMWSLACLISELYIG TPIFPGEDEIEQINLIIEVIGAPSVDFALKCPRRKYFFDEDGHPKKNIKSYRKPSSVSLY DKLRTEDSDLVDFLLRCFAWEPQNRLQPLDALKHPWIIAGLPKEIQKQHKKYIELEKLLQ TQKAPLVSQLCFQGSNNSKDHFQEDSHFKTKKQIKSILNINTNLSNTSSDPKAILSCRYH DKPLQSERQRKNIASSIYKLLSDKQKNRKPSCN >CAK55759 pep:novel supercontig:GCA_000165425.1:CT867985:344370:344862:1 gene:GSPATT00000194001 transcript:CAK55759 MNNKRKHKIKQFEEIEINETYKDTPTSKHEDSQSNDMDQTSNSIQQQEPEQKYDFNIIPL KVVALTVFLFLLGIIFVIFGLVFLMRNPNKYQQYISLLIVGGLMIIPGVYYSCLLIKFIK ADSRSTQQKILNELPID >CAK55760 pep:novel supercontig:GCA_000165425.1:CT867985:345194:348512:1 gene:GSPATT00000195001 transcript:CAK55760 MHYVPISQTERRISKLKHKNKQKTMFIIVGALLVCLIAMLVLIVLDVNNYVKINSIFQIW QPQNTVAMVQTQRDLISSKHKQIDEELIHLKSIFQEKAYKEATNLKLPIIDKNEYQYFTL SNGLKVLVIQDPEAKIAQAALCVNVGSWTEPDEYPGLAHFLEHMLFQGSKSYPQEGYFQK LVAEGGGSTNAYTRGEETNYYMKINNERVVEALQVFAHFFIDPLLDSSMVEREVNAVNSE YEIAVSGDLWKISHLFQILSNKPIGRFTIGSLKTLKDPMKELVKFHSQFYSANIMSLVVK SNYPDMAKWIREQSDFSQIPNLNLKKRSKLHLPLKKTGLMVKYKTNGDKNQLILAYQLDS PRTAKKSKTLPMIASLIKSKHKEGLLDYLVRQKMALNVDAGTFLEGNGDFTFFLIEIELI EGVDELKVAETVTGYFNNMLDQFFQEVDKNEINYTPYLEEIWQQYKQLQLSQYNYLDNNH YPTVQQIAHNLNYFDYTDAMSIEFLYEEFQPESIYNYLTEMLNPSNIVIFHGSPKFTNLK QSSELYRLEYEIMTLSREQIKKLSSAVTTKVVLFDWVKSKQLPLLLPKINTFIPKDFSIK SLCREQTSFIQAPLVFKSKEDCIQHEKEYEAINHYPLMIKRTVETKAWWKLQRQFQVPQI FTGVMFNTPKSINSLKDKLLIQVFNTLVTDNLNQEIQEAIDAGYQFQFTPSIKGVSLELY GWSDNYQSFFEKVLQSINNLKYDSFYQVKQKLMIYYNNIYQDKLFRVAMSEYLNQVVQAQ YYTSQLFQDELATLDLESLQEFHSNYFSNFRVSSFVSGNILRSEVEDLLHTIRKVFHKSS SHTSEEPHVFNIRDFTNKKVVVPLTHKGGDNNDVNGATINYYQIGHRNKKNFAIMNLIQQ FFHNHAFQYLRTERQLGYVALMRFIPIGCIDGAAIIVQGTAQMPYVVNQHIEDFLKQFHN VLLSLADDQLDNIKNGAKSALQEKDKSLYDESAYIWSQIRGNNLQLEEKEIAIAMIDEIM QKDIVDFYEKYFIKQQNKLSLQIYGKGLIEQFNQVKDNLLEKEEIIELKTLNEFKCAYTL NNL >CAK55761 pep:novel supercontig:GCA_000165425.1:CT867985:348851:349355:-1 gene:GSPATT00000196001 transcript:CAK55761 MLQAYINTFLIKLGIIRKEESFIVREEIRENATWSDLRQNRIKQIKEDLESGNINVDSEI IEESGQTLLTQSIVIDRYEIFLLCLLYQANVNQQTYEGMRPVHLAASLGRLKMLQDLKQY GAELDAKDELGMTPLERAKLYNHSEIIEFLKQ >CAK55762 pep:novel supercontig:GCA_000165425.1:CT867985:349377:349809:1 gene:GSPATT00000197001 transcript:CAK55762 MFSSYRKKLFDQKLAEQQQNLHQQKELKIRQSRGQIDQRALATYLGAYIQMKQIEDFFYE QCTNQCIDIQNEWMNDKERQCLTDCNSKITKFTKIAKDNYANIDDETKKIVSSVSSLLSR R >CAK55763 pep:novel supercontig:GCA_000165425.1:CT867985:350509:352075:1 gene:GSPATT00000198001 transcript:CAK55763 MSFQIQHYIIGIEYYNNGQDQLLGNGKYGKIVIAKHQLVNENVLIKILEKKNLKLNRDLQ NLENEIQILRQIKHQNVIQLYEILESTYNIYMIFEFGEGDDLKHKLNQCQKLNLQYICSK LPKEFLHCKLRLENIVLQNGKPKIIDFSQAIKMDQQATIDYSTIKLSYQSPEMLNSLYYE FDGQKYDIWCLGLMLYQMLQGQLPFDNITNNNDLKNKIKKSQFQINSPISQQVCSLLESM LTIDPNKRITLNELMNFLESQNLIFEDEYLKVHDDIPIHLIIKELEENQIETVNLFQQLE QNKHCTLTTCYYLIKNKLMKRDKLNKIKENLMNFENSKFNFMTKLRNSLQFTTTKSRIYQ NGTRVHTENNHIRAESTTSHSYNKRSLSKTTNHKTPFMKQVQQAQKLSQTQNSFFPSYNS TNQCNLNKGNNSINNNNNKSNNINNQNFQQLGIISDGYQIYQDKLQQRLKSLLYSNNNYI IQVTNTKRRSVSQKN >CAK55764 pep:novel supercontig:GCA_000165425.1:CT867985:352078:353157:-1 gene:GSPATT00000199001 transcript:CAK55764 MIHEQYRFFGKELVNSSGEFQKENTDRNKPKHLTVLPRYLKLNLWQEEKENKMEVENNTQ QLCSFDQQMIKDPQFTSLYNKEIYTYLLTQEEKYLVSNNYMNEQQQPDLNARMRAILLDW LIDVHLKFKLRDETLYVTTYLIDRFLNFKTTTRQQLQLVGVASLFIACKYEEIYPPDLKD FVYITDNAYTKQDVLEMEGQILQTLDFSITQPSSYCFLQRFGRIAGLDTKNLSLAQYLLE LSIVDIKFMNYKPSFLSAAAIYLVHKIRKTPQSWSEEMQKMTGYNEQELRYCAKEMCLVL QSSDKSNLQAVRKKFAQPKYQEVSRIRVERQIKQQK >CAK55765 pep:novel supercontig:GCA_000165425.1:CT867985:353205:354068:-1 gene:GSPATT00000200001 transcript:CAK55765 MSFTYAYQPELIRASQKDSEMIQSIYQNICNLLEYFVSTRQIFNKLNTIKMISNLTYYLL TYLREVKTIGEEYTNIQVFNYSDYDDHFVPLQKRRKVLFIILQLLSFSIPKLRSRQIMYQ QQQSANKMLKNLPDINDVLEGIQDFHLALFLIQGSYFEISKRLTQIQFIFNRIPPNHNIK YKRIGQAYLILLILQFMRSLYNFVLKIKNENMKDQVNKERNITTNENNDKFTQCLICYDN ATNVTCTPCGHLYCWDCIMQQVILKQQCPICRQDCHLQQLIQLYNYN >CAK55766 pep:novel supercontig:GCA_000165425.1:CT867985:354263:354700:1 gene:GSPATT00000201001 transcript:CAK55766 MNAKGAGLTYVSEYKSDEFRTFRGGLRHLPERAEAMVHNSKNNLSNYHDKFNYERGFIQH SRSLSNQHHNFHFMYGGPKEYLFKRLFYGAWYRKNIRNFWFPAVFSYGLGCFCMRLYDNA AHDFFYFTD >CAK55767 pep:novel supercontig:GCA_000165425.1:CT867985:354742:355290:-1 gene:GSPATT00000202001 transcript:CAK55767 MNSLQQKLKLNIGTQTKTDSSQLPDIVQKSPRMVLRNLQSTKLRSQEYISTERVREMKDA IVSNHSRQTSLQKMNLSQCKFRINKLANDDNRVCLPNFKKWNKEKAKKMLCDYIKQNNKY SNKIIVKLPEYEKRAPFITEQFSFIEKILPQNQKFRKQLGFSEESILRNNLLLEKMLLER LL >CAK55768 pep:novel supercontig:GCA_000165425.1:CT867985:355326:355872:-1 gene:GSPATT00000203001 transcript:CAK55768 MQKVITPEIVRTFTNPCDKFLCQPSEEIKFIKFILRDADDNFILFDFDNDDENQQQEVLS YQFTPQYFDIKTLGATKIFKTFDKPLKNLLLIDRFYFKNNLIKEYEFRFPFCIPHSTNTW ESMYDQPALGANLKDQMLLNPWETKSDTFLFIDGKLANHERIEYDFSGDQEDFD >CAK55769 pep:novel supercontig:GCA_000165425.1:CT867985:355922:356281:1 gene:GSPATT00000204001 transcript:CAK55769 MFRKPRQIETHSLHYSISQSEIKRSSYFTNLSHRYRSQSIDLSNQKIKQPILQIKSKSKP RMQINPIKFQIFKSNKPLTEFKEFKLRTADRCKSREKLRIREQNKENKENIYIQYNTKL >CAK55770 pep:novel supercontig:GCA_000165425.1:CT867985:356472:357012:-1 gene:GSPATT00000205001 transcript:CAK55770 MHNHINSSFIFVFIKVLLSSSYIHSNWQLVQQICQQYLIAFLQFIVFQRILKVYQQLDLF QLWLSVMKTLKDDQNLKFNCQIKLQFLGQKFFSVQEFFQQQQHRGVELTVTSQQFLVVIS YLEQVEQNSAIFLIASHPKNNSLRYTIINNIAQDHQVDFKYIFIYIANTKVI >CAK55771 pep:novel supercontig:GCA_000165425.1:CT867985:357018:358165:1 gene:GSPATT00000206001 transcript:CAK55771 MKSKQVHKENIFQRYKPQRPNTQYKQHTQESSQFQFIYANNEADFFQSQIQRVGEQQDQA NQQTKQQQSKPPQQQTSRQINLLDYGENFTSVEASKIQVNQPKILLKNSQILTLFKRSQN LDDRPNQQIQGQIEQSSGPDLSFLNLSPLIQNEPCLRMLEKMVIAKKDGRLKELKIFGQV ALEGDFTFDDQSNLQLLIQYWSDTQGCQRRITPNSLDLQLYRKNGYKMGIIDYIIIPTRA FIQQRIPVSFCIDWNVSFASYCLQYKVNDLWRMPLQDIVIEVNLENDVEFDDLKTIPVAQ IVKDKKITWKSKQLLQQQKGKQVMNFMGIKKARTPNSEPYKNQFKSQFN >CAK55772 pep:novel supercontig:GCA_000165425.1:CT867985:358316:358596:1 gene:GSPATT00000207001 transcript:CAK55772 MSEAQADRILSIANQETVNRLFRVCYKQITGQRPVGKFQDSDHQAVLNCHRRLVEVLKIV APAIVPLREE >CAK55773 pep:novel supercontig:GCA_000165425.1:CT867985:358599:360455:-1 gene:GSPATT00000208001 transcript:CAK55773 MSQFLQPTILLLREGTDTSQGRAQIVSNINAVQAVADVVKSTLGPRGMDKMIQTGPKVTI SNDGATILNLLEVVHPAARVLVDIAKSQDDEVGDGTTSTTLLAAELLKEAKPFIEEGIHP QIVIQGYRKALELALEKLEGFSINISRDSPQEKRDTLLKCAQTALNSKLLANTKQFFSEL VVSAVEKLDPNILDRDLIGIKNVTGGSVNDSFLVDGVAFKKTFSYAGFEQQPKRFENPKI CLLNLELELKSEKENAEIRIEKPEEYQQIVDAEWALIYEKLDIIVKAGAQIVLSKLPIGD LATQYFADRNIFCAGRVPQEDLLRVQKATGGQVQTTVNGLNPETFGTCGLFEEVQVGAER YNLFKNCPQSRTATIVLRGGAEQFIQEAERSLNDAIMIVRRCFKANKIVAGGGAIELELS RYLRHYARSVTGKTQYIVNSFAKALEVIPRTIAENAGLNSIEIMNKLRQRHAQGGEEGRW YGVDINGASGVCDTHSSFVWEPSLVKRNALCSATEAACAILSIDETVKNPKSDQDTKMKP RGQPGRPPMGMRR >CAK55774 pep:novel supercontig:GCA_000165425.1:CT867985:361154:361571:-1 gene:GSPATT00000209001 transcript:CAK55774 MQNNMLEDLKNIMREGWLEKESRVFKSWRKRWFVLTTTTLYTFKAEKQYSNPTEIIPLST ISTIKSCQEETNKENTFKIDTPETTFFLMSNNNQEKEAWIGAIGKAMVKLHMKKNQKDDD FD >CAK55775 pep:novel supercontig:GCA_000165425.1:CT867985:361594:362071:-1 gene:GSPATT00000210001 transcript:CAK55775 MQQEKVISKDWLRIDPFDSPILKNAPKHQPEKFSQYKDLKDEQDNVIGFLVDDQQYFFNE DGGWIDYNGCYYDKEGQPAGWFVLHPGDVVHEHFYDQDGFYVPSDDENGDLDNVKAEKVK SQQNVAT >CAK55776 pep:novel supercontig:GCA_000165425.1:CT867985:362193:364303:1 gene:GSPATT00000211001 transcript:CAK55776 MNKKSPQKPKQQYSEDQFEQKLKEKYGQNIIYKNPISYTQMKDFNFRNPSYGVTVEYNFL QKIQDQGLLESSQLQFFMNVFKKAYQDVKLAAASCYFFSDLIVTQEQAIQPQQQPIIFKL DWKRPTVQDTPQEQNKIQEPPKPTIEKDLSSTQNYQLQNKQNSQEIQKLSKNDNNPSEIE QSKQQKKNTSKKDAEIQSPKHQNQQPLNSQETQSKPSGQQHPRSEIIQSKPQQDPKSQEV LSQTQQQDFHHSNRKYKQPDNKQHTQEKAFQSQIQDPHNDQTELVNPPMYQLNNTQEIQI ASIKQQSTISEETQSNSQKYSKESQQFVDVQKDFQQQEHHQLNQIKNQHDEINDKSLQSN QSNQKQQVIGQVNQQTQQTGTSNQKPTNHTSQINTSSKDSTQKKPQNDSTAYKSKEQNQN WQVTQDQQHLNQVAANQDNSRVKADQNKQAFQQNQKEVPESQPLNQEPAQKTYHFQFTSQ AIEQKPQKEILSMPQLGPLIKQFAENNNLSENQLKNQDYWFFPINQQQTHWVSAVVQFNP KKIYYFDSYYEKIDPLIKKGIHQILEYCNFNSNDFEIIPYYNRQENCYDCGIFLLLSLLY TLRRKEYNYNQILINNWLLLELKQRQKKTLWKNQLAEAQPLTIFIIFQLYLKINPITNEK GSQSQTLTQT >CAK55777 pep:novel supercontig:GCA_000165425.1:CT867985:364523:365300:1 gene:GSPATT00000212001 transcript:CAK55777 MPQLTKQLPTNILQIDDKDSLELTIPDAPYPPPQPNNLYEPYYDKQQQENTIQTPQDNRQ VIEKYYENENGKKYQFIVQKIEITKAEEGKFRIKSMQNKLDEYLISKSLNQSLNPIYQHD FSFFPINLRNAHWISIIIDPKKEIILYQDSAYAAMSQDIKEGISKIIDYKKPSKIQWKLQ TKSPQQKTSQDCGIFVLYALFILFTEGQLVQEKQYDQTYISMVRQNLFQLTVKEAESDLN PELVERIVRGC >CAK55778 pep:novel supercontig:GCA_000165425.1:CT867985:365343:366674:-1 gene:GSPATT00000213001 transcript:CAK55778 MEYDYIGAIKIKDGLFLGDQFASQDLEFIVTNKVSRIVNCACKQIPNHWESIGIVYMSLP WIDNDTQVKLQDLINQVIKFMDDALNNGESVIVHSIRGHNRSIAVLCVYFMKKYRWTLYK TLQFMHSRRPDLEIRANFFNQLLAIETKFQKNGFGAKTYNWEEVFSQGDPEEMVLRNTYL NAQPQGVAEFKDHDQKPKTQKLRFAEKITMYIPPYEKIVFNKKKQTQPIETKSCLTGKTL QYEQQIQQSPRPQTNVMSQTQPLQPIQPVHQRPSSQDVKRVVVKSDSSKLQQLPEATQSL KPKKQANNFMDSGEQFFLKSQQKINQDANQGNPQNERRPLTAPNQLRAPRLQVTPYKKNS TGGQRQQELSIQANAQFKPMGNRQRAHSPNAVYNANPYVQKQFKTKPWKK >CAK55779 pep:novel supercontig:GCA_000165425.1:CT867985:366739:368251:-1 gene:GSPATT00000214001 transcript:CAK55779 MNKWTLKFENQALENQFQVKQQDNYKTFIFKVISISSILMHIGKLVSDSYQNTPLRIELQ APGLIISVITLFVIFKHPQYSSNMMTLLNYSFSIAQFWVDNTYGQQRSFVLGSNITASQM ILMMSLDYYQIVPQIVIQCMLKLLILSKYQEENLRIVTILYSVLVAVSEIVAYYLIGQAK RKQFLLTVKEDRYLQYLPKFISVPFILFDYQQGQFQPIILSKQSKIKRWNANLCDGCNLR SLIRYIYFENQTLENYLLEKLSTIKSSFEQGNTIEEFSFTFRGYSQIDFIITITDKIRFL VKFVGATQCSVQEKIAIDKINHFYRLIRKVFKCVQKPQKYQNMLFDISIQFISGFYLYGN KEVHYYPVEVLKNIIKFVGAANVLMNAEQTTEGYSLIGSKNQFSVFFLQVCRILMITHSE LEFIVIRVSITGDSQLIFEFSLKKENLQKFIHLYKINKFLQSLEQVLFEKSINFQSNCQL KMKRISYDELKKSSEI >CAK55780 pep:novel supercontig:GCA_000165425.1:CT867985:368351:369436:-1 gene:GSPATT00000215001 transcript:CAK55780 MVKYQFTSQDAEKSIAQFTKLKEIIDNQAIIINQLKMENDQLRLQLQKLSQQIDKTQREQ QSNSDLLGINQNKVSSTLPHFQTDNQNVERLANIYDKGYNPFALNLNNQCRNEPKFDPQQ QYNPFQNPGDSQILKRDAFSDLQCQLSQLEQEKASQQTNYQQFFDANNQPQQKQSHSTSI FENPSLPNLNAAPNNNTNNIFYNAAPDNNTNNIVQDAPPDNNIFRNAALNNNTNNIFYNI NANNIFQNAAPHNNTKSNFCNNVNNDENQKQQINSQENHEQESLLFGDPSNCYYNVQKSE SKALDQVQLFNQKESINDQDIKQLENSKENSSQNSSSFKASSSEKHEEFQIIEKDEESNS I >CAK55781 pep:novel supercontig:GCA_000165425.1:CT867985:369486:370218:-1 gene:GSPATT00000216001 transcript:CAK55781 MSRQQFRNARMSLFVGNISRLVEQKDIEREFKVHGDCTVDFRVKNNDRYAFIQYKSEQEA EAAKNALHQRDLQGIDIGFMNSERIENKYMMRIENPEEIDQGMTEDNQEEIMIDSRERGK RKENQEGETQKVEAEVEVLKIEVVHSIECPEVKVLIVRMNLPETKCQNILCILD >CAK55782 pep:novel supercontig:GCA_000165425.1:CT867985:370302:371326:1 gene:GSPATT00000217001 transcript:CAK55782 MSQLTESPNRLNEQPSIPQEEIIDKSQKQSTSSPDKENSQELFRLQKQQFLQKMTQDNSS RRQKKTIGDIKEVLNVNKQIVNFGLVIPGNICEDDIQFQNLSNETVIIGIQVICNNTEFD DLDEYVYSARKLNGYDYNDRFMLACPAQKQFSMKIALKVPNVKEQKGLFGTILITASYSN HQKIQGQISAQIQSQITIPSIECPKTLMNSVYNLPVIQMAFKLGKKLDCKIPFRNNSPIG LPLEMEFLNKNDNEILINPPTLSVQGNSQFLVTIYIKSKQEQIIKNVLIVKVKNSNVHFS YPFIIKIY >CAK55783 pep:novel supercontig:GCA_000165425.1:CT867985:371375:372778:1 gene:GSPATT00000218001 transcript:CAK55783 MSFNKKGREDLMQITNDEDGREINPHMPQYIINAPWYLKESTPSLKHQRIRKQQNSSTFD NWYQRGQKGQNNLKFKKGACTNCGSTTHQSKDCCERPRQIGAKFSNTDIQPDDILTNVGG LNYDAKRDRWNGYDPETYKSQIQEYEILEEKRKETRLQEGQQTESGNLDDEFKDNGTGEH QTQMTTRDPRTKTMTRNLRIREDKANYLLNLDVNSAYFDPKSRSLRENPNPHLPPEKQVF KGLNQIRLTGETLQMYEQERFAWQYAEQHNLNLNTVSLPTLTEKTYKQIKVKKEEQKIGR AESLFDRYGGEEHLNPQIDLLLGQTERFVEYEEDGLPKNPLKKKDLTKSKYLEDFFYGDH TSVWGSWWSDVLGWGYDCCYSNEKHSVCLGEKGKRLQLNKEARLKREIEEDLQKAQVQDQ KSSPIHQQPPQQIIQQIQQQ >CAK55784 pep:novel supercontig:GCA_000165425.1:CT867985:372898:373958:1 gene:GSPATT00000219001 transcript:CAK55784 MQTIQLKNLLKDRRELKKQFTLKRKLDITSIPILVRNKSCECLDCGGQIIKQIEEHKINN KGSPKYITKFIAQHEKSKNFHFLQHKPQTTQNLPFPDIVPKRVQQPSSNSNEQPLISPTL EQPKRDMRKLSRLIEKYETTKTEIVQQQYCKTLPDVVQKSKNKCQYLQRQKTQHHSYIKI LPIGDEEKELQNSGTNSNHLKNSSQKTPLRNQVYKELNESSILRQLQTPILQKSKFKNYV IIDFADGIKKAMEKIKEMDEFSNNSKIVSTQFNEDTKNKNRGSILLKSMFDCTKLLEKNK KTLKQLDVFVRTKSLNRKSSKYEKHYSPSKNYSLSKIYLPKIIKR >CAK55785 pep:novel supercontig:GCA_000165425.1:CT867985:374063:375091:-1 gene:GSPATT00000220001 transcript:CAK55785 MTSITQEYSLNTFQSSNFHKVIITVFYNNDDYKARLDKLLQQIPLDVEKYALSYCNDEQI ARSHNIHQLPSVLISSYPQLINSQILSLEPAQIVVQLESIFEQFEVEFRNQQKHYFQKIE QMIKENPIMLFIVGTPEKPMCRFTSKLIQKLQPFGIQFGFYDIEIDKLMVGYLKLYSKWQ TFPQVFVSGKLIGGADATVELIEQKRFAELIPKEAYMTKNSKLEQIQLISKYIILIDGQP DLNCEIARILSEKKIPFQFYNIGMDQEVRSEVLNFMSSYIETSHDLEPLLLYKNTIYNEI TLKKELSILQ >CAK55786 pep:novel supercontig:GCA_000165425.1:CT867985:375354:377150:-1 gene:GSPATT00000221001 transcript:CAK55786 MLDSTTSQKSPQLQKSIKSKQELQSFYHYSKIMRLKEVKEVTQKPSQLSINFNLSQQNSI ALRQSDHLSNFEFLIQQNSRHNYHRQIREQYQYHSQSALTKNQTEKINWQKYKENQKRIE QALNKQKFNHCLENNQQSITRRRVQRLSSETSTQSKFFIESRPTSKQGTQLQNQVIFDSM QKKQSIKAASTQHQSQIDQYDYEISQQPINFDTLVNKILDKKQEQQSQNDENEEENLEEE EEEFEEYKDEKDIMKIKTEGIYEQIEKQLDNNLRRQLKKQVIKQDNLYNQQVVTANKQTI QKLQQTKKKLKASIKQQLQFQGNQQETDIQQQQQQVQLSQNEILTQTFSPKKTNDEKAKK LNDEYLKELREEQNQYKQNQLRSQQEEEKKQQAKLLEIRQIQEEIERKKNKKEEFIYNQQ ENDNEEQFLKRQSLLKLDLIESQKKEQERLLREQQLQQKEKEEQLNKAKILEEEKQREIE ENKKKEIALLIQKQKEEVEKQVQEELSKQPSKLERQKSVLIVPKQTFQERKRSQEEIETS NLQIKREIELKIQKQKEDDEKEELDQLSFVDFMLRSQNIYDVNYDVNLDYLDIEIQII >CAK55787 pep:novel supercontig:GCA_000165425.1:CT867985:377206:377505:1 gene:GSPATT00000222001 transcript:CAK55787 MNYHTHIAGLQNKVKLNKIEPKTQSILKKPNNSQNNKTRIDLNGNPICTSSKKYSINFKQ NLCQVYVVENWKKFNILENSIQILEAEQNKKCLYNCNLF >CAK55788 pep:novel supercontig:GCA_000165425.1:CT867985:378280:379149:1 gene:GSPATT00000223001 transcript:CAK55788 MLCDEQTATKIGQNVFRDYVVDGSFDINSLQKMLEDCYAKLELPMPSLTEQDLIQYAQIW DLNQDNSITEDDVENNAKQLLSQDQEDASKAQELLGYPPIQVTYESYVVQNLEFKEESAV IVSKDIKQETGSYSLKAAPESNRRNQSSIQQSTKTISNSKLQPLSQQSNTFVYPLETDKN KYQQYNTRTQKKLELAERVFNKFQKNGFITQNEAFALLKETYEQLKMPYSITEQDAKSWM LMADKDKDGKVRLQDFHQVLLDSLVQAGISIN >CAK55789 pep:novel supercontig:GCA_000165425.1:CT867985:379273:379997:-1 gene:GSPATT00000224001 transcript:CAK55789 MIIQIPLSFSYKLFTSYGFSNLDGKIQKQTSTNTAKIIITKKYTIGDSCTKTNPGHYQQS MMITYIPKYLPLVSFVLILVMYHVYDTHKIDNCIQIFFTANPIWVPFSLHLQTIISRDMY NVYPNYEKNITQLLNTFLDTYFGLNNAITLSANKVLILKAACNENIEITPRSLQLVSLYK KVIQLAAELNDENMNKKVVPSKATFQQFDYPYNSLYCIKIQQKQVEIIL >CAK55790 pep:novel supercontig:GCA_000165425.1:CT867985:380010:380334:1 gene:GSPATT00000225001 transcript:CAK55790 MRPMLQYYYIAGTILDVKTKKQAYVFIILGVFKFISGICSGYISDRDHFYMVATTSTIIV ELALIVSIISDYTDNYTLCFIAGALLETFNCLLLI >CAK55791 pep:novel supercontig:GCA_000165425.1:CT867985:380657:380920:-1 gene:GSPATT00000226001 transcript:CAK55791 MSEGNNVFWQPFKTTKEFIEKDQKITKGLMQGAIFAVIGYGVGLVFFRARTLRGFTAGTA ATWRFRDQIE >CAK55792 pep:novel supercontig:GCA_000165425.1:CT867985:380972:382032:-1 gene:GSPATT00000227001 transcript:CAK55792 MNIISHKTYNDVNIDEYEQNGWKFIFTKSGMYNTTDLAMLQDALTLQAIPDITNGNNCGL IYNKQFNFGILVDPKDALYLCNYQARASNYIDIKKELKTNKLNYISVIPEQAQIKYANIW NQKQNADIKVLNKTSDTFYSSPYKGTIVDGDQINYQFQRFEQLTGIKGKSIFEQQLNENV IKNEVDYLNQVYNIKSKTYHCEYTEEEIPLNNLTPDNPIKWAYHITLFEDELGDNGFSNC EYRFRIMGDCFFGLIRSYLRIDDVLIRILDTRIYHQFNWNYVLRDFTHREDSWTNIEKNG FNFSPQWLTDENQSFHIQNSVPILLHVNDKIYFNAA >CAK55793 pep:novel supercontig:GCA_000165425.1:CT867985:382271:383570:-1 gene:GSPATT00000228001 transcript:CAK55793 MSKPPQAQNDSQSDNGSVNKISDKQKINTLKKAVIELREEKAQLLKTISELTQKNTNLTQ EKADLDEKYNSVIRDLQQQIQTLSNGQEKQRNTITSSLGSPRSLIQNDPLNNNSNLTKQI KQLQQELKQKTDDHEAQLSILQTGYKELERDQKYKDHQIDKLTKEIEDKNTTIQQLRKNI EDIEKDLYNRIKDLSGEKLQLQLKLKTIEKEIQQKDEETKVIQKALDDSRFKIAQLLSEL EETRGKYLQHKLTLNNQFLDIECLLILRQNLFQEYIFELETSAQRFVYKASDITDLKIKD EQSFYLTIKSRNRDEVFKLSPGQDIKKICKQIKTFLQRAQQSHALQQSSQIQSPKNNGLL GGLISIFGSNSSKNGSNTNSESKQK >CAK55794 pep:novel supercontig:GCA_000165425.1:CT867985:383645:383927:1 gene:GSPATT00000229001 transcript:CAK55794 MSNYTALSGGSTFEDIIEEIRKMDAKIAQQMNQRSQKFNQKESVHLIDKQLGEQIKKING LINEGRTQLNKKKNLQVLLMLIEFLK >CAK55795 pep:novel supercontig:GCA_000165425.1:CT867985:384061:384402:1 gene:GSPATT00000230001 transcript:CAK55795 MDYSEKSHTELVQIQNNQIKQQDEILDNMLQTTGVIAVNMKTLDLALKEDKKIINELNKK VEQATDEIKDTTSKMKTLLSYTNDWCLWIIILVEVVVFIFLVIN >CAK55796 pep:novel supercontig:GCA_000165425.1:CT867985:384411:385408:-1 gene:GSPATT00000231001 transcript:CAK55796 MYIIIASAIILAISFTLQLTKVNPKKKLYILDIFLILLGAMAIYSETTHKLGDFTEQLQA AFSKQYPIEYQLDELILKNQIQLHSYQLFYGMKQDGISTLFKFIVNQQIKDSKQAIYINI QSEIQTQEQALQLFGTNNLHELIHFTQQRPTTIVIDNLHLSLSKKQCYICPILSNLHKFN TTTILISAKELHNLNYLLDDYSVNVMEIREFDNGKQLHFRYDWSQQSIQYGKKYEDMVLQ KQKEFVIGLTETEKQIMGDIRKLICKIKCENQKVKIDDLIYQHSDLKQIVEKNYVIAQFG YVKFYNSFIFESLQYL >CAK55797 pep:novel supercontig:GCA_000165425.1:CT867985:385506:386198:1 gene:GSPATT00000232001 transcript:CAK55797 MGKNYRNRSKTSATPRKPFEKERLDNELQIIGKYGLKNKREVWRVQLTLARIRKAARELL TLDPKDPRRLFEGEALIRRMVRFGLLSEQERKLDYVLGLTTQKMMERRLQTFVFKSNQAS SIHHARTLIRQRHFRVGKRLVNSPSFLVRVESEKLVDFAVTSPFGQGREGRRKRKNAKRV KPNKEE >CAK55798 pep:novel supercontig:GCA_000165425.1:CT867985:386198:386488:-1 gene:GSPATT00000233001 transcript:CAK55798 MSEQDDKKFILPKSTKDLKACQQCGVILTVEQWNRAVECPNSCNASQTKLFSGMICVLKP SQSWVMRKLGNPRSIHPGLYAIDVQAD >CAK55799 pep:novel supercontig:GCA_000165425.1:CT867985:386508:387286:-1 gene:GSPATT00000234001 transcript:CAK55799 MYQKQIYKCKINNQRINKKIFHFMDCVNTFCEICCNDENQTVDLNCKHKLCLECFKIAVV PKELCPFCKQQVNQVLIENKILNISEFEKPQEKEQDFFEESHFELVNEQFFDEDLRILIM NLNRANQFMMRTIRQTDSQFNLKWFIYDQISEQINNLKFTNSLEMFQQINNLNICLNLIQ SNNWDQAQFQNYKDVYNIQNMEDNYQFIIQNKAKKNKKRKQKQ >CAK55800 pep:novel supercontig:GCA_000165425.1:CT867985:388113:389602:1 gene:GSPATT00000235001 transcript:CAK55800 MNKYTLKFKDKKIETQYQEFRRKEILRKVFYTMTPSSLILNVIKVAVDLSKSKYDQLYVN CANIAVIIIGVLLVKINEKYLRFFITLCSVMTALLQLNLDPNDAQKQDYYNFGCVVTSLQ SVLYFLSDFPDACIQVISHCSIRLSITSVTTNYVDFQDYLLTIFAMTFQFVVLYKCDFNS RKHFLLRVKENQWDNQLINLINSPFCQFKFINEELKFDLIQSKSVGMFFLIIISNFCERM VISRQYLRLTISLCPPKFRILLIQVQIYLKIINKKLKFINLGVETQKHLIILVNLNQEKN DHKFKKYLKQAKEEMYKTVKIFQKNDSEFQHSFRLGVYSMISLNSKQIKKINLTKRFQQC IKLFKLYGWKFKLKSNCQVYIYSYYCQINIFLIQLFLLFQNQKKQFQIQYFDLIESENEV NICIEPYDFQEFKAEFNTNFFINQIKNKILIQNNLKNQQIQLIKFVEIPFNPIEKSEVQK IVQQL >CAK55801 pep:novel supercontig:GCA_000165425.1:CT867985:389662:391229:-1 gene:GSPATT00000236001 transcript:CAK55801 MEKNNIEQFGIYCQDDQHFHKGQKIKYLMVKEEKGSITKRLFCDECFLGKYSGQQQYCCD LTELINGNIYEAFKYTLFDSDYKKENHQPYLDEEFIKNMIGSLKEEFLSILDDLEIKLLD NCAIYKEEIRRWAQNDRITDYFDCQDLREKILDKDFERSPKKFDELNKLAEQYLKIEIDK IIGNQKKFFNLDRFNLCFKETIQQLINMQQSTFSDYKFSQSILATPYIEKVIEKIYQGTA EDFIKNLNLIYRKSQQGSNFQNLQKIPQLSNTDTITIIQTKNKCIFGVYNCNSQPQKSIL FQMNKEKFFLYKGSNKALTLNERADKSNWILKFGDDDVVIQSTFSSCTSNLGKGFDIDGN QTIDKEEYLSDSKLFDIYDMEIFEVGKVQKNEKSISQIPPNPNQNIIPNNISLSIQSHNI NSSINKNPQFPPPNQIPPQANDNIRNTIQHNNQSFYQNTNPVRQTDTNPNSNSFNPIIKK >CAK55802 pep:novel supercontig:GCA_000165425.1:CT867985:391686:392929:1 gene:GSPATT00000237001 transcript:CAK55802 MDSLKQAQKLEENQETTNNDLGIKIKQLDQDGLSNSLLYKQFSFILNHLLRVMTRNEQSY RYKMHLGLCKMMEFNELELVYWHLLNLQFDYGKKLQPNYSQEIKTCAFNYFKDFLKIQAE FIPDISLYKRELLFVTLSAFYTIKLMRNNQKFDKIGEFIKEEKFGELFKRIEPAFINVSQ QLTLYIVNQKYMEMTKLEEPLEEQQINYNFIVEQILEMAPAYQKDEELPVTKKVKRQKKL MVRRTETIQKQELESQQKIEQQSQQIQQLSQQFQYQGQQQQQIDLQYLNQPIGLSIQFQK HNQKESQQYNQMNQSQIQADSYIINERSSFQYFDKQYSNVMSLRSRALHNVSQESLGQLF SNQYFPTPSQEQYTSRNQKSIIQTNKNGK >CAK55803 pep:novel supercontig:GCA_000165425.1:CT867985:393397:394422:1 gene:GSPATT00000238001 transcript:CAK55803 MNKENEAINLPELTCKAHTNKKAKYKVIGVPFAKPKFNLTDLICSKCAIVLISQGYKIED IQSDQTSIRQEQIQQFLETISQTFLIIDQNGQQLNEKKYDLVRFCEKQKDKVNEHYHQMV IALDNKLKEQIDYLNELQLKAVEIFDQKQQEIKDNHNELVQMYSDIEVNLDNIIMQIECL PYKQIMGQYNKRVQDIQLQLQKLESSHVHLGRVYKKVNENKVLGGLFDDCELEIKIVQTA LLKNDQQQTQSTQRATSPQSIQITYSTTQTPDKQRSNSQPSKFLEILNKVNENQLKTNNY YTQLLKRDQSFDLNEKYCSPTFKK >CAK55804 pep:novel supercontig:GCA_000165425.1:CT867985:394743:395668:1 gene:GSPATT00000239001 transcript:CAK55804 MLVVMLLFSFSVCLNAQTNDHSKEDYKLIIFSDFCYEQFFGNEKISSDCISDTISRTISI LMVAFAIMNQLPQIHKIWKSQSIQGISFNAYYTELYLLSFITAYNLYKQTKFILYGENAI VGLEYSIVLCLFIFYDKNLNFNQWLFKAVFFILINTPLYIGLGPQWIFDMTIYINMSLLF MARFLQIRLNCQNRNTGQLSLLTQLQNYAGSIARLFTLFNDNADFSYMLYVLEDNIMGTI LLVQIINTWRAERNKNKISYDKSDQSTCEEIKYDQI >CAK55805 pep:novel supercontig:GCA_000165425.1:CT867985:395699:396510:1 gene:GSPATT00000240001 transcript:CAK55805 MQCEILDWKGYLVQASLGVLSFTVLIIKRYFERPKRVWKIFLMDSSKQLLSALLAHILNV ILATELSAETDENACIWYFITFMLDSTLGVLLIFLLMKSLNMIFNYFDMRKLQSGNYFKI QEKVRIDWQMYACQLFVWNSVVIVSKFILFGFQELVADQLNEAGSFLLSPITNPELLLVS VMIIIPFIMNAITFWIQDNIIKKTDFSNQEEKQLLIGTFYEGGLTEQFTQELAVL >CAK55806 pep:novel supercontig:GCA_000165425.1:CT867985:396539:397144:1 gene:GSPATT00000241001 transcript:CAK55806 MNPISMPQFKGDPLLFHAVAHGNVQEVISLIEDKEANVDARNINGATPLIYAVQANHPQI TELLLKFKANPNLKEYYDVGEKTALHYAVEKNQFKLCQLLLDYGANPSLQDKRGLTCLHY AARQGFKQIVVLLLNYGVDINLRDENGFNASYWAQVNKFNEILILLPPPKVIPSNDLLEF KTQMREIHKIEIGKKKKKKKK >CAK55807 pep:novel supercontig:GCA_000165425.1:CT867985:397554:398687:1 gene:GSPATT00000242001 transcript:CAK55807 MRRPNEVEFSKTALMANWYEDRLAPQQLQRDPNAQKSLRTVEEGISIPGDNGCLLPLPRV NRNPPYITKEIIVPDDGYREFRTEFQTKFDAKNIQNMQLGDCRALVKTNGEKVNFPECNP TVAQTAGARSIKQLDQTNMQQILVDRAVHQGYTDFGSTFRNHPEQHNKFYSITTYQQSFQ PPENNVKEILTDKKVKPVAAGCRERDPFDQGLKMTSVITGEKYRDSVDPKENTEVQRQWV HCGDSGLKTAQNNLRSSSIQNYKKTAYQVHPYDIATSLPLGDGVYTLHSKYTDPGQFRHI RSDVTRIMNKPITRK >CAK55808 pep:novel supercontig:GCA_000165425.1:CT867985:398687:399646:-1 gene:GSPATT00000243001 transcript:CAK55808 MALVLKQLGGFSRLNAQCPTYKYICAGLSGGSLLYAFYAQVQKQWREQEINFRKEQLKKP IYELRDEELVSPPWNSSNLNEWLYRRVRVKGRPLHYLQMMVPRTEFQKPGYECVVPFVTK ENEDQSVQEGLLVSLGFVPYEYKEVTDRHKLEDASVQSFDCFLSQLPELQDNSFGNVANR RNSQWYYADLQLMAQFTGFKNADKIGKVVLEKANFNTPLDERNMRTYDLDADHHLDYPYL KSNSGILYHKNHMPWDWQRAKQQALGSTVVFGAFGALLHLAK >CAK55809 pep:novel supercontig:GCA_000165425.1:CT867985:399863:400222:1 gene:GSPATT00000244001 transcript:CAK55809 MQNYKMPINKKGNKMVKSVNLSLFSIPDSTKYEKQQKSKEEYYLQISPRFETHTLTFTNK IQKLKCVQHEDLDTEESVKSNESSKTDRSMKLSQKHHIEQPKSQFYNEIKSSRRLKTEQ >CAK55810 pep:novel supercontig:GCA_000165425.1:CT867985:401309:401664:-1 gene:GSPATT00000245001 transcript:CAK55810 MSNLQLQTEENCDISLSQIENMLDQSEIEPQTKCKNIETKYCINSTVISISNQIKLLGDD FSEFNVPSHYLPPNIQVGNVFQITFQRNRAEENKLKHQIEQIQKSALNDQ >CAK55811 pep:novel supercontig:GCA_000165425.1:CT867985:401804:403294:1 gene:GSPATT00000246001 transcript:CAK55811 MQQDQVQTNKRIQFQEIVDKHFEDSLPLFMDILRVPSQSREFDPEYLTNGLLLQTAKLFK ELVENLKLKNAKIDLFTDEGLSPFLFVEVEGTDGGADGTVLFYGHMDKQPPFTGWREGLS AYDPKIINDKLYARGGADDSYSVLGSVIAMRTIQDLGLKHPRAVMIFEADEESGSDHIEH YLNKLKDRIGNVDLIVCLDSGCGNYDQFWSTTTLRGLVGANVTVQVLNEGVHSGDASGVV PSSFRIFRQLLNKIDDPKTGEVVDDFQVTIPGERYIQAQKTAAVFSEGLINKFPLSANTQ PVSPDPFKAYINKVWKAQLAIIGADGLPPAQTAGNVLRPETTLKVSVRLPPTKDPKEAEE SLVRILTTNVPYGATIKIEGLNSGAGFNALDNKPYLDQLINDASNLFYGKESVTFGEGGS IPLMNTLQQQFPKAQFIITGVLGPNSNEHGPNECLDLPYTKKLISCIAYIVSGAQEHLKK >CAK55812 pep:novel supercontig:GCA_000165425.1:CT867985:403320:403822:1 gene:GSPATT00000247001 transcript:CAK55812 MTTWRALISPSNNVSLQESNKESIDNRIHTLPKGRHTKTITQSTNISHQKPTIKRLHSKS IDIDQAFIKSSSDNLLDEINDIDEGFQYDEIKEMLIRNSINLKKLHKDIDIFDYNQRQIK ALQKQILFQRSKYNLRINSQINNCNKKLQQIQSNLQSNY >CAK55813 pep:novel supercontig:GCA_000165425.1:CT867985:404270:405746:1 gene:GSPATT00000248001 transcript:CAK55813 METQISLKIKTLDNQITTFVVDPNNTVQQLKNVIQTQLNIPFDKQRLIYQGRVLENNKTL QEYKLQNDHVILLPGQPLQEDQIVQNQTQQQQQQQSTQGVNEFPEIDILSNILRTLRQHQ YNRQNVRRMVQQQRSNGFHLDKQLSLEGIRQNYQTLKQLLDSQVKPEELKQEDLQGEYVN PFDPKKRKFQVGQWIDVKDTIDQWLEAQVQIVEQNRVFVHYNGWGNRWDEWIDTQSPRIA TFRTYTVGSINQEFLSPNPVSDPDCEVEKQEIDMHKFIFDVGHMMNQVTQLLIEFGRFEN NKRIAEKQIQLQQQYQQMLMNKNKEQNTQENYMEMMKKEKELSEYEIKSSLIGSQLAPIF DRMGRMMTDMAPHLALMGSKTQRVNNNNQQQLLFQVPVTLTPNEMYQSQQNAPTLHSRLE IISNRLAILRMTEYIQQEDSDDDHITI >CAK55814 pep:novel supercontig:GCA_000165425.1:CT867985:405784:406777:1 gene:GSPATT00000249001 transcript:CAK55814 MSLINRRKQHKISKVKQSADIRQQIPYIGEYNEAPEYTLDNKYLLTGYRINYNTVGLALK SMFHKHNETCNIWSHLLPLFSFLGLLVYSQVLQIAPFISFMKESENHYSDIQLWPLQYCL LCAIILFTISTTYHTLFCVNKTMSCVLLRLDYGGICLVASGGVIPVIQYGFYCNQQIKDV YTIMIILLCIVTFTSSLFDYMHKEQFVVYKTLIYGLFFTFIFTPVFHLMMFSRYNLLGGH FHFNDTESYFLLMLIFLISGITTYATRFPERCYPKRFDIFINSHTIWHIFVVLSYSTAYL MSLQMYTIRENYKCA >CAK55815 pep:novel supercontig:GCA_000165425.1:CT867985:407189:407425:1 gene:GSPATT00000250001 transcript:CAK55815 MIETLCKDYFETPLHYKQSNIRFQGKSLKKLLKKDVSIMNDLQNVHDFGDQILRMNYQEV TKQVPYFLK >CAK55816 pep:novel supercontig:GCA_000165425.1:CT867985:407458:410110:-1 gene:GSPATT00000251001 transcript:CAK55816 MSFQPCKILSLISPNQFLIQSQQQKTIIVGNGIPNFLTRSKLNVNQKALEIYFQQLQYVN KDAQYMTKTNQIQINGSDLIQEIKDETEIHLKLIGVQNEEAKQTQPVLDFIKQHRNKSID AILVSFEGNILNFLYNKDTLISLIPNAIYIDEFAIQDQGYSKLMQKDWLNREFSITFKGT QILTVNHQRNFIHFPILKADLYPTSQKDSELGNEFYSSLISSGFAFITDWGKLNLPQNSF QLLFQNQEEAKKNNLGLWKNGELDRRMLNSVSSQRTGQIVEIIEANQYLVKTDKGILTIK LDRIFIEGLEAKEFARKILIGKQVHILEVGDNMPLQTIQLCDNNLDIEEELIANGWATPK ENHPQLSKFKFQQFQQMNLLAKQRKIGQYSPELNWRIEDQTDQKQGKSVNEIIWSSIQRD KQTQKQSSVTTGIASKEDFQIEALVDKILPNGSFIITLLKYHSMVNFTIQGIAKLSEFAA SFPNVTKYQEQRQQFSYNILMQRNTWIEFESFNILENMFYGKIYEKKNNRDTDFTLQLLR EGLTFIKNNTEFYSKYEEAQKEAEKQKKGFWNESYAQFIIDFSQNKQTLKKQISNQGNIQ KNENQQIQQVVVTAVNDCKEFYIRKENNPEFEDLEVQIEKAALIPLKKPVKKGTLCLATF SEDNRIYRAQVLQAFKNDKFLVKFIDYGNNDEVNYQDMGVLPAQFTNIPQQAKLCSLAYL RVPPSSHEYAEEASDQFRELLLDKQFDSKVAYTEKSTNRQFITLQPQSKPDELQFTINKI ALEQGLGRIDNRVLYNPLKEFKNFEVEAKANGIGIWGFDDCLEDEKQFEDEYDYYDS >CAK55817 pep:novel supercontig:GCA_000165425.1:CT867985:410393:411060:-1 gene:GSPATT00000252001 transcript:CAK55817 MIQLILLMQLFKKFFGLLYQLLIGLKEYKRIIFKKQVDTYYCFIKKNLLLFFPMNLKVHK TLELLENLQEQLVCKIRNIILQKTRFQSSVNNFCQYFIYSLKQKDNFFMIIFTMLNCLII I >CAK55818 pep:novel supercontig:GCA_000165425.1:CT867985:411156:411554:-1 gene:GSPATT00000253001 transcript:CAK55818 MKRFYYEYYQQINNRRKTLNNKSELFIGKKENEGSTIHSIEQLKSKKFSISRPISSISLK RNSTPQIDNSFNLISLNEFALIQQYKSVNLLSHQLNRSSNKQFRKRIISSDKTQQLRPFP NKSIIISNFNVE >CAK55819 pep:novel supercontig:GCA_000165425.1:CT867985:412327:413031:1 gene:GSPATT00000254001 transcript:CAK55819 MNFNLPCFIPSYPHQQTAFVYVPLFQSSPQFNYYVQLQPFQTFVPQNELIQQLPQVEIPE LNQTRVEQPQQKETEISSSTPHQSAIIDAKTAQDKPIQIQEKKSKSSNHLNNQVQFEKES KNIQKNYAKAIAGFVYKNKSLASKILGNQEVDEFIQLIKLIKNQIQNVSHISQYTNNQDF IKAFRILGNYFLRTKSNSYIFNSRILKKNSHLRHKKLIHHSLLRC >CAK55820 pep:novel supercontig:GCA_000165425.1:CT867985:414064:414693:1 gene:GSPATT00000255001 transcript:CAK55820 MWNQQFLPAYNLYAQPQQPLFYPLYFIPVLTGNQGQPDGNLSNSLTTQLTSQTPQITSLE APLQPSCSLLNEKQIQESVESIDSVVMKKKSKNILQSNSLSQKSTNIQKNYAKAIVQYIL RQRIEVLKYLGEKQGIEFLKLLTQLKNNIKNVKHLIKYTNDDKQQKLFRILSNRFLKKEA IGYVYNSNIKSTSQHILYRHLIQLNLQKC >CAK55821 pep:novel supercontig:GCA_000165425.1:CT867985:415445:418034:-1 gene:GSPATT00000256001 transcript:CAK55821 MIIPEKFSGLDLSSIKPIMNQNLIVANKYNYRIYSIPDLKYILDGIIFNQKIRAMYCQNE FMYVGCGKKVYTIKRNVIDEKEYDDKIEQILVFHPIKIIATKHKLHINDNVMSFQGIKGI LHIPTYLNKILLYTSQYIKIINIVSLSIVTTIFQQSIFNKQEQTIHLIKETQALDIVAIA TQNPSEIIFFNLMTYEVITQYELGLSQIKDIQFNKSGSIPIMSVVQDKSLILYNLNSQEL IYTFKHEHLIDWVLFLANNNLVIGSQQGNSIQQYTIEEHKMMQLIRERQGVKQNIEQCHF YGQLGEPQINYVAITKDEILYQSIVNQNLTAIKQGQIRNFSFSTLRENDWANMLTTNSSN YVEFWNTYQKKLTTKVNGLTSHERILQTFVSKCGNYGFIANLNKIHKFIMQSGFYQMSYN LQSNFLQMYIDPSNIFLIVAEEGQIEQLDFVSGQNLKTLMIESNVTKIIGSQSTQIAVLS LQNHKILAIDYSAMKIIRSFEGHNEKILDMAMTINNQHFISTSLDKKIKIWNLIYGNLVQ EISINKALISIDVSENQLIGVFLNSRQVNVWMSNLNNPQQIAKAKLKCHINFHSDLSIKS KDYRSILYGNQIKLAQKMEEEKDSKEKLLINDSSNNKEFISFTTLEEGRWVPIQNIDQIR ERNRVKLADADVKMPFFLDFRNTDVEKQNMLNEIKEQVKEKTKILKQKKDHYLAELKSEF EVLVEQEDVKLINLIKTLSPSSYDYELRSILMGKPQNQIKIFRIFEESLQTTTDLDLKVT YLMRFIEVAREDIDLSLDEELKQTLLKIIQILESQWDKLDDTRSYIECVIDYSKTII >CAK55822 pep:novel supercontig:GCA_000165425.1:CT867985:418388:419380:-1 gene:GSPATT00000257001 transcript:CAK55822 MSSFLTIQKAINKEKSEQLSKQAQKKRRKNQYSVDFEFGSNVDVTLLKEILVITQNIPQY VPEKIIFRLNSNIQFPIIVDSEQQLGFLNIDPHSYNYKVARYNKQNNYHIFNLEPIQREF TSLEKIKEILMKEEEQLFESFEQFHNKNTDISKYNVVTESKLIEFERSIQVPFTLYIQKN QNGIVTTSARIMNDAYLQMVGVNEEMLKDYVFKTGMIPYSFTGDGNYKWSQTLAQIFKSN ASQAFGELTIVNYQGQKFPARLYSQNFHIFDQDDNSYSEYLYYFYDVEQRWMNQNQIDEN CLDYFNRRSININEDTNEVEYQKRCKYRQL >CAK55823 pep:novel supercontig:GCA_000165425.1:CT867985:419684:421438:-1 gene:GSPATT00000258001 transcript:CAK55823 MSSVQFVNSKAEVLRKYQALAMNINAAAGLMEVMKSNLGPKGTLKMLVGGAGQIKLTKDG AVLLSEMQIQHPTAAMIARSATAQDDIIGDGTTSNVLLIGALMKQAERLLAEGIHPRVIT EGYELARKEALSYLDSFKYQQIDKAVLINVARTSLNSKLTPDVANQIIEIVVDAVQIVQV PEKPIDLFMVEIMHMQHKMGAETELIRGLVLDHGARHPDMPKFVKKCYILNLNVSLEYEK TEVHSGFFYNTAEDREKLARSERKLTDDKCQQIIDFKRKVCEKNGYGFAVINQKGIDPVC LEMFAKEGIVGIRRAKKRNMERIAKACGGNSVNAVEDMSESDLGYCEVLREYTLGEEKYT FIEGVQNPTSCTILIRGPNEHTIAQIKDAIRDGLRAVKNAVEDKCVVPGAGAFEIATSVH LQKFKDSVAGKAKLGVQAFADSLLVIPKALAENCGYDVQETLILVIDEYIKNKIPVGVNV NEQGFIAPIADGIFDNYCSKRSWLNIAPTLAQQLLLVDEIMRAGKQAGGAPQ >CAK55824 pep:novel supercontig:GCA_000165425.1:CT867985:421755:422648:1 gene:GSPATT00000259001 transcript:CAK55824 MIQKFAQLAQLKSIQRFSSIFVAHRNRDDNSDSVPFDFTDENYKKIEAILTKFPSNEKKS GTIPLLMLAQKQNNNFLSLSAMKKIAKILEIPEMDVFETASFYSMFNRERVGKFHLQVCG TTPCQLCGSKDIIKTIEQKLNIKNGETTADGLYTLQEVECLGACANAPMMQVNNEWVYED LTPENTLKLLEDLKNGTDKKGPQNGRKNAEGPQGRTTLQNIATQQDIKYERNFEAAKKEW LDQKEKERLEAEKKKQAAQQAKK >CAK55825 pep:novel supercontig:GCA_000165425.1:CT867985:423107:424329:1 gene:GSPATT00000260001 transcript:CAK55825 MKSLLLLLFVGVLCQNEVDTVEKLLADLKGASAVELTALNTDYTVSKNLKENIIFNLGKA IQEQNFLCASRDKSVSDRESDIDKTNKFIAYLQRRLVENAKRVEILDSNRCTHSTNYIER VKNDNMTLRLIIFLRNSLQNLDNNQLNRYGAYVEKFLTMYKSAKMSELVELAHEFADTMF IDWTQVTPSSQGNINTVKGQLIEMLDDMERYIREQIKNSQNLEITTGVTLADFKGAIDIE NEQINYDLSSEEKNIIKLQEQLVQARLAAVNCRERGVAIQQQQQRAIEDLKIEETQYNKN KARLQEELNLFTDVYRIYSTQVGTSEDKFKQRVDDYVNDKQVQSYERSEYSLSDAVRKQV QHE >CAK55826 pep:novel supercontig:GCA_000165425.1:CT867985:424365:425543:1 gene:GSPATT00000261001 transcript:CAK55826 MSLIRFNLLLLTIGVCLSAMTPQASLLMKSFHQFGQSPYSDQLKELVQIKLETGAQVDEV LKLIQELLDSLKSDQFSIKNISELEDDLSKLNTDLANANVLIQTLAELLVILRETIITYE KQLSILNEQEQFIRNARAADVKAYNRRVESANKVINALNLIIQKLSKAVDEQTTDENRQA ILAQIHSECHEQFGPNHPITILVKLTTRFDVPTVQRILEKLEQIRDAATKSLNEDIAAEE VASTNFDNSMTEIETLRKRLSTDLENLNQQFNDKFNQQKIVLAQKEQLLIDIPITEELLQ LTKEQKEQYHQAYISRQTQRLAEIDVVQKAYNLVFDHVDSVKKSEDLTAKLNS >CAK55827 pep:novel supercontig:GCA_000165425.1:CT867985:425711:426833:-1 gene:GSPATT00000262001 transcript:CAK55827 MFNNTENQLKKLLLMGQQKSGKSSMHKVIFACAPIKELQQIGVTTNVSKINVRFMGNLHI DLLDIPGQPEEQKKYLFDLKETIFSTVEVLVYLFDVETEGEQFNSELITYKTTLSNLSEY SPGSKVFVLINKFDKIKESDRKMVFERKYKKIIQDSEGFNIEVKEIFATCIWDETLYKAW SQIVQNLIPDRDIIHNSLKTFCTKCSCDEVVLFEKQSYLVIDFVDVNEKKDILKYERISN IIKQFKLSCKMAQIDIQTIMVKSQKFNVQIVEFTDNTFILLLFTDEKVYPAAIQHNVQYA KKLFKSINGSETDKLKLLL >CAK55828 pep:novel supercontig:GCA_000165425.1:CT867985:427421:427822:1 gene:GSPATT00000263001 transcript:CAK55828 MQQTNDDQIPLNIPNEQYQLPEQLDEFGQPVMFKCSSCGVQAVSILQHKPGTHTWLCCVT LCIFGAFLAFLPFYLKDCQDVTHVCPACSQPKGVKQFKAC >CAK55829 pep:novel supercontig:GCA_000165425.1:CT867985:427826:428905:1 gene:GSPATT00000264001 transcript:CAK55829 MSTYSLYPVMHEANTFEIFSMLLQIGLDRANNTKTIQQRLNQTEHIKTPTDFLSFVNVNE KCLTQSLKQEKQRVEQEFALIEMLVPNKSKTHLMSFYHLSLKLITQWLPQFQVKVNLSGE FLDIAELYGQFFIASGMNHRLQQIQAIKKNNLYDKIPFMPLLMKWLHEYCRSQFNKLFSS LYGTITQMKSNYKQIVSKPKEEIFSPRVNKMAISRPTSCIINKTRSISNYSIRKTSQVVS KRNNNQAVSKLINLISDVIDIDLTHYDQQTHKKKEQIFSTLWSKNFHVRSTHEKYQQTYG LQKPQISSHFFSTQNKRPASFFKHSFHIDNNNLSLF >CAK55830 pep:novel supercontig:GCA_000165425.1:CT867985:428952:430833:-1 gene:GSPATT00000265001 transcript:CAK55830 MQSKGPSAQYLQKLMIEDPNRAPEHVFKTNQKYAEYIAYLGSQKAPITAYTKLAQWKQYS FENVNLLIDPAKISPLLSALTDENKLLKHECFKQSNDIVELTTMVERLIDDNLVIKKHLD LKTNEMQHFLLNQKTQINEEIQDLKFQLQSLQDENNLLITHLEGLRRNQNDDVINNLETE LSNARDLFEQQQQNFKQCQEREYDISRELVRINSQYKEALEKIVELSSQLSSYEDNNHEL RNQIMLISNKMNIIQKNAFDQVNQKEIELESLQIKFNSLLKDNDSLKDQFSQYEFKIQEL IKENTRIGEEMKMYQLMNNELLNQEKDIKNQLQLEKMKKNPNISDEQLTKEQLIKECKRL GEQLERAQLEYIKLDDFLTKQIDLQKKQSEQILENMKIRFQNSLDSRQEQINQLEKQIAS QIEQINIQKKELEIINLEYQDIKQKQEDQSQIKKLVDQQVMEIRVLKSQLQDKKMLINEM LEEKDKMSKVFKSEQISSQQNIHLLEEEIKQLQNQQIKYQSQKEDLEKLLEINDNLKQEI HHIQQQYKDVQALCRDEIEKRQNLQVEAGIQANQIGTLKEQIRYFQDQQQRKDKLDQVLQ ENQILRAQLKQ >CAK55831 pep:novel supercontig:GCA_000165425.1:CT867985:430868:432311:-1 gene:GSPATT00000266001 transcript:CAK55831 MQHLFKSFNSKCTFHSSNLLKERLRQIIPVKQAILRDIRKQYGQKEICKVTVDQAIGGMR NVFGLFYDISLLDSKTGITFRDYNIPEIQEYLQKAKNGNEPLPEALFWLLLTGDFPSDQE FKFVQEEWKRRGELDQETVKFITSLPKQLHPMTMLSQSVLYLQKDSTFQQAYESGKATKP QYWEYYYEDAMDLVAKLPRVAALIYRHKYKNGELINSDSSLDWAANYSHMMGFNQFDAYE LFRMYLSIHADHEGGNVSAHATHLVGSALADQYLSYSAGINGLAGPLHGLANQEVLKWLI EIREKLGDKVSNEKIQEYVLNTIREGKVVPGYGHAVLRYTDPRYIHQKNFAERLIKNDPL VELVKQCYHVIPPVLKTIGKIQNPWPNVDAHSGVLLNHYGLKEFEYYTVVFAVSRALGCT ANLVWARAFGLPIERPGSITMRWIEEKFGENQSVK >CAK55832 pep:novel supercontig:GCA_000165425.1:CT867985:433209:434085:1 gene:GSPATT00000267001 transcript:CAK55832 MDTQAYSKISNAALIQELYRRYRCQEEALRKRIVLLGMPGAGKGTHSAKMLARFCMCHLS TGDLLREEVRSGSEFGKKLKGIMERGELIEDEVMCNLIKQQLAKPACANGAILDGFPRTI PQAEKLDQILKQSGQSIDQAIFMNVREQVVVDRLGGRWTHLNSGRTYHYKFNPPKVHGVD DVTGEPLVQREDDKEATIRNRLNVYQNKTSPIIDYYRKKGILFEVNAERPVNDIWKDIKT IVKTK >CAK55833 pep:novel supercontig:GCA_000165425.1:CT867985:434097:436495:1 gene:GSPATT00000268001 transcript:CAK55833 MVKIVQATKLLKSRRLTRLKLSKLSLQSKQIVPNKKEQETKQVQIINEDQFITHIEPIKQ LLPFYQGGKIQITNNVIYTCFNFCVQYLDKKIQHNQEEIANFCVSNLNDYIATFTKNYML RYVRLNDHITQFTIKTDPLFAIDMQFSPEDVYLALGGTNCMVKIINVKKNQQSTEFRVSG SVLKLQWIPIMRKLQVAIATDDRRISLFDLVANRILCGFVDFNSRITHIQFSQHMLVSSC NKYIKFWDTSVQKLTETIEFDIEIETFIYFKNTLIIGTESGDLHIKQQNKKIAIDSQFNG QQILKFINCNEKLYIITSELHIYITELVSGQIQQQKLLLGYNDEILDVKFIQNNQLLLAT NSPFMKILNLDSMEVIAWKAHYSVIMCCEFIDDLIVTASKDNCVIIFKYKGFKQPIELHK YSGHSDDVISIDVNSKYIASVSKDKSLKLWDLKNYQSEQSIKTVVAHEKEMNCVRFSPNN KLIATSSQDRLIKLWDENLMNKMTLKGHKRGVWDIQFSPIEKILASASGDNTIKLWNLSD GSIIKSFQGVAPQLKVQWLARGSELISTDSIGNIKLWNVKKQTCINTFSQHTGKIYALDI KRDNQDIYIVTGANDSNLILWKDKTEQVTQQQQLQQHETKIKLQTFQDFMRDKLYLDAAF IAFQNNYIKHFIQAVTQIYKQVDYDNVVSMLSQKMVKEDLLKFLQLLITLNQSKKTYQAA QHLFYYSIKLINVNEFKDEKRELVKKLLQQLKYLTSKCFDRLESNYSSQFLIKFMIDKSK IVV >CAK55834 pep:novel supercontig:GCA_000165425.1:CT867985:436901:437857:1 gene:GSPATT00000269001 transcript:CAK55834 MDFGFEQSTMLSISLFGDSQFWLKYQFENLSISCLEILQVQFFLFHNMNEFICIQSLDKI IHQRQPKIQNSYTPKSLLTPNTSSKQKLRGLSPTNKLHSTDKYYLFKNGTKCYQNEIKRD VQQKKMNPLTSKQQINRTEIVKEDNTFSDRLLITSFENFVNETDQKLQKLCHWMSKSPHT IQNPQPILVGNEEQVESYEQKIIKTKKILQEKRQQLKFAKQQYESNKKLLEQLKYELDQL NEDQKILEISTNNRSLKDEIVYNRQSIIKHKQILQKVNEFITKELKEQLLSQDCQFGEYV NKFNNIYMQMQITLDKQI >CAK55835 pep:novel supercontig:GCA_000165425.1:CT867985:437910:438723:1 gene:GSPATT00000270001 transcript:CAK55835 MNCKGLEHDQKSEKGTITYDNYKDRQFIIQTWKNQILQKSKVSSPQSNLQTPSKETKKQI LLGHKKSMNLTSERSTQMSTEKDLFNDKFIVEHQERMNILQKLQDIRLQNVMMKSKTKSS NDLYKEFQYEQYKKVDTQKVILNDKLKLCQKEIDQQKQKILKDEINLKEREKELEILKEQ LQFVKDSVQQIKNQNIKQTRETTCKLQINQIKEKQLLTQFIQYIESLQDQYSNHLLLFKD YLFQLRLNIDSIRMDQEFDKNQ >CAK55836 pep:novel supercontig:GCA_000165425.1:CT867985:439999:441728:1 gene:GSPATT00000271001 transcript:CAK55836 MKVIITLNSEQHEIELQDPTIEALILVIQDQFNIAFNFELLTTDNQTLTELQEGMQIIVE KVKNDKANLQKFKSFFSIAGSIIKSKTISHGFSISTFNQVQRQKSLDQKSEQRIQTPEQQ AQQINTNIQSINEQNIQKCELSGILQQDSAMILDEKQSDRLLLNELQEQAEQNQKLHNSQ EQKKRPKNHSIKQQSQTTKEQAFAYAEELASIPRPNKRQLILQRMEEDKAEKERIAKQQQ EANRIIKQIQEKMKQKELLLKQKEEALQVTELEKRKAVLAQKRELSQPIKLSELTEHQKK YEEERKIKEFEREHHKQEIETEIKQKSFKFPKSQAQIRVEEEQKMQKLIREQEMEQKKLA RLKQLKYADVAQEIYFKEHPVKPKQSPPPKEDQSKQIKEIQVTEQNLRKIKKFVPQQRHF SHDSKDSITDLSNHQENRPPKPQIKKQQKVLEKAEKPSELPPKPKTTDYLQQLRQQRKVQ VNMEDQWEKIIENKGLENEQKVQNVLNNVQRLEQKAKEREKLALINRDLEAEEEANNLYI ASIRAKLAILEKN >CAK55837 pep:novel supercontig:GCA_000165425.1:CT867985:441766:442893:1 gene:GSPATT00000272001 transcript:CAK55837 MMSELILKRETFCLQLKRTEKERIFKSKRQKIYPKEQLLELIKSKDQDSIIKLVNVSEGS SKQINELLEMGGIEYFSDLLNSSEKELAIIGLSNLYSQNSVQASMKDLILFETIVNEVHL YQNIEQLVQRLRFLNIIALYCTDLSRLEEEQRIKDKLYQVFSYYQNKYPQIFKIQEECFK GFLSLASVQFVQNEIIQLCCDNFNKGIDQIIIQLLYYTIDDNVEFIAILNRSTFIQQCPH HLKIIDRQKYILSILYYYSQYYVERIISNNELVEQIQVIQNSNRHQRLILLLHYQMLLKC PRALFMKILKEFDVINNLKFLLETFKYAEQILLVIALLKQTKQNLIEELMPYLENLFLKQ EVNERIAQKLQLILG >CAK55838 pep:novel supercontig:GCA_000165425.1:CT867985:442955:443535:-1 gene:GSPATT00000273001 transcript:CAK55838 MGRKNRDLSYLKPFCFYCDKTFKNEVYLHQHQKAKHFTCQRCFKKFSSCDSLKNHVESAH HEVLTKIPNAAGDRSDIKNKIFGMQGVPRVEIEKRIRKGAEEYWTKILSAQYQQRRKENK LRIKQNKLVAKQENAQDIKQDVILLINQSRMNKKKSINMNIIQKLNQSALILILINYQNN EVFQQ >CAK55839 pep:novel supercontig:GCA_000165425.1:CT867985:444371:445313:1 gene:GSPATT00000274001 transcript:CAK55839 MDYNDQSVGVCYCCMFFTYKHYIYLMMTTNAIQGLVLIAIGIFGLLEQFELLVFQRPIAL SLLKLGSLVVFGLILQFIFFIRHSVYYIGKIMVIVNVLTSLFDLSSGIILLLSCFIELTF IEFNETQQSFSSTKRTILLLISIIIIVISLYGFWMTYLQYQTIEPLQEQLEKETRVVPVI SLRQAVAKKFSKNFIGDLTPNNGKMNRQTRGDSSSEQSQPFQRIQSKQQNQEELNEPSSN QRSEKLIKVPENMTPYNDYYTTSNNTIRLPQQTDDIQTLRKSRTPKSNYGKQQQQG >CAK55840 pep:novel supercontig:GCA_000165425.1:CT867985:446521:447129:-1 gene:GSPATT00000275001 transcript:CAK55840 MKQPMLDNYSKELHLNQLYSLRSLKQTPLEKINHQNYFVPTILSSKNNNSNRSSLLKLGD GMALQKLHRSQNNSRNLPDIQIGTKATKNISKPYINQGHKKQYKIQIEPLEEERMDIPYL RLNFRLKHGYKKQNQDKKEKNSIENDDLKAQQNNKSASLFREKQKEIIAKVSMQVQELLL KENQKLSFGFDRLERDLLQQMK >CAK55841 pep:novel supercontig:GCA_000165425.1:CT867985:447742:448353:-1 gene:GSPATT00000276001 transcript:CAK55841 MNFLFPKIQTRPINLLESQCQSALKEISSSEQRLQKYASKKISNKHSFSDKSCLHQFRRV SQVINDPSPDVKQFPDVKKKNMSVCVNPRIEKYKIHLDMIENQNPIQIPHLSIKAPQKYP PILSSKILPSTKRYKTDISEGTQIYKISNHFTIQSVRDKQQKLVKNIQSLIEHVNSSRDE KLCKLFDKLKHDLDLDDIKLENE >CAK55842 pep:novel supercontig:GCA_000165425.1:CT867985:448437:449270:1 gene:GSPATT00000277001 transcript:CAK55842 MDNLYNDNSEEEYTSDDSKIKKKQLEVEAELQKLQQMEQESQEEINNLEDQIKQNENKKL EVTRKLKESVETMSQQKQEILILDAKIAFIKRRLNNDPTIQEQQDTQEQIIQDSVYLTTL LQEKERRIRTLKEKIQNIRPCVQEEKQLQQLQIDLVLKKAQLQEIKNQQQVGNLNQSYKN IQAKLSELKLMQEKREDIKQEIQRFNSRIRGEQDQKYMLFNQLQIVRNIYQSYENRVEQQ KTMISNLQEENSNDTINQF >CAK55843 pep:novel supercontig:GCA_000165425.1:CT867985:449302:451818:1 gene:GSPATT00000278001 transcript:CAK55843 MQKKRLSSIDRNFMSSLRKYEEHPSQKQMNAQKKETHLQEQQLLIQDIQANIQDLENGNY MKCFTSINLMFTDPNYSLRKHITFQLFVPEEKDFVGFTILNKKEIWILLLLYFDIVNQDK NQYARYLWQSIVKKMTEYLNYELREEVINKVKLYRQKSKITNNQNKQNNQHTSQYYFVEE RDEQSKEGREINNSYKEICFDKSYMATLSDNSIIERSQVLEQNMMIIQDQIESATNEDVS IQAPTNSSIDLNNDFVELTYHNSNLNDFQIPDISFQQQSQTEDLIKNSIFMDQASNQVEI SLIAQMEQLGFQDNLQSEQLNNALFVDLEEDIHESYQNLGLDQISQTSLENIKIQEASIF QPNFIEENRQTEQPKDNKINNLKDKPIYILDFDKISFDSFSNTDQFCKKSHQMGETPHFS MNQQSINQWTKEEQKDQIQQEEEKLNQDIDFKDYEEQLAHVQAQQILKEDLEQLENDSDE VNDQVETKQQQYDEKNSSSKIELNDIINVEYKLSSSIINSKQKTIDDYFKPKNKISSIQS KNCHQLVEEEPIKKDEFDYSSQNERRRKSRISKIMQKSNVNSQLDYAFECDLSSQQPSNQ QYIEQSHYLESQQISNNKKRRSSLVVDKRYDQNFMDKHGSGYRFEIQKAPQRLKEKYQVE EILVPKRSSKRIHYPERSDLEISKMIIANNEILNYFTPADRQSQQISEEINNRVHPLTYF HSFNKNDKNQIIVLSNNQEQFINDIVEIEICLANINDNESHHIVKIVSGQLNGQIAIAQI KKQSSEQIIFEDYNFYNKYIHFQCQQSQAIYLPLGSYLINQC >CAK55844 pep:novel supercontig:GCA_000165425.1:CT867985:452066:457155:1 gene:GSPATT00000279001 transcript:CAK55844 MDSFYIYMILLLVIYFKKILSYYLDTSTSAQNLTCQSLTKVYRSPTTGTPRVMEFKINTA YGYNKLLYTLDLHVEKSFNSKLSFIESGKIIQEYEGTSYSFFSGNLCSFASLKTFIHFTS TTQMNEQQATLLIKIDSATTFWIKNLKIYAERCSQHCKICSDSSSCLECLPDFELNSIRQ CICKEQFLTYFQGQCITQCPVNYIFDGFTCIQYAQLINLLYDDTASKFTLQPDYNTTQRV CQTTLGGKFVAGLFIQNEAIEYKINQPVGTATNILLEAEIYVLNYITNMQQRLSIKLNNN VIGHYLIIENGNFMNIQIVGAAKQHSCSIIGFTSCIKFIVLLNVENISDLSLISISINLP LEIKDISWALSYIKVNAITPLPIICPLKNYKNECVDKCPIHTRTSGNECISILNDYKFAK ILRIEFTDRFAIKQNLDFDDPCDQITYFYHACYFYQNQYILGGEAIWQSKQLRIVNTMIQ AHYKIKLFFKAILIDPINSDQTIIVSVDNQKYTLNMNSPNSYCFSSVATPGCIIQEDLGQ TNPDYLINFEQEFDHSASQLEIFLYCNTKSPSITYCGLYDLVILLANCPPNCKLCSSDSI CLQPESNIQVFYDCPSEGYFYSEGSCKTCQKFCKTCNSQTNCVACQDNYFQYGNICICKM NSKQAEITDCMSDNCHPSCSICQNQINLNTVSPYIKLNQLCAYCDHNEHKVLNINVCECF QGYYMDRSFIPSICQRCKETCKTCSDENTCITCFPDQNRLYYNYNCQCIDGYFETGFNPI CIKCTTLCKSCLYKEDRCIKCYTEQYRILSKENTCVCQNGYYDNKADVCLKCSNNCNSCS DYSICTSCDELQFRVLNSQIKQCVCQEGYYDNNDLTCLPCYYTCSRCNNSNLISQCTLCP KTRQKSANNLDFFECKCRKGYFDNGYLECLSCHHVINPPNIHQCYSFCGDQIVQWNEECD DGNQVSRDGCDQCFIQNNNCIDNICLKCQYNQCLQCIDGYYINHDFACIQCSEECQTCES QQNNCIKCRFSNSNTEECLTCSQEHGFTNIDNQCLSICGDGIRTFQEFCDDGNLLNGDGC NQFCNIEDGYVCEVECLKINYIEILLQEDRLDNIYDSKRTIKLKFNQEIKISTNSSITSF VQITSNTPHLILTNISITDNSEFENGYYNIALDLDLELDSSAISPSINVIIQNHTLITNQ QNQSFKTNRASIELIDFIKQDQIVIQNTKNLTQMSSYFLYILLGLAILAMIFGGLDIFWN LLDTLQLICYLKYFNITYPFNLQYYFTIFGFAEFDFIKTYFDFEYLITKYVDTPESDHKF YQEGYSTVFLLNIISVIIVFITTTTTFIIIKIVLYFLHKATKDFCEDIIMMETKQISIFT FLFYKLTNSCQKYFLKIICEFKSALIRTFMASAYDLNLAIFLQFKDLNFQNHILKLSSIS ALITFFLEIYFIYLCFQLMNKDLATLKLKETQQNYGSLFEGLKLVQNHFHHYFNLFVVIK KATFMAILVFLYDTPCLQISLVSILNLSQALLFLYNKPLEDQNELIKQIICELILWMSVM LILVYGFNEQTNILDSHQISNIGWMIIGFLSLILFLQLIIDCKQHFQFLDQKYQLFKRLR VWYNYYFQNNNNQSSLNTEHSQFSIVFNQRARQQTSAAKIQTQSNQVIQRRIISFKLS >CAK55845 pep:novel supercontig:GCA_000165425.1:CT867985:457347:457696:-1 gene:GSPATT00000280001 transcript:CAK55845 MNDSLRSLSTNDSLEGQTRKKKKSNATSKKTNRPQNISYTEVVDLLNPQNLFINKNLEEE FNAIELIPETSYFPHFKFILDENLTCTETKWRRLPTFIKLTS >CAK55846 pep:novel supercontig:GCA_000165425.1:CT867985:458441:462423:1 gene:GSPATT00000281001 transcript:CAK55846 MYIDELPVGQQKQNFMVEEFPPDFDPQQFDKVDLPLKQRIKSKVWKVRLQGYEELANEQE IEYECILQIIQDIHVQCQEKALQIALKYFEQNHSLESSQQKEIIKVLIEKVLIQQKLKQN GCQLAIILFPFCKQAIFEIIIGELSHKNPKIVQASISLLLELLQQYGVRKLDNLKPFFPI LSKLTEAQQTTIKADAISFYKEATKWFGKNIEAFFGGLNEKLQQDLKKITETITEVQRAP NQDGDFETGNQQLYDLAEAVDVFPKFTDSWCEKVFQLEKWQEKKEQLENLQKACSVAKMV PSPNVYSVVQLLKKLINEQNIAICTMSIKIAGLLANGLRKNFYQYVKILIQPLFARLKDK KQNIVDDTITSLKKFIYCCTLDELFEEVKALLDDKSSSPKINVFILIEFFLDECQKERLL KLQCIKQLVPICKKLTDDGNAEVRTKAIMLLAKISVQMYSGSMAVDLKGDKFTKYQAQVN IYLDGIKALQGNNTANFIPQKQNLQQNKENIQNQAVANPKPETNANKQKSITQSQINQEC QEIKYQSTMTPNQVEAFLRQYKTKNKDQSDLGNILLAVTQQSKQILTFTMDYIVDKIGDQ KQLCYQLFEKCMQSYKPNHITQLITSFKNAPTQAQLIEVLTLLLKYIPLSDKTIDQQLIS DFLKGFQHQSNSRAKQLVTDCQSALKQLFEIKKAPSEQKLIPFSLTSFSDPQIDKLKEQL KVPQIPQHLYDKLFSYNPQSNLAGASYIRQKIAQPGEFTEIFFKWGYLISWFKESIPLQT EIIMLFQFLTQQRKLNQLEQQIVLSYIKMMILLYIRDGMPRLAQRTLPLLMNLLADCNQQ NVQINQLSKFDEYDDISNAQANQIAQQMQESISEWNNNSQLQQKSILVNLMSLINKEDIP KERTLEQKRNSMRVEAMIASTVDFKNKQEQIQSSQKLAQEQISDKMNTLQNQSQKKLLQQ KNFNLPITQSMNSGNKNMSSSCSQIQNVKEKESDVFVRSFQQMYCKFRETNCIENSQSFA EQIIYLLSNLSNDECYDKMNEFLEKLIHVLSSSQFYKSISYNQFYIIFDSLIFKMVEQST KNNVKDGAQKSCYNLINSNLIKILNNQDLSNLYLAFLDILINVKESDRQTKQFYALVTKC LSKSADQLKGQFQWSQVQAILEKISQYLNKCQKPQLEYTQYHNCLLNALKTTVIFFFNYN DGIKVYQYIMQNTNENSILRQWILDVQEKNNTNLIVDLAKYRRSRDTHFSQIVELLKIDF DKTVEQFVSIVRRQTINWKPLVEFLNPDQIKFIEQRLGSTSHMNLLEKKVGELEKMLNQ >CAK55847 pep:novel supercontig:GCA_000165425.1:CT867985:462588:464251:-1 gene:GSPATT00000282001 transcript:CAK55847 MSKFFKQGDTYTNGEFIIHESKQIGKGAYGAVYDCTKVNAKDILCAKIIRSQGSDSNYMS RETDIINTLRQAYPNNQNLVKVYYCQYQTFENQEILIIVMEKCLTNLKDELAKKGSFTSD EVINILKQLLNGYKPLYEKAILHRDIKPENILISNDFQGKPIYKLADFGIGKVCKNNDIT MTKVGTPVYAAPELNTFINDETLDQAILQLKKIPNGKSQVDVYSIGIMLYQLLFGQPPFE TKYGDSIKVFINNLKNTSFKIQDKSKNINSDLQELIQGMIVYNPMTRIKFHEIYSNKLIC MQTQEPKKFDQFRKTLNPDLNAGVKFTPKQVGPTTFQNQSLRSTNPSPNATQFQPNFTMN QEGPKPNGAPRFASYIPNSTSSDNTKSVGFQQSTPILQQPQIKQIQIDDINDPQNYLKTQ LSENSLLYSKYTENLFRQSLDSIQVFSQEKIQNNSIKLMECFQFDEKGNYSLAFLKFYYC LIMRANKQQMIKKQFISEQELQQEIANILAKNPQKM >CAK55848 pep:novel supercontig:GCA_000165425.1:CT867985:464325:464870:-1 gene:GSPATT00000283001 transcript:CAK55848 MSVTSRYLYKRNKQTIQQQKYQDQNPLNQIFNDFNKYIGKKNIDLLPPINQYKRNKSHSY QYDSLQYEFSQLKHPYLDNIKPKQKLKTRISQLSELEIRLSLQVKKVTINDIKKMRQNNQ TNGSGLTNRKNESLLTLENMSNLFQHQKLRRHKKYIEETQKKTENTEQFICGWLQLINDD N >CAK55849 pep:novel supercontig:GCA_000165425.1:CT867985:465127:467082:-1 gene:GSPATT00000284001 transcript:CAK55849 MCGILAIFNIKGTYIEVRTLAYNLSKRQRHRGPDRSRIIILKAGPDTYHILAHERLNIVD LSDRGRQPFQLVDDQNVYYMQNGELYNYWSIKPEFEKKYKFSSNSDSEIVGMLYKEYGPN DFWNHMDGMHATIVLDMNNQTYYAGRDHIGIIPLYYGYNKDGALFLSSELKGIHDQVVEV KQFPPGHYIDQTNEIKKWYNPLWHNFDHIPTGEINFQEMRDRFIDVVRREVKGDAPFGLF ISGGVDSSIVAGIVAKLIKNGEIDLSKRGMRKVHSFCIGLEGSPDLHFARKVAEYHGFEH HSFTYTVEEGLDYIPEVIYHTETFNNTTIRASTPMYMMCRRIKALGIKICLTGEGSDELF GGYLYFHKAPNRVEFHQELIRKLNDLHKYDLLRANKACLAWGIETRPPFMNKAWIEYVMS IDPKYKMINAFQPQIEKYILRKAFEDLENPFVPQEILWRQKEQFSDGVGYSWRDGLIERA NQLVSDQEFAQASTTYPVSTPRDKEQYWFRQVYSSAFPSDSSALTVPYGKSIACSTEKAL EWDEAFKKNTDESGRAVLSIHNDALKEILQEEDKITDESIKVQDHFQL >CAK55850 pep:novel supercontig:GCA_000165425.1:CT867985:468778:471655:-1 gene:GSPATT00000285001 transcript:CAK55850 MEHKKLLENVMIDLLKDPSCPIYQYQDWQLINICQQLQIQNFSNIKDQDFQKKFAQFFIN YLEAENEITNILEQSSIKRSDSKKINENYSNQNNQPLQSKSLTKSNQESNKQKQDQLDMI RNKKKVKLKQSNPSDLLEIEENICTHSSANLHQMISQGPKLSQYSFDPLIDSHQDQIICK EKTQKITSKEQGLIESIFQRQVKDHDLEPTSKNKKQSLKDSKNQVQSNPIQTLNDFIRNK KQLNVDQNKEERENNRAFVDNKTIEQYFRKNRQIQIQKKPSRAIIDSSPEEGEIVIQISD SESQKQKNIQDQQKLQGKYQMDELIHVKSNKIQQKKNNTRQKTFNQYKDQDIIQGMQVEQ QINQPQSSILQNQEQSLTDDKIQFEILKQKQLLEKQYTIIQSQPPQQNSNNYFQNQERNN LINSLSNTSNSILQQINSQNDIQNPNLAAISNPVLAIPKEPSNVILQNQQFNQNDQSNIN LEKSNKFLRQKNSYLNKLNQQQINQRQLQGQMKFAEKQNQQINQTNNPPQSLIFSVEDGV NLERLREKKPKIESNDTGNNNISQQAHQYLKISTNWNQCNYCKQIDGKVKLIEIENEANI CSSCLLEKLNPFKKIVQTLGFLEYQYQQRSKSRIHLDFTIAQELFRNPELLLEIRCVMMN KNGLTDFTFPNSCTLLINGVTIKEFKPLIEKSCLRKRKDHCILINLDEFKNIYEIQRKYT FTCVETIPDSKMRQEIPNQIYIFGLFVVQNQRLEQIIQSIVNQSILSQIKTDVQKHEIKV DKSKVSLVCQYSFDLIKIPARGEFCQHQQCFSLNSYLEMMIHAEHMKWICPICKKNSISL RIDHYQWGIIKKIQQLNIKVDQITVDQNGTLDSKDPFYAIIQNNQINGYNDLISQGYTNF ERSSHQFDNDDQIMSQNKMQTKGGNESNAILID >CAK55851 pep:novel supercontig:GCA_000165425.1:CT867985:471900:473202:1 gene:GSPATT00000286001 transcript:CAK55851 MIFILNLLSTLAYAQRVAIVGCGIGGATLSQLIPSAVVYESYYQCGGRINHVLIPGLSAL EFGATFFIKENEYVLKQIEQRNLEYYKRDLGKLAIVKNKQFVLQKADSDIINILKMVYNY GLSPKRMKDITDQVLVNFKSIYTFLESGQHYNNLNEFLQIIKLNDIKMNFTEYLNENGID QQFQEEFVDAILLAIYNQKDVNTIAGLITMVAAFNDAYSIKGGNRQLIDEEKINVIHSKV DMIHRLQNGKYKIFNDEYDYVVVATLVNLDISFDNIREMNNFQYVKYQSTYVYVVAGKIS QKYLGVNPEDLNTIIILDDANLTELKQECVKCFQGWNVYKVQGRSELQLDQIFETHQIVY KKVWDAAYPQFSQNLLKTEFEYEKNFFYLNSIEGLASCMELIMIQAKNIANIIKERHNLL MHEDL >CAK55852 pep:novel supercontig:GCA_000165425.1:CT867985:473272:474614:-1 gene:GSPATT00000287001 transcript:CAK55852 MIYEGITSILHSEFYIKSGNGPSIQRNIFENVDYDDYEKQLIEEIKTKMKENGLQIKLKR SILLKMLMAAQYNIEKAIIVLLQNCQSHLNFLQEYSKQDNVNQLRKGHLYVCGFDNQYRP VIVIRQYCDIKIIAYFLETVKRQLLIDYYVENWTVILDLDIDLPVLELDDLLYLQLHFYG NLNKILIVNGPDDIDDIVKQFTEKIKDLQVKIKIITEYQQILQYIPKEQLEIKYGGDLNN IELFWPILKRETHTGTSKLLKKNSHLSINSMGSSINKKPSFMKITVLEENGNPLLNQQVE VFEDQIESQQGEQQQYQNSFQQQQHQQEDFEEKSKSYQSSTTKKQDQQFIQIDDDEDDLI EMESGDMEKRKGKKQITKKYGETTKTTTYSQKQTILEGEKEIPSSLSCCSRSCSIF >CAK55853 pep:novel supercontig:GCA_000165425.1:CT867985:474966:475323:1 gene:GSPATT00000288001 transcript:CAK55853 MGKLHGTLAKAGKVRKQTPKIEKQVRRHKIPKGRAYKRICFNRRFGSAAASTGPQQKRKG PNWHAGRKDLIEEERKKQVEQRRQRKNVPK >CAK55854 pep:novel supercontig:GCA_000165425.1:CT867985:475451:475978:-1 gene:GSPATT00000289001 transcript:CAK55854 MCENYCRMQLLYQLKQKKSLEQNQPSILYQKISSIVKKAKQQAQKSLGETQIQAVFNETR FQSSNRQPFYKQNKLKEIKYQISHLKTEQKSINFDFNQNVSRRYAKSITVQREELQNEQQ YYQSPQNYRYAQFNNYPKKVIIFSQRATPQRKNLNIGLNLDTLRSWRRNSQDSSK >CAK55855 pep:novel supercontig:GCA_000165425.1:CT867985:476372:476921:-1 gene:GSPATT00000290001 transcript:CAK55855 MLAFQSCNPSPNQQSDLQLINSQSSSYLLQALNQIPQQLQQKAQAIQQENQKLRNENQVL QSQVNHLENKQQELINEIHDLRQLIKRVYKEGEMSVQFLKNKNTILENNNDSLEKALKNL QANVQMFGQLKELSELMKQGNDF >CAK55856 pep:novel supercontig:GCA_000165425.1:CT867985:477283:477698:-1 gene:GSPATT00000291001 transcript:CAK55856 MFCCSTKKKQKEQVVSIQRNNKILTEFSEEINDEAMTLYQSAYYPNISTLFQYPAEPNSM RQFIWQYRPRSGSNTKTTRSVERLTNALPQFVPRKTSFNSFRYSKTNQNTKFVSTKKGFT LLVTNTNPC >CAK55857 pep:novel supercontig:GCA_000165425.1:CT867985:478313:479381:-1 gene:GSPATT00000292001 transcript:CAK55857 MFLLFYLSIATASNCLNQYGQVVDYWLIFKLPKDSVNQYTGMEYYYCDSTTQCAELKYQY DKLNDASSPLQITMQQVLFKDTTTMNVIWNDQPFDKDYYPDMAHSKGVLSASLNGQAFII NHSTPKFPIMDSNYDEIILGMPTNSFTNAQHFMCFSVSTSEIERIAQQLIIAEVITVRAN SPSDFKTKYPNLYSLKDTTRKSSLSSGSLTVSTRQGLSLQVISTNQNNLVDFYSAVVAPK LKVGLVVQTWGSGGLQPPDCTSSHQILSSLARLQNGYKFSYTKDHSKFGISLNSNTPYVC MSDINRQDSQNKRGGTTICFIHYNLWSQINYQFIQRQTC >CAK55858 pep:novel supercontig:GCA_000165425.1:CT867985:479722:480556:1 gene:GSPATT00000293001 transcript:CAK55858 MGSRIKVMPNLDTPGPGKYHPIIPFRSSPKAVMSGSKDSFYKIADSPGPGRYNQLSYTTH GFKFPQEKRIILNFKDSPSPAKHNQEAKLFAPACYIPKVERKQKINYHVTGPGSYNIPSL LRSDIGFKIPKGQRKNKKEIFPGPGEYSFQESKTKGIKIGTSPRMEKSMLLGPGPQDYSP RIATDTSPRAVFGTASRGNSKKEKSPGPADYELPQSRSVSFSFPKQIVHQHSKPSTPLSY INDYTSLRKTSVSFGKAQKRIPKKAEIIPV >CAK55859 pep:novel supercontig:GCA_000165425.1:CT867985:480701:481004:-1 gene:GSPATT00000294001 transcript:CAK55859 MPNHLFRTHPRTYGKDSRECRVCAARQGLIRKYGMNVCRRCFRENYELIGFHKYN >CAK55860 pep:novel supercontig:GCA_000165425.1:CT867985:481880:483438:-1 gene:GSPATT00000295001 transcript:CAK55860 MGQSSSTPGFNLQQYQYINQIIDPRFGNIKLYQKNETGETICIIEKNFIQINNPVKNLDH PNILKVHYYKADICKNICSSFTKLQLIQEYVSNELRSNIKNRANKQQYFEEKQLWGLLSM CLKALMYFKSFQIYPMDLKNILLSNQGAIKFQSYYDISNSQYMQLLNQITEDMHISPEEL ECLKSKEQVLNLDFEKCEIFQLGLTALWAATLADTNSLFSYDTLNYSEYEMKQRIEELNY SQQFKNILLKMLKRFPQDRGSFNELLQVVSYHECNENLLEIKPLNQMDQSIQQISRIRQQ YSSRSLLSDNNIAKNVNEDCQLSRISNQSNFQLQQNETSKISLDNKFNISESQNSLKPDI HPINKYRNKPSFSQNKQQQDTQNIYLQIGTPNHSPESKNTSKISLSTKNQFSQAPKPTSL LANPLKLNQQPQQQLKNNIPKPQKPEKPQSHHQLYDTSYFSQLSLMSNRSILENKVEDAI LKSKIALEKFDQTIKQTKQFRN >CAK55861 pep:novel supercontig:GCA_000165425.1:CT867985:483476:484867:1 gene:GSPATT00000296001 transcript:CAK55861 MSIRSTTPNKMTGITELDECKISQFKRPQSSMKFSLQKIQQIGQSLNNILSKKKPKNDKN IFQCFHDRFNQNMKDINTSAFYEPINQEKQTISKRKQNNTIYPTNYSHLGGTETERSHIN IKYQRESYKYLHRNRQNNNKINEQKINDGLNNQSLIWPNDNNNNNNNQCSLDYSKSENIT TRNNRIRQSSQSTIQNLDHFILQSIAVHIQNDPNQTDLIICCKNYKLYSLNLYSKVVTCI GQHYRPIKQMTKIIIQANNKTFYTSSSDGQIIQWVSDSMHGRFKYQQSIRIEEPPIQLHL DQQGSLYILTANFLTYQSFKISLSGNVKCLSVTPKKIIIAIDNQIHQIIDDTTNIQIIQL DKHIKTIFYEDQQLYILTTQRSLIVYDDQKNNLIFNSEIELDADWIYAMPKNQIIILKDL NITIQSFQKTRKIQLTDKITCLLPLSQQILIGDSNKTIKIIKL >CAK55862 pep:novel supercontig:GCA_000165425.1:CT867985:484921:491826:-1 gene:GSPATT00000297001 transcript:CAK55862 MLKPPSKSSKSASQQKITQPSNESQNPPKLSRENSSNNINKGSNTTLQKPLQKSRQGSRT SSSSNIPDLSTKKIASKESSPAKVVEQIIVKGQLTIKQKVSSKQITSKIDDGRPKNSSEF KQKQTLISNQPQYLKHGTQKQKPDQKKENLLQGHRPSVMNKLNKTANSNSSSRKPSPQNK DAQPTKQKQISQQQPKVQQEDTQQQVIINNQEQIDAIHQNQVPQDLEDHQDYENDQLDTQ NYEETVNEGRSESNQFDQMDHTSNASDEQHYYENYDQQGNYDEPEKQQIMQANDQQINNE DPQLDQNADDTLSIEGDINQTDNIETGQNLDSENQKLKPLPQIQIISEDQFNQQFNKGPL EVGDLKQIPDAIGDRLNTIQEDIQSNDALSQILNDIPDGETSEPVLTHRTEDPIQKNNVN FQKKQSQDISSTKFIEIEVQEDQQKGSQSARHQDLNQENKQEELNTKEPYQIQISQPGEN NQEEEEDYGLGDLESEFVKKNMEDSDEEASPDNIIPTNQEDGFEENQSNNQQNENDDSAN QILQDNEKQTKNKFHLQLNQDFQNKEQEKEDLIQDNQEQTQQEEIKQDVETQQENPSINN DDENLNNIVEVVEKENTQNQEDMILNDNQNIEQEVDQEIQNETIQNNIEDINQDNSNNKQ QILDEQNIQVTEQILNQQDDEQQVMDEQQDMVQDNSNLEQDNQDINNQVETQSNQIKQNP TEVEQQQQDGTCEQNENPERILENNKKVEDINEQKLEIEETGELVQNIQILDQSQNSMLM QDQNESQVQSSPLKEKDLENQNQEEIQKQENNNQGDDPSLLTVEQIQEEQPQQTDQIIKK EEEDQDTFKQIKNSSEIIEENPIDQPQPRDDQNTEIIQTHSDVNDTQNKSQEEVQDLSKD QILNDETLNIQNQKDNQDIIEDVVNQANDETNQNDTQENINEKKQIQEDITEKNEENNEE NQGISNQLEDNLLQSDKELAEEQNIVKDSSQISKQGDEDQNKEIQENFETEQLQMKEGRK EQQEEVQNQEEQIESDVRGQEQDNIGVGLSQEIQHNIEQQQGISNQNDQNINKDEDENLL EEIIDVEQETQQELIKQEDRQQQQQPVQVEPTVNEIQNDDENLDKNSFEGDQIDQITNEQ QNNEENQNSDNIQNNETSKDTSQQIQVNDPSNVLQTIVQAHIEDQFEFQEQENIESNPLV EPQEEQIIEDKDNQNQNNLDEVVNQISQNQPETINDDENTKDLNQKEIKESNNFDEEPYQ ENENNSQGQDETQKIEFQNYIIQDTNNAVVQELKFDDLGSVYQANQQNTIFFEQSYEISK IDLGVEQSKFNNLNDEKQLFKIDDQENQFKQDQIDSIENQEQQQINSIQQNDQEKELPKD ANNEQNSEENIKVQDKISQHQNENQEQDENPSEQVENIDVQDQNHKEQVQQQDESHQEQD QYQDHEEQDEDHQEQIEDHQNQDEVHQEQIEDHQEQDDNHQKQDDDHQKQDEDDQQQDLD HQKQDQDHQKPNEDQKQQGENHQKQDGDHQEQNEEYQEQDEDHKEQIENQQEQDGNHQQQ EDGNHQQQEESLQEQDGDHQEQEQIHQEQDRDHQEQDEDHQEQDETPQQQDNEHQEQVET PLQQDNDHQEQDETPLQQDNDHQEQEENQEQEENQDKNHQEQGHQEYDLDHQENDQIHQE QEEDNLKKDLDHQAQDKSLQDQDVDHQEQDLDYQDQVENYQREDENHQEQDQVQLEVQEV QQQQQEDHQDEKEVIQLKEEDNFEKKEQFQDYDEINYQDSKCNNNDENQQHDDENLNDQD KNEFKDDDSQKHIHQEENQIKDTDSQKHSVKSQKSQKSIPKNTQENVDASHQNESEVDFN CNDIPSYRTQELEKEIDQFTDSQQKIQKEEQHQEPNNIRPAMQLNLQGLNKNYREDQLDT GRTDDSMINQLVAQERDQNNLLVRQKQKRPQIQINNVIQEKQESMTPDNCTRSQNPTPKP GGSAKHSKNAYSFGAQDDFKSKQNVILEMEQDRKRKENCFHKKQQESHGKGKDKDIKIQI QQEKEKAEKQDEETIKELQKILQSKHEVLLQKDNQIREQQARIFSIRQTLDEIPDIQDLD GKEDFDWNNLIDFFDDYKNNRYTNISNMKDPQLATLMKNKFQEILQKEEQQQDIQIKQQQ QVEAEAKIKQEISYVLKLLFQEYEILRQKSLQLDLDIVNSQQIIYDKMKKLSISKKIIPN LRQLDIDQHKVTNEFKNYISCERTDFEQEKLINFIQSEIQVQDNVYQFIYKIRQIIKERI DQIKEGEKAMEEQLLSNTKKY >CAK55863 pep:novel supercontig:GCA_000165425.1:CT867985:491872:493294:-1 gene:GSPATT00000298001 transcript:CAK55863 MSETSLGKKNNYLNQQDLNPEFMESSKFQYEKQLDKQQNQQQSSFRPQVQQYNSESSWDE QRCNNRTTPFVFTAQNMPKIICFNHQGQTLTNFCKCKECLLPLCPECVKEHVYEHSEFKS YPRLECLENILTAVHQDVCQQGIFDRKYQANQLADAKSDIQKSISQAYSSTQETVYNLKE VKKRIINIVEQYFNALEIELENKQRKNYDNFNRDGKAFISTLQARLDSHHNFLDKLKQPD CMYSLLPYLLSTTQQDNNLYLQAAQQFQTRFKDTSSLISFNSFKSSQLSSQIAEIVNVVH QDLPEFLEIHKLASPDIIQSKVLTVKSQNSQVPQTNLYQSSKVQGNIEVKDQYQSSIIQQ HPGLEQSYGYPQVPQQQIQNPLLYSGYPQQIYGQQQPFKQTQLVQPNKYQGYPQTLQIQS AYPNFNNTQLMNQGYTSQRFY >CAK55864 pep:novel supercontig:GCA_000165425.1:CT867985:493569:498046:-1 gene:GSPATT00000299001 transcript:CAK55864 MQMEEEMVVKKESQVEDIVRKKVRTQDDNLGDYIPLQQQQEAQISQSTHLRKRVIKPLTE RERKALPIYNVSNKILEEMQNNQVIVITGGTGCGKSTQVPQMILRHHTNTEFETPKTVNI LCTQPRRLAAKSLAKRVAQELDCQLGTLVGYQVGMDSQISSRQTQIQFVTTGIFLQKLVH DREQVLKDYTHIIMDEVHERDIDIDFCLIIIKNLLKHFPETKLILMSATICSDKFANYFS KKSLNLVDDLTYIQRVDRKYKFTDMTQENDVEISIKFEGEEDHDISTQWGQPWKEIERQV QLTQEQRELEQKQNELRELGQHFLDGDEDQAAPIIKVPMSQKYPIETYYMEEIHSYFNDA DTAKLELHQPLRFQTSLYFQDTPKVQQDSVQAMLQILEFLDSNKIKDTIGMQGAGAILIF LPGYQEIMDIREEIYQKFGEERFIIIILHSTVTIPKEFDETHKRKRRLILSTNVAESSIT VPDCRFVIDFCLTKEIIYNPKNLTEKLALQYCSKASADQRKGRTGRLFPGTCFRLIPQTI FKNKMTQYSVCEMLRCPLEIIILRLKKLYQLSMENENKTEKLELNTIVDLKQVFNDPSRT LKTAIDPPSTKQIENAISNLQMLGALSYPNSQDSTIHITRLGQMMGDMPIDIFLTRFIMY CNIIGCAYEGVTIAAILSQRKNYFLHHFMRSQKLFFNSLYLYDKGNEDDLLIQLRVYQEW EIKFFNILKSTITQHDLKRELKRFTNKNIGPLEKLYCDQRSIDPKNMREILNVKYELFMR LDQQFKNEPLDLNNPENQLKVKSAFCAAFKQNTLRLSKDERFDKKIKYLKDQGFDYTRTI MLESDNIKFDGVNIQNKEMIKEKIAMIMEQFCDFHQLDRNQKRLDDQLKDILAYHDEQAQ GRQGLPRFHFRSDLQLLTEIKAKSKFTKSWVQSVVLLNNTIIIEFKEVDLTKLKYVLKRL MHECELMKRQQSRKQPQKIPWETFTTSNQVDIINFIETTQSLIFYGCYCRLIHLHKEINC DPDSINFISLDQNKVLVAYEVQEKDSNKRNSTRQVISIDQNKYLLWSQILAMLFGVQVKL FHNNTKTHFIKAKVDDRDYQFDYFITEDDLQTINSIRQQIKLQILEQSYTDSGIWQKIRQ LDKVRQKILYTSEERWKQLFDIELKDEILSFQESSENKQKRKRIQHFELEEQKADSLDYM NPIQIIRTDYDSEVPKQFDNEGIKIYVQIQQLYQTWKQNIVNTIKKQNFFLRMGNTTQIC CLECNDYMTVGRTNGLSYISEDPDIRRQLDNDSLDWAKRMVMILDVSSFGDYFNISMKVD QIKEFLSDQRIQSIFEKLKLIFEPINYVFITCNSGKHIVGFYPKIKQFKEIVQIGDIQKF YIIDQKLKLVYTSMEEEKFIFRKLTIDEIKKKENLAVQQRKLQNLKFTCKCCYVEQRYDE KGYFEGNEQAYNQHIKQESHKKAFEELQFTIL >CAK55865 pep:novel supercontig:GCA_000165425.1:CT867985:498601:499196:-1 gene:GSPATT00000300001 transcript:CAK55865 MDKKILENIEQQLKRLLEQLKDLEEYKNELDPEEYKQMKNDTLQELEIFQTKLQEIEQGD LTLKNALQQQQEELRAAIKNSFNSEEIKHLMSSSQGTSIREKIKKLDQDYTLQRINQAQY VKETLNCLNQLQDIGIPFTQQEINFINSNTGKDNFVIKQDNVQGKTLLKSAEQQLAANNM K >CAK55866 pep:novel supercontig:GCA_000165425.1:CT867985:499249:500250:-1 gene:GSPATT00000301001 transcript:CAK55866 MRPTKQQDYQLITPRSPERILKKRLINNQIQYLMKWKGTDQTTWEYEQNVRRSILEEFLQ NQQSYQEQKQKNLKNVAQQLPVMQQVQQSLKHPSYSEELLLNKQKEMAQKFCSSYPQKCD EIDSVHLMLTNEDCVFEVKWKPRADGVVPISDFYQYDQFKLAAPLLFMNFLENCIVGHEN NADIKFYAPGKDNVERAQLIKQILLRNPKYTDTNKQPSYVHAEEQKQQDPPIQQNKNAII NFTQSDSKKTILNQPTALSDKNQCEQQESEMKNHIILQESQEVQQQLDSTSQQEPQQQQS NDEREPGEMVDPEENQLIDEQLDQRSISLEPQK >CAK55867 pep:novel supercontig:GCA_000165425.1:CT867985:500354:500893:1 gene:GSPATT00000302001 transcript:CAK55867 MQYKKNYPNIQFDLSIDQFRLTDDEIILTTNLTKRPKYQIKNIQQTANCISQVVKENEIK KRPQIEIQKELCTPKRPLNQHLRNYDSLLFPFITTKQSHLPNFLELSKLIKLTETPRISN NIKLRSRSTDQRITFHKSSSIKKLQTDVKNIEEQFQETRNLIKRFNRIKRKQVRFDVST >CAK55868 pep:novel supercontig:GCA_000165425.1:CT867985:500901:501990:-1 gene:GSPATT00000303001 transcript:CAK55868 MPTISTFPPPHHDVDWFYLDEDGKWKIFDWRRCNLLENMLHYCNQGEFQIIFKDMQFIVD YDHMVMHHQISYYKIRRESSIVSRQIKIQQGKWSYYNGQTWIQYEKVIQNQLEDLMVKGE PLLIMYVNNKQYIFDLDQNVQVEPLDDKGLNKRKIITRQWMNTHQLQEFCKIRKQQELTY IRERKALEEYMKLHQNRKKVGGSKKANRLGDLGISPDQEKQERKQQKLQQLHQQQQQTKP KDECFKMIEHQKQPDPKQLEEWNLLIEKMKEENAENNA >CAK55869 pep:novel supercontig:GCA_000165425.1:CT867985:502340:511229:-1 gene:GSPATT00000304001 transcript:CAK55869 MNYLLYIVENAESTEYSIIFYLDIDADLEQIKLKGVFQLQTQSYVFEQLLDTSNLEGDWH LLYYYVDQASKQIIIYLFNSRTKVIFSKQHIGSISYGKKTFQRNFGTFQNIEGSEVVKYK NFPGKLGSIFITFFSDIFTNLEQYNTDCPLFQFCIEGQQSLVGYNQEFKKNKIVSGQTLS NELHKVGYVIQGWVKLNKIESIQQLDTVLLRVTTRYQYFNDKQYGDRLIYIVYHQNIIQD QNGFTVSLNSYKFPIIEETYYHDDLDKITIMGKQYSEAIIYWHYFQYEVGTKTNNGQPLF TMYFAFLNQKQQWKWNKQINHFTNSKFYYFIGGDDFVSNQFSGFLSDWSFSIYCYPVDVQ FKIKCHFSCKTCDGISSSNCLSCNSESHRLYSEEQKRCQCAYGYVEVADRETCQSKLICD YKALEETMGNLVIDEYERKCDKVGYFTCNEDNIQCDYGYFLAGNECLMCPRLNFEILDES IECGDCVLAPEIFSSALICSYDFKVLDKSKQFIYQKVIRGAQQQEVFKIVQDEFGKSQLK LIQGEYTYGCKFGYYRDQNGKCKKCMEGCSGCLIDSSCQVCFIGYTLRKDGNCQKCGLCH NCMLENNKEICMFETDCLSNQYWSEQQCYDCGQYCAKCIQSKCLYCINNQGYFISFDKLN CAICNIKDCQYCFQYYQSDDKYYTTLDYEPFMENIQQELIKIGCAQCNQNMYFNFQTNQC EEQLNNDVKCSFGIITKDFGKSICIKSLTPLVGIQQTECLTISFCEQCILNYINTDGFCI KCSDGYYSSITTGQCKQCPLTCKTCQQQNKNHEDFWKWDIKAFYKYFVNSNEDHYFEQYG LNFNTKNFEIVCTSCFSDSFLYQNECFKNCGQDCSNCQIINDYYSSTTQPQSPRCFQCNN ALYNKQRSTNQKDLTVCQECVVFCKACESRDVSQISYLNPFITNDSKYTNLCYSFDQEIQ QSDEDIKYNIQYKNEINFHPFFKTPYKCQKYDKCIKTLTFTQFVYCDSSYMYYDFFKEER QNYRQLYKTYYQFTVYGVELEDYIYDFLNEQSIIEVNFVNLLKILPKYPGPCFQWEAQSV KIKNPFSSQVFTIKTARIKLIGEELTFLLTQSNYNVMNFDEVHFQNVGILATSTLSHPST SLYNIYCKISILNEFFPVTFKLIDSQILCANSFRLTSYTIMSNNSLNILFDNVTFLDLNL TDSKLIDFQPLQSTKNPKFHAQNLVFINSNFTKSSIFCFESLKFSPDYQISISNVYASNL TFRAGSQFIYTSFLLQYHVGYITLENLYFQNITLMNASQLLNLMGSGSCSIKNLTLKNII FLDSSSFLLSNIINIEDFLAINIIINTGYLITNKGTSSKATQALKNAESIRIKNASFIEN IYHNSQCFIAIFQNQISNNSLIIEGLTLKNNQYYNILKGVNSIKSLTNDQSLIYLECQVC ILDKVEILRGLGYPEIAIFQSKVLKFSNIIIQQSPQYITKTLHSSYKCIEQFLYLDIYFV FYLSSFNQVELDNVVIFQSVIFDNSFFLFASQNQFDDQVENSIVIKNSKFFDNMLIVTQQ NKFTSIIYIKTEQLMNINIVNTNFTQNFLNEYFQDYQLFSSSTLVIYAQSSEVIISNCFF DQNIISNSTDSNLFIKANYLNISNSVFTKQNQLNPTIFEKYLFVSKTDTEISSNIMLNFQ VLSSGGNGYLLINSALLEQIQVEQSLALNGGAFYIITTQQGSIEISNSKFINTSTTINGY QISVGGCFYIDSSKSRFNLNIHDSIFQLTSSRTQAGLLYIEPSFLKNEINLDNLTIQESF SIQNSILTFSPSRQNSVYSNFKISNSLIINSENAFNYYISQLQDISSQDVKPFQRENPTM YVQYCNFTMVNCSFIQFHIATILEIYNGYLINLNNIEILNSTYYLSPILRISLREGFMGK ILLQDFKLQKLQQYQTQIEDCQEVEIVATKDLLCFDDLSDIVQNPLVELKKYNLTNQLIC NKKLIFEEANYNMSLIEIKSIDNEQELLIENLLISNIECSNCYFGVFTVQDVKVNSMNLR LKNVSLINNTCGVIGCLSFLQNSNFNLNENQQNRILQNSVEKIKNIQNKQIQLKISNSLF INNIADYGGACFFIDLNMLIEKCLFNNNQARETGGAIYYYSEEQNHILILDSQLIQNKAQ IGGGLYQNGETIQELTKGNVYIKENLGTKYADNLASVPMYLSLSLDGLNLLKNKLLFKNQ TTQIDEVEVQPYYYLGAEEKTDQILVPSGLKIYDYKHFDAQSNSYIDYNFSFRLIPLNIQ FEQMKNLNGTECTINPSVVNTSNIQEKIQNILENISAQSLSYTQVKFNDQTQDYNLDNLT VYFNPLQEQQYSLQLAFICKAIKIPVFNNYSPYELNYTLDNYILLLHLRTFKCQLGEYLN STSGGCVQCDITQNQYSVQENAQACQFKNEQKMKSIKPAMIELRSGYWRAYYYSNVVELC YHQQQNCQGGWFAGNPSCGLGHIGALCEQCDLYNIRGQGYFSISQAYGCSGCEQVAKNII NIVLVVLWTLLSLFISVTSTVQMIEEFIFQLKLKSLRNYMITQPTSTSVLLKVFTNYLQI ISSITSFQLSIPSGISLVVSGVGNPMDSMAFSLDCFLADSIEIVPILYFRIIFSLLTIGI YIMLFFSIYIMLLFLKKGKFQITFMTTTFIYIYIYLFPNIVSGLIGLLSYRKISNEFWVS GNVSYLYNTYQHTIWLISFVIPSLFILGLFIPFLFWFVVYYNRKQLNSMKVRKMWGYLYN EYKLETYYWETLKILQKEIIILVLLYYSDYVAVKASLVFLILYIYSHLSTKYKPYQSAPL NKIDFLSTSICSISIVLASSIYTAQSFDIIEVVLPFYTILAVLNFLFVANMLQKLVYAYF DKMEKTIDEIKTIIYLKFPHIINKNRTLKRIFIKNSLRKKLIKDRFKMVRLYLINQAQQI IEFKSYLLVIKLVL >CAK55870 pep:novel supercontig:GCA_000165425.1:CT867985:511852:512617:-1 gene:GSPATT00000305001 transcript:CAK55870 MQKTQTNRLNKELQDFKEREKKGEDSGISILLVDQNITHWKGFINGPSDTPYANGYFQVD IVIPPEYPYKPPKMKFDTRIWHPNISSQTGAICLDILKDEWSPALSIRTALLSLQALLCD PQPDSPQDAVVANQFKTQKDLYVKTAKEWTQNYASKNKQEEKVQNLVNLGFEVGVVKEAL LRFGYDEEQAANFLLGG >CAK55871 pep:novel supercontig:GCA_000165425.1:CT867985:513196:514117:1 gene:GSPATT00000306001 transcript:CAK55871 MSIEYVLLVKQQQVCLFKIPPVSSSKGYYLDDWKEMFWEGGIKLTEKGGQLTLYFIDKNT SAVQTFVNFPDNPYQAIEKTVDSQRGYAIRLVTPTGGHQWVGCVFRDRNDAFDFNEKILK FISDREMERNPEKFKNEFQPSQDFSLKQGQKIQISLGEGNQQKKQTQQKGQTNLSEFKFA PPPDAGDFGQFSQPVQQQPTQSVQNSWGNFDFNSWNQPSVPQQNTFQQAPPQQQQSFGFG QQYQSQPQQQFNPQSQAFTNQSQPQQQNQAKTKELNLLDL >CAK55872 pep:novel supercontig:GCA_000165425.1:CT867985:514119:515051:-1 gene:GSPATT00000307001 transcript:CAK55872 MQYLDNYLESKGGYDYTNVKRNELMKAQGFKEMPFTKTGTTIVGVLFDGGVVMAADTRAT AGSIVADKNCEKLHTLAPNIWAAGAGTAADLHHQCAHFNAKLKLQRLNLNRQSRVNEVIT KLTSKLFPYRGHIGVALIIGGIDCNGPQLASVSPHGNYVYHPFQSMGSGSLAALGILEAK FQDGLTKQQAIDLAIEAIEAGIFHDMGSGSNVDVVAITKDGVDYKRNIRQYNAKSYQRQV PYDFPINNTPALKKYQFDIEKQELSEVGQEMVIIE >CAK55873 pep:novel supercontig:GCA_000165425.1:CT867985:515096:516559:1 gene:GSPATT00000308001 transcript:CAK55873 MEEQLQQIFNSKYKNKTLYNHFCELYYQMQENKYYNAKNLADFEDLSNFIKENRFYHVPL QSADKVNNLKDKAFPWQEYCAKLMQLIQFKVKQVNANIQNFLKLNTLLNIVGYGVNDDDA YVIQQCLKQIAQRDPNIQSVRFWGKILAQQKDYFVIEVTLNKQIKEPQPPDCDEKLNEYV HYVTQDFLEDWALLPQITRKQMEASRLITYVFSGDLNKNIIQYPYFEGKEKHLLKAQILR ITHANLLAPRGLYKYDDETKTIGFEEEFKMPESTQLATMDEWVHLPAYILKQGRITFYED PSLKEEELNQMKESDPEQERLKSIKDDKQFEQNDGNWLIKIFGETQQYTVNSDEQLPLSY QVVLLRNFLWPGAVTVSNSNEYISVYFGYGLKNQQHSFNPLAPKDVQEDPEDIDEVPEPN PREQPDELEPDSDDERRREQERREAELQQQQE >CAK55874 pep:novel supercontig:GCA_000165425.1:CT867985:516749:518299:-1 gene:GSPATT00000309001 transcript:CAK55874 MNINMNRCQDCGNIHPRQPCAAQPVTFIWPYEGIDVLLFGSWNLFQVGTKLIGNKCTLNL AVGQYEYKFLVDNQWRYLQNQETVNDNHGSYNNMIQVLPKRAYQIFESNAKPLIRLFEVA EEVIGSWDNWSQPLKLQKRYNQFKLCDEYYTYVDLQEGRYEFIFKRGSQYFHDSCQPTIM NSFGRKNNIMVVLINRTESQELDFNNVYWTKHDLLYHTFDHIYGHTMTSIGNQFYIFGGA PSRNEMYKLTFGDHQLNLEETEGEMPRPRAYHNALAYGDKILFFGGVDEHNILNDHFVYV TSAKTWYLAKTDKKWTERERASLTFYAQEELVILFGGYYLSPDLEVELIYNDVYYMNIQN MQWVKLNINNQPSPRYGHTAIQVNEKMYIFCGKNQDEYFNDIWVLNFDSVQWQQIQTQGV APEPRYGHTTNLIKSKICIFGGRNSKSNRLNDLHLFDFITNTWITPTQYGQMPSPRYFHA ADIYNGEQLWILGGNIGLKQF >CAK55875 pep:novel supercontig:GCA_000165425.1:CT867985:518321:519970:-1 gene:GSPATT00000310001 transcript:CAK55875 MESIILGIKQGDLKYFDDSIDLQSLIPYKIHTVKIFFTNQGILGIQNYYYSFSSQSVIKC KEHRSSKIFGVNEQRLVLDNSEYIISLTWYQNEIGINRVEIQTNKQQIQLGQKDGEKKEF KVEQNYQLGAIAGGYKQQLQFLEWQIIPLVEQQTQYQSQLYQLYLSQNEGNQKNSKFEYI GKQYRVCDPQIIQQGLTNKFVQFRIVDNTEQEVIRRFQDVFQLRQILQLRWPGVYIPPLM NKSTFEDYSNEHIENVRKAIEYFLMKLSKITYFAQSVEFNVFITKTNKDSNQEMEYVQNK LKEMTQQISLEQIDLRYKQNFEEFETKESVNEQQRQKCNDFSLLMSKLEQIKVNDFSDIK KLFEYHSKNVNYLSKYILPELHLIHLNLQSEEVIQRRKSNSIDRGLQMQIDTMNDVYDYF VTEQREANAMSQCMNYIKDLEQQKNKLEQKIMSQKLKQEELTIAKTQFSLIQSIWSILVK SYANYYIDNYFKERYQLYLLMINRLAQIQLNNLKLRQNFWQSI >CAK55876 pep:novel supercontig:GCA_000165425.1:CT867985:520658:522615:-1 gene:GSPATT00000311001 transcript:CAK55876 MNIKQLATNFDVLYRRVNDRSKVTEFMLGQLFERSEAEEKYHKALEKISGQMQNSGGDMD DLVRGVKIDLNQRAQYYKQFNQSYKHDVEQAINELRQIQSQFKPLIQDVLKMDKEIKISC DKYDRQKEKTVRASKEYEESAITLEALCWNKECNIEQRSKAQHRLNSQLQYKQENESALR QAATIYNQAVQSYCTSLQNGIQQLTTSYNQIFSIAKDIVMKILVYEISKTRNLQYDSEQF FKQAEIYLDPQNDPTAPGPSSQIGISKENYLKVNSQLNSSFLRSIADQISLNHRLNIKRE TTASSAQVENVDSFDIFLANQEFIDDLKGVTIKLIGKVNNKNKPKNADEQPAGVQQMVNK YKDQYGEQQLINTYSFIKHVIQQTQEQQIKGWKNIPELQKRNLDEIFDSKFFRELGCIIL ESFRQAGCSNLNSYGFKNMHQFTQKLLEISQKEGELTLVKRIITMISTIYTIDEHEKRFF LQDSLISMQIWKQIDLWEGLIYTTIESEIDKSAMKDTIQFSNEQIFKDKNVIYSNLLTLT LNMINFKVDKQEIKNILVKYARVFSLYDLQAQELLKFAENDDQFIICSSIFILLTISKLK LIRKYCLKKSSYI >CAK55877 pep:novel supercontig:GCA_000165425.1:CT867985:523017:524112:-1 gene:GSPATT00000312001 transcript:CAK55877 MFDKIKVGYKMSIQEANSNQKIQILKIKAEIGGLQTTPKKSCFIASISSIESMQAPSLEI NYKQESKIICQRPISMKIVEGGNNLRELYWEIPIHQCLTLTNYKQYIEFSSLNKLTICYI SDEERKQKDFIIQFNKFYDMHVDYFMYDNENESLQKAIQHELILQRSGQNRIPIILRLNS TVFYQFQNQKIEIKLQSRFLKHPLPQVEVVLYNRVIFDNMQQAYHQLTQRISKKLENVEN NNHNSEVDFELDLGQLQNIAPLYLGQKIESHHILLIKVIFEKQNCCDWSQRKFDIFVPIE IITQNPEIDENINQNPDIIELDVWPHFCQLYQNYLKVKVLKEQNLKIL >CAK55878 pep:novel supercontig:GCA_000165425.1:CT867985:524430:526866:-1 gene:GSPATT00000313001 transcript:CAK55878 MITINIENNEDKVLIQEQPSATPQQNENQQEKEIQFQKVLEIVRKSDSNYFKYPPDLDLA QLHKQAYYLCPNQEIINKYQFNTCPCCNKTINKNKFNWITSDFYEQMTDEYGIAVPLYFT LIKFQVIIFIIVFCIYGIIFMKTVHQLCDPSKVQLQEQCDDYFSDYCKMCEFRDNYHFID IVSLQKYFSNEADNNEKNYFEFAAFFVFFINLHLPFLYDLLVSYIEIKYWSREPCRRQTE TKHSIYVRHLQNKMQPNEIFDLIKRCVEKHPNQDIASQSDKLVDPLFKEIIYIYDVEQLI KFASIREAVLIEIIQNIQNYSKYCLQKDFAQQINCQTKLENNLTLLEDINNKIQKETGNV QLNQLTADSLKDIDSLQFSKKAIIHFADKQVFSLVHSNFKRTFFQDLKIKLKFIVHPKLS DKIAVKKSYRINGLFWNHLGAKSMDRVIQKCKSIVIMIFACAILMVAYELIYLYVNDPDF QTNKASGKLTTGEKAATNIFTILVPIITTSAILAVIINQKKAQKSTFAHQERGFMHFLIV VNYLLVTFVPYLFTFELWNGKEKPAAIYNLISLTQNKLITKHIFHLFHIRFISSLLRTRK ALKNYLQYFQGQLNQLITPPFFPQRSRNCNALYSITIGLCLIYVCPLITLICFFFNLFMY FFDRYTTTHIYAIDKRFTIILMRHQIKVYSIAFYPIKIYLFVKLFWDYEWLIYAGLPLCV SISLLNIIFRKQIINFILLTILKMEKSDSNQNKQETYSENYLNYLKSIYCYYCLKIQKQT KPTKLLGKY >CAK55879 pep:novel supercontig:GCA_000165425.1:CT867985:527274:528238:1 gene:GSPATT00000314001 transcript:CAK55879 MAITIQKYWRGYFARKRLTYQKILESIPQKTIHTNEVDQQEQFESGITINQDEFEKETRK PYKYKIKSIRFKGGAVYTGEWKGQARDGIGIQVWPDGAKYQGEWKHNKAQGKGKFTHSNG DTYEGDWENDMANGYGIYLHVNGAKYEGQWFNDKQHGYGYEVWPDGSSYQGFFQNSFKHG KGKYIWPSGQYFEGDWVYNKLCGFGVLIWSDGRKYEGEFQNNNMHGKGTYNWPDGRKYYG QYFNDQKNGYGIYEWNDGRRYEGEWENGKQHGKGLYIVGEIERTGEWLNGKRIRWDDEKK TNQKK >CAK55880 pep:novel supercontig:GCA_000165425.1:CT867985:528789:529828:-1 gene:GSPATT00000315001 transcript:CAK55880 MQVQKLQNAQNQIQREIQYFIFQDLENALCQLGQLEETIEKLEKVKDHPYLPIAMNKAIL QANLSFGIYFDQYSQHNNKEGQFFKSCKSKAKSIFQEALNKKIIQNTHPEVKTICNFYIN ELFGDKDIYALQQLPLKQTQSKGQFNQNALEKVIWGTQAVDKQLSQVQNNEYKPPDAQKI FQNVNIQKKQCGEQLIKNFLKPSNNSSTSKNQDQKPNNQSNKKDKQQPTNVKKVCVGKQT MEEEFEKKDDQFNMQTQNQQNQLKQNQQAMQLEKKKQPISHENSAHKTIQNGNQIIQTKN TQQIDKSTKGTQNNKSQVQQQTNKTQGVNQSGQIKKK >CAK55881 pep:novel supercontig:GCA_000165425.1:CT867985:530551:534557:1 gene:GSPATT00000316001 transcript:CAK55881 MSNTANKNAPAPKLKKESTNQSTTKLTSSTIKKQPVQQTQKANEPPQKKIANTDSLLEKT SESAQAAKSTKVVRTTTRSITTKLDDGKLKQPAELTNKQDSTQNKNSKKQTLKPGKQQDK QKVEQQKEQVQTKGQGVIKSQDDTNSQVSNQFDKTTDINNSIIEQDQIQENYNQDTTEAN NQDSILNEEQFQYRSQTNQSLTENVNESVNPDYDGDNLQSVKENDVNDDDKSPYKDVSLM EYDEIDDQNIEENQNIQDEQNEVNEIMKQNQEQEKQVEMEGNENKKQEKEIYQKLEIKNE QPANIINEQVEETNQQDNIGLEGQQKDIQLKVKKIIDLNNEIKINNNEQHVEQQVENQQE KEIIHQVEHVIEQHHQEQVENFQEHVEQQVENQQEREIIHQVEHVIEQHHQKQVENQQEH VEQQVENQQEKEIIHQEQQQVEQVVEQHHQEQVENQQEHVEQQVENQQEREIIHQVEHVI EQHHQEQVENHQEHVEQQVEIQQEKEIIHQEQQQVEQVVEQHHQEQVENHQEHVEQQVEN QQEKEIIHQEQQQVEQVVEQHHQEQVENHQEHVEQQVEIQQEKEIIHQEQQQVEQVVEQH HQEQVENHQEHVEQQVENQQEKEIIHQEQQQVEQVVEQHHQEQVENHQEHVEQQVENQQE KEIIHQEQQQVEQVVEQHHQEQVENHQEHVEQQVENQQEKEIIHQVEHVIEQHHQEQVEN HQEHVEQQVENQIREGNSFIKNNNKQSKCRKSFKNMLLNKLKINKNREIIHQVEHVIEQH HYEQVENFQEHVEQQVENQQEREIIHQVEHVIEQHHKEQVENHQEHVEQQVENQQEKEII HQEQQQVEQVVEQHHQEQVENHQEHVEQQVENQQEKEIIHQVEHVIEQHHQEQVENHQEH VEQQVENQQEKEIIHQEQQQVEQVVEQHHQEQVENHQEHVEQQVEIQQEKEIIHQEQQQV EQVVEQHHQEQVENHEEHVEQQVEIQQEKEIIHQEQQQVEHVVEQHHQEQVENHEEHVEQ QVENQQEKEIIHQVEHVIEQHHQEQVENHQEHVEQQVEIQQEKEIIHQEQQQVEQVVEQH HQEQVENHQEHVEQQVEIQQEKEIIHQEQQQVEHVVEQHHQEQVENHEEHVEQQVENQQE REIIHQVEHVIEQHHQEQVENHEEHVEQQVENQQEKEIIHQVEHVIEQHLQEQVENHEEH VEQQVENQQEREIIHQEQQQVEQVVEQHHYEQVENHQEHVEQQVEIQQEKEIIHQKQQQV QPVIEHHNQQSFENHQEYIEQRGKFQQETEIILQTTTIQNYQQQLLISNLIKTYRKVSF >CAK55882 pep:novel supercontig:GCA_000165425.1:CT867985:535095:535747:1 gene:GSPATT00000317001 transcript:CAK55882 MMIQYLLGQQFKMYLSHLLVLKILIILISSKQIQNHWIHYNKTNNKLTQVILRSQIILLL KIKILNKMKIIIQLQILIKKIKTNKINLKKYYKSGQNTNRFEKYQEIEDQNQTQDMIEQS QYLQDDKTDLLYKNQSQGNDQITDYNLTQADESKNEKQQYKDQKVQNIVQVQQNDHIIDI KQVNQTPSTNLQENKQQKKKTLICKCCQIF >CAK55883 pep:novel supercontig:GCA_000165425.1:CT867985:536412:539572:-1 gene:GSPATT00000318001 transcript:CAK55883 MVLCSICGRKKEEKLYTILLDRYRKTYLELKRVEEKRQFLKKNYKEYCHLGCIVFFLQNV QIIQEKYYLAKDKCVTDYIFFGLDSIHKSKQLIDCSICDQGLNNVQAPQKKASINCSHHK CKMLYHIDCIIQVKKRITCTKARINFYCNQHFDKNELQADWNQWESIAYNLVQENIHIKQ EEAFRGEIKYLIVSVDDPFQTIGEKLDQNSFKRLDEDLTKKLKVQKKETKVEQEKKRQKF WDISKDFKSQYEFGPRPMFQIDYQAKKKEEMLVAVDEEEQQQQPIKKAIMEEIIITDDGI EINKIEKPKKKAKPVQKVQESNVKVNNTYSKIKTEQIEIDKEEQKTYQQTQENGDVSLDQ LLSFVREKKSSIPTIPNYFEQRNQYREVVEKQKNNKEQQEKDEMRELNEMQQLLKKKMEL SDKRAGILNENNQQVSSLNSQFKNNTNEIHNNGNNHKSATTNFNSNSTNYKSNDNGVINQ NSNINCCIISNNNNPINNNDQEGQKKVLLQKEPLKQKQIPNADMIENDKQNSKLTKVDDY SSMSASELLNFLLKNQQQQNRTKNRRKNETTRALQAQHEIIYYPQSDEAWEENLEGWWNK VEETYFNPKQDIYSTIEKFKKTKDSDKQFQENLKVLFEIRNGNIMYAEYSLQDDELYEPK LQEDQEYNFKFQMFKINFILRKEMKIFMTENLTVIEPQRQSENSVFDQFSNDKDSQVYVD YYFEKYYENELEKLKFQNQIDINYLNQQSIKEKAKISISKQGHVQLDKLRYYQLLKNTKL GMKQITDEEHQMSIKKKIDLLSSLRTDNFFGKCNPSQLKQGQKDELARETCCKVCFNYQL IQLDSNNDLSYCGTCLATFHKHCYNTTDQFENCDQCKSQNNSQVCYICQKCYTGIPLREI EQYWVHITCALLCGLLEFNQTQFKLKKGIIQQSQSPCMICEEKIGVQLSCKECDKQMHLF CAFLWGFEITIQTEPDCLDPYISTYFLCPKHFEYSEKDILKQTYYRQHGINFNQAGCFET LENFIKCKLIAHQKVINRIQNMLQI >CAK55884 pep:novel supercontig:GCA_000165425.1:CT867985:540288:541415:-1 gene:GSPATT00000319001 transcript:CAK55884 MQAQYPAVVIDNGSGYCKIGIAGDDAPTSCFPAIVGRSKQNDEYYVGEEAQAKRGVLAIK EPIQNGIINSWDDIEIIWHHAFYNELCMSPEDQPVFMTDAPMNSKFNRERMTQIMFETFN TPCLYISNEAVLSLYTSGKTIGLVVDSGEGVTHCVPVFEGHQIPQAITKINLAGRLCTDY LTQILQELGYSLTEPHQRIIVKNIKERLCYTALDPQDEKRIYKESNSQDSPYKLPDGNIL TIKSQKFRCSEILFQPKLIGLEVAGIHHLAYSSIKKCDLDLRQELCRNIVLSGGTTLFPG IANRLSNELTNLVPSQLKIQVAAPPDRRFSAWIGGSIQCTLSTQQPQWIKRQEYDEQGPS IVHRKCF >CAK55885 pep:novel supercontig:GCA_000165425.1:CT867985:543300:544412:1 gene:GSPATT00000320001 transcript:CAK55885 MIESHPPVVIENSSCNIRAGIVGEAAPRCCFPTRVDKVQESQTIKFLIGNQIEENQYNNQ PCYPIQNGQIMDWESIEQIWRYIFEVQLKISPSNHPVLLTEAPCNSKINQEKMTQIMFEN FEVPFLHISNQTVLSLYSLGKTTGVILDSGEGATYCVPIYEGFQLRQQLSKLDIAGSNCT NYMSQTLKEEFLKIYTPFQRNQIAKAIKESLCYVALDPIAEENKYQGNTSHNSSYILPDG NKISIKEQKYKCPEILFNPAKAGYELPGIHEITYQSIFTSDRDIQQQLYNNIVITGGTTL FPGLQERLLKEIENLVQSPTQTRVIVPPNRLNSTWIGGSILSTLTSFKPFWITKHEYEEF GPKIAHIKCF >CAK55886 pep:novel supercontig:GCA_000165425.1:CT867985:544499:545512:-1 gene:GSPATT00000321001 transcript:CAK55886 MFFPQSCSKNEIDQIQEDYIIKYPILNSKIVDFDTMETVWHKAFFEYLMTNPEDSSILIT SQLNTSKNEKEKTTQIMFETFNISKFIIQIQSILSLFSSGKTTGFVVDSGNDTTYFVPIY EGYKLDIKDNFCNIAGKSCSTYLNHLITQSNQKRVGNLEILDYIKEKYCYITLDKEQELQ KQQQNLIQAISYDLPDGSHLKLDIQIFLAPEIMFNPKLYDNSSIFGFHQLALNSIGQCDS DLRIQLLKHTMISGGNSLIRGFSERFHYEFSKINNYRYSQQLNFIKSEKFSVWIGGCIMT NLAQISSDWISRNDYDEYGPNIVQRKCF >CAK55887 pep:novel supercontig:GCA_000165425.1:CT867985:545664:549968:-1 gene:GSPATT00000322001 transcript:CAK55887 MSILSEHTISIKSETITMMSVSHSAISPSIAICTISRVMLYNDQGEKFDYELARNQKPTA IAWHPIQPQLSIGWQNGTITIWQEDTRTAKEESAVHKEEINLIQYNTNGSRMVSADISGL VVVWRGITPMSTYQKEGIMTICIFADLNNIIKAQNLFFFGGKSGLVCLADDSKHCSEVCK VVGSIKCLMIYEKYNSVIIITSGLLLVQFKISTSEKTQPDKKVKLSIAGEPESLQSVWIG QCLIAISSNENMIRMFQLEADENYVITLHEFQEQYPNEKILNDKITCVQYSKKSKNLVAG TKDGRLVFWKNYALTDESPVESEQWKALKIITLNKTVNEIAIGKNSGVIAARSADSVRIV QESLVHGKIYENVRVLQVEHNKILIYFKNDSQNQDKEKGPWSMFLWDSKFPIKSIDCSQQ HLLVQSANKIEISEFTNNSQILAKSSFDRKCVKAALFQEDLILFYEYKFEVTNFKGVQKY FVDFSESDGIIANYEFNNKTMVIWTHNNYFRLYDFSRREAQLSGFNCKFENDKGPLGTIK QCAVNCDGSNVAIIADNHGNQNDLFFVYNPENNNFQSFELPQYRKATQIMWDYSDPRFFG VTTICTKIKTNSENDVEEEQADFRAKKFFTFFFNPQTGIKEQDCYVLDEKQEGVLSIRIP HINVIQKKEQSYRIQDILMNDFTGLERADEPTKRAVINFSYHLSCGNLDEAYKSVKAIQN PTVWEKMAQMSVKTRRLDVAEICIGNMRFARGAKAIRETKKEPEFEAQLAMVAIQLNMIS EAEKLYYQCKRYDLLNKLYQAQGQWEKALEIAGRNTDRINLLINEWDKAIQYYEKSGNAQ KEIPRMFYEADELQYLEGYVLQKKDPVLYKWWGNHLESQEQYEEAMKFYRDSNDALGIVR VLLHQDNVRHAKETCNDKNDPAAPLLLSQISRSPRTLSLRPFNIIQRLNTIVKPLDWQRR PILISDVTAISLQAPKLIMIQSAIYFEQKKIIDKAVLLYMRGGQLQKALQLAQKEKLTDY VKKINQEIALQKQETVDLTFTNTGSLKEAPSQQKLGNQQQPQQQSTQSRDKAPPPGSLQD LINKKQFEKVLEMCDSQGLQITDDLVKQMLDGLDDKRKKEVQLLIAEKSKKMGNFEQASK MYIQMGERVKAMKALCKLGDVEKIIAFANNARMAEIYILAGNYLQTGDWHKTPELMKHII QFYSKAKAFDHLANFFEACSSVEIDEYRDYDKACAALKEAIKYSDKSTNQQKTQQLQQKL KLINDFVQAKQKSPQEMLQLYYKLLNDSNIEYAVRQGDIFAELIEYYYAQGQYEKAYEEM QKMQKKSIVLNPYLDQELIQKVMNYMKQKVGSQQQQQQQSQQNKKQPFGRQQEQNEDDFQ EEEVIDDGI >CAK55888 pep:novel supercontig:GCA_000165425.1:CT867985:550082:550453:-1 gene:GSPATT00000323001 transcript:CAK55888 MLLNVPEEEILEQKPSNLQQIELNNEKYLSSYINYDFISMNMSLKGLFFCILTNLFTIIR SGLQSLIITMYDEIFLICKIAQLFLFDLLSDIYIQGNLVIVDWNQESNQLYAIYYEKVVG GQI >CAK55889 pep:novel supercontig:GCA_000165425.1:CT867985:550899:552042:1 gene:GSPATT00000324001 transcript:CAK55889 MHLILMVLAVLGQCKLNTKPHQNHFENIFYYEDDYYKNHLRVSKVYWNANFNKRLKDVDP RYLYYKDGDIKRYTKYDKIGRGAFSQVFIGLRDDGEAVVMKELKPMKWQAINREIQVLKA IKGTTNTLQLIDAIRNQNKKQNATFIYQYLSSVNLLHLFGKLELPKIQLYMYQLLKALNQ VHSKGVMHRDVKMANIIIKEDDTLYLIDWGLGEFYHPKKRYNTRVGTRYYKAPELLVNNK QYDYSVDMWAFGCTLASMIFQKQPLFKGKDVYEQLDKIVAVLGTEDLLKYLEKYDLVYPD PPIHTQQPFTIYIDPENAHLVTKEGLDLISKLLIYDHKLRLTAEEAMAHSFFDGVRM >CAK55890 pep:novel supercontig:GCA_000165425.1:CT867985:552057:553006:1 gene:GSPATT00000325001 transcript:CAK55890 MQKLIAPLLTMNNGNKIPQIGYGTYQCSGKELVDGIKYALQVGYTHIDSASYYQNGKDIR VPKREDFFITSKIASSEQGYENTLKSCKKILQDLDTKYLDLLLIHWPGVAGNQLNSPNNA TVRLQTYKALEQLFQEGLIKNIGVSNFLKHHLEHLLLNCKIKPVINQIEVHPLCWDQATI EYCRQQSILIEAYSPIARNDPKLIQNQKMIELSKKYHKTVAQVSLRWAVQKGFIVLPKSK TPKYIKENFEIFDFQISEEDIKVIDQLNENYHTCWDPSTVIY >CAK55891 pep:novel supercontig:GCA_000165425.1:CT867985:553092:553457:1 gene:GSPATT00000326001 transcript:CAK55891 MVRRVIVLLLLGIVLGLQPYEADFLMFDSDGDKVLKYDELRAQFPTQNPYNTADFVAQFF LDYDSNGDKIIEFKEYSTKREQQKLEQEQQQQSISSMYHDDL >CAK55892 pep:novel supercontig:GCA_000165425.1:CT867985:553631:555079:-1 gene:GSPATT00000327001 transcript:CAK55892 MLILFLVYVQAQFTSKLHFHYPNADESIAQFTITNKHQIDFTQISQPFYLFPRSYLENLH VDGKVRELYISSTQGMMPELNAPQHGVLIITNEDADQLIAINQVSEIFRIAKYNMIYDQA YYYKFNGDQSQLSFMGFDDDTIQVGKVLLAHDPTDNICIDGIEFILQYFGCNGKKGIAQI IDPNTIFRSTYLSLQAKFEYDISTKTATQKIVLLIKYDTQSLSKIFNLDPNLTYHSCPEF DSEIIQHSTRAITYNLKSAKQFRLGQLSKIQDDTNMIKYQPKITTRKFCAGPWFAFDSYI HQQVTAYEDGVATILLYFPHEFTPIYHTLETSKVSQNQFFWEGETKKIIITANLNQNETF LVKIKFSKKLKSFEEYPHDPERGQDIITSPVIFNGELYLTSHQVTRQPYPDFSMPFNILT FSSLAMGYFFLQILGMAVDKFILNKPEKGSLAQRLLRKIFSLVSF >CAK55893 pep:novel supercontig:GCA_000165425.1:CT867985:555415:556847:1 gene:GSPATT00000328001 transcript:CAK55893 MFNLTLSFSQFGIQKSHFQLYDCQIESLNNKQLQRDKQPIHLVTTKIRELLQYAETISVT PRTLTHPNAAVYNDGLDNENYDLIVRLDDVIVNQKTNNQYIAKEWLGVGTFGSVYRCQKN NSNFAIKIIKNINAYNLQAMKEIKLIRLLNKKQEHESIIKLIDYFLFKNHVCIVFPLLGF NLEELLQETKYQGLSLCMIKNILKQVISGLEFLHSLNWVHCDIKPENLLFTDNTAKQIQI IDFGSASEVNIHLYYYIQSLYYRAPEIILGYKKTCAIDMWSLGCVAAQLYLGYPLFQGTS SFDQLSKILGLISITNFGLISNSPKCENYFIRQDQNFELKPMKMYENEFNINLPDPGIQN ITDIQELYLEFNKSSLRTIQEMATMEDFVDLLKKLLEFDPTQRITASQCLQHPFLRDFQF NINDILKQDLQFQ >CAK55894 pep:novel supercontig:GCA_000165425.1:CT867985:556880:557169:-1 gene:GSPATT00000329001 transcript:CAK55894 MQISIKSNVLQPIPIKRKIIGLPEKNTEFNDLLNLIWKAASAPATLEQIETINKVSKGRQ FCKNPFMLIDQPISENSKSIQELLDEIE >CAK55895 pep:novel supercontig:GCA_000165425.1:CT867985:557437:558327:-1 gene:GSPATT00000330001 transcript:CAK55895 MFVRAPAKIIISGEHSVVYGHKALCAAINKYTKIKIHSNKANSIEIRWGNDWHEVLNLDS NNTNSQLAQVARILNIKPSIIEVESEDPQPLSAVALSKAMNGSIEQAIEIENIFHGKRGS GLDVQVTNHGGICIFQIGKPIQKVNLPIQNILLIDSGDRKQKGTEGSIAKVKNCVEQKDG RQILNRISEVTEQIIKEGLVKELIYENHDLLNRLGICTDRINDIIRICKREGVPAKMTGA GDGGFCIAFPKDEQESDFLVSKLHNYKTLKSNIDKEGCKII >CAK55896 pep:novel supercontig:GCA_000165425.1:CT867985:558815:559282:1 gene:GSPATT00000331001 transcript:CAK55896 MNTTMIIFVISLPHAITKERRMLLEYYYDIPLIYSNCIISQQKEVELDEGKQVSQKRRKT IIELEEDQKQQIQLSPGDNLGLKHIIRTNLYEKFISCKILTLKQSNLEGQLFYLDRDKYI RVLLNNRIQTSSQNNGAEQSLQKIVKRKQDRNTLD >CAK55897 pep:novel supercontig:GCA_000165425.1:CT867985:559628:560119:1 gene:GSPATT00000332001 transcript:CAK55897 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRECVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLLALWLGKVEK >CAK55898 pep:novel supercontig:GCA_000165425.1:CT867985:560936:561774:1 gene:GSPATT00000333001 transcript:CAK55898 MNKFIIASCIIALALGWTASPSDHCSCSDYYLSTECSSLFGCSWNAGTTSTCQKANCTAQ QGAACLTFPTACYLNTTAGNNTCLAFSSCSSLKGATDADCQAQNALCGQTSTTGTNCVDF KCSSYSGTTCPTARGCYLNGATCSNTPTCSTGTAANCTTPYCAMNGTTCQQAVCGSYTTE LSCSFVYLSGTSVQPCSWNATGSACINAPGTGNLTSTTCFTNTLGAYHWSSASTTDGSCK SCYGVLLQIVFAILLLLA >CAK55899 pep:novel supercontig:GCA_000165425.1:CT867985:562067:563937:1 gene:GSPATT00000334001 transcript:CAK55899 MDQSIVKLNTECEDIQQSFLSSPSEYFSNQKDDLVEKCQFSYKLFSETNPRDQIAQKIVN FQQEKQQLLYLIEKLEQYFIKDITTLLEYVEQLEQSYNTLYNGGFKIINEQLLTYFDYEK VQKLNETINLLEQRWNLPQIKEAGKQFEKVLGLSSLKCMLEEHVRDQTMVQFFLQNQIHK PPVECLFLRSKEVDKLNESDGIYFGEVLQQMKHGFGVCIRGQAIWQGYWKHNHIIWGQYT FEENGQKKIMQGCFKDDLLEGEGKCIAFNGDTVQGQFRRSRIQGRGTYKFSYGNIYEGDL KNNTIDGQGSMKFANGDQYEGDWRNHSIYGKGKYTYNNGDFYEGDFVNGDKEGKGVLRYE NGNIYQGEFKNNIIHGLGEFKFVNGDKYNGEFKNGQRDGKGKYEQVTGEFYEGSFVNDKR EGFGVQKYSNGDIYEGQFRNDKREGQGRYKFANGNVYIGDFVNDKIEGKGKKKFVNGDVY EGEWSNQLFNGKGQYKFANGNTYIGTFVNNKREGHGVYKFANGNIYEGEYKNDKRDGKGI FVYADGNREIGEYFEGKPSGEHQVYRGRQSSPFKINNYNSGKLDSTFITSRF >CAK55900 pep:novel supercontig:GCA_000165425.1:CT867985:564034:566080:-1 gene:GSPATT00000335001 transcript:CAK55900 MLQKKNSDKENASLNNKDTSKPRIIGDYQLVKTLGVGTFGLVKLGLHQITGEKVAIKILE KERIVEVADVERVSREIHILKLIRHRHVIQLYEIIETKKHIFLVMEFCDKGELFDYIVKN DKLDEIECLSNIVQELISGIEYIHKLNIVHRDLKPENLLLDHQNQIKIVDFGLSNTYKQG ELLKTACGSPCYAAPEMIAGHRYQSILVDIWSCGVILFATICGQLPFEDKNTSDLYKKIL GGQYTIPSHVSQDGQSFLKGLLNTDPSKRFNLEQIKQHPWFKLYKRVQSIPQGIIIGYSR IPIDDAIVDQLATKGFNSDYIKKCLDANKHNNLTTAYFLILKKHLINGGKSVADINSSNF NEKLLEPATRPQKPPISSLLDSQMMKTLTHNRSGSCQTNNKSHTQTRGLSVLPSDDTRST NNGSKNYQNSSINHSSLKEKKSQSFQQTNNKKMTTDIQINDSLNKTTFLGTCKRSSNVCT ASPAHQNKLLNYLKSSTKTGTPTAPRGNSQPKIPQNSKTTKNQKGSILNFDSTYDKKKEN KPINLVDTTNIDSKKRSLHIDGDLSMPASTKPQSMPFQLWLKLNSRKGSRDHSAGGQKTK KANSSTTKANINQSFNFDKRV >CAK55901 pep:novel supercontig:GCA_000165425.1:CT867985:566829:567175:1 gene:GSPATT00000336001 transcript:CAK55901 MPHYPEEIEYSDKYLDDYYEYRHVILPKHIFKKITKGKLLNEMEWRALGVQQSRGWSHYE THRPEPHILLFRRPKNTDPNTGLPPPGFSAPY >CAK55902 pep:novel supercontig:GCA_000165425.1:CT867985:567182:568459:-1 gene:GSPATT00000337001 transcript:CAK55902 MKEYIEYLQNLLKQNKIEFEQEEDFIQHKVSQINNEFGYEHINRYKRQMILSEIGLTGQK QIHLAKVLIVGAGGIGAPAIYYLAGAGVGTIGLVDGDSVDVSNLHRQIIHNNYRQGMNKC ESAKLQINEFNPLVNVITYKHHLSSANAIEIFQNYDVILDATDNPATRYLINDTAIYLNK PLVSGSSVGWEGQITVYGMQGPCYRCLFPQCPKTVQNCNEAGVFGVMPGLIGLIEALQAI KIIIGQQTLSQKMILIDGLRDVYKVVKLRGQQKDCIACQKQIKINDYDYASFAQTICSSS IPYRGGYKEIEWKDFLQIQRSEKVALLDVRPSSQYNIIKLDGFTNLPYSQIDQLQVEEYK DKEIYIMCRRGNNSRLACEYLKDKTPNIYNIIGGIDLYAKLYDPKMPLL >CAK55903 pep:novel supercontig:GCA_000165425.1:CT867985:568592:573537:-1 gene:GSPATT00000338001 transcript:CAK55903 MQDSEKQGIDDALLMGTQKRGIDYYLEQLKLTTFQVLFVIRNSEDEEEGMFGFYFFNALD YFQMHQFPFYVTIYYLWKQDTFMEFITQVQNLFQISNYIPSLTYESLLMTVYILLFFILL IILDIIYVSYSFSRNRFYWLWPLLLLKKITSFVVTVGFLPITETLTSILQCYVDPDTGKY IIYGYNNIFVECWQDWHTFHAVLDLTFIIFFSIICSIVAYAFFEPAMNTNDRSARQDSNG EVAFITNKITCQLMFSLLRPNYPEQAWIMVITSFILSLWLFKQYNFNDPYYDFQVGLFYC ICSTLYLWACFMLLVCKILESTDFTGGFIAWLIGVPFIISIMLLTKKSKIETLVKSQTKF RSGEQIWSHIRYVLQLIQNQDKDRNSYMLLVGYIEKHKETCNYDDCPLKTKLKQRKKGGQ NDIDEIIRGLIQELDRMFVQGLKKFPNSTYLRIFYALFLIERRNNKSKALEQFELAQYTK PALYQEFIIYRYKKDIRSKGMNSQQGEDDDIVNAIAFKNYLSLCEEKMKFSANLHKEFWI ELKEDQPDLGRLMNIGARISRVTNEARENYENMQKINSNMPQTMQVFGNFMIHVMNDSKG GVNLLNKARQLKEHLRKNQQVHKDMINFETDQIPFIYVSAKKSDTGNILQMNSLFTAYFG YTKEEIVGKKINVLMPQKYAENHDKYMSNFFDNFVLNLRQEVGIESEYLDVDQNRLFKHK NGYLFPLTYQVTLHLDSLVYIATFKSEATLRTQIYFVVDKSSQILEMSSSAITFFELEIK NLGDKIKLNDYIADVLTKNAKDVFSKIPKKDGFYYFNCQVKEIVLPLHNPNDSDEEQKKS ERTGYYVIRLDKIEKNEANLKYMIKKGAQNTGSVSNPDSELIQKATSQQKITNLANVSQD SQVPLRNQDCQDVDLNLFSYMQELYENPQVDEIRDLFKQCNAETESVPSIDLAGSIITKR YINGQIVSINEEQEQLFLQILEDEEEENSLFRNQGNNFEDEDDYKLFTYNNSANLIQIAL KTHHRHPEITTFKIYSSLWIFVTILLIDVDVLQLINNQLVEINRLTSRVLDLAVYSANLY TYNTTEIANDISISAASIIQISNHNFKMNCHLDFTRIMAITMTLQDLKLPQWCFVHQLQI LQLPAINTHAAFDNIYYQGVIHNYFDVLYQQICDISSSTYDNIKDIMDNPQVNLLILLSA SIFVSVMAMVKFIFLMFEIKTQRENILFLFLDIPTYHLDVLYKKCDRFLKSYVSIEDLQN KQEQQGFESSEDEKEFQEEKIEVLKAPDEDESDILLRQTQNRKKIIKKYRQNRIKGNQGI IVQFFVISVFTLVFTVYNILGSQSQQSQINFLLPQYYQSLYSINNYGYYINIQKLMMLDY TTKIKGIQVSEYAINNLTIFTGNAEKMSSFNFDILSQLQAFRDKYINIYYGNLCEQIIKT AEVDQCQQIINSNLQLGMYNTESYFLEYFRINIQNFYQNITQKSMLLNATQFFEIDRALY NYVYDAITNIITYERDLISSGMDNIQNIQLILLIIFIVLLVSIFLFVWIPFLNGLNTQIN QTIEMLNMIPLEVVKENKSIRRFVKSLIKSMNK >CAK55904 pep:novel supercontig:GCA_000165425.1:CT867985:573735:575621:-1 gene:GSPATT00000339001 transcript:CAK55904 MKEWKLLLLSTKYLCAQFVNSIDYLGEKRINGTSAIVWHVQNQVNLNFKLVIYVRGNKFI MQTGLQILCQSCSFILMNNVQIYEMITHNLITVLMIALRYSIESGSRQYFVAYQSQIQYE NIIQDLLPSWVVIVKFDKNQGQLSLDKINSNMKAKLDIQDDKCFRDFLRKMKAIPYESER QSFQSIKLEHTLIRLLQQQKDEHAVERYYAEIDKNESKTKQLKFKITQVYFKTFDPSVIL LFEELKEDKYESLIQQIEQRDYSQSTYARISLQVLTQQIQLLKFIKMYFSKGKSLQCPTH LLNCINNQIQKGYNIFNLNFDILNQFKISHRQIKFEAIEIQPLLLIEQLCEDLLLTQAQS NPKRRQIAISDVIKTDRAKLISIIINLVEYIKILMSIIYGDEKILSQSAPFGFQILISLK YSKAHPKCLKFGLFHPKLYLPASIKELFYNIETAIHHKKRNWNSKNYYSMINQLTHAYSQ MFEGYKKDNYKSTSLMDEDLFPHIQKQSMCQSQESRLPTVQNHIPQQFQFNILGYPMAQY LISQLGPCNKLQFKNRFINYSGGTNLYMNSQQTKLSFLIYQNLDEFIQELNQQEKPIFEY FQKKKMFNHDITQKQYPCSQFGSNQGRF >CAK55905 pep:novel supercontig:GCA_000165425.1:CT867985:576337:580915:-1 gene:GSPATT00000340001 transcript:CAK55905 MTEVEITPKHLKFKKIGQEKTITVKNNRKVVLNFAFRLFKNYEIAPTALQLLPGEATVVT VKLRNVEAQEDFIYIKGDNLDCRIAVTFECDSDRLDQYIDQDVQNRTMTSPGFGNLQTFG NVRSEQFNAEENKILQQRVNYLTQQNQLLNDALEQFMNQEMDGNSIKELLNHLIEQERQD IEFRSQRVLLMIEEKDREIEDLRLQLQKQQFNSNTKDQLKELVKENERLQMKIQDYQARE QYFNQHQPERESYVMLYRKYESVLDQNKKLELINRSMNDEVEIIAKRLNDSGLQNSNIRV EETRDELVDRLNARITQLKLREEKLLEENARLEEMLIHQQGSSQNFSSNQSKNLTDDLLK KEYQILEKSKKELEKRCNDTLSKSQLLEKQVDEQTKQAGYLGDELWKSQRDNEKLRQEIK KLKEQDELIKKERLEYESQIYALEQTLKQANSLQDVKEEAKKRWEEEINKLQGSYENEIV AYQDDAQKLKKIINDLKKENELTNTQLKETQYKLDQKLQQLSQQEEQMQELQFKYQKLQK INQQNQIDIEKTKSEFIVLKEKTDVELQRRDNLEKQFQDRQLCLQDQINNIMKEKSITQS ELQLEMNKLCQQHKASIDQLLMDNKSLEQQYLFAQDQLKVQRDQYSQREDALIREVRSMQ EKIEKLVQKQKEKQRRNSKSINSSVDKTAAPTFNNIEEERRLTDLYMQKIRELEQELNNS RQQKMQIEFDFNQLKTKSTFLQQRQAKDLLAQQSPVLADDLNELKETMVEMRLERDKIIN QLQEKDYQIDHLNQQIQEYASLVQQQQGNLEQMEIKLSYINDDFKRTLEQKNAELHKLKL ELNIREEQNQVYQEQAKLLTNERREINEKCYIQNFEIEQLKTNHQEVETSRKQLIQELRQ KIDVLIKDNEENSEIIQKINTEIVNQKEIYEEIIERQDNTMELISEKFNQDIQELQCRQN QYFNQNDAYKKSLNPTKEQQWFKELIQSYHDNVVQLAQNMQKQKQNNDKTKQLQQTINQQ KQQLKELSDKLVTLQTENQNLTKKIEKHKPKIPSLKKPSTTTKPSQQNKQKSDEQVPITL LLQQGKVAEVESKSNQYIIQLKLAEQKIDQLKQANLIYEQELKKINQSTSYLQDLLKNRD QTIQELQKQYKQENQKIINELNQVQNKFVPEDKVQALNEVITQKDQLINQLKDEIQRKKD VADQFRGDREDQKQIVEKIKKQNEELLAENDKLKRINRDNERQSTNFKEFKEKYDQMKVQ EKQIQDEIKSIQEKNKQLKQDNTRKDANIKELRDKIDQLQSQKPNDTEKSDLIQQVKKLK DEINRKDQAIKHFRQKFEEKSNEFEIYKNDYASKYAQTVSELEKEMRKNEQGKIGSKKFE NQVNMLIFLLKRIYRENYMELNKGNQAKVGIKGENKPKNIAKFSESMNILNLGPEDLEEF LEPNISVTTIKKTNNKDLDRFETLFMNPDQLDVNQIFNMINNIITERVNCG >CAK55906 pep:novel supercontig:GCA_000165425.1:CT867985:580923:581839:-1 gene:GSPATT00000341001 transcript:CAK55906 MLLKNQHQYCQLFIIIWVEYLQISKQKCQIKLMEKTKSFQDYQLQVKLLVPQFMVQIDQE PIHYQILLSLEDRQPIQLVKNGSLDRNSQIFPRMLEKLPSLTDLLGITQINYCLSQKGFI REPCRNMQLCSELKRLQQEGVEKVKEIYSRKDDVRIKDKGLVWNSDLVEGLELENLLLQG KMTIEGALNRKESRGAHARDDFPDRDDKNWMKHTLARIQDTKQGDVQLTYRDVITKTQDP KEFDTVPPKKRVY >CAK55907 pep:novel supercontig:GCA_000165425.1:CT867985:581844:583039:-1 gene:GSPATT00000342001 transcript:CAK55907 MFAITKQALFRQQAMKLMNRFAVVANNKPEKGPSQGHLTDKYTVIDHTYDAVVVGAGGAG LRAAFGLVELGFKTACISKLFPTRSHTVAAQGGINAALGNMTEDDWRWHAYDTIKGSDWL GESRCYLPICAKKPPKAVYELESYGLPFSRTPEGKIYQRAFGGQSLKFGTGGQAYRCCAV ADRTGHAMLHTLFGRALGYDCIFFVEYFALDLMMDEQGACRGVVCMSMADGSIHRIRAGY TVIATGGYGRAFQSCTSAHTCTGDGGGMTIRAGLPMEDLEFVQFHPTGIYGSGCLMTEGC RGEGGILRNSLGERFMERYAPTAKDLASRDVVSRAMTKEILEGRGVGPEKDHIYLHLNHL PS >CAK55908 pep:novel supercontig:GCA_000165425.1:CT867985:583068:584793:1 gene:GSPATT00000343001 transcript:CAK55908 MLQKSYSEGVGAGFSLAENVRFQLSKTRVNDLFIRWLTQPQTQKLVQQLIKDAKDGKQNN LIAQPNAFFINKMAVSGVSQSALFSSPNKKTQGPASPPKTDPFHDLKLRIPEELSQSLTQ SQILQKKCHVEKAQNYEQVPQFYFPNQEINLQLQSEQNKIINEIFGKSDLIDATHFEQIT TILCGLCKYTTKILMTAIEGNATKISKSGFLKYWNQHLAQKEPKNRCFQIFKKPKNDYIE FEDFKPFMKILLQEHPGLEFLQATPEFQERYADTVIHRIFYHLCRKDNGKITWRDFKQSN LFDILDLVAKEDDINKIRQYFSYEHFYVIYCKFWELDGDHDFHISKEDFSRYSSHGLSRK VVDRIFDQIPRRFRSEIDQKMSYEDFVYFIQCEEDKTTIQSIEYWFKVIDLDSNGIITGF EMDYFYEELKQRMDCLNHEPILFQDFVCQMVDLLHPDNDILFKMNHFKQNLSVCGVFFNF LTNLNKLVGYENRDPFQVRNDIVDHPDFTDWDRFAFQEYVRLAMEEENQEQGEVFEGDNI WDNDDQKQL >CAK55909 pep:novel supercontig:GCA_000165425.1:CT867985:584811:588002:-1 gene:GSPATT00000344001 transcript:CAK55909 MFEMQEELSNGDIAQVQDSNQLRLSKRQSFKSDTILQWNALQENLQLQYNLLNKENETKN ERIQILLNTTEKYYQTQLRYKDLRRMYNQQQANNYNQKQCILGFKFDNYHSEIQKFLFLL MENQELFAQLIHSSLDVGVHNLDEFIFDILTFLYDPIVGAGLQEGVFKLLSELLKLELID LKNPLELFQDKNNLVCKFLIGFSKGPLCQSYIQQICGQALNLLMNVKEELQLNPKIIYES QLKLKESKESAKLLKLKQENKARKIMFNIIPMLMQGTEENKDINVYENVRRTNIPEYILN AIMEQISPAIQSYCQTPLQRIMDPQLLEETAKILYDRHKVTEMIINSICDNILNSLHNLP FMIRALCRLQYQIFKVLYQSYKQEEIFNLLIQFFINKWILPEFTAMFLNQQQLESSQYQT SNIAAISKILLSVWKGEAIEGLNISLNNENVFNYFKELIELPPTQLNFYIKEKSEKHFIS YLLSISDLQILLNTISKSRIEGSLGGKQLTRYTDLRELADCIMRYNQKMGSDFMSNHVQW KGQKVQANNMHFSVHRLSDLYNVNYIDIAFKFTEKFSAQDKEELLFISKAKQVVAQFLIS IERISLLSKLRNNIILSFDNLIYMYKDSYENKGQIIKQYENISPQLYANYLLSIYKMIPN QYTSNNLSLLCQEMIQEFDQKVTNAKNQQKAMLEAFLKIITQLQTERREIKALNKQLKTI ELKKKLNYFLKNVKIPCLLSFRYGQINLISIQDTSKTKSLDPKDNEKQQFLQIVNGADLN SLQLELPFQSIRDFIDILSGLKHLQLALEGDQVFIEIVQKLFQSYMKLVEERLEQQSFFP QNQNVKKNNQYIDLCYETAEKSVVRRIMMKYCTVNEIKEDQPFIEQCQKKLIHLEEQQEV KICLSELPMLIKMSESFRQIDQVITPIEKLQMISNCLSNLSLLLKISGIDDNPGQDGTLP VFIYLVAQACPKFMKTNLNIIQSLFNFDRWKNSTYPFSSTQLQGAYENIMS >CAK55910 pep:novel supercontig:GCA_000165425.1:CT867985:589148:590164:1 gene:GSPATT00000345001 transcript:CAK55910 MQLTKENIEFYIQRRKQYCQDFLKLTNQFKPKLALLQKRLIATKQGIDGPIKSVSHQHKI KKVNKVLASLSKSEEVQNKIDKEMVRRQCQYKLDEVPNCNFNYSQTCNKIQQAMIPLIQN LQVQIKDKEDQIQGDSDCSDLYIKRDEFHQMKHKSKRLQQIIEKLQVMEVQQEEKVYRES IRKLEKFDKEFDYDETLPYQALISKRRLEAKVLMDEEKRQRRLVESERVRENVEEQKQKY HLLDNKIKIHYRKIGISKGKLESVSDFQQNTNDHKSIPQLNGDSLKSAIKQQLKSILNAD KRFSLTLFSTQLNSSNIPYYINL >CAK55911 pep:novel supercontig:GCA_000165425.1:CT867985:590363:591889:-1 gene:GSPATT00000346001 transcript:CAK55911 MQKSQLKYAKTPQYLRRSSLNSQNSSSPKKIANQTQDQMTGSQLQLEMSKSQQQSFHSSS SPVGHCQSVSSKTFQHPPSPTRTLQKRPSQIISKQQLQSMHERSIIKMLGLNLEAICTKS SHEKNKMVFICQYPNCEADNRLGCSYCQIEQHGNHASQNMEIQTFCKIFDDRRHQFDNLC NQIMRVPDKITQVRTFFAKLRITVQERLQQIEENVIKSIDEALSWQPLERDLQNRVNYLS NKNIFDMTQEELKESLSFIQGKHLSEVEYIIDETEKYLTTKVDQVQQSWNDFREQLQFEL STALGDNNKIQIIDSKQRKEYAQQKLQILAQKQEKYLEQFRPFQIIMNEVQEEEKKVEVQ KQEEVKRQSQIQKQQLIQKKKEQQQRELEQIKVKEEQKKNEKQFEREKQLRTFPHILYTQ DCPHRLPCNTIPIFSCCNKAYPCTQCHGYQFHPPRISNPSYRYCMNCLEIYLVLFPTNQS VNCLKCQIKQS >CAK55912 pep:novel supercontig:GCA_000165425.1:CT867985:591917:595501:1 gene:GSPATT00000347001 transcript:CAK55912 MKQTKEESVKLYLDFYDKYTWPLEIAETLLEVRQYLTESTILSLFQNFYFSHKGQRINEY SEFSIPDGGKLQVLLGTGFNNHIDEFNERTARFHLRRVQEIIANLSHYQYLCKGKISNED SLSLKEIGDLQLNDILQPAIKPTLLLNDDNKAIKQNVQQLGLSSFNPPPLSRKLKGDLFY IVLKTIESVELIITASNLGFYVNSSQGRTFESTQKSDCYYNLIDLIVDHSPSFKKELINA IPEQQQPALPATIKYNHKWLSVPEVPLTLDHQRSESWALDLHGFDIGMKNCQVFRSLPKI DLIQKLNRDKALSKVYGDFVEAAVRGACAVVDKIIQPLNPMDVECQQVYVYNQIFFSFAM ETPEDFRQESGPDATPTVSTTNCDFRNLQILHKLDIPGLSVLNTCLVDYKGRRVIAQSII PGILNSDHSNCTQYGSIDDGKTIQKSEEFHKVMSKTCEFFHLDTDIVFLDSNQQKYSMAG SIEVKGIMGSDKRMYLLDLLRLSPRDYNYQGENNQCCVLRYELLQNYWVVNTIQKIKQNN SDDPKTQQEAYQNIQNLLIGNPKEGLKLNPALGTKTALQTSEKLTQQEEDLKKLATFLLN QAIPQLIQELSQPETSRHSDLSISDIFHSHGVNMRYLGKVISFIRSEEQPSLRLTLERVV FAKTLKHIFRESMRQAPQNQLSQILSHLLNVIFSNSNPSVNNNKQEEKKKKKKNKNKSTQ NGDKPHFRCLIQANNYQYPTYQEVWDQINKIAEARYQHKIDSSILNKKGFHKLSCLRELC QQIGLQLVARDYHDFQPSDIVGIQPIIKFIEQVSEDAKNNIEIGQKYMLEHQNLHQALES YLTASQIILNLHGQMHKELANCYSKISAVYLRKQEYDAAIHFQKQAIQIYTAIYGYDHPL TIQAITALSLYYFSTKSYKEAFNHMLHTLYLANLIGGEGQEVFNQYTNLSLLYSESGQHQ SALNCLFEGLEKCESLFKSFSGTETQQYKLRISGYYSAIALEHGEIGDFQKAVEFQERAS DLLKRTLKPEDTRVKEADALLANLKKALNEKRKDTQQSNLDSRRQFGTNRNQQLKTEQQT QQISEETYVETDKEREALLQKLRAAKQSMKFKNKNQMDINRYILAQQLYRQQQQQQKE >CAK55913 pep:novel supercontig:GCA_000165425.1:CT867985:595757:596638:1 gene:GSPATT00000348001 transcript:CAK55913 MSQIGYDIVKSEIPKSQNHSYCHSTGTQVRKYQLQSENKWQIDLEDLKSDFLYVINPSNP CGSVFSKEHVQEIIDWANQNRVLIVADEIYYGMSFGEFGPIIRLGEMDKMFFTPVWQISW MIFYDKNHYAVEIKQAMYNICQFLLYANVFVINSLPQILDQLTIFYARDRMTHFKENHDF LIQELDQIRGLKCIPAQGTFYLTVLIDLEVFQVKSDTEFAKKLLGEENIILLPLSWNGTE KYQGFRMLTIATKDVYVEMIGRLKEFVKRL >CAK55914 pep:novel supercontig:GCA_000165425.1:CT867985:596699:600272:1 gene:GSPATT00000349001 transcript:CAK55914 MGKDKKKSKSRSKSNKKKEKKEKKEKKSKAKKDSIKKESIKKKESVKKESQKKNKKQKES KKKEKKSRKKSHSTSPINAQKKQAQDEQKLKDDEQKRLSRLMKAKLIKFQNSDDDDDDDK KEFVYKDPEVIEPILPPDEQLYDWSQKNQEKQIQDALKKCRLVGEKLFYDSDGIEEDDNA EEQYQEENGNDNRQDAKMQEEEDQEAQKQVEKVEKQQQERFLIGKKTLNNDSDSDSEDEN ASKVKYFTKNLQSISKLKELQQQPQQQFSRPQIKRRWREEQYDDQEEDPLEAFMRQVETE ATQQADEDERRRKEEEEKEKKRKQAIEEELRKLNAQKVITYEDIIQNSVNQTNEKKNTNG MEIEQGQETQNQQKELEQQQIIEEDEEDNKFYEQFMKELKKKELEEKMRQREDEDEAEDV DLEQQQMLALLEEEPDIFDKQKKLEKKELKPVDHSTIDYQPFRKDFYREVSELVQMTPEE AKKLRQQLGDIKVRGKDVPKPIQNWYQCGLNDRVLNVLIEKKKFINPFPIQAQAVPCIMS GRDFIGIAETGSGKTLAYLLPLLRHVLDQPALKDGDGPIAIIMAPTRELAHQIYVNCRWF TSILNLNVVCCVGGAGIAGQLSDLKRGTEIVVCTPGRMIDVLTTSNGKITNLRRVTYVVI DEADRMFDLGFEPQICKIIQNIRPDRQLVMFSATFPKNVEQLAKRVLRKPIECIVGGRGQ AGGNIEQIIEFMDESDKLYKLLLLFQEWYTKGSILIFVEKQTEADDLFKELLKYGYKSFV LHGGMDPQDREFTIHDFKKGIRTIMVATSVLARGLDIKHICLVINFSCPNHMEDYIHRIG RTGRAGQKGTAITFFTPQDEHLANDLVYLLEKSEQQLPEKLKEYQKSFMEKVKAGEAKIY RNKNRAGGGFTFGPEEEQKFQDFRAQMRKKFGLEGLMMDEQSSDDEKVLEEIAKGKLSEE ERLKKQEERDRVERERIMQLIKDPALKSQILSEATKAANLCINSGGSREQVANAAMDAIK RVLKQHSQVNKSIEGGIEEAMQIINEFEERERNNHDFVSYDFEINDYPTQARLKILSKEF LNMIHELTNCQISQRGSLVEPGKKPLPGQKKLFLRIEGENEYFVMSAYKEIKRMAQDLTL NQIQDNYAGIQTNSKLI >CAK55915 pep:novel supercontig:GCA_000165425.1:CT867985:600318:601135:-1 gene:GSPATT00000350001 transcript:CAK55915 MLTVPILPNFINDQKIYSYYFHHISGLRIRNLYNLIIFLSFYIFDSQNKNTKQLTLFYIP LLIYAFETQTAIYIYTYILCLQFRQEKQVMYLRYILVVVGLFIAEQWYSNLLIRYYKLLL LIFLVDTEMNVNIKLFVQVFVGKQVFDLFVCYTPLITHQLFQYKYDVCNFNSTLCLLSVV TLVVTLHIHQENLIFSRLMQIYVRNEQIMQLLSLPIWPALFYYDRSADNLILALINIVQI LKQFQQQVEE >CAK55916 pep:novel supercontig:GCA_000165425.1:CT867985:601153:601749:-1 gene:GSPATT00000351001 transcript:CAK55916 MYIQLYAIPGQIFLGMFAQFTYDFGVIYYLCMMTILISLYACIYISSSQLFPRCLWMIVP FQMVLTIMNFDMVSAGIIPYLILTDQINSDIIIRLPKKPIPPPRRSFNAQIDNQHSIHIQ NVIKQNAQSLKKYEYFHIGCITLCYIAIPKYNCTRMLTIQKTLHNCQILLSNNIITNQII IQLCSRQSFPQSNLCYVH >CAK55917 pep:novel supercontig:GCA_000165425.1:CT867985:601762:602149:-1 gene:GSPATT00000352001 transcript:CAK55917 MSKGFNLNFQNANVMTFEQENDLFAGQSIKQASQNFNQRRVITIQSQFKVGQDVEWAKKC VAEKIDCGRNDFDLIFEGKIMPEFFSLNDIKNLKDQSIIEIRMKK >CAK55918 pep:novel supercontig:GCA_000165425.1:CT867985:602206:602622:-1 gene:GSPATT00000353001 transcript:CAK55918 MKNYQFIFEVEEDQDHGRIEDLKPADGIFLQQKKLKQLLKSSQHKNWCKFKENESQMRKK KIRRNSKGKKQVLELGLHLKGLLEQIINESIQQANKGFVFKQLQNCQEIKSVLDKMERIL IGMKSLLLSQMINSWKED >CAK55919 pep:novel supercontig:GCA_000165425.1:CT867985:603218:605053:1 gene:GSPATT00000354001 transcript:CAK55919 MSFQSISSSDLSNSDEMSFVKTDQERILKNSNILKHANNDSNNCLKHPNKKAKFYVQQNS NQLFCSKCALNLALQGLKIEETQEVQLEIQRKDKINNFQKDLHQALQQCDQKLVNMNDIK NNLINQWEEQNINCEEFFNIVLKTTNQLRQTYQQKLQNDYSTYQNQVQEQIENIKQLIQQ LKQFSIDIFTNHDNIVKKMEMKPFDEIMQKYQKKVNEIRYQQINNQFQLSLKQVNVDQNQ ILTFMNKMCYNLLIKNDNMEYHQKTMTSQQNFESNLSNHQKYFDMFENEEVNSPMSTMNQ VQLQSPIRQQFNKFESVNSNPVRSNANTPESWKQKLSLRRDNITPNDKISYQNSSRVLEQ VSSKKALQKQLIDKYSYQCNEKQKTTTDCERISEKSYSLLNNNLSCFQIQKFIKEAERGS LEDRELTPKQKIIPASKSILQGQTYNNQIKNNSNQKSQQNLIQNYLHKQQYGSVPNLKSA SHLQQQCDAVYLHRQQEGHLRSKSKQIKPQSTEQESKRQFIMNVGMNSNPQVQNEDTLKD KILKELCSHPSESVYGQVIRNHQQKSKNHKTTSKENYEWTSQKNRRIGQNINKKQ >CAK55920 pep:novel supercontig:GCA_000165425.1:CT867985:605440:605705:1 gene:GSPATT00000355001 transcript:CAK55920 MTIIHRFISYCYLWLPKQIQKSVQKTLFQQQENGQVYKIEFSNGEVQKIINFENTINYIQ FVGVKNCLLSLVIPSYQKND >CAK55921 pep:novel supercontig:GCA_000165425.1:CT867985:605966:613436:1 gene:GSPATT00000356001 transcript:CAK55921 MEEKNQDYLEFKSYKSIKWSELQLGDIVCLKRGKQSPADLLILDSSQEELLVDFEVRTAC SCTFVNINHNTKGNIMDFITKLNGQISFSIQESPVGSIKLKNDPKATSFNKKNMILKGET LDRVDWIFGMAIRVGEDCCYGQTTLKKQNYSQKSWIVEFQTYISYTCWILFSICFISNMV FSSFFIREYFFKSLIYCLLIFPQNLQLLEKICYFFIIIKNNKAFYKEQQKVKNKYKVKKQ QKLRANDYPIVLKSQNDRKILMPIEKYFNLPLLSFQRQTQLLGFKSHNNNETGLMMLTSQ NVLDLIRTDILILDNPKFLFKAKPKVVQLVENFKTYHFSYNKLKNLVRNASPKQKTNCDK LLIDTNRQQTQDEMKTLDIDLLISEKRVPDLRNCTDILLASIQIQKIGNIKDNNQKDQKQ NDTKLNNGIGKKKSARYIFDSSFVKHQQNSNQVFDNSKDASNHNISQSFQSSHIGLQKQK NQFVKPGTIIKQNPNFSQMSQKKINDLNSDRIISENYNEQDFIDILYSQDDRIYNEILVM MLLTNSIISVFNEKLNKLEFIFENSYDESILQFCELLDYNLICSTEQENTKQELNSRTII KKVISIGTSSKVFEILTFLEPTENRKHILSVLVRDPESFQLDEGALLYTRIETNNQTENK YHENLQEMNWDGLKTFLYQKRQLGYSQTNDILKKLSAISETYGNRSHEIEKLFIELESKS EPIFAIGISSTKQKLLQHSSQEFIQEQVNQERIFFTLQNYNIKLCIIIPDSYDDLMLFVR TYSIIQSKEQIIEFKERDSQQLQYKFRQYLQNLMSNNIPNYQDNFIIVSSEAFDSILEDE YLKYHFVFIFQMSGGLGAYRFTSRQKGKLAKILQKTNQKILSVGNSLDDEYLFSKSHLTV SLMKKEQEITIINSKFVALNIKQLLKMIFLICPRQMQNYLAFLEIQLYRCLLLGLIIFII SFQFEDIQLFWLLIFFLIPSNILSSIQHYFLLCNQKEQTLKFQSTYVMIFQSIKKRSMLR GILGVFVIVIIDLVYLILTEQTILLVISSNGKIDQTSKSVLLYISLELLDKSKILFHIIK KYENIYYKLMQLTITIFILTLLIICFNLVQASTDDQRLIDEFNQQNFLAFIFISVFLIGL SFVTQEILEIYSINFMIPSEQITFEQNQKDILELKKKLNSSNSLSEEEEEEMQLIFNQKI KKITDQLFDNKDLVDEIITKQIKGDQSVVDEMDKFQGFQDKKTEKDFQDFFKQQNQHKFN IFYAFIFYDICILIMYCYEILTSSVFPLSILLTVLIQFIIQLFISLLQLRVITNKKIQQY FQLLSLILRYIFKILIDVLYIGNYQEFVGFMFNIQFILAFAITTQPIVPIIFYVILQLVI YIIDLIINGFSMNFLNQKEVIYCLVKFGFLLVEISYPIFENVQKFQFLQRSSYIYQNRLN IEQKKINYILGLLMPRFIQERMNKGQIQIQQDQGDVTILFCDIYQFDKVIKYEQENILNF LDTLYRAFDQLCQTYDLQKIETVGKTYMAAGGLKDYDAVINQKNANSTTRALETAIAMMD AVKTMKYGDNQDVKLKIGIHYGRVIAGVIGVHKPQFSLIGDTVNTTSRVCSTGDAGFITL SESAYNNIKDTTKYQFDEKAVAAKGKGTLLTFRFKVQLKDKDSTRLISSKLIKDHQESSN ETRVQVMPLKNPENKKPPAKILMKRASVMNPIGLGNDPNKSPILVLRQLQQQLQNKKTFQ IMIKKKQSIDHETKEQEVKRQNSQKSISSSNQDLNQGQQIQNENQSQVQQQPKVVNTNPK MRSSILQLHKPLKRGNEDGAIQHYPSTLQQFSMSLFQPISDIRLQPSSNQISSSQNQQSS SIQPQLSVLQQNSQTQMVQILEHPSKESIKQVRIAEEAQNQQNNQQPQRGQIKRKGTVIM ADLVNKKKMMKSNTKIILPEDKERPLKLVQKENENHFEGKGKQIENPGFFEAQENLIKKE NDFVENSDEIEINKKQSISKLQQRLDLIKLKNIKKFKLDFDKDFDYELNKSQYDSKDHVL YYQIYEEYNLQEFIQFRKTFILLLILMICKSLLYFLLDELNPSNKIELIIVILCQLIVSV LIIFPFYKFQDLQDFKKAKSLSLIYFISISSLNILIVYFVDEQEFEIILQLCQITSIYIN LFHQQLFILKDKQYLQIIYFILLILLTVYKKYILEIVFFIISTDGLTYYFEHQVNEILVK NYRVSQQLQTQIFKYENILQYLMPPHALKRLLQPESDKTETFIDVLDHATVLFADIAGFT KYSSSVQPETVVEMLRNLFQSFDLYCQMAQIYKLFTIGDCYVCMGVLDYTKRDPAEEAQK VLAFGLKMIQIINDIKQDPQYQHLNMRIGVHTGRVLGGVVGTDVVRYDIYGEDVTIANLM ESSGTEGKMLISDYTKNLVESEYDDFKFEYAKDVYIQSKDMTIPTFFVSLNDLDCCEEN >CAK55922 pep:novel supercontig:GCA_000165425.1:CT867985:613477:618025:1 gene:GSPATT00000357001 transcript:CAK55922 MSQNKEKVESELFQMYQNAIDLLEEDQIADGIAILKKLMNHPSIINQEDKKILYGIYMSL AEILAQSENVSEKCEALNYYYQSTLIVENCWQTYRKMSLLFRQLGMMPRALNLNLKALQY CSQCILTQPLLYQACCISFLMDSWDDFNQYFKMMKDDTNLKQQIQELENYHLTKQKTAFV NTLLIEYNQIEQKLQSLPENQIYYLLQEQQFEQEIFLDTQNIRKFFKDIKCLFQINLNFR KNPSKDNYLIASTRITVTSNQNKKKTFVSKEQPSQVVRQIEKQKSKQSQYQQQFNLDQNI YNSNTKLIDLIKQNYGWDMVSFSMINNNTKISNEQNEYQGCSISKFLSERLSNQSFLTVI ELLKKLIILILQDFLSYKQSIQNFNFVSKGLINCVVWAQYFTNKLVDDPFLKLQLLEIAF DELKSRFKEKKPKSPEEQNKIKNYILFINNMKLELLQTDISSILINSNQRKEYLTKYSII MATIHSDIHFFQPKMAKHFASQLKNSKLKQQINDKILAYKIESELKTSKQVLKIITQWEE REQKLEDNEKIRTYIIQVIEYLKSNKNNNLLQRLSIIFLNQFQFACRIEDLKEIQKFVQP LVLQILNLNNFDFEIDIGFIFLKFSFVYSLIEIDSSEILAKFTEIFLASPNNINKYLILL EVLKIHNFKLNDYFNFVNIDTILMEFIDIKIKSKQKYNQLVEFFKNNIEADLELNYYLLH LLELKIQHTPQFIVQPNTLAMEVDKFETKLPQPDSFSDASEDELEQPCQYKNEFKTMVLE ESQIESSFRITKKIFQFSNKTVEFLINHSIQINVGDPKIINHIKRFAKECVKCEFGMSTN ELSTQLYQIVIQKYYLQAEFKKELTKIELQFLGYFLPHCIKTVSDFKQINEFLLTNVYPS LNKQIEQEIGVFVEELESYMFDNQELAQSFPLEEHYNNIKNWKIESDYKANLFYLLQRAQ EILDEQSEYSQFKNFLFALAYKDSQFLWNEFYITLFDKARNHLSQRKKSDVDWFNCQQRV QSIIQNYPDLHYLEEHFMIMDLLQLQEQQNIYQTTVSQQKFNQIQQVYEKLFMKKQMIPT NVFDIKNNFRLLLLQEKVVMRKYYKINIEDIAQNLENLQILKSLINQMNNHQILYFLHSK DSEVEIKQDLLISIYKFIRRYLRHQLHNQLNQLIELIKQKLPYLLNPSQNQLQFQFELDK KHFKIQNFDQDQLEQEKNTQFSNLEVNLILNNILEEFIGNKKRLIRKKPQRFLIESFYYS THLLFHSNASIDQVFNSIQSVYLPNTKDLIYYYKSIDRYKCEKDKILSQYYFHELNFSYQ KSKILKLILKILLKQKKYKEIVTLLDKLNKTYDLRLFQAVIGLLTAIKYIEEKILIRDII IKVESLSKTNDFLKDDQILELLNRMYIKLYEKEQQEQGLNDVETEISEIKIEKGKKIIED IKAIKRKPTKIKDNQQISQQQQSQIQQSQIQNQQQLYQQSSEYINDEIGQFKTQEGSLSP LIDLDIV >CAK55923 pep:novel supercontig:GCA_000165425.1:CT867985:618306:620513:1 gene:GSPATT00000358001 transcript:CAK55923 MKQETLSNSTTQTIGSSQQTQSLDEIEKYNCFWKQEIILSDCEEQFVQIVSDDERDCAAM VSFGKALNIQPTDISQITEILNYLDTPQLKMTSLQSPQNVLNYFLPNSFVSTLTEMINHY LLMVLQEDVKQQQQTQQIYHKKKYKEAEIQFYLGLQILFGVYRFPSLDDYWNAESWLKGG VEVTMPIGRFKFVDLHIFSYFDEQQRAKLQLEVSKFSKKLKSLYNPDQELIIVEQNQKAY KAYYIFDYDSSQIIDLLVVCNNVKNEDRINRVMRMLYKYSNQNHVTYILFDLSLDKIIQL VDQSIYPVIRYQNISHNLIQMLQDGEYELDQLFLVKQGTQVDVFPQRRLISNRPYMTSYE KIKEQLQEHITNLQQFRSNFYQSSQLNNTEGFHQLRVEFEEYFEIMIHNTFLLIQQTSQS QFRHDLAKILLNEKGQQIERDRNEAKRKLNVTYQENTSNTDQLSFGLQKQYADQFHTPIP QKQEDTFKYCLVCMKFEGLTKPYYRCQLCEKLLKVNKIFLCPFPCFELFHRNPSDFMVCD MKMLEPLGEGAYFKNESTMQLVSNGQEEDASGKKRKYNKPPPRTFWSFNKEIYKQANIND DQNLNDILNTFKKPQVPAPSPNLLQPIVPQEQQVQTSTLGHKKDMKDIDHLLNQEREQKD KLIKEMQERDKQLQEQYFQQQYEKQLQKEQESKKGKKAQKESEKKKQLEEQLKNVSPLEK FMEQINKRAKVEDVE >CAK55924 pep:novel supercontig:GCA_000165425.1:CT867985:620552:620983:-1 gene:GSPATT00000359001 transcript:CAK55924 MQSSQEKLPYLQLNNRRSCSMANSNPAIQKLKDTLLVENQSSRSYLGRKQGDILDSILED ASLIINNKLLEQNVYKLKDLGLLKQYDPTVAVQQGFKKSNYVLNDYHSKSTTNGYSRNYG GLFYNR >CAK55925 pep:novel supercontig:GCA_000165425.1:CT867985:621002:623434:-1 gene:GSPATT00000360001 transcript:CAK55925 MFLEKNIIMSQKTGQVWGKVNKSKFARFKRKLTRKWRAIKKSKIAQKITKSIKHIPIINP NNEFKLIWDIFLAFIRFYLLFVIPYEIAFSNKLLYNQLLWTLQVSIALLIFDILLNFITS FYEKGYLISNHYKVARNYIRNGFIYDIFCVITLVLVLAQELSEQNSYIDYKHQSVISILG IIIQLQNIIRVFQRLQNSQDYSETTSLLIDLIKLVMFLFLLEHLFSCIWYFVGIQDIAED SWIKANGLSDAPYTDQYITAFYFSSVTMFTVGYGDVVPRNIYERLVTIGFMVCSTLQLSY TVSAIWNVYSKLNEKSEDHIRKMRAINTYMKSVNISNQLKYEIREYLTFYWKEQKLEENL EVKDIIGQLSQDLQENLIFEANSIIVKGCKLFNNYFSHEFKAECLKHVQSITLTPCKTVP FDQPCLFFIERGSIGTYLRNNRLRLATLQTGDNFGLREFMFDDIPSLRYTSVAFSKLVFI TKKDFMKVLQQYPEDHEQYCQLKEQLIFDKSYFGMDCYSCKSLQHTIQQCPLLTFNPDTD SVIKKHQYPQLQTRRPYKRIFERKRRAIFKELKQNDNADKIQLTIPTIESSDSSEFELKE SWKASHSNYFQKMQNITRRQFPNKQALNIKQIRQKLHPTNQTVQILELHNPREFDEECLR ESDKILLEDLKLKLNQRINLEIDCIKNYKNYHPDFNSAWLFKSTKINLKFFEQWKQLSLF LTFPYEFIKKYRQTILRGSQLVSPQKDVSHHRKKKRATALYFKPVQVK >CAK55926 pep:novel supercontig:GCA_000165425.1:CT867985:623715:626803:-1 gene:GSPATT00000361001 transcript:CAK55926 MFIIFLIQALYSLKAENFLCGLRLFYDESTFFLIDQQNENTMPYILTSQSPHFGLDIQQS YKSSPSLNNNSYFLVGFSDAYIFAGDASEIQWISIQEFKVNQNRTNASIHKYNGYNGYFV DKGVDGGHLLISTENLVRDFSYGKTDAVDKFEKMGFIYIVSWGGKGDALLILKRFIHLIE ESEGQEYCQQKVALISLEQIKCNLDQNFERLTFHTPDLYHPPIHYHLGNEIINGSLENKY FTKNYPGLQVFVAQNLNQEILELRIPLKNMEIHQQTIQYPLSGLVITLQQENRGFIHIVI FESDEETLIHYKEDENLAYITVGMTDSKVFVFSFVTSQFTKFPSMDLIEHVFQDCIFIIH QRNNVCENTQGQCADVSLNLFKQLQFELQSDQLVKFKSNGEYQQTPFVEMYENHTQISNE FNVLFSSEINNREMNHGGYIGSYLRVTYKHQSINSLIINEQNENLEVFYSINDNIYFHSI RNITGKTYVLVFTSQNELTDIQCLQLFREFIDHIQKLFIIDQVENHCEAKQRYYDVTPIQ YKEIHKLKKQPAELIQTADQDQFEQSDLEYSTNDDESCSTSLNTNSEELGLVSEASLIPD ENHLETQKNENIRENENLTTQDPSQKGNFDNQNYKQQQQEISQQINNFENKQIYQSKREQ LDQNEKSKLNQQNPSSKQKDLKSQLIKQLGSKMEKVSSQVKKTQNSQQNKVQETQTQGSE QVEEQELQMDQGEQYQKTFHQKEKGSSKNDQYYVMGNRYSNREHYNRDYGRREEYYGRRD NYYGRRGGDHYGRGDDYYGRDNNYYERDDYYGRDDHYDRHNSRRGPLLTGQSVTIPKNPN DQELGKFLREVISKLYIYSDPCDAKSTNYNPNNCEADNYYGSSSIKRSNPRQRDFYRSAY KYDNRYEYRVCITVYSKCYFKGESLQLCGHQRNIPKSQQSLYILSIRADENYIVEFYMRG SDGRSELYTLKGTQQCLKSPLRVDWLLQ >CAK55927 pep:novel supercontig:GCA_000165425.1:CT867985:627141:627404:-1 gene:GSPATT00000362001 transcript:CAK55927 MSEELNSSLDSLELDLYHKPNPKIKTIIDYLRAQNIRFCTDPKAKEYNYYSSQSYKQNNN SDFGMQRNKFIQRRKQETAISFAMNIS >CAK55928 pep:novel supercontig:GCA_000165425.1:CT867985:629065:630019:1 gene:GSPATT00000363001 transcript:CAK55928 MIQYGDTMILFEDGKPKQFLTVTQGQTYQNKYGAYHHYTFLVKPGEEILSKNGTGPIYLM TPNPHLITETVPHKTQILFMADISMIILKLCLKPGQRVIESGTGSGSLSCSLAAAVGHKG RLFTYEFNKERANNGKELFAKLKINQATCFWRDVYANGFLKGEDTENQGEFPQESSIDAI FLDLPQPWLALDHSKKMIKKGGRICCFSPCIEQVQKTALELKQQGWKNLETLECLKRHFE RRVKQEQSLFDDVQKKVCTDQNKRVTYSAGINQAYGHTGYLTFAQYI >CAK55929 pep:novel supercontig:GCA_000165425.1:CT867985:630282:631865:1 gene:GSPATT00000364001 transcript:CAK55929 MISNDNKGQILWDIGGHLLNSFSKQGSSEYSINRKDIENYIEIKKVVEGQSYKYYGYQSS TLQTFTNFRQTNLNKTEMKNCECNNEDFTKMSVKQMHKSKENLEQNQYQTTQNFRSRSTS TQLISQNYQKSQNINIQTIKNYEDEKIKNIAEGLKSKIQSNLNNKLKHYFIKNSKQITSK ILPNQDLQMNDQKQLYFHNSSLSLKEYFQQKSIEFKQKFLEEQSQIIEEKLQTLSNKAKT IETTKKSLSRSYDIPMHTPKKQLYKMNRESESKLGIKNDSYQTKLLNSLNLIPKRIDSNN DNHYENQTKIQTENNLENNFDYSQTKKFVEYNFNEMKNQNQSNNHMSISFPKCPSSQSQN KLMPHDEKNSPIEFSSKVNRYMNLLQSFKSFNLNQTQLNKDIEVFDSIQVNDLNHISEFS IFKDEKQKDSQNFGVYSEIDCKRSFSNVQTNKKLFFESAQVYQQNNKTDLKQDHFINGIN KVHIEQTQSQNDDYASSNIPKNMLQKQGTNWQLIKIGSFKYNQQLNY >CAK55930 pep:novel supercontig:GCA_000165425.1:CT867985:632083:633614:1 gene:GSPATT00000365001 transcript:CAK55930 MGQCSSQKIKQSMRAKIQEAKQKQSQTTTPNRQTTQLNGVRSQSRHNTHSAFQSHNDLSQ PAYSLKNINFFLVYRNTNFSSFYSLLKQEDKDFPFTTIQHNNTGIVRIIKSYKSSDSKYI ASLLKYQLHHPNLIQQFEIYEEQQKYHVAEENNEKIVVLNSKIYNDEKEIAFIFNQIVEV IEYLHHQKLTHGHLTLECFALFGDKYLKLYDLYHLFMKKKPTLEESHYLPPEYFENQEYS EERDIWSLGIILYNLLYHKSPFQSNIASLLKLDVQASNISYENKISDEAKSLLQQILEKN PKSRITISDITKHIWFKKQQIVLPEEQVRETLLRLRESKKLNILQVYLLKFIINNYPPDK LREIYSVFRSLDLDNDGYFSISELITSYTEYVEDSNNTKIICMNIFQKVDMDKDKKITFQ EFILYAFDRKELIEEERIDISFKLLKNKKNFITAETLATQYTLEKEFFTEIMIELLKKDY MTFQNFKDLMNKTV >CAK55931 pep:novel supercontig:GCA_000165425.1:CT867985:633731:634951:-1 gene:GSPATT00000366001 transcript:CAK55931 MWQFTDDQYPKFTTFPEILKRPDAKTKQTSIDNKLAQEDQNENTEVRPKKVNMKPRLPVL SNLLLKPKQPPTSPQQNKNKEENKITKKQRMEPVKSVVQIRSNSNAPQMVKQLTNEKLEI KEKVKVEFKPLKKVERLDEYEIQEIIGQGSYAIVRKGVHKINGQIVAIKVYSKERLYDPQ RARAVAKEIQILRQCNHKNIIHLIKVVESNKNINLIMEYGGDQSLKKVKNLQEVEVQLIF FQILKAVNYLHNKKIVHRDIKLDNILLNAQKEIKLIDFGFATEIDGYINTTYGTPSYMSP EMLPPNPRYNEMTDIWSCGVVLYALLFNKFPFSGHTEKELQMKIKKQDLHVYSIDEEILE VLLNCLERDVDRRKTADQLLKTLWMICID >CAK55932 pep:novel supercontig:GCA_000165425.1:CT867985:635071:636399:1 gene:GSPATT00000367001 transcript:CAK55932 MLEIENVQQRPPINENPKVQQQTVQPQQQPIQKNYQADQQKKQTEQIEQTQKQQQMQQIE KQFSTQQNQQQQIIQQQQQQKQVYNEPQPVKKESNIKQLNASTSKFIEQEQLYTIVPSFK SFNLIQQECSFITNTCMKQPSIESNSQSLAFYTNLRQALQKQLLQLIDFVEQGKLRDDMY GKFIAVTFKDQYALLQKAQSQGDTLFIKRITNRMNILKAEQTLMQQNPSENFAQIVQRVK PNIMIPQSQKQTLKKPQQLQDNIKLDSIKIDKNAQKHLEENLDQLPIHVKYEALLKRYKE YYNYATYLSNHAKVKRKEDIEQALKLLEKGKQLRELFTQGQNPPIGNYLCNEMQELTLED FHKMNQQQYKSCNMLNYVRINFAIQLIDGSQQQGKRILQNIIALKKNSYRLKLNLQSS >CAK55933 pep:novel supercontig:GCA_000165425.1:CT867985:636464:637732:1 gene:GSPATT00000368001 transcript:CAK55933 MQWILLPDLETQDLNVSVCIENKDIPPNIIRIKFRKLDCKYEKYYLFYSLDTNKGVVSKQ TEICDKTCSFNSDHDIPLETGVEYSNKKIEVRLFEKGFFGSSEIGVGQISLENIKNKTLF EGTANITTPKKQVIQLSYTLMIREPIDKYTQLQIQFVQITKQYPVFDKQEGMKEFLEKFM VSLPTYEQYKKEMEIKRQKELEEEKKREAQRIQEEKLLQEQQEAKQQQQQQQQQNQIIFL NHMDNNPEIQKIKEYMSTNPNLPPNFNIEDLLYPENPFTFPVFSFIEEYQPLANQKSIEL RQEPSLRQWSKFVQTMWEQYTKQQCIIRKNLTNVGVEWYLEKIQGLNVFDQFLLDTYTKL NLKDWVEFVKYRKCKLQKELEELENMQNEEQE >CAK55934 pep:novel supercontig:GCA_000165425.1:CT867985:637789:639855:-1 gene:GSPATT00000369001 transcript:CAK55934 MAEKLQTYVNREEQLVNTDNRAEFSLGKFTPIELEWDKLIINATIKVGKMSTQKCLLNNL KGVMKPAHFTAILGPSGSGKTTLLNFLSGRLISDNLQISGELMLNGRRIEDIDKFNDQMA YVMQDDILLATFSPREAFYFSANMRLTISPEEKQQRVEALIRELGITKCADTRVGNTQIR GVSGGERKRASIGVELLTNPSLIFLDEPTTGLDSSTALQVIDLLKRLAKNGRTIVSTIHQ PSSEIFNNFDRLMLLVRGNIIYQGDAEEAINYFGNMGFLCPNFSNPSDYFMKLMNEEGLL VEKIQAGESEDFDEAKIKEEFEERLKQFINNYYSSNMIRELQSKESAVIKENDSGFHIGF IQQFVLIYQRSFLNEIRNPMDVKLKIFQSIVNAIMLMLVYSDLGNYNEGLQNRFGALFFI CTGNAFGGIQGALHTFSMERPLFLRERINKTYSVHSFFWARSLAEFPFQILYPSLCVIII YYVIGLSDINVGKFFMLILIQFLTYQYAVSYGLLLSTIIPKIEVATALVPALVIPFMILG GFFVNQDNIPYIFYPFTYLSMFKYGFEASVINEFDDVIYECMPGQKCNPVEMLSINLSKW ECCYILIGLAVGIRILAYLALHLISSPEKPKLKSPESMQIMNNQN >CAK55935 pep:novel supercontig:GCA_000165425.1:CT867985:640337:642695:1 gene:GSPATT00000370001 transcript:CAK55935 MNNNTLNKSRRLNNALDSNTHLRNILLASLAKLHSQNTAQTGYTELRALMAQLQQYQFSI LTNLLDSNDISKQAKKDYIKVFGIFAEIRGSDIQEQLPKIIQIINKRIQEGDSTFIQVIS DTFANINEFTIQTSSNKYDLLQQIIELLQSNFMHNNRVAQQISAQSLYRIIQTTDAQLLE TICRSYTMKTLEILKSPHCKTQQGLLESLLSFILSVEDIFEPYLQECLHTITTCLNSEEW SSRKFAIDIIHSLSVIFPDYFKVNDHFLNKISELRFDKIKHVRDAALTAMSSLKNSRLHQ QQSIKEHKSVFKSNANKGFFERTSDIQIVENRPRDEELYKEKSNFADILVKNETHSFILS NDQIENEQRASPVQILIDSNINQSPQCAIQPTYHFNIQGSSNSKERNYVNQSQDYPISSI QQAVTPQKQIQDKQDLLKLYDSAKAKQNAIFEQILSQKLQISEQNTRLEMNQLNQRIDKI EQILERMSETIDKKLNQQQVQQFQTQKQKVLPVESYQDSYQIQQNPQSHDIQHQNIFDSL NDVPQKSNTKLQDAFFIAQKSQSQAESKFQESNFEQNSREISVTESKNNQKSIYEVSPLR NKDQSIPTTDGKQIKQQVSSPLIQNPKIVQQQKIMQKVEEQIEKNNINEAYCTALTSLDD QIIISTMMKTGPCTERLDSTQVEFLLHKLRTLDWIENALQHHLSRMPAILLKSISTSLNN IQQTEQVKRIQDMIEKKKLQSQD >CAK55936 pep:novel supercontig:GCA_000165425.1:CT867985:642823:644084:-1 gene:GSPATT00000371001 transcript:CAK55936 MKKYQDPRVKKEFYKIWETANKIFCNGKIFTGSENHKLLASVSFITIPSILFYVFMSPEF AKNGQNGYTVVFVLIQLTIYLLLSITVCMDPGIIPKIRPEYEMEEELLKVPQKYSKSDYR FIVDSKMFTIKAHQFKLKYCSTCAIYRPARASHCPSCDNCVVRFDHHCPWIGQCIGRRNY VYFYFFIMSVSFMLIFVFGTCISYIVDESKKRAEFMDTSDAVSETIAHNPVSIILVIYSF GFSCFVVGLWLFHSYLVLTNMTTNEYLKKHWVVESKNPFRRQNILKNIVQVLTCISQLKF LKLRQSVYEPKDYMQSPIHTQNHVNEANDSFKNKDEEQVQTDNRNKNNYISNNIQMITEI KS >CAK55937 pep:novel supercontig:GCA_000165425.1:CT867985:644765:645583:1 gene:GSPATT00000372001 transcript:CAK55937 MNKRMIIIYYIASLISRQDYYDSLRICSTHFYFYQFYNYTRYFLKCMSDISLGIHPRQQS ARKQFTQQQVKYFYNSNIFADRQQNYDPTQFDRSQIIKEAKTQRQTYSPPLSPKVQRMER SPQPQVELDIITGMPITERQSLLSKKPMTKQMAEKQESNWENKTQKKKESESQQGDKKYA SLYFDNKKQYEQWLKQNKEYEDINYFHKKQPNSTNSVVKGDGNSYFKIHGKQCNQSQHK >CAK55938 pep:novel supercontig:GCA_000165425.1:CT867985:645646:647256:1 gene:GSPATT00000373001 transcript:CAK55938 MIIIIIQTTTTTTSITFSRHFLIHFKNQSHFQRQDPSFNQTNFQTSIPLEISEEDHFYRQ VAFLRGPLIRMMKLCFTFKIKIDENPHLQGQNFNKFRQKNSNLDKYMQKRTIPIQNEELN KNEPYIKVTVLRNINYPNTNHSNEYFINQHGLIGSHKIADSEDILIGRSHRTDVYPNDIT LPEDRIISRIHCKLVCKHYFRKEQKIKLIYKLAIQSIRTSSNSSLPKRALSIISQFLECP RHAYVQDLGSLCGTLFKIQKHEPNIMKYDQKYSIGSDTNFNVQHCESYNEKGIEIDDNFY RLLRKFNRPKVGKHEIHFNDQSIFQKFQRINPQDDDSEDTKTRPEDFYYKLKEYKVAFIS IKFNGSGIDNGKHNNIFIHLENSDSIEFQIGRGQENNVKINSNTISRKQSRFKYSKSLKS WVIFDGSKDRDSANGTWVFLSTTDQAEKKQESDLIVLKNNAEIKISEFILKIEMFQGKKQ SKINQQLLNELKGE >CAK55939 pep:novel supercontig:GCA_000165425.1:CT867985:647553:648980:1 gene:GSPATT00000374001 transcript:CAK55939 MNFLSLIDLFGVELRQQISLNVKSQKSKLGGIISLFILAASLGYLAYIMNEWISFRLLPK STNTMKANLASELQYDEDQKLFEFFYWKYQDQQIDPFNQQNNILTPLGINFENGFPSAIF SFLSQNESISYYGTKKFGLNQFTLSQNSNGSSLENVRELMLVLVRCEKKYLTGNQSCASE EEIDNFFDSAVNFIAFQIHLQQFNSETQEFEFIKKSYYFSIDKVISSQSQVFLKQTQAYI DNGVLLGSIEQETFVQDANILTTSASINFWQKILGMDTYLNMIFRLDPVSQELKIVYPKL GEVLAQVGSIANILLLLRYVILYYNEKLLEYNFIDQVLSFYFTDYQQIKNSKVNYDKKAC AVLVEQAQKRLVYINIIYELSRIQLFLQYHFGRKKLQESHSMGILLKPPNKNLNQDLEQA LAQCQEENLDDENQTFHIKDFFLLSQPKNKQKQVEEQSVRQYDPIAAQQINSQIK >CAK55940 pep:novel supercontig:GCA_000165425.1:CT867985:649076:649834:1 gene:GSPATT00000375001 transcript:CAK55940 MGNFCKKEQNPNSSYRSSISYEPRKGICIKEGVNEQCMFDRHKTLLVPSVSTMIIKEELG KETGTSNPDESLIKDSHLTSCTSNLRKSSISNNTIQLLNVNFKGYLHSHRIRYPNSRLNE VICCQECGNDYDNWSVENVDENLIKLYHPLTKCYLKVQQNSNNGYINVGGSENGDVWQIE KFKDNIRIRHLDSGQYLQTNANLCNSEGLFVVSLLSIDSGKPEDTCTLWKAIEIQ >CAK55941 pep:novel supercontig:GCA_000165425.1:CT867985:649864:650251:-1 gene:GSPATT00000376001 transcript:CAK55941 MIKQIILILLVVFVIQAKHQHLRHSKHQLGHNLTMKPGESCLPNTDLGGQKKEETSANQA RKHLSKFADHLTEENRKAEDKQPFPNIYTYQDQNCVGNGQDPSKRDRRMRRS >CAK55942 pep:novel supercontig:GCA_000165425.1:CT867985:650276:651410:-1 gene:GSPATT00000377001 transcript:CAK55942 MLPKIQGQSVGRKENLASQQIVEDHLKNILKKQENQNYKAWKTPYAPKNPHSPFGDFPKE YIPKEKANKQKVMEPVHETTQNALITNKYQGTHQGTGGRTSSQLLKKEEYKPAPPKAGAY DQRTITVSDFRRYYDRGDLPIKVDHQGSVNRIIWKISPEQLDYHHYLPIFFDGLREKMDP YRFLAILGTYGLLESGGNKILPVIPQLIIPIKTNLNTRDINIMEVQLKVLQKLVLSGEMV GEALVPYYRQLLPIMNLYKNNNSNIGDKIEYNQRKRVNVGDLIQETLELFEQTGGEDAYI NIKYMIPTYESCILN >CAK55943 pep:novel supercontig:GCA_000165425.1:CT867985:651432:652489:1 gene:GSPATT00000378001 transcript:CAK55943 MQQFQTSILDKDMLLQTDGSLYVGTIIRGNLQGFGLLINPDGSYYYGEFKDSEPINIGIY KLQNSCIKLHFDDNSNLIDSENIHNKSFLYKVIPFKNNSYGDKILIKRFTNMITYGLTKK GEYDGFCVQHYKNGDTFYGQIIKGKREGDAIEFKSKKQQWFWLIYKEDVVQQQKFYGDNF VPRDLITELFGQIHNKIPNHSRVVSLANIQFNINNIILNMNLENSIKEEVEKGENKGARQ FANSFEEDQVQFDDNEQFKELQEFQNDNLSKLTQTEIEQAKIRIGRSTSCLVSSTDNPQC TSNIRDMVKTKIIIKRSTSPILTTIRPLFSVIRV >CAK55944 pep:novel supercontig:GCA_000165425.1:CT867985:652581:653720:1 gene:GSPATT00000379001 transcript:CAK55944 MFKVKILQLFDSFVLNTVGPYYRRIGKSLLSQGNDILGSEASDDRLVQCLRKVQANGQTP QISEALFTAPNSVLVGNVILKQNSSVWYGATLRADQNAITVGKNALIQDNVYVRATQPVT LGNNSYVGPNSNLQGCLIGDDAFIGMGSTIKQGASVQGIVAAGSLVPEGTQIKQGEVWAG SPAKYLRDITPQELQILREYKQELLELAQVHGEETSKSFRQVVIDTDERLIKQQRGTEEE ALQKVAELNFPLEYEDEEFIEQRVFMKQQPPMFMDSENLFSQQEQYEQDLSQFSENMQKY GEDYQIYEEAKKYFEQNPQAKANQFQPKSEIPDDKPWSRKY >CAK55945 pep:novel supercontig:GCA_000165425.1:CT867985:655058:655712:1 gene:GSPATT00000380001 transcript:CAK55945 MNIILISLIASIQALPLYLGIFANDQSESRVYMRLKVLDAVKILMNRYPQDQDVQYMYYE LTNNKTYRSPPNLHITTFYIGDNKDAEQSEYYKNFKVNLPQEMQIYAVALLPKRVIACVV RREDYAVPIENKFPHMTTLVGNWTAVDSNIFMANLFDDYGPLNNIYYSLFEQSEIKVYST LINGKGEKNLPAYVVKMPFSIDGSTQYGFQ >CAK55946 pep:novel supercontig:GCA_000165425.1:CT867985:655749:656564:-1 gene:GSPATT00000381001 transcript:CAK55946 MFKPLSGKVITVIGSSGYVGSNVIKNALQYGAIVNGVSRSGQPTNQQNWTREVNWIKGDA MKAHEFKDVLQKSDIVIHTIGTLIDSSVLNNKKPGDQGTYEQMNRDTALNVVKELINTNV KFVYVSGSAHPPFLKRYLTTKQEVEQHIQNLYQQQQLNPIIVRPGFIYSLTQRWWSVPLK YDLAIWKCVHDNASKIIPQKSFVGQIFNEFKVDSSIDLQDVVDSCLAPLKIDLVGKSLNN QEMEKVAQQFRNLQ >CAK55947 pep:novel supercontig:GCA_000165425.1:CT867985:656970:658542:-1 gene:GSPATT00000382001 transcript:CAK55947 MTDRKAWNQKEDMAILQLVKQYGIKKWTIVAEKMKEVYGLFGRSGKQCRERYHNHLDPTI NKEPWSENEERVIFVAHKEHGNKWAEIAKLLPGRTDNAIKNHFYSTLRRSLRRINKLIGD KNSNPHDYIFSKEKGTQQIKDIKPGVLSKIFILAEKNPSELKDDHMKKLCQACKGLQDSI LEFAQSKQKSHINQFNEEKFKQLIDKIMEFNALYTKQRESRLKLKKKNHKKRKSRIDDDD DDDDYTSDYKYEEVSNYVPLKRSSRLNAKKKVVEFSSHLQHIDKEDYIDICIRTKKGPLF NIIRDEFEIQQDNEEQQSSNNYHQQYIYDYQGTNSPNNQQMTPAFPVLTPRQIFFQKPTN PYHEELGLHDDNNPLSKSNFVPIVITKPYTQCREKNLDSIAQQLQQKINANADKYIYNQQ VSQDSDLEINIGEAFEIQKDYKSPTSKFGIGGYSPSAFRKYKKDQETGLVNFMVTPHNYK >CAK55948 pep:novel supercontig:GCA_000165425.1:CT867985:658824:659548:-1 gene:GSPATT00000383001 transcript:CAK55948 MEENQNLKIILLGNSNAGKTSLIIKFKTDRFSTDSVNTIGIDLNMEKNVKINNQLYDIAI WDTAGQEIYIKMVKFSAKDANTAMICFDLSESNSLENVATWIQLLREEGPQNIQIIIIGT KKDLPTKYTQEQLQQVQNAWKANFNYDFPMLLTSSKTGEGLKEAFQTAFELGAKAKQDST IQQDYTSQIKQQPQNQKVIALISNTTTPKGSELNPKSESSCC >CAK55949 pep:novel supercontig:GCA_000165425.1:CT867985:659581:660985:-1 gene:GSPATT00000384001 transcript:CAK55949 MLKNDKPVIIDDQYLLTSIYYTGRNSVYYNAVLVKDDHKSADILVQIVTNQSQCYCRLYK KTSDNGITPNIHQFGILKVGETSYHYIVQQKAGPSLKLCFQLMKRKFSIQTTALIALKMI THLSQLHSLNVIHRCLKLSNLITTLSSDIYFTHFEYSSKYMDKNGKILLNNKNKLNLYIN KFSSIGTHLKQFPCPRDDLESLFYVLLHIITSGKFLPSQPNVKRSEKLKYYYEIKQRFVP ERELKGFPEQFLQLYHAIRLLSPHEFPNYDLLKQPFFQLLGQNDLQLQFDWIHLLRPKKR NSTSLSPVRISKLKHAGSVDNMAFIQQIKKQDSITDAISPDRQGKSTMKHFAFPTSRNTT ANQLPAIQERQEGTQNSINQQNQYKKKFGGLSIVICKQQDISSSSSGNVSSMSDDTSEVD TKPLNGVIGKLDFD >CAK55950 pep:novel supercontig:GCA_000165425.1:CT867985:661023:662130:1 gene:GSPATT00000385001 transcript:CAK55950 MDIDRHIATLLNGGCLPERDLKLICDRAKEIFLEESNVQPVRAPVNVCGDIHGQFYDLQA LMKEGGDISSANYIFIGDFVDRGYNSVETMEYLLCLKVKYPGKIMLLRGNHESRQCTQVY GFYEELLRKYGNCSPWRLFMDVFDCLPLAALIEGQILCVHGGLSPDMRTIDQIRTIDRKI EIPHEGPFCDLMWSDPEEVEYWAVNSRGAGYLFGAKVTKEFCRLNDLTLICRAHQLVMEG YKYWFPDQNLVTVWSAPNYCYRCGNVASILCLDENLNQTWKTFKEVPESAKSVNPKSVLP YFL >CAK55951 pep:novel supercontig:GCA_000165425.1:CT867985:662251:664340:1 gene:GSPATT00000386001 transcript:CAK55951 MLQNAVYSVGWLGSQPIISISLLRYLVKSDVAALYASQFFIRLWCIAAFLIAFIYKILSL QHPIKNSPHELAQPFRFSFSLVFTCAIFEFIQLALTIHAVTIVQNISQPITVSFITQLFT NYSEPSFVVGSTTSLPIQYFVHSSQWLQSIYSKIGQYSFPGTAFQNKYLSVKKSFDWQPL VMVICAAASHTLGIWMFKVLSMKMHQTQKAFIDRVSWSNYIADEPDKITYSLCVVNSLFS KYFEAQLRIHCETNSMQIDGLSQKELRSLIKDYFDNHLTYSFQYMEQFKIFNFNKEAIDD PAIYKEEDTLLRRLIREKIYEIQLEHQRYKELFGSDKYLNLQFEILFEDYGIHEYIWDDE TPYIQLIISFFEGIFCFCAAFYNHEYDDLFSNGYYVSMSHVSYYVWITIFLGVFQLLKIF SFKVMFLWNVQTYFFSGIFTELIVVYITMLWIEPVGYLQVLGLILSYSIMCVLYRSAEKV RDQKNKLFYLSFITFLIRVQKFTVREGSQIIQMMSLCAERLSLNEFLNVLAQILCTHGIV SYDQLRQIKFSHFGESPFFQFQIPFIFQSQLRYENNIEDPYLPEFDDEHLLREEDKQTKF ERYVIDSKYENEKQSVKDEVKQQVMEIRKLNKSKILQSNIQQSRIVQIDDHQE >CAK55952 pep:novel supercontig:GCA_000165425.1:CT867985:664342:665041:-1 gene:GSPATT00000387001 transcript:CAK55952 MQKLYILALLLAIAIGQESFLEKQKHIKQQKDLSTEIYTIKKKGLPTFLGRSSDDSIKEQ LSSLLNYEEALKLTPSETGEHTIKFVESMFIIDQPNPNAVRATEKPDYHLFENSVQQEKV YSNEKVTTFQDGCIYVFSECNFKGEHAKSCPGDNVTFFGLPFEVLSIHLPEGGSLSLIQE NGTTAYTVTNKCMRSRPIEFLFVEGAIQQSFGNGQIYIDQ >CAK55953 pep:novel supercontig:GCA_000165425.1:CT867985:665266:666638:1 gene:GSPATT00000388001 transcript:CAK55953 MSARTQYNEDIQKQLIEVVETYGGKKCKSWTQVARIFELTTGIKVQNTFDLKKKWEMFTN YKEEFSQEELKTLYATGVRCRGRHKAGNEEFFKLTGKKLYVNQYSKMVGNFLLQAIKLLC QSFFNQIKVKYRQLGQERFHSRISYVSIHILLRAVEINRHLDDFLVQELKRSAEKFEQLL LIQAEHHEDERIDRFQHYRKVINRREFKKIAFFLDYVNELKQISINLYQDQVGRHPLLFP QIEENKSSKIYQLLIWENDWQSKYNLFQECAAKSDWEEYSMLIKKNKKNEDNKQLKKKPN QQQQQQQQLQLKQKQKSYEIINSEEIESETNKLKQNSYDLISTATHKVCNNASKQQIKQM DKQELKSFRGHYYREGQIRTKGLTTILFDTDMKSDEEEANQFENIEENRKEFFDKMEELY DYVFGGKANLVSFERSQPIPY >CAK55954 pep:novel supercontig:GCA_000165425.1:CT867985:667147:668452:1 gene:GSPATT00000389001 transcript:CAK55954 MADQLKQRPDGGKFLGFDHIHFWVGNAYQAASFYVTRFGFQYYAYRGLETGVRDVATHVL RNGEGVFFAFSSPYSPENVIMNTHQSQHGDGVRDVAFRVEDARALYDKAIAKGAKSIRPP TEDKDENGSVVLASIQTYGDTIHTFVQRNDYKGFFLPGFTKHPKVDPINSLLPPVDFLVV DHVVGNQPEHEMVPVAEWYEKTLDFHRFWSVDDSILHTDYSSLQSIVVADYDEVIKMPIN EPAHGRKVSQIQEYVDYYTGSGVQHIALKTDNILKEVEAMRARGLDFLSIPDTYYDNLRK NIPHMNFKIAEDIDVIQKNKILVDYDDKGYLLQIFTKPLEDRPTLFIEIIQRRNHSGFGA GNFKSLFQSIELEQAIRGNLTDNPKYKVPY >CAK55955 pep:novel supercontig:GCA_000165425.1:CT867985:668881:669981:1 gene:GSPATT00000390001 transcript:CAK55955 MHKIYLTLQKNKIDDFFIIFDSIYVQIQNTKFYNHLNKICIIRIQNFYYQNQKLWKNQNI HNYYLKSMIKINSINMRKFKIIKVLDFLMNRLTLFIYWIKMEDGCYYDSQGQPSGWIALS KDGKKYMKFNMNSDLLIESSNIYYSNHTFDAAHSEQYQQLYQSNNKKQLKENQQPEVQCR KQQDQENKNQQQNLKGYKDKQKVTENQNDKMDNATENVKEQQQTKNQLQEKGEKFENEIQ QFRNRGNKEQKNIKNSKNNKNNKNIKNNKNSNRDNQNSKNNETQKYYSLDIQENTLSNED FVKQLIEVYKIKKDCIIEFNEKILKLVSEKDAVKLYRANKKEQNDKVQKFIVSI >CAK55956 pep:novel supercontig:GCA_000165425.1:CT867985:670653:671992:1 gene:GSPATT00000391001 transcript:CAK55956 MKQSKQPQNQAHDDQFSGSNTPNVHGLFLPQTMTMGQMSEDRKGEDQRLKLIRASTDPYE AFKDDDFLYPTKEDEMSLWQKYSGDYIQGMPVLPTGKCSLFCNIVCCFYFLIFGLVFVGI AGSITEIRLNYGKECEGKQQCIVNFEIEKNTYGPFFIYYELNEFYTSHSDFAQSISPKQM KGQELTDEEYDVYCPDTQSFESLQRPVGFNKSYAGFMVDLNKKVSPCGIAAKYIFNDSFL LFDANTETATSLALNSTGIAFSVDLDYKYSRSQNSQFRQWLDLDDEKIINWFNIQSLPLV RKLYARYDNDLAKGTYSIVIQNNYPTQIFGGEKFIIVTTLSSFGSKNFSFGYLLIATAGV QFISAIVVYIKHRIVEKKEKHEQKIHADLKLKEKSD >CAK55957 pep:novel supercontig:GCA_000165425.1:CT867985:672179:672571:1 gene:GSPATT00000392001 transcript:CAK55957 MMKTRKLMRIVEQQTTEMHEQPFEIHTKNYSLQKQNRKQEKIKYNYLPKVDNFLNKDPFL NTLKLTTLDLVQNFDYMDPNDVTFQLNEMAKQKSFIQQTKPFNRIHQRSMPKQRSNNKYL FELSRTTRIN >CAK55958 pep:novel supercontig:GCA_000165425.1:CT867985:673664:674053:1 gene:GSPATT00000393001 transcript:CAK55958 MKKNCKTRQYSFQITITKKAFLNFKNQYQDQKSKILEQNQGIQSKHYLEDNDLTKRDVMA IINQPEQMKKNTISMSKFDKKLLNSLNQLETFLDRSEKEDTPLILAKKDENMFKYFVNNE DDNGTSVSS >CAK55959 pep:novel supercontig:GCA_000165425.1:CT867985:674516:675577:1 gene:GSPATT00000394001 transcript:CAK55959 MYFPPKVHPFEENYIQKVLMDVERSPLLQYEMAPDLQLFKSQSLLCDDDQQSPFQAARNL PLQRFNSEVFHDFSGCQQQIIGDNNKFLKSRKFRPDLSKFTGDFEVIHQIGRGSKGVVYK VLSKVDGLYYAAKKVPLEDNIQTLTLDGQVQFNCCYQHQGFLYIIMEHCEYSLKQRLMQR VYESEIRQIIVDVCEALQNFPQPHLHIHGGNVLLSKQMKYKLSDYGYSNNTVHQAPEKIK SKLSDIYQLGILLMELMLEKELSHISALVLQKFDNLSYYSVSLKQQIKKMVSIIPDHRPD IQQLINFAKVHLDQELTLLQEQNAELQKQIDEIKPRGRRRIHSE >CAK55960 pep:novel supercontig:GCA_000165425.1:CT867985:675632:679510:1 gene:GSPATT00000395001 transcript:CAK55960 MFKSDRQKYNKERIFPINATGKVESEVFPTNFIKTSKYNIVTFLPLSLLGQFRRYANIYF LFIAIIQSFPIISPLNPISAIAPLVFVLGLSMIREAMEDISRHKSDNEVNAMECTKIVNN KQVKTTWADVRVGDLLFVSENEMFPADIIVLTSYFESGVCYIETSSLDGEKNLKPKSAIK ETQTLFQYKEVDSKIQMSMTGNQQLKAQGNPPTPALGDFEGSIHFQNGSKKVLNGKQLLL RGAFLRNTKFIVGVIVYTGEDTKIMRNAEPSRIKQSTIEGVMNKLILGILGVQIIACALS AVLSSWWLYKSFSKHIYIIISESNYTLLSAIAFFSFFLLYNTMIPISLVVSMEFVKVFQC YFINKDWDMYSSKRDKFAKAQTSTINEELGQVEYVFSDKTGTLTCNQMEFKYCIIGDILY GKSDKSKNMTESISNKNNAQQDPAQFKHSVFNFQDPILTGIIENSQDCQRYSDQQRKLMI QSTDKTTTLEIKTQKQLVHEYLMLLSSAHECIAQKDKNSQIVYQGPSPDEITLVDAAMNL GYRFEGQSANEQEFTIKGKQKKVELLSQFEFDSNRKRMSVIIKDNGVYKLYIKGADSIIK ARLRPDQPFLGFIQNKLSEFSTIGLRTLLMAMKILSEQEYLAFERQKEALASSENREQER EELANNLEKDLYLLGATAVEDKLQDDVPETIADLLKANIKVWMLTGDKLETAENIAKSCR LIQHDFMVMKYSETDLNKLRVQLSENKLTYQACIRERKKKSVLVEGEALVILTANQQLKR EFTKMAMGCDSVVCCRVTPKQKAEVVHLVKNLNKITLAIGDGANDVNMIQEAHIGIGLYG NEGMRAVQSSDYALGEFRFLWKLLLIHGNWSYIRISEMILYFFYKNMIFTVPQFLFSFYS AYSAQTYFDDWYITFYNLFFTSLPLIARATLDRKCLLQKSMQEIKTTLTFIRNQLNILKQ TIFTLSNFIFWWGQGFIHGVFVFFITYACFDTEFVNIEGQNAGFATLSITAYTAIIFIVD IKIALYTKFWTCVNVITLLLFSVGIYIAYFFVSNYFRGTYSEFTPIYLIQSPTFYLIIAL LNCIVFIFDLVINAVLHEFYSTETDKIIKWRREFKEIAREGNVLQKIEMMQQGKRENGQR DWGDTFYEQILDERLKSERDQNIFDEQQAILDSKAQHYATSPVNLDNSDQSNRQFHQRTE LQQIPHPLQNNNAKIINYQMTKQQQQNFNNVNEDSKINHPQQQKMYVR >CAK55961 pep:novel supercontig:GCA_000165425.1:CT867985:679820:690780:1 gene:GSPATT00000396001 transcript:CAK55961 MINLISITLLFWLAVTQYKLILQGPASKKMNCKQLDKGDNGFIGSQYFYEKLSNYGQNTN LDKITFRLWFMVYSKMRLPGKQILFAFLDGYDIDSYINLMVYFNLESSNYSLYVLNEKVN PEVMSILVDQQKDFFIGYWNYLLISIDQTSGDAFLNLKLFSTYNYQLQQVLDALPSQKLN FRFGVHSRITNEQLFQKIEDYKACVYVANFEYINGWATMDKEIYVQDQIDLKYSLKPYQS EGLIIDSQFINVKLRQQTNIEYSGIIGLNIYKDTRILYDFQDKMDSFSIIFWMKPQQIVS NFKLISFTDEFIKLISLGFGVNQNYAFMVYQNDNQINIGDLSPNQWKHITIGILEISRNQ YFKATNSVKMMKIYIDDQMVYLQQIYNFKWYKSLLIGPLLLESRGQEVIDIQDLRIFNGY GISESSGDCKLFAGLYCAFCKSQTHYCKEQDPLDDINIYECPAGYKLENGYCKPIPIALC LRQSGGNCVECDKNAILSQGICQIQTQLNRVSIYPCSGKDVVLCQTTDIVKSQILTSVQR ARLCSSNLYNTKYECAGSQVLSCKYSYYSGLCFECKDSYYLTELKICVSTCFKNKADRFN YINKCVKDCPYKYIFNHPCPVDGGKEPDLVCSLTPDCQADEIDLGYTCLSGSQKEVGKSV GCRPLLASKYAPNQPLICHPSCKYCFGTTYRQCLGCYEGMYFTPYLGMCLQNCSEERDNL FIYHNSKIWKCELKCPSNLLTQGDICVQSCYDGYQAQDGICKSVSSVSEYFLESKIIEIE GVSTTLYSWKYCPQLCNSCQNNTICKTCINKYPFENDVCKISCFPRFALKTKSLSSCKDQ CNPDELTFWNDDLFGYQIAECFQRKCGEIEADQIYQSFLHQNDNTRCVYPCDDGYYGNLQ SLLCKPCLVSCATCIDQSYICTQCQPLLFLKGSTCLTTCGQSFKNYMNWHCETECSSGYT IADSTNNLYACVEQCGQLYATYLYVWRGQCYENISGVSAFCINYQCEDCHSQCKQCQGPN SNDCLACYQNSYLLSNECVQDCGSLKYDQINWRCVEECPLESKQSSGMSLILNHQITVCG LTCLFETFQFRDECYTQQPIETFCLQRTDYQYCELCSSLCTICSSSSSSNCQECIPTAFK YGTSCFMECPDEAPLKDVVNKQCVASCSSGFSEDGYCVDYCQTISYRYLQKNACYTVACP IGTFNEVGSKICQECYTGCATCFGSSQSECLSCVAGYFIDQETLCSDSCLIEPNVIEDLI NRRCVQFCPIDSFLQQLTNGHYGCKETCPEYYYSNICVSSCPSQTYQDGLACISCASPCS VCFGRDVTQCNKCDIGYYLYETTCYLDCPDQIPYGNIQDQTCVALCSSFLYLPKKLCFDS CPSFLQRYEIANKKQCVDNCLSKSYLLDRDCYPCDSICKECYGPNNGNCLECESPYFLNG QTCELTCPSFYDLIDHQCKAACPVNLVIQNVNCQLQCDSGYFQYGQNCLKQCPKFTYLDN DTCQNCNLQCLTCFGPTFSECYSCIDGFYLNDHSCQQTCDNYYDQDDKACVDNCGSKFII RDYKQCVLQCSLGQFVCEQECLTKIQDGFYLDNGKCFLCDPKCTKCTSSNSCSECSINFY LEQDSCVNYCSNQYLYMDPISRSCVTKCPLGLYHQESYNRRFCLFDCIVKLDDQCVQSCP TGFYNENSFCRQCPFECTECQSITFCSKCKAGLFLEDNWCYPICNLKKTDRKNLICVEAC NPDLFEFENQCVENCPSDPIQFHYKSKCIQSCPASTFQQDQQCIDCHIQCSACFGPNNDE CYSCTQGYYLDNNQICTQTCPYLYDSLNQKCVFQCKSDQYLEQNQCVQKCNHFLYDKQCV DICPSQTYQQNTECFNCSNNCLECNSFGCIKCKVGSYLNDGICDSLCLIMYNDIKHECVE LCTNQEYQYLDHCYSQCPNDTYEYQQNCLLDCPIKTVQYNNFCFDCPERCDVCLSESECL KCSNLYYLFNGECVLHCPLSLPYEDTVNRICLSECPPNTYIMNHTCLATCNLITHQNMCL EQCPKGYYGNAICQHCKLECLACNELNYCTECDTNYFLEDNQCDQQCTSIKDLKTKKCVE SCETFLFQNICYQNCPINTYQFESICAIQCPNGYYGSNNFICEQCPYQCLSCSNQIVCYS CKMGYYLFQNQCLESCPDQSYSNPIENKCSNECPSTTYTYQNQCLFQCPSDYLHDLDNYT CVLQCDHQQYQDKNGCLPCSIECNGCYTYGNNNCINCAALFNLNENGYCLGECPNGYYKN QQKCEKCLHKCLSCINSTQCVKCRGGNRNSIDCSCLKGYYDDELYEDCQKCPCDECISQD NCQICRNNLQIPKCNCDRKLNDEWCISCEVAKVKIYYSDDLNEIVVYFGYLVSVNLINPF QPSDCSLWFDNSNVFGQNSTCYLAWNRNSVHIQLSPYSTIKIGDSLEFKQSFYRDVDQGI CAQEYIKQFIENKLLAPNRFIKPYILFDVPQYVSSCKQIEIRQILISGTAFKIQTVISWQ LHPIENEDYYLSMDSFLATQKNEIIIPINTLQPNLRYLITAKYINIFQRVNYTTFSFNTI PTQTPYVYLTYQPLTANIYIFDCHITFSDIEGDQNVQIDITDSSVQDQIYISLKQPINSI RKIPLDETFLPKQVHLLFIAKTQSYIIQEVLILKSKPIEVSLLQKNRFIGQDDQINARAF DKNIQDEIMSTKGIQYQWLCTNLHDLQPCKSEENKILEFASRRIINIQTETQNSTLVFYV RASKDNRWTIKEQLIVQLDLNIEEEFVINSNQPTDYVNLNSEITILLKQSQTYAFIIQNH KVLKQIKITDASLKFRMAEITEDSKHPIYIYLVPGSDSFGFKINEIPNTFKFSIEPKVGQ SLDYFNYTIDIINSDQIASVYYYVEYQTFQNDLNAKSLLNGIPLIFNSQNTSGQFQIPNG ISDNPIWIVCQIESNQGAQIFQWTEVTVQRRTYEMDSLFQDLGNLVNFSNLQSIHTMISL INEEDKQVCLKQCSGVGTCVDQKCLCPPGYYFKDCSGNKTQFENFNHLIFKSIQALVVKD AVLYFQQYTDIISKTSIDKCLKVLMNYIINLNSRMEQINQYSINIQYQQTNQFSYSQIDT RQILSKLDMRNAILSTEFIWNIALSISNSSNYQIMHHLNDFFLHIIDLSFLALLPNEKFE ISMSTLNLVIQRSVNIQNLSSHRFLQQDVSLDYYDIVQAVYISNFYSYDGYYPYPNQMYP LYDYMIRQQNRYQNIEISTPITYKFEIRNDTTNLVCISRNPKTYEWTKDNCYLSIINSSY FCICSKIEPVTICNDYQYLYQGSIPQYLKLPNFIFIFYFFQLSLLALVIYKSQYGEIQQL TKSNKFGIVIKLRKFQSIRMMFNNQQPSPIDQNRIYPENDFVKETEQNIHEKEKNKFSVN YFWVLKFYLNRITIFLLVQYLRVSFILVVFRMYNLQFLNGISNLQQARFSQFFTSHMIFK FTFCQQPQLQVEQTKSSGRQDIKFNFQKQFKYFEYMKSILTLNLVKMILFVFAISTFIIG LYLYFWISDQTELILSYTISNLIDFLFLDVIIFFTNKYLGETKKKLIKKKIQEFKQAMSS IK >CAK55962 pep:novel supercontig:GCA_000165425.1:CT867985:690860:691567:-1 gene:GSPATT00000397001 transcript:CAK55962 MMLFWALYRTWTIDPGFIPKHTLVDYDETRQRDYCLQCRIKRPERSHHCSKCKRCVLNMD HHCVWTANCIGLYNRKFFLLILFWGSVGMFQATLLGITNIYALWNRIWVYDSLDFNKVKA GFIFLLTFSQFLNGFGLYYFFWNNFKLIAINICTLDQMILEIEATTKRKYHTDLTIYNLG FWYNFYFYFGKNPLLWFIPVGRPLGDGYNWDKRVSSREMSENAIQIE >CAK55963 pep:novel supercontig:GCA_000165425.1:CT867985:692051:693023:1 gene:GSPATT00000398001 transcript:CAK55963 MSNNEQAKRRRSRRGELQGDFKCTYDGCGKEYLSYPALYTHIKSKHGQEYIKGMQRPQGQ GSRGRPRRDELNTHQAIEQPPFFLKVQIEAKQSIDDFLLDLVGDKYKQILQAEADMIQNN DLQNKIKLVFDFIESKLSNRNEDDPFMTTFAQVLFRNEYNCETENDLIKIGCDETICLFI KELSYTLNLRFLQETAYFLLSFRNYIFNNHNINSNSSPLQFGDLMNHFMQEKITYFNDNI LEQFYQELQDQDKTFLKLDKSEKLQIKIGLHFCTWLFHSRYNRQNVQFNELRNQILVSLF >CAK55964 pep:novel supercontig:GCA_000165425.1:CT867985:693307:693990:1 gene:GSPATT00000399001 transcript:CAK55964 MASITQLDDEYRMYFEQIMAMLDQLTQISLEFVSSKLTFLEKYSQTLKDSQIDARQHRNN QIKHLVDYVKMHRKSKTRSVIHIPASRTFHFHHKSLDIQDTCIEDNVLVMPNSCINQKSM SDSKSIHTKTIKNILQKMVQQKCSPSKQVNDPVLNLLQNAMSLLETHQQFYQVEFNKLNK DYEEILQENPQFQAKKSLQNKSSKIKQNLNSLIETQKSFKSYLNSIM >CAK55965 pep:novel supercontig:GCA_000165425.1:CT867985:694024:695223:-1 gene:GSPATT00000400001 transcript:CAK55965 MDQTHKSIITEILPNTKRTLERRQKQLEEEQKKKREQETQQKEQAEKQKKFQQYLIEQER IKKQEEDRKKEEEKRSQEEIQQMNTLKGEYGNMMIDLATGDSKLDYTISGLDLRPTQIRV LVKVTENNNTLKGLSMSRKRIADEEGQEIAASLEKNMVLERLELEGNNLGPKTLVAISKL LEFNKSIRVIDLESNNLTNHDKDRNTYDYSGIYAICEALEKNDTLLQLNLCNCKLDEKCG EALANALRDNTSLICLDITENPKMNLNDVRKCQEYLIRNKKIYDDERYREFQERQRIRNE DQTSTIQMQDKEKAITAQEGIEQRMNARRLELEQHWKEELEKEAKLKEKTVHNLMKQAKL LGKKKGKGGGAKKK >CAK55966 pep:novel supercontig:GCA_000165425.1:CT867985:695912:696728:1 gene:GSPATT00000401001 transcript:CAK55966 MIFNSEISGEQQHTTSPVVTGGSVIALVYNGGVIVGTDTLCSYGSMAAFKNVKKIAQISK NTIYASSGEFSDFQQVVKELAKIDRSALQYDDGVHPSPKDYGNFLARLSYKKRCKINPLY LQNVIAGFHNGERYLSLVDIYGTYLESNFITTGFASYFCKAIISNYWNENCTLDQAKQVI RECFKVLFCRDCRAHDVIQLAYVDAQGAHIEEPERVETKWDFNGFKSRANEKLHTQ >CAK55967 pep:novel supercontig:GCA_000165425.1:CT867985:696731:697021:-1 gene:GSPATT00000402001 transcript:CAK55967 MPVPNSSYPIPLRTVLKIAPVCMVLTTLGTLLPVCLYDILYHSHERIDKFFWRSSRFERF IRCRDMKLRTFWYEAMDWQPTGRESFISTRPQVADP >CAK55968 pep:novel supercontig:GCA_000165425.1:CT867985:697269:698557:-1 gene:GSPATT00000403001 transcript:CAK55968 MYDHPQSGLMEAHSFNLDCLSQDIHQIKQTLQGWTTIFSQLMEITIETHKQNQYNVNTQS LFVQASLLQQLITTQHRRHKHSQPKDQIKLSPIRPHKTEPDEVIKPENFSKIFNNPKKIS IMQLQGTPFDSAFESINKELEEPYREDQYLSVPKSMQMSVDLNKQKKNQQLELQQPQSAK NNYENKQFAFNAKVMRSQDIKANKENHQHHLSMNRPDSKRILDQPQLSTVVEENQSPCMS PYENNFRYSIAQSHRQYEQCSTAKTSQNSQRPLNYFQQQPMQSDNNRSSSKSCYGNQQTQ VLSNNTNLVNQQQQAQQQTSSQNPFLNNLNNASTKNVKQVPTSTTNLPFKQQFEIMNQIS NQRAKSYSEQNCKKQLSKRCLDIDLLDKQYETSQELIWKAQKTKK >CAK55969 pep:novel supercontig:GCA_000165425.1:CT867985:698577:700548:1 gene:GSPATT00000404001 transcript:CAK55969 MSNQQSGNEKSKSKSQKLQTMELHGKNYILLDKYFYNPDDVIGEGAFGKVYRGFNFQVQN KENDKECAIKKMELPENMALMSDREKMTLDAVRKEICALKLLKHPNIVKLYDVKKLQNVI YMVMELCNEKSLSEFIKENKEITEPEIRYKFGEILNGFKYLRSKQIIHRDVKPENILIRK GVLKIADFGFAKQHNSKTQLHSYLGTRATIAPQVILGDYTDKCDIWSLGATLYFMCFKKY PYKEFYASEIKLMELMKRDFIEFPKNGMQVSQPLQQIIVQMMRYKEEDRIDWNDLFACPL FQNKLLKEMGLNIFEYHEPQDDSVDINEAKIDDFDFEMNDHSEQEIERIHENANVVIDQA EKQRKNEEIFSKLISRLTFEKGKCMFLRLLSQRVKEYELALANKLIFEKSKVDISDHLKS LRFGLNKFELIISRGLVEWMEVNKNFKYYCCWDINNPIGVNETDWENFLTEGLDREKIKK VLEKELNITQQYVYSASQDQLQQFQQMNEKAFQKLNVNKEFMSSNFECNKNFFKVLYDLS LGLARLLFPEIVKIRNNLDSLHKVLPTLVLVDDLFILLTLDSVFSHTDSTLVNYTAFFEL RKKNYSEDQVMYKTMYQRVMRAYEVFFE >CAK55970 pep:novel supercontig:GCA_000165425.1:CT867985:700732:701077:-1 gene:GSPATT00000405001 transcript:CAK55970 MPKDARGKNRKWGNHKDRQFEQVNEGELIEQLKHQAEDDSEDDEEVEQVDQPQKVEQKVE GQEEQQQEKKKKKKKRVTAEGVPKPKKQKRNLDMPESEEEDESQYY >CAK55971 pep:novel supercontig:GCA_000165425.1:CT867985:701750:702625:-1 gene:GSPATT00000406001 transcript:CAK55971 MHQQKAQIISKDPNLKDAENNQKKPNFILYEQFQEDYNNQTLQEITVVLKDCLDKLKKYP LLKNVDHRPLEKDKKDEFNKNVDDLQIKVNSIKEILQEIEQFPPKYENIYQNQIQLQIPE STKKQQDAQNQVIVQQNITPIPISSTFLTDNENQEQKVKNTQDQQIQTETIQCIIDHQQV LTYLLQQNVKRNINIFPQCFCNERIKKTHLLSLENRNLFDQLLSQQVENILENQIKIQNK QVFKCNNSFCTFKCFAEKNVDKDKKFYCTLCDEQSVQLIKTYTLKKNLNFY >CAK55972 pep:novel supercontig:GCA_000165425.1:CT867985:703092:703832:1 gene:GSPATT00000407001 transcript:CAK55972 MKNSQRISLLITSKLVDNDKQFYSVLFTIVKSSQKWKQYKADVLVISVIPSLISLNILNG EEIVSVLLLTVYNEYLDFINQENQTHLSLDSLPIMKNKYENIFNNSKKRKQYQIITEITQ TNLLEIHQMLLELFLIYLNQMDQVILKQAIRLGYIFCGSSYTNQELKTLEYHGVQDQIFK VQMSDRSMELLLFIVSSINIRDESILHVHHLINLIRKKAANESRFSVAGLAQSVKTITDD VFEGVF >CAK55973 pep:novel supercontig:GCA_000165425.1:CT867985:703972:705410:-1 gene:GSPATT00000408001 transcript:CAK55973 MLSWFKKKKPETNQPQQEEKDESDFVEDDTQVVTNEDKELEEKGFDPIAQVQEQDKENEG IADPDKLEYVGYHDISGDEILQLVLNLKNFPNDEEIRHVEGYEKGGRICADKKVVSKARS VGKEMVKQIGKKILSGSLNLTKVSFPIRVMIPKTALETAVHGTSIFPLYITKATMTPDFL ERFKLVITATLSSFFWTNTFLKPLNPILGETLQASYNDGTQVYCEQIMHHPPVSYFLVYG PNKKYKYYGYYLVEGRAGLNSVTIINKGRRSIEFNDGQKIDFDFPNELYSGTFFGQLRQE SINKITFQDKANGLSCIIDIGKVKKKTSDYFQADITCKGQKVSTVYGTYIGFINFDNVRY WDYRYVVPFKIKMDKQPLESDHKNRADLQSLKAGDIPMAQTNKEILENLQRNDRKLREQY EKLKKSKK >CAK55974 pep:novel supercontig:GCA_000165425.1:CT867985:705546:707258:-1 gene:GSPATT00000409001 transcript:CAK55974 MGNQIFWCYAEQEGDEQNRTYENKNLNIKAVVQEDVSLQNQEKKKYIFFQQESNQAQIQS NNLDSQCAFCISYLKSTDNKLKKQQKNCNNNQHPMHHEDFKELHYQLEPLVQIHNDSISK IVSTWFQLAIALPKFVKSHEITQEISDNEDLQTLICLKWGVVDFFKLAVLNIPKDKIIRE CFEIFKRILHYCLFFNEIFLENYSQTDYQANISFYIKCYQYYQRLMYGYANQYEQLIDKM QQIYQQQFSFKNENNQYPNFKVIGYMMRFWCQVMLTDRIQKILEVSFQNLNQLKDSTLFY QYVIYALDVNINEDNVHWIGHRENFKYDKKLLSDKHWQNMKININLDNENYHTQLIDKLA QQKIFYPSWYYEVEIEYDVIRSNIKMIVEELKQIKRRFYYSEEFEDQVQLESLIKSSNNI FEQKESPQSLQNYIKQFLNNNDIIECCDSLDSIEKLDKIKTRLSDSSNMSTEISTSVNLS KIVENMEKLISDLILIKKKLKQREFILQLRASKWKLKTKREIEWLYYFNKTSFIKIPDSR QNLQEYILEILHKLKFMNNGYYQNQIQMFY >CAK55975 pep:novel supercontig:GCA_000165425.1:CT867985:707549:708239:1 gene:GSPATT00000410001 transcript:CAK55975 MNKFLILAELIHFLSFVLLYYKIKKTRSCLGISYKTQEIYLLVFLTRFCDLNIHFETIDY VLRLFYLTLTVLLIYTIKFQKPFCLTYQKDQDQSQFFVYIYPAACLLTLIFHTGTSLSQI CQSFSIWLEALAILPQMNLMQKIQDVENLAGYYVLCLGVYRGLYVISWYCRVFHAGWWCS TTIFGGTLAVLVYSDFVYLFFKNRGAFKVFV >CAK55976 pep:novel supercontig:GCA_000165425.1:CT867985:708319:712408:-1 gene:GSPATT00000411001 transcript:CAK55976 MISNSLSQKIQLRNLTSCNQEYKQIPIEIKAFEQLHKRLYEYVLDLVEKITKFTKTQQTK TRLGQQNSNQGERIEKITLAQAGKFNQQILQLIVTCDEFDSFNELYEQAIELNERARSQI DDPEMQQRLLMELLDCPFYLDVQEKLQSIIDYSQILDQIKQHMSSGQHQEANDQIQTLLN RGIINEDLKQLQDINLKVLEWNQLANEIINYHDSISLVDVYQEDFEIKQYPNTLFQPPQD IIKQLDPEKVQQINKIAERVQIWKDQLISLIDNKQLQVQQTNKKSNQNKSFNKLWELFKE GLKYKQELSLMVKVRQIILQILDWHAQVGIIKQTLRVNDDTTLQIDGMETIRKLYIQKHG FQQTQDQIDKLQQFQSPFISGNKDLQIIQALTQHIEKWNKNAQEAVQTQNQELIKNLLDE APKLPVEEQLVKELQNLHASSLKVGWIKQIIQSQDPLFESIMIALQNDESIKQLKEEMLR IYAQNPQNKKKKEGTKLSKLAQNFFFNFQNTIKYYLKHLTNLDENKLKKLQPVKVSKLKD YQRDLQNDEISQQVDEWIDQFQQWQYQILNLNDEQQPKKKKKSKDSESQPESYFLTGDLL YYFKVPELLCLVLSGIEKNYISQELVGSIEKLVTINNQADMALKVSSIKDVHYYSQVFMP EFYVMDKKFRVINNWLQMAQEMINDTPRLKNLIMVQKLKPFLDYVDQIQLQELKTSQSQQ QQQQQQYSTITQQQQSQTQQQLQQQQQQQQQQISNTKKKMFKSINKLKIKSQEESQPIVD QESVSIKHSKRVKQVSKLMRDDNIAFYDANVPLGKAFKQSPNEIQTQDPVIQSIKKNNNK RCYKKGLNRKVLPNLEVEINNPNFLEWIKMQSQELLNLYSQEEIYCICRQGGESAISDLQ IIKSLNENNVQLFHQQCLKQQQQVKYMIFCDICEEWYHYECMGIKKLKEQSQDKYICRIC LMKFNMPFLILNENLWDQYIDSSSELHDSYQKQKQGLEKNILPNSGEYIYSQLQLPTVDE FKDFLKIGKSLPAQLVELEILELLEQKLDQWLVSYTNLKNYSFPQILALYAEGEWLPINL PQQKILLSIICQKEFLCTANELLQSRATFKTKQLKKPQMDKTEQLYAYIKEQQPELLQES DHQVIKTIQMLRVQQDLNNQFQSQLKQQQTLEQYDQLAIQYEKEIKLQIQKTEIKFSLPK LNELRQQITKIQQWNAEILAIFKDVDDIYTSNLSQQFYDSKPNFSDIQVKLKEYEMITLK IPNLYYEFLKTLQSKAEDIIIKIDSNVCQLSFDEQFDLCRTAYQLKCKIPQFKDLANNVS KDVQMRILQWLPYIKARLY >CAK55977 pep:novel supercontig:GCA_000165425.1:CT867985:712661:712949:-1 gene:GSPATT00000412001 transcript:CAK55977 MDYNIIKAKFYLLFPSLTIYNQFKHRHALKFTDEYMNEKIQQYISKLNEDKMMQQNKWEI RYYGNSINSQIKGFHLGKEQQLKYGGT >CAK55978 pep:novel supercontig:GCA_000165425.1:CT867985:713015:713369:-1 gene:GSPATT00000413001 transcript:CAK55978 MNFIDDPMDIEQSDVKVQEVHQQNRFLHSKRMIIVNEKSQAIVPDKPEKTLEKFQKHKQS LIFQTEQIQNLSDIDDLFTFRIILNLELGRLRLQQKIQKRKIKGSVVMV >CAK55979 pep:novel supercontig:GCA_000165425.1:CT867985:713712:714505:-1 gene:GSPATT00000414001 transcript:CAK55979 MKSYLSVSLQIIISIISSQTLIKLQNNHQAKQSIDDFLLDLVGDKYKQILQAEADMIQNN DLQNKIKLVFDFIESKLSNRNEDDPFMTTFAQVLFRNEYNCETENDLIKIGCDETICLFI KELSYTLNLRFLQEIAYFLLSYRNYIFNNHNINSNSSPLQFGDLMNHFMQEKITYFNDNI LEQFYQELQDQDKTFLKLDKSEKLQIKIGLHFCTWLFHSRYNRQNVQFNELRNQILVSLF >CAK55980 pep:novel supercontig:GCA_000165425.1:CT867985:714714:716528:-1 gene:GSPATT00000415001 transcript:CAK55980 MNNFIDKEIKGYKFIKLIGRGAFGNVYQGKKMSTKQIVAIKVIEIERFGENDGILGELVE SEQKALQKVKSKYVVGFVDTFQDNIYNYLVMEYCDSGDLEQQIKNPNTHFTEQDAIGILR QILQGLRDIHSVFIIHRDLKLANILIHNHSIYKIADLGFCKILQHENDQSRLQLGSLYTM APEILNSNSYGLSSDMFSVGVIFYQILFGRFPFTQKDYKLTSQPLINFTRNKIDVSDESK DLLEKMLQFDPKKRITFQQITQHKVFEKQIFSQISRIQIESARVIMEEHAQFYQKEGAKI ERENQKDIEITQQRLMSMGKPHLEQQQFNYEQSQNISNFKIEEKVSENSIADIDIKEKTR KTDEMNLKINHFNKQMNDLYFFSNTILEIFQIQMRAHYAAVLLCYQVKKMIYSIKEQLEV QIQVNMNDSDLSLDLTHLQKLLELTEQQQIIIDLQFDDIAEQQIKSGDTRVQQMIQNQLK QDTFNDNLYSEINALIKKQNNQITYILFHMIQCCQYCFALNKQLNPIELDNSIFDLKKSS QIYPDQQNIKAQFEMLQNSQQQY >CAK55981 pep:novel supercontig:GCA_000165425.1:CT867985:717217:718953:-1 gene:GSPATT00000416001 transcript:CAK55981 MSFQVYKPCNQTQNKEEYICTNKQCLADHKKQLHCYPCLTKLHRDKKQKISHLDDFMEMS QIMFKVQQKKDQRILFLNQIQQKAIDFQKEKVQYIQKYPFHSTEYLEEVVQKVENEISKF SNFWEVQFYNQENHNVIFDLFHSNENQYNSNTQQKLYELFTNVNKFLQQKDLNVYETLSN QRKKFQVLEEKVMALERPFTINKLLKYTFFILLMVVFSNYFLHPNNSSSNVVLRDESKLI EQIKQTVQEQMTLLQLDTKNQIEEMKHKWFEEIMKDIEYIKLFNQKLKIEIIEFQKSYKD HFYAQSQINNNQKIQIENLEQQIGNQTQMIMNLDMKLQNYSKDKQQSSQKKDINTQDLEA QFQETHKQVKAVDLKFETALEEVKKFVVDKIEINRHYYNAQLELLGRIHTDFPFILLSGF QQYYLKDFSVKFPYETIKSIQQSLSHQAIVCLGGIDMKTPDRFILMACDYAFEVFQETVS KSKARKSRSSDELYWYLVRNHSIGFSPKEMIDLRQTDNYDVDDTRRFSHLLDHPHGGGRR IGNQLTLSYSTDYGFAIFAIN >CAK55982 pep:novel supercontig:GCA_000165425.1:CT867985:719228:720378:-1 gene:GSPATT00000417001 transcript:CAK55982 MNSNDNNFCLSHKAREEFVCVNSLCIDKQKKLSCYKCLEAQHIHQHNPREDFKSIYTIVE QINLEKEERLIILRNAKQWSINQKKENRQALEKSKLFSPQFINEMSSKIDEQYEECCHKI NYLQICLEDVNPITPYSLPTLIRFYKQSTKEFSEEVEIVKFKSFGSSQLSQRQSDTALIE TLKKMQDQVKALEQKVDQLSTQNHVQLGKNHYYPAIIISLITIIIIQFFSPQSKFQIEIQ EKFEEAYSECKNFNSEINQKFQEANNELQKLNSQHKSIIDQKFESYKNQVNNAQMDLENN YFDLRGQTDNNQLAFESLKSQLNNFNLKLNELESQTKKILDIFNERAQKFHHHDPNMRHF KQRFHDWA >CAK55983 pep:novel supercontig:GCA_000165425.1:CT867985:720522:721542:-1 gene:GSPATT00000418001 transcript:CAK55983 MNYQQQNYIPNKVPQDKRQQIIELQQLFPVVSLHDKVNQDYQEQYLITILPDIQIKLVIN KDYPLSQAKYFVISNLQHESINETNWQIKVQNKETFKLTALTIKELFLVSKPQQDPYIEE LANLKNKIKEEQIIQRIQQVDLKTLFQNVPVTEYKKIIESGKLLEYIEKLPDYKLLTQYI IELGKTNENFADHLIEQDKKIRNQKAELISLAAYFDRLKTDVISKDRECKEVQNRLDPYH IVRQLDEQINQLDEISNEKIEDLNGSTIILNKQFDEDLQQYLNLRKQQHKLQIKKDKLEQ TLLQRKMEQMKQQQ >CAK55984 pep:novel supercontig:GCA_000165425.1:CT867985:722341:722931:1 gene:GSPATT00000419001 transcript:CAK55984 MLFQKSLLSLITMFDCNSYPIQKVCIDGRYFLAYPIYIIRQANQKESSQNLEIKIEEHKQ NDNTSLQYQYNNDTINFDPYMFPGQSKNYYKIIGNKLASFIIKYFDKTEIIKDKTIEKFL KTKKSKRAKKHMILLMKSKIARQIVKIYFGNYLWCSSILEQMKSDIQFYLCLNKQIFRRK SKQKKNPESIQFQEQN >CAK55985 pep:novel supercontig:GCA_000165425.1:CT867985:723816:725237:1 gene:GSPATT00000420001 transcript:CAK55985 MILQLSLLAILGNAYQLLKKIELEVINNFSEKLSLETNDEDLLLVFYFEKASYPLAIVSH EKVREADFNITTKPKFIESRKEIYFDFESMQTRHNIHLIEISQGNDIYYYVRDGFGPFRV KLEVYSKPHSTCINNCQGYSLNKIIQNASCTSKCECQSGFFGSYCQFELQKMEKDVQYEI QLMARKIIYLSYQFNEQALLIAEGVNEPITVSFGILGLKGYEVPDSTSYTAILHSQLSLT KLMQNLHQQFQNSTTLVIGLQCKSNQSFKLYVKQEQIPKIWDGNCLFLIFASFNIFIIII CFAITNLCKKKDIKMNKKKIKVRPPPIEENPKNFSGQFFDKYFEIFDYEDFIKSNPEFQQ NTQCVVCLDNLNQKEISVSICGHIFHHLCLKKWLMKVLTCPSCRQQITYQQVIQGGWIGS KLSQSPLHPQSNPKCKLVNDSNILIESMDNIEIVDKQDNDENQDS >CAK55986 pep:novel supercontig:GCA_000165425.1:CT867985:725441:726183:1 gene:GSPATT00000421001 transcript:CAK55986 MYEAEYADQTPVEDGLEDVEVVVSKERRYQQELLLVYNQKEIEYKQLREYQKQIIYNIQR IREKEYQKEVLLVDPYLSEYIKELEEQQLYDLDSQKQDIKADKQSRIDQLKQIQQAKNSL KLLFTQTEISSHSQILYKNMLENFNQELFQYMQERADLEDEQFEFDKRLKSLRAQIRQQK RIKNQSNRQKIVSRVNESSIFFCIYLKNCISMHLIIYYFKNQVINSIYP >CAK55987 pep:novel supercontig:GCA_000165425.1:CT867985:726618:727526:-1 gene:GSPATT00000422001 transcript:CAK55987 MSRRNSLEKIPQSAHSARNQGRGLNIIDFLTKQNFQDKAPSTILFSDEVNKLKSCDMCLQ LQNELDQHVKSDRFQQVIEKLWQKFRKIEDENQKVLIKVGNLERSLIEQQELLQAFNSQF ISKYGLEKPQQQYNYATLDQEQLDRLERKISKDAQKGIKNIEDNLFKNLNKEIQAKFNLI QDYQLETNLKFTSIFSQIDLLNNKSEIADKINTIEATLSENNKLLNSNKLDLLEMQKQYS DVDSDLIKVFQHIKTLLQTNYKIDLMEQSLNNLKLQFTQIRDSLNEVEDLVSKI >CAK55988 pep:novel supercontig:GCA_000165425.1:CT867985:727533:728453:1 gene:GSPATT00000423001 transcript:CAK55988 MLQFQRISRPKKSISQSLILQNPPVLELESTIKVKEHFQHLRQKLKAIPYITTPVASPTQ QGQPQQRKRRDKKGKKYKQEKTNFQLEVSSISSSITDESIDKDIKGEFLIASDNLKKLCK QPNQNKNNSSFINSPLYPQNKQESQKMDSSLNQFDNERVNINFVKSLQHNSDCKDIQEYY EDGNLNIYFQSKDMLTFLRYEHEAQEMIQKSKMFCQNQNLLETLQNEIEIYEQKQVKIDQ KKEEQQREFIQRILLQEEQKQNFLKKAKTKKIGQIANKFIEHHNLELNHQNSSAEKSRRR IEELQK >CAK55989 pep:novel supercontig:GCA_000165425.1:CT867985:728479:730040:-1 gene:GSPATT00000424001 transcript:CAK55989 MQSEQLSEQKLYAWGSAECDQFLAKDEDDEELYEVKRPYQIKELNNLSITQVACGGMHAL ILTSQGKVYSFGCDDKGVLGRPKIEGEDARVPALITDLPPVDMIACGSSHSIAANSNGLV YFWGFYANTHGPIGESVQKPKKMDNITEGIKKILCGQNHTMVLLESQKLLTWGDAETLVI GRKSETRRSVMQNLNPQPIKMKKPILNIFTGASHAFVKVQMKDNKVGIYGWGLNNYGQLG IGNQENQQLPTLIEFFDNIDVIDMVGGEHHTIALDSQGNLYSFGRHDDGQLGLGEEINEQ LKEQAQKQLEEEIQSQKLNESNKKKISKKNKLQGELFEIQKSDKVIGYEFITSPQMIKDI PKMQTIYSSMHFNFAISQEGQLFSWGNGQSYVLGTRNENSQFKPRDITTIFKNEKLLQIS LGASHVIAYTSTDLNYNTQKVDESIIKIQDQKTKIKKPRRSNSREGSKEKSLSMKRNEQK FDDSLIPVKDLKID >CAK55990 pep:novel supercontig:GCA_000165425.1:CT867985:730060:733522:-1 gene:GSPATT00000425001 transcript:CAK55990 MSIIISNSKQQRKLPLLKDYTKQKKEMLKTFFTTKTSRSESRSKQTHEVFKEEKSYYFDD SIKQQKKAIYLSQKLIRITPRIFPAKTDPQINQSEEISEDSSEKSNSSVDAFDLDKHKEH VKNKLNKINEESYFGFLKNQNKLRDVAQHFINEEGMNPIYYFCVHDSLFPKRIDLTNCLV DKKEMNFTNLGIGSKYYPILTTLLKQQKSQRIKQLFLSDNNLKDSELGMIVDCIPLSLKE LKISNNKLGKGGAVLLEKLITTHKRYLFLLFYSIKYINVANNTLGDQGIQILLNALMKNN SVTRLNLSENGLTDSISKTLYNFLVSNKTVEVLMLNWNYLGSISGQSIAKGLANNKSLKV IDLSYNHLGQNNCMIYWSEIISNPKMSLIHIDLSYNQFSEQQLRILNDALKKNNQIYGLH IEGNKCAAYVDPNGFIQFANNGSQFQGNPFRLQQKKYEIDGVNFIPELNDGLLGSDCCWI CQGWMEFKFNYTPDDFNGDVPIFLHLDFLDYKPIPMTSSLELKEQMKQQRKSKSVEQPQL TTGEIIYQLKQVINDDKKITMAAIQEAYDMETKDEVVDERLLDDLDQFYYTTYQMCPPKR RILYFFSNPMSEDYFIDKNSLSMQSPPDDLILQGKDTKFQYHQFADGTKVKFKKINQINY LLSKQEYVIDDKNDYKPLVKIFPRSAQKKYILRKFANNSIRKKVNIIFWIKEDSSFKQFQ SDNDNLLDSCLDFDWQCSKITRFVRNEYERTKMKEYFRQQYQLLKDVYKYLSSFGHQPPQ FDMFCIQYPQFQKLVQQVGLVDGVDLKIQDVESNLISIKNNVDNKFIYNPDQALIRYQFI ESLYRLALDKYVRTNTVKNAADAVYRLLKEFKPYFNNFDSTQDWRQKRLWNKECDTLITF KMGFLKKLYDYATDISNRRWYFKLKWISIKEFKEFCKQIGLNEYLNDKQQVIIYNFSMMS QVDELNQDRNVRMSLIEFIESLGRMAERISPAPIGERVSEWTYEQRTTLPLHVKLESLLT YIYIIVNKKGAFESFNDVFGDEQQQKVQFMPAPESVINDEEYSNTIETLTTYNTLSYMNL HKQPYLNPDYVHFLQNPVPNQPKFHGPLLVRRFSRADRKNK >CAK55991 pep:novel supercontig:GCA_000165425.1:CT867985:733927:736556:-1 gene:GSPATT00000426001 transcript:CAK55991 MQGQPIPFIELKENQTSEGLETTFEIHPQAIKMLQSIADRKVAVLTIAGPQRTGKSFLAN RVLKRQKGFAVGPTTMPCTKGIWLWSQPIPLNENTSILLMDTEGLNSVQRDLGVDTKIFS ISLLLSSMFVFNQLGHIDEQSIENLSLVIKLSENVSVGSEDKSLSQFFPTFLWVLRDFSL DLKGRSPSEYLEFALQDQSNPGPDGERKNLIRRKIREYFKFRDCICMVRPIQDERRLARV EEEDWNALRPEFISAVQDFERRVSKNIPPKQINGTTLTAEMFLKLSLEYVDAINSGGIPQ ILTSLDRVIQQEARNLLEELKSQYSKKYQDALQKMKPPFEDEELIQLQKQIEAEIFQRLE QKSKEIIDNSKINSMKKELHEMMSQDLQTRLEINKEQSTTVANQILQKFFNTFQIPVLEN VDSIKPSLLVDQFQVYSRFFKYYMETVKGHQKYKHFSEKVPSFLFSHFEKLILSVQKVYI EENNQTKKYLVQAREGEERLRKTIANQESLLYEIQKERDQLKYEVENWTRESNKQEKIKS LELEACQAKVGQLTQELQNKKEKSQKLKQDYQNLQEQLQRVRNELNGKRVECQQLLKRMS DDSQSFRESGEDISNDLVLQYKDMKQQAHQMNDFFKRRAMDHSQQSIFLQEINKLQQDKF NEIIKLREDYKQKKQKMKEDLEQEKIRKSLKNNLEHFIKQNEELKAKNMTYYEKYEQAQK NQQHLKQMSAENQRLSQRLEEKSENLDMHIQVIENFTKDIEKLTSIREDLEQKLAFMNSE KSQLVSFKESFPYILKEALKWVLKQNSKIKHAMKSVGEEEQKIIRDCFHEVGINV >CAK55992 pep:novel supercontig:GCA_000165425.1:CT867985:736589:739308:-1 gene:GSPATT00000427001 transcript:CAK55992 MEANISTTTRMGPSNATQQITSKPESPSSQSLFDEESEVLHHNMIKDKKQNVFGQSRKSD YLKHAIFLTNRSLRMTENKKPSQFVINFRKQYFVHRFINNLFTNLYFMKSDQKLKIMQTL DEKPAQQFAKDNSRNSKNNKWIFLPSTHFIMIWDILGLLFHLIMLWVSPFLCSFQEFNNS IIKSLQSIILFYLVFDILVMFNRAIIREAVIVCQRKDFIKNYLKSNAIYDFANLAIWIFL KYEFHQLYYFQECLIIFQRYITEYFQQIYCRGNQSFTIDLVSLIIQIYYFAHIIACIWHY VGSQTESLGNSWLIDNHLENKSSWSKYNAAFYWATMTMTTVGYGDVVAVNEIEMIVASIV MFCSSCAFAYTMSSIGIILKNIYDTQLNYKKNLIQITQFMLKNNVDEQIQGRIRNYLNSQ LYQEKKENMDDVNNILNSLPFNLQQDLNADIQHRVIKQIKLIINHFSKSTQIQVAKNLQL ISLLTGDVVYKQGDLSEDSLYFIHKGEVKQTELQTKTTLKTLQKNQYLGIYSFFTGFCPK ETAICNSPTEIYKINRKKFLEIIRSNQKDHEIFNHIKDKIIFTNNLVLFDHKCHFCNRPF HLEIDCPLIQYKPDLEQILKKENFTVKHNFRVFKKRKNNKYSTLGQLNKLTQSLNQFQED QQIQLFGLNENNQIEIPRQRTGLSEVVYQNSPQMIGQNNQNLSGISDEEQHSEFQHNSQQ QPKQQIQQSAIKIQHRSSIQKVKLTKLEHRTSKSNFAPNTDNLNTSFSILDEVKHLLNYQ NTFNLDKVNFTSISYMPQYSLESQLKQMAKTIKRKNQRLQRLHERFDKYTFFYQAKELSL KLRLFYKRSNKSTLL >CAK55993 pep:novel supercontig:GCA_000165425.1:CT867985:740186:741504:-1 gene:GSPATT00000428001 transcript:CAK55993 MYNNQTVQLVGRLSPKTKINLEQQNMHRLSNKMLAKHINPDIALVPMLDDIIPFSEILNQ RKMMQSVQPIQYYEEQRPSRTILTTQPRSHTNKSSRSIKSNSTHRDQKSRMSNQKYELMS CCSCSCCGCSRKSSTRLKKTPTPTPKVRRNYQENPSTNKSNKSIKQSSIASSESKKSRKQ KILNSSQQTKQKCEYHHYEHRQSHQTTHQSNKKPRPSSAAIVNPETARRQKLREYQEKQK QLQYLYSAKQLQPQPREQSISKVAWRADISRIEEGKERKSRIEKIVQVQRDILNKSLSRH RSASKQESKKIVPPPDPQKLKLLEQRKKLMEKKNQKVIHDKKETQRLKQVLNQLKKQKQD EKDQKTKKTEQLSKLQQYQKENRLQCKKKKFEPSEQQIDQAFQIVSSDKVENES >CAK55994 pep:novel supercontig:GCA_000165425.1:CT867985:741630:743186:-1 gene:GSPATT00000429001 transcript:CAK55994 MSLVNTLQIYEFCRTFASQLNLRTRYTVEQLHDSFESNENSSLAYQLVIGLIDKFCLAYL VQASTQTNSAFLNVIGQIKNQFIRRSILMNIWPTIFTLITRIYRIRAYIKDEIELEYQQF KEHLQQEQFKNWLAIDKQKLILFMMVTIFYESEFLILEIDQRIKIQEILSNNDWKKEFAK KEAEVKRLQQSVGKVAKKKQKEQVKTLQIIAGLEEELNLYTKASTVVNSQLFYNSAVEKI SDNIYVFQEEKRCIYQENNEEDWQVIKGAEMIELIGQQQKKSAQMKTLVSEGYFSLEECK LDPIVLDNTYGIEQISQDSMAIELFQRCDINTVRQILLALEKDYSEYELIYWKSCWTSAE KRKIWQEKVQNADMVELTEILSKIPHQLSWVNKKHGQTDSQAKYKSSRLYWFYQNKLYSM PYQQLSKQEPSLHSMYLLAITLYDKLKSYIHRKMRTMDINQIQQQQQQSDLESTLDDDFQ DLK >CAK55995 pep:novel supercontig:GCA_000165425.1:CT867985:743500:744202:1 gene:GSPATT00000430001 transcript:CAK55995 MIDSFNYLLKVIVIGDSGVGKTNLLLRFVNHTFSNDLKSTIGVQFFTKVISIKNEHIKLQ LWDTAGQERYRSISNSYYKGSHGVIICFDLTHQESLDNVVKWYEEVKQQADFNVKIILIG NKLDLSQQRVVGSDTAQQVAKQIGAGYIETSALTSQNVDKAFELLIQEIHMQLKQLKQDQ QSPKIITENAIVITNTKETDTSQKRNTQCC >CAK55996 pep:novel supercontig:GCA_000165425.1:CT867985:744224:745075:1 gene:GSPATT00000431001 transcript:CAK55996 MRQNKLNKQSPSLNTKQKQYIEQISYNCILEKIKFIQIGINEAIRNNNLTLIQQHLNQYQ SDLQSILDFLVQNEYTKVIKDLEQMKEQKKQLSVKLEALELQNLLRDKDPEYLATQVQSL IEQNKKLSEKVQKFKLIAEQAQEQALESLEISNLNQALFQQIKYDSDQPKQSNHACSNSN GNLEVVQLQLDEKIQEIEMLKLQLDELAQLQQKQYNDYQEELEHQKMWINQLSNKVQLYE SQADQQRNRYEEKIMELENKIQQYESFDENQTQELLQSLVDQK >CAK55997 pep:novel supercontig:GCA_000165425.1:CT867985:745151:745806:1 gene:GSPATT00000432001 transcript:CAK55997 MGGRRKSNRMPKKEKVQSIKTIFDCALCGYKNCVIVKIKKIIKIAELNCDKCQVSFHTKI KGLDEAIDVYHKWLAELKQKKLTKEQPENRFDDNSSGDEGAGRNVEEILKDQGDDDNKSQ FSADSLDEKNPQQTNHNEKNTKGKLKKQHSDDGNNEEDDDDDDDDISDESKLDSERISDI DSLLSDADDAEEIIKKFRKR >CAK55998 pep:novel supercontig:GCA_000165425.1:CT867985:746299:748624:1 gene:GSPATT00000433001 transcript:CAK55998 MLSRCRINPNFQSNYLREDLEYFIPQMVNFMVFHQQLSDENLKQFVLKASSLDFFFAHLV YFQMKSLSQIITPHETVTINVVKKFLDYFVYQMAQNYAGNLLIATHILQMNLELSNLKED NSDSDENSPKKSQLQAVYQGTTKQQSVKVNEAIQKYGTPDWLKYQNSHPYDNRVKNPKEI ELQDYESIFNQEKKEKTIDTAFISNIQFWNDIMRICDELSKVQTKKTEALQACLQKMNKN LPASVYVPFVNNQVRNYAILKIVPKESKVFSTKMRSPFSLTLESYRPEIEGQSNHKFIEK KISRPITMSSYKLLQRTQSQLFIEDHSDMENRRTFSSTDALKQIQNEFQQIKFFQMAPQV HHPVTQGTSQFYHQQTQDENDDEQIDQQKLKPEISSAYSLEENVQAFSIDEQSSISQEKT GEGNWLTSKIGKNSFVQPNRGLVLTTQEHLEMKQAIFGENSLDQFERIKSQSIFSQLQTW NIVHLIIKTGDNLKQEQFALQLISQFDQIFKKEGLPLKLRYYEVLSMGPDCGIIEMIKNA TTIDSLQKHLRKEYTQFKNFSDFFRSFFRHKIDQALDNYVQSLAAYGLVCYFLQVKDRHN GNILLDSEGHLIHIDFGFFLSIAPGKGVEFEKNFQEEENFRKLFFQGFKACQKHQKEILL LAEMMYTGHGTTLPCFSKGEQTLKELQLRFNPKVQSSAELYVHVQELIDKSLDNWRARWY DKFQYFAQGIFY >CAK55999 pep:novel supercontig:GCA_000165425.1:CT867985:749047:753054:1 gene:GSPATT00000434001 transcript:CAK55999 MNKIQFHSLQVKASKLEFTIPKPPLNYIVKQVHRLKCVIQSRKDVELIMDQINFIIELLI AGQFGTPSLTYLNELRNTLKSTINSFDQDQLGCVLANMETLKKQTSLDYLKGFKKGSSRN LKIANQLQKIQKRVLSVIRNVCAQFVLFWLDEHQPEQSTALRSKRVFVETKTLIQSKLKE LDLQKHSKIVCRICEQLIEVEIMAAHCITCERKAEQSKKLLQLNLQLADASQLAYKFKHD VQIKLGKLSLQEQKQNRQKLKKQEEEKKPLRSLRRTHTIHLEDNKLQQEEDEKNKAKKEL AFINSVMTTIVNYTEKVLNSNVNNEDNKLNRITFDELTEAKFNLENDQNNDSVLEIIDKA RSCIYDRMEYFKIIQNLESQQIQESQKINQKIEIDLKQKYKNSNSSSFRVSKFTNITATI QQKLKRNDTIYEEDDDYVCQTPKNLTNNNKKKNVMIMSLINKDKFNTSNVGSVNDINRRD SLTTMSMSRSQVLGSNQMKRTFLSKNNPEEPPQLQSNPGSKILDKIANLSNSSDTLISPK SNKPSIFRRAQSPTAVDSVIESSNQSPTGRMGSSDFQDETPRNQKICSFADFAQCDSDQE IPMKKQLIPSIGLGRQVDSIEDLQKDKEGNAINSFENIKQCESDEELNQVQNSNPNSNQE LKKSQQNNMKNSDRNMMMKGSRKLPISFNQQEPQKPLHIELGARMETEQIIEESPNQISP QNLEAPQDASPNYSSSSAKKANIKQNETNDDNDDNDFDHSLSKPKKSLFYPQNSMNNNMK QSMAVVPQKSKQSFDFEVITVDRGYNSDSELVSINAEKANQSQEIGLKDFEFIKPLGQGA FGWVFLVKKKTSGDLYAMKIIDCSQKQLETQLDTLKAERNIFEILSGDFVVKAYYSFSHE QNLCFVQEYMVGGDFSHILKMYTALDEEYVKHYVAEVVLALEYLRSKKIVHRDLKPDNIL LDKQGHAKLADFGLSEVGFNNRLKLKLRQQDIEANTIPEFADHNDPQYNTVFDLKLPQAQ QAVRASIQNYSSKNKRIVGTPDYIAPEVLKGESLTNSSLDYWSLGVIMYEMLCGIPPFND DSVEKIFDNILNYRIEWPNVSDIEEESISHNAYDLMCRLMEPDYNKRIGHQDINEIKKHP FFEGINWNTILSMPGLIVPKMVLKEGEADGKNCEKVQQFLNNLEKKEVKNQTLAQKLKSQ LSNLERLDLLVKLSLEEANDKLSKIRMEENKLKRTLNKIDHYEQEHEVQMLLLYEDVF >CAK56000 pep:novel supercontig:GCA_000165425.1:CT867985:753116:757391:-1 gene:GSPATT00000435001 transcript:CAK56000 MQQQLLNPLPNINEKYMININGNDILPNSGRKSSQYDERPSEQRRREEQKQELSHYRDLC EQLNKENLLLKSFQIENNELKQKQLLMNVELSAAQQELSRIRNQFGSQQSTDRQVQKIQQ DNLNLQQQISDLNKQKQNLEAELKLFKENRLTQTIEIPDMNASVYQRKIKEMEEDIQILI DDYNSSQEKLEKALNEQKQQFKSDIDQLRSQNQLMSAEISGWQQRYNNLEIMKNELSNKL IILEKENQQLVYKTRYDESQANLEIISQLKDQITQQTKSITNLKAELQQKQQSESQLIYE QQIIKQKLEQFQTQTLNSQKIDNQQYKNEISKLKQEIEQLQLEQKDNDFYKTNIYNLKEE QLLQQIDSQQEEIKRLREELISQEEQHTKRLKDQEHHFQIEIKSINEKYVVLQNEINCKP QSYEESRIKFQTQLEVNNYEAQTEKLKRDIRQMEQQMKEHDIQVKLWQERFYEQQTQNED LRYKHQELRNQISQYLSYKTQNEYDQKTIHNLEALVEEQQNIMHTLYQKEQHYKETITKY IQKIEEFQYVNNEESVNQIQQLQFQLDQLQIKIKEQEAQLNIKSTQSFDLETQIEIEKLK FSEREILISKQQKQDKKRIQQLELQVENLQKDYALLSQKKNEVIKEYVQVENQQEKERII QLEQSLSNLQQEYVIMNQQYLSLQNQCNTLIIRSEQSKGSEEDKIKIKQLEEQLRIMKDQ YFELNSLKQQVLKEVVKEVIEVPSESDQMLIKQLRQELQQLQAEYMILNNQKRNYVEVQS EVDKNRIKELERQLIILRQDYEEVCKKKQLTITEFTEVSSKQDLYRIQQLEKTVQQLQEE NFKLQIQYSEQLKKSVIINQDFEQVQELQFQLTTLREENKKLYFQLNQQNESLKKSSSSD FLKIQQLEQKIRNLEQSNLELQKLQSQIKIVKETVEVSKDEDLFKIQQLELQLQLKTDDM QYLEKQLNLQKKNVEELISANEKMKYENTKMRSQLYELQSTVDDLQFKIKENSKSQEEIK YYQQMISQYEQRDKMKNDSQKVISELKSKLNESENQVKRQWGEFELSKQDYEFKLKSQDT KYSQQIQQLQQELQFKLQSSEQENLICKNTCKQIQIQNDEMQREIKRLSDLIRQKNDEIR KLNENIQIYSVKIDQYQTNFKENQIQIQENNVQQEKMSQLMQHCTKLENALKQFEEEKKI ENKELHEHFNKKMMEVQEIIHKKDDEIQQLSIYIASQQQQIQNQELAKIQFARQDDNQQF LIELQNQLSIVKQELLRQTTLKEEYQNKNNELILKMAEYEQGNRVSVKPDYQLTERLKGA SSYRNLANVEYNLNESQFQKSNQNQMRTRDSTSLYNPKPNNFNPLNSSIIKQKVFTQKKS QIY >CAK56001 pep:novel supercontig:GCA_000165425.1:CT867985:757433:760306:-1 gene:GSPATT00000436001 transcript:CAK56001 MISKFNKSNFLLQKKEEKYSRELERKKIEMASKIFIFYKNTKICNDLKKSMIQQYLPKIQ TALSVQFMKDLQTFYKVCNITLNKCPEFLKPFFLLNKKNVQNNETYVLNMLQLFSGCLQI QQNNFVFNLFKGNQFLMNRLHFSKALYLGFYLIQTSKQADNFIKSINEILISIHQLLSAC QLDLFYAYFYRLDTQILQNNLPQLIVQKGFEIFLFYYLNLNRNQQASWDLGFNYVMMIEQ NSSFDFSDLMNHIKKSQQYNQLISMILTSELELQQIRKLFNKLQILTIPNSELLFEILTQ LLQVLEQNFYRMNMPQDYKNLQYQNNSEHKKLKILRKKKEFIQFTDQINFSKLLSLLEKP QFSDLSSTSKFIYYLVNIGQNRKLEIIQQMQKLRYIDNDFTKYLLNLSKLKEFESIPVES ISVYCYFKANEFQLLDDKEFRNIALKNEAVKQELNEIFGFIIEYAKFCISYNVNQHIYKE LKLFIREIFDRNQILNFYQWKYENMKINNHIPFFSLFSQRIQKFNQYLEEDKKQFNRNIL AMLTYDEFGEPIMKQSMMVVIRRGEEFQDAFDQLEKKQLKNMFHVKFVNQMGIPEDGIDA GGVTKEFITRVVNQALDPTFGLFIETPEKTMIPNPAFMQEEKQKYAFIGKIIGKAIYEGV LIEKVLNQVFLNQVLGITNTINDFRFYDSDQYHRICNLKNQDVTDFGLTFSITQEFYGQI VEFDLIPNGRQINVTNENKISYINLYCHFRLNKQIKEQSQIFRAGLEQVIDPEKFKLFTN QELQLLISGQPVIDIQDLISNTKYQGYQPYDKTIEDFWSVVNDFEYEQQSQFLFFFSSCS RAPTQGFKSLDPPFMIQKVPIHHQSEMQKLPTASTCFNILKLPDYRNRDILREKLVKAIS SNTGFELT >CAK56002 pep:novel supercontig:GCA_000165425.1:CT867985:760369:762321:-1 gene:GSPATT00000437001 transcript:CAK56002 MSKEEKLKTKLLKNIHQQSLQEYQQQSSDLISIQPQINTINDYLRIENNQQEYENEIIDF AKQNLENQFKQSQVTNIKLQLKQIILSKIPFPQFLAFIKITDRRAQINYKAKLVAALNQI LSKHNSKIQDIDILNINFNPIDDEDIILYILVLLEEFVNKNRSIKLSDFTQSEIKGMMKV FHKAKEFNVYNAIKKKSLTFVLIVQNIKLMSFYFNNQIDCFDQQPFLNSEDSICIELQQD SIEENKLMDQEKSRESIQLMVLDQSKLKQQYQPINSNVQQAHEFNRFEKMEDFTIKILIL RLNELQIPFPEILRKIKFTYKSKDFAILDQKGMLTISPDFELIKQICALPQIQKFSQELQ LPMLSQFVPKRIRQIIIQKYQHYQNKSILNAMEEMIIVIKNRYSDCYEELLRIYRDDKFN IFLQSIWNICGDQIKNILQNKVDSHKLFPEFGSNILRIGTMLFEIKQNIGNNLDCDQTGA KNIRQICIKQNMQSPLLKIFFCRLTKVQKAFPLMLKLLHFSNFNVILVNRKFCLEEIAQT KLFIEFMKNKFNYDIEQQKPSERVELVFFTNKFIIEPESSETWPRIIMQQLQLIYQKRKK YEQLIREAVETKAYKQNIKELSAIQEKQDVRAKIKELLLLIYDFIVNNQN >CAK56003 pep:novel supercontig:GCA_000165425.1:CT867985:763096:763569:1 gene:GSPATT00000438001 transcript:CAK56003 MILSERNKPNMLLHRKYKQISLSQVLKKPLIIKSLPPILVMSVQKPLKSNKQKSENFQSQ RLSQPSILNKFNGLGAVGQSYTPIEKYLIQQQIVLMNSKKIDIQDKAKQTSYRKINVKPL QIITKKCCTEEEIRIDKLNKWSQTTFDEDQLLEYLNN >CAK56004 pep:novel supercontig:GCA_000165425.1:CT867985:763655:764366:1 gene:GSPATT00000439001 transcript:CAK56004 MKLLITVLLCLSAYAFTDQMMSLEELAKFDIKSVDCAKSDQISLVEKQMQQWEDLLKHQK AISHDIKILKSMEHLLSSKSNSFLEVQTQVSGKKLMKKLHKLELPLTKSQIGLVQVQLLK DQCKGLDSENPEERAQAKKELCKLLKEYVNNLNNCKKQCSNSPVTVIKIKGQIKDLEIIR DNCSSGKVNGVKVITLDGENQEYNVASDGTAEKQ >CAK56005 pep:novel supercontig:GCA_000165425.1:CT867985:764379:765809:1 gene:GSPATT00000440001 transcript:CAK56005 MDYTFIQNSENFNFYGLFSYFKLSFQQDLQLSQMIQKTKEEDTLEKRICDPEFSYNPKLF SITNYPKSFNLNNIIKYSQKDTKQKIMSCKLYGLQFKDQYFYVLGLRSLLYQPITFEQSL QQMSRRLYPWVAFDVFLKKKLLVLFFNFIDHVYCEIYDGEQPKIIHFVQLEKVTYGFQLD EIIVTNNNKCIIYRARYQEQRNYIAEVFRFAIAKDLEIKVMSHFINPNDSDFRTKMIEQE NSLKVVQQSTFKLIRNDRVVPPSPLLTYKGRIICDYLSTPLHGNFTYYISLGQNNIVQHK YPQNFVTIIPYMSDQFYLEETQCQTCLGLHVGKQLNYAYFGSSQERENFQNAIRARKSGV INLDVIFIHFEEDSLDRLVQFKRIKLKEINQRIEQTEQQLQQLQSIKNELEMDVIEGELN SAVKLKYSSLMMQSQSILHEVSGFDSPSFLQQK >CAK56006 pep:novel supercontig:GCA_000165425.1:CT867985:766194:766925:-1 gene:GSPATT00000441001 transcript:CAK56006 MEQNIIQSTTVKTIKELKKKQEFRTSMEGDEFEQNESTQSEKRTPDDETSKTQYTKFRLN HKGSINQILPKLSQSNLQESTKSLQKQLSEELPPKQFGQRKRFNRYATQKLQQQPLQLTE QIDQTTAMNLILNKSKTLADYYTNNQLTSTQIHMFLTDNKQFVFTFMAFLNRLAEKGILS ISLTNIQKSVLTQIEQFTINQINRELNKLELNKKIQDQMKKIEIKQNQYSKFLSELEASL KFF >CAK56007 pep:novel supercontig:GCA_000165425.1:CT867985:767891:768281:-1 gene:GSPATT00000442001 transcript:CAK56007 MSNNEGINEQEQQHPLDYYKESIRLYYYNIVLHQHVEQVTQERNEIKQKLQKFQALEDQE SDLSMDDKRKRNRRSAVEIPRSHVCQIKNCNKSYGSEGSLMQHMKIKHGITLEKHMNFIL >CAK56008 pep:novel supercontig:GCA_000165425.1:CT867985:768757:769871:-1 gene:GSPATT00000443001 transcript:CAK56008 MISFQQVINFREILLCSTLSERPVKIEFSQYPGPHLQTMLKLLQLIQTGCKIDVNTKGII YIPGIITNQDGMLQTYNCGAERSISYYLEILLILSLFGKAPLNIELNGLTNDSTDQSVDS IINAYIPLIKKFSPDWDVSLKVTKRGFLAGTGTIILHSKPIKQIQNTTIIERGPICKIRG VCSGSKVAPNLLNRVVGQCRNVFNDYIPDVWIHTDLQKSQKGIEFQSGYAVSLVAESTNG LFISCDCEYSNDLNNPEKVGEAAALRLLDEIKNCGCCDTTQQQFALLLMAISQRKVSQIK LGRISTHTIETLRIIRSIFGVTFNIEDSVFSCIGCGLMNLSRQTQ >CAK56009 pep:novel supercontig:GCA_000165425.1:CT867985:770046:771706:1 gene:GSPATT00000444001 transcript:CAK56009 MYSNRSHTYRKNDSLKLYPASPTESHLQESEYKYLPLITKVNQEQLDPKWQECKIDGKNL LPRSSSSITILNNHLYLYGGYQYAEGIMKDFYKLNLNAQTYVWQKIKCDYEPGPRCRHSI CSYLDNIYLFGGQVADSISTNEIFIHDVKKQQWQKLEINKTYPSPLDNHCATLYNDQWII FGGFYGGNECKHSNDLFSFNFNENRWMKLNKQKGMEPAPRDGSSITSHNQSVYIFGGKNG DKRYNDLWQFNMLTLQWIFIGIDSLNEDLRTRSGHSLISYQNKLILFGGIHDVTWELDDL HSFNVDIQKWKTINADTSRRKEAEVPSPTKTNRNQPRQQKPRRGPILLRPLSLRKSPSPS PNKLRPGSQSQYSSYSINNNPNNFASPELSQYQSNQNNQNNSTVHTTLNNVQERKRWEQK KKKTAMLKLFEVENREIMNFQDDCNVTEKLKTSIILIGNPKQDLKLKKGILTEFGQQIIS KFLLPITGGQNVINGKKPCARDGHSVAVFNDFMIVFGGDRHTMSFNDLYFLNLSHF >CAK56010 pep:novel supercontig:GCA_000165425.1:CT867985:771763:772773:1 gene:GSPATT00000445001 transcript:CAK56010 MNSREEDHLNQVDLDQAYDIPNQQGSLTQKINEIQDMAAEKYDDINQIVNYEMRVMQEKL GFRGERPQIYQQTALFVAVIQLIIATFLSMLISQTTFLGQAWTFIPWIQYLFILTYLFTI LAIQCFSDKIQETRYNFGICCVHAVSKIILIVFISIWFFDIRVEIFLVALIAIQGYVTLK IYVPTQKHEEFDLKVKDLWKKMTIFQLCVSVFLMYFTRSTYMVGLLVYVSTLLIGIYTIL CLQRFKEYSYFSFNSNDLYLGALQLEADMFLPCSLIAFQDRNKTQSPSRRSSDQSDKEKD YKKQDSEQRMAVQSIKSIAD >CAK56011 pep:novel supercontig:GCA_000165425.1:CT867985:772852:773727:-1 gene:GSPATT00000446001 transcript:CAK56011 MNQEISRAELLQWVNDLLKTTINKIEQLGTGAIYCQLIDAVHPGKIQLSKVNWRAKQEYE FVNNFKILQQSFTKLGLQKPIEIEKLTKCKYQDNLEFLQWMKRYIDTHYVPKDYDPLTKR GNQDFEDPDKQIRNQSKPKVLTKNNTKDQQIIQNLSKNSSFATIQILNNDFSNKENRQAS MTDLLLQIETLKCERDFYYVKLKDLDGLMDQHLQQGLTADQLCKGIKEILYNTQDKSIVV QQNGDLEVTYYETNEDAKSEFCKTEQNQADDISIS >CAK56012 pep:novel supercontig:GCA_000165425.1:CT867985:773775:774627:-1 gene:GSPATT00000447001 transcript:CAK56012 MDNGQSKTKVTYQNNIKDQDNIDVLMGRVISKENYDEMEQQPIFVETVESILIDKQKKQL FLKQMMNKERSRSNSQGSSSSDSDSDSDDSSSKSDSDMSVERAPKPQEVIHRDVKTGQRI TQSQRVEQEKQLNPKLKAKEDKQTVLQANKQQIEMWSKGLVQIQEKQKKQEDAQQALATK NEEQIKQIDMQLMGQQKFDDPMRKMIQEEDDKQITLKQSDFLLKCKFPPPINRFNILPGF RWDGVDRSNGYEMKLLNSINDSKYKKLEMQLENMRDQ >CAK56013 pep:novel supercontig:GCA_000165425.1:CT867985:774631:775718:-1 gene:GSPATT00000448001 transcript:CAK56013 MCIFCILGIAIFLWLLHIYMTGGVCKIKKNLSGKVVFITGANTGIGKETALQLGTMGATI VIACRDTIKGQAVLDELNKLTKAFMIKLDLSCLNSVKQSVEDFKKLNIPQIDILINNAGV MAPQTYKTTKQSYELQFGTNHLGHFLLTELLVPYLKVAQQSRLVNVASLAHKHSKLDFQD INCSQYANSKLWPIKYNLQAYGNSKLCNILHAMEVSKRHGIKGCSLHPGVVRTELVREIV GNPILNIVFKLVTPIYFIFTKSCLQGAQTTLQCALEDYDKLVDGGYYSDCKIKQPLFANK QLAEKLWEFSTEKLKPYLQK >CAK56014 pep:novel supercontig:GCA_000165425.1:CT867985:775837:778165:1 gene:GSPATT00000449001 transcript:CAK56014 MFQQRFDYLRLPGFVADNIILDPGSIKIGVGQNAIRKIFKVQDYDQFERMQISAIAQALQ KNQKYDANIHTDDLLLRYLYANKFNIEASVDVITIDHSSNQLEQVTSCFSPVSCNLIKKW SNSTLKVPFIQRVEPDSTFPVQQFLQSWWMIWPLFERATIILCAIIEDYMFYSGKVESWI AVIQTKDQSAYKMPLDKIFSIIKILQTCFPNTCDAIYILNATLSINLLWSQIEVEYISPI TLGKIKFLKDKELPILSNQFHPEQLEQSLGGEKILQSFWPPDPNDYMYDQPPQQQQPFYE YEEQPQVFFSDNNNLQYMNEAPILHEEIPPPIPVQKQPESIQEDVVQNVPEKKKKCICVK CSRDLENLNSQPRQRQPSEPQPVNKPIIPQQEPQKQVPQQLNDTYVPYERRYDSFINDTS LLIPKTMNETIQQDNKDEQQFGGNSFNNSQQQQFGQQPEANQKPNKPSFTNPFYNADSMQ GSQQIEPKQPPVISNKVSQEIQANMDDTIIDDKPDYQPKYVSKHSRPQQSSNFINPSQSS RLTNNNPNLYNFQNIPSYNPINYAQHSQQTPQSQQYIPTLQTQPQSQQYINLESQFQKID VIQQQPVQVQEFENTNYNPNQSYYAPQLSNDPKKKYDFSQWDKYNDGNDTVPMQPYVPIS NTYTSPYVQQSPLPNYNLSEDYNKMYDTANDYKPGEFRPSTYNFTPYEYRYSDQQQFQPN ATKENGTQQLYQQKPGQQACQIF >CAK56015 pep:novel supercontig:GCA_000165425.1:CT867985:778170:779316:-1 gene:GSPATT00000450001 transcript:CAK56015 MFRIFRIILYFRLIGNCFALDPEIIQLEDHIFYGMISLYQTKLSISCLILFSGFCSGATQ GLLSIDQITIEVKNKKWASRILSVIQEHHLLLSTLLVANSLANESLPIFIKRSTGDWIAL LISVILVVLFGEIFPSAIMTGKHQFRIASSITPYIKFLISILYLICYPLSLILDKVLGTK CKRYHLEYIRQLMEICQQQDVIKPEELKIIVSVMKLRNKQVINHIKPLHQVCYIQQDEPY CKRLLRRLKVKEYSMIPIIENNSVIGLFKSKDLVTLDESNYGQLIVELVKIYQPLIISGD TKMLDLVLMFQKYKTNIAFVVMQELQGIISLKDLFNEILDDVYLDEDIHGTVRMEQTSQQ QSIQTIY >CAK56016 pep:novel supercontig:GCA_000165425.1:CT867985:779611:780150:1 gene:GSPATT00000451001 transcript:CAK56016 MGSNCCKQSEIVSTNQESELMDPNDMKIDQEIYPQKVHRGFRNILQKKKLTKQIDEATSL TLLQKELKKYTKRHNCNQTILSSHNEQKQDNLQLKKQRLKSIIKPRPSHYSISSSTRLNT QLKQEENQQRSRQRIRSEKKVRFKIPKQHKKSSHSYSISNNKSRFQINNNSFQTQLGQF >CAK56017 pep:novel supercontig:GCA_000165425.1:CT867985:780451:780945:1 gene:GSPATT00000452001 transcript:CAK56017 MGAVCQYQQIGSETYFNQETQQYFPISKELKPKSINSSIKHDLKAKYLDIECQFCEKPLM REIASQTKISYNKNQSVKLRKNRSNPQKKKRNQKSKDKIVFENLEQNEQRSLSQTSKTQN KLHKCQSYKKKGKDQYQDFQPYIVLINSQKESKFSHQTLNQIKN >CAK56018 pep:novel supercontig:GCA_000165425.1:CT867985:781950:782848:1 gene:GSPATT00000453001 transcript:CAK56018 MDPNAQINERYTKLQEKLSTLQLEVDTTKDAKIDEIYDRINKAQSELKDIINNYSEQLGQ LANQYSDLSKQFDKQNEQYGSAHEKKLKEIKNLENKLQKKLEDDNKTNREQSDQVLSNYD QQVQDLLQQIGQEIKVKNQQVNIINTTLQNDLPALWQYNVQENQERVQEDQDIVKRASNE ISKLFEQANQGKQQREDAEVSMFSMLKEVVVRIKSELEEERQLRMDGHEALLTILEEAYE KMEETHAKVQQQKAALQEK >CAK56019 pep:novel supercontig:GCA_000165425.1:CT867985:783140:783998:-1 gene:GSPATT00000454001 transcript:CAK56019 MNIYNYLFKFIIVGDTNVGKSCLLLQFTDSRFRNEHDATIGVEFGSRNLKINEKQIKLQI WDTAGQESFKSITRSYYRGSIGGILVFDVTNRESFDNVQKWHTEIQGYACDKIEMVIVGN KIDLEDRREVKTEEGRKFAQKHGFDYFETSAKTGENVDAVFESMATKVLAKIGSGDLDPS QEIYGIKTGSIGIYSKPANPPTNNQPSKPQTITTNQNQNQKSDTCC >CAK56020 pep:novel supercontig:GCA_000165425.1:CT867985:784342:785130:1 gene:GSPATT00000455001 transcript:CAK56020 MPSRVLELTRQFGRKSQAVSIIQFFRSMVACKSNYTIIIFQIASITNANGKNGQYCQYRV NFIGDTSHAYLIFDKLKKWNPKPIQFETKKLKESIDLANNMIEGLEKASEIKLGNLNEHI LKDLTIKLNDLVYHKSSIQEIIQTLNLISNNEKLQIVKLNLGQQYFALYQALKQGKGESY LQITSDFIDQLKATIGFQLQQEFLTNQKKQEKPTPNSDDTKEITDSPIKKKKIEEISKDT LCNQH >CAK56021 pep:novel supercontig:GCA_000165425.1:CT867985:785743:786057:-1 gene:GSPATT00000456001 transcript:CAK56021 MTTESSQPKILEESRTMLILLMRLPQQKLQSVLFDSSEKALDQLDQAFHTIPQDFLDSSK TQIDEFRCRVNRMIMHHQKLTNQARMNKLRQALGCLKKIKKNNK >CAK56022 pep:novel supercontig:GCA_000165425.1:CT867985:786500:787063:-1 gene:GSPATT00000457001 transcript:CAK56022 MKKNTRKKQTLEQQEDQQRKKTKLEVLDADQHISKYNNNLDNLIDIFTRYEREDDFTQNL MTTSKLSKIQKIHDLSLDTFKAESFSLGPKVENGDFSQGDQIQKIISVKKSFLNDKLIAT IQWRPRSNGTIPKSRDYFTTEVAKYAPKELIKYYKSRMVTTTIIEQQQDC >CAK56023 pep:novel supercontig:GCA_000165425.1:CT867985:787297:789830:1 gene:GSPATT00000458001 transcript:CAK56023 MFRSQEMSYFQLVMPQDSAWTIMDQLGYLSKVEIIDHNRNEALINRPFANYVKRCDDLMV KIENMLQVAKNLNLLSNYKKGNLKQFTNQQNSHTHTYLDKIEEDINKRTSSFQEQNKHLE QLIDQSEYIQNYIEILIESQRYLGENAFQNQQTSKFEYYVGILKNQEQLQFHRVIFRVTK GNSYVHLKRMNEKQSIFIVLFPNIGNYGKQKIQKIVEQVSLGKFALPQNLLEFEKKLYEL KNKEAEYINLIKMTQNQLCQCISNMLVIRNGLPLIEYYKFYLIKEKELYKELNKLKMQGR LFLGELWVPTKDIQQLEQTIQVIKEQQSNNPGGQLAQKSPPDFLQKPTYFKLNEFTQVFQ EIVNTYGIPRYQEINPAIITIITFPFLFGVMFGDIGHGFVLFVFGSYLCLFKNKSFYNLR YLILLMGVFSFYSGLIYNDYLSLSLNLFQTCLGSEDQCVYPFGIDPMWGDHLEFNDSFKM KLSIIIAFCHMLLGVSLSGLNYLFLEDWLRLTCKFIPQLLFLICTIGYMVFLIIYKWLTP FEPQNAPSIITTMISMILNLGRISGPQMWEGDSQNYVQYCLLIICIITIPWMWLPSIISH LVRRKSHQQSKDKLKTHRVDYGQLIEESGVEMIQTSSYSHEQTDVKQNKELQDSKVQIQQ KEHNSHLGIEDMIVHETIETLEYVLGVISNTASYLRLWALSLAHSQLSQVFFELLLVQPI NHGQPISLMIGYPFWALITFGVLMCMDSMECFLHSLRLHWVEFQNKFFKGDGVQFKAYSF RDRIKDSINLESQ >CAK56024 pep:novel supercontig:GCA_000165425.1:CT867985:789920:790320:1 gene:GSPATT00000459001 transcript:CAK56024 MNKRVDPYQLNIGYENDITKTNILTNGDYLSSQGVENQMNLPTELDDHEGPTLFYCHHCK MDSVSTCEYQASSQTFLCAFLLFFILNLFGCLIPYYSTACKDRRQICPRCRKLVGIKYYN ACAC >CAK56025 pep:novel supercontig:GCA_000165425.1:CT867985:790367:790844:1 gene:GSPATT00000460001 transcript:CAK56025 MIVNQIYQPVEFIYSYTIGGKEQQHLQLKNQLPQKKRNLTEEDRRKIKNEIQNEFNKKKT LKKKIQKQIIIKVNHKKYLKLFIKLKSRKNKVKKLLLGKKIKIIKRERIFQLAQDDVDQQ VKVKDAYDKEYDKEEERKINFRF >CAK56026 pep:novel supercontig:GCA_000165425.1:CT867985:790872:792845:1 gene:GSPATT00000461001 transcript:CAK56026 MNLKDEQFNEEICVKIKTLDNHTLDVRIKQSSTVNDLKNLIEISSTIPSSRQRLLFKGRQ LNNEDTLVSLNIEDQCVVHLVANMPEFESSPLNRGLSTSSIDEHDNRNSFDVQESRRNSR KKVLQQRLHGFQQLPTRNSLQQNVMTLHNLLQSFNTVAEVIEQGQIFAQKDFELGQWIDF KDSYGEWLEGYIGQKQQNQVLIIHQNGEEWIGVPSLRLALFRSHTIQKTYHMSPILNNHE QQQLWTFSELLGQTAMLLSRAGNMMSRLADSVEDKSIPQNKKADMSNLITGMIEEQRRIQ EEKVEKQDDVTSLKSMKSMKSDKISIDYETSSIKSMKYIVELIRSDISKLTTYFIGHNHN TMMAENIAEEEENSSQDMSVDNQRDAANISKEFKENYAYYETSLLAAQLAPLADRLGRLL VDLSPYLALSGANINNIFQNHPNSNISNLSIITNEGSQYSTQAKQYYFQVPILLTPFELH TQSQQGISANRIVGDNVDILNHLNNNNNSNSNSNPRQKKISKKEEEEKQQFVTLSKYKPT QQYHSSYQQQQQQQQLQQQQQQQQQQYQQQMKEANFQVIDQDDSQQLQQYQSSKKQSTDG QIKKKKKGKEVSFSEQINQLKKDKQF >CAK56027 pep:novel supercontig:GCA_000165425.1:CT867985:792907:793422:-1 gene:GSPATT00000462001 transcript:CAK56027 MSNDNDKENGKEKEKEEQYYRYPKSFLKDIFIALHSFDNPERDEHEEFIDIEMKYDLFSY AYKGISDIELKFMKSSEEYLKLKSIEKCKETPKYTWMQNIYRNKMINKEDDMLACEKWVI DINGQREVSYELEFFQSQKDKFYSIIYPPTTLKFFYYMFKNY >CAK56028 pep:novel supercontig:GCA_000165425.1:CT867985:793516:794034:1 gene:GSPATT00000463001 transcript:CAK56028 MSKIYEIENQLIIRFPPQIAEKIRESFANNQQLPITIEPKIGKGMEFDVSINNLKYQDKG VLVDLPTITESYKSKDYINLYKSNDISQMIWVGKTSNTRQCGDKVVCDSGLTPPTYDIRK DFHRKQPQIDIGEIQRVEKELHSIQSEFMKQAEEEENGSDDGKKGKKRYNKF >CAK56029 pep:novel supercontig:GCA_000165425.1:CT867985:794055:797071:-1 gene:GSPATT00000464001 transcript:CAK56029 MIRASNKVIRYSFSATYVSGLFEHWQKNPQSVPEGWRQYFSEQINGGAGVQGTTSLNPLD HAKQIQLLYRTYYMFRMFFVCGHQLADLDPLNLPNTKEYGRVKGSRPEMTLDSFGFKKEE LDIPIYFGNKDQRSFIYPFMEVKEEWTIREIYDRLSQIYTKKYGVEYIHMVSTEQKHWVE QEMDRIAQWKPSKETQTATWQRLARVDLFNEFLKNRFTTSKRFGIEGTDTLIVGLEALVD QCAQNKVEHIIVGMAHRGRLSTLANVFKKPLEIIFAEFQNKYSKEIEESWGNIGDVKYHL GVTRDQQFPDGHHIRMTMLPNPSHLEAVNPVVQGKTRALQDICGNKQNCLGIIIHGDAAM AGQGVVYESLQLENLTGYSNEGVIHVVVNNQIGFTTTPIDSRSGLYCTDVAKAIDVPIIH VNADDPDLVEEIFKIAVRFRQQFKKDIVIDLIGYRRYGHNEQDQPAFTQPQMYEIINKQK PVFQLYDQQLRKNGVITDDFASTEIKKLNNSLETAYKNIQKETFDKVHWVPKPWEKIQQV TKWGKVKDTGVALKDLLELNEKVNHLPAELTVHPQVKRIYEQRKQSIEQGKGIDFGTAEA LAFGTLLHEGFSIRLSGQDCERGTFSQRHAVLNDQKKDIKYYPLRNQIPSGGNNRFEVYN SPLSEYGVLGFEYGYSQSNPNVLTIWEGQFGDFANGCQIMIDNFITSGESKWNVPSGLVM MLPHGLDGQGPEHSSGRMERFLQLMDDDPNIVFQMKEQRIKRQILDSNFQVCVCSNPSNY FHSLRRQLRRDFRKPLILFNSKRLLKFSKATSDISLFLEGTRFHRLIPDTHEEIKAPKEI KKFIMTFYRKDKKQKRNDVAIVRVEQLAPFPYDHFRVVAQQYENAEFVFCQEEHQNSGAW QYLEPRIQNVLSLLHQQSKIKHQYLTFCGRRPSASTASGSPTVHKQELEKLLSILFQ >CAK56030 pep:novel supercontig:GCA_000165425.1:CT867985:798160:798455:1 gene:GSPATT00000465001 transcript:CAK56030 MGRQAKTQKKAPKAATKKIAKKPAGPRQTRTIKAAVAEAQATTQPSAGQRKLPRQNRRNK QAKKANSKKAAQKK >CAK56031 pep:novel supercontig:GCA_000165425.1:CT867985:799380:801928:1 gene:GSPATT00000466001 transcript:CAK56031 MQEPFKVSIRVKPYEGRSRFLTQRIGKETIIQVECPTQTIRDPDTYEQRSFAFDNVFNDG ESTQDIYDQSISQMIYDCVSQGYNGTILAYGQTGSGKSYTMFGNLYDPLVENDGLVSMVL EQLFQMNVKISISYLEIYNEQIRDLIGDQVGLQLNEDPIKGVMLQDVQELQIMTIDHAKS IIINGNQKRVMAATNANQFSSRSHAIIQLFVVNQQYQCKLSLVDLAGSEKANVNEGSKGI RQMEGANINKSLLALGNCINMLACDQSMKKFVPYRDSKLTRLLKDSLGGNTKTLMIGCVK QIVQCHEESINTLKYASRARAIKKKIVQNIKINDVTNCSCNCNSENVSLLQAEIEALKQE VSFQCQLTEEEIEIRLNLKQIDQLQFQNREGLEYLLQQMNSEMIDEDRLKNEIMQYERAI NENERIRQELTSQLQSKKKERTDPRDIQIEMLKKEITFLRDQIKQKDLVIDSLKMDKDNS KQSRPHTSYQETDRPKMNKENSIEIDVRKDSLQYCGSSKSIKQPTNREEEQHLQKLNKAR DNYKIFRAKMIQLQEKMNYYTKNDVQLNQNQLKEIIDMMNELSTQNGPVLKQDEEMIIYF QKFIKSQTQKSQQQINQSTLNTQITTPQQRSRSVNPNPIRGKGTSQHQRKPLQPTNQSPM NRQLFSNHTKQYQQLVQKGKKESCPTPQNKQKYILEYVKKMENQIPRGSNKSPLQSFSSD NSDKPETILLSVVLSKRFRFNQFNDIIHNYYCLITKANPSSSPNIHLFLTFLIVLYCKIV EIIKFKYGQFLTQRKLKSRAFETMLCLS >CAK56032 pep:novel supercontig:GCA_000165425.1:CT867985:802158:803522:1 gene:GSPATT00000467001 transcript:CAK56032 MNIYKSIGRLSAFRFSTSVIEILNKFDEEYYVKNYLNTFTSTGFPQANLKIGNKTCNLIG LPRTGVQETIVDDLTSLLLKDQKKNNFLIQIDPSPYLYAKRQLYKLYHSKVDPIITDNLF EQLPTLPIDTNELRLDLAIFDSIHLIQQHPQINAENKQIIFEYLNGQKLGYYQSTLKRLK EAIQKDGKLSDQLSQESDEMAQKFADLLSSTILYRDQNQTDIVQLSLLQALYMTTLKGSS IHLIGMSQIYQRIASGIFLSLSDVQEMFNNICQEIQSKSISENNLNYLFDFQTMLWKQKG IEYYLLFNINRYLKNSKGEDVTVIVDALHYDPLRIAISNQQNNFIEEIENSYFAEFQYPK LEKPEQDDQMLEKHAILSSVLSFQIWKEPCINNPFPYLSKDFKTMSVDEQKEIQDKYHQF YEKYTTRIQDVKNSLKQ >CAK56033 pep:novel supercontig:GCA_000165425.1:CT867985:803573:804521:1 gene:GSPATT00000468001 transcript:CAK56033 MVRNGFYNSPKFLWHLLTLQGNQYRLQIQVKTIQLQVYWMKQWHYGKIKLEGHFLGIVDV KFSNNGELLAVSSLDSVIRIWDLKKSIKSREIACDQMENWNICWLKDYICTAGEGGRLSI FGLDQNQEEIPVFSVENSFASALAANNSNIAVGTDSGSLHIVEDPIEKRKLHSKKIHKKM VRSVKFSNDGFKAFSSSDDGDIKLTDLTKMKEIKTFQHHYSVNSIDVNPIDDKLVVSCSS DYKVRLWDTSSGQCIEQFNPFDKKDDKLWAVRFNRDGSLIGVCSQQGQLSFYSRN >CAK56034 pep:novel supercontig:GCA_000165425.1:CT867985:804559:806008:1 gene:GSPATT00000469001 transcript:CAK56034 MNHLSIQSDIFDQDLPQDMQQSFAIVTTHVGVPKVIEDCSQIPEIIQLKSGLMYSEQHRL IQLSKIQPQLSYLQGWEDKSAVLQNDQIRGLQSERTENNATLTSVIMQSGLFTHENFVQY EGFILKKTKKLLSPFKQIYCHFQDGILSFYQNQQKQKARFVLNLGLFNFQYYQKQNVNSD IYEFGLKCMNDDKIFQFKGLYNNQWFLLIKKFIDNVRQNPVVKFYLNPFSKYYKKRLITN DQFRQMCQTGDILLFQTKSYSSKLQRLVTRSNYDHVAMILKYQSGAIYVLEATDQNGVGI FDWDSMTNQLWYELYQMVVYRQLHLRRNIEFYSKLKNLLRRMLGDNTIQAFRNYFKKNLQ QSLHRPRMKIQPIFALNLQQRYINQSDCLAKINLLINIGLDPFLMKKMIFNLRVVLSQMN IQSDLICERYVSLKLQFFIIFVVQYSSYKQIFSKKSSNLQIIRKLQ >CAK56035 pep:novel supercontig:GCA_000165425.1:CT867985:806197:807258:1 gene:GSPATT00000470001 transcript:CAK56035 MKNTVSKSNMVFNKSFGQHILINQQILQMIVDKSAIRPTDIVLEIGPGTGNLTELLLQRA KQVICVEIDPRMVIELTKRFKYSQYSDKFKLIQGDFLTAELPFFDLCVANVPYQISSPLV FKLLAQRPLWRCAVLMFQQEFAFRLVAKPGNELYCRLSANVQMLSRVDHLMKVGKNNFKP PPKVESSVVRIEPKNPIPNINYIEWDGLLRICFNRKNKQLSAIFKNKSVLKTLEHNFHVI EQEGIKVNDPANNVKQLISSIMEEEDVKGKGKKDKKDKKDKQNQQKGEESESEDDEDNNQ QPEKQQTTQNPFRLKINEILKTNDMFQKRPVKMDNDDFLQLLCAMNAQGIHFK >CAK56036 pep:novel supercontig:GCA_000165425.1:CT867985:807741:812719:-1 gene:GSPATT00000471001 transcript:CAK56036 MESKSNYNNAQTQLDNEGSFVDKLTLEMKQLIFKIVTLMLKIESQSVIFQLLMRLIQYMQ LNAILFNRQIWKIWQVTTVSRPLHSFFNYFMITPYFDKDSFSYFVVLMYVCLSLFLISLM LICVIGFYSNKQNQSFTWAIVILRTLIEFFLSILFLPFVDVFLAMLACYQDDSGDFQHYL FDVQCWANVHIVHGIVAILAVILFSSITLLFSMVYYEVNYLPQNLNSKKQSKCTTYLLLY ELVMVICYTYMNDKLYEYVHILIMLIGSFLVFWCFHVEKPYNNHVIQKSYSMLVTFHLWG VSMLCFAKYLEGVLFFGVIFSWMGGLPLLIITLLRPQNEQYEILMTNMATCQSPQQIYNL TNFLLQLQYESQNDQTSGLVIDGFLDHHKITCVREDCHLKLKGLPNYRRNKIGLNDSNLQ ERDVDLCIVLYQTYLNQTKKFQNSIKLRLRFAIYMYEKMKQKQNALIEFQAIEQLFPKFD EEFIIYRFKKLIEDELNSNEGYGSFDLGNELQFQNNYKSLQRYIERSSLLHMDFWSLLQE DFPDLSKLNELGQKINNSIVQIEDLWKKMQKQSTNLTKALRLYGKFQIEVLQDKEQGEEL LEKSRLIQQQVNFNRNKATASFINAEDIGLEAFPTIVIATTVDKFSQITNLNKACCNVLA YNKSEILNKKITLIMPNIFAKFHDKYIEKFMTLNVSSVFNVDRYIFVKQKHNYIMPSFLN IRMLQSYDDSTMIVGQFKLIKQFKPICYILTDTEKIIESISASCFSLLGIDNKLITHNKI YINDLFPQFQDQFNSFFTKQGAPIKFYNSLQQTKSPSQQLSSEQQTEKQKSDRFCQIYQC NMAEIKDFEKQLVGYSIKLELIQNEKSFGTQQNMSLLAEKQQTEQLVYNQMQFKFNPKIM TFVAEVGNELNSQRVDQSVNWDQQDQSSQLTSNLGMENQKPSTEKSDDLQILSHSQKLDD GIKTLRLFENKIQDIDDRDDVISEDEDSGKSSVFQKIDNDSIEVGANDNVNVFRSRTNLL QLINIQKTPKVITKLNWTMNLEMLSIVTLSFVAFFLTNQQYNKISEQLYLVQQVGIRNAE FFNIMAPMQNLQMQQIGIWIFTSEAESAQYEKDQRKMINDTINTINQINTILTLNSENDN AQLKELYTENVVTMMVSDGVFQKYDLVQAMQQLLSKALIIRDKQLASMTTQDEDYRFLTY NLFNDFANHLQNSTQQYSLDLAQKTADNRQELLSILGTSSGILGVSLLLLIFFQIQMARG LQEILVLFLDIPDKTIKFLYSKCENFLSNIQIGEDDEMLSDFDELEKEEREELNRTLKQR RKKKKYKNSNKELRNLILITLILTILFQSYFIIFYFLSSQMLTNLNQMVPEINSTSYCEG FYKFVESSERHVFLNRDIPMTGVDPYFLMKFLIDALYQIDSYFHQEHSLNIDILNSIYVD AFQEIFMLQPCAIFANEMKTVTEKECQTFASGAIDQGMAVGIARFIESIRFLLTVYEQFY GHPEVSFSNAVRGNVVFKNITQNQDNVTNYIYNLNNFKQAQELRIMQSTYLKATFRYLFL KLKEGINQDMNNSKTQLLALFIIFEAILFILYFVFWLPLTIKLIRDIQKTRIMVLMIPLK VILKIRSIKLYIKDVILEKAIEK >CAK56037 pep:novel supercontig:GCA_000165425.1:CT867985:812867:813540:-1 gene:GSPATT00000472001 transcript:CAK56037 MRAQNHPLIHNPTLPTLQNFEDPIDQTNLYYLNGASQERIVYPFTQRNKDKTKNQIRNKY LDPENNKKMAFFEQLQKHQQYQAKIDKTVQYISSSKKEPIQQSVSSNKGLYKNTSFKGSE RNRNLSQRTDLTPQSVKEQCIIEEIVQNQDLISKQKGLLEKVQDLKKLKLLKQYKFNHYY LPGVGKSPYIFNDTHSKCTNPGYSRNIEGGKFFTR >CAK56038 pep:novel supercontig:GCA_000165425.1:CT867985:813576:815527:-1 gene:GSPATT00000473001 transcript:CAK56038 MQFINNDSLFNFSSESNEEIDVVPSEHHLNLQTTVVKDKFYQNLQSSITMLNQMLGLSQN ILNKQNTKEDVSKKILQLITIASEGLMQIEQIYSLIELKEFGIHQRHQNYNQAQSYNSIN QNTTNMVLDYLNHFILNKILEILNKFPNQDKQQNRKFSIYCSKLQSCIEILPGATSVMQD DLFSLNQQHLFWQQLKNHIEIKQLADHEQVRQSYDKVCEGILLANAMISKGSEYEGQIKQ QFMQGLGFVFYALNKQKMKSRANHFLADPKKEEVFKAWNLPEQGLVKMLLPAVFPFIKFN QKIYIPRYFRCISSEYILNQYKRSTINKINNDCGLFYPENRITLEDLLTKKESSDRVQVR ILCHEILKPKKQSLLQQFVGQIIKNDTVFDKIIIHIHGGGFVAMSSRSHQTYTRKWANAL KVPIFSIDYRMAPNHPYPAGLDDCWQAYMFILTFIEQYYNVVPNKVVLVGDSAGGNLVAA LTIQAIKAGVRVPDGVLLAYPALSLDIKQFTPSFLVSLDDSLLHHTVLKLCLKSYIQKEF NPNLDPMLSPSKANDDILKRFPKTRIQVGTYDPLHDESFRLLQRLIQLNRDARLIEYQSM PHGFLSFDVINGMSEAKQTVLDAQDILSDLLK >CAK56039 pep:novel supercontig:GCA_000165425.1:CT867985:815857:821390:1 gene:GSPATT00000474001 transcript:CAK56039 MKSQLNKGKAELTRSNYLKKLQNLQISFTTLPNSAQQVKTPNVSINNNSFKILSQQTTPK TVQENNFFSSRDQDVNELKKQHVRTQSQIEGLLLRTQLVETARQRTASTFIKKPKAEVKP AKQMNQEDELRKQEKQKKMQLMQQVEMEKKEKEKLNQQRESTPKINSLTSILSKKSNAAK YKLLKVSLNVIEKSKEKNQLESVGEDFQQLSQNTKIREKQTDTIFKISTIITALMFQRLH SKITSPKLARLVAKHYLSLINYRKIEERLLALTSNPSMKYIQNVLHEDDVLSNAIKVAQV REIQRRLNEGLSQRRKASKIIFQKKSSRELRAEDIFSEKVLKRVRFIQMCFRMKVFKVNK RTIASSKPIEKARKSTKVRAFKRRLGDIIFMSENVPVFQLQQEKKEQEDKEKEKEKLQLE AKKENLGVFGMLWKAQNKFKNFCRHPGLKIPSKTCDDWVKYIQTLYYPSFRYISVFYRNI VVWSVSYYDVTYDKSCIALFFKGEGRQQYHFRLDIPLVTMPDFEVNKNCNTVWPTVKDYM LIYLRQKGQLALLNKDHLPSAKVYKTSFIQGLSLKSRRKLTELDIQSIVETQKIITYLQN NQIVKIKKKIGTTYDLLPQLSETIDSDLIKQYIINLPMIRLILIDILVLEQKLLENKRKL TQDIQNLSKEYVAYIQTNKETLNQILQFRFLHNNSEFFNDQLISKINQQETKKIFIQSCF VDINEILVQSNDALLGQVSKTCQLSIHLCYNGNVVLDKLLLDVDRMRPRYSLHNESTQLF SINTQTLQWLFDTSKFQLQIEALLFEKDKQNTDQYFYQTNLYRRKNERIFQPLSISVFHF EKLALAVKEIMDSSIFDHFEINQILKNLIFERANYSVIINLILNHLITLNFKNRQVTLKA QYQHILSSNLNFIEKMDHHSLYNTTQTYLKYSIYPHEEKDLRKMKETRETQSKFEYHSIF DQQFQQIDQIELKPEYTSKQLEVAQEYNYDQKQIFWSQGVKRFDTFQHPNLVVVRLRDKF IIVIMSQQRVRMINITTLRQPLILDSNNARIFLNSCKYTQQKQYQKLSLYRRLFIILLKE NCFLRIRMEKFNCTIRASLPNYSKIKAYLLSDSKELVNYLNRLDFTFTFETINKAKCYAN VKVFYLGRQESYYSTKYEQIYKFDGENLFISLQIHEFDSKLKKYILIFNKFDIEQRFEIY NYFSPNLIYQWCQEFVVNLKFDKKFLYKTPFSVMQIIKSYQDIKLASFWIAKRSQKCILN EYQRVHSYDYSLAKQFLSQRYKIFRVIAHYIEKFYVVKQNNQITLLNECMFLQFKNYKLI KVEFVIITIQAHTVLDLVQIIYYFPKSKKRLMTNINLIQIQEMDFSLGMIFQLLNVTEFT TFNDITSQVDEIQRGSLKYRRSSKLNSQVMTKLHIMRQSIDVSQLSFRTGKIKRRQGFVH RLLKLISKQKLSIKPLERMRICAKNGDRKNDKRYLLEIMFWKLMQQQVFQLSVRKEKRQL KSYISLFDRLVELFDNESNKKYRIIGKEYLEKKQTDENIRYNYKVQSECRNLYRDLILEQ EIYMTQNLNFGKTPFVIKCNLNEISILEYLCTKQFRSDNGSRGYIEYFLEKKQKQKGIDL FDPAKPILYSASSSYNIYLRYYCLANYQYKDLRINLREIMNQLVTDGLYKSQSNYMNSKI NLSDIIEMCHYLTQKIKTQNYLNLARLSQIQINQLPEIQQHIISECDDQLSKETKQKSGD GFVCTQVIRNKKNHSIYQYQLFQTTRKVIINKYEMRQDYFEQKEFTYAQLTQYVHNFTYL IKAKLYYLALKRFSQCYIELQKINQ >CAK56040 pep:novel supercontig:GCA_000165425.1:CT867985:821407:821949:1 gene:GSPATT00000475001 transcript:CAK56040 MNYEFSVNGQKVSILNTAKSLLDCHLLRHQYNRSQKYTPIKKVQNFQFALNSLSQTQTSC QLSTNRSKRTLQSSQKSRREKRFVPSELSQNLEFIEKLPKSNQSSIQRLNSPTQHVPNLQ FNLNYHLRQLKFKQQSQKDQFWQDFSITPTPRGFTNRVYKIKKNDPKLQFYMDCSKRVNE >CAK56041 pep:novel supercontig:GCA_000165425.1:CT867985:822187:822348:1 gene:GSPATT00000476001 transcript:CAK56041 MYQVEGINIAVEGCFHGNFDEIFAEVVEFEKKKQIKIDLITRLWRCSNYEKQK >CAK56042 pep:novel supercontig:GCA_000165425.1:CT867985:822386:823700:1 gene:GSPATT00000477001 transcript:CAK56042 MGSFHKYYTGERIAPCLTIFIGGNHEASNYLREMHFGGWVCPNIYYLGSSNVIEVKKGKT TFKLGGNSGIFNNSDFYISKLENFPFEQDQLHSVYHIKQFDLYKLCMYEGDVTMFLSHDW PLNIEKHGNTNDLIRRKKHFEADIVEGKFGSISHLHLLNKLQPNFWFAGHMHVKFEAQVN HQSKKQTKFLALDKCLPGREFLSFFTYTKEGLDVYNEFASNNEPVELYYDPEWLAIMKTT YNLQLIWDKMPKLFECNYAQKELKLIRWEKYQQMLQAKQEVLNKYKNQRIKIPNNFQITA TPHHKNDHTNRLTFPNKVIMNNQMSDYLKLIGETGLELNGFLFYDPQQPRKQEQSSQFQV INRIESQQNKKKVKQEILNQLEQSQNQQKKDGNLSAQDFPFLQ >CAK56043 pep:novel supercontig:GCA_000165425.1:CT867985:823855:825586:1 gene:GSPATT00000478001 transcript:CAK56043 MMAQADFIEFKASRSHSQYKLNSIDFDDFAYNLNKTIYNFQKSQEGKTIDKISKFRRAKS FLYVKKHESTNAIYQTFSRNAPGFDENETKELMELILQLEYLFILYHKFYPDQSNPVLLT SYLNCLTYNKEKYFDNYTNDISETLQMSILRNSQQRIIEEKTDENLIKFLVNEINRRNKY KKNMQLIRSDLNKFQKLQQNYEIQRKTKQLEHTKSNMLVASLKIVFQNTQNHSINVRFPL IDQNKSLCLDFEKLLKQGDIHDLFKQWNNYIDITSSHSEITLLISIVQDLFQEESWIIKS ILEQVQDESIISIDKIILQIGALTQFCPKCLNVMDRSHKEIAYLLQSKLQKQLLSLQINE NLSIQKQVMIMQNSQEELQKEDERHSLENALLQSNNLKMCLIPQGLMSQLFFIHRYSDNG TQAEEEEEQHQIQEQKQDSQQKIQLENSQIKFNRQFVRQASRRTSLISFGYSEMQAEYSD FEPLRQKLTDMKIHSTVYMENADKKEWKKIFDKIKQEKMQNQEFLKNQSISSNSSFEMNC IDEKFLKQMKVFLQNQQMRRQ >CAK56044 pep:novel supercontig:GCA_000165425.1:CT867985:825748:829082:-1 gene:GSPATT00000479001 transcript:CAK56044 MSISNENQQNSFSLQEEIFQGPTPIMLSGRIVVGDGDSSRFIPASWNSFTVPDQMKLADI SEQSIQAVPVEMHKSTKGMKKSEYQSAYVAEDDVESNGKPQFLKLIIAKSIQNNFIHNLW NRSYLRKLDQLSGYQVQVLDDLQLENETYYQDQRRTLTKLEAIKRFFSYIEVFTPYSQFI FIWGLFQILIYLLIFFWLPYKISFKLESIGEFLGYNETKQILEILFLSILSMDVFVGLNL AFIYKGIIIRNRKRILINYFRQYAFVDLVSLSTITLQFFILTNNGDSNQMLAIQIALCAV FYVLRMTKINKILAQIQEFFNLYGSLNDLVGLLKLTMIIVFIAHICACVWHGIAFYNDGY SWLDAYELRDKGNASKYNTAIYWATMTMTTVGYGDITAKNNLELLINNLTMLIASIVFAY SVNSIGIFVSNMYKGAMEYSRSVTLINTFMSKNKIQFELQTRIRSYLEYIWQEEQNMNDE EVVTLISKLSSNLQEELQYQLRGNILSSCKVMIKTFSQKMIKSLLGQMEEQSFSPEERII TINQTDDSSLYIITKGEVEIIFEGLNSLNEKTKRNSLKFLSQGDYFGELGFFTGQSRKAT AISRAFTKVFKIKRENFIKILLSYPNDYEKYCQLNHSLMQQDYSVLQVNCYSCQSNNHLI DKCHYVHLCPDKEAILKRELYPFDQKRNKVRGRQERDKELSPWIIQKYVMTKAKELQQEL QYLASKGTDFEDLDQHSNMMNDVYEDEVEIDVPSSLNQRSNSRTFSKLSQKQTQLNQIPE VEEDDQKNYSRKVALPRTTLQTAGFGGGMRDSVHVDIIRDDDEQESSDEESEEEKDQVPM HLPIPANKSQEVVRNQQSKITFTRKESAEEIIPRSSTQRSHTYTQKGRNSQTIKRTLTPE KIYPPTQSDLEIRNHARRPTSKKQSNATRTFTRNQGREMTNDVNPTSFMDNQTYNQHTST TILAQFDKMQAFLYYFPFNNYDSVIKRYTRLQKFFGKKRLYPEFSNFSFFFMTIKKGWKL RRLGDKLRGKTFADTMKKPLSKTIQSFKTVKKVINNTTGYGGGGTSPQRLHSFK >CAK56045 pep:novel supercontig:GCA_000165425.1:CT867985:829100:831077:-1 gene:GSPATT00000480001 transcript:CAK56045 MSQQVELGSVFGLNFLLILFTLICYHVLFVRKGIYFPGPEDGSILLIQNSFITIKHNIKL LLAFDKDYYTRVCGIDGYQYLYFIRQLIKLQLVFFLIAFISGIFPDKKTSLIIIDQDFTP SFQFCMTLIYCGILMLFVYNTLEEIKNSEIHSEGEVDIIRQHSIFIKGIREDVTKEDIKN FFNQVFDTLHIKVLSVVIIPKYPIKEVKNQKENELYLQNKHQLLISCFSPKLQEIPQVEP NSGHAIITFDTVEAVNQSLQRFKFEFKSCHKADSDNIEDDRIKISQTYLLAFEAPDPFDV IYLYLNTTLKNLMLRRFFMQILLILVLLFFTTPTSIIEFIGFDNSIGKQEDEGDISVFRQ YFSLILVVLINSALLMLIQLSSRWERHISKSQYQIKIFNPCVFYLTMNMLVIPAISFLAV HNVYQIVMQGATNMISAIQAVYFLNNGRFFIGILIQAGCVSLITMLRLDEIFTSYISVQY TELKRKHFKIYPHLQPLGDIFSYGYYYGLQIAVLFIVMTFSTNIPFIHLAGVFYSMCRFY VDSLNLQTVFGQEIKSNNTLIYRVLITSFYTIYPKLLLNLVMFVLEWNTKYTLLTIATFI ISVILIVQIQVKLDRFTNQSILADNKINLIMNYNIYQHPLAK >CAK56046 pep:novel supercontig:GCA_000165425.1:CT867985:831458:835318:-1 gene:GSPATT00000481001 transcript:CAK56046 MAQYRQLNEGDIPPINNPQIVTGFQILRQSVDLGLRQNQDARKSSVKAAALVSRQSQMYQ QAKERGIVPNEVIVNYDAPTNTKQDLLKKAQNKGEEYRNMDEHKVDVIALSQRYETSLTD GLTQDQATAKNKQYGDNKLTEKKKKPWWIKLILEMVQPFSILLWIASIMCFVLYGVNPEA LGAKSNLWLAIILIAIILLTGSITYNQSAKADALMEGFKNFLPQKCIAIRGGEKVEVPAE KLVPGDIIEIKMGDKIPADVRIIQSREMKVDNSALTGECDPLLRVTELTSENPLETKNLA FFGTLCKEGSGKGLVIQIGDNTVMGQIADLATGGETPETPLNIELKRFVILISCIAVGLG ILFLILSLVVEQASVDTAVGQAIGIIVANVPEGLLGCITVSLAITAKRLADKQVLVKNLE AVETLGSTSCICSDKTGTLTQNKMTVANVWYDGLKRVALNKLKHGRNTEYEYDINDPTFR DLHDCAIITSEAKFNIQAKDKATTNWLESPTIGDASETALIKFFQPIEDIENTRQRRQLV ELSDKSLAKMPFNSTNKFSLCIVNWETQDSFYCVYIKGAPEKLWTFSSYLLVEGRNQPID EQITQKFKSVNVSFGKGGERVLGFAKLHLPRSEFQKGYKFNLNSIDTLKFKLEGFTFLGL LSLMDPPKETVPQAIKKCQSAGIKVIMVTGDQPPTAGAIAKQIGIITGKTVDDLLEENPS MSYDEAFRLAPAIVIHGDMIVQALEEENRRLKSWCSKPQVVFARTSPAQKLMIVRACQYI GHVVGVTGDGVNDSPAIKQGDIGISMGISGSDVTKDAADMILLNDDFASIVDGVEEGRKI FDNLKKTIVYLLTSNITEVFPYVGEIALGLPLPLSNAFILTICIGTDILPAISFAYEEAE IDIMTRKPRKKDDHLVSLRLITHAYLLQGIIATSAGFFSYFSTMNEYGFPPQLLLNLMNT PYQKIPWPSIILANGSSYTPPPTTWVWDLPNMNNPFLTTQPYNPDWQQAPILTVEEGKSL DSSIGFQNIPLNWINPEIIYYDLRYIFVYYDQNYQRWFPTFEEWQNQNSDNLCRYFDRSD MLLEDNKYPINTNACFKTAALKYAQTSYFVAVVLVQWSNVFSCKQRKMSVIYSPINVVMF YGVLLETLIFICIVYIPGVNNWFGARPVDILNLGMPGLPYSMCLFCWEEMRKYFIRNYAK PSKYEPNFFEANSLW >CAK56047 pep:novel supercontig:GCA_000165425.1:CT867985:835428:837128:1 gene:GSPATT00000482001 transcript:CAK56047 MKKRIKRLQGSSYVISLEPDDVLGQGSFGKVVRAYDLENREEQLVAKIMEIGTQSKLDSL QHELTVLEQFQSDHQNLVQYKRKKLQSTKACYIIMEYCNGGTLEDKMKNKFWSEAEVMDF LGQFCSGYRELFLQNIIHRDLKPANIMLHDRLYKITDFGLAKIVNTLVDKLTISFKGTPL YMAPEMIQEEATADPKIDMWGLGIILYRMLYNKYPFLIQNKKYDRDTAFSDIINNNLIIP PTPKRSDFMIYLLQKMLKKQSKDRIGWEELFQLPQIKIQSQSQVDQNNQMISSSLFIQQM VIQKVSLIKVQPFKEKKVLSLVSQILTEEDLKKQTEDQEKEQKIILNEMMSNLNHKLQIQ LKINQIEDILFYFHEQIFFLDKAAMRVYRLNQVIPNIDFNITFNITAVILNQALGLIKKL QKLQQLDPQQVNLYKTTENYQIFQSLLQQDILGLEDFYKEVKNKVVTNIKNPIDQLFNLI QNQKPINSIIQVYITIKYLKDSQHLDELNFSIMEDFWTYYENVENTSEQEASQYFEKQLI >CAK56048 pep:novel supercontig:GCA_000165425.1:CT867985:837208:844093:-1 gene:GSPATT00000483001 transcript:CAK56048 MLFLLLVQIVYSGLIETLDYSINYLNIGYTTQLTFTFRLQSGLGKSGYLSLKIPFQIEAS VVSTVPQGVDVIYNEVSTTDCSPISKFPGALYKDSNGWYHVKFLNLDGDSRALEANTYYK VSISSKTAFSNQVAQILSPIEALTIQDFSVNNWIKYDFNRAFALIEFTPTPPSTLASSFT LQSATPTLLDYRHSLLVQITPTIPIDRKARIVIQMTDNDYQFQGEQCTSESYKDVNNTDI PALTSSQYTCQIANNILTVSLYQIFNTTLKFSFSIKNPKFVKSSGGGFQVMSMLSYANHI VEQVTVLNILSTSALTWGNNNDNLVTYFLWGLKLSDTSLPSQLKIIRDTAQNPYFNSLKF TFFPSSTTPLNLKLRVTLSLTSDIGSMILEGSLQENLPQFDKNPVSCSVTKVQPIKIVCS NVGTLNLESTYFISVKMSFPNQATPGDLPADFGLIQLESSSNGVAYDAIPLIPSGRDSKA IYSTLNSVAIISSNSGNGYTFAHSQRFSDPFACPTSSSFGLQYADYEQRLIFTARPQITS FAASVPTRTVGYYFYTNPKILKGTSTILAESPLQFTPALTCTPTPAVYTALDFVFNSGSD YVQIDNANKKYTSIQLTRIPAASATIQNVFGGNAANNLYTVSIGPVYIQNNGSQFCGEDL IDFIGFTAEIGIPSNTPIVPITGIIAANSYTLSGPNQMNNLYLSIANFWTGTTVKLDGTY FPAFIRVTGFLEQAIVDKAARLAIFFNNLSPFSEGTSPEGKQYVSCSSTLNRKQKCYGYT GSDDATNALQSVYNLHRVEFILDDTFSKSGPASPFQLVIPVSTVRNVNQLTFFLAIISSN QDDKVYSHFIAFKSALVSQTMNAPSLALVDPVNAGITKTGQSYKLDLINYSPSVGQQDSA AQVYYGHTQATPIITPNSGALQGTAFFYQGKWNMIGPKFNTKGLILNPSLTYEQKCVPYH YNDFYGFLCPMIGDTIITSISQYIKIEGFNYPDKGVQTPTGGYSGWSDKNGNLQSYSPES VSTITAGQIQIPLDIFPTIQRSYQDQIVQWIFTTVNNIPKDGYVSLSFTSGSWPFTVSTA SLCQLKSSQAAKQIAHTCTISKTDGVEIRFTFAASQAFPPDTYTIVQYGINMPDSSSNDQ AYTITTYTILNVIIDATTVGAGTLKFNNTPLTPKVEVGDVQFERMNNGMRGKFQFTFKLI NRGILYNQQINFDLTMVASSTVSKSHCSILNSDGSQNFDWKSFEGSSFTLITIRPVNDLS PGNLYIFRCFNILTPNTYMAPLVKVLSGSTILSQGTASNTALTFQNTPSVLSATGLTISK TYPQAYAMIELKINLVLSSPFDQKSTIYVNLPYYYNSYDNKKSLSCLMSDADAYCQYDNE RTISIKLFTLTIDSFTVINLVIAGIIQPISTYNDDQIFIMVDYDEDKTTINEYGTLSDVA VSSTVPISLIIRDFEISNVGIRTESQYTYYLTTLGNIQVGQYLVIDLPSKDYGKLVQLKP PTACSGILESDGVTSIISKCETLGNRILLQFEGMLQANTKYIVKITGMTNMEASSCYIGL PIFSLITSDKTQIQQLSTYVYSNIQQFKLNADSTKNTIYWKEETDNDVKYTIQSTDEILR LNYNELLLKPGQYSRIISLGQIERGYNKKLKFSISSNSGFFKVVNDLIVYPGDGKALTRI GCSGSTNPQSYYLYFSKEESDTPTYSEAGIIQVMITTTKTEVTTQFPSYDVPIFMKSIPV LIDFSGNIPVEDLLIDFELIPFNVDKPAGLKFQSTSDTKMNVQVTSDNLKITFIIFSETE VQDSDKLDTIDYYYSTLLMTFSGNSAPYFVQSSAQTIFKLVKDNTDAIKKIGCVYSMQLN QLSDYSNKQIITFQSDQDLIIYYHAIMLNGFERSFDDVKLFSENNTQLRYSDYYQEQFGQ LYLQGKTNYVLELKKLKARTWYKIKSWAVNLYDMQNNTQYVEFFTQNNNGRLMKLTFHFG TELNAESKKAIACWLCYTFAYPCYDVLSYDAIFCSDSINKDLSFNSTAVYSQYKMLEETN TTTEGTPTDENNQDEGEEEVDAGVETAVQYYFIPNQDLPNDNNWKQVIAGVEDTNFMRYF VGNLTNSTRLFSVDPLEEITFDKSPEIISTVKFTRGLTWLSLSDIRLRQTGLVWGYITPK FSAGTYATDGLIPSSIQMKYFANPLNQSIDSAYYFIKYYSSESISHNFTDLQPSKWYNIY LIATQDSPSLWTETSKVYSFTNKTLRKSFDEPAAIYDTLLLSMVIIWLIQ >CAK56049 pep:novel supercontig:GCA_000165425.1:CT867985:844128:846075:-1 gene:GSPATT00000484001 transcript:CAK56049 MRLIKIKYLFIILLMLIRNAVKRIFQTIFQSRSLFLQIKQQQVYTPTLTMIPQYGFFFNG KHDKDEKQAEIDKLYDQMEDCENEHQQMDMLRKIIGIREEMDQSEKKKCVSLLLDKIEYA RFLIENQQMEDKLVQEVLSEDFLNDVHYNNVDLSKYPIDFHQFLWHNLAIGVIPLKYAKQ YDDVEFREIEQWLKQYKESVVFIKNYLNNNFEEIGESEGYLTKEQGQAQYQYNLAFYYEM KAKLLIEQEQIQQGIKLYETALKETEKFMEIVKQLCNHENPEVANEAEKQKEKGIAKFAQ IFEDLGSVQMEIQEFTAARPYFEKAIELYLQVFGKHSIQYAQSYCQLASTYQLTDLSKCE EMLKDFHEYLESFNQDTIYLEVTMNYFCILEGQERGTKELFIKIQEMRDKFDIENDLLIV EYDIQAIKFVIRNGQFQQSLFDFFEESIQFLDSFGNPNVKAEKLLILSELADKFVLPNNI MHETILESLLDEFDEVGFLVENHLLQYKIDRQKAFLQFRIRETLLITPEESLESFFALFA NWIEKQEFLEMLFDDTDEMIQQVLDQKMLTLAHKLLKNIIEIMEEVQESQKSEHVEEALS HYKEEAQNIFNQITGK >CAK56050 pep:novel supercontig:GCA_000165425.1:CT867985:846315:846602:-1 gene:GSPATT00000485001 transcript:CAK56050 MYQSQQVVNKYQTQAGTTWLSLYVGCKRMFDKLSSESSLSHFVKSHRPNRARSHLWDPQV MRVSHVLKNLAMAQAGNRASNRDRYERRKDTGLLN >CAK56051 pep:novel supercontig:GCA_000165425.1:CT867985:846720:847980:-1 gene:GSPATT00000486001 transcript:CAK56051 MGNQQGSDFGGVYIRTDKPFYFAGEIITGNIYLNIFKDGYPGGVVLLKVSGKEKCHWTES HSRTTTGADGKQEQHTEYVTYSGQTQFYQHRVAIWTFNTRSLPVGQYTFPFQFQLLSHLP GSYFEKGGDYQAKISYRVKTEIESYNKSYKNIKHSQLLVVREPLKQNMAALMGQMEVKAS TWCCINQGTSHIKCVFDKNHYLPGDTAYLWADVDNSNCKLDVKRIDARLQNYLTLRDRTG REKVILRTIVSQQIQGIGAGIKAIENDRKQIQLILHNKNSPDGIHPSSNGQLVKSVYRLQ VQAVLAGCTCCSKDPTVDVPIEIVAPVPQIYNQPIAPPSNWNPQVFQPQIVQFTDSTMYL KDQNQQSNYNYPQNQLPPPPPPPNQFGQMQQPMS >CAK56052 pep:novel supercontig:GCA_000165425.1:CT867985:848082:848705:-1 gene:GSPATT00000487001 transcript:CAK56052 MTESRALSSYDGTYNSLKDVQRIGSYDYGNTLSNFNNQKYTNSKPNHQMMDTKSYLEQLE QKYSKKTNGYNDSDSDDFSNDCSPNKAASQVPTKFQKSKVSANIQNQPEFKIPVDINLSR GPSEIGQDYKIIQDMNEKYGSIAQSRITIVDQDAKRRFFELALEMKKDLPQNHPGQSMLV AQLYTESVQKQISEKYWKQWLQTRLKN >CAK56053 pep:novel supercontig:GCA_000165425.1:CT867985:848737:849287:1 gene:GSPATT00000488001 transcript:CAK56053 MFDNIKSADELYATENEKEYYDRKLLEYQDMYSIKQAITSYSKIKLFNIDQQQKLKYECY SKCYDNNQVIFENSEEGIKKLSKCLTECKKQTKDLKQFLKNVDKLVYFKNQTCHSNCDQM INYNVDQTYQEKISNKVNIYRCRWVCENKLDRRYREFWLQQRNDIIDRYSSQMAN >CAK56054 pep:novel supercontig:GCA_000165425.1:CT867985:850257:851039:-1 gene:GSPATT00000490001 transcript:CAK56054 MINQLLQFGLFKRPKSDHGKINRCTTIDVTPIDLQLFQNNLLFLADNGIYDEKQSLLYSQ NNSYAMFANENYLYLAHDNNIDILSNWNIIKTILLPKGLIIYDFIVVHNNVYITIDYQVS DLLGFYFQSKSFSLLKCDFEGNCEFVYEISGVHLSGIEWFNDKIYIADTFSKTLNVYDMN YNQLQTFQLEDGPKRIIRIVDKLYFTAIPKLLELLTLPKFTSLYQYDDIEELQKLVTFEN FYYVGVHYKEEIIMGNRICF >CAK56055 pep:novel supercontig:GCA_000165425.1:CT867985:851615:853639:1 gene:GSPATT00000491001 transcript:CAK56055 MAKCHIQLVKLAKPCIILNFDLKSYVFNVSEGFQRYLSDYSIKTKMSTQIFFTNLNATYI NGIIGLLLTLNFDKQIDGTKIYGPSGLCQLFSAFRYSEIGGKSINSLSCHEFQGKNLIYQ KKDQYYQEIFEGNIYPVLNGLKLVKYEEQESLQMYTDEYVEIVPIIHKNNNISYIIKTKK IKGSVSTEKLQLLKLTNIQKKELFQNHQIQLGDQIYPEAYFREPDVEEQGILVLDIIDDL DLSQIDFPNNLRCILHINDPKTEIYLNFLNKVNVDHILCNQEVQNEYSDSIPKTKFICNY LNSKYPFNFPSFSNNYSIQNNRYIQFQANYHYILHPLNKRGFQMMAPQMIGQTSQIKEIN YQQTPIRQYKSEILLQFLGTASMRPNKYRNVSGILVKQFNSAILLDCGEGTFHQLQSQNN FDFNQKILIWISHVHCDHNLGIASFLQNCSNVYFLVPQIMIPWIVQLIEFYQIKHTCYLC YIPFDNYNLEQEFAIQNAKVQKFNEIKLQQLQELFNIQLEYVNVDHCPQAYGLRINFRDG SSISYSGDTRPCQQFIQLSKNVDLMIHEATFTDDLQKNAISRKHSTVGEAVESAILANAK TLILTHFSQRYCRTGSCQQQKIESNQIQSTINNETYEQYLNTKTVIALDFLSGLLDEYPN LVELSQHLQQLIES >CAK56056 pep:novel supercontig:GCA_000165425.1:CT867985:853646:854889:-1 gene:GSPATT00000492001 transcript:CAK56056 MIRHLIQSNFSKLSKGLIFENKKGSKLIFTEKTPENGMKKIAKNKDQNLKIEQRLMLLFD QYKTERVLNQANYDDFLKLKEEFLKTDLEKKNIFLLQNHPKYFYLQCQMINEYLDRFDKE ITEKEIYALLNAIQNGLFFVEDQFFVKIKKVIFTPEEEQFILDVYHKLCGRLIINLSNFK QVRALCQLMKYIKRYSTSILDQINQQLLASLDQQISLEQKLYYTLFLVKAGINYFPSLIY QLRDEVLENEKILRLPSESLFELFEIFGRCSRVTLCSSATYKLFTTIIQTDNYSQLILTQ QVLLLYYASKTLTIQHSTLKPLIEQKLTELDLLKGINLKIFLLTLYNTNYKSLGSLKNLE KLKIRFQEIEQTARFSKEELKYFNDLMHCL >CAK56057 pep:novel supercontig:GCA_000165425.1:CT867985:854909:856055:-1 gene:GSPATT00000493001 transcript:CAK56057 MQSTQNQEEQLQTDSASDPKKYEFKFGVHKNQVSLKLQQQLNVNGGDVFSLRYDQDDQYL AVGMSEGLIHLYNQNKLTHTLEQFQTPVTALRWRPNYGLKAKGILVSANAEGHVIHWHAN SGKQLHKIVEENNSVLCLDFNFDGSLFATGGKDFCIRIYDDDIKSVQHQYQQADWGQRGH ANRVFVVKFIPDQPNILISGGWDANILIWDIREKQCVGQFYGPSLSGDSLDFSVKRQLIL TGSHRTENQVQLWDWRTRKLYQEILWTGTQSDDNRSYYIYATQFNKANEDYIYAGSSGVH EIKMFSLKDGLAKASILGLPKSILSIDQMNTTDSIVFSGMEGIVNIFKA >CAK56058 pep:novel supercontig:GCA_000165425.1:CT867985:856366:856866:-1 gene:GSPATT00000494001 transcript:CAK56058 MGACTSSRQRTNNNDIPIIQKSKVDQRTSNVKLLLDQYHNLNVLLDLVGNTDNLEKKTRD HINLLFVTRSNITILIQHSLKKMMKEKNISRALTKEDEEWLYDDLNFLSKIGPQFQVFLK VIDILFENEDFENSFPILSHSFQQISENIKKMPILNTYTVTKNTSV >CAK56059 pep:novel supercontig:GCA_000165425.1:CT867985:858153:861947:-1 gene:GSPATT00000495001 transcript:CAK56059 MFSFLVKKIGMLNQGKVHSIAWNQEEGWIAIGGEHAPQGQKKGLLKIIKLDDQKAGIQGQ QAKLPYEAALEQHMGKVNIIIWNERYQKLTTSDDQGQIIVWINLGNEWVEEMVNNRQKSN VTDMKWSPDGFKIGIIYEDGAVIIGSVEGNRLWGKDYQYRLGLIEWSPDSKLMILGTADG QVIIHDQNGNQLNSLKMSCLMGLVDPKNYFTPNQQIAAVQWYEYGKMYTDETPPGLLIGY TCGRVQLMKNDKDESPILIDTVMAISSVRWSPNGSMFAVAGSAKDKDEQRAVVQFYSNMG DHLKTLRVANTDKVNSLSFEGDGLRLVMGVGQTVYVANLKLDYKWSYLAQSETLIFAYQR QDRMEFTIIFWDTKREQKSIRYMKGLLDIKGSNDCCVLISLVEQDTWKVELCNSIGSPLD TKFISIEPKFNCMTKTHIIIANSDYVYLWQYRNQVQRLTTFESNQNTGIRKIGKEMAWFI DENPDSQVIYDKDTFNLEKQTEETICAIQANENYLFIGRINGNILKFTLPYVSVEPKYFL ENRANIININCNSTRLSIIDVMGTLQLLDISVGGGKLLDFDKKECWQVVWSDNDPLQFAV MEKGRIHLVRDITADDPLPCDAFLCSFSELTVKGALLDDIMMSPDGQLRADELLVQYESR LFKEIKESIEKTPPKEMFGIIEKQPSNTLWQLLAQKALEELDFSVAEKCYLKLEDYAGLQ FIKRILDYDEKEKQKAEVYVFLKRFDEAEKILREIERKDLAVQMRMKTGDYSRVLFLSKD LVGADEVIVQTQNKLGNFYCDQGEWEKAQQQFKLSNNVERLIETSFMIEDYQTLEELANS LQEGNALLCDLGERFQLLGMAKSAIKCFEKAGDIKKAIDCAVLLNAWNFAVELAERHNFV QIEGLLQQYAAQLLEQRMKLEAAELYRKANHNSDAARLLSQIGEDLIKSDQSPLVVKKLF VMAALEVDLYKKKLYDVTMTAQNNTIAHTLDQLVTNDLNHSADKVLNNPWRQAEAWHFYI LTQKFLYSGNYKYALKSAIRLCEFELDMDPKRIYSLLALAAYYNKSYKECARAFVKLENL DNITEEERERYQSVAAQIFVKHAPEDAVCDAIQCPKPSCGNKKITEYQLFCKECGTIFGV CVASGKSIYGQKFYTCKACKHKMIDQEVQNLALKFCSLCHTPIDFNRFGKHGDKQL >CAK56060 pep:novel supercontig:GCA_000165425.1:CT867985:861994:863683:1 gene:GSPATT00000496001 transcript:CAK56060 MQYEQKPLNVKLAQQIDLLSTDLDHILSLTKIRGKDIPYSRICQYCKFNHDYDTHHNLVM KQYSEAQFISDLIAEHDTLFQICNWAHQSLNNQNLKEQDLAWFHILQNQFHLVQNFLKDE EKCILNSLKPYGVGQYLFDFMQLSTYQNENWVLALETLHQATTSRVSNTNNKLYKWATCQ SIPWCTDISKFDENLWLNIRAELFCKVMTRHSEIYPKMMKCINFGINKPYLWTPKYYELN YEDMGLGIETIGKRFIIEIIKYLTQKSNAFQLVELIEDAITALSNISQNQQFVNLRANCF YKIAIMISDLLDIDEQDECQFSQLIKRIIEFIEAENLDFVVKQKILIHLLSFLTRLSMYN QFICQMCQNIINYLGDSLGSILQLFIDYKFDKALITKIVFNQFTKLSPIQQNEIISSSFS KYFDYDQKKSYILELVPYIIKNIELFKNTSVELFQEYPEIGDFHDLYLHVYITQDYGRMK TMIQEQQIPFQWFSKYITFILENPHLEQKEICEVMNAIDINSVLFDSLENKVYLLALIAK KLTLFKTFINYLLK >CAK56061 pep:novel supercontig:GCA_000165425.1:CT867985:863770:867738:1 gene:GSPATT00000497001 transcript:CAK56061 MSQEEPLLQNRNSRPPGLISRSLFLYVFPLLRLANKTPLEFEMIKDLEIDDQGESLFKRM NQTFQVYKHDRFALYKSLFITFKKQFVIVYIIILIWNISLMYGPIMIRQTLSYIDYSEHT IGKGFQWLGIIIVVRVFNAISYQNSFYMLRKLGYDQHTAVSVSIMKKTLNVSFQSNKQYK TGEIMNIMQVDLQRILQLNMAIASVLFLPFQIGISFYLLFDFIGVSCLAGLGIMILGLLT NFLLGRWGWRIQKQVMVAKDNRTKQAHEIFSQIKFIKANAFEEYFKNKLLSFREKEISLI HKKNMVSGFFILAFLMTPQLTLNITLAVYVWLQHNLTPAETFSIISLFSILQQSASALPS FINQIIEANISIKRIQNFLLTDELMNDCIYNVNDILGNSIEIEGTFYWDKVKNNQFPNKS TDVVPVNQEIEPILKNIKLKIDIGEFVTVIGDVASGKSSLISAILGEMVYNFSRLPPVIK INGRIAYVSQKSWIQNATLKDNILFGLPYDEKRYRDAITYSCLEQDIKILDKGEATMIGE KGVNLSGGQKARISLARALYSDCDIYLLDDLISAVDMHVGKFIIEKCLCEHLNGKTIVLI THALYSCQYADRIILMDNGTVIKEGTLDDVKECEKFDQIYQKYFKEQKKDEKEDEDDDME VLKLQKKKSSTQKINITNKDQVDDLMILEDRKVGSVQLDVYKEYFKMSGGWLFFTFNLII VIIQVFARFGSQIWLAQWSGQDDLTYDDNLHNLMIFSFFSLSFGFFALIRILTLSRESVN TANKIHTRMIESLLYAPLCQFFERIPLGVLMNRLTKDQSVLDTEILWTISILYISCLNFL ASTLINVFSSSYYIVLPVLIFLYAVWKVQRFYMAANRELYRLESISKSPILSFFSETVNG LNIIRAFTKQEQFLDRHTKNIDLNRKIQIAQLQTTTWFSMNLTFTSFIVNISAIAFVLFF GSENPALAGLLMTVATVIDNSLQSAINSITQAETQFISFERCLAFAKVEHENGYKESKPY ILNWPQFGDIKIDQLVVKYRENLSPALRGLSVMIKRQEKIGVVGRTGAGKSTVTLSLLRV LEASGGSIIIDGVDISTLNLKQLRESITMILQDSTLFEGSLRENLDPLHQHSDQELNDVA LQCCLGDLLLQKKGLDTEISENGDNLSAGEKQLISIARAVLKQSQIILIDEATANIDIDT ESKIQQTIQTAFKKCTVITIAHRINTIMHCDKILVIDQGEAKEFDEPQKLLEDKSSIFYS LYLQGKKSNKI >CAK56062 pep:novel supercontig:GCA_000165425.1:CT867985:868006:869221:-1 gene:GSPATT00000498001 transcript:CAK56062 MPELIVINPQLINFIKLIIRIGSVIKFDCNVSAFEDILENNCEKQLFIEKLQYLEHLEVK ILSNGNKQLILNPNFGIVEKLATSFHTPNLDELLAQFLRSQELQTQLLIKLYCIISSLQS QFSQIITNLINLAIQNQDKDEPIKNRVFMTNLELIFQTQKQEKDQMEVKPINYQYQYNKL LIYQQAENNLITKAFDLLDNTMYPKRKRSFEKDKESAYQNFSQKNQFEMSMDIFRVDPYM SYQALFSQVAPPQQSPVLTHVSTFPNPNSLCLSPTNSDSHSFTSQKNKGLNYQFNSVYQH LIDQNQYAQISQQNIDAQIRQRKMLINQQQNQRVSRSKSNSTVIMPDIFKQICLDSGKGD EKSDEKSKQKGTKKTLNINQNQKKAFQNKQFINK >CAK56063 pep:novel supercontig:GCA_000165425.1:CT867985:869378:869941:-1 gene:GSPATT00000499001 transcript:CAK56063 MCSRGIFQLKFLQIFYCDYGGSSSKIRLFLPTLIEHPLLNQPKIDFQIYMKKNTHPYLNG IYVNGYQKQISLKGLEDDQEILDRIALLRNSFGQQSVRHAGRKVTTLTPSIQGGWNENLF KTNIYPRHQMEISRSYPPVEVPEPRIVPRDKPIDFYEKRVDPYQQIQKPRLGVKKATNL >CAK56064 pep:novel supercontig:GCA_000165425.1:CT867985:870424:870942:1 gene:GSPATT00000500001 transcript:CAK56064 MIIVNNTPKRQKTQDSDLIDSLIIVEDSSFKENQVEYQNNVKLRNVELQQLRQKNTKNQT LLIPSQTQSQQQQQICSKRGSLAELHSNLPFSQTYLGQMQNRKKREPPTLRLNELQQMRR YESLENLVQQPTNRNYLKTQNDYTSRNKDNFYFNAQQVQIQQLIKTLGSRRY >CAK56065 pep:novel supercontig:GCA_000165425.1:CT867985:871233:872641:1 gene:GSPATT00000501001 transcript:CAK56065 MSQYSDYQYDIGSDEDYEIETQKNNEILLSQEELYQEFLDHLDKIKEQLELTMTQVVLNI LIFFNFDVQQIYEQLLLNSQADQLKKQLQEQGIYNLTEVHIQKNMRCAICQENGTQGISL NCSHKFCKNCWNQMIEVQFVGQIPIVKCLQDQCPERLPHLYLEQFPKYKQILIKRFMHHD DAITWCPGQNCENVFKWLKLKPSIKCPCKTKFCSKCREEKHYPIPCDIVKKVLEHQQSGD YWAIINASKCPKCGRLIQKTEGCLHLKCLCGQHFCYECSKPWVKDHEKSFYVCPYANTNK NLSRYTSQLKNELQIINFNIRNLGYSIKDLQQNVKIIQIEHLAEQSEKTISLLKASRSFL YYKFYLMEDKVDEIYERTLSQFQDELNNFVEIVSKKKQEVDTYKHSQEKIIENINFNDLE KKQFSNLKIKKKFIKNYIKETLMM >CAK56066 pep:novel supercontig:GCA_000165425.1:CT867985:872896:873441:1 gene:GSPATT00000502001 transcript:CAK56066 MYKKPSLLNLTPISILKTKNQAKNQSFCPSTFLNEIDSFPQQSYGDFNNTKVFEPQIPLE LVVPKTVRRRHAIGDQIFPQQSDQVLPNLPSLSKNTSMCKSSLEDLFLIQEAQTQRQSTE LALINRKIKSILNSNKRQVTFQPSHVIIDKYDNSQIVQDLPTIGKYIPKRRIHIKHITTE I >CAK56067 pep:novel supercontig:GCA_000165425.1:CT867985:874107:874916:1 gene:GSPATT00000503001 transcript:CAK56067 MQLQSQQIEVVNKTEISQNLIKVEQQQTQKIILTNNQELMPQQNQLIKSELKPIGLLNLS LEKCINLQTIKSWIEIYLGLEVQILNLQLALYYERNNFYIYDIDNDQTYKLEKDDKDIIN VFSIFKVMTQVKPKNISSFIAIVDQDIYDPYQPQANILGRAGNQRVCVVQVQTEVNDFYS TIVHELLHTLGFGHCQYSNCLMYANISTSMQLCEKHLQKLSHIKEIEELKRYRALLHISK QIGFQKEFQALEQIIKKVETQANSSMQMI >CAK56068 pep:novel supercontig:GCA_000165425.1:CT867985:875372:876334:1 gene:GSPATT00000504001 transcript:CAK56068 MHSDLNLRSFYAGDPQKDNQLIQLLITCIEENYHNVAVVNYVKDQQIQKQNASTFKPYNE EFLLQKQQQSANISQFTGKIKQYSRLTFEVSDNKFFSSIKQENQFLQGYDIIAIKPKTEA VFTQLCTTVTYFDIITFDCFEKLPFIPKAKVSSQLLEKNIMFEINYGDAVQDPNKRRQFI SNAQIIINATKGKNILLSSDTAYWLYHRSPYDLVALGITIGLKKDQATQAVGANAEMVIK HGIHRKACKGVICEAALKDIEYFESKKKQIKNKQEEKLSKKIKLSQEVYAVVQNEQ >CAK56069 pep:novel supercontig:GCA_000165425.1:CT867985:876389:877251:1 gene:GSPATT00000505001 transcript:CAK56069 MLSSIIPKFRYYQRLKQHMDINQNIRKENLDIQRTYHKSQKPLWAKMKQKVEDNKNRIYL FCGLIFLCQLKFAYEIYLARIPYRRLLFNKFKSFFLGLESVYPRPIVEQVFKNELPQQLI SKFIQLDDQLPMGVTKKFIVHCLTECQVTLSKEQKREFYQKHGFLYGIYSKNSGINLKEL NNFLKNLNVDPQKLTDVFDTLRDQEIQFQNRVLQKMKQKQPQYSCNTPDAIAKRIQPYFD TI >CAK56070 pep:novel supercontig:GCA_000165425.1:CT867985:877267:879754:1 gene:GSPATT00000506001 transcript:CAK56070 MFNYSSYEEEINHPQYRTRVRYQDTGIQRNLNGQLDVTSFLMAVEIQRLVAENTELKISI KQQSDCGLDRVNYEMQIRDLMEKLQQLQQDNYLIGNDNERLKKLIKDLEGSLSRYEIQLR DYDPNWKKELEQQKKQLVQLQKKIGDDDVDDLRSQLSKLKKKLGDYEKQFGGKSPEELQR MLDELQRKSKQFDDLQNKIGGMDPDTLAKKLKELEKLQKSFGGSPEDLLKELERLKKKAK EADDLKKELDKQLRENDKQKNDLDVLDDLRQNAQDLQMENGYLNQQLNDLKNKLRDADQL RTEGEQLKELLKKKDQEIAILKNQLADQQRQLQDTQRQLQDSQRQLQDQLRQLGDLQYKI RQAEQDKLQLQSELNNCLDELDSADGQKEVATQLKDENDKLNQEVDQLNEDKNRLSNEND DLRSRLNDLMRQLQDKDNKLKDLQQDINKKNSELKDLGNKLKEANEKIEWIKNEFGLTDD DLDPKKRKLNSKNVKDSILFANLQPSNLLLNFLLQSAEIERLGIIIDKYYSENESLQNQV KAYKLKNEQISQQLQQQLLLQSQMNQDDEIEKLKEYYENKIVMLTMELSRLRKQQSSSSY QSIPNTQKLQTRPYSQNNVDQGLSKREEDLLSLFVLMGAELQNLRDQNCTLLLQQQEKDA IKGLLTTSGYEGQSKIQQKVYVNEQKTNYDNFYQRELNKGSTSNSYKDLQNTQIVTSTQY KAKTLYDSRQNEGFNQDRIISQQGDNGSQLSYQYQAQIPYRYEQSSQQSGSGYLKVDKYE SSRYVN >CAK56071 pep:novel supercontig:GCA_000165425.1:CT867985:880136:880711:-1 gene:GSPATT00000507001 transcript:CAK56071 MKKTNLKLNATTTSKRQNKENTIKSPRKAISPKNFRQKLTCPDLWDNLEKQLITSETIFQ QIFPHPNRKRLTYFQFDQLKLLSVEKKEMFDLLQQDDWIEYNRAGKILGEKYGYEQSHLK QWFDENQVNQTIKKVDLLKKYKDKSNFITKLFEEIDFNNTQELSYWDIYLHKDIYVLKQI IPS >CAK56072 pep:novel supercontig:GCA_000165425.1:CT867985:880878:881886:1 gene:GSPATT00000508001 transcript:CAK56072 MDVEIYEANFKDKVVYRKEDGKKFINEYELMDELGEGSFGKVRKVTRYYKETEESEELCK SDYAMKIFQKTVLAHQRTCFYDSNSNDPKMTNLLEFADNEINIHKYLNHPNICKLYEVID DEDDPQQKMYLIMQLGDLGCIMNFCEFSFKYIRNQAILDYLKMNYMQAAKLIFKQLAQAI QYLHEHNIVNRDVKIDNIICTTLYPLEECCKLIDFSTSRIAEKDSIFYDCAGTPGFRAPE VQFCLNDGYSPFKLDIWSFGICLYIYTHEKLPFWGEGDLETDLLARDQPLQFEIQDDLLI NLINSCCAKKPQDRPTIQEILDHEWFK >CAK56073 pep:novel supercontig:GCA_000165425.1:CT867985:881902:882704:1 gene:GSPATT00000509001 transcript:CAK56073 MNLQLNIQQEVARFADINHIYETVQKKGYLSQISKEFEDIFMNEINFAQQRLREVISVME KQGQKIEYNLQLSRNLQIFKSNFDVIVTATMNQIWNRLLIELNVLGLDQQSQIFNIDTSQ TVKRVNDQTKQSVSDFKKFASNQSICSRSPSKMSSKVIPLSEMSYQSNGSPTTFPKARRQ LDNSLTTSSPGVGKYKLDQSLKLIRESSPNATIGRAPKISWIDEKIKNEDSQSPGPIYNP VKTFCSKKIK >CAK56074 pep:novel supercontig:GCA_000165425.1:CT867985:882714:884548:-1 gene:GSPATT00000510001 transcript:CAK56074 MGCGSSSGSQLETRGLIYIYYGTTTGNSSRLAFQFASEVRNLKFIPKIINLSEYNPKEMI SSKLNVFFVSTYGMGSCTPDTENFDQWLFCEERSKNEFQGMIYLIFALGSTNHEHFCEFG IRLDKRLEYLGAKRLCNLGKGDAAKDQTENDYQQWIHTEVQQTLQTQFPLYTCDQGQQDF STIKIQITKEPEDFQSVNLDFMALKYINSMAFSISEIKELKALPARGNSTLLLDLFNQNI EYKTAYNIAIYPQNSDSDVDELCSLLKLDKNVRFKVITNSKQPFPNPISVYTYLKKFCDF SGLVTKKILIELSNLVNQKQLEDELLHLASFEGRDQYQNRFINQKQNLLNLIKEYQINNL TLEKIIDICPLIQPRYFTIASSNKKHPKNIHILASQFVLNQERLGLSSQFFRSLKKGDVV KGLIQPSKFKYPKNPKAPILLIGIGAGLAPLRALIQERDYYLEKNQFEKCPFQGGMKLYY GCRTEAEYLCREELQAYLNSGTLSELKVAFSRKEPKQYVTELIAIDELYQHFQMDGLLYI CGSTELGRDIQVKIADMFEYFENIDPYLTDQKIKELEENSQILTEYWG >CAK56075 pep:novel supercontig:GCA_000165425.1:CT867985:884636:885367:1 gene:GSPATT00000511001 transcript:CAK56075 MKTLVLQYPKQKTMYNPSKPAVKIEANNQFLQSHDLKVFNQKTLNVVDRLYQQEEKKRNK IQKLKQEKERSLTPTKVCFVSKKSQQILENKNLKPFLERQNELVQEKKMRVELQKILATQ EQEEVESYKILPSRSHSQFIKDSEDWVQYKEFKKQQLADEIQNQNEQSFRPLINPKSAEL AERKMKKSGLYLIDQADRLAMPIRQSFSFQKENYSFSPCINAKSRQLANKYKFQRSQSPW NQY >CAK56076 pep:novel supercontig:GCA_000165425.1:CT867985:885480:888928:1 gene:GSPATT00000512001 transcript:CAK56076 MNDKIYENSRDSLESLFEKYDNNKNEFKGIDLKINDDQDSYASDSSIQKSRGTPEQTDNS CISIQEITTYRKEKKLTFQPELIQLKQSNLYEVNHKIKDQQKTKSKSLEEINRQLKLQKE NPPKLNLGKTLDKKKQQLLQPQQKQIMNPKLLQINSYTISSSKPKIDRIRQLSLNPSKSV VKSETHKEAKKSQHIEKVKQLCPILKKTNSQITEDKKSFQGMFQEKCGIFTETGKPIFEF NSLIKYLFLKDYSKDSENETYNKIPKKFKSDVEYCKIFEYLFLNEAFQQIKQELQRINKS KFRRIQIKQDDVEIDKDGLIFQMRQYQQNPKEKNKDRNNEAEKKQQDDSDGSVHKEEGVC DLTALKNYIVIISNKYSFNPAKINKMKEKRQLFFGMLIEPQTHQYVNQIKIQTFVPRDPL YLNWVSVYLFPFVKITTQIREYLMINKITQTPLYPLILDPKSQQHLIGADKDKIWNINSS NIIPNGKVMDPFFNYINQNYNFSQATAIQQIILQDRGISLLQGPPGTGKTHTLIGLLSGV YEYMKIMNKFPKKKILICAPSNAAIDEIIFRILQGGLFDCEGRSRTVKLVRLGVLDEEND KSVIIKQVSLEDVAQYQLFNKSSFKANSDQKTTGELRIELSKTTQAIKKIKEMEKYDEQQ KKQLNELWNKRNQLMQYLEQVRTNKRNQKENYVLFCEKIISEAEILCSTLSTAGTDKLSK FIDSFELLIVDEAAQCTEPSNNIPLRLGMRKMILIGDPKQLPATTFSSVSQITHYNRSLF ERILDNDFKPFFLDMQYRMHPQIREFPSLNFYDNKLIDHFSVYERLIPNNFFNQRVLFID VESEETKDEKSFQNQTECNMIVEVLKNIKNAYPSQSLGVICAYKAQVRLIKLEIKRQLGD LMDEIQINTVDSFQGQERDVILFSCVRSSSSGNIGFLQDGRRVNVALTRAKNALFIFGNA ITLGQCQLWKNLLLNLHSRKLYRYVGKNDYFSFKVLAEDIWFDRQRKMNEQLLNLLKDKE LILQEELKTIEQNKDTEYFKDKKEQQVTKTERNLIPSPAFIQLPNHTHNNYNNSGKYKQH QKQPSQQQKHHSNKEKNQTKNKNKFQKLIEKNEKIHHHSYKKNG >CAK56077 pep:novel supercontig:GCA_000165425.1:CT867985:889558:889982:-1 gene:GSPATT00000513001 transcript:CAK56077 MKYLVFLAMILVALSKRHHQPDAGNFDTCDNSTGCLNQLKKCLKVQERDPLHYSCGYECL EKKNLTWMVLNAFLKNTLGCTENEVIHLKSSNIQQIISYLIDQSVSQAHSIGFFQDKQDL FLV >CAK56078 pep:novel supercontig:GCA_000165425.1:CT867985:890374:891232:-1 gene:GSPATT00000514001 transcript:CAK56078 MNCLQYIKRCFKNFRQKLDGQDSSYQLSTQNSQVRRKKTLVLDLDETLVHCEFKENPNFH YETILDVWHRGVLYTVYLCKRPYLREFLQQLSAYYEIIVFTAGYESYCDKVLQHIDIDRH ISDYFARSNCRFVNGICLKDLSILDRPLDQLIFIDNNANAFEMQPENGLLIPSFLDSDED ECLLRLIPFLKQMAHKPKVIPVSSFLSDYESIHGALFNDMQVTLQGQAEGEEGSLSEESV VVLEYVPKHRKTQTTQQQQKQFIKTLEQRSQTLFSG >CAK56079 pep:novel supercontig:GCA_000165425.1:CT867985:891587:892724:-1 gene:GSPATT00000515001 transcript:CAK56079 MNKQNIHNLDHGLSKVNDSINCSTEQIPTGNETSTYKLNFAEMQRGSERKTSKSCRDNLI YTPHQNKFYGYCQFPNQKLNCGKLTESRMTTLQQQSTISVERTKVKPQTSISKPQSASIM NGKRLKVKNSEILFQDNPYHLSGNMQDVIQNYQKSTIQTFVFRKGSSNINRNKSQTSQNQ QRFVCKYINHRQINSPPINLINKFIINIDQQKLQNEQSNQTENLKITLGTDRGRLTIEND TPDEKQNRSLSKSLYQTLDLSLKGDTIQATHLRSLNTEFNSSAILCDQNNRKVSEIYQSF IFQSNLQMNQNRLITTKQQLENSQRLEKELELYPEIKQPQDPKKPKQYRTKIYTELELSK KEKDLNTNYLI >CAK56080 pep:novel supercontig:GCA_000165425.1:CT867985:892884:894001:1 gene:GSPATT00000516001 transcript:CAK56080 MKRLTFQTIESEGQQDDFGTSPSKIENWNSQLIKKSRVRTEISIQNTCVVKKQNSIGDFE DEMNAQLQRLKDEIILIKSKLSKQKSAMENLSHKLQKKEDEIELLEEKSTNLAREMSQIL SYLTNSQENVIQSQKIQKRYRNEGVQKNSLQTFVDQYMKEYNEQYTELWKHINQKKRERY LLKIENQINIQKKVNLEKDLQKFLMQEKQKKESLLEYLYNQCNISNYGGAAIIDIIRKIK QLNQDFDPSKLPKELDGFERQYLLNKVNFERARKPTITLDESYSQKLKIKNTQSPIKLDY LKKVIGYDAYSFIPILNDNPFKEINKTIKRKRPQRVKSLEYCHYAKKDYSMDSVQFK >CAK56081 pep:novel supercontig:GCA_000165425.1:CT867985:894049:894499:1 gene:GSPATT00000517001 transcript:CAK56081 MHQQHTATQQHEEYMIQKLQQMEKHIYQLESQLGVANQEISNLKQQKTQLQHQLNDIQIN SVGQEFAEAISKSSVERVLQRKEKGVNKVKKQPGTLKLDLLNQIFTEYPNLVRPIIHIKL TDEDFN >CAK56082 pep:novel supercontig:GCA_000165425.1:CT867985:894500:895734:-1 gene:GSPATT00000518001 transcript:CAK56082 MKTIILALALIVLASSTQADVIATIKKIDQSPFGRTLFDTIWLELQTGDPLDRLLQTLTD LEDRYVAEQKEDDARNHEYQDACTVDISAFDKDLAESNRRKIELEARLEGQLYPQRGILQ GLVAQKQAEVKGYQKDLDELDAQRAEENADFEEKVLEHQEATAIIAEARRLFADNIEHES FIQKGKATKQPAHKFTKEVASMIQKHFTTSAKKAAKFQHRKGYSKLFKAFATIASKVEQL ADAGAVSKIIDLADELLAKIADSLSLLRFAEDKRVEAYKKSRNFIVISITVAGTALANAQ SDLAALNDVIAQVEASLDTTNQRIENVSADRTDRFTQCEEAVQDYQDARSARTSDRDVVS QTIGLVNKELRTLREQLALRQSAGEEI >CAK56083 pep:novel supercontig:GCA_000165425.1:CT867985:895992:897059:-1 gene:GSPATT00000519001 transcript:CAK56083 MRQQPYFIKPVNHLSYRNQSSKQSFCQQQSEESKSKSQNRLSNIKKPAPIITQGITSPQL KRMNNQLKILKSNKVDLPSLPSPQLQQQQCDQSLSKFKSFENLNIQMRQPTPRRFKSDEP NISELGTQPKKTIRFSQHSNIRLRNDSAKARNRSNHIPFQKTNTEPSEIVHSPIKHKAKR DFDDSREILYQLQRGYSKEKQSILKTEPDDPYRIGSICENRNSASLKRQFGYQQLNESQR FPTKHHIEMPCDDEFSQFFKEDEIQSNFKSTQKNANHQNQISQQTKEKILDLLFLSTGEL KKKFNEQSRIQYSKITNKIQQNKPIVPKSRFPNDFFNVLHSNESQLF >CAK56084 pep:novel supercontig:GCA_000165425.1:CT867985:897258:901082:-1 gene:GSPATT00000520001 transcript:CAK56084 MNNTASLQQTIHNYCLQSYSAGDFAKNQQILEHLRKFILDWNNLPLLKTIIEVDCKQETT FVIYSLLQKAIASGCKFVNVLQNPQRKSQSYEEYESHERQHFTAIVTTHLNIVINYFTKG LQHQNYVQNTVLFALAYMLRKYWSEIITPEQLVEKLINTFFYTQNIQILTLGCKLFENLL SCVRQYYYATGYLEFRKIMMGFQRQSLSTLLEQTHKLVQNQLKMGTFLQIYQLDKSYADS LIQLLNSFLTFNFNLSFYEIDVDHDSKENFIINFPDSYFQIINDDNLNKEYFRAIIEFYP IDQGISIRILNNLQRIASARLSLFFQKHNFKKVLRRTLWDGLLFLLNNYELYLNNTDFSN EVCSFAIRLVSNFTLKKLQKFQDLFDQCVNQLNRINQLILNNQGIKLSSNSVFIKLQEVW HQFLFQINIYSSQYIQQFKLLQNSVNLSFKLLIHAFYNGNPFSEIPPNYPIKKIKKFLDK GFHLCIQVYQQNTIECINVISDLLKTLPIMQVQTLQPQEQLITLQKFSALLCLISALVLS PAQMEFLSGGESQFSQNKMDGHPQARILLQAILDLIVYTNKLNIPADNYVCKLYHTSILI FIQTYISQTIESLYLDENQQIVISQSQLQMSLGNGSSVQQNYLVVIEGCLEKCFQIFTFH DPDLVEYSFIILQYTYEKLKRQLDRRFFRQSSISSMLKQFFLQMDLTCFNEVQYIKKRKQ VYKLVSLVWVDDQLDDYVPALVDIYKQIKKSIANETNKVNMLKYLWDMIGVVDELEVDSI YRVFLRIVFPDLSSLLSTDNTQIFVQDYDSSLGLTALLCAIFKNKNTRLSNENIQILLYQ IYGKASTFFITSIDYLILQAQTSIQQGQQVKDGILKMAAKLLKVMGQISSSKQINQGFFV IYQDSSYLNLFKKQLQFIIVYKPLFLNLIKYKKYLFECLEGICSEHSETLAYRCGADVYL DLLMISEGTLKEILNFKQLKGEEQINEEALQISQIASILCNVMQFLIQEGVVGEQADTDM IKKKTQEVFTQGKQVLQSIFSSAFTIMVAFPKILKFSTPNSEILFAISLFNPIEFQQSLI QLIQEYRNTIQLPQQTLEFITQGYLALTNYLNSTTKELFTKQIKQALEQICAQEEF >CAK56085 pep:novel supercontig:GCA_000165425.1:CT867985:901667:903656:1 gene:GSPATT00000521001 transcript:CAK56085 MNLQWNPYFIPKENIAQLNNVSFMRFDENSQQPLYNKQIQLHKKIQIQVNLSHSQPTFQP ECMKYKRNKKQQSCVPFQMNVPQQTSNQTLTKVAQLSLKPIFSKPKSIQQNTQNENLKGS AVWERKDFQNQQITFESFLKEQNLSRNNSIEHNSNKSHFKKNHRQYSHVVQGNSGAYFMI SNIPQNNNTNTTNSYYSNCENCNSHREDRKVERINVPKFFIPEDTSNQFSERTIKKQSPN NLIKHKKNTRCISMGEQKQIGLTLECDESSITIISDNANTVIPNPTNSKNYHTIINENNN QNSQIGGFSQETLQVLMLQEIEYAPNCDYLQQVQIQITPLMRAILMDWMIEVCSVYLMKR DTYYLAVAYVDSYLSKKIITKHELQLLGTASMLIASKMEEVEAKNPKDFEKASNYGYSID QIYEMEKEICKTLQWHLNLPNINLWIEFYTNQWDNYISDDHKKFRSNNSSSFKNILKLQG YIDCCYLDFETLSYQTRKVVAAFMYLVLAVEYEQFTKEYIYYEIPKTTKFILADSQKSFN KIFTEFAQISFGFNLVDLIEIIKYASKFIMLDFKYSDTFQDKISIQRHEDLLIYQKYNQT GLSFIRCRLQK >CAK56086 pep:novel supercontig:GCA_000165425.1:CT867985:903806:904713:1 gene:GSPATT00000522001 transcript:CAK56086 MQSNDPKLGLLSNQSNQKYSDSHQENDGVELLDFGDDSDQIQFDDTICQNKAVVLTNLGQ EPITMASSKTRDNQNQQVNQKIQSQASHPLSQQVPQSQMQNVQNLSNQNCFQTFSREERE QQPNQISQNQNQNVNAINQIQSTCMFCQVPQMIPKDKNVFICYNCQQINKLIFAYFICGT CRLTVMYQQGISNLINCTNCLTMNYVQQPNLPNTLQQAKPSTLQVQYVVHQPLPQSTLPN QQQGQGLQQQQQRNQVSDLEAEFKDLIN >CAK56087 pep:novel supercontig:GCA_000165425.1:CT867985:904829:906069:-1 gene:GSPATT00000523001 transcript:CAK56087 MTKYYLQSNDSYQLILFKLGMEICSSKLNWLIHIKKLFQKNSIMNISDLINQLKDETIID CPNPQDELFSLDEVIEEVYKFNKKRLTYDDFFQIIGNLHQQDHQLSTCVNSLADSINDLT LSDTKVKQEIRVSYNDPTKLIKTQQSYKVTRLSKSPRMKIENLQEAQKRLLAKITDIENE IEHQKQQHQLKKPHQLLIKELTKQLTSNSDEIVLNGVHNFTLQDFYLVLHNVNKAIFNIS ILTFQERCQEIREVSMIFKNLQALSINNLQIKELKLEELIELKVLSVAGNQLKNLEGLPP NLIELYAQNNLISSLSSTKSLKIFNISANQLSQLNQLTHINKSLEFINCNHNICANSKDY KRLLFKIFPNLKGVDKEDLLQHSIIQQTGFHYKKEMMDFSFSQF >CAK56088 pep:novel supercontig:GCA_000165425.1:CT867985:906208:906709:1 gene:GSPATT00000524001 transcript:CAK56088 MKQKDFSKKRNKNGDSEGYVGKSEFNNGIDYKKNQNTYLDDCVDNYHKQKKSDNETNWYN PRRDREPNGELQVVLNGNVVTILKQQIKTNQKRQNRKYSDQETYAMSNNISGPKFSDIPC PQFLNI >CAK56089 pep:novel supercontig:GCA_000165425.1:CT867985:906828:908528:1 gene:GSPATT00000525001 transcript:CAK56089 MFYLSLLFVINVCSANLEILENTESSETFRIHLGEPNIIYSMPEADDATLMTFQQNSFCQ VKQQTVQTETQQFTIIQQDDFKDYDFEMDTPLNFREFIGMVHINDGMLAITSDAVAYLIK FNYDNVLSKQGFATYGNSVDFAGVIWKANLQPILPSIQSRDELPQLVYSKKNNWAFVLYS DSAQYFSVAEMEKNDKTMYIDQISNWIQREERGLTKEIDGYLFSAVGRAGMDIYEIREND VIYKYTVTLQDFKLQKEQLELKDFAIVKVKDGQYQLYLLDVKQGLILAYMFINQQGFQFE LVIDVQSQKGGIAVDTKNGKNVFAAFEQNGIYFYIEYLINFSQKSCSIITKQESNYRIVD VDATDEFAIISGVSHHQIVFNNGYDFLAPHKQKIMFTQIGMRDFQFFQYTYEEGLLKAAV KDEYQYDDFFFGVTANNAFLTKFKFVPARVVCFADHNEKGSLNQYYTLKFNQSHVENNIV STNKVIRTTKSFTVQVVTTFLFAQQWRLIRILLIVLGAIIFTSCKYHIIYLRRSYHVLIQ TIQNLRVKFG >CAK56090 pep:novel supercontig:GCA_000165425.1:CT867985:908662:910400:-1 gene:GSPATT00000526001 transcript:CAK56090 MQSQNQFALFGNAQVNEPEQNSEIKKKRDDFATEIRSRDRNAILNNKRLAQNNQNTSIQE QLSLIPQTLPFDIQQALKTQQEVLTKRTFTFQDFPDILQKIYSTDVIQLHYGVTGLRKML SVESGAPIQQVIDANLVPKLIEIIQKEQIPQLVLEAAWALTNVASGTTQQTQSIIDKGGI YLFVKLLSSQYRDIAEQAVWAIGNVAGDCTQYRDLILKVGGVDPLINIIQNAQNKNTIKH CTWSLSNLCRGKPIPEFKYVKNALPVFCKVIIDETDPEVLTDACWALSYLSDGDNSRLQT VIDSQVVPTLIKLLDHSSLQLVIPTLRILGNVVTGDENQTTYVLNQGLLQKILKLLSNEK KAIRRETCWTISNITAGSSNQVSQVVRDVSILEKLFTLMTTDYEEIVREATWAIANTTKN GSNSDIQLLVQKGLFNVYKHILEGEDTQTMTVVLEALFNVLKRGDQDFQDDNYYLTTLEQ MGVIKRIEELQKHENQNVYLKCFNILEQYYEAETEL >CAK56091 pep:novel supercontig:GCA_000165425.1:CT867985:910439:913012:1 gene:GSPATT00000527001 transcript:CAK56091 MNSHSQNNQPTNHQHFLGAPANEFPSNSVGQSQKGQQQNKDEQSRISQNQHPNNQEQPPN HLNQSHQQHGKHEGDHYNPLQMPCNWDIAKKHALSRRTTREKQKDPTKTLSGMHIPINRQ VDTCPCCGFEVDREEIPFCSNPMALSFLGSGFTLFYNYLKYCILILFITLLTKQLYNLYT NYSGTYCSQTKREKIEGHVVELPFCSDSWFLKLSLANKLDNREAMEHVQILNFINIFLVM GVLLYFRKSQRQIDTDIDEELLTPADYTVCVKNIPTGLDLNYQWELQHMFETCAVAGAKI IVKKVVLVYDIEEIIDIEKELDVLIERKKELIKEHNYDYHHHSVEEIDKKIEEKEHEIHH LGQSYEKENKKFSGIAFISFDNEDMKNSVLNENPFTQFERMRSYWNKGKLPGITEKDLSW HNQKLFIEQAPEPNEVDWEFIHVKTEEKISKRVKAWVIYILVESSAFYLIYLIAHRVAKY GDEAREEEIQGKLDHDTMLKINILSYAISLSIVLFNKFAVAKIVHYIVDDEKISSKTKFQ ISFVYKYASALFLNAAIISFLVDIVILKNVEGAGGFLQNESQIFILNALLPPFIWCLDPW SLCKNIWRWYIIKKGEKALLTQQEANKLMEEPDYLSAKRYSDIMKTMWFTFLYGTAIPLG TLCSAFGILIYYYVDYYNILRRRTVKESISIQLSTEMIEMLEYIIVWSAFGEMIMTYAFF KEISLIDVTLMVIAIIYASLPMEDISEKLFPVVNNEQCKPYDEGCQGFDTDYDRENPITR NKAIEEWNKRQQVLLNSNNKIQKYYQQQDQYGYHNDDHYGRK >CAK56092 pep:novel supercontig:GCA_000165425.1:CT867985:913059:914325:1 gene:GSPATT00000528001 transcript:CAK56092 MKRMQSKEDIIKDQYMKTLTCFLQDSTLYDSLSINNQVTVIDQSFSLFDVFNVFIETHID EVLFWNPDVAYYDGVFTQTDLIRIILKCYQNILNGVPNVWGNSKIQVQPIMEEEDEDRNT PVNEKQLIGQEQINKLLIDLRTISVRDWFNSYGESLHQSSLVQADMADNLNDAMKKILKQ GVTRIVVIDTESRIIVGILQQRDILAFLVKGFSQYFHLQLSQKSQRVEVPQEKNPQSEQH ELEISYFSDRILQLNEKLPCDTNVYDVFYKLIYVYKRNAIPIVDHNNKYLGLIDRRDFLF ILKYQVYDMLNRPAIDLLNFIKIEKRKFAGFCICNKELFHMKQTLKEVSANSNQVVENLL LSSRGSLVCLNENQEPIATLQMSDLFKICLDDIELE >CAK56093 pep:novel supercontig:GCA_000165425.1:CT867985:914360:915261:1 gene:GSPATT00000529001 transcript:CAK56093 MNNYRFPQSATIPVYNTITKEQANIKIASDFKMFLNALNERFQLPQKFTMCYCFNIKDRV TYLACPIDYYLFLDRVQKLKTNNYPILQIVKLELHQFVSKEMIKDVEEWQEKLSDSYSQI NSEHQQIQQLDEQFQHISISQIHHNDQIDYHKCQFCYQNLENSKYFRCIVCYYFQICDMC NTHRREKDHPISHIFIFCNHVTDWNKLKQQSQLKMINQKQKLMKSFKIHKANIHELISCD YCRCVPIQGYRYQCFECPDFDLCKGCFKKFKHDQTHNFIQLTTSIEFLLFS >CAK56094 pep:novel supercontig:GCA_000165425.1:CT867985:915286:915970:1 gene:GSPATT00000530001 transcript:CAK56094 MSQKFEQIARLTRSTAIFKANYVPLALVGTSLISFIAWSKLPYGQAFPHLTISEYVPKKS YFHSLILAPLNFQTNGHLLVYGPAMLYSFYQMSTILCNTQFLAFYIVNSLICSSFTVYYE KKRSAEFGINLMSPKCVSAITPLSFMTSLMVLKPHLKLLNKPPLPFFLIPAMYAMYEVQE YQNGFINEVCRPTHILALVNGLILGLIFKRFI >CAK56095 pep:novel supercontig:GCA_000165425.1:CT867985:916115:916851:-1 gene:GSPATT00000531001 transcript:CAK56095 MVKNSAQDPQDNSLQMKIRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIRFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK56096 pep:novel supercontig:GCA_000165425.1:CT867985:917154:918185:1 gene:GSPATT00000532001 transcript:CAK56096 MGQVINSIQKKPSNNLTMQNEYQPEFINFRHAISILSYNILAAIYCDQSQTGYAQNQYLK FSNRSTKIIEQLKIFNVDIFCLQEVDNIDFYQERIKKLNYEICFVQRPQRPDGCLIAFKV DKFKLLKSSEYSLDKMAINYGLPLQYQRQNVFQIVILEHILTKKQLVIGNIHTFWNPNQD DLKYFQIVQLVQKMEAEKESDDQILIFCGDLNSLPHSNPIQYIQKNKPIVERIEKSSNQI KLQKEIFEHYGPPKLNWQSAYHPFPKFTNYTTDFKGCIDYIFYHNANVEKILRLPEESVL QQEVALPNRNFPSDHLPILASFDFHF >CAK56097 pep:novel supercontig:GCA_000165425.1:CT867985:918222:918495:-1 gene:GSPATT00000533001 transcript:CAK56097 MQQEIQGEEKNYEVKIQIEQNHSELIEQVLSVDNESGVLKMNADGNQLVLTFSSKDASKV GQTVKHTIDQLLLILETINYTNK >CAK56098 pep:novel supercontig:GCA_000165425.1:CT867985:918940:919513:1 gene:GSPATT00000534001 transcript:CAK56098 MKKSQKCLNYQNEVYNKLEITSSQVLKGKIIEKDEQVNYFEIHLDKWLIQEINLQLLIDK SQEILQQQQEQLQLHSPACLKRSNSFEDLQQKLNEYIEQYIQDIKEPQHFLDTYSLQSSE ICDDEFSQHSIDLCQRIYQVEVYQFVFREQKLSQIYQSSNPTQLNNQLLFLPQKIMIQFR Q >CAK56099 pep:novel supercontig:GCA_000165425.1:CT867985:919783:920830:1 gene:GSPATT00000535001 transcript:CAK56099 MLSETVIQQSPAKKIIKRIQGFTKKGILTQQDEFNNTKDEHKQTLEDRLKMQNYLKEAKE ELMKIANQNNNFMIFSSYEEMFKHRETRRNEEQQMIFKPLHFPTKGGSVIRCKKIQQNTT PEEMNANYGILTLEQKIQANKAYSDRQESNSPNKFDFTEKFHHIEGKIAKQNRIEIIKEY SKIYKRVQIKAVPKQLNNSQYSSKYRQAVQKVQVINHRKSGKNILPVLSIEKKESVESVL SDLNYSRWSLDQDISYQHIPDQKIGLQQRLGGGFTRSTFLNDIWDLSYVNAVNLIKRLIS NEFNQSPYTVMYIKSSVLTSFSPSHEWIMKSNKILYCFYII >CAK56100 pep:novel supercontig:GCA_000165425.1:CT867985:921016:922222:1 gene:GSPATT00000536001 transcript:CAK56100 MKFTICFLLGLVTLNAVFVKKPSDPNAGVFAELEEIEEHALGRKLLDTIALQMKNQAPLA DIARMLQELRENLLLQQQQADLKHAADEADCAAEIAGYNRRIDYASNEISESTTEITALT AQVQQLESEIENIQVQLGILNDQEETLRTQRAKDAEAFAQRIKSTADVVEALNVVAAKLS AIQPEQDPKAVFLELHNMGKSNPIAALVSIASAFSKERLQQTQDKIAELRQSIEQSAVDD QEAEVQAQIDYQNLLAQFADQRKNFQNALKDNEAKLTQTQNALGAQKKRKEDAGRELATA TAGKTQKENDCEALRTQYARDSEQRTKEVGIIRQVEEILATKLEGASGYLKNRIN >CAK56101 pep:novel supercontig:GCA_000165425.1:CT867985:922426:924404:1 gene:GSPATT00000537001 transcript:CAK56101 MSETQNQEENKPGEQKQENPQQNQNGEQGQQNQQSQEKENEKKEEQKEQQPVEQPQQNES GMAEQKKEKGPYDIDEADLTPRFVRAMQVLGYTYQDLQIKHRKDVIQIIKTKDPLGNKIN QELIDALSNHLEHSRKQKINEIRKEIQYIPIPEQKQQQSVADQSIMQSSIAEKSIILDRS IVSMSKSILTKSLSPLELEFKLYDKIKKKERAELHKAKKEEDILSKQIEYQHKQHELEER LEKHRQQKIKQKHMQTVQQQEIQKWLHNRSDAESSNFNQAKQIVENLKVELKDTQQQRMY LNPREKIEKVKSMNDLRIKELEDLQAKRELNMMERLEKLQELSQKVDNEKNMKTFQELQR ELKGLLKQEKVQIRQEMLDEYHNYMKQQNQTEDHKRIIQVIKNKTRKEVQSEMYKQSLEI KSQQLDKKLEKFKQLQTESLKIKSSSAVSFPKHVSSNNFGQMKVEYERRIGFQEDMIREI ERTENIQKRQRKEEQMKQDIKMMKEARKAESKELEISKISTIVKDMKQFENNNMASTKRQ LAQIKNQHNEREQQIKKEIEERKKKEEEERQKQEKLDFEKKKERLRKNIDQYKEQIQSEE KKKQQEQQQESS >CAK56102 pep:novel supercontig:GCA_000165425.1:CT867985:924558:925193:1 gene:GSPATT00000538001 transcript:CAK56102 MKDCNISFQNKPALVISRIYKSNNRFHLPKINQFQSQSPNNIYYNEYFTEVRGIKALPDK QKQNSERILKCRQKEMEMQNKQQKSLSAKHLYNEQIEKKEINNLLLEPYFVQNNLKAKKY IMGAFQHEIYKQPFVLSPNSKTNQKQEKETRKPRFFFQETHSEKVKQSKLTQSETENDHS IIDQEYNFWKNDDLEQREDEDLINNYVNGLL >CAK56103 pep:novel supercontig:GCA_000165425.1:CT867985:925375:927382:1 gene:GSPATT00000539001 transcript:CAK56103 MKYSKNSVFKHQIIEQQKQANPSILEVQDTLAQKHPRLPKAILQSKIKIYFQDNVIKEQK EVKDVVVIEDKQPQKKVKLDNANNNQNSNIPKNNASQVLDEETLMQFPTLNDVGGIESIK SQIESMIYMPLQYAHIFTELGSNAPKGILLTGATGCGKTYLAKAICRDLYQQFKLNIFMK NGAEIVASLSGESEKNIRQLFQQAAQEAPSLVFIDDIDVIAGDRDKANKQMEKRVVTQIM GSLDQLPNNVFLIATTSHPDQLDPALRRSGRFDKEIMITVPTDEQREDILKKLIKPLKVN NIDFYSLSRRTPGYVASDLFSLSKEAAVEAVKRLISSEETVEILPIDFEMALKKVQPTAK REGFAVIPDVTWSDIGSLQELRKELDNCLVLPIQNPEVFQKFKVRPPAGVLLWGPPGCGK TLLAKAVANASRANFIAVKGPEILNKYVGESEKAIRGLFTRARASQPCIIFFDEIDAICP VRGNEGGGQVTERVVNQLLTELDGFEDRKQVFIIAASNRPDILDPAILRPGRIDKPLYVP LPDESGREDILRTLAKKSPIDDVDFKELAKRCENFTGADLSNLVTTAALDAIISSQNVIT QNNFINSLNKIRPSINDADRRAYEKLRQKIQM >CAK56104 pep:novel supercontig:GCA_000165425.1:CT867985:927400:928393:1 gene:GSPATT00000540001 transcript:CAK56104 MSGEQPILKASNVKLDTIAAMKIIKQANDNPTVNQYGYLLGIIEGETIEVTNCFPTIDIE EEQDQQYEYLDYAKKHHLDFHKLGLYVITDQNRHFTLDQFEDINRLQFYVKYAFVLVYSL QLAKSGHSQPFQAFVINEKYAEIYSKDEIPVLNIKNDKIYRQLPLELLKTPLTQAFLYQY RASIEEKFKNEETKFTSNIQNYGRQLIETIEEQVTLSDKINSNTANKKEGDKQPELLEYL ISMNKLYYLAEAIKRETQYTLETEQVLTNILKQ >CAK56105 pep:novel supercontig:GCA_000165425.1:CT867985:928549:928830:-1 gene:GSPATT00000541001 transcript:CAK56105 MDIFKDLFATQGFQLCAKAVQDAQPTGDQEALFKQCQQNYANAYKVVGQEFLRFFNNQPS KDRYGPPQEI >CAK56106 pep:novel supercontig:GCA_000165425.1:CT867985:928926:931057:-1 gene:GSPATT00000542001 transcript:CAK56106 MSKEEDHSEVEDHILRRFDLQEYKGKGAYGIVWKAYDTKTKQIVALKKVFDAFQNSTDAQ RTYREVVFLKQLNNHDNIVKLISVIRADNNKDLYMVFEYMETDLHRVIRAELLNNMHIQY VMYQILKCLKYIHSGQLVHRDLKPANILINADCHIKVADFGLSRCLSETENNNEIPIMTE YVATRWYRAPEILFGSHYYSTAVDMWSVGCILGEMILGKACFAGTSTLDQIDKIIQLIGK PTLSDLESINAPMGYQIIEQMDSKKQFSYHQFFPKANDLQIDFIKKLLVYNPKKRLTAEQ ALDHPYLKDFKQTEPEILLDQYITIPFNDNKKLKLQDYRDALYKGLITKKSNNLVASNYS SYLTNKMSRNEPNPNSIVVKSDTEQYVFKQPTNQQQQVRCKSRLDQRSESVSKKIAQTQY FNTQDIMRIQRQTDKSKSFHIDEEMPTVHKSITKQQLLDQQVPTTTHQKQQEKSYNRMKP SNGSKLSESKENSRINSVEGTINNHLLAQAQYNFHKRLQKKKQVSEIYGQPHPGQQNQKP KQIPKKSKTPDLKNYQMVHSRSLSQNKNISVSRSSSKPKTRGSPYYSEGKVLNSQPDMNC SFSKSKQQSVYSIVNSNLPLYAKILSKHQNIVKFNQQKLRYESLKK >CAK56107 pep:novel supercontig:GCA_000165425.1:CT867985:931151:932041:-1 gene:GSPATT00000543001 transcript:CAK56107 MAGEFTGVCGACCGISSFILFLMIILSFSSLDYNEVGLDYSSITKTISPKIYQAGIHFLG IGHEFITFPKTVQTVEFSDDKTADRKMIHSRTSDGLEVVLEISFQYTYNLTQLYSLFATY QTKHKPILIRQSIDILSFVATQYSAYDFFMDRQNIGIAMQAALDELFEKELYSNCEFFQL RSVDLPNDFENAIWSSEVKKQEIVKAQAQKNKTEVELETNKMTALYNREVVLNQAYGEAN STLSNGKAKADAFLTIQEANQKAQILVRFYIQIQVFKGAFEVGWERGN >CAK56108 pep:novel supercontig:GCA_000165425.1:CT867985:932092:935483:-1 gene:GSPATT00000544001 transcript:CAK56108 MSYFSKLLPFPPNIEKQEIPNDVNEIIQTLITKEASLQQWESISYALFNHKRYDDFLELM KYLTNNLINKFNDDQSKKIKIRIYNSLSLYYLIQATRQDGFDKASDYFDNVVKNFNLSDK YDFVSHTFSIKGLFSFYKGDKDQTFSYYRTSFDETSSARDTLSVTPCIGTAQAYFAVGNY KDSLQYFKRALKHKPNIAGKARLGLAYCYYNLEQYSLAYYAFKRVLQLEPRNVEAHIGLA VLAFDKGDYDEYFNRLCKAFTINQNHPITLYHLSEHYLFKLDYQRAMICIQNGLKALDNV SRFQFKEKSQEDQFRNDWSQLKCRYLYLIGLIKQIEGEYEQSLKYYNQAKQYNRNNVLVL LGLSQLYLNPQSQNYTESYKLAEKIAKQYENTDFMWELYKQLAYIQSKNLQIRKPVIETY RKALQYNDKDFETLIEFAQQIENEDASTYYQTAEDLLLSKFNNQKNTMILQKITEQIIFP ELYINMGVHLANTDLLKALKSYEKCQQLIEEFQIPDYVVDTTEEASKQEVQLKKNMYQIV LSFNKGILMEQLGDYVTAMELHQQCIKINPYFIDSYVRLSYLQFHLGDYKDALRTISDSK IYYEQYVNHNRNYKGQNPVPMIHGYIAYQLQDQGGAVDQFKRYGEDCYSKIFLMAHDYQL AADKTKNDDQQFKKKVLRQIASTGMKLLQHEPKNLQAAITLILVIAELGKYTEALNLLGD LQEYAHQQPRILSNLAILDCLIMPNNNQSAKTYFKKYYEKTNYKPDEHTDLAVAKMYLNN KKYRESANVIKRQILNNPGDLKHRLNLNMVVHQYCYEIQEEKCSYKLLRTAVTYFKCLLK SYEYMSQGICWSNREQQHETEHRHIIRQNHQLMRQKSEDKFNFIHSFQTNFDKLLKEAEE KEKIKEEEQQMLEQQRREKLEKAATQQQQKQEQIDSTQMEKLARIAADLLSNLNMDQEFE NQIQGKQSKQGKLSKKERKRRQKEQAKAKKEDDEFIESDDEDYNSEQAEIDEQYAKEEIG EDSEEQLNEQEAYEKRNTNKQSKKKKKIKQKEVKQKSRLQKQVDISDQEGVYESQDSNKY EDLF >CAK56109 pep:novel supercontig:GCA_000165425.1:CT867985:935511:937099:1 gene:GSPATT00000545001 transcript:CAK56109 MKQLIAIQLLICLSLAITAQDDLVKSDDLKEYTDGVFDFKGQMYSGYLKAIDDDKTYFHY YFMTSTFEDDFTEENTPVMLWLNGGPGCSSLQGAVNENGPFVFKDGTAEFYENKWAWTKF AHMLYLESPAKVGYSYGNGNVNDDTVAIQNLRALVDFFERFPEYQAKDFFIAGESYAGIY IPLLANQILKHNEQHPDKAIHLKGIMIGNGCTHPTECSDVADLYPIHTIEFFARQGFLSE EQYKVAQHLQNSGKCSDLHNLHGDCFEFLDQVVNQYYESPSVFLMNPYNIYGYCYNYKPE QFLLRKNDPMLKKFKPKNRQNDEEFGSCTDDKGLYVLFRDPKWKQITHIKPDSSEWDVCT DDDDFVYEKFERQSYYIYESLIKSKKIRIMHFSGDIDSVVPITGTLFWIQLLQNELQLST TENWRAWYVPGERTVDKQQNAGSVFSIEGLQFVTVRDAGHMVPTDRRKEAYWMVKYFILD QKLPDKEKSISVQ >CAK56110 pep:novel supercontig:GCA_000165425.1:CT867985:937160:938034:1 gene:GSPATT00000546001 transcript:CAK56110 MKGLRIGARVFGLISSPQQVFFRQGLQQTSIYLFSEKTKKHDTHKNDHKQEKAQQQEQKA EQEKKEASTKQDEKVESLDESHEDKLHRVESFYSKQIEKLETQIKDHKEKIHDQIKLINQ LEASNKDHNTKIKELRDALKAEIEESELSSKRVLKEKEQLKVFAISNFAKELLDVQDNLE RAIASTTDKPENNPLLEGVVMTHSILEKVYKKFGVQKMNVIGQKFDPNFHESLFQVEDPE KEPGTICYVAQEGYAIGERVLRPAKVGVVKQQS >CAK56111 pep:novel supercontig:GCA_000165425.1:CT867985:938076:941038:-1 gene:GSPATT00000547001 transcript:CAK56111 MRFNQPTKILFNLQQQQAILIKDGKWIIEYDYGLLCELGRIEIKFKESKPGINVTYCDKF EIYFILYEGLQLYILKRKMILLNSIKFDSKYTVGLHYFSGHLLLLGLEEIDLLKFEFIIK KKDPKIESEVKNSKSFKQNSKYAKLDHKNRKIDQDKLPEIKQQQHEIDFKKEQKKNKPPN QTNNKIQEEDKCKYLAKDNFDIAYVSKKSIYTSKKKNSKEKNSREEIYKEKNYKDENGKD DNIKEKNSKEKNSEEWILSFYINEDFLFFWSDKDLTIMKQAMIAQIQKEWDNDNIQGFSN WFNRVKLQIDDQSEGTYNTIQTITFQLVQKYHDLTKHENLITQVLHFIEYRYFVTGFETG QIKLWKINTAVKQSELLVHSYEGHSKQIESLIRSKNDKMFWSQGQDFSIKLWDIQVFVTN LIVSLKQFTNVYAVNIIGIYSNLQLLTRSRFLLRNDYEMYIGYLNNNLKIYRTINSKIVS YYKYDDSILLALDNTPGLSVQENCEPIILYPPPKSMEVRQIVTDGQLYFMLLETGMLFIN SNQTQIQCIQSEEIMDFDSYHMVQVIVSVYLPQKIKLPCYDADFGQSRDLQCQEQLIFGM SKGSVIAVQKNNPKKIYARMSYHREKVINIAEIDNYLFTYCSEGLLKLISITEKQIKCIR SFNSNQQIAYFTTFHNKALFFTYKGPFQIFKFKNEQLYLHCDDKGNDHEQQVLSVDYQQK TKTYLTAASDGKIKLWSSRKILLIQLIMEDNLEGAWVLKQNLLIAHCGKLSLISLEDCKF NTINHNQPKQKKEQQIKFEDYYQLIKIQQQQQKQQADTKIIQQQEVLNKQQYKLKNKASV SYVAGKIYYEENNKPIILYKGKDSDLDSSKHSSQSSISIQEQIHSQQQTQQQIIRPKKQK DLLHDWEGLQEYEENRINRFQPIQALPKLLLNSVDRKQPSRQRIISRRDLTLQRIILQSI NQ >CAK56112 pep:novel supercontig:GCA_000165425.1:CT867985:941120:941877:1 gene:GSPATT00000548001 transcript:CAK56112 MNYTQQQMPSNISTIDLKVGEIVEFINNPDIEKTADVVAQIQNLSNMQFDLQIEYQSSKY CALSGIQLNETNQDDIISHDGYSFLASEIREYYRRGEFFDISIGDLEFEYYDGISRIPVQ IELTQQLISKVFSNYYADFPQKFEEYYQNQEQPLEFICQQTQKKYYMSDRIIYNNQDVSI HWAQDNIHLLDSVQSQYVQGYQKVLSQIALRY >CAK56113 pep:novel supercontig:GCA_000165425.1:CT867985:942431:943384:1 gene:GSPATT00000549001 transcript:CAK56113 MGSSSSCKQMQQCQEKEIHAGSILNSITINAIVESSECIPQEARTIQLYKSQKSILNSKE TEFDKRISQLGRADHIQYQMPSIKVLDSMGNNLLNQSGYAPPEIRTQHCCLCHQVNDSLQ GYTLECLDFYHQSCLISYIRNQITNGNCTLLCMCQQKIHTQHLRSLIPDDNLISKFFSNQ LGTLQQKNQDLLHFSKSESNQRECCFHCKKLIISQKIQLKCKHSIHKYCLKEYCTKQIEL DKTYLICYCGAQVSTQLLRQFKNDSFKLILSKLFKNQLVELYNTNKFLQNKFHFKQFIKA VNYSEEQKQSSYSMHIQ >CAK56114 pep:novel supercontig:GCA_000165425.1:CT867985:943604:945037:-1 gene:GSPATT00000550001 transcript:CAK56114 MFQYIKKCYAYFQKKCIQYQNNPSLKKHTAYNCILLVLTIIIRTFFEIYLRQQLAIESDQ LPLEHNKEEINDPIFEKHYDHIQLSYRILDHSLHLILSLEILTLILQITLIINKGKQELP LLNPLLEQEKNECSVQFVQSSNYGFSSLQNNQQTFNSIEMQLVSRNKGTSNQIKNSSEEK SPLNFGKQFQQNKNITTQREEFHQIQEEPHDQSPKQIANSQQNIVTENPIYETTSNIQNE SDQISIQISYPSRVQKYKNGKLKTVYIIQKCKKQVNCNFFWISSTKRSNYFCLNCDQKNI IDQNKKHVEIEKTKQDINVETNKIFLSNQFEQQLFEITKIYKNNTFQFCYNFEFCGFFWM NNRQFQSNQYYCPTCQEYYTTKDEETQGTIYKELTCKCSYCHTEAQEHFQSPCLHAYHYE CLKELGKNYHQANIPCIACNVNMLSSLKKNNILSKETFENIFDHQIKVMREESMHEE >CAK56115 pep:novel supercontig:GCA_000165425.1:CT867985:945060:946207:-1 gene:GSPATT00000551001 transcript:CAK56115 MFWGTQISQGKTHKLTAEEADVIHVSNVAISEGENKIQVYAKVQGKEFILANLEKNKLEQ VSLDLYFRADQQVEFGVKGKGTIHVSGYIEPELDNEEGLSDDDEQTLSQDEEASEESPEP VQQTKKKEQSKQQPQQQLQQQTQKQNKEKQEQPQSKPQQGQQQQKPTQQQQKPQQQQPKP QQQQPKKADEEWDDDEDDEGLDDFDENDLDDGDLDDDEDEDESEEQKPQQKFVKNNEGQK QKPKQEQTKPQQKGQQQQQQQKQQNQNQQQQKQQNQNQQQQNKGNQQQGQKPAQNQQQNQ GKQQQHPQNKGNPQQNKDNQNKNFNKNANKNQGGFKQKQQ >CAK56116 pep:novel supercontig:GCA_000165425.1:CT867985:946631:948313:1 gene:GSPATT00000552001 transcript:CAK56116 MSDFETLENDLGNKYCNFQEIGFGSFATVFHAECLTTKRNVAIKMISKQGLQVQILHYLN QEIEILKRCNHENIIKFYEKYETKNTVYIVLEECKKDLGVIYEEYFDCEMPEKYVIFIIL QLIEGFKQLHQQFIIHRDIKLENIMVQMTDYQLEQLKQRQFDVLFSAKFKIADMGLSKQL ASQTDLAQTYAGSPMTMAPEILENKSYGRQADIFSLGVIMFQLLFGRFPFKNEKDHISEI QKQTISFQDSQIKISNSMKTIIQSMLKYDPNQRIQLNELKQELTNLLKESKIIFEFDDDQ ESNSNSDLDQDQDQESLLEQEMEEGTVKQEIEQQQENDEQFEILTIDKNDDDKLIEELYN QRKQYLLLAKAHQQIYEQDIVDQFYNYDQYQECAKQLYCHLKSQLNEEQYHQVQACQYLK ELISNEDYHLFNTQEIVQDYKQESLLGFIKQSIFGTPQKQSLESQLNEIRSINLQLIESL RNEIKNEKQQYLLITLVQLEMFFKKQTQEYEKLDYNLFNLDQEALDQDPKQLQNILDQIF TNILT >CAK56117 pep:novel supercontig:GCA_000165425.1:CT867985:948362:950661:1 gene:GSPATT00000553001 transcript:CAK56117 MTEKSERPHIKKFQTFFNEAPSKTIWRTKTFTQAFISRVTKPATSVQKLKQFLHDVFPIV KGKTNEKTEQFKINLKKKNTYDHIPNPSKVSTIGKIDRLFKTESGQIEDKNQSKAEHLKR CITPQSRIENNCNSSLAISQLEEQLIDKNAASYVNMTLDISMNTNVLQQMALPSNQSHRE LNFDAISNPILEKPESQENILLDQIKDGAIESEQDDQNKWKQVKNKASAFNAVTEPYRNK QKFFSFFTDAPQKIALKTKKTQKRLEAKLSNKPQIESQNQDSQNNEIKLAEMKKIENEQQ SDEQKENNLEQSVQKDENKIENFQEQEQVIQTNQSKPQTDLTYNINDAQITNQDIDIDNQ NNSKKHQQSVVNFISSSGALMKKKTMEMFSQIENKIKLQEGQRVSFRTQNQTDVENIKND SVQKNQNFQIEKKNVNQVQEIRDQAQISDQDEYDSQVEPYLEEDLKISNKDSNNQFNYQY ENFNQINDSQLNSRGELQSNDKHPQEITKIEKESVHQDSFESIPIFDNFKEGPKDTSRDQ KSKITIQDNESNQLTSKLFNKDELRQQYDEINQEIQQLHFEKQQLLPKKNILIKSTFKPN YKKLAEISDDNEALYKAKDLVRKMNQKRKDKEERTKKQYDKIEEYFHNLQYLKESQEEMK NRYEIQRKLQIQNRIEDYQKKQKEFAQLQKISHNFVQKLINVGPQQGQLAKEFEDNVVSI KGGYRKVKLKNLGIELPKINENNNNAMFRR >CAK56118 pep:novel supercontig:GCA_000165425.1:CT867985:950825:951169:1 gene:GSPATT00000554001 transcript:CAK56118 MKVNNILILKNREDLYTRRKQAIFTKLAKEKKAVDIQQTQRVNKMLQISLMDIENFTNVC QSYEFNRHKDAKSLNSNRDHSISRGRCSNQIFLNKLDLTPRIDSCNYWYDLQYI >CAK56119 pep:novel supercontig:GCA_000165425.1:CT867985:951291:953146:1 gene:GSPATT00000555001 transcript:CAK56119 MKLNNIYKIGIATSVVILFALSAYYCWNSSEDYVSNIFPPQVDNDLSFFSQSVCQRVKNT ELFQGWKKINEQALQLNERYSSELLKKAAFVIEQLKKNQQIYTQSQGEGQQFQYYQKNLK ECVNRTKFLKFELEEVKNKTNQIILEENSEIQKISENIEDHQNGLKDLNNQHQEKKRNLN LVIETLKSLIDQKERLRRSYKNEQELKDYQKELEHDINKINNSIASQELNISVFKSRIEE QETNVSKITKRFEIVNNQLQDLKALEQYFRQDDLYIKEDVPIVQVIYEYSNTLKDREENL KILAKKEKDPIQRQKNLEALNEIQKAQQISSKMYNSLLEIKLECKQDDCTIGTTLEDLKL SDLKEEIQKKQTIYNIIYSEKQSMEFNLQQLMQQLDNENSAYMINKQKLNKLIENQNLLN KTKNQSEELQKLTSKIEQYELKKNQLQEEVDKLQNTASDQQHLIDAEADKVNEKKKKVET LQIERNISINDKNSELYKQVKECIKQKKIYDNFVQKQSNLIEKANEIKVVVQTEVRQIES MLNEYKVTYQDLNLQSNYDSERRQDL >CAK56120 pep:novel supercontig:GCA_000165425.1:CT867985:953219:954549:1 gene:GSPATT00000556001 transcript:CAK56120 MNQIIHASLQRNEFYNKSYIEKQVSNQTSSTEKSCQMDTEFDCEMIKKVQSTCDSSVQGN SSQETNFMKCENTPQIHQKMESLCIDEESFNLDEVLFNECDNLSHISENANICEKWQEES IHSFIYEQKVNEEEEERKLKENNYFYLLKQMEETVKIERIPEHLKNIIETLKFKVISIGP LKLQCQQGHQWEAKFDDLTHEYECEQCHDIKQSQQKLKLNQQSQNQQPKKHGKCILNPQH PGQTRCKECKQLLKQQLKKQKQENIRQTNDMYEKLQNELYMKAVRLLSQGNSAEKQKIIR AFRETEVEVDKAAMNHANRYCAKQGISSSEQLQQIMVVYKMLLLPEGALKKYAQSQQKAI LKQEYKTYAKSVHPDKNSHPQCCVAFQKLKKFWVDIPKTGMSSDISSSQQQ >CAK56121 pep:novel supercontig:GCA_000165425.1:CT867985:954629:956157:1 gene:GSPATT00000557001 transcript:CAK56121 MVKQLFTEQEKQDLLKKKAKEIREEFFQTYVPIQNAEESEKKKLMKKVPWNEHMTATSDD KILIDATLGNEEAQREVQIYQITFANVQRSIAYLTQEGVPVQRPDDFEVEMFKSPKQMDK INLKIEKKRDEKNKKEEEKMKKHSKKLNKQMKIKKMREEHKEKRQNKVAIEQWKKEIKEK GSDKARDLDEIIKQNNQISKKFKKDKNQQQDGIKKNSMFKQKNGKFKKTGKMRTQDNSFQ KGENRNFGQRSQSSDGFRNNKFEGKPQNSRSFSAQGGQRNNKQFGGDSHSQERKPFGGRG KSFGGENRSEGNSFRGRGRGSENRSEGNSFRGRGRGGDSRSQGNSFRGRGRGGDNRSQGT SFRGRGRGGDNRSDGNSFRGRGGKSFGGRGKSFGSDNNSEGKSFGGRGRGRGGQFGGQRG GRSFGGRGKSFGNENRSEGKSFGRGGKFGGQQGGRPFRGKPQGGKSFGGKQHGGNKKGNQ SFKRQSFRQKGKK >CAK56122 pep:novel supercontig:GCA_000165425.1:CT867985:956170:957643:-1 gene:GSPATT00000558001 transcript:CAK56122 MDLDRKHILLNEFRKINIDLDQPISENVIFQFLDEKSHGTFDNSIALQLHQQVIQHYQKL TIHNFINVYLQAETILNNKIDKARIEIQNLTVTKQQSQQALLNIKKQEQLNKYGIMEDSI LMINHVHAVVNKLSLSMKVFILMSSSTTSFQTPSQNELDWNDAYEFPIENGSEVINVYVK AYQGSSTIDIGQATYAVQQFNSQAQTNVEAKLQCIKPYSGVVILSGLWVFSKTKYFSDLI QELDTQISQLKNDLTDFENDLQTLQAIFPNTLISNSDANQNVYQSSPEKQIDNIGNIEQK TLNKYLVAIMALLITLSSLDRCCYLDILIILVYSINFKNQTLDATLLKQISVATFVSFAL DIVWVVIYVVQITASLV >CAK56123 pep:novel supercontig:GCA_000165425.1:CT867985:957705:959359:-1 gene:GSPATT00000559001 transcript:CAK56123 MIIENQKHLFYQGWFAKIIEVKVDKINNQEVILGQGGYGTVLRGKMKIENINNTKETREV PIAIKRIKLSPLKEKKSFGENQLNMESIKLELSVYEQIKSKNIVQLYGSEQKDNHYYLYL ELCDGNLLNCIMDENGDAPLKEEQAIRYFIKIFDTLQLLQKSTYERTENNKESLYHRDIN VKNILIKKCVIGYQIKLCDFGIAQYSVQPGNQNKNLIQEIGTPAFKSPENFLNQLCDQEK NETWSLGTVLVCLLFGYSSFMANIQLFNIGNKDDHLKWISRQKNLISTEVQQLLFGLLQK EPKERMGYKQISESQCYRLYGNYPPSRSLIPRGIQRLMYNNFEYLTQLFINLNQNLYKQI PEDLLFFLFQHYKNLVHKQCQNYSGYYKSIDSTDLSLQTTSNINYIGIPTLLQKYYQFFQ NIQLENETQNDDQMILFWRKFSQPNRRYQDSQRFCFLNNLFEVLDKLQVEQQALENQILE LNKKKMLYLEKEEKLYSKKEENLNKKLYVIEKLKEDKDDNFNKFNDLISGLTQYFDWNKE KI >CAK56124 pep:novel supercontig:GCA_000165425.1:CT867985:959426:961738:1 gene:GSPATT00000560001 transcript:CAK56124 MDLLIQVKNNCIQDKDLCNHKQQIKLFKQFQTKVVVPSINIQLKNHVTLNVVKSGSLTQR EDKVKQSDLTQPQKKQLPITKFNNAVNNNYVSSYYQNYLSNASRLNSKTQNSKELQQKEE QRKNTFEERHSVKPSKPKSTEQQNKNPKLSTEPSQNHKSMSSQNIMNLLNKIQQPKDDQN RAKSPITSSVDFNFKQLLQQYQKTLSNGQTRAKSNDQAKEVNQISGFITERPLSSGAYII IHLYDSNNKMTELRFDCAYGTTDNLVNFLMTQCIDNKNIIGFQTTDQNIALDHYLSLVGK DLQFLNRKTIKLQPLHCTCDSNKLSLKSFQFLQCIGMGGFSRVYLVRSKSNGRFLALKLI SKQFIIENQKQTIVQNERDVMVQLNLSDQHLSKQFICQLECAFESRNWVCFGMEYCPGGE LFNQLRKVKRMTEEQAKLYFIEVCIAIGFIHCQNILYRDLKPENILIDSEGHLKVADFGL ARPNMASDDEAYSFCGSPEYMAPEMLQQQGHTYAVDYYCLGALFYELITGLPPYYSRDTN QIFKSILSDPLSFPPNIGSPEARDLIRRLLTKNPEQRLGIRGDADAILQHPLFASIDLRD VIRRKIDPPFKPNLTSFNFDPSEFKKGEVSFKLELQKSLQSDDENKFTPMFENFYFISET LKLKRLRIPSRPTTQSQDFNMNDRNYNSNNSQGRRGNSAIADQLEKGIAYKQKIEEMQRR VQMQNSLNSGSIRQYMDPFNSVDKLKMNKKAKQ >CAK56125 pep:novel supercontig:GCA_000165425.1:CT867985:961775:965398:-1 gene:GSPATT00000561001 transcript:CAK56125 MAINLFQSSSNNTNWNPQKNTRVEGSYRAQYQQIKLDYIPNDSQSFGPQYSTTIIVQQEY KGMSLMMVRLEDYYLIRSQQIQRSHQVIVQSACSQGLFNSKLITNANPQSKFMNQILALQ PPQFQNFRNSWNNNNSNNNYQNNNTFSQNNIFNQNNTKNVFQGQQTNDNIFNNPMNQSNQ LFQQQSNQSMNIFQQPNNQNNIFNSTNNNNNQSNNNIFKQPTTPTNATNNIFAQTPSNNI FAQNNQPNIFQQPQQQGFNLFNQPSLFPQNNLYPQNNIFSQPNLYGFNNTFGQPTMYGQP TMYPSPMNYQQYPFYQPMIQPQGQQQITYPQLQQFEKVKDAADILQTYADVLKQKYQQFQ ELQKNEEQHFEQQELIIQEHERQNQQIRQQRERILNQSLSVRSNKSKSPSPFSISRAGKS STNFHRMNSSLQRSHVVEYNTSQISNYEENKYDIIVKFLESEKFFPIITQSFKSKSRIEH VKFFVENILSQQNIFGKQRYQYFQMVRIIIGQNMTLNQINIQFKQNVTIFSTIKPKLTKP DYLCNVNFDEMTEEELSNVENFTISNQFGQVRWLSPCNLLYLDLDKIVDLTQECINLYDS EIVNDYLKPDIGKKLNKECEITFNLPANLKINDASKFEQNLRQQAEGKNIEFLRFDSENR SYTFKVQHFSGYQFNSDYYSSDDQTRLHQAIQTGFGQVDEELSSSPSDNDFSEVQLEVLK QFNIKYNHQISILKNLNISQKNEEIIKFNVKNNIKVPVNIEYINNQLQNSNYLPIQFLDS DQLHYQSLKMKLSAQLIQLFQITEDKSIKFQLKLFNVLFGDTSISLGGYAKLIKKNIFNL IDLQSITQHEQDRGLALSLIFENQKKKQNNDFREFIIDQKDLNPIQKIFLTINSKSTKKL DFGHGELIDEFINWRINCEQPKPLYEKEWWKSLYMQLQGKPLKTIDQAALYYYLIKKTKQ SKSSDLLLNYLQQSNDALAYLLFAIIIRKNKIQIKIEKLKILARSFLSKLIDNRVSYEII KEFLSILHPSIYQKEEIVKLQNLINKHPNYKEYANDEQEITKYINNYDYKNAIIQILKQK KISEYKQIINDYVIPCLVIENQRQNENSFDDQTTNLINQILDNCPYSSDVLTLAQVIIQV LIQIYMGRLEFEYTQVENINVQNEYQYFMKQKIMQQYREI >CAK56126 pep:novel supercontig:GCA_000165425.1:CT867985:965565:969851:1 gene:GSPATT00000562001 transcript:CAK56126 MEQLKFRMLQPILSQRKSTDLSNKRIKQDSLQSINIVNSPKPFSNISYRKNHKHKALSQG VYNISMQTFYGSPLLGQNQLEAIDKMKSQQRNDFYGQLKSCDPYQYPTRLQELQLSPKSP REPTVEVIIPSELKEPPITLGRLSDNLSRHNARDKLHRGIPQKHDIKQLASWVDLMVLQI IDKYGDQRHIEFYEEVQNVLTLCLKELIRQISIDCIERSVLLEKIWAQYVEINSSVIKQA LDEKREIEKSNLKQIMKTHQLYQVEIDNFQLIIKHLKDDQQQLTERLIKLKDNGKYLKKT NKHLQNITRELRFQLNDVTTTNKYLLSEVENLKQYIEEAEKDMQNYQQQFKNHLIQQRIE SPTSPRKKPINRAGTVFLSSRPQEQLKIIRAGKHKTLFHEPKPEEKVQKALKSDTDSSIA SDIENMLKDQSTDTDDLYCLMEIQKEQSTQAYAQVPQFNYKALLLPDTRHQIIQTDSSLY NIAFKETQTELQTEMVEVIKTEEEQLMQIQEHLMKVSANYQSIQGESSNDESPRSRRISQ FFQSLGEASSKMKQTVQMQREIRTSLLLVNSAQKDENNRKQDQIIALKSINDGLSKNVDE ATLEIQELEAQLDLQEKINTRLEKRYNKVKERKQQILEKTQNLVNSFTKTQKFTNIMKKK ILEKKAGSPNGRKISSPSQIQIQSQNDLQSQQQQNQNQNHLSLQQINVKQLANLQNQKNI SQEQVQNTSLPNQQLESSKIVVSAVSNQMVSQSQEGASMKKKWNIHITNADEQKQMSDNE SDFSQSQLSVQRSTYSAQDDFQDSISQRSLATNKSGISQVKTSNKPTSNYQLVPNSNHQI SKQSKAKKDKNLNQTSQNHIPLESIRLIQDTLRQFINEELDNSDTSSVDSSFSTKLEDLN KRKLEGSQRRKNVTLAQAPKFQNRNNAESTSSLNFQKSQNLVSQLSDKFSRNPKSSNAKM QKINVLKFISQFYCEKIRQFSNKNMPLHQICYEHFVNTYGFKSMAEQKLTNFYQSVFAYR ENFRINLFGRFAELFSPLTLDDLEIYIQSLKTLDENEMPIQLAQVVNEKGVLISLDRALA CLDAQHSCLPFESKDRIMKEIKTHTYERKNKICVDLDFFISKVLTGYHQLKMMHQAHFEE VFYSADMDMDGMIEFQEFQKLFLHFEINQGTNLNQKIIRSQFMERCDTVSNESGEKAMSF DRFVTFSLENNIFSEEKFQRFSRNVDQNDPIKNLNDLKENWLNIQALLNNRIDLSESGEA QYYQSIIQKLDLALNNKELKESYWISYRILDADTKAVYLSKVVAGYLPSEFLCIQELMNE IFDENIQYISD >CAK56127 pep:novel supercontig:GCA_000165425.1:CT867985:969985:972705:1 gene:GSPATT00000563001 transcript:CAK56127 MIKSFSFLLQRISRFPQTSINLPRYILSENVKEKQIRENDMLWIAANADDKRCSISEIQK FIKEEPILEQSPIRLMDLGNNYFRHINPRKKKTMLQLLDAYVDNLTESEIKEFQNALSIY FDNSYEGKDLEELNYEEKDLPEQILWHLYSLMIFDRISSQYARYEYLDQFRIIEYFNKRD KEKINRVKYVFTSHPTQPNSLTQLIAISRMLQGIEQNDYKYLKYAAKLFIQSNKQRVFNK VSYIEESLIYHSQYLPNLIKAVAQAYELGLQNPEEFIETPGTWLTFDFDNHPGMEIGIMT YTHGLTMELTLQQYKEYIAEAKFEDHIYFKQILELYQQALEYSRQIRDLSDKFRVKKEIS VEEFFQQLPIYNIKKIEDQINQVLEQILLQNDNSKDYFIALKLKKLYKIFRLTGVLGQIR LAGEDLTDINKIKPMIKNIFKEISLLNANGQAADMVIIANFQTQSQYDLVIQNMEIVPLL ESFSSTNNTDSRITMIASSDTRQRDGLILTELRNMREFKRNPEKYIYMGQGITPERGGGP YELIHTKYQALTRAQRKLHIRTIQGHYFTSEFVSEDVAFTFMLNGLANINYSDDFEPSYQ YMDFLFELDNFVGVPQRAMQKTKEYNDLYLKNQVIKTMVESFNFGGSRETAKPFENLKNQ RAIVQAYCNSDRCSFTHPELAYWDRVPEELVNKIATYYYNNHPHMKYLLYMYALMVRRCN LDFAKQEVGLDSSNPCYQAMEKGRAALIAILDQLGLGNHSTPMIAIWKQHLGCQLQSMEA ETDQKFKTFMTLNKLQLYQAKKIVKHGILETDGFSNQRKLRLLQSTLANMTSFAGKG >CAK56128 pep:novel supercontig:GCA_000165425.1:CT867985:975430:975788:1 gene:GSPATT00000564001 transcript:CAK56128 MIIKQCLEIIEPKSCSSFLITFCGKIKLLVLNPCKTIATQDNSRILLNREFRISTSLARG RRLLLQIVNHVQLENIKELITKSKSMYKLIGALNDTQNKQKTSALGNK >CAK56129 pep:novel supercontig:GCA_000165425.1:CT867985:980191:981684:-1 gene:GSPATT00000565001 transcript:CAK56129 CILNGSEFDNVDISGMNLNQALLFNCKWKNIKIHDLSKLDGHSETVMSVNFSPTGNILAS GSADKSIRFWDIKTGQQKCKLDGHLGIVYSINFSPDGNILASGSDDKSIHLWDVKTGQQI AKLYGHSGWVYSVNFSPDSTTLASGSDDNSINLWDVKTGLQKDKLVGHLERVWSVNFSPD GTTLASGSADKSIRLWDVKTRQQKAKLDGHSHCVISVNFSPDGATLASGSVDNTIRLWDI KTRQKIAKLDGHSSYVYQVNFLLLALHQHLVVQISLFVYGMLRQDNKKPNQMVILIMFIQ SISLLMALHQHLVVMINLSVYGMLRQDNKKPNQMLISLLIVIHQHPVADLTLFVPGMQKY KKKCSNQIMAKMICLPSFSYHFKTASYCQMLIMIIQCLEYVRILCQKLRKHLSSQDNSLI IKGKIQNLCFYPKEVTFQKTQSKGDLKYSYNHQQHQYLIFHQYPMVFLSYIHFKNEFNPL R >CAK72531 pep:novel supercontig:GCA_000165425.1:CT868130:14997:15242:1 gene:GSPATT00008922001 transcript:CAK72531 MFRRDKENFIEKQGLVEADGKLKPIEDQVKGLPSVIDFLYIGSDIQVFYKEHNIPCILVV RMETQFGAKLYCGKLEKLNTL >CAK72532 pep:novel supercontig:GCA_000165425.1:CT868130:15443:17172:1 gene:GSPATT00008923001 transcript:CAK72532 MCHQDKPRLSVPLILSPLQGLWAMHEGAVSAVQDHHDFMSQELTFIQGTPFEIKVNQFQL LKQYFEKQKSLTESQKVQNLHEDFDSFYNYYLKKIYKKKIINKKRVRLQQIKQLHKTISL QDQFRNLFVQNRNSFQIIEDTIITNKEKRDGGFYNCFINEIFSNCFEQLGNIQNQQNMNL QLFPSSNLQHKIRFQVYRNKQLLTKALSYNCITHQLINGTFQKMSGDLRLLMLTKRQDGQ DQFPQTMFIQKFRQKNNFDHLVILSPLFKFIELKFYQQLMDYMIYRLNWFHKRVRHLNQF VDNQGYIKKKLHKKGQKVIIFVDFSSAYNTNDRYRFYQLLSMNNILPEEEIRFLQAIHSR ILYIPTICKEKFHYKNGVPQCSPMSPLFFNVYMNNCLKNYLKNLYFIIFLQQQIICNQVQ STHVLYPSKKRSNHSNLNEKIKLFTPGQRFSIPCIMNSTIFKLLRLNVSFQKLNIANVWT LLLLQIISKLPLVLRMLSSEIQMYVYHVLFQVQLTQTIQISDNNLVVFFQNSKSTFCSFC ISVNQKKNSLQFYLTKTMKNQDIQSYL >CAK72533 pep:novel supercontig:GCA_000165425.1:CT868130:17885:19463:-1 gene:GSPATT00008924001 transcript:CAK72533 MGCNSQKQSKSEKHQEKGNEKQNLPSIHEVTQTKPKEISKEFTKEKQNLNSQPQAQQKVA ELFELENQQIYRNKKFQSDYFILEEKASMQSNEGKLFIVEHKVTGQKRIAKIIKNVLTDN QLLEYIQYIQSIKKLDHPNIIKLFDVYNDEHHIYLVKEYCEGGNLIQRLQNEESNLNKTH IAFTIQQMLSAVYFIHAQNLVHKNIQTKNIFMAEKQTYLSKISGHEEIFYNYQDLNTEIS YRAPETFTERYKWKASADIWSIGVVLYELLLGVHPFKEKHKNLTFQNIKENKLKNDAEYK QLEQEAKSLLISMLNQNESARPSAKECLQHSFFKTIHQSSLKVTGALLRAKNFQRKNELK MILLNLMVEYLLPKEERDRLANSFNKMDTDFDGRISKKELIKLYQENLSDDNIKKREVEG IFKKLDLNEDSYLGFNEFLIATCDKKNLLSEENLRIFFNKLDKDSNRQISANELKVYFVK TKLTDAEWLELMKLGDSNQEQNNKLSFDELKEVLKESE >CAK72534 pep:novel supercontig:GCA_000165425.1:CT868130:20898:21340:-1 gene:GSPATT00008925001 transcript:CAK72534 MNQENAKLKKTKDSILIKKNGKYSEEVRELARKRAIYILVIECGILITYLIFLIAYSIQD KFKMLFLIIQIGVVLILYFNQGFLLNSFNLFLCSLINFFQRIHNIQKFQLVKCIFYYLQE CSFILFDDCSIGFDVLRG >CAK72535 pep:novel supercontig:GCA_000165425.1:CT868130:21629:22247:-1 gene:GSPATT00008926001 transcript:CAK72535 MGPTKSPKAEAKKTVKTVKTKKPTDEKKKARRRQETFALYIYKVLRQVHPEIGVSRKAMN IMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVTK YTSG >CAK72536 pep:novel supercontig:GCA_000165425.1:CT868130:22357:22720:1 gene:GSPATT00008927001 transcript:CAK72536 MSNEYESKILFAIYRLYKSEQINEEQRGELKDLLITKNNQIMNLLEPYEEALLTIFDNHS DATSLCSQKSYKNLRPSKLDIRPAYRKSLQDISQSINHQSLSTMFKKKKFVN >CAK72537 pep:novel supercontig:GCA_000165425.1:CT868130:23288:23959:1 gene:GSPATT00008928001 transcript:CAK72537 MQYFHQLLKINQIYGTLFQQILSVKERKQQMIKKNCYLKLTNTKSRIDTSAPWRPSHSLS KCNNKYLNAEENKIVYENNILLNKMVNIQKQGNKSNKSMPQIPKSQQMTKRIQIEKLQQE ICNY >CAK72538 pep:novel supercontig:GCA_000165425.1:CT868130:24676:25167:1 gene:GSPATT00008929001 transcript:CAK72538 MQYFFSSLNYIIQSQVIQNIQSTFSNLFNFQKTLILLLIFIIIIKLQKYQQKMAEDFVSF SQEPRSKELQNKFKQ >CAK72539 pep:novel supercontig:GCA_000165425.1:CT868130:25287:25544:-1 gene:GSPATT00008930001 transcript:CAK72539 MNYCFRTTDLVIFLLIIQLTGHLFIHWNQYNCSIYGNIQMCVWIVIVLLRQLLQHAQFNK WGLFVTLFIAGETISMNIIAAQQGE >CAK72540 pep:novel supercontig:GCA_000165425.1:CT868130:26379:28236:1 gene:GSPATT00008931001 transcript:CAK72540 MYLAQKQMYSQHPSYTNGSSQNVKKMIENFSYGLHQQIGKGFSSKVYKGQNEVTGETVAI KVIDKALLKTPLHHALLQSEIEALSTLDSQYIMKLYKVFQTQNNTYLITEFCENGDLGSK LTKIGKFPEQQAQNVIFGLVKAYKLLKQNGIIHRDIKPANVLLSGCGTPKLADFGFATTP NSPPLLPNVNVGSPLYMSPQALKNNKYSDKSDIWAIGVSAFEIIFGQVPWQATSEKELGQ KMVSVPLTFPSSTKVSQECKEFIKRCLVVNEQERASIDELEKHPWIRGPELAFQVNKQPA LLKQKTLEQPKEGKENSAKKLPGDSIHRSGKKHTTQITKPLGQQSKQTETSSFEIKSNTS NIEYTIMSQINFCKYLFRVANLIESTNLFRSELIRDKLLFFAIKNIMIKMYKLKDIDSNS LDIQGFDAYKTSSQFQQTQITIQQHFTKYQSYFKNIWSMLQSNKNELSIDKKFDAVFDEN FTEFESFYIIFNSVLRQAIKELQKEIDKKLGSDDLSKSLPVDMEKDVVLLDYLVTYFQLS QLTLETFNKPYEFAQKSKIEHIAEGSPVRLTYGHFIEIKNKMSDLEV >CAK72541 pep:novel supercontig:GCA_000165425.1:CT868130:28271:29042:1 gene:GSPATT00008932001 transcript:CAK72541 MYIYQNVLKSQLEELQYVRTEYKGENTELIAKTLAEAYTKKNDLFKALNIEYAQMYEEFR SFLIKHAYKWILVYYEKQSYEKNIFNIVAIISYTDINDLKPNEQEQQISNYDIVPQISKV RQYIRLKALKYFFDRYHPKYGEYCVTGAFAFSAKFMGARHSLRIYADSIEFIQSKGYKYG FGWTANDIAKNLYDKLHLLLREEQSLEKLYVEETKIYPYSNIKMIQVLYIGDVDQSVIKL RNILSNKQN >CAK72542 pep:novel supercontig:GCA_000165425.1:CT868130:29045:29466:-1 gene:GSPATT00008933001 transcript:CAK72542 MDITNKQSDVKCVICLSLASNQVHLDSCNHLFCFTCINKWSQKSHQCPQCRAIFSCFYEF KNDPKIHIKKHEAPKIINNSEDQRLMALADIDNTYYDLILQQIDFI >CAK72543 pep:novel supercontig:GCA_000165425.1:CT868130:29584:31442:-1 gene:GSPATT00008934001 transcript:CAK72543 MDSEDLLEKLLEAFYDEKIEPKKEAAKKILGLAARQENLELLISHEQFLSTISRTLRDEH KKSTELTLYLLCVFYIVSNYLEFHQILSEHQIGDITMKIIESQIQRFDLRYAELMEKQGQ PQQLQELRKLNLMIAKQEKLFYVGFTILMNMAEDPIIENKMRKRKIITFLLRMLERNNFY LLIVTLLFLKKLSIVNECKMQMVEENCIKKLKRFFSADNNVLLQLSLGVLKNLSFDTEAR LQIEQNGFIPDIVKMLKIPNYRFVSIVLLYLLTLDDKIRLTFGFTECMTLVVKLMLHFPE PVIGKELIALAVNLSTSSRNVDHITEQEFQQIVQRAITNQDTLLFRFVKGVIENSTNPEV QTCAKGFVRHFMKLLVTQGKEEDIKFEIIGIMSALDLQENWIKLLNEPFVEFLHNNLAVG MVEDDIVLETMMLVSSIASTQNAAEKLFKSRILNALSQVYSEKGDDEEFIVQYLYCLNQF LFHKIGISQILEQDEILIQIIQQLNDKNKKVQQMSEEVLAILREYDQELCEKIKEIKFSD YNQLWIEHLNEQELMLQDGGDMAFEDEYGGNELDPKMWDSDND >CAK72544 pep:novel supercontig:GCA_000165425.1:CT868130:32293:32648:-1 gene:GSPATT00008935001 transcript:CAK72544 MQISNQLQLSSTLQQLLKQFYIPQLLQFQLNIQSNTSQQLRFANQSANQNDQLIIKEEYQ EEAQTITKSPQCMKTKFQTKKQGNSSVLHKLEHSQKYNDQAKTKKVIK >CAK72545 pep:novel supercontig:GCA_000165425.1:CT868130:33180:34893:1 gene:GSPATT00008936001 transcript:CAK72545 MQTRSNFRWKTPSRLQIKTPTQQQIVALSQMMIRAESTKRRPVKVKHFEKEQITNNVESQ QQLHSIPIIQENLNKEMLVLKNNQISSMKGLLEYKNLNYIDLSANQIKEITEINQLNIKV LMISYNKLKEIKNLPLCLKILDAKINMIERVDLQNLYNLKVLNLQKNNITSVNLYGLYNL QKVYLDENKITYFTDIPYLEQLQILSLQNNQIIKIFEPSQLAKIPKIRQIHVQGNPFSRF IQYENYFEYLNDLNGQVQPAKLRCKSVETQKSLKLDTSDALIAKEQKLNIIDFSEQTPFP STSQAKQGYTFNQQLIQKIIKKNSGEYSNNYRTEISQQGKRERYINQFSVKQAQINFVPD FSFIKKINKKTKSDLFNYNEIEKIREIKKQFTHKESIEICVSKYFILSKCLIVYGNPKNI DQIEVDSLVLKYYELENLENCNLFNFNKITKLTLQHNRICHLHEINQLLNYFQKIDILQI EKNEINNSTLLKPYVNYLFSLDDRDKRSFQKLSDMKSNVQESIEIEDNVLPKININQFDY YFNSYILSVIDQINTQ >CAK72546 pep:novel supercontig:GCA_000165425.1:CT868130:35112:35812:-1 gene:GSPATT00008937001 transcript:CAK72546 MTEQSLDFDYMFKFVIIGDSSVGKSCILSRINGNTFKDNHEVTLGVDIATKILKVQGKII KARIWDTAGQENFRSITRSYYRGAIGIMLVFDMKNRESFENIKSWQQEINQYANEKIKII LIANKSDLMNKREVTTEEGQQLAKKIGSQYIETSALNGKNIEETLQLLSQSILKLISENQ IDLTNKNCGVLLPNKTETPNEAITQDKCQC >CAK72547 pep:novel supercontig:GCA_000165425.1:CT868130:35905:36324:1 gene:GSPATT00008938001 transcript:CAK72547 MRLSQQPTISQQTFSFGPANSFIQRAQQTQPIIRVNQPCFRVYTQPMQNKRQSKSPFKRG YDTQEENRTLKMYIQQMEQTLANLQQNNKRLLLQQQLKENSHQRDEIQKLKTEISTELAE RAYLEKVCQKL >CAK72548 pep:novel supercontig:GCA_000165425.1:CT868130:36522:37831:-1 gene:GSPATT00008939001 transcript:CAK72548 METSIRCQQHQEFLVQYIFFQQKNIKFGCVECISELQDENQSVNIFKNILLLHDRPQKLL SQISNIDDQTLQFYSRFHKKTVSIITQAFQNWENNMRNFQKQIDNIILQQKGFVEELIAN YKNTMQQLSHEIKFEEFQNLIKEFGTANEKLQNDVLDQISHKITQHFESLDKNNAKQLNE SLKRIKQEYLKYQENINLPDVQEFQNSFQYMIKSTDDLHSQIGKSLNFVNQMNSAIIPNS YSKYLIGLIKNKLNQDIKQIKVIYLGSRDGLNGQSLWNKIDGKEHLIYIFKSKNPGNYVF GSYVSCKVKQDLNNWQSDESLSSFIFSYTHNEIYPLKQSERQHAILCQPISYAVWIGMSG NIGICNDFINGQSYLGSSYEWDKFENKRSSHLFGGDTPNIEECEVYELQI >CAK72549 pep:novel supercontig:GCA_000165425.1:CT868130:38172:39830:-1 gene:GSPATT00008940001 transcript:CAK72549 MSVKVAVRVRPFNNLEIQQNQPLCIAMNGSKTIHFDSTQTKEFDFDYSFWSHDEFEVDEK GYRRPLPNSRYADQKMIFNTVHQDTIPQLFQGQNCCVLSFGYKRSGRSYTLSGTPENQGL IQNFVKEIFQQIAEKQNITTKYEVYTSMLFIFNEKIYDQLSPTDTSELKIRENELQGVFV ENLTKELVDSPKSFDVLMNKGIHKAEKYLFKMSYVLSYANIIFKIEIKETKTLGDQKVEN FYLINFVDLVCSDRMEKQKDSNKNIGTQLSTLGEVICKVLLLSQPQDQKIFVPYRCSKLT RFLQNTLNQKSNIFLFLSISPAIDKIQQTLSSLKFANKIMKIKKNIINNIKVSENQQILE VNEAQIQTQTKIIQSSIKQQIENTEEQESNQNTQYFQQFDNSEAIQSDTNIKYQAIISTK DGIIELQPLSQESCEQIFINNHQVKELTKLSHGDRISFGNATSLLIQYSGTEIAQTESKL TLTQKDTIDVSEDNQIIQEDNKSVKFDQN >CAK72550 pep:novel supercontig:GCA_000165425.1:CT868130:39886:41219:-1 gene:GSPATT00008941001 transcript:CAK72550 MNDQTPIKEIDLNKQSWTSPKDKSDRFIPRNVQSNLYQLFMSEENCQGNTYNNLLQSSIL GKSPAINQKLFNYKTENKQNEMNKIINNGLNFSNTPTKVEPEKPPRNINKRPYKVLEAEN LQDDFYLNLLDWSPFNALAVGLENSVLIWSGHTSKVSRLCTLEDPDMVCSVAWSQRNQHL SVGNTMGDVDVWDVVKQKVIRKWNGHQGRIGSLAWNNYLLATGSRDRNILVRDVRCPNES IQKYVGHKQEICGLKWSFDEQLLASGGNDNKLFIWSLKNQGELTHFSQHQAAVKAIGWSP HQHNIVASGGGTADRCIRFFNTQTIEQVECIDTGSQVCNLMFSKNSNELVSTHGYSLNQI IVWNYANMSKVATLTGHTQRVLYLSGSPCGQNIVTGAGDESLRFWSVFPSSNAKNNHGIT RAETIDLR >CAK72551 pep:novel supercontig:GCA_000165425.1:CT868130:41321:42213:1 gene:GSPATT00008942001 transcript:CAK72551 MMNEISIVSLSQLLNNIHLSQSHIDEAARFYIRHSHDQKSQQSLCEEWCNHFHFAKGNVD GDKVIISLLHMAQRVIESVIRFEGAYATMRDAFKKQIIKAFALLKDHNSSQDLKQQIKDL LKQWEEKQIFSKSDISIMIDTIDPNKLSKDKIRTQFAPPQYLINYAKNYKDLQTRLQKMN EYQANLDDLINSGAQDKVNLYDQYLDQYTKSVESVQKYRQLVIKDIIDELKELDKIHSKS IIDLKYIAQRVSNLKAKKEKRIQNEYYNDQ >CAK72552 pep:novel supercontig:GCA_000165425.1:CT868130:42253:42801:1 gene:GSPATT00008943001 transcript:CAK72552 MKQNNKSPAPFAITEEKQTIETMLNLVKLQTQQLKDQQLQQSNEIKLLSKAFRDQNQSIL QSQNQEYFSLTSKLIEEIESDNYFQIKLNQIQAYNKQLYNLIKDVQKEIPSQYQQLTTIN RVINFYKCIFRISIKQLNKDEVAIIFHQTLGNTDYGHPIIIQLKDTKQQQRQQFWNQFTQ LI >CAK72553 pep:novel supercontig:GCA_000165425.1:CT868130:42991:43533:-1 gene:GSPATT00008944001 transcript:CAK72553 MKPFKIYLVGESSSGKSSILSVLQNKPFTFNIAQTIGINYCRYQKNGQIYEIYDTSGLTQ FEQLTTNSMKNADLIILCFDISSKDAFRDVEKWLNIIQNSAQVTPMVLVGNKTDKILQKK NRYIEELIQNYALYYTSARSPQTVKEMFNKIFETYRIMHDKVEIVQKKKDSKGWNWLCLC >CAK72554 pep:novel supercontig:GCA_000165425.1:CT868130:44219:46464:1 gene:GSPATT00008945001 transcript:CAK72554 MHPDDAEVTKEFNEILAIIKEKFQLTIKLYILSVKRDMVPKKLFITQQMEENLNNTELNP ILKSQLLLDLQVFLYALTQIQDIYTMFFKQDFFTYVEMQDILDDNINLNWFTTLIEKKVI AYLINVLGTAKGLLYQMVIEQLNYQDLILMLNKIVVQIFNDCANSLKTITNEFVNATNKC NLCNKYLLMGLFQELSVRAYDLVENYEDFTIFHLSSHDAKEEFARLVINYFDLMNLYVNR NDEDLFTPFQNTVLKYVKENNDELEAIEQAITKITSRNKKVVTHKIVSKRNQRFGILLDI DCEDGSKLRFIIHKPIDKIVITNAKLVQNRWMFVEALEGLTPEQLLKKKEESKSEYSSIQ QAFDSLNFQQSVNTIHEDFDVSEERMQFITFNESTAEIQSAFSESIKINGLQGETFNAHF SSAAGDIGASLGNCLANINKYNSRKEFLVDFGQTAVVSGSLTYIATQMPVIGQLFALGAF GYFTAKIYSNESSSQKSKNTQLNKLLISTTANVGSGISGALIGQALIPVPIFGALIGGFI GGLLGGASSSMILEYMSEQRFQNLFELIQFKEENGHWEYSDEYLNQLGLSKQIFQEQLPN NLRYDENKDNKWISIISYTYCTVYQAQLDSIRNKKIQQEGENNKNNSFQDHSSQSSTTNL DTNKQLEEDQVEFPEFIEQASKWIIQNKCLMGLSLQNTEIIIKCIFQNL >CAK72555 pep:novel supercontig:GCA_000165425.1:CT868130:46539:46902:-1 gene:GSPATT00008946001 transcript:CAK72555 MMSKEERNQNQNQPFLTFGDYEKVKFTFSGLDKYIVMELNTKIALEDLKFTFANILKTDF FAKKLEYHVTKGFQDKTLSAQKSLAHQEIQSLFRGPGQQLNIQVKFIEEGA >CAK72556 pep:novel supercontig:GCA_000165425.1:CT868130:47001:48240:1 gene:GSPATT00008947001 transcript:CAK72556 MLLLSFTSAGKNILYTMYSDFDFNTLASLQYVCNYLFGPLMLLLVDKIIESFSFKKIFLI SSFNLNIFLLGGLLVAKCQESCSVSLVETITVISSLIAGVLQTVFYVSFVAYINFMCNNS NRELFFGISFSFTSMSNILSNIIGYYLKQYFNLFTFYVIIVVISIILQALFFCMKDNQIS TQKESRSIVKEIQYQFLSLYKEITFRSYCLFLGVLVFAGLMNSVIYLFLPVLVERLGFLG QDLYNKIFITFLITGIGQVIGGITAGKLSEKFKENNVLYFSFVVNFIGILLAQIGISEQS FSLVLVGCALIGYADSSISSLALIVITKQISTKIHAFAGFNLCQSIGFGLSSIWWAFFNK KSLTYDFSYLYITTSLALISFVVYNCHILREGKTKEKDDLIPQQ >CAK72557 pep:novel supercontig:GCA_000165425.1:CT868130:48492:50035:1 gene:GSPATT00008948001 transcript:CAK72557 MKINSASQQKLAMTRPQTTPQKAGQSPIKKNKYLVQSGVSSLAKTDNKVSKSTALSHDLI SLIKNGGNGHHNSQQLDRKQNSHSKFILDINDANQKKIRPNSRQQIQHLVKSKKLDSNLK YHEELIQKQFQKLFELQKQHQQTHKSPPQIHNRAMSNGDLKPTSDIANKLIEYIKKQSAK KIKGYRSISNPDIIVTDRRLISKYAVKTQAGMLHTKQEKVNQDSYAIHEGIGNIDNSYLI QVSDGHGVNGHEVAQFVQERLPIIIDQLLKSHKIGKNDQDMMIQVILRQAFERTTKELYT SGIDITFSGATTVCLLIIEHVGWCANIGDSRAILGRQKDGLHVVELSHDQKPDLPKEEKR IIQNGGRVQAYSDEEGNPIGPARVWLLDENIPGLAMSRSFGDYVAAQVGVISIPEIIKHT FQNDKFLIMASDGIWEFLDNKWIVEVVYGYYLKNDAEGAVERLVKEATQAWQKEDEVIDD ITCIVAFLN >CAK72558 pep:novel supercontig:GCA_000165425.1:CT868130:50107:50991:1 gene:GSPATT00008949001 transcript:CAK72558 MSDKPNIPAPNSLVKYASATLVSTSGKPIKDKKKGRDAAPQSITNAQTEDILNSILPPRE YTMEKQQLWIQCVSSTPAKREDVILLQENLDKKLQQRQARETGICPIREELYAQCFDELI RQITINCAERGLLLVRVRDEIRQTIQAYQTLYESSIAFGMRKALQAEQRKTDYNNKIKQL ETECQDLSKQVEKIESTIEDMQRQDQEQQEHEEAKHRDQVNFLKNANKVYKEELEKFLTG ANVKK >CAK72559 pep:novel supercontig:GCA_000165425.1:CT868130:51335:52725:-1 gene:GSPATT00008950001 transcript:CAK72559 MSGQACDPDKGCWLLSDCEWNELSFTCVHDPILELTPYTGFVYSLIPIFLGIGILGGLGS GMVKRPILNLLLNYPSNIATQVGDSFLFTTTSLNLFFLFFEKHPDIPELPLVNFDICVIF NQAIPLAWSLGALLSQLFPQLAIYLIQLCFLIGATPFLWKFTFRQRQLEQDKRNNKVFVS EKIKTREEMAKETDLDEKLLNKYEEFYVNDHNKIQIKNICFVIGSFIINQTIILMRSNNY NNSIIGLDPCSVENNLIILVLLGANFIYTSLIYWNKRNEEYYKDLVQYRPKHRFFTPRKI FWQYYFAGWLAGFSTGIIGMGGGIIPVAFLLNNKIIAREAAATAAFGSFMISLNSLLQLF LQKTITNEQLLTFIGLGIIGLLVFTKPAYMLMNKYKVGFVVLIVDIIQVANNIISIVALI IINSVLFGFDKLLVYHSHC >CAK72560 pep:novel supercontig:GCA_000165425.1:CT868130:52845:53565:1 gene:GSPATT00008951001 transcript:CAK72560 MDSQYEEGEIMTQKSEQLERINSESSLRSLSDTNFDERVRKSQQEDPSSFSYVCAIYNFL GQCNQVGCKKRHILLQDGLEQFITENIQLLRQLINNGEKIRVPYENYLTKENHHKKHQVI KPKVMTNYNQELCEKYKRALTHKLRKDIDKMQDKLKTICYLKKWVRHNFVDARDFSELFY SLNSSQQMHFMNFFKVLELQPPSFQ >CAK72561 pep:novel supercontig:GCA_000165425.1:CT868130:54093:54744:1 gene:GSPATT00008952001 transcript:CAK72561 MIKRDYLSFHQVFEQRVQFKSHQRRIAQVRGSSYNDSDYSKLIEQVTGFQQRMRKRNHQI QEQQIQAKNELLSQRLRSIQKTEPKLQLNDLKIYSSRKQFQLQYDREVSKQNSRLRERIK SIEPQINRKTLNQDFVKHQITLERMQKFKMKNNRCVLKSLERIENCESQNQNKYLKYYTQ TIKTEPDKEYSACRSNTNKVLSFINLID >CAK72562 pep:novel supercontig:GCA_000165425.1:CT868130:55477:56850:-1 gene:GSPATT00008953001 transcript:CAK72562 MSQLPQQGQESKPHSNLPQQNIPQGQNQNQFIPQQGIPNQIFPQAQPQYVVPAQNLGRAP ISGFPAQQLPYSQQQGLQPLPQSQIQGQTGAPYQTGPVQLSSHVLTEQAIKGESRIEYVP YERVITEYEEVRRQVQVPITRQITDYYAVQYEIEYIPQVIQEKQIEYVPVERIQERTEYY TVQKQNILPAQTNLAQSQTIQTQRVQQQIVTQQAQQYVTVAQQPQVTTYQAPPIQTTQVL QPPPVQQPITSTFIQPPITTTLQRPNVVQNTIIPQTQLASTYVQQQPTIIPGQVQSIPQT IQTTSVVPQTIQTGSVIPQTRTLSKPPTTIIQPQATIPQASIPLATTTALPVQLAQTAVP SSVYKDFQRLPAQGNSLYRKTLPPIQPGQLAQTAPPIQVPTQPQQPQKQAKQDKDKTFLE RLFE >CAK72563 pep:novel supercontig:GCA_000165425.1:CT868130:57187:58176:1 gene:GSPATT00008954001 transcript:CAK72563 MFQKLFQQVSEFQIEGQPNLVRFSISANEKSIVLWLQLNENRFLTLDRLDGTWKSREQQF SISGIGQPFKLYLNEKGNILIMYDTAKRYIYTWLRLHMNQNWLLRSTLDLQTQINTTSDV NCFFFKNQIFIDHQHTFYIIKRLGQDFKIINKKIFKYNDFMNCLTYGYEKDVLAEVTDST VYFWREDPKTWIKEKPAFYDCEIIPHYSCFQRNEVKAFVNGAVWMITYPEREFIAYEDER ITKCVTQSALNYFFQLEEPTKNSEQYIHFWHRENQSQKNFNYEKLNFTAVNILLGQNGNV FVAMIKSEQFLKIQIYEGLQTREQLQQII >CAK72564 pep:novel supercontig:GCA_000165425.1:CT868130:58749:61684:1 gene:GSPATT00008955001 transcript:CAK72564 MAHNYDSDMQNIDPATKRRKQTRVRRQLVNKTLHEESEENQMIEVQQKVTKKYQNYLHSI QKMGEFDWTDIENTNNTKVIYPIQFLQASTLQFMIAAMYFNCDHDYLEHNLQEVQKLLKK TEQPNGTSCQDDCKKQKNKKGYDVNDDIFILEMRQLRIQDLDDIILNQELELEKIQRENE KALQNFLALDIPQLKPPIKTDQSNNLLRDISGLTEYEKQYIEKIELKIQTEGQNIITQET GKKTQGGGIHLSPILDDALCQVCNDGDYTDDNLIVFCSKCNISVHQKCYLIEVIPNEDWI CDVCQTFGPNGQYLRCALCPKLGGAMKATQIDASSFEHLNQTYFESQGETMMKNDLVSKL FQQIDDDQELYYDFSKIPNRDIRDLENNKDIKEPQPQKVWIHLSCFYWSPECFLDEKQDI LRGVDNINQKRFILNCSLCNIKKAGMCIQCARGNCQTGFHVECARRSGIFLTQTIVNTKT DYQIFCQKHVPLKVKRILESKQRLYEREIIDFFRAYEKCKSVQKKSQQRVKKTKNSKEAQ LKLEMQEYQENEFDLVDFATKLKEFIDQILDQKMVVNLRTEQGKYVFESVSEPQLKQQKQ YHLTKLPEVYQSKVDQNGQIITQFYKSTITATDELWKFFKYRDYEKDIIYKMYEKLRRRA SKFMQELNPQKKQAKLIDMLMLKKFKKSKKVDQKKEIIIELVGDEYYQRDDNLYCVCRGK FKDGDPMICCESCEEWFHFDCLDIIIPNEEISKINFYCFLCIDDLPKEKQVEIYNGYANV FKDASFKLQRELADKCSLNESRKIRMNELKQSGLIEENNKLIQESPEQEQKLEQIQFEDQ IDKQNYAHLEFPNHYNAKKLKKEITSKDNNCIQIETQNEGTEKNKKNDEHVKTPSKTESV EDPDNKLEVPDTQEKLNSTQNSKTIQDYLPKE >CAK72565 pep:novel supercontig:GCA_000165425.1:CT868130:62228:63326:1 gene:GSPATT00008956001 transcript:CAK72565 MKVAITLLVVLALANAGMVQKFPLTYGGKRSIMNIMVEVENKIKAHSPLDTIKGSPKNKE HTMKSMELKRLNAIAKSLTEALEVEDATGTLKIANGILKTSNILLKKTQATLGEVENILN TVSLHIGLINDARKEDTQSYNRGAVTFNDAINAIDDSLDLAAALAKGGASLIQVAEMTTK LMKSSVATKMNKAFMAPLAALAQITQEEDSVGAAERLAQLLQTLRSNVEEAWASYTAENT NALGLFAQQKDLYLASQARLDDSKGRLANKAENLQGVISVQTAVAQAATNKKQRNQTLWD DAADLCHSFDVEYEAVTTGRRQELVLVQELERLAERRAAEQQ >CAK72566 pep:novel supercontig:GCA_000165425.1:CT868130:63421:64182:-1 gene:GSPATT00008957001 transcript:CAK72566 MQSSNPQIIYAVVARGSNLFLSEYSILKGNYIDFSKTILSKVNQQNAKKSFNYEQYEFHI LVEDGFSFLIMADRGLKMRIAFACLEDMKQKFFQMFTPQQRDKAMSYGLNSQFSIEQKNQ IQYYNSPQADKLRMVSDNIQQTKDVMMENLDKLLERGDKIEILVVKTDQMAMLSTTMRTN ATTLRRQMWWRNKKMMLIMILVGLLAIYFIMVIACGGFAMHRCFGSND >CAK72567 pep:novel supercontig:GCA_000165425.1:CT868130:64299:65587:-1 gene:GSPATT00008958001 transcript:CAK72567 MFRILFIFLALSQAKIITRSFSLKQLSTMDYIPISRFGSRGVVNYEIQSRLINVPKDYEG ERLMIPFEFFQQDKWQQAQSIPECRKQDKANRIIYQSIEVNPFEEISTLNGQLAYGRHHN VWLAIFNNCDHKLEKMFSNSSSKIKLEITIWFYDEGGQEFSLEEHGLFSIVSVLALLTII GFYYNYRIFRKEQEKYGEKDYALLFVLVIIGLEASQNTLNFFNLLVYNSNGRGISVFAIL SEVIQSVDNYLLMLLLILIAWGWTIDFINLETQAAFYLPLVFVLGIVQTIFAIIGRLLST QTQFHMYEGWAGYSISIIYIVLALYFFYSANQQKKKGEVADSFYFKLKLFGTLFFISFPV LLMVSKVIDPYKSYKVIILGSMLMRLLNIALLVRLFVGKSTDYQRICIKGKSFLDRDKIM >CAK72568 pep:novel supercontig:GCA_000165425.1:CT868130:65599:68009:1 gene:GSPATT00008959001 transcript:CAK72568 MIILLLIQTCYSSSLFDNSTLNNITDLFTRCKMKGENQDNLLFQCSQMNAIIPKSQLNQD SVYAYSFNTTEDVQLSNMLLSELLIDPETLSYQIKLLVLYYSYYGNLLQMIQSLSINKYS FYNYQATAIDGTLNMIGLLMSHVNEHYITCYFIPIHPSRVNSFPLKAHNFTCTSTCVIKG FSQYYNKQRNSLIIVIAEEDILNILEYSFLDWQLRFVSQYQIAELIQKNDLILKTQQFED YLLVELKTCQALFHISSILYEVQLLYLFSNSPLFVDQKSNLVFQKSYHQTLVYSIDNTPN WFTLKQVNFLQIIDVPFTNSIIVQDEKQFVFVYDNFRLLKVQLDRNENVNQQSNCPNTFT SFPHPNSQSLEYQFQFLDENWEQNKNNLEPLEELQNYTSCIKPCDLLYFNYDIQMVPYEQ QCISSELYQQFTDYCSIQNSCLKCNNQIGCNWDNDACHKETQMYQIDLSSNEQSSKWYYG KIWKCEETQQQNTDVFCGYNQTEFSENKEFTYQGQIRKGQMCSWFYDANEFQQFNLFFKA DLVNLPSFIQISICLGLSKNEICSQINFEPWDFNQTTTYPFKGYYFRYTIIFIEDNLANN ISFSFSNSAPISMGDRLKQSLLQMLTWLCCGILLFCIMGYMAKRRLQYLVAQTIDNDLNQ IAPYMQHQVPLNDDRLKTVMQNLIDQEIITKYPSDPSLLLYGEDSCAFCFDQFDVSQFVA QLFCGHVYHYDCFEDWIRIKGMLDKCPICNQKVDHFLANKEEYAIIKSQILDRINESEKH TTHLIE >CAK72569 pep:novel supercontig:GCA_000165425.1:CT868130:68035:68772:1 gene:GSPATT00008960001 transcript:CAK72569 MQRSSNSPIFLSPDQFATLFNQGIRESLYLDEMQDQRELSFSAPKTDETNQSNLPIQSSN FLPEFPKDDVVISIIHSSLCLVSKQIYEQSQNIEQHNKQLSESYQRILKQNKELLNKRKE LEEENQGLLEENFDLKRQAHELQQKLTLAQNQMLAKRDQEIQTLKQQIKELQCQSNSRDS ATKTEGSTATSHKYCFGSNTHRVQSNEQSKNPSRFTSPVPKQQTSEFSVFNQYNSNTVFG KQPQL >CAK72570 pep:novel supercontig:GCA_000165425.1:CT868130:68919:70115:1 gene:GSPATT00008961001 transcript:CAK72570 MQSSKVQVTFGSETLYYKLTDDPDDFIYAVCTTFKIKQFDAKYRLFSQQSRLEINKNQVQ IFEFRNPNLIKKDVMFKIQQSGCKSIEIIEFNDKQNQFFEAFVRGNTIECCTRLDCKLCL GTGKAIIKESDQPFINEVVKNKLNERLPDISQIIQRRDQTKITSPEDFLKYHNSIKKCLK QEYLTITPDMIVIQNIQESDVIQQDDRYNHKYKVKIIEKSNFEGEVGGEAVMQLRYMNDG FHNWPQKVQLVCNEGPFQIRQDIKSAIKQQTINQEIKIHIPDIPQNQYEFQCRFEYQNEH NERIQFGPVIKLKLIVKDRNGKSTKHYNFDELNRKLIPEIKSQLVQMGYLNEININRIEA ILQKSTYRMLTLEGFMNEFFLE >CAK72571 pep:novel supercontig:GCA_000165425.1:CT868130:70170:71971:-1 gene:GSPATT00008962001 transcript:CAK72571 MFGKAIILIVSILSHLLIYNFIRTSLVYKNRQEVDQILTYLNKYLTNTYYAPSANHSAYN SILVKPEYDYCKRSDLYKFYHPDVIMTDTYTFREVKGVIRETLRSSYFDCIPQMYLKMKN SQSKHAYSNKLIALSKHILPEQLTLPFTYYDQLIHKFKVGQASLCLFQKYNHLPSRHVLS HKDNLMNNQYKYIDSLREKGVDQECIQNATFIPKTYRLFQKQDCKEFFDYLNSKEYKDKI AKEGPQFITKVGLEVHRGRGIKVLFPEETKKLQEKYKNGKSCGADHSLLVAQQYIGNPML FNNHKIEFRIYWVLASTNPIIAYAYDKTLIRRCIAPFDKFSLNKEAHVCNTAIVKSTLKQ RGADLDLDDENADESELFIDWKLDYLQDLLLQQGKIKDKKWLQNYLYPTVDRMIIHSIKS VEDQITRDSRLAEFFAADFILTDDLKIHIMEINYNPQTLKTTPARQKQHAKMAQDMIDIQ NAYLRSKYLRFKKITPQNISQNEKRFQTSRLNYYTKKYRRNK >CAK72572 pep:novel supercontig:GCA_000165425.1:CT868130:72696:73754:-1 gene:GSPATT00008963001 transcript:CAK72572 MNQQILRKMGVTEQDLLPLKKIKVPPEFENDSQFYQETILKQYEKRRQRLLIEYQELLNR KSEETQNHPKSLPPEEKQEDSTTSRINDKLLKIKKFQQDYREQQLMQYSQKEQLIETRRK QISSERELRAYQKEVLDTSRLEQVHRNRDIIQKLITDKQVQRRMSYDVKLQKWELLKQAQ YLIPKSTRVNETLARYQKCLEEKKQQDLQRLKRLEESLEKACSKKSQNVVEVKRRGLLEQ LKIEEALFNRERSQNAKKSNLLEKTQQRTVLDRRSMSELPREKPYPLPKFLSSKQQKKLN SQTMQHFSSEIIQLIDSVNIHDIEEKLLQVCASQDKTQAELFAKTNYLFLYH >CAK72573 pep:novel supercontig:GCA_000165425.1:CT868130:74311:75583:1 gene:GSPATT00008964001 transcript:CAK72573 MGKKTVLILTFISYAQMHAARTLWSYMQKYLTIDNYDKNKMGQAYLTFLLTYGIGMNTIG PMCCKNSLKHSIGISCMAVGMLFAILGILQEFQLLTFPLVIILMAINGLIQSACYPACVN VLGNWFKNHKVGLMMGLWSGCVNAGDIYGLLIGDVVIQRLEMPVYWGFYFMSCCLILVSV ATLSFLQSEPRNQIQGVNESESAPLILKQKNKSLNVLSAWLVPGVAIYAVGYACLKGTFY GFLDWLPFYFQQKGEKLENHSSYISQMFDVGCYVGGIFLGYLGDKYKRRACYFVPSLLLA MCSMLVIKFCLDLIVWPYYLCILAIGIFQGGPYNNISGVISIELTKQFENDKAAISTVSS LIESTGTLTAAMFQIIIPRIGDEHLFTFLCLITLLAELVCCVLLFRELKAKRRSK >CAK72574 pep:novel supercontig:GCA_000165425.1:CT868130:75620:76649:-1 gene:GSPATT00008965001 transcript:CAK72574 MSKKEAKKQAKQQEESKSKINFEEIIMNNLSGFKMVQDNSGFHFTILNLNQKNVDVLTQT FGNYKYIESIDLSGNNITEIVQLSQLPYLIRLNVEGNNIKDLKSLANEEAFKSLKYLNAA SNKLVELGPIKVPLIQLNLNDNKIEKMDTFDGNPKLRQLYLKRNKIAALTQFQNLPELKE LKLSENKIKAIQGIELLTSIQILQLRKNLIEGFDETFPVLENIVHFDIRENKIDKFDEIT KLQTLPNLKRLLYKGNPFESKSPNYLLDTINIMVRLEKINNIFVTKQLKEKAFSFAKDKY DREVEQKRLQEEEERAKEAAALAAQQDQ >CAK72575 pep:novel supercontig:GCA_000165425.1:CT868130:76657:77555:-1 gene:GSPATT00008966001 transcript:CAK72575 MIDFDPSTFGLAHTYQKEDKDEINTLSMPSAPQVIYRSLNGPTQTNGGNKLNNFKHGTTT LGFVFKEGILLAVDSRASMGSFLSSEQVRKVIEINEYLLGTMAGGAADCQYWLAYLANHC RTYELKNGSKLSVAAASKFLQGILIGYKNSGLSMGCMIAGTDLSGQHLYYIDNDGMRLKG DIFSVGSGSTYAYDMILSLTEAVELGVRAIYHATHRDTASGGVVRVYHIHQNGWTKVHDG IDVVDLHYKFAQQKGLVGDGDEAKQRLF >CAK72576 pep:novel supercontig:GCA_000165425.1:CT868130:77687:78334:1 gene:GSPATT00008967001 transcript:CAK72576 MKKTHHRRTKSSGNYNPNQSEFLVQDDDDDTNETRAIIKKIQQQPILHHQIVEKLDDQNY GLFNTMFNFGQMKYKPKQSLDLSTITECSCNEFTIFIATPSAKDAQPKHRKCGSQQQQVN KQPIRHNHSQSLQIHRLEQELEQMKAQQAEIQNVNMMLFIEIHKSRSELQISQQKNELIL QRITKLEKMLRDDQKLSCTTNDSLEFF >CAK72577 pep:novel supercontig:GCA_000165425.1:CT868130:78501:81410:-1 gene:GSPATT00008968001 transcript:CAK72577 MQMDEENQGLVHQDVKKPKDPETRGILSTICYIIQLVILILLVFLVFKSLRFNSCNVNET ENIDDIDNAVVLDKEDQDIMQFLTSPEDVTQFDNIMPEPEEEQFEELIFEAEPWNEGQLQ GWQYKNGLTLIVQEKQVEIATLQFVIYHGYHDDEYNTLFMRLLLKQQCSQAQLIERAYMS TVTIHLKNEQMETCIRKFADSLVREYTQDDLDNINSESIQTSDIDLISKIDRVLDAISLN NEIMTEPIQIQDLQNYIELILVSNPLRLTIESALSNQEIYMLVSDTQLLQKEFGTSIIDS WDLEPILYNQIRINSNQTSTILIYPAKQDEFFKHLLLQKTQNSLQTILKCQFEILDFDFL AINIQDCDVDSITLANAIYNFGSFLKRQNEKTLLNCHKDFYNLQILYQKYVEDKEFSKKD LNAIIDNIQSGVIIIQQGQFIYQELKTLNKDDFLSSNFVNKKVQSIEYSNILLDYTIVSN IVEQLISQLKLPNLSQYIPLELPNYNFYTTNQIEPINITLQEPQLKSDSPNDIEPLYKPL IPYVPLDLDQSQKNFLIPILTPIQISQELWWQYDNHTNRVFLGILFYKPINGFSIAKSKA ILKVAQMYIEQSNLDAISAGFNFQVESSFKGLSFMLSGWSLTFEAVKNQVLSNIKEIKDE SKFSSIKEDLRNQFQEYYFQKTQFYLVQYWLPKLMLRPVNDPADIIREFQDLKFSEFSQF LTDMFSDIKYTAFVSGNIDIQNAKQLVQDYHPNDIETITKTLQIDKNLIHIIKLPAKQKQ NAVVKYFSVGKRDFLTTAAFAIVLVLKVFGCVDGLLFYYQGTDSVIKLNEQIQQLIEQQR FDLNNLKDRLILHYQNNDEFLWDKIYNRNYAFNEINEVKNQIENVQISDFQGILQSETLS IFGVTESSKIPNIPSGQNDYSKQKDKSYFECAYQYQSQ >CAK72578 pep:novel supercontig:GCA_000165425.1:CT868130:81418:82333:1 gene:GSPATT00008969001 transcript:CAK72578 MFNPFRYIADFLHLVSFLILILKIRKTRNCLGLSFRTQEIYLAVFCVRYIDLFMYFVSVY NTSMKLLYISSTVFIIYLMKFKKPYCLSYDSASDDFPHYKFIYTGAAVLALLVHTDLAPF ELGWSYSIWLEALAIIPQLHMLQKIKDVENITSNYVGALGVYRFFYIVSWIYKYSLTGDV CWTSLFGRNCLNCIICRFPLLLHHQSKIRKRFVIRSLRFVIITILKAVQINTLNHSIPKR LHFLLLTISTIILTWQSRNHNQNLELQNKSIYLQTLSILI >CAK72579 pep:novel supercontig:GCA_000165425.1:CT868130:82628:82971:-1 gene:GSPATT00008970001 transcript:CAK72579 MQFKEGQRIVEIFQKHNIIKIDGILNTIQRRDSNESKETNQYVRDKEKQDIENIRKELNL MLLQQNDRVVLIQYEQTSNVEKKQFILDQGVNAKLFNEKKSRN >CAK72580 pep:novel supercontig:GCA_000165425.1:CT868130:83112:84323:1 gene:GSPATT00008971001 transcript:CAK72580 MLYRKTLQFLSNQVARSKLGQLQYQGIMMKVPSQMQKEENSEEDRLVKAFFTKNHEQIKV YGHSQREPNEKSSSPRNSWYKVVLPFKSNPELSFKFRRFYTNQVRIGRLLELMDYIASTV SYNYILPSSGATMVTAMVDNINFFGKISADQDLEIQGYVTYVGNSSIEVYIDVMQEGVVN VSANFLMVARDGKDHSKAYKVPTMDLNGESDKETAELRCYLGKHMQDKRKLQKDRSLDRK APSQEEINELHKFFMNPPSDITIDETQIEKTLLMHIQDRNLHGKVFGGFVMREAFELGWL NAYLHVKGQGFPQIIHIDDIQFLAPVDIGSALQVQSRITAVKDTIMHVEVNCYKISPNQQ KIRSNDLHLTLNVPGIVIPQVQPKTYNEGMQWLNACRRMNYTI >CAK72581 pep:novel supercontig:GCA_000165425.1:CT868130:84393:84994:-1 gene:GSPATT00008972001 transcript:CAK72581 MAMREQPCPYRIIDDFGGAFSMGCFAGCIFYFLKGMSFAPKKERFFGGIQLLKRRAPILG GSFALWGGLFSITDCTLMHLRNQQDFINPIVAGAFTGGFLAIRAGTRIAVRNAIFGGIIL GFIQLAEVGMLKLQMREEMRRMQQQQQQQMQEMQEMMAMQGQQPSKKQQPKVEKY >CAK72582 pep:novel supercontig:GCA_000165425.1:CT868130:85819:86664:1 gene:GSPATT00008973001 transcript:CAK72582 MFLLIPLYFFLGQTLPTVLFHGLGDSCSWSMLKSFFSDINADCIEIGSGPSSSILLGFLD QAKDACVKVQEKYAGQTINVVGLSQGALIGRYIITDCDLKGGRVSKYLSIGGPQMGVSVI PHCNSYLCWPLNKVVDSLVYTDYVQQHIGPAGYFRNHEDLDSYLKYNHFLPDLNNERNPE CSRKSKVQLNISVQFSSLDQVMLVKFLQDEMVLPKESAFFESVDPETDKVVPLVESEFYI QDYIGVKQLDQQRKIKFIEIDDSHLKWTDDITKNVFIPFLV >CAK72583 pep:novel supercontig:GCA_000165425.1:CT868130:86781:88343:-1 gene:GSPATT00008974001 transcript:CAK72583 MKNINQFYLDKQNHKSKWHLVLTTSFGLIASAYCLVLPQQLGNLGEIFNLDDEKEFLSLL TTLHYLLNSFLPYFSGVLRDSYGDTFAILFQNSLCVIGQFISTIGIHSGNMTTFIIGRLI LGWGIESLLIVLTSFICSYYKFTYLTFVLGMYQLVYMSGMVVCTLFAPNFDSVYASNVIA LLFTILGLIMALVTRDIDTNVQSVIMQKGANYFQIEEGIKKSSQLYQKQGPSEIFSLQDD GEEIKIEQDYIEAQQIESEVLEQNFYENFCGYGNRHEFPILYWLLLFFYTFASTSVLVLV GYAQEFLLNKWLINLQNGEDLARKLVTLMWLFSGFITPLLGFVIDLFGQRSTLTIFSGLM AIWAHLLVLLQSPFEGLLLLGFSFALSYTTVWSSVLYLTHPHKYGRSLALFVCLQNFGIS VTPHICSFFEMLTDSKIVTESFLLLLAIMATMASLLVYKEDKKYVNLIDRGLHVESEISS KIKSSKIQS >CAK72584 pep:novel supercontig:GCA_000165425.1:CT868130:88608:89587:-1 gene:GSPATT00008975001 transcript:CAK72584 MQQINQITDHLQLIQQGNNSYIHRRVGPSETVVIKSVFHGQLFIEDENTCNSVHQRECQD LQNEWKILSQLNHPNIIKPQQFIPHHQLDPAGGNLQCCSLFLENATCDLHTLCKTNETSL KIIIKYFTQISIALEYLHSQYIAHNDIKLENMLVFNRNCIKLADFGFSYRPSFEDLLSKW LPRTLTPYSSPEIVNFRLNLDEREFQEFCLFSADVYALTTALFLAIYKRPPIMGQVPTKE DQYYQLLINQPDLFWKLDFIQNVDQQLIRENTPKQLLENLKDLIEGGLAEEYNRITATEF VNHEFFKYAQQIQGNQF >CAK72585 pep:novel supercontig:GCA_000165425.1:CT868130:89620:89959:-1 gene:GSPATT00008976001 transcript:CAK72585 MSDIEETINRIKTHKSVQGIVICNNDGQIIRSTYGTESKEGENIARTIPTLAAKAKSTVR DLDPMNELTFLRIKSKNNEIMVAPDKEFLLIVVQGPKEEKKEEE >CAK72586 pep:novel supercontig:GCA_000165425.1:CT868130:89974:92040:1 gene:GSPATT00008977001 transcript:CAK72586 MRATLFQQLRKTRAESAKVGVNRNKQILDTSELVGRQSFYRKRRLDDPNNSVLDQTSSFR FPEKEKYEQELKYLKNNLHSLESENIKLKTKIHQLEDNQLKQEKMLQELDRVGPAKTQLL RTLTYATALNALKKELNNMKMQMQKKDQELVNMKKNQRYTQMNELQIERTAFQEETVRLR QQIEQLFQASLYNLQQNNVEQAIQERLFQLITQLQEHVIQKSEMQKVIERLKKECLKYRS SQIEQEFRSKKEIKRLIDQLKEEACNSQKDSNKETSQQTKPDELQLLTDLMFAKQEAQAK QNEIDGLNRIIIDLETYIKDLNAKQKSETQEITPQSQRIETSTKSKVIKIQIPVFATEIM QEVALPIKNSIVIQEQIQQQVKQPPRRRIVPVKFDEIKNIGETLKYRLMAMDVNIAQLDE YLFEGDSMSYRELKEKLRLYPFNLTSEESLLVSRYIMESENDVYELLDTASNFNPYIRSV LRRIVSNYKLEIVKNQLFHSEKLKDVLTRFKPFILSNIKQLYGKDCIRVNKQQFNEALMS LNIELNSFELDYLIVQGILESKGIESLNYEEMLKYEMKVIPDQQLSFLLTEINNQQNQKA EMSVIEECPEKLSEQDQQEQVDRKQEQMVMEQQKNGGEQYVTFDKYVSEQFDSDSEESKQ EILTSAFNLEQ >CAK72587 pep:novel supercontig:GCA_000165425.1:CT868130:92100:92525:1 gene:GSPATT00008978001 transcript:CAK72587 MSFRIEESPQFKGEELNLSKIYQNRESHFSGFSFPSSVRQQKKFNFQASVETKTPTPNQT NIENAPSDLSYEIEKLVREREQLLGDVQYFDPKVTFNVDESPQRIRIQRNSAPMPNTKLH QLNQQIIDAEHTYNQFCYQLI >CAK72588 pep:novel supercontig:GCA_000165425.1:CT868130:92554:93822:1 gene:GSPATT00008979001 transcript:CAK72588 MDPINIPAAGSRPQKSTLDQAPSVRQNQQQRSDIFGVNSGPVVQTPSRQQQRAKTDIFGV EQSATQSLAQSRVETRQTKTYQSNIFQVDPSANNTHTAKETIKTQNKGKNTEARHQDHGK DFLFGKSDFDEYKSIKRTQTLKEFKPKEEYDPANRRVKELYGNEIGKEHLPTQKVSLEEK KETVKKQQKQDVDPSQRKQLENSSNVFGQNEKKTYQQQGKQEKLTSQNQKWSTSTKAVDN SGKNYDPDTFAKNKKEQELQSSVFGGDAKQTQSTATKQKLIPTNQTWQQTDTVKNMKDFD PSQSQINEIDPSQKKIDTLKSTLDTHDYKAQPVKHNEKLSVKQQKYKKDVFTRGGDKEQA PSQQKQKQPVQQQKEVHEQSDMI >CAK72589 pep:novel supercontig:GCA_000165425.1:CT868130:93924:94710:1 gene:GSPATT00008980001 transcript:CAK72589 MIRIKLLKYFSSTINQADRQNFNKIQDWWSVGGSMAPLYSYNHLRVQFIHEILNRPQKGK SLAGLHSLDVGVGGGILSESLRRLGSKVTGIDVSENSIETAIQHKNTDPELNDSDLEYKL ISIEELSKNQEKQFDIITAMEVIEHVENLPLFIESLGKLLKPDGKLFISSINKSYESYLK LIIGAEYIARVVPQGTHDWNNFKTPEQVWLQLNKQKINIDVLRGVEYNLLNGEMKYSNNE TQYIMACSKQKME >CAK72590 pep:novel supercontig:GCA_000165425.1:CT868130:95227:95827:-1 gene:GSPATT00008981001 transcript:CAK72590 MNYTPYYMMMQQLQQIPQIQQFPQMAPMRIPMIPQPPQLQTIPQPTMPFQMQQQNPVQQK VDRDIPVSVKGTKVKLNLKMIKDLPLEDDSILDYDEIKKQSGDHKYINLKRKRRLLDQQE APLMQTRRRSEQSQQIREMLKVDDKDMTIILEAYPDTKTLLQELRKGNLKREIEKLLPK >CAK72591 pep:novel supercontig:GCA_000165425.1:CT868130:95830:97142:1 gene:GSPATT00008982001 transcript:CAK72591 METQDSIRVQRNKYMEYIQVEIRRLGQIIGVCGLCYTGGYPGSFAFSIAKQSYYGKLIFE LFKYICKNSNSDSIFRFTKDIGQKNQINSSFIQRFLRLIQLHPEGKGRSNYSQLTILFIS YIERSLINIKMQKSHSPQPRRTNSKYSSFPFKLPMNNLALPLTNNHVQSDQGQQSGKKIK QLLQVNTRPLINPAKVQIDIEKLQIIMNGKYEKNISNTSYSIQVENTLKEFRSKIDEQDS IIRLQEFKINRLQQELLFSKQQYQRMMQKLTSLDGKYNYYPQSTKLTKSQISMPQIEQVP SINKLLQVDNKKKKNIQEKIQGLVLENKKLKDEVQNKFKEVFQLVNDFAMAVVCQASSLY IQQIQSIESVYEMHNNFTKNDYQGMRAQYDILNREIQILEQQKEILYDKVISLL >CAK72592 pep:novel supercontig:GCA_000165425.1:CT868130:97158:97942:1 gene:GSPATT00008983001 transcript:CAK72592 MNDIQPAPSLVKYSNPILISNSQKKQIKQQPPSNTEDILNAILLPREFNHQKNQLQMQCV SPAPSTKQDVLELQDKLDKWLQQRQARETGLCPIREELYSQCFDELIRQITINCAERGML LVTVRNEVRMVIQTYQTLYASSIAFGMRKFLSEEEKKAEYRLRIKQLDQECTELQRQVES LEQKLLDTKSQDEIKRQQTKEAHADIVSQLKADIKAKVNGDLEKILTGQRKLPDKK >CAK72593 pep:novel supercontig:GCA_000165425.1:CT868130:98004:99208:-1 gene:GSPATT00008984001 transcript:CAK72593 MKILHLLLILFLVSVAISQEATTTCTEDQVLVKGKCKDAKNCKTQIGFFKQTQANGKKQI ARRPTFGVQLKDGNLVFSLTFANGKDLQTSVETGQTTTCIQVNLRRFGAAFTNEVADAST ITSVSTDTSRTWSFTVNKDQFDTVFLKDESTDEIKYTGFYAISVTLLLPNDNGVKPLAFF AFKFSGVFSKDLATLKSSEIKPKVQAEQVCADTAGEQCVNTAQSTLTLCSDDTCSTPLNT NELVVGDTIYIKQTITQDGFKGGKWKPMDAEITVVADGVSKTVTPKKLDKGQNGEQMYQL KLNFLGNKVTIASDATLGETTAARILQETADDPSVVGSTSVTCIKETSSSSCPTQDQVLT YNSENCAGVSCDDESSNAMIFQVLIAMLGLLIL >CAK72594 pep:novel supercontig:GCA_000165425.1:CT868130:100085:102050:-1 gene:GSPATT00008985001 transcript:CAK72594 MQSSALGHLKEFFLQFDMFGQPPAFRILGKQKYNSTLGALLTMGTIFFALAYLAVGIQEL IAKDSPNVISSERQVIETSPFILNRNNFTIALTMADLNSQPLTTINKYFTIQLKNCQTTR IYNETTQISNVTRKQTKIQTSCTILPLEACTREHFLSETQIDYFSRIRLGSVQCIQKDYW DSHPPVLQGIKTSQIYSSLTVTVSVCKNSTNKTDCAPIEDIKKQLNSGFYAVHLSDSLLQ MNRAVNMSLDIINIQYYTFSITTSKNIFQQFKAIETQTDSGLVFEDVSEQHVLIQDSLRE STDLYNNEYLVLHSINLSPKYSEYQRSYVKVQAILSRVGGLYQMIFLLLAAFIKPLVQIM LDIDMANELFKFTTYNNAKDKSNVSDDNKVIDALAGIVRSRRRLLSPRDTGRLNSEPNQE NESLNVILKKKNRIDPSIRQILWICIGCDRKKKKMLNVSKEKYMEKLDVKLIVQKLFEFD QLKDVILSEEQKKLFKYLPKPSIPQDLEQAKVLDFQKQSQQLQSIAIQEAFEAYEKVISQ GGKSGVNLKLIQSLDPEIQALFQSIQKSQANQNQFLNDIPLNQSKIRFDAGELGECQSIY QECITTPQKIYTKIPKRMKKQHETQ >CAK72595 pep:novel supercontig:GCA_000165425.1:CT868130:102479:103993:1 gene:GSPATT00008986001 transcript:CAK72595 MSTVIGKGQQAYNETTTQRRKNEANLRVTLQYYSKYGKLTDDHSLEQVAPGLASLEFMKR NCPERTKLEEFCIQSGQYFEFEQYKQGDIIFHYGDIGDKVYIILKGDVAVLVPRAESEIV AEREEISQAKLPFEFEMFQRNKKPSKSIQIEVQLAQQNSNFYFKDNVCLFKKVFQFYSGQ SFGDVALISDKPRTASILVTSDQIYLISMCKKDFKISCEKSVLEMNQTLDYFVTVLPYIG KMQISKFIQFFHKTDFSPQQWLWKEGEQVKFFILILKGRVEIKQQQMPLYQVSDNSFIGH EEILEGLTLRQYGCKTLDNTIAYLMDYEDFNVARKNAPDIVKVLIEKAKLMTSYLERRKE EVKKGQTNVQPLYYPVERRLIQDMFVIKSPRNNEVRSNQPKFLSYFDITKFNKKKAKPNP INQQQSISEDLYFSKEKNLKTTRSPSNYTQRVSPMTKQMKEILLKKFKLNFRFNAVGSLK ISSCKSANDLRNKSRFKTDASIDN >CAK72596 pep:novel supercontig:GCA_000165425.1:CT868130:104036:108044:-1 gene:GSPATT00008987001 transcript:CAK72596 MLLQNLIDLVGDTIISLKPYVNQLTGAWLNSFVFIEQDNTSVDIFYYIGQPTENNKVPQF DNYISVQKRDANFRCSDVDFLSQTKFIVDCKNLDKNYFVEVDTTEKVTFIENIQKFDAPS IRQLLVHTFEYVTGSQQFIIRGQYQEGKGSLVEVFQVKDGNITVEPWLTLDSESLAILLN QQQTFNFVLLDMQVEPNGDLFILDSFNGIYVLKILQSQKWLVKEWSRLNFGEQIYAFDFN YLFTQDGQIAQLALVYEDRIQYFQNNEPKGLLQLPGKVQGVNIQIEISQKYIVLKYQEKI FIYDQKQLLYSFFEDVVDIIIINPYEPDLIGVNFVSTHRFLINDGILRLQKQDSKTDQLY QTKILAQSKSGNQCSIDLKIQIVYSTDTQLYETGFNALPSALTIPSDPFNVDLLAIGPDI SYTPVDATTSDSIVIDIQEMWKLNIKIQQEHVVFTDIQVIDVDKFQLLQQLESKEAYIYE CQHDQLKNVEAECNLLKQVAFQSILTKTTFHWWFETKKIFFVIQESEKQIHLYGFDKESK SFAEYDKLTLEEKIQSFNVLYNKMFVVIQNKILVYDQFIPFNLAYTISDIVQPLNVFGNR LIDLIFIQTSSQVIIGNLALLSNGGAFTTIQTITTLPDSQIKIAIGKHYFYLLQKTATDF RIDEYNYKHLQVIYRTKTLPLYNYKLQDSIVADSCEESGWLFVRGQDDKQTVILIYEPGV LSHQSLKKVIKLEGKVDTTVEVDGGLQMFLHFQQKVYSVLQDSLFSVQSKIHSDNYLNKV VTGVKASNDYSSKNIYKQISVLDTHSQPIFENPQNQSFKVKKDSESASLMIDTKLYTGQI TQISIDCKSCSQAKLQPQIRSVSDGLNLFYNFIDGLIYDAHYGVFLTSKQLVFAKRDGQF EKVFTLGLSILEQTDGLYISEDRKYLVVSVLAATPQILIVDCGTNLECTVVSKITVPNTK RISGVQLFNNNHLFILDSNPQDLTQFESSIQAFYINADKTLTLSRSINAQLLNLNSLKIA SFQVIKHNQFYTVFFTDLNFGLRINHFKLLDFSYIPNIEHFELRNYQNDQFYVHENTEFQ TIKLISSEMQGTLQTFNLLIQTNNVAQYVFGIPFEIGNLPDLILDKIKLKFVLTPFGSWT SVNKVATYLNYVLVPYRKGQDAILVMYELVDSNVTKTITSHYALYENYKGTLSNQIVLTV EVEDNSTYFFANLKYDETRGIHNLIKYQYQQNSIINFESLTSVEEQPITITVSNYYGKAS GVVNLVYVDDNDDDDDESDHSWIWILVGVLGGIIILLVTLCLLLYFWNRRNKKSVALLE >CAK72597 pep:novel supercontig:GCA_000165425.1:CT868130:108331:109267:-1 gene:GSPATT00008988001 transcript:CAK72597 MRAFIKTSYFNQPKQTKHSKKPDPQKVKIGLEKLNNYYLKSLASAYQPLMINMIEQMKRE FQIIELFKFSNKINQRNLKDIFLAWKIQSQQPQPYKLQLPYSPPKKDLNRKSVSKKLARS KTPRNEVKFVTPGRESSKSVLSTITKDDDLHSNKIADNFRLRKYWNKFKLFANFQKHLAF KSKHFRSFCIIRKYFYRLKAILYLRKLRLQEYQKSKNIYILRFSFSKWHEYGQKKKRYQF IQEKLDQIQKLLLMRKGLKGLHQHAKQKQQLQSTQISHNSQIQSQQQKKIKLIPKKN >CAK72598 pep:novel supercontig:GCA_000165425.1:CT868130:109466:114060:-1 gene:GSPATT00008989001 transcript:CAK72598 MKLFIALLINLIVPSLQQCVTVQPNITYYTSLGEVYRWQADSLIKGSGLNYTLTQSSFME YHPAFAQIAPEKDHQGGNNILDKLVQSVAYALRAYDIASTGAWTNHFAYLEMDSSKRQYD IYFAIGSVIDMDQTPNFDKKVQLTRSEQLIDCFDIEYINPELWIVDCAERSSQSDQPMKN YLYFVTQNDSPDTALANRQEVPNHQNYKSVKGRKFQYHVYYKTNMGEENELVAPQPKQPI RMLLRGQQAFNSETGNLTVLDNDCSIDLLMRNDDGIIKDTGNILNKARISADIKKAVNFT LIDFKVMPNGRVYILDQTEGIYVYKVTEEGDWKYVQTLQYNGKAKAYAFDINNRLLEDGN SYLHIAIVYEQNLMTYLNDRMERGFRLPFTATYTAQVSLSQQYVVVVNQQTLYLYNTEKN YLLHKEMLISSNYLVNPYAPDVVVVSETISRRYELSDGYLECQNTNLIEKNTLKLVATDG NSVCQSIINYQILLPDNTKVLELGHNPFPPMITFPALPFSLQDLASGPNLDYVTPDVDHV DVEVTVHFLWELEVKEIKWFDAASVVYADVLVDPHHTSSNKFYLFLQHKNKTAIIWDCST VNYNDNHCTCEKQDEFTLPITLNRFNSQFDWFTFDSEALNFQFQVSDYEIEIYQSFDNSH YKIANITYEEKPENRITSFTSLKQNIFIVLQYKKEIDVISGIVPAVQKFPIDEDLINSYN LNCTFTPIKVYGNVFVKSEFIFIVTEDCVILGDQRYHFTLIDAFQYKRGSQIELAIGQKT FFIITSLEGEDSLKEYNYENLNDIYLMKSLPLYNRYKLQLPLTIDFVYETGFLFIRAFDK ILSETVFLIYESNILYRNSLHKVLKTHLNIPDSQVIETAASGFDQMFVYFNDLKTQHLVV VLRDSLMEIRPTHLSETYTTNLNARVSIQNSLSDTSLAVSYPVKFINTQSIVRLNETILS KNRYEFDAVNTIQYIKLENNGWYLGHVTKFQIDCLQCQKGVIEIKNPFEAANLSNVEFAS HNIIDGSKFKDTVVYLSSPKSLIFQNDDDTIKFISKIDVGLYCQSITTYNQFIVVSCTDD QSQQILYVASCDLSQQKCTPIQSTTPIQLNLKQISKIRYVNSVLYLLDSNLDNPKDYQGS LHAYQMQLEAQWSLKNEKIFDAQYFGQKTDSDFYISDFDIAQFQQGNTQYQKILLQTTGQ TTYFIQLYLDNGLIKDNHKDSFSLTNILNQNFAVKSNTKFYQIRVLSISTQTNLLNITTL ITTNNVAQYGITFSYDITNPLNQGSPVKSTIMIPFILNQYGVYQALNKLTVSQEYAVIPY TNYTHLLISVYKLPQLTAATNTNTTVITMTGATLEALESTSRMFALVLQQDPISKSPAVY QNIIKSEKTQAYVLMKYPIYDFARVAIINGVQIKSQPVYLKVENDYSFANGLFEIINKSV PPKPDDDDKGSSLLWLWILLGVIGSLALIGVVGYFVYTKIIKKPIMVTDSAKVSLMQQ >CAK72599 pep:novel supercontig:GCA_000165425.1:CT868130:114444:118456:1 gene:GSPATT00008990001 transcript:CAK72599 MSKILNPKDQTSLLEIENQVEFSQKNCAQVFFFTWVYRLLGVKFIQRNLLQIGKNKPLVQ TDLVIIDQDSNMGTSHSKFLAAFRKQDLKRSLYQSFKCTLYSQTQGLILFCALFYVIVSG LQLCQPIITKESQKYFMYVEPEDKPSLSSMLYFAAIQLIYMIALSLIKPYQLFYSSLLSV KQQGALQQEILIKTLKFPISRSKHYSTGELINMMQVDINQASNYFYNAVIIFTVPLQMIC AFVVIFITLGNQAIVPAVGAIIQAIIGLIFGYLYGIVQKRYMIAKDNRMKAVDEALIYAK QVKLNTFEDFFEQRIKNYREKELKQLKTQVLMMIFIQLIQGFVGILTWECVFLFSDDINF ATMSIMMQNYSSILNILSNLPLQFKNFQMSRNSMARLDNYFEQKECNVTERQSESNSNFA IKINKGVYSWKTNDQMNSDVIEIKDENEQINNNNVFNIDIDVEIKKGQFVAFVGNSASGK STILRSILGETDKQSGNIIVNGTVSVATQEPWIISGSIKKNITFMNSFDALKYKQVIKIC GLERDIASFKNGDDTILGEKGDNLSGGQQKRINLARAIYNNADIYLLDDPTSALDIKVKY QIHQQCIQGYLKNKTRILFTNSVSNLQECDMIYMIENGRIVKQGRFAQIAGVNENQDFSQ KEIVDFQFEDKHYKQENPDNQDVRQSLIQKEDQEKGDISNSVIKQVFDYMGKYMAPLCNI IYFITVLGCQLVGNKFMAQEGITDQEFKHLGLIYYPLIQSPVIIAIVLLSAYYLIMGLST SRKIHNSIIYSLVNASYTKFYNTILIGRLMNRLSKDIYNIDLLFPNEIQNLTIQLTTLLL PLFACFLYLNIVALPLLIIFFILLIYLTVIYYRCLREITRIEAVSKSPVFSFFQQIVRGI TYVRTCLPLEKVVIQQQRNVDLDLGNQINLFGFQYWYQSLAGSITNLFQALLFIICVSFL YQIQFIFPGKTQQMTILVLNQMQTVSQLLLNSSISYGNIQMYLISFERCLHLAKKIEQEE RNISLVTPGGNDMDISEVKKTNNNKEDNNVILQLENCTFQYRPNSKCVLQQLTIDLHKKE KIGVVGRTGAGKSSIILALTSILEQTEGSIEIDQKNINLYSINELRQKFGIIPQDPLIFM GNLKQNLDPLNKFEEPHIQEIAQQCGLLELQSFKQQGLYSEIALQGSNLSLGEKQLLNIV RCILENKNIVLVDEATSNIDSQTEEHVKQLFQKYFSNVAMISIAHKVTTIMNSDRIMVLN DGQITEFDHPQKLLADPNSEFKQIIDLIKHSEAL >CAK72600 pep:novel supercontig:GCA_000165425.1:CT868130:119117:123061:1 gene:GSPATT00008991001 transcript:CAK72600 MSTNKSNSIKKIVVTYFFLVGYIDYQQYLTILNYQLQIGRKKPLTQSDMAIIDQDCNMET SYAKFQSVQKQQCLWHQLFYSFKGLILFCTILYLLIALLSSCQPFITKHSIQFFSYGQDQ KPAAGNMLLFVTIQLIYKLFLSLLKPYQSFYICLLSIKLQGALSQEILLKTLKFPLQRSK HYSTGELINMMQVDIHQASNYFYYAIILFTVPLQLLIAFIVVFVTLEGQAVIPAVGSVLQ AAIGILFGIFYGILQKRYMVAKDNRMKAVDEALSYAKQIKLNTLEEFFEQRIRIQREKEL LQLKNEVLMMIFLPLIQSLVAIFTWELVFFLTSPISFEIINIMMSNYSNISNILSNLPYQ YKSFQVSRNSMARLNNYFDQKECNIVEGLYDGENESNSNFAIKINKGVYSWKTNDQMNSD VIEIKDENEQINDNNVFNIDIDVEIKKGQYVAFVGNSASGKSTILRSILGETDKQSGNII VNGTVSVATQEPWIISGSIKKNITFMNSFDALKYKQVIKICGLERDIASFKNGDDTILGE KGDNLSGGQQKRINLARAIYNNADIYLLDDPTSALDIKVKYQIHQQCFCGYLKNKTRILF TNSVSNLQGCDVIYFIENGRIVKQGGFPQTMSSNGNHNTQQKETVENQNEQKLVKPEFID NQEASQSLIQKEDQEQGNISLTVYKQVFVYIGKYFAPLCTLVYFAIVLGFQLYGNDKLAQ ENVPDEEYKKIAQIYYPITQLPVSLAVVLINAYYLLMGLSTSRKIHGSIINRLLNASYTK FYNTIFIGRLMNRLSKDMYNIDLEFPNEVQNFSIQIINLLIPLVESFIYLNIAALPLLLI FFTGLIYLTIIYYRCLREITRIEAVSKSPIFSFFQQIVRGITYARTCLPLEKIVAQQQIN VDADLGNQINLDGIQYWYQSLAGSSTNIFQAFLFIICFFFPGKNSDKTFLVLNKMQELSL LVLNASISYGNIQMYLISFERCLHLANNVDLDERFIPRISEKQEGLKQNESENTVLKLEN CTFQYRPNSKSVLQQLSFKLKNKEKIGVVGKTGSGKSSIILALTAILEQIEGSIEIEKNK IDQYSIKEQRQKFGIIPQDPLIFMGSLKQNLDPLNQFEESYIQEIVQQCGLLEMQSFKQQ GLYSEIALQGSNLSLGEKQLLNIVRCILENKNIVLVDEATSNIDSQTEEHVKQLFQKYFQ NVTMISIAHKVATIMNSDRIMVLNDGQITEFDHPQKLLANPNSEFKQIIDLIKHSDNM >CAK72601 pep:novel supercontig:GCA_000165425.1:CT868130:123127:124611:-1 gene:GSPATT00008992001 transcript:CAK72601 MNQQDFQLLEEKKNNQIYGLDQIEKERLNFLWGLCHKGDLTINNALQIMEEEKGKEEVWV DQQIQEKTQKLKNLRVQFEDFSKVNEYDATSDIENIDLSIRNIEDQLQNNRKWNFDQNSK LTLQSIEKLNNLVSQKDQLIVQRNLEQFKDTCENQNYLNYLLKYQQINKNISQKYQFYKK LQVLKRIEQVYASGFNHDGNILVTSSSEGLYFWEFSENNYTYLCNLWTATLQVGILFSKF QDLLITYDLLGQFGIVKMINGKWKLEQLIQGHQDSICSIMLQQNDSQIITASQKNGIKFW YLNTFNNWSCQYNFEFNSNYLSYVTGNNGNYLVSGTFDGNISIWQYQDINIEKIIIKKFQ RILNAHQRTINNITFINQQKFSSLSYQSEIKIWEQSQSNYLFNQKQVIKFNGLNYWSVFT SKLTYIHHQNLLFCCTGNQIKIFKLNRDGKFDIMTEIIKEKEIYAFSVTQDGKLIAYSNP ISLKLIIKQAIEIQ >CAK72602 pep:novel supercontig:GCA_000165425.1:CT868130:124804:125727:1 gene:GSPATT00008993001 transcript:CAK72602 MIQNAVPEEKYRKPNPVGNHKWDEENFINFQVTQKGQEIKLATYRCNITAQQPKSITVFF HGLNEHLGLYAHIAQAVSKQANSITVGFDFRGFGKSQGIRGWLESREQLENDCIQFIQKI RNLYPGLPLFTLGQSMGGMASYLMGQSNQCEGTILITPAIMDNRYNQSFMKSLGLIFGAC CPTWNPFPPVRQPGSRNPQIQEENLKDPYCTLVAVLPGTGRTLVSTMRSLPQTFSSYQKP FLVITAGMDKVVDPDVGQELMKQSPSLDKQIIHCDQMWHNCVQEEEILELIPKITEWIQQ RSKQQLG >CAK72603 pep:novel supercontig:GCA_000165425.1:CT868130:125970:127446:1 gene:GSPATT00008994001 transcript:CAK72603 MNKFTLRFNQQLLEVQYQSTRLVMRKQILYGVSSGFIIMNTISAILKIIGNDNNSLPLDL TQSLVALITVCAVKYYPKYILEGSNILNLLFCLVQINLDEGATSHQMYIFGANIMATQLM LLFGSDFLYSIPQILIMACFRLGIQKVYQQFDWFSISLTLLVSLWLGIVQYQFDKTLRSQ FLLTLKDHGWDQLLPQIVDKPYFYFRYNNSQFEIKQIHKQSQIFNYNEDFCQGCNLRHFL REFQFNNQSIETFILNRLKSYQKNIYDREIIGKNKKQYSMQMNYCEIFSDYPNFLIILND TKNNHNIPKESQASLMKKYFTSYSNHLFKLILKGDSKFKIIQLNYHYLSALFLKDYTVQT FSPYNQLQKIINLSGGVKLQCLKKLTIQGYKNLFSVFWLQTILIMVISQNRSQLELPMIV LNQEQDYIEYTFVLNYPKLFEDMFNQNLVIQRLKQVIFFSINQNKWKFKSYSKLDVAFRK IENN >CAK72604 pep:novel supercontig:GCA_000165425.1:CT868130:127649:128789:1 gene:GSPATT00008995001 transcript:CAK72604 MNSKKKDVFIINKPHLCQNHNQKLVLVCADPLCQDHGLICIDCLLQNHQNCKAYIKKYDE FLWIMQNTIEKIQIQKEKRYKDAQSILLSLGNLQPKFNELILALQLEIETYLQQENLEHD TKRMKNLFQADFEGEKELIKEFILQQHLKSQHEKEYLWELKGSQIVECLHSIVNDMLNQD FQEQQKIIDSQKYLKVKGIDDLKGINSYVPKLRLELQQNNWGGIGNIFPKFMALNSLQIN AIKSPLKAQNLEEIINAIQYLPRLEKFKINLIGSNANEQTLQLIFKIVSNKSLTEFGISF GYSQILSDDFIRQINQFKEQLRGISRIYISNQLHEFTEQQQKLLKTYLSQIEFFR >CAK72605 pep:novel supercontig:GCA_000165425.1:CT868130:128816:129286:-1 gene:GSPATT00008996001 transcript:CAK72605 MDQDSDNSEIYIIVFVIILLVFMGIALFIYIRKQQQNRNKKLEEEINKLQQYVNSCDRKQ ESRTDNVNTQPQILSQTNSFTKTNRMYQQEQPDQNKQTGKMFLDLEKSEREIINQQSPHS PKSPPDEEEKKQTIESGRKKVVGIKKKNLQIEIPQD >CAK72606 pep:novel supercontig:GCA_000165425.1:CT868130:129749:131664:-1 gene:GSPATT00008997001 transcript:CAK72606 MIDAYFTRGTIGKNHQGISTDYLIKLNQLYKITKNAYQKELIIIRSIITQVTTLLNSGLL LAESNRKEEALQYFDQSLKYSTTLETLINKGILCIQLNLFEESLETFERALDIDLKCHIV YFSKGYALFKLDRLKESLECYNYAIKLNNYLINGYNNRAVVLCKLGQEKEAIDSLKIGLK LSSDDPILNLNLFKLYRIQKQIEESNIIKNKLIGIEPMWQEIENLELIESVLETTKNEIN LLSTNNLDQSLRQLNNYFERVQNAYKNLWNYDLELEKIQPQFNQQDTFSISQILEQSLNT EETIIKHKLLYLQDRVYFQSLYWRLLNYLRIIQIAQTEESNEKLKTIVDQINSSNQLLIP SENDRMNFNNKSMIQSYILTSSYNKDINNNESQTKKISNNSAVYQRTRSASRRTTKKPII DKSKSQPSQMKIKGERNSMSTNQNISSKIYPEKRTTYQQKDKNYIEFKPILISLEIVKLI NESLGVKQFSDLQIRNIIKVISNKVKNLKELDVEIQYAAYELSNRKLEQKQISSQIQQIQ KKEYELNRLYYIDLRQWQQGIDDTIQVLHYFKDNYRTLIEPLQQALRFQIQASSTYVRKS NIKNIEQKVAQVENSCTCFIF >CAK72607 pep:novel supercontig:GCA_000165425.1:CT868130:132344:133393:-1 gene:GSPATT00008998001 transcript:CAK72607 MNLNNQRRSPAQSQSQLLMNIHQNLKNEQIDFGLIPIHHIVNQQEKKFQLAKLKSIQRKS VLPQLRLSILNFKDQIQKQVQQLLSIIDNILIKEANSSYQYSELDDQVNKFYLLDYEPLL KQFKEFASVQKDGLLQIKRCVKVFEGDCISYKIVESLEQNKLSNVILFNHQNDVFFQGIG ENVKIWQFKNGQLYNSQLLQGHSQQIYCLACSKNNNMFVSGSADKSIRVWEEISHKNWQT TXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXACEYAKSEDTLSSIDIQNFSQGTQQIFL >CAK72608 pep:novel supercontig:GCA_000165425.1:CT868130:133947:134537:-1 gene:GSPATT00008999001 transcript:CAK72608 MQSDNNMTHILDIKSPKYIRSNSNKIANLTYLQRLEIQRKYLITEPSNCIKTLNNNTLYP YLVEKVENQIERNLLTKKTTKRDSHPTIKDSYLISRFRLKLSKSIEKYMNKQENQTLIKV QSYQEMDPSLLTPRQIMLSARAQSRSKNYSVFFRKLKRVQSDHEDQYTTQTNTINHNNSS NRPYSYYFSRQSNYLK >CAK72609 pep:novel supercontig:GCA_000165425.1:CT868130:135076:135950:1 gene:GSPATT00009000001 transcript:CAK72609 MNDQTKRLVVKNYITQQQISLNVKEDLKIGELVEAIEQIANNDNKTSGEFHQNYYFSKAL NKNVQLEDTVGLILKGITFDEIEIGKRFSQSQSELQSITILIKIQSYLGKPIKQQKLEMS RFDKISQIEDVILKELKIEREAFKIQFQVKGQICQPKQSLADLNLIDNDEIIVKVPIKLN IQLQEGSQFLRFSQYFAPQDSLQDVINFILQRFSILTEQVGLALTYENQLYKPNQFNSTL SDLKLDYEALLIVKLRYSGGFQRKQNQN >CAK72610 pep:novel supercontig:GCA_000165425.1:CT868130:136639:138517:1 gene:GSPATT00009001001 transcript:CAK72610 MQSFNDQQSHSTTLEPSKQLIILCRSFKTRAIFDSMEISTKQFYMFDNDTGEIIDLRTSE IVEYEQIQFQKENAWQDFWKESQLKTLELIKACKQGQFSIVESTLQSQHFVDINAKDSND QTCLHFACSSGNFQIAYHLIQKGSFLNEQDCDGCTPLMICVKGNLIDIVTLLLYNKANVD RQDFSMNTPLHVALENNSLEIIQILLKYKADPYIKNADNINALQLISNTEQQQIFKQFGY EKQYVIEKLVKSTKESKDQKDSNSSYRQSINRIMKFLGQSVPTNTLQKQTYQARQSVIQI GGQNIGPDSFTYHKELGKGAFGIVYLVKKKDEQNSLYAMKVLRKEKISQKLLPYIQTEKS ILSVIDHPFIVKLHYAFQTQHKLFLVMDFCPGGDLTKLLDLKSRLSEQVAKMYTAEIILA IEALHQNKIMYRDLKPQNIIIDNKGHCMLTDFGLAKTDIENENTKSFCGTPAYMAPEVVN RKFYNRSVDWYQLGTITHEMLFGMPPYYSHNREELFENIKNKQLKFPQNNLSKEALSFIQ QLLERNPTKRLGAKKDSEEVKAHPWFSDINWDLAINKKLPVPMPSIMNQANQTLQVNFDE DSIIQQRKAVDNWTFISD >CAK72611 pep:novel supercontig:GCA_000165425.1:CT868130:138653:139260:-1 gene:GSPATT00009002001 transcript:CAK72611 MNQKEQINPFKAYGTKEVWEKINESGKNKEYVRFPGIGIEQTPFYQMQKQHAEKNQSKKQ DFLSKLNKFEYFPSGNQKKLDIQGMFSQIDDVKKYQARMERIDPINKNCISMDLYNLVLQ HKLHKALETASFLWGDQMRHDGSLGTLDSINNLLNQSQKKLEQRNQSSQFIFTKGHHKVR LGHEI >CAK72612 pep:novel supercontig:GCA_000165425.1:CT868130:139719:140090:1 gene:GSPATT00009003001 transcript:CAK72612 MNLKICQINSLIIRMDEYDEFSDNSIEIVLNQFQGKASHQIEENITMYLKNLGLKMKTNP LRKQFKYEKENNQIIDILTSRTLSSYGNTLKETEIDYCQKIRQNPLFLRSKKQTQQLAIM NQI >CAK72613 pep:novel supercontig:GCA_000165425.1:CT868130:140452:140913:-1 gene:GSPATT00009004001 transcript:CAK72613 MQKKARILRGYYKDVVSFKQINLISRKNTYFYGGQLNSLINRDAIYYVNSSNGYSYCPLH KSIEIFLNKKYEINTVVIQIWDRLDTSHYDFEVYISFEENEIKIYENASFAGFIRIKFED QLVDKIRIYNRGGSGFNGNLSVIKVQAFYSIQN >CAK72614 pep:novel supercontig:GCA_000165425.1:CT868130:141736:142609:-1 gene:GSPATT00009005001 transcript:CAK72614 MNYKPNLKMDSTSGSFKKQEQALSLEGTQKSDSTVINSKPNFASKPSFNIRNRSTQPLEQ ETQLTSTNDEFFKTGTFQEKVPLKDRIQRSDEKKNTAPLNQGLYLPGQEELFRKQIQVEK KEVQQTQEKKEVYQGKQTQSDSYMPSIGNQNKREAALLGSKNPIENKLAVADQTKVQQQL EEAEPIEDLELVLCPEGCGRQFKQDVLEKHTKVCKQVFQQKRQEFNSKQARIVSNDQQKL MRQGQIKEKQLQKKQGKAPLDPNWKKQSEELRNIIKDQKQQQ >CAK72615 pep:novel supercontig:GCA_000165425.1:CT868130:143164:144511:1 gene:GSPATT00009006001 transcript:CAK72615 MILDATQRTEPYTMSFRVSKEQLCQVAYACQERTFTEEIDKLEELGGQEFLEMALCSNYK DGLQLNDWSQRELNFGHNRKPLILPKSYFQLLWGALEDFTMRILCLAALVSIAVDVATAS SDYRAYAWIEVGFAILVAVIISTNANAINDYQKEKQFQKLNEVADERKRVTVIRNGQKCD IHMSEVMVGDVVMIFEGMEIPADGLVLEASDLTTDESAMTGETDPIKKNTLDYCIVKRNQ TDSATAGHHEVPSPIMMSGTRVLTGEGKMVILVVGDLSCAGKISALLRQDEQEATPLQVK LAAIAEDIGKFGLYSAIIIVIVMCIRFAVEKSQVEWENKYIVEIVNFFIIGITVIVVAIP EGLPLAVTLSLAYSTKQMLRDQNLVRKMAACETMGGASMICSDKTGTLTQNKMTLVNIWN DNLN >CAK72616 pep:novel supercontig:GCA_000165425.1:CT868130:144606:146713:1 gene:GSPATT00009007001 transcript:CAK72616 MLRPETQGSKTEISFLEFMDRCQKPYEEFRDKYPLIVKYPFSSQRKRMSMILDVGGQQRL VCKGASEMVLAACTQYHSKSNGVTTINSKVVEDAIESMAKKALRTICLAYKNISNSADLT SKDDKGVYNIEQNDLILLAVLGIKDIIRQEVPRAIQLCKKAGIKVRMVTGDNITTARAIA NECGIITNPEDSIVMEGPEFVRRIGGVVCKNCHPGNFRLLKRKAKGQGLTLQANPEEFDK IYPNLDVLARSRPEDKYALVTGLIERDHVVAVTGDGTNDAPALKKADVGFAMGVSGTEVA REAAAIILLDDNFNSIVKAVMWGRNVYDNIKKFLRFQLTANLVSVSLTLIGAAVLRQEVL RPIQLLWVNLIMDTLGSLALATEPPSEKLLNRKPHDRNEYIISKKMFKFIVGTALIQVGV VLVIVFLGDKFLPEYEDAYDTTVFSGPKIRFKYSNHNCITDFESLKQLGLTKLNETSSTD NPDDSDKCLKTKCVCSNDECYEIQCPPCLYNEDSCSIVASGRLMTVQGDYDYYIMYNIEH EGSRHFTYVFNVFIMLQLFNFLNSRRITDEINFLDNISNHSAFLIIVPFIFCIQVLMVTF GSAAIGLYGCYGLQIKQWLIGIGFGSISLLGCFILKFIPEDNFCSQIGSQKIFPVQQEVK ISTQRVEQTPNTLNKHYNSISRDPEN >CAK72617 pep:novel supercontig:GCA_000165425.1:CT868130:146736:147980:1 gene:GSPATT00009008001 transcript:CAK72617 MSINAILNKVRLLQDQISQYANQEMQESKVGILKRKYIDQPNLTEKDYEQLAKDVCAIIH YNNGIPDFKPIDYEPNDKFQGLAQKLIFDATLFCKDISLITKSSIVHQSFTEQIQDLLYQ INSITNLIEKPLSYLMQSRRIFPNDKSETQSMQRIDFDQQSVIGTMNQSPFCIQKQVRFS TLEMKGFTKVYDELFNKPFTQTHIELIKQRCTAQSQICIGGVSVNDPDQFILCATDYASE FYTENLRLKAGQEESQWGNILGIMVRNRSKWIQRYPDVDNEEGDQRFSLWLFHGQGGYRI GRLESLEQSVEYKCVIYLKK >CAK72618 pep:novel supercontig:GCA_000165425.1:CT868130:148111:149235:1 gene:GSPATT00009009001 transcript:CAK72618 MLTQNFYDLRGGDKLSSNNRQLERYEKLEKIGEGTYGVVYKARDSVTKELVALKKIKLEN EDEGVPSTAMREISILKELQPHPNIVGLKEVIYQPNEKKLYLVFEYVEMDFKKFLDQNKH NLTISQIKHFTFQILNGLNYCHSRRIIHRDLKPQNILIDKSTGIIKLADFGLARAFGVPI KTLTHEVETLWYRAPEILLSQKQYSLGVDIWSVGCILTEMVEKHGLFCGDSEIDQIFKIF QYHGTPTVQDWPNIADLPDFKPTFPRFRATPPEQFFKNFDKVGLDLVTKMIALDPAKRIY VKEAMKHPFFDDLNKEDIVKYFPPGQQNLAMQYGK >CAK72619 pep:novel supercontig:GCA_000165425.1:CT868130:149256:149970:1 gene:GSPATT00009010001 transcript:CAK72619 MADTIINYTELQNEYKDMAQMIIQNFESTCFDQRMEAFLQGMLNKDWVQAMTNSHTLKGS AGYIKAGPFIDTIKIFQQFVKDHCILIQYPDSQPPTVDRPQYRLKDEFDDEKKMQAYEYF HPIIEQARLLKFEMSKYSGSVVADNIFLAEGEKIQKMHEELRQRRLLNKSQGTIQTQQQI NSGVPDSGSQQGQMVNSVLHVVVVDQPQSGNSSCCDKCAIF >CAK72620 pep:novel supercontig:GCA_000165425.1:CT868130:150388:151902:1 gene:GSPATT00009011001 transcript:CAK72620 MDPETPTNHKLDCERPKLYQYRWIIVSFYILAVFVNNIPGEIYVSVSNELIGIYDTSETL VTMAATSYMIMHPILSIPCSQFIVNYGWAAASKIGVILTILGSLLKLFVNQTDFYLIVIG QILIGAGKPFILNCQATMAQNWFYPEARPIIITVMNVLNLFSSVLTFMIPGKFIFSGYKY DGSNESIEKGMELVNKLNRTLFYFAIALLPCLLTLKNTPPTPPSELVKQEEKSKNIKRTL IKIFTNKQFLFCFQAYALFMGLTKSLMIVLAFLLKACGQGKEQVSVAGSIVNISAVASLA LLAGILKKYTNRRKQIAILMMILSISSLVLFYFCLISENKIAIYISIGIVGLFIMPNVPL LMDMSCDSIFPINASFAVGIMYIGSRIFLVFFSQFLAIVVGGSDSNKGRVTLTFSIEVIV LLCSVTIFSFTNIKLKTAVMEVDLLDGESNQKISFCDNATQTPIMESTQQTPQTNVQKRS ILELNQKS >CAK72621 pep:novel supercontig:GCA_000165425.1:CT868130:151934:153637:-1 gene:GSPATT00009012001 transcript:CAK72621 MNPVRVFPLKFNDQQNTQSTSSSPQLKKKQTPKLLEKPLLLNMSPVLQKFKFDSRNGQSP IKGKFNVKRFTANPKQEDKFQFTQQQRPLQSTSSSPKKRVINGATNVESLSPMKLPNLRR ADTQINSNLLIKDETLQEIQSSKRKDTKTDGTPSQLIRGSIIKLISDATPNSRPSVSRAK LASQTIDQDIKPKPTNQMMMLYGRSTSQAGLVNTIVADNEMQDYVTLYYSKSQAGQNGSG QTKTNQDSVIATNSLGGIKNRYIFSVCDGHGVYGHYASQLVKKLLPNIIDQQIKSNVGMQ EKDIGENHFTDISKAMIQGFSKMQKDLSNSGIDITFSGTTCSLVLVSGPHLWCANIGDSR SILIQQQNNQKWKTIELSNDHKPDLPNEYKRIISSKGRVEPFISENGEMIGPPRVWLLHE QIPGLAMSRSFGDYVASTVGVSCEPEIIHYKMNANCAFLVVASDGVWEFFSNEEIQKIVV SHWQPNMTAKRIDEICDHIVKLSTQRWHQEDEVVDDISIVIAYLQKP >CAK72622 pep:novel supercontig:GCA_000165425.1:CT868130:153671:154929:-1 gene:GSPATT00009013001 transcript:CAK72622 MLKKAQQQQPTKPSTRVATLANDENQQQQRSDQAQKYTLNQIVGNGTFGMVYLATNSVSG EKVAIKKVFQDKRYKNREHLIIQELNHPCVIKLKEAFFTQGDKGDDVYLNLVMDYIPDTL SKVVRYYRKAKQQFPNVLLKVYGYQMFRALAYMEGIGICHRDIKPQNILVDPATHVLKIC DFGSAKKLQKGEPNVAYICSRYYRAPELIYGATEYSTAIDVWSIGCVIAEMLIGEPLFPG ESATDQLVEIIKILGTPTQEQVKMMNPQHKDFKFPLIKCHPWQKVFAKFKPDALFIDLIS KLMVYPPKERLRPLEALAHPFFNDIRQAGFGIPNQTLPDFFDFTKEELSIQPEIAPKLYP TWYQKKQ >CAK72623 pep:novel supercontig:GCA_000165425.1:CT868130:155045:157638:1 gene:GSPATT00009014001 transcript:CAK72623 MSNNAVSDIEIQKQAQQQQNNILAILKSGNATVVNEKLQRCRDFKEGKDIPVPFCYKGIP TSTLSLENSPKEELVLEHVQQFQKQFQLHYDERRNLFLYPKNECDLYKFICTTLRPQKIG YLELYNYEQCARYMSFFIAYEQLDPPDQFPKVIPSPTNVARWQKGDCFDLAMLLCSVLIG VGYDAYCVYGTAPRSITSKNESDLEYIFINNGIKEDDEDKDKDNDELKDNEFAILPKEEI VSKYDTKIQKQKEEFEKEQKRIALTIDDDEPDQMGADYYAGKRIHCWILLKAGKRGVERN LFIEPATGRIYQINESPFLTVDAVFNNKNFWINMKLESKVADLNFDEMDTSMNWEYVMLD TLVEQSQQDDEDYNYGEDHNQQQKQVDPQQQQLQDIAQILDMPPPWPPKLFIDKEAFLKG TPLGESTVFYKKCKIDSYAPYSQEKDGLVQRYTIYQDYKRLKVYEIRYFYKHRSDKLVLK RRFPYEFKTIEEYEPGNKPQRKTITTIDRQLRIILYLSNVEITMVQQKELKKLERKQLKN TRTEMIEFDAKDKKFEQRDLIHNDRYMGQVKITKMTQKYELSELYPASEQPQKVVIDLVK NVIRVYYHMNKGEINPQVKELKRENMHGLGKLNETGVEKKNEDALVSQENQRIANLEKEC LTHIKNQEDEVKKDEEQLRSQDLKLEKTLHDKARERYKETLKKSEEEKQREQADKDYLFP YLEKRKLLGKEVLNYNEALDIQKDVMTKLKERLLSRAAIIQKKLEEERAKLDQAEQMQQK KADPDDNEYINIQFRIDILEQRAIRFESQALLKYEEMDRKLKEDKRLNELKKK >CAK72624 pep:novel supercontig:GCA_000165425.1:CT868130:157714:159177:-1 gene:GSPATT00009015001 transcript:CAK72624 MDLFLNKPPEAPQRQFIRLFVNTGHKQFIATVDRTQQNLEVQIANSFVYTQREDPDAEEI QQFQVKNIKQNGYLVVIPKQMQIGHVLDDQTFITCEIIEPKKKSQVKIEQNEGSKRRNKQ QKEQNKLVENLPDISPPRTLPQQQQSQDDKQTNKIPQNNQNQKQLQQQQQKDKEQLTKKQ QKQQNKNKQEEEKSKQQAQNLQEQLQQDNTKENKETKNKENQTNKDQKNQIQEEIQQHES TNVKENQQQNEVQSKKETKEPTNQNRDKSKEQKEKENAQNSEVITAQNQKEASKKEKQQN PTQSQAQQKVQENQQIKALPQQKQDLEEENNFGTKKHPKLAQSNEDQINNTQQQIVDKAK LDKQAQNVGQQKQNDEKQKQNKQSKQQQQQQQQQQQQQQQQQQQQQQSHSQKQQQQTVQQ KQNQQTKKVNKVIKTGYDSDENSDDQQQQKVKVVQNQKQVKKNDKKQSIDFDNNLFENC >CAK72625 pep:novel supercontig:GCA_000165425.1:CT868130:159187:160804:-1 gene:GSPATT00009016001 transcript:CAK72625 MNKAQIDNKANTPEMIRVQNGNISPTTKYTDILKAFKQKNLNQPSKVPNTNRPTKSYSIV VEFDQTKNRTSSQFKQPKEITPTKKQNQPTMPQSQRLTIKNQVLTTQQKITQEKSKINSK HPDVNKLDQRIKTQQNERYKEEQKNIQKMLLKKANPKKDTNTKPQLEKHPQLMSTRQIPS RQSFSPTTQIAKTANTTRGHSPSSYQSDNQNGKLQAILTVIRPIFKEAKYYQTSVVHFLR EEFFPNTSPYQVQFKIEFQEQKDYFKTKFCDHFNLTFNSLKHCSQLSSQKPLTTKLLNPP KKPHFSSDTVKTLVFDLDETLIHCNDINNNSTDHTTVIHIPNEPETEIRFNIRPHCQQML KALSQYYELILFTASYKEYADKILEYIDPKGNLFSYRFYRESCLELEEGLLVKDLRVIEG RKLENMAIIDNSAYCYIYQLENGIPIIPFEENKKDKELLFMADYFIKCEKQLNWLQHHKL HFQNQLYLQCSTIEECIQRLLQSQNY >CAK72626 pep:novel supercontig:GCA_000165425.1:CT868130:160930:161589:-1 gene:GSPATT00009017001 transcript:CAK72626 MSKKKIKLYGIDIESDDDQEYVPDEQDISENNKNLSHPKTFNIDEIYSEMKKIDLYQPKV CTKSAQQIVREVLDEQNRVQVKRVKFAGKSFLINDKGEIQEENIEPVQTKQIKQPIGNSM SMKELRESFRYYREVIKKLTNKAKTINSVTKSKLDWKQYTTKEKLETQMEQKRKSGQGVL EKAKFINRTNERVKSIRHNKIKKL >CAK72627 pep:novel supercontig:GCA_000165425.1:CT868130:161759:163119:1 gene:GSPATT00009018001 transcript:CAK72627 MITFLLLLGVQGQYLPTSTGCLGTDYLYKINDLSFCFTCQKGCKSCCDPQLCNECEYRYR FNSTTSTCIRCPVNCEVCEPDGLFSKCSKCETGYIKQEDGSCKKCGDDCVSCSLADYCSQ CADAFYVEKGICKDCPYGCKSCTQVEESLFVCDTCIDRYYLVGEQCTKCPTGCLKCDDDF TCTSCEDAYVLKETKLCEFCTPNCKKCSENTCTDCQTGYVLTTDNQCLGCPDINCESCQQ EDRTKCTKCASKFYLLNQTCIACDINCKQCDTPGHCVECKPGYFIKNGVCTANSIKNCQV QNETACTTCESGYYIKNGACSPCGDNCLQCSGPSFCNQCATAYFFNGFSCQGCGTGCERC VDSNICLKCTYGFYISKGVCERCIDGVCQCSIKENIVENQSHQIIVLMLLLLLILQ >CAK72628 pep:novel supercontig:GCA_000165425.1:CT868130:163329:165200:1 gene:GSPATT00009019001 transcript:CAK72628 MADAAQIYGQKKYLYRQDGLFIRFNDNDKELIIRSLNGSIDVQSRQKFQDVNPQSSDCLE FNAIYGIVTLKQLNYLVVVVKAALISSIMNLPIFQAEKFQFIPIEDKKINSRDASYIAGL QEIFNTKTFYYSEQYDLTNSLQRYIENKGIRKPLSQYWMNSENCKPFRLCNPEQWIPTFI SGLIAIRYFKLNDTDCQICLISRRDKRRMGRRFISRGADLDGNCSNFAETEQIFRYQSTY YSFLQSRGSMPFKWIQKPDLKWSPKAKILGDITTNTEIAQKHFSDQFQLGIQKHILVNLI DKKGTQNVLGNYYNEVINRLKNDNLKYIWFDFHHECRGMKYQNLSKLINMMAQDLKLEDQ CSFDLKSGTSQLNIITLMTTQKSIIRTNCVDCLDRTNVVQSVIARHQLWNTLQKLGVRRS TNQAMEPFPDQLEQIFRDIWTLNADTVSLMYTGTGALKTDSTKKGKRTFLGAVEDGRRSI IRYFIGNFHDGGIQNNIDLLTNKIDLKKHDYKNKFFTGWHCLLVIFASVQVGLWKLSGFV LEHPKANQILGIQKKHDNYDQLKMTIAHFAFVATGMLIIRTLIFSKYKLFVREAKLNH >CAK72629 pep:novel supercontig:GCA_000165425.1:CT868130:165242:166478:-1 gene:GSPATT00009020001 transcript:CAK72629 MASYRDPGYGINSKSAYDPIRYPQSMNIDINAQKPLGPESGPGLPIQFGSASARAYAKEF QVSPEVQALNKQQQFQQPTQGAAIIQNIVQPQTIYQEIQHYDKPVQVVPRTDIEEPWRTK CTFLEKQIYDLQQENFRLKAQNIASEKISYFEDTGRVNQLMQEVDRLNKTILDLNSEIDQ WRLRYSNLEDQLKMRSVVDMELERMKRAVQDNENIVQIEMNRLRDQLDQWQRRCQSLESQ RFEAQDFLSKSRTKDQNICNIMINFVVNLQSDLKKAELNLKLKQDDLDTVQQKLNRFEKI VRETEYQNQEIANLRKIIDDRNKELEILRRSSQSQQANQNLKDLESKVSLFQSECNRLNQ LLNHKEQELQLYRDQLKRQSHYSNKQ >CAK72630 pep:novel supercontig:GCA_000165425.1:CT868130:166634:171034:1 gene:GSPATT00009021001 transcript:CAK72630 MEKLHTIKTTERPLGGLQEGFKFIRGSPDTKKVMCIFMERFQQFLEQANITIKNFLKSND QQLEVILGDANQVLVVILDYLNKFPEIQKRNADQGQLVQDIMEQCIQLAEQILREPKYRK SVENSHNVLSDFLNLIEKAQKTESKVLIIKLIVLFSENLNQRIEFFEQQGFHKLLSILMN KDPQLNRVISKALLHFLLIEDINQVVICNVEDGQEQTIKQKFSKIAVSLRSFAFQELSKV FLPTQNNKQLSIKQFCVEKKEILTLSQAIEQQISLQSIFEVDLSYYMNSQSISRSTSDQD QNKNEIYEKIISISSTPPDKLALSQTQSERSQSEDILKEFQVLQGGFKAILETLEKATSE VQVDLLQTVQQILQNNARNKIEFKRVNGYQLLSDFITNKDQQDEQFQQQFYNFLKQISTT DSEIDDLDAFTLMLELLPIQQDKLLNIIVEVLNQNWKNVIIAKRLKLYYYLAIIQMRQYL SSEQITEKFCNIDNEYIFTNFKNILVKVKFQQSEEVCQQLFQLYEQLNQLEGFYSLEFEA IQSQLAILFLDDVDIFKQIVGFAFKMIEQKMSKKCRYMNSFDHQSELAFKNFSIIFDQIY HSFLKAKKNNMQDAKDQSSYVFFIQIAEIINKFLVCDHNIQKQEVDIFKNMVSQNRCEQF MNLIKPNLKLIQSKQILELFDFEYNEKSNLAIAHLQFRLNQVLFNSLAGIMRHNDFKIEP QMVLYLVYSQYEMWNALEQQPQNPITPLLEFLMDISKLSSQYLQCISHFFYKIKLCDENT LVIENLISFLKQFDFKNQDLIVNMLISICKNLPYYYDMANLYPVLIQYIETYLNKVDLEL WFDFVFSGETIKKLNEQNYGATMSDILSLLSIHSMFQEKVFDKMINGEYLTNSFATLQYD TTKEQSIKLSNILYLLNAKSNKIKNPIYSNKQKFFQLIQKLINGEEFSNQLCNTVFKLVF WNFDSYHLLYTENSSNVSSVMGRSEFAEIIQKTQKQYLENKQPIQHITNLESLELFFLML GKNQSQSYIIEILKIFESILDNQNLATLLDSNIIQSLCMLLQNTDKRIVQQIYTIIRTII QYDLSKSTKLKIIDILKRHDDASHILIDYLKDLLQNPVIKNDHVTFLKNFDILVKNFEEL VGFNEEIDILLFQIINLMASKNSPEIRSVLKQLNLFEFRDNLALQIIKYKMDPQQLMDIL SGIPFAQLCNSRNFKESHCMAYLLQRLIQHKDHFGLPLLIIKILKYDISTIEDNRKYVSK LLQNDQLISFFYPQMLRKQSKSLKCFDQEDQKIQRSNSPETDAAFSQNMTVDQFLTLFFS DKLQDERQQIKLTLERALIPVESEYKRQIMKYNTKKQSRLAKLSEQEQSDNLRIQTSNND WEFKIQSRLKKSNERSKLRSQKQQEQFEQVLADGENQWFKLCLGQ >CAK72631 pep:novel supercontig:GCA_000165425.1:CT868130:171059:172729:1 gene:GSPATT00009022001 transcript:CAK72631 MFVQDMQFIRSSEQNFVINTQSFQTVVFQYDRLIQMQVPEKQLYDSLKNRALKLGQTIMI SGNPFKVFLLDPLHGHVGDTTSILCYPGDLSNNRTLQQVQFLNLSQEKKEHITRLPINQH ISQDQQIIIDGLLMQVIRCQPEDGIFRQQTECRIVNSGKPMLQEIQIVIQNQQEPNKQEL LKNYIQPYFMIPRYVREGSRLRIKEVDFMIKSCKPKKGFIDSRTIIQLFDNEQFTFKSMN DEDEETGGLQVQINTLKILQDKTASRNQFVQKRKKLYSMMENYCQYDEKENQIVLSSRDL SSRSQSINDFPIFPNTFLSQLHKEIDEVSHDLESDLMDSDETYQGIGLHEQNQKLSQMTL PVFFKNILISNKHGANRQSRLQQPEQPYSDDSSLDRSIDSDNNGQQQQSETSILIHEDQP HLIALPRYFDEIVLFNSQRWLPIIHDGVSQNIINKFPERKIDLQWIQNKGKNENADFCKC MICLMDYTDEEVVRTLPCLHYFHCECIDFWLAKSRKCPICKTGCDQYLNY >CAK72632 pep:novel supercontig:GCA_000165425.1:CT868130:172751:173857:1 gene:GSPATT00009023001 transcript:CAK72632 MIKTAYKKQPLIPKLSNLTSAIEIQHPLSCRQQKQTYVSFIQPSIKTTRHKENTYKFAKT PTKSDHINLNVSKHSRSPNSSQIQSSQAILNVLNQNIKLRQQQQSYQQQTYKSNNVTPQK QISQEEQNKIEKVQTQELYQQIIKQRQQSIQNVQQQTICCIYHSEKKAKYFKANEKQERQ YLCSLCAIQEAYEGLIYDMKTNQLIPKKKSQRSWTLKYDEPITTEQNRKLQQLNEFLDLA RQLYESAKTKKEVSLKYSIQEILAEIENISQDIINNAQNIIQNVESKSFQMILQNYVNRL QQFDIIINKQNCVNKLPLKKQVLISFQNKDSFVNPDQMESTDSLMLRESETQHFFDDCND VTLYSPNF >CAK72633 pep:novel supercontig:GCA_000165425.1:CT868130:174311:175449:1 gene:GSPATT00009024001 transcript:CAK72633 MAERGHALKQDISKLAWEETDFPIVCNVCLGENPYIRMLKDRFGRECRICARPFTTFKWK PGHNSRQKQTEICQTCGKIKNICQACFKDLELNMGLCTRDKFLGDEKIEIPEHTANRDYW AEQANRQIERLILPYDKPLPMLDQILKEPRLAEVNQQLNDGKLEPANQVVCEPLQNPIDA DLLYKEKFEPKGLIAPDDPKICSLYISHMSAEIKESDLKHLFSKYGKVNSIKIMEHGQSC FIQFAKRTDAENAVNSLYNNIIIKDVICKIQWARAPYKKMPIQNLIQQLQPDTNKNASAP QMPPKNIENKQQSEDKYQYTSSISILIGLLESQMSYPNQNPYQKGGVNIKDL >CAK72634 pep:novel supercontig:GCA_000165425.1:CT868130:175459:176319:1 gene:GSPATT00009025001 transcript:CAK72634 MNMQFEQQRVASVVKRNLQKFKILVGNKEKKFNDLLIPFTKLDTFINAKSILRQIVKPSN KILDPLNPCEIQRQQQHKSTFVNGDGNSDNFYDDVDQLINHLEKEQSEMITVGTKKISKS EILEFKSKHPNYSLVSKQFAEKSKRMIANLNRQSTRIFNKKYSMIHLSPRQSSSHHYIAL NSERDSSHSKYTHSKHPQGLELRPQKSQFKIKTEPKSQRNYNQQIQQLINNIEGVHKQMT DETGLLQKKTSRFILNQSKSNSKISQFDYLASDTNFAFTKVKKRKA >CAK72635 pep:novel supercontig:GCA_000165425.1:CT868130:176685:177470:-1 gene:GSPATT00009026001 transcript:CAK72635 MFQLCKSFYNFSKLKVFANQLTKSIDNAQKNVAFAYFLPKDWNEKQVKEYFDPQDKLIKR IQLIKDSFDNPTGKAIIEMESESIAQKFIKEHHENYIDTKDVLQQIVVKPFSLKKEQNKL DIKRSDKQAYITGLPRQMKNEELLDLLIDFGEIEKIQIPKDQNQEFNKGYAFVLFKKVED AQKFQTFMNGKEFMGKKLSVQLRIFRFDSQKKSFKTEKVQDGDVQYEQNKANYGLLQKEF EIKRE >CAK72636 pep:novel supercontig:GCA_000165425.1:CT868130:177542:186188:1 gene:GSPATT00009027001 transcript:CAK72636 MLIIHQVIKPFNQDIQLIKTMLFFILISFLVTLAKAQTGTINPTQQIQYSEYSMAEWNNS LYFTIESKFVPASILYTNKSSSTSNIYLIFCIQNTKILDISTQYVFLTYLKIDNTLKTLT HFFEIQTQTQKYTEKITLQNLNYEGFWYSLEIRNDVKQQQLNITFYQNLNKNILFQKILK NEYFPIQDYFSFYLGESKISRYLGYNQLQGPIKYQILSSTSKFTPQTQTSCSSTSGLALR GTFNYSSTNFYDYTIKDIISKSYGLTTWLKMEKNSNLATNYVNVLHIQQNLIYNYLAQIG GRLAQVSYSITSTKQIVEIIYTTFTFPTIPSLVNNADPTEKIVSYEIPIQYSLYNWHYFS YSFKSNSIYLNINFVLQNYQFSKTLTSINQFSDLNLVINLGGTQYNKSTNQGQFNLPYFY TCLTTYTPKCYLTCATCFGPNKNECLTCSTTSNRQLTKDNICICKSGYLDTNLTTCYSYA GTPNTEELIPLSKRQGYDQEEPNVVCSYGYFLHDNQCFECPKLNRQYFCPECIQYPNTWV LTPICTKKYSQFNQNENNTFVQDITTSAQIILLELDPYNVYLFNDNQLTFCTFCSSLCLT PPYNSQCLESAYYHLNQPVMVICIYKAILVNGECKFCDNDCAKCILINNEPQCAFISEYN YVNKACVKSSLVSCIGCQDNSLYFFNFEQNDCYLCSIANCKYCFQYLKSNPTYNSVFKYS NITYDQEDLEVGCALCYNGFIFNFYTGQCEQNQNLLSTSCSLYYITSSQTSVCITDNDFS KGVQISDCTNYLQNCINCLKITNSQYYCVACQPGYYLQRTYGTCLSCVDLNPTYSECFSS QLNNQNFKYEMTPLLYSLSKQYPGSQKTESITVSVSKCAPGYQQITSQNCNLVDPLHCST YFLGCRTCENTLEGTKRLSIIDLKCSDCPYYCEYCKPRNQLELQQLNPYLNLTQIQNWLT YRCILKSTTQNFVFLDNEKQLARQCSQDIPKCQLKIKYNFSAYSTFQSLLKSGINNNLFL FYNLKSPTYIDFNINMSATYSDYNSFLSQNKLYNSFIQQIASLKYAKLTYYSQNVQIAFI FAKYKFINFQEILFNQTSILFSRTQQSVLEIESLLHVKLTFENVIISDTAQYPTNLQIIY DDTTPQRSYQIAVQNPDKVNFTNVIIQNITLFNVLFFNLTSVVDKITSLDLLNFKNVTFF NCSFTSTNLISLTDRNNYNKIQFISLRFIDCFFQNSSFLSSMGLSLSASILFQDFVFQNC TMKNSIFVLAPQSQTIFIRDFQIKNCSFYDTDFIRLTSSSTIINLSLKQSFMKNFILIHK MKSAQSIISSTKEDYNFEKIVIYDSQYQQTLFVEIPQYGLEDISVSLLDIQLYELESLDN PGERDYYLFLIQTSFFKANEISLIRSPGCREFSIRNAQSVMITNVNATSSLKQQTFNFDK LKEESLNQILYIEAQIIELRDILILNQLCVDSKIIEVNLVEMIKMKQGYVNLDNLLFSGI QLIKTIFSEFTTVLFISGNVQNNITVSNSQFQEIFLNELVQDTKISSAALAVIQSEQSYV RLFDNVINDVIVLNSSNSILLIQILSLEIVNLTSTNVNNVDYFLEKYQKEQLILMELNSP LVNSQGGLINLQIQYLFMLDSYFINTTGLLGGVLSITTKQHGQLNISNCYFINQSTSIGN AQDGKGGSIFINSENSYLNLHISDTSFINSKSGFVGGVIYFKPSLYQVQISLKNLYLNNV FAIQSSVFSFQMSQLNSRSISYISMKNIRVENHFEEFLQYLSQFNLDINAYQINQDSATR KNYKLFNSQVLVENFQYDGFAFQPIFNFKFAKRMLINNLNIQNITHLSSALVYFENEQSL KSIIQIQSLQISDCSEYQNQQRQYPNYALQIANLNNYFQSLIQGDKLKSLIQMNFQENIS SVHVKLLNLNNNNCTFCNSGVFYLNNQQSNIKLKLQELVFLSNICGFDTCFLMKSQGTQK YHRLTKSKFINNKAYIGGAIRSDNFGFLIKQCFFILNQAKTKGGAIYYQNSNQNLKLIET QFYSNQAQIGGAIYDLNIYDNNSDTVHFIENKASLYANNVATQASILQLLINDIPQHRQN YQHDNVTIEEVLYYNKSKLAKFLYFPSGTDLDSFQKFNMNTTSFSKYPLDIAIKTLNFQN EQMIEQHNTRCNFSTQHVKQVGNDEQVFNDTTKTSLYYDDTNSLYNLNYLIFTFDPYLES NNYLRTDVQCDSVKTNNYFLRFYSKSFKCQIGEYYQDNKCLKCDSDQGYYSVEYKATSCQ RINIQMIKSTTGALIELQSGYWRPNLRSNQIEQCKIYPSRCLGGWQVSDQSCEVGALGAL CEQCDIYNIRGQGSFLNNKAQNCQICGEFSYQFAFMIIETIWIVLLIGLTIKSNHFSNRQ LFKLKCLYRHFGTIHKQMIDQTSTLIKLANNIFQILSLISTFQISIFSNLSNTILFLGDS TYMVTYQLDCSIPYITHINYEYAHYILMLLLPILHFALGCFIFFIFLIRKQVTFSKSYIF SSIIYLYCLNQQNIIKWGVSLITKRSLSGIDWIQANVEHRYDTQVHQEWSIRFIYPILIL YGILIPLFLFLQLNKVKDSLDDKNARTKYSFLYNEYTAESYYWEIIKMLYKLSIILIVNY YEQFILVKGIIIFLIILIYYKLCQQLQPYKLQSISTIDVKTSFLLSVTIICCLLLYAVQQ ENIMYLNYLIQMIIIFLILALAEALLHRIFIAYIAKLNLQLDFIRKIILRRFPSIAKEMK CLKPYLLLRKEQEERIQTRFRKIKQYLKTQSKVINSRQVQLSIKESSFIASNPLSQNTTR PFISTERNQNAKMLE >CAK72637 pep:novel supercontig:GCA_000165425.1:CT868130:186308:187231:-1 gene:GSPATT00009028001 transcript:CAK72637 MHSTPKCQLKQEAGLEKIHNRTIKKQQKQTLQTKKKSEQSIILAYQNNRKLRQQTYEITH NKYLDQSCGSIQNILIPLGRLQIDEFTINNKILERKITPEFVECKLSSTNSCEEENELKK NNSFYQYELLQLYNSLPVLAQQQNERKRYEDSLNLPYECITLQFHNNKLIKIQKKWNYDF LRMMGINQEIIDDYIFNHNLLPKCWDLNKIYQIIGESRFATNIKNYQGKEFISNVDIKIF TEQNKSTSIKEQVIYFSFKCERQFLSVEQIETNFQHYFKLDQIASDCQMSIHRSRITKRC SIRQKAN >CAK72638 pep:novel supercontig:GCA_000165425.1:CT868130:188470:188722:1 gene:GSPATT00009029001 transcript:CAK72638 MVLAKVALGTAGAVTLGYIFVPPFNRKLERNVLRPIRNYRRHLQQEDSFKTEEEFYQFLG SVALGTLRMFTIEND >CAK72639 pep:novel supercontig:GCA_000165425.1:CT868130:190025:190410:1 gene:GSPATT00009030001 transcript:CAK72639 MTENPTSFQRINADMISKFKGQYVTLVGKLIQSKGDYVEFSVDGTIVKVTEIEEVPESTE DILLEIRGKLNEDGYLEAKEFTELDQTFDFELYKKVINMVQGQFRELFYD >CAK72640 pep:novel supercontig:GCA_000165425.1:CT868130:190848:191384:1 gene:GSPATT00009031001 transcript:CAK72640 MNFQNTIFFLLLFYNVQTAIETPCTLREQKMRKILRGNSKNVLSFQSGGLLTTARINYYQ PGFYSSIPTRDTIYIVNFLFGFTYCALTQSLEFRTMQSYEINTVFLSLWDYTYRTYNFQV FISFNGIENLVFDSLAATGSIYIKFAEQQVDTIRYYNRGGSTDNFALILIKVEAFYKR >CAK72641 pep:novel supercontig:GCA_000165425.1:CT868130:191820:192270:1 gene:GSPATT00009032001 transcript:CAK72641 MKFWSNITRIASKIKEKCLSLIKPPDPLQGLKEQLQDINQMEDQYQEIKNKLDELSLESQ ETTKLQNEDNQIPKGSENYKIAIKQVYSTLTKKQREFYNLLTEEEYYIVLAALKTPQYSR LKPGVWLNDEIIK >CAK72642 pep:novel supercontig:GCA_000165425.1:CT868130:192298:192753:1 gene:GSPATT00009033001 transcript:CAK72642 MGLSSQNSFQFDLLINADDNKFKRITSKAKIDQNTKQILAPFNQNNVHWYTFQIDFEQSV ITIYDSMKRSNYPQLFHNLVEIAQRIKNQEYELKVANCPQQSNTHDCGVFTLKNIWILSK YPKAKLQGYYDQSTIFYDRILICNSLLKKKI >CAK72643 pep:novel supercontig:GCA_000165425.1:CT868130:192834:195150:-1 gene:GSPATT00009034001 transcript:CAK72643 MNQSPTKFDTPTKMQSKIDLKMRKSGVPIALKRSPQFQLFDCFMLTYFHLPSFAEQILQY DDSIISEEGVTLLLQKLFVQLIYCKKKDTSAQEVYQFMKDDYNTRFKSVEEFLVRFFEKV DESISKYRTMLNQLVEEHPIRELFIGKFIKGNDETTFNLIKIDLDEESFMEGLQKKFEQE DCSIKELPRVLQFEITRKQNLVLMPTIYLDQFMYSNKGTIKQHQSDIKELTMKREEIAQK IKNIENYQQTSQSIPSILQMTIDIIQEQRAELIDGDPAIVGFISLIMEANQELEKLKEEL HKMDQQIKQIMGSFNKVEYNLHAVIVYDGDYECVYIKNNEQCFFFEDGFAEVRKKFEIEM QYSPDTVVYLVYQDKNLKSDYSFTGGQGNLLDMDDRKPYESLIRKDLQQYAVQFNQKVDQ ELLFLKQQQQAEEVFQQYLTRLNIVIQLVQQKNTIQVPHLNNFATFLCFTQKKIDDYVKW QILDCSIRDVTGQSIQDIKNMNAFAQKLSVLLQNQPQKAPTQLTVNKKDMQYMQIRLTDY VQYEQLTKVLTYSLECILQEKNLLALNSIRLIYDSCQNNIIAHLNQEILKALMVHLAILT FKFHQQSNYCQFWDHIQWIMAYWPYINQQDLVHQQIFIIIKDLKKRANKKALNSDQQKII KKFITSVEDKKPTDVGYNFLLRQDPVLEAIAVIDWNQDHYYWLPDKSEDISQQLLTNTAL IQQFLQLEFQFVKNIVSQQQTFTYDERIKMMLHNQL >CAK72644 pep:novel supercontig:GCA_000165425.1:CT868130:196078:196762:-1 gene:GSPATT00009035001 transcript:CAK72644 MSNAKSDGENQKFIMGDEPLRFQNYVAGQDEQQVPQQDQNLEYYNEYLRSIHSQCRLNKL STLQNLYQLKELLNEKNELVTKLNKLERRNQELNSNVEETGEERKKRLRRPAQEIERHFT CPVDNCQKKYGAEGSLNQHIKLKHPELVKDRAFYKSNEQSQQQGEPESLSDLKQEQIQ >CAK72645 pep:novel supercontig:GCA_000165425.1:CT868130:196985:198204:1 gene:GSPATT00009036001 transcript:CAK72645 MDRFIPKSKSKLLYQSHTQSNYNDLLYHINEEKVLNFGNQKQQQNYPISFLDQLHNQYRL PTQQTVRQISSVPEKILDAPDIADDFYLNILEWGNNNVLSVGLQNKVYLWNASNQHIEQL LQATSNVTAVHWINDHILGVGFDDASIKIVDVCSQQTITQLYYHNERVSTMSSSFELLST SGRDNVIFNHDLREKNNNVVGVFQKHTQEVCGLKWNSSGTTLSSGANDNQLLLWDKRQMS LRLSCEGHCAAVKAMAWCPWLPNILVSGGGSNDKNIKFWNSDTGLCFKSIDTGSQVCALQ FLPRYRELISSHGFSKFQISIWNAEVIQQAKLVQELQAHKSRVLHLGISPDQSMLCSAAG DETLIFWRLGTEQNNQDKQEMCSSKNLFLR >CAK72646 pep:novel supercontig:GCA_000165425.1:CT868130:198258:200250:1 gene:GSPATT00009037001 transcript:CAK72646 MIRGDSIEFKHLANSQVVICKSSKEIQDGQASRRDHLFQKLPSLDCTQPNKIWQVKKGGS TKCILDSERLSTAQKPLTPQQLQKQKSQVIQIEENNQFDLGSSLHFQRLFTVKNKVKSSN GCVKTSRDENTSIVNSNMVLKKSYYHHTQLSQTQSSIQFQQCPFQSGKSFKDILSQFKDK KPKIGTTRHSEFEKMEGLDDYAKEFGQILAQDQLVGQVIGDAHVMKGVVKALIDLSNGNK LPYHFESLKQLHLNLNITDEMFNRFKYLYIKKLIDMKIGMEVIFKCAQTVEYYRGAIICN SRSVQNVKDSIRTIAKNMYAQIFEDFSLTPLFKGTKQEEQAIKFSRIFGFILGSSESTNY VMDSMRDFHKAFRINSVQYSVFKYYLSGALSKHAEKDVVWYILEQTDAYKAAVIDQDSIK DLVYKQFGIDNFCSEFIKLCQTDPYINKNFIHKIGYDQFVQHTKYFLTLRILVNCISIKE KLINLLARLNPQRVIFQDFEEEFDLILPYIINKKLPCDIVGQKRLCEFVPLISDELQSNV EIKNIFDSNDANVTKTLLKKFEYLLSGRKYFKRSDIQAIHSRLMISEEVFEEFIYIIEKV IQQYDTSLLWMIEAIKEWKYIIITV >CAK72647 pep:novel supercontig:GCA_000165425.1:CT868130:200493:201176:1 gene:GSPATT00009038001 transcript:CAK72647 MLNSTASNQDEIILKSSRRFVLADQVKDLESAPIYRPKRSSKKVKLSLVTNQPFTQSKIC YICRKSCVIKLCKCDDIQYHPDCVIAELKKPSSSISTLQCLFCLCFFKTEIKNKNYRFHQ RQAYRNCTIILVILIATLLIVIGVLWNTLAAEITIFLFIITLLLSLIALYCYKKLYYIEI EWRLVPVEDELMDLSGFDNAKLIYQAFNTNTAHYILDTEMS >CAK72648 pep:novel supercontig:GCA_000165425.1:CT868130:201330:201554:1 gene:GSPATT00009039001 transcript:CAK72648 MDLQEHYQVIGESNNHNPQFIQEVEEEIVELCEPLVVIEQMQEQPVIELDQVQFGANYDN EPKEGM >CAK72649 pep:novel supercontig:GCA_000165425.1:CT868130:201604:203225:1 gene:GSPATT00009040001 transcript:CAK72649 MDSSQEYTDLKVSNQAQNNSTQDEVEHLLIENNMNQANKELQHNVQHQNNIKIDQSNQHQ LKTEQHSEIINISKDKAEITKQLDYVPQNQNDSDSIKQNQNHQERVEHDNHNSQFVESIY ENDSQPLQKLEQEQKADNAYSQFEILDKSNAQQLNQQEQIQIQLFDNKLYSALNESKEDQ NQIEPQLIQPTLNFDAQINPQREVIEEEEKQVEEGVIIEETNKSFPASNSFNSQVQSSPQ VIEIDQKSQLILQFQKSLDEAIFLKNQGNQCFQLKIYGRAIEQYNLSLGLCDPYYLMQCP EEQLQQFKKLRINLLSNLSACYLNLGDFNSCITHANIAIQLDPSNQKVWYRRAIAFQQKQ DYEEAWRDIDQAWNLVKNTTQNQEIFEKRKEIRELLRQSNKERAQLYQTMLSNTNTQNQT DNKSTVNQSRTTDSKLATSEMQSRFNTNQNNQVSFRFADIIWKTTASAVLASSITKYILE EQLDTKAGLIETIVLSSTTASCLFAEKQWQKLCFATVTAGFLTFVLYRKSTK >CAK72650 pep:novel supercontig:GCA_000165425.1:CT868130:203327:204733:-1 gene:GSPATT00009041001 transcript:CAK72650 MKTFFTEVDSKSDQSHLREINQLLMRLNLESPRTQLAMKELNLTKYDCQLKTLSNFLQYH HESRTMYINHLQQLKDNLLNLVNRRREIIGPSVKIVLRTPKQSKQYNFVKKIFDHDLKQL YQSELQIKEAQQRNSDKIYRQVEEKLKSISHKAKQHNNRVERKLSLLRERRQHELDDRLS KSLDFDSRFEPIQKTLQESKMKFKIMMQSKTQQTLDYHRQKKKNIIEQDELRRTKLQYSM ETSQRSTFIRYGIASQDRIQQAQLIEQEQQNKVIQKIGNYVEKTAQVTNKNQQEIQKTLQ KFKDEQDRKFTKVHKNLTSKTQMQSQRESMIHQELQDKIMFSNAKAKQRLDDIQSKMKQV ALQKQQKQQELLNKYIIKMERRNDRILEKHVKLRQNLKKGHKSHNLTQQQHQLVIEKDEL NQDITKVQRLVVSKSSKNIKELLNNLRFN >CAK72651 pep:novel supercontig:GCA_000165425.1:CT868130:205302:206951:1 gene:GSPATT00009042001 transcript:CAK72651 MLTKALARQMRVAFSAAKKEASTSTKQATATTGQIAQVIGAVVDVQFDGPLPPILNALQV QGTSHKLILEVAQHLGDSRVRTIAMDSTEGLIRGQPVSDLGGPITIPVGPETLGRIMNVI GPIETKIRYPIHREAPSFVDQGSGAEILITGIKVVDLLAPYARGGKIGLFGGAGVGKTVL IQELINNVAKAHGGYSVFAGVGERTREGNDLYHEMVASGVINLSGGSRCALIYGQMNEPP GARARVGLTGLTVAEYFRDEEGKDVLLFIDNIFRFTQACSEVSALLGRIPSAVGYQPTLA TDLGQLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRALTELGIYP AVDPLDSSSRMMDPNIVGEKIIYQIQYYLDIIQSQERVQKLLQDYKSLQDIIAILGMDEL SEDDKLTVARARKVQRFLSQPFFMSEVFSGRKGKFVSLNDTISGFRALLDGEGDEYPENA FYMQGTFEDVIEEAKRVAAETTK >CAK72652 pep:novel supercontig:GCA_000165425.1:CT868130:207374:208030:1 gene:GSPATT00009043001 transcript:CAK72652 MKKSNEQQSYTLECEPPLKRHLYSIENFIKLFYIVLVSFQFHACYIGIISSVLGMIRRKS IHTDFTMNILYLMNISFFKCTNNYMYHLPILIHYIVGISEYINLRRGSMYQTCKNFVITI QQTKEFFLDFRCKYEFMLVPLSVVMVIVSDSSWWLVILHSFYVITKIVAIKDMFDIYMSF RKKIRL >CAK72653 pep:novel supercontig:GCA_000165425.1:CT868130:209041:210379:1 gene:GSPATT00009044001 transcript:CAK72653 MLFRSAFRFCSFNKDVFQRQKPLIQIDNIIKILRPFDIEINIEEMVETVKIVGKYSKHTL YKFPIPQFLQSDSVKIDPKFISETQQRIMKNIPSSPKNLSIFYRMYRYPMRFALPKTVFQ ISKSLRKSALSEQTLKLAACLENIQIALSLHMMVDRYHAQKPSIFSLERIIYNKLSDKKL VLGGDFFHSKASVLSANIMKQVEVPLFLSMLEEEAAKGVFYEYDLFQKIKENGANSIVPE EVYQLAYHQCASKFAFTTILTYWVQNGDLNKLNDAFKAGVYLGLCIDFQNQMKYFVRNLP TMSNTLIKAVVNNNECNFYQDKIAYQISLNQRLPIYFMHQKSKETTSLQQLHDHALKQSE KVIEIMSQKSKEYFLKLGADFEDISDLIEHFCRYE >CAK72654 pep:novel supercontig:GCA_000165425.1:CT868130:210417:211643:-1 gene:GSPATT00009045001 transcript:CAK72654 METDVIDLGKQVFGNHSLLVQQCFVDLKLKQANNATLIFYQKFPPLQFQIIDGFILQEIK LDRIYHQFLKPSQHVIPYTTDFYLENQDPKILFQLFLSFLYTGKLVYKVREHYIPLLKLA IYFQCDILIQSLLNQPKQSGIIRNFFLKFLCDIFNDETYTQTLLSKQKAQKFLERMIIDN SPIIIKHTELHNHNKKDAQEQIQKSFKQVSPQLFNVFADTLKANPEKIIYLSALLFFINK YENPWIKTIEGIYLGKMYDLIDEEFYDQHEEWLTERCKLVFRIQIDKSLNQPFHMNHSVA CDLVNKSFVASCSHHMCLNCFYEYLRHRSQFKYQSLEIPQKIRCLTRHLNKDICDCFYQD QDYLQLKQYGINEILNLYLQEKI >CAK72655 pep:novel supercontig:GCA_000165425.1:CT868130:211662:214862:1 gene:GSPATT00009046001 transcript:CAK72655 MQKETSSVNTSHQQQQQQQQQNKEESKLKSLLQGSYDYIHRETGKMIQKKLYKRAFQLLE KFYNQRKDLELGEMVMLNRRMINCINKILKQGLLKPDIEQDRPEIMLQKFHRGSQAIFEL YKYINLYIQKVIPNEMKGVVLEEVSHLTSSDEEGEERIKEHEVTVLFYKINEYDKPKKER SKKQVKELMNPYEQEISETSRIANMIVKTVKPLNIQFELDQYFYNLIELQLMANLIFKKA NKPKVAYYYLVEAQRIAKQIIDTPNPNLVNACARVKMHLANYLYEISEYKESLRVAEEAI VILCGEMRIRINQEKFVQKKNKQRERRRMKRCVITTLSALIIMMCNYEAENNYHRIVETL TTASWLADKYIQGIDEFKKYIIKLASEGKHKLEQNLKDLADLSYIAESILDSELHKIRKN QRDQREQSESDYLKKFNSDMFNLFQIKSLNEQLHFKTQVKEEQKKKQQFDNKIIEQSQPH GTDASINIFDSDSNSKDSFFEGSFFAPSMDNLQFDSKLFEEKRPLSTSRKPNNRKIVKPT RQKGKSVGLIKIGLERPQDYKSRILTTTQYFNEYQKPKVQEERNVSNTGALLKRQLENLE YREHPDRDEHHKQDLDVYVNKMVNGKIQSNEIRDYNDILGQLFTLRKKEDFDKKEFQFGK RMLNLKAKEEIMFYKESFKTPPVKVEIDTKVKDLAHIIDGKITAEKDLRDLKRRKIDKMV AGKKIVQTNVNIDLKDPEETNYLKKVLEKSKKKKLKDLIYKHRESLGLAKFFANQLREMR EKERILKLKSALEKELSLDVPDNQSQQQQHVKQKKSVKIVVQQGDKQQTQQVSLQPSQLH QSQVVAEDPKNLGQVSLSQQKSNSQTSLPKTILKRHKEVKSVKESPQISQTVISMDAQGT DLILQQEKLQKAKRVIKDQVEKQQKKSHQVIGMIVDAVERKMDIENKELKKMLFKQKGGS KQYVDDDERTYLKFMPKFAEMSRSTYLMYIKKELAEKQVISLEDIVRANLNRKNQLADMA AQMFKDIGSHP >CAK72656 pep:novel supercontig:GCA_000165425.1:CT868130:215021:217576:1 gene:GSPATT00009047001 transcript:CAK72656 MIRILQQQQQFRNKVRYSLTHSEAKLRKSLFSNSPIETTMFIELLKGQKYRGIAQMSFQL KEAKSLFLDCQGQDISSLIVNNQTLQNVKQDGNKVWIEQGLKKDQNRIEVYFQNQYSTTG HGLHSFMDNEDQYVYSQCEPHHASKMFPCFDQPDLKGTFKLFAYAPKEWKVISNERYLEN PRIPQFVQEKGYFPFDQQYKIWEFDQTKPLSTYLYAILAGPYVEIKAPEELLHNQIPQSL YCRKSLLKFVMNDAYSIFKVTSDSMKFFDDLFQYKYPFGKYDQIFCPEYSTGAMENAGAV TINDLYVFKEPVPKSQVASRANTIIHELSHMWFGDLVTMEWWDDLWLNESFAEFISHLCQ DNVCQGEKVNYWVQFLERKLWGYVTDEKSTTHPIYCQIDNTDQAENIFDGITYAKGAALI KQIYFTMGREGFSKAMGLYFQKHAYGNTKLSDFFESMSINKNVNWEEFKQEWIMTSGFNV LSYTTETNQIVIKQSGSHLRKHAITIGQFVNNSWITHKIQVDAKEKHNWSLLILNYNDEN YIKNQTQQILQNLSTIQNSLNRLLVLQSIFDQVRDGQTCFLDFQQGVSNFLLHETDDTVI KIILNWCAAGVNNYTPYSYRAAVRENLASTVKQLIDCTQEQSKLLTLRSHYISWSRTIER LSSINIEEIGVKDGWTYIYNQFLYNKVQWNLYDKVALKDADHKKHKRFLESLSVDRDQLW SYFMNFDKNESVQQVSQVMRAFNHEKFIDQLEKYEVRFFEVIVQIFETKESEYAKAFFNN LYPVGENLEAYQQLSKEALTKTKNDFLTKLLKEAIDINDKRLKAYKTFK >CAK72657 pep:novel supercontig:GCA_000165425.1:CT868130:217764:219633:-1 gene:GSPATT00009048001 transcript:CAK72657 MFCCGSKKKKSKKSAKPEEPSTNSDTHSLKKEEESQKVYVPPPAPVKQIDQVFIKINSNQ EMLRQMKQIKDLREQGQFERSVQYEQSYITQLINKENVQQQYALEFTWAVNYTNHLKGKP GIGQINNSKLVGPNQQLKSGLKQDGDYVALNEQAWVFIQQMYGGGPELKIMPPPKPESQA QPNNTQSKPPRQPQSSVNNTQSKPPIQQSSMRQTQTNSRFIQQNPMAQTISVMATPKSVD RQVSQIVQSQSRNDSAQNSRKVHQEQKITYTSQGQIPIIGLSNPRYYCYLNSALQVLLSI DSLTEGILAIQPKYGQKFLTAYQELLRSIKKTGSYSSIAAKSVWDACSGKFQFNQQQDSH EFLLFFLGKIQEELVGKYKNKQEFNSAEQAWSDYTSKNQDIIDLIFAGQSTSQSYCKSCN QVCEGYDPIWDLSLSINKSYTGIDLMDCLKSYYREEVINDTWKCDKCKKSNKSVKRRMFI SQTPRYLIIQFKRFTTFPTSQKINDSISYPEKLDIQEFCSKGLQTQYKLKALITHMGQIN GGHYKAYAERYDNWYLFDDENVSKINGKQLSDRSAYVLLYEKY >CAK72658 pep:novel supercontig:GCA_000165425.1:CT868130:220513:221743:1 gene:GSPATT00009049001 transcript:CAK72658 MSTLAISRCPIVVRHDFPDEMMNYIDEKMDEGQYKKRFRYDRPDKGYQKKSKQTKSILNM NSQEIFQKLVSGKKEPKEERFRIKPKQKINTTALHSALLKVKKQKEELDMCLAIADKAKQ CVNENDICMLANEGAVRFIMSQNLDIDEAALLRTKKGNTFHPKPKKSQSVRQVVMDEPEN LLSVEGRSYNMAVSTSLCKHRPTSAFSTMRPVSSTKNYSEKGISEHLLQQYADFRNQLFE KLVDSNENQNEEVQINVGYPQYLTPAVGLKGSRVPPGFLPKGLKRIHSAAATQNIEFRPF INPGEILFNEQQQHVQAKRPQTAMISKIQSTSRLNDHLKVIEEVRHQEDLSQLKMSLDQS FREQQQTLNVTGKKRIQSAYPKTRI >CAK72659 pep:novel supercontig:GCA_000165425.1:CT868130:221761:222261:1 gene:GSPATT00009050001 transcript:CAK72659 MIQKPFLYVTNPETFTIYKYQYQVGKYKKIGPHIPQEFELMTVRQQQQYRQWKALKFMMW SVFNKDKIQNPIDHRIILCRLMDLNTNVLLAIVSTIGLRYFLLKLQSQFMDYYFEDRLIT FPKLKKGLAYSYFGFALYFGVKSVINQEHIFDLSLEYE >CAK72660 pep:novel supercontig:GCA_000165425.1:CT868130:222286:226166:1 gene:GSPATT00009051001 transcript:CAK72660 MRVTVAPLQISRSLPPVPLPVMATPVMVDRVVNIHHSQVFHTDSPLKQQSEQKLKQLESR VQNLESQSPTKGKVEKLLEENEKLLQLVLEKTEHASKYKGELLTAKKEITKLKKVLDLKE EALTDMRIKSQSRSMSRASDRKAEQIMYEKQLLEQQYASEKQYLQNQIHQLKEVIESQSS QNQSFQNIDYQQQYISNPNQQIELFGQQPQQSGQIIRGSQKSIKKPPSQNQIQYEVPEYT NQQSRNPPAQEHHRKLSSAFQGNDQKQISPIKNDYAYDQPLQSVQHSKRQSYTQQDCQRQ NVIPYEVEGNAQDQRAIRKDSQRQQSYKQPEQVQRKSISPTFEQQQQDQLNSIRQNQRQQ SYKQGDIQRKSISPNQEEAVIELRGGQRQDHSRQPSYKQQIPTSEQQRNTHRKQSSTVEQ YQVQIYQLNDELLQARHLEDELRSQINLLNQQLQEHKLMVDNLQQDQRKQQEQIAKYKQD LYNQNQELQKQISGNKDLQLQLQKLQRNTDSQNKLQDQKLQEHLRKQNILEKQLEESNQI IERLEQESQNISHKFEQEKDDLIKEYEEQLELKQQELIELNQSALLEKTSKVEFEIQLNQ LKQKEANFNSEIDKIRKQKDLMKAQLEETQKSLNQAKIQISQIESEHSAQVELREQLETN YAILQKEYGEFKENSASQFNSKMNSYQQKAQLEYDKLKKQSLQQQSELDRVLLEKSELEQ ELNNTQQDLGHQYKEVTQQLQTAKQTINEQKKHIKQLGQQNQQLSQDHQELSVHYQQQES ELNQLRQELQTQQDQCQSLRFDISRIQVDKAQLESSNKQQRQMDQLILENDSILHNNEQL QTDRNQLSDTLQQKESEIERQKKKIEHLKQLNQQNIEQIKKLQAELSEKEGVAEKCQNEF NNFQRQLQILRKENERLSADTQKYVEQLESEKMNSGKKLDHLQSQLQQQIIQRDQEYEDL DKSSRSAFVEIQSRKQEAALQQRRLEQQNDLIKQLSEQLEKVSQQNKNLLNDQQLNKQQH EQQQQEFEFTIKDLQANLQKQQYIQDELQKEIQKLKLQEAKQKEANDLEKEKMNQMMLKL EEKLEQHKTDTAADLQEYEIFKQTNSQLNGELERVRGENADLHEIVIQLQKENVSLRDHH QQMISQIEENHQATERLKTVCQQYEQEVILLRRQPDQGLYEQPKAGFIKTKNTKRMEDME RENMNYQKEIQRLKSAIDEIQNNQNYNSTPTPERKQFNQSQSMSQKKHLFEQKPKSIDNT IRKHLQ >CAK72661 pep:novel supercontig:GCA_000165425.1:CT868130:227070:234282:1 gene:GSPATT00009052001 transcript:CAK72661 MKVCQQVYDLTQLACTDTITKHSCLSIQNINQLCYWDSKSYTCNEIKEQTYQEDFEDVLY SASVCGRIKNYLIIHSSLIWPLISYTPDFASEAQDIYRQDLGLEKQSSNKEYDGGLLANN KLYNKCNDGNQATYFQWYHFNDAQSYALNNLKISDRTREGCIALQIADDSDYLQIFSTKI ETIGINHIYCRYQGGIFTNYKCLYLNSELDLKDKNFLLANKIYCDQLNLNQCQYVEAECY PIMLDGNNEQEVTCTFSELKLQEAQGCLSQTQQYKTYRDCATVTDANQGSYLDISQVPSV CSSSCVSKEQDNCDANLCKWIDEQPDFYGCIPKFGCNQPGVNRHYCIYMAQPCQWDVDLN QCKLIYDYELNIIECNDAMSKFLCGSIRTMGQECIWIDEDEKCLNVMSVPALKVFTAFPS KYIVNRNLCMNYNGKHRYQNFKCPIYTTSGSCATEDPSEFTKQMCLTTTNCHWDTLYQRC SQYQVKSSCEQMVSVSADACSLFSDCLYDQASESCKAQQTNQNCNTPGLAKAKCLALDDY PCQWIGGKCNQVTQFRELCTSYRNVSKLVCTSLETQLCQYSNGNCFLVDVQPTNCSDTYN RYACQYSTDKCYFFNNKCQSFTTQQLTCTGYYLTQKACQAITTPGQECIWQSDQCISINK SVNCLSTSTFVLNKLACIGISAERSAYLDDQFYCEYNDTTNECKSNVTDIVNDCGTSLNL NRQRCSAFTTGRFCLFQDYKCNEINLNHKYWDDKLDTINCEQANKSLCRFVRGRICQWVK QTWAGYTDQRCAEVKIFNISCLDTLSYVEKPYFKSKSYNPQSCSALMRYSVIERCKPSTA PAELYTKCVVDDDSPKNYYSCEQLGISPSICVTTTYGKCAYINNVCVQAPEYSSTCSTLN KLGCLNSRSYCSLLSGYTLNFDMGSITSDEYVTQVCDLQQSNQSCDQFKYDMQSWTLCSG IQKCYGRYQSCILLLNAETPITKCDSPGVSQYVCLHSDMICQFRNSRCQSISTNRCEFET TLEDCVQNLYYNCVYSNKQCYTQNLITKCDKYSYTNKKFCRQYPNCRYDSSTFKCIDLAM LMDVQNNLVTYAVNCSTYKNQFDCLNQWQVQCQYSSSLCSAPSTTPSSCPHLQQYSKILC QRFENCDFKFGYYCFDKTQTLDCTKLSETLCVQDIDQSLQCYWDGTTCQIINTQICSDIQ NKKINYFGCQKVSSNDGSKCFYSEEQMFCKLVTEVNQCSDFTTNIGCALRAQTPCIVASP STDSSSCSTAALTFNSKLNQFGCTQLVGNVYRYDMYEYECKLLIATEMSGCENLNFNACI KSTSIYLTLMCAWINQRCQQINDFTNVNDCTKLNKYACMKVEKNDLICKWDDTNFCLAST ESSTCASISPTTPITSDDFPPTPYVKASAPYNSLSLCSKGESTKACMAKVGQQGCVEFNY TVEICSGLGLNKKACIEQTTGYCIWLNNQCQNAQLDNQSCTAQVNKKTCLAMNDEMCQWN VSTNTCSNITLVECSDATTYLQCMNVPNKECYYINTCQELNILPLVCKKGFNKVACRKVQ NQVCQFSNNECSLIGEFDYWQVCPLYKTLATCPTSTCQWQNSQCIIKTECLHAQQSLTTP LDEIPHMLDICTSIKLSACIQIYNKIGCMKSSLYCKWDDVTGCSSFVTYLNTITCDDSIK YNKKVCDKYAPKFCEFKDDSCSPKITDYYFTNIPSQTLASTEINQDIETEQCDFYTTRES CLLSTKFACKWTGFCESLSNNEINITYSELNLKACIKFKQQWRQRQCIETSFLFMPNEVI DRNIQVCETPFISKSTCLNISAQPCTFNTAYNKCEKTTQLSNNCSSYVNVNQKTCQLLKN YDCRYNELTFTCVSVNQNQLPSNLDGVSLKACISLSIPVYWNDGCKQATKFQCDSVYKSS PSACTLALGPCIYDPSTSQCVSTYNINSIQCDTPGISQELCTSILRQPCIFKSNQCQIIP NTYSCSQAKLVNQMACASLNQSCVYDSLNQSCKAVIQALKCSSPGLSKNACLLNPSCAFN YDYTQCQCQYILSPQICHNLSSTDCSANSSCFYDTLLQICRRKYCEDLAPKQCVGSLEGK QCYLNNRNNCQSAGKCEDIIHIDNSYCEDIFFDGFPCLGSNKRCFSQNNFQDYCPNSDCS NNSCKYNQQNVCQALTCFQLEDCSKLGNHCLQLSDGQCIENNSCQSLESDMCNGATVRTQ ASCLLQKYNLYLEDVMCTSQVCELYGISDLCNGNEYNGYTCLLVDQKCIPCDQILDVCTC MEATGICLWKNNKCHSVQCSELLNAASCNSLQRCSWSNLNNACMIHCNKVINSDDCDSRT GECYFDYATNFCFAGIYKTPNLSIQIDISSIYAIYLTLSIITYTLIL >CAK72662 pep:novel supercontig:GCA_000165425.1:CT868130:234838:236671:1 gene:GSPATT00009053001 transcript:CAK72662 MNKRIVYQGEKATVKYEGPLMHENKGDEIWLGVEWDQIDRGKHNGTVQGYTYFKTTDGAN SGSLLKKEKVKFGNRLWEALFLKYFKEIPPILLQEMQVQEQVKDEFLQEHQDQGNNKDQK SQMITLINQKQVKIEFDDTAFFETMKMKKKMVEFYGFDEVYKKLNNLETLSELSLESQNV STIGPFGFVGSIFSNIKILGLEDNLFHSWHQIFVLVAQLPTLRELSISSNKLSKLETFGQ QQILNQIFTQTPDYLIYDYEQGKHLEIPVDGCGKHIEILVLIDMQLNWKDISLIIPAFPK VQQLLLCKNTLVDYENLQFRNTDLQDLKILNLEQTGLQDFDYVQKSFGNLPNLERLILNK NNLIDLPLVTEFKALKHLALDHNHFAMPQFLNKIGKLQLNSLSIKHNPLVDKFGKLYLRQ RAIAEVTSVQIINGSEITKFERKDSEIFYLKSSFEEYFLLKNVKHYYYDYDDFINYAQIN HPKAIELIKKFGNPYEIDPTVKGGYTQTKQQLQQPQYCTIKIIDHVGKLQDKPTSKKLMG GTGLQPVKLMISKLVNIPIKQIALSIQVTDDAEIVNMEGKDMTLNDFGVQDNSLIHVNVL PE >CAK72663 pep:novel supercontig:GCA_000165425.1:CT868130:236702:236980:1 gene:GSPATT00009054001 transcript:CAK72663 MKALKPWKQPNKADIILEQHIMMRRLLSLENKIFVNLNQQMKDFKVHQEIIENRKKWFLQ QYNFSHRQNKSKIYQAATDKISKSKSSKLMHQ >CAK72664 pep:novel supercontig:GCA_000165425.1:CT868130:237028:238114:1 gene:GSPATT00009055001 transcript:CAK72664 MEFQKVVTLIIKSEDQFIYQSEALDLYSIKYSPSFDTNDFFAAARLLFQISQQQIHQDLT IELYADLLLTKEFKEILGIINQGVILKSTTQEKVIQINYNATFGFKSDTLPDILKQYIPK ENKKRYALLITEPFYYTKFVNIMEAMHLGIYKEEDENWEVYLVMEHKFPNLQGLDGIVIT GSSSAAYDLSEDWKQPLFEFLREADKLKIRILGICFGHQVLAHCLGGEAQKMPHVDRMQV GRKAISTQFKWKDQVIENLNVYQIHGDYVAKLPKDTEVIMSTDHCQNYAYKNDHILSVQF HPEFNALILLYIFRNSQNPLREVYAKDCYESFKQGYDHQYIIWEFMTNFLKNK >CAK72665 pep:novel supercontig:GCA_000165425.1:CT868130:238133:238632:-1 gene:GSPATT00009056001 transcript:CAK72665 MYADCEDYLSSTSTQDDYGTLNVKLKTEFCKFWIEGKICPYENKCYFAHGDEQLLSKDVP KNYRTKECKNFQEICCKYGQRCQFSHTLTKYQMPQLKYWTSVENIEVGSIKKKHRLKIFK SITSGVYHKQQKQQ >CAK72666 pep:novel supercontig:GCA_000165425.1:CT868130:238769:239963:-1 gene:GSPATT00009057001 transcript:CAK72666 MFVEDYYRRLIKQQCKLTPKEIKTDRPWYPKRLQNETKKEEFRFFMLPPNKMITLLKKNK LSAPNDRIKEFLHSHSLKQIVDFGETLQSTLDLKVQRPSIQIPIPKNTPTGRQLFPVKRR PLQLPSTRVITEPSKKSSSQCRSVHKFIRLKQSDHSNEELYNIITKLNHSPLHVDTTSIC KKQIQPQKVVQPQPYIKPEIPKSVLPYPPRTKKQLRQFLLRALKKIKALGLTIKYVMEHK IFSKKPYEKMNSKEFIHAAKQNKKEDIKNYLQINPYLVFEYDFYNMTALHWACKKGYLEI VEILLKYHSDIDGVDILYRTPLMLSIQENHLDVAHLLLTNGAYPWSTAITDLKSVLEENE RAQLLLTRVRRLQIMAKWTQSGEYLNLI >CAK72667 pep:novel supercontig:GCA_000165425.1:CT868130:240967:241799:1 gene:GSPATT00009058001 transcript:CAK72667 MNNNKDISITIQTNLFQGLMNRDQCKQLAQKIMKLYDQDKNDLMEVKDAGNLQSDCYKAI NLDFSPSQNDIKGYAKIFDRDGTGKISVNDVERLCFKYFGGGTDSANQKVQKGETIELSP IQAEDKVDTPSKLQYQSPYRRWGSQKSTQGSQTSIQSNKQPATQSCVVPCTTQKEPQKYS KLAEARLQVARRIFKMLDQENNGYITEKHVTPLLVETYNNMGMKIEPTREDIEMWMEMAD QDRDGKVYLIDYESLVLKSLKSQGIEID >CAK72668 pep:novel supercontig:GCA_000165425.1:CT868130:242077:244052:-1 gene:GSPATT00009059001 transcript:CAK72668 MRSHAKQLTIIQDNHFQNQILEIAKLIRSGKYKTGFALINKEFERSLIMQTGWRIRIQLL RRGIVCLIKILKQYSRDGNKQECSLNLQRKLQQFLLFYMEMLNNQAKEYKKFYLKDILYR FSQIQLLTFQLSKQHEDVRCVLYWKPICYNKDSRFNKLKFQYNLLVGHLHFQFKLFKPAI NYYFEAITECQFLLADIINQDYHLKNLEDQYFKVISWIVFTLYIVGFIYELQSDYNKLLE TYKIALWLSRITNNNGLSTFIEEQYIRYSHQYKQFMIESKELNSILAPIFPQSNHNDVEI ISNDYWTKINDGFYGKYNKEINLQIYSILQQPEESLCNNKKQVRLTEQETTIQSYIHTPR QYEKCRTINSLDDVKISKQTTNNPSPQHSHRTPVLTHQKSKSFRFTTDIDQILMRVPHRE IENFQSLKAKKELDLYYQQKVLTSFQGENQIKSLKSVKQQINSQEEIDKVCQSDLIVGKK LLKFQKYTHQRVATHSNIMKIVSDISKEQEHLEGINKARLAIQGSHDIENKMRLQIQQII KKKSIYNEGELMNLKSLVSDYEQNLSKQTTIHEQPTEQSQISKRLRDKNNLIIKSIDQTI KRVPLEQLKTRKSFLSRVQESMLQK >CAK72669 pep:novel supercontig:GCA_000165425.1:CT868130:244394:246155:-1 gene:GSPATT00009060001 transcript:CAK72669 MDIVEEVCKDKTVRQYVKGKFLGKGGFAKCYEFQHGEQSYASKIISKASLTRSRARQKLM SEIKIHRSLQHQNIVGFHRYFEDDENVYILLELCTNQTMNELLKRRKRLTELEVQCYLMQ ILIALQYLHKHKVIHRDLKLGNLFLSDKMEVKLGDFGLATLIEFEGERKRTVCGTPNYIA PEILESKSGHSFEVDIWSFGVIAYTLLIGKPPFETTDVKTTYRRIKMNAYQFPESSIISN AARQLISKILITEPSKRLTLQEIQDHEFFHGLIPQLLPLSTLACPPSSQYMRQYQKQQST SIPKFENTEKRPLDLKQRPQYASMDRIPLRSNIESCLNQNYVIQEPQIYVKKWVDYSSKY GLGYVFNNFQCGVFYNDCSKMLMLNDDKYIYIDRNGEEKEGTLREQTPDMQKKSTLMIHF KGYLIENEIVNETDQQIWQKIYVKKWMKAKHAIIFRLSDKTVQVVFNDNTQIILTQQLKV VTYIDKELVKSTYMLSTALESENNQMVKRLKYTKEILSQMLSGGNGHGTSSQVDVQQQKP LQERVNTLTSLNQQNIYSSTSRLKSSLHNK >CAK72670 pep:novel supercontig:GCA_000165425.1:CT868130:246195:246389:-1 gene:GSPATT00009061001 transcript:CAK72670 MHNLWKNGVKITLLFQLKFYPQFTHSIENQTTNSRDSPQGTLSITFWNLKRVKVVPGCIV GQNQ >CAK72671 pep:novel supercontig:GCA_000165425.1:CT868130:247060:249365:1 gene:GSPATT00009062001 transcript:CAK72671 MQSIRQQRMNKIMQVQGEVGQHKGYAAIKIAQNVHELLRKSKSETKCIGELAIQDIRKKL LQDQFNSIKMYDIPKGEDKPGIQFKSVHNMYDLQTLKMTKLYKKNQRNDIEKQVRSQLAK EPDKQLFLSEIYEQLEDNSDGEVLKRKQKVKEESLATQSKEYDDSKDQITDLKQWTKKMK ELKKKQQNKKNESDIIELPRSKDRTQSEIKNPLVRQDCARNQKIDKSNSPQNTQNTPKSY QDIMKNAVSRAKQLKSLNEDPSVERSIQQYLQKASTVALNELKEIGELNVDEEKGLSTNF DFTNEDVQRMYNTLVRVQFSQFKSEAEKAKFYQKQTQLIANKLIQKIKQNEQIIGAKISA QENLRLETHDFHDKLIQIKQLSLQLQQEIEEMKDPKSSYNMQVSQSRKSLVNQFMSKQNK EKELQQDLHILKESRIAYIHKILFNKKTLEQIDSDLQTKKKENKNIQKCLINFYFQILKN AQDVRNTGIAWVISRLNALNEKLNYQHFPDYLDQKAKEYLLQKASLLQEIEQLEKELSES FKQYKQEQSFDNSLAIIQQSLSHNDSLEISSLPSIFPYDSNKLSAIDLTQARIQASKSSR NNYQLDKLGPITLGLKNEDLENLENMLIRLNQKNLPVTNGTLIYRETQKRIKQQIKQEQG GSIEVSQINDSSTVLKEQAIHSYDKCNTQLNKIKIKLLHLDDEQVTRIVKEFDYKNYSKR FNIDPITVISCLVGGLRCDREIIKQGIKQTHYD >CAK72672 pep:novel supercontig:GCA_000165425.1:CT868130:249588:251434:-1 gene:GSPATT00009063001 transcript:CAK72672 MKKRDLKLVLIGDSGVGKSSFVSALINQISNKALVLDKHQPINLPPDILNHPECITTLID TKCAPHQLPQEIQIADVILLMYSIDDDGSSERLKRFWLKELREQGYKQPVIIVGNKLDLL GLEEDRDYHRIFKVIKQLVKDFNSVEMGIECSSIKQQGIYDVINCAQRSFLYPLAPIYSI AEKSLTEGFKKALTRIFRICDRDGDGVWSDAELEKFQKKVFKRQLDYSDITGIKDMIEEE LHDNSNKKVITLEGFIALQKRGIELMKIQICWTILRFFRYKDDLTLDENIFTNELIFDQD AGQTVELSEIALSKLKQIFEIRCNSRFQQGNTLNQKQFDDIFYPVMYRTNFPHLSQYYPQ EQNVITLTQWLAMWNAFSFFNYKEAYKLLCYIGIEMKLSDTFKEQNKKDSWSSVQKIIDR KVFHIAIVTRKKGQLEKQFENQSLIITSIHSKTYAISLYDELEAEQQIEKRLLSIIDFLL IEQNCHFQTAQLIPISSYDDKPFLEQIKKVNDILHQNPFGYSDYQIQQLKKQNGISIVDV ASIVTLLTLILTGGYLLSKKTFLKNK >CAK72673 pep:novel supercontig:GCA_000165425.1:CT868130:253217:253690:1 gene:GSPATT00009065001 transcript:CAK72673 MGNVCQTIPVKLALITEVSDEQEGHQTIMTTSNRAIFNELYKQEKFEPKSTIQPQPQMTS QQCIFLDLVETSHYASQTVGNQRKRSFIIENSPKYDQGSRTTSENIGPKNMNLLKNPIKP SLKSLSSKQGSPQKRKKSVRWESDLSVLGITSNTRMN >CAK72674 pep:novel supercontig:GCA_000165425.1:CT868130:254270:255404:1 gene:GSPATT00009066001 transcript:CAK72674 MKNPKVDALFKPLQLGDIQIENRIIMSSLTRMRCDKVTKSPNDLVVEFYTQRATAGLIMT ESTFISERSQSWPGCPGIMDQVQVEGWKKVIQSVHNKKGKIVLQIWHSGRATHSQLQDGL QPWGPSAIEINGPHQILNIPHEIPHEMNLEEIQLVKNQFRKGAQLAKEAGFDGISLHGSN GYLIDQFLKSNSNKRQDEYGGSIEGRCKFCLEVIDELISVFGKGRVGIKVSPIGRFNDMY DNDPIKLFIYLFQELDKRQIAFIELMNDKDEENAYNHGLPSSQSQTQNLFEQLRNSFKGV IIGNVGLTGETASQLIEAGIIDAASFGMSYISNPDLVERIRYNFPLTVANPDTFFEGDQV GYIDYLPYQQ >CAK72675 pep:novel supercontig:GCA_000165425.1:CT868130:255443:256448:-1 gene:GSPATT00009067001 transcript:CAK72675 MNKQSSYENYGYKESALNLEITVIVKLNSFYNVDIYCLSYDSTGIYSYLSYPLTRDMIAT TDNNSKAMILKLYFDSEPTAKQSQSIVCQAQYKYPSQTTRIIIGDWSVCSDATNTNNNNV TTDQNTSTQVAIEKSYSKIKPYPLFLYFLTDYTNLVDILPDELTLLGEQELTTIFLQSSS LSLSSTLQPKLVDTSKILIVPELSITLSFAQSTLNADISITNVDGIIAIGVTELGVSIDV SEFFVQASSKLVISDLTSIQQNIVVNYRISNLNIGKDYFVNVVGFLYPQAESPNSGIIRK PILASRIKTLDSSILMLIFIFFISLI >CAK72676 pep:novel supercontig:GCA_000165425.1:CT868130:256655:259165:-1 gene:GSPATT00009068001 transcript:CAK72676 MNQPKNTRFITFTIPYSQSQDPQFPPSNLLEISSTPLGWQSCRFCQYPQELILQFQSAIT VHKMQILSHEKKIPTKIEVFIGRLQGRMDLENASFKKIGYFTFHSNEQSNWQARELKTVS IDESNCNYLKLLVHRNHENKFNPFNQVGIVAIRIYGEKAELPPPKKISKVQDKVLDELYN PQQDKLIDTKLLAHIIALEHSKDYAIKQENYQEAKKLKNRITQLRSLGVQLRDLEERKKE VLQNEDYDQAEAIKEEIYKLKIENGLVDEQGNEYKPNIEKIRQQELGEQADNYEDRRNSK PSIKQIDNNKIIEDSFDKMPQEEQTYLIEQLNNNNNITHITNNQNENVNTSYQQPTSFDQ QLKNEKMLNYDEMVIPALKNKQNNNTQILEEYSEVDKSKQQIEELSSENQKQVEAIKPYY GMDFCKNYFSKNWARREEGIRWLIEQFNNPTQINLSNIDGAFQATLLLIYKGIQDKADKV VYASLQLMQQTLLKLKPNKLNDESPIILDNIVLILMEKMGDINERHKEECKKILLTLAET QIMGSAGVINHLVKGITTKPNLQLSSIRHIQARLMLIYNLIQKYKINNERVPYNPVMDVA IKYLDHSAEQVRTCAIYIITEVYKNQGDKVRESIKGIRPAQQQILDELFYKIDNGGNVQT SFEQEKKPQPKKKQQQNNPDQVFQQITQACEFCGIENKEFIQSQKLDMHLWKECVMLTTC LSCAQVVEVSQLTNHHFEECEFAKNYRQCETCGCAVLENQMSEHLNKKVCNTSTGDTCPL CYKNIGLNWKIHLAICEQQERNKQQSVPSEKK >CAK72677 pep:novel supercontig:GCA_000165425.1:CT868130:259183:260613:-1 gene:GSPATT00009069001 transcript:CAK72677 MDNLCKQYLIFKEIDQINLQEYCFYSLDKDKHLSFIYIYDGSAKIECTEFELIELNTLSS YKQEFLTSVTQKLKEMLSNIQPKDEQEILCSLSLDQIFVHRMSEEIIIPKYIMKQLKRSK YRDNHIMININDFYSTNKQNVKTMRKIMKNKLDLNDEVSDQIKQLFETFFQVSIENIQRI SIKKQEIYNKYDIQKPDKQDCYTTLKSFHQTHLVNKKKLPEEDIQQIEDFFLNKLLVEGK QIDKQCIEIQNYQQKNQSGLRLTATQIHSICQQESYQKKSQPSISAQKHGEEHEKIALNE YANQHPDIELKQTDVLFNISYPYLCGKPDALVYDLSNNLIGLLEVKSPFIKKELNMNQDE NFLLDYIVMDQNNVHQLKRNHQYYYQVQVYLLITELCWCDFIVSTLRGNRVIRVLRDEIC MKQIIRKSELYHFTQILPRYCQLQKKQLEEEDLEAIRNKKVFNSY >CAK72678 pep:novel supercontig:GCA_000165425.1:CT868130:260665:261555:-1 gene:GSPATT00009070001 transcript:CAK72678 MEFRIHDRIAKVYELKLINDTQNIKTIIKFFTNYNIGRKLSPLEFFETLLVFSVQQIVLI IRINQYLQTVEIHKCPFSGIENSIKNDKQYHIISSFMIESIECQINKSAMPYFLDSQYFY FIINQDIIQYNLASLLTSQSSPITMLKRNLIQAPIYFRDDFIHIKNQDYLYSIQRYGYQI SHTKFLLNMQQINLQTDTFKNVQIEIVVTSINITNIDLIQSPFINQVIFETNEITLEIQI QFEGTLIKQNYSLSENSDFDFQNEEREEINFVKEPGLIQIWNLDQKYDQVYSLILY >CAK72679 pep:novel supercontig:GCA_000165425.1:CT868130:262063:268706:-1 gene:GSPATT00009071001 transcript:CAK72679 MYRNYDPTASPALSHQSQDDYEIKIKQLLRANDKLNAVVRETLAENEQLKGNIDVLYKEN KDLNRLNIELCDQLERIKRNTRQHSTHDKTIIDYEQEITQLKLLLQEKQKEVNSFKIRYN EDLQIKLDIVDKSGNSNKLKQLEEQNQQLIQELDLITKRLLDRDNAQGTVDYEELLRERD QEIEILTQQIIQISNNKQVKQFDPSSDKRQITETEEYYGQIIKELQEKIEQLRIIIIEKD DHLNQGQNQSVLIEMETLQVQLIQYKIEIEGYKTRMQYIQNELSEKDHLIEDLQNIIKDL TKKIQNRQSNDIQNPISPKNPNKSPSNSFNQNSNNPNKNQRNPGDATKNAADVFQQEEDN YDQKDPNEEKKKKKHGLEGAKKKEDEDSIKDYQKIIANLKAENQRLQQELNQAIFKINQQ EALINEKDNQLSLLELREKEIRQLKDQLNKQYKLEQENKQLEKKLGEMEQKIQDLMLEIE NYDQDNKLNEKKQSKKEADYQKALQKQKDELLANQKKIEQINKQMQDEINFFEDQMKDLQ DSLRVKDQEVKKLQEQMKELNKTLEKSNIQSDQIEKLHQEAHSQTQLLEELEQKIQQQEY EIKTKEQEIKRLKEKNRDLQLYQLKLKDYEENINSLKEEIERLNSIDKQQQENIYKLEQS HKTKEYQLSKYSEQTKEMTNKVKELNEEKTSEIRKFIIQNEELQEQVRIFEIEVKKLQSN IQGNQRTPERTTKLQQELDDLYDKLNQQIGENADLKIQIQNLSTQIKLKEQEIKKLLEIQ LEIQQNSNKENDLTKEIQELHQQINKYEQSIKQLQDQINKLENLIKYKDQQLKKHELQQD SWKDNLSKLENQIEELETQQLRELKQQDKQNKETIKKLENQLKSKEHEIKKLQDEIKLQQ EKIQSLEQMIEQINDQFHTSQQQLNEVQLKFQLTIREKDFEINKLKQKLGSQKSPEIQSE IDSLHQQIIEKETEIIKVREDTSELSQKIRNYELDFKKFQETIKEYQKKLERTTQLEILI SELKIKDETNQVKIDDQNSTINNQDAIIQSKDQTIKKLQEQQREFTKKGDQLINVQKKLI ETEQQLHEALQNASISQDKINTLEQQLALKDLELKKLKDQIKEIQREVERLQSKLYEKEQ LQQKTIEQQNKIEELENQIEKLKQENKKKSQENQVLEDKVQQLKKLEEKYKKQQNLIEEH KQTLESLERKIKSLEEQIQINEDEKYSLEREVDLLKKKLEDERKQFENKINQQARAKDDI IAKLKEKIAELEKLEAQHFEFTQEVEDLKEEKKSRKNIESKLQSDNSIYQKQIKQLEQQI KSLQEKLKSEEESNKILHNEIEQINVNIKVKDELIYKLQQQVKKLEISIKEKKEQIKQFK QDISERSSQISQIDLIDREKEELNDQIRLKEKSEESLKQTISTLQSQISKLTKQVQQLIQ DKMELQQQIDRLIDIENSIKLKEIEILRLVQIENDYQRQKEKVKTLDKTITDQTQKIKIY QEYEKQTKESIKNYEQELDEKQETIQHLEQEIIKLKQQIDDYQRQITKISKEKETVNQKV KSSETNQQKKIDQLEEQKQELLNDLQTLNIRVEDLQSQLKELQERRDQFQKIDKEKEDIK RTSDTSERKYKESIKELEKEIQRLKAEMIKKEHNNSKEIEQQIDKAQKLKQQNTQLEQTI KNLQNNEKKLKLLEEQCNQISERSQEKLNKKDQIIDDLNKQIKNLNEQINKLNQKLKSVN KDEEDDIADFGEDADVDDNNKTKKKYEKESKKDKNEQKTNRQLEKDIEKLTQDNINKTQQ IKQLEEQLKKNQELIQKETIEKQQKTQKEKDENQTIKKQETEIKKKDEQIKKLQEEIQKT EKNSKEKDNLEQIKVLKQEIDQKTQQITKLQEQIQKLQKDISASKQKDEKNNKSEQELKK KEEEISKLKEKIEKDSKETNEKKQNEKNQNELIKKQQEEIKKKEEENKKFKDQTNENNKL KDQVSKLEKEKSTTDEKIKKQEDKIKELQKQIDDQKQKTPTNNRDDPNDKQGQSDKKPGP YQNDTKYKELVIKLEKQIDELKIHIIYLESLLKQHGYDPNKLKPGKTNNDSKNQTPKKDD DKDDYPELQQVNVINIRRLMAEYKYEKDRYENKVVQYTDELIKQNNLEKDAEEKIKCLED DQFVYNDPDDQDPKVYVIKKEGESNKKVK >CAK72680 pep:novel supercontig:GCA_000165425.1:CT868130:269187:269588:-1 gene:GSPATT00009072001 transcript:CAK72680 MSDDYWVENQDKYIYITIGLCLADLITLIQHILALYAIMNKKQKLTMVCAMLSFKSSFLR TTMFYFMITKTEMNFYKFSTLATIFTCIQLGFAILIVELRNQWNPIPSRKWKKLFRLIRS KIPKIKENEMQKV >CAK72681 pep:novel supercontig:GCA_000165425.1:CT868130:270131:272129:1 gene:GSPATT00009073001 transcript:CAK72681 MHVIQKQSTFKKKNFKEKVNQFRKIVFILLEDPSSSRLAGLIQYIICFTILLSQVQILCD SLFDNNQTYNDLSNDCEILIFVVFVLEYLFRLSTYTVYGYPIKKFLFQHMNIIDLLSIAP LSIISLMYGKTALNGFRILKLFKVIRILKVKRYLKGVDILYKSVADSISQFYFLIIAFLQ ITLAYAIVLYYSEHIENDLEIENAVWLGIVTMTTVGYGDYVPKTIIGIITTCIMALMANT VIFSLPVAILNIEFQELYGSKKEEEQISMLKKGMRTGRRDSIKNKEFSFFNQRLQIIEQR NKEIQDLLNKSNKMAKELTKDLKRLFLSVNDDADQLLDNLNSPRPTKQNVLLVKANLYDK LVRAKKKIQITSIFRNLKQDSDQELNESPLNQTVSGQINKQKSFFRMMSDRKQNKRKIGI KSHSYENINQLIVLRNEEYGELPFDFLNEVLLQIHDDMFLDIDEHPTNQQKQSIIIPESS EIINREPSFRINSLYGFDSPMNKLNQTNCGSSNQQRRKISDFASLFDKKTVINLKSTSIR PFSANDCGLRSITEISKGKKKNSFTKQQIDEMHQRTKPKMPHQFVNSNNNLKDLDSGKNK DEFRQKYSRASIVELYSPHQYLNIQEQCSCLECQNYNMEQNY >CAK72682 pep:novel supercontig:GCA_000165425.1:CT868130:272331:272747:-1 gene:GSPATT00009074001 transcript:CAK72682 MDNINLHQNLQLNKKSHLNFGNKQWHIFQTGIEENQPCQQLSLITKPKMVDPYQQLQLQS DILPRNTKKQINQCQYCLQKFKNYKALGGHISKKHKGSSKKYNQKMRSHKRNTQTRLNRR ILTQHIRSLFRFEDQVDQ >CAK72683 pep:novel supercontig:GCA_000165425.1:CT868130:273124:275077:1 gene:GSPATT00009075001 transcript:CAK72683 MQNYEPQNYQRYNEEISDYLQQDIEILHKQYWYQALNKNCQLSDQPERIINESLIESGIL KFIFLVSQVQSYFKYKQINSDMFLRNRLHSLRKLAQFCTAESVSGKKSPTTQAHQTNVER NYQLQFSKSALVDFGELPTGEIPEALKYDRPQALNQLESGLRVVSEQYNSPLASITVAVK AGSRFETLESSGVSNFISKLNLRGTTTRSREQVEAEIDYLGGSLKVKQGRELQTYTLTFL PSELERAVNFLGDILTNSLYSPAQIEAEREGIFRESLLLKLLITQIIEIIIWGQPTAGIR DNIPNVTEEQIRQFHKANFVAPNVIVSAAGNVNHEDFVSAVNKAFKGLGTSAPTEVPNSE KPYATPSIMLIKDDELTNLNVGVFFDAPGWNHPDVFALHYFQRLIGDYRADKHTGFHLNS PSRQYNTMHSLLGGLPDVTYQRCAYYAYSDTGLFGNYLIGNEVFATQMAYISQMVLSDYA SSVGQVEVFRARAKVFNELLSQESSAKQSREIAQQVFYWGRKKEISALDAGHLTRVATRH FWDKDISVVVWGPTHLLDAVAHYNRSWKRSTLGGYAQPYYEG >CAK72684 pep:novel supercontig:GCA_000165425.1:CT868130:275077:276753:-1 gene:GSPATT00009076001 transcript:CAK72684 MAGVQQKIFNNQFVVKKRISSGSFGVVYQGVDLRSSDHVAIKVEKLEVDELLSLDREVEQ LAYQQIQILRSVQGVQQVPRLKWAGKDQGNNVMVIQLLGRDLTHYMRQRKRFSLPCVLSI ADQMLTILENIHNKGVIHRDLKPENVLVGKEKEKNLIYLVDFGIAKHFKDKDDKHIPFKD NKPFLGTSRYASIAAHKGYELSRKDDLESLGYMLIFLLKGSLPWQNVDYKNDEEKVKIVG LMKMRISSQELCQDLPIEFMKFIDLVKKMSYREKPDYKYFQQLLRRVAIQQQAEYRFDWL DENNQERKSGSVSPKKQQSIKVMDQSHLIQQIYSPKKQKQSEEFDDTGLSKRESNGRFSI ANNSLNLVPCESKLNLRHKSTSSFNESNQQYSDIQPEVSCMIAYQRNVRFGSFHNEINTP KQTERSTSAQNKIRVVHKLSLDVKPNCYNSIVELQLGLMNNPSIMDFTVRSSDQMNEDES PCLEDKCSILKKDSIENQFKNPIQLFRINYKEKTRVKHN >CAK72685 pep:novel supercontig:GCA_000165425.1:CT868130:278029:278917:-1 gene:GSPATT00009077001 transcript:CAK72685 MSKQTKQEKEKDEKDKRIAVAINFINTPNLQNVPRSELEKFLKKKGLSDSEITEAFDKAK QQKEELKAKEDEKEKDLVSKLQQYGGIPQQNQASEKFINPQELKDFVDKAKISNLISIRN RGVTTIYEQILGLNNLQILIISNNKIQIIPQSIKNLTNLKVFHASCCNLQDHNIENEFFE LTSLQELNMAKNQLSNIDRFTSLTELVLLDLSNNEIFRIPQNVDNLKKLKLLSLRDNHLT YLPVQLKQLNALKKLYLDQNALEDPHRVLKQNNPELIFQQGIFEEQHQ >CAK72686 pep:novel supercontig:GCA_000165425.1:CT868130:278970:280125:1 gene:GSPATT00009078001 transcript:CAK72686 MELFNHFPSRRFGQELTNLYYRPQTKLNLSQLKKKAESTNTSMRHKSQRDINTNNVWYQV SIKKRTSSQHSSGDSVTHRQKSSRCSSRNQTIQTVRFCDVKQLLIDEQNKQFIENIDMVY SSKPQYCSVYAYDIQEYLKSIELTYQLSVQQYFHHQPQVTQKMRTILVEWIIDVSTKFRL KQETLFLTINFLDRYMLTTPVSKNILQLIGVSALFIASKLEEVHQLLAKDLAYITDKTYT KEQIIHTESLILKQLNFNLTTPTIIYFLTRYQNICPLNLKHYYQCQLLIELYLLLQPQTH NSSQIAAASFWLIRKQKKYHPIWPQELQIISNCDENKLHQSVKVLQQCQLEFQTRQSIFN SLYTKYSQYKYCRIINV >CAK72687 pep:novel supercontig:GCA_000165425.1:CT868130:280135:280790:-1 gene:GSPATT00009079001 transcript:CAK72687 MAQEKEIEIKEEKEQTQEHHHDHDHDHDHNHDHSDSDDGHNQKGGDDKDKKANRGEKKFR KAMLKLGMKPVAGINRVTIKRGKQFLLYIDNPEVLKSANVENSYIVIGEAKVHDPTGQIG KKEAENLAQQVPKQEENKEPKPAESTEQASDEGIPAESIKMVMEHCKCDRSKAVEALRKS DNDTVQAILALTG >CAK72688 pep:novel supercontig:GCA_000165425.1:CT868130:280883:283192:1 gene:GSPATT00009080001 transcript:CAK72688 MIRFSLKKGQQLLKKQFQAFSTQQNLIEIFIDDVPHKVDPSATIFQACYSAGVIIPRFCY HERLAVAGNCRMCLVEVEKSPKPVAACAAQVAPGMKILTKSEKTRIARGGVMEFMLANHP LDCPICDQGGECDLQDISEQYGYGDSRHNEYKRAVEDKNFGPLVATSMNRCIHCTRCIRF SDEYAGVTELGTSGRGRNTEIGTYIDKMITSELQGNLVDVCPVGALTNGPYAFTSRPWEL KSYDSVDVFDTIIPLIQIDTRGAEIMRVLPRIHEEVNEEWLNDKSRQAFDGLKKQRLTLP LARDAQGNFTDLYWPDAIQQAAKKLQSVKGEEIVGVIGEFADCESIVALKDLLNRFDSDN FEIRGTGVPQLDADFRANYLFNSRITGIEEADVLLLVGTNPKIESPLLNSRILRATRKNN LKVFLIGPANDLTYNYVHLGNNASILDEIANGTHPFAARLKSAKLPMILTGAGVLERVDG NAIHNALKKIAQNSPVINAQQGWNGFNLLHKDVGRINALELGITARRSSVPPKVVILLGV DNNLNAQDIPKDAFMKELIMLIFILPGATYTEKNGTYVSTEGRVQTTKLVALPPSGAKSD WEILRALSEECGCALPYDSLEEVRYRIAELAPHLLKYDYIESSVLGQVALKPESSKQTIS ATPFRDLIDNFYMTDAISRQSVTMAKCSTAFNPHKFSTFKQL >CAK72689 pep:novel supercontig:GCA_000165425.1:CT868130:283210:285258:-1 gene:GSPATT00009081001 transcript:CAK72689 MSMKKQFTGGKTSRSNSKQSTSNPMSVRKRFSSLCSPSNNQNQTPCVFCQKFKNCKEESY APLLNKALFAKYSSSQNYYYSKDINDIIEEESTPAVVFYRDLESMIEEEEYLKRSYVKKE AQQKVKALQEYYKYHKDIPRLFMQNVYLTINKFHEKKRRLEYANIKRKLNIPDEDQPLKK KEKKKQKHSDEDLTIGQLKYLLKDLKLDTNSYLQKKVDISSSVQLREFIQQVGQKYDCLG QISGLLSIDQSNSFLLRSQDLSTITKNLKSTYMTNLKPKVNKSSLLQNLDSKTTHQVSCQ GSYDKINMNRLQFNSGEVSSLKAENSIKAGEQTKRSNQEQSPLRSSQQSQLMQKVYSQIE GFANFVQQQQTMQKQQKANNCQKSNSQRKVSGNFNATECQFRLPSRQNSTLKQSADLLSY QVNFLRTRVASQLQKQEDDNKSKQPLATDRVQRQSVYNTGEQTLQKTASKNIQKLPQKFT QYALSTLRGLQVKNSDQKKKSTLISNNNLQAPILNAQLMHYRTKSQDAIGNYKGSPPKQT QKHENSKDPLRITNNICVQGSTKKGGGVYNINQNNIVNIYIEDLKSSTKLKQGGGSQTAR INSPLKSNPKFEVYHTQRSNLSPVSKKMA >CAK72690 pep:novel supercontig:GCA_000165425.1:CT868130:285522:286266:-1 gene:GSPATT00009082001 transcript:CAK72690 MKHNQQLPNAHMRKHWTRFVKTFYNQPAAKRRRQLRRRAQALSASPRPVELLRPVVRGQT IKYNSVQKLGRGFSLIELKEAGLNAAFARTVGISVDHRRRNLNQEELNNNVKRLKAYLSK LVLYPRVAGKPKNGVVKDSTNEVVAHPVAQNTNPEVLTFQRTPKREKATVISKELRAKNV YRRLRQEWYNAKFVGVKEKRKQAKETKK >CAK72691 pep:novel supercontig:GCA_000165425.1:CT868130:286346:286768:-1 gene:GSPATT00009083001 transcript:CAK72691 MKKVYTVPTPREQKPIVQQKSQQDIMIQQGQFGYVPDQAAKKEQASKPSRPYLIFKPQLV EEIDENNMKKLKLSFQNSITDLQTFEELAQLQNQRESTPNLSQTPTEKSKTFVRKSMRHL TQL >CAK72692 pep:novel supercontig:GCA_000165425.1:CT868130:288148:291221:1 gene:GSPATT00009084001 transcript:CAK72692 MKPNQLENLGTDQENCINYNSTNILGNHTLHGQSGRLLLGSGQKSNYQMVTKIKQNLKSN QESQKPIRASDLLTDKSQSIGNFGKHSQVQLSHYGPYYAGKPPKNKKNFDESPFLMDSQL EEDTEGFSNKAWKKYPLEILMIILRFISFITKSNFATSFRLVNRNVFEIVGDKAAYFRYY LFNDYLKYEKPSPYEKMKYQLNQQVFVPLRKMKVYNFLSKNKLILRPESFTSIAWNIYIL TILNMNVLYVSARVSFKFDTSNSFDDSFQQFRQILFDVLPSYSFILEILFKFNTCYYYKG TVIENRYQIAKNYLRTSFFFDVFVIIPFFLSLRFQVDYLDLVLILKVFQIKKFSGHLFDR LELTTNQIAIFDLVKLGYTILAVAHFCACLWFLVGSTGNDQISWVLKNNLVDEPWHTQYL TSFYFSIVTMTTIGYGDITPLNLRERIFTICMTVAAVGIFGYSIGNINSIYAEWSRKSYE FRQNMNALKKYMRLKGLDKHLAEKIRKYFEYVWCDAEEENDREAFKFAEQIPTQLLEEMK IDINMKVVKRISFLTQNFSEQFLIQLSKNLIEEKYAPEQIIYKQNEESDFLYILQKGELQ FYINLRNKQEQQKVLESISGESLPFGVLEFFQKQNYQVSCKSTQFTYVLKIHRSQFIELL LQNSKDYSTFCQMKDQVIFLNKQDIVDVTCRACNKTTHVIKECPMVCGYPNRAKVLLNYR RNVPSDRKSYKRTLNRRIDTLMESHDVKNSILLFICKNKLIDDLQQSNENKNENESDIES NESLKIGYNLTISQQIDSKRPLSFQGCNDALQDDELQSKIKEIVKYLKKKQPTYSRQESK TFIKQEEKTQNFNFLMTGSDKSNQLLPQQLSSGSEQAYQDSNKRGSRALMPKYKNLIDVI IQGTMIASPNKILISEQAEQEEEPGKIRGQYIEIFEGFEQYKNFDNYLKHNNLGLIIKLR ERKKYKR >CAK72693 pep:novel supercontig:GCA_000165425.1:CT868130:291275:291763:-1 gene:GSPATT00009085001 transcript:CAK72693 MQRLSSLFFGFGLGIIGMSLLLDPWGQEKFERMARETARLENSILGNVDLYLQMRNVLGY VFIACSFITINRYLLLTIRIAILYILQIPVLFFYAAINYNHILYKSERFGGNQVILGAIL FLAISGAICDGLISPKVQAISTEKEKTQEKPKIE >CAK72694 pep:novel supercontig:GCA_000165425.1:CT868130:291976:293438:-1 gene:GSPATT00009086001 transcript:CAK72694 MKSLKINNQFIVSRKLAAGSFGFVMLAFDQKSGQHVAIKFEKPENQHHHSLEKEIDIIRR LEGVQGVPQLIYAGQEDDFNVLVLQLLSKDLSTLIKKYKQFSLKTTLQIAIKLVQVFQDI HQKGVLHRDLKPENIMIDENNKFYLIDFGISKIYLKKNGSIQYKIVIFRPFKDRQPFIGT SRYASIAAHKGNELGRKDDLESMFYVLLFFIYGKLPWQNIKQLHSDLKIEQVGEMKLLRT NELLNELPVEFKKIYDYLRKLTYATEPDYTSIIKLFQQAAKNSKITLDTFYDWDMQNTTQ TGNFSHFGTIQFEDNLQSDKQSSKQIMHLNTQSASPVKRRTAGPSLMKQHQPFLQIIKDQ KSRGSSHSPLSKIEGLNQISIDEISEDSEYVIPNECEHNRNIRVRTMPDELKKPKLKPFQ NQTLCFDDEWLIESDKNLVDNYKNLESISYQITTIFQNKLK >CAK72695 pep:novel supercontig:GCA_000165425.1:CT868130:293457:296624:1 gene:GSPATT00009087001 transcript:CAK72695 MEKIQGLVDSQKFQEAIEELTDLLESELPSPKLGTLEMLNSILGQSTKRQDLQIAILRLA KFENSRRYCDLILNLARIYIDLGQLSAAKQELEKLRSIDNKCIQCLLQGFDRMFNQERED LQKEDQWEQFQLLEKEEKELDYFSQDGLYSYLIPTAWFQKWRQYVRNEDPLVLEDPILGL NVAKLRQILNLNEDSINEEIVVAPGPIDSSRLLQVQWNLLPDPTESKQYLNYVLRNDLRE GVDFFHVSAKVFRFFEKIYGAIMVKRLIVKQEDQDRAIVDLVPRVVEIIIMENQQQESSL SVNGFEQVKELTRKINVIKGNKNQIRWWKFKDLQSQLDWMALIQKGAFLKNIKAIELDLK TKIDDLNLTTQDVLICEIKQDKGMQLTAEVNVDQQKEQNVSELYKKFGISNIPKIVSECK RGMTGLQNLGNTCFMNAALQCLSNTYEFTEYMVYNHFAKHLNPNNVLGTKGFLAASYAEL MKSIWFANYQSVSAIELKKVIGKCAPQFYGYGQQDSHEFLSYLLDGLHEDLNRVLNKPIV SDIEITNESDQQASEKFWTNYTLRNQSRIQELMVGQYKSTLVCPLCNRISKTFDPFMSLS LPVPNFQIYAVSLYFLYADNEIMPSKISLQLGGDMTGLDILKQLEKLTNVSHERMNMLLI KDHRICERVTYEQVAKWIAEHYGMLFVQEVESNMQNLKQNQINVDFYYIVKGTPDKVLSF PRQVVMNKKWTSQQLYGLIYSKFRIHLTFAVNELTTKEFSLKDEFLTLPKPKNLEESIQE FAILVQNQLQPFTLRFKDLTPGYPKKETQFNDNIIIDIPGSNLSIEVIISDYLVKNTLNL KFQRCQEIPPNPIKTQQGKKECDLDDCFTAFTREEILGKGDEWYCNKCKKHVQASKKMEI YKAPQILIIHLKRFRTNKVTMVGNVFINNQTQKITQLVKFPLELNLNNLVLSKQAGDNQD YTYDLYAVDNHYGSSAGGHYTAYAYNSVLNKWIDYNDSNTRTISTNVVCESAYLLFYRRR DTQKCQLFK >CAK72696 pep:novel supercontig:GCA_000165425.1:CT868130:296803:297774:1 gene:GSPATT00009088001 transcript:CAK72696 MILPDKLIQKAKSELKNWNQTSLSVLEMSHRSAEYLSIHNKLLSDLRMLFNIPKNYQVML MQGGATLQYSAIPMNLLNKNQTAGYIITGKYSQQAYEEAKKFCDPKIIALGEVPHQDIAY VFYVDNEMAEGIQINQLPHCDDKIVVCDMTSSFGSKIINVDKFGCIFASLQYNLGIPGLC IVIIKDELIGQSDRTIPSMADYQIMKKNNSIYNTIPCYNVYISGLLTEQLLEIGLKQVEQ EQLNKSRFIYDFIDKNQDRFSCHCSITNLRSNNSIVFYSKTDLHTTKLLNSDITFVEGNK ITIQITIHTTIQQLEQICSQLIL >CAK72697 pep:novel supercontig:GCA_000165425.1:CT868130:297870:298649:1 gene:GSPATT00009089001 transcript:CAK72697 MDIPKRTEHRPPRPPVPKFRHIGAGTDSPDFLPPPKTEESSFIALSFDNTSETSIEDFNE SYFTRKRISAIVEEITNQIALLGLSEDLLKIGLSLLKSELLEGLKSKKYKAIAVAIIIAS LKQINAPITQKEILSKTSVSEKQIKKILLQIHNPYNLQAIVYSFIKQISSQIGLNDKFIT FCLQMFNEINLRSLIQGEHENVIAGAIIKYCGDIIFADRGGIIAQVIADNAKCSLGPLKN FLKKIEQNLPLTYSVQQIG >CAK72698 pep:novel supercontig:GCA_000165425.1:CT868130:298764:299374:-1 gene:GSPATT00009090001 transcript:CAK72698 MNQNQNFTSNLNLFPEIGTHGSRGKQYGGNNITMRGTEIFEGLTTGRPSKNIIQAHFKVL RFYRKVCRLIPFLLRIHDMEVTCNSQQAMLNVANVIRKRAYLRDPDAVDRWVYRGYELLY QAEWHMLNRDHLFQYFANQNRSDAGYSYLENQKLKGKSEFLKDFYIGNKTYEY >CAK72699 pep:novel supercontig:GCA_000165425.1:CT868130:299617:300908:-1 gene:GSPATT00009091001 transcript:CAK72699 MNFQSPLARNLFSTPEKQQMEPELCKKVIIDDQIQQFNNSGKKQFKFQIIPIKTQTPIKQ KHHHSHITPSTSENDCIGTSLVQRFQNQMTLDQIHQSPPSTPKNKGTKSHKELTAQKQGT ATPKKKKSAIKSIQTSAWAMDIEDQSEEESPTFERSRYYNEYTQLAVIGNGNFGTVYKCR NNIDKQIYAIKCVKLQGCGKSYDAAESLNEAQALAYLTAKGRCKNIIRYYTAWNERCYYY LQMEYCDFNETRREQNQNLEEFEVKKILKDILQGLKFLHEQSITHFDVKPDNILYSKAEG CYKLADLGLSRLTQLKKGEDINEGDSRYLAPEILSNVYTQSDLSKSDIFSLGASIYEIMI GESLPTCGERWLKLRQGLTVNDFGSGIYLKLH >CAK72700 pep:novel supercontig:GCA_000165425.1:CT868130:301519:302045:1 gene:GSPATT00009092001 transcript:CAK72700 MNRQTNQPSHNSSTQLYYSQYLKQQQVSPIVERFVGDEVQELRKQVEQLTKQLEFKEQMI SEMRAHQKILMNRLSEPTHYQKDNVVEKIKEFEMQLKEKELACERKPESKVRDHSFGKLN QRPFNIKVRQFNSGSCNTVSSLKLFSKFETRIARVLEVVEKDSQ >CAK72701 pep:novel supercontig:GCA_000165425.1:CT868130:302797:304458:-1 gene:GSPATT00009093001 transcript:CAK72701 MLILIETPAGFALFQVANTKTLNKIENIYDYLQNEKQAKKLITPFAFQQFKDTQEALIAT SKLINGKIPKKLSKFLEKNVISQEVQDQIAVQDKKLAKQLQDQLGLSCIQTPVTEQLFRG IKSQLTNLIEGLSEAELKNMTLGLAHGLSRYKLKFSTEKVDTMIIQAIALLDDLDKEINN YMMRLREWFGWHFPELGKIIRQITSYMLRLLKPLTDLSGILPDNLEADVKQAAEVSFGTE ITLEDEKFILCLADQVIELTDYRAQLSEYLKNRMQAIAPNLTTMVGELVGARLISHAGSL VNLAKYPASTVQILGAEKALFKAIRTKHNTPKYGLIFQASLVGSAPAKLKGKVSRTLAAK TALCIRYDALGEGQDAEFGVTNKAFLEKRVHQLEEGVNYRDVKAPQRGKAKPVSNQAQYQ EEADFQPQGATWMQKFQKGRRQKISTIRFSIKLKIQEGEIVMKVHYVQNIKNSTFLAYYP SINCFIVLIYSFIKIYQTMLRYSIYQKTFGFHLRYVNIKC >CAK72702 pep:novel supercontig:GCA_000165425.1:CT868130:304503:305341:1 gene:GSPATT00009094001 transcript:CAK72702 MDSDNNKRFNFDHLSQRQLKNRLYKNINRYDPTERKLTQPLSNASNPYLIPKISKQRLEP IISPQVNLGVTIQQGRILLDQSAYQSGKNIRNDTSFAYDHSKKPKNLSPLEQRLQSDYGM SMLDDAQNPNSSVNDSIINLFQFPERKKDTSILKKELKKQKKKQLQQSYLSPMDFIYLIK TDPEMANQFCYLNKRDHAYDYEIVEFEDRNDKEYMTISARGITYYQNEETTFLTIEEWQR EANLLLRPTGKSTFSENTSCGKTSSYGKDS >CAK72703 pep:novel supercontig:GCA_000165425.1:CT868130:305374:316865:1 gene:GSPATT00009095001 transcript:CAK72703 MGSNMFSTDKQLRITLLEVKRICQQMASDIRFLDTSTAIPQNLDNFKIIQDKHLMSKMDK QFDTYEKQIQSVIIECCQKSLINYKETHRISLQEDDNEERAPLLVGDESGKEMPFTTEAT IRTHYKRLKKFVKYLDYVIMDAKLQMMQNSVEHIVKQIRDFNEQYRQNLGGKRKGYYGKG QPQCWIIVEAVGKGDNILFNPQREQLFKLFENLVSNSVIRITNRHKEMLSMPDLQQYLHD DGNQQLEKVDVKSILNGSENFQNLCGQMRRELEVAFDHLEQYANKLKPFLQWYVENTGVN IENQFTDKDVDEYRNAINRYKKQDQLFQDMEPTQEIGVILFDNHKLKAKIKCSAMHCIVE LQRFIPDYMYKKAILFTKKTAQLYSTIAISPITVEQFVNYMDAVNVINNQFEDLSNTSQE VTSMALLMDELKIKIQDVHKQKFAECIQQVSQLRKKVDDAMVNYDQNLNKFRKDMERMIP QVDNTVKDLNERVSEQPLSSLTADLNDMITFVIGIRKQVDELKIHAQKLNDYQIALNLEY TPFEKLEIFNNEFTLLERLWCGRNEWVQNYSFWLKQHYTEIDLDEMNNVMEKLQKTANMC AKELDKNEVARVFKSDIEAFKGVYQVLQALKDPAISDKQWNQIRSLILENQLVFKEPILE PFTPLDDPKYDVQWITKVGLDQVKDKLSEIALRAAKEIELVKMLEQVESIWRQAVITVQP YRESKDIFILGNNQDLISKIDDTLLTVYNILASRFVEGIRSENTFRKLFDEWMIHQRNWL YLEPILNSPYSAKNLVKESKIFQQADVLWKKLMRTVRDSCLAKRWADDYLNRQYFNQLRQ NNNNFDVIQKALDEFLEKKRDAFQRFYFLSNDELLDILSNAKNVQSIQPYLRKCFENLVK IQFDQSENAIGMISAEGEIAVLKGYTARGEVEDWFKALEDKMKSSLNGVMRQSLIKYQLE DTQRRDWVFEFPLQIIITIDSIFWTKITEENYLQANAEGDLDDWYDANVTMLDELTQLIR GNLNELQRRTLLALVTQDVHFRDIVDNMRNESVEGILDFKWQQQLRFYHDEESVLGRQAN AKIMYGYEYLGSTTRLIITPLTDRCWMTITGAFGLKLGAAPYGPSATGKTESCKDLAKAL GRYCIVFNCSDQITAKLIEKLFAGLAYCGAWVCLDEFNRINIEVLSVIAQQVQTIREALL EYKMNFYFFGKNIQLNPDLGILLTRNPGYDGRTELPDNLKVLFRPVAMMVPDYRLISEIM LFAEGFSNSKDLSRKMIKLYQLSSEQLSQQNHYDFGMRVIKSILVMAGSLKRADLNINED ILLVKAMRDSNLPKFLSHDIPLFNAILNDLFPGITIPVDQNDELETTIKNVIELNNLQQQ DTFIEKVLQFHETLKVRFGVVLVGVTMGGKSQVQNVLRESYARLYEQHSYAEVENPKMYQ KVQHQILNPKAITIEELYGQFDMITQQWTDGLASHIIRGQASLETEDMKWVVFDGPVDAI WAENLNTVLDDSMTLCLSNGERIKLNAQMRMIFEVLDLNTASPATISRCGIVYIDDQVLG YEPIVLTEAMSLIDILTSDIIDHLLVQIKVSFSKSISQVIKHCKQLIPVHETQMAVGLIK IIRMVIQYYNQQLNCNLRDEISKKHLEKLFVWVYAWSVGATLVSDDYSKFEGIVNDTFSV DILPRGSLLSCLVKITRVDGIVEIHYTQWSDNVPQFQYVKGMSYFDMIVETPETVAYGWF LEQAISTNCPIFITGVTGTGKTIIINSSIQNLRDGGLIALMQMTFSAKTSSQTTQLSIEQ KLQAYRKKGRSILMPPPGKKFVVFIDDVNMPSQEQYGAQPPIELLRQFIDYKGVYDRRTF NWKNVDDTILICACGPPGGGRSPLSIRFTRHFVLLALPNSSDETLSCIFSRILKAYFKNN YFKNEIIDLGDNYSIVNATLSMYQEIQKTLLPTPEKSHYVFNLRDVSKIIQGILQAKPLV YLKCDQIIRLWAHETCRVFMDRLINQQDQDWFKENLVKNIFLFFKTEYKVNELFDSQRPF MFADFQKKVELQDRIYEEVKDYNQLVKVINEYMIGQTKLNLVLFKDAIQNLTRINRVLRQ QRGHYMLVGVGGSGKKSLIQLGAVLAGCKVETIECKRNYGKKEFKEFLFRMMCAVGIDNK QIAFAFTETQVLQEGFLEDINSLLNSGEVPNMLKKEDLELIHQGLQAEAKELNINHIYPY FVQKVRSNLHIVLGLSPMGGKLRIRLRMFPSLLNCCTIQWLQKWPQEALMSVSEMFLQTL EFDGLTKEIRQNLYQMCVHVHQSVEKKCEDFQVAFRRQVYITPKSYLDLIESYKNLLLMK KEELLTNRLKLSSGLQKLHEVNSIISDLKVKLTQMQPILKQKTIEQEQLLQKLQIDSTEA NRVKQLVSEEERQVNEQASKIKETKAESDKILNEAIPTLNAAVEQLNTLNRNDISEFRNN SNPQPIIRFTFECVAILFEEKLDWDSIKKLLTDPNFLQKMKGLDASRIRPVTQSKIKTKI TSNPEFIPSQIQKISIAAKSICEWVRAVSEFTDINNDVEKKKTQVENMNQQLEKAKKELA QKQSELAQVVRKVTELEIQFNSNKQEKDLLDQNIQTTQQRLIRAEELTIGLADEQDRWKA KVQSLSEEIQLLLGNMFLGSAIVTYMGPFCGTYRNQLVQNWIEKATELALPSIKNFNFES ILGDTLEIKQWIANGLPNDSISKSNGIISKFTRSYPLFIDPQLQANTWIKNTYRDQNLKI IKSTQEGLVKQIENAIQTGVPLLLEDAQEQLDISLDPVLLRQANPSNRKKNIKIGDKEIE FDSNFKLFICTKLSNPQFLPEMFIRVTVINFTVTQQGLEEQLLGEIVQIEKPDLEEEQKD LVKNISAGMRSLRKNEDEILNLLANSKGMILDNVDLIESLKVSKQEAIQVKETLVTQEQK SAEIENSRLQYLPIATRGSLLYFVIADFALVDPMYQFSLNIFKRLYQSVIRNSEKNDNIE IRIATLLDSITEAIYTNICRCLFNQHKRILSFLMAVKIQLNAKEISYGEWNMFIRGINLT VQPPPMPNTFKMNQKTWNEFYQLTTIHQNFASIYNQALTNQKEIENMIQSENPWSLISDI LTPFQKLMMIRVLRMEESFYAMTQYVEAILGKKYTSNYLSTIEELFNDTDHKTPLIFILS QGVDPLANLMRFVNQKKISSEKLRILSLGQGQAIIAEKAIESGVKSGEWIILQNCHLCKT FMATLEKKLEWFEDPELQSQFNSGFRLILTSMSCNYFPVSVLQNSIKYAIESPKGVKQNM YKSYVDLTADQIENCDKKESWKKLLFSLTFFHAVVLERRKFGPLGWNINYEFNDSDLETS QTYLKNFLDLHQEIPWDAILYVIGEITYGGRITDEWDRRCLQTILCKYINEDALNDGYQF SDSGVYKQPCEMNIIDYRRLINKYPDFEKPEIFGINENANLIFKQTESKTVLATILSIQP IEIAQQSSESDQKTKSNDQIVYDICETLIQKIPFQIKEQEKKKKLTLINIQGAALEIDSL KVCLNQEVQRFNQLLQIIGSSIKNLQAAIKGEVVMSVDLEMMYSSFLNNQVPSIWVNKAY PTLKPLAAFYEDMIKRVTFFRDWFNLEAGQLKGYWLSAFFFPQGFLTSLLQTFGRKNQIA IDVLKFSYKFFNYIDYEMITSMPEIGAYIYGLFIEGCRFDLNKGILEDQLPGQLIFQAPV IHFIPTQDYKPNQNDYSMPLYKTSLRAGVLSTTGLSTNFIRAIDCPTKKNPDYWILNGAA FITQLND >CAK72704 pep:novel supercontig:GCA_000165425.1:CT868130:316926:317302:1 gene:GSPATT00009096001 transcript:CAK72704 MVVFKIGQAVGKRTWVLEGMIKILSINFDSLKFKDLIIGPKSCQDEASNQSLIQEYQRNR QQNTHQMTHIKKCQLEVHNRKSKLFCYWFNENYKYYKNQIFHQLKREKKLQKIQKLK >CAK72705 pep:novel supercontig:GCA_000165425.1:CT868130:317842:318386:-1 gene:GSPATT00009097001 transcript:CAK72705 MLQRIVRYCFAHGSHKPTGPIFAPSVQDKMIYINVYNDGEFERIPAYIGESLLTALRRFR VTNIPGDCEGGEQLDNILENPVQTNTFGPSCGSCHILIPSPWIEKIRDPFYLEELIINRQ DYAVAKHSRLACAIKLEKWMDEMEVSLPINENSENM >CAK72706 pep:novel supercontig:GCA_000165425.1:CT868130:318774:320027:1 gene:GSPATT00009098001 transcript:CAK72706 MKLILLITLFAICTCLRYDDGNSGNSVIEEQIKEIQQHKIGQAILKMAELNYKLQGPVDE LIQTIKSFGRQLIERVEQENLDYEAIKAESVVKVQQLKQIISDAENEQNKQRKRIGQELE PRKNDIEKQLLRLKDSKQQNESRLKMQEALRKQQYENFEKSKRDVGDLIKVTDDTLKMVG SLLPRPDCSFIEMDKIQLSKEESKDLTRRLRSIKGRVEGLKGYESMMETLVQLTDSSFKQ RHFIKSVLDLLNKLRKSLVDSQNKLINDEKEQERAFSQWDSAQDGETNVFEREWNDLLEE REDIQSFMADCENIIKIHQADVDLYGERVKLEEQSLLISKQTHEELVKQINNELDIIQKS IKLLYSPAVFEYLRYKINLGP >CAK72707 pep:novel supercontig:GCA_000165425.1:CT868130:320039:320504:1 gene:GSPATT00009099001 transcript:CAK72707 MIYDQPEHFGLFEKTFTAKEKEEVKQFLKYDRHVPLKLTEQVFHAEADASRFERLGQVGG AVYSFSFFFFPIIKGLPIKQRLFWAAVPGGIVAWLGWRIKEELEWNRVYNCYQKYQVAHS MHKKVFI >CAK72708 pep:novel supercontig:GCA_000165425.1:CT868130:320515:321737:1 gene:GSPATT00009100001 transcript:CAK72708 MFFELDDIRRRHSLYFDCYNAGVWTDAPENSLRWNYTRGAISGLLGSLIGETWHNFYENW KLLLRQYEQPNTVKELYNFSKATVNLENFKRSMGTRMQFAFASGGIDWALRLAAFRAVNH GWQRTWGTFEYGFLRKVPGTVFISLLTAPIGIPFEVARMAYYADKTFPKELQKGYTSFFN ALWRIPFEEGPYYFFKNSFPLFARNFFQTLTLFYSFDWMKDKLSVLTRVAEIPYFPVKIV NCFLSTYLAILTSYSLSQVTREMVDLWPKQNGVCPYDGNYRKAATHIWYARNLNNYFPGM LRNYASRQFLPMFVTLWWADSFGLFTYWKIDMFSGAGSNTWEDSFC >CAK72709 pep:novel supercontig:GCA_000165425.1:CT868130:321780:322949:1 gene:GSPATT00009101001 transcript:CAK72709 MKTTLLLVLVACAFATNTALFDRIEQSDLGRTLLNTIAIQMTTGEPLERIFQTLYDLEDR YIADQKEDDANNQAFQQVCDADLAGLNQELANLEQRNTELQAVLDDLVPIRDQKIGQKKA KELQKAELQKVIDETTAKRQEQADDFEAQRQEYTFVSSVLAEARRLFTDNLQAPSFLQKG EEKVHVTPQIMAQVASHMSQGAHKASTMKHVRTFGKAIKLLANLANRTQQFANQDLTGRV IKLIDDLQNQLSQAFDLARKAEDDRTRAFQAYIDMNKYNSSIANLTAEIQSLQDRIDATT ASQNDVLQRIQAKTQQRDDRRGECQEAAYDYQQRRAARDKDRQTVSDLIGILNSNMRDLK EYIALRIAAGDKDLE >CAK72710 pep:novel supercontig:GCA_000165425.1:CT868130:322955:324518:-1 gene:GSPATT00009102001 transcript:CAK72710 MGICKCIAKSAQTPSALSLEFTSYKDAYEGNGGNLRDYKLEDPPLGQGAFGTVWKAVHIA SGQLRAIKQINKLKANEDEYQQIINEVNILKSLDHPNIIKIFDYFEENDHLYIVTELCTG GELFDKIIQSNYFSEKEAALAMKQILSALNYCHQSKIVHRDIKPENLLYDHEGEDSQLKI IDFGTSLKYGNQKLEEKIGTVYYMAPELIDEKYDEKCDIWSAGVVLFILLCGSPPFDGET DDQIVKRIQQGNIYFEQQQWKSVSNEAKDLIMQLLNKNPKKRLSANKALLHPWIQKYTSE ELEAPELKNVLNNMKHFRTSQKVQEAAFLYIVKQFISKEEKQELQRFFKFLDKNGDGVLT KQELLEGYKKVISQSEAELQVEQIMKQVDKNESGLIDYSEFVAATINKQKLLQQDILEQA FKAIDNDNNGAITVEELKHMFGAGNKIPSETWTKLMEEVDKNGDGVLSLQEFKEMMLKLQ ID >CAK72711 pep:novel supercontig:GCA_000165425.1:CT868130:324520:325178:-1 gene:GSPATT00009103001 transcript:CAK72711 MDALFSMFDSFIRSNLSYYPMNQQGYIMECWEQFLSLLQTQPLDYGKIYSLINQMHEIDK YERQSSTQRQAARNLTAASSPRIISSQQKNCMKQQLNLANNLLQKFSQLDNATSPKIQLS NLTSQQSLVNKQKVKLYTEDFNRHLLLSKIKELMFNQSVSTDKLYAMARIQQHTSSKSPL KYAPTKTRGNNHSAEHYRA >CAK72712 pep:novel supercontig:GCA_000165425.1:CT868130:325483:327031:-1 gene:GSPATT00009104001 transcript:CAK72712 MEDIPDFKEEQQPTGKDIQIDNYNVSQFKNFGLKEEILRAVKEAGFEHPTRVQAESLTNA LLGEQLICQAKAGTGKTAVFVLTVLNTINIDSNKVECLVITHTRELAQQARDEFLRLGKF MKNVKVECFYGGGEPVSVNIQTIETVKPQIVVGTPGRLKDLICERKALKVDRLKYFILDE ADTMIEDLNMRKDIQDIFFKSPQEKQFMAFSATFTESSRTSLKRFIADNKHIYEITIKPE QLFLDKLKQYYMKVPEALKFHYLRQILNTCKLNQCIIFVKSSEKADALVTELKKKGEESV RQLYGGNRLGPDHQKMRQKTYEQFRNGHFRLLVATNLMGRGIDIDKVNYVINFDMPDSLE TYLHRVGRAGRQETNGVAISFVKSEEESNDGKKQSDDDVLQQILKQYPDKLQQLPQDLST LDKF >CAK72713 pep:novel supercontig:GCA_000165425.1:CT868130:327361:328296:1 gene:GSPATT00009105001 transcript:CAK72713 MISELIEKNQFNDFYILFDDNVKDANKTVKSFYEQFESEYCQKGSENFNNPNKFKIYINE TMNKGRSKQNVSSIIEKYSKNKNLESSKVFMQSCQRSPFLCLIVMTKEQFKSLDNILELV VTHKENDSKTIIILEEIKELIYAQNQFVQYQQEFDQFVLDLQLNNKVDVINTKSQKQTIE EIAQCLLCSVQWKKKQNKIPCLFRDRGDFSLFKAENAGITNTYELYWMAMLTQIPGISEA KARAIVLKYPSVKSLINAYKNTDIEKRPHLLSEIEVVQGIVQQTSKKLGNTISEQLYILF STTNADYKVLD >CAK72714 pep:novel supercontig:GCA_000165425.1:CT868130:328302:328745:-1 gene:GSPATT00009106001 transcript:CAK72714 MKYVAAYALLVLGGTNKPTVDQVTQLLKEAGVEPVAADVKIVVDALKEKTLAEVIKEGSK QLTSLSVGGGASQSSAPVAQATQAPKTEAPKAEAPKKAEEPEEDVDMGGLFD >CAK72715 pep:novel supercontig:GCA_000165425.1:CT868130:329129:330304:-1 gene:GSPATT00009107001 transcript:CAK72715 MKQMLTQTGFGDEKKELLKIKSEWRSLQNNEMFQDFVFLREASQTRLVTEKMEKERKNRK DSILLPFLKQQQQKRMEQARKFTLIGSLAQLSDFTPSVTFINKNNFEEIQSILSTLVKSV LIQQLQTLMPDLEKLVNACKQCGIVGFYFMAIYFQTQIQFVYCRRKEGYNIWKRFLRTCN LQGKRLQKYKLLAYRQLARCALDLGDLDKEAIYLKKLLKLSWVVKDRNYELLCYDMIAIN YYYRGDVNRAQFFHSKFVSGEFEAAESNIRIAGVSSYLNTQKLKAQVNDQDSFSLDDMDL DIIIQKDNILKWQKGLPVFDNRHITQHRVLINQWSCNRDLTVHLINREKQKHKTEEEDIG FVPLNQNIKRLLKLFQFDVKYFLQNGYLYDF >CAK72716 pep:novel supercontig:GCA_000165425.1:CT868130:330434:331426:1 gene:GSPATT00009108001 transcript:CAK72716 MNLPLLHEEMEKIERSIKEPSEIVDVFRNHLDKILDIIINKNSTQELKFQSLKELCHYLI VMRVSLDGILNDSYHTKREIFYMNQNVFQKQENLNLVIKQFSHNIQIQRKQLHIISASKG LVCGSLILRKQDIELDLLQLTSQGKGLNITEFMDEWLPEIYGKWILVVEKETVYFHLINE MHQEFLQNTVLITGKGYPCYATKSFVKYLSIMNPYIPVYYFGDMDPHGYDILQQYAFGNA YTSHELNNIPWIQWLNSYFPLDQLQIKKKNKLNQRELQLLEQIKSNANLPEEWKQIMDNK EKYEIEDMQFQNLSEFVLQIMQRQDCDLQY >CAK72717 pep:novel supercontig:GCA_000165425.1:CT868130:331965:332657:1 gene:GSPATT00009109001 transcript:CAK72717 MSNTNWQHEVSFDENKEILTFQTIWSEQESQEQGSLNNYFLAFSNYSTQNDQQNLVNFDC QDDVSAISEHFKVSIKKGPWKDQIKKNIHSTKMKKTQYKKKVKRIKEFQPEEDIRLLNLV HQHGTQFSRIVKQFPKRTVSMLKNRYYKNLRYRWEELMGIEYTQNEQESKTENQIDRMSE DSQFIDHQDLINMIPNSYSCPVISGMLSSFITKMDQFLKFQF >CAK72718 pep:novel supercontig:GCA_000165425.1:CT868130:334263:335483:-1 gene:GSPATT00009110001 transcript:CAK72718 MGGCEFKFRGSCDDTCIDIVIIFIEMSLQNFELISVIGQGGFGKVYKARLQKTKNLIVAL KVMSKVRVIQKKSVSSVMNELQILSTLKHDFIINIVSAFQDRYQLYLAMDFLAGGDLRFH ICKYRKFSEQITKHIAACIIIGLDYIHSNGIIHRDIKPENLVFDSDGFLRITDFGIARIW KPQNSHETSGTPGYMAPEVMCRQNHGVAVDYFALGVIMHECILGKRPYNGKNRQEIRDQI IAKQVILTDIPQGWSENAINFTNLLMHRKPTIRLGCNGPEEVKNHPWFRDINWAQYEQKL VASPFIPDGKLENYLKSNRLESLEDQSILHCEQIQFQEQFLGYEYLPQINGQTSLMSAFA SPRTSNIRTQLKEY >CAK72719 pep:novel supercontig:GCA_000165425.1:CT868130:335847:336784:-1 gene:GSPATT00009111001 transcript:CAK72719 MFKARKLGPQSYLDYLRDEMPTESTTITKKDQTYWNNKNQFFSKIAFTQQNPNESRNIGK IENFKNESQLRLKRNEYKRTILEIKSRQKQSQTSKYVVSDEDLNLPLFQRPPAYLDNQTD NYWNHLELLDKKHLKQVYGPKTFQRQRQAINQIDKNFQADIELQQMKLQAQFDFRNRLLN HRSLQASKGNVKALELSYLRQVRPEYKGLVLLNMTTIEQQYLCPTLYQHVEKYDISASMQ TKKKQQQQKNARSRSLNTQQSEIDESSCNQKEYEEMKETIQKFEIKLKEKCQFLQR >CAK72720 pep:novel supercontig:GCA_000165425.1:CT868130:338070:339380:-1 gene:GSPATT00009112001 transcript:CAK72720 MSQTDKELKRIEELEEQVNQALKRSRQLDDSTKFDESFFKQELKEMQERCQQNQQTMMRL EQKYLKTGYELKNSDIIRESSLEKSSVKQKSLLEQKNQQIQELQKKLFDTERQYNQQLSE VNRRNQQLEYQNQQLQEDLQNLQNQQDSIPDVMELHRALEELQKQYLDLQNKLQETMRND QQDYQSIKQEYEEYQLLTQNIINQEEEYQRRICELEEELQQSSTFQQEFMSRMKSNEELY SQRYRDLKQKYQQQLDDQRKILDQQIDELREQNNYLFGQLSNAECKIQQLEFEIQRKNNL QTSVHQENSVDLQVSRSKSFSACHQPQNVKTLSDCEINSKAKPQHYVGCYNKGKQSCTQQ SQQISNKQDQRNDYKQYDSRPVKQINISIEKENIQADTLSSYNKIRSQSKEQPITYKQVA EQRQAILYSKIPKPRR >CAK72721 pep:novel supercontig:GCA_000165425.1:CT868130:340118:340591:-1 gene:GSPATT00009113001 transcript:CAK72721 MSSLSFVSYEELIGIFQFQNTHIQFQKEYTKEWQESEIVKFKRFMRRNKKIKKDKVFFQY QSQFIQTKSYQQCRKFLRDYIRKVLDKHRYEVLKNHEILYCFGPLHPNFEVVETENRIKM RRIKEQSIDQNNKDEDKASITTSQ >CAK72722 pep:novel supercontig:GCA_000165425.1:CT868130:340672:342321:-1 gene:GSPATT00009114001 transcript:CAK72722 MQRTANSKQTPTGRPQAQPTTNTQQARAPPQMIQNMARVTDPKHVPKEIRDQFLKKIHFC SQPYDFNDDTKNVKEKQERSQYLQELFDLLKEPNFVANLVVPHLDLIIEMIEKNIFRPLP ILKKTATNGEIGMEDDDQLIDPAWTHLQPVYEYFLQLIVNEQPDVKSLKIFITHSFIQEF LELFDSEEPREREYLKNILHRLYAKLVPRRKMIRKAINDCFYTLIHETYKFNGAAELLDI LASIISGFAVPLREEHVIFFKTVIIPLHKVQTCQFYHEQLLRCSMLFLSKDPTLATFLVE GLLRYWPFANSAKEVMFLNELLEVLEVCEISKLEPLIPKLFKRLIKCIAGPHLQVADRAM CFFENDYFLTILKSYKPFTFPLLVPVIAQIADTHWHKVLQESLNALKTILKEIDYQAFDK ALNNKDPKYLYIIQDAKNQKKDRQKIEEKWKNLTKQALQKNPNFKEPIVPYSDTHIVGEH NGLNNGNITII >CAK72723 pep:novel supercontig:GCA_000165425.1:CT868130:342342:343515:1 gene:GSPATT00009115001 transcript:CAK72723 MIQQQVRDLFSFTPILQSTSLDDDQLPSYSTYAYDLYEKKFRRISKFVNFDQLNYVPFYA ASVRISNTNDFLITGGAVLQEDNQDQYFSTNFCMKITVNKDSVLISSFDSIQQQQILPSL IYPRHNHKIFELILNDKRAFIVVGGQYVNSNEFGVFNSCEMLIEGSNEWIQIAPLQKNRT GFSGFVQNNKIYIFCGISGFNEKKHEIIPPTQIEVYNPEKNEWEQIKYKCPLGITIGLDC LCLPINDNKVLILGGSKEQSSDQILIMDQDKKAFTKHPQKLSIPKAKCYGRFSRNIVTKK IIREENQETIQEEQKNLIIILGGSSYQLDLEIYQLLDEDNLQIKLLQKYEFGDVLDQDYE INGLPNKTSWNFVFQSLPILSE >CAK72724 pep:novel supercontig:GCA_000165425.1:CT868130:343557:344494:-1 gene:GSPATT00009116001 transcript:CAK72724 MILSLLILSLSTVYGSDDYDYTSNGKDWPDIHEYCFGKKLQSPINLDNNYTNTNEDDMYF FPKYKPTKVRITKNQTTHRIIPATDTDNFGPLYTVNSWSDSVAYGGKIIFFRSEAEHKIN GVQYNLEVQIQHTMLFATIQNRSTVSVFFIQDDTAQKHPFLQQVIDNYNKEDFILDLSEL ITSAFSIQPYYLYAGSFTYPDCTERNSWYVFERPFKAPSSQLSFFYNLYHNTEIFPNGNY RVVQDRKSSAKNVKVYYRKDPYAATAQEEQ >CAK72725 pep:novel supercontig:GCA_000165425.1:CT868130:344554:345193:-1 gene:GSPATT00009117001 transcript:CAK72725 MEYKTINYEQGKIEYGSEEIYVSIISKFINMTFEKQLQELYLAVQLLDQKRMEKACFVLK GSVGQILAFDYLQKTNALYDIAKKQQPHTDRDIIDLYHRYFDLIDASILLADELSTAAKQ LINITEIQSYSKFQCYAQGIRFGPVIQNLCKDTYKNTASQVIINNDVSNAKNASYFEQCL LYILTFFQNQGIPFWFPNQVRIF >CAK72726 pep:novel supercontig:GCA_000165425.1:CT868130:345282:346797:1 gene:GSPATT00009118001 transcript:CAK72726 MSWITIGSQNKGPQGRWGHTSVAQDDKLYIFGGYDGNYLNDFYSYDFDNNMWQTIHQEGQ VPEPRSNHIMLINSGTIYIQGGGGMSKTRFGDLYSFNNKTWLKQKINLIPRTYHTGCVGD NKLFIYGGESGRDLDDLEIVDLSGMTQYTVKVECQVKPEPRRFATLQYYNNQLILIGGCT QSYINTPSIYVASFKEVDNNISVAWTQLQIQFSKWGQSCIQFDDLLYIFGGRDDKDSDEL YSFDLQNSKIQIINNQNKIKARRKHSASIIGNSLVIFGGFNGKYQNDLCFYELPLINSVP LSVELPSKPYQEVPQYQFENFFQFENGIHFYKEKYDCLIQTDEKCFGVNKSVLLNTSGYF RELFSGDYAEGQLLQLDLSFVDHIAFGIVLIFSYENKLTLPILNKEELFRLLELCDYLDM MQLKEKTQYYIAQTVDKNTIQEIYKLSVEHQNNQLQNFCAFKVSKLKMPLQEIDNIQSQQ VNNMKKIRKLSIVNEL >CAK72727 pep:novel supercontig:GCA_000165425.1:CT868130:346842:347724:1 gene:GSPATT00009119001 transcript:CAK72727 MENSWKLITANSYYDAEANLVKYFTKGLGIDLQIVNVEYLFLFKQGNLPLLIIGPKVLQK GEISKFLVNEYLKNCQQNYEQYFNLFDNCYQMLEIIDYFMWAAPSNILYQYKDQYTFFNA INKYYQCRFLINQKKRFKQLKYLDNNNVNNKAKIHLTIIQHWIKMQHEQQQPVNVYYIFC FVVLKSIIFNIRYSQLYNQILKSELKDLLQQMTLRLEQEFQLEPIQGIKDSVALYNQQLD KLLQINKDLKPKRNSHQLILQFISLSLFTYVLISNDFK >CAK72728 pep:novel supercontig:GCA_000165425.1:CT868130:347956:348300:1 gene:GSPATT00009120001 transcript:CAK72728 MTRYNLIIICIIRINAQFSALSNRIYIQKCSNQNSLIYNYTFSKNYKMTENFNNQTQITL MTERYNEQYEINKETDIKMQKGLKKDLTDCVTKVVGEVAMKVCFALTVAFCIGC >CAK72729 pep:novel supercontig:GCA_000165425.1:CT868130:349693:350514:1 gene:GSPATT00009121001 transcript:CAK72729 MIIVKVEFQEVSKPYKIRVQKNTKNIEVSRVYDSSDDSNIKTTDMIIIISLVTTQLYEIQ VTIQKFFDMTENLDNFNAQNFYRIKQIISSLDKQERQDKIKKSKRRAKKFSNEEDQRLLN CVQRRGPKFISSQDNFQELLLIFQRIYTINNQDLLQIIYQKSINLDYQSQNHHLVFMLNW KILSIEKDIDQWYLSLFMNTFSRKPMISVIYPVSFSFSQFKLILEYKQTHGLFVPFRSVL IRIQNYSHQQINNILDKSSYQNYLFRTNIPNLN >CAK72730 pep:novel supercontig:GCA_000165425.1:CT868130:351328:351492:-1 gene:GSPATT00009122001 transcript:CAK72730 MGCTSTKLKNKKDLCFINVPLQAGRKMSKEEGIITREYKIKLDKDPLREKVDSQ >CAK72731 pep:novel supercontig:GCA_000165425.1:CT868130:351885:353075:-1 gene:GSPATT00009123001 transcript:CAK72731 MIQDFTFQRISSSSMQTIELKSRFIIQKNIGYGDYGTVYQGVNMHTRETVAIKELSHCIS DEGINAQALREIEILRSLHCDQIVLFKELAYQKRNTYIIMEYMEEDLLTAMKRDIFTEVQ AKQIMFQVLKGLAYLHDIGIIHRDLKPNNILHKNLTIKICDLGMAQNLKKFKPQTTRIQN HQYRAPEVFLGQKYCSKVDVWSAGVLFIELLFRGNPFKGSSETNSFQQILKLCGTPTEET WKGVTTLKNYPKLINNESFPKILHKMLERKMSPLLVNLIDQMLILDPTKRISAHLALQHL YFKGTNIERCLKMNYLGMKRVKTQSQTDTQVHNYPDGKRIIVISKKY >CAK72732 pep:novel supercontig:GCA_000165425.1:CT868130:353450:354136:-1 gene:GSPATT00009124001 transcript:CAK72732 MCKWKSNSCQFKRCEEIISVQECYRLKYCFYNSIDQKCQELTDCSMLTAASQTECTDQSM YCGIYNSELKQCQALSIKNCNMYTTQQECQYRSQYQECSWKNQQCQEFDCTVLKSQKECL QYYYICIWVIENQQCIPASCYQKPITDCSFVIGVQNYQFFFQPCLVDYSEGQGKCRDANL SELSPLTCSLNTGNAATWSDKRLDSGSCQECDVYIIKILVITLLMMIL >CAK72733 pep:novel supercontig:GCA_000165425.1:CT868130:354303:354726:-1 gene:GSPATT00009125001 transcript:CAK72733 MPHIKLPNYRLGISPSVRSSYKMDNLNPSQKLDLVAARIFGISFGGNLRNGMKAIKRLDS GQNRARQYSVPVWNPAQWFPFMTQWKKLEFNRKLVDGRKMRIMMRGVKIGRQKGGEKISI LNIYERKKASME >CAK72734 pep:novel supercontig:GCA_000165425.1:CT868130:354795:356015:-1 gene:GSPATT00009126001 transcript:CAK72734 MGTCCGTQSQLQIVMPKFELSILSVYTQKAILIQRAYRCIIEFKHRKRRNTVPVSITNDS QRSHQEPIEITIVHQQPQDEVAQMEQIEEVPVVLDHSPPDGVKIDRKQSEYPASINSLIF RIGNFNYKEYLKKESQNYEEEELNAAFLDPYLLTDGTIYLGQWKLGKRHGKGRAIFQDKS VYEGFWKNDKMDGYGRLIFVNGDYYEGEFKENKANGFGKQVTSQGYSYEGYWVNDKQQGE GTEKFSDGTNFSGKFVDGNKTGIGEFVFSDGSKYKGSIVNNKFNGKGVFHFSDGRKYDGQ WKDNQMDGYGTFTWPDGRCYDGYYVKDKKHGFGDFTYTDGSMYKGNWVDGKEHGQGTFYS KSGLYREGEWINGKRVKWTSSYQTS >CAK72735 pep:novel supercontig:GCA_000165425.1:CT868130:356419:357411:1 gene:GSPATT00009127001 transcript:CAK72735 MEKSLFIAGFLSLLSAGVYFSKFYEQNDHDQFKIIKQYQEWQQKYNKRYPTQNEQIYRFS IYQQNIMKIEDFNSQNNSYKQKINKFGDLTDQEFLTIYLNLQMPARVKNIQKNEEPFLVQ EEVDWVQKGKVPAIKDQGDCGSCWAFSAVGALEINTKIQFNEIVDLSEQDLVDCAGPYGN AGCDGGWMESALDYIIDSGIAETKVYPYKGEDGICKSVERNFRRVIGYVDLDGCQDISNA LIQQSVSVGVDATNWRFYSSGVFSDCKKYLNHGVVLVGINKNGVWKVRNSWGQDWGEQGY INLASGDTCGVCLTGSYAILK >CAK72736 pep:novel supercontig:GCA_000165425.1:CT868130:357413:359564:-1 gene:GSPATT00009128001 transcript:CAK72736 MGQGSSKFYAVPVGEKKDGESHVYRNPNNTHKLLDNFEGETTVQGIFLRSCQKYPNNRCL GKQVVTGENSRHYVYKTYLEVRNVAEQLGSGIKNLNLIPNPVMYEGQELRMIGIFSKNRE EWLLLDIANTLYGNTMIPLYDTLGLESIPYILEQTQLSTLFISSTSVETLLKVKECHALK FVVTFDELPQDKVQQFSDKGIKVFNYEEVLNAGKEKIHPLAEVHGNDIFTFSYTSGTTGM PKGVMLRHLNFVTVAGGVVYQGIQLYPTDVYLSYLPLPHVLERVVVTALLGFGCTICMYG GDVLKISVDIQLVKPTIFVSVPRLYRRLYNAIKEKAEKVEGYKKTLFEKGLASKMYYLQN GGHVQHKVWDNLVFKATKEAFGGRVRLMLSGSAPMSPEVVDFLKCVVCAPFLEGYGQTEG CGGSFISRADDPISGHVGGVFSNIEFKVVDVPQMNYFSTDKDEQGRPTPRGEICIRGNGL FAGYYKEPEKTKEMIDADGWMHSGDIGLIRPDGSLKIIDRVKNIFKLSQGEYIAPEKVEG VYLKVKGIAEVFVYGDSTKSFCVAIIVPEKPFVLDLANTLGIQGSFEELCANDKVNKHFF DEMVKKGKAEKLNGMENVKKIYIEPTSFIAHGLTSNTLKLMRHKAREHFLKQIEAMYQGS E >CAK72737 pep:novel supercontig:GCA_000165425.1:CT868130:361394:362200:1 gene:GSPATT00009129001 transcript:CAK72737 MKTQLLLIAMISLSVNALTATTQCDCTELVQSADCKGGCTWSSADSSCSTKTLDCTSFTQ DTCDNYKGCAWSESTSKCAAFTACADYTVAVARACYAKDDTCVPGTTGTDGKTPCKTGTI TCSTFTSASDCNGMSPSDNAVCWFKSGTCSQVDVSKCSVITDQDICQVACKWTTAGACAA YTCADMTTADSCVAVMMDDGSGINICSWDSATSKCSDAADVSALTSSNCFAKTVGYYYWD GSACSECSGSSSNGYILAFIGFIAMLMF >CAK72738 pep:novel supercontig:GCA_000165425.1:CT868130:364053:364928:1 gene:GSPATT00009130001 transcript:CAK72738 MKTQLLLIAMISLSVNALTATNECDCTEIVQSADCKGGCTWSSADSSCSAKTVDCTTYTT QPTCDAVNTCAWNDTTSKCATFTACADYTVTAADQCYDKDSTCVPGTTGTDGKTPCKTGS VTCSSFSNAADCNFKSPSSNAVCWFKANTCSQIDVSKCSTITDQDVCTILCKWTSAGACA AYTCADMTTAEACDTVLTDDFSGVNICSWDSATSKCSDAADVSALTSANCFDKTAGYYYW DGSACSECSGSSSNGYILAFIGFIAMLMF >CAK72739 pep:novel supercontig:GCA_000165425.1:CT868130:366803:367667:1 gene:GSPATT00009131001 transcript:CAK72739 MKTQLLLLAMISLSVNALTATNECDCTEIVQSADCKGGCTWSSADSSCSAKTVDCTTYTT QPTCDAVNTCAWNDTTSKCATFTACADYTVTTSAQCYAKDSTCVPGTTGTDGKTPCKTGS VTCSSFSNAADCNFKSPSSNAVCWFKASTCSQIDVSKCSTITDQDVCTLLCKWTSAGACA ANTCADMTTAEACDTVSTDDFSGVNICSWNSSTSKCGDAADVSALTSANCFDKTAGYYYW DGSACSECSGSSSNGYILAFIGFIAMLMF >CAK72740 pep:novel supercontig:GCA_000165425.1:CT868130:369669:370531:1 gene:GSPATT00009132001 transcript:CAK72740 MKTQLLLIAMISLSVNALTATNECDCTEIVQSADCKGGCTWSSADSSCSAKTVDCTTYTT QPTCDAVGTCAWNDTTSKCATFTACADYTVTTSDQCYAKDSTCVPGTTGTDGKTPCMTGS VTCSSFSNAADCNFKSPSSNAVCWFKSSTCTQIDVSKCSTITDQDVCTLLCKWTSAGACA ANTCADMTTAEACDTVSTDDFSGVNICSWDSATSKCSDAADVSALTSSNCFDKTAGYYYW DGSACSECSGSSSNGYILAFIGFIAMLMF >CAK72741 pep:novel supercontig:GCA_000165425.1:CT868130:371388:373250:-1 gene:GSPATT00009133001 transcript:CAK72741 MRKAYEQDYINNQNAGLLQQDDEDQNDGQTKSINYIRPRPFPHENNRECKLDVVCHENEC RILQKLCCQECAHFVHPNHKTDSLDWYINHIREKIKRRHGVQQTTTHEISVLKSEQRKKR MKYMKYIIKQLKKVEKECRSIEDTTEQKIKIKLSSTSRKEAFDKMIRLLKLVRHQNLDQF KQHHQEFLVKDEVDQQMALQYNEERERKELQRQISEIVDQFFLADERERQKLQQNQSLQT QQQEEIKALRLTGGLWERNMNKLAGALKRFSKRMLSHSKMSIRPNSSTHNIVLVEVDLAT NEDDPTQTPKILQILPLNAENLITVHPNEIKLWKYSKCYLEFKPIAPLPFLNLKYVCVAD KKDEFVVLFGDNSIMSISSKSMNSKNKQFICPKQGMTLYRILISPCQNFLIASGGCKQNC FYVIIWQFNDAKIRKVLRYQYSFPNEGDLLMSETNQHIFRCNKNKIDVFGLIDGVKKQTL QLQNVRDCIEQATILHNFQEQDTLCVSTNRGFYVYTYDDNVVENNYKLVYSFSQTCHCIF HVFYQYGLEEEGFMLAALENNETKVKFQDLNNKILLSGIQFDDQILTGKLIFQREFQNQQ LVLLLGFESGKMRVLKFIKK >CAK72742 pep:novel supercontig:GCA_000165425.1:CT868130:373410:374909:-1 gene:GSPATT00009134001 transcript:CAK72742 MYSTYKHIFKQMSDIMQDPIINEFLSVKQIEGNIPDRKNIIKFGLILKQLDQFVKEQMVI EQKIKGKLLAIIKYDKSFPKFVNFIQIINNEYTIEFSQAHLDELSHITDSHTDDLYTLQD IIYEVVKRIKTRVNIEHLKHLLDQQIQHPISTKLYQINQPPTLIYLLQHNERIIFILIAV CNQEQIPLPCFINCLYLTGDQMKLRIDQNLERTILSIVQVKKVAEELGYNNVSLAEQGLL ELKLQYYKQYLEIPEQDLIDSTLSVLVMKGIEVNQSQIRNLLTQTSKIQSSPQINRLKAL FNQLLETSQQMTERRQQNRNHKVNIVIVLKEVIAKMIKDKTGFPQIINLIKLRNGNLKFD TSDTLLRKYFQFIQKPLIDLYSVTSFTQLNNFCRTSVLNQTAYCEAEIIQKMLELVYQER SKYLVDLKTIFEESKQTSNSLLMILSICQEKSNKPVEKTDKNEILYSIKVLIESLLQYTE KNKIIDLDSDEELKKQQKI >CAK72743 pep:novel supercontig:GCA_000165425.1:CT868130:375041:377751:-1 gene:GSPATT00009135001 transcript:CAK72743 MQLPTFGEVFLTTDDVQGGLENLKLFKGKLISSLCGGCRKLFVIEEGLDNQGIISTLHSP EHDEYDQTFSQDSNNLQSFDFKGSIKTIVTGKKHTVFLSDQGDIYSYGYGEYGALGHGGI IELSIPKKIIRISKVDTIACGEYHTLALSNGDIFAWGRGFEGQLGIRKDVETAASPMFLS YFYKNRIQLIACGAYHSLAVDQQGALYGWGEARFGQLGTGRKVSEQLPSRIDFLLEKPMN QSELRVGKFLPQETSFQVVSISGGYGHSAAVTSTGELYTWGFNQRGQLGNGDKDKKSSYY PKRLMQDISGIQLPGFSKVMCGYYTTYAIDQKGGLWSWGGGNLGHQNDKLVDLPRKIVLE DRKFTNMYANGQAAAFFASLRTISMKPNHGPSTGGTIISLIGTGFCDTGRQSARFKLGDQ YTEVGCEYDSLTDSFRCTTPNFEEHLDKYPVECVVEVTLDGNVYVECEQKFLVYSSKIVI SSLYPKCASIQGGTTLIININIDDLTASYMKHLNIGFQPRNKKKEDKSAMKQSTNKPLNP LDLSINDPELDKENWMCVEGFYEKGKISCTIPNVSQLQTDSLNFNVDVSINGQQFTGNPM VFRFYDIQIKELQPDNVMSEGGASVKIIGDGFFDTTNKRVIFKTLFGERLIEIMWDKQDR FYSFVAPPLSWLLGGQQPTPELLNAVKANGVTALLTLSGIEWIPIGTFYYIDPEVLRLGP FLVDEKLPEEQKKQLIHQEEQEIDHLKDLQGADLQKKKDEVQKQLETEEQEINYFFKKTG QYLSVYGENLANTESLLVQFIFNGNMAVNQKAIFKNKSKLVVQIPELELPTGIHDVGVEI TFNGTSFSHSGKVFKYMAFDKSLNEQQKSKLEDEEIKKLKKTQPKKK >CAK72744 pep:novel supercontig:GCA_000165425.1:CT868130:377769:386105:1 gene:GSPATT00009136001 transcript:CAK72744 MLRGGGACANTRPQQSMQMSTLLISNQFESILSSNIQYISKNAEDTQNNYKELHSSLQAL LSLDYELNNLIKSQNQLNKQIANLEKSLQSILESCLQLKNPQLRYYAVKFAEKLSRTIFW HYCSAKSIKDGMQQNYINTFNKIEVLNNSEEGFYKNLTEYEITISKMIFTILPNDFQEGL EVIALFASINQVLMNALPKTMRETIKGGIVQSIRDATKVQYRKIYKQIFNIDIMKWWVLT DLKSTTHTIKEIISELQEFHKELSKSDYYVQQAWIIAISEIVSYTPSLSEDQLSIEQPDI QVLLDIFCLNKVKEEYRISINQAKLKCTQCQGLYEKLPTLTVLQQIQEQIISRERFFNIL YDNKEEIKEQQKQETSVKTIFEYLFTLFIDCDIEINIDLIRKVNQQYIEINENIQLIKQI SLNKWIRMLESKQYSDPVVFGEFEQKQKQIKHLINKVKGIQRFLIQLDFIFSQWNLLEQI AESDQKQETQLAVFLENKQNQLNCQQISQMNLENLKELLLLNINFDVYFAILTEFVERQY TAVYQVVQSTQSTDPVLQLYKQYKDVQDIVQSSFRKVNEKILQFKEIFQHISEKLKQIEY NCILKFYHVSLKLQHLDLPNQQFEFRNQDHQTLFFIQNYEKQILKTQMIELHKEFKEFVA SSQFQDYDQAKIYLQTIQQICKFMESLLHQNKKLFQHLHNFKQSLQIESAHGKFNDLLNL WTMILQTTTELDSQQFDQAVFLVKFDQLQQKQEQIIKLNQQIRWPFNQYLSQYFKMLTQL DTIFQELKTFKTYDSTADPNTQNRYDSLRLNLKQICQSQIQRIKSTLEQYNQGVDQLQNK LDNQSHLSIEELLSQLVNMQEALDETLNLIQTRLTQPQSQQNVGSLNLIQNQRLTDFENL YNYKINTDSIQVASYIKFNYNFIEQNAIMESLRLDLNDDINHHVVQSGIVSAPIRIVMIT NLINIWNCCIGQEEFDIISKLILQMRLNESNQMIKKEIKTTYKKEFGYIIDNIFQILLPS VEEDLRLKIKERNQLSFQIKYEPSFEKSQNLNEKLNNHTNQISTIFTNLQELEQEYNYRI PFYEQLMNEFKKADQSTSTLDVSEIKFYQGKQLTELFLLRMDQVSRMIKIQQVKNAYVEL ECQKKNEIKKLLSKKDNKNGIIDKFLEDQQSYQLLVLQGEAGSGKSLAIRMIEESVWKRN DAIKIIPIIIKLSELKDPIHNAVTETLRSLNYKFDLAQIEQLQKDVNSNKMQMLFIFEEY DKLSQDQIGINLFKSNNLNQWKTPSLQKSPKYIIATRSELFKYANHLRWIDSNEVDYNYQ NYWNLKIMPFDLDQKNQFLIKYQELIIRNTVIDFYQIMCEFEHQDLLIQNFISLWKKIDI NFNELSMETSLISQPTITKIINALKQEPKIKNGNEVAFQQLQKALEQLKSCYYYQRMLEQ LNFQQPIDNPQILNVFYTALPKLLQNMSNIQRIKKEYYDIYFNDTYAINCDISLCNSQIE KDWNQLVNSSFFRFYKADEPITKIQILLAQNFGEDQQFQNKVLRIFEKMSITHYDLYEQY LTNFFDTALIHIQISQKSIDLEAFQQEQWNFCLNLAQKLSQHEIFTIHFNSKGSLIKVGG SVTEWEEYFNDDEYVQQNTKFSKKLLRSSIPLREQFSIYAFENKIIQEFLVAKAVITQLE ALETQSIDEVMANSILKFKTISNQFFQGTVNFLVQKCRNRFSLKQQLISLVRSSAQGQQY SILASNALYLLQCIDGVIKGLDLQGIRLKDIPIDNCHFYNCNLQGSEWTNISVNSLTLMK NNMKNMKCQNFKLNTYSIKNTVSNPKFIRQFKSGKSFLINENGIQNLKGGTIIQGKFDIC SIDEIKNRFYVKTSLSVYVLHEQNEQIIAEELKIPNVHSISEFDQFIMLFQLKSDVDATI FELNGNTNEIKYQIPIDLSVVKHYNIKKYFQMYGNQILFQTNAKQVSSMHYQIENDQLQF DNLTNHVTINGDNQIVAINYYNNLDITVISFSDVETNQIYDIIYGSINQLIGRFIFLENQ KSEFFYLKNNKLQLINQGTFKMINECEISNVKLQLLHNYCNKIDDTVLLSFIDSIVILSL RPFEVIKFIQVDAIDLLVNLQKNQLQILTNYEIINYDIKYLEDRQNQIKNFNYQFEEIVF SQNSPNFLGRIRYTNEIVLATFQNKDFEFKILTKSENPRFYLSNDLNSAVICEEDCTVYF DLKTNKQIRIVNLQYEKILWIKDNSNLFYIQSGFVEEYRNSVITIKNKIVSKQSYRSIQK VQSTENKLYITTTTNDTIVINEQFVQDFITSKQMIKKYREHYITESDLRFESKVRNTITI NYQTNNKIRDFWMFSETILIKEQIDNSEMGFLVLLDKQNYKVISEILVQIDFIAYTADAI QIISNRLIWLTYSAFDLQLLQTNILNVNTMFVVSNHIDQLMIISQYSEITIWNTITYVAD YYQKNVELIQYVKMIPGNKNEYYIADRDVLKLYIQNEVRFSIQLSKKRIDLLTNKYGVHH YIYQVEDDKVILNCMSEDNKLIYWKKIVFELDSIREQIDCYDNKDNRLVFFGGSKIQQVQ LDAFDDIKVLDIPANFKGVQIKYNRDCSTIYFRSNEKILVIEAMTMNLITQVILMEILPQ PFDFNCQLEMYCQNQNNIIIQQEKNGYHYVYNYNQQAAFGQLDIVTIGNYHHFIGTNSYG LLIVDGQTGIIELFMPKIVNPKNNFVSYIRQQQFNKSNIVQCQICDNLLENCQIISNNGE NISELWKKGEQVDLLISF >CAK72745 pep:novel supercontig:GCA_000165425.1:CT868130:386167:386875:1 gene:GSPATT00009137001 transcript:CAK72745 MSRYINLVKIHIIVESKTRVFHANHQRSLILKYTEKNLMINMENRHLHPSIRNPYLQKRI SKQGIQSIYNSNPSFDLLQTKLKEFSNKKSISPLKSRVDQNSPKRVSGIQQQISKIGTLN FTLPSKQMRIGSFSDTLQFNGSISEDRRIYPVRLETEVQEKLAFSQKPITNMIPLHEIMN MRNKLENAQLNVNQISSAYTSEMVKLASVINSQLKKKSK >CAK72746 pep:novel supercontig:GCA_000165425.1:CT868130:388982:390495:-1 gene:GSPATT00009138001 transcript:CAK72746 MIYSCQVIRKHFLLNQTYRLQIQEEKLLIGLEDRPAKYELDINLQNKISWKVSDQGIIQA FGIKYQNSCKWFYGQPSDLLQIKSILNNRIFFHSISNFYTSNEQIGSGASCKVLLISDIQ TKQKYAAKCISKDYITKKKTPDRLNRLFNEINILRSIQNHKNIVKLIDIFEGEQTYYLVF EYLEGETLHRFLKLQTDLIPDISIRIILLQLLHGINSLHSENYIHRDIKLENIVLSKPSV ISSLKLIDFGLAISNTQPVSFAVCGTPGYIAPEILQHDESKQGQKFKFTFKVDMFGIGVI LYRLMNRQPLFESDKTKDLLQQNKKCHFTKNYHNFYTNALNQVLFGLLEVNPNKRLSSEE AIQFLTSQQISKEIGGSFATTKSHQNEADEFEVEQTMDHANQPYPFSAKILKQELENSYH QNDDNRLLTSMQRSYYPSFSLNLSCDLIDSFTQQISMRNALIEDEIIESDIKQKQKQK >CAK72747 pep:novel supercontig:GCA_000165425.1:CT868130:390960:392918:1 gene:GSPATT00009139001 transcript:CAK72747 MEGYLLKWVNPFQRWQKRYFILNDHILTYCDQPGGRSKGQIHLKVAGINESKDDPLRITI NTGTGQILLKASNVDDKSKWLVALKKNQEYCQRHQVFNYGQRIQELLTDIWSNFALFDEQ LTYLQDKATLIVYKEINQIINLGQYLKNGITLCCTLIEEEKIKLYGESDTIYESFNFENE IPLNTQSSNYLIRQEEQKQNQLENLNSQQFLESIRLSNPIKFNNIKFNRVYSRISITNEA TRKCLPYKQDPDEKFAFWPFLKECIGKDLTRIPMPLLFHQPLSALQFFATSFEYYETLKQ AARADDPYKRMAYLIAFSLVRCLLNMDCQKKIFNPVLGETYEYITPEYKVISEQVCHHPP VTAVHCESDDFVFSITMEVTVGFSGTSIMAKLPGLVHFRNKKTNEYMTYSFPNMIVKNLL FGKMYFEQVGEAIYTNHTTGDIGILTLKERTSQKDAYQLKATIKDKNGNIRCQISGYFDK EIYANNELIWKRNSFDPESKWYYFYSHHILQLNHLNEDILRSIPQTDSRMRSDLRALEYG FKDLGQEEKVRIEEKQRERRKIMEEKKQQHIPRFFKEEMDPISKRNQWVYQYNYEKEKHL IDLDLF >CAK72748 pep:novel supercontig:GCA_000165425.1:CT868130:392990:395095:-1 gene:GSPATT00009140001 transcript:CAK72748 MLDYIPFTKGQNNDVKVQSSTGGGHNSILKNQKYTLKFLQNKQNYAKINKVTDYQSLLNS PEQITTRSLDIQQYEYFLHKDALENRLKEYKISKGQQTTLTRKQLQSSIKVENVHLNENS DHYKKLELALNRSKFNRVGSIGESYRSQGSLSSIQKITRYQQLQQQTQYLIVQQQEEQNR QKSNQEDQSLSSIKVEEQNVDISTEKHEEVVLNEPIENENSVQCESQQQVEIQEQTLQQQ INESYAISQFSVPQQYSINNEISLINYEDDDDVDEEEEQEQAQSSKKQTKNKKQPKQKAQ KQQKQQQSNSKANQSIQSIKKSDGIRSNKISRQQSQMSISDLDRIEQIIQQNANDLDEAE EMQRDKMRKQIRELEEKIHKTRERQEQFQYNPEDYKDMSGEYLQTKKKEQQQLQQQQQQE QYQQQQQQQQQQQQQQYQQQQQQQQQPQQQQQLQQQQQQQHQQVNTPKKQGKQEIRPNIQ TQLNISKQAKQIKPPVTQSQGVLPNMNQNQRVQEHPMTAKSPRQEKINQEINEIESQRIS QHSIELERSVVESLRDLEKQQHHSTPFVSDPLIKIENKLKQMQEDMEVRQRKQSDLDFAD FLLTSGNVFDTNYEKYKIFQVDKKPNEPPGHFGEEEKNLYQQMKFWDAQNTGNSKKITAV ADIVRGIYTKFTSDVNISEADVKTQKTIDSDWD >CAK72749 pep:novel supercontig:GCA_000165425.1:CT868130:395258:396498:1 gene:GSPATT00009141001 transcript:CAK72749 MLFKIDCSTIEQSKKILITNIAQFPILVRILDHNAYNFIPTFSLLKRDECKYFQILKKQN FNDYRDIIIDAIEFEESKIDSFSVPPFWNEKVQGCLQKQSLSLPAASNENRSNSFISYER LPSINQTFLESKVGQINNDIPIKFNSQTLKQKGSNNETDSQFNQPRLNSLQSQQFNNSIR IGEENKFQNSTNSFESYHQNLTKTVQQPIISMPNDLNQFLDQIDQYASPFQHLTPSQQLS QTPSQKNISDNAQQDLSLSDTTSIQRFEQRKQSYLQQSKSQFNEKPKLRVSQTLIAHPKS GIESQKHFDKNKEIESQIQKQIAELRISKDTLSTELQQLKFKAMFHTKNKQSTYQYDIYI WHLLLTSVVFLIIGSVLKKMFSQF >CAK72750 pep:novel supercontig:GCA_000165425.1:CT868130:396529:397171:-1 gene:GSPATT00009142001 transcript:CAK72750 MKQLQNPYLCLIGNQRSLKTTLLQYFTYYKIVDTQLCVYLVCSEEKFLANTSLFGKLIPV YQETLQQMNVKLIKSYSEYLAVLGSFSYLDNNQYDIFIFDDISHFIGTDQKEKKLNLVLH LISQIKPRQQLDDFQIIVNLQSDIIGQLIDLINLIRYHNFLICELKLSPKLTIQIVDIIK IMDNYQLQYKTIIMSDISRAINDRL >CAK72751 pep:novel supercontig:GCA_000165425.1:CT868130:397676:398164:-1 gene:GSPATT00009143001 transcript:CAK72751 MSIRVKDQMLSPQFKEIEQELSTQECRKFSTISAAEFNTPETKYRSTIGPNKMDLSKDTN QMHYRNGTAKFEDEQYKLCEEIKALFLKRQSLNPALFEPKILLKTENNPKRIIIKRNHVA QSESNIFDQVIIDKIYLANQQSLKNLFTYQF >CAK72752 pep:novel supercontig:GCA_000165425.1:CT868130:398255:398491:-1 gene:GSPATT00009144001 transcript:CAK72752 MEVPQVSRTRLSSKKLSEGIRIDKKGHPILKGYKMHQVTFIDEIVKGNKIHNIILVDCWK EHNFNKFDSENQKCCLIS >CAK72753 pep:novel supercontig:GCA_000165425.1:CT868130:399470:400667:1 gene:GSPATT00009145001 transcript:CAK72753 MDQQAKILQGRLIQIRHVATKQYLKGTIHLVIEKTMFKKKELDQYYLGTTPKEDDFYTYF ILQKYQPTENDLRLGDVVAIQNYGQQQFVNLNAQKKSEVTQQCYAYLDEEKHYFVIRPEK DIFLNNQNAIDNSIDKKYVRITSIDNGYSLHSHLRTYKTESVSQYNEVTGYKNCDENDLW ELLPVHENLTKGFQPQAQTLEPIQINYGSTIIIRNFWTGWTLHSHKTCYKSTKAQEISLY SYPRDENDFWIIQKLNQEDKADRALRKNHEIWIQHNITKRFLSCANVLSYSQSGYQACGL EGKPMTGLLLQEFENSPLRMNQPFVIKHLTKDLYLSQSKFQTESKIGSQQEAVFVEQFNG LCLWIIELQK >CAK72754 pep:novel supercontig:GCA_000165425.1:CT868130:401976:403423:1 gene:GSPATT00009146001 transcript:CAK72754 MNDGDNSRMIQKKLNPGTVIAGKYKLLEKIGAGSFGMVFKTQNLKNGELIATKFEKRDES QKGVSLLIREIKVLQDVKGLKGFPQLKFYGRDDHYNFFMESYLGMNLEQLMRKCGGKFTQ NTVLKIGLQLLERVQAFHEKNLIHRDIKPENFTIGRQDCCQIYVIDFGLSKYYRDSNGKH IPFVSNKGLIGTARYASVNALIGNEQSRRDDIESLVYVLIYFYLGELPWQNIQVVNKEDK YKKILQMKQNNSLDKLNDLLPKSLVKMLKISKSYEFQQTPDYIGLKKLLQDDLTTDTKFD WEKIQGLFSDKESLSVSIDVQSNSNQFDDLIDIQPGLQNSMCKVPQQETKFINGRLDNSR KNIIYLEVPKRNSIIIEGASSPVGTIASFNTSKMNHYEGSNRNLASYKQFIDVQVQSLEN EYDDDYIPNQDDQPCLFVRNLVIGSKVHH >CAK72755 pep:novel supercontig:GCA_000165425.1:CT868130:403470:404151:1 gene:GSPATT00009147001 transcript:CAK72755 MDKDLFIQKYGENSSIKQAFLRKAYQNYFMLKSSINKDKNKKSNKSVDSSQYSSTKIKTN QSKCSFVESSSNKDNISQMTEFHYNKLKSKWLMDDKQNEVLISIMQRKRKQLELEEKQRE LEISKIQQAIQLRENLETDRKRQFYKESELFRNQQSERKYLEQEKLKSKEQEYLLLAKRM AKNEELAKLEEQIVHRQKCKLQFQMLEQQRKTNSFLLS >CAK72756 pep:novel supercontig:GCA_000165425.1:CT868130:404289:404838:-1 gene:GSPATT00009148001 transcript:CAK72756 MKYLKFYRNKQMKGKKRKKIKNSRDQIRQKKTCKQCNLLLFGQTDGLAKHPQQFQPGKGI CQFFQKSDFLLPKQPKSGLERIQSAWLPTYTHSRLMSATPLDTEPFPRPPSVKEKVWRPF SSQPNKLNMIQLVPHSPKDDALTPKKNSLAFDIGEKLVPMKPFQPTRRIFSAFPK >CAK72757 pep:novel supercontig:GCA_000165425.1:CT868130:405074:406447:1 gene:GSPATT00009149001 transcript:CAK72757 MKHIKKVDFIKYSSINKKFQDIYEFSKTDKLGQGSYGMVYRAIHRATGIARAVKIIHKAS VKQKERLTNELRTVELLDHPHVIRVFETYEDNDYIYVVMEICKGGDIFDKVLELGNFDEQ GALLIFIQIIRSVVYYQSLNIVHRDLKPENFLFQKNNDLNTLYIIDFGLARIFQPGLLQQ WTKAGTAYYVAPEVLEGTYDNKCDLWSIGVILYVLLCGYPPFYGETEQEILYSIQKGKYD FDGPEWRNVSLQVKELISQLLKPSQYRLGLEQILKHDWLSQYVQQGEVILTKANIERWQT YHQIKQIGLLYLATQLDQCEIINIKNGFLFMNKSQSGILTKQEIEDLIKYKYQDLEYYQF IAICLEPDVYKIEKYLMLMFQFLSQNGRITNESLKALDINLECNLDFKLFISLF >CAK72758 pep:novel supercontig:GCA_000165425.1:CT868130:406969:408516:1 gene:GSPATT00009150001 transcript:CAK72758 MNKKYTSSNLNPKILEDRDDINFGTSFIDVILDQNTFNDLTSFLDTGSLINFQLSSKVFK IRRWKKYFKKVIFQRLLQPLDHKLISQFIIDQKCQQFMYWDSVIDFKRLNLQYSHQYKYY SCQQSNEAANIQKDIDRTFSQHQYFKQIHNRQRLQRILIALSKIYEELGYIQGLNQIAGC FLISGLSEQQAFWIMYYILKKMKYATIFLEQFSQLKFLNFVVAVFLKNYVPNLSAQFLLN KIDIGIITTRWFLVIFGYDLPQKLLLQVWNLFLQKGIKILIKISISIFKLVSDFENIEDL YEMLKDDLFDLLDSNEQYQIKLIEYFKSFKITNRLVNELRSKFETNDESLTLVFDYTQKK HYWKKGGDSARSLISSFNEIISEIQEERDTFYQKSQSFLNVCFPRLINVNYLVASEGKKS LSIKIEKRPQLIKRQILSQQFQMSIPQSANGDQESNIYADEIQSKMSPNDDESDDTIDRN KSQDYKRSLNKHV >CAK72759 pep:novel supercontig:GCA_000165425.1:CT868130:409418:410383:-1 gene:GSPATT00009151001 transcript:CAK72759 MMIKKTSIDRKAIYDLFQNIDATFTQALLSTSFPFMHGIPDQQQIDNILNRVDADQVSNK GIWTDTQDQYLKIIVLGTCLKTKTRPLDLSTLQWDQISRIFKFHNWKACRNRWLNEKHKK VNWTPQEDQALMQLQSLHPNKWCEIAIELMKICQTPYVRLGKQCRDRWVNKLDPKILNIP WYKEEELRLFQEVKKRGKRWADISAQVFKFRRTENTIKNRFYNLLKQEENRQRLGRVPKD ERDILLIDSVIETLKRDLNKCDKSTSQNELNIDNKNFEVLEFDSQELKELVIIKQKKIQK LNNP >CAK72760 pep:novel supercontig:GCA_000165425.1:CT868130:410788:412620:-1 gene:GSPATT00009152001 transcript:CAK72760 MNLSEESTINLDSRRPRNKTETKCKSQSPQIKPQFTQYQLQHKKSKTKEKLAQRSEQLPN VTRKLNPKSISFSKNRNQFKPASQDFSLKYFIGWGNNEALVKRIMAKRLQWKETNDSSSM LVNFKWQQSERGYRYERLILSQNYKQLVNHFEHHKEISNKSYLIKNLSIYCDKHKLNVFD YTPLTFVIDFSDENCDYNIAQFLKTYEQFAPKKPTSKQMLDVKRRLRGNFCNSYQREPAS QFAKIQLNNTFLAEESTYMWLLKPTFLNRGRGIQIFDNLETLVKLGLKEKALNQKDESSG DEDIPKQVQSAQITKKDANQNIKQQPAGQCIIKSHSFVIQKYIERPALINKRKFDIRVWG LVTHDLDAYFFAEGYIRTSSEDFTYNIENTFVHLTNNAIQKYSQNYGQFEDGNQLSFKNY QDYLNSQNIKCNVQDLINKMKERIWMVFNSVKNKINFEDRKYCFEIFGFDFILDADLEVW LIEVNTNPCIEESSPLLKMYIPRMLDDGFKLTLDVLFPPQNPLKQSLPQIYQLPQIKEEQ HSDYPVVGYPNDENMWMLLGSLSDRKIKKKK >CAK72761 pep:novel supercontig:GCA_000165425.1:CT868130:412945:413700:1 gene:GSPATT00009153001 transcript:CAK72761 MLFNKRIWKKLIYPTPRYYFFQPPLFDANKDYYKVLNCTQTASEQTLKQEYYKLAKQYHP DINKGNEEKFKQITEAWDVLSDKHRKQQYDAARTYNTDFIKHTSNSYGYNQSNQQHSSRS NANHQQFSKEQMEQMQKQAEEIMKMFQSGQFNQFSQAFKQATKNDPKFKNVHTFINLAEK AEEYFKERQKTHLENEIKKEQNFSNFANKEDKLKQSVNNIAQGMKSIWNKIQKNK >CAK72762 pep:novel supercontig:GCA_000165425.1:CT868130:413721:414499:1 gene:GSPATT00009154001 transcript:CAK72762 MREDIQIHSVDCSEYMCVICLQVFYKPIITQCGHNFCGKCISEWMQKKKQCPYCRKEYQN YNFYQKDEVMTLKLEHLEVSCLKCDKWFGQLKDLKSHRQSQCTSPKQTDQLHETLVIQDD PEDLVQTIFNEINSIKKQQNYNSLLNIENEYMELLKVHPNDQMIRKKIKIDYNDDQIQEQ PDVLELEQEEICIFKHSQKYILKQCKKRLECQNKFLKEIMEGQYRIETKEFFYQVLGYRN YIVSHHQFQLI >CAK72763 pep:novel supercontig:GCA_000165425.1:CT868130:415667:417118:1 gene:GSPATT00009155001 transcript:CAK72763 MEIKISSNTFKQQKSKRQNFEQEKSLSQGPNSRVMRIEHQGIRKTLKRVPKDQTLNEIKI LQQLDHPNILKLYEFYEDNNEYCLITDYWEGGDLYEYLCKHDEIDEYDMAQIMKQLFSIL HYIHSKKIVHRDIKSENILVEKQEDGCILIKMIDWGIATQFQNGSKLYTTVGTPYYMAPE VFKQCYDEKCDIWSSGILLYEIVTGNLPFYARQADEIQRKILDTKPNFDLPVFQKCSPEL NNLVRLLLNKDPEKRPSAKELLDHEFFQLKKERKASQEFNTCFQESVSRLIEFRVKNKLQ QAVLSFMGSFQQTPEEERQLIRIFNEIDVDRDGKLTCDELAMALQKIYMYDELQAQMQAS ILMEQIDIDNNGFLEYSEFIMACSQRKVLLTESNLKNAFQQFDLNGDGVISVQEIKKVLE GNESITDEKWQEVIQEVDTNGDGEVSYEEFLVMMKQLL >CAK72764 pep:novel supercontig:GCA_000165425.1:CT868130:417136:418087:1 gene:GSPATT00009156001 transcript:CAK72764 MDYFRAIVKSEEISERVFDLTGIIIHKLPSNYNAYFIRRKCLRQLKLDLNREMEFINEVT IANQKVYQIWEHRRQVIEELNDFKGEIEFLHKIFDEDNKNYHGWSYRVWLCDRFKIYDAE LIDVQYYLDEDIGNNSAWNYRYFLLSKMPLDFNAELEYIKNAIRLKQDNEAAWNYLRGWF KMFKFKNDQENPYKTYVQFNLKDFNLLEFLNEVGLTNRFALSLKIQYLLQDGNYKDAIEI CENLQNLDLIRIKYWQYMKNQIIGLIQ >CAK72765 pep:novel supercontig:GCA_000165425.1:CT868130:418098:419488:-1 gene:GSPATT00009157001 transcript:CAK72765 MFRFHRIPKYLLSLHEYQTAEFFKSFQLPIPPGRICKTADEAYKAAARIIQEGSDKNSFT DVVVKAQVHTGGRGKGYFKENGFNSGIHIASTPEDVREYASKMLGNTLITKQTGSLGKKC EMVYVVERNFLRKELYLSILLDRNTGGLGIVASEKGGIHIEESDPNYIKKFSIEMPNAVE EIDSSIYESVSKVYKLNPIQQKQMTEILKHMFDIFLQTDATLLEINPLGIDLQGNLIICD QKLNIDDNSQFRQHAIFHMEDVRQKDWKEVEAQKHGLNYIALDGNIGCMVNGAGLAMATM DLIKQYEGSPANFLDVGGKATDQEIVSALKIMDKDPNVEAILVNIFAGIARCDQIVLGLL KGLTVLGMKKPMVLRMKGTKVEEAKKLIEESGFNMMFTEDLDEAAKKAVRMAAILRLAKE ANINVNLTS >CAK72766 pep:novel supercontig:GCA_000165425.1:CT868130:419520:421576:-1 gene:GSPATT00009158001 transcript:CAK72766 MINQEALITFSYLLPASISVLYILAMGARFYDLRSNIRAEPLPYNYSIILRISLLTLDIS NNSFILRAAEFIEILLVIFYSETKRDYLSGVLFVNISAIIMQIFLMCYEYKKRVPLFFAH KIYWILNWLFLLVSFALLINYVNSLHLTLKGHIYEYCLSLFRIVDYTVLLVFTLFLRRQD LSEFESVGFWRNEFPDIDLSDSERRARLSTLNGICYQLSQANSGSLFLQIDVKKRWNIVN DDVEVKLEIQIVGLDKQFKLKKSVSEIFEWHQSYIYENADYFEQHPNELLSLNFFVKQIQ DSQDLQLINNIQRYLNAIISKLDLITQSFMDFIELSQQEKALVDEIKQINNIQSNQKSSL AIKQIQPIRKQKWDVQSEYLPYMQVHITEHQTIKSNNQSFLQYTLVVQFDNETFVVQKRF KEFFEFCEQMKSQLETKNFPPFPQKTLIKLTNEEIEERKQELEIFMKLLLNDRQYHISLL FRFIGMPQQIEDLVRNMQRQIITDSEQIVKLYIKNIGYEEFHGKDGEKFFRYSFQISFGD QKHIIWKRFSQFDELHYLLKQRYQQLPQLPFKTTAALQQISPNLRSLNLQQYLQDLIKVP AIGENVHLRQFLEMKNFQGNLDIENVIGSLPQLENILEKLDKNNKDIDQLDNMKSRLWQ >CAK72767 pep:novel supercontig:GCA_000165425.1:CT868130:421623:423453:1 gene:GSPATT00009159001 transcript:CAK72767 MLSTAAGFQSMLKEGARHYQGLEEAILKNIQACKEISNMTKTSLGPNGMKKMVVNHIDKI FVTSDAATILKEMEIQHPAAKMVLMAAKMQETEQGDATNFVITLAGELLQQAESLIKLGL HPSQIVVGYETALKSALDLLDQQKVWEITDVADETQVLQAIRTSLSSKLSDYSNLIAGLV AKACIRCLPKEKTSFDSEYVRVTKILGGSVLDSHVLSGLIVTRNVEGQINRLDNPKICVF NAPLDPQSQETKGTVLIKNATELMNYTKSEEELAEKIVKSIADAGVNLIVAGGSISELVL HFVEKYKMMIVKVQSKFELKRLCKAIGASALSRLSAPMPDELGTCDRVHVQEIGSQKVTI FEKQSDTCKLATIVLRGATQNLLDDIERAIDDGVSCYRSLIKDARFVYGGGATEIKLAQL LEQEANKIKSIDQYAYRQYAQAFEIIPRILIENAGLAQNEMMAQMHKLNSEKPHSLNIST ATLSPSQDLKVFDHLKTKWWAIKLATDAAVTILRVDQIIIAKPAGGPKMPDRGHWDDQD >CAK72768 pep:novel supercontig:GCA_000165425.1:CT868130:423540:424385:1 gene:GSPATT00009160001 transcript:CAK72768 MKVLVAVKRVVDSGIKVRVKPDGIDLQGVKMTINPFCEIAVEEAVRLKEKKLIAEIVAVT IGPKQGAESLRHALALGADKAIHVTTEARIDQAVQPLDVANILAKLVERDNYNLVLMGKQ SIDDDFNQTGQMLAGLLDWPQATFASNIQITDGIAQVIREIDGGLQTVKFKLPGVITCDL RLNQPRFASVPNIMKAKSKPLETIPLDKLGKLHNSIQITKTEAPAIRKGGAIVENVDVLI QKLRNEAKLF >CAK72769 pep:novel supercontig:GCA_000165425.1:CT868130:424596:426043:1 gene:GSPATT00009161001 transcript:CAK72769 MRDEHSNNVNMKIWQLMFLQQISQSYQIIYLSYLIWKFDPLYLMSQYWMLDIFMLTALGL LKIKFKAIVNNLIMDTLIGSALKLLLLINVKYYQQFQIQYFSYAIMAYYFIRVLVQNVRK ISNNQQISQYSFIVQGIKLLFVLQIMLITMKRTKAITWNWYSVFSISWGLLIISFIVYFV FLLSMGETIMDYVRNRTTKSQLIGGLWLSFYMNSFSILPMWFLLEVSWHEEANYSNTTQT LFFVLIVYNGIILLGLLLFFSYIKQYIIEIRYNTTNEKNETRQPQKFRQVDIPYKIVQIS STYFDIIRYQKNVISVNHSNQSVIHQKTKLKAFEFVGKTEQLQSPAAERELELIKQIEEK CQICYDVEPNIVLLPCQHGGLCEECIIKWLEKQKNCYICRQKIEKYLRIAKSNEDGKFTI RDIAMCD >CAK72770 pep:novel supercontig:GCA_000165425.1:CT868130:426126:427550:-1 gene:GSPATT00009162001 transcript:CAK72770 MQLRQLDEDDEEETYFFCFKIKRNKQPSRSSKTSQNDKMSMQYLSFDHHIEESRGQIIED SKYKLTDSVLKISDYPKNKLFFLRNKASKEEIIAKITEKRFNTNDLSSSTLSLDSSKSPI QEQLQKIEHTPDNIARYIAKRMKEFVIITDLGCGTGGNTVQLAKECHYVIGVEIDSKFIE LAKKNCQNSIVNVDLINADIFTLNNLQTDVIFVNPSLNPEALYSKDQIKNCNPDIKKILL NHQKNTKNFVFQLPPQIDISQLPLLLNINSQFAYFRQNFPSFLSIEVEQIILNNQLEYIV VYCGDVSDIKQSEIIKFLTKQFRKAQPDFNSIQKQHLFWLFELIFRHIGIQHLTYRTVEA IKQKKSIENFCKFIQQQFQIQQDLYQTYINHNKNIKDDIYSAQKQDIDQQDIDNMSPSNF SYKQIEVANMNYSYTNENVFDDEEVETQNSFVMNFKH >CAK72771 pep:novel supercontig:GCA_000165425.1:CT868130:427744:431493:1 gene:GSPATT00009163001 transcript:CAK72771 MFKFVEYKNFEQFHQFLNSHPQVTYQEIIKHPLQEYQFENEFVNPIIVKQSVKLPFQEYI NIMHCSNRFVYLGSQKRVQVFDLRSEIKLIQKLDIQNSLVIQETEDCLFIGQLEHILLIE ISKDFSIIGTHKLGQVQVPFSFLRVIHESQKLLDWYEIVIADLKGNSTKIKCNIFKLFNK RNVQFSTQEITSNGQIIDQKEKNFVSPGFSILYELLVMQGSNENYAFLLYQMHNRISIIR VNKINKEAPIQLYNLQNDQLDAGWACLSTCQDSNSQIRNSFCCSYGNVIHLFMYEIQGGA ITVDEIGVKQLETDKKINGLECCDSDIFVVLFDDGFALVHATEFKKCENKLNYFNQSLKI KKFNGHFMLGLTEDTFEFLSLQDCRTLLQNQADQLEFRKAMQNAIRIMNGDLLLIKVAPS DQLSELLQKLAFTECLFLGKQQNLTVQSLSEIVKFLIKAKLEHYLFTIISDVASDLGCKE VFLQTIESLLRQHQIPYIPDQQLYELCKMYQNQQNVEMVHHLVCSTDFSRISSAILISCC EQMKMYNEMIYVCQISQDFVTPLVKLFGGEKLQNECQKYILSILEGRSFEGKNLTDEEFA NALRSLIQFLFVSENLLKFINKDPKEAFDIIYQFYIGKAQACIEDSRAEIVLKVQVDETE GFLFDFDEINVRSSVHRKMYLSVRKLEFNKSDIWISYLTAKLLIQKFPFSSQVAYDTIYT LCRNYEFLLSLNNDVLLVNQFIIRVMMSKKLTERQLSDLEFSASIVKKFSLALVFIYSQQ QQYQKAFEAFKNVNSQIRELIFPWIQQLVELRPMILNQQNDDFILEILKYLITIDEQQTY KLIEKYFHQKHFQILTCFQKDFEDQKYFANFISYLFQSQKVFLINDQTKILYLKRLCETQ PKQVLQAIKSMSFPLDEALKLTKEFKINQAAAYIHQISGSIKQALDIYINSFLKKIKKSI VLLMKKQTLDSICTEKLKYKLETIIQLILEDLKQNQNIYDDDQNLWMYFVDLYINQNKIR TFIMPNIPQSVKDLLSLYLSEYLARISDRVQVSQIFDLLNQKYPELPVSVFRQTIYRVVS KFHDDLRTLKETSLIQSNEKYQIIQQLKEINQSAWICNHICQRCQNHIVDSELAIAFTCS HVFHDYCVLKGIFKIPFCDTCQTETSYKIQKERILKQYQVQQSISESKFLQQMSVNKITV ISNQQQQMQTRKDKKQLIKKFDFELQQKYDYSWA >CAK72772 pep:novel supercontig:GCA_000165425.1:CT868130:432237:432658:-1 gene:GSPATT00009164001 transcript:CAK72772 MYEVQIEQEQTVVIRETNKKREQFRHDLRRKALNEQFKKKRTPKKELDMLNLLKKLRSNE SELCRVLRLMQEANLEENEDIAMFLRELMQENLNQEIRLLCKQLINLKFCCIPQHLMKNR QQY >CAK72773 pep:novel supercontig:GCA_000165425.1:CT868130:432711:434399:1 gene:GSPATT00009165001 transcript:CAK72773 MNNIEILQKLLIEEIINKQYSQEDFEQYVQVQAPDKDDLSKWNYEDLKLCIENFQTSKNT LKQTPKQEGEIYGFQNIDEKLYSVIVSIQHKIYIDNISLDPYEKVIKCQQEKYLIEKDVE LQFQISHPQEVRASGLWSFITQKNFVVFSIQTFPMQFKVSRTLQEFNDLRNVICQYFPEK IIPRFPYGELTKLDEMQPMMENIILSIKNLLLLYNQIPIIRTIQPCLWFINEDNQQLLQK KLKEELQKEKRYKLQQKQTKTGDIKVSLTFDGYKKFLDQSSYPTTAINLLNEIICNINEF CAYQKKSQEFLGNATQNLIELTSTLPKYPEQSRLIEYINLTNDHFNQITQEINDSCTLLD KNLKQTFAKLLRSHKSFIRLYENVYDIRSKFVQDYNILNKKKDDLIRNSDLKTLIQKIIS QNKFDQSECDKLLTDSEYLKGFLNVQETQQNQQLQDQLVYMLQEYSKTIDEQFRVDKLKV VTTFGGFLSSKQKLCQKYCEQWQDLVYKYEMVKEKLN >CAK72774 pep:novel supercontig:GCA_000165425.1:CT868130:434461:436280:1 gene:GSPATT00009166001 transcript:CAK72774 MDQNLKKMQDLLINEIINKQYSEDDFQGFAFERFPEKDELYKWNFDDLNQLVQQYQQLQN QKAGNQDQLNPQEQTINKIKIKIQKKMIKLRLTFRNKVDQFLKDQHLNQFLLIQQLRNKH NKRALAKFKYLKGEVLNLICKILLQAQENQIVFSEYEKQYECQKKRQYIEENVKLNFKVT TINTKKLIFTNQVFCIETQPFNWKVERSKEDFQTLEKIMLMYFPEYSGYILQYDQKQDFQ LHLENALNIYYSKPKTRCLDYFVLFLNDNKANELHLKSFSHYTQSEKNIRKFQLPQVSTP SGQLKIEFSNKTYQGYKKLQKYQNQFLQNYKKFETKIQESQQIIYQEIEKMKYQLILLID SNKGLFIQDEFAQKIPLFNLEIFYDQIYQAIQISNESKKYVSNTIESISKKISQTYKVLE QPLNKCSKNEQKLKTIYLNDFVSKKMPFLKDKEINDLLINQGLNSDSFSDYQYETISSII RDVFPLKIDVNYEVLQKCKDEFAYLLQQVLLEAQISLSELFLDIKFTCQYNFNELTQAIK NKINSKQNI >CAK72775 pep:novel supercontig:GCA_000165425.1:CT868130:437103:438399:1 gene:GSPATT00009167001 transcript:CAK72775 MQQNPLQLFLSILAAREEVIFMIRIIGHQMHEDNRTKIGILRELSDEIYRGEQKRENLEN HLYKHLGEGYRKLFLPKIIQKYIKIAQYYLVKTQIYFPKQGQQLCQDIVNQPNLRTTIQQ IIHEPLVGILKFIINFQRQKLKQELSHLLNYEQEDRCNRNEKFYIEPLILGVYGLRYLND LHTKYCYTVSRHSNRNVYDVLSIDDNTKILYLQGGGFISLISSITRLKDKSADNTQSVYI PVESTVEIITQRYEKLIKELMDQNSHVQKSVNRYYEQHRRYRIKPLLIKGYSTPKTKVQE MKSQRNNKQRIIESGHILSDMGRYSESTSKFSLLLDSISSLRLKQQKCRTQKQCDIIFSG FVSPKQTALRKNKSVKNYETALLKSSALQPFYDFPLRINTSKKKKQN >CAK72776 pep:novel supercontig:GCA_000165425.1:CT868130:438436:438880:-1 gene:GSPATT00009168001 transcript:CAK72776 MGLCSSSQQKQPRPLIRLVKVEIDSKNDDYQQTLDLWRTIDNQILQLNNSHQISPKLLSQ IEQINTFLILNQGQNLKKNLQTFFKLFDDFQISFTQEIMQNESIWVNHSQIFKNLDLLCE NFADIGFCSKVQTQSLTKY >CAK72777 pep:novel supercontig:GCA_000165425.1:CT868130:438922:440855:-1 gene:GSPATT00009169001 transcript:CAK72777 MDNNIEKDLLLKSQTHADSLLKMVKKLKSENQALLTQIQQLQKQLSILGDNSLFQKIQSE NLKLTQENEQLVDKYKELQQQIYDLKLQNANLQQQLNQPKQGIPKISSSITNEELYSKCL QLEFSYKKSQQDNDQLIQKNSQLMNEKNQLFEELQKVEELYKQAKSQSNLSMSMSMQTME LSQQIIAQNDYNKSQIIHTKQKDCVKLIQEFYIISVPITSIKYQNPEPMVLFKHPIQCDQ ELKTLFQVLPLFAFPRGIKCQTTQQAKKDILRVLQDEQLLDFSIQVFTPNKDSGKDIKNE FIQNTNPDQLLYAVIVSSPDFIADKKLTNSHELVQQKKLGNPAQNIYLSNVAFVILTYYP YHKLFYQIVKNIITTLKYERNQLSKQAFDQEYDLRSIDQYYFTNHENEIRKYLDQVQQLT LTKIIQPIKLFDNTEFIQNTQLSPILYNFELAPNNLLQGWSASNFVLAFQAVILESKVAI INRSQKYIAYLMILLSFCIKPLNYFHPFILDVPEKLMPLLDAPVPVFLGLQMEEFRQFND DVVYVNTISSNVEIENVERLKSNLLIELENQIKPVLCNSNIILIKKIEQLLNIFKDFMNQ ALNRIKSKQAKDLFLQKIEETQIYQMYK >CAK72778 pep:novel supercontig:GCA_000165425.1:CT868130:440889:441918:1 gene:GSPATT00009170001 transcript:CAK72778 MNQTRKQQSQQKLKISLISNKKREFNLKIQEKIYSKALKPVVFKGKPSSLKNLDLATTSS TETLLNESFQDNKSCCCLDCGVDSRKTKHLQKKFISFTTKMSVIRKQRLIKRFQNAVFCI MYLLRKWKKIRQQERAKRLFRFKTKVFENQPFITGQSHHIANPIIYSNSQIPNDDKGNFN QQVQSIQAQIIDQKHKQRLSVKLYLQQKLNNSKTQSSAILPTIAYSKYHNSLSNLLLQPM KILKTLGDEQKPLKKEYNLLNCGSSLINSPYLCQLSGQKPQEQCLSQIKSARSVTKSIAS ETFFPYKQSINKFRKSLQKSMRDIVLITFLYQF >CAK72779 pep:novel supercontig:GCA_000165425.1:CT868130:441950:443761:-1 gene:GSPATT00009171001 transcript:CAK72779 MQPQQRMGTAAMRVPTRMTTAMRNDPNFQSVANTTNLKFVDRPVTQHGIIGVKPTTAGPG RQIQDKSYYLNQLREKQKEIFNEIERFKKQQDEIQKANTLYVQFERRHEELTKEVRDLEG QLADYNLAFDKWRANTRPEDIKNIYERIKIQNERQRSQLDDIFIERRGQEDSINQIENRL NELQSLADMKLQELDPEQRNEYMSLINENKSLQQEINNQKAELEELNARMQNADNRLRMD AQKLKGQMLKEQINEMDSKKNDLESNLTFPEARDRLLNKIKDDNAFIQNSEKRVREIRRN IENYEKRLRELQTELEDKKSQEQDKQKYEILYQRDQEMTDYINNFDKTRQQELEKVNAVE QAIVELLGQSSRIVQDIKTIPSLTDYAGLSAEVDYKDNQVKDSEMTLKKLQGVYEQTIQD LKKIERAEEQLPIELQQYKQKCKQMQDEIDTKFNKIDEYKVKAQDKKLKLENQKKDLLKL KDDFTAQSQNMKHEFDVTITWQRRTLCMNNTILLADLEKKVSQLESQANTFQMFIETKSK DMNFEQLKKECMDLMDKINKVLCKT >CAK72780 pep:novel supercontig:GCA_000165425.1:CT868130:445224:447306:1 gene:GSPATT00009172001 transcript:CAK72780 MLINRCYYPETRKAIDHYSYALNEEIGRGFSSKVYRGRDENTQMTVAVKVIDMKMVKQSI HATLLKNEINALKSFNSKNILKLHDVFQTQNNTYIITEYCDSGDLSGVIKKRGRIDEPEV LRIMNEIITGLLEINQKGYIHRDIKPANILIEKQTPKIADFGFAVQINSMEVKQQGRHYN VGTPLYMSPQALRQQGHTEKGDVWAMGVMFFEMLFGQTPFTAQTEQGLLTAILHHHLVIP SHPSISDASKDFIRRCLCIDENQRMRVKDMATHPIYSQYCPPIYKPVPLQTQQSSNVPTP ATRTPIINKDRSQSQGIRDFQSRPKCQTQIHNDSKVSKRCPSEMYHLPHPPTTVIEPPTS DPMRSKSQKQITESRKTITQENQLEKRSFKKNNTVLQERSINVQTQVSQNTTPVQSPMKF KSNNDILFAQINYCRFLYKFSQQIINSRQLTLSNGLKEKLLFLMAKNIAMKISKLHTIID KENSKDNTFQLEDFDIYRKAESFQKFSQAIAEYNENYKRYFEKTYQMIVNKSLPQDKKFE ALFDKDLSEFESFYFITQSYLRQALQELKNEFPTQSSDLDAFLPEEYNFSYFVSQQLSNY LLICHCTLQNMNDYKKFSKMLKIDQMVDQKQNRCTYRQVEELRGKIQELISK >CAK72781 pep:novel supercontig:GCA_000165425.1:CT868130:447348:448467:1 gene:GSPATT00009173001 transcript:CAK72781 MKQQQGVVVVQPKYYATFNQTQPPSYWDYENAKIEYGSEEPYEALCKIGRGKYSEVFEGV DNKNGMKVVLKVLKPVKKRKIRREIRILQILKGGTNIIDLYDVVRDENLKIPTLIFPYME NTDFRSMFPKLTDNDIKHYLFELLKALDYAHQRGIFHRDVKPQNIIVDPKTRTLKLIDWG LAEFYHPSQDYNVRVASRYFKGPELLVDYLYYDYSLDIWSTGAMFASMIFKKEPFFQGND NYDQLEQMVQNNIQKNTILNWIMHTMDSYLSIKFILFICYPKQDWLSFVNQDNANLCSLD AIDLLSKMLLYDHAERITPKDAMLHQYFAELKKN >CAK72782 pep:novel supercontig:GCA_000165425.1:CT868130:448505:450179:-1 gene:GSPATT00009174001 transcript:CAK72782 MASNSIQQQKELKIFNNQFVVLKKLSSGSFGVVFQGEDKKTGEQIALKIEKEESDEAKSL DRESQILQRLQGIKGIPKLHWSGSEGPYNIMVIQLLGRDLAYYAKHLKRFQLKTLIMLAE QMITILEQCHLKSIVHRDMKPENVLVGRDHNEIFLVDFGISKVFKDANGNHVPFRDGKPF IGTTRYASIGAHKGFELGRGDDLESLGYMLVFLYKGSLPWQNLQNVSDKEKTKVVGKMKM QILIEELCKDMPNEFSKYFEYVKKLQFKQTPDYEYLKQLMRKCASDNKIELDYKYEWALL ERKSSDQSQHNSQRNIKKDSSHNDPNKKQTKNNISTQSKLKPEIDSEKVSKSKSPAKNTK RQSLIPEMQSQNQSQSSQFDFLRPPDPYAKARAIIQRSQERHKSVSSLAPSQYSQVNTVQ SSIAGNYQASHIDMFATDSQQQQQQETTEKKQDMIQAQNFGFQPLESNDTIAMLIGEDEE GPCFQYQELLEKQVQAQFKEILRNGNKNRRNR >CAK72783 pep:novel supercontig:GCA_000165425.1:CT868130:450591:451409:1 gene:GSPATT00009175001 transcript:CAK72783 MINLISIIQIFIFNILGALIAKYQCDCSLFVDEVVCLGIETCDWINDLCVQKDCSNIDEI ECDLMGKCSLNPEGKCEATQFCQEYQVKDEIDCMIKKGNCAAQNKKNDAGFYQCKNYISV DCNSLAAENCTNNYEDGQTFCWLNSTKQCQSYSINSCEGLPLDICSKLNCKTSIGICSSF KCSDITSQDQCKYVKDGYWGVLTLCNWKDDSNPTCIDRIDTKDFTNQTCSKLTDARYYWK DESCVSCPKNYEDNSNSQVYLILYLICILIEL >CAK72784 pep:novel supercontig:GCA_000165425.1:CT868130:452032:453695:1 gene:GSPATT00009176001 transcript:CAK72784 MDSIDYQEAKFKFEGVRKHFFKDVTYHITVFEDMVTMGTTSDCQNPKYKLKLNLETKINW ELRKNKKELDYFEFDHQNKRKVVHAQPDDLSKFKELLSGRVTFEGIGDLYQPLFQIGKGS SAKVYSARSALDQRVYAVKAIEKAFLKISENANGLRAFQSEVQILRALQQYENNFLVFKE IYEGDNTLYVVTSYLEGLSLSEELDKAKTLPNRRLPINNVRTIMRKLLGNLKILHSHRII HRDLKPDNLMFSRKNDYTSLVLVDFGLATSELLDKYLFPKCGTPGYVAPEVLSSRSDQRY NCKVDIFSAGCIFYKLLTGHSLFMGSNFDEVLNSNKTCYVDLDLPMDGIYITEQSLDILK KMLNKNPKIRVSAAQALSHQFFDSNSDFSTQAIQSGGLHITKNAIYQLNLAESESKYNSE NEINDEQQQNSKINDLKRYSLMNEIPLSAKRGSAQFSGTFYQKDPLSAIKSLKIPVETSQ PLKLSCHFSPA >CAK72785 pep:novel supercontig:GCA_000165425.1:CT868130:454223:455165:1 gene:GSPATT00009177001 transcript:CAK72785 MMKEPEKIIKKQHINGQLKYQVKWKGFDETTWEVEENVKKYKELIEDFNYFCLTGERYDD KKLDEIRQITAQAQPRTAIKRVAGPRPPDPNKKEKKAEKVDQKYSEISETLSQSQLENGN INPIQFASNNNNTQNSNENQTSNISNQTNVVSNSQAALIVNMHQQNHKTGSIISLKQQEL TQKVTQHYANQDDKLAMIKLQITEDNCVFQLQWKQRADGITPLPEFYSYDQFKLQAPLFF IKFIETCLLDNESIFNFRFEIAGKDLLEKTNLIKGALQKREESDKKKSAQPQLIS >CAK72786 pep:novel supercontig:GCA_000165425.1:CT868130:455268:455865:1 gene:GSPATT00009178001 transcript:CAK72786 MYLTTPQRYPLFKGVPNSPVMPRQFYQASSPTMSFANPFVQQNTYRPHPSLPTSQRGPIP PQNPYQRPSTPQARITGKTVPSNPPPQRAQGSLPNNLTPKGQNPQTLIQNKPYTRTMPQP NIKPQSAPQPNIKPQSAIPANQQQMIQSLSKENQDLKKKLAEKDLELQKLKTKEEALEQK FKELQDQQKS >CAK72787 pep:novel supercontig:GCA_000165425.1:CT868130:455893:456860:-1 gene:GSPATT00009179001 transcript:CAK72787 MSNRSSDIQQPYALNSKSFSLLRSVGQFANSSDFGNKLFGEHQAFIQKGQHFRIWKNMWK NQENIQLTIRQKMKNTQMNNNNLSNLDYKVQSMKYMNQRKQGSQKISVLNQQQQIIDNLF SQDQTSYQKRLANQNVKLETISLLIERVHALSTEGHSLIEKDNLLEEIKQMGRGKHVEVL AQITPHLDTEQVEKVLNLLGSLNKAVLASLEADEAQEQENIRLSLHITSNWIIILNKKIM NQEVGQKLQDRNDSIQFILDRVREAQQLLNDNLDRIARWSQE >CAK72788 pep:novel supercontig:GCA_000165425.1:CT868130:456898:457464:1 gene:GSPATT00009180001 transcript:CAK72788 MITQDKLNFLNTLLDQELQQLSKSSDLRDLHNKIQSLESHIQRNIDKQLGSQQSIIERAF PTLGVKDSDDDFTPFKQTQRKSTPVKANSSKHKKQSIEQKKSLKKTSQEKINAKALILEK QKNTELQSEVKQLSKKLKKAQATITQLKQELLENDKYKENFLKSESIRQQQKELIQCLKK EIDQLKRK >CAK72789 pep:novel supercontig:GCA_000165425.1:CT868130:457654:459791:-1 gene:GSPATT00009181001 transcript:CAK72789 MSIAEQKFMVDAQGRNLVEMRPPGIQNNQPNDIQITAEQLLKDAEIHQTKEIRPPQQRIM DEAELQEYKFRKRILFENRVRRQRNYLGIWIRYAQFEEGLLEFRRARSIYERALEVDPSN IGVWMKYIEMEMRHKFINHARNVFERAIYQMPRIDQFWFKYSYMEEVLGNYQAAREIFNR WMTWKPEEKAWMAFLKFEERMGERENQRQIMYKYMEAFPKLKVYLKVAKFEIKQKAWESA RNIYERTLEELGQEALKEEYFIDFGRFEIRNKEYERAREIFRFGLKNIAKDKAYQLYQEY LAFEKQYGEKDEIDQIILNKRRIFYKELISQNAYNYDAWFDLANLEMSTKDVNRIRESFE AAIKNVPPGNEKRFWRRYIYLWYNYAVFEELEANNIQKAIEIFERAIQLVPHQQFTFSKL WILYAQLLVRSKDIDKMRKVYGLAIGICPNIKIFQEYIQIELQLANIDRARILYQRFIEI FPDNPIPWIKFAEFENDLEEYERSEMIFELALQNNQMNMPETIWRAYIDNQIKLQNYEKV RELYEKLLERSKHVKIWISYAQFELSIKNITGFRAVMQRGEKCYIGKPELKEERAILLEQ WKDMEIEIGDEQEIKKISDKQPTKTIKKRKIKLLGDESEDFGYEEYYDYIFPEENPQQKN LGMLLNNAKLWKQQQEQKNG >CAK72790 pep:novel supercontig:GCA_000165425.1:CT868130:459952:462779:1 gene:GSPATT00009182001 transcript:CAK72790 MGKKKLIKTADVIEEKPQIQQPKNSLQVSSSEDSDQQEDCKQNFSRYQIIDDNKHQQGQL DRYFQKGKQAQTAPQPKKPKVIEFRMVEETKLPNKGKEEEKRDDQSDESDSDFDLPTKFQ HLAKITRTSTINTQATQVTKVQPEEKIAETKTCQQEILNQFEQEQIQMTRFEIEEDSQLN IPNKPLQRYKYFLIGNFQKYQKEHIIQIIRYYGGYLNCKILKDTIIIQGDSLNNANDMKD NSLLANAKIQKSTILDFEAFNSHLKQYTGNDLQKSFQLACQCTIQNVGQQGMQEKQDLQS KQKIVQNQSSFIQSKSQQQSKLPISQPIQEINTNLRAKFDSDVVLIEKQVELKPEIVNFS KDKQIQKDQKKISKIEQITVEETKQKLQLWTNKYAPSKVSDCLDQTHVSNIVKWLDKWGK TQLEICPGSFQSQNFAAKALLLSGPPGIGKTTIIRLIAKQKSYQLIEWNASDVRSKLQIE NYVKHLQDNTVLRFKDANLISEGKTIILMDEVDGMTGSDRGGNKCLIDMIKLTKVPIVCI CNERNKQSMRTLANYCLDLQFKKPNQVEIQKKLEFICKSENINYDPAELKQQIEFSQCDI RQLLNLLQMHKVGLKLHIDKNIGKDGSVTTNIYESTKILLNYKDSQTLPIHKLMDYYFQD PDMTQFFYHENYLDLIKKAPSNTISHISQAASSLADADVLNSKVRSQMWGLMPNVGFLST MYPTQILKGNLLSKVNFPQMLGRISSENKIKRQILEVKEAFAQQTYLTNTKAIKFQYIEP YAFIIIQSLASAGLSCIDEVVSLLRNYNLNIQQFEECIVGSLALFPKRDLMTEIASQVKA SLNKAMKQSMDSADRFGKERRLIQSTNIVKKTQNIEDDLNEELNQEEEIEEDEQNQKKNT KQKNKNIKQQEKHKNKQEKQGTDKNKQRKKGKK >CAK72791 pep:novel supercontig:GCA_000165425.1:CT868130:463006:463813:-1 gene:GSPATT00009183001 transcript:CAK72791 MRIIYIISNRIAYFGGQMEQFIKTLDSTDKKSPQKLKLATSPNTNQKQNSPKQTKEEFKK NTIIKKLEFNDQLLIIKQDGIIGRSTRQIPKINQVNSSYTLGLLNSIELNHKNEIINKLI KTNDHELLQVSRTSNIYCKCRRSKCIQNYCVCSANNQECKLNCECYNCSNKQPKSTPSQL SSIEFNGCNCRKQNCSKRYCECQKRNIKCTSKCNCCEECVNQEIQPLPFQLDSLS >CAK72792 pep:novel supercontig:GCA_000165425.1:CT868130:464022:465487:-1 gene:GSPATT00009184001 transcript:CAK72792 MNPNSTTDDTQFSLLEKKPKKSQLFSKIFFFTLGVSSLSGWNAILTGLSYFADQYEGRNV YFILPIPNFLSLCLIGLFLPRISSLLSMFFRIVWSLIILCGLLFLLPMIALEMHSTLGYW LCLATIFIMGIFSALQQNSSIGMSGILGPEYVNVFFIGTGASGTIITIFRLISLAAIDSE KSIFLYIGIAVLWNIGAIVMYFAFTKTPQYRKIIQAHKKGRKSVLVHDQIVTQEEPDNAV QNDSLISDIINSEIANQNNQTETSDHKNGIVPSKQNKDQISIEKMNVIQTLVWINKVAFP IPLLIVILYIQTFMMFPGVAFQKPFDANFIYWGQCFISLGYNFGDTLGKFIAGNRQLFNL QILIGLFLGRFVFYYTFIAIAQGTLAADWITYVNTFLFGILNGFITTGYMILGPEKTNEG FVKEKIGFVSGFALCFGIMLGSFLALPFSNISK >CAK72793 pep:novel supercontig:GCA_000165425.1:CT868130:465520:466969:-1 gene:GSPATT00009185001 transcript:CAK72793 MINLNTLQLLSCVIIILIQVYRYKEQYFWNIIKAYFNILTVQVYILTIFNEIQQIQQYLG YNKNVDYTRLFMIQRLQSTLFIQSLSIYIGVLFIIIFLKNMHQNNRRLSYKILILVQSLL QTILFQFVFIICALYIKYFNRTNQFKECLEIVYIRALFLFSAISSMTPIMQVLLEQPLNN FKSSISKIKQPFIKDIKFKSVLHMKQIQTDEELAKLNHTELDSMLIFEDIEDNSEVRYSD LCKQQIINFIQTTWNLMQLFWRSLNLIYNTIQLIMAFVLIFGFICIFVEYIDPNSDLLSQ LNAINFFSTQLDSNKQESGIKQIQFEMIEVITIAAFKFMFIIIMYFSTNNRIQYSKNKEL IDNVTNLTVIIRKNLLNFTLIGLLTTLYPNLTFTINNSNCYKEIQYRNGSLIKMGQVMPI NDQSCPITIVYLISLQIFSSPITTSIGLLILFFYLFTAFYILCLKRKSKNLNEI >CAK72794 pep:novel supercontig:GCA_000165425.1:CT868130:467027:470155:-1 gene:GSPATT00009186001 transcript:CAK72794 MLRFQKLGEDEQARLDSESLKQKKELLKQEFEHQEEIRKYDNLSIVSSHDFKFKAELDKL QSSNKRKQQELGLLLKAGQNKSKSKIGTSQMQASISGHGVIHSINNHHSDPLFETFSGNV NIKQYDLESRDQYIESLKLQESRYENLILEEQEKSESLEKILVEIEKSKLIQQQKCEELQ IILSKAGKRFNNTDALKLMAENKRFLSKKNLQSIKNEFGQMKQMQDTYKHSQENKQYQLQ KILYVGEENIGHNALKIEEAERFIDAQNKEFEILEEKKQNQLKKKQESRYILTYIEFFEI FEQIFMNRDNPNDIRLVDQYSVDEELLQRWNQNQQIDNLQQEQEVNFDRNELLSQINKFY QENEQRTEYILEFMLEEYWHLQQELTKQSISYENLLKQKEVLQDEIENLDYEILELKQKN PNLEIHEQSIVAEDAGLMKLKNETTTLNIKKMEVEEHPEQVVWIKATKTQGLLMNFQLGL AEYLLRIYQIIQAIDQKNKENKKFKYTGLNNEINGINLLISKIYNMNKNQNQSSELTQQH LNQFAINNIVVEVLPTFKEQEQHQISQYLYIQSKTDQILWFNLSVENLTNFLKSQDNPTL QKLLSPEIINEFRDQLIEIFKYNFHKLFEYYQTMYKKIRDISQDLFQYLKEINPKFDIKK LSKLLLREGQDIQSAKDLIIRRMMEYKTGENITYNSKNDQNKMQNMRPKTEEEQIKMVSQ QQQQQSDQQDPSLLFPRKQRLDEEKLTQIQTFFRAKIREKNQQLRDQKNNDTISTKDRPG SNIEQLNSESISMIKGENKMNRAKSKKIVESKTARILKDDLEQERSLLKAQIAADLLRLK EEHERKDKPLMKEQQNEIKVQIKQATERLYGERQYTVQIMRNMAQTTGILSDINQLEHFS SKIESPQLVGQFVIQRKNLHRNLNKELGLPTLSYLKPTSAYFSRRNQSSEQIKAMDYKRY NEIENGVSSRPYTQYQSSRKPQTTSNNNSSRKRTNQNQDQLKNKYSGRTRVTNNNEYMNT FPMPQEVFQQEYPKSLLVPAAS >CAK72795 pep:novel supercontig:GCA_000165425.1:CT868130:470779:471777:1 gene:GSPATT00009187001 transcript:CAK72795 MLLMLLFLLVQSQINDCQIQSEECSENYDPVCGLNIGNSTIQTFVNNCYACKASQVVKYI KGDCSKQIDDTKTETPSLPSEGDNSTIITAPGNYTEVIACANPRPSICDTSLKQICGLLD STTKCTGQNCLQQFSNQCLGCRNSSIHSYFFGNCSDYNPQTPTVIQCSIKSQKKCELKEQ LTVCGFLDETAICYNPPCLQPFDNQCEPCQQTNITSYYIGDCNQYQKLFTNPSQQADSFV ANYQYCQAQRPQQCDQDYVQTCGVLKSCHGSGCERTYDNPCSACQNSEIEGYYTGECVNN YEALISMFIIVLFIQ >CAK72796 pep:novel supercontig:GCA_000165425.1:CT868130:471805:472671:-1 gene:GSPATT00009188001 transcript:CAK72796 MSFQRPPTSTTRDVSSSIFGQSHSEWHTPNKDLDWNQEKYMRQLNEIIIEQSESPIQEKQ QQNQTQQYQQITFAEIIQAFRLNESYYQVRNKSKCSCFGFSSSVSTTDQVKQKFIQLGMD QLNLKNEYHRNTVYSIHCLLNNTEKANENQLKMMTKYTTKYFQLMQFLSCLEFETPQFQL LYKESGELIIELVMYVGEMVFEQLLSNKLNKLIQKESSHLLINVLRNYQTSLIFHIYKEI KISNPPLQLLIKQYEKSDPHLSYNWYIKQKQLNNNLFSD >CAK72797 pep:novel supercontig:GCA_000165425.1:CT868130:472714:479642:-1 gene:GSPATT00009189001 transcript:CAK72797 MLNDQIAKLQKDLQIYKNQQVDKFDSDHQNLNKLMQGYQRKAQERKAIMKQLYSILKTKD QEIEELSQTFECQMDDMNNKMIQLKEQSDSQLFQQEDKIKQIEQVINSILYLKQSKLQEL ESKEQKMRDILQKLLKKQESKEKIDEVKNILTFIAKEKQKYQLKLPDNSLQQNNLSKEYQ KIVTKYESVMIQINRLNQLIFDQIKPAYTCEDSIYQLSYNIKEIIKQNSNVQKEIKQKEE ESTKQSNKLEKYKKQIEQKNSQIDSLKMDVKNLNQQLQNQETINSLNDCIKKQSQQIDIL QQQIIEQNKILEQNETIIAKQQEKENQLFQEIKMIKCEKVKSLQQDEDDQIKYKNTINDL RQEINELNAQLENMYTEQAQLQQQFDEQEIEHKKSQIQMTNTIQKFQLEVKKYQQLVEDN KIQFNQQLKQQQQQHKQIQQELINNQNSIQNQLSEYLQIIENLKSKENLNQVLENLIDNL NLVIKQKLLQNLKSTSSLASSPMRGMSKNSSPVRQILNHNNNNQIDLQKIHSTLDNISEQ FLNRLNLLIKKDEKNQELIQHYCQQIEESQQTIQQLCDDNDQQKQFITQMCKILNIEKID DLKERKSFSKEDQSYIETLNQNNAQIQQLISYNQELQQDIQQQQIIIKDYEIQIDHLKNQ IKYLSQEKEDQCKFTTQIASPTQSSRKDSIIKILQNEEIQNRDQQISELNDKISILDIEI SNLQHQLESQIKLVQSRNEEIQHLNSQVRDLLEKQQYFEQLINELNAYHQQSKNQQEVQI IKLEGVSYDISHNSFNFDALRTPTNQNYIIDEIQQEQSINSSLSSIVQMSPNTQIKQIIQ LNKQQEENIIQKNRLIQEKEVDLEKQNEELQQLQSINAKNEQEINELNLQLTQLHEKIET LREEQTQIQQQNDEYTKKLVIEKQSAIDQIELYKKEIQQVKSINDQLSTQIAELRIQLQT NQEEQITLTENEQIIQQQNKEIQFLKTINNEKQIQISQQDYQLQNLKEQFDHLKSKSKNL IIKTNSAIKEIKIIHAEELQQIKVNLLQEQQLLKYDMKQLETKFVAIEQSYQSKIYNAQV KILESEQSIVQFQEELKLLQQSKLQIEEKYCKAQDEFLEQSQFIDQQNTKLKSQKSQLEQ KEKQLQCIEIQIQEQSDHIEQSNQTTKTLQLEINQLQQILSQQLQEKNLIHDLNQQNSEK QQLLQQKEQQLKVLHSELENLQLQLDGIVQNQREKEQNLNVKILDQQSQLEQYESRLKEV TQTLQHNKQEFQNRINLIEQVNQDLNEKNQNNIVQIQKLEINEELMNKKILELEFELAKI RNESQEKARELSLINQDYHKLQDDLKKEIQKAQNLIDQNIKYEQDIIQLKQNLTTKDQQL VGLNEQLNVSIKQNQDIQSQYTLKVTQLEKQIINLEREKLLLNESNDQLIREKQNNLQQQ LTKQNLNEEKENATSSQEVKIQQLKDQLQKEQENIKQLQDSHQKQIQQYFNEKSQQIQQL TSNNDNLTLQLNESKQISNEHLNDNDKLKSIINNQLTQIKQFEQAIIQYKNQLQDVKSQN ENLVTILHQSENKWTNLNEQNTQYKLSIQQQEHQIQQQSLLILTQEEALKKQIIINVDQE KKLFEINNQLWQEQQVSEDLNLNKQKKLEQSQRDNDLLLQQVKQLQDKLNECQNELQVLK SENNQLRELSEENINQTQSIKALYQNQLFQISELRNDLSESEEKEGSNLKRIEELTNQLQ NVLAEKEKQRLNQEAGVNGILKEKQLIEIQLNEVTQTGLKQIEQYKQEIAQLNQEKEEIK KQLEDNLEKKKQVEEELNQKVNNIRLEFQLEIDQLKQKQYENQKAIEDQQNQLIIQVQQE KQQQNSLIAEINKIQNDFNNEMSKNQQLMHENKRLQKFLSQSNLDKDLMHDRQQIEQGEE KINCDENKSLRQDNSISDRQQCVSVLYAISGNASENFENQMKSSSNQNQKIIVLQDKIAQ LYDENDKLKRQQLQSLDDFIEISPLKESDLIKQLRQEIETLKADKEQGRAKSELQLEIVN LEKKLIKKDEEMQNIKKGTYMYIDMCEKAIVQDRDWMIDLNSLEERQANRWRIVCEKLVE YEQLQGEIMKNQNDIQELQLQLKNKENLSIKSMIMKQSQSLDEEDIFSIRSTVSKLRQEL EQEKLENSKLKSYLKSYLKDEQRFEVSNRQIKQEQNQRVKEENKSLRNRISDQQEEIKQL NEQIQNCKDGALAQHLISLLVKFFESYQKENKQDIKVFYQSLVSICRIEYNEQIVNKLFQ SVEKKNQSVFGIFRRDKSKDK >CAK72798 pep:novel supercontig:GCA_000165425.1:CT868130:480087:481932:-1 gene:GSPATT00009190001 transcript:CAK72798 MKIILFFVLLIGIHHSLNLNPVIGILTIPSDEDYQDYPSSQYSYIAASYVKYVESSGARV MPIPYEADEATLDKYFSQINGLLLTGGTLELETEQGPSKYLQTVTHLLNKVLKANQQGDT FPLFAICLGHQTLHFILSNKDYDVLTPASGMETVNKKLLFKDKYSTIFIDLKESIFKSIE TKEQVYFNTDWAVLPSYYDTHSQLNDFFKIVALVKDSQEVVSIAAAEAREYPIFSLAFHP EKAAFEFKSQSKHNQESIQFGRNLINQFTQIARENSHILQDSNSTIFKNNPIQLSSASFV QIYFFKLGELGI >CAK72799 pep:novel supercontig:GCA_000165425.1:CT868130:482762:484386:1 gene:GSPATT00009191001 transcript:CAK72799 MIQSTRVRSNFHKQMEKLKGLLVSTHTISPIEADQPLRKTQTPLIGQLQQSIQFSDEEEQ SENINEIDVKSTNFNDEFVLGRKLGEGTHGIVKLCWKKDNPNMLFAVKIIKTIDEEQLEI VRQTFINSTIIKSPYIAKCYKLYIDINVIYMLMEYVPYANLQTILQERTKLKEQEVQKIA NALLKSVRCLHSGGICHRDIKPDNIMIDQNDFSVKLIDFGVSRRFVSYNNSTFRYIRKAM LTVTGNLHYRAPEIMFSQSYGYNQQIDLWAIGVTLYQSLTGSLPFISDNTAEIIALLSDS NSLQEAFQQESFLKLSSSCRDLIKRLLMWNPLKRLTASEALKHIWIPNAQTPKKPLIKWI TKDDIDTSRISQNSDILNKSLINSAEILNQELQNTLIISKQIDSILESSQGSKKSLRFSI KIKQEKDGQDFKSKLKQFKLESSTNIYSRKNQSQTNVIQLVGAWNEKKRNSIKLEEDDDI FGIKVCRSHHSLTQFEDPLQIEQQTQKNSLDPKLLQEISKYNL >CAK72800 pep:novel supercontig:GCA_000165425.1:CT868130:484413:485144:1 gene:GSPATT00009192001 transcript:CAK72800 MNSSKTSRTTKAINQLHIEQKISFKNHFNISTLRESVPTILKANPFSPSIQSFPVQKQPK QNGYRKLSIEKKITLLKKEIMNSQSGKSKQLFQTQKLQIKEGFYVIDVKSDIKSIRSNEN RSDKKFKLFQSPIAIQDKLSKYSNLQEKQTFLFKFREKHPLVIDEVSNHTPKYQAQSKQP SEPNSPFFIRKLQSQQIIQRSISQGVIEKPKQNKWIAWPQNLEGWNTHMNNPEEDIMSQL HYF >CAK72801 pep:novel supercontig:GCA_000165425.1:CT868130:485185:486138:-1 gene:GSPATT00009193001 transcript:CAK72801 MLLKQKTTYKFSIAALLQPVYNPYWASYGTEQVIKKRDVLDNLLQTGISILTQDNTEIIK QYKKDLKQPKEIKHNIEFFNNVEWGLLEHLLLLRKELDDKKFQFVFNQNQNYQTSWKQIG ELEIYGLSPYRHQNHFIHEYIHKAEQNKVTFEVKPQLEEKSDVQDKSEKLAKPILDSLEK EKQDVTGLFGRARNFINKVNKFVINENNLKHENPSFNWKKYVLEPSKTDRILVRDYEYEF EGILTIKDSNGQYLSNTKFIEQVQPKLNKIYLRTESWMESKESESTSRSKRQVLTDLNCV LRGNPWVWVKKFDEEEV >CAK72802 pep:novel supercontig:GCA_000165425.1:CT868130:486325:487733:-1 gene:GSPATT00009194001 transcript:CAK72802 MSGPVFKAAAKWTGRKINVKNTVVELDGDEQARIIWKMIRERLVLPYLNVNLEYYDLSME HRDKTDDKVTFDSGYAILKHKVGVKCATITADEARVEEFKLKKMWPSPNGSIRAILDGTV FREPIICKNIPRLVPGWTQPIVIGRHSYGDQYKCQDVKLPGPGKLELIYTPTQGEQVKRT IFEFKGKGGVGLGMYNTYESIVNFAHQSFQYALMRKYPLMLGTKNTILKQYDGYFKDIFQ EIFETKYKKDFDANKLWYEHRLIDDLVAQMMKSSGGFVLALKNYDGDVQSDIVAQGYGSL GMMTSELVANDGVYESEAAHGTITRHYREHQKGKETSTNSVASIYAWTRGLRHRGRLDNN QELIKFAETIESSIIQTIESGLFTKDLALIVHGPKADRSTYLNTEQFIDAVNGKLQSNLG FLK >CAK72803 pep:novel supercontig:GCA_000165425.1:CT868130:487950:488269:1 gene:GSPATT00009195001 transcript:CAK72803 MQQFEKDFEGDLSFRKIINMPTKLTKEQIYSCNPMTIEQNYLIDTEIKLNNVITTINTLN INPDSPKTKKSLEICNLQYDQLLQKQLLILIFLQRTC >CAK72804 pep:novel supercontig:GCA_000165425.1:CT868130:488383:489598:1 gene:GSPATT00009196001 transcript:CAK72804 MSKDYIKENDPIIQIKVLAGTKLSKLGSIQYSEHLKSPTEMIESWIETHFNKSQKYQAKL SKIRQQQEEQEKKEVEQQVEFVQSLNQRTEKKRQKRLLTIQQRKERAKELNSRSIEIQRK VKETQFETLKQSQVRQQIDRQASINLDKKIDQLKQQFSEQLQQQSQIYQEKQQKAVQIKQ ECDLNKQIVAKLQLLKTERNSEPHSNNNNKQSKVDLWSVRLPQLLHKKEEVQQQEYNNNL VYVVNKFRRVSMYKSIKSAHSQEDLTQKQQMQRERYSSKQEYFQHRGEQVQVKLKKKDEH LQSLQLKKQNDLENKFSKIKNQIEENETRHEHIQKQYQEKLIQQLNKEISKHKYNLSLIK QRDKAVEDLKFLRQFDKSKSSSSLTKIQK >CAK72805 pep:novel supercontig:GCA_000165425.1:CT868130:490419:490723:1 gene:GSPATT00009197001 transcript:CAK72805 MINDLQIIADTQNLYDLYPTNNSCPIGELIEEYSQNKRHYVSSLCFQLKKKIKRPQNRPS VLKIEDSGRNVKIYQNTPSQNNLERMENETQ >CAK72806 pep:novel supercontig:GCA_000165425.1:CT868130:490976:492159:1 gene:GSPATT00009198001 transcript:CAK72806 MDFSYLKPPKQAMQIKHADEYIKYQVDGKLKRNVFYNVEFEEFEEEMIKKLEDLIRKRKV QLPEQWDKQTALKFCYSGNFDIEMTFQTLINYLKWKENPEYQILTSAGEDILVIVFIAFK KKGVIFSLGRDKQYRPLIFIQVSKISTNIEQLPPILNAMCVLLNYIQKFMLVPYYVEKWR IIVDLNDISLFRLPHQIIKQIIDVTQSNYTASLEQLHLLNPPFFLVAAWKLVEKLMHPET AKKIQFCKDPSYLQEYINEDQLMLRYKGTLPNIACLWPIINTYRGMGESNHRNMMESGVK QMGESVQKNHMVESAYLSMFESQYYTILTQKEIELSKPQVKGQCCACNIM >CAK72807 pep:novel supercontig:GCA_000165425.1:CT868130:492791:494874:1 gene:GSPATT00009199001 transcript:CAK72807 MSITRIGVCTMNQFAMDFNYNLQNIIESIEICKRKQCLYRVGPELEVCGYMCEDHFLESD TVTHCWEALSEILLHTDNIVCDIGMPVIHKSVFYNCRVILLNKKIHLIRPKIYLADDGNY RESRYFTPWSKEIEELELPTFIQKITGQKCVPIGVAILQTLDTEIGIEVCEEMWTPIPTS ASQALDGAEIILNSSGSHFQIGKIKERTELFKDITKRNGACYAFCNLRGCDGNRLYFDGC SCIVLNGKVFAKSDAFSLKDVEVTTCDIDLQEIRNIRINIKSRSLMASKQKHFPRIKLHI NLTQQQNYVYYRDIPIQYESEVEDSMACYLWDYLRRSGASGFMLPLSGGVDSAATAISVF YMANKIFKTISTIDDDYGSHHKVLNQLRQIVQDDQFSPKSPQEIVNKIFFTVYLGTQNSS PDSKYRSQLLAEQIGSQHYEVNIDEICNACLSAIKPIVKEDPQFIANGGTLSEDLALQNI QARSRMIITYLLAQLTPWNNGKQGFLIVLGSSNLDESLRGFLTKYDCSSADINPIGSLSK NDLKELLDFCYKTFQFSALKLILEAKPSPELRPLTAEGHVSEKDMELTFDELETFAKLRK VQKLGPVSLYKKLRYLWSDISPKQVAEKVKKFFKFYAINRHKVVSITASFHAQSYSCDDN RFDFRQFLYNWRWPWQFQKIDENSE >CAK72808 pep:novel supercontig:GCA_000165425.1:CT868130:494933:495961:-1 gene:GSPATT00009200001 transcript:CAK72808 MDLQQLRKELKEKEKKLKDEQNNKIVHLQFYGMTKSEAYDSVNLEMNQTKRLGLVQQSMI LKRGNSDLFWSSNNKGMFLRYFNQKLTIDLRARETLKPIEKPCLIIVNGNKKSKRKEIIQ QFQQEILKLLDKKKEDGNEILISIFGFLNSSMISLLSINQDFEKVIHSNLKIYKDENIVK TKNLIQIAVNNADELQQIMNLYKSIKALIELQCKQKFKEIVFQFIRAELEDNKLIRFWDK CNIVQLSNDNDAKQFHLKQCLGQLDKKEHIKYHNCKLTESLQDCFREDYQIEYIGCLITD QTLESQLSVLQFLDPILPIKSKVTQQEGQNTIKEILGSRLEL >CAK72809 pep:novel supercontig:GCA_000165425.1:CT868130:495996:499318:-1 gene:GSPATT00009201001 transcript:CAK72809 MNNSGIIQIEFQNGIYQGQTVQNKCKQGMGVYIWEDGCAYYGEWKSDEIDGQGIFFIPPK TQIMGHFKKTQINGNCILSTCQGTYYGQWNKGQPVEFITAKLQNLEMNIYFQNGKPITHE RKYTSKFEIPILKNKINDVKAIQQLELKNGSFYGITSKNQLNQMIPNGLGIFKSSDGVFC SGQFKDGFLNGVGRLYNQTGDVYTGQFEHGEYHGRGIYLSKKDGLQWTKGIWRLGDLIEV HQNGILKNFSSSKEIMFPYSDHKNHIQVQQPININEIKYFEEQILSYIQKSVYSSTNTSP DVGKNQTKITRNYFSNSPKTSYEKISEILFGTKLEGEKQHALKLKQIQQQKNNQLIQYYK DQCQQIEDTSKIQHFTTQSNEPKISHIKMKTHFIETSNMREEIKRQLNSNFNYPNKNQEI KYLQILQQEQNAESQIKVSTNLKSERNNRLKTQLQLKSQLQDNNNKIKQNVNIKEPLLVF KKQKTQDSPRIPQNQLVSKTERNNSNQQQSAEAKIGLKKQKCQNLSKNQYLDNLISIKDD NLENDKFTFREKQIKEQGSIQRQKSQKNKQNYPQNQSSKTRKDPKIVQNQITIPQVQQKQ SIETESSSRRKQDIDQTKKLSFSQQDFANNFDPIKSTEIKQSQQSNIEKDLKPIVYEKHE DQNQITLIQKQQLYLEQIIDQQKQQLENLKKEQQLLDNEYQNFDIKSKFITITNGSIDSF DSNPQYSESFNQDFIKSVKDIMRFELKRKQGDSIKDSPHFEQSKITNNQQSLFSNDIQSF ENVNYHDKTPNTIKIQNEDNIQQKVELPQSKKEIVSQRDLDDLLFDNSQIKQSRLARRTK SSKYSTVKNQQEIQKIQNNQSLQNHSFHQSQEQLSKNFENDYMDFNKQGMHQNLNQDQIK KQNQEILIQENSINQSQSIHKKSISLIQLQDIQNVEFQNQVIKQQQHDYNKKKNITKESE KQQSINSLKQIASLSQHSSCIQNNQQNKQIQFQMPQKSQNVQVSNSQEGKNTQQDIDDIK IQLEAQQQILASLQNQILQSQPIKFTESKVQNAISHAKSKSELPNFLPDPRNSPIKKVII TKGQFPAFSIIKPITPIRF >CAK72810 pep:novel supercontig:GCA_000165425.1:CT868130:500031:501054:1 gene:GSPATT00009202001 transcript:CAK72810 MGNCTKMCYVNEEVQQVNHNEEFLIDTGLKNDDIQQHSTQAKQQENKEDQYSQYSNRSVL PHIIMSSDQIIVEQTIESTIPVKLARILMEGGSYYEGEWMQGKRWGQGEQKWPDGSIYQG EWKNNQANGYGILTHPDGDVYKGEWLNDQANGKGIYINYNKAQYDGDWIADKQHGFGIEK WPDGSVFEGYYKDGKKEGLGKLIYPDGSRYEGNFWKNNLHGIGKYFWPDGRTYEGEWVGN QMEGSGTMTWIDGKQYSGEYKEGQKHGVGTFIWEDGHKYIGEWDMGKQNGIGEYFFINGT SHKGVWQDGKRIKWD >CAK72811 pep:novel supercontig:GCA_000165425.1:CT868130:501453:503002:-1 gene:GSPATT00009203001 transcript:CAK72811 MGVCSNKTKELNYQEDVHNKNQIDQQYLHLLDIQNPVNVNHKFDNHFTLIQNKYTGIGIK LTNQYTTNLSRSEWELMQNQFWGRVIKSYKDSYKDSTHWSSIRNALLQDDECTSHFLILE SSIAILMLSKLKMINNTIQLLISDKQVFQVPVFVINEPISWNNEHLVLNFEKFSLKVICY HIQVSIRSSKLPKDFLIETESTSKVLEIKQKILEVAKEKTCRLYLNGRELIDKNYLGNYN ITSGTVLNQLHLDNSSFFVIIFQKCLVLIYIMHKQKEQILFKCHLQHQKPELEDITTFNI NKIIETNKYASIFELILDNCKFIMDTSFCLEISSQFIPISIDCLNNCNIVTLQNIPKIDT LKRLTLDYNFIQNSELIHLKFYQNKLLSLSIMQNQLDFSDSSLLQEFYLFDKLLQLSIAG NYIHITEYESIIARDEIFKNMKNLVFLDTIAKGEYLSTCMENQYKDQKQEQAYLSRLDII NWKAEMEYNQKIND >CAK72812 pep:novel supercontig:GCA_000165425.1:CT868130:503042:504326:-1 gene:GSPATT00009204001 transcript:CAK72812 MSYRELRNFCEQMRALGYHRIISMENFRRPNFELVADILFWLAQKYDPNSDISDNIDEER NRVEFIKQITTLFVSKARLKINPKRLYMADVYAVQEILKISTLLYQSYVCPPADEDEIHD FSLPSKLSNIKSHKILAQEITDLATRLYDQLGKEDEVKIAREKALQFLSNVSRGGNSQSE QSQIQICIQTILKQQEQNNQEMLKYVGNLERDQKQLDEKIKRKTKELEQAEKRLKGMKSV KPSYQEEYDRQEYELEKLYQIYVEKLRNLVYLEHVLDEQIRQEELEQKRKDEQLEKIQRG IKDQEYIEIMGGGDEQVDQQGRDSRLQSSNKEKRNNDFMRTQQGGFNRQQMDDDDDGEEL SAGDIDEGDDEDEDELEDDDDEFQNIEDRDEVDF >CAK72813 pep:novel supercontig:GCA_000165425.1:CT868130:504509:505748:-1 gene:GSPATT00009205001 transcript:CAK72813 MQPNNSRTSIFAQTNVNNPPLQGQNAMNSYAQTYSGIFQQQSQSNIQSQQQQNLQPIVQQ QQLQQQQYPQYQQQQQLQQQQQQQQIFPNNNQQQQQIDQNPSNIAQYNQQFQPIYQEPDN FTNPNAIPQKIDLQFYGIYEKVYYIKAYLLLRFKNWQILYHYNHTKKNTAESHAKIIVKN FQEFTQLEYDVTYVVSTDEGFWILKITDLDRIYMVLYDNNFRRDLAFQVFEEYYKCLTEQ EQLVKIQDNIELYKQINEKLEMLTQQYEQKIIENKETGLNFEYSKVDFSQAYFSIGLSEQ CQMNNVEKVILQTQNNRIHPAFQGTNLFTDLKSNLQPIISICICVLLILIVILLLYNYVI KDILTSQQSNSGKVSQKHLLNHLIKK >CAK72814 pep:novel supercontig:GCA_000165425.1:CT868130:505788:506582:1 gene:GSPATT00009206001 transcript:CAK72814 MSDENAIDLVMKNLDQQGQLTKVKAQIKASVFKILDQSKGKKSGASNFFWENEKAKAIQK DNELLLLLEVVKEFLQFFDFEFTLSVLQSESNHIPFIERDQLIKKIGLKQSDLNTNQPIL QHIYQKYNPKGAKQQNQQNLSQQEQVSIKKQEKQEPVKPGSKANQQASVTKNQPITKTIG KVESTKLSNVKSQSNDFQFEEIEEDLDDVQDLEIPSTIKKNEKQNNLMLTSSEEQLASMS QGLDITVDSNEIDQYDHVVDIKWK >CAK72815 pep:novel supercontig:GCA_000165425.1:CT868130:506732:508354:1 gene:GSPATT00009207001 transcript:CAK72815 MIFQIGQNDGRKFWCKNNIPNFDVEEVQNVKIANFYKIGKTFGQKCLRQYFLIKQYLFYS DVGNFKNIKGYVRLDGVYLQFQTVNQEYQIYLRNNGFQIILCTDIAEQFKEWSYILSLKC ILTTFEKDYKIGPLIGNGSFSTVYECWNHEGELFAVKAIAKQSSKQLKINKQYELQLLSE INALREFNHENILKLHQVYENAEKLYLVTEYVNGYELISKATSKIPYSSSELQSFVYRML LAIHTIHSQNIMHRDIKPQNIMLRNGQLHSPILIDFGLAVSTQFKDIPFPSCGSLGYTFI SNPSYSAPEIIRFEETKKQYNSQCDIFSFGVTLFAIVFGYNPFKGNDQKTTVKRNADAYF EIPSSPYPSECNLILLMTKKYPKDRITAQQALNHQFFKVKFYLTINLPMSIMTKQQYEMS KSDKNINVHASLEVDWQFGLNNQFLNSSCSPKNQKNRTSTVNSNPQRKLTVPSLDQIDEF QLDVYEDSIELSHIEKLKMNQNHFYNQKNLLNSIL >CAK72816 pep:novel supercontig:GCA_000165425.1:CT868130:508424:510519:1 gene:GSPATT00009208001 transcript:CAK72816 MDQDKDLLTQPLNFNTTYSKQKEPDIFTYVIDGKLTLLENWIHQFKNTEDIINAKDPEGK TPLFYACYYNFKNIVMYLLMKGADPFLTSKSGFNVYHVCAQRGNLECLQILCNMFRHKLN MMKWEELKLAMQRYQFKKTDAQKGQLINADKHLKQVQSRFQKFQEIVSDQYQQFLDQNLL YFNRVNATIDQFQRNPIHYGSLSKYTKCFQCIKQLIQFDFKSEGWDLFYDIFMEVQLLIQ IADKKIDPRQYRNYRELACNFLNSQLLEHKQTNFLNNIKKLQRDVVNQQDIDGYTPMHLA SFAGDFAAIQFMLLLGADPKIKCKRKIRTPLEYASNDSVRKYLMDLNNAVEEGDDKSFTL LVNCGQRVNGKSTIYGITPVHKAIEQTHKSSNKTMLSKVLEMDADVNVIDTNGWTPLHHA AYYGELWAITTLIERGAYQQISSNKGYFPIHVAALNNQAKAVQLLIEKGVNNQESYIDFQ DNQNCTPMHLAAKKGHDQVVQVLFESGADIYAVDKRNWTPLHYASFYQNRNVVHLLSRYD ADEDRYCAIRTSKGQTADQMTTDSEVKFAFKTLWSAARDGDLDTVRKLVTLNHPINEQSY GNKFTPLILATRENHYLIVKYLLSENAEKTLKDKYGNSALDYVEKQANSKIKELLQAE >CAK72817 pep:novel supercontig:GCA_000165425.1:CT868130:510545:511402:1 gene:GSPATT00009209001 transcript:CAK72817 MFFELVFLFGIIVGEEIVYSVSSACRCQQIQSEADCNFKCKWNTDKQKCYDKKCYEIMDE EACFSRDCNYIDGQCQNLDSCSDIQVKFFCNSSSKCIYDDSTQSCRDEITQELNCDSFFT QNTCQNNVDSNNNYCIWIAGDVDEGYVSTPGHDYGSCKSYPFETCDSANLVFNPKELCDR NRISCRWLNDSCQQLDCLYFGNDEQLCKNNFATIKDGDKTVLCSWNALTKQCENGFDVST LGESECYNENTLFQLTFDDQKKQCTKCPGAGMIVMCSLLIQLIFS >CAK72818 pep:novel supercontig:GCA_000165425.1:CT868130:511437:518205:1 gene:GSPATT00009210001 transcript:CAK72818 MTALVLSMLLLCVSSLEVSLSEQCVCSELKSKNDCIINCTWDSTTNKCQDKQPDSNASTY PVSQLCQNIKSKPETDCAITLGCAYYEDKEKKLQCEQFSGCQAYTRTSNDECQKVSTQCT SDGVICIDPMKCEEYLNQTLCLQNISISGTGKCKWSETEKKCIDQVCSDAPTTLITTAQC NRFLSGCISTGKGCIQELQTCSTYPKDDCLGRIGSDGYCQEKDGNCQRIPCTDAPQYSHD KQCQTFQLNCLTTGKGCVDPPLQSCSTYQEEGQICLILIGSDGQCEPTPDQKVCRERQCK SSKGTTDEACNTYKKGCITTGVECTDKLEQCSTYSDNCDVRIGSDGSCKTGTGTNCQLRV CADADTKLKTDAECTSFQKGCVTSGAGCVATRKLCKDYKGTKTTCALIVGSDSRCKGTDD VNETSCTAASCSEAPKEYKTHDQCQQFHSNCLSNGAGCEDKNTCLSTSSEMACKGTNNCY WNSICVTAQCTSYTTISICNQNKEKDLPCFWTGASCRKLECSDAPKTYNTNEDCEKFLSG CLTTLEGCIHNLSPCSAYSGKADQCQSFIGNGKRCWGTSNSAKEPCSERLCTLDTTSTTD EDCGKFQFNCKTKGTGCISNTELCSAYSGDVNTCAKFTGQDGKCYNDAASTSASTSNEEC IKFLNGCLYGGAGCIVSTELCTTYTGSSTETCSKFTSNGAQCWWETGTNCVEKTCAHNTT ATTDKDCEEFLTGCVTNGAGCQHFSLECNEYNGTQSTCMQFKGNTKPCTQRSLCSQKSCN EVVSPTSNADCIGYMRSCRFDGTNCIVALPTCASYTGDSTLCQSLSDSNNVGCWLPTGTT GPCVNRVCSDLVTSTGQQDCDNFLLGCVFDGQSCQQKQNNCVDYTNFSQDACKSVKTIGG NKCWKNENTIGNCEPISCDNNIDSPSEETCAAYLITCVFSGTKCVTKQSSCTLYTDFTAD ACKVVQKTDGDKCWATADGTCIERQCSDIVAEVGVELTNDNCVEYLSTCRLAMSNVCIPA KALCSDYGPSFSPDVCKQIKTSDGKQCWMDSISAIGPCLPAKSSCVEYTMVSQDLCKKMK DSNGQLCWKTATGQGACEERKCSNQVANISQSNCFSHLQSYATDQCTYSGVGQMCTTLQD DCQKYTGFTQSSCRTVITTLNKKCWKTAAGVGACENRTCDQNVINPSFENCQAHLATCTF NGQTCQQKENYCNSYTNMSPEQCQTIVSNIDGKCWSASTGIGSCIKRTCEHNTKSISDIV CEDFLTGCVTNSKGCVLGTNGCNTFTGTTSLCNSFNGCKRDESDSSACGVRNCLDDQDSV TDENCHKFLNGCVTKGKGCIANTEPCSSYLGSREACKKFTGNGRRCWNTLDATDRTPCVD RLCTDNDYSTTDNDCTAFQYGCVTKGTGCIDKSLPCSKYYGTQTTCANFVGINGTKKCWN VITAVSTSACIDRLCTHNAKLTTDKDCDLFLSGCVTKGTGCVNKQLCKNFLGTIKTCPNF SATDKPCKGVSNVVASCESVNCYEAPNSADSDTACDKFKPACQTTGFGCVSAITCEDIQT KAICSANPNCVYTSNCRELQNDCSIFRAQSLCVNTPVVGTATLRCSWHYEGGKGVCGNWK CSDASKTITTHDACKALHPTCTSQGTGCIELSACASYTNSIVCQAAKTTDPGDTCIWDKT SCRAKKCSDASKAFKTDQKCDGYLAKCLTTGQGCELPPFFCDKIITQARCTVDTQLKPCI WQSGKCISYKQCYDYARSTFEDCQTFGEKCVSDGTTCLSITLCERYKNEISCIYGTDGVC GWLPQNGADPAKCVLFKECKQATSSDNTTCELFSSSCNKYKHSQCATLPKCISDGKMCFP IGKCESYLTEQACSNLGSDGKCFWEVKETIGKCRLRLCTDATIQNANNIVTHQSCNAFQA TKICTTNSKGCIEMNKCEKYDKDSCFQGTDGECIFDNEKCRIKICTDFKETTSAACALKL KGCISDGTACVAQANCSTYKTKVACHSNGTDGICVWNEVKNKDETVTSTCKYMSKCEDAH QDQFACEFKKQACRYNTDATSKISTCISHTCASLAIGTTCLPILSIDSKKATICVPNKPA SSNNSTTGTNSTTGTNSTTGTNSTTGTNSTTGTNSTTGTNSTTGTNTTIIIPCIEGDPTT LEQPYCLSYSSYTYSYDASQVKCAKCKTSTTNTSTNSTPSNSTNSTEDDRGAIITFGTIL MIFIGL >CAK72819 pep:novel supercontig:GCA_000165425.1:CT868130:518890:520075:1 gene:GSPATT00009211001 transcript:CAK72819 MGTVDLETLDRNIKVTIEIEISNLQKLERQLRGKVLYKRRPCFKRINGIANYVCIRLLSY QFYYRSKEPITTQLRRWHSFRMFLKTPQIQLDLYIKLQQKQEQIKQEQMILNNLRDHPHP DIIQLEEISCDENSISVLLEYCPGGDLLKFLNQNNQNINHQLVMKKLLNVVQHIHYYDIL HRDIKLQNVLFRKANDASSLVLADFGLACLKSQLLNNNNRCGTPGYTAPEVFTQAIYDQK VDIFSCGVVFFNLLTLKNPFGSSKNPQTLLLKNIAADYDLTYLDQIKSKNPKACDLVIQM LKKDPKQRPSATQCLQHPFFSQEVVEQKEDSTFDNIQFNNPIHQTVKVSQTKPHQMPQFK >CAK72820 pep:novel supercontig:GCA_000165425.1:CT868130:520209:522773:-1 gene:GSPATT00009212001 transcript:CAK72820 MKLFTLFALIFLVTANDIVVIPDNIQKILNQSPEIKKSLIAKKLLGNEKPFERFQDLNQV LDKSDRIKDSIQFIKVAQNTYNTSSSNYTYPNSTYNYTYTNYSQPTSSYVYSNQSNGSNS TNQTNSTYSNNYYYNTSYPVQNTSVNNYVTSDNQSSTNSTNSASLTCSVGYFLQNNDCLP CMANCAICGSSQGCYSCFSGYSLFTTGCYLDITQNSTSNSSVILDTSTNTNITNYNYNDT SYNTTDNTYDNSTSYNNTNNNYTNDDQTYQNQTYNNQTYHNHSDISRVRERFNQSRVHLK LRDNLNRNGITNLTQIISYYNQSSQQYNKIVLFNEATTGTKQVRNQYYFYQNNSLVVLNQ EFDTNGNIVYRSINYDFSIYVQKEQGSLNQHQNKEIYGTIVYGNGNFNATYYKYNDLQGQ QNQSYFDMGQQSTGQVNYNRNSSVASVSYNNNTFGYQSSYSSNYDYNQSNEFQNYSNSNS QYENQNNYSRYVNFDQHYSNSSSSYSNNDSSYEYNDEYAYSNGTGYEKENNQESHQQANG YSESVNYNGNKQSYSSNSSSTDYRDSSQDGVYSQNYSLVNQTQFQYNTNSSSNDYQSDWY SYSQSDYSSQYKNIDSTEVSNNDYIYNVSNINGYKSNSNSHSQYGSEYGSSILDSVDAHS VQVTNDNTTVTNVNATLNQYNSTNTNYYGYPQYNSSQASRDVNLTVTENRQQFNQLRGSK KSATGSWSEVNQREFASSNAQIIEEARLAINKKFQPEQEGFQFDSIQSIKEQIVAGINYN IKLRYLDANSKSIIYEVVLYTIPWANQPNQIVSCSRFDQLEI >CAK72821 pep:novel supercontig:GCA_000165425.1:CT868130:523233:524143:1 gene:GSPATT00009213001 transcript:CAK72821 MKVQIALVLLLALVSAQTGGWKKQNTTIFQAANSNILNEAQIAVQNKYSPEEQGYEFESV VSVETQIVSGTNYNIVLQYGNDEGEIQQYDVIVYSAPWNGQDQITKCELINGQSASFAQT QPSRTQIGGSPQVTVTKPSSLRLKVRRQENVDSHPTGTWENVNPEVFEQSNDSIINQANI VVAQQYNPQQQGYEFDEVLEVQTQMVSGTNYNIKLLYTNGEGSYQTYDVYLYICPWNPSA NQQKATLTGTYSV >CAK72822 pep:novel supercontig:GCA_000165425.1:CT868130:524158:530108:-1 gene:GSPATT00009214001 transcript:CAK72822 MILLLLMCFLNVKDSFAIDCTIFHYYQGDLTCLTCDLNDGNKCLTCYLTTRKLQDGNCVC KDGFVEITPLKSSQCLQCSENCITCDISKRNYCLSCGDENITFRQLVNGDCVCKSGYQAV TYQYACEVDTWDKRLLTFKFKTDTSHQYWQTVTKLFESNNSVINELTTLIKASYLQDTAS NQFTKYQFDLEQNQKKFFFKSDIPVGQYYQQLDQNVFAVGYIQPKETQSVLWKFGLTISV SQTSYYYTSRVLEKQWNAGMFQFYKDQAVYIQVILTQEEVTGSVTRRRFLAEDYSIYQIM YFFYIGYAKSTFLSDQECEKLMDGLMNLINGCQKYCDDCYFYQNVQQCRSCQLNRQNQNG NCLCKYETTDQSQCYEPCKGLDCITCANGVCVTCPDGTLPPCNSPNIKCDEGYYQENSQC FKCEDICIACTSKDVCTQCPATYYVDKSFYCGVCYAPCLNCTSIDQCSDCIDGYFFQNNN CILCNYGCVKCSAENYCNTCIKGKYNNNSGQCINCPSICTTCTASDNCQSCIDGYYNIIG YCNKCQTVCSKCLNSSQCTQCIPGYYLKSEQCLKCADNCTTCFDQSDNCASCSSSYTLIN NKCIKCTSPCDMCQSSSTECLSCEKQNYLVVDNKCQTCSQGCKECSVYGDRCLECSSGYF LDNLTCQQCNFQCQLCTNRVTCTGCVSGYYLNVAKCLPCPIQCSNDCTFQSRSIVCNTCS STYFKSSSTCQKCQEPCLNCSTTTTYCEDCILGYYLSNHACYQCTLTQCRVCSSATSCSI CNDGYILNSNNTCEQQTQTCDVGKVQVKKTDECQPCNSSCQTCYGLELNQCKTCGVSYFL DAIHQCKQCAYPCKTCLNQETNCLSCLDGYYLEDNECKTCQEGCLICSNEDNCSSCSTGY KLVNNECESCCVDNCYHCTSESSCDYCQAGYYLTALKKCQKCDVDQCAICTNASDCKVCQ DGYYLDSNTRCLLKSCTIINCQKCLSDTVCDTCLPGTYADSGQCQFCDFNCRTCLNTSNK CTSCNPNYYLDTNDTCQYCEAGCLLCSTSAITCQSCKSGYFFIESEQKCEKCSQFCRSCS VKPNQCTSCGTNEFLKSDATCQQCTSPCFGCNSESLSDCKSCIEGYYLSIKQCLPCFTNC QTCVYNNVCTKCIFGYFLDGNSCIKCPVKCKGSCVKQSSYIQCDECNVGYYQGTSDCLIC KAPCKTCKSTEDACTSCISGYYLAINKVCPKCQTNHCRNCQISSGECLACDYGYILTGPD LCTECPTCTCGTHKYYDWDDLVSSDKCKECDRQCVNCYASSTNCTSCSSNQYLDTTDKIC YNCNSQCVTCQNSITCTSCTQFQYLTDDKNCAVCNSPCLKCTSSTQCTSCVDGYYFDGTN CIQCNSKCAQCESASKCTTCVQDGHTYYKSDYTCISCDSHCQECYNSGCTKCDDGYYADG QSCIACNANCKSCSNLNCFECKGSYYPEGQNCVKCQDFCVQCTSSQCNQCQTGYYINGQN CPQCMQNCQKCSSETSCESCKTGFFYDEVNSVCSPCMDNCLSCTSEKCSSCQTGYYINGY LCEKCQTNCLSCTSTTCNQCVSPYQVYQNICILCQTGCTKCTQQECQQCDSVYYLDPTSK QCVSCNSNCLSCTAQECSSCKTGYYAKEKNCQQCNANCVSCDATTCLECKPQYYVDQTNC VKCKDNCESCSSSECFQCKIGYYLQSQQCTQCNQSCKECTSETSCQQCNNNYYLDGDKCV NCASNCVTCTKDECTSCAQSYRLENKQCVACTSDCLVCTASQCSQCQAGSCLKNSQCSAC PSNCPQCQSANSAYCSVCDDSGQGEDEGYVNNCDEGQFYSIEDKICYACGVENCQTCTSY DTCTACSSGYSLEVDQTCVQNSTTATQAVPIAVGTIAGGVAIVIVALLLKKLAFIHVVPK LFNNLPIKFNPYRVNSGASVSNWEQIPNVSEQEFQDAFFKVQQGGQ >CAK72823 pep:novel supercontig:GCA_000165425.1:CT868130:530423:531232:1 gene:GSPATT00009215001 transcript:CAK72823 MITIIYILLIMDLSFAAISTSAFCECSEFQTSIECAQQQNCKWSTSCMDKICSDFIEESE CDRASDCAWNDTQKKCAQFTSCSNYKVKQASSCQLKDATCIAEDANPDGSYICQIGKIIC SQISDQKLCNSGLYQSQYETCTYSSSSCQSMDISKCSTITEQFLCTSLKCSWSVTNLKCL EGTCSSYSSPDMCSYVSAESIGTKILCTWLDNSCVEASDVLALTSSNCYINTGGSYAWIY GKCKECQSTKFGVIVALNAIFSVLLAFML >CAK72824 pep:novel supercontig:GCA_000165425.1:CT868130:532495:533759:1 gene:GSPATT00009216001 transcript:CAK72824 MRGTHQLITQFELNGYTLPLMEKNMCITFLKDLLQQNPIDMDFILANTQPSHQELKLLTL KSNILQLIKEDKDPSISSPRFDYAQLESIIPKKNRNKINEIKTKVETLLNSNNIDPPLTI KKRWWTEEEDQQLKELVTQHGAKNWKKIASYFEDRTDVQCLHRWQKVLNPDLVKGPWTQE EDDLLVKLVTNCGPKNWSQIAKHLPGRIGKQCRERFHNHLDPKINKERWTDEEDQTIIEA HKKLGNRWSLIAGLLKGRTDNSIKNHWNSTLKRRLKMQNRWEDLQVVPRQDETQIKGVPR RQVQRKVMYYKTPEKLVKRDPVSRQLNFLTPYSNSTPKSEATPKNLSIVYPNLSAQDSEM IDSCYILFKQLSELTNVDLDFNKYYSYK >CAK72825 pep:novel supercontig:GCA_000165425.1:CT868130:534298:535242:1 gene:GSPATT00009217001 transcript:CAK72825 MFQNIIHDSICSEKEISEEDLHSPATLDLEPFSDFESNFHLSFFTEEPVLKCQSDVSKLA LKIKEEDTNSNQQNQEINKRKQKIWNDEEDQRLKYLFNYYQGKWNEIVKNMPQRNASQCQ QRWRRINPPKETKHIWSEQQDDQLKLLVHQFGRQWMKIAKILGNITGKQARDRYINKLDQ SINKEPWTYEEDMLVLDYFVNHGPKWTKISNLLVGRPENHVKNRFYSFIKRNYLGEQNRY QIIYS >CAK72826 pep:novel supercontig:GCA_000165425.1:CT868130:535937:536384:1 gene:GSPATT00009218001 transcript:CAK72826 MGPIRQLQAFVCAQTFWPQFMIRWFQAEKGPFTIFFYCPLAKWGISIANINDMIKKPVET VNPVQQSVITLTGTLIARWCWVLSPRQYMLVVCNSVMACTGIIQLWRKHQAGLLF >CAK72827 pep:novel supercontig:GCA_000165425.1:CT868130:536871:538353:-1 gene:GSPATT00009219001 transcript:CAK72827 MSQEIFVSDDGKIKLLNGREEGMRLVNSQTRQIVTQQPAIEYSSQRMRTQEEAQILQTQQ LPPPRIQSQQVLRQNISIPQVSYPGTYSVQQNCQQAPPLCMNIVVVSKEEIETPWRLECE YLQSIIADLERRKEAKVVEKEVVKVVTDNTRIEQLEAQLLQLRRENDQLKNQMMQMRNSY EQQLDSLRGDVNLHSANAADSASLQAEFYAMRTQLEDQIAGLRRQISDLEIQLSQSQQEN DRLKQLLSNRDAEIQQLRLQISKLQTNAQDTSQLRDLENQLRQSKDENSRLERLLQQANQ DIQNWKNKCALIEQESYTKNNELIMQIRDYENKLALISTDIERLQIQIRNKDAELNEWRM RYTELESQGTTVIQEKVTYLSQEVEVWKSKFIKTNHEYNKCQEELTMCQAELESLRKSGS KREVVVTQRTVTSRAGNTLTVTGPTGSQSQIKRTGHQE >CAK72828 pep:novel supercontig:GCA_000165425.1:CT868130:538447:539379:1 gene:GSPATT00009220001 transcript:CAK72828 MQVQYGAVPNPQALGNAIGNAIAGQLQQYGAQFFTADPFAGVNYMLIDQKPDCIECICPC LGEKKNSYQISVSEQTGLLAQQQLGIIKEESECAQRFFCQCWRALDLLFINNQNQILFKA QRIYKVPSVLCLPCERPEMVVSRDSEVIGYLKQPFMCCGRSECPLPILEEIDICDPSGNT RYFISGEFVQCGCVTRMCAIPRCMGPCQYIDYDIYNPMKQKVGRIRNIFNGCALEYCSKA DKFLVEFPPQCTSQDKCLILFAAITIDYDNFEYTFCNLP >CAK72829 pep:novel supercontig:GCA_000165425.1:CT868130:539391:541277:1 gene:GSPATT00009221001 transcript:CAK72829 MFIEQYQETINQQLKLCKVVPITLNPKNVLRSILAAEDKLADEQLYLNQCKKQELIINNM NEENAEVILKLCSLIKLSLDKHKVASKDELQEIVLNQIKTDSVNWPQFKQPRWPEYLEYF SNLSKSLINHSYKLIESIVLDLTTKPFECSEFHQYMTDKLEQTMSVLAVEMSDFVRVPNS NVLYMELKKYFNSIIQNIHLYINRTSLFCNTQMEFLNETLTKYHTLANVRTYQVLQTETS QYIISQCHISLKEMLFYRIEFLIFEKDLVKSIIRHFYEYNKLNLGVYQMSTLTQTFQDAI HMHQLLDENQSVQINLDSKLFDQDGDCLKYKTWLYQKKSIQSQQMLTGSSVVGGSIGAGL GCLIVDSVDPKIPWEEKLKRAGFSSVATGIVGAAMRIPVIGTILSQALLFYAIKLTANNK VIDKNEKMKNLAHIGVQSSVGIGSAVAGQILIPVPVLGAIIGGTVGGVAMSLYSKFFVPK TKQSIKVMINELIDRQLDDGLFVYDEQVIKIMRINQQHYFGGKPQKFTDSQWLTILMVYL VNEVHYLSNIQGLEKIKELQEQMKKKPSDEVKYVKQINDIDDDLEQQELISVKLERSRNY IQQQDINTLQIASQIGEFINGMIANFKL >CAK72830 pep:novel supercontig:GCA_000165425.1:CT868130:541296:543499:-1 gene:GSPATT00009222001 transcript:CAK72830 MSLIFYFSPQSPPSRAVRSLLLLSKVVFQGKVVDLMKGEHKTPEYQYINPNQSLPALVDG ELKLFESHAILKYIAIKKELTQFYPKDIQNRARVDCYLDWSQTGLDAIGTYTNQCFLFPM LRKKPVPQNKEQMYQDTIDTLQFFESIFLKGRYIQNQPSITIADLKCIADLTQLLLTGLD FNQFPKIRDYLQEMFSLPEIREAYAEYLEMIKNTKPNDSISYIISGIDKRQNWQINLYHH PFSSPSRAARTTLLYSGVEYNEKIIYIQKGQNKTPEYQAINPNESLPAIQDGNLCLFESG AIMKYIAQKFKLSNLYPFNLKAKAQVDLYMDFHLTEMSSLTKYAFSCFLGPAVMKLPIPS NKDQQLKDVKATLEFFVKVFLNKGNWAYINGSPIPTLADIKACCDIAQLLITDFPFEHIL GLDTYVQRVFQMPEMKKSHREYFQLLQSQKIGKFALQITQMSEQIRPKDKLTLYFNFVSP PSKAVKSLLKLAQITYTEKDIDLKGGENTRPPYTNINPNQTLPAITFGNFSLFESHAIMK FICIQFNLTEFYPNYPLRSKIDSFLDFHHTGFKSLTIYTMDFFFGPKFFKKPIPNNKEER IRELNESLQFFIDTFLGGGHYKYIMGSKTPTIADLTFIGEISGLFLVDFDFTPFPSLKRY LRNLFEIKQIRDTYSKYFLVARFLTSSMNDYILSIVKGTKEGESACCQLI >CAK72831 pep:novel supercontig:GCA_000165425.1:CT868130:544172:544889:-1 gene:GSPATT00009223001 transcript:CAK72831 MINEAKFELLKQIDYWTQDLQKYEKQHAKEIELFYLERLAITNMNISNMEQIIRKYELLK MVYQEITKNHSQKYSDDTFNTLYNKYCNEKKSKQSATQSQKKSVNNCSTVQNKGRRSNQN ININTTKEQKITNQQSTIEPNQIIDEYIDEIDYYADKVTHLQHQIEQHSHEELLSQLQQL QLEQEGLQSDYNTIIEENERLKTEIQDNQQRVNELRELQLQLIDEFKQFN >CAK72832 pep:novel supercontig:GCA_000165425.1:CT868130:544948:546623:1 gene:GSPATT00009224001 transcript:CAK72832 MNRRLQIEHGNLKVKRALMRQQINQSHRIDRDFDIDERFRSNSMCMKNDEFEIEDPEYYD QQQTWERLDRLVQSLPNQRNSCSWVIFEDCMYIFGGFTFNGRLDDVHRYSFQSNQWQRLN TTGTKPTARENNGAIEYKGQMYIFGGCDGLLWLNDFYSLNLKTLIWEKIEPTGQCPSERF GIACGAYQTKMLIFGGCDGNHYLNDAYVWDFEEQVWNKLQLIGDIPSARSCPSFSVLNNQ IYIFGGFDGVNRLNDFYKINIFTGKVKRISQHGTIPCPRYFHASEIYQNKLLLFGGFNGQ ARLNDLYEFDFGIKTWKKLEVHESPKGRSSMVFQIYNDSLYIFGGYDGDELLNDIYKLEF KNAHMPRSSFLSDLHTLINNPLMSDVVFLVEDHQIYANKCILGARSEHFQTLFFEEFRDK EQIFIEITDCAYQTFMDMLLYIYTDQLDYSFNTNRLLNLIILSDQYLLQRLKYLCEEQLI RKINCNNVIDIILFSKKYNCKLLLVQTMKQLIDNISTIKKRKDFIKLAQEPEILLEIIQK KC >CAK72833 pep:novel supercontig:GCA_000165425.1:CT868130:546698:547180:-1 gene:GSPATT00009225001 transcript:CAK72833 MLKKVKQERVEKQSSQLDQQQNKPKLREGASKIDCPIKNSENCELKTNTNIYNLQYQKVM EQIKQIYQEQSTAQANKNNAKVEQGKLKKDKTESKIVSKTRKDQVQEKPVNQVKTNTKQQ LEEQKDRIQMNFTQITTNFLNKLKSEFEKVEMDLLALLNN >CAK72834 pep:novel supercontig:GCA_000165425.1:CT868130:547442:549515:-1 gene:GSPATT00009226001 transcript:CAK72834 MFSFIFSFLMLACIDAESLLNYKLSEGSKRKLEESKPNITSLTWEPLRIHYEFLDNTYDP ASVKFLQTVLGITTTFFQKHLLIRRSSQKITYQSSYPKSIFGFTISNELKQKEYDADLVL FINVENSDSETYLAYCGPAVYDELTLRPIFALVSWNIYYSKLSEMTDSLFENNVETAVHE IIHGLGFVDDYFFRYYDSVTGESYNTSNSYTVSKVIYLSTPRVTNFVQYHFNCTTLKGMQ MENNGGSGTQGSHFERTLYYNEIMTGSDMTGNFLITDFTFELFQDTGFYRIAEYSPDKAL WGKNKGCDFANSQCQGGTFSEFCSVDQAKSCSFYKSGVSKCMSEQLSGPCKYQYIYSNYD CRDPDNFDYWKSSSLIQHFGSDSICISGSVSKSKTYSDYTCIQYKCDVSNKLSLVVDGKE FDCSETESIKLPENYYGSLKCPSNPEEFCQNVDECPNSCSSKGFCINTECICMQGYSSKD CSVECTKYRYKGSCLDKCPDSTYVNENIKYCIGCPANCLVCSNYNKCTSCKAGYVLRGGF CDNYKLIELLTVNLSASNSTSNNTNSTNTNSDTNSTNTNNNSTSNPTSNSTDTSTNSTDT TSNSTDTTSNSTDTNQALNSTDTNQTSNSTDSEDSNINIVTKEELIYLINSFLLALYV >CAK72835 pep:novel supercontig:GCA_000165425.1:CT868130:550165:553417:1 gene:GSPATT00009227001 transcript:CAK72835 MIKNSDLEGDLMIVTIIPLRIIGSRKIFGSILSIVTLFIFSLICRWFISIKLRFYYNEEK EILYATHLLIINLHGEKEIHKVKRNTNSNCIYFKYRHIEYNFEDNKFHPLDTGYVGKDFD SQNVNPLSEGKVFELNRTFGNCEVLVPIPSITSFFENELTSPLYFTQYTSITVFMLEGFY QLAILQPIIALLTSLINYIILRRAMQQLKKKAENYQQISVFRQNISGKVECITINSIELV PGDVIQIFCNQILPCDGLILTGEVLVDEQSLTGESIPVQKEHVTKDLLTNFNYQTYKKSI LFDGTKVLDIKNTVKVLVLRTGYLSYKGQIFRNALYPKPPKIIFFIDAVKFLVIIAALII ALYFGLLWKMVLLDYDPSLIALRLGDAIVWILPPNIVTVVNLTMTATLSRLKLKKILGTQ PDKTLEASQTDVICFDKTGTLTTNEIKVKYVFDDKNNKVQSISDRQILQILMSSCHQCYL LDNQLIGDTLEIAMINYSKLNIQQDSECKFKVILNENDSNLGSQEITLKVIKIFEFSSNL QRMGVIAMSDQIIRENQEKSQGDTKIQSYQYFYFTKGSAEKISQLCMSTPEGFKDLITQE SLKGMRIISAGYKRIDSQDIHKSQNELEQRLNYLGSIIFENELKNDSAQTIEELKKAQLK MKIISGDHILSCINCGIESGIIEFQQLTIVIDYDVEQNDLTLQELNNNQIKELEGKSINQ SSYEMLQSLLPSSLYVNSIYATKTHQNYQWAMSGQAFEYLKDKKILNELIYLCQIFGRMS PSQKSEVVKILQEQRLHVCMIGDGSNDCHALKQSNIGISFQQCDAALTASFVNTNDSINC IIEVLLQSKATSCNVMEIFKYYMIINVSKYVSAQLMMYQMQNFNNEELLYLNYLSNIPIV ALQTLTPPSRKLTKDKINTSMISLSNFIPILIILIFSGLNMLGSYLILYYQEWYVPYDNN ENDTRYFFYGDMNTQQFLNMNIYFLLSFYSINTYGPFKIPFWKQHHLNIPVLAFLILGIL IQFVEMGSIDNYLGLTDIKLNGQYELNQFYFNITISMIVILIGRLAWIKQYIYQQ >CAK72836 pep:novel supercontig:GCA_000165425.1:CT868130:553531:554949:1 gene:GSPATT00009228001 transcript:CAK72836 MQLLKSYQKTPQILTEFHSPIIVNSIKLRKDSNYSLQQSQQLTDKETKPSKIEQELIPSK FKQINLQSSLPQIPLSTMNTQTKLNDLTRTSKLVQSPKNSDTKRSLVRSQFKTNSNNHKN NLTNNSTTTGSSKDSSQNQINQQYQIYAKNIIYSYCGKPRKRRVNVSAKSFIVYDCIENR IVSQRKCNKRMEIASLTKIMTFYITMVILAKFNLNSKEIRVKVTKKASETIGTTSELKYN DILTIQDLLYGLMLPSGNDAAILIAQTIGTIILFYESNKYLDSTLIDIEQMSAEGHYYNV ELKTQLCPIEIFISKMNYYSNLMGQQNTQFACVHGLANEDNYSSCHDIVLLSLECLKYDI FHQVISCKEYISKSLNNNKYYEWKNTNKLLEKGFFGIKTGITDSAGPCLASAYRSNEKEY YIIVVLSCKNLQLRFDETISLLQHAKYKKSLNSIIQ >CAK72837 pep:novel supercontig:GCA_000165425.1:CT868130:555363:556195:1 gene:GSPATT00009229001 transcript:CAK72837 MQKTISPIVKWSQRKENVFLTVEVRDLKDEKVDLTSNSLKFSATAEGVNYTFEINFFAEV VVEESKWTNYGLNVRFILSKKDKTASYWTRLIKETHKLQYLQVDWTKYIDEDDEAEEGGK GLDDWDQNKFQNFDQGGADEDDEEAEEEQPKEGNVDDLDKEEEVQKNDQAPQEGEQEKQA ESN >CAK72838 pep:novel supercontig:GCA_000165425.1:CT868130:556262:556654:-1 gene:GSPATT00009230001 transcript:CAK72838 MRTSCLGPKLVLSRAQVDDQEDVPEEPDDFEPIIIQRKKIRYKSSRSDRYDSKGRKIAKG SGYGINFDNFITVCVFEPEEEVVQIKDTLQLCTQGIDKEKINPRLDQKFKEEQTENEVIL FSILKQSKNK >CAK72839 pep:novel supercontig:GCA_000165425.1:CT868130:556845:558413:1 gene:GSPATT00009231001 transcript:CAK72839 MQHKEDSNLNETIDNSTNQEFTYFDEILTYIGFRKYQIYVFIIVGLIGMCDGGETQAISI VFPILEKEWGVSDSQKSLLGSLIYIGYFVGSLFSGIFADKYGRRSSLIWASGVMFVCAII GAFMPNFISYMIFRIFLVTCVGFIIPVSFSMLAENTPLKSRGIVLVTIGFFYTAGELTVC LLTYIFMPNLVSGNWRAVLCWASAPALITFLISNFLLLESPRYHLIKGNVTQASEVIEKI FQLNNKKAVLIPINTYQNISQELIKQEREEQGLQEDLKDKSFFASYFIQFRKLLKNQFIK ITLVVWYQWFVNTFVYAGVTFLLPLTLQKLNPDEPQDDDIEDIKVITLSCLGEIPVIFVA MIIVNIKIFGRKNSLFLSYFGVGLVGLLIAIIANGGYFFASMIFFLKMFISFSFTVSYQF VSELYPTYMRASGLGFASSVGRLGSIIMPWIVVYINDIGTFLSYGIFGIIAMVAAIATLL LPFDTYQRELDKVVTKQ >CAK72840 pep:novel supercontig:GCA_000165425.1:CT868130:558440:559381:-1 gene:GSPATT00009232001 transcript:CAK72840 MKKVQPGRTWTKQEDNQLLQGVEIYGRDWDKIAKVMNIKSKPLLEERYKNLINQKPKPIW ELNEDILLLQMVDKLGKDWEMVQKVVKTKDIASCKRRFAKIRDSCLNLEGEDKDLVLLNQ YWYKEDEEMLIFLYELYNGDWSEIFKRIPERYPKYIQDQFKQKGLANQLHKWNNEKDARL LAYAFYGIPLSNFEQETGFSVPQCEERLKKLLYKISKSLQQPKKTKEQQQFDEIMQFNET RRKPNRSTETNSMNQIDNQQLNYYSEFQAALNQSKSQKQSSVYNKMAQKLELETEYQNDD DFGIVLDEDFVSD >CAK72841 pep:novel supercontig:GCA_000165425.1:CT868130:559637:560736:-1 gene:GSPATT00009233001 transcript:CAK72841 MDQIKIKHSPVSQFQISDKEFPLSLQFINDTVLMAMTDFSLKWIDLNTQQVIWEQKNHHQ KRIQQLIVENNLAFTCSNDRTIKVFDSQSKQQVHQFKNEKESYSIAKSKHILAGGSEGRI DFYDLNAMKWRSRFDSSQNEELSSLNFHSQVQTQLLSSSTDGLLVQYDLTQKNEEESLQM MIRFDQPLNSCGYWNNLCFCVTTTNQITILDQQNDQKLHQFQAIKNDEFHEDYLIDVMAD NEFKYFVGNGKEIYQKNEKQQVVALYETNSTEQIRHIKQLKDCYLLFSENGLLEILKPQP YVYQPQSIEEEALGLIEEEGDNKKQKKRI >CAK72842 pep:novel supercontig:GCA_000165425.1:CT868130:561055:562067:-1 gene:GSPATT00009234001 transcript:CAK72842 MNNNDIIYQQQQEQNEKKPSLIEQLCSCIYFFRKKNVKINERYQLGIDTPKSHTRKVCVL DLDETLVHSQFKAEDGYDFLLNVFVQSQLFKVFVTVRPGVEAFIESLSEYFDVVLWTASL KEYADPVMDIIDPQKRIQTRLYRESCTPIKGGLTKNLKKLGRSLKDVIIIDNSQMSFLFQ PENGFLIKDFISDKEDKELDLLLPFLIWLSQQSDVRPVSQLYQQYLLNNLNPRKSSKKSI LSQSMVLNQDNILKSLQIPRTHTLNHHDFEDIELRQEAGLNSPHVELKMQDQTDDESKET IEISSN >CAK72843 pep:novel supercontig:GCA_000165425.1:CT868130:562086:562829:1 gene:GSPATT00009235001 transcript:CAK72843 MNQNQHEEGTIFIFKNSFKKAHHHSMHRKCNNIILXXXXXXXXXXXXXXXXXXXXXXXXX XXVPGLWGPPLQIAKTKALARLLKKQEKPCVTEDTSLCFNALNGMPGPYVKWFLEAAGPE GLSKILDGFEDKTGYAQCILSYMGPDLKEPIQFVGQTSGVIVKPRGPAHFGWDPIFQPDG FTGDIWGNGQGCEEQNISQTKGNIKIH >CAK78290 pep:novel supercontig:GCA_000165425.1:CT868302:1:948:-1 gene:GSPATT00039273001 transcript:CAK78290 MKIYYSLLQFIFFFAQSQASWQTIYQEFTGSNWDDSRWSLINSYGGPFSQCGNQKIFGGF SVFGIQTLISTQFALPPHYELRISLDLWNWDGEIVKMVFDSEIRQKSFILTDGQQICGET EAIFLEYNLPIVIAMSNHHSKSIVIIMTSTLDQPADDVLIVITQESWGVQNLKIEILQCP QECVFCSDSISSCKFWKNVQSQQFANSPEEEWLIDGSQQVGSSNCNGIRIIGGMNVLQKG QELVKLMESIIPHFKVQILVKIWVIGEWQNEQFVFEIDGKLQKKIEISSDNFTYSQCQG >CAK78291 pep:novel supercontig:GCA_000165425.1:CT868302:2025:4107:1 gene:GSPATT00039274001 transcript:CAK78291 MQNNLIICPIEKNELAQLVCFNKECKGNRIYCIECLKQGHHASHLPDQYDIKCLQMNFLK IKLKCENLITNLDQMIKEIDELSTQLFQGLKAKYSMSIERLKQLDQGEMNEVIEQMIKFD EVQTDLMVKVKECQKNLINLLQEKIVDLKLQKDIQIENQKNHQIISSDQEADNYDVALKL LDNKQFINQNYQQALLIKAQFLRMFGKYDDAITCSNKLLSIDSKNVEVLCLKACCLTMLG QWNDSIKYTDKALSYYSGHVESLYAKAKALEFLGEYDKAIKYADKALLICENHIESLALK AFCLGILNKYNESIIFTEKALTIYSEHVQSLCTRCLQILSKYKLAVQEGLEDTRKQSNGL IKLYLLIPTISSLYVQKVYKLMTNLLGYSLMKLGNYNDALIWANKALMINSNHQEILYIK SRCLQKVQKYKESIDQAEKGLNLNSKHTDLLYTKVQESLVTIKKQLYRQITLYLLILHKL MYQFQKLSAYNSLENTKMQSSWLIKFYIQIQSKVNLRVLQLNPCVLKVNKSQINLAMSLM CLSNYEESIKQVEKALQINQYHVRSLSIKGIVSQQVNERKYQDYERNIMMLFCGQIKLCQ FVLMIQNPYFQKAFLFYISRQFIKISGLIF >CAK79308 pep:novel supercontig:GCA_000165425.1:CT868327:213:3004:-1 gene:GSPATT00039326001 transcript:CAK79308 MKSMKSRQPIQVQQPKKEIQAEEAGRVCCYHQPLRSVIQDANPLPVVAEQRASSFISRCF KSSPDPNLVWEQHTEKNLISEFKELIENRFQEVKRSSSIYKYYAKIGFQVLIYFLNILEL IFTLCYDSYNQILDEDSYYGFCYNFSIILCILLHSGAWMYTYKFREVAKQSFVVEIAYYI YYMVMGALSYLKLAPFIYYFNRDQSIRQFSFSEINKYLQLSGEDKFRNPLYSFQRENKAC QYLQRFNIPQSCSAFHDYYNDHLDYSLTLYIRLLQHRKRIMGWIQCVQLFIITQQFNLLL LRNYNSLLIQTDLSFKLEKIKLTLIQETKQLLKSDQQYMGFVQSFYFHINPTNFSPYQKK RCMIQIISSLIKQKKIKNIEIHFIDIYEEITLKYLANCFKLINVGNISLLYHDQSRLQFL QGIFGNDPKITFEHQTIDNLDKLWKNDKIDLNAQNNIDPNAPKKEVTQIEFIDNPKITSG WQLVQQNQFIRNDFIQLSQDFINLIPVNLRGSLFPQRGVSSIIINQQSQIIDQTPQVLNE EPQLIINEAKQQIDTITKRALFQQAIGNIQFLLTLYDYYEVWSKLDSYQATIQTIWSLLN GSLQIVSLIYLNNEGDMFISALIVLTAVNPILQMLSFAIFQQRVFKRFTFLRQLSYVLLF ATFNFLKIWDIIMICLYMGVQQFADEVKRSFSSEGYFKFKSYASKFKGSIATTVLQRESV TVDERNILQIKNQPFYEAVMWRTNVEEALNKIPQFFVYILSLSSQQINGIWILSFFQQIK ESLQAIKDILEVVIKDYFIPALILSKVSVDQFFQSMQYLNSISNQILLEYPKSFQIVSKV KEQHLREKFTFKINMKSLNFSNYADLKKQKMLAQFRYVLAQIKTVLEIDQAQRIFCMGPE LNDLIRCLKVSPLYQLKLNYYFR >CAK87545 pep:novel supercontig:GCA_000165425.1:CT868619:17:1948:-1 gene:GSPATT00039809001 transcript:CAK87545 MKISPDLYCNECFPYCVECNDSICVNCQDGYHLNSRQLCVSQCGDGILAYDEQCEFNDTD CFGCKIQQPQNCKNFHETCLICQYGYYFDYVKNDCYSVCGDKLVASNEECDDGNEFYYDG CNKCKYQCQLECLDCHFGKCVLCEASLILNQSNSSCEELKVCQIQKGLYYESFTNNCISI CGDGMVAGKEECDDGNSVSNDGCYQCNYQCDNNCSNCQKGVCYDCILGFHLNQQKCQSVC GDGIKNGNEECDDQNNIPRDGCTQCKIDPKYQCQEDNDNLSFCYKFQDNCEQGVYNQQAL LQCQICDSGYFLKDNSCNQCSQKCKQCENTPNNCLQCVTQDCRICDNQAGFYQNQQLKQC ITQCGDNIIAGIEQCDDGNNIDMDGCNSKCQIEKDFLCKQGVCIGPQKKRIDCAYKNSTT SNDIDLIFEELEFEGVCEKLNITIDEFQSIEFNYSVFKKSEIQKNKAGCEIRFEFFKTIL QSNLIHLYVPVFEVSNRILVEEIREFVIIPRKKDYYNSQQQSQAKMVVSASTKFTFLLQL IGPLTIILGGFNFFWTILDILTWINNFYFLNVDYPLNVKMFFNQLKWGDLFNLPDFISLN QPDDAYYFEASPKFIEKEVNPLFLNNIELFIAMILFLFMMEFL >CAK74569 pep:novel supercontig:GCA_000165425.1:CT868192:908:2689:1 gene:GSPATT00039024001 transcript:CAK74569 MKSQQLQKDLEAFQKQLKQALPTIINRVTKDIGQMLYFEKLFKDLKPNSVQELYFQSLLR LDPHIARQQPQLDEPIQNINELIHLYFEQQAEKLQEMVLLEKELKMMRELEELQVSQEST QIQNSLIELEQSKGMDVEKQNMEEVCNTIKRKYWRQAQSPSCDQCFCGNQLHYKQIVKCQ LCEKHFHINCLDKSYDERYVKHFTCPRCTLYHMDQFCEVISVIIEPFSFKKTGLTSTKTV KFKSDTNMIDVRCIRMDCPLSAEEITWPDLGELHINNKKVAEFIPLAQQSCQHKRKDEKL IFSIPQNEECSLMMKETIPGMEQKRKYRIQGEQLHYVAGYKTKQYSGKQLIEKIITSSEN WMSVEQAQDFIILQMNYISSTGIKQIKQTISLLCCLCSTLMVTPVRGIYCNHIQCFSLEN YLLMLEMSNPRKWRCPICKAKLFKLQIDALQYTILQTIRQYNLQEKYSEISFDHMGNILD DLIQKFIDFNNLPEHAKTSRNRILQLETLSNQRREFDNEMEESEQLNNRPLNPNSIVIIY YQIIMLILILIPFTFSLSSDCQYAEAIIQMFVWNQYMHNLKTSDVSIKTQQMH >CAK74570 pep:novel supercontig:GCA_000165425.1:CT868192:2915:3121:1 gene:GSPATT00039025001 transcript:CAK74570 MVAIRIVFKFDSNILDYQTFEDEESSLEIELVPKNPIPEKPPSFSIKPDFSTRLIVDIFC VILYTMYL >CAK74571 pep:novel supercontig:GCA_000165425.1:CT868192:3139:5707:-1 gene:GSPATT00039026001 transcript:CAK74571 MVISNSEEEIMAINLYLIAVVTIVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXKEDEVHDISGKLATTFLYQNKTIIIVPIVFFFLVMSTLLVWIASA LAVLSEQQMDYSLDSQIYPFEKIQLKFDTLIKLMITVLALIWLMQYILSLARFLNASTAT LWYFHASSNKGFLYDSFKLAVRYHNWKYHSRSNLQFLFQWHSKSIQFDIYYRIHINRCRL KRHNFILNCFASISLCLCCVCENFIMYINNYAFVYIVMTSADYFESSKAVHFVIKRNHSD FETLSGLGEQFTHFSKMFIFLITTIGTFIYVKEQTDFVLQLYTFAIIAFITLSIATLFMD MFGQSADALLLAYFTDCEVQKYHFGLDECGSCPQEIRDQVQNIREKQKIYYG >CAK74572 pep:novel supercontig:GCA_000165425.1:CT868192:5987:7323:1 gene:GSPATT00039027001 transcript:CAK74572 MKKNNTKKTANTKVKVNPCSNDRYYMDQSIDEEVYIKNNYKVVRELGRGGYGVVYKAVGL NQGQIDKNKKYAIKVNFSTVSPELIFAEIGFLKLIYGKENMPQLVNLFLIDQKIYIVIEY FTYKPFITFFATFDMMEVRRYLYELLKALNVLKQNGIYHRDVKPGNFLYNPKTGKGILID YGLSEIDRSFVAKLIEKEKELSKKHPQSDEVQEIRRKINLYNEIQKTIDQIGNNKIGTES FMPLESILHYQDQSYEVDMWAVGVIFLQFLTKKYNLFSNVRMINKPVVTKNFFYVNFILE LASLFGSEQVKQICEQFGKIKLFIKIEYDLKLPSVVATKPVQWKSIIHIDGFDSDAEDLL SRLLCLNPKQRIKVEDGLTHPFFKPLLEQEKQTQTNFQDNQEIMQQKLQEN >CAK74573 pep:novel supercontig:GCA_000165425.1:CT868192:7575:7893:1 gene:GSPATT00039028001 transcript:CAK74573 MQRIIKQFSVLFIWIVSCEQNALLTFSYLRASVIESLVKEHYDYGLQKRDNDPESEIALH HNGFKLEYLIRYSQFESNQEFVWLLQCMDECLRGFLIQI >CAK74574 pep:novel supercontig:GCA_000165425.1:CT868192:8129:9567:1 gene:GSPATT00039029001 transcript:CAK74574 MTDQEKSSDVRLVQDGQKNVQKQKTGLKQINLDNLVYNKRERKQTGGPALLAIPQIQQKK IVQNKQSYKKKNAELQTPPREEVQQVQEPTLIKQQPISCQQLVFESDNSSDFDPKIKAKK SKKFRRTHKRLDIEDSSDEEQYKRRLILKRVNKIFKKSYEQKQILASGSEQENLVKELFK NIYVKIFKGIKCPYLIDIQISPAELKQLSNPEYSTSQISDCKQQDEEIKPEEQIRILTED GTDFETKRNLKLLGIENSEILSKLGEVKSYINCDIRYFNLDFLVEKVGGFDVVLMDPPWR IKGGQQNDSSFMFTNSKFSLDYNTMSNQEIMDIKIEKLSKKGFLFLWILNTQLNIAYEMA SKWGYEIVDQIIWVKLNPQGNNVYLSTGYYFMHSFEICLVGYKCPPGEHVEYHSKISNNI IFSPVRNKSQKPIEMYEIIELMMPGAKKVEIFAR >CAK71722 pep:novel supercontig:GCA_000165425.1:CT868100:11684:13868:1 gene:GSPATT00038647001 transcript:CAK71722 MPSLQINLTEQQDQERTYVITIYAQFLNLTFNSICKMFMSITILDQNDTNIYVIYNQNFP QYRYIDGAEKSESVFLGYSGKLLSYIVNSDNKQFGFFKQTTLQTFFELQNQIFYLAQLLN PTQGVGKQKIYFIGVTNESIQIFYSNFLLNSFYPFMNITYKWLMTQIKHQQQDLVIMIQS TFIKYTILPNYPISLLSINLNNKFSEFLVTFNNLITLFINKEIQIMTLNYTDLVIINQMM INNLYNTDSFIKFNPIQIAVNPQSLSSCLFINNINNVIIIAIGQNNIPIPISIIECKFQI KQINIVNQQLVLSYICNQGFDLCFQVWSIQKFRDPFFMKNMISGKYENQVQILSDNLFFY IQFSNYTVFVYNPQLPEHMSLQYELNLSSQLRCSVQRILYYENTINSLSTRQSFNLYINQ SLNFEHSYPIMIYNYNITTVFNQTALQSTPNQSLIYLSNFTYFQPKINKTIFLQIQDLNL IDRTFTIPMNIILDRQANRCYFSDSSDNSYVENVDQFDEEQFSNNLCNLPNFGYFITIPN QNYTLITAVNNQFFVLQNNNEIKIVNSKLEILQFFDNSNLNFTECLKSTSFNLTISYICQ NETAQYNIGLAFPLTLLEMFQMLTYFLFLINLLRYLKQIIQLNLTLFWALIILNINTYTS LLHQTTACRNQVLMVIVKTFQQLNQILVQIQINQIQLLFYTSLIMCPILNIYNLVKIQQH >CAK71723 pep:novel supercontig:GCA_000165425.1:CT868100:16366:17527:1 gene:GSPATT00038648001 transcript:CAK71723 MISIILIDEKFQIKKQQKFARIIYLSLYQNGSLQVKLTQRIQKIKFLYLQQMSRQANTKI KFRHKIKKKQIQSEYSGITKFVNSKILINIFNQFFKFNEFTFTQRHKQQNKQIDYSILDN GLFSQNASEQLRLLFSRILSACFLLNDFKSNLNHLLVQIQLPKIRYFQLGNSNIRLIKLY FILNKMIHQVLQNNFPQQIQVTKEFQEEGGLIPNENDDYMLIQKKFQFKLSDNNEILYAV DGRIIRIDSNGAQQIMTNLEQINHLHWNGKWDQNFKKIGNWTAQWKDDYEGVGGFYNENG NKQGIWKEMIINFWDQAKVYEIGEYVNGIRQGAWIYVFENKKDVKILFSFSGGGIYKENG LKNGRVVRTS >CAK71724 pep:novel supercontig:GCA_000165425.1:CT868100:17587:18232:1 gene:GSPATT00038649001 transcript:CAK71724 MKGYYSNGKKVWSMEFHIQKGKGNHEIHKDRRKEQFKESLEGWRLVQNQGDYFSKFLFYL QIISLSQVIYEGKYKFGKKYGRWNTQQRFSEESKFQQLYVIYKPIKWRGKKNFNDNGMKQ GNRVELCSYFQSYIQITYSGDYQDGLKIGKWTTMFREYSGDKFREIGGGSYDLKGVQNGG LDRIM >CAK71725 pep:novel supercontig:GCA_000165425.1:CT868100:18307:19069:1 gene:GSPATT00038650001 transcript:CAK71725 MAQSQDCGPHNLDRELMMNMKGCNDYNFYKVVEDNMICMEGKKVHGQSQMIAQVGSLFQV LIKELFKLFVKVNIKIIKNMVNGVLNSKEKLCIQQYADKIIRGGGFYNLEGMKEGNWVDL RDRFSRYNNTIYQGEYKQGRRSGKWTIVQIEETKYDGETFQNRIQMQETKIKISGGGYFD NHGLKQMGRIEQRLLFRQASYIHWSILKRYKKRYLEYSVEGEFFVPFKLVQ >CAK86547 pep:novel supercontig:GCA_000165425.1:CT868583:106:442:-1 gene:GSPATT00039773001 transcript:CAK86547 MKFLKKADFFGVPFVQNIDHQQTKFQSVTGGILTIAIFVLSLSYTFWVAYLWKTNQMNPK ISHEHYVSDYSLLDLSEDIMIIKLIHLQIIFYCHQWFTLKTIH >CAK68711 pep:novel supercontig:GCA_000165425.1:CT868063:1396:3439:-1 gene:GSPATT00006922001 transcript:CAK68711 MQQSILQLEVNWNFQQEYSKSHPSFKFQKPKIILIYENIKESYYNNYSLYIKILLIVDEI KLNYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQVISK TCQSSTFSDADGNYEIHSNLEWIVIGAQPQITQCEDKTLFGWYNVVGATASITKTIELPP HCYVTVELLFCKIDSWANHLFTIMFDQNIAYSARIVPNLGNQMCGNEAGDECSPVTATQV HSGPSVVIVIGSNLNQPTDQASWGIRDVIISFQACPVGCLLCKYTDTTIQCIFWSVIYKS WTQFNVNEIQYDGWNVDFVIAEATQCGSTALFGGYNKLGKNRWCLGLEIFVYELRCFDLV YMWRPIKVELEIYHTRSQLDVSFTTNLPNTGLSSSFGIRDFVVFNTICRQRTYVTGVFEI VCQCNWELFNLDCYKSCYHCVGPYPKDCTDCGDPLIYQKQLVAGYCKCLARTVEQDYIDG TTQCQTQSNRILTSDSQCLCNLGYFDTGINDIICKKICHNTCSSCNLPGSDQCTSCPVSR IRDRIGTTFQCLCKNSHQYSDEKQLECKECHLTCKTCYGGLDSNCLTCENAYRQFSMQTC ICPNGYYDKGQLQCSRNYFEYQKLDIISA >CAK68712 pep:novel supercontig:GCA_000165425.1:CT868063:5484:6470:-1 gene:GSPATT00006923001 transcript:CAK68712 MSLILQNQKKKNEEKKELITKSIRKQKRKNQYTVEFPFGSKIDLSILKSISKISQILPPS VPSNIVFRLNSNIQMPQPFNYDYQLGCLSIDPHSFNYKVMRYDKCQNFHIFHFEPIERSY TPASVIKDLFDQQNTRLGQAFDSLHQTKLNPENFKVVSEQAIDEFEKNISSAYSLYIQKY KNGTLTTVARVMNDQYLKMMGTNETMIYDYITSTGCLPWPYQQTEDYQWDQNLLVLFSCN EKQIPIQGQAVNYNGSLFPVYLNQQNYYLYNEQDQSYTQFQYYIYEYNERWSNENQIRQN YLNYFNKRLDIMEKMDQNIIKRCKYKTV >CAK68713 pep:novel supercontig:GCA_000165425.1:CT868063:6587:8215:1 gene:GSPATT00006924001 transcript:CAK68713 MLTEKSLDDSLNDSLQPSQLKNLEEEFETNQMINQESSVKLVNNSSYNYVKPQCSYRYTY SSEEHSSDEEECQSSKIQQQPQFLTFGQIDSNMGEIKELIKQHNYQQAYQQLVRFQEAKQ DQLSQENKQYLQYLFTQIYFGLQMYREMFQIANQIINYDRDYVDHTLDMLINASLLINNL ELALQYQLQLINRSNYDQNEQLRLGKIYMLLGKPVEAYFSFLGSYKAGNQEALEQLIEIG NDVDQRAFPELAYKYINNKSQKNHKVLAKTLFTLSKIEYPNKTSLQHQEQGYSILVQVNG VNDQNTIKALCNLACMRLQFQQWQEAEKDFELCLQIISQLEGQANKQFIFCLQKLSQCQY QSKKYEQSLETLERCFNLSSQSMNVIKKEFLDRLLFNIAYVKITMKQNCSDTLAKLVTTQ NSVLLNEIGIILQKHEYIDEAIELYNEALNWSTNDQHVLISFNLAGCYGLKKDYTRSVDL YKQCSIEMDDRLKCDIERKISQVYYSCSKFRESLFHLKRAYQLAKEFNLDCISEIKQELK KF >CAK68714 pep:novel supercontig:GCA_000165425.1:CT868063:8626:10027:-1 gene:GSPATT00006925001 transcript:CAK68714 MDHLISLLNDSNRDYQALTEYFLDFQIIKDFQETQGASFFNRLIQNIAYRVFTPQEYIIE AGKSVNSLYIFFSGMIIRNSSKKDKNQIEIIEKQILGEEFFLSRQSSKYNYIAQKESLIC YIHQDDFYKFVKKRKLKKSLINNILSFSQFQDMSPNFIEQIIQRSNTLNYLNNQIVFDIG DDPQSWFIILEGDFQISYKYKELYKPLLILSRGNYFGEQEIIKKQRRTYRISCISTHSEI LEIPKVQFFFLLQKNQSFNLMINENINNRVQKYSSMIIQNKQNLEFQFSSSPSKPKFAFH SPHVRIRSKNLIDNEDIKELYQQRNKELTNLLNYRIQLIENESSQSVVEKLNQRNPRLRQ FLDRLNQGRLKSNIKQKEQSAFQLDLQKSHRKIKTEIALSSRDTLGAVKSTLYSPTTSSR AQTNYYTQSPKIYNLFFKLQANTKNICILNPENLETEI >CAK68715 pep:novel supercontig:GCA_000165425.1:CT868063:10103:15592:-1 gene:GSPATT00006926001 transcript:CAK68715 MQQLFFTQPLNVASKKTIFNEERQIIEIEAKEEQDDKNDEQTLILSQKKYQVKKQLRQLL NNKQNIYLKENLASLKKRKILEGFSGETPAPVQQCFSFFSQALQNARSLRLRSLDISGMD IHPLLAIEIGQGLQHNASLREINISGCKMNSFCLQYIFSSITNHKTLQSINLYNNQGFTI DLMNDISQFVFKGKNHLKKLKLTHCNISNTAMSYLLRNLKYSETINALDISMMQFNTEVL TSLGIALQHYKGDKVLEYLNVSDTNIKNEGLDTLAQRVSWNMRKINLKELVLRRNFIHQV GIASLEAFLRKISQLQKLDLSNNKIEEFTCQDLLQRKMYEINLSNNVIMCFPPQFFMNTL VVNLSNNNISSQGAFQLSSILRQNPLWVELNLSNNQLKSEGFNSLIFGLRDNRMLKKLIV AKNQLDGEAIICYMINHEQVYFEHLDISFNQIRHAIIFVLLKFIKSGCLRCLRCSYLEKE EEIRKVDQNVDQNAKFIKQDEHQQKSNNAAFQLTSFNLRELDFHGNKEIFTPVVASLSTY YNKIEKLDLSSCAPITDQDIELLCLFLRKSTIIHDLNLRDLNLGNMKKDCIKKLSEALFN SSIKYLNLSKNRMYKQIKRLFNSNNLGSNYKLEVLDLSYNYLEAKHAPNIEKMLMSLKNL QEVNFSRNCINFEGLAAINRSLFANRTLKRLNLSYQKLSADDLLILSQTLGNESLQELNL ANNPYTTKLKTFFYQCSTQKQEFLHLSQVYFDNANYHSLMTIIENQKRNLFGVNLDSCIF QNKDFCKFFEHLSKSRKLTYFAINYNPTIYKDLEGTISLISKLKNLRILKLNQVSLADCF VNALQDWFSKIGCCNLNTLDLSQNKLQQLWLNSLCLGISNNSSLRNFMLNDCNLGFLNLT PLGIAVSQNKTLEVLEIAKNQIKNNLDNFLTSALENAQTCRLEELNLAENPLDKQSILDL FIPRILNNMNGKNIKLRYLNLANCHLQIDLFKDILQGQQLYKDNYSFQHILSLSLSNNQL GDNVGQQLKQIIQSSTKMKELYLQNNLFTSNGMILIFEGMFANQTIRTINISSNKLDDIW VLKLYEQIQNKELSNLEFIMLRDNNFTRIGLKKLAQIIFKIRNLFIDNIWSELDDSDADI ILQQYTRIGQTYKLEQSAIPQFLKEIQIQRSNLTDKFCEVLGKYYPMLGFIEFIDISDNP FITMYGKVYLFFHLFDTSYERHQLKAMYITDSQETRKLFDDGLLRYLTLRFRNFLYPPTE INVIKKQNSKAEVFGVPNNQLDSQVGVQQIIGGMCGSWLIHKINKLMAYLDQIEPPQFIV STLFIGKFRRNNINIKIIVMIFWITFLAINLLQFLQILSSQQKPLDTILIDYNQTCPVDM KHQTEECKNFGYSNFISDVYYFVIVFASTIAIEISQIIVTVTLRRKIQPAFQIVHPSLLK YLHSQFPHQKELFLMLFSILSKIGTFPERIFLSYLLVLTDDGLDYEPVYFKLQVYKYILG IIILLRYGETLLISIINLIKFMMATPRKDQAFYLSLLQRNFHYQSYYVLSDILVSLCPVQ GYQLTKAIKINYEIIVETYRILTIELFLIIFISFFSREFNYIKQQKFDNTDPYWFLSGWI ILTLIKAIVTIISSLFTILTVRPAVVKQHGFNQALAIKKFYENKSEFVRPSNIKIEYHLQ CFVEREKEKQAEKIGTQYVLDNSASLQNNSQNLENEIKFEMQKSKMDEIQEDVKLEAIDE EDSLNDDPNKVEKDSGNNNNQLEEFSYSQIDYQEPPHYPLRQDRPKFKYVI >CAK68716 pep:novel supercontig:GCA_000165425.1:CT868063:15634:17028:-1 gene:GSPATT00006927001 transcript:CAK68716 MYKEISDFYKPQSLLGKGGSAKVYMVTKKGSNDKYASKCVDKRYLQEDGGYDALFNEIKI MQKLKHENIIQLVDLYEGESTFYLILEYLAGESLHEMFNRRQTTLEQNQIQIIMKLLLQA VSHMHLNGVMHRDLKPENIMFKQLNQFDSLKIVDFGLATFSNAEEYPFPKCGTPGYVAPE VANLRDLKQKYEMICDEFSVGCIFYKLCTNKELFPGTEYKEILKLNKKCHLNLDSLAIYK TPPEACDLIQKLLTLNPKERISAQEALSHPYFSQKYETKKQKFQTSNLNKQNPVFQTQNF QPVSKLTNIDDDEVEDENCLKLQVPVMNDLKSFGLIANSNQEKNKSQLVQNGRRNFKKCQ TQEFEQHQNGLKHQINNFKPSFIMKNDINEQQQQPKQHQHKHNTLINNFPKIGEQEGMSK IDEDIEENGYQI >CAK68717 pep:novel supercontig:GCA_000165425.1:CT868063:17964:19465:1 gene:GSPATT00006928001 transcript:CAK68717 MNTCSFFSNQNSKFEWMFSKFPIINQQYQLTDEFNVVRNGVVKQRQFHLINNYLIIKSKK GKTKWVNYENAKLAIVNDDLHGYGLSLQKGNDKFDFFGDVEIWFNSMRRFAIQSDFDFFY VLEKQIGSGSFSQVFLARNKYDGHEYAVKYILKKEEIVEIDKQQLVKEISILRQLQHQSI IKLHETFETSDAVYLVMEYFKGGDFLQFIEEKQDGMFTEEQIAIIIYKLLKVIHYLHQKG IMHRDLKPENILFKEKGVIESLCLGDFGLADFYNKEGNYLFTRCGTPGYVAPELLQDQQY DYKVDIFSIGIIMFILLTGKEPFQGDYHQKVQSNYFGNIDLSNIKNLTDIGKDFLRRILQ VNPQKRLNANQALYHYWFQMFKLAKPMLLNNNNKVKSMKRLSQIEIKNPSLNTLALISPR LSRQQSKDKDKGSLPLSPNPHLQNCFTSRQQYSPRMSTHFTLNSLVLANKEKQCLRSRIQ NLMKY >CAK68718 pep:novel supercontig:GCA_000165425.1:CT868063:19524:21008:-1 gene:GSPATT00006929001 transcript:CAK68718 MKRAFEFFRKIDSFGVEFNPALNNKLNFSYKTILGGILTLIIYSLSAAYFLYEMYRWMNM DMVPIVTTEIHSFNDDIENLLDESNASIEFEIYNTANGKELINPFNQSQLVLTPILKNYS NGKEQAVGYNFSQYVSENVFSPKLRLNSKSEYQISFARCTKEMVVEGQVCASEDIMNDFF NQSGNELQVNVILRIVDPRTFMERQIKKTYGIILEEIECQITKISMEYTHYQIYKDFIFP TPLNKIFVSETVEQTTYGTKDYCSKRFVEESYALLWITTSQVAYVQRMQYPEIGDILANI GSIVEVLFLIEYIIYKINEECLIRCMQDQILSFYYPELKSIKLKKNWFGLITKCSLYSLD INPKSYSEFKNKTIKLINCKFSYLNLIYEVSRIQFLLQSILRKEEMYQSHLIGIKLSLEK DSQNNQIYNLKNDDNEEGLLDSSKIINDRKLTYKDSLLLCVLNRNLNLEEDFIDQELISD RNFFEINRINKTKI >CAK68719 pep:novel supercontig:GCA_000165425.1:CT868063:21068:22910:-1 gene:GSPATT00006930001 transcript:CAK68719 MKQRKKEKVAYHICFESEKQNSQELITKGKQLINQIPNIKIVNSILQKYFGYIIQPKPFQ KLKNPKIKHKTDLHSNLQYLEKLKATHFDRELIQEIKNKEANFSPSSIQYRNCLTLTSEF RDHNVLEYIFEEKLRRLEWKCCAGTSKFLVQEFTEKDLYLNDHTGVQQLIKQNDRFYLKH LYSVEVVKNEKSVSKYSSADQINQILYEQAFDRLYILTNKQLKTEDTILQQFSSQQAEAF WIQSIALHKNPFLLFLQSQKELQLFDQRKQNTNVLVPIDVHNLLTNRIYAVHQFQQSQYI VLSTNTDLQFYDLRNLKNPFSTQQHGCMETGISQFVSISDQTINFDDLFDQDDFQLNRNK TYSNAESVIGYSNIGNSIFINFDCGLVTQVKTNLPSLRMCTNLLIDNSLQYGTSDLTQNG FPMLIGNSQIFSKRLKNRGFQYIYLDKKYFIFSLDKQGGLGLQILDSYFDPIPINQQSKD INEILMVESSEKQDVNRCSNDYDSIFDEFQDIQDSDLELVEIQELLPKVKKVKLSNFAVE EFNISEENGDEGVQFEDEILLEKVEKENQNESIAQLKQNQNFVLTQDIINYMLKQI >CAK68720 pep:novel supercontig:GCA_000165425.1:CT868063:23108:25646:1 gene:GSPATT00006931001 transcript:CAK68720 MKRPQSAITRVDDANSTASKPIRPLSGVSDTTLRFQNSVDQLLSKANYSLQLMNIHDSLQ LFEQAFKKGEHQLSSIRLVKLVEDVVLQLVKVIVKSEDNLISQQILNRLLIWCQIQQSNE DIIHIRCPPYIQVRVLNAYGDFLRKQNKYDESTHYLQLSLQMLSQYKFDSSIDELVGQTY MLLSSNSLYTNHYAQAIQEAQAALQHLQQAAISTNKITEELHESICDTYINLGIAKEQEK QFQEAHYNYYSSLVYSQQNLNESKQMKIQQIYDQFLSRQAAYLQKLQFQQQKSLKQINSV TGFNQKKPQQSALLQQPFVDLVKNAADQSNGKLNSNFFKKKQKIIKSVERQKQNEERAIL KFKPYLNKMPGQSSQVLKFKPNQMLLNSQIPRPQSPLKQPFVNNGIKIMKVSNKPEYKVQ NKSLPSYKSDMFLAKKLQGQQQQQPQPQQFSSSTSQLNSAKIYSSKQTYGQSQQTFQSEV LYKNKTNQIVSQQSNNVHQVPSKFIQYLEQNINESNLLKNGNVQLLQSYHNIHLPSIESQ SGIQEIYQGTMSIYLFSQQNILYFQYLASEITDQFAVNLGPKKIKLIGNSLNVSDVNNQF NTINQNPLGMKNVLLSFSVEYFRYAKINLDKQCFQLPYNQANQFYIYIPEQNQNLLIQVK NEYESLVFLVDSFEENGQNSKDQEIQPIFNHKSNSVVSDEIHPLLAEQIKQIDEDVQPVF EEQEEKLATSQEYSQDFEPTPIMEEAPQDKFIQKSSSLPQNNNNKNQYDGMEKFTIKIES EDQRQQVIEVYATDKKQQQDEEIKEEEDLELNAAALLIQKKFREKRMNLKH >CAK68721 pep:novel supercontig:GCA_000165425.1:CT868063:25713:26391:1 gene:GSPATT00006932001 transcript:CAK68721 MGGDHHEDHDSALSHEIKAEEIKRLQPFYQKRLPYILRPFASFIYHSVPTINTDNYEHKP IENYSESQIINRTVFALQKLQLLNPNFFTPQENRILSPLPSGIVSYTLQFACSAMNAIYG VYLYKTWSINAKSIGLWAVFLATQQVCLQYPNFLSETLIQWPRRRRLAKQYLEIYGPNYF HQIIDPRFSVHKLETLKNIA >CAK68722 pep:novel supercontig:GCA_000165425.1:CT868063:26425:27118:-1 gene:GSPATT00006933001 transcript:CAK68722 MIQVTLYDVDIGCQFHKLYFAIQSNSQTVETHLVSQLPISTLIEGREFKIILKDGSITIG SISFPPKQLTKQLNLVRWITLFDPKDDQYDGNFIEDDIEQPRILLRIETNYQYQQKMFKN NLLSSCQLNQKENTQQHIDKKKIQQIIDDHKDMQNKYNSLKEDLLAYRDISQQEVKQLEQ EKQSYLQENKALKQQIQILKQNELPIHDQQQKNGTNNNNNKMK >CAK68723 pep:novel supercontig:GCA_000165425.1:CT868063:27348:29093:1 gene:GSPATT00006934001 transcript:CAK68723 MHISNRKPKFGYDLNLPTIHNKSTPKRNVSSGQTNLKAQFLKCQQCGDVSVPVCCVDCYM LLCINCGITHSKQNQSHNITNFREAFSLLTDEVKILDDKIKIINQTINKKNNNLKNQINC QLFEENLQKIISLRRQVEQQISNFFDKIYNQYIYMWNNFIELWGSNKTFLDRLNSFQLKI LLFLQNELSDQLQLVESLFKDKVIQQSKSLLNQYEKREQLLTKDEVSIIYPPRLLIDLNC ITKQHLQLSQMVQLIDWYEDAKEELYKIRGADYQSVPLIPAFAKVMYLYDPETQEYQIGE FQDLRHQQIPQFLKTVFLNDGTAYIVGGADSKGTPVRSMLKCKDGELQNLAPLPKAKNPT NGLIVFDKYIYVIGGLQVVDDKLQWSRTCSKYCIQENKWYNVESMNYPKPNQTIFRINHF IYAFGFQQHEFINFEKYDIDEEKWSLITLSSTSLNPFLFGGGYASIQINEFQALLFGGKQ WSRKFIDDEKEFYFDDEIIKTVFCYDSIQNSFISLGDCLPGTGVSYGEFQPICYKDKILH FEAPISKQRKISQLLQIINVVQFQFVNQVLETEIVQILTPD >CAK68724 pep:novel supercontig:GCA_000165425.1:CT868063:29140:30564:1 gene:GSPATT00006935001 transcript:CAK68724 MHKFLSSIEKIDIFGVPISLLTHKNESKFQSVMGGIISILLGSLSLAYFLYIMAQWIDNE ITPNVSSKQQTTGYAEFEWKETLIQLNLEDFTSDIDPFRKENNIITPLLFAILGSNIEDD PIPYFSTDSMPMTVILSNGSLILNNAYDEDENHKVMKQYLLVFATCSNDYEIAGRTCADE KTINDYLSKQHGFMFLTIRLNQLNYVTRELELFAKQYYLAFNPQRPIYSQIMLKQQETII DDGILFKNYEHYYFLNNYELINQEVDTTFMPGVVQFMSNGKYNFDIFNSYLFRIDNISII EEVAMPKLGQILAQIGSIVQLIFIFKYVAIYYNNKLQENELFHDIITMYYPEFKEVTLNV FNQFEISMEDNGKLKSDLQNIKKKYSALLRGAKEKCRLNNILYEISRLQFILQQQFGNQA FLLCHNLGAKLSEKQQEDQSLKDTNRLVVKPINSLDLDHRCSSLEPLEMLLRQP >CAK68725 pep:novel supercontig:GCA_000165425.1:CT868063:30805:31985:1 gene:GSPATT00006936001 transcript:CAK68725 MFSYSLPNLPDSLHFSHLLLLTLTAPPANLNPEYFSSLMSQYGPVANVKILHRSLMECRV LVEMANIEAAKNAKKALDQLSSNFIKCSYYQDDKLIPHGSFTIGSYETIEDTTSSTFKNR HSTSINDIIQDGDSKPHSSTVLPISQKLIPSQKNIQSTKTVCLNGISGKELDAHKLYNIF SNFGNVDKIILINLKNFALVKYLKEDQAYFVYQNCQNLSFFESSLTITFAADDSIEKLVG LDTLYRDQDYYVGSQDTDRFNPNNKMILLPPSQVLHISNLKKVSSNAETMWDIFSEFGVV EAVKVLNSQFKFMCLIKMETLKQALEVMALMHNEEVDGRNIQISFTKAKI >CAK68726 pep:novel supercontig:GCA_000165425.1:CT868063:32238:32723:1 gene:GSPATT00006937001 transcript:CAK68726 MILTRFNPQKELNIKVRSTKISKKIEKKKPQKQHPDSKQSDELPKKKKVFLSMLDIKEVQ YKKVKAQNNEDNQKSVAAFVGAPI >CAK68727 pep:novel supercontig:GCA_000165425.1:CT868063:32770:34915:-1 gene:GSPATT00006938001 transcript:CAK68727 MKRRINFIHTNDKKKFKKYDEYDFSKRRIGFSEKEIKKIRQSIRFLKLAQIGYINYQQFR ESLGIMGLANAEFLSLRLFHVIDIEDSNKITFEDFLNYLNILVNGNKQQKAEMSFRFLDI TDTGRILQNDIEQLCADILLFWHQITGSKVLPNLSKVEMLIKALGMQSTGEISFSAYLDA YDNISNAIDWFEFFNDTKTEKQSIHTQEIDNNKLQQSIDNLQDEVLSCIIQLKNSKRGGE SIIFDANISQINQIDNKIDGPFYVSIQTPKRPGDVDPSQEVSVKYQANQPQFSLFQNDSR IHKIASFGKQDKLIVLEQKKMKKPMSEDFRRATIANIPKKHKAIYFGHENWNLVISMMIG MRACAQALCPLSIELKDKDFKSKYIYNISNSNNQNICYQFVDYAGLVYERIRSIFKISQK HYLTSIGKLTSLSELSSTGKSGSFFYFTDNGDFMIKTISKNEFKLLSRIIQQYYQYIRDY GSTYLSKILGQHVLKAFKDKTLISKTYIIVIVNVFQSPLSIDVRYDLKGSTYGRKTKKAN KIPDKNVALKDLDFLDDKKKILLDSEFTKSLLAQFKNDVLFLESCSIIDYSLLIGIHSIR HDSNIKNQVVSNDQKEVYFIGIIDILTEYNMQKQIEYSLKHTFVDSNISCVPPREYAMRF LNFIKQNVFV >CAK68728 pep:novel supercontig:GCA_000165425.1:CT868063:34957:35872:-1 gene:GSPATT00006939001 transcript:CAK68728 MLFQKQSLPALNSFCYQSNNEECESQLRPLYLLIRENQIDVQQENITLVGLFKLLKIWKL DIPFSILAKLMLNANKYCNQQLNQMINLHSLHQLMHNPQLQMIYRKKPKSEVFQLMGNNA FLQNCQFSTPQTLVGLFSRLGELMQRKQMYLKINNENIDAKDKYSYIIQMMQNTPRDQKI SPRDNNAEKQDSLLLGNQLFSTQSKVDSILLLEEQEDKDENDDQFPQINKPIKQKFQKLQ NVLDLNNQQFKMNHRMRKMHTTMMKHMLLLKQNSNVNKINKLIQQTQGPIQIKLPEI >CAK68729 pep:novel supercontig:GCA_000165425.1:CT868063:35919:37631:1 gene:GSPATT00006940001 transcript:CAK68729 MYFAWFLYIALILGLYFLSRIIVKYYIDPAESYNFAINSICLSFTVSMICILMVPIDVFV TSHPNDVISTLHQPINKYFIREVFIYLYIGLLFITFVILPFNYFYSEERALSYDNDFDLD LSEKRLSSKIIRSAKQTVYFIGFICVLLISGLVFNPEVNYSTSEKLEWVKTMFDVDHLGE QAISFCISIILTFGFCLWVIYGSYGLTALPFQLIKGARSLEEEKSQVDNDLAKLREKYRA IQEKYQKSSSKISKGDQRALTNLKRKERFLNLKNEKIIELETQTKSLQTIFKIISPFRII IGMICLCFSVLIFSSLAITTYDKITNSSCGFKCGYLIQEKTFLNPIDTLYVYSSYYFPLD YLFFFIFTLYIFICTLYGIIKCGIIFLKGFEIKKEQTQPSSLFIVAAILNISILVVCNQL MTLCPQYATFGNQHYYDIRTRQQSLCTLEAVSKVEAKFICQMTNLSTFYNKISLGYPMFA VIFFLANASFLGLSSLMIFIFMCKKKASQIDVNEDDELDDESKSLINI >CAK68730 pep:novel supercontig:GCA_000165425.1:CT868063:37653:40346:-1 gene:GSPATT00006941001 transcript:CAK68730 MNSFRKSYTNNISRRESLESMPNVFNAELLNLQFENKKKEIINEYVVKRKAELLEEMKQE EIYQEYDRKTETQKLKALLANIKYQNDLMKLNLTQYNQVEVEQKKYSILQENDLAAINNQ IAAEQEQNQTLQHKIQVLGHSVRENQKRNNTIIEQLQLYTQKQPHIQLKEFQNLQAFNAA KKQNKKIKKALQEEKEWSKQYLHDNTSNYEHKNQIVKENLEGLCQQEERLKYLQEQLILT ELQIQDINLEISTKFNKIEECAEFLDELDDFDKIILIFVMEDPKDFELPEILENARNQLQ KPRNRMQIADSEQEYIDRARFSSVSSRMKIFFKFHHNNLQKSIDDLIQVYRKLQFNEMTQ KNRYEDLLDQKAKLKQTLEDLNSLEFYAMDDILFERDDEHMNVEELNVEAALISKNQQIR LVENNAQFVLRMYSMLTNALFRMINSLLNIKEVLKTCPSELFDQDFYLKWTYNKLYSKLN TIYDQLENQFKIKIVVKDPVIRNSNQLLNAIYDHLEDRSHKRKTLLPIAQKQGVQEILGS VLNKEELKLISDAIIQDPILAQFFSIIDLQNIVSQLENYNLDTVLKKIKTEGYQVALTNA RTQLKFLLNFIEEAIIDCKNIQEQQNSAKLLQQKYKLKDLMEKHMNSYEFKPKEWKKDVI ENKIKQLKPLNGQPFDEQPSPFTEMRSQKQLVTQSPTPKPLPIRFAKTEQSPNEIKRFIF TIDSQIPNCSQSQANQKQSDPIFIHLHHMNRKMKNLNRSSTQQKPNPPHHFDVFFSKKSV ELSRDSITNLQLISTPVQKNNVILRTASKCPKLLNNTNNSTNLISTRSKCNTKVSNDQKL ELPLQICKSQLSSTYGTANKKLQDFFNMTSIRKR >CAK68731 pep:novel supercontig:GCA_000165425.1:CT868063:40366:40888:-1 gene:GSPATT00006942001 transcript:CAK68731 MLLKSKYTFWVSTQRTQTKIDKDNYNDNLYKVCNFETAESFWMYYQWIAKPSTIPEGCQI LLFQEEIQPMWEDKANQNGGRFVFRCKNNVDRVWENILLSYIGNQCTCNEFICGVVVNQK RSFTQVSIWVKDMTSHQNQEDKITQWVKEMFGINELEFVQHPKS >CAK68732 pep:novel supercontig:GCA_000165425.1:CT868063:40902:42108:1 gene:GSPATT00006943001 transcript:CAK68732 MNSKLFRQSKSTQKIPQQVSQQKNLEQVYIKRLQEQEAFMPKDIYIQKHKSMHQIMNNQQ SQPLRYYSHINEIRSIKEFIYISEKLKQKNIERKMQERLELKGKLNQIKQIEIGKKLQYY VERKIRLFIKDRQHEENPYNKSFEAFYEFYSNDPKLKTFEKEKLLSEFNRLSSQVLNVPV SRSQHAKITISPPPKNIYQINEDNEPTLNEEDDGTSKFLTMKAANRLLGMMMVESSKGKR TPIVWALNKKKELQELLNKPFGGKMNFTMNAFNWLIDNAIQKSQAYINKNQVVEQKSVDP KKIGELIDKGLVNIKRTLSKRPASSNNVRSCQQLKHRNDVALEYCISERVRQNVIQQKLR NQKMQEQSKIQKSYLSNDSYVNHYI >CAK68733 pep:novel supercontig:GCA_000165425.1:CT868063:42208:42744:1 gene:GSPATT00006944001 transcript:CAK68733 MILCVGDIVPPSTEKAKVLRRIIFFIIFLQICLALGKLYYDMWAGVAEFTSAFILWCAQA QLNYCNCVIYIFFCLMNTFLIVVNFLTDIQNKVNLEQLSNDGRNQFLLQAISMTFYIVSV YFTFQAYKEFKGIAYDVYAATTNDQVLSKSNIRQQLEMHNFEN >CAK68734 pep:novel supercontig:GCA_000165425.1:CT868063:42796:44961:-1 gene:GSPATT00006945001 transcript:CAK68734 MKQFSQKELESIQKRFSAMSNNGQVNYKQFQNTMGILGLDHATFLLDRIFNVISEGKKVF TLNQYINYLQLVMFGNEQQKAQLSFAFIDLQRKGYIEYKEFQQMLLDLTMFWNQITGSRV TPRQSYIGNLWDQFECERLDFPQFYQKYQIIQESIDWYEYFNETEILLQSCPQANKAFIL QNMIDQLQNEIRNCVNLLSDYERNKKQIIDESFVYGPCNFSTSQIAKNGDDDPSFEISFL SNIQTNSFSLTNSSDHPLDQVLNRLEYLQQMIEQAINLPQVVQPYYFKSPARLSTQRKKN TIMNMPKRKAKQKLSVYFGHENWNLILNLMIGMKQALSNIQPEFYGKNALQHEFAERYVY DILQNRCSNFDFRKAYQFKDYAPSIFLRIRRQFQISNKDFQRSVGPENLIGNLVFGKLDS LTELGSTGRSGSFFYYTQDCQYMIKTISENEARLLRKILARYYKYVQTHPYTLLSRIFGL HQLKIFKSKTEFTKIYLVTIVNIFRSGLDIDVRYDIKGIIAIRLKGSLYGRQTSTNPNEK VQDNTIALKDLDLLQKKDYLNLEPQDQQLLLKTIVEDCSFLKDNDIIDYSLLVGFHKRDI NRSSLYGQQVQNNSHPFESFEGGILSMDKQWIYFIGIIDILTLFNTKKYLEYGFKSTLVS NDISCVPPARYAERFANFIATNLILQKSK >CAK68735 pep:novel supercontig:GCA_000165425.1:CT868063:44998:45828:-1 gene:GSPATT00006946001 transcript:CAK68735 MIQQMIVDKVQEIKNLLNKVMIKLIVTVSHLIQFVIHSWFMYQQMLAFNPGVLDEALWNQ VKYLTNFSNWAMFTYLIPFVMYDLKNYNAKKIQDRYPQFVNILFQGLNPILFLVMASYQS FIIIDPKLLVLDGFYPTEWQMVLLAYLHLGNWLLMQIEYILYEHQQVPKIKLFVFFFTYT IIYFIIYGCYFQVKGKHVYGFQKSLSIPIIVVLNIFQFSILFFVDFVYGKVTKSSVFKRY VFENWLQNKQIEGNLKKKQ >CAK68736 pep:novel supercontig:GCA_000165425.1:CT868063:46024:47578:1 gene:GSPATT00006947001 transcript:CAK68736 MHLLRNIDQFGVTYQQEIVKDEKEYKSVMGGMTSLLLYTLSFAYFIYKIFLWLNGDILPR VSSQRSSQSYAEVEFDYDPIMFQIAGMSGNQNIDPFSKTENIITPYFSYIDDIVISQKQS FLDSAVSPAREGISSSVVPQNLTLILNQKGISDQTPKYQRQLMILFERCNRTEFSNCASQ EKQDAFFKQPLNTFFITIQMRQFNTKKKEFEMITKQIYFILDEITVLYTQLLFQITESST DTGFFLESIKKSKYISDFQTTSQQLPQNNFKRVFGNEYLATFLISIDSLGENQLIIYPKL GEILADVGSIMSTLMILKIIVIKINFKMQEDNLLITIISYYYPEFKQIRIEKNILGQINN VEKNGKTIDDKTQFIKFYDQLKDLTKEKLTLTNILYEISRLQFIVQSMQSKQELRQSHQV GIKLKFFDEHVSPQKVPIKSVEKSQDEKIEICPLQPKKPQETLEPQKQAEILQDEDYTLF SKINHLKKWPYDIEQQVVGFYQANKVLH >CAK68737 pep:novel supercontig:GCA_000165425.1:CT868063:48399:49890:1 gene:GSPATT00006948001 transcript:CAK68737 MKKTITLAPDYSKSSFFNDGNFLFCNAFTKQLPFTDFGTFQMKYQNKQMSVSISTSELAI KIEDEVCFLSKQKGEKYIQIENVCFKKILHPKTQQLGFRLSKNGQYAEFFGEIVDLWKSL KKYVIQADFLLKYKLGSKIGEGYSSSVYKAFKIINGEKFAVKIVDKNMLSRSNNLEKQYL LNELSILRQINHNNLLKLEEIFESEENIYIVTELLEGGALKNSIFKFRFAEEETIILMQA LYRTLNYLHQMNIFHHDIKFDNILLRDQQDLTSVCIINFGKAQILKPNISSCRNLNHHDS TSLISFFRKKDIYAISIIILSIFTKKLYQESSLLDELLMKNYQNVSYIEFMNLLPPLQQF FELLFTEKGRLLIDTLTCHDILSLEVFKLHFQRRSSKMNHVLHIQTFDVKRQSRFLPRPS QKDNLQLSYLQKSLKLPNINDSCEIKVTQNQSYSPQTENCLSNRLTNECKINNQTPRYSI LRKFLSPNI >CAK68738 pep:novel supercontig:GCA_000165425.1:CT868063:50098:51537:1 gene:GSPATT00006949001 transcript:CAK68738 MLSSNKQYPQHFDIQNSVLSTDTEFWVSDVNFSAISTSLVTVKRQEIIKEKSISIGKQHI KYSKNKFILYADAFLTILRNTNSEIIGIQLQKKGNQIELYGQIQHWICLLMKECVSLDFI SNYQIVKLIGSGSTANFKVYQVRSKIDDLDCGIKVFDKAQFETDYQIKQSILFEIQYLKL LSHPNIVKYLGVFESNSQIILISELLVGGDLKSIVEDRKIDEDKIKIIMKSILGGLEYMH SFGIFHRDIKKCNIMLRNQNVYDSICLIDFGLAEKANNENNYLFKYCGTPGCVAPEILRK QKYGLKVDIYSVGILCYQLMFGKDPFKSNTTKEILIKNYLGHIDLSKMSLISKNGINFLK GLIELDPQIRFTATQALKHPYISQENNKIIVSKNKFDSLLKKETLKPLYYNSRNQSPNNS SKSSPFKYLLKTEVSPRSNQQKSGLETTRLVSRSFFIRNFQKMNTK >CAK68739 pep:novel supercontig:GCA_000165425.1:CT868063:52571:55532:1 gene:GSPATT00006950001 transcript:CAK68739 MLPLSQNDFPLQSQNLDFPLQSPNLANSMVLISKRNLHNEEDEFLTNFKTKQSQFNMLEK IKISREASDQSIASQEESDEFNNQLGFKNRIWKDRALNIIVLVARFVTYLLTNSDKFKLR YLDHRQFKVIGDKAADFNFYLTHKLIRAREKEGSWQALQTKFKMYMGFLRVFSSIIKPIK PDNILKLQWDVVVFLILLINILYIPLKISFDIIVVDGVDFFLDTLPQYVFVFEILLNFNV AYYSRGVLVLNRGQIFKHYLKGKFILDFIVVIPFVIGRQNIPYIEFVLLFRVSRVMHIFE NIVETLNLRVNFASAVDIVSLLSTFLFASHIIACFWHFIAIQESEFENNTWIQRAQLSEE NWKVRYLTSFYWACITTLTIGYGDIIPVTKYEKVFVIFVTLLSSIIFGYTISSIGSIFAQ MSENKNYLRDRMTMIDSFLKKRGLNKDLQVKVKKFFEYYLKQERDTESECEKLMVHLSGN LNKEVKIDFYKNLLQNSKLIRQNFSQQFIEKLCILVKEQTFVPEETISIEGQKVDRIYFI LKGEVEAYISNHKIIKVYQRNQAIDEKSFISQHPALFSTRAVKFSKLAYITYEDLQELLK YNQKDREHFYYVKHQIEFNGRVKMGGCELCRQNHTFTRCPFVFYTPNCLRLFKKNDHDEK QKRTFKLRRNEKIHNNTFGSLRNLQSLVFNFCAAQGFLNEIGLNSEFIISNRFHMQQDSA QEEQEPSESISQNESDKKIRSQNLLLFKRDSKRKDSDINNKEEKSSRSKKRRPTKISIQK AYQYKNLGSQDRDTTPLERKIKQSGKRGTIIINQIKMDQSQKMEEEQNLYQSPLILTQQQ SQQQQQQQQQQQQQQLQSYSSEQNKFYEIDQVLLIEIEISRLMAAKECDIDAQTEMEFYD TGFNLEQVVTKLKKATKIPIKLKRRKMRKSLARSQSIRHISQTRL >CAK68740 pep:novel supercontig:GCA_000165425.1:CT868063:56463:56938:1 gene:GSPATT00006951001 transcript:CAK68740 MKSFTLIYYIVPQDNDDVEIPNAFGIGKPVDQVTLKDVKSSFPLQGEYIFRFRYKTSHNT VWLDLPTDTSQIPLFNNRILIKATRISWETQNNQSQSASVSQQLNNLAPQHIQNQTVNQQ AFAQFQQPQPTQQQPQQQQQSSQNQNLIDF >CAK68741 pep:novel supercontig:GCA_000165425.1:CT868063:56938:57883:-1 gene:GSPATT00006952001 transcript:CAK68741 MISGAFRRSFIGRAHFGLVSEYNSRVNQKLYKGVQVSEAPQFFTTSARPGNFGDHIDFKV QMDNWFDENRVHNEHETEIKRTQIYALNAVYYGGLLSFARLFAVGIIGRLDGWKRYDRDT YLEMDIGDLPPGEVMQIVWNGTPVFIRRLTQQEIKDEDNLPKETILDPSSEVVLTNCGNT KVLVVSALCTHLGCIPIPYLGAYNGWVCICHGSVYDKYARVRQGPALQNLPFINNSIYDD VIVCIEEMKFPREPSQRYWT >CAK68742 pep:novel supercontig:GCA_000165425.1:CT868063:57917:59708:-1 gene:GSPATT00006953001 transcript:CAK68742 MKYAQVPATAFQSGKLIKIEHYSYSANDQIGSGFSSSVYKGKNENTNETVAIKIIDRSKI TNEVEEFLLNQEIRALSLMNSENVVKMYDYYHKPHCTYIITEYCNQGDLGQLIKKKQRID EIEAIKIMKHIVNGFKEQVAKGVIHRDLKPINILIRNGIPKIADYGFSKMMNAPPETIYY NVGTALYMSPQTMIKNVYSEKTDIWSLGVIFYEMLYGQVPFQAANEKDLAQVMLRTTPAF PQQVPVSRETIEFILKCLSVDETKRFCCSDLEHHPIFYRRHTMTAPRPLADRNSSTQNRD HRSPQRATEYQPTRKINLVAQTAMPQSALKSRESVRFMTQNDEVIQAQFQFVELMFRILR ILEKQVVFNQEQQIKLKFLIIKNMFFKTILLREVVEKKRNVFQLYEFDVYIESIGKYTSQ VNQLYLISKEYHDKQYQMIEGNQQLKQSLLKDRCFQKIYENFKSVTESYEFYLLFSTLLQ RCIRDLFNKCNNKLAGVSDSQQLQLQEETMVYVLEQLTFYYSLLKLIIENNNNFQVFTKK AQIYKILQATPEQITKSNLMTIRQSIQEMKI >CAK68743 pep:novel supercontig:GCA_000165425.1:CT868063:60161:60985:1 gene:GSPATT00006954001 transcript:CAK68743 MFMMYLYILSALVNDLEQIIQNKISKVNLQLNRMIQEPQINPQMGPIIAKQLQNIIKQPI DGVHVMFNEQDVFDIQADIEGPVDTPFQGGVFRCKLILPPQFPQVAPKGLFNTKIFHPNV SEKGEICVNTLKKDWNPLQWSLKNIFEVIKCLLIVPFPESSLNEEAGKLFMENYDEYFKR AKLLTSIYAIKQDGNVLKGNNQNVNDDLDKKNKLAQTQQKKENDQKKWLKRI >CAK68744 pep:novel supercontig:GCA_000165425.1:CT868063:62073:62438:-1 gene:GSPATT00006955001 transcript:CAK68744 MKRRCYFQKYEKLQSLDDLLNRLNNEATRPKFIDYIKQNVSNTERKQMIKQRVCKSQDPL RNIKMDKTTLREEYQKFLNPDNLAYVRIQNIDEIQEISNSLQCNVNFSKILTSKYQLINP F >CAK68745 pep:novel supercontig:GCA_000165425.1:CT868063:62655:63357:1 gene:GSPATT00006956001 transcript:CAK68745 MKTNNKKIFPSNTQNYQSVPQLEHDNGSTYKMHQSTVSKPPLSIVPSEANQSYYQIIEKN KLLYLDYYSQYNDMKFMENMASLRPKPEKLTLLENFLNMFEDMISCLIVIQNTLLLMNFD APDFAQTYGYLPNIMADILNKDIKTLEGLHLEIEFQSSLRFLETVLEALQFNSEQANKIH QLDVKAWSQKCFNHLNSYIGNLQKSQVSQTTILCPCFK >CAK68746 pep:novel supercontig:GCA_000165425.1:CT868063:63383:64165:1 gene:GSPATT00006957001 transcript:CAK68746 MQLKQNPNQNHLQYHTRNHSLNKSYSSKQPSPNLPLLLRQQTTFPDQKIHNQNESSYANQ NIEILGDFILPSSKFTKQQNMILADELTEKISSLRNNKNLNIIKQMVRTRVKTQHSDLEN SMISSQRLITDPGIVLPKKLQNNQFLIDKELVFEDGVKYPYRLYMMNVRRKNLISQLLTN TNINSVSKDCYNDSKNKDLAERLVAQIKNSQQQKSKNALRSKSYMNNAFYSLLALNKNSQ QAVKLKYRQNLPKDSRFYLI >CAK68747 pep:novel supercontig:GCA_000165425.1:CT868063:64605:65941:1 gene:GSPATT00006958001 transcript:CAK68747 MKYLHLFKLVVFLHSSYMVLGDEVENENEPHHHRYSPSDGTFWVFLLYAIGLSLLAAFCS GNTQGLLSIDMLNLELKQKSGTEYEKKVAQILLPVISQHHLLLSTLLVGNAFACETLPII LHQLAPDWLAILISAGIIVLFGEIIPSAFTTGPDQLVIGMKMIPYVKVLQAILYIICYPL SLLLDYVLGVHLHQRYKIKDVRGLLNLHAQDSGHGNNAQLSKDQMQLLDSMMEMRKQTVM KNCKDIKKVFMINAEERYSSDLKNKIRIKGFSKIPVYQGQNKDQIIGTIQAKSILKLTAQ DYGRPLSSLLQMQEPLIVPKDTTMLEMLMLFQHERKSLAFICDKGKKVQEYNTMNISNLI VEGQNNIIALIGLTDVFEEMLDFEIEDEDVHGTLRDYPRQRNKYTELKVITEEQERQGKG RELKQKLLS >CAK68748 pep:novel supercontig:GCA_000165425.1:CT868063:65955:66371:-1 gene:GSPATT00006959001 transcript:CAK68748 MILDAIANLRQFDHYYFNNERLAIKLISKSSQYFWSLVSQFSKEQNGQSSTQLNSNIRTS ELKILYKNLKQDISTKKKSYFSTTQITHCSYNGYNNKSIKITNSTRASPFEFDIRTTLYQ KQQECKGYFKEIVILSIQ >CAK68749 pep:novel supercontig:GCA_000165425.1:CT868063:67728:69520:1 gene:GSPATT00006960001 transcript:CAK68749 MKSLQKLLKNNKDMGHQYIDFMQLFEKKLKSCIINHNSRKNILAQYKQQQYIHSPMPFFE SEELVVYGSFENSNIHGCCQEEKGVLNVLLSSDTNTQGCTQWFYFGIKMHNKGKLKIRIL NNRRQNTLFKDCNHVYVFDNEKWSVGQTQEMYYYRTNINHPFYHHEDAGIAQLQQNFSLY TLEFTYEFKQSEKIVFFALTRPYPVKKLQRFIDQCPLKRKSVCITTLGLPIWQIKTPKQN GQQIVVILARQHPSETAGSFICQEILRILCKGHQSMQKYRFIIYPMVNPDGVFLGNSRCN FNGVDLNRKWDNPKQKTEPEVHYVLKSISKYSNIAFMIDLHGHSKKFNQFLYGCLHGKSV SEYMRIRQFGKILAHHNEVFSFKRDCSYGVSPDRFGTARVALWKRLNIANSMTIETSIYG QMGRAFGLEDYHALAQNILRALDLYNEQVDSPELNINREMSKALKYDSGSDSEAEQDLII PKPNRIKITSRKESSCKHQSVGYGGIKQKIETPQLIHQHSQFFKDQSFVELLPQPSKLLS HSQNRVQLQKIRSRMNSFQSQYIEEQPKLGSMISALQVKQLFK >CAK68750 pep:novel supercontig:GCA_000165425.1:CT868063:69520:70963:1 gene:GSPATT00006961001 transcript:CAK68750 MTIAYCTNDAIKKNVDNIRQAINSGPNKHQAYDKLAYIVDTFGPRMWAQPSMALAVDYLY NQIRNWDATKSGLVEVRLEELDEISTWVRESEQLILKSPRKRPQKLGMIGLGNIKDGEVV VVRNWSELDEKGKANKIQGKIVCYNVAWTTYDDLKFYRQQGPDRASAYGAIAVLLRSVAS FSIYSPHTGNVRYSGTFTKIPAAAITVEDAEMLQRMQDRGQKITVDLELNNKMMPSKSHN IIAEIKGSKYPDQIILMGGHFDSWDTGSQTGAMDDGAGTLVTLEALKVVADLGIQPLRTL RWIAWSGEEMGLPNNGNQHYAKYHGDEDHVVALENDVGQLTAIGFGFSGKLQTSRMVRQL IMNYIPELSVLLMLDLELYKTLGDKGVPLMRNIYKDPNDDYYFKYHHSAGDTMNILNPDE MDSNVFAISSMMYIIADNPERLPK >CAK68751 pep:novel supercontig:GCA_000165425.1:CT868063:70975:72356:1 gene:GSPATT00006962001 transcript:CAK68751 MKRDDQVYMSSIQLWNGIDTPIHQSTNLFSQKFKESQEFNCNSLQAMCHHHPQKKAKYLL LNDQRPNEKLCTKCAVLLAQQGNKIENIEEDNIKKKQTDSFIEQLLQTKSEIDNVHSNLL STEANLKKFYNDQYTQVVKTFGGIEKQLQMCKSKLLCSLSQSLWSGVQITNQLKVQSKQI EHQLATYITDIKNHYDKIVQMGTLPFNQIMGGYSSKLFKLQQYLKDIQENKVAYLHYEGK VSTLDTFLKELSKLTEKDYQNKKRNHESTRSSSEQKTSKSAEKEKRNQSQPNSKDLKSFE NSEKKTTQTFKITEESIEEWMENSNSKQQRTEPAEQLQSPNVLTSKFNRKQLPPSRASMK QETNQAILDKLISEFSQIKQERAMKKQSKTPELSKSVKEAFPNKLSPKFLYKNYYK >CAK68752 pep:novel supercontig:GCA_000165425.1:CT868063:72538:74083:-1 gene:GSPATT00006963001 transcript:CAK68752 MYLFNLKITCVLTICLKQQIYQLCCFQAKYLRLCFIPTYGCKFVLKKDWKLIKDNTNWGA YMDDLNINSETFYHSSSKDLLKAVKENPDNDFYAKSIGQLLYHMGKDGEYDEIVIKKIEK CLYKFKGEFPSRLAFGALYGFISLSIDNQYLHNFFDAEFRMHPKSKRKWYEALELIEACG KNKNLQRKYLFDLMKSQCHNILVKEYKKSLKYQPGQQFRILNACISAKYFEPDLFDPVVK DIVRQQKISRLPDLIKLLDILIKLQTEGDFPRSIQAEIDHLINRLKTTPKFSWLYNVDER RYRTMEELKSIRENAPQQFIGNYLYQPEKLEQYLKLKALGNDAEVQREKRLIQEKQLDDL LYDEFMLNKVEQQRNREQLKKEQRKGMKDEDGEDDDAEIDKELTEQEKKDLLTDFQKKHF ESLDMDTVNPDDLPKEWFIDNSQSQDNEFDEMDERIQQAAQEKSQQAKNKEFKKRKGRSG KGDIFDSIE >CAK68753 pep:novel supercontig:GCA_000165425.1:CT868063:74106:75466:-1 gene:GSPATT00006964001 transcript:CAK68753 MKILIIYVASIAVFYFVDKSLDLQFIESDKLNRQCETKLDNNNKSIQCCGYIWESNETMV TFYFRTQTPLEHIPDIVIYYQQFPAEQQLNITELIVVDTNNSFPIVNENQAGIEELSRHH LKALPYEFKSGDSDGNENYLMTPELIKVSAYVIFVKGLDIELEAYFKNNLKYLEDSFVTF LCFVILALAIKMINEDEENLLPLGKYIKDNQHHMLLIIILIAQPLRPLCYFSEFEAVEWL IGQTCYAIGEFLFLRYFLQVLSFLKGQDNNTNKGRNTLITIFVIIPISIDRWLIAFDSQS FVIKDLENQVDNIHTIMIVCWLCFLVYSGIFAYEVMISLHSNLIRLDLFVIDIIFFIVYS FQKSQFYLYYERFDHHLFNPINMLMMVSYIAVLLVVDLKKESEKSNELQPIRHRINQDEE HGDNLDFTIAEYYKEKANDDQALI >CAK68754 pep:novel supercontig:GCA_000165425.1:CT868063:75551:76756:1 gene:GSPATT00006965001 transcript:CAK68754 MSENEKLHKQNESLMKELSLIKNDFERMSQNGQKTVKNGRRKSCEITGEMVTQQQIKSLE EELQNSQLRFNTLKKEFSIKTTQYQNQITKQANLITQLQNENETLKIKVENKENQFYEFK QSYQENYLEKSGIKIMNENQKIKQLSESNIKLTKLLEDRLIQLEQLTKTLNQKNQEHMKE IEKYEVLLKEKAHHSTLSQGKSFESLQKKIDLLEKDKIRLTSELTKNRDLNTKEKQMLMK EKQNLNLEKEKLHSDMSIIQQQLTDYYNQLQQCGQQLKQKDENIKQFQQSESFQGYQNLQ YENQQQKSLITQLQVQIGNLQQTNKALQEELLFLKTTAPLSNRTNLKTYFGLDSPFRNIA DEQTKYNVTLKTRTQSSLDKRGRSQDMYKRIE >CAK68755 pep:novel supercontig:GCA_000165425.1:CT868063:76937:78486:-1 gene:GSPATT00006966001 transcript:CAK68755 MFDSAVPGLRAKRIQVFICCFMQYAMLHSCRSTWSFTSGILAKQDDHEHDDEKIDDFDKK YLGYINFSFLFVYGSSMLFLGQIGDRIGPKLFMLCGTFSTGIVQFMIAALLPVEKHNRWL LLVLQILNGVSQAPAWPGLMAIMNNWQSKPNKVIVMGYFAACTNVGNILGDLFAALLIEQ LGMSVMAPIYVSAIGVLIMSVINIYMIEASSAKEYIAQFKNPLTKSLVYKQAHDEYMSRA ASVVSVSEQSFVTDLSQTLMTVDRDSQMNPYVPPKPKKNKKDSINMISAWKLPNVALYAL AFGCIKAVFYILAFWLPSYLKGQNLEGVAWITQMIEWGTIPGGILIWYYTVIILVMQESI GIQGLVLLCLHCGINFLSKLEQPYALYYILVFLTGLMIGGVYNNVSGAIVIELSNMKELK GNKKSTATVTSVVMGYGAVFAAINQLIVPYLESILFLYCSLNAIVAGCFLIPLIINEYKR HKEQKLKVAIGQ >CAK68756 pep:novel supercontig:GCA_000165425.1:CT868063:78572:80018:1 gene:GSPATT00006967001 transcript:CAK68756 MQNEVEFQLPDTLIYRLGVIQAWYFTHNNEILVKKLENRTIPNIETAFLKDCQNDICAYF ISCKPRRDFYHTQATREEFLKDQLNFDCRTSILYLNQEQFKSFIHTPDIHRNGILQKFTK PALPKENVIQVIWSQNILLLSQRVNNIELSSSKFDAQEKCSTFNSSESFSKAYPVKSKVI TTQIQRIINYITTRISVLTYEKFRVNRAVLYCKVDHLQRINILYCTSLRSNDECPYPIQF GQLLRPDNVKNAITTNKQKPIKLIMDVQCLSCQQYCQTEDLHPIKYSRLITSGEHYRMDI KSYSTGVKRADHQITEDNPENYIANTTKLIPDILKKLFPSMSYNEFASIKENQAFLNKEL LVCFNCYLKFTQIKLKFTQTGRRLTIKQKNRSITDMIRQATQEVSQRHTERDHRGNKEPQ KMSFCLTQRTSLPTTAKLMTMKSSRTSSIMKNNITLRSTARKSSV >CAK68757 pep:novel supercontig:GCA_000165425.1:CT868063:80131:81294:-1 gene:GSPATT00006968001 transcript:CAK68757 MKNQNKWRYVPITYNLSEIKGKRSSKPYKFNVHDLWSLFTHKNIADLLNDKPLDIVVSTS NSFTGNTIFQETMTYHEFSNYKGPLTLYLAQIQLDLPCPKVLSDSGNVYLWCSKGFTDSG WHYDSYENYLGVVEGTKIVYLRNKCKNWSQFESVCSEQYNHLKKGQKLLSKFVILQKDEM LYIPQGMFHRVISYDSTIGVNVWMESITQSKLKVNHYQLRYILNEIITKDVKEFLIEQAS LRLKTIKIDQYKEDILNSFSNFTNLHSFHRNQKQKPLNQLIGIYICILTNMPHRYMIQFM LIIEEIGSITIFEKLPFTYQAIIALKLDEFSESIEQIEFREKIYALLQIHGNLVESLQAS KNKLKYQIAQQIISNHFRI >CAK68758 pep:novel supercontig:GCA_000165425.1:CT868063:81348:83357:-1 gene:GSPATT00006969001 transcript:CAK68758 MWGETNENTITGTLLSLFMVLNICMKYYIQNKKEKESQGQISTAKLPSPELIKNQTSPNY SRKVSQHEYQSEPSQDLQINDFGCMDQYQKIFNMFPDGIIMVNMKKQVIFINKHLKKMMG GMNKKAAFYNLLQMKNVQQQINELERINSLFQEQQIILNEQEFDNKTYFKKSTFDESRFD DQSELKSSYSFQQFETVKKLLDHLIENRHEKNGVIKMLCQFPQNEKSLFQVSFKRFENKQ SEFILLIVRDMTSMNLVTFLQRVITDKSNFLSTVVHEFRTPLQSIKLMVQQIQPMCSEEM VQKYLLPMLSQLDCFTNLIQDLLDVSVLNAGKFKLDIQQLNLHHLIKETYNIMVVQAYAK NNDLVINLQGIPEKIYSDPFKIRQILINLLGNAVKFTYNGIITISGRATNKSVEITVSDT GLGITAANQNKLFTAFGKLDDPTNSNPQGIGLGLMISNVLARKLSYDQKGLSAVSEGEGK GSKFSFQVYDHSFNEEKQKYQQESVVRQQREYFQAPLNQIKQCDSFRMSGLELSQYSRCQ CPEILIVDDQPFILQMLKIQLESQGYRVNLAFSGFECIKKIEQFQHGPSCACKNYKIVIL DIDLPNLNGIETCKRLITMGFNGYILGHSGYSGGQEEEECLKAGMVGYFVKPLDIAKLQQ WLEQNRILL >CAK68759 pep:novel supercontig:GCA_000165425.1:CT868063:85008:91235:1 gene:GSPATT00006970001 transcript:CAK68759 MFHFLILLMNITQSVPVTEFMEGASSSNAQWKKQDSTPFNDQFHCDGGQLIGPFIGKVQT NIQNTITNLEPHFQLYITLDIVYTEYWSGISNYVNLLIDQQVIYSETSINSANFNAPNNY CKANSFYTFLYNQQLITIRQKINNQNISPSLEVQSSFQCTLLSTYSVCEKLLVKNIVVTP SACDFTCLTCDGPDNNNCLTCPQGTTKNGKCSCQNGYYAYSHQCLQTCPQYYKGLGKECS LNCSLNCSDCRGQCRLCESGYIFYNGQCVSSCPKGSSQKNGNCVDYSDQSNFGSEFIGKY FYGLEIDLESQINQFTFTFNPALSKLTGQIFSTYNNQYLLGGFGVWSEGYFNIIYNGLAK HEYIRIYLTAWFIDNWTDEHFIIKLDNQIIHDVSYQSQKATSNLFYQSTNDYIEEINVNV QHTSSSAQITFQTTLSTSAYQASLALSNIFILIDYCDPQCDVCQSTQCTQCKNPYQLINN QCAFCDSSNFRNNDCTCQNGYYDDHINYQCQLCKYECETCIDANSCTQCKLGSNLITLPN CMNCNQGYYFDNGICSNCDQNCLTCFGSGQSQCLSCKNDYILNKFNQCQSCMSNQFSYNN SCQDCQYNCETCKDLQNCLTCKTGRINAPLCTCQKGYYESNQKECLPCNYACLTCETLSD NCSACSGNRINPPLCKCPDGLDQDDNSAWCTDCYNVNLDIKMSSNSNKLMIKFSKKIEKI NSQCTSLFEESTLNYLGINPICFVNSYSIDILLGQNSTIYFGQSIMFKPSIIKLQECQKS VTHFINNILYHTTDLEVPLILFSRNSVLLSACSNTSDKIQQIYTYNFGNSQITFIEWKLI RIQKQDPKISEFLDTLTNQFKNYGQTNKFEFSNDILEQDNQVLLELKYQNFLGIQGNSFI NIKQFKTKYFLNVQTQKNKYLNSQLIEIFIKVSNCQEGFTNNVRLNISIGTLNKQVEINV GEHYIYRIEPYILNVGVQQLNIRVYNQEQLEVEDNFQILIMNEEPTILLFTESNYLSFSQ QLVIYGQVKNIDQKNPLLKWDCFDLTQNFECLTLNQASLILPDSQNMTLQPYTLNPFSVY KFTASFLDLQQFVIVTLIESSVPKIQYESYPDISDGYINFYDQLLFKFKFQEIVQNPDLL LYTGILSNSDLEVKHFQFNYLELQMSLWHYFTIDQLTKQMILKINIHSPDYFQPSQVTIP LNINIPPLQCRIDFDSQNASTISNFSIKVADCQDDNIPLQYRLVLYFNQSDLNFDYSINK IQKGIILFDYQYNNIFQTKLTGNGDIQLMVQVKDTLNGKSNYTWSLNFTYVQGQLATLLI NSMSISETLIYAASLEFEENSMSLEISQVLSKQIQYFSSGQCISEKQLLTLKTLAKRHRN WRISDIQNELIQQKERLSSLKQELENLNQNQYQKYEHTLEEFKKATLLEETIEIAKYISD LYELNREQQQNTRILISDEEQQGKLNNNYIAMDSINLISEIQFQNQIINEKLQTIVTKPF NISTQKTTQKVLEYLISNSLNEPQAIGQEDQQVDQKQDLETYSYKMVKYQTNPYVHDSYF INNNADSYNYPMYQPEIKQMSNQNLLENITTSKGIRYNFETQDQNLIVECVGKVKESWSA DSCSTVQEGKKVTCQCTYISSTTILEATQKILDEAVDFFSVQTIQRMLECSYLSIIFTYI VMIYTILFLWFILYGYKMDMHKNEENLFHSTKVTPTDWDQATEKPARTINIGETESEKQY PVNDGNMVRRQSQKKTVFNYNDSHITTNDKYLKRLNVDYYNKTLAARKTIGITTNACSER VMEENEPQLSPKDRIPSSISFKIVSSPLSTPRQSYFNNQDSLYERYTTQRITLGKAILSY MEINHKVLSLYYLYDKDCSRIYRTIILYVSLLGEISILTFFGKIINFNSIIALSILQTLF GVIFRKLLQVFLKSDKRCINYIGFNLVILSVLFFLFMIFGSVARYQSVLESSLWGVAYLS SFILDYIVYTNFQILLCFSIIIKFGNQQTVKKYLKMFLNDKVFIQTFGSS >CAK68760 pep:novel supercontig:GCA_000165425.1:CT868063:91308:92656:-1 gene:GSPATT00006971001 transcript:CAK68760 MQFLVKSSGRLGFFGFATATATHKDIAGKNFLKFQSKYAEYVTQFNQKLEAIEKENKAPN VAPQGKAFEHPYNNPHNPVNMSGIKSSELFYNFIGPEQVSPHYENFLVARKYLLLTYGGL IVIGFAAGTTNLHWIAKSSFLPFLFWMQIMYFYLEGRKSFMKPLLARFYRRVAGNECFQL DQYYHENMQLKIRTLLEAAKGQIEYGQLHKEFKDVKAELINTFLMNEQLNLQRHVAERSS NILKQAQQAEQINQNRLLSDIIEAAQKTIFQKFKKALFKSALRGLAQGKMTYENDPLIDM ILKTITEHVSKIQNLSPAEQKKLISLSKDQLAAIQANDKKAKEDFLRAEPKIDQTLKNYD NVKRQLASWGQ >CAK68761 pep:novel supercontig:GCA_000165425.1:CT868063:92674:93671:1 gene:GSPATT00006972001 transcript:CAK68761 MNNDICMFGLTKSGKTSMIRVIFQKLEIYRTFQLDPTNRMESVPVNLGSHIHFKIYEFSG HYDLNDPQPPEIAAMETCSLMIYVIDSQAEPFNEAVQYMRQAIQTVKQRSPHCECHCFIH KVDPDIEDNKKNELLQQIQKDISDELSKNGMNQIKVDFHVTSIFDHSYLEHFSKVIQRIL PYSQSISTLLDSFNLASKIEKSFLFEIYSKLYLASDSQHFHSTNFQLCSEMIDVFIDVTC IYGKLDDAGQSQIKLSDGSILIYQTVNEGISLISILKSENLERPFLLEYNINQFRQGLAQ IFKIK >CAK68762 pep:novel supercontig:GCA_000165425.1:CT868063:93711:95094:1 gene:GSPATT00006973001 transcript:CAK68762 MYKNQKYFYDALKVRDNRDPTYSVNLPSHSPKMPFKRPFQAENINRSMENNKCRYSEKHL DQSPNQKLKPVAQPAYFSQEGLLLTRNSKLPNYEPTRCSSNENGIIRAYAANTNQGIVRD YNEDRVSIILNIVKPQNRQHENWPRCSFFGVYDGHGGSTCADFLRDNLHQFVIKELDFPW NPYEALRKGFAAAEQYFQDFAISQFNKGIAERSGSCAIVALLVGDVCYVANVGDSRAVLC GGNNKSALPLSRDHKPCDELEKLRIQKAGGKIYQTQQQQDDQQVLVGPLRVLPGRLSVSR TFGDIEAKLERFGGKPNVVVAEPELRSFKIQEDHQYIVLASDGIFDKMSSNEVVEIMTKE LDANSNIHQGCSIGVEQVLKESINRRTLDNITVVVVAFQGEQMKRTKNEIVKRNQIKKTV QAVLGNQEDQENTPGLINTYKRYI >CAK68763 pep:novel supercontig:GCA_000165425.1:CT868063:95118:96575:1 gene:GSPATT00006974001 transcript:CAK68763 MGNNMTVNWSEQLKHSFDLYETNSQHNVLGNIDIYQYIPDKKVKIFSKVAYVPDKEYFLQ KTKFKHPNLLRALALEKCSGSCSGDKDLYKVYYDYPGIQTLEEIINKKQSTKKITEQTIW KIIFQVVDCAEYLQSHFKTIGNINPKSIYSLKDGIKIFEVNHIFNIESSYEQALKNQIAI LSPEQIDQLRRDILIPSVNGFKSDVYAFGIVLLCLTTMSNYTKFYTPNQELDKNQIFQNI QQIKMQYSELLCGLIQKMLLENPSERQSWIDIKRFIDPFKILEEKDQPFYSDLKLCPQVI NKINNPTVESVQLNTQTSQKQVPIVVVSPNTTPRITQSFQQLTVVPAPTINQIYAPSNTT YPNQPIQQSLEFKNTSSRQATIISPPLNQPSQRGGASRNDAQQPPFPQNQKSFQKLPMPP QSVPSQSRPPQSMAPNSTQANTLYNPYSARPIQG >CAK68764 pep:novel supercontig:GCA_000165425.1:CT868063:97313:98714:-1 gene:GSPATT00006975001 transcript:CAK68764 MKAYDSLLILLYQSNLQTLILDFKPPKHTSVENQLEIQRTLKGNVQRRYKNDEFEQKMNS KVSLPSLPNFKKTDLQSDQKLLNLSKPHNRLPKLEAKSEVPKQLKLEDAIIHYNSVTPER RLQSPDRQLSLNKKRLLAKTWNGGQYACKTKAGCLANKTSKTNQDSGIVLPNCLEKQIYL KQVSLGYSMFGVCDGHGSNGHLVSQFIRQALPKHLEMLLSKEDNKNKAIQKAFEQTNKEI WDSETDTSLSGSTTVSVIMKKDQLWTANVGDSRAIICRNQEGNWKAIQITRDHKPNVEDE KQRVLQAGGRVESQKDYYGNSVGPERVWLSYIDAPGLAMTRSLGDKIGAQAGVTADPEIF EFTLTQYDQCIIIASDGVWEFLSNEDVMNIVIPFIEKDNIDLAADRLMAESINAWKRHSL GRDDITCIVVYLKS >CAK68765 pep:novel supercontig:GCA_000165425.1:CT868063:99050:99299:-1 gene:GSPATT00006976001 transcript:CAK68765 MNFKVFIIMLIFLISGVLTSKVKECQAKCDSQINICIYTCAQSQMRQSLESQSQCKKMCD QVNKECKSSKCYLDN >CAK68766 pep:novel supercontig:GCA_000165425.1:CT868063:99972:100301:1 gene:GSPATT00006977001 transcript:CAK68766 MNICQCSDKPQNKFTIIVENHENDRDYIEVELKQTISDVKRRVFKNVDPAKSQLYFKRTK LLNNRTIQDCGLTKDSIVYIIYGDNISE >CAK68767 pep:novel supercontig:GCA_000165425.1:CT868063:100351:101925:-1 gene:GSPATT00006978001 transcript:CAK68767 MQNSSTESAVGQDHNISKWRSYGLLETDGGSSSFSFQPKKKNPIQKSNTMHNLIQQFQTQ TQENNDLKNSQSESKNRFSQRTQQSIPSRSSINKQPLDDDIQFDMFSVNPGSNILNLTQH TIPIVLQLRTKTLEELDQIGVDLFCLIDIGNGMQGQKIDYVKQILHSILTNLREQDRLCL ISFNNDGKLLTGLQKVTSETQEYFAFVIDGLQCNGTTELWKGTEVAFDVINQRKNKNNWA RILIFSDGQDEIALTKIKKQLEYNYDIFTIDSFGFSNSNASKRLSSITNLRFGKHHIINS EQQVFKCLEQTFANFPFNLWDDVTITISTNQQNIPFEKIMISEIHSEGWIELQNQHQYQI TIPNLEIGESFLFPMELAFQKFNDNIVDRAKPITLITGKIEMKNTITGKRMIKNVELDAI FLYENDSNTLDFNTYFNCKQSPLVIWICDLQQTCNQYFIQQNSIENPTSIQSVRTYNSKG NCNLKELNNSMMKNHLEFKIQVNFVYIT >CAK68768 pep:novel supercontig:GCA_000165425.1:CT868063:101957:103849:-1 gene:GSPATT00006979001 transcript:CAK68768 MIQQEVLNEIFVPNQLAQVLETVAQSNKNGNLELDYFGVKVREGKYENIATLNKKKQQKI KQQQQQQSQQQAQTQQQTADYVEQDDIDDKYAKQIQFDDDENLDMDQIQQQQQFQDTQAY NINENIEFYLTSEYKTLRFNDRKALSCLVGIKAKEVHQPQVPQQIEGDAQNQFNMDQQQH SKVGVDLLCVIDRSGSMSGEKIEMVKQTLNILLNFLGPKDRLCLIQFDDTCQRLTNLRRV TDENKTYYSDIISKIYANGGTVIGLGTQMALKQIKYRKSVNNVTAIFVLSDGQDEAAISS LQKQLAYYKQTLTIHSFGFGSDHDAKLMTKISNLGKGSFYFVNNISLLDEFFVDALGALT SMVVTDISINLENIMQNPYDAISLSKFYGQEKLNYQNKTIHLKIPYLAEGQRRDFVFQLN IPYINNNVQSENVVMFKASARITSSQTRETIEKQAELVIRFVDESQLINEEQDYFVTEQV FRVESAEVIKDALHKCQNRQNQQAINLIGNLISKVQENPQLTEKVKNIVADLEQVKQAAR QEYFNKFGSKQMYQIISNNYNQQGINAKFDATGIQLQQEEQSQFQNQKQMMWVKKVQYQK KCKP >CAK68769 pep:novel supercontig:GCA_000165425.1:CT868063:103865:105394:-1 gene:GSPATT00006980001 transcript:CAK68769 MSKLDNLKNLQIQQLKEVNPEHGDQAAAVKFTSNRINALEKFVRDSLHQDKEYFAGEKST LQNIIETLTTENNRLLQHLQVNREQKQQIEADLKISQEEIARLKAIVPQHDEKQLQNEIQ ETKKTIVKLNKMRQDEANGLNINDLKQLNIKNKDKESPVNELWIWSLVVIYKEPASSYYW NNFKGQVFENAEGAQDFKDRLGRVKAVDMKKDEFERTQNLLKQREQILAAEILTPAIKTQ IASFFKIVDLMIEVERHSKLIHNNEKLLQQLANEKQQIQSETNKNQDKAQILKDRITFLD TIHKGYQFMYDAMVKKIHSYQQAQILNDKFTEQIEQSFSNLDFSKSYDQQYVPQIVQTIQ HEKIENQDNQQMKVQQVVVEEQQQQNQQKVNVIEVKQTPQDEQIDLQQQPPTEIVQTKTG GCEACNIF >CAK68770 pep:novel supercontig:GCA_000165425.1:CT868063:106264:108527:-1 gene:GSPATT00006981001 transcript:CAK68770 MAQSFTILQNSFKHFGMGHYKESMEELQKFKQLKEQSDQNQSMVQINENIILCEYNITKD VNVCIAKLDEIIDEIKKPQTQKKKKQEDDSILQFNKAVLLFLSGKVRQAHNLLKQLKDNY NLDIYLNIKVHLLLVETSFQLQEYVYASELYKKLSSEDTIQSLQNKSHKPNQADDQQQQS QLIYTSLLLGSDLPYPDAHPNTFSKEEFLFILNVIKFRFYLFQNLKDWKQQLANLEQSFK NYIFQLDQQPGMQQQQSPQFSYEIQAYLKLHAQMIVKQLKAQKLSSLNENVATCIKMINP QAEIQNQQNLEFIQNKQYLYSAQIYNNLGCVHAKIGKYALAAIYFHKAISQTKQVLQSAN LYENIIQTNIKQRQFAIYQNLADALFMDQKYQKALNLYNQLQDACNQSAKFWYNRGVCHI QLYHESIPEKNEIYEISEEQSQINTQDDEKKIILQSREIYSDADEECQDQFYKIDQKSEE NKLVQPKAIKELLNNAIKSFRNAIILSKKEKREELIQLDQEQLQIAGSQLFESSIVFLTY TLLCRGDYNLALQQGKEALEYNLSENNKYKIIQYVLEAYISTQKFKEANTFLNSNAIATF LNKIINSNLQFQCRNVIGIQTSCFSNYSPKAINHFNYAALYLHNNNLPQAWNSIQSLMNC CEIVINQINQVIPVPILNLLIWYYLKSDQVQLALHLIKRRRLLTGQMGKNKISLLNITK >CAK68771 pep:novel supercontig:GCA_000165425.1:CT868063:108766:109228:-1 gene:GSPATT00006982001 transcript:CAK68771 MADSGRENWAVDELLKAEEEANAIIKNAQKEREKKIKEAKVAADQEIAVFRREEETRYNQ EILRRFGSTKEEEELERKTKSEIDKIYADYEANKLAVVDMLIKRVIEVKLEVPRVVKGQF EQPQQTM >CAK68772 pep:novel supercontig:GCA_000165425.1:CT868063:109303:110928:-1 gene:GSPATT00006983001 transcript:CAK68772 MYLIKTVLVLLLFIIENGSAVVSPEDARGQVYNCANVELIGLIGGDPMPVDASKERYITF EGDVRFSHKTHKQTMDSLKEGKDYFGTNSIHYSSIQNGIYTFIIEKSQENIIQTYIKTSL KRSYKVAQIPQVFYLKTTIEGQKKYAIHQITMSDKKEMKRELFKEEKETMQSFTGLQFAK KFVNIVFATLETGTISDFTADDSFLEGVKTILDDIQNGKKCDQFFCKPPSLENLGKLLSD LPANKITCTKKQCAYSEGGQSKLLFTKIPFLQTDKNFRYLDKPNNGMLLTTIQPNNQKFV SITAQLALKCNVQDYDFHSQGYSGAFQAVKIGSSKLYSALIIEPTSSGIKRKLRTQTPEM YDELNGIQKVKSIYYFMIITNKAPEELFNQIVSVVEKAKESDQKKYSCTPIPKDTEASFV QLHQTLDESNITNQEDQEMDLNDYDYVEYEDVQDDLSEDANSLNYYEESQQELINELNIE MANIPKSKLLQNNQVEATEYDGGDSEQL >CAK68773 pep:novel supercontig:GCA_000165425.1:CT868063:111052:112576:-1 gene:GSPATT00006984001 transcript:CAK68773 MTMYNQGDIMKIANNFLRNADFFGTPFVQQISEKQSIYRSAIGGLITLIIFSASLAYTTW ILYQWQTNQFSPKISHSLYISDFNLIDFNYDIVRIYFWKLDATYIDPFEERVLLPVLSFM NYDGSISSKVIKMSIETTSDGNKYFLPKLHFGEEYQNGNLYISSDATILFIKCQQEYLED GEQCADQEKSDQFFSQPFNVITTEVTYKSIDSNNGETKTSTQEYYFQVEPSNCYTLNIFL QSNLYEVRDYFLFGSPKIQEYINGAFIQTQTNTFNYCKLAYGNDALGVVYLTMQANQIKT IFEYPHAGDLLANIGSIVSLLFMIKYIIILLNQHFLQENLIHSIIRIYYPQFKNVKIFRN WKFQINKVKIGDKFVDVNQFQQFYNKIVEKIQNKMSYLNLLYEISRLYFLIRSSQSRDVI RKTHQIGIRMGFVQDLELFQDFNSKSEYSSDGQFQMNDEDVSILSVEERTQIASGEKIPE EIINQYDFFEINKII >CAK68774 pep:novel supercontig:GCA_000165425.1:CT868063:113713:116957:1 gene:GSPATT00006985001 transcript:CAK68774 MKQLIPSHKAQSLIRITQTGCSKQSPIKSRSNQRNQSLPGFPTQQIDKEEDEEVSGMLIQ TKEINKLVQDQELCSLEQILDKGRSYLIDNQHQVFDNNLQLIKPNLPNASEQGLRRYYLK SERYKEMQQFYHVDKNIYGRMNEKIQTERLMPRTLKLLADSPDKLVANNIFGSDKYVQLF AEGISSSHFNNLKRLQLRNNKLNNNRTCLLTQRLPSSIIELDFSNNKIGTSGIQSICNYI SSRNYNIQHLNLEDNNLKDLAVLTILKTLNDSKSIRVLKLSKNQITDIPMDTLGQLLKKN NSLQEVYLHFNQIKNAGGLTIMKGLIKNQFLKVLDLSFNKLGQNQEFISALSDVLIKPHP ELTHLDLSFNRFNDEDAMAIHKALLYNQQLFGFHFSGNPHNYYVNPRAFLVKEVQYDENK SDPKLFKRINSVNCINTKTKENCWICEGWVEIGFHYSGIEYQHPIFLHLDFEQYRPILMN QQANSYYLQRMCPPNKKIHYFFSNPVYDVRFVAKDQKTLTLDINEEIRKKGIELQYADGS SALVQLSIVNYIQSGQSGNIIDSTKHYMANITCRPRESESIIDIDLNKAKKRVWSYENSI FKDFQPDTEGHLLDCFEFDFECGKLIKHLQNQQENEQLKDKMKKIYKYIIGCYHYFCAKT LNYDLPCINLQSFLDFISQTSILEKSLMNSLDLQLTFLSSYVVMKQTNYIHIQEKCLVRF QFVEIIVRLAKEQYIRTGLASNMADALEMLFEQDEVLQFMQKFGISQDWRDTRYWTELMD YTIRIKMPILVILYENGCKQTMKHGNKYMTLSDFKLFVDQFELQKYISEKELYLIYLQSM QTQRDELRESRFLKMELLEFIEAITRLAERISPVTPMYAKKHSNLINDVSRRTFPLFVKF EGIIIIMYQKLKSLFLEIPNVEKEIIYKTVIKTEKARKLGIYEEDNSSDEEKIKSIRNLN LLPEEGLLPPSSQSMGWNKLRQWASKQKRISMESANILEQLEKYQVQEDEMFQKQGDAIS GYDRFKITQEKKKREEYKKTILYQDPQFKFEEEVPN >CAK68775 pep:novel supercontig:GCA_000165425.1:CT868063:116983:117595:1 gene:GSPATT00006986001 transcript:CAK68775 MIRRQNSLPEILSILQEKKKAQIEQQQPAQVPEQEPQPVFKYVLHEHQERIQLAKMFYQN LKKRSIARNVNEIKSMATLNGFQLKQGQVLRQKLYVEQNQRQENPQIYLCPTQKILPQRT SPIKTNQRTSPQQRSRAITTKSSFHLKSTDLTKNESMISLKKCQEEKPKVKTRQVDEVKG QTKLFTIVYKSLKQQS >CAK68776 pep:novel supercontig:GCA_000165425.1:CT868063:117962:119354:-1 gene:GSPATT00006987001 transcript:CAK68776 MKYLVLSVCALSVLGFIKPQYDSGIDQSLHWAQTPYHPLQQSVEVGRSFQTLVKFNKPFK STPTVILAPIKYDFGDTLPQGFDIQLSAVNTVGFTITYVALSPATIFGFDVSWAAILDSN IYTYELQTNNLPVVKSNKDGTTRAQPLEVTFPENWSLKSAPNVAIFILGLKMVEAWPKIA VNMAVITKTSAQFKVTVGQKGTIEFIRVNMIIGEKDTLLVGTITHKTSGAADPLNAVAPE SERVKKYEEKITDEIFLKTPRYTLYGISGLYYNYGAFCRFLTKNYLLVKDKLSVEIGTWQ NSQLLEGQLNWFVYVPKPDAGVTDPDCAQVWESCNYQGDSFTVCDRKMSFPKDGWNKPVK SFKVPDGKVLKVYNEENLKGLSIRIEKDLPCMEVPKFSFLQLVGSLGDDKFWDSD >CAK68777 pep:novel supercontig:GCA_000165425.1:CT868063:119403:120431:-1 gene:GSPATT00006988001 transcript:CAK68777 MSTDSDESEFLIQYFSNLILAPENQKPQPINNEYSSQILYVFVHTNQRFDYKSWGQEYFG KAVKISMFRRTQKYTILKLLSDQVLFQNFRHQEMNCCIKPTFHISATLSVQVPYDGVNDY VVQGNKTIYLLGKECTLKENDKIEFKSYKVDLLINNTEQIMKVICSILNSRRQGKILIGI SFDKDLNNYVVSGCFCQEKKAYQVMTAIMHKCKEFGCDQWVNVKLHECGVLLQDVCYVVN NLKIFEITIKEGPNQLITNTPGKYYIRENGQSVPIKMKQLFNYWKDKMITEEQFEQCLNF YDELMKKNKLV >CAK68778 pep:novel supercontig:GCA_000165425.1:CT868063:120450:122928:1 gene:GSPATT00006989001 transcript:CAK68778 MDLTQSQEQDKIIQYYLNQQIKKVADEKSQLTLQQEQERNKIKLQVWEFLNQQQQSIQQS RLNATPLSLISNNSAQSDRFDFQMDRSKMKQQSQKFEQSMQFQKDYPNLQINYHSFVDSK DQQYKQLDFLIAQYEQKTKNLPKNYFKRQQPENISNQLQQDQDDNDEQYNQDFEQDEDIQ EVIEEELQESQMQIQQKSQKFNQQDHETAYSNLIKLRIERLDTSVANADTSKLNDLSKIS ESLSDLKVSPNEVNKILEISRQVDASKQTTFKLDQTLLQTKSNEEPLIESSQQSMSSKLL ELSQQQSKFYERQKIDYPQMPVLDQEFSYKLEDQSQVAKSFYPNKVADQVSRFEQSKIQQ SNFEQSKIQSQKSQFDQIQKSNATNEIQTKSNHQEQSFRVQEQQKNLDFQEKSNISNQLQ SKFQDQYQNFQQSHQPSKYSEPTKRIQSSEFEKQQYYQNYTCANSNATRQYQLTSAVEND QKPEEFQQSQQNNNYLEDEEEAFSEEEDHNNKFYQFSIKFEDQDDKDKQKKFEALKKKKL QEMEELKQLRQQRNKEQQQQVGQNNKRDQSPLMPSASLKRIQQQQHQQQQQQQQQQQQQQ QQQQQQQQQQQQQWQQQQQQQQQWQQQQNILQQQQNSQNQFYQQQQPQQRNLFQDNQPQQ IQNSLPQSKRNASANRKSPMARQKETAHFCQDIDRSISVEKTKKNNKTILKNAITYVCLA GEPNKREREAILQKLDGVEAEHFIILFKTYGRSDFRALYGYAADSQPYLIFGQGKCPQVL TSEMVKTFFKYDSGAKQFKAIDGNKQFTIMVDAVQLRK >CAK68779 pep:novel supercontig:GCA_000165425.1:CT868063:124141:124377:1 gene:GSPATT00006990001 transcript:CAK68779 MKEKHNSLRVKKIRKLSPKKHQQQDDLSSPIITNEDLLSKHQSLCSSLLSSPESSKFVPG SMLEFLLNIEEIHFPLSY >CAK68780 pep:novel supercontig:GCA_000165425.1:CT868063:124719:124958:-1 gene:GSPATT00006991001 transcript:CAK68780 MKDKNNMMIRRKIKKQSPKKFQIIDDQLSSPLITNEILLQKYPIYCPSDISSPNSAREEP GSMLEFLLHLENSNYCQFI >CAK68781 pep:novel supercontig:GCA_000165425.1:CT868063:125926:126726:1 gene:GSPATT00006992001 transcript:CAK68781 MEFIIVSLLLISTFGWVVKIENQCSCSQYRLQAECVSSLGCRWNINSNLCLDIQCNSIKT QAECLQYSQSCSFNYSTLTCATFTSCKNLSGNTVSQCQIQNPMCLWVSGNSCIDYDCQNF NLNSCPQFCINTGTACENFPTCEKLNQTYCSIYSGVCNWNSNRCQNYECSNFVTISQCQF VMESENTIKPCYWNQTQCINAPDASVFNANQCFIQTAGKYHWSSNNSTAGTCEQCYGSSQ IYEPLTQYLSKGLILNIIVVGIFMVI >CAK68782 pep:novel supercontig:GCA_000165425.1:CT868063:126949:127318:1 gene:GSPATT00006993001 transcript:CAK68782 MKKAHKYKKKNLEVCCPYNPNHLMPFSQLWFHLSSGCEDKQKFGHLYQICPYNSLHILQK EHYEDHIKNCQKKIDVDDDLLKQMSNVAQEQFVEENQFQDQFISRNCNNKYKKYY >CAK68783 pep:novel supercontig:GCA_000165425.1:CT868063:127490:130605:1 gene:GSPATT00006994001 transcript:CAK68783 MSWNTNNLEEGEVKPVDSTPVWGGEQNAGGQQIDEVKKTQEPQWGQDEKKENQETNAQNG TAAESGGWGNQPQQQSSSWGETKTDNNDNAWGSGTTGFGSTSTGDNGGSSWGGGSTSWGN GGSSDNNFQSDRPRGRGRGDRGDRGGGYRGRGDRGGEGFRGRGDGFRGRGDRGDRGGFRG RGDRGGDRGDRRGGFRGGRDNGGSWGGNSSNNNGGGNSWGGNAEGSSWGNSDNKDNAGGG WGSTSTNEQPAQSGGWGSTTTEQPAQSGGWGNSTEQPVQQASEGWGSKTEQPPQQAESNQ GGWGTTTEQSNQQSGGGWGSTTEQPQKQSNGWGNSTQEQQPQQSGAGGWGSSNTEQPAQS SGGWGASTTEQPATTGGWGSTTEQATTSGGWGSTTDQAASSGGGWGGSSDQQNGNSWGGG GSGDGQRGRGRGRGDRGDRGGFRGRGDGFRGRGDRGGEGFRGRGDGFRGRGDRGDRGGFR GRGDRGDRGDRRGGFRGGRDNDNSGNGGSWGGSSNDQGGGGWGSSTTDQPASNGGWGSTA TEQPQSNGGWGSTATEQPAQTGGWGSTATEKPAQNGGWGSTATEQPQQSGGWGSTTTEQP QASGGWGSTATEQPAQNGGWGSTTTEQPAQNGGWGSTATEQPAQTGGWGSSDAPQQSNGG WGSSNNDQQQSNGWGSSNQQSNGNGERGRGRGRGRGRGGDRGGDRGGDRGFDRGFDRNNN NNYNNNNNNHNNNNNNNHNNNNNTENGGGDLPTAKRIKPNPVIITISDDENGKASPHFSE DEQEKVNIINEALKQTVDAKQVSLQLPVLDTKFSPLFNPEPDPDSLKTDNLCHLKGGLYP HEQHKKVKFVYTGGIKVDSDRQPVVNLVTFDNDLEFIESCPKVDLAEKQGIETVFLSPLI NEYRILKNELVQLRQEERRTQIRRQYMGIVEGIDKENQDLICQVGLALLKEQKAIRLKYG FLFAELTLFHIKQIFGNMDQVEHQSLQAREKELRPSNKWLNDVPKLKCRRRKELL >CAK68784 pep:novel supercontig:GCA_000165425.1:CT868063:130605:134979:1 gene:GSPATT00006995001 transcript:CAK68784 MVCVDILKQYHPEQQGKVYMKQDRYLKKRVLYLDGNMNTVAQITIPVNLRQNYLHFQIQV PSTGVFIISINYQNKGQNFKVSISNLFKSVSYPHENQINQPCEGLPNWGTIVVDLKSFYS FTEITQLNISGNIAVKNIYNTNEDQLLPTDCQLNSVHRAFIKHVKPKLAPLKGILKAKGK KQEQAPLEEIPLPQERVSPMKQEIREPIIEEQEAKVKSKPQSIMKLKHIHGYTPKHQANV IFLDDNYFAFPCDKTIVIMSEKEQKFCSATSEIQSITKYKNELIAACDSEILTFDYTTCS ILNHYKLEGYKAKFIQNWDDTLIVLANDEKHQMLLLIRNETVVIKQASPFHISTFKIQDK DNFISVGKENIRYWHIKDTCLQGHSPYLGQYARETDFTDVLIQDKRVLGCCKRGRVFQFI EKELTGVWQISETPITTMNKFTSFVVTTHKDGIKFWKQDFSELVRDIKCEVEVIGCDSRQ KLVCAFRDKSIGIVDDKTIKYLVHSHQQNILDLIVVQDKIVSSAMDHEIKIWNKNLSLEY QFRCLDGLASSLCGLSTKPQFIAGFDNGYIRVFELQTYTVILEIDTKHPILAMSGNLNDT LFLITHENETLILNNKLLIIKQHQHQIKYNQNNQQIAFNGFFNEYYYIASSSHIKLYSCD HLAEKYHLNIPISAIDCNDEHLHIVSNGNIKRFDKQLNFLQELISNSVYIKSCNNYVFNA NNKDQLVVYDYLFTSDETGNPIKQVYQQKVKKLVISSQIISFVDDFIFIWDTNGLHQTLL THPIDKPYINQLIDVIQESMSPHKQKLEKEKTIKQGKENKKINQQKQQQNQQQQQQQQQQ QQQQQQQQVSPKSSPKAVLPNQHLNIQNQNKQLIIDEQQLPKIKIKKVMGYRFSDAYIQQ FPWNKEEQFYVLPSEQFISINYYAQQKQQLILTTQIQAIHYSIKGIIVLLKQQVLVFDLK MDLIKQFDYAGQLACSTVSPCGNYLLMINENRIQIYILSSGKILTQTIIQYGNGNIYTDW CHDQDLMFVTLSGIQNQLWRVNQKALLEYCDLDIPPTYYQSSGYYKQSLVVSQGQKVHHI DIKQARTLLTVENATSQDITQVICTKEYVLLFTNSPNIYIYTYQFNDKHIISLDSIPLGY SNMFQQDIIVATRSQIWFVDIQSRTTVKIEPNIPLEHKILCTHQDILGTSEGTIMINNDE ILVPKRQCLQISHYKNCIIAGYSDGYCRIFKDFQLIGQIQVGKSVTALVANSKNYFVVGN ELGQLFYCTGLNEIKMHEIVKERGDFAIISIDMKDKLIAYSTGNQKVSILHYKEKEEFII KKATNVVDDTPEYYLMDSYRFRSIEQCQIQFSKTNQEQIIMLCSQIVIRNYIEHLNIITI ECNVGRKDGTVSMYDNEIELDKAQISQFPIHMMQNDKVAYGNMISELIQ >CAK68785 pep:novel supercontig:GCA_000165425.1:CT868063:134990:135535:-1 gene:GSPATT00006996001 transcript:CAK68785 MQSQPGILDLSAYNLPKSDSLSVRIQENFSLYGVRYTQLVVVAIAIGGLTNFAVLLTLFL SYLGWAYVMKQSAILDFLPDLGFVNTQDKKMILLLLFNILLILAISGPQILTYVGLGCLI VIVHASLWKSPSTLPGQDMQSEFDKVLIQNDVELINH >CAK68786 pep:novel supercontig:GCA_000165425.1:CT868063:135545:136479:-1 gene:GSPATT00006997001 transcript:CAK68786 MQFQLVSKYIEKVKALRIFQSGIPQKLIWLYGTYRFAQTILPMVQSIAVHLLWKSNLQRQ YGNGWAIVTGGGDGLGKAYAIELAKMGYNIIIVGRTQDKLDQTKQQIQQEYNVQMETIQF DFDTTSESSYKELHQKLIRIGEIAILINNVGTFEMHPFEHEQKTSTLINVNVNACTYLSQ MLVPHMKQRSKKSLIVFVGSETGERPHSGCAIQSSTKAYIGNLARSLAIELSPKIDVRLV TPGLIATNLLDQNKDFVNFKTRLFVCTPTEVAQQSLRKVTIQTHIIGTWKHGLLQYLQNK QKN >CAK68787 pep:novel supercontig:GCA_000165425.1:CT868063:136494:136793:1 gene:GSPATT00006998001 transcript:CAK68787 MKTNSEIKSIYDIVKGLNFSNKQALALKKEVLQQLEQKFRKTIEFRIKSQSMHAIHSIHT GSVSTQRHRQLSFKITRQKPQMLFRNSSLVSLLKKPNNM >CAK68788 pep:novel supercontig:GCA_000165425.1:CT868063:137672:139138:1 gene:GSPATT00006999001 transcript:CAK68788 MSLFVSYLKQIDFFGAPLVQQIDKEQSIYKSILGGVMTLLICSASLSYAIWVIYLWQINQ FSPKISSSVYVSDFRLLDINYDVIKICYYKYEENLIDPFEAKVLLPLVIYTENYTFTETT LLDISNKTTYYGNRFVIPQMRLGFTDINDQLITTSEMYIQIVKCTPEYLKDGEECATEEI SNQFFDQAQNIIIMQVSYKQLNSKDGSIQSSIQEFFVQIEKPNCYSLNTFLQSSFYEVKD SFLFGSPKYFEFVNGALIQPQTNSVQYCQQAFGDETYASLYVVMKGNQVKTIFEYPNAGD LLANIGSIVSILFMFRHLIVIFNSYYLNEKIVHDLITYYYPQFPQIKIYKNWKRDIVKVI LHNQQLDLNEYLRFYQNIKQKIEQKLTFVNMVYEISRIYILIRADKLQHEIKKCHAVGLK LDHLKLSSVVIDPFSKSSCSSRDIEEQTLNDEDIGILSLQERQIQSKVQIPDEEADLINF YDINKVKF >CAK68789 pep:novel supercontig:GCA_000165425.1:CT868063:139346:141672:-1 gene:GSPATT00007000001 transcript:CAK68789 MLVFFLCLGIVISGDVKLYSKYDTLLQDKLLIVTKNGLIYKYDLKYQQIRWRSELGISLQ SDGIQNGTYFMKPYKDGSFLFYDEGFYEIPSEDLDILFKTCNMFHNSLTYNNELMYFLDI ATGNIKELTQYDIQQLLSQPKMYEKGVLIHVKQKFISDLEYEDSQQHNSRIQINFLLKYL RGDLTQAKYSNSTIIYKTNTGIQVQWANKTLDLYLDDEVFEIFEYTPDQGIYSILFSKDH TKSTGLTVMSIVNNQYQCELEGQCQFDSNSDPHALGVYKDTQFKQCLNQFYKIKFQTQLV IYQQEKTSEKVEQCQVVENYTNQFLSILLLSMVIAYIPLLSSKKKQKPKEVVIVKEPQVQ YIYIKSKEIQINKERILGIGMNGTCVYEGIFQGKMVAVKEINLKNLQNKYLEKLLEESIS KQMLLNSPQINKLFFFEKRNDCLYLAMEKCMINLREFIKYESCQKLNEAQKLNIKQNLQN PEFYKEIFLDLLNALQTLLENNTQHHGITPDNVLFSQDLKIKLADFGLSTLTDYYQENPN DKKVIKKINYSMLQQVGLIFYFLLSKGDDFSQKQAIDQKFLMERFKKFNIKELETKDLLM KLLLDQSIGNPQCIFTHPYFWTKQKKLSFICEFSDYVETYPLPNDQVSIHDRFIANSVFK DNWGTRCGMILKCQIRGYDQTQAQQLIRLIRNTKNHYHQLTEDCKLLLGPTDETCFDYWS KQFPNLFFTLYQHAIENSLNLLSLK >CAK68790 pep:novel supercontig:GCA_000165425.1:CT868063:141678:142025:-1 gene:GSPATT00007001001 transcript:CAK68790 MADQSQAEYLNLKVKSQDGEEVFFKIKKQTQFKKLMDAYCSRQNLQIQNVRFLFDGERIL ETQTPADIGMETGDEIDVVIEQVGGMKCA >CAK68791 pep:novel supercontig:GCA_000165425.1:CT868063:142168:142914:-1 gene:GSPATT00007002001 transcript:CAK68791 MSLRRMRSGGVHADSEEDYLNKIGKMEKQQKRKTYVQETETFEGFIMNSQFTFDCFGGNP ILKTTQQSHFILIMIDDFSLSPKRLFNMMENTDNCETMTQSTNDDSIVWSSQPMNYDCVV HNYTMGQVNHLFNLWIINTPVINYIFKCMNFYLLFPQSVIFNYLKPLSVQIMKGMLFLRK SLKKCKVLMIRSSNFIQKKMMKQYNLQSLIGIPKSQIDQQSLINLKNFD >CAK68792 pep:novel supercontig:GCA_000165425.1:CT868063:143494:147119:-1 gene:GSPATT00007003001 transcript:CAK68792 MERKLYFGVQEQAQNKQYRFPTNFIKTSRYNLFTFLPYSLALQFQRMANIYFLIIAVLSF FKSISPFSPVSSIAPLIFVVSLSMLRDGYEDYQKHISDNELNSSPATIWTEKGFVKKTWK DVLIGDIIRIDELEIISADIVVLQTSQDGICFIETSSLDGEKNLKPKQAIKETQTTECRS GIIECINPNPLLYTFDGTLYLDSKKIQITHKNFLLRGSKLKNVKQAIGVVVYTGIDTKVM RNSEGQKNKVSNIDRLINLRIIYILIMQTVICIVLAIVYGINCQIQSQNFSYFSRNFAGY ESYNDEDKVYDPDIPNCALASLMTFAAYFLLLNTLIPISLIVSLEFVKVGQGFFMQKDAE MYSAENDKYVKVFSTTINEELGQVQYVFSDKTGTLTCNKMEFKLCVCGETIYGDLSMFNK QEITTFVGNQILRRQSTLHRRRSTLVNEKAGIEYAFSGDKIQQVIKETDPSKNPNIDLMF DKYIIRNQGDLVRENLMLLATCHECVLEQQEDKSFIYQGPSPDEIALVDAARRLNVVYKG ITMGIMEIDVLGEIEKVELLFSFEFNSDRKRMSVIVRHNGVIKLYTKGADAIIKARLSPN QKYLDGIDQKLDMFSRKGLRTLCLAMRVLSEEQFNQFSKAMNDALGSGETEKLQDDLINQ IEKNLTLIGATAVEDKLQEDVPETLADFLKANINVWMLTGDKLETAENIGRSCNLLQDNM DVFFLTPGCESIKIFNEVADHIINKSSTKRAIIIEGIVLATLIDDEKLINYLITLVPFLH TVICCRVTPKQKADMVRLVKNSLGKITLAVGDGANDVNMIQEAHIGIGIYGQEGMRAVQA SNYAIGQFKCLWKLVLYHGRQNYIRISEMILYFFYKNIIFTIPQFYFAFFCGHTGTSVFD QFFVSFYNTVFTFLPVVIRAIFDEDVFYTQKRKQTLLGSRRIKEGEQENDILRQNYPLLY YIGQRNTVFTSEKFFKWFFIGVFQGLACFFSFYFELNDTTFIKHTGLNNDFWFFSMSMST AIMILVTLKLALNTQFWTIITWVAYLGTSLGTYFAYMWVSNIIPQSSIYGTTRMLFSSPA FYLSLALSVLSMFILDLLMFTMKVSKDTLLNYMKRQARSSQNLELSKIKKLQNKNDDNTE LEIPIFRLQQQKSLINSSISGSQPIIQRNRENSNFYL >CAK68793 pep:novel supercontig:GCA_000165425.1:CT868063:147152:148953:-1 gene:GSPATT00007004001 transcript:CAK68793 MFQSMIIFNKIIQMMHYRSLFRFSHYPVLQSLCDRADPSLLANFELMKQSNERFLNITNK VINYGGTVAHQKLAERNKFPVRERITRLLDVGSPFLELSQLAGYELYGKEEVPSGGIVTG IGLINKRFCMIVANDPTIKGGTYYPITVKKHVRAQEIAWENKLPCVYLVDSGGANLMRQD EVFPDRDHFGRIFYNQANMSAQGIPQISIVLGSCTAGGAYVPAMSDENVIVSGNGTIFLG GPPLVKAATGEVVSAEDLGGARVHSFTSGLTDHFCTSELEALQKGRSIIKNLTTKQVGDI RDDQPLYDIEDLNYLMSSDLKKTMDSRHLIARILDGSRFMEFKENYGTTLITGFGELYGQ EVGIIANNGILFSESALKGAHFVSLCQQRGVPLIFLQNITGFMVGRKYETEGIAKHGAKM VNAVATATVPKLTLLFGGSFGAGNYGMCGRAYGAKFLFSWPSSRISVMGGDQAAGVLTSV QQQTIVRNGGEWNEKVEKDLKQKYTQKYDYESSAYYATARLWDDGIILPTQTRQTLGLAL LTSMQHYNYERTGHGVFRM >CAK68794 pep:novel supercontig:GCA_000165425.1:CT868063:149182:151912:1 gene:GSPATT00007005001 transcript:CAK68794 MNGRNMPLGHHYEYSPQVQQRSLATLKIIAPLCIVLALSSIVIGFVWYSFNGLTEYLLVG INNIAILFTCIFSLYWSFTSKVVVNEGIDIPEGHPSSTLPYLHSLFTQLVCAIEGGLVLS LIEYDSYNQFLLVLPLQILEIFLLLYMANVSRQFNIREYGIQRLIVYGTSTVNLIFLIFA AFSLKQVPLLSLYQVILQINSQLYQISATNIEVTLVKVVLFVLFITTLVVSMFNLKRIKI YFVTLAFLILCICILACCCNGLLVRRVQELEVELSTNKGCKFAMQSISEKSLNDQLECSQ KYFDLELSPYLPCEQDLQTYQWETNSKNTKLGCINSKCCNNVKQYVFNQINSLTIWINLI VMVGIVQAFNTAMLSEGSYKKFRMHIVGDAMVFIILVLLAILVVVAYNITPELSVNVQHS LVKQESMLNQITVLPQPVYKNFDKQERLVGFYNLQSCEPISSIMIQKISFKSTENQQGIT LAISGTNGQFVALKEYNTEKLKIIIDDEINKSIFSTQSQPFDGIIIQGEIDEVEKFYNNN LHYCSDNPLSADFDFMIDHYDIPQHHNRVLEALTKEQQQIGDQAFKFYNAQITIENSVDF SPIADALIEVYEGKFLSQSCQIIRQLENNLHELKTNEQGTAVINNLSQGYSYTVLIYKSG YKKSCSVLDLQRRVPKTNYIFRLTKSIPKHSVRILLEWTSKTLNLDLYSVFKVGEHSCIT GALSKSCGGMQQTTFSKNDQNIEVIDIYQLEPQVYTIFVKRFLTRSQALDLLKNPLVGQE WIDADPHITVYLSELNYPLIEFRLPQNINLQMDKLDLTWMVFKIDGQQSDGPQSIQKMES LITNEDIRSNTASNKPFWPEI >CAK68795 pep:novel supercontig:GCA_000165425.1:CT868063:151987:152833:-1 gene:GSPATT00007006001 transcript:CAK68795 MAPPPVPSNKPIQAPPQFQQQPALFGQPQTYQPYSTLAPVQYQPINAPLAYSVASPVQPV VQQVVAPQPLLAQSYVAQPVIAQPVQQSVHPNIKGESRIEYIPYQKAITEYEEQEVVQYV PRERKVTEYYAVEYQTEYVPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQVAQQPVQ VVQQPVQVVQQPVQVYQQPVQAVPVTYAPQYASPIISSRVIPAYQPQYQPAPQKVQPQAP PRSNLNNNI >CAK68796 pep:novel supercontig:GCA_000165425.1:CT868063:152953:155206:1 gene:GSPATT00007007001 transcript:CAK68796 MKRRQIQVGSPFDEAQSILGDPLWLVNYAIQVPNQPFQEPIQSNTQMNVPTIPKVKELAP IQEIDFREALLQEIDSIQKSEQQGAPVVQQQVFLTQERTAPQQSQPVTQQTQSNQQQSRA QQLIQQSQQLIQRRRQPKQQDQHQNDVNQHQQQSNPTQQANSFQSTKIEENIQQPVIQTN QKQDSQKLKEQQYESQQYQQYEQPQQQQNQDNHPQFQVEVPNDQLQKLIDPPITKANANQ NEQLKQNQVQRTRQQVPPPIKKEIQQQHQVAQNEVRQQIPQQNQQLLLNNQNHQNQSSVI TAQTFYHGLVSYDICNYESQLNQNQINSINLDTPLLCLNILVHSLRTEAQIIQDRCVTFG PPSLQIMPCCNQPLITLKSHSKRIVLCTQQSLNCLMIKVAKHLLKLSQAYERIYNQNDWE TKLLVSILEGEFINTKQQQSLIEYIKKRSYHDDQEAWLIRLAVLLKMIPIDQEYYSELLI QLQHLYSSEQIQARLLWLLLKMEIQNTQLDVFLLSFFTKFNYSLCHSEIQLLIGDSHMCI HNQQNAELYYKLSLLFVESENYDKALECVKFSIDLGYHSKARDLRERILQVIELKEKNKS NNNNSGLFGYFGQAVNAVKNIQKQQPQQQQATYQPQPQNFYYDKQLKAYVINGVPQIAPP EEEQKKEVVVAAPPPPRRKIDPPKPVVYEEQITQQTQEATEDPFASQSQAHQSEHNKKKN NLLRNRYVQ >CAK68797 pep:novel supercontig:GCA_000165425.1:CT868063:155215:156715:-1 gene:GSPATT00007008001 transcript:CAK68797 MNQVKTLYEDPNCFQILLWKRSDSDHRVYSYTDNDYDTLVQEENKLNGTAGCRAIQKSFP VQQKLRMGLPADEKIFYTDLIILQNRALIIRNDFTLQEINLSENQFIKTIQQKKIKIQQT STSHPIFIQNPINKRIYIISEGGGVSIPDWIPLDSTVFFINEEPFKKRSMIYDAFIKNDR IYVACGNEGIDIYVFKDGVLKHENVQLRIDNSHLDAVDISGDDENLFILDHNHGLYICDY QFKVKFRVPILKGSDFGHYNNTFFIIAESITRQDYAVEAFLNFTDNSFYINHYYLDDMQF YDVKVYEHFAVLIGYEVHKIIQHSIYSNFIKFTSNNYFEFPQMLQLKSWNNSVVGLSKKQ IRLLNLSIIPAHIECESQEMFQQYYLVSSYFQNSTNPFEATKVDQVFKIVIDDVSLLSKA NLILFVIAFIIIFIMVWLLIVGFVCYRKCKNRTEKLEENKNFLTKQSQIDKEDGPGQELS AL >CAK68798 pep:novel supercontig:GCA_000165425.1:CT868063:156823:157620:1 gene:GSPATT00007009001 transcript:CAK68798 MIESKKSELKNDLKETNQQIYELIEQANQQLQTSFNKREEELLKLYKQEMLNVQRQLVKI RDEESQTEIEKRIQKNREELAKERELYLERSIKLSDNIKELGKIDRDIRQSFNELQSEIK FLDEQLIYADKHNIILQEELNSLGEEEQQIQTQIRRQPKSMQQLQSVRLMPLPQIKRNSC HLQQEQELNAKLKQQINQVEEFQESELERCFDESLLTVTEQSEASTNIDLTCCNDFSEYS KRRIFEDFLEKPYVKQKIYELLINK >CAK68799 pep:novel supercontig:GCA_000165425.1:CT868063:157853:159397:1 gene:GSPATT00007010001 transcript:CAK68799 MQINTFSFKEQDSIKSFVEYYHIAYGVDTLSKQWLGSAFNSQDYKTARESTKLKMDQIEF AGLDNINYSLKDFYALAGKDNVKLQNVCMTFCSKNPDIKLTADMICLANFLLCFSSEASA FQLLNIIYRQKPPEEFLKSIVTCCVKGFNMSESDTIYLRQFLEARLKRYLLTFSINMFNF DTTLLLIQQLIQKYDYFIQGLSAIFMIASPNLKSSTHEELEIYILREIRRKEVELKLKTI QQPKPKQDSENKDRTQSIMSLAFSTFEQQDTSQINDLKTEISNLKLQLSLKDNEIESYKF QLSQLQIPDQQKKEKFLKQKCDEIAILLSRIDELTLENQVYQKKERTNSDFSLMYQQSQK IIEEKQKQINRLKDKVKESSLENRTMSLSLAGGVQGILSGKEVQELKQGFEEEKQFLTDQ IKQLESSKALLQKSLDAQKKLNQRVIDYIGSMTLNNKLIELQIKTSEEKETISYKDEVNN LIDIKNQQNQMLSRLQSTNLQQIEELKVKIKNLK >CAK68800 pep:novel supercontig:GCA_000165425.1:CT868063:159437:164289:1 gene:GSPATT00007011001 transcript:CAK68800 MQKREQINQIVNSLKYKFFKVIYLILKQWSNYQWITIIICLTQYLQYTYLNFGLKVQYVW RNKAYSDMINLLLKYFTITISVKNLGIGTYLTIFYFCLGLVLFSILLIMLLAFVIQNKKL QRGPLILLRLLLRIILTFGFWPIVRMQFGLLACEYNKKGKLIMMFEQSQECWTSDYYIHA IVAILGLIITLSFGVLMAFVTYESRRTDQDANAMRNGRSYYQLLIYIFTQIMTYQLLATP EYTILIIAVFLIGSSIVFINTHIDQPYYDTFIQKMWSILAGVNFWTIIMMFYSYLLDGKT FQNTIQAWLLGIPLIIGIIIFRQREQFDLMRANLRKFQDGGQVIKLCEYLLLLIHNSSKS QRYQLLIDSYIEVHKEVCNRNDCVVKLKEEMANKFKGNPKYTNRKNIVNELITQIYQESI KNFPEDIQLRLSYVYFLYDNKKSYSLIMSELVQAMEFKPTFDYEFHIYRYKLIIEEESQQ KAEQFDISNSTKQTKQLIEVLEQAALQMIEFWISMSDDHPDMNKLMSLGFKILKQKEEID LFWSKLKNSDSLKLFNLMAKFYLLVLDDQEVSDQLQNQLWVLQKIKQQEVTEIEEVCNKS IPTLVVTAQFQNFHIQTVNKALCSLLGYSKTDLIGRSVNQVIPEIYATQHNSCLELFIEN QAKYQQNLVTQIVYLQAKSNYIIPLYSNVKLIQTNNNQLYFIAQYQQTFSPKQQCILLLD MEGYIENITSSCIILLRLDIIKIQMRRINIKELFPDFHFRKQEFLQKSGAKLHLIPHGLK FSTRTVDTIDFQCYLNYIQFPCIQDEQLYGIVMRLEKIISHNASESILKLTPQQQPKITR FPIFQFIPPSVYYLDYLSVTEIEESMDLKLSQDNQPKLSKIVNYFCMVKRMSQSQKQSIH YDDGIRVKRLWDGQIRDLEDFDIEQQQLEDDEEEYLNSQQLIKEKDKEMQEFQHYFELFQ SKTSMYKLLINSNVSRGFQILNYLVNISLFVLYISGLLVFIFNLNDDLNVSNMIFNLSLN NKRLSTCLMIQTILQDVRLLNYGKINGDFDYIEQQFKKIQDLIQIHTSLMTSEPLINQEY LEYSDAYFSLNIELQSLDGSKKNYTYKEAIDLLIGKALYLNSGNISKFDDSDVDFYFYNY NTINSITKNYQIPLNYRYYSIKSVAQNSLDNKLIFLILQTLLQLIAYILIALYLIQFNRF QHQIYQLFFEKCESFLSFLNIGEDENDEVQDDKQMKYTSDQDENKMMNNKQIKNWKNSNK KFKNFMGVVFLIFAIIEGYFIYQYISAQGVVEATFNLSPILNTTSLLESQYRLGDNAIRE YLVNPNQSIYCNPNPLKFINNYFDRLYETNADVQTKFTQNLDLFQPDYINLFQELFVKNP CPTVGILQDFITEDYCNTFFNGVVSEGLSIGLTKYFESLELFLLQYQSYQLQEGKDEIRN LVIYLLNTTLSVEIRTMEKVVIRYTQRYLIDQLEQSIKDSFSELSLTRILLFICFTWYIL FITFFLWIPANQALIKQIQKSRTLILNMPMSTLMNSALVRRYIRQVLKN >CAK68801 pep:novel supercontig:GCA_000165425.1:CT868063:164492:165164:1 gene:GSPATT00007012001 transcript:CAK68801 MFKNSFQSGFLSILYSIGSKPLQIWDKQIKNGHIKRITDQDIQSSVLEIMGTNVSTNFIT APADPKETLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT MPMRLDEGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANCRIRRIYFSDRLYSEEELPPE FKLFLPIQKQG >CAK68802 pep:novel supercontig:GCA_000165425.1:CT868063:165203:166156:-1 gene:GSPATT00007013001 transcript:CAK68802 MSKLIKLAFKVQYFATRVQSVNSKAKAFTAIDFYNNLLLNTPTNKNGRYKFETSDVGHFV NMVEKDNIKEAIEGFNNILGHNNQVHCGIKDKFMLKCIDFDQTKYVMDALKFHSAIRYFP HPSVIMTLAKKLDDEGLIELFKILKTCPFLRIDSANLSSLIKDDPKISYEVYRVALQKKI KLQPKDLYRIARQFLSGNKVRKVGFMTQFAQENGNENDIYSSLSVLFTHVYLTNKQQAIV ELAKVIEHKDGLTILKEEEQYIKPLLEILQKQVENDEKWKPRLDELISKLS >CAK68803 pep:novel supercontig:GCA_000165425.1:CT868063:167084:167612:1 gene:GSPATT00007014001 transcript:CAK68803 MGSKAENQIEQYSGQDFGDKISFTVGVSYMLASSIGLVKGAIEGFPRSLNMPKKLILNNF FNAVGKRTSSYGQAAASASMLYYFVGAGMNLLFEDELADINQLKKNMLCGAISGAVYKST LGFVPFIVGGIVGGGLIGSVTLLVENLNRKGVVAFEMKF >CAK68804 pep:novel supercontig:GCA_000165425.1:CT868063:167913:169648:-1 gene:GSPATT00007015001 transcript:CAK68804 MQTIENYQYDKKGFLGAGSFGSVYKAKNIKTGEIVALKILDMKLFQDQFMIDSLKNEIKV MQTLTSPNVVRMLDVFGNKQQTYMAIELCDSDLRSVMHKKGHIQEQQAIEVLAQLMNGFK DLVSHNYIHRDIKPENCLVKSNVYKVADFGFATKVDITGRQLLRECVGTPIYMSPQILNK HQYSAKSDIWSIGMMYYEILFGKTAWSCRDMNSLLRSIKTQPLRFPYERPISENSKDFIK KCLMIEEANRIGWNEIFTHPIFTMKQSGQMQQKQNYELPQQCIKILRKMQEVVTENNIDP HFIFQRFDKDKNNILDAKEFKELILAVDPNTTQYEIQTLFTRICGKDQIVNYIEFQKLFT EFDFSDLNDRAGHIIKDIQAVIKANNMNITQIFNKYDKNHQGDLDYQEFYNLIKVIVPGI KDYEIQLMFTKFDRDNNGAVSFPEFQYVLSKGTGQTQKDGITQRASGVLKQLQNTIRINN LDISKIFQRFDKSGDGAIDQEEFFQLLKAIDGKISRNEAASVFHVFDKNHDDQISFEEFK SQL >CAK68805 pep:novel supercontig:GCA_000165425.1:CT868063:169726:170882:-1 gene:GSPATT00007016001 transcript:CAK68805 MKQIAFRLNHICQAQFGLRTRAGSIIKTINPEKKTREQIRLEIEDPFQAERLRQIQLEED AKNFKQYIYNLKQNDSNPNNFIKVKVKNAEVTTTETKTETDNVTPFIVKKVPKQEKVLIS GVRRKVPASLRRLIPICRPLINMHLYQAQEAIADSGRKAAQFLAKTLVMVRSHAVQRGYD PERLYVQSIQVGRYKKFRKARFHAKARINPAFRETSQIKVILEERPTKEMFKDFVQGKTP SVISYLMKDQLVREKADYAKIRRFQLFLTSKGRQQQKLMLKRRAQKEMKEKGLSFKYLHR QIVEQEAEQLAENYDTGKSGLHRFNLEARQTLYKKNEEINN >CAK68806 pep:novel supercontig:GCA_000165425.1:CT868063:170883:172026:-1 gene:GSPATT00007017001 transcript:CAK68806 MDLNQNEDVFSAFVDEYQKNFFDMLFRFESKAEPIKEAWTREDGRGHGLTCVIQDGNFFE KAGVNVSRITIPLSQGIYQQMKGRRVPGSNLDQIDMNNLAQYDTYANGVSLVIHPINPFC PTVHANFRTIRIKERETGKLIDSWFGGGSDLTPIYLFDEDAKFYHQNIKDAIVEVTGDDK LYRQYKKECDEYFVNHHRKEARGIGGVFYDDFNLESFEKGLQFQKGVAYANLNSYEAILK RRKDTPYNDENVRWRSFRRGRYAEFNLIHDRGTKFGLMTPDARIESILMSLPLTARWEYN YHPKEGTEEARIVGVLLKPVEWV >CAK68807 pep:novel supercontig:GCA_000165425.1:CT868063:172137:172560:1 gene:GSPATT00007018001 transcript:CAK68807 MKNVEFFSSDQNQIKRYRYVTKFFNTEQKQQVNMKQTQLQMNQKVNKNQNKINLNLKEQD KEMIHYYKFIEAEEQIIIKIQKQNRELRLHISYFQFQNEVKDDESKVKEQSSIYLQSNSN KYDNQKKLSFQNK >CAK68808 pep:novel supercontig:GCA_000165425.1:CT868063:172736:173937:1 gene:GSPATT00007019001 transcript:CAK68808 MNDGEQQPEKGPQTEQPQLGNTNQMQQENQNQIYTFKAPWLIYAMGFQSKPTPQSRIAIG SMIEDIQNEVYILQLDKEQESFFKKAKFSHRYAPTKVLWIPDVEGKYPDLLATSGENLKV WEYDDQNAQVKIKWDLKNTSDFNAPLTSFDWSCKQQNYIGTASIDTTCTLWEIEKQTVFT QLIAHDKEVYDICFSVDHQIFASVGADGSCRQFDLRALDHSTVLFETENNNPIVRLAWNK MDTNYLAIIEMDVNYVTLLDTRQPLLPLAKLKNHKDYVNAIAWAPESTTHLCSVSDDSSA LIWDFSELQNKQNDLNSIDPLLEYKAENEISNLSWSLTKVDQVSICYNKSCQILNV >CAK68809 pep:novel supercontig:GCA_000165425.1:CT868063:174596:176284:-1 gene:GSPATT00007020001 transcript:CAK68809 MSQDLKWKQDRLVIQSQFKKENDKTCHLLGFNNYILYMKDHQKGPKKYVPLDFEIKFEIL RDKVVKKSENEDSLGQIKGICLIKDIGNDKILQERYYGEENLIKQWREYLSNRINQWQFH QMFRVYKKIGKGNFASVYMAQNIENEKNMAIKVFSKQVAYAEEQGKKAILNELQIMRQLN HTHIMQMYEVYETQNSLYVGLELLEGGSLYDLIKEKTLLSTSQIQQIMVGVLQGLHHMHQ KDIMHRDLKLENILFKHQKKMDSVVIADYGLATKVNEPVYLYSRCGTPGFVAPEVINLKD QKAHYSEVCDIYSLGLVFYILLSGKQAFSGKSYGTVIKQNREAVINFQIKQLQQAPSSAM DLMRRMLEKDPNRRISAADCLKHPFLAEMNNYMADDSVNDSIDEDNELGGVASRMNALNE EVIKFDIFRRNAIMNSPGSPGIVETQQLKQQKVIDSVNQIQMNSPLLNGKIQTIDSLSNI GTPKIKSSFFQSPLIKHSQFKQILPQQQKQDHQFTQQKR >CAK68810 pep:novel supercontig:GCA_000165425.1:CT868063:177051:177913:-1 gene:GSPATT00007021001 transcript:CAK68810 MNISGIVDWILLHPIQYPGRHNHQQQRTLSIYRDLTTKDQFLLVINSLKELLQDCYSKGN SLHIHNFGTFSFEFIGPTSQAIPIKQSTQLKQLPKLYQNIRPCFIVDPYLQKQLSRYSQK DEINKSRGVGSIYNQGHATQFCNPQTLSNMCYVDKLTIESILKTFTQAINDLIRMGHDVN LDFGLSIINVKNKNLQYMFDEKFVNFMNQPDFTDNFLKSKPITCNFWKNEKSIQDKNLNE IYFAPCSPYSLVTNQKTKNLMNIKDMTSVQK >CAK68811 pep:novel supercontig:GCA_000165425.1:CT868063:178780:179685:1 gene:GSPATT00007022001 transcript:CAK68811 MGRGKNFFKVKKYLGRILLVLTQVIFVWALYTTSFYENSSLSILHYLRASAFCFCLLLQA CQIRASISDPGEVRQKSIPLKLLLFHEMYDRKCRQCNSWKPPRAHHCKRCKKCIFKMDHH CVWINNCIGALNQKYFVLFLFYLLLFILTVLGIHTIGICDYFMRSKRKILSIIMTMTITK FQIYSILVIIFCFTIIISQMLLNQITAIRDNQTAVESIQDKFGRQQFFVNNFKQVFGDQE WYHWLLPTKPKLKLNYAEIVYAQELDNFDTEFVEDILYDETNPANIHFAEFMLDNYSKQK Q >CAK68812 pep:novel supercontig:GCA_000165425.1:CT868063:179719:182024:1 gene:GSPATT00007023001 transcript:CAK68812 MKGGGFKVRPTTRCNSAIRRPAQELAILDLYQQTTINPVPQLILTPTQRSLRPRPKTGMR VTDQYCTVANQENKTEHFLRHKTAQGSYRTTKQSTKIQLETLADGLLKKTSITQLDTDVP QQCEQKLKTQPIDLFKISRSSSQQQVNANTVLHQQISNLDRYLILTTLGQGSYATVKLAR DKLTEKLIAIKIYSKAKLCNQQRKQQLKREIHILKLLDHSNIIKYVNTIETQMDINLIVE YGGSKSLRSYLKQFPNRRLDEEDAKLLFRQIVKAVDYCHSLNIVHRDIKLENILLKDNNE IKLIDFGFSVLVNRDCKLGVFCGTPSYMAPELVNKQDYFGKPVDVWALGVLLYVLLTGHF PFKGSNDSDLYGQIKKGIYQKVNASPQCQKLISQMLTIRASERITTLRVQKKQNYRSCKT DGSILDFNQFMQYKIRLQVAKGLQETYGSKQSQSSQLPDNQVQSSQASSSAFKTSIPQFS KEEIEKHDQTLKEQTQIYNERKKRNGQKFQRLTKEQQLQLVKLIKSDPQFQNQLAEAIIK HTEIAQFIKKANTLKVMKSLNKTVIEFKTNMTNKIQAIFLNHQNQTYNKLKYLLKEKEML MIKVLEQKLSTYVEIKQNFKEALLAQLKKVLKMQIEENCRKYAKTLSSQLQLMYEGIAQI IGQHVELNKDSRFEQELCLQIQKVKDYFATSEQQYIKRLQTDMNDHYINTIQTIIQVQQK LKLN >CAK68813 pep:novel supercontig:GCA_000165425.1:CT868063:182206:182839:1 gene:GSPATT00007024001 transcript:CAK68813 MSGQIDSEEALQKSKVLFERKRLVTISNALQLMEKNAKQYLEQFEQSPDYRLFRTQFRQY QHTSQLDQIVQFQLCDLSDPDISFYRQAEKKILVCYNKIRDYAHFQQIMKYDLTFLYDDL RAKIDWYDCSMLSCMKIRGLNISGRCKQSDKQCFIDEVRTSLERSEVCKGKYDEYFEKSF KQCVMDIAPINSVQQTKKTIFF >CAK68814 pep:novel supercontig:GCA_000165425.1:CT868063:182939:184209:-1 gene:GSPATT00007025001 transcript:CAK68814 MSSGEVPQEKKTLFSTLVQKKQAETDAQKIQNRILQLKMEHEKILKHIQQDEQRAEEIYR HRVEIQMKKENKMKQKQAQPPPFSLAVSHHQRELLKKIKEDELNKKKSEAKIFREQLKQE FKSVQLQKSLEQEGCRIKAIQVKEEERQSTQLAVAKLKQKRERVRYEIENEKDRIGKEKE LYDLKIQELEQLEQLAMMELQNSIARQQKAQEKIQQAQKLSPSDYEKQQGPHNDIYQYFN MLNESLESDKELDPERERQRLMLKRQKSIEESLQLSVKDHIIKLENYLVELKKDLENSKK EMSNLRMDIATVKNTHGTMSDDLTTFIQNDAKRVLNETLKKSQDAQHDSEFLDAQLNVLK SDKDKLQDVTSTLEKRIVSCETDVGFKHVYD >CAK68815 pep:novel supercontig:GCA_000165425.1:CT868063:184348:187118:-1 gene:GSPATT00007026001 transcript:CAK68815 MLFNLPLKQTRPADLLKPVEQAILNCYGEPTLFTEIRDVVEKTQKLRQILDYEKINLSIA TDPLTSENLENNLLEYLKNFSLLFKHLRFEQGDQRSPQVMFNWSDSYDHKRSCQLNSGRL ELISQYYNLIIAYYYQANSKIVKDTDPDRKAAYTKLRNGLWALEQLKQNIHGLSKDSVSQ LYDIQTLNLEFLEHAFCGLAYKALYQNMMSQVKTFGLNNVCGTIFEAAKEFTIALKAVEG MNSQYQKQIGKNVLSQILPTIQFNQIWTTVTGCIQMGVFHYGKISDESRGQNMGKALGFL THAQQLLLPIINDKNASKTFPPDQLDQLKQLNQQLTQLFTEYNYKNQQIYKEQLIAQDLL PYPPLMEQIRLKPLEPPSFKEPVYGANKFSGFISEEALQLSREIKMFVEQTKFSLEESLR LLFDQKNQAYAETYVTYFIDMAQQKQLDQGGIPQGIQEKIAYIRTRGCLSGIEKIMEQSK QASITCNQLLGQIEQLLLGEQQQDQENRTKYGAKWTRSPSTQLNQQYFKSLQDLKGKYSL AQKIDFDVISGFEKCKETVILAAQSDQVIIQKLPKSNNNSDFVNQNAQLFGQLTNLDQQV NSIVDQLKFLIQQYNQAMQEINVSKIAVQGLNDGVPKNQIFNAALQNVQGFIEQFNNDMN QVSELISQVCGIARELSNFKKQSAEQVQQSGQTNIFASAQQAIDNIDHSVVFYEQLKMHL EEQDRKVKDFLMARNLESEQLVQQFNQQQTYQQSQKNQPPVQPQQPQQPLQQSGYQYPPY PNQPQYPQYPQPNYPQQSNVINHPLAKNPQNQPPQQYPQQQQYPQQQQYPQQQQYPQQQQ YPQQQQYPYAQYPQQQQQNYGLWGQQQQQQKK >CAK68816 pep:novel supercontig:GCA_000165425.1:CT868063:187757:189322:1 gene:GSPATT00007027001 transcript:CAK68816 MFQNQFQNTPLFEIFDSKDVLNKANKEKEKHYQQLLKITTNSFVKDFDKQLKNYIIELQG QNTKVHFPKEGDLGLVQQFLCLQIYVPQTQQWTIEFSITDTSKTKRHVYLTQNCKQIEKK PFHIRYPIEVPKSIWLNLQIDLHSFIQGWKGMTFRSLDLFVIQSPCKLRKIFTMKQADTD GSIMGKHGFPIGFEHQNLIIQYQDNNLETSQDSCQMKITSKKATGFSQQSQQSQQSQKQQ KLTQEKITRANIVYDDHPTPKKKTQQPQQLSQQQKQLIVTSSSKSNQQDISQNEFDMSTK LSVRNNKISQQADSVRRNDKSVQKHRDLSSSKKQQSNARMAHSFPRKPPLQEQLNQDYYI KTQKFTTFNEQKQKDDEIEENIEIAVEQKAEEIIEESQKKIITANNFNKRSLSELKGESN NQRNDQQFEDSLEQPFKNSVTTFAQEGYYANQLKKYTDLNRPFTPEYNSDFKFGQSNYQQ F >CAK68817 pep:novel supercontig:GCA_000165425.1:CT868063:189329:190628:-1 gene:GSPATT00007028001 transcript:CAK68817 MINYDAFKKTSIILFSILQFQFDIMGLCISTKEGEQQMNDEFMKAPTATFEQIEETGEQV FVDDNREAIVKLTDFQFEKVLGRGSFGKVMLVTHKETNKLYAMKILRKEMIEKRNQRLHT QNERAILENVKNPFIVQLHYAFQTRTKLYLIMDFLIGGELFFHLRRAFRFQEERAKFYSA ELILAIEYLHQRDIIYRDLKPENILLDMEGHLKITDFGLSKINLKDDEKTNTFCGTPEYL APEILLGGGHNKCVDWWSLGALLYEMLAGAPPFYSKDKTQMFKNRLEKPIEMKDWFSSAA RSILNGLLQNDPTKRLGVNGSQEIRDHEFFREIDWDRLYNKQIQPPFKPKVFGHKDLRHF DDMFTKEPPQDTPINKLQKFETYEQFTFIKTNELKDSNQEEEKFIEVNKNFILQKI >CAK68818 pep:novel supercontig:GCA_000165425.1:CT868063:190879:191986:-1 gene:GSPATT00007029001 transcript:CAK68818 MATNANGLMTCCQDFGVYCITLWVSEVIALAVLAVGICQLIRRKKQFRQAKKRKNVIYIL IILCLTCNILFNVAQKTLLVFYFFQLYVYYAFLTYIVFYFARKTVKIKTFQPHKMLQLKI YCICLISLFTIFLIWNLYDYVSNISKSYWLCQKQIFHIIRMIGFIVDLVLIAVAHSLNVS IKKSLAIKSTMLNHFVNFEENSRKINRQRINFWVLVCVTFLGQLLYFIEDIYFLAQGPTE TCTIYLDIILSEAYTFQYILLLLIVLVQVQLPFSITIYVFWFQEPTTKQESKSIEFEEDY FKTIKLQAKTDSNSSEQITTLKE >CAK68819 pep:novel supercontig:GCA_000165425.1:CT868063:192037:193325:-1 gene:GSPATT00007030001 transcript:CAK68819 MNQPFTAEIKLKSSKERGYFDQLVDAVVRPPRQIYDPSKLGPTTMLVNKLLIYREDFVVF SRQQNLKLQCSLYSPVYLKDKARPCIIYLHGNSSSRLESSCYANMIAQEGMSLVNFDFGG CGISDGQYVSLGWYEKEDFLNILKYIKEKYPLLGPFGVWGRSMGAVTAIMAAAEYPELNT LVLDSPFSNLKQLCIDIGDNFHVPTFGVRFVFYLLRKKVRKLVRYDPKHINTMQYIRKLS SKCAAYFVRASSDKMIGKNHIEDLYEAFKGEKYIFTFLGDHNAPRPVEAYQGIMRFFAAR LNKSNEIQKVNLSRKSSGKSHKVEKGYKSQRSNESDVNYDESDDENAKKLNNEQYITEMK KPQLLNAFLSDSDIDSQHSLDEDLQEHDLPQFQDIKTKLS >CAK68820 pep:novel supercontig:GCA_000165425.1:CT868063:193358:194779:-1 gene:GSPATT00007031001 transcript:CAK68820 MDITFNQLEVLQKIILERSEDIRLLHAINQQVLANQIFFDLEFTKVQQSLRQLGFKIIGL KKNDKQRIEEENKIIDALSKILMVNLPERMQIYRFSQTIIKQFRPEMHIIRFNQKDTKDL FSMFKQFFMAENNFDGIHFVQQNQESDFKMSTAIVLIKFLVSRSREEFQLLIQSASTSVI GKMKQQLEKKTDDTTNESKIEKTEPEEVKPKLLLRKKVIIRENTQQQMKQPEIINRSVSV TDKLVMYSQTQESNPNPEKELKYQLRLQQRKLRKDLKNIYQPIIDLDTDHMWCSHAVLKK KFMKYPEKYFLKRGAFYIWKYLNNNNSDIRITIMNFAYRRAKLVIVDKILQEQIQDLHKQ YNTTGQIPRQSLPLLVSCQQTPKILKPQIRLQQQYQVPNISQLPMLRSQHQQLKSMEFYE ATVKDQKAHKSNKSLNLTQEQAKIQQKIKLQSSKDLI >CAK68821 pep:novel supercontig:GCA_000165425.1:CT868063:195747:196754:1 gene:GSPATT00007032001 transcript:CAK68821 MARSYSLMSFKITKVISTYRASNANTRITCKGTLIQRMFIKEIIKNYVKYQSSLQLDGLG ALRNLIKNADDLSININKAQNIEFFVGSLSDGHGEFGSQISSYLQNFIWRNQFDLKRKSK QDIFQLKLINLLQQIELKLTAKILFDCKLSGASLLSEIIVSNVLYFSNWETLKLLTFINQ SSQMRIQKIQENLFKIQTKYMIQIAERKQIGQGGREENRLGHLKGQKEGSLKIGCFGNSI GKSIGITAKNDFKEFKIPKQGYLLMASTGLWEKLDILLIDKILDDNYPPQNLG >CAK68822 pep:novel supercontig:GCA_000165425.1:CT868063:197180:197593:1 gene:GSPATT00007033001 transcript:CAK68822 MQMFMKQELFQQKQQIRKTLSNGSTLQTSELPEYLGVYTIAEENEEQAKFYSKQPTPSYV HYQNHTKQVMNLMKNTHKQLSPVIGTKKLEANLVGSIDFNQFTRLMKAIYYPTKQNKKQD IIKKRAVSEKIFQKQTQ >CAK68823 pep:novel supercontig:GCA_000165425.1:CT868063:198178:199635:1 gene:GSPATT00007034001 transcript:CAK68823 MNFLRSADFFGIPFVQQIDLKQSIYKSAFGGFVTLLIFSASVAYSIWVLYLWQINQLSPK ISNSIYKSDFKLLDMDYDIIKLYFWKFDQEMIDPFEEKILIPLVMYTTNFSFTDLEPLEM SNQTTIDGNSKFIIPKMRLAFQEFNGEIFTTTEMYIQIVKCSDIYLKPNEKCASQELIDK FYKQPLNVAVLQIHYKSLNSNDCSEQTSVQEFYIQLEQQNCYTLNTFLQSSFYEIRDSFL FGSPKYVEYINGALIQPQTNSIEYCRLAYDNDVLGLIYIVMKGNQVKTIFEYPHAGDLLA NIGSIVSVLFMIKYLIIVINQYFLNNQIIKQLIELYYPEYKNITIQKNWKRQIKLVKYQN NQVDLKEFQTFNEKIYDQMKSKFSFINLLYEISRMQLLIRSTKSREEFRKSHQIGIKLNF SNDKDFNFSAAKPSYTQRACSNPLYLTDEEVDILSLNERSREINYDKIPDELSEQNDFYE INKIT >CAK68824 pep:novel supercontig:GCA_000165425.1:CT868063:199938:202079:1 gene:GSPATT00007035001 transcript:CAK68824 MTPKVRKGKPHKKASPIKSKDIKIIVIDSFKREQKKQEVKKKGTKKQLSKLQKFQAQLIP QNNVDQIRLQHISSKIQQNYRTQNQSYLLKIKNQYDQYQSLILKYTLLTELDDFCHTHDL LRKTIINTFINQIKLSFNFQNKNQFQVITIDQMISIQLKINAQSYQLELYNLLKPIFRSK TVDQAFIKLKIMLQQRYIKKKLEEKHQVFESLKEQSLEKCFDNEMGNLHFSSLNYAQLLE IQQKQELIQNAKAKQDQPLILDSFFKNSNLQNPKQQLSSIRQFLIKPIAKQQIEISKISV KSRNQSKESCYSRNESYLMPFQSNIQNKKAQPYQNLIQEFRQQNKQVFQPNVNQKLSRAQ SMNTSFELPFDFESQTSCTPANAKKTMLVQNGQNNKIGFEVGCREIDKYSYNSRKQVRNP NISVNRNDFNQSQQFNYHNRSVDFSRQLGLSNLYNSKMFNVNNSQVNGNSNLQFSKVQSQ NANNDWLIESPQGRSVLQSQNTWQQYNWIIFTNENSNLRFNRQINIQNLKLLNVSIESTF FDDFEYILLSDKFKILIMEQKDLVCPETHMRLGKGDENGAIQAMINKMKLQMHQFMLQYN SRQVIVLIQYQHQFFLRMLIEYIFPQLGQLQEQYRITPFYQIEELQEIIFDLIQTQENIR YDRLIKVSNDLSKFRSKIQNIEFSNLVTMRCLNQLYQTLVQQLTN >CAK68825 pep:novel supercontig:GCA_000165425.1:CT868063:202382:203586:-1 gene:GSPATT00007036001 transcript:CAK68825 MSSYAKQIQTFNSGTFTTMNEFQFIQKLGNGSFGSVNLYIHKPTQKKYAIKIMPGETITN QCESEGIEREIRVHKKCKNPNIVQLYDSFYENDSIFIVIEYLENGNLFTHIQKNPPMSEQ EACKYFVQTCLALQYMHQNNIFHRDIKPENLLLDEKFNLKVCDFGWCAENIHQKRKTFCG TYEYMAPEIVMEVMYDYKIDLWSLGILLYELLHKYAPFKGKDFKEISVAIRQCQPKIKPS VSKEAFQLISQLLSLDPNHRPPISQILTSSFVKKYSNMLNLVEFQENIQPRSPLRSYPSQ QQLRCGSPRRRITEYNIMQKPEQRVRMASPKPMDSQKLIQKIYVSPARRIQPKGRENVPV KTGPIDLRGREATKIIYMNLCNNIS >CAK68826 pep:novel supercontig:GCA_000165425.1:CT868063:204165:205884:1 gene:GSPATT00007037001 transcript:CAK68826 MNEHKEVIRKQIGDYCFDMNDIIGEGYSSKVYKGIHMVTQQVVAIKVISLENYNSPIQKS LLQNEIKILLQIDNPNLLRVYEISRSANNTYIVSEYCEGGNLEVLLKQEPIKINKAIDIL TQICKGLLDLHKKNIIHRDIKPANVLIQDGIFKLVDFGFALIENQYDSIIKRYNVGTPMY MAPESQLFNQYSEKSDVWALGVMLYEMLYQTAPKFKLKEMTFLQEIQQKCQTIDIKYQNL ILKLFEGMLQLASEDRITIQQILSIIQENQLSQLSAQKTSSQLIKLSQKSVSTSINSPLQ FKSAKTPNEIRKKYVQKLLSNNKPLSFDDQKLANCLRVKTQKEQQEIEITQFTSDDEYPG RNLKTSLNQPASKYSHKKSDSDINIELIGQISTDCSIQKSPKQQNLLKQVNQDVDIPLIL TPTYKFCEFVEQAILNFPNQDNDLMSKFQFLLRKLLAIKAKVFYTFAPIKIKSQLDNWIN QLQDYYKKIQHQINLNLDKTFQIFFNPNLEDQGKLLTMYIMNLANQMNSQSKEIQIIIGI LEENLQHQNDPFLFARKWMFQKS >CAK68827 pep:novel supercontig:GCA_000165425.1:CT868063:205977:207556:1 gene:GSPATT00007038001 transcript:CAK68827 MDSNDQNDLKKRLELKRKILQEQKRAKEEEQKKKKEDEEQSQQMKKQEQQSSENIVKKQS DNQSIIDDNLKQQLNEQQKQKEQQIKSEALRKQSEQSNQIMSLQKKENSQSTQNNQKPQK QDDQKVIQITNKQINNQQKTFASDQKGKNKFIEDNNRNQKEELQKKNDEPKPDQIRQDKE QQVQEENKKQLLLINKKTDNEKQIRDQKHIKEQDEKDKQNKQRLESEKIEKDRIDREKKE KERLEKEKQERLLRERQEKEKQLERDKQEKDRQLREKQEKDKQEKMEKLRFEREKQEKLR QEKEKQDKLEREKREKQERLDRQERQERLERQERERQEKYDRERKEKERQERERQEQREK EKQLLNSKRQLERTQVSQKDSDSIQTKKQTQERIEQEFDNSQQQANCNIYEEGEIVCPQR TTIVSNIPLSKNVEQQQPIIENRIRKAGQRHCKIILQDLREKISKYNSKDNKKKQKRLKK YKKAVFKFLQKLPEINDQQENNTQRFLEVFNYFDNII >CAK68828 pep:novel supercontig:GCA_000165425.1:CT868063:207655:208645:1 gene:GSPATT00007039001 transcript:CAK68828 MAKEFQVLKLNSPINQKKKSTQLCFNSLGFLHSIQFVNQMEKSDELKQSHQDLMKKYYQS KTYEQKQAALSTIQSQKTLTQKFENINDKLNLKLNSNKQREKENQFFRQPKISEIDQSIN QIPKEKPFFNKQIDIIRLHNLKEYDKKSNTQSKVVSSNTALMDKRTLDPNIMPLSSLVRK SLKQKNQPQLLQLSSNTLFLKDEISGKVCFKQKSQSQQEVQRHVQDDLEDTLVQKDEIEK EINNCSPQFSVKKCFFSLMQGCVVLEQQNSKTRSLSNEKGVDIKGSKVSKSNRAHTQGKQ EQKQMNRLYEYILEGNC >CAK68829 pep:novel supercontig:GCA_000165425.1:CT868063:209116:209720:-1 gene:GSPATT00007040001 transcript:CAK68829 MNQISQNILVQKLIDYKLRHIQKEQKQEKGQSLVFSTKDAHIIKIDILFKWSCIFDSLKI EINSKLTESIHSSGYATVSDLLDLLSQMFRIIQQYEQQACHLWSKNLEMLKQIKIPILQE NEDLKLAVETLKQNDIQNSVYGQLKEYEQIEKPKPKLIDLQHINRDSNFQEEFMSKVNEF SLSWRQEVQQLKQL >CAK68830 pep:novel supercontig:GCA_000165425.1:CT868063:209868:211178:-1 gene:GSPATT00007041001 transcript:CAK68830 MNPKQLFQDKYLQIRDFLLSSSDERNNEQLIDIRNILESFAFFIQFTKYYILLCFSTKSQ EFIEMCRYLQLEVYDQEQTLFRQGDPADKFYIILKGEARILIKSPGLDEIKQVGISGVGD CFGELALLFNSDRNATIVVGKQTELMVLHRNDFNKYMKFHSSEQANQAYNLLNVIEPFLS LNFPDKIRLASKMEYRTFQSNYAFINQGQTLNNFYVVETGSVKLYRTIPFNINKNGLIQF QHKYDPNKQSRNLKIEIDEIGPGKYIGDYELQHYRPSQYTAVTGMQTTCFVISLIDFNLM SQFQLAEVCRNSKPYPTDDHIREAFLDGIKWRIFRKQMVSKLQLELKTCSDVRDPTTLPK FQYQKDLRQFALKTLSYDKLMDSYLNSNGEPVQSQTGSMVFNEIKQQKSIKKRETLLYQC >CAK68831 pep:novel supercontig:GCA_000165425.1:CT868063:211194:213011:1 gene:GSPATT00007042001 transcript:CAK68831 MKNNTDFIQMMLDPNLSKEYIKGIKGDLNRNQSLNNKLNIQKLRKFYKTLNNADLLSYCA NKFSCIHRAVRDELRDRCNTPLTQFWPILANIIQNKEANPKYYTELLNIKDFPKYFEDTI QKDVVRTSSNQEMQQKLTNVLTAYVIRNAQIGYCQGFNYIAHYLLLNQRYSEEDAFWFLC HLFETLLPVNYYNHLFGVLSDDEILRQILQKEKPHLMEHFRNLSVETSLFTIQWLVCCFT FHNKLTDTIFDLLLIEGSKAQIKSALVYISLLEDSLMQTNDIGSLIMTIEHFISTFQDRQ IFIEEYNKIYVNNKLLQNIRQDISNQVVSTVQSSDTKRVTSLNGFLEDLKKQKCQVTHPI CIYELETWSRNKKHMDNLVLRVGLLNKINIENKRQSLKNPERLNIQLIVRQNHICDKDDG FLVRALQHKDTYDIQLIDLGKKIQQTQVPNSPRGRSPFKDLLLTPIKALNNAQNLGQKLR MNPIIYKIDNLPLSSSTFALPVNVRKKTQGWKTQRGRSPEQTKMLLRKMNVYGSPKRKLE MNISNNFINQSTDVSQQYPTTLKECKSIKFIAQLSRNTNLINIDFYPDQQ >CAK68832 pep:novel supercontig:GCA_000165425.1:CT868063:213069:214312:-1 gene:GSPATT00007043001 transcript:CAK68832 MSNQDINDLPALKVNVPSFLMKTYEILENSSLSHIITWNQEGNAFIVLNSHELSSKVLAN YFKHKNYPSFLRQLNMYSFKKTKNHYGQSEFRHQWFRRGLKSMLQYIRRRNQDDSENKIE IKNTNIQKIDNYKQEHDEMKKVVREIQTTQFQMEVDFSASMEQNVQATRSSQTILVQIKC NSNSIENLIVFPCSWLRLSHTSPIQVTSSKIYQAYQMGDLYKYSCEEPSPSRLEHSYIVP YNENNTQYSNVGSPYHQYSCNSPIHLLLSRQNQCNVQKQILEFQDYCFQFDPNLFQFNRS TQQQQQLALPAPALNSYLRIHKSITIEASNNYSLQSSSQNSPYRIASPIHSSSTDSKNPE RFNFYQQA >CAK68833 pep:novel supercontig:GCA_000165425.1:CT868063:214440:216699:1 gene:GSPATT00007044001 transcript:CAK68833 MNEALKTIVKFLEHSGLQQSARKLKEEIIMMKNEIDCEKKQQQMLMKIICQALDSKKKEK KKPIIDRQAVRRKPKVFTQEQTEQIMEKFMNRLVSKPNLLEDETLNEKIERYFDNATFQK MVDQADVFHDISNTSISNSILKKKRTETSEEQQQAPCFGNCNKYKIQENDQIVFGKIQQP QKHQKQQVLEKSPSVDELFTEEDVSRSAARHQQFEDLKVDATIPLSFYKTLSRLYYMIWK KIKISQMNIMMMTILDLNSMKLENRISLKRLKNWAEKFGFPQRAMAQLKQKKDEVNCDDE DDSGVLLKSQQKSQEESRKGYIYLPPTMKHPESTDDFYPVEFDRVIYDCFNLKVIFDRER TGFEETKDFPIVINSIIAGRYQILEYLGSAAFSKAVKCLDLQSQKEVCMKIIENNKDYMD QSIDEIKLLKYINCNGDCDAKNVLKLYDFFYHKEHLFLVTELLKDNLYEFYKYYKETEKV NYFTVGRLQKLTKQILIALDYVHSLKLIHCDLKPENILMRSISKCECKVIDFGSSCFIHD HLSSYVQSRSYRAPEVIIGCKYDYKIDMWSLGCILAELWTGFVLFQNDTVQGLLARVIGI IGPFPEYMMKEGRLVNQFFTKEKLLYQNAMEDQQHHQNSGLIQILVPKKSNLKARLKTDD MFFLDFVKQLLHIDPSKRPSAKDALNHPWFTQIQYPDGL >CAK68834 pep:novel supercontig:GCA_000165425.1:CT868063:216783:217010:1 gene:GSPATT00007045001 transcript:CAK68834 MELQLKMQSQEFLGNSLYLATATSFVKTYPNGLVVPKTMPNSNLRMIVSLQDWQDQCNRL VSLKVLRKLKMKFFD >CAK68835 pep:novel supercontig:GCA_000165425.1:CT868063:217311:218551:-1 gene:GSPATT00007046001 transcript:CAK68835 MSDLRMHKLQQFFSKSNIYQNNSIQQIERQKLTISNDVELKDQSQIEEKMIHVVRPQQTD FDQDMYLMAKPLIQPLIQLNIVSKPEINNVNLSHQLHNQLDKAIKRSENFLTLKKQPNNF LNQLRQQNKQNQLTEKKQQNQAPAKEIKQIDSNDYDFKTINKRPLPDQTTGIKLPKSIKD IYQIGQTADDLQANDESFLLKEEINSQQPVTKIDFDCLLNIAAGQVDEHTMAELVKNVII AKKKELNNPLLNSSVEQCDVSVEAYPIKQDQYVQMSSKALPSVQTVLNGIRLAKNQYYQS QEKLECKAIIRSHLEELMQILMQGYPEQSGMSQSLDFLLSLNKSELAVPYEGMSKLELLY IFVNEYKALQMLEQAPSSQNQFNQTISKRYLKPTKAAQMKTIKKK >CAK68836 pep:novel supercontig:GCA_000165425.1:CT868063:218579:220686:1 gene:GSPATT00007047001 transcript:CAK68836 MQGVLPTQCRDFMNKQYWQRFFKKIKKEGQQNEFFEWYGNYDSYNHLFKKYIKVEDSVFH AGCGKSLLSEQLYDNGICKNITNVDYEKISLDQMRKRSENKRPEMTFQCMSLTEEINIQS EQFDVILDKGTLDAIFPDEETPQVNTYIANMLRILKKNGKFIIISLLQDHILKTLLQLQV NIQIYECVIEKSKLYPFFIVIDKSEQKSIQFYQLQKEVQTISIKQTQEIIKKIQLQNHFV TSIHKLRQSQSFTLDIWDNNNKQTPRYKLDIYDNDDSKILLQKTCGCFIVPQGREQSFLF GTEQGHKELIKQMKMSRCVIARMNPGHKFSNMKQVQQELSEPMKQLIPKGCTNIPCPFLT DGDEIGEKNIIFEADDCIVEELIIDDIKYRRLIIKSALDLIQCEAKIINNKVDNSYLDFE AYVAIVAGLAFNQAKEVLILGGGVGLLSRFINQHFGVKVTNIELSDDIVNIAKTFFDFEN TDSETLVCCDALDYVDQTLECHQYDTIIVDINASQANQLSPPKQFLSAEFLKKLRTLLTP QGIIIINVLDSQKELTQLPWDLYYSRKCENEINEIFYLINAQKEKKEVDGKEIDVIDKRL LDEIAQQLKKSMVNFSKGKWDNSMNLASEIDNIRLKHPIFKTQAFQTQDALLVQDGEIIN NRKDFYQEDLKKVQKKKHNRRRKN >CAK68837 pep:novel supercontig:GCA_000165425.1:CT868063:220786:221798:1 gene:GSPATT00007048001 transcript:CAK68837 MEESTIDWDKVTAMDNETLLQFANDLYEALHLEQAKSAYQLYLKRNHKNIEALDQYTDCL EQMGLVEELQQALTPYLNQQDLITSYEHCYKLLFKYAQTQQGKQAIQIYEYGIQLALQRN ASKRDIGDAFAAIAEVYQTDLLQFKESEQTCVDAINNAFKYDPLNLDAFLQLANFHLNKE DEEAAKKDLRVIYEKLEHEMEEYDEDFILQVGKLLVEVELFDQAIKILRMLVDRNAEDPE SLYMLAFGLWKAQKYEESLKIVNGILQLPDAKEDQEIWTATLELKDQLEKETWMDVEDEE ENELQKLQQLE >CAK68838 pep:novel supercontig:GCA_000165425.1:CT868063:222148:222707:1 gene:GSPATT00007049001 transcript:CAK68838 MAAENPDIKLLDQYSNPSNPLAHYEGRAEEILWACDNKLDAIVMTTGTGGTVTGISRKIH ERVPGCRAIAVDPYGSDLALLPQVNKTDIKSYKVDFIPKALDRILLQFYISKTQVDCWIK SNDKDSLSMALKLMAQEGLLCGGSSGANVWGALEWARSQIQQNDRELSLFYQIISEIT >CAK68839 pep:novel supercontig:GCA_000165425.1:CT868063:222731:223130:1 gene:GSPATT00007050001 transcript:CAK68839 MIENRLIFYDELKEPDHPLSEKPISELNLPEIILLTENISCYGKFFSVVFQRKLLAGIVD KVKQRRFGQKGTIQRLCCCSQYIRLKLLERIAERHEVGLIENGVKLRYATSGEFLQIF >CAK68840 pep:novel supercontig:GCA_000165425.1:CT868063:223226:226185:-1 gene:GSPATT00007051001 transcript:CAK68840 MRSLSKILFRRPQLWASRISPALGQKSMFKYSAHSNNFQNGKLKTIPLQNYKANQSEIDS KVEPSDFCLFSSIQPIFPNNPMNCQIKIGNLKRITKPLLYSIISPDNGEIFTIGIALNKS VEKNLNAELKFLEQGKENGNILINDPMSNTFNLDIISKQKNYRFKITKIEMTPDGIFAQG IPYKDRPLTQAEQIIDIQHEIRMVNSLVQQIRKLAQYDRVEVFSEVKYSLLDSSEKLTPN QVDELVFQIAAGLSKLLTNNLKMNTSNFVQQLLESQTYIDRLFILRKQLENMSGILDLVN KHFKEADSSLLKLHQQTLAKLATEYIRQNYLKDASQSQQYGGTAGTQQFSGDKQSSLVKK YFDKLSLIADESSREKVKREIERFSLLDKQSSEFHKINSYLDEVFSIPFQKFSPVQWDIQ FAKDVLDKEIEGLEKVKERIVEMISVNKLKNAGEKAKGFILLLNGPPGTGKTSIAKSIAK ALKRTSRFISCAGVADPTFFKGHKRTYVDSMPGVFIRELIKSNTMNPVFILDELDKVSKH HSGGADPYYTLLEILNPEENHNFTDHYMDISVDFSHVIFILTSNDTLQMLEPLKNRLETI DIQAYIQEEKLQIATNFLVKKSIETNGIEAQMIKYDEQALTKIIKAWCYQESGVRELKRC LEKIARKHATNILASNPNLCDKVDELNQVVFDPTTQSLDLTKDQNLELISQYLGPPAFDI QLEQRSIKKFPPGQVNILTVGGMIGHVLTVESCFDLSETEKKGQIQASGNIKLVLQESLK LAKINAFKFLNEEQKKKLQNSAVHMHFTEGATPKDGPSAGTAITTALLSLVMNVVVPSNL GMTGEISLNGQVCKIGGLQQKLIAAKTLDIVDIILPYANLGDALNLPQQLIKGLNLYFVT DYRQIYELIFEQQLANNNYTINKIKNGVYETDQNRTKEQIQCTINY >CAK68841 pep:novel supercontig:GCA_000165425.1:CT868063:226360:227866:1 gene:GSPATT00007052001 transcript:CAK68841 MLRNNGSVANIQQTQSTTSLLQALVNSRPKSSQKRIPSAKNTIIQQKNIQRCDPKLSLPE PPKSTRTLDSDKKQQPQQQKRLTLIQRTMQNKPQERQRIDSSGQKEVPKEKEESQQTIPD IEIPKQVTVFALSEQDQNTSEAKGTTPFVPPQASAPLSKYVMRLSKGANIIPKKQQDRKR MNSPVRRATKENLYTPTPTMSRDTKMRMRSVSPDRKLMPKQSLGPQSPFNDFEYYMSFLR YFHNKLTKNQIKQLFNQKTNQYFLDIYQDHFQQSYHALQYCKDIVKPSLNAIANKIVNLP ENKFKKTIVFDLDETLIHCQESNDDPSDTVLTIKFPTGETVQAGINLRPYCREMLAILSQ KYEIIVFTASHECYAQKVINYIDPDKKWIHHRFFRESCVVVDDGLHIKDLRVLGNRNLKD LVLVDNASYSFCFQIENGVPIIPFYDNSSDRELQYLTTYLLEVMQEQDIPSKNLSKFKTN LYQESTIEHLLNTI >CAK68842 pep:novel supercontig:GCA_000165425.1:CT868063:227898:228319:1 gene:GSPATT00007053001 transcript:CAK68842 MIKFYMSTISKLRPKRNLITISDKAAERLTELMKLQNNPAIDAVKIGVRKRGCNGLTYTM NYCSEPEKLDEVVESKGVKVVVDSKAVMVLVGTEMDYVEDDIKSEFVFKNPNQKGQCGCG ESFHI >CAK68843 pep:novel supercontig:GCA_000165425.1:CT868063:228354:229127:1 gene:GSPATT00007054001 transcript:CAK68843 MKQILTYTIIFKKLQLSLNANIDFNIEIKSLDKNVSLLKYMKINHQYEQYMEVKCIVTKV NLKYEEKIRKLSIVLSDGKSKKIAGFVQFDLSVALNLNMRQKEYTLNLIQCPDTKAFVEF TLLINSLNFVNPDSDIDSMTSRALSQNESQEKRKIKVENAQLENELIKVKQDLQIVEAQY QFLGSQYKQLQIQLDEKTIENADLQYQVQQLCNLNTVCIEKIKYYEKLHSEQPQSQKQK >CAK68844 pep:novel supercontig:GCA_000165425.1:CT868063:230400:231192:-1 gene:GSPATT00007055001 transcript:CAK68844 MQKSNSYHIKTPRKFRQDNILSQELLQKLTQSKLENKQENGYFDKHKQIFGAKKVKQISR SIGGGSQSYREIVQQNLNLFNLNKEARAEDISIRKSNEEIKSTQKKSCHVSHVSQIWAKR NRTDSNSLTPRKVGVNDFILNASAFLKEKQLENQLDKLSYQIVQLQSKSDQLEIQNKMLF ANLEQYQQDNHKMKDRHSLIKKLDTMIVMQQRQEENLNYFKQLFKDKSNENKKTQQQNSF PKLRPAGLSAYFGLKI >CAK68845 pep:novel supercontig:GCA_000165425.1:CT868063:231224:232882:-1 gene:GSPATT00007056001 transcript:CAK68845 MSSILNKFRKQPQDDVPAGIKIENTLFGQQNGTYQPPLIPAVQLPQTTQPRQKGRPGGGW DDEEPQQQQQQILSNKPTNNVNLINDTPPKQNENKANIDVLLPPQSYNNNIQLSRVTEYK KGQLLNYEELEIGGFEFEFHQVEEATKLGGIKLKQSDSVLKEFGRACQTLQEQLIGSILL NKLYSEENWKVQIRCIYAIQFISQQYQNYREFFQINQNYLKINTDQQVLQSAIEQTLLEI NGQQSQKQKEFQFEFREPPKSQQNVVQQQPNLIEIGINEVQQKQPQQQKLDLKSLKIKQP QAQQQPQQQLQQQQNQQKNKQIDLLEAFSEMSIQNYDQNQHQNQQQQQQSQQQQQQQQQQ SQQQQQQQQQQQQQQSQQQGISFDEILNTQSQSGQQPQQKKNAFGFLKKDQQQQAYQQQP QQQKQEQIQLNALLLQDPQQLYKQQPVNQQQVQYGQQQNLYNLQQQPVGGQFNYSQAFAQ FNMQQQQYNIFQQTQFTQEQPKQKQQPNPKDNAFDFLNF >CAK68846 pep:novel supercontig:GCA_000165425.1:CT868063:233028:234449:-1 gene:GSPATT00007057001 transcript:CAK68846 MLDFEEDFYKFVKAQLEKKKGIKQIGTEESYDDEDTLKKNRLVSQNINKVLQNERKKMKI NKISKEQHFENKMKDFANMDTFIDVKQFLKSLNDQQRKKTQKKVFKSFKRSPSDSDNDDL FDYAEIVSKSKKYIAKTQKYRTKPNISGYLQFDENAHQKTEEIEEGKSTNQKFYTGIDKL ITDINQKNAQSEIDKQYEKEKKRHRDSISSEQQIPQALHLDFGKDELTIKQGRKFKEQSQ KVLQLLEKTTKMLYKNRIPQPPNPKVLKQQQQQLKELQQHPSSVENRSSKQILIKSRSIS KDIKLPTINGPTQGGQVGKRIGPLHHFSQGTLPEIKPGSFVSLRDDRRLEDLHYFKSQNV ISKQNHPTNNFSHHSLSLDVKNQIGDFITQLENAQEQFSKMYPSDSQLQKIQNNMTKKMN TIGKAPLESLKYLTRRKFNVSKKFETKSKKNKALQMF >CAK68847 pep:novel supercontig:GCA_000165425.1:CT868063:234617:237214:-1 gene:GSPATT00007058001 transcript:CAK68847 MQSVTSIEVPTNLLKMPIIKNKDIMEKKYEYDKVGKNQNVIVEPAVVKFCGFEIGKLNEI KVKVINKNKQPQRIYVFPPKSEIFNVKFDKKGAIPSGMAEELYVQFKPQEYKYFYDVIRI NTQDDTLLIPIHAYPALSRDGLRELFPRLIDFGTLDIGESQTYSYPIQSKVPLNFEFEFS ITKDCQDIKIDPIKGIVPGKGAIDISITYCPQVNVTEIMEVELKIAQFDFEPLCIKIMGS GRFPENKKAMRPSSTKKLKSITNSSRAKLLQNTQEIPVGQSPKPGETEQVENQIQERSKT LKMTKSNKLKRSLSQQQESTENMGNDKLKGRQLLEKSYLDHFNQIQSLDKEKEMRFFQCV GDPPITETQVDDILLERQQYLNNYLDDVQAKGTTRYKLQINADSTVVDYILPENQLSWDL DKNDTMKIKKLNLRKFVCAASRIIYKLRMEKRLSKLKAFLKGATTRAEVKQLVNLDCQKA EHSGVGKKDFVKFTFEFNSDNLGVLKLPVQYSESNTNSQFKYTITPQTGFDDLAPFDELP SDDCEIMQYKVWEPPQIVYHPPVIDKPLRDGAEEEKSLRGIMGPVTQILQTQNDNIVRNI YSKPPEVESYQILKANKTLRLYQQFNDGTPLNTFYPLMFSKVNGVGADLDPDIAQNHQVL KQEFINRTPGYQNLNLLYELPLDQFFYQEKNMQLAYDYGFDEQIEFYCPTIMAEKELDDH MTDDDSDEDKPPQINVIDQNDWLTKLEQDDKTITNLRQSEIIDSFEQLKEKLDSNLTLEK NKWISTVPSKTNDFNKFIVRNENKMVIL >CAK68848 pep:novel supercontig:GCA_000165425.1:CT868063:237285:240449:-1 gene:GSPATT00007059001 transcript:CAK68848 MAEHEHEFEGGEEELEAPKDSLWRDEHAMEKSANIVYFLTLGIVSGLILREINKKTKQSI IVLSRFPYSPMILSLGILIGLLQKSLGYIGESASILSKMHPHLIVFVFVPVLLFESAFNC DWYTFKYQMVNILLLAGPGCGWGAILLGGVFKLVLQYDDNDMTWYQAFTLGSVLSATDPV AVVALLKELGASLAFNHLIEGEALLNDGVAMVFFIFFNKFSKAQSGKGEAVTASQVVINF IRNSFVGPILGLVLGILAALWTKRILGDDIEVTWLTFVFTYLTFYWAEFCFFKTSGLLAV VGLGLFWSAFGKTRIRSSVEHSVHTVWGFVQYSCDTLVFVLVGIIVGTQVIEETFIHKSD YIRMIVFYFFMILARFIMILTFWPLLRCFGYPISKPEFIVLVYGGLRGALGLTLSLMVGC DEELPARFRHLSVFYAAGMAAITNLVNGTTCKALVQYLEMIENPVVKKKVYKKYLEELIV NSQDKMRELESDQFYSMADWNQVNQLIGQPKFIEKIEKLETEIKYMVGSNKVAANSSNLY EGLTDQEIFGEVRYRIYRILKGLYYDKFEYGLCEEDTVRLLVESSDIGLDHTKSILNIWD QLYKNFLNFSSVNFFFKVKEIPLIGAFAKEYMIKHLGFVYDVTTTFLSCAGEVLHLTDSF PMGKDAVRLVMEEMTREIDKAEGYLGILNDTFPEIVRAIQTKRASHSILTHQRHYLDDTQ QNGLVDEKEYQLLKKEINNRLVDLENHQFEMVLPSFHVLAMEFPIFSGLVSTDLDNIIKS SYEKRFACDEIIYEQGMTCQNIFIISKGNVVDEFEGGSIKKGLGSLITYTNLIGDGTCMS TAKATADSLLYSLNLKILRELMQKNPEFEFKIYINSIEYLRKMFESQAGPLVNLEIKRLL DFFRTKSKLKKLTQNQKCDFIFGGYLFRGELKDINNKVYPQYSYIPPQETECLATKDGVC LIFDDQVDSLNTQMLKQLDLDPHKDQQIQERYSQIKRTSLQEKKKHH >CAK68849 pep:novel supercontig:GCA_000165425.1:CT868063:240805:242007:-1 gene:GSPATT00007060001 transcript:CAK68849 MSRALIFSKNYLSKKFKIKQPNELYRELLNNVKLFQVDELQKISTDELIHYIIFLGTFHE RRNQGDKAKKITLECLKQYRKKMVKNSYEINSQILLSLSNVLLYDEQMVQEIINQVDQDK ETLSIKDLIKFQHCLYKLNIHNEKLSDFVLQNFIKQKDQVDYLEYIHDLHIRSNLLRMGF VDEQVISILLKGFQEKLNSSQNSYFTSKSCFQYCQLMYNLYPEIIDKPLLELKPEDGNVF APQFNDMLKDYIISKKLVDHKKSFQSIEYYLNFQKLNILNIKEDIISRNLTNLEQDVQDL LNKLSYKNARAERVMIYDVDYLVNDDIILTCNDPVHFVEDLNGKILTINPNHIMQSRHLS ATSKYKVYDINYHEWIHLTEEQKIDKLKSFID >CAK68850 pep:novel supercontig:GCA_000165425.1:CT868063:242027:244080:-1 gene:GSPATT00007061001 transcript:CAK68850 MRGSKILRALGYKMTPRQAYQKSFSSFLNRNQITKDELIKLSDQIPGQQFKFNNNHLKLD QQDQTLLKLKSDFMNNRNDYSKAFSYMEYLNQQENYKEAIQFYDSLDRNQSFQLQSLYTQ SITKYLQQKYHNYRTNTLFYTQFQLALLLLIAFQYLQYYKTKMEQQTKMDEQEYSKDKQD RNNEYNQNLPQENFRRIEHLLNVLKNHQKVQQEQNIPTKFEDVLGIDEFREELEEILQYL KNPDKFTQSGAKLPKGILLVGPPGSGKTLLARALAGEAGCSFFQKSGSEFDEMFVGVGAQ RVRELFQNARKSSPSIIFIDEIDSIGGRRKMNDPTASRDTINQILTEMDGFKQNDGIIVI GATNFEQVLDPVLKRAGRFDKIISIPLPDVKGRQKIFAYYLNKVKYNKKNVDPLKLAQLT TGFSGAEIQNIVNLAILNAIKNKREQANSTDFEFAIDRSAMGVQRKQKVINEKDKLITAF HEGGHTVTNLLTDGAPILHKVSILPRGEQLGYTSMIPEFDITTQTRKTILAQIDVAMGGR AAEDLFLGRDEITSGCSQDLAQATTLAYQYVKQLGMNENSLLISIDQSSENRTSTQFDYL VDMQVKKVLDDSYARVKRLLINNEDMLQKVAFELLQKETLSAQEIKKLLNIF >CAK68851 pep:novel supercontig:GCA_000165425.1:CT868063:244222:246599:1 gene:GSPATT00007062001 transcript:CAK68851 MRMYALFVLLMYATALNFEASLMSHTLAEVDALDTSQLNCQTPRSHFEDLEQTLTQWQQV LENKERIPSHIETLTQMKHLIKHKKYEQLVEKIKDLDLISPPVLSELQNKLQGLQSHDRH EREECTETLTKLCAYLIKQMNNVHQQCQQSPVTVIKVKGKIEDLKIIQSGCQQSCQPTCP DEPAPETPSEAPSEEFTEEPVEEGVPPTTPDDEPTPDDKPTPDDEPTPDDKPTPDEPIVP QDEEGPTDEPPVNPNTEESPDQPTVAEEGTEEWTIPGEEPEEPKAVPTTPDQPEEDQEES SNPPVSPEPLVFPEEQEESFGFEETPLPPVSPDPLVFPEEEEESFGFEETPLPPVTPDVV VFPEEEEESFGFEETPLPPVNHDPLVFPEEEEESFGFEETPHPRISPLRFPFSEEHEEIV FEEEQEEQDPIICQSSESTDIIELTATQVDPGFSSTLTIAGEYGFGLYFQRLQKYPAFAE GDEFHLASLQDNGNTVLGVFVTNTGVKCVTVDGSTHQSTSVSNSDFEGEWSFVFISHGQG VTGCAVKFFEELPALRSTPASHATYTSLDFKVGGPQDSHPSFQGKVYGQFASSVSNLHFN SQRQYNLLVDSCNSPPEVELCELYTDELGDYQFYGFEDTSDEIFEFSTWDAGYAVSGWLK WETLEDQDVWHTVFRLSENADADLEEIKLGDRDLAVFLGNELVGGAFQFSTYTYSGSGNP NLWDNIQYTNTLGQWHHIYFGYNRLQRIASFTLYLMEDQLIGANQLLDARHYLVPWRRLY IGQ >CAK68852 pep:novel supercontig:GCA_000165425.1:CT868063:246621:247430:1 gene:GSPATT00007063001 transcript:CAK68852 MGNSIIGESIVVLVTLLMISLLDIILNLQFLKVVQLHKLKLLHLLKKNNHIGEKKNKNLF LLHQMLFQMFSLRRRTTILARRRGREEQPYWGEEEQEFVPPPVSPPVIPDEIQPEPLPEP EPQPEPEAAPAPAPKPAPGPAPPSEPQVPVVKECEATIDVTKENAADILCAISEYLAQLA SGHVPELGINPSRVCFCLQYDDSESNESFMQVEAILKENDAFTINKLVAQR >CAK68853 pep:novel supercontig:GCA_000165425.1:CT868063:247456:248715:1 gene:GSPATT00007064001 transcript:CAK68853 MNLLIYVFLISFVKCFESTCKYLHVSTDIDKSKDDIIKAGVPARQWVLEADTFQLVNNSV QMDYLYVSMDNKIQLTQDMVQLEFDDHYFANSTLNIEHHQNNVFSLKIDYRCKQYGGRLI QYEVIFNIPSCGSAKIYWQKLCGSPLIKRHGLNVDALIKSYNQTVVKDGELVNKAYWDKD FDNFVFTVPANLNYSIFYVYMNLTKNSDEENILNKEETKDFFKEVDQSKSEAETTQEEFQ VLEAILKLIPEVHISPPYVDADHTITKPIIRGDLFKGGVVTDEPSTLIVEYNCVENGNTT IELNIPLQYFQDITMVFQKQCVVSAQSSFSTLSFLFYLICFAVAGFMLYTFEVHKMSLSD ILRTFQQRQRFNYKATGKDEDIESEFKIGRLVDNQESKYGSI >CAK68854 pep:novel supercontig:GCA_000165425.1:CT868063:248761:250381:-1 gene:GSPATT00007065001 transcript:CAK68854 MDTQEPINPNYDVVVCGTGLIECILSGLLSMEGKRVFHMDRNPYYGGEGASLNLTNLWKL FKAGQQFPQQLGQNRDWNIDLIPKFVMANGQLVKILLKTKVARYLEWKAIDGTYVFQMKE PGLFSKGGGKIEKVPATASEALKSDLMGMFEKRRCQKFLAYVSNYEASNPKTHDGLNLNQ MSCAQLLKKFELEPNTIDFIGHAVALFSNDLFLDKPAIQTIEKIKLYMDSIGRYGDSPFI YPIYGLGGIPEGFSRMAAVNGGTFMLNADLDEVLFDGEGKVCGLKSEKVKELMGIEQINC KMIIADPSYALKAKLSNKVKSIGKIVRCICILNHPIPNTKNLPSVQVIIPQRQTGRKNDI YVMMVSDVHNVCKKGFFIAILSTNVETNNPEKELEPAFEIVGPVLEKFVTVSDVYVPTDD GSKDNIYISSSFDPQSHFEGETQQVLNAYRKITGNDLDLTNLPVDQDDQ >CAK68855 pep:novel supercontig:GCA_000165425.1:CT868063:251085:251786:-1 gene:GSPATT00007066001 transcript:CAK68855 MSTQNTNQKQSSINQNSKKNFRGSYKKIKFQVKLEILERLKSNQETLSEIAKDLNINVET IRSLYKKQGHKNLYQDLEVYIVCKCLLQQELAGKQLEKAEISKFVKQEIDNHKNDQQLCR ILNDIYNKRLQSKSRVKKSVIEFNSKNRRIIKKIVSFIILAGQQAAINNTEVEEVPKKIL EDYYNNFIISFTAYYPVFLDNYEFSQQPLEQDSSMNWFNQQTETRPFGEIIFE >CAK68856 pep:novel supercontig:GCA_000165425.1:CT868063:251814:252391:1 gene:GSPATT00007067001 transcript:CAK68856 MDRRILKKEHGINQLQLTKQLKISRQRNYYQINKHQTIVLNLKDFSIEEKQQINGKSELN NNQLKQQKWKIESEYLSIHQ >CAK68857 pep:novel supercontig:GCA_000165425.1:CT868063:252578:254078:-1 gene:GSPATT00007068001 transcript:CAK68857 MHVSLKDIMPAQHSQHNPLSKIKFKISKKVESLCQCNQKSCLICARKRSSSMLSIKNSLV VDESSPVSKRTVDQKAILVQQAVISKQISRQQSNHVIHHSYYSGKQINNTTSTTMVNNSR TRKTSLESSPPKENVIGRHSFKFLYVIGKGGFGKVWRVEMKANRQEYALKEMLKSKIISK RSVNSVMNEKYLLEHLKHPFLVNMHYAYQDRENLYLVLDLLRGGDLRYHIGRNTRFSEDQ TKFFVCCILLALQYLHQNGIIHRDVKPENLVFDKDGFLRLTDLGVARLNKDSAASDTSGT PGYMAPEVMCRLDHSFPVDYYALGVIAYELLLGKRPYNGKNRQEIRDQILAKQVQIKDER FSPKAQDFINRLLIRKPQQRLGHNGIEEIFEHQWLKGYPWGKLINKEIKSQYVPGSIDGN FDFQSQISADSEPQEDAASLIRRKSVQCLFEGYKFQ >CAK68858 pep:novel supercontig:GCA_000165425.1:CT868063:254547:255105:1 gene:GSPATT00007069001 transcript:CAK68858 MQREQAVQIAGQLYNYYTKKGSLDIQTMMANNYKMMGKQSQIQQEDIEEYKQVIGSGQKK DFEQLCIRYFCNTLPIVNQQKKQKYSKIANDRLEIARKIFRKFDADNSGTITSDEVRGLL IETYKQVGINNYQPTDEDLKEWMNMTDTNGDGLISIEEFEDLVIRSLQSSGIEIYQK >CAK68859 pep:novel supercontig:GCA_000165425.1:CT868063:255124:256549:-1 gene:GSPATT00007070001 transcript:CAK68859 MIDRLIIGLAILLMVGNNYAFDIPQALEIPIEKEFKLTQTQFNLLYSIFAVPNIGLSIGG GIMIDRMGGRWGVFTFSLMLGLSQLFIAFGGCYQKYYMMLVGRAIFGIASDLLHIGVFKV IARRMPHCLGTAMGLILTVPELAAALNSFLSPYLFEKTHSLEWPLLFGLSLCIMSFLSGL LMIYLDTKYDTCQAEEPDEEVSLSKFKLSKPFVRMSLITTLMLAAYVPFLDNANKFYHER FGFSIMHAGRIVTVGYIVAALSSPIIGKISDTFSHKRQVLIFVSTLMFFLSHLQLYYMPH STHPNYMSVFALITLGLSYACYSSILMPALQSTVPDNMLGILFVLLRATALGILGIIENI CMATVPMLSGFVYTFLKGEKDRMRDVDIIYLVLAGIGVCLSIGALPDQGKHIKNQKDAIK QLHQQPEENNNLI >CAK68860 pep:novel supercontig:GCA_000165425.1:CT868063:256639:265583:-1 gene:GSPATT00007071001 transcript:CAK68860 MKYFEYFRALIIIIVSFCSLAQNVKQTLQLLDEYDPYQKLVTQVQNTRTGYGIWFKFIAF KRIFSTHLLTEGLDSNEEVKGYIICLSQGDQFEILLYFMEDFNNNLINLKFIINTNQEQL INYKYYDFEGIWIYAAVALDPIQSSIEVYLYNEQAFNNFIYNKFQLTTQIPQVVNSFLGG YGRVQNMNLLPFTAKQSEFQIIEVFDQIGSNFQQFNQKIIEGGIFERIKPQEQRILLVDD QYFNGNNYLKKIIFLEGSSFLLQGWVKLSEQVEDYGFILASISYSQLQYSNVYPGDEILS LQYQISTSGQLSTQFTINTQLNQNPNIYKPYFYCGYEAGIYGGQPQVYHQLISWHYLQFQ LGNKDYTSSIHLYFSYDQTFVGGNFQPNFQPYQFSNVNASIQIAGINKYRTFMNGQMAKL EFIHSYQGLKPFILNCHPTCFGCVGPYENQCLSCNPIYNRVFLPFKNLCACGIGYIESLD SLDCISIVQNYQTAVIFLQKENENDAIKCQLGYFEFMNSCYPCPFGKLQLNCLDCINNQK EWFKNPMCNWDFNQIYFSFRQAQREQEFLDLYLINQPFSMQLCDGCAGFCTPIESECNKL IGAQHLGQYVFVKCKSNFKFINGACKLNPPFCSQQNEQGICIECLSQYYFDLDQQNCVEC PQDCQRCRYDETINKVQCDSCLDESSVIVNGGCSRCGLNCKTCQIDFNLKKKEYFLRCLE CIDMNKYYIMFNAIDCLEIKIDYCLYGYQYLETDHSITTMDIFFEPQDFDENVRVGCARC MDDYFTYSLITNQCVYTFYQYQMCKQSVFDYSGTYICLIIYNQVLINQKIYEQFLYCPLI DKCILCLKINDINQVCLECNFGYYASYTGVCIKCPTELNCFRCTQNLKQYNDNFRKDLRA FYKFFVEESNQNHFYNDYNQKFQKEQYMVQCTQCLENYELYNGKCIKSCPQYCESCIKIN DENVCQSCPFTYLGKILSVVDDNCLECPTYCQICIKRSQSEVAAINPYYTSQSQIYQNIC IKGFSYLYFYDEDLQSFQDCSSNPHQQKCFKSITIDVKIYCQDDFVSYKNQINIKDLFSS NSEIDHLSYFENEKLYSYANKNTVKELIYNFEIVDGLEHSCKIPQEGQFQQSMSKNVFSA ILIKLNFYSSQQSDLLIFENFYLLGFTEIIISNISFISSSNLIATIIIDSKFNLKTTFQN VNFGSLNKQQQIIIFARSQQSIEFRDIQIINLLLSEMNSQFILIKTNQQPSQLTLDNVKF INCDFINIILFNILNQNSIKINISNLKITNMVVKNSTYIKLSFYGLNNILMQNLDLNQLI IDSTIFFNLNYFYQIQVKQALIQDSTLINTILFSLNNFIEIEDITLSGLTLQSYSTLFQG GISYINEPQFILDKISFNLIKYEKEVPLIYVNQENQQPIQIQLLNIQIQNSQITQIELLN EIDISKNKILLSCNLIKITNFLIIREEDLPEIAILNSIEVIIDNLQIIKRKREQFLSFIC LDSSKFQQEYSLISFFEVRKITIQNSIFSNNTLYNQGIIQIIYDQKMNLIQEILQKLIII NQVKFERNLLLKNLNQNSLGLISIIDQNQIVIHFSSLIFQGNLLNEFQEESQIASSLLIV AIAPRGYFSLITSSLEYNLILNSTVSLLYINTQQLNIAYTLFNNNNVMNYTTLNYFIKDL STSITSIYTQSGNGYLKTSSLVVNDVHLNTSQGFYGGGFQISSQNISTLTIQNCQFSNLS NLIDNSLSYGAAIYLEIQSQEILMQFINISVSQVFTQNLGGFLFIKSSIYQKIQIEFQNS FFKDNYADLGSILYFDNSINSNEQPCKLSLKEIIVEQSAYQTYFENLKFDNQNQIDNTLA KQRQLINLKMCSIEFKNSYFVNLIQEGIISVEQSSEIILANLLVDNANIVNQQLINIVSY SDIQLTNIQVLNTQNNFNYKSNRQICKLSSTKINDCTSISQMTDFMKQIECSQELLIFSS QLSASTLINIVQQITTKSIFFRNITFMNNQINELININALIKQQNVSQIVMDTLFSHSNL CLNSCITIQPISYQPDEKIVSKDILISNYYCLENTALQGCCLNTYEFSITVLRSNFINNT AKYKGGAVYYEGKSIVFKQSQIYYNKAEQGGGVFTNIQLPIFIEHETFKANQASYFGNDI VEIPSKLGITVDNYRNILFPTDLMNNQSIQLDQIKIQNYSMFDGSITSYIYFPSGQQIGQ YQYFDQKLNKYINANQTLRVVALNRQNDIMRNLSNFYCTIFSDDSQIQIKVKFNESSQDF DFDDQIFTFTPYTEQPLLLKIKCNCIKVLSNTITNSQNYQLQFQVKTFTCKIGEIFDRDQ CKACDYTQDLYSVIIKSIKCSKRNELTTSSVTSGQLKLRQGFWRYHQNSDDILQCSYSQT KCKGGWETGDASCEIGYVGALCEQCDIYGTRGSEKFQNLFKYSCQQCSSQRYTIFYYIIL NIWNILAIGITAFCIKSDFNKLFRVFWFSTISCNKIFLHYFQIIFYLTMLQLNIPIQLSS IINFLGNPTESISFSLDCVWSNYEATFNIIYIRAIIQIFSPILYLIIIGVFILFVFRTES ETRHHLIFNSLQYCKLYYSPSIFSCLISLISYRVIGGKKWVLADVTYIFDSQEHYLWILQ FIIPIFILFLIILVLDFVAIFQRKQQLTLLKTKMMFGYLYLNYKSKYYYWEYCKLIQKFL LVIVLLQFQDQIVWKATFVVLIIIVYQQLVEKFQPYKASLFNNLESHMHIVCLTTIIFSI LVVQSEQYNLKFIEICSYVFLIAINAQFLLYLTKLVIKLNSSQAEKIIDNINSKLTSLFP ILKSQKIVKCFNYKRTKKSAQIRKRFQNLARSVMELVKNKGPTTKKQEKGNRVSIRYFQQ DYDTGQQLTITNTNIPQVSDNQKMLKTHTEFMQDDEGLETFTINKQIAKPRL >CAK68861 pep:novel supercontig:GCA_000165425.1:CT868063:265747:274949:1 gene:GSPATT00007072001 transcript:CAK68861 MLRFISLFLQISLYLFIIDFSFIQQTISDLEVIDEEQSFYKTTNKIQLDENNSYGYEFWF KFNLIPQHSYQEVFEEELITVRYNNQEYSNTFLIFSEWDSHSKQITVLYFLQQTYSGYCT LYVILYVQDQKYNLFSQFIGYQNFEGSWVYTHFGFQRNTNIFQWLYYSSEDRLIQGQVWP IDGVFQDLAETTNIVGGSGKIQFSNQELNLQKIRGRFTPVIFTKGILYKEALPWYLAYIH LPDICTGALFEIVYGIQYLDGTNYLERVMFLKGKKFIIKGWNKLIIKKNQYQDSHLQLMR ITTNKVYTENSNIGDKLFQLIYILNTDLNKQSGIQVNAQRITIPFLSFYYDSYFSSFFYN DDLMLKRLSNWVFFSFEQGGQKQQLFKIFFTYDSFSLSFDFGNFYYPYTVYQLADVPLYI LIGGDKFSSRFYYQGYVQNLYIQTCLSEQDLYQYYNPQQDYCHPMCKTCNGPNQNNCLTC HDYQNRQYYIGKNICLCKFGFSEVSYSYSCKDIFSQYKKEILGEQQSQECQINLEMNGLQ ICSSCPEINYRCYDCILNPNDWISNPLCTKDIVYSSLSSSSMLINKRESKDYTLYTLDLE FGFSLCEGCERLCVEDEVGCNHIQGRTHLNEKVMVKCKNEGFYFEDNSCQRCKPQCLICV SLNRCQTCLDGYYQKDGDCFQCQKECTKCLYNDQQKIQCTTCVENYGLIQGSCQKCGSHC ISCEQSINRINQNVFLRCLICEDNKKFMISYDQFNCIDNQINHCNYGFIYSSIDRVQNTL MLDQDFITLDTAVFGCAQCEEYYEYDIQNQLCQYNYVEKCKQIILDQGQQICLVFTNYII IFPYYPSRVYVNDFECDRQLDQCIQCLNLSNIYYCLFCKTGYYADQEGLCVECPKSINCK NCYIQSKKYKDNWKKNIRATFEYFYMNYYVDQSLFTRLNSKPDDEYEILCYDCWEDYEFY NGQCIKACDCVSCVKIDYQNICEVSPYSQDYTSLTVIEGKIIDCSTYCLFCFPLLQEEIR QINPYFQNSVYSYYSNKCLIPNSNFNNLVYDSYLKQYKKCQTHSNCQMEITLNYFLICQN EQTDQKEDSPLLITIEQLFSISNGQRFKEFQNQVFYEYANAQMIENIIINIEVQDSKQCL LPQLSQLSNEFFTNIFSIRNIKLHLFSQNSVEFVVNELSISSFQQIQLENIQFKPYFQRA FRLYINSLIKQQIILKNLNFTNQKQVNSFQIQIMYINNLELHNVRFNEIETNYSYGLIQI TQAKSNKLYFKDIKLLNSILFQSVFLYLNYVTLSEIIFEDLQIETEFQYSSLLQYFNSSE NDIKMSYVSIQSNLINCQLWLHFMQQNKIELNHFIIQSSYFVQTKLLYLRENTQVSDIQA FQNQFQDQSIILYFINGYSEVSTNQLTIKNVLFQENLCYNNYCLIYAVQEVEASLNQILL EQIYIYFNSRLSQWSVENVEEYETLIYLSLKTIIIDDLELKGDFMSQLDKQIPINQIHIN NIVSLQITSIKISNINQPSASYLYQSYKCSKLQQSSTSSKPILMIQSFQNLKLNFFDIYN VVNFNAPIIKILSQNSQVQQLDEKILIQNINFTSNLLLTTQYFNSKSILEISSQQKQNIQ IENFISSYNILHEYIQDYSISSSNVLLIESPQSDITMINSYFTSNTVINSSNTQLQIFCN TLQIINSTFIKHNQMDQSMIDVIFNKQFLNDMDVKYFEQLQNYFPIISQTGVGEFHFRNL IIQNIEINSTQGYQGGTFSLYPKNQGVIQVSQSRFINIKSQFSLFDTKGGCFYISLPSFE IDIVIKQCTFSNIWTQNYGSIIFVESVIDHLISLNVVDVFIKNAFSLQGSVIYLKQNKVD LNSINQLVFQNVEIVQEEDNFVGYLAEYMTIPDDQQNQFLENRSLIYSTYSNVSLENFTV LNIFQEMILECNQCEKILIRNLFIYKAKETLALFSIISDQNQIYIYFSNIQFLNVKNQIE LFTDLNCNLKKELVNLESGCYSQNQNEQVNLKNNILFYYDDAYLQVLGRCNFNNIQRQIQ NQQSLIHISIQSTIQQQIKFVNCLFESIQVSNAIIRVAIQTIPPNFIKLKYIYIQNNQCG QNGCIILESNRNQLSRLLSEEIAKEFERFDSQIKIDQLYCYNNKASNGVCLNIQNLSVYI LLSQFYNNTASEYGGSIYFNSNSPGQQLLFKFCQFYNNQAFIAGAIYSNNIINNKWIKEY NYLDKNGCKSFGSTIVYPPMKLGVTFDNYNTIYYPITLHEGQNIKIDQIQFNKKLEQNYF NLPSGCVLNSYQTVTEDNLYINNNITFRLIGFDSNDEIMKNLEDSSCSIYDRIVDLQKVD EIFQVSELVSTSVNIKSIKFNNNSKDYNLDTVVLNSKAINNITNTLQLIFFCPSIQTQKV NSEYPYNIISTHSQYYLEINIVTLGCQLGEIQNQLTGACQQCDASQGFYSVLLNQILCDV QDDTTTAEVKPASLKLLQGYWRPEYDNRYITQCSNKVENCKGGWDVGYNSCEEGYFGALC EQCDIYNIRGDGRYSNTESYQCGECQPDYQSALYAILLNLWNLFSIIFSVKSSQTSILNQ VNKKNQIAVSTFLKIFINYFQLLFVVSTFQLPIPYQITILLGFMGNPVRVISYSLDCSLV DKIAIDIIYFRMIQQILYPFIYLFIMSTAYLIYALYTKNKINFSYFYIAISYLVLYYSPQ ILSSLINLMSYRVISNISWVQADVSFQYDTYKHFQWIYILCTPLLIFLLISISSLFFLLF KKRNNLKKIRSLLYLGYLYLEYNTGSYFWEFVKLMLKILIVVVLTFLQERIIIKGCICFL ILALYKSCISRYKPYKLRNINNIDQWASFVCLVSILLGMLLRVAYEIQLDIFPQIIYFFL AFLNIAFTLYLLNLIFQSYMRQYSEQLDEVKEFIKRTFPQLDKIKLCKKLLQNSSIVRNK AKMDLKRIASSVIQKSQQFNKSKLLMSKQQQAASIESRVQLQTVDDQMKTSLQLLQKIDL RRAFFHRQSLQNIQNEET >CAK68862 pep:novel supercontig:GCA_000165425.1:CT868063:275736:277494:1 gene:GSPATT00007073001 transcript:CAK68862 MNKIFLVGLILSVSLCQQRTVIDRLQGTQVVEEGEKETIYNIHYFEDENPKEKYEQLMSN LLNLNQTQLLSCRKSESHEFFGMSFQNVGRLNHELKNIKLKEYDDDCFSQMRVSYEYNDA ENQVQVTFDPGDYKNGKQCSEFYMIGTTLNYNIVKVKKVQNHKVYFHFRNEKQKEAFKLV GAYIFRTCDHFDYWIGDLLTTIELFFGGFSANPFLGSVFGSVPPDWMVRSNIEFIERATG FRWKERPNVDVEWSEAEIHQGDFLAITRFDGLDQIIEWGTGSRSGHSAVIFEIDGVKWVV ESQDAWYWPKKNIQKNRWEDWKVYAKNAGFNVAILPLSPEKRAQWNQEGALKFWNFMEGC PYGYHNFIFGWIDTPKDNYPSLLSAELATYIFSFIEKFAPSISNKMVGEGLNKRLGTEGL TIPEITIEAAKRGIEIAELYAMVEKDNWIYSDGPSQVCSSFVIGLYKAAGLFGEYHIEAT EFTPKDVYQMNFFDKNYVVPKKCKDNDPDLPYCQIMGTHRMELEGYNTIDPYEHMNERCP SQAPDYKRPADC >CAK68863 pep:novel supercontig:GCA_000165425.1:CT868063:277542:278625:1 gene:GSPATT00007074001 transcript:CAK68863 MLTELIIEICECERRTETVRKLLSQLSQFEPYAGKLFYQVRAFKRMDKSRCGEISYQDII DFMLDNDCSLTSLEASYLLQYLDFNRDGRVTYTDFAKQILPKTDLILKETAAARDSYFVL ANKTLPNEVEWGLFKILHQELSNFKHLKIVKELCKESVQQAFQMIDHYQLGYFTAQHLEN YFKSNYIQYDKQDISAFFHASDRDEDNKISIVEFNYVIKPFHPSQILKDRERAFYSTPKK YRRIDNSPKPQNSFTNVRSKQFIYKTPVKADLSQPDLEVQKQHLIQQSDFNLIDLFYQQP KATPEMKFRKFCKTILPKNVPPNYQSKPISAKTYQMMLNILRQ >CAK68864 pep:novel supercontig:GCA_000165425.1:CT868063:278634:279151:-1 gene:GSPATT00007075001 transcript:CAK68864 MMNFLLHTIRIIYPLIQSFKVLKNKDKEGQQTLLKYWCINWMISLLDIVLEIVLNDLLVD IVLVSLAIGLIYNNFKFSAAIFNNSIQPFIYQHEKKIEQFFSFIDDKVKSVWDKSSNSIE NAAKEKIGEVVADQFKKK >CAK68865 pep:novel supercontig:GCA_000165425.1:CT868063:279176:279907:1 gene:GSPATT00007076001 transcript:CAK68865 MFDEYNEDQGFVDQPHQREEYEDSYLYRGIDQYCHLTEIPKLNTKVQVDRYNTLMEQDAD TKNIPKTFGNNFKKFMDNQLQLSKDYFFKNPIPKEMVTFLNKKKTGKQADYTIQDFRELF QNKQSNAWFQFYIENFSFLDLVNSNRIDDPEKYIKFIEQYLAGARDPSNFISSRPIKNSK QEKKKQKREERIEVQSIHQGYVLPQIIEEEQDQAQHQHQNDYEESNQILINYGREVGQNP YQD >CAK68866 pep:novel supercontig:GCA_000165425.1:CT868063:279945:282698:1 gene:GSPATT00007077001 transcript:CAK68866 MDTRQFEQKPSVQSYSSFDSYVIQDEHDGNLSIHQLKEKPFFSFQEAKDQNAEANLNTNG KNNQHKQKQPYETVQDLLYTEEKLELPSQQLKQIPKLQQNFVNIKLLNLSQNQLTTVPPQ IMRMTNLQKLILSSNQINVLPIFLQTLKYLEYLDMQDNLVKVFNINPPELKYLNLSNNYI EQLYFPNLEHLCIQMNCFTVVPKGFHKVLYGMQYFEFDWFKYCKPALPMKINFEKYQHIK DKLISMLQSTSLTFETFVKLLSISEPNFYQTDYKYRNLFFSAGSSNEIGILYSLIQIIPE QINNLDFDQNTPLSACYNEGKARSVKVLRSLGGKFAPQSIHVICQRVDLAFFKILLNLNE EDNSTTHHTDLNLIHFRNVDGNTPLHQLFMNYSKSPDAKVMADVLLSLGADPNGENNEGW TPLDLAVRKGQINSIQYAVEYNKRLFQLRSHQQLFDFQKKSGQSEWSLGHVAASVGNIDI LELLSQINIDIFQVSKCNRLPRHLAIQSLTMLKNMRKLEKRWIIKRVLHDSVSVSQTEKN VYQMKNQIEKNMTKKHQNIAQKLIENEDDNIDIDFDIEDNSFRIASESSIKDVVTESAPI FQRLANKEVTRMQLEKQNMSTLDTTDFADHLPEIQKLLRNHNYEVALQKLKYALLSDMLP LSERLKYKDYIQMIQFKMKYSKVEMQSYLRSSLNLTLSEFQFKGIPLDQKSKLIKELVSL NKDQSRNEASKIQFHIEKMQLVCQNPLLHQDLLAKDLATINELRQKLSNNLIYDIANYAE SIYQLNDQIYYWINTNHGRCEKLLIKSNIPNLVNYECLQKMRFVKKAKSRDKDYDNIEQD MIEPESSISSYQQFTYIITPFQNSKRF >CAK68867 pep:novel supercontig:GCA_000165425.1:CT868063:282955:283777:1 gene:GSPATT00007078001 transcript:CAK68867 MIYMKIQYVELVLSLLSIYLLIATYCTDPGIMPKIFYKHEDDVEKLQIPQSTKKKETQHI IVRLETHTIRLKFCPTCKIYRPSRLSHCGFCNNCVLRFDHHCNWIGTCIGRRNVRSFYFF LLVLNTQLIFEIVKLSIQQSTICIYCIVLIVALALVTVLTFALFCYHTFLICKNQTTNEH LKHTWTLESGNPYDKGSISKNICNVLLSHIPNRLVYLNKRLFSQHTLKAKEDKEQQQVEL TKVNSSTD >CAK68868 pep:novel supercontig:GCA_000165425.1:CT868063:284549:293065:1 gene:GSPATT00007079001 transcript:CAK68868 MNPEPITFSSLIVLNMSAFPNLSLYSNGFSTQNFNLRSFHQLKGDLDQDYQNCVFRILPV LNYKAHDDLLRQFQDSNFKNLEMYEQGQRRNKIQTTLLNLENELTSNISLTKKLAGTPLV FSSSIFHLVHVSSLKFLALDDQNLEALQFKLIDFPNSNTLLKFNPCLNFQKLRTNLVYSG DVVCISANKQVCNRTANLFTDYWGLNYYELQDEPQKYEDEVCKAKVIASVEDQTQWRIKI FQNQQENDEALFVGDVAIFHLPELNLYLNAKKTQDIQEKVKEILDRNTVVDISNIIQDKV IYTKQQTLFPGQQAVMSRQVSEEVGRSEPKNYKSDSQNSHIYEKQQSRSSQIIQASKNIK EIDKALYSEIKLYFSNFTGNKSDKITIPFSAYWRIESDNFTGGEVKWDKCYRIRHFQTGQ YLDVDIMRQVILTEKVTKNTLFQFVAIKKNSKYVDKESYFLIKHFLTGTYLNIRNDPVCT TQDVNLINCIKFRKTDYEDIWEKRFLVFLVPILKEAISYFEILQPLTSIAFMKKNEVQER IEFYYLFEKLNSLLEILNQFMYNKLVSNIQTTQDFSFVSQNRQDIIREENILPLLIWLIC KTFPNPDEKIEGSEQNLIKDLCGENSFILRVLTMIQQKMDKSNDIEYEKKVQKMKEQLED NHRKRKRLLQLKLFQTIKIACHNNQRNQEILMKFFKHFEKHIAHDYVCTTISQSISNNYQ ILSMLNKQEIPYDMKSTMNFNNIDQKNQKSQSTILSRLLDIISKSDQLLPELLHFLSETC EANGEPVFSNQEYLLNSIQLLNQKVRNDKGEEKVYMNLEPIQEKEGNYTEFYITYRTSNK TYVTRMLHDFLNDYRQFKDVDHFYVVQYNYLIEQLAFFSNICFHRNTAARELISKTFTYS FLLSYAEQNNHQQGFMSGEQNFVDEQVRAYFFRMIRTLYIDREPYTTTPKPELVRIQEEK TTQKTLFLFDETSSQKCEKGEFDIQKLKYKMLQYLHEQSVKLENNIEEETVYNLETLEII KILELMLKFELFWKRDVEGPIQKKNSNKRGASIFNKISENGVGLKEIDRLITALSKILEY DHQYFKCLANAKIKRNWQTDNEKDKGFMKFNISGISQVFEKESKEKDEQQQSNNNFRQVK QQDTEVVNDSLFKKMKNVRKVLQRYNNKTFTLRENKEEDYQVLIKIQICQIFSYLMDVSQ DYWIDNALEFYKSLQQNKQLNDEVKEEDLIKLFPEEILMSGEQWVDKQDSEKKANKQSAP VLKSFDEVLRRPFIEVLLIALYFSRNPQLENQIVELIQRFARQKKEFLQHFENIQILDTN ESQQLFNVWVQMTSILKNNVQRSQTWIAENNRIMHQTLQCLWKIDSIFQQTESVSLKLKQ QIFEHIGGYEVLIELINKALTVIDQKSFPPDLILLLKHTMNIMAYFAKDNERNSLTVYRK VVKKIIQNSNQNIGQISLICSLFEKKPTLYGQLGQQEYDYFIQLIKQHGRYPEFLQFYLE IIEVTEIYSKKSVDMFEIIIEKLTDPMGLQPDQDNYNPLYPFQDSYKITDFFDYTPNKQK YQFYFINIISKCLSKKIRASLISQAIEFLKLQDLLEHTLIVTQRILGCIKQKEKPHYEDI QLQSDYWNIIKTMVFHKPETLDELMFPYNSEILNKILTDEHKAIKQKDKFIPQNLNYLFD SLLPVVNRYNELLQNALLSSEKDQHQISEFVSFFLENLDKMFFLAPAELTNNKQRLKTIS DLGQTFNIQIPIKFFQLDPNISQDEKTADMQTHYAQSQENTQISQFRKKYFGQEFVKDLV KKESLKLSNSIWTIQNMFKDDRKQEVKDLLLTNSDIIVKILTYLEFWKTNGASRENIIFI LKVLSAILKDTENELYERQVLFNRMNVTQILIVLLCESELEPVYMGTIMSFMILLLKNGN QNVQKTAYEYFLSNTQCEKFFKQLKNVFDIQILSMSRAHKLNYQENKLVCKALKLTQLFC EGHNQDLQNYMRSQSNQKNSFDLVSQIVLLISTFQISKANFESVLQCFETLTELIQGPCK QNQQTLLKSSLLEHVVLILSEDEKIFEQSNEYFKYDGEKQYRLAIHPGQLARLKFKCLNC LVSLLECCDPQNSDIARLVRVIPLSVLTNNLTRVYKLFKEQFGAIYKDEIFKRAEHEITN DEQAVSQEFIIENGFCIFLLMQQFLGNQKAKQMLYDDNEMNDVLNEFAENNNKEEDNAIA NIFSGLENIAKMGGNLIGQIGLPQKSEEEKLLQEKLEQKELTKNAIKFFRQNTCSIDMIR DQKLYTIYFPKLPICKLPKSARLEFHDQVDRTSSKTKLTYLMERANFLIKVMEYEEKLNQ VFAKNPIFAFFATSGKLWENCAFVTTLVINLIVLLSYSQKFYNEGQSDITGDLIYERLLD PRLLGQTDFIWTPILIQGLGITMLVFSSLIVFFFLVKRAPLKVDHIWEDYQKPKTVMKMI WDVSIRGIKSLIILLQDSDILYYCIYIIAGIVGLTVHPFFFAFHLMDFLKLEQLKTVLDA IWGPRQEIGLALLLLAVIEYYVGILGFVIFFNDYPIVNGTNCRILQDNDHIICERGCSTL WQCIFMSFDLTFKFTGALGQGIMDYDTITEISQDYDMIVNGWDRVIYSQNEYDGVDHSFT SNYFSRFVFDNAVNIVLVMIMLNMIQGIIVDTFGSLREKLQERIKDQTMKCFICGITREK FEKNDEGGGMGFQEHIEQEHYMWNYIYYIAYLKHKDENDYNGNESYIKSKIDIKDISWMP IKRARFAEEDMDDQQKGNEIQEVIEMKMKTMNDSLEKIQDRIKHIIDIINNQPVSMTETY HA >CAK68869 pep:novel supercontig:GCA_000165425.1:CT868063:293162:293412:1 gene:GSPATT00007080001 transcript:CAK68869 MLKKQQYIIYFYIQNHNRSFFQFRSEQLIETSWIIQLTQFLQSLFLQNNWICILNQIYLN SSRIRTIIGVQVQ >CAK68870 pep:novel supercontig:GCA_000165425.1:CT868063:294101:296477:1 gene:GSPATT00007081001 transcript:CAK68870 MMKNDYEPIKTLRKEEGKLKMQLIILKDSNTPEYFISLHLMNINISHQDLTKMRKLKYLN IIQPQNYYEEGKEKILIFPDSGPLKLTNKQLAQNYYRILLQLALTYAEFEQRQVNWPLNE LSQLYYAGGILYISLLDFDFTKPIHNQSPFQIFRDFTLKHFSQYIPNIQNLFQQNQFKPV IEFLLEKNGDLHKVNYMYPPYENVLLFLLSQQSPIQLHSIYEMGNSVVKGFNTPESLKIT YTRLGDHIVYKSTRYQAEEHKQQIQQNIQREIELMEQAFDSQYAVTCFAYIRIFEYSFLL QKKFVRTLAQFLTDWCKQTDREEKQTIKDVLLIANRFALGINQIYKALKSLKSFNILHRD LKPENLFLDHEQIHLSYIYIADFDRSKQFQGQLGDVMTTQNVQNTPKYDPPETTQSFYYD VYQFGLIILTIMNKGKYIGNEITGSRYFSQEDHNKYYSKQAIKNALSHTKYSEQFIDIIS QCLQREPKERPDIQQIYSIINPLYLDTKIQKVRQTQPASESPAQQNIQQSRIKSNPTDNA MYVPILPTPNQDEPSNFFYQNNVDSGYQQDPQQYQQYPQYWPNLQQIPYQQQQQPTFQYQ TNQSQQNNQPQYIDYSQQNNQAQQYPNNQPPQQPIQGFFSSHTGRVILYSQQQPNQPVNY NQNQNNEQNQQQMYQNYNPQNNVNQFRQFNNNNTQLQINNPNHNFQDNGVLNLSHQDSPT QVSPTQYQPQTPTQIQLPSNPKIKIISMQSPGQEKNTPY >CAK68871 pep:novel supercontig:GCA_000165425.1:CT868063:296486:300002:-1 gene:GSPATT00007082001 transcript:CAK68871 MDSHAYNNERTIKKWGLITVRPPAHQMNDRSIVSNVPDMAVPDNKITTSKYSSIITFIPL NLIEQFSKLANVYFLVIAIMQMISAISITNGQPVIMGPLSIVVCISMIKDFIEDYQRSKS DNAENTRKTYLIRTNEAPRDAQWSELRIGDLIKVQKDEQIPADILLMQTSDKKGNAFIET KNLDGETNLKCKNIQKNLKQLQEQSEDSLLALRMTVKYERPNPYLYQFTGSAEINNQQIP LSEKNFILRGCILRNVNYIYGIVCYNGHDSKIMLNSVKAQPKRSHLERTMNWFIIVIFLL QMIMCGLGGYLNSSWQQIHNSQLSYLDILITDPEHNFTKNLFIKWGNWILIFTNFVPISL LVSLEMVKYFQGMLITMDQGTYSAEYDIKTAVQSSNLNEELGQVDYIFSDKTGTLTKNQM DFKCLTVNKKSYGKEATLTNEEVSKLAQVSNVDFRDKAFFNDLNQTPGKGPLHEFLLCLS LCHTIVTENKNGQLLYQASSPDELALVNFARYCGYTFEGLDAFKQYGGQYKRRHKELPIV ALSVIVQDQANQIILLTKGADSVIEPLMKPVVPQLKEKTWNDLQEFASIGLRTLLLTKRV LPLSIYKEWEKGYLQACSAIQNRENLMMESQAKIEQELELIGGTAIEDKLQEEVGPTIQY LKDAGIKVWVLTGDKIETAINIGYSCQLLNDSLQQIIVDGNDEQVIRNELEKAIQKSQNN NKNALVISGNALIIAMKPELSLKVMQIAERCEAVVACRVSPKQKQEIVSLVRQNKPNVTT LAIGDGANDVNMITAAHIGVGIKGVEGQQAARASDYAVGEFRILKRLTLYHGRESYRKNS TLVNYNFYKNMLLVLPQYWWAVNNGFSAVMFYDQLLYQSYNLFFTSLPIVLYAIFDEEFS GDVLTSNPSFYDIGIKHKLFNVKIFLFWVINGTIQAGILSYLTFQTYEASSIYNGMMAGL WTTGAIVLGYSVLNSNIKIILFSNTYSFGVIVGLFGSVFIYLLLFIVVSEKMPKSDMSNS QSASFSHLRFYLTSLLVVGATSVFDLALTKLAQWSQYAQVGIQHDKVYMLIQKQHIELQD EQQLHQHSPNTQFQINNSQVPKSPIKRGYTGFAFSQEEH >CAK68872 pep:novel supercontig:GCA_000165425.1:CT868063:300033:300736:1 gene:GSPATT00007083001 transcript:CAK68872 MRILVIVVLALTVMAAQKKKKVTPNLIHMDNKAYQKSHAKIEYDVDSFTSPRTQQLKTAQ KKQDSSLKRAILHSQQTTGFVQIQQETDQFILMNQDEDLSSELMTEQQIQQALLTAEDFN NVPNIEMQAESNYQAPDVAQDDVNESYYIPVVTEGDGTLEEVQNSDEEPQQNPDIEMPSL DEASSSFLQ >CAK68873 pep:novel supercontig:GCA_000165425.1:CT868063:301412:302852:1 gene:GSPATT00007084001 transcript:CAK68873 MSLERKSFFDFTSPFELKRPIEIFQKSLKDQFYVLKNNKFKLKTLVLQRNYLIKFSSKKP SQKYFNITNALLELVNHPQMGTGISISKNGENFTFYGLVDKWYTNLKKWCVQPNFQKEYC MIKLIGKGSFAKVYKIQRLGDKKEFAVKLFDKTTFKTQDRPALLKEIELMRIMNHSNVVT ILETYENEQYIFIVQELFNGGELHQELKKTQTFSEYGAFIVIQQVIEALNYIHSHGIIHR DIKPENIILREQGAIEQVVLADFGLADYFRKDCKYMFTRCGTPGFVAPELLQDKIYDYKV DIYSCGILLYYLLVGKGPFDSNNYDQTVMANFNGWVDLTRFQFSIECLELLRGMLDPNPL KRYSIDQIQQSQFFRKHSTIFQQIGSQSSLASIPSQRDSPSISPQQSPNQESKKSPSDLK NRQVNSCVSPLQLPVRLNTTSFSPLSAPFGKPRNIQRIPIQI >CAK68874 pep:novel supercontig:GCA_000165425.1:CT868063:303131:304603:1 gene:GSPATT00007085001 transcript:CAK68874 MNIKRQVPSTSFFDISKGPLWQNTTASRNINESIQENFYIYSEKQKIYKIKTFYLQGHFI FKRKKNGQNQIADILNATICEVELPFYGAGIRIIKAGQIIEIYGMTITWLQQLRFYCIQQ DFANKYSIIRLLGKGTFGKVFKIKSRITQQDFAVKVFEKKAISNYQDYLLITKELQIIRS LNHPGITKFFETYENDEHIFLIYEMVEQGELYTFIKEKHLSEEEALLILKQLLQALLYIH SKGILHRDLKPSNLLVRDRNTLSIAIADFGLAEFYRVDGKYIFTRCGTPGYVAPEVLQDK IYDYKIDIYSAGVILFMMLSGGKSPFNSTDPEERLYQNYKSLVDYSLVSNISEATYNLLQ SMLEPDNIKRISARAALNHQVFRNKRTSKCTIMIKKTPRSIDKITAPRVIINTNNNLDKY LQLSPKLQNLSSKPQNNMKQEAIRRGYQKMQTFSHRSPQLSPLQSQTKFEPFP >CAK68875 pep:novel supercontig:GCA_000165425.1:CT868063:305016:306720:-1 gene:GSPATT00007086001 transcript:CAK68875 MLFQSIKIISFGADYDILQEFNDFSKQDKPYNKYQIVVKKNDQESKQKYIAAYYPFNKQQ RDIIDMFYLVKQIKILNIINKEDFYHEDDQFIIVYPFLQNYQLSVESIKHLDKNTKVSIM VQLSITLLEMAKRKIPFNITNLNQLVLIDGIVFINMQEFHYYEKSTDTQSLNYLKQFIRN NFQLNEIQEIDQFHFEANTIESFTESILSTFNLIVKDYENAQYFKVFQHIFKVSNYTQLA DYQANSHIYKFQKLPVFEKIFSFPLTQDIIAKSTKIQGEEDQDIEDVKVNITREIQLMEL FEVNHEIAACFKYIRILDQSYLFMRYYCKNLRQYFELMNQNQSVYFTKLIVYAIAYQFIK GLALLHFAGIKHRDLKPENLFLTNQNILYGQIHIADFDRSIIQQKDNQGLDIDYFDQTNT PEYNPPEQRKLRDHSVDIWQYGLTIYEVANKGQYPGARICLDFNQYYSPQVIEGKLQQYN YDKEFMDVIKQCLKEDPQQRPQAREIERIMQKLYEKEQSKQAKGPKLFSSQSFKWQKSQQ IEEQVVSDI >CAK68876 pep:novel supercontig:GCA_000165425.1:CT868063:307106:307791:-1 gene:GSPATT00007087001 transcript:CAK68876 MKIIILCLLSFGVLSQLSKQTITHKVKIGVTIDNRKQGEITLGLFGNVVPRTVENFRALC TGEQAGKSYVGSPFHRIIPYFMIQGGDFTKGNGTGGESIYGKIFDDENLDLNHEVGCISM ANAGPNTNGSQFFITTADTNWLNGKHVVFGRVIENMELVKKIESKGQQSGKPKAKVILSS CSVEVVSEDL >CAK68877 pep:novel supercontig:GCA_000165425.1:CT868063:307847:309115:-1 gene:GSPATT00007088001 transcript:CAK68877 MNPHQEPQQFSTKVDESQIMIEQKIKKNQNQIECHCCQKIISSKFKKVNSLYVCFNCLDQ ISLLKVKYGLSTQYKLFQSPIHNYYCKLTMTPTSQFDFSFSQCQICYQTRMLIKVCNQNH FFCETCISSYIQNNFDITVKCLQYDCNVNINYQLMFLYLEPPQLIKWIPITKQIHCIGQR CSGFWSVWPSYIQGNVEIISLNQCKCQLCHIQFCFKCRTVHPGLECSNEILLKNYLEEHK CFRCYCCNSICQPHFCYINEPSKKFKEWKSNIYECYVCKRLFCYDCKAETKITLFSKRKC DNCVNKYRELRKKQKQIPKFKIIIQFLFATLILVLYSVYSMIFIQIPQILDYFVNKIDPI CESQDPLKIILLIVNLPFIIILFVMIYAVTLIPLAIYNSFQAFIAKQKIIDNDI >CAK68878 pep:novel supercontig:GCA_000165425.1:CT868063:310214:310458:-1 gene:GSPATT00007089001 transcript:CAK68878 MDNQNLFQFKTSFTPSSPLKTRPLPSPSLDSLEEFNLNDLESDSQSSRKSSTQKFDKQVL VIDYLIEKLRDYE >CAK68879 pep:novel supercontig:GCA_000165425.1:CT868063:310675:313133:-1 gene:GSPATT00007090001 transcript:CAK68879 MLSYQLFNKISKMSSDSLIQEALSQFIMLRSPRELLHEFKTQALNLEQIRQLVQVFDPNI SNEDIKYFYLKVITKFKSPAGITEDIYQQIVDDEEFTLRGGSQNSYDSLPLLKNIIRGVR EKKVPIQRLYNFYDKNKDKELQDPEFRNLLEFFYVAITDAQFKKLREEFPDNPLSESAIV SLFEKWQEIVFENTQGDPQIILEAPSKTSNLLTIPKPQQTISLELTREEDERFKDFMGVD FKIPGVKELADLQKRCQQTQEIFTDPDFPPNVTSLGPKFSANNWKRLQELFQGEQKVFSI DTQHDKSGVGLNKWISHRDICQGDLGDCYFMSTLSSIACKWPDQIKDLFPIQRANKFGVY GVKMCINGEWKVIPIDDNIPVKNGKIAFTKNADKEIWVSLLEKSWAKVNGSYTNIDAGDP REVIKTITGGPVWLLLTNKPNFKENFITCVKQKCVMVTGTYSKNADYSAIGLEPGHAYSI LNVKTVNHPQRGQVHLLKIRNPWARKEWKGDWSDESDLWTPELREQAGNTGKENDGIFFM SLDDYTKYFFSVFCGYFKTDYIYNSMRFNVRRNKGVYFEFEIKKEGEYFFAIHQESTRQY RSQPELKYEYSYVRLLLAKELQNGYEYLEGKFYKDIETHVGRVGENFTPGKYILYIKIKW HVPSWNEHSVVLSTYGEQYVQLKEVPRDPNLVSQTMMHQVRSNNKVEEVLPGITMKMDNN GNLGIGYIYYKNESNQQVNFETNLVNKGGCKLTKPERGYYFKSFIPPQGERLVTFTITPP ITELSMPTLSHKLL >CAK68880 pep:novel supercontig:GCA_000165425.1:CT868063:313329:314869:-1 gene:GSPATT00007091001 transcript:CAK68880 MLQINDNGRNQDEKFEPQLITKEFRIYLTNKSAKITKSDEHLKFSNKQDEFRIKKHYYLF NQYLIKKNKFINFENCQISYDESKANQLHDSFILMQKQGKKILIQGKIESLLKWFEHLKH YAIQRNFKKQFKKCELLGRGSQAKVFRILNIKTGQTFATKQFEKNKFSESSLEISILRQL DHDGITRLFEVFENKKKIYIILECLNGGELLDQIRSPTYKYNEQFVMDFASNLLKIIGYV HQTGIMHRDLKPENLILKDSNNYTDFAIADFGLAEYYKNEVQYLEKCGTIGYIAPEVLRN QPYNQKIDIYSIGVILYTLFTGTLPFAGNSTQEIYQNNLQAKVNQLQLKQINITEKAKQF VFSLLNENPERRPTAQEALRHEWFKLPPSLTNNLILSTQTNLCGHKKHQKFLSIKCTSPL WNKSKMKDGQFEDSDDSIYNENLQVVKDSIVFNDEDEAELMDEEENQVNQIHFSAKQCEK YYFSNTKIRLLNEKRDQGLF >CAK68881 pep:novel supercontig:GCA_000165425.1:CT868063:315009:316588:-1 gene:GSPATT00007092001 transcript:CAK68881 MNQSNNSYFYEIQNRNDALWKRENAHQVLQIVRSLNQDHHQNKIYSINGQDELIQKPHYH LQNQYLISKNKHINLENLILKKTMREHYYGLELSTNYETLLLYGSKDIIDEWYGYIKIYT IQNDFRMNYDFIKLTGKGAHAKVYKIFSKRDNQVYAVKVFKKNNISNKLGLFKEIQILRQ LQHKNVIKLNEVYENAKHLYLILDYLPGGELLQAISSAEVYSESVVQELIKNLLQALDYI HQNKILHRDIKPQNLLLRSQANITDLVIADFGLSDEYNIRGEYIFSRCGTIGYIAPEILR NEIYDYKVDVFSVGVIMFMLLTDSSPFGGKDTNEVIKSNLQCNIDFEQLKMAKISTHAYD FVTCLLEQNQNQRPTAYQALQHDWFYLPKMETLQYFSLSLKNIPLLNIKKRPELQNIIIS QSPLWNNLCSKITLSDSSKSKNASECISILDDIQDQVQEEETQVDYKYKLRQGSIEENFE EMNDDDFYKYTKSPASLLQIYHLSIKGGRK >CAK68882 pep:novel supercontig:GCA_000165425.1:CT868063:317047:319717:1 gene:GSPATT00007093001 transcript:CAK68882 MLRIQNRIKHDNAELLAIIDRCACMIFFEVRNYERRQVDSKANDKRQQFRNYFISYNIQE EEWYEFSFNIVDLRLLQQELRKLLNKVKGKQQQQQQQTQIQFNTRTTKENQLNISQSKTS RTPSRQTASIQKNRNFVSPPKRSLSRQTKQSIIPKQENSPRFNEDIKQNSPTSPEFHFVS PNKIELQRQQLEQFEQKLKQYTQGDNFQKLFDQQEYAKKRDDIVLASFQNFTVQHSEPDK DQNKDKEEELSSQNLHRRQHSYMSMTKEIGTHQHHNTEIIEIKLSTQSKQEPKQSFENSY KDFGSDSQVYQNKQRYGTGKYDQDTLNSIQVQQQAQIEQEKQQQFEHQNENIYQSQLLDK YEEDEYVQFQNTQNERQQTQQFVATNDEDQYKPVITEDEIMKKYADLFTAPDFLKQCRAT CQTQKTLDSFADSRSLNFIVPCQSMVCQDVETTKKGKYTLLSQLTAEQIFDEIFPECSLQ KISKAFFRFKMEVVFQQLQCDPSQEELDELFQQVDIQRDGFVDVNEMGQFLDNVCPQQDR QVYNQIDVSNKGYFDEQELGQRLNKNIARQYFSELDLLNTNKITYWEYYLKRTTNIGQQL RLIKSLIQNYQIFIISLMLTQKESLGKEEDFNLNKFRDSLYLRMSSPSTLKVSTTRKEDN SVKKSSKSTLYTDQQHRKSAPSFDELLKSMNNGNAHAQLQNGSNQKKSINDSSRKMSGLQ HKIECFGNNEINQLTKLSQPFISNQPLYQSTKAQPKRTTFSSSITENPVFNKGSGKTLLD KLSLKELIELRSKVDHSTQSEVHQLSANYVQELVKLSQIITKQVKNVKY >CAK68883 pep:novel supercontig:GCA_000165425.1:CT868063:320010:320636:1 gene:GSPATT00007094001 transcript:CAK68883 MFKNNFYSYVGGTRSDIAMSIESYFEVMEVLLDQYLSREMLFNFKNCSQVLNDIVVSAPL AFLPFSLIGTQWLFAGANRKGANKAYGPILASVHMLVLWKAYTAPIPNKLFTKIIADPTV DGQYIRTQLSVMKPGLWQVLSRELYHKGYRYPEMLEFKTATEFPTGFVKPY >CAK68884 pep:novel supercontig:GCA_000165425.1:CT868063:320648:324085:1 gene:GSPATT00007095001 transcript:CAK68884 MQTDEPYVTNFYIEQQIKILKPHPIAIKLSVDILQNYYQLQQVRYNIIKFNRENPLDPSS NHCITFMTFSDKTNSKDNGRQIIKQIFYLTKFQKLKKALSKKYPFDVLSLGNHQQSIFSY FTNLNRSFKMLSSKLRISIDTFSKIWIDKQSTPNQLKWSCLCIKNIQNQLRQDVERVVRK QQKMFICRICESQVQANDMTQHCIQCEKKAESKKRLIELNLELANLCDQAYHTKRNVQVN LAIKKMKDKNKQRQYANKQFRRIQSLYDQEEDEDVEEGEYQQEQNYIANIMTQIIYFAEK TLNNQIEIEDTKLTILLLNDLVSSTEYIENQDALNIINSTHKCLLERLEYHKKQQGFNKN VKKQSNQNQDQTEDKINKIRRAFTKSNSISFRLPKFQNKSSSSLQRISTDPIQEEEDSPT HLKVKSSQKIISQRSRFRMNSSIFKISDGSESPKSLKNIQQSINQSKSSSLNNHETNQSI DSNNTNNKVDSCYKNSNTEQKNELAQPSPTQKKLSSFKAQLQKLAVEIKQPSLQEISHKI EPLNLSIKEGENSSNLSSIDSGRSNSATNNDLNLLALQKRQCKQKQTKKQNFHSQDIEKM QQCHKIQHRKSRFYENQMCKSPTVLHDYYRLNDIQIGKGYHSDSNLIKTTGHSQSQTSKV GIKDFEFIKPLGKGAYGWVFLVKKKGSGDLYALKIIDCAQRNLEAFLEQLKAERNIFEIL NSNFVVKAYFSFVHEQYLCFVQEYMVGGDLATILKTYTALDEFYVRHYMAEIVLALDHLR IQNIVHRDLKPENILLDCQGHAKLADFGLSEQGVNSRLKLRDSLNSFNTIEIPTCVEQMI DQQGYQTVYKQLRKVESILVDKFGSKTKKIVGTPDYIAPEIILGTSASNFSCDYWSLGVI MYELLCGITPFNDDTVDKIFDNILNMRLEWPRIGDGEDCISDQAYDLMSKLLEPDFKNRL GHRSIEEIKNHQFFKGISWNTLLSKPGLIVPELNCEQRDTEKMMQFLKKLEKTNKDNENK KLTQQLNAQLQFLERIDLLKQRSIQESERYLQQVKLEESKLNTQIDTLTQFFAKIYQTYS SMQ >CAK68885 pep:novel supercontig:GCA_000165425.1:CT868063:324110:324967:-1 gene:GSPATT00007096001 transcript:CAK68885 MNKVTNSYLDFTKQYRNLGYKPVQEAYDRIDNPATKFDNFLKTSIFSKRLEKSSLNQTEL YPKMRGPTKPDYIFIEHYPRFKEDINCNQKIFGQKQTQFHSDIFENIRNDFKQKNYSFQY TSDKLDYSKDLGLPIEPKQRLKELQSIKQEQELFKSLRQDVNFLNRKKRILDNLHKYETS SQTRQRNLSQLYQLLLGYDKYRNQNECLEFNNTNGLIGDQMNLSFHLSKDWNSKRRCETQ RLDTFERVISQKPANLNVNTERMKYLKFRENCGRDYKIVNLTKDE >CAK68886 pep:novel supercontig:GCA_000165425.1:CT868063:326650:327670:1 gene:GSPATT00007097001 transcript:CAK68886 MNKSNIHLSQSKSNIVLPCRKSFQKQLLPQEEDSISKIESFESESPLKETNKEQGDFADS DKVQLSIQHEIFSNEKQSKQNHNLCKGFVYPNPFKELRIPFDKIQFILSPIQREGILQCQ IRKVVTQSPKENAKYELIMFDLPILIAEKVHTLFKKKIIIKQSGLNYFAGKLKWDKYGQN FIFMDNKLSPKKCSSISMHRLCTGGANYQKTGKQKPHKIRVYLPEIDQKKCKYFDKKPKF FQEFQNREPEYSNEAKSFVLPFYNRALIASSKNLQLCQQDKNQVFFLLGKIEKGLYNLDF QWPIFPLQAFQIAMSCFVTRASD >CAK68887 pep:novel supercontig:GCA_000165425.1:CT868063:327712:329497:1 gene:GSPATT00007098001 transcript:CAK68887 MFLNPQLIEDAQESANQTNQSSQRQQPKGRSNVTKFQVKTKEEQKQESKPLSRIQQKEVE IQKKQMESQIKENDEMVESLVQFDSDPEQEDTKKYANPLLDDQKQEVEQKPEQYPIPKGA HEFKQQIVLQSNQQYKKNLGQNESTYNQDFDIEIEKFSIIGNNHNQYYEPGQKLTQDDSL PQLEKQNHKIKFAESLYQESRPQQNVVEEDQIIIQKEIIQEEEKIIQNNDNYQELQEIIV QEEDDELLNQQQKEQEQRKELKQQHDKIQMDLTNDTNEVIEQKENIPEVQQVPQEQPKQT CPYPKPLASVEMPYGKKHFLLNPVPKGGMIQCTIKRDRSGMSRFYPKYHLHISNGFLYLM SAKKRAYNNTSNYIISMSREDLEKGNNFIGKVRSNFMGTEFVLYDSGLNPDKTKDESKLR QQLGIVQYESNLLGSKGPRKMVVLMPKLDAEDKFHVFKPTNSKEGILKEYLNNNRDHIVT YVNRPPQWNSKHKAFVLNFYQRVDKPSVKNFQLIVEGKEDNILLQFGRVGDDLFNLDFQY PITPLQAFQIALTSFDYKIACE >CAK68888 pep:novel supercontig:GCA_000165425.1:CT868063:329547:329936:1 gene:GSPATT00007099001 transcript:CAK68888 MQSKQLDWICIGCKNLNYSFRKYCNRCHTFARDAPGTKFIPLEQPSIIDSLKLQEPDLTG SGHSTADSVGSIANDHTAFHQALFLENLSHTKQETVKINFDFMKSCRLCATENYFYQSKC KQCGFQISH >CAK68889 pep:novel supercontig:GCA_000165425.1:CT868063:330909:331905:-1 gene:GSPATT00007100001 transcript:CAK68889 MKYSYQQSLQHMLIPYIICGVVWIPLSKFVETFYQDQLISQFIVDLIATLIVFVQSILIK NSSIYDLYWHIIPMYFTAYWCFDSKTWWPVIVCFFYCIKQDISYFRFWPGLTYEDFSFIY WIFSLVSFHIYPTIIVYLGYIPLYYTIKETQEHNMLYEIGLLISIIAVIIQWIADYQLYP YRTKQIKGDCETGLWKYSRHPNYFGECLFWWGMYIAVLSFGMQYWYWGIGALGIQIMFLA YSIPNMEQHLLKKRPSYKKYQSEVSCFIPWFRKNSKTN >CAK68890 pep:novel supercontig:GCA_000165425.1:CT868063:332035:332843:-1 gene:GSPATT00007101001 transcript:CAK68890 MQNTTWGAIMGQPFGVIGYSNLGFVFDRDRIHEYQTYWPKEETGLARDVYIGFKYQCVEY ARRFLTLKFKAAFTDIPHAHNIWALDIIEDCTKEEGTFPFVNYPNGSKEPPQFGDLIIYP YSKEQRFGHVGVILNVNLEEKYVDIGEQNYEEAGWECPEYARRLIMKIENGQYFITNKRI GKPYDNWDINEEIFGWKRIDFSQKQ >CAK68891 pep:novel supercontig:GCA_000165425.1:CT868063:333090:334092:-1 gene:GSPATT00007102001 transcript:CAK68891 MNQQEFICDACDKAFKQKRALQEHQLIHSGEKPYKCVTCNQQFRQYSSLQKHDRIHTGEK PYNCSECNQTFSQISNLKRHQFKHKGQKPFTCDVCQKQFITNQNYQQHQNKHKIQRQSFV CECNRTFLYKSSLKKHQKIHKKIENTDSFINLQEINQISADLRIFFTASHPQIFHSNHID ILFNGRLYNYNEQTGRIELHDLIDQQQQQNCAPIKDHQHFDSQKQYDLIKCIDCKQEQCC CKSKQLVNNCCLACNGGNCGETPFAISKVLHFHGPNCGHPIVIHNGHIDYLVNEMLHFPH DGHCDNHGVLNRIKVQ >CAK68892 pep:novel supercontig:GCA_000165425.1:CT868063:334221:339025:-1 gene:GSPATT00007103001 transcript:CAK68892 MSSTLKKLGIEFKELPQSQVDEAIYSIQSMRLEQILISEGEIFDWQNLLNRPDLVSLKYP EKLGTLILQDEQQSSRSFFIFDINSPLRIKVIATIKSKKYQQVFLIVAIVGIVPFCMEES IISEGIEIIVNIIIGIDIILKSIASGFILSKKSYLKNIWNFLNFMAFLFTWCLFLDDSQI SQIIKAIRFLRIFRFIEEVSILKIQFNAYVGSFLRVKQVLIPIFLVMIYFSIIGLHLFIG LTERRCRLTQYPIDNVWIADPNIQKLCGIWECPENTYCGSLLDYDLPRNETENDIEAFTW NFTRFDNFFNSLLVVFTFLNVTGWSGTTFMFWKAMTTYVTATYFLIMILLMAFIMSNLLL ALFYESFMEKSSIKNTQKKLKQEQQQIEEEIKKKNQQQLINRLSVISKQKEKGNQTSNFN IYFREEQNVNIKDAEQELFINKLLNSPKILVINTLFIFLSAFGIAFDYDGIPQILNEKLQ LIDFICILYTFLEITIQFCGKGIQDFFSKRINVFDFLIILSQWFLIFYLVTFNQPLIYND DREIAFIKSLKILRIFKSLFFAKVFYTIAVLIRSLISTLIALKKILFLWICLIFLMSIFG KHLLQYQMSENNMTIYYNDLGSSIMAVVNIFYNEEWHITMYKYGRHTEASIVFYIISVIF GQIFFIRLLTAVFLNEFSQHIESVQTSLKPIDYKKLFSTKKMQNTVSKLQLNIVKQKRNE KKKESIYLKELKVIGQKRKTNSMINQLQGQASFQNLDEFLNLCQDNQQQESKLQVIKSDV VVNQQEFQNKTLFIFSEKNPIRLRVKSLIENLPFRVFLIILVLICVIRTMLLTPFLNPES DLFAVLKTIHSVNTILFCIQIFLNIVSDGLFIGEDSYLQKSPYNALNFIITTIDIVAIFN YNQLIFNLFSSLRILEFIRIGAELNSNINYVSQALMKAFLTMIQLSIFCFVILLVYGIFA TKLLKGGLYYCSISSELQYKVKDKWDCMDNGGSWVNKILGFDNVFDSALTLFVTATTEAW LPILIDTWSSRGKDLAPINNHNRWWAVYFQVFFFIGNICMLNMFISLVVNTYQETKIKAQ GMSELNGNQREWLQIKHSIHYLTPRVNYELPKNKVIKLFYFIAESKKLKYFWHTIIALNT IILSLFYTRQNKIFRNILIEINQYCILISAVEITVRFIVKQWQKRDVFLIIDFFGIIFNL FDLFILQELSDNFYVLRSCTAISVAFQLLRNYYIIRRFPELEKLFNTIFSVIPSALSMIF IMALFLFIYASLGMDLLGYLRPQNYIEGFDLHLRKFTTAMFSLIRVASSEQWWALLVDSV HRRTPDFACIYIKDYYDYQEHGFNGCGTYWAYAYYISFHLLFSLVILNLFIASILGAYEE HVKSEQSAISKYQLHDVLNYWAQYDPKGTGFLNYKQFWKLSSEIAICFGVPVKELLDPAN KTNFLRALNIPLYEDEQGLMGYLFHDVIVSLTKLSVELKYGVKDLESSSYKGKDLIHDYY KFFKKTPYYSGQMSSIIFIQGKIRTIRNRKKGIKFLNIEALKQELQNQNEAERSAQYNEN >CAK68893 pep:novel supercontig:GCA_000165425.1:CT868063:339709:340032:-1 gene:GSPATT00007104001 transcript:CAK68893 MFLAENRYVEGLEMLGKVNGQCKFDIHMEQPLIWLTERNPQFRYIMGKVKEQRNYTSMVR KMIQTLRNSGIIDKYKKKLRIERMFKSTTLRLEIISCYSTLYKSIVY >CAK68894 pep:novel supercontig:GCA_000165425.1:CT868063:340076:343635:-1 gene:GSPATT00007105001 transcript:CAK68894 MSDQEEESPRQDEFGQRIIKLKELTPLEKEYFDKARQRHKENIYKDQIVQGRKFEGVAFI SKPAEIIFKDFDVGKPHTIIMQLTNVSYTFNSFKILPLPEAVRDFFELIYTPPGRVSAGM SCPITVRFTPQLNDDIDVQLQCQAETGPFQIHILCTSKKAIAKVEQPVIDFGKVILGEDS TLILKIQNLGALDTDVFIRSAKGMDLQTIATESVSQKSNRDRPFDEEGILPYLKQLKFQR QHVLQGYSMLKIPIQYIPAEVGPFSLPLTLYYENFLHSPPCQIEIRGYCTEVPIYVEKQI YNFQICLFNHIYREKIVFFNRSQNAMKIQIQTPKETKDFFEFNPKLGYIQGNSKFEIWVK FNAERQLQTICQRFFKDNVIDVPFKLIGADQKMPVPFNIVAQMTMATLQITPARLDFGKL FDGQGAKRAITFENLSDLPQELAIYPLPKEISITTDLVPLRLLPKQKFTTDIIYRTQKVI GISDRQDEGILKCKIVSGTISTKEIRIPYTCEISKCPLEFSGIKFDIPVQQIDEKYSTTI DIKNISQRDIIIEFFLPFYELCGLRMAPMVQQIQRDQLIQVHLEYDSFFKKLGAYTLQEL KEKYENDPNNNFELRLKIRYEEEERKKREQEELKKEEEMAAKGKAAKKEVKKDQKKPEKL TKAQQQQLEEDERRQAELEKLKQEEERIKREEFEKQFDSAKELRNLGGTLVEFNKPEDLN YSQHYSWLIPCYFKYTDMPDNAKQVIYLQISTVTIQKSLLLSKTIIDFGEVAVGIRQTKE LTVFNQTPFKAELKMQMLPISCGFTILNALRTIEPSNKKSLIVQFQPTEDQPFEETLKLY CDHAAVSAKLKGIGVRPEVKVVPENGLISVGGVVLGEYAERTFKITNVSNFPIKFQLISK ARGVQNSCGTEVFQFIPQEAIVNAQQEITCKVIFKPDRVSDKFYDLISVHVPNQKSEKRV FIWGYCYNRQAYVNIYQPYNLIPQINEINKKIEFPFDQLKLKDEEKVYTYLNNKIMLEFE KLKEEDNSRKIVIGSCKLLDPKMEKPVNYEVILSKDEKYFVCDNQKGAIQPGNEVVITFT FKPPQPDQFIASIEALKKIGQWKETKIELKISGGFLKPGVQDNISYELILKAFINQI >CAK68895 pep:novel supercontig:GCA_000165425.1:CT868063:343645:344265:1 gene:GSPATT00007106001 transcript:CAK68895 MLLRKSINYFSIFTNKLPKTYYEVLEVTPKATTKEIKLQYIKLVKQYHPDNGESGSEEKF KEISKAYQALKDPIKRQLYDSDALNFEQSGEAHSANDMNPDSYFYSTNKREYYQNKWYNF RKPSYETLHQEQTYQFVDELTSKAIIGRVLIVLGVYAIWDLYRLYSRRQHKKFLETQKEI LDSSFIQAPLEQYIDTRQTEMQLDLD >CAK68896 pep:novel supercontig:GCA_000165425.1:CT868063:344340:345893:-1 gene:GSPATT00007107001 transcript:CAK68896 MIIYTIFLWLVQSLPNYYGTAQPDTQQMIQLNYTQKVNSLIIKFDKREDDVLCLVSPSDL ESTKLSDLDLSYNPKGAEIYDYQSYLANNENQLVQLDYVALIYIKCLQLNQTQYQHISLE IYYSENQHVQGCINDCNGYNYPDVMDSVCVAEQCHCLDGAFGQYCQFQSTQIQSNVFSSF TLDSHDWKYFQYKFSSKDINLFLQNEEDELYYSFVLKVNPQLSIPTMKNSQKLLALNNIQ QELRSKLNNTYVDVIYIGIYNNQSKSVNLQFKIITDEEEQESTFERNKIIIVVTGCVVGS LLLFAFGLSALKSRQQRQFQQQIQEVIRRNLDQVQNMEQMQAQSPDRQIIQANNKGFSLR FIKDHFKGHNYEKITKVYPGLSQFEECAVCLEQMKKATTKLQKICSVTPCFHIFHSMCLE EWLLRQKNCPFCRSEFTRKKLIKEYPWLEINTMRVNNSDSTYLSRMKNNLETVNESQIEF AKQYPKDLEQQSNQIEEINE >CAK68897 pep:novel supercontig:GCA_000165425.1:CT868063:345904:347711:1 gene:GSPATT00007108001 transcript:CAK68897 MCTKKIGVYQMDLTIKLGRGAYGDVYPGQDKNGLFIAIKQIALPNKDEKEVEKALNMAKN EISVMRTIQDVNVVKFVDMVRTQNNLYLMMEYCNGGSLDKYICKKCSKDERYLAEKEAKI IMKQIVSGYNALYQRNIVHRDLKSANILIHDGVVKIADFGFSKFLEKTDEQLLYTYAGSP LYMAPQILQQKQYTNKADVWSMGIIFYEILFGKLPWHAITIPDLINKIKNEELHIPQFPV VSDLMKELIKKMLQKEEADRICWKDVQNHAYFNEQMEFEYLTNLRNSYNEIEEETDELKK SNAKKNIYFQQQNVVQHVYHAEQIQDQIQNACPGILEAKQEIKLENHKKNRNLDQMYKKQ KEKVSIQSQMQLISDWVVHRKNKSAFVSLINSQLYDQFQQNLKTVLNEQLFWGFLFLLTK YQNSILFKMKCRLCSGRLDSNNQRCSAEDWKKFCKSKEGQTSLKVIEHDFQVINQFWDSI IPETLKSLQGTQDQLLLSILKVCNKNQIEKQSFDCIFKNAAKAVLEAVKLRIIQQKNNIS LQLLRFGQHLQNSLDMNQLFKFKGPKQIDFFKYYEQVENFTTQQILQKLNIQL >CAK68898 pep:novel supercontig:GCA_000165425.1:CT868063:349165:350339:1 gene:GSPATT00007109001 transcript:CAK68898 MKATIAILLLVSIASATSTHDQIMALLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWIGAQTIEQFTKIKTLNQKLFQQSIENRVQFEQELSDTKNYLAWNEQRQDEIAR KIQVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQEKATSVAEK LKAYSTLFNENEVKSFLSLASKQEDGSVSRGATLAERVLAVLESLEANLQASLEALEVNE INASWELAGWVSLSEAEVANLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDAL DQAQSDLENKRADYAEAKAKRDEENAILEQVIIIFKKQVASWSGR >CAK68899 pep:novel supercontig:GCA_000165425.1:CT868063:350393:351911:1 gene:GSPATT00007110001 transcript:CAK68899 MDTQHLRISPYQLVFTMFPQEIASTRLQSVRMPQYKKNIWLQMIDILILKIYNQKEGQIP NIQYLNISNNLDSLEIFGPELQIDQIYNYLKLYTIQQKFEDNYQIIKLLGKGSFAKVYKV KKIHINLQSQDKQEYTYASKIFNKIKLRQNQEENEMSLWKEIEIMRLMKNKHIIKLFEVF EDEKKIYLLLDFLQGRDLLNHIYKNDNVYDETLVLKLMHNVLNGLSYIHSHNIIHRDIKP ENLILKQKNNIEDIILADFGLADFYNPDGDYLFKRCGSIGYIAPEMLNNEKYDYKVDVYS LGTVFFLLLTGQQAFEGQSSQEIFTRNQKGKIDFKLLDQTNISQAAKDLCMKMLKQNPIE RISIDEALIHPWLQRRKQNLYVEPFKIIKKPTIVRGLKDIVRCNTPLWINKSLKSITDSS DNQDYLTFNVRDQTIQDFVDEELLRQKRGSNNYDLEDDTIEEDQMPSYNVNKHFPLVKQN SFP >CAK68900 pep:novel supercontig:GCA_000165425.1:CT868063:352046:353337:-1 gene:GSPATT00007111001 transcript:CAK68900 MNFIKSIFSKSSTSQFQQKSKNQASEFSILDPQFTQVRLRDAKLLIADLRKLEQVLNYQP QTINEQLKKCYALHIILSSDQYQVIDKVVYYCEQNQIKPIRQNIQTKTAATTNINQTCQI MSDQQMIATQFQNSMYLNVSDQLQFYEQIHQNESEFTNNYFNYIQRVTQNSDIYNSCKFH QYPYEDETFNRKLQFVWLFKIINMLNYKLAFIPNLKFLFNCKTQNSFIIRDVAYLVYKDC LLEYGFLRNEISDMVESYSQFQVRESLQFYELVVFMLEINKKMKIFYDMRQQFALNSQSV RDIKWFEIDKKQLIEIEHYTSKAKLLNTVQFKKSLMVPTQKNQMENLQKIMLDSSLLENS FMKEPTTAKYNKKNNAQQYSPLNSKQTRISNHSRQLSKN >CAK68901 pep:novel supercontig:GCA_000165425.1:CT868063:353381:353731:-1 gene:GSPATT00007112001 transcript:CAK68901 MPPKVTPKSNTMKYRQNNQKLKGQGRNIDYKKFPQHTNKSRLISNGDIRRLARRGGVKRI SSDVYELSKLYMKLYISNILRDSMIYANYSGRATILADDICRAAKRAGQTAIGFTH >CAK68902 pep:novel supercontig:GCA_000165425.1:CT868063:353826:355622:-1 gene:GSPATT00007113001 transcript:CAK68902 MSNNEQTKQVQNYVLDRTLGKGTFGKVKLGYHTICDEYVAVKILEKRKIESDADFIRVQR EIAILRKVEHQNVIKLYEILESDTNLYLVMEYAKGGELFDYIVKKNQLSEPAATKYFIQL INAVEYLHSQKIVHRDLKPENLLLDEQRNLKVADFGLSNIYKDNDQLKTACGSPCYAAPE MLYGKLYGGQKSDIWSCGIILYAMLCGYLPFEHENTKKLYEMIKYEDYEKPKNISPVAQD LLKQLLTKDPQLRIGFNEIKQHPFYKKMVIQPQQGLVSKDQVVLKKLSELGYDVNNVIDQ VQKNKHNSNTAAYWLLMKKYSSNQQPIAKQNAQNLQQTQQHIKKNQVLQSQLQQIYQPME YRQLQSSTGGSNSREKIQQQRQLRYSLPYNKNRIDYSQFQDVHTGIQNKLHNISMQGKQT PSNIVDFVRQKTHSVQEKAHCHTPEKVDLVIRQPSKKIQLLMQRKKNPTEQIEKSEQTDN GLKEKIKDLTKRSRNKTQPLKKINDKMERYANNYTHQLIKQNSPQDSFIVKFYKPSIRVR HSSNQENSLKQNRLLTHNFV >CAK68903 pep:novel supercontig:GCA_000165425.1:CT868063:356630:357996:-1 gene:GSPATT00007114001 transcript:CAK68903 MDQRQENYKEVITDWIYNLKYILSVYLNNKNVPSDHPIYILGHRIDIDQFEIEDRINKIK QLVQETIWITYRRNYPPLYQSNYISDTGWGCMLRVGQMAMAQMLKKHLKNHGDKRDEDYD NIILAFADNDSQENKEFIEFQNSKDKQKAHNFICPFSIQKIAYLAKKEFNLDPGEWYRPN YILFLLELLHNTIPIRASENLKLSVFNDSCLFLDQLMNRMFEAKFETDKDLEEQLEKTQL IGKNSLAIFVLTRIGLDEPNQKYLKILDEIMELPYFQGIVGGTPKRAFYILGKINDHYLY LDPHYVQEAENKDQINENKMFNRTSYSCKNIHLLNQKHVDTSMGLSFYIRNQSELLQFWR NMKQIKQSSDDFFIFLSDSAPEYVDYSGQLEESSNKLNDDDVVFLQ >CAK68904 pep:novel supercontig:GCA_000165425.1:CT868063:358172:358725:1 gene:GSPATT00007115001 transcript:CAK68904 MANWSLKKYLELAKGFQGRARNTSKIMMNRVQKSLQYAYVSRRLRPRILRREWIQAINAG VREHRISYSQFIFGLNHSNIQLDRKILANLAINEPFSFKTVVDEVKIQNSMKEKPYDNVS LDQAYEKGLIANNVTQTWFKRGIKEGHKLYGLKQELTPEELKKIEKGE >CAK68905 pep:novel supercontig:GCA_000165425.1:CT868063:358862:359746:-1 gene:GSPATT00007117001 transcript:CAK68905 MIIFILFTAILCYRPIPQNQYIILSTSKFWFNYRQAINSLMIYQQLKEWRINDDQISLMI PEDTACNRKNNVPGVACAYDGQREPNLHKNVNLDFKRNDVNIKYWIDVMRNKYNRYTPQS RRLTLSKEQKLLMFMNGHGGDGYTKMQDTTYLLDFEMEKITKEMEFLQLYQEAFLISDSC GAITLFETVKAQNMILLGSSSLGEKAYSHGRCSILSISKTDKFSLTTHYWLKDELDKKPK LTLLDQMKKYNYDYHKANSKLIINMENKQAQDIKAADF >CAK68906 pep:novel supercontig:GCA_000165425.1:CT868063:361108:362342:1 gene:GSPATT00007118001 transcript:CAK68906 MQNQIDQGLLQEHEQNNVEGMENEYVDKHKQPTQIFEMGKEYFKQCHQLLAIYTALKFIA AITLITLRETIYGDEILQKNYHEIFNDAYLVFWMYISCAHSLFAFIYYIQLLMKIDNEVK CTQVVEEIGQMIVIEIPEGYTNQEREAFINDQVQIQNQEMIQRLEIDGQIEESRRISLIA TEIKKTLLQNERPLNILGKGCFVLFQFIQLWGLIFYFHKKSTTESNEDLKFYSLYKGYLF SVVFMGIYQYLEIYIITLLVLIFLPILLFYSLYDWIKNYCQKRRERRRIDDSLKESMYSL QETAEGENECAICMNQYEEKDKIAILPCSNKHRFHSTCVRSWLEINSKCPLCRSDVFTLP DEIF >CAK68907 pep:novel supercontig:GCA_000165425.1:CT868063:362480:363849:1 gene:GSPATT00007119001 transcript:CAK68907 MINLNEEQKIQQNKLVNIFGQHAKQAVIIFIMNALLRVQENDTFFMSITLYLTIIMFLFN CVAAVFLLCFKREFPKIEYFLIYTMNVFMFIILLVYSLNYFIQKKEQDHLLQLYLKTYIM ILLGKEIEILIIKIFPIELTNRSVNCFTSCIIAMMILSHGDNYECQNKYSMVLLSLLLSN ILTSIFNIVFTLILFNQNRSQKELRNFADLLLTVLFLVHLAQYVLVFIKTNAIIEQECQC LSFIFQFQKYVAPINFISFLFVIYQEVYYFKEAIKYQELIEKNTQHNNMCNTIQLIKLDS GGIQNQQPFKQGVRHSIKSLSSVVNPMEASQSNSQAPNFGTYAIQVNQSDNQGQKLEKMG TSQFGASQHNQGMQHSWANVQNPNPFGTIVKEQQDSIENQKRGSKSVDESLIRQEESQIP ENLSQVNNPMESGSVVTIH >CAK68908 pep:novel supercontig:GCA_000165425.1:CT868063:364156:364862:-1 gene:GSPATT00007120001 transcript:CAK68908 MNNNHYYRPTLTGNKNRRRVQESNFGALLKLYSNKNVNQKSSLLFHGSYISTLQNIIDSQ DIDTQFNQIYRSINVPNINGRSASKSRAKTEIDQSNLEFNYSNQKVDQSTAYFPRLKDRA SSEQKKLFKQKALNSENEDSSLIIRNLQKSLDYKIQPYRRQNFTPLKQQTIDVPRSLVKS EHLNEKEIMQFSFGLQYNRENIEKQKAKFPKDIFLGDVRRVKRIFQQQ >CAK68909 pep:novel supercontig:GCA_000165425.1:CT868063:365520:365948:1 gene:GSPATT00007121001 transcript:CAK68909 MYSQNHSIFNSLMKQLEPYQFDEPTLPQWQLSSIKQPILSPYFENASEIQSSNFEFVKVQ NGRASFKVTLPVKTSLKFELRPNLQVILGLGEGKLICKTEHSINEIPLKQPCNIMKPFEL INQGNKDCKIGIQVS >CAK68910 pep:novel supercontig:GCA_000165425.1:CT868063:367006:367312:1 gene:GSPATT00007122001 transcript:CAK68910 MAKSKNATSHHNARKHHRNGIKKLPNQRYRTLKGCNQRFAKNRRFAIKNDPSIKKNKSVE TRLAKRKANKNIH >CAK68911 pep:novel supercontig:GCA_000165425.1:CT868063:367562:369454:-1 gene:GSPATT00007123001 transcript:CAK68911 MILQQDLEEDQKRQVYEQLGDNPTDVDIKYVSYLRNIPLLTIKQWLDEREGLEVQIEIQP EEEKDIEVITQKKVKQQRFQNLQVKEEGISKQHASELQKKKNKTSESKDKGKQHKKKESN KSNLANKKIQKLNSKTSNISNHQSQDKKQSDNSSKNQNQKYQNKFFLLKQFDQIQQNLAE VVQNNIQLNQPQQEKPENQNHKQNDQLAKIRTGTLQQIKQTEQVSKPLRICIQNQDSIQK QTQQKIQSNTQSNDNKLNNSSTQSNQNSQAASTTQPNLQSQQQLRKDQANTTKSSNASQP SNQLKQPQTHHQKKEKIKHIIVLDDDFNLSSSNNNHKKQGTQPKQIGKDSNQQKQVTNIN QKLTNKDQNSFDQKNNIANSSQQIATQISKQIPINKNQKDNIDQKQKSPHDQEQNYENEQ KQLQKELGIISKSSQQQQSQQELSKQTTIIEPFNCSNQPVEQIQSSIIANQYLQQQNLNE TQDKICIQQIVEQGLSQNQEQNDISEVHSNIQSQRFTSINSQQSHLLCSNNQNLLTPQKS QNIDYLINKQASVTSELSLIQQQLNQALHQPNSINHEVKQQYYGSQEMMSLLNQHSQTLT QVVSMLSTIGNFQFSLMERLNLVYNSVNNR >CAK68912 pep:novel supercontig:GCA_000165425.1:CT868063:369790:370274:1 gene:GSPATT00007124001 transcript:CAK68912 MTSKVHSQLNESDIQKVNYPDLAEVRYDQNSDKIFVGIPTQNHYIQNGIPIPNGFLITPI YNNEIPCTNVPFDAQGSVIPIQMQCKYCRKIGTTIMQHRAGPQTWIVSFLLFIFFLPLFF LPFLLENCKDKVHYCPNCGQCVGKKKYKLCNSD >CAK68913 pep:novel supercontig:GCA_000165425.1:CT868063:370714:371004:1 gene:GSPATT00007125001 transcript:CAK68913 MMQNQLSKQDGINTIRIDFNSSVQRQEEDQESDQSKEDINNSQSKDSENDNQSELLIKMI KNTLYIYAYFCISIRILNLFKPKYNFIWNMYEHIKK >CAK68914 pep:novel supercontig:GCA_000165425.1:CT868063:371041:373579:-1 gene:GSPATT00007126001 transcript:CAK68914 MSQQFSVEYLRINPYRYLRLPSLNATGSQFFNLSQEMVTSRTQKNLVKQKLQALSHKKED SKNFYHHSTIYNVNEFKELSKPQEYVHSMTYSSYNEPNHTKYNQMQTKMMVADFKKKVDA FLQKNIYKTNLSSKIPSSLYELESFQSTNNEEQLNKSLCSNKFTIRTEYKSMKATEILVI SNNKVVSRQIITSELIQQRLLKQMENFLKDDRYNYDLKMQTMIDQYEDIVNRIRQKAYTI KIPYNYEIQMSDYNEFYQKKEEPQIPFINDKFELLADQIIQEIQQSRHSTPILQTEINEE QQEEHFQQIDEIVKTEPVYFKEEVTPKQMDFSKEQVPERKSSQRRAKPRKKQQQQQQQKQ HESVSETSNPQSQQSSQSELPDIPSTQQFHKTKQIRNNQQNHQTVQIQQQNIETDNHQQH EETQNQHYVQNSNKKQVTHEKHKGKVHSRKLTGDNGVKRQVVPTYLDVPKEEVLETLSEK SHEETFQFEDIQTQKQESGKQEDSAIQQLENQDNEEKDGQNCQEETNQQAQSVSQQESNY PLSQNKQLHNPEQRDAVDIWDNTKPVAIKPNPKYKQIQQENKQIEQKQKGDVNVNQNQQF TDNVLSNSRKPSQNFEQSQIQQDVVNNTPLKSYKDLDKSHNQQLTQTYDLPKVNKGIQKI DINKQDEPKSIRKKEEIVEIKQQTDDSKDDILQIINEKMKIFEQKQQEKCLDIFDILLAS DSVYNVDIEKDKVSKSYKKQVSSLSFVFQQQGEEEVFSHQQSLNLFRVIWRHELQEVIPK LEQLNKHIVVMDESDDYSGTNVKGIEELNDKPEN >CAK68915 pep:novel supercontig:GCA_000165425.1:CT868063:374297:374843:1 gene:GSPATT00007127001 transcript:CAK68915 MTTINEMKDALKETLESRGVLPQLRARIRAEIFNALNEDPEDKPKLSMENMIINDLIREY MEYNHYSQTTSVFSSEAGMPQEMLDRGFITKKLKIVEDNNSKQTPLLYGLVFGMKKVINN QEVQNEPQTGPKYRNVYPESGQVVESNNEYEFQGGRRNISDQIFK >CAK68916 pep:novel supercontig:GCA_000165425.1:CT868063:374890:376363:1 gene:GSPATT00007128001 transcript:CAK68916 MSKKGIDWVSTLKKFVDSKQQRTAQEIDDETDQLFLDYIEQARKKEQEEDPSYKKIPKFF QKASVTNENQLSFRVRQEARTRFLNHKTGEILDKEDLEKLWTELKNNISPPDDKKERINY NSFLTIASLLPIKCRHFFSASTFLKFDRDEYGRIDIVAFFHSIVRKVNLFQTRIQISLYD SIGNGYLREKDLENYIFELIPTFPQLEKLDQNFYPFYVITAVRKFFFFLDSKRTGRIYIK DMLTSPILAELYELRQEKLTLEELGQNWFSKQSALKVYERYLKLDNDHNGLLSKQELSKY SWGLTDIFIDRVFEEYQTFEGEMDYKTFLDFVLAMENKKSQQAIQYFWRILNVYHKPAID SFVINMFFRPIIQKLEHKVDDKFGFNVEDVKDEIFDMAKPAISTAITLTDLQNCGQGDII ISMLIDAKAFYEYDQRESGQLLEVDDYEEF >CAK68917 pep:novel supercontig:GCA_000165425.1:CT868063:376852:377091:1 gene:GSPATT00007129001 transcript:CAK68917 MDTKKYSIMKGSKNGQAIQRQDKFKTNITKGSKKHRISFIDEIDKQHSLVQIHEVENWKI YNIEAPVDEVKQSCGCIII >CAK68918 pep:novel supercontig:GCA_000165425.1:CT868063:377578:379172:1 gene:GSPATT00007130001 transcript:CAK68918 MNKYEVLGVVGEGAYGVVLKCKNKETNEIVAIKKFKETEDNEIVKKSIQREVKVLRLLRH LNIVELKEAFKRKGRIYLVFEYVERNLLEVLEASPSGLEPLYIKKTIFQLLKAIYCCHQH DIVHRDIKPENLLISNASVLKLCDFGFARSLTAQTQDLTDYVATRWYRAPELLLSYSNYD KGVDMWAIGCLLCELTDGNPLFPGENEMDQLYLIQKMLGPLTPSQQETFSKNPRFLGMKF PEISKPETLEQRYLCKLPKRAINFVKGLLKMEPSERLTCRQALKHQYFEDLPEAMEFMKE LDLQIEQEKRQVSAGVNRTAQSPTSQQNVIRTKTSFKVPNYLGQQLNMQSTAYAYNIQQQ DQGQQQQNQQQQSTMKKTQSIDKLIPQYKDTKVGFVSDTNKIKISGSQFSQQQFAIAKQP QSIKIQNLNIIYNSNTFNSSQKKGGAQTKK >CAK68919 pep:novel supercontig:GCA_000165425.1:CT868063:379172:380021:1 gene:GSPATT00007131001 transcript:CAK68919 MSRGSQGHEFYITLFSPEGRLYQVEYAFKAVKTSGLTSIGVKGTDTVCLITEKRVPDKLI DEKSVTNLFNVSEKIGALTTGIPSDARALVTRMRYEAGEFRLKNGYYCPVDVLSKRMADL AQTNTQYYQMRSYGVETILCQYDDELGPLLYKLDPSGHYSGYKATASGVKEQEAINYLEK QIKKKADLNYDETIMLAIQTLQNVISQDFKPTDIEVGIVTKQDKKFHKLTNEQVDHYLNL IANRD >CAK68920 pep:novel supercontig:GCA_000165425.1:CT868063:380422:381811:1 gene:GSPATT00007132001 transcript:CAK68920 MIDYLRRDECIDPGSDKIIIGNKIERKIFEKIKMHPLEYECLKNLKLILSQMPPLRLPKY WQDSDYLRVLAFHDYDIAKSAQGLVLHLSWVHRIDLSIQPNELLVNFIQISQLNGVLYVN GFDKGFRPVIIINLQKALEYTLLQFQQAFDFLLCLIIRDVLISFYIESVVIMIDVNTHHL KISNSFSAALLNYIKSSQLNFYGRIHKIYILHEITKDIFYPYLNVLKPEFEEKTIVLEKK DIIQLQKQIDPQQLEQKFLGFSKNLQNNFWPPKNPTKSAENNVLKIQVDDSQSKSNNIVS IYKNESNQSSQFTLQVYNEENEIISSEIHQFQGDESVSELPEYYPDSILFAENSGQVSME CVLQELTSSYKALSSIQKEKDSQLFLEQRENTNQQTYKGIIKPNCNMESCQLM >CAK68921 pep:novel supercontig:GCA_000165425.1:CT868063:382538:383521:1 gene:GSPATT00007133001 transcript:CAK68921 MNKNMQIVISSIFAICGLIASQKFLRRFRRYIDLVFYGRVNTFPLTLSESKEFLLENPQS KYLYLTGHILPADQQQTRFLQLQCGTKDNLEFKVNEFQLLDIQHNERKNIKINGDSILLR GLFDYSKSYLYQQENMSFIKKILNKIPILNKKSRIVETHKGVQFGQFTTMLCEKNGDNFN LLYLSNYNYNNIYNQIHKDMFNAATVAQLLFILVSFSQLIYLIIQKLQQKNDEIQPLDQL GPPVFKNSISVVLNSQNDQDICVICLTRTRSVILEPCLHFILCQDCVNQLDKDICPFCRI KIEKKIKVLYNE >CAK68922 pep:novel supercontig:GCA_000165425.1:CT868063:383538:385610:-1 gene:GSPATT00007134001 transcript:CAK68922 MSASVADDLSEKEMIEFSEDEHLTFFFNIDQVYGEIPGVIQNYGTFAQSLQIGRQLSNLI IKNSIAEAQERFILRNIPINAISEIEAETKLLLNAKLNDGGIEVESCDLIEDQEPEPIFC DRWRRNRIQMMIGIYIILNQIDQENHENEQIDEKLTTDPNRVISKSRLYDTKKTIVRLPT TPQPQNQIESKIIQLFQEEYEDEYEEKLRNAKLYEMKKKNEIEQKKKEDKLQSQIEKKDG GTQKYTYDFDGKILLARAVKMDKLQPTNQKLKVEFKEPTKPDQQQQPIKKGGKRDSIKLN KPQCPEQEIPNRVLQERKDATKEVIGDKALRIDKTSQFPYEVFTMNNGVKLYFEHKMKEG VKHQISDSAEHLQIKLSGSNLLSGDDAQQFASQIRLTRAEYQLITDAQTLQAAKSQFMPN ETIHVPDHEQQTTQQSILLKKKLGEIGKTEFPLDGKQVQFNTGSNVLQPLRKEVPSQQAS QTERIQLKNVRMIENLIVTGLPDTPKSSKQELPLPQIPDGVSKNPIDIFNQQLLNQKEWG KQIGGKTTYFPPVKQQKRQLETKAYKGSLEQMYKMPRERLVAQTGRTAMNFYQSNQDGKI EYQILGLKKITKSLSEGLMQTFYTTHSKFSDKLRQ >CAK68923 pep:novel supercontig:GCA_000165425.1:CT868063:385612:386541:-1 gene:GSPATT00007135001 transcript:CAK68923 MPIVQGKAKLWHPTQEEQDAYDDRMIANIELKSLDFDDENFSPVFNRSKKEFFLSASEKY KKDLAKLSRPFQQYTCEEFVNKYIFIKPNHTYWREWTITKWLSGFGLGYLVLRELPLRNF YARVFVMWIFLAKLSDHFTSILPYHGKMVISTARDRFSNKDINQYHNVCSALHFLEMPTF QNRISESLAWRARQPAHLLYNDTNWCLHILKRWHGRPTHIAHWDGTFNQPLERLADPYHK DAHFIHWI >CAK68924 pep:novel supercontig:GCA_000165425.1:CT868063:386667:388345:1 gene:GSPATT00007136001 transcript:CAK68924 MLDIIHKKVKLDIEQIYIDKSCRTPNHQRENESQSTKLYQDVINDLPITGECDQSFSLDS EIIQLLKYSQYYGMQILSKFVDSSILIEKLRLKQVSLKKELMIYFLQNVRNQSPLLHDLI YLEAANLDKDIRSASIECMSRLKVNDDQEILNLIRKPKDSLELLSNHSKGLLVSILEDQY YIIRINAIRAIMNFNKQSTVFANHVLEILINMLNDECDLVRIEAIQVLLNYPKIEFNRGD SNAIKFNLNEQSFKLRKAIYDLIGQSIFPETLHDIFESICLNLTKFPQDFIYIANSVRQL ALKNPNILQIPDIIKRERLTQEPNIYQESQDYIVRMIYAYYTTRKESDPFYFSKHFAYFS DKYPDLFQSNKVTPDEFYAFKLYNDYQQLMKEVFNSLKIYRLQECKQIYSELCAKYEFDQ SIIPPPPLEYNHEFRVPNWEITKFQSRSFKFILPLEGTKFKVYPEFPLTFVIQGEFRNLK MPVSKNYAIQLLFMDGTSELNKISQIEDNIIKATLEMQRKVQDISQAAKILFVQLADGLQ LGNPINIYLQI >CAK68925 pep:novel supercontig:GCA_000165425.1:CT868063:388452:388908:1 gene:GSPATT00007137001 transcript:CAK68925 MNKIDIIKKFSLEYSDEFLKRVENQSLPQIIKLIFESPIAKIAKPIDLKNLKQLNKPTLF EISAVQNISEPKKTRYMNTKDCTLQFIFYPNIVAISLQKHPELDQDLFQLEGKKILIPQG TEICRSILILKQFTLINDYNQLL >CAK68926 pep:novel supercontig:GCA_000165425.1:CT868063:389229:390906:1 gene:GSPATT00007138001 transcript:CAK68926 MLLYFLQKEPDERCHKDHLAIEDQLICLQYFDLLLNQTKTKLYKALLHVMAQNAVLTNYE SGTIIWKFNDKQDKIMILYSGQIQEYREISEDELEEKRKSLMRLYKSDIFIDRPGRKVTA TYQQNGSLSFTINDQPRLRRAQVCEIPQKIELKRTSSIRHNRFPQLPQQYLQALNTELHQ LLKGIILHNESYFQYLLNQCVCNTKQTHSFQEGELLNNDPLLKKHNTMLLALTDCVIIQM NLSDYQNVENQIKIQKQSKIYRQLEAGFVSEIAKSETEMHQLIKTLMGKFIKFKYNINST IYQKGQSLTYIYVIVSGECQINDDNNALARVNQGCLLGEESFDNAQYQYKCVTTTKCKLY GVKIIDINILCQRYNWFKNQLLKKKQIKSNWLNSRCIDQQNRKRIDSTTLKSQFHIQINK IPTTSQQYNKTHRSTQSQNIFDKNQYQEIMSYRGQYRNSSRQKIKMKLPKQHQSQPSFSK DFSNDFDIVPMLMNLDKQQSNNLKELLKKGKIKPYQQFQNQNQKPSTAQLLSIPCKESTL KIMKRIQQYLG >CAK68927 pep:novel supercontig:GCA_000165425.1:CT868063:391048:401165:-1 gene:GSPATT00007139001 transcript:CAK68927 MEMKVKFQQPLKIKFVHKGRKMTHHLIQILMMKMMIMEEILNELNKRAKKRMTIINTMMS KLIIKIQKINSMSNHKSQFKRRNLKWLKKLLQKKVIQNFNLQYRQNKKQKENQNSNRKPR LKVKVQFKDLNRQMQNLNNPVQEEEPEVVEEVVVKKSNPKLQSPISAKQKAERELKQQQK AQAQGQGQVQGPQQGVAKPQQPVQEEEPEVVEEVIVKKSVPKLESPISAKQKAERELKQQ QKAQAQGQGQGPKPQQPVQEEEPEVVEDVIVKKSVPKLESPISAKQKAERELKQQQKAQA QGQGQVQGPQQGVAKPQQPVQEEEPEVVEEVIVKKSVPKLESPISAKQKAERELKQQQKA QAQGQGPKPQQPVQEEEPEVVEEVIVKKSVPKLESPISAKQKAERELKQQQKAQAQGQGQ GPKPQQPVQEEEPEVVEEVIVKKSVPKLESPISAKQKAERELKQQQKAQAQGQGQGPKPQ QPVQEEEPEVVEEVIVKKSVPKLESPISAKQKAERELKQQQKAQAQGQGQVQGPQQGVAK PQQPLQEDEPEVVEDVIVKKSVPKLESPISAKQKAERELKQQQKAQAQGQGQGQGQGQGQ GQGQGQGQGQGQGQGQGQGQGQGQGQGQGQGQGQGQGPKPQQPVQEEEPEVVEDVIVKKS VPKLESPISAKQKAERELKQQQKAQAQAQAQGPQQVDAKPQQPVQEEEPEVVEDVIVKKS VQKLESPISAKQKAERELKQQQKSQAQAQAQAQGPQQVVAKPQQPLQEEEPEVVEEVVVK KSNPKLQSPISAKQKAERELKQQQKAQAQKPQQVIEDKHEQKTDDPAPQTSDQYIKEDEE LKNDNIPAEAQSSLNQEDNNDYTDEDSKDPDGNYQASEGEVKDIEEGKEEYIEVEVEVEE EVPDGKGGKKKIKKLVKKLVKKTKQKLAKLGKNIKKSVVQKPSSQNQAKSDATEQQKSEG QIPLTTSQKPISKKILFNSGSDTQMEPEEQENFQVDSQKEDNYIYFEEFDDKIIYYEYDP VDNTFRRSSVVQKTKNQRSLIIQSWDDQFDSFSNEESYNNDGKKEGDEQTGTEQDEQKQQ QNKKPQVESEKQQDNPQKQQSQESLESDKNSQKQKEQVSNNHESDQQNPVTNSKEEQNPK EASQLVSDALKLEKTKVEETQNKENKQIVKEIERDSSIKIHSLKDEYNPNEPTQYVPPKH KVNTRPSQEKIIIPVSTKYVSSAFDGEPAEQYEFADREMLQDSDEYGYGFWLRYTESAPK IHSRQAQTFYFISRLTSNQDYKDFTFYGDRTLSIFLLENTFVFSTYDHGDKKKVKDSVVA LNEELESMWYFITFSYSLAKKSAIGFVLGYGNNGKLLKTEISCLHVPPTYFKLIIGGKHL SYQGFNGQFANIFYDIDAPAFIDSEQKLNELIKSVSNPPQAVSSLTDLEVLTTPKQFSAN DKGDSQVLNPQESSLIIEEYSIAGWFRWIDDLKVDEQNTFQIFNLRSTQKKQPNKGVLGD RALEIHYTYGGGAKSTVYFNTYTIQGNKAKGSSYISKNVESPNLIWTYVYFGYDNDKLKT YGALIRPGKADEVVLDPIQHKLVTKLYFTIGGDEQISSFNGKIGYVGIYLGPGAFKQSLD FGQQYFYGDGAVGVYQLVKPIQYKDDAADPNLVRDSQYDAEQPIVDKILLHDDNKLRLNG QSEYSFSLWTRWLQTLPKFLASRGAVHNIARFGTAPYLIEQVDGKLKRANTRPSTEKDQT LAVTLSKDAYEFYTYKAKDEIQFDNIEGSWNYVYFGYKRVGTNGIAKGYVQFGLEGEIKE VVFDILHDFLLEYVEFVIGKSSAPLFNGQLCKIQCSIGPGSYISSADDLKLSTQNTLPDK AQIRPISRQTQQLIGTPVDQPSQKFQFDKFQGIKEYSISGWVKWSGAQKLGKTFHIASMA QKKLDDLNGKFEQTLQILRSDQSYNFNTYSCKGDDCSGVVTQEQQLGEYWDQWTYIYYGY SQVMKKTFGYVKFTFTDAKFNQDQVTHFYVAVFSIIISSEEQKFQGSMKTWVINVGEGSY REGNFDSDENIKVHFGFVSGTDHIKLEQAGQEAHHNEQVLECGSNEKDVPLHVQFEQSEK LHLHGVSEYGYGFWARFQHYGKKSILYQQPQWMGLARLTSQKDYKDFEQPGDRGKGVHHF STYNVQPQSNNVNGNIPYLIESESEWTYIYFSYKRISQTVGHAVAFTSYNDITAGIQMDV LHNLLQDYLQLTVGHAGRFYPNFNGQITTVRFNLGPGAFIDNKQGILARIKNKDPKPEII SNQKQFEVIAGKQDATNLKIENPIVIEQEAREYSVQLWFRWFKTATKPNQVIYRLTSNKG EDDAKNVGDKVLMLAHIGTALFSTYSLQDYTLNIPYECNIPKQQLEIWTFAYFAYSKKER KIQYYLRADTHENKGLEPVLHAVASKHLLFVARDGFLENYSSRLAQLTVNFGEGAFRNDN FLQLPVYILGPKLFSQEKIHKWEKSEKQILGQPQTIRFNDQPDKPIESMQEYSIGFWCRF LQAWPERLYRLPLEMQLVRLTYNEKLEVGKVALGDRILASHLIQSGFQFSTYDLNDDAPN ELHQIPNQRIEGQWHYIYMGYIRSKQVASFFVYDGQDMQSAKNQDALHKPLGDFVILHIG GEPEVPSFQGIISKIALSFGPGSFFGLAEEVKKTIDSNYALDQSLTVGFIHKEKHGQQEL IGKLESVTDEIGGTELKGETWSSVGEYAISGWFKASEVSGQSANDCQVLFRVTNNDREHL NDKRSQGDRTLFASVCVDTLKLSTYTLSGLKDWNEAKFLEENVPLGPNKRAWIYIYMGYN EDIQEVHALVHLFEEDKPLIFKGVQHFVPHYTGIYVAKDPFTKRFQGEIQKWVASYGFGA FVSVQKRGYEDLLLNYNALAINQKYMWFNKEDQVVETEKTIVQEFTQEAESVDEYSIGLW TRWLVSFPTTLTERQPQHNIFRFSSNKEDQDKSELGDRVLAAYLTIGNYEFSTYDINKPS NALDAKLPYVELEGTWTYVYAAYKSGQFYGMVLFREQQKAQHVELQVQHKALTGYAKFVM GAKEFGRKGFHGWLFDPRIFLGTGAFINESQKVVDMVLKLHRKLPVPALDAEDFKWPVNI IDTTLSDDVNEKKDKLQFAFTNKVGLLEYSFGFWMQNGVLQPEMSDDLRGLVRLTTNNEG SDERYIGDRTLAVFTKIDQLVACTYTLKDPSFEPVSHQFDLIPYQWTYVYFGYTQGKARA YVLGIKGPAEQILSVKHAVPNAFYLNVIKDQSHPLFYGKFYGLKVNFGQGSYIENPQEMI EKWPYDPKSLPVPEPKEEKVLALNSAKVDRAPHTQHEQFKE >CAK68928 pep:novel supercontig:GCA_000165425.1:CT868063:401450:403644:-1 gene:GSPATT00007140001 transcript:CAK68928 MKQLILVLLLVAVANQTNSKDQMTLGRELKLEEAFHLSIDRFNCEMEPRFYDFVIQELFK WIDIIDNQQKLQTDLQIIEQIVKLVEKVKHIEGIQQEMFLQISERLSSSVLEISLAQKQS SWAKFSIDEILQQMREFSYVTTQNERATKANTIIKNLYNIERQLQQYLNQSYQYPNSQEL YRKIQELQNKKELCQSQFPQDYTGSTRLKPGRSDLAESDDPRFDNQSSHQQTANQDKPFQ SNPNYQGQPKEARQPSTRLPPGRYDQPESNDPRFDNQSSHQQAANQDKPFQSNPNYQGQP KEARQPSTRLPPGRYDQPESNDPRFDNQSSHQQAANQDKPFQSNPTYQGQPKEARQPSTR LPPGRSDQPESNDPRFDNQSSHQQAANQDKPFQSNPTYQGQPKEARQPSTRLPPGRSDQP ESRDPRFDNQSSHQQAANQDKPFLIKSNFIKDIPKEARSPSTRLPPGKSDQPESNDPRFD KQSSHQQAANQDQPFQSYPTYKKNQYISTENQDQQSSITDGNVSNYLEDLNEDKQYQENL MYDENPKDSQRQSTRLSPGRSDQPESNDPRFDNQSSHQQAANQDKTFQSNPTYQGQPKEA RQPSTRLPPGRSDQPESNDPRFDNQSSHQQAANQDKPFQSNPNYQGQPKEARQPSTRLPP GRYDQPESNDPRFDNQSSHQQAANQDKPFQSNPTYQGQPKEARITFYQTPSRKI >CAK68929 pep:novel supercontig:GCA_000165425.1:CT868063:405660:406798:1 gene:GSPATT00007141001 transcript:CAK68929 MNCSLFSYYVEQTLPNYVEQKLEECFVQLSNNQNIIMCVDLICEQIEFCRKLCNRLQAQK QLLILIKLLEHQIYSIKDVEKNNTYLLSYQDVYVFQIILRMLCIMIQFESKKYDDNFDND YTEKFSNDLVKCFLILRTNQTNELDQQLLEMFLKLQSRSVLFYKYLTKLSEQFQLRNCCL SLRKLKLELKKKQREQIIGKRRQCDSIKQEFKEDCSNSFFEQNDFRIKDKRLQMIMNVKQ PKQEQQLISFQDILKQKENTKFINTTESTLSRPSLNKRTINGGINGKYKLGNEIKVLRKT TSSSNKKNNKDEMQPYQILQQQYQLEFCREVSRKLLKLQQKKQMNWNSEYLAADTESDSC FN >CAK68930 pep:novel supercontig:GCA_000165425.1:CT868063:406877:408059:1 gene:GSPATT00007142001 transcript:CAK68930 MKTLFLIALIAIAFTARVQERSLSKITTDLKKSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQQRTNEHNSLVIGYEQDIQDAVIDVNNTQDTLDNLLFPRREQ LQVRIEQIQENQEANRKNYDEAVLTREQEHEDFEFQIAELNDATTAVDDALALLSSLTNP SLLQIKRFQNSLKNIEQKIKSRSKMAPMIKALITLASNQNFSDQGIIGQIVDTLNEFRNA IVDSINAQTAAEADAQAEHEEYLEQLDAEYAEFQRQINRVNVDLTATNEKIDQLSEFRDQ READRKQYTAELELENNTYAEETDIYTNLKNEFTRELGISEQALSVVQSADFSNIQV >CAK68931 pep:novel supercontig:GCA_000165425.1:CT868063:409578:410588:1 gene:GSPATT00007143001 transcript:CAK68931 MIHLKIHFKAEFGLALYVSGNSKYLGQWNPKQAIRMNWNENDIWEVEVAYHEMEYKYFIS QYESVQTILWESGPNRVTTNHAIDIWNYRKVCFQCLNPSNYEVYISGSPESMGQFQKRIR MKNKQGISQYVCQLDITDSQIQYQYHFLTKSEFSSPVYKLNLEQEQSYYKDALLVYQDGL AKVKQMLFQLNKNICYGYVPQTKEDYRTLKKFNLKTIVEFCNTQEKSLLEQQHQKDDCLH MIVNLYHFKQENFTQRLLQLIQVFIQKYKFIYICNNSLSHLRKYLQVYEKLSKCQ >CAK68932 pep:novel supercontig:GCA_000165425.1:CT868063:410598:411749:-1 gene:GSPATT00007144001 transcript:CAK68932 MQLNLLDYCQALNYKVNGKKIIWVNDVLQYNMKFACSVKCYKNPNNYNQIKKNNLPQNLL DMKKTIKIDQDPCILAKLHKFDCLSIFLLAKLQYNELREDYIKALKEIQQDKDQNALINN PYKSASLYISCCHKQDFQCEQCKCETFCSKYCDCPSNLCLKKYRGCNCKDRCSFDSRCSC RKDNMECDPLVCKCCSIDSNFICSNTQILIKNVKPTLLARSTVCSGLGLFSKHFIMKGEL IILYIGEAIIDDEDEIRDQFDDAFSFYNYQLSDERYSLDSRFCGNESRFINHNSLNLNNC RTNQIFTCGQYQLAIFAIKNIDPEQEILLNYNEGESLNKEVHNWNEQQQQFWNYIQNTDT KER >CAK68933 pep:novel supercontig:GCA_000165425.1:CT868063:412380:412924:-1 gene:GSPATT00007145001 transcript:CAK68933 MDKDQETNNLASTPKNTTQSPLDYKVEETDSFWNYNLRQKGNLNLQRIFDYNPKSDELIQ QVEQNIKFLLKPQIDQFLKINKINVKLLKYPKIEWIPLKKQVQKIKKSNLPIDTQVFLLL HYNQNVIIHNISPQSVHQYTNYFQIDQNIKIRSLRCPIQIQFYY >CAK68934 pep:novel supercontig:GCA_000165425.1:CT868063:413205:413724:-1 gene:GSPATT00007146001 transcript:CAK68934 MSAKKIKSPSHKCFPTTRGHEIKIISKIDCFINSNAKMTQSCKQQVEHQTDLNQNKTVSI KAQNKNSQNADKEALINQLLLKNLKLKEEGDKKNKLIEMLIDDRTEIKRVTSLHLPQDKD NQQSKSNFRLQSPNHMEFTFYKSPNKGLPKEFQITPSKKMFF >CAK68935 pep:novel supercontig:GCA_000165425.1:CT868063:413781:414367:-1 gene:GSPATT00007147001 transcript:CAK68935 MNSTSVGTRMWSNRKSMSNLTINKLLLPTNQKSREKNCNSNNQQISDQLIEENMFFLPKR TLRRVKHSDQNILTTSVTSKSLQSQMINKYFQESLQFRQQQSRKEIVIKELVSPSKPFIF KRKETALNTEPCSEIQQQKLMPLLKSSINYDDQYKFTFYSPKTQDHKLRLPKEFQILPCS KKRYFI >CAK68936 pep:novel supercontig:GCA_000165425.1:CT868063:414593:415518:-1 gene:GSPATT00007148001 transcript:CAK68936 MKKRETDSIIFRNIQKKKQNYQCGCHALQASNSKSSFKSFSTKFPYIIEQNNEKNDKQND SLFEFTLKFLNGIIESRSRVQDFKKNFRQLPTKKDILLDHCNQLIEIFQFQQLCFVYKNN SRLKKTFTSSINILMRHKNQFQLKNGKTQSIYSTESTIQTPSRQLSPKSPQIWTSSALSY SNLPIQSDAQYQAKIKELLEENQKLVQKSSAQDLIINKLQQMGEQEPIRSSRVNTLNNAR YNDKKQMMKIQKPIYTRERESKDDKMLFTFFSPEPNQKTSVCKLPKVFQVMPKKRKYFI >CAK68937 pep:novel supercontig:GCA_000165425.1:CT868063:416088:417151:1 gene:GSPATT00007149001 transcript:CAK68937 MFNGYQYDKQLAFTKEIDKIKTDYLKQRENRKGNSNVQLELNQRLSKNYKKRIENFVINM LEKPVVCNEYKPPEAYQFRDEDPTRNLGDPQIYVKGFKHEKDRIQEAQEKNNNLDFLPNL KAGKYCFRERDPSKDIKRDVFRYRDKTALARIEQFLKDHTQSQVENMKLDHKKILNLEHF SEGMSSLERKAYLSRLIAKNLLPSLHNKTHFQAAQTMYNNLPLTLMEHARSLPQVHTQED SRRKPPTSQDRQKTISYENQIKQEDNGTQPKQGNETETFNPVETSKQILKKCNVIKERNI KAPVVHSGSGHLISTLDKSISEIYKELYKVEIGQSKLR >CAK68938 pep:novel supercontig:GCA_000165425.1:CT868063:417188:417703:1 gene:GSPATT00007150001 transcript:CAK68938 MWEIKIKKENSLPDPVGYKKAFDDCQGQELRDDLEKKAMGIAKGGFGNIFMIMFTLYMTG NMMNIFTIVIIGQFLWQAISTIAKMDQAFSLLENRGISLFFYKLIYLSAGLLQLGVVLYK LYNIGLLPLNSADWIDLVPLHHQEEIVVPYSYN >CAK68939 pep:novel supercontig:GCA_000165425.1:CT868063:417788:419017:1 gene:GSPATT00007151001 transcript:CAK68939 MNCQNSKCNEQIQYNSIINGTQITCDNCSNVFYCSIKCRDFDWEGHHQLVCHESFEITPK LTVSQLDGEMSLIGNGSFGIVYLKQLNGYNFAIKKINKNLAYRELKIHKQLKHKHIIQLL QFIEKDDHIYLILEYARIDQISYLENGHLTTNMQVDPKQIIVQLCNALQYLHNKGIIHRD IKPTNVLLSGNNNVKLCDFGLATHKNVISNFSGTYEFMAPEILRNYPQSFSVDIWSLGCL LYWMLEKKPIISGNEAEMIEQILNFTEPKFTITDIYAKDLIKKMLVIEPNDRITSFLNNL KIEEISNSYSDETQASYHPQQAAPQIHSDDRNVFQRIASLFLCMARDK >CAK68940 pep:novel supercontig:GCA_000165425.1:CT868063:419415:420597:1 gene:GSPATT00007152001 transcript:CAK68940 MITNFIILVYLASWTLFILVMILIGYLIDKSYRLASISPQINNKARAETTFAIQPITSKI QNHTRTQQITRLENNELDDFFNNPVDNSPNQIQDDQYYSNVERNITEQTSPVRLTVESKQ MQSPRTNRTPQRSNTLRRTILRKPTIGTYEGIDTIQTTNRKSLRTSRRGLSQIQNDRSSV GDSTPRSTNQKQLQNQTRISQFSILGKLEPIQQDPNYMIPKPENDIYKCHQFSKIFYSHK EGISRIFMIFTIYFRQLICLDVSGVLLHYLPNLNFWIIIAITTSTCLILKITDYHAIKGI VRHHQKLKYVQIVFWISSIGIIIWFIMFLSFDNLQWFIEYLPSLLLDLIVIDPLKYFMIK YCLQEPKKIREPKSKVDVLKVMQQIK >CAK68941 pep:novel supercontig:GCA_000165425.1:CT868063:420756:421698:-1 gene:GSPATT00007153001 transcript:CAK68941 MSELEYVNLEKQSKGNKNRNNNQFNRRGRRGDRNFSNRGTRPVWKQRQIQSRQQQQQGQQ RQNRFRRIPTGQARRQRINRIQNRPRQQQRERERGRDRVRDRERDRDEERDRVRNREEQR YNNRDRDDDRDREVNSKGPRPNVIVRGLDPHQTETGLREFCSQYGPMEMCKLERDNFGNV KPEGIGLIRFFKQDNAELFVSKVDGEVVNVNGDDKSLRRLSAKLVGQNNKNNTDRQTSGI LKISRGPIQKSWRR >CAK68942 pep:novel supercontig:GCA_000165425.1:CT868063:421761:422884:-1 gene:GSPATT00007154001 transcript:CAK68942 MDQQNSANPEENSLQARNRRRRNDSNNRDFTCGCGKSYLSYAALYTHLKQKHDSVAPDGT QLPNNANQRPGRGRPRRQEDQDRKSAKSDDGQSESGNEPDETLEGLLTFLDSLGNFRQTE KFSNDVEVQEFLLKHFPSNNVFSNCSEYQGIYDLLKDLTDEKIKLQDTDLLANEPFDKDK SLRKTNVAKILAYFLTQIGPKLCVEAYKEMAIFIIFYQKCLNTFGYQAKENYLQDQKNGD HKQLDIKEEVIQNQEFCDVQNGEYMLLIANEFILSFLPSSYMGLETIEKNFKIFGSSAEK LKNAVYVTQHFSYWLYSLKFTNSRLDFYTEDD >CAK68943 pep:novel supercontig:GCA_000165425.1:CT868063:422964:424611:-1 gene:GSPATT00007155001 transcript:CAK68943 MLQNKPSQDAFDEVQGSFQMDDQFNVQEGYRNSIDEIPANQLSGDEALEKSFMQSTGQSS IWQKRGQKTSIPMTSVIIMKSMVGVGILGIPYVASNFGAILTILILMVIFINGILSSNLL LKSKNLSKRSNFSTIGFYIFKHKWIIILVNVMIILSNLGVCLSELIIFGDTVGNLMNYFT DRTTEEQPFYLTRPIFLIVLSIFLLPFLLVKSIEKLRFVSLFAILSISSFSCLVIYNFFT IDKTNSQFSWWIPDNFEIKRALASMPTLILAFNWQFNLFPIYKGMAKPSDSNLLTSTILG FCQGSILYLIVGLLGYATYGKNIEPNFLLSIKEQDVGAVLFVILNLSFVFSTTLTLPVIF FGGRNNFIQMIKQFTENKKVNILAKKKLLDSSQNEQYYKELLQFRKKSQAIRFYGISISL FILLAIGAVFIQNLGTVYNLLGAIACNAIQLGLPTLFYVFLVKQVKKMKFRNNLNRLFYF FVCGLLCVSIILTFLCVTCEFIQPEKA >CAK68944 pep:novel supercontig:GCA_000165425.1:CT868063:424814:425903:-1 gene:GSPATT00007156001 transcript:CAK68944 MQVQYVNKKQTPNDYSREMYSHPNSHQTSNKDLLNLTSKQKLNKSCNYNSNLHQRLQSKA GGDIKQKLLDEILRGSHSNISTSYLISTLKEKVSEKVNQKVQKYNTCQEASSFTLPEKEL SPKPMFKILENSLFAECQNLKNQVSLHSFQELLDKMEIKEQSLKISIFADLKKLFIILAQ TIRNDVQTQKKEIESLVRQNNSLKSQIQEMNFQLDKFKSVIEDQQKQIKIKNEENFISIM NTLRSHGVDPRKMLKKCQDNVETCKLKQDQSEFMDESQKYSSDDSFPFVDPQTIIKNEKK VVGLALNLNPLKDPKKAPIGYQDEFMANINEFSESWRQQALAEKRF >CAK68945 pep:novel supercontig:GCA_000165425.1:CT868063:425988:426883:1 gene:GSPATT00007157001 transcript:CAK68945 MLNSSIYSSPNTQKQRSSSMMMSLIYGTSVSPNKSRVIQLSEKLSQLSIDEDRTLKKETY EEKLNSINQKVQKAHQNDLSRLQSLQEQLTKMEETLRNDQIIRNTNSKNFHQTALKEQEK NVQQSIQKDKLHRKTFEMRLTKLLDEQSYKMRLELARQQQYRKETEEQYQIEIEHKIQNL SQDVRNEKREREMIYQEFVRRMGEQVFSVSETLNQEKKQRSDSQNQMEVMIQEINNILNL QLAEEQLQRDETERTMIRLLNETCNRVENSLRK >CAK68946 pep:novel supercontig:GCA_000165425.1:CT868063:426931:427566:-1 gene:GSPATT00007158001 transcript:CAK68946 MQTLLQTFQQKLSLSFSVVKESTPQILFGISLLSCLYLLNQQQKEEKQIEPEKTEIKQKK KASSQKSLDHNKPQLNDVIQDLKKSQKKLNKKMNKQFDDSIDYTEENIPKSPDYSVISEI DQKYFLEMFQNQKKSKRAYQTDCESSPIISLRGFRRNQQLIGEEDKKGEDRLFYILKQRV IEQEEKENEIDEDDY >CAK68947 pep:novel supercontig:GCA_000165425.1:CT868063:427589:429505:1 gene:GSPATT00007159001 transcript:CAK68947 MLSISNTQKSLQVHSQKHLHRKNLYSRNLTLDNVDANKLRVKYIMHDKESLQEEIQNLKQ ENNQLKLTLKQFQSQIQYFKRELQSISKDEDTPPKSFSKLKQGYLEKITRLEDDNIRLQQ QLEEQQQYIQQLQNPFNKSNVENLCMTLSEDNMKLNQLIQQHQQSADQTQVFKFNYNKMN IKYNAILNKYKQLKNLNGQLLLEIAELKKKDTLYLERPQKNSCSWILTKLLSILEMKGRK NKYLENMMQKIQAENQEQIENLEKKLSDQERQYEALQKEYDLEKSQKYQSKRTILIKNNG PQQPEEQVQQQEENELQKKKIINVDKNDIMTIARHVKLNLIGLKISLQEVEQYLLTHENL TQHELKQNLSNRIFGLQSLEQIEMAAIYLADVDNETETTTSARVKSIFKTLMENYQILTQ QQLNSINSQIMKKKNEISDILIKKYPETYTNGYINIDIYLDVLQQVEIALSKLEIDHFYA LITKQNRNQKILLQQIYSPFDVNQNEEDNSEEQPQINLNNDVPTSQLNEVHNKHQQESVN FIKDNNQTENVNNQNKNELKNRETDGIEQQELQDGEQQSLEEKDTFQDIQNGDPDLKMTD SQEIKKKKLT >CAK68948 pep:novel supercontig:GCA_000165425.1:CT868063:430822:431556:-1 gene:GSPATT00007160001 transcript:CAK68948 MIQQLNKWDCILEPILKNNVYMQNTYQILSAGLYLADIDCALSLDLLQSLQIGALLSVID EPKVDASAYIIHEVINIPDCTQQNIQEYFPQTNQFIEQHRQHTNVMVHCFAGISRSASVI IAYLMFKFQWGFQTALNYVVSKRPQVKPNFGFIQQLIQYDKQNKTRQSSQQHQHQGSNPN KNEKNLSQSDSKSNRQQVHSKLDLNQLDAEIAQRQQELQLLKLQYQQLNEKIISRNTKNK QSQE >CAK68949 pep:novel supercontig:GCA_000165425.1:CT868063:431717:433047:-1 gene:GSPATT00007161001 transcript:CAK68949 MGICTSKKKVDENIQIVPYKVCITAFIWNKESHNLFDFESNLAAKKEIELDFSGKCYSKQ LATLSMVDNQIIALTDNEYVNKQIQLLRVDCEQNYMMLSGMSQKSDQRVWAILCNRPDFE SLNEWELQKGDMIKLGRMKLQLLEINYDIDALQQSKEQAEEDDEQQSKDLELEASQCRIC FSKSGSFSNPLFSPCKCTGSMKYVHLNCLQIWIQQSIKIKNQHSSIQYIWKKMECEICKM QLQSTYTYQGQIFCIMQIQKPVVPYIVWKITSDGRSKEGTIQVMELLDKQEIKIGRVPDC DIKLKDISVSRSHAIIKVIKSEDNRYKLLVQDNNSKFGTLLHAQSERLLRYELQYPQKVL YQIGRVLLYVQLKEKGKSYNKQYSSSISQIYLLQASQLNSSFTQKKHQ >CAK68950 pep:novel supercontig:GCA_000165425.1:CT868063:433075:434784:1 gene:GSPATT00007162001 transcript:CAK68950 MLQQSQQIDYLYIPTSKNLQQLLKTTTFWTIGNIQSTHLIKSQLLNRTKNKNCEYALTNN GYLIKFGTSNTKYCYLLNNLYIITYTNKYFTVRSQDKSKEYQGPNEKLTRIWIEYLTRFC IIRGEVYNRFKFINQISNGNYSKGYLIENDEKRQFVCKSFQKADLQMVIKLKDSVIGEIL LLRQVNHPNVIKLFEIHEDSKNIYLIYEWAMGELFQEFQQIKAKKSRFTEQKVSHIMRQI FLGLSYIHSLNIMHRDIKLENILIKDQSSIIIADFGLAAKKLPKFEFKKYGTPGYIAPEV LNLKVYNEKVDIFSAGVVAYILLTQKPLFIGSTISAILNQNTAGKIDFEKPSFINLSKDA QFFLRKVLSLEEDQRPSAQDCLESSFLKNQFQLNEIGSPIKPNLNIRLSYLGSSILPQQI NLSRAKRKSMRQYILLKQAIITEKQKQQILPQIAEQQNGEEEDNERLIVQESVKQIAGSF YTENQKGSQNSDDSNGESSGESLSGVSSSESEDDFNLFQEEDDLQYLSSKITKLGGLQIK IKR >CAK68951 pep:novel supercontig:GCA_000165425.1:CT868063:434908:435597:-1 gene:GSPATT00007163001 transcript:CAK68951 MDQINQAFQELYYQGECYENVKEKVDHCSYDEFNGLMELYVQDYLDTVQKNLCNYCYNDY DSAKFYLEQVNSHWMRIQNIAQELGKYQQLSFSFKIQEYHRQKFQDRIFQDNKINMALRE ICRIYKFNQTIEPHFCSQIFQYFKPKITTHQDLMTNWLTYSQCEQGVDEIKDFEKRLECA MTNLPDNEKQLPKLPPNWEKNMANLMKKKKKKL >CAK68952 pep:novel supercontig:GCA_000165425.1:CT868063:435617:436393:1 gene:GSPATT00007164001 transcript:CAK68952 MKSQARLLLDKMNCSQLNIKHKQKPSTQFKLMVLRYNWSLAINKLQKIIIKRCHQPLLNL QEESQNMKKSRSQQPSLLLTNKIFSHLRYHSHVVQSDSRSEEEISTKRQEELESTQKNSN RCEKAQKTVIAQILQTKQNGIKEQVKVSTQSRLDQLKFNEEFLGLFKKQKEVCHFGYLCN FLSLILAQNKVKEQEILIKKILDSRPCKPTPDLKTFLSLIKKPHVEIEQSKPKQQQEFVL HLIFGIFLLIFMSIYIVI >CAK68953 pep:novel supercontig:GCA_000165425.1:CT868063:436445:437681:-1 gene:GSPATT00007165001 transcript:CAK68953 MKQPLQSRLIIQDARRKNLSAIALLDPSPKQVTIRKASIGAFKNKENININETQKQKTPQ NATTEDIRKQISQLIKRTTQQQRTKLKKIDIVCEEQIEINDNQDSIFDEFTLRLLYQKEL EYKIHPSFFDHQTSITPIMRSILFDWISEVCKEFTLKRETFHLCVHNLDRYMSKIQISKQ ELQLLGLASLSIACKIEEIYPPKINDFSQASNYSFTEQQIIEKEQHMLTQLKWLINPPTL YLWSTWYLSQWDIYYPQPKLQIKQPTQVSYTLFRHYMSLLDCAILDIKLYQFSNREIVAS LLYLVLLKQFSGSTYQRIVENKLQERDILDFQHIYKPFIELVFGFQFTQLAKCIKYFTKF LTLDIIIDQHGQFKVTAEKELEEAYEYFLSIQTHNPANLQFIRK >CAK68954 pep:novel supercontig:GCA_000165425.1:CT868063:437732:438444:-1 gene:GSPATT00007166001 transcript:CAK68954 MLLLAILISISLSLELPEKKCNITATGISSKQASQFEQLLNRIRNEVATGRRANHKGQIQ SAADMHMITWSKDMGKKAQECCDTCPEYPIFCRNLKGLTSFMIHKTIVHTQKWEWDPEFV LNEWMEALNSAQQLLQSMLFQVGCGRALTPVKDKFLMYTVCFFDYPNRADPYRPAPSINI AGSCRLGRSSSYLGLCTSPYSKDNQLIWIKQESRLIESE >CAK68955 pep:novel supercontig:GCA_000165425.1:CT868063:438504:439250:-1 gene:GSPATT00007167001 transcript:CAK68955 MLILFITLLSILGKRINKEEIQIEEPVIMKHDDHNGFTIKIPGAGTVNLVPSIGTVSFAI EVMDENASSALEKANELVTQATESIKYDLYSTKYEIQTGIFQLQIRYDYSNGQQKLIGYT VTNQLYITTKDMQLIGKIITVGVNAGLNRIDGVTYQNNAEEIQKANDEALKLAIEDAKRK ALQVATTLKMKFVKILKFKYLDSYGSSVTNGYEPQAGAVEKESRSTPTPIYASESHVRVD IELKVKLE >CAK68956 pep:novel supercontig:GCA_000165425.1:CT868063:439319:440866:-1 gene:GSPATT00007168001 transcript:CAK68956 MGICASKKQKDDLALKPKHWPSSPGRRHTGNSFKYGTDLYINLKNGQIDNYYILGDVLGV GAFGQVIKATHKQSGQIRALKTLAKKKIINEEKDKMFAEVNILRKLDHPNIVKLFELFED GQNYYLITELIQGGELIKKIQAQNTFSEAEAAFYMRQLLSALQYCHKAKIVHRDLKLENL MLNADSEKPVLKVIDFGTSRKIIQEKYLTSKLGTPHYTAPEVFKQQYTEKCDIWSCGVIL YTLLCGYLPFNGSDARATQILIEYNKWSFDKNDWANISSEAKSFVKKLMTYNPDKRISAE EAYSDPWLQGHITKTIDSRALTRLQQFYQSHIIQELIRQFITFQVLTPEDKAKILENFQS LDKDGDGKINREDLIFGLKESKLDETEIEKQIDRIMEQCDFNKTGSIEYNAFLSIMIRQE LSEKTHKLEEAFKQFDIDNDGLIKKQNLEDVLGGIIIDETHWEEVLKKCDSDQQGMINKE NFVKLMQQL >CAK68957 pep:novel supercontig:GCA_000165425.1:CT868063:440902:442894:-1 gene:GSPATT00007169001 transcript:CAK68957 MLRTLRQFSSIFHKVPVRLFSGAVEVAPKDDVLKILHSESRDIFRNVAIIAHVDHGKTTL VDALLRASGCANEYDSMDSNALEKEKGITILSKVTGVTFGGNKINIVDTPGHQDFGGEVE RIMSMVDGVCLLVCATEGPMAQTRFVLQKALQSNLKPIVIINKVDRPSARPVEVEHEIFN LFCDLEAPSESLEYPLYFCSGKEGWVRKGSMEAEKQGLEDVLETIIETIPPPQIGTESNF KMLVSQQESHPYYGKIVIGKIHQGEVKLNDRVLAVDQQGKLVETAKVLKILRRYGMQQLE MARAVAGDIVQVAGFTNAIVTNTLNEMGKNEVIPSIPIDPPMISISIGVNTGPLAGKEGT RLNAQQIKERLMREGQSDVALQVMVKDKTDDNACVQLLGRGDLHLAILLENMRREGFEMQ VSPPQIVTKKCPDTGKTLEPMEKVTIEIDQQYMTGLIDKMSQRKAIYEDCINIDKTRVKL IFSAPTRGLVGLRAELINDTRGTAIMQQQFLGYQEYRGVLKKNLKGAIISMAAGVCQGYA LEDLQKFGPLFVKPGSKVYVGQVIGEHKLEQDIEVNPIREKKLTNVRTVLADEKISLFPP RTFTLEDVIAYIRDDELVEVTPKDLRIRKKELDSTLRKTQRKSQQK >CAK68958 pep:novel supercontig:GCA_000165425.1:CT868063:443006:444343:1 gene:GSPATT00007170001 transcript:CAK68958 MLWKQFSRRSISPQNKENLNQQVITRRRDSKISNRYHDNSSQLTIIENYQIPKQPKLKAH DPLFDETLWKCNSQDLTLKLFKESIIDRTAAFKEIYMVGKQIGSGSYASVRLCADKLTLK SYALKIYNKSAISSSRQKSILREIRILQMIKHPNIINIYNVIETNNHINLLLEYIDGKQL NTLKGYNLKQALYQIASALNYLHARHITHRDVKIENILYSDGQVKLIDFGFSTLFSNSIK KKIYCGTPSYMAPEIVTKQYYKGPPADIWAFGIVIFYLTQGYFPFKGSNDKELFQLIMQC NINFNSITDVYLQDLLYQMLQFDPEDRISTAEILLHPWFLEYIKPIKVNSKSQKSSCSKL NSPKQINPYQQYQSTVKKNDKASIEYQLDHSQVRKGIVQSYMSSVASTKSPILRRRDSGN QTFDKSNNF >CAK68959 pep:novel supercontig:GCA_000165425.1:CT868063:444431:446010:1 gene:GSPATT00007171001 transcript:CAK68959 MNKNCIHKLYKFVKFLLIIIRKREIPKFPLLKSFKNRTQKDETSIAQSLQKYNYCRDMIQ KDGFQKFQKFCEFLYLTIRKPGDIILRKGDLGQKFYLILKGTVSLIYYNPKNKKALRLLQ DEKVRPYNQQQDCTPNYEDTTLFQSYVFKELHVGDHFGDLALIDEGMVSSTIVCKSDCEF ACMDKQHFWKFVGKHISLLDKIKLLRQCSLFEAWTDSELRALSFEFHFKTFHHNQIIFSQ GSENVSIYVVSSGFAELMMIKNGQQYLISRIDYGQAFGDDLQINQSTVKCGSPKLEVYAI QKQIMYRFLEQRIELKHLYEEQSIQKRIWRTKRLEQLIQMEQLRQQKELNSKKSSCIQND TQLLLNTIKESKTVSVQTSSIRRISNYVDNLDFEQKQQQNRQVLIKFNKISPNQSVEYLE INQQRKSSQKKKKEQQEQNQIMSSVSKLLQEYRAIVHSSKLQKIKSSRSFSQNQQVSSLY SPKSSNGTTGINFFITPRRRQFLIQGIPLQK >CAK68960 pep:novel supercontig:GCA_000165425.1:CT868063:446024:447176:1 gene:GSPATT00007172001 transcript:CAK68960 MSQSDENDQFQQILNSLEINKRKMSISVHQSKLLEESKKQQSRRFTMELPKNIEASQSQK ERIITNHLLKDLAPLDKQNDENLKTPKVPSSSKLKLNKKGILLLGQKHNKDLSFYLKTME ALDFQELQQSNELLIQNALCAGKYVNDYGLFCVLKEALNKKNIKTIIQLDCILDEDGNFA DIPKNTKNLRKTLGLQDLHYCSGKISLLKFHINTRFNLTNYLFWSNELERMEGIIKENLI NQDQQILMMRIEETYLEFTIPEFDDNQSPQFLSKLKQQNLDVQVLPLITHFTFRLSDLNP WMNKEINKEWKLFSLQNDQQQCIGYIDMNQPTIFNEIPSPKTLSIKLYGEIHYYQLVLQM SEKQVMGILLRDKA >CAK68961 pep:novel supercontig:GCA_000165425.1:CT868063:447263:448025:-1 gene:GSPATT00007173001 transcript:CAK68961 MIPRKSSMSKKTIGQPKFILKEDNILDSSYLEMYQQNKSHNPGQRLQQILQKQINQSQKN KSVEDDRLTRQQFINRSQQVVRQDLGSYTPQNIQEKRINSQNVLQYQHIIEQIRKLQLDL QQSKHQNQLLIQDFEQLRDQLEKQSEEFNQAFELINEEKQLIQQEIDQKDQIIASLRQQL QQLAPPSKTQMQIDEIKNRILARKSVHRSSRENITPSMNDMDQSARQDFKENFMDFNNRI TLQKSIK >CAK68962 pep:novel supercontig:GCA_000165425.1:CT868063:448071:448478:-1 gene:GSPATT00007174001 transcript:CAK68962 MKTKHFLIQLLIFTTVQAISIQLLFDPWSQERFERMSRENARLFNPLLQVLNRIDFIREA ISILGILSSLMYLFGSKFFGQLTILWLVCYWVIFFNFNILRSERFFAYVLPGTFGICSIS NTLLKTL >CAK68963 pep:novel supercontig:GCA_000165425.1:CT868063:448731:450193:1 gene:GSPATT00007175001 transcript:CAK68963 MFILPQLKNLYGENQSQCKLELTEQFGTTLLQGFPYVREFDEESKTIIFIPCSNNSEILE NNSQQRFKIICTTQNSSNFIISSQFKLLKQGNRITKYFKKSHNYLFQNGDHSTHFYIKDD ILYIQFKQIRWGATENFITSKDFENEVKKFIASIDIQKIKNSIFQGEEKLNKNQDWVKNE LQKLEIQSQMMEKSFTASDQKYNYYQPFFFINSLKDQNKQILFQRYYENGLYERKYTKKF TFELKQDLMHSLNQLQQQINGFLFQLSPQQQKILKNTFIQLAFDIKKHLCFQFDQETEDF NKMIQGEEFKNYSLKKQKKLIESVCIIYDLLEHSILNENYFNQNVFQVDRKGKLKINFLQ SNELNKHQSNKQNQNFQSCESNKLHHLVELIAFIVQINQNKQLSEIDSENLVKLNQIVQY NSQRKKLQISQLLFLLNQIQFSTQENQQIRE >CAK68964 pep:novel supercontig:GCA_000165425.1:CT868063:450421:451792:-1 gene:GSPATT00007176001 transcript:CAK68964 MSLNSPKIFGNRFIFKKKLSQGSFGIVYLVLDRATNTECALKAEKEEYEESKTLEKEIAM LIQLDNVVGIPKLIWHGIDGQIQLMAIELLGKDLAYYFKQYRKFSLKCCLQVCYDCLEIL KNIHKKFIIHRDLKPENIMMSKELDQIYIVDFGISKIYFEADHMQILPFREDKDFIGTTR YASIAAHKGYELGRKDDLESLIYVIIYFLRGNLPWQNMPVEDSERNRLVGEMKQTIPLQE LIGNKLPELIKALSYIRKLKFEEQPDYEYIKNLIEEAAENNNIKLDGYYDWNEGVKLSKK LSESRLSQDKKEQHSPKQQANCTSKISNQVIWSLFDNSIPQSQKNLLAPPDTKYNRGEVR HLTSSSNNSFFMGSHSSLRLKYLPSQMNKKSNSVLKRMVSIEFISNQESIYNEYMDENFE DYPLQLKITQANSMQKMQEFI >CAK68965 pep:novel supercontig:GCA_000165425.1:CT868063:452469:453286:-1 gene:GSPATT00007177001 transcript:CAK68965 MPIYPTAPLTSSVPANLNYSTPVTYAQPLTYAQPVQYVPQPVQYVPQPVLTQSVVAQPVI AAPQQPAIKGESRVEYREFQRPVVEMETETIQVQVPKTKYVTDYYPVEYQTEYIPRTVYE QQTEYVPVTKTVPRVEYDAVEREVQRVQYQPVQTVPVQTVAVQPVVQSVVQPVQPLTYSI ARPVAQAPVYAQPVIAPALTYSGVRHAYAPSYPSVPTYGQLPQTVRSQPQPHPSNKPQ >CAK68966 pep:novel supercontig:GCA_000165425.1:CT868063:453498:453794:1 gene:GSPATT00007178001 transcript:CAK68966 MADELAEFYKEVLKLISHLCFENKITEDQKSELKKLIMEDEEFVAQLKEEYDIEGLNGLE NIIVGDSVSNSSFRDLMDFNQEKRKRSQSLTIQTDSSE >CAK68967 pep:novel supercontig:GCA_000165425.1:CT868063:454495:455475:-1 gene:GSPATT00007179001 transcript:CAK68967 MGQCKCLEAQKQLTIEGMPIQDSVDQEQDYQIQRILQPLKLYETLPLPSYYSSVAESYED FSPEKNIPEDSACTDFVVPNIEITNIPETTFCQNYPKSEKAYQLQGFIYKGQWKKFEPYG FGVFVYNDGAIYIGYSVNFNPHIYGKKLYPDGSEYEGEYLYGSHHGQGILKNKELTFEGI WCSNVPVKGKEIWKDGTIFQGEYKDGRKCGFGFMKWKNGNQYEGMFYNDQFDGYGVYIFA DGFIYEGEWRNSQMNGRGKLIYPNSSYFEGEFANDKQINGFQYSLNGLEQTVAQLSFNST VSERSYLKIDRPSIKAAYIEFKSVCG >CAK68968 pep:novel supercontig:GCA_000165425.1:CT868063:455519:458590:-1 gene:GSPATT00007180001 transcript:CAK68968 MKQQVSFLAKNTFSRNINSDEVNEIQDRSGYDIFNMDGQNRKKEKLSPIIQSNSGHHESL ILQYANPQQLITPKNENFTQRLQPIAQTDLNKQTKSFRKLIVYFHQKDFIKRLLAPQKLT SSFTLKHFNLINDLGASFKYQVGRYNENQKSLIHQYISLIDIRIQLRLKFRTIKTQCKHY FQYIHNQIPLIEPMSNLKFFWDLICFGIRIYLIVIIPILMAFHHQNFIDQQYVPLIFVSI ALIFDIIIRAFTVTYDQGLPVRDRYLLYKKQLNFSTLLELFSFIYTIIISLQSDNIIEKK IIGDGWPKFILVLQYIQVANILKFIDISQYSFKLSRMSTSIVELIKLITLILLVQHIFSC VWIVFGIQGQNTQQQSWLDKFEYDQWSYQFLQSFYFICVTTFTVGYGDLTPKNPPEQIFT IIYMFLCMLLFSYTVNTIGSILTQIKESSDKIKTKLTAINQYMHNKQISPTLQFKVREQL YFYLKQEVVQQVNEQSEIISMLPEELQHSLRTEAAKSLIYKCSFFNENFSNEILNQLMED VNFQIFQPGATIQSKDEFFIHIIEQGQVEVLYKKKSIQTLEKFDYFGLEEFVTQQYNSNF VFKSNAFTSVLSIPYSHFHKILSQNDLENQKFQNLLTSQPSIQNYCFICKTKRHTTQFCS QVHFIPNREVVLKRYLYRKKQKKRVKQDRRIRQLQLNEVNIINGENQSKQIQFKNACQNQ KNVEFIVNKFQVENQIALETLFPTIEQPVSVDSQSESENEDPDEVKDNSFSQQQQNSRRG SKQIPPISSNDLCQYQILDKLKKEKQNRFVPHQQAQLQMSQYGQINANTNININMKQQLR KLLLCKPGLFEYQEQKAQIQELNFFNDLKQKMNNLHLFPKTEQTQIEFWYKKIVNLKEDL VDMQDFETLKNYEVFNRQWNADLVVKKLGIKLKKFRGFKKLKRYLLFPYLYVNKYLDKKD SNDQNKPVEKSKKKRPTRPKVIKKTRVSPKI >CAK68969 pep:novel supercontig:GCA_000165425.1:CT868063:458737:461517:-1 gene:GSPATT00007181001 transcript:CAK68969 MNSLNSVHIDNINTTGQQDTSMCKNPQVNQDCDSSSIILLKKIEAPSPDIPGLGQSVFKP FQKLIIYYHIGFFVKKLLKYIKPDQQFKPKHYTLIDDKSAGNIKDFINYAGKSKAQVMQY PSLEEVNFFVRMRYRYKQCITNFKQKIFYLFEQIPLIDPSFKIKIIWDLLLNCFRIYLIY IIPIIITFEQLIENYDLIIIISQTVFAIDFLLRNITIYYDQGLPVIDRYQIVKNQYHITN LIELLEILSLLGMAYFKFDFNSQFILFDGWPKFWMLLYFIQLKNLLNFIGSWQQQFIMGQ LASSLIELSKLIGLLLIIQHIFSCIWLMIGRYNQISGITNWISAFNLDNVPWSELYIESM YFTSVTMYTVGYGDIHPINISEKIFAFLFVFVCTFQLSFSLNTIGEILTRMKNNNDIINK KLIFINQYMHTKKISHQLQFQVREYLNYYWYQEQTQQTKEQTDILSQLSDDLRMQIAVES NSVVLRNCEFFYKNFSNEFLNDLLKNLQFQSFQPSSTINIFNEVDYYIYIIESGQVDVYA KNQDRRVLIGNFTAGEYFGLNEFLTNQKTFQYEYKSSGFVSTLVIPKSKFYQLIQKYQVD FETYWNLKTNCQVDIKCAICDSRRHPPEQCKQVHFIPDREKVIKQFNYYQSQDRITFSRN SRRIRQLYHAQTDQEILREAAQMVKVKNDSQFFQKYQYIQDDTTRFESQDILTKKDIESV GVLIKDLESKSYFDFCQKSQLKSEKEIGDGKLNLSSEFLTLKALKEKLNHKDLYTERELQ QIEYLIQLLELKLNLEDKIELDKKHEYQTFNQKSNINFVLKKLIHPVRYIMASTSKSLDQ YTLISGNNWLKYLFYPYDFINQYRFNITRLGMPRKSLVVRQQEHRLSNALLRKKQQNRSI KRQIQVFPIK >CAK68970 pep:novel supercontig:GCA_000165425.1:CT868063:461601:462740:-1 gene:GSPATT00007182001 transcript:CAK68970 MSIYQKIRSASNEFLRDLKLNDNQQSFIIGMICGYFLQHNSECSMTALKDQIKAVQEMIT NGLVKGKYFDDLASSLISNLEKPRNFDFQQGGNGTPILNEDYDQKQNLQFEDPNEEATMA LIKKLQEEDQKFAQKKQIEVEQVDCPICFSNLMEEDVMPLESCVHIFHVNCLKELLLQCI NEKRKQLTCPEQKCGKDIALNDISHIVGKEKKDEFLNYTLNKFVDDHAADMSWCPTPDCQ YAFVLGDDDDNNEFKCPLCKKQYCLNCRVIFHKGQTCKEYQITNTRDQNDVKFEKFVKGH KFKMCTKCKFWVEKNQGCNHMTCRCGYEFCYVCGGKYLQCECVEKQKQQQQEFLRQQQLR IQQQRELKKQQKKSGKGRR >CAK68971 pep:novel supercontig:GCA_000165425.1:CT868063:462858:464343:-1 gene:GSPATT00007183001 transcript:CAK68971 MYNNIQQLGSSSLTKKSNYSFDFSGKQKNKKVLNQKQESEKNREEITYHVYIFATEIQNL YFPYNKVHCDNCEKDITRQARILCIGCPNSIDVCMNCFLNLHEFAQHTIGHSYSIINKLN FPIFVDDWTAEEELLLLEGLEKKGFGNWQDIAEMLGNEKSQEEIAQHYDDIILSEKFRNM TLLSKRNQDTLELIKPKRYSHAPKRMKEEQSIMKGGRLTPNMASQSGQEIVGFMPKRGDF DIEFDNDAELLLAEMEFNDDDQPYEIEMKLKVLDIYNIRLDERLKRKNFVIDRDLLNLKK QNNYDKQRSKEEKELHNLMKPFSRFNKHEDHERFVQNLIKEKQLRAKIEELRFYRKLGIK TFEEVEEYLSNKRKKDEQYQKRQKQNEAFVYDSQKQRFLQRRTRFVPVMDGKDKNKQGPS FCEEEQQLCQKLGLSEQEYLILKEVLIRESVKNGMIKKDIALQKLQAGQRAYNRCF >CAK68972 pep:novel supercontig:GCA_000165425.1:CT868063:464427:465947:1 gene:GSPATT00007184001 transcript:CAK68972 MGTQQQKAPQTKQITQFQIEIACLKVKGYVELNRDRRTNQALMKEKALNEMLRSPTRIMA EEYQKFQQLVLDVRFLETCNTVVRYCEIVKDQSIRIFKCGGDASKIADIMPYIETIVFAA DNLNLEQVMEFKDLMIFYFGPGFNDTSKLLNVDQNIKQLYRTPLPDAYEVNEFAIKFAEK YGFSEEQLNASGHQFSSKFQRPAQGGIQIEQQPFGQQQFMPPPNQGFGVPFGSHPEPFSQ PGYGDFGMGGGFGNHPQGGFGNQPQGGFGNQPQGGFGNQTQGGFGNQPQGGFGNPPQGGF GNQTQGGFGNPPQGGFGNQPYQGFGTAPQGGFGSQPQGFGNQPQGFGTQGNFGGQNQGGF GTTQGGNQTTQNGFGNLGPQAGLYNQPTNQYGNPSNGGFGGSPNPYACLNQGPQILASQS MKVPQTFQPPQQQQFPQATPGIQPQQPVEQKKNNSDQSNIQAIDDLEARLRKIDQGL >CAK68973 pep:novel supercontig:GCA_000165425.1:CT868063:466053:474765:-1 gene:GSPATT00007185001 transcript:CAK68973 MNVKRQNEQPQEYPTGCQEKECKKICEEGYQLCADKNNIQCEYGYFKLNFKNENYCVKCP LSFKDQMMYLCMDCRENSETWQSSRLCTYNYAITDGDVKGAFRKMQTNDIQIYNIFEVAS LTEGGKRSFDTELCVGCEGFCDEGDEGDEGDECNILSDQNDASHIGVVCGDGYYFENDLC TQCPFDKCKSCDSQSCYECFDGFALDFSSQCIPCFSGCAKCEYFYDIICLECVQDKDNYL VLMNDGLTCAQCDYACQRCQVEYVDGVEQQRCTQCEPGYIVYNEGAWCEHLEKSDCEIGY YQYSQEGVDKYTYTLGFTMAVDYSSEQKCYKCKSEYEVDSGSCVAYTETDNCISYDKDKS LCLECKDSNLLKYDTSTATTKNSCLADQDCSKKIKHCLKCISYTVNTVEQFQCVRCQKGY YPDIFSNLCMQCQSRCDECWQYTNSYNITAYLQLQMAAIDYVQYQKDIEMIKKEKLNDPL CSTCQEGFNLYNNQCKGCTDSCVPGDFAEKDSECLYLDDTAYCAKCPIPNQQQSLSADNS ECNECPNYCIACRERTSDEINNVNPFFNPDFDQLNKYSNYCYKAANPKPNQKIYIDSFLG VPITCKSDASSKGCEKKLDTDVFINCGETAGPNEIKLRDVYKKQKTKIVTLFLQGIENAQ KYNEYNQLTVTEINIKIYFKPDNNGICVFDKPLQIKSGFQRNVFTLQKLSIVINGQDTIF KQTGRMILQDYSSVVIQDVRFKEKSSGEFGLEILGQKTMLTMTNCKIVKENVASAFNIVL QNTVYLKLEKVEFEKLKNENFLNQTSTNTITDQGYYIFDDVHVKNSEINDVLITVNQIGI NNVLQINSLKFTNVNITNTAVFSDLQTTSSYSVIVDNLKLIKCTVTNGSIFNFGTVKSFQ AQNLESVLTLFQLNSNFVASTAFAIEKMFCYRSLFANSSELIVSNNQIYLSDQKPSILQF TFKKITFRNNICQNINCLMIISTPLNSFGINTNIQIEDLIVENLQIQTISQIDIDSVSSA LVSLQNIGNVTMNEITLKNTQGISSFFIGQSQSIQITNAYYFLEMDNTMIYEYDEYITED FEVDPNLSNNIAVTNPFNNLLPNSLDCAARKQTAYQFNSYMIYVEGFQGSILMQDIEVYN NIFIDRSAIVIKSYQNLQFMQSETILLQNMFFDNNRLASTLNGKEMSLITIDSQQNQNIT IINAQYNQNHLHQITDSQTSQTPALLLIISPNSLLNLINSYFNYNRISKSTNGLMWLKVD SFILSNCQFQKTNILDLQWLEYLEEQQLTTSDPDTTASNLKQFFKIFSKGSNFYLIGKFI YIDNLSIKESFGLSGIFLELLDGVVTLKQSQFLNVQSSLQLVDNTEGGCMTINSDSSQMQ LELYSVTMSNCTARVRGGCIHLYPTKFKQSILFQDSQFYFCQSLGYSFLSNPFLESTDQP LIEFQNIVVGDNNYNNFIQNVPDISLLDQLQLTENSGIYYQHTGQLIIRNSIFTNFKYVS VIKAVAMTDVFISQSKFTKNTLFLMPLLDISMTPTTANQIQIVSSQFVDNESTDIKSIVN KCDGFIKKGLSQSLPQQTCDQIMYLIDFQNYLNSDQPLWNSETYLETYNYFNEIDKYGSV YSTNYYFDDALQIVQRFNQTMNNSLINCVLQNMLNLTLEVGQTLTTSIINIKSLYSNSYL TFEKLTIASNKCQRCHGGLVQILGVNVDNQKDTHLTLTNINCKDNIIGYYGCLLIQQSQD ISDFVPNQNLLDMKDAQFSNRLLQLSEHNSVSIQKSMFLSNKASVGAGISILGLDALLKD SVFSDNFASLAGAGVYYKNLGGDQDTSLYVYNLSFQNNKAQVGAAFYLINKELADVDSLL IKFEYNNATLQASNIQENSRRQTISMNDGSYCKVETYQNLSGDQNFDGNIDSVNERIREN ITLDYHTIGNYPEKTNLLILPSGQSINTYEYFLEETQEYIPFEWIFRVINLNRFNEPIVN DQDGDKCYIFGRIQKFQNYSDSFSFTNNFTIPNEMLFNKNSRGYVLDDMQLTFDPYFDTN YYLELKIKCDKMAIPVYASPPDSRIVGYNMGYELMLNVRTFPCQKGEVYQLGRCIPCSPK LNQYSVIIGAVLCAQFNSDYMVAIKKSSIQLKPGYWRPDYNNDESQYCENLDVNCNGGWV PGNPSCYTGHIGALCESCDLYQIFNDERYTQTQAYKCARCAPTMQLNYIVLVATSITSFI SMVLAVKGSYFSSMQFIIEDTLDKWGVLLKSSESDLAVLMKVLTNYFQIIQFIGSFQISI PSSVKQTAKTGGNPTESTTTAMDCLYVTMSDLDVLYFRMVWAFIQPAIYLVGFYIIYFAG IAIKMVPYKINIITTALIYQFLYLQPSYVEGFIVLASSRTVSGYPYVQRDVAYRYDSDMH QYYLLRFIMPMLIVWVLILPLLFMFLVYKNRDTINTKQTKLIYGFFYLEYQLNSYLWEFV KLFQKEFMVIILAYFEDQVTVKGLLLVVVMFLYGFYQIQVSPYSNRRLNILDRYSTVILS ISLAMGVLLKSCQDQEFGYLFIIVAVILILINVPFLLSIIFCIFEGYIIKLSPVLDKIRD ILNEKYPDLGQQHSWLRPYLYNKAKMAIKVKVYWAILRDAVKDTNQICKQHDVQFKKIFP PYAKSNEDGIDKIDYISFRGKDKLNEDKQPLIQDSFSPINNENQQIQQPSAIRVENMHAN GVVQIGQFFTSSFISNQAQEPVGITGQGIEQWPDQTQYQGLIVNGKKQGQGFLTWPQKEN QKQQEFYKGEFDNNLFDGYGIYQWSDGKMYEGRWKQGKRHGYGKYQGINQQYEGNFENDL YQGQGTLTIGDKIISGMFTNGKMNGEMEVMIGRKKRKGIWKDGTFQKWIN >CAK68974 pep:novel supercontig:GCA_000165425.1:CT868063:475802:478228:-1 gene:GSPATT00007186001 transcript:CAK68974 MNNQSVNVQYNDQIKMKVSEVFQTHYINTQPSKQEQTIVICLIIFGNIIWNWMANFQNSG SSHYHYELTVKIIETLWIVFHHLKKKTTQTPESLLNLGLVIQLVNALITTLTITDVESRS EQVLDQFTFFILLHQYIYNRFSLKLHYLHQVIGIGSVLAFQICWGVHFISTIKMITVQSV FILSKQLRQQRLCNETQNKIKQFNQELDQMKQQQQQQINKYQEEIDSKSQMQLQSRANDL VNKLKLIKFKQQCAIKNQLLKNASQQQEKLQSMVSITEVFGKDEVDEDTNQNYQTTSPKI ENFRRRASRTLSQNSDIKGKNLLFDQQQSHSDQTIIQGDDFITIDEIDDLLNLLTGKKDN IWLPKFLRSHRQLDCQNSNCSIQQTSKEDQFSQDAKKFILSHFTQREASFQYIDDISDDI DDITQNTLIDFNINYLQPDYTLNNLDQSQRMMFLEGSINLFKIFKVIQTLKITNLETLGE FSVKIESLYQNNFYHNSMHAIDVANSTAFFLQNGLSTLIDDFQATCLLISSLAHDIGHPG LNNGFMTANRCRLALLFNDQSVLENYHSFLLFQVLTQSKCNIIENLSSNEIKGFRKYCLN LILDTDLTRHFQLMNKFQNYLNVNESPAIDQQLIMSIGIKCADVGHGAKELKLHKTWSRR IIEEFFLQGDLEHHLKVPISPMCDRKQNVSKSQEGFLKAIVLPMFEAFSTILKNENIQKT CVDQVKQNIEYWQKQKTDEEFMEETTFDTNAGLEILQKFLHEPLHIEI >CAK68975 pep:novel supercontig:GCA_000165425.1:CT868063:478361:481894:1 gene:GSPATT00007187001 transcript:CAK68975 MLKILHLSKLSSRFLNKSIACFSQPFIQKEYQFISDDEADYEFTVAFVNEADFQHFANAQ TIDEVIKVYQENNLTPQQIHFPVFQICSLLKRNPETKNELLHQFITENVLPNFETLKPSQ CITVIVLLQKLQNKSYNAQIKKHIEQNLQSYNSKQLPHIFYFYSKQGWKMFHIGKILKQQ LRASDFNIVGLCSIIRACYIESTQFKSIIHSDLAFKASKSLMNKVDDMTTPQQIAVFNQL AKMKLHQSTSSKQFPLLLYKLAEIFVQNLGKINLHSKLKLMESYQFLINNFPNTLFEVLF KSIEIDTLNSQNRLRLYINLKEQKSFNRLKDDKMQQMFNNIKFDEVTENNLLLKFLDVEF GRQNSSTIDSIIVRLNNAQNLNSKQKIEFLKVIIKQNKVSKYLDQIKITNDHLPRLIDQA YYCHRYVKSLPSQEQQDNIQESTQQLMERLQQLESKSKLSISHYILSLEPYPGDPFYEFI NQEIKLDELPEKDYIHFLLRLNSQNLFNHELKTKSEMMAPSLDQLQSIINNISNIDYLND KLVKQISKWHVDIANKSIIDGTFNIHLMLNLIFKIVLYTPLFALHTSRGTVMQPIRELLN FMSNQVSQHQGNLEVDLYLFLRFYKSFANLDVKTNLIFNICQDLCDQKDNFEDLEKLQIA SIVTDLNPDSFTNLQVVNSFLSQILKLKMDNFIFIQITTKILLYQLKFKEKLNCAVQITS LEEMINQLKMELVQFNFMQNTKENYYDGLMTLLDYDAWRIGHQKFENDELSDFIHLHFQN LNESLNMKSMRPGLKTVIRIINQCENKYQFIQRYEKLFQQMFSKCKQLKNQEIPHIQACL DYIEFAKKLKLSNKDIFKFLINQIQEQENNLKPTQIVQIIQKFSEMKIYTKPFYDNLSNL IINHSKQYSRIELLDVMNAFAKLGYFNQELVINILEHTQQELQQLNNGVISVQTLWSILT SFYYFMKELNQNLFSRYEGIIVHLMQNVSQFVYLEKIQKLPIIFRQVQWTFKYLQVYVCL FEELNQLQQEQARLIIENGKSQVKQNIIPNQKSTQQFRSLMKFLDKNKIEYKMNYYFDSQ YVDFYIETKRMIISVDNSLYVTNDMQQYTGFHYINKWILEGATKKYELKSIRIKAWQWLQ MSEEQKTKILQL >CAK68976 pep:novel supercontig:GCA_000165425.1:CT868063:482012:482227:-1 gene:GSPATT00007188001 transcript:CAK68976 MSKPGLGLNTFHLVFIEKSYGDTDDHRMHSKSSMKDQVRFDEEIIICFFHQKEKVTQIVD DKKKTIKDYLK >CAK68977 pep:novel supercontig:GCA_000165425.1:CT868063:482403:495566:1 gene:GSPATT00007189001 transcript:CAK68977 MTELQIEHYNIERLLTELELNGEPLDKVAEIWNAFIKWSKMNFDVERTINIPHLGKRCLL CPLGNFAFRLPHVQGEEIALDKEKSVLFYPNENFLNSANLRYRGEIETPTPTIKISYHQI AKLCGGIETQVQQTQKVNDKSLVSTKQMGVDRSLIQAIVEGVFQSLIQKIKTKTQVEVDL GYFGKFLRFDSTFTFEPLLKSKVQSQIKQGSQTKKTVRNLIEQSKHAIALQTRGQITNFQ MIKNQIQQQQQEIMQQSIEQSQLMQSKKAAFEIFSENSQQKMMQTPTRRIGKLEHIPIMP EMLGAGTDPLAKNQDFSKLVENPSALMTQQFIKPQNAKIRFPPILDKFARTLAAPISGMK YNSSVVGRIASNYNPGAKRFYFDSESKGVRILKAFESKVNFTANPELLQKPASLEEELLY VTGKLRTKELKEKLEAKNNCYARYQAYINNEIPQEFIAEIKQQWVQDIIELLPRDLKKLG DQLSQKYVEEMMNEITHDYNLSMKKAILDYVLKDEEERLRVGIVEIIDEIPEYGSAVYKG IEPSEEWKKKVNEARDAMVQNLVINSQTTLQLLKSWYAKYSKMNFLVLSSAKDQPMNINY FTKVQQDRIQEVKNHLQNEWHKEVADLYQKELHVANRNKRHAMLFFESTATLMSNLLRTQ INESLISYRNFFKRFDKKILRAPQQVIAEEDDFDKPIEDVFLTVKLKHDGNKIEFADHLQ MSIKNGILKLIDDIYKCSENFQRPENTISRSEKTSLWKIPEDDDTFVSTYKTINEILDIN LKNVESTLEIYEKYSFLLRETEKATQWTSVARTRNEFIQAFNNYSQLYEQVNEAIPFFVR VNMILVDGCEVKKKYLDIIQEIIQLLERNIHDYIMTTNQRISKEIQALQDNIQGRADTTE RLVELEAALEKIRKTENKKVQNDFIDLQKWLYQLYTTNYQINEDDLIQISNTSRLVHSLM LKVDQEEIRIQKDRDQLEQFIRERREKFQNNLDEITQSINRLKTSYTSAYQVKEANDIVD NHVKKLQEYVAEMQDIQMREEQLGWQPTEFVKLQEAQNSIKPYEELWHQLRDWEQNSMNW TKAKFIFRLDAETIEKDVKSMLSQAKKLTYQFPKNITPQGTQQLIKQLSDKINDFQVQLP LIRVFSNPGMKERHWEEISQIMGFPVRPDKEQQLSKLIELDLKQHFLKFEEISDSATKEY NLEKILNKMQEDWDNVITELKPWKDTGTFIVSGASNDEVQTLLDDQIVKTITMKGSPYAR NFESRIAEWEAFLYYTQSLFDYWLKVQGVWMYLEPVFTSPDILKHLAMEGTRFKEVDASW KSIMNKVNSNPKVIEYTKNRKMLDILKECHTSLEVCQKGLNSYLEGKRTNFPRFYFLSND ELLEILSETKDPQRVQPHLKKCFEGIQKLKIDGEKKIHGMYSSEQEYVQFQNIVDTNAAR GNVDEWLVEVEKKMIESIHYQTEKAFKEYSDTNRKNWVINRCGMAVLNMDMTFWTSETER NMLEKGNEGVGQYAATCTHQLQEIVALVRTEISVLDRCTLEAMIVLDVHNRDVLNQLHKE KVEKVNEFSWQAQLRYYWIDNNTTVKIINAVCEYNYEYLGNSARLVITPLTDRCYRTLCG AIHLNYGGAPEGPAGTGKTETVKDLAKALARQCVVFNCSDGLDYKAMGKFFKGLASSGAW SCFDEFNRIDLEVLSVVAQQILTIQMARASNKSSFTFEGSDIRLIQTCNCFITMNPGYAG RSELPDNLKALFRSVAMMVPNYEMIAEISLYSYGFSQARDLARKIVTTYQLCSEQLSSQD HYDYGMRAVKSVLTAAGNLKRKFQSEDEFILMLRAINDVNLAKFLSFDLPLFQGITNDLF PGIKLPEIDYKNMYECINVEIEKLNLQKVPDFIVKVIQLYEMILVRHGLMVVGLPFGGKT SAIKVLAGALTLLNERGQMNEKKVQIITLNPKSITMKELYGKFDEVSHEWYDGVLAVKFR QFAKAEDEDRKWLIFDGPIDAVWIENMNTVLDDNKKLCLNSGEIIAMSKAMNMVFEPMDL QAASPATVSRCGMIYMEPQIVGWKPLQKSWMNTLPKVLLKEDLEEIQELYDAMMEPLMEF HSHQRMASEKYEISPCQNANLLVSHTKLFKSLLQVFDDEQLKGLDAKIRQGLLQQQFVFA LIWSFGASVSTDFRKPFDQFMKRLCGGDIHTKNEAPKKKVAIPDRGSLFDYIFDLKQNKS DGEWILWTQLIDKNEQISPKLQPHEILVKTTDTVRYSYWLLKNIFSGTATLFCGPTGTGK SVYIKNVLAELPKGQYSAIELGFSAQTTSTQTQFIIDQKLERIRKGFYGPRIGNYVIFVD DLNMPAKEKWGAQPPIEILRQFLDQGGWYDNGDKEKMFKSIINCVFVTAMGPPGGGRTFV TPRILRHLSLISLAAFDDETLNRIFGSILKWFFTNQNFPQDILKMESKIVNGTLEIYKLA MRELLPTPTKSHYLFNLRDFAKVILGICLADKDKINTTDVMARLWTHEVWRVFADRLIND DDRLLMLRSVREIMRKSFGLNFDTIFEHLDKPDADGKKDNKIDQLDEIRGLIFTDVMTPM GAPKRFYEEVIDQAKLSNAVEQQLQNYNDISDKPMDLVLFQFAIEHLLVITRIMKQPGGN ALLVGVGGSGRQSLARLASSIGDFKVVQIEISKSYGKLEWHEDIKKLLKQCGGKNEASTF LFTDNQIKLESFVEDVNNLLNTSEVPNIFPTEEKTEVTEMVRPAYQSINKEGEATLNQLY AFFLERVKKNLHIVLCMSPIGDAFRTRVRMFPSLVNCCTIDWFNEWPQDALVSVATRFLK PVEMDDRIKQECIDMVQFFHQSTMHWAKKFYDDLKRKYYVTPTSYLELIVTFKSLLYEKR NEVTAQINKYRNGLSKITTTENNVEGMKTNLIQLQPQLKDAAEKTKIKMDEVQKEKVQAD SLKTVIQSEEAVVQEAVDKANAIKEECEAELSEAMPALRAAQDALNVLDKKQIEFLKQMK APSMTIRNILRALCLLLYPNPTEKTKDKDGIRLVTDWWQASLKVLGRSGLLEEMSTFNTD TVEEKVIVNLGKYLQDPEYKESLELSAAENASPACKVIMMWINGVYNFYFVNKKVKPKKI ALAESQAQVDGLNAKLAVKQKELNDANEKVSKLNKELQQTIDNKNRLENEYEECSKQLER AKKLIESLGGEKGRWGAFAEQLEANYITLTGDVLTSAGMIAYSGAFTQAFRIEIVKEWVA KCVEKSIPSSQIFSLLTVLGEPVKIRAWNIDGLPSDQFSIENSIILFKARRWPLCIDPQG QANKWIKKMEHSRKIAIIKLSDSDFLRQLENAIQFGKPVLLENVLEELDASLTPILLKQV FTKGNTSYIKLGESTIEYSNQFQFYITTKLRNPHYLPEISTKVTLLNFMITYEGLSDQLL GILVKKERPDLEREKERLIMEGASNKKQLAEIEQKILEVLSGNKNILTDETAIEILTASK LKSNEISEKQIIAEQTEKNIDAARQEYVSVAQQASCLFFVISDLNNIDPMYQYSLVYFID LFTQSIVKSDKSDNIGIRLENLKNYFLLSLYRNICRSLFEKDKLLFSFLLATRVMEFRKQ LDQEAFRFLMTGGLSLQEKMPDQPKTDWVLPKNWGEITRLSQLPTTQGFHEYFYKDAYLN GFKRIYDSLQPQSEDLPGELKDKYTNPLIKLCVLRCIRPDKLIPAIQIFVHGYLGEEFIF PPAFNLAEIYEDSSSVTPLIFVLSPGSDPFASLSVFANAKNKSFAQISLGQGQGPLAQKL ISEGVINGSWVVLQNCHLAVSWMNTLEKICEELSPDPKQTHPEFRLWLTSYPSPQFPTAI LQAGIKMTNEPPKGLKANLQGSYLTDPISNDEFFSGCNKPEWFKRLLFGLCFFHAVIQER RKYGPLGWNIPYEFNESDLRICVRQLRMFLDENDQVPFEALRYLTAECNYGGRVTDDKDR NLIKILLEDYYCPQVIDENEKYNFGYEEYNAPHYETREEYLDHIKQLPLLTPPQIFGFHP NADITKDMNETNLILDSLLLCSAQGGSSSGQSFEQVLEQLVKTIMTDFPEEFNYEQATEK YPFNPKESMNTVLTQELTRFNKLINIIRKSMDDLKLALMGKILMSPQLERASRQLFDGKV PDLWMEKSYPSLKPLGSYVIDLKARLTFFQKWLDEGIPYNYWLSGFFFTQSYLTGVLQNF ARKYVIPIDEIKFEYKIMDQSIDEHIQSRPEDGAYVWGLYLEGAKWNFNTMELDESDPKV LFTKCPTIQLCPMHISKIDPPPTYNCPLYKTSARRGVLSTTGHSTNFVMYVRLYTSKPER FWVKRGVALLTQLDD >CAK68978 pep:novel supercontig:GCA_000165425.1:CT868063:495709:497211:1 gene:GSPATT00007190001 transcript:CAK68978 MYIIQSAAENIRTLDIFGMDVHLLSQRSNTHKTYSGAAASIFILSVLVYSFYSFILQMNE GKNAILNRQEALLQNNEGYTFDAKDFIFITGLLDGAGQPIMNQNNSIYSVTFYFCNKSLS DTQCTYFSSTICGDIITELSQVKAVQSVLENGSTALTKRRQRTFTTVRLQGSQRMDNFTL FGVLLNRCVNSTEKNDCASQEQIDQLVTNSNFYYSYTNFQFRKDLNSYPFETMQNFDVTT LYPKLKKYIKVLYSYSVAYLEYNPFYFFPQTTECQSIEYDQSIIDAVVISENDAQLAQVE LHLGVKKFISQVTYQTLMDVAAKLGGFFTILKICISIILQPIQWFQYRLYLINRYLDIKK GNRSLTNLIDIDNLNFLQVVFSKNKRKYFYEQNRVIDKLLDIAEIAFNPLKLIRQVEDLQ FSIKQLDISKTKRYRLEENEHEQIEIKSICRSINQELDSNGQQKILPSIKINITSK >CAK68979 pep:novel supercontig:GCA_000165425.1:CT868063:497310:497945:1 gene:GSPATT00007191001 transcript:CAK68979 MIIHQNWRSEKIQLIKDVIKNKNVLPPYVQGKILKQLDFQVKALENRSNESLSPKKKNTN LKPPPLTKYDSKFDRSYSIGNPLEQECIKCKKFIFQNSAVLQCTKTQHRMHERCILQHFK ELYLNNQLNFGCVCGKKLIPEQIKAINIKGIEDLVNSIYSKQLKEISNSKSIEKCRNPQC DFFWISDLRMQKQKYNGKQLKTLSKKYCPFC >CAK68980 pep:novel supercontig:GCA_000165425.1:CT868063:498382:499835:1 gene:GSPATT00007192001 transcript:CAK68980 MSDEEQPQCDYQKFLNQLHTVKKMDDVEVLDSSDEFQMEKNETQYSQQNIYHSGLDEFVI PPKEQDSVSIDTKPYESTQIVKPKIQPVQLPPLSKKINKANERKFCFKNVEINQQLLKYE TVFYEIESYIDENDKALVTRQYSDFEWLFEELVENFPGIIIPSIPQKNMLAKFNITSYTS SIRSQRQKGLEEFLRKALNHVQLKDCEIIEKFMTLQDQDFKKLVSNYQAQKQQKLLINVS KNMVVNAFGKIGNIFFNAQNNDNEMEKYCQIAVQEINIQKVKIKNIRDQLEKTFLNKYEQ SKQFMKLSTLYDEIQNDIGYKEHLNSKCETISIALRDSFANVMPIIYTIDQYLLDMEVVL NSVKYRADLQQLITDHAAALTSAKYTPEQQALIQTQLNDHQKNMSKFVESFKNEYETFTD QYNKHFNKMMQTVLQVWQQINTQMQETWL >CAK68981 pep:novel supercontig:GCA_000165425.1:CT868063:500092:501385:-1 gene:GSPATT00007193001 transcript:CAK68981 MSSSSSSSSSRSSSRSSSSSSSSSSRYSHKKQKRKNKKKEDQDAGHFEYQIGMKIKAGQF MITRFLGDGTFGRVLEVKTCNTANNNYYAMKCIRAVERYIESAKIETKILWYIQDKDKSG AFNIVRLFTSFERYNNYFMVFERLGKSLYDLIKQNNYIGFPMKYVQSFAKQIIISVAFLH QNQITHTDLKPENILTTNCEYKLVPFKGRQIWVPEKEILKIIDLGGATFEHEYHSTVINT RQYRAPEVIMGYPKWNERSDIWCLACVLLELYTGELYFQNKDDLEHIAMIEKAIGPMDYR HFKNSKYKTFFNYDQKYFDQHRSYFKWNSVAPSDSAIERVKKLKTFEELIPAKHAIFIDL IRKMLRIDPEERIPLRKLVHHPFFQQVFQD >CAK68982 pep:novel supercontig:GCA_000165425.1:CT868063:502215:503482:1 gene:GSPATT00007194001 transcript:CAK68982 MSKKRKNSSSSSSSSSSSSSPEKKIFVNQCDKYKEERQSEIRIHFYFKDLYIGGLREDEF LFYLHGRIQKAGPCDLLQISSINKETQTIDVAVGFKYDDDALKVYQGKVSVKTKDKLTPI LSKVYTEVLKEQGHMMKEQQSDFRKSQQKELSREKEKPQQYIPTYNYNISKEQLYIFGVP KEFTIEDCISEIKQTYDLVVKPEGIFRSEKVGDITYEYLELQVERIPCQQILKKLPLVLK NISLLCIQKKKRTDPFNDVLKPYQVVLSGKVNLSQVYAHMNTIGGVLFHQISEQKYCIMM QSEQSVIDLKLPCTLDIQKVQCQVSQSPDFNLQMGRPSLNETTYYKIQEFKKKQEEMKLA RLHSSSSSSSSSSSSSSSRSRSRNKKKKKHHHKKKH >CAK68983 pep:novel supercontig:GCA_000165425.1:CT868063:503556:504946:1 gene:GSPATT00007195001 transcript:CAK68983 MKILLVNAFAPTPKGQKQFLDFRYIVQKAFINYSEVPDQEMEYIVRDFSSISDFLYEIQS SYVNQNAVQAFNAFDIIFVAGSGTTLPWSQQMEKILVLQRMCIKINKYLFACGCGMMGLV FLSASNFDRTVYFTNDELHPQKYFIEKSTGDIFVYHPDTKTWQPKSNAGLHNNKAAQEFQ TLGKYILKAPIYRPFKNIEVYASKTNEIIVHIKSSHVNHYIFEGVKSQSFVATTSSKWDV HSFSFPSIERAFTILAESDRGPIIIEYGSYIIATLFDISLNYEATTQIIGNFIKTTVDKI KGGAKIQEQSKGVYIGKNKFKAKRNLKIELLYRSAPNSPEHSQQQSFKHSGFAVKRILIN IYFINFISTHSFHQSPQLTKRIPLSKKLRDLKLEQTEQEQQNFQSPQSCMTRNEIIKFLH PSLDMEFSSFWIPGQQPKLSNTLKSKRK >CAK68984 pep:novel supercontig:GCA_000165425.1:CT868063:506070:507379:-1 gene:GSPATT00007196001 transcript:CAK68984 MSNIFYFTTSLLKKQNNEKEQRFAYLLENYLIINKREGLNLIDRKFDLTSMDCQIKWHYE NNIITEMMVYDTKQNQRIVYYQKPEALQDLQNKLNGKAFYRNFKQFYQKLEVIKSHKNVE IMICKNILTEEIVVAKQVKFLKNKHVDSDHNSFVMSEAKIYMKLSYNPHQYLLGAHQIFI CDQSVIYIMPHCKGGTLYEYLVQNDLNLPELVTQEMMRKLLIGLNHLHNIGIMHRDIKLD NIMLLRKNDPNSIRIMDFGYSTLINDEMFSYQRCGTPGYIAPEILNMGQYNQLCDIYSLG CVFHALLTGKKLYNTPKSTKASELLQLNRNSITSLSQICNLNALELLQSMIAIVKYRPSA STCLQHSYFEEEQFQIEDLCTQLKQLDFPQLRNPFRS >CAK68985 pep:novel supercontig:GCA_000165425.1:CT868063:509010:509773:1 gene:GSPATT00007197001 transcript:CAK68985 MDEELQIKEQLTQVPFHTLLGFEKQMKSQQQAKTQIKDQELPKKLKGGPEVRDARKPLPK IKNQPQKKQEQRDPRFDKTSGDLSLTKFYKSYDFIGKMKSNEMQVLKKQSEKLDNESKQK IKQIIGKQKDELIKQEQFLKKQQTFSKLKKKNYHPKQSIIKQELLKQKFDSLEATGKLDA YMKQKKKSISKKLDFASKKIKK >CAK68986 pep:novel supercontig:GCA_000165425.1:CT868063:510144:510911:1 gene:GSPATT00007198001 transcript:CAK68986 MTLDEIQIKFYNFQDTFTKDSNDKSQIKSINTKLAELNDKIKIQFQIKQFGNQKGLLENL PEQLNQKNRSKEIKLSYLINPFKVEYINKVTQLFNQKLNEINKSSFMEVLLWQLHYYHSF SQQNQEQLLQTIFNCMDMTIKIYRETQSRLENKLVQETKLFNQFYQSLFRQSPEYKLEIE ELYLKSKEDLNIYLENEFFMLIKDKFPDLVKRDLKSFADDISRNLWMKAQIKLQEEYFKL ALDSILNTIKRFDDI >CAK68987 pep:novel supercontig:GCA_000165425.1:CT868063:510956:512202:1 gene:GSPATT00007199001 transcript:CAK68987 MYTHHQFKAQCELVISQCYYKEGVNRQNLLRDEQHLLLMLSNSYHHNHSKELQLIDQLLQ DYRHLQIYIYLQNIVKHVEKHLHVILNYSHDPFQMNKKLTKQIGTIQYAGHHIHHFPELH SLGKMFREYFGMNVDQFEVCSQMVELCSDLQLLKNVYLSYFCKNYGLMAITVQNNGHYFT DVYKTYDFYIPDEDYALPPPGGYSNIYPTTQNQSFPPPYGQNVNTYPQTYNPLPPPGQYP PTGQYPQSGQYPPPGQYPPGQYPPGQYPQGQYPPPGQIPPPNQYPGQGQYPPPPQQYPNQ QPTGYGAQPYQQGQQPPYQQPYNPTNQQYQSYPQQQTTYPPQQPSPGYPTSNAQQYNNPS QQQGYPPQPPQAASQPNYVPMPPPANQQFPYS >CAK68988 pep:novel supercontig:GCA_000165425.1:CT868063:512993:514158:-1 gene:GSPATT00007200001 transcript:CAK68988 MGQCNNCQSCQKPDGRELTVEQQAYRTSQQQSQTSKQRVSNISGDHGGKTKKVKAAILIQ AYWRGYVVRKKIQKKSIGTNEMASAPPTANKYFSSDKDYAQQHSNSKTREQRPPFKYKSG AIYEGEWIGNSRDGQGTQLWNDGAKFVGQWKNNMAHGKGKFFHVDGDVFEGTWVEDKACG FGIYTHANGAKYEGEWLNDLQHGFGVETWADGSKYEGQYCQGKKHGKGKYIWNDGSYYDG DWDNNQISGKGIYQWSDGRRYEGEWLNNNMHGQGHYTWQDGRSYKGGYINDKKHGYGVYT WADGRKYEGDWVSGKQHGRGQYILLDGSIKKGEWIEGKKIKNIQN >CAK68989 pep:novel supercontig:GCA_000165425.1:CT868063:514520:515604:-1 gene:GSPATT00007201001 transcript:CAK68989 MSRKQTSSISPQIAKAIHKQSKDSDSSSSEKRYGKFWKPNEDSLLMELHIRHNGNWKLIA EGIPGRNLSQCQQRWKRINPNKWTDFEDQEVLRLVNEHGRNWKLIEGLMEGRSSKQIRER FLNNLDPEINREKFTLQEDQIILEQYRIYGPKWSEIAKMLNRRPENQVKNRFYSYIKRVH MLDERSDDEDNDRSMESEPEQPQKIVPNSTLNVSQPIYQPLETIQSLKEEHDNTKNDSLL INQTPSHRIQPSINLIQDSFDSKQFGGMEEQDNSPFYQHRKILDYSPINFQYYQHQPNFD MIQEDMKELKCQIESINIEHPN >CAK68990 pep:novel supercontig:GCA_000165425.1:CT868063:517677:518137:1 gene:GSPATT00007202001 transcript:CAK68990 MLHQTSESPHKQTSKRFALKKEIPILKQFRKIYKQYNQKPHPHLIQKETTKQQIKLAELE VERLSNSLTLNFDIFSNRKIQNDQNKSSNDDSEYSPFSQNTFSEETILKEQHQKELDFNM LYQIYLEKQFTEDKKQRCLEWLSKI >CAK68991 pep:novel supercontig:GCA_000165425.1:CT868063:518739:519122:-1 gene:GSPATT00007203001 transcript:CAK68991 MASNQNEPFIVYKNYRQKQKEAKKEVLKEKIAPNEVKKKIEKTKKNPNPQPTQKKIDQNL LLRVIQQHQQQQQILQQFILDQRMSHANVQDDDIDEFSPIYKFGIPTTPQNVALQRRMSR DDDDFKI >CAK68992 pep:novel supercontig:GCA_000165425.1:CT868063:519233:521512:1 gene:GSPATT00007204001 transcript:CAK68992 MKQEKEIIFNGDFEDSEEEDDDQSQDQESDEELNKKKKKKSLQNTNKNLIMNVSDTQYPV VKFVGKMIYKFKLQYVPYQEVNNWDFCWTDNAVLPETLAKMQSHQKINHFPGMYSLARKN HLGKNLNKMQKQFPDDYDFYPRTWMLPSEYNDFKQQFGKAKTFILKPEASCQGKGIFLTR SIESVNPTEHYVAQRYIHKPLLIDGLKFDLRMYVLICGCDPLRIYLYKEGLARFATQTYI VPNTNNLDDVCMHLTNYAINKDNPNFIFNSDEKKMDVGHKRSMSSVFQLLRDQNQNVDQL LEDIKDLIIKTFCSVQPILQQHYTQVDNYANNMCFEILGFDILIDSSLKPYLLEVNHTPS FTTDTPLDQYIKKNLIADTITLMNINLKTKNEVILQKKDEMQRRVLTGKKTKLSNEEKKQ IKLQCQRQRDEYELQNKGNYELIYPCSKSYEEYLQHSLKLYEEWTGANIRRNQKKESVQL SQEPQIKSQSQPKKIILEKRSTLYKHVQSRINTNLFPTSKNEQNKGDEIIQEGEEQPPNQ IDTIPEVDNDNFFPYEDYQQQSLESIKDLCSYTEKRGRELQILDLIQYRKADNRLNNSHI QQQNIQSILSPLSSIKGVLQGIAIKNVKQFAIRKNNKNVKCSSKQMNESEHDKQQENSSK NQIIPSQQIYQQGNAQGMNGAYLKPKVFSLKLSHPPKGYKLQSLPLLIQQNYTKFRYE >CAK68993 pep:novel supercontig:GCA_000165425.1:CT868063:521632:523466:1 gene:GSPATT00007205001 transcript:CAK68993 MISYSQQTGLGNLGNTCFMNSAIQILSNTPGFADYILNDIYQFDINLINPLGSSGEIIQS FAALIKELKCRKQPYIIPNSFKKTFSKFYNIYHGNEQHDSAEFLLQLLDAINEDVNQIKK KPYLVVPSSQGREDLVVAIESWDIHSQRNQSIVTQLFQAQFKSKIECPNCKNMSITFDPY MMIQLPLGNKKCEKGIVYYLLDDNYRQQQMTLSVYKNYNFEWIQNEIKIQLRTQDLVFNL SNQERNFEIQPEDDIQYLKQLLLHSKLLVRRLHFQEIQIPQNYRCYTLVTHTNPEFQILT EIITTIFDFRTKIFEIYDFIEKNFAQQFQCQFDLFLESNSQDSEQQCYFCHKQYCKFCEL KKCQHSIKYYNNCISQPNFQLNIIVKWYGQGIPTMFNTESQILMLQDKNDQLSINKSLLT IYDCLYFYQQQQQLDENNSWYCNQCNQHVKGIKQLLLYSTPQILIIQLKRFKSSNDMTQK IKNNIFVKFPHVLNMSKYVTNLKLPNDYLNGNNTNELKYKLFGVINHYGELYEGHYNTFI KNLEDQKWYCYDDSQVTEIHLQDIITEHAYVLCYERQN >CAK68994 pep:novel supercontig:GCA_000165425.1:CT868063:523679:525700:-1 gene:GSPATT00007206001 transcript:CAK68994 MSRFSLKFQLSETNSGTLYQMEEESDQRKETFYAYDFSSEFKDQVLKDEESLLINCNSVR HFVEQIMHQNKKYYLFKFLEGQTLDKEIQSFRGKKQSIKLDTIKLYLTQILETLYQLHQK QILGRVFSTKNIMVCDGQIVFMDFGFGPKFLTANLDLIAPPEIIEKFVENQPIESNYDIK VDSWLLGAVLFHLAKLRPISHIVEENRVKIMVLNDIKEYSEYLKIQMQGQGQGWINAKTD RYPEEFCQFIQGLLTYKPQDRFSFKQIYENSFIKSLNLAKQQEYLTFYTNLQSDWVNQQI GSLSNSYVETKDLQKQQSHIATQRTRQVTPPNSTTPEHSIQIPYLELKSNLASQSIQIPG TTSRDKWPPEIIKSEYIENSFIYQIQDLNQSKFYEIWSNIRMELFRSTFLEKCADEFVVE LGKKKKYKLEHLLAYFLRKMAYLVLVELQNKLKEDVCPWRCTNESLQKWKDFQSESQVMI LKNSIAQKIKDLAPNLNKQFYDQCQKFKNEENIDEEIRKQLQEDNSCFQSYIDENSYLYK CCSDEFLRNGFRKLLQLTLKFLQTEQKFGLQPQINYNTLLLKILLCHLINRIFNFSIAQI TFKELLKNRVSDTMISPNEIYAYICRDDEHGKEEDIRYISNQSFTEK >CAK68995 pep:novel supercontig:GCA_000165425.1:CT868063:525917:526975:1 gene:GSPATT00007207001 transcript:CAK68995 MQANPICPQPEAQYLDNYDNFEEVKATFQCLQNIHQPLEPEATFFLIRAPTKDNVHRAIK YGIWTSSSRNNKKLNDAPRPVYLLFNVTQTSHFIGLAKIVSEFRDKKHFKYWAEENKWFG SFQIEWVFVRDLPYKELSTITQSCGKYIHELIDCTQIENAEQIYNAFQQQPQKSCLLSSF KELDISEVLHLIDCFKKRKRNDRDTNPNFDISFQEYISVFEQMPFSFSVTSYQRRKQQQY QNQYLQQCQYYYQSPWSGVAQPTFWNSQAQTYMPQQQQQQQQQQQQQQKVSNNNAGFYQT QNYNRPQTKHLQKKQVQNKHTNQESSQKQ >CAK68996 pep:novel supercontig:GCA_000165425.1:CT868063:527498:530545:-1 gene:GSPATT00007208001 transcript:CAK68996 MSDQIIKFMIRHILSLSKRNQSFGSTKNILDFSEFLERNVLSSAPKQISVATIRNTKERE HYSKCFDRTGKCQGCEKNKNWKKDESRLTIGEAIREAQRCLKCTDAPCQKGCSTSIDIKS FIYNIEKKNWYGAAKVILTDNPLGLSCGQLCPISELCARNCNVASSEKGAIKINKLQEFA VSMFKEMNVKQIRDPKLPKNDHIVFDSSIALIGAGAASLSCATFLGRLGYKNVTIFEKSQ HAGGLVVSEIPMNRSPVDDINWEIEQVKQLGIKFVFGKELGKDFTIESLRKEGYECVFLG CGLNEPKKGLGHIYQQSHILNSKTFLPKVNQAVKQDKDIEATPKLSGHVIVLGIGDTALD CARSAYRCGAQRVSVIFRRGWQDIRANDEILIPSKNEGINFIPYEQPMKLIEVDGKYKEI ELEQNLPVNNDAFDLKYKLTGEKIKMSFDYLITAFGSEIQNKELDQFLNQKNGFVSINDQ HQVKGYDWLYAGGDAVGVANLVDAVNDGKTSSWHIHNFLQKKHQISQLTPDHLPSYTTEI DNIDLSINILGVNFLNPFGLASAPPTTSYPMIKRAFQEGWGFAVVKTFVLDKDAITNVSP RIYKSTTDPLKQDPGYANIELISEKSAKYWLEGSKAIKKEFPNHVLIGSIMCQHIEQDWR ELTRKCKNEGQFDMLELNLSCPHGMTELGMGRACGENPAIVKDICQWVTSEIDIPVIVKI TPNYPDSADIAQAAKEGGAKAVTLTNTFPTLMDPDPLGTPWPAVGEENNVTYGGGCGSML RPIALRKTSEVAKAIPDIAIFGSGGIIQGDHALSFLRYGASAFQICSAVQDQDFATVLYD LKTSFQAHLYASYNLNQKQWKGQFPTSQKQKPSFAFSSNNKLKNLVGSGLHHIKDIAEMK RQDFLVPQINEDSCLKCGRCYLTCLDSGYQAIKFDNFNSFPTIIEELCTGCALCHAVCPV EGSISMEIRATDIVVNRGIPLDKEPEGLINHIPSRKK >CAK68997 pep:novel supercontig:GCA_000165425.1:CT868063:531832:532522:-1 gene:GSPATT00007209001 transcript:CAK68997 MGEIVPNQLFVAGYSRNKIPDEKGIKDIFKKYGSIKDVAYKGSYSFVTFSAESEAQNAIS ALNGQQINGQKLKVDIVDNHKGRRSGPQEKDECFKCGQGGHWARECPNRTSPNRRRKYSN SRSRHQRSRRSNSRSRSYSSYSSSHSRRRRDAKKRSRYSRRSRSPKRDNKRKKSTSCRRS QSNSRSRSSVSNKQRQSNKSSSSK >CAK68998 pep:novel supercontig:GCA_000165425.1:CT868063:532552:536306:1 gene:GSPATT00007210001 transcript:CAK68998 MNNLLKRFEPSLTDVIKYLQEHQDMILIERNPYQADRLMMMLDNEKLDALDKEFHEHPNG IELPNFIWLMKCAISHAPEDKYELVNGLIKLFQDIDINGDGHMEWAEFTQYIIDAVIGSK DAQLYDTRFEKERELTEIEVLDRAYSRKSKRYIPMAQVDDSNHKNPIKNIAYCAQLDSVI CLESNSQHLRFYTPECQDKKVTLAPESEQGKIFILHFHTVDNYIAAVTSDRQMIFWDATT FKVIKQFKQEVLQTGIWYFPYHELWVTAGSDYNIRGWSIPWNEKDSKGQVIKFLNAHTKQ ITDIVELISPKLLASASQDGKIKLWDMQDQKFITELKTPAPSKRGVKGLSYNQDYGSNLI SYGFETYINVYCPEVSITRAYIGRLEGHSSLVVTCKFIPQSPNCVSIDDHTNIRIWDIRQ MSSVQVIPNDQQSLVTDLCIITRTDRFVYSGKRLNYFYNAAQMSSNQKQKGPNEEVYPIN VEFNMYFNQFIVLTKFDLRIYDAMGGKLKKVMNEVFDDKIQLDLSTFCFGGRQRKLFIAD NAGLIRQYNMKTGEYLKKVNMHNEIENSEFANKLANIKKRDTLDISSIIFLQEEKLLISA SQDSTIRIYDETDPEESILLKVFCGGHQNSEILSMAFSPNFTMLATGSANGLISLWDFET SKLCGVLNTPQPLPEVTALEFADPYPVLVSLQGILISIWNIKTNKCLLRIHTNPQHPMLS VAIFSDISSGPSRQELLPEFTGPLVDKTAQDKKSKLNLQEEQEGLMKDIQQYDTEQHQNK RRALLYMGDQKGYMHILSLTEFLSRKGITEMEKLKKGHSYQLKRKDLIDVSKSVETFLVQ EEKQQNPVLTCNISALLIRQWVAHSAAIVKINKVRELVSFISSSMDKHFKIWSMKGDLWS DISLAKYDGSNYWKFPFDWVGQKLKDIELVFDALKLIEKENLSPYEKERVKVRFLVNKYF NEPALEEMQRNYAQPEQVIVQREKKRLIQSQSQPMNIALKSIAEPYKELTQKMKDDQLKK KKLELPEVQEEPKRPQSQHGLVQRLILAFNDNKDIAKEDGIDPKKKQPNKNNHQKRIQEL PQMKPSTSHSTFLQKYQRNYRFIQTQVQTRPHTESKKYKFVHDIKYQFGESQRAMKIKYY QNSLKFQSISPQMLCDDNEILQMKCKFYKKQNEDLIESESSDKSYEEDDLGHPKQHKQII ASHNFLNNAQKNDHKVLNTKKKIFVV >CAK68999 pep:novel supercontig:GCA_000165425.1:CT868063:536332:537018:1 gene:GSPATT00007211001 transcript:CAK68999 MKSRYSLSHQPSLSNINQNVNSMLGISSQYDFEKGVLINVPIQFPEVKKNPSQKPPKLIK HQDQIDKFFVVSSKHPAKSKDSSRQKKPVPYQLIKVQPPPLGYYNCNASYLNQKSIVDMS KQSSFRMQLKKMKSNSVEQVEPLSSKVKQELQNQNQTKRSPPKIAKLSNLKLQQWIQREL EQFKETKKFEQTTYKGSMSDEKIKSDNFQRLVEITSLRSKNSYAKFFF >CAK69000 pep:novel supercontig:GCA_000165425.1:CT868063:537315:539051:-1 gene:GSPATT00007212001 transcript:CAK69000 MGGVQSLNRNCKKSLLSCLQLLVEDVEFYADAQFLAQIKNIQISFPNNFEKNRKKKIDLL KQSLPPQISFHEDVLLNYLSSAFQCSLIRSNNSKLKNSKDISMDKEFVKTFRLMNFSGLQ QEYDNCYQNNLVHLYQGLNCYCNLANQIIKELNQNLDVQCKVYVLIWEHFQNHLCKLSTS DAYDLQPLNQYFNENYAIISPNLKIEAIGGKLWGTLVQQLNLDYIRQQFQIARRDPTQQS ICLFQVFNALIDMNIDAANLQWIGISDFKFTGSLKLLIDFIVADTNQFLNQLNEKSQGNL FLLLDLWEKDDNFLKSVLPTWICENILNTQFFSFFEQRIREKIKLMQTSQQKNLIVKHSK QKVDTDFQSQLEQAKMSLDSIFFQVDFFQQQNIDSTLQIMIKQLRSENEFSSIILASENT QQGPSDLLVQQTSHIFSDLEVHHIQSNILEQQDSDIFGSQALDSKLLSPEDEIAEICQKY DQKKNSIYLNIQQRDEKIKLRNRNLQPISNELSKIFSFVHSISKEQVDVLVNLLIEQKHK EVNQMNNLFVNQENFKGSIAAELLFLMQK >CAK69001 pep:novel supercontig:GCA_000165425.1:CT868063:539533:540617:1 gene:GSPATT00007213001 transcript:CAK69001 MDPQTIQVIGFNELPQIDPNQLTQLAAETQQLFAYGTKAWLQLFQAITNLRCINKYVPQY VNQLFEMFGQQVMIAIDDSKPKIQRNVVTFVNEVFSLGRSVQICPQIFQVFVPKLILKSQ NSEHKQIKEECLVAVSNYILNCSQYEAGLFAVIQLTGQKKSTVPLQKFCLQVMAKQIQTI GSFLQSFSVNTLTLLLQALSSFLNSQGGEIQTYAFQICMYLHQLVGDQNFKNWMSVSLDP QSTQVMVNKIQEVLKKKSKAKQHTTMTDMKQRMTFGVYENQEQVENQNHFQEFVKDKQKA NNFLDLNQVQPLQPSVYYNENLNYQQQQPQQLVQNLSQQQFIQYQ >CAK69002 pep:novel supercontig:GCA_000165425.1:CT868063:541516:542550:-1 gene:GSPATT00007214001 transcript:CAK69002 MKQARLPLQHQSPREIGFHRHCQRFQPKERSPQPNEEDAIAYVRLRKQGLVKDKALKYCL ADHCKIDASLTKHGPPNYKIFSTSLDRQKVIQGWQMVGKLKEMSHEKYINAKGGDGLTEF ENRDEQIKFERLKDYFMGLSNSSKQVELPKFQMGNGKEHYFELADYYQQFKPLSKTLITD TESFDDIIPKYRKDHFRIEPKVDRQTIKRTEEEKGVKQRLKALNELIKTYKEAKRADDPC IEIVFLRFIKVLDQHHSKKKEERAPQEIESLAMIKENFNYDEGTVSARKRCSILSHRYSK VVHNDEVIKNQVFQEKLDKFVTKVLGRINCQFDGTLFGRSFRNF >CAK69003 pep:novel supercontig:GCA_000165425.1:CT868063:542798:543231:1 gene:GSPATT00007215001 transcript:CAK69003 MNKFLLLSLIISISLASLDDQDLIQKYSIKVHERGNKQSYPEKGDQVKVHYTGKLLDGTK FDSSKDRNQPFEFRVGVGQVIKCWDDVVLNLTLGDKVTVICPSATAYGSRGAGKVIPPNS DLQFDIEMLGFRDQEL >CAK69004 pep:novel supercontig:GCA_000165425.1:CT868063:543662:544632:1 gene:GSPATT00007216001 transcript:CAK69004 MGVESQQSPVLNNGDEKKKPNFVHIPIYDVQTSHKYPNSAEQVESDEQIVQIDERWYAKN ENYKPLTADQRPGFIRKVYSIMILQLLLTVAACCLSYFWIPYRDFQNEYSGWVYLAIAVA IIIEIILLWIPKYSWRVPHNYLFVFVFTLAESYTISQLCSYVFNKYRFIVLMAAALTLAA VIGLTLYACKTKKDFTTKGAFLFMASTSLFLFAILSGVYYDQAMSLLYSLISSMLFGIYL IYDTQLIIGGSTHKLSIDDYIIGAMFIYIDIIYLFAHIVLIIIACFK >CAK69005 pep:novel supercontig:GCA_000165425.1:CT868063:544713:545754:-1 gene:GSPATT00007217001 transcript:CAK69005 MYRIPLDDYNSNSDVKFNTCSSTRNCNQPKFNFPTDRASRAMSGRSSNVKNQFDIMCFLM GVELERLNQENEKLKHHNLDIKENAYENKNYEFQLKDLSERLVKKELKCQELENQLTDAN KMIAQKEILVQNQYQQINQQLQVIQQLQYTIKQLECKLGFLVCENENLSSKRNEELINIQ HVAKSQKDYEELQKVYSSLLDNYNMQNEKLNRIEAQHQELEYNYQQVVDKKQQQEMENKS KMIQYVDCKQKLIFLTVELDRMNEVIQQQKQELDQKQKKFDNKQQILMMRIILHCAEIDR LIDVQSKLMNENKWYRNQVELYAMMIGDNNKVVKLDF >CAK69006 pep:novel supercontig:GCA_000165425.1:CT868063:545841:547453:1 gene:GSPATT00007218001 transcript:CAK69006 MIQSEYPLCPKHQNPIRFIDIQENVPKIQRTLCDECYLNNPLSIHQAFDYLCQQQNTPFQ QETTFEKCKEEYLVMKNKVVENLKLAFAQIEMEIEKEMDSQKTLINDSVFQYYLGKQTTL LLEDYQQLGELLSVQLEKKGENLIQKQNEKNSQIQFAKQLQKNINILREISLNIHKIIPY VKVNQKMEVLTPNDLLVKLDGQFIVKQQLDNLENIKCIDYHRQLQTLIYGCYKFQMDQNE MVIIRFDKNFNVFLKEEYTSHSRTVNCIKSSLISNFCITGSEDSTVKVWKIVQEGLCCEQ TLEGHQDSIICICLNRVESILASGSSDNHIIVWYLNVQNKWQKAQTIVDSPRITLCINFN RLSELMVTGCIDHSIYVWSVVNEKWRGSQQSMNAICFINQTRFASASWDSAIKVWDRQNT NQHYAQVFLTVTHYGPIVNLILIEESNHLISCAYDTTVIWSIDPQDLIVKKQKITAAKGI CQINEQKLSIITYENQLKIYSLEQ >CAK69007 pep:novel supercontig:GCA_000165425.1:CT868063:547471:550842:1 gene:GSPATT00007219001 transcript:CAK69007 MSYRVNNAEIEGQHPLLKVTPVRFLWIRLVLIIIISIMTGCMFALALRWSKKLYNWFLFT PCNVEETGTHYRVDTQNGQQFTLEKQQMNGIYYFTFRLLKYAFDPKTQCYQPIEFETDGK IIKDIIANRNVLTQNLKIQYYGKCQLQIPIQPLAEFLFEHLTGPFNILQYFAVAVWFAQN SITFPILILAFTAIAVIVNYILYRRSRSLLQKLANIHQNVILKSDTLRTVNGSELLPGDY IILQEGQQLNCDCAILQGDVMVNEATLTGENVPIPKTALPDHSVKFNFESLNQHCLFEGT SIVKVNTTTENVAIVLRTGFSSLRGQYFRNVLFPAPPSQRFYVQAAKFILTFATIIAIVY GFMLIKYIPMEFKTSLLVLRFLDNIVWSIPPSMPIFFNICKTASLVRLSSIGINGSNADK IESAGRIDTCCFDKTGTLTTLGLKAIKVWTHDQSLEQIANYILACCHHLLLINGELQGDP LEIEMLNFIGWQINFEGKSFFSVKKDQQEFEIIKIFDFSSARQMMSVIATDGTNFYLFSK GSPEMINQQSQDKKQVVLDEVKKYASNGFRVLGLGYRKLNNNQLDLQREELETQLNIVGM FVLENPLKDDTPQVIQTLRNSGLDIKVISGDSPLTTIYCAKISGIIDFNSEVVILDYNST KRQIVIFDNDNQANYDENAIENQNLIEQIIQNPKMTALTGKFLEFISKFLTVANPNRETS LSMTQNNSSNQIFSDNNAIEFDDTKMKEITKKLISKTRVFARQKPEQKKQIVALLQDMGR QVMMTGDGANDCSAIAQAQVGISFSESDASYTAPFSSKSTSLKCCVQVLAQGKAAIMTII EVFQYQLSVNGIKFAAVTIMFLEVQNFSEFQTVYVGFISNIPLLIFLCISSPATELAEYI PLDDQFSYQNQIQIYTNIFFAVLGLCINYGILTTTDRFFEYSKPIEKFQREGYLNSIMFL SLMYYFMSFGVSIYVSNPFKVKYYKNILLTLWTTLGFVVAIVSFIFPQRASWCDVIDIKE DTFQGFNWVVLSVVIVTSFLGFFSQALLQRYIPSMYKITHKS >CAK69008 pep:novel supercontig:GCA_000165425.1:CT868063:551020:552487:1 gene:GSPATT00007220001 transcript:CAK69008 MLILFFLVGSLSQSTYYITVDDALSDLLKTQPKGYKPWHPSLNVRLRVQEEERTQEFYSF VQQQIKIKQRGINTQHPMQFVQVQGPEFFENLVCKCSFSPAEAAQEEEEGGEGGGEEAEG GGACEAEGGGDHEGGEGEGEEVEFIVKKTKAHGKDFSFLQVGTQSNLNQYIPQPQQVDQL SQYDLRLQAQELLIKQFMEKQNQLTQQLSLLQQSQGVFVPSLFPQVVDPNYLTPQQQAQM LYMMQLQQQQQQIQQQLLQQQMLNPLSFQSSPTIVKNDNIQTQQQYQIPLQQPIAYTQTV TQPIMNQQQLLLQQQLLQQQQIPQQLTQQQLLQQQQQLLNQQQIPQAQPQQQVQSQIPQQ IPSFQQQQIPQQQIQGFQQQIPQQQIQQQNIQQQPYQQIPQQQIQQQQSYQQIPQQQQQI QQQQQSGFLQQPQQQQNVPQLEQLLAQQMAQPLMQPQFLQKQPQIIGVG >CAK69009 pep:novel supercontig:GCA_000165425.1:CT868063:552650:553823:-1 gene:GSPATT00007221001 transcript:CAK69009 MQQNKFDYSLSLFNCQIKKKKLIGLFNRYIYLFEDKLIINKDSKKGYPMKIYSWTQIKKV IWKYKKSKKTPNTSTLRNIIIVTEKEQKKYYGESETLLALKRILAKFVYQESIQDEFERL QTLGQGSYSLVVQLRHLHSNLQFAAKCIDKKQLSNIENGIKAVQNEIYIMRILSPHTQIV NLHEVYDGDNNIYLIMDFCQGGSLLTEIKKRQCKFDDYEIQQIMENILSAIEYIHSKDVM HRDLKPENILFSNPNDFSTLKIADFGLSAISKLTPYLFPKCGTPGFVAPEIANMNDKSRG YSKKCDIFSCGAIFHLLLLGKEVFQGKGNAEMLKLNKECNINSSDDLYNALPPLTKDLLQ MLQYISKIQNVGSQS >CAK69010 pep:novel supercontig:GCA_000165425.1:CT868063:553964:554089:-1 gene:GSPATT00007222001 transcript:CAK69010 MEQGARVSPHQQKLSDKAFPNGKFWGLTDAGCAMASLTPHY >CAK69011 pep:novel supercontig:GCA_000165425.1:CT868063:554784:558130:1 gene:GSPATT00007223001 transcript:CAK69011 MEPRLPQYTQFFIVINNLVNEKVIDKKQKTELEQSILRKDKNIMEIVSKYGNKDMEQQFC EKLVQYANQRQKDTYVPHIKHLEKNKSNKFSVSARQISFQQDDPIVENKQEVSHVLNQSL SPFILQLIEQLDTMLSIHMTNGGHFVPIDVEKLTQLRDQINKYLGIQYEQELDNESPVRC RNISVCGRVISEQQDDKSDQVDTSVQLKSKYHLQIISQIKQTYVQLKSLFLGSLHTHYLL MQEELSYNNLVNVVKSIIKELTDSDEIYFLIKRNDEWDFYSTEKDSIKQIEKYKQQVFFL NFSHLKPNIVYNFQNNEKQTKQLELVLDLNSQFTELSIIRFINQNKQETFFFFYWNKPGR NKLLRRFINEANEYGFKDEVLSLTNFLIDVIMQARVQYFNPVQFADQILDIALSFVESSQ YILIDEMFRALDPHFKIKKDYAFSEDGRQKIDMENSVRIEMECRNPIALILEEFYLNRED HQYIYTEILNLKSKYQRHLRLCHEKTAYYKYFLRSSDTILFDFDKNGRLLFLNHYIWETL KQKYNIQFSPDKRTPNYKELFMDERILRYIDLDEFMMKKKNEYIQHEDFEIFLKVVDQVY KGFVIIFHLQEGSRMKDYIKNLKNEEQLEDEIKQSIQRSYNKHQTFKFISQLQKSNPSVK NSYISLFIPEESSLDNQDQQQQSNNSLQPIKTRKPRLSIQMLGKQIKAFNYQPSKQRIKS IESALEIEEDDDLDTLYEDKTIDKFEFNIFSENMKAKLVYHIVKKNDWIDNYDMSEEVLM KFIKEVERKYNKRKNPFHNFDHGITVMHSCHFLCSLPRAHQYLSEIVHFATVISGLCHDI SHTGRTNQFEINSKSKLATRYLDKEPLENHHAAVTLRLLNQDKYNILSGLSVEDLAIFRQ TLIENILFTDIKQHFGLIKDFEQRVKESKENQDKIFGMIVHTSDFSGAAKVFELSKAWTE KVNMEFKAQFDEEGTRNINQTPFMKDLDKQEIMAKNEMGFFKVIVRPLWASLNEFYDKQL QDIIDNVENTIIKWEKIYHTYNEQEKQD >CAK69012 pep:novel supercontig:GCA_000165425.1:CT868063:558182:559240:1 gene:GSPATT00007224001 transcript:CAK69012 MSERREEDTTLVQAAIPAALEGAKKAEEMERKIKEEGGQKLGDAEKQAEEQIHKAQEILA FAGSQAQVAQQQSLTVAAAQYLAGSLLSMLILQLGFFGSILTFIPFLEQLTIILYIVSIL IVQFCPGSVDKVPKNFGLCIVHSASKILLMVYLTLHFESIKFELIQLVFGIVILFLLFQI KKGISENQEIALVVKKQFFTVLIISAVISGFLGLLTRSNLFITAILIVVGAGYTYYLQLA LQRFGDHKYLFINKNDLYLGAAQLDADLFLWCKLVGYHCIKRNENGAYVPNLEFDEENKQ KEPETQEQNKI >CAK69013 pep:novel supercontig:GCA_000165425.1:CT868063:559271:561544:1 gene:GSPATT00007225001 transcript:CAK69013 MFDKLCIRHSDQILTHFCSNQLCPQFLTFYCTQCEIQQCNKNYHLQQFDNLSHFINKLIL PNFDTKQIDYCKQQMNKIIGNFNTIQEKIISDYDKAIEKILEYIQNRSSSFKTEIISQID FQKQYMIDKLAEFQNTIDKHYYAYKQSYDKTKSILNAQESSVHIEEHYKQIDMNSLQEYY NVLLRMQPELEILSAENSLQLTKEQQIIESFNHNIYKEFQDKIIEYSENTLTLLWSKYYS MTSNIHLYDNKTQSIAQSEMIENIRISRSSSSNSYSVFNEIDNIIAMGQQFNNNNTSIKL KSQTYGYTKFNLMTQGQFSIKPNHLDNQQQLPIITPHCILVIKNQNIILTAGDSNTIYVW IHKKESNLWKLESQLVLQNKEQQYIQCMELLYNPFISESRNSKQSFANLKVMLNPIIAIC GQNNIYIVQLDIMTNQITIQCQYTIKDQKEQEIHTVQQCQTMGSYNYFIVGMEKGTMLIF NYIISIDNTFTIKIDQMIKQHKDCITSIEIIGDQLIAVASNDRSVTIWQFFNNKLKLLPI KLNRFWEDIPCIRQVYTNSFITFDSNYNITQWYLENQNKIVIINSLQSSKMKQEVQEAII INNPDNSQDFCILLLIREQSNNKLIILDRYFSIVSQESLPPQFQSYLSIQRKKHNHYITN YKMRIINDFVPNKGFSSFIDVKQNEKQSNQQQQQSFSQQQQLNQNKKVNLAIINNNKTKQ HFIEISYIIGTD >CAK69014 pep:novel supercontig:GCA_000165425.1:CT868063:561652:562064:1 gene:GSPATT00007226001 transcript:CAK69014 MGRLILEYLDGNRIYVCKKCRIHLTNFQNRISKNFKGGTGQAYLFDLGINYHVGLPVDKD LLTGKHTVQDLMCNGCCEIIGWKYIKAFRESEKYKEGKIIIEKYFIEKIKWK >CAK69015 pep:novel supercontig:GCA_000165425.1:CT868063:562092:562841:1 gene:GSPATT00007227001 transcript:CAK69015 MSAEQWLVLRLDLLPSIAQEVEQICTHYIHLCDEVRKGNKQAYQDLIVLQARIRGRMDDF DKFYDRNYADYRIGYFEKMKLRLRKLISPHIDVNRLIYEETQNYNQQSSPIKNTTPPPVK DNQQNVQKVTEFVQAQPKRPQSPPNKMINSSNYNSTNKQKQATPIAQNNKKASKQPQQVK TSQAKAKTQQDELVFTIKMSKEEYLQYIQAKQKQR >CAK69016 pep:novel supercontig:GCA_000165425.1:CT868063:562886:564395:1 gene:GSPATT00007228001 transcript:CAK69016 MFQKMLNQQLKKQSDEQNRRVVTEVEEMDKEIKTLQDISNLKARLKMNQMAKQTFKKNKD EPTTSIYVGKLDNPLKSFQQQTIKKQSRKPLSIEKNSTKSVLMPASTERFDYSNFTYTNL KVVGSGSFGVVYKAKVNETGEIVAIKKVLQDRRYKNRELQILQELDHQNVLKMKHAFYTP AESKDESYLNVVMEYFQDTLYSYNKSFIKDFKKMPDLLVKIFSYQLLRSIAYISILGICH RDIKPHNVLVNPETNKLQLCDFGSAKRLIAGEPNIAYICSRCYRAPELIFGATDYNTQID VWSVGCVIAELINGEPLFLGDSAVDQMVEIVKVLGTPSRDQILSMNKNYDMQQYQFATIK QRDWRRVLKTKDPKAIDLVSKLLTYCPKTRLTPLQSLTHPYFDELREQSSFKSIQSTIKL SASDLFEFSNEEMSKMTQQQMITLIPDWYANTSKPLKTIC >CAK69017 pep:novel supercontig:GCA_000165425.1:CT868063:564487:564894:-1 gene:GSPATT00007229001 transcript:CAK69017 MSAGFNEELINCPAGCGRKFNKDSLIIHYKICKDVFQQKRCVFNSQLKRVGYDYFIGNKK NLPQFSSPKSIKMKTENAQIKTPQITSKYLQVISTKRFCKGCLRDFDIKIADDHIQKCTQ KLPKINGLPKLNDIY >CAK69018 pep:novel supercontig:GCA_000165425.1:CT868063:564933:566180:-1 gene:GSPATT00007230001 transcript:CAK69018 MKNLFCCSQSRKIQWRITRARSALERRAVVVAYQTHDLIGTHQRNIILAQHLMFKVAPII QCQTLKLMNALASDYYGRIYLTSNSFLIKLLIEFVKKDQTDSIKRKNAIGTLQKLSLHKQ SQIWMLDNNIIYVALTILQREKFILSEYTYEYITALIMNLSLSSRGRDALSMNKKLAFEV LFELIEYPNDQIRIFINGTLYSMFSNIELRDYANQLNIPQKLTKLLPVIEEKFKKQIQYM IEKLESNEDDNDQSQMEDDNDLDDLEDEEECLVDDDDEDELDNNDMVVGEELLMNEFALE NEQAEQQRLLMESSMQRELQQRTIYQEQMRDSQIEKMPVRCKDYFLSFNLEGPFIVDSLA QFNDNQKHMQLQTQAFVSRPKVPRTPPIQQYNYQH >CAK69019 pep:novel supercontig:GCA_000165425.1:CT868063:566223:567371:-1 gene:GSPATT00007231001 transcript:CAK69019 MNNNNRKTQSSKDVRNKGNSGLNRKNDIPQKRIQSAIQSVDKSVDPSGNLVEQLNNIVQD YLLRSNCIKTLEQFKIESQFATEQSNETEHIILGHFDKGERDKFLESWSRYIPISQRQEH DSWKLEFYIQIYFFIYPIHPVFKKKGQINKYSINQLKNYLDNKGADLSKTNEVLPFYALP YVKNPETHQSFQHLFTHEWISNLRIKLKEFIQSIYGSDQYGCILKRLVLSKEGSVNYQQK DDQNRIIEIKQLQQENMELKKKNNQQIQALQELNHLAQQNLIEVQQKWFQLSGELLKTQK EMMKYIENNSKIPEQIQQFKQNIAIFDKFLGQNFEEQVDRLEDISPFNNNNQPDHDLSEI TNQQCILWLQHYLQK >CAK69020 pep:novel supercontig:GCA_000165425.1:CT868063:567837:568335:-1 gene:GSPATT00007232001 transcript:CAK69020 MIQIVQEGQGKHCDQYQINKKDKNLKLMIINLGDGNKLLINIDKRYQQKLSNYDYQLLEQ QLWQTNIDKVFQKGKGVPKKLQQKLRKMKMGKSNRQCSICCNNFSKDETIIQLPCKHIFH QSCLFSWLDHSTKCPNCRSDVLEGLKSEEK >CAK69021 pep:novel supercontig:GCA_000165425.1:CT868063:569782:571178:1 gene:GSPATT00007233001 transcript:CAK69021 MDQATQDIQENWNEQVETFEELTLSKDLLRGIFSYGFERPSAIQQKAIKPIILGKDVLAQ AQSGTGKTGTFTIGALQRIDPNQRKTQVIILAPVRELAKQIYDVVKGIGQYLNIEAFCCI GGTSTQETREKCKQGVHIIIATPGRLIDMMKNKYLDATFMRLLVVDEADQMLDQGFSDNF AEILKMVPGDIQIALFSATFPQEIIELSKQFLREGTAKILVKKEQLTLEGIRQFYIAIQQ EDQKFRVLVELYKNLTVSQSILFCNSKKTVDDLHDKLTAEGFTVSKIHSQMEQKEREQVM QEFKKGAARILVSTDLMGRGIDVQQLSLVINYEFPRLKEQYIHRVGRAGRYGRKGVAINM VAQQEANLLLEVEKYYNTKIDEMPKDLAEVEKELS >CAK69022 pep:novel supercontig:GCA_000165425.1:CT868063:571401:572753:-1 gene:GSPATT00007234001 transcript:CAK69022 MNYQTALEQIKGYEPHFEDYNYVDLLSIKSKLRIANNYLYYGQLFNNLKHGRGILLSSNG RRYEGHWNEDEKNGYGWELLPNGSQYEGYYFHGKPHGKGKFIWANGEYYVGEWNMGIREG QGIWCGLNGEYYSGQWKTNQATGFGEYIQNGNKYMGNFLQWMKNGEGQEFFNNGDKYQGN YLNGMPHGYGEYIWSSGALFQGYFKEGLRYGKGIWRRSEESPTDSYQGHYEEDKKNGFGV YKWANGNVYKGQFMNDFKHGYGEMIYFDGQVIKGNWEQGRLVNQIRTQSVEKIKNDNNYQ IKIVDEINQVAINTNENRIVLKISEVAKQSNIQYTSNRKEKVNFLYPSIYENKKQSINSN PSTQQTNRTKKLISIKQYTKERQLSQSQECAKAFKPAQQIELTERSKIQSKFCIKATEKQ NLQIRKTKLSQSLIN >CAK69023 pep:novel supercontig:GCA_000165425.1:CT868063:573401:575636:1 gene:GSPATT00007235001 transcript:CAK69023 MDFDQQKTNEIKQYLKYKRLMEWSIENGVLMKGVDFPASFGDVTGVVASEDLPSNTAFIC IPQALIISPDKCKSTNLNTVYNSHPEMFDKDETNDAEFNMLGIKLICIQVFYMFNEKKKG ELSFYYPYISAVQANNTLLTWSNEDLKKIEDPIILEEFANIKQDVLGLWGKAKQIFDNNE DVFGIPRLTDKKDFYWAVECVMSRCFGWSLKSTCIIPIADFLNHSNRACTHYMVHKGLEK GIPLKQKDQAHFQQQYILKRNKINLSILGIENGNEMQIVEDEKIRFVLDNKEYLRDLKVI DDLESLSIDGRKEIINQIYYEQMMQDPKMNVWDLDSMTSSDSEDNDSDEEIKLTKHKELE ILKIKEIAEWKRREEQKRIEKQYQSIQLKNQPIVTVTLNPKKKNKVIIRGLPQYQIEAIK AKQQIMNGRFSKLQSEPTSSSDNESETSEESKWDWLNEYDQDAYFCIATTEPIKKFEQVT VSYGRRTNRFLISWYGFALSENIYSSFNFRLWLNTDIFKDQEKSRDEILNTIIIQKLIPE GESILNTILYNGHEIPVASLSKEFRIKRNKLNIDTIIFLRLLLRVHYGNEKDLLSTIPVS IDYEIFVMEFYYSLLQNLMKSYSQDLAQDLKELEQKMEYQKRFAVYIQSLITQIYINKEK KEILIGQMQIVEEAIRILQKFKETKQLRESYLSDIRLDVSSKISLIKGLKQYLKFVWEFL >CAK69024 pep:novel supercontig:GCA_000165425.1:CT868063:575758:577311:1 gene:GSPATT00007236001 transcript:CAK69024 MFLLLLVIQCIAKTSLLEETNALYEEWRHKAQMLVTRMPMEMMRQTLGLRSLDQDQGDEI PMPEIDKNLKHVRINRVMEEAGTQQPPATLNTTINEYLRQGPLYPLKCYLGQPILINVTQ HYCFKTRVTQQQYEDISANNTANCTIRYRLHYGCLCPPDFYGDYCRYWNPIVCEMEQPSK DCKLVVDEDYYNKKIDGNPPCYQFKSREFTENVRTVCYNYIQSQLNSSVFHPEKNYTVIW SNYTRGISALLPQQYKYSAPIPDDPNDPQQYIQFATATEEAEREYFKYQQISCIGVESNT LCSSLLFNITPYVRFINWTFLSESETTYIDLNLTLVEMLGQKYFQVPIKINKGEPLYGRY SLEIGLIIHLYGFNELYATNASNETVDKGPREYQTQLQPKILFYEDALYEEPMSPTRALD KNARVGLIITIIILLISILLVYKYRNTITECCFPRIDKVVPEQYGNQKSCVEKCCDCFKG NQAREQASEPTPQHQNQSLEQ >CAK69025 pep:novel supercontig:GCA_000165425.1:CT868063:577487:578701:-1 gene:GSPATT00007237001 transcript:CAK69025 MGSKCSNCAQCQKDKLEQLNEVTITKKSESHKNVISSNSQLSQQNNAHLRKQKSNQSHDD TDKKVRALLLSKKAIVIQKYWKGYKSRKAYEQARMYLKKSQIEQATIESKSKRNTQKYFI QEDKKKLSLNLKKENFDQNTNLRVGRLMKESGWVIKETVKEFKFGWMEPSSQVNGRIIKP VDKEPFTISTVLLMKDNGRVIEQTDSEFTNSQMELFTQDNGKMIINMDKVRRNGLIIQCI RENISKARNKDKERISGQMEVTSKESGLIIRQMVMEFMFGLMERQYKGIWKDNKMHGYGV YQWTDGRSYHGEYLNDKKHGKGKYFWPDGKVFEGEWVDGKQNGRGKYLMPDGRVKFGWWE KGKRINLDGKFE >CAK69026 pep:novel supercontig:GCA_000165425.1:CT868063:578739:580790:-1 gene:GSPATT00007238001 transcript:CAK69026 MLKFQKKSKHEAKTCVLDIVNSLDFFGQPPFFRILKRQKFNTLLGHFLTIILLIMCALYL YFQFQDLLDQRTPNIIISETQPFNTPAFPLYSENFTFAISITGPTLSSLTTYKKHFDIAM TACNRTRFYNETTQATDISLICRNIPLEGCNLEDHFPKEYQKQFFGKFRLTNMFCINKKL GEENPPQLQGYTSADTYQYVNISMSPCKNTSTYDGCSPVEEIQAGLKTGFFALYLGDTLL KLDNPGRPYEEIITVQFAAFSSSLSKQIYSTFKMIETKTDVGLIQQDLVTELGLMQSSIK DSSGPFNQNSYVENILFMDQRANNYKRSYIKVQNILGNVGGLWQLVALTITTLVSPIIAT LMNMQMANRIFNFENGGDKVREVHSVSNSNQNINININGKPEQKDLIVELNKQGRIPQSS KRDLEKVQNRGQLKQYLKQRKRQLKVGLWDLMCMNIGLKRRQKQQIDYAIEKILCKLDVV NILTKIQEIDKLKYVILNKDQLELFNYIPKPLIPMDMFSQDFEKKLNVLEEKAEFQFILQ EEKSDLIKVEQAFNAYMKLQEKKRLTDTDKSILELVGDDMIAIFDKINKNQDEFLLQSNR YIHSNLNLLEQNQIEIISPISSKSDNDQFNRSVNNFECIGNEDNEDQVAQIPPKIPSKK >CAK69027 pep:novel supercontig:GCA_000165425.1:CT868063:580964:586681:1 gene:GSPATT00007239001 transcript:CAK69027 MNSKVIINQNTLRDVEMVYEKAIRMISCLKEKHKLITRIRLVDSDKAIFQELAKGMAQLI DNLRKGGDVHLIFQSNLPYFEVQLDLELFIVEPKKMKECLLRKQLRELGLKNIQFKSKEC REHIIADDFINLEDEFKKDECAELLKERFDTFKVQDEQAIIEILKKYLKKNLIETYNREL IDIRFYINQDFDIVIEYKINDNQLNLQKKIKRRRWSEIKDAISHFYTYQYLQEITLSMME QMFYVDEIELMELRQCVQQIVQNCQSSYNENLKYPLSIYKSRFWDILGILPRSLNTHNRF GQCKIIIIQNQSRLFFLNHQKIIENKLIKNDITLFQTYTQLIADSKQRLDLIKKNVSSSN TQSLIKQKRELIEKLLILPELEQEIQGLQILEQWKLNQSNQDNEEDFILQMPEETYDQIK AITDKIAQTKQIYEEYHRVLNKVKNDVINFQGFKTIQQNKIYLTNLYKRVLQEKQRVTQK LPIYFKKRELIDSIQQSQVILLIGATGSGKSTQLVQYVYEEIELRGKIICVEPRMIAARS LAKRVAEEMHTKLGGSVAYLNEYDQLDDDNKIIFTQDRIILNILQKDPLLNDYEVVIIDE AHERNMNTDILLGLLKDIINKRKDLKIIIMSATMDEELFSNYFQCKAFKVEGKLFDVKIK YQNSYSDNYIDQIQSLIQNKIIYKVMNQSYQQRHVLIFLAGIDEIQRLLYLFQDYQDMDE FLFLGLHGQMTQDEQFDVFQETKKIKIIFSTRVAETALTINDVSVVIDIGVDRFSEYDQK RGMQITKISWISQAQANQRAGRAGRTRQGKCYRLYSEQEYQTQMQEHKTPEIQRCCLDLV VLRIKSFNKDPFEFEFIQSPNRQAMKSSQEYLIDIKALDEQGNITVLGKFMSQIQTEPRM SRILIEAYYKNVFRETLSIICVMLNNQSLYLKNTDVSNKIECLNEMGDFFTYSRVYWDFK SLTNSNLSNFQIKQKCFQKGYHYKTLKNCEKAFDEMEKAFSYFDLQLYENQEIKNKILWN SDSTREECVMTCFLTAFYPDLCVYNGNPYIGYTFLKSKRNVRIYGSSTLSLLNHFPKYII CSDLYSSNYFDFCRVAQEVKLEKLKEILKPSQIEEIENFVPDKYQYQQLRNVSSSLLNHL IHTFNQYSYDEQEKRFQYYCNNDQDNGIFEIWSLKPIDLEFYQKDYYEKMKSYLYFRLYG QGMLVYFKHGAQIHVTQQCTSSELVIVQFSSSPFNIEEIQQILDEQHLYYNNLFQINANR FGYCGQIFTNSQEIAYQITYVLNEKAKYRLELQNQQEQQERQRLFTIKPREIRNRVIKSS VELIFYGSENKGFGFIQFKNQEEAEEFFIKTISIVQFKGRPQDLKIYQDQKSKIRISNVP YNANEYELLRYLQDLAQQKNCPKPQSLNLIGKEQTETKDWNHEIHKVFEKYTGEKPLHLD IRSDKNNYRIIVRVMTTTNPIKLEEMRKQINFTNNILGFSSITMKIEYKIIKIISKNILV QNEEKINDIKKELQAKYSEDLLKIFSSGENMIIIGKNPNFVRQASLMLHGVLNGYNLKVN LRKYVFKQVLRDNQFYQDLLSLQDLHGFKFNTKLLQQGAIKVYLNQDSLIEIQNAINSMI KQHVSNNLIVNIRNYSFKPLLTIKDNIMFMLQQKYNVSIDFILQKKQIIIYGNNEQAQKC KDHLLKSLSKSPEAQKQDLCEICYGELTEKYVLALCNHFFCKNCLYESIKAQNNPPYKCP QQSCDNLISLSDLQQILCEIEFSKLLDQSFKRYKDQHADEYIGCLTPDCEEFFKKLTQNK EQFYYCQSCLQSFCFLCKRNAHPQISCEEAKKLFIDGKDLDESELLKMNIKRCPKCQMGV QKNEGCLHLHCTNCENHFCWVCLHQANLSQDIYAHLRSQHGGYFQ >CAK69028 pep:novel supercontig:GCA_000165425.1:CT868063:586696:587382:-1 gene:GSPATT00007240001 transcript:CAK69028 MGANESQSQMTQEIVNNSEFQKYLKQICYKVPKSGINKNYFDNILGNLNQFKIISIASTP LGDRLFDVLNAKNQDKVTSEVLYYFLTQLYSNKESRCEYTFQAYCLEGKRIQKYVIEKNF IAMVVDSWERAFAALVEKFPENKRKEDGDLIENWSKSQELKEKVKIAAQACFKNLSKSLN NQADYQVFKSWILSENQDKIVAKYEGYTVVVPLTLYKFIK >CAK69029 pep:novel supercontig:GCA_000165425.1:CT868063:587417:588499:-1 gene:GSPATT00007241001 transcript:CAK69029 MGNNCVSESDSLINEDTQAKFNKIESRPGIYAVEHSSNTCIESISIMSSPDCAYPITFKE NMDVIAFKGHKFAILERHSVYYGEMNQKYQKNGKGKLYLQDVDKNGNQKLVIKKGIFKNN KYLESGQISPKGECLDETLDSKITESLDSQNSPMQNEFYKYNKLINEKDLNSIRDTNQLR SNIVNGYIQYLQQLDEKLYWDTPPHKREKFERTIIFQSNCPLDSYDEFTQLIQQFRFVKF WLIYKKIGFVIEHNPNRWYFVEVQITEDEFKINIYDSIKCSKAFYQKITLKLTRLFQKLL SHNLEAKVIIKDNIPQSYNMYDSAVYTCIFARMLRCNCRNKVLNMSPSQMRSDLERLFLN >CAK69030 pep:novel supercontig:GCA_000165425.1:CT868063:588720:589748:1 gene:GSPATT00007242001 transcript:CAK69030 MKFIKENKDNKVQFIEQKKIIYKGSVRLEKFENKIQNNIPYTQREKQKSDWSPIIKQKRT QTILKQLITEQNQQVLEAKSSRNFKFKRISVDYNYSIQLPNIEEYFHSQDKHQLLKTIKN EKNRVENHIEKLEKIKKELDLSDSIINQHKQAAYHSNTPNKLVRRPTVKLNTSGSTSFGK TENVKMHLSIIECEERKQNDKNKEVELYKRYERVRNSKVLPQLVTQINESYEEKNKLSDK FNRQLYYCIHNRDYSIQDKMKEYNNDSLSYSQFYLIQKRLKQHLEGRFKATENQVIKFED ELKNLAKTNRLTESRKEQLSRIKIQLESGNYLENY >CAK69031 pep:novel supercontig:GCA_000165425.1:CT868063:589951:592570:1 gene:GSPATT00007243001 transcript:CAK69031 MNSVNFRVVIQLTYFIMVLTIKLVNHNAEYFQVIFSMILTILFIPFNRYINTCVEQQQLI CKTLWQILNLFCLGSVDFGLKNDGLLGRFQYFWLGLTIQLEQDTTILNQIARVGYLLAVI ASANLTAIYLLIDSSQIGIIFGEALCGLLLIYQLFAKTKTQTQTKTLPQKKPSSRSFTEK LESPQQQIFDEDSNQIKFMSLNQGIVKNPSIYSKDPSVFEQIEQQKTFYEILFNQFPEGI IIINDQNKIDYHNNQVYTFLGRKHCQNRDYILSRLYELKNYSSKFQFEEQAQFDKFFSRL DKNLKYNQHEYFQPFDQQFDQQLNDDYSKESIFNNNFDDNLTDYYYQAELSKAETLKQEL DKAFQLSPYARQMSGRSEKTYKGKDKIRFSPEVKKMLCMIEESAEDQINELQEDKSQEDC NPGLLIQITIKPYIYENKRSVLLLIRDVSLFNQFKVLQQQNKNKSRMLSYVSHELRNPLA AIIEINKQLMAQFQQDENLTLKYLQPMKSSATSIQALATDLLDLAQLKAGKFMLTYADFS IKQLLNDAISIMQYPVKLRNLNLKLDFDPKLPQIIKSDQQRIQQIIFNLISNATKFTKEG GITVTARLLQAKLIEISVEDTGVGLKPEDKNKLFQPFGKLEDTKNMNTQGVGLGLMISNV LAQKLSGNDEGLQVDSKGVDKGSRFLFKIQDVSDNQISTQLESKILNRKESSSNNIQIQK KFEEQIIGQSFNCRCPQIIIADDEPLNLQALGWKLDRMKYIHLQAKSGQECIEILQNWQN NREYCCNWIKFIIIDINMPYLNGYQTSKLVRQMEVEKQVKRCKIIGCSGFTDYESKRVGF ENGMDTFISKPVEDKELQSALQAQ >CAK69032 pep:novel supercontig:GCA_000165425.1:CT868063:593233:594105:1 gene:GSPATT00007244001 transcript:CAK69032 MDDEKKKKKGNKLDLNLLPSSLKERYAAMGILPKPQIPKANIQVDVQRMKQKKMEKEQPI EQKLESVEIKASEKKQLEKVFQMLKKKDVDYFDMKDVDKMLRFLGVALTKSEIDLMLWEV DENLDGKVSWTEFLNMYKKCTIDKTGLEPKSLYHMVQFLMYLPPDRKDPKITVEDTLELL YVRFGRQSLDSEIHAIFGDEEKSKDGQEKAISFSEYMEKINERAIQQRKLRKEEQKQQFQ YFKKEKNGS >CAK69033 pep:novel supercontig:GCA_000165425.1:CT868063:594151:596100:-1 gene:GSPATT00007245001 transcript:CAK69033 MSFSQDDELLELNSRYDNLQILNQNIFIENQKLQHEIQQLKQTFSQFQTENNELNDEIEN LKNDLRAQFTISQRLRQENESFENQIHEYKKQIESAGTYYNSIIQENDLQISQIQHKVQQ TDYLTQENHLYKEQIISLQEENEQLTQELTNLQESLNNHEYLHSDINNILSQDQSKNIKR QNRKKIKELEKLIITKEQEISQLYLTQEDLKNYIQQLTYQSEKYEKNLSSLYSGYQQIEI ERNKLVSKIDSIQSSSHRYTVLQQQLSNSEKNQNKECQSLKNQLDQLQMSNQQYQQQIQA INEEFMILNQQHSDLQDLNNQYLQESQMLKNQLFEKDSFAEKIQQQLQEQKFKEEQVQQH TKEINQQLKELQQNKSDQQICISQLQKENKIYALQLNQLKDQSNKECKENLIQLDQLRNE RQTLIDLIKIKDETQVELEIKQKNSEIMIKQIQEQRMQFEQTEKSFFVVSQQCMQLQDQN RDLLRQVDNLENDLQKNEEELRQLRRINKNSYHFDKSAQQMIVKDREIMHLQQVVERLEG MNRQLQQECDRLAAKIQLASHENTLLSRTNREIGGMGKLLIEGQQIKEILEKKKYEKPST FTNSKTPQVIQQLQQHSRRPSVKKS >CAK69034 pep:novel supercontig:GCA_000165425.1:CT868063:596147:597853:-1 gene:GSPATT00007246001 transcript:CAK69034 MQTETKEVKLAGSCEMEDLISMFKQVILQVYPYEVVNQHFCTFVENTKEFVETREQLSKI GANLRLKLDNWPTIDEAKMLFQINMYFNYRLNYYSQNARRRSVKWNDTDKRLFYWIVIRY CLVKDLSSLTPSEWRDIAKMILGRNAHQCRLKWEQKYKISLSEAPWTEEEDQLLQQVHEE FSRLGKENKWSQIAREIYKRSPNKIFRQPKQCRERWINRLDPNICNEPWSKQQEIDLLKT ILIRGKKWSELSALYGRVRTENSLKNKYNSLLKKEKLKYEFETINPQLFAKVQQLRMDYA KKYGNITPIEEIDNYEWQFIVLAIQSLYIDLCVQEGKYQEAQKIKNDDFFDLFHNEITSN ASRNGILYKKLLNIKRVDNQFHVENDRSGVVIFNKKTKNIFISPFNTLDFQGLILKHLYK SVKSEENNSKCPTLTQSDHNMLFLQKSIDDINRQSNIQPILLLANQPSFMMPLGQSMINS YWLPPVLPCMSQSIGGYQQQQQNIQEQVALNLNIKETKKQASFDDLFGNDIKVVDSDGEK >CAK69035 pep:novel supercontig:GCA_000165425.1:CT868063:597934:598487:-1 gene:GSPATT00007247001 transcript:CAK69035 MRRYDGQNERQKEIKLSDNQKFLSFSLEAPSKQLKFMPNTYPGLPSYYQTPYEDYLRCYK QKDETIEIQDLINQNEEKHDMNTFLQSFRLKNGPKKGMGVGISRQMFSGLSIIKPGNLSS NQDLINGTLRSMNGGELSSIAHDGPQNQSLIRVEENILNIFNMKEEVDKIYKKLIN >CAK69036 pep:novel supercontig:GCA_000165425.1:CT868063:598798:599826:-1 gene:GSPATT00007248001 transcript:CAK69036 MNQIQYMQVLRDSQEIKLRDKLTLLQTKAHDYVVQQMKQLELKYKSQLLLIVQQYEECKL TCEQLRLKNEQYSKLILEQEFKSIQTKNYMCLEIVNQLLTQKINSLLLQLKSHNIISESE YFSHKNNLQLQLAEIFINPNHQEQSTGINPLKDTQKQIQIASQNMQYNSQFEMMNNNLQE NPSIGTQTNHIKQKKQKPRIEQKIQIEEKQSLTPNLSKELLEYQKSIQKLQKSRDDLQVN NEFLKTQNQNLQSRLNQKINSSFKCNHNAIKNTQIIKKFLKFDDMVFKNVSSFQMAQSFD LRQGNISSRKFFTRPKSSAPSTTRNRCNSTQQPSSMGFHVLF >CAK69037 pep:novel supercontig:GCA_000165425.1:CT868063:600044:602506:1 gene:GSPATT00007249001 transcript:CAK69037 MLIYILLLGLINTQNGPVLIEKFGRSKKKQVQAPPPDFECIDELDEDDAPPKEYPLKVGS LYHLSDGWYLRSEDSTNQQVTLINMNDISRIKFVEKEIEATLPDKQPEQKLKDMEWTPLL AFERFPRQRGGHSMHSIGDYVVLFGGCLLNIQCFNDLFLFNARTRIWTTPKVFGIPPVGR SGFGSLVNGARLYIFGGHTMQGLVNDLFVFDLESRSWNQLSWPGQAPTPRAGHKMVLTKL GGLIFGGFVGEVYTSDIFILDFVNERWGKPSGGGDVPLGRESFSMTYHHGLTYVFGGYAK GLIMNDLYTINEDLIWQKREVQGDIPSPRQGAAMAEYDNRIFIVGGCNPILFECYNDVYT LDTQSMTFTNVTVEKQRNLRQVAYSSMVFAGSLLIHFGGCKLLKHCSDSLIGLAVASAET CPPCKNDGECRAGHCSCKQGWQGIDCTQKVLCKQNCLEQGICLSNGYCKCYPGYTGSVCQ LNVPCPGNCTDEEHGICELDGKCKCFEGFSGVTCSGNPEIDSKLPQSTGCLDECNHRGQC NFETGLCACEDGFSGPDCSLVQGTESGYTSIWDLLKDYDGEHENDLEPADTGEEDLKQFI STGYKFNQRQEGPMPMFDDDIDRVYYVDVDENGHVVDDNHQNNHNQDDNVQKQDNKNEDN NNYQQSLQYDPKFKPYNIQEGNNNNLNILQSNQNPFSFNFMMVPPKVSSKEEDPFKLLLL DECPKRCSEHGVCIENECYCWFGYTGDWCQAEKISDFYKGWTKKGVIEACIVSFIVGMII GYGVVLLMKKRGNNDEFRNMSEVPSQNIDDNEGEVEEQII >CAK69038 pep:novel supercontig:GCA_000165425.1:CT868063:602666:605438:1 gene:GSPATT00007250001 transcript:CAK69038 MEEKEIELFGGNSKIKEQQQEEQEQEQIQYRKQEYNCIRLLTLMLKKNLLLFQRQKCLSI FLFLSPLLICLLILLWQYLVDRFTGFLIIDPPIKDILKQIPRCTSYNDDNCITIGYFVLG QTQDWITNVMKRVAYTNRLKFDQDVKFIGNYDQPSQISEYLLSHMNLTQIAVVFCTDSWM LYDKQPEISIPCQFERLDKQVVFYTILYNYTLLYRSPYLSDMKIAYPKDAYASSLKISLD NAILREFTKIPKEDDEEFLYISQQEFPTIPTRFMQGIDIVGNYGAFYFFAPYLLNFLVLI NEMLQEKGKKLRQGLTVMGLTHTIYWISWSITALLLVIILTTNLLICGQVFRMDFFLNTP NLILFILYVTFGFSISLVAFFIVVVCPDVKNGYTIAYGFILTAILMQMCFTQAGMSILFY RLDASSWVGMVRILMSLYPAFKYSLIFADISIKSCRHYSLEEGRWVEGDGFTFADLFAVN SGKVQLDKNVQYQSPCAMDNYFHLLLACMFYILLTYYFDHILESNRGKADSLLFCITKPF NMFFKSPPQPITDLQYQCHTNDSAQLEKERVIKNSNNTYVDGLRIIGLSKTYHKYSFNII SKDDVHALKDIYLEINSGECLALLGHNGAGKTTLIGLLTGMFKPSKGTAQIMNYDLNDME KIRAMLGVCPQFDILWNQLTAAEHLRMYATIKGLHRDQIENEIDLRLKEVELLHVKDQQI QTYSGGMKRRVSLAISAIGNPKIIIMDEPTTGMDPRARRQVWKMIKNMKQNRVVILTTHA MEEADVLADRIAVMAEGQLKAIGTSLFLKNNFSDGYRVNIITDQVDECLSKIKLLLNQFK LLDTSGGSILISIPFQYMQDLKALFEVFEKKESDLSETQLQLRKLIKDWGLSHATLEEVF MKVTRCCE >CAK69039 pep:novel supercontig:GCA_000165425.1:CT868063:605520:606319:1 gene:GSPATT00007251001 transcript:CAK69039 MKSQAVFTYSFHTSRDPCHFQFTKTIQQKHKHSFSDLFASNQLEQRINQKIRRKSCHCNE CGRMSKFQFKFMNLKHHQPQTRNQSYTLHPSTEHRPIQNRFSIQLPNIQHIRKSQGSFRV SRKQLINDKSDEQRSPLLIYDSSFARSSISTTQKLLEDTAHKNKPFRPSFFHSHDGPSPL VLKSQQLIIEKLPQTERSTNTKIKLRNNPKLLCPLLIGFTKKIFTFSKIKPTQTKKHNRF KTQ >CAK69040 pep:novel supercontig:GCA_000165425.1:CT868063:606519:607409:1 gene:GSPATT00007252001 transcript:CAK69040 MSSSTNKKGIKRRSKSFIQLPNHQISEQFKQRRKSCNCDSCGQLSSLQFKFMNLQSYISH LQTNKPKKYQSIESTYMDSQDGIIANNQIHKRIPQTIEVKLKYYPNPNTSLIQTNQFRYQ KKQRSNSCCCDECGNMTKFQSQTKLIYIQQIIKNRHTNFRIKRNLDYIFKGISPIERKQE QEPEDDENYSTYPNQITSLRRSNLTLNQPTKQLQKGYQGSSRKVFLKDACKTTFFTKTNS NIRDLTLKSESNSPNVTKKKLNLPIVKSSYSKTTQITNEVQLFKFTPKLNSINLIS >CAK69041 pep:novel supercontig:GCA_000165425.1:CT868063:607496:608416:1 gene:GSPATT00007253001 transcript:CAK69041 MRRQISKKDYTIKCEIVLNKISNSRSFNILQVQNDEIEQPRGLTRRKSCYSQLFGYMNKF QNRYHNILPELKTKYCTQDKETKETYRRQTQIAEDTVIRKFRRGLQELTKCQTVIQTLKM LKTKNRNIQNFIKQMRTPNDKIVQEKTRRNSCFCSECGSQSQFQLTHQNDPYFNYFNYNE FLKRKIIDPKINRFKNKLLTHIQLENMKKSFVNENKSQINKYRTVSRTTNLLLKLSSTKL SNFDQRQFSIQSKRVKTEFTQCSPKSFKQYSSQTTKQRKFVTYSNYQSMVTNQTKDSFKS LASIYK >CAK69042 pep:novel supercontig:GCA_000165425.1:CT868063:608943:610275:1 gene:GSPATT00007254001 transcript:CAK69042 MNFSEIFPGVIFAQFAPNSKYIVLSNGTRVIVKETDQLQSINFTHSQINDAQIQQIEISP NSELIALSYPKKGYVEIRKIDEVNWCARIDDSVETIQWCPDSIQLAVISEFQIKASIYNL NNKNVTHFKNPKQISFSHNGRFMVMSERKDAKDFIGIYSVRDWKLLNYQPSDTLDTALLQ WSHNDSFIGVQDTELNFRLNLHCPCQGLQMKFEPYSYSLGIKVSRFANQSDLMAVGANDE KLRIINLLTLKQITELEHKITKDVLIYKEEEYSDQYSQRVVTKFTQMEQGCKINISKTQN GISLLEWSYKDDYIATKFDGMQNCVFIWDMELLTLKAIMVQILPVKSFTWSKNSTTLTVC TGSSKIFFWNPTCTSACDMPFDKNFHVVKIDWSTDQKSMLLFDKSDVVVAYPTLDDSF >CAK69043 pep:novel supercontig:GCA_000165425.1:CT868063:610425:610661:-1 gene:GSPATT00007255001 transcript:CAK69043 MELTNNIVNQDQIVIQKIKRKDKTGVEIMKGLKKHKITFVDEIEKGKQVQTVIEVECWKK YNYVSPDTEAADECCQLI >CAK69044 pep:novel supercontig:GCA_000165425.1:CT868063:611264:611747:-1 gene:GSPATT00007256001 transcript:CAK69044 MPKQVQQKQAGGKFVSTGDPVADDRNWIGRVNNELTCTAAWNRDWGFLAGNSENLKLEDA TKPYNIDEQIKNLQQEIEKIQVDPNKITINRTYGKGDALEKFQTDQNNKQRNKDLKPQDR KIPKTWKYQKGWKPEPDPYDPIQNMFKNNKKK >CAK69045 pep:novel supercontig:GCA_000165425.1:CT868063:611907:612365:-1 gene:GSPATT00007257001 transcript:CAK69045 MNLCDNSGWDIEEQCYLDTLFTGYLPKLERRISENSSHRIRTLSQPKQINQQASITSLHH HRPTQSQAYQTGSFSANFYTPSTTKSRIRFKFTPTLTQEDQQKQKNISQSPIKKKPKYTI INMSQLLSVTPKVRMHQDSLNKVLERFSNKKR >CAK69046 pep:novel supercontig:GCA_000165425.1:CT868063:612533:613537:1 gene:GSPATT00007258001 transcript:CAK69046 MKQSLIRQRRGSFDSQIFSSLLQSNFITGDKKKLIDDEIINYQIDDEFEMIEKCIVFHKL EPKNIPLPLFNNDQLHKISKNQFLGENQKLQLAATLIQQRGIPYLKRKAIWMTFIEKGDA KTYFSKKPPYLVEDQINKDVPRTGYDDKMNTKKYTTLLYRILCAYAIYNPKIGYTQGMNI ICGKIILLLSIDGNDKQLEEDDFEVFEDEEEMFWMFVHLMKSMQDLFIQDVPGIQRRIQQ LEQMLQSRCNEIIVHLQCNNIVLLYSFILGKLMLMFQSVLFYSINVKTGEKVCKVNIRDV PIVWRSIYIKFYSWSFRVLFNENNKNE >CAK69047 pep:novel supercontig:GCA_000165425.1:CT868063:613799:614643:-1 gene:GSPATT00007259001 transcript:CAK69047 MLNDFNSWYKTQPLFTRTYVSVLVLFGIIGKFKPSYLWYLMFDANKIFPLQIHRLFTHYF FSGTLSFSFIFHLLFIIFCIKNCEMMFEGSNYADFYYMILYFFITGDIMCWLFDYGFLSA AFCFALIYVWCKRKPFETVRFYFGFQFKSEYFPWVLIAFHAITDQDIVQDLIGLGIAHSY LLLKDFLPVTNSIAIIRNTLIFQKLCEQTHCEICTIFKETDLINNNSSNLKGNNSFKDKE YVQVDIQNI >CAK69048 pep:novel supercontig:GCA_000165425.1:CT868063:615333:616203:1 gene:GSPATT00007260001 transcript:CAK69048 MQQQSNTICQLPKRPQRGENLNKDYRMKILDSNHFELQFLKDIQLHIYKKEVKHLFASIK NEIVSQGIQSFCITGANIWSFQLQRNNIHITKVVDETKLIVDIKYVKTINLKDLTQYNDQ QSINISKQAINAILKQIHEKRNMKEFFGKGKFYESVMNCNQKFQEFQIAYLKGFRNVYCP GQNTPLLQIDYSTKLINTDSILNFIYNFQGNRKQLQEQLQHTSGYAMYSKRFYRILGIDF SKTPQSLMENGKMTYYQYYQQKYKITIYDQTQPLLVH >CAK69049 pep:novel supercontig:GCA_000165425.1:CT868063:616597:616908:1 gene:GSPATT00007261001 transcript:CAK69049 MDIGVDQIRRFRINCQLGVIAVKIGFHVFNNIQLKMENHNQLFHYSGKKITKVYIKHEIN IQLQKWEFLIKISLQNQLKKPSLSLQFQKQYYKYIANQGIHHG >CAK69050 pep:novel supercontig:GCA_000165425.1:CT868063:616917:617629:1 gene:GSPATT00007262001 transcript:CAK69050 MKEISDEIMIIGIDVYHKTEKKINSCVGFNAQFGQQGDTNFTKTIIVDQGKEINKDIANL LEQSLEEYQKIKIINYQKLLQYLEMEQGTHKQIILRGSRNDEINHQKQIQLQIAIICIYN NKEIYGVVIADRVVSSHFDCFMIAQQVHQGTATPTHYTVLENTTNWKEELFWKFTYYQCY NYKNWCGPIKILACVQNAHTAAYRTGEVIQDNACSSLESKLFYL >CAK69051 pep:novel supercontig:GCA_000165425.1:CT868063:617711:618802:-1 gene:GSPATT00007263001 transcript:CAK69051 MRGPRSTSPCPTKQQVEKLKLLQTAIKKRTTKPDFMTNYKIHIIISIVIGFLIISYLSFT KQTKEYIIINESEIKSHNSQGHSYNLGPNAFFYNITLMQAKTLFKNDFTQQINVEKCKLS FIEEIPVYYNFKEFYPQCNHQVYNQGNCSSSYSIAVSSSFSDRVCKQNQTQQLSAQNLLS CDGKLNLGCKGGHLTRSADYIIKHGLTTNECHPFRGDDTFKECTNALDHCQRFKAESYCQ LQNKDDIKRDILMKGPAVAIMPVYKDFLIYKDGIYQVLDGQPHFHGGQAVKIIGWGEHNG QQYWIIENTWGDTWGINGLAKISIDSFSEMSQQALSLNI >CAK69052 pep:novel supercontig:GCA_000165425.1:CT868063:618849:619698:1 gene:GSPATT00007264001 transcript:CAK69052 MSQDQLILDDISDIMRSTRIIDKYLVKALHCIINDYQQNMDINALLDSLNNSKHLVCNKY CLLESKSNLLRYVVNTENYNNYIKIIAFLSSLIQQQELKSISQLKQTHKPNCILIQPKKT KNVQNDKSPKKCFDIKPKYFHSKSQTWIFNSKQYISKILDRELSKLQQLQTQHDDYSRYR SWSIQQQELQQENKQRFNYQQRSSRELRFSITTSTSPKQKSQILPQTNKEHDYSIQVKRL KNEISQLESKLKLYN >CAK69053 pep:novel supercontig:GCA_000165425.1:CT868063:619723:620265:-1 gene:GSPATT00007265001 transcript:CAK69053 MQQLSISTNKLIPHLMSKLEQLIQEIQKLTKLSNSLMDNLQVQEIQYKNLQYKYQQVWNQ LSDEQKIKFNLNQISLIQSHIEPTQLSNLISNIETKVLDLKHYRQNSQPIILPVVHQCLE CKKKEEQIQELNETLEKIEIQKKKSKTSFSTRLNTEFSLKDNQNQYQQFTTKSNYQKNNF >CAK69054 pep:novel supercontig:GCA_000165425.1:CT868063:620699:621125:1 gene:GSPATT00007266001 transcript:CAK69054 MDNQKKCLFEKFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFAQMMG DTNTQYTGRDLIEVHKNLNITNQQFDKFKTHLKNIALDMEIPNVDLEELLQHVEKHRDLI VFQK >CAK69055 pep:novel supercontig:GCA_000165425.1:CT868063:622036:625826:-1 gene:GSPATT00007267001 transcript:CAK69055 MLFEKLKIFNTKEKLLERLKEIKKEEEMKKNTPMKEKVKRTFSTSQSQRTTLNSLNRLST SPKVQCIMDIEKIKMPLTFERYQNITRMTEIPIRQQISTPQPPQKSILERLNEQEEDKMI RELIDNYKPEQYDVMMENDQLEIERQNDEAHANSMKQFSYQDYSPQRMNLIKKHQHHHHK SNPSHLKNNQRYDEQKQLYMQQLEYKGDINQELHTDRGKYLRKFRLANKNLNKVSQEDFR KTIKQFNKLDDYAQFYKQTPNLYMQLNKSSHQQQIFQSGLGLVQQCNHIQLANAQAQIRS PQQVKVFSDALKTQQCKTLTHLKLNHNKLNSLKIQQLTKSFPQHLQELDLMNNGLDSKSC QLLSKYIQNSQIKKVNLENNRIGDIGSNALCQAVQDHDYLLYLNLSKNNLTEHCCVELAN YLKKTQVLFELYLHFNSINSVGAVNIWKALYKNSSVKVFDISYNRTASIECSQQMAKVII KQYPELMHIDISYNGFNEEQSIEIKKALEQNQNIYGFHYQGNCPKYSVDSTGHLRDRIQE ATDIQRKIEECQKNPQTTLKLVDDYQSPEIQIQEQQQHQQYQKKRIKDLKTDSELYRNFR FRRIQGMKPIKQNYDTDSELDACWICDGWQEVKFTWTPGKSGGMNNDPIFVHLNFENYKP VLMTLHNGEYSVYRMCPPNFKVTYFFSNPVLGIQTTAKNQLITQTPQDDPLYSTKQSFLY NGDILIEGNKMGFVNELFTDDKQSIMDRYFAKIFSKPREEEKTFDLTQFLTKTEKFWSYE ISIFKNYQPDNDELIDECFEYDYGSSKINKIIKDPIEYNEVKEIMREYYPYIFAAYKFLA STLIGATIPCISSNAFSDFLSTTGVISEKFRSGDIDLNFIATSNVKDINYPNVYEKALVR YQLMEVLVRIAIDKYLRTQICKTIKESLRKMFEEDGIKQKLQEIDRSQDWRDMRYWNEQC DILLKDRLPMLKLLFKYTSKLNSKQKYYKHIWLQFKDFRDLLNKCDLYCDIFVERDAYLA YLLAMQTSVDELYLLKHFQMEFYEFLEALARCAEKLSLIRTNDQITIDDRRQQPLFKKLD ALTFLIYIRLGEIIKAQFRESDDLSDFDKCMQKTYGQVIKQPNPDLEEDNTEKITPQQEE KLLEEQLNTIVVQPILTMPQPNKKSTGMTFLQVIKQAQQQKQLKNTFNLTNEQRGRTTVK MILI >CAK69056 pep:novel supercontig:GCA_000165425.1:CT868063:625864:627076:1 gene:GSPATT00007268001 transcript:CAK69056 MNSNFLPRFRYMDQLQRFIQITRNTSKSELISFNTQYKSLYKIKPITYQLPGFYNYIKQE IIQGDIESLNKASTHYFSKEGKLIRPMLNLLYANHIEKNSQHTEQQRIWAAVIEILHVAS LVHDDILDASDTRRGIPSSHTIFGKHRATFSANYLIGRAGRKISELDDIRMFQIYSQIMD NLTNGEYLQAMKQKSFHNFEITLQNYMIKTYYKTAALIANSLQGVCQLTNIKDEICEKSF NIGLHLGVAFQIIDDVLDYTSNSEQLGKASLNDLKSGVLTGPVLFELFNQQKKQSPEYKL MNSVLLGESNQYDTVNQIVLAGEGIEQSKYLAYQHTQEALKILQSINSQPDQDLISLIFM FIDRNK >CAK69057 pep:novel supercontig:GCA_000165425.1:CT868063:628807:631286:-1 gene:GSPATT00007269001 transcript:CAK69057 MQVNHNNQCVQHNEQAIYLERGKLGNQRKLYCQKCIEKIEYPHNLLLIKEAESFIHKHEQ VILGEGKIMNSKNLELLLKLKEQMFQFQSQLSQYIEGNIQEINKQIQVIITVDKFLEQKT REALSRFIYENYDIEIFFEEMVDYESIKETIAQQQQQFLKEIRLQYNTNSLEQIKDFFMQ EKEKLLIRNYRCQIHELQIDIVNIDQEGQYHLGCMXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX PQVDTGEFEPNEDRMDEIQKKTQYLIQKDWSGMTTDDILSIAKVINNKNYHLIIDDTIQA EIEANQIQIRQLCQNQIQLTDQMRYNYQLTTIQNVTQTQSALLQIESNQKALIDAKILIS SKRYELINSFKESECKAIAFSSDSAIMIAGYCNGEVNVYEFKLGFLKLIQQLKEHRNMIT CLNFMKKQMSFISGSKDMSIIIWIMKDKSNWQAQQKLVAHSDWVVCMIINNTANLIISGS DDKSIKFWVKSSLWNHSQTLTYHSGRLRSLSLNQSQNQLISCAAQDDRIFVSQCQNNNTW VLVQVIQQVGYRFQCSTYEEFGDVSL >CAK69058 pep:novel supercontig:GCA_000165425.1:CT868063:631656:633102:1 gene:GSPATT00007270001 transcript:CAK69058 MIWQVIYFGKVIRPKIIQFTFQIIRLYTSLIERLPIRSQFYILSEHQTNKFIKMKKINTK TIQNQLISKQFNEFKNLLSQYYLIEMKWILMIPLLYECICLIQIYNFDASLLVQDDWIIN NGIGSIFSICNSQNILGGFNNFGVSTSVTRFFGNLDSHYELKIEMEFWRIDRWGFDQLKI VVDDDLQFQQTYRTMGSAPDYCGGGGYDDQYELISFKFPHKRKTAWVYIYVNYNTDFNQS WGFRTFKMSIEQCPQGCGMCSSNDYPQCLRWHYQESFFSQKLILGQEQWDGEGFPLSQYQ SIQCANCNYKQGMRFHREIGLIRHQQYKSILKWNMKILLCTIRTDLQNLKFWEKMEEQVR YVQKPFNQNQEFRDIELYYAESENYDIIPFHPGCDQFINSKCISCIDGWIYDQKGEKCYS DCGDKVIQFLEECDDGNEIPYDGCFE >CAK69059 pep:novel supercontig:GCA_000165425.1:CT868063:633203:635373:1 gene:GSPATT00007271001 transcript:CAK69059 MKNAMMVIQYHLMVVLNANINVLMVVIYVTKENVYQDMFIKQCDDGNIIPFDGCYECQIQ CQIGHEYINQQCVSICGDRITSNNEDCDDGNSIEFDGCHLCKYSCPLNCQICYQGECIVC DNHYELIDSGQCQIQNLINDLQCNDYNDFPNDGCYNSQIEQHWICQTISQISECTYSLNP QIIVTYLNMASNIQYVKISFNQEVKILTDILLSQSIKTQILEVSSDDQIINVQIIYEAGH QIAFVEYILEIEIFKLLDFKPILEITLNQQVVNINEVAINPNSYYLTLKNPTYLDELQSD LAQKLLQINKSIIYSLGAIGLMSLLLGFSSIFLNILTVLQYYSYLRYINLEFPSNLMIYF EIGDLLTMQPLLDVIHFQDLLNFFSDDQSFQQSYGKFQFYKLNADLLQNIQTQMLQFLLM GLLFIIPLNYFKRIVYYKIFTQSFFEKISCKQLQKKDCIFKFYKIFYTFMKRLISWDDLL TYQGFRQILIINGWDLVFKTLLFIQSANALNFKDIIQIILALLILFTYFLIIIQSCNQSQ SKNQRLLNLLFGDKFEIFNLIRIIYFFVILIFCQREKIIQIIMISSSCLFSLTLIYCYRD TFENKNLIVQLVIEIIVFIFTLTSIIYIQEFSMGEELKIIFGWFHIAILSIGTIVQFLVI IYEKLKKASNIESLSDKKLKQHKNNSHLILHEASKRIVIQQS >CAK79015 pep:novel supercontig:GCA_000165425.1:CT868319:3551:4002:-1 gene:GSPATT00013982001 transcript:CAK79015 MDVNAILQERKRLRIQKEKIRECTLQRKFRQKEKYFNQELNEKLEKDILAEKKQSFYKEL FEQDNNQSQENQEIKPNQNQKQNSLEIKKNINKKKERKQIKKVFTQKNAKGQPILKNYLN LMIKKIEKEKATKN >CAK79016 pep:novel supercontig:GCA_000165425.1:CT868319:4022:5599:-1 gene:GSPATT00013983001 transcript:CAK79016 MFRKLIQRFPRNYFSTSKRSINEIPSLSEFMKQQDPQVNNTNNGPKFFIETYGCQMNAND SQIVQSILSSEGYSNTNDISEADIIFLNTCSIRANAEKKVFQRMSELKSQNKVLGILGCM AERLKEQLFVQGANIIVGPDSYKSLPTLLNSFQLTRDKQIDTNLSLTETYDDILPINPTD SITTYVSIMRGCNNMCSFCVVPFTRGRERSRNPESILEEIQILTQKGIKEVTLLGQNVNS YFFQDEKISSQHENTVGFTELYKLRSGNGLRFDQLLDEIAVKFPKTRIRFTSPHPKNFPK KVLEVIAKHPNICKNIHIPIQSGSDEILKKMRRNYTRGAIVDLCNEARTLIPNVTLSTDV IVGFCDETEYDFEQTLSLLQLVQFENAFMFAYSMREKTHAYRNLQDNVPESVKSSRLEKL IEQQHKIMNYKNSLEIGKKHIVLVEQLGNKPNQLKGRTDSNKGVVFQNDNNIYAIGDFVE VEILGSGLKTLSGRPLNKCEINFN >CAK79017 pep:novel supercontig:GCA_000165425.1:CT868319:5676:8256:1 gene:GSPATT00013984001 transcript:CAK79017 MQYTQTQLEISLASFKDIRNNLKDEFQDYILQNNSKDKETPNNFGIILKELKNKNQQKQQ QLAMNSPPDFSQASTYSQNYQFSKSGDETERLTKELEVQKSLIQSEVELKKSINFIKKQI YPLQEYANQLSLQQKQAESECKTAESESNRIKKTIGELQSSIARLKYQRQQYRDFIYEQS MKVEQNLKQEQSTLEQQNEAVENLVQTIAKQKAQIEDLIKQQYEKMEKSEQQRITIQNYL ALTKLYEFCTNQIEQLNVNDNTQRISSESESSGRDDLYSDQEDSIITTNNFFQTQVQASS QPRSKQRIPTPKKKKKEKKKLTYPQLMEKFQKSKELLSKIKNDVDINIMEQFILNHYQEE SEIQRQLADQHQVLQESKDEYLHEIQMLNDKLNLTDDVREKLVRCQEPTSENFNQKILTH KIANTSNENELQIIKQTDKLIKLEALFFTLNTRAMSLLRRICSILQNIQQISEKLDNRII NVYQNAVLTVGLLRSNNELDLNQFPKFKKLLKGNNLTLIYCDQQVFQEFCEKVENLTDDG LSTNFQLLIEMCMTRIAGETQCIYEQISTICNCLKDEVRRLCNYGSLRSFRDLTSLPITN LANSYNAPTMRKLKEYSDSKQSEVTSKKSMERFEKKSLLADEFKFVIEQNEKSLNEDDID EFYYQIKKEIEAKPSTKTTRIETANLTKTTLVYDQPQKSKMTEFFRSNSKVSQSLRRIQS CKVNIKLLEGQFIDAQSKNFRQFLEKEQKHIELLSRMNRTGSTSAFQPTTLRPKSQEKTR VLNILPRTISTSQQQRSARKKIVALQLEKDMKRLNDQVGRFTKLKLN >CAK79018 pep:novel supercontig:GCA_000165425.1:CT868319:8303:10456:-1 gene:GSPATT00013985001 transcript:CAK79018 MLSELEIQAVIGFTGKITQGLILHPDNEHIIYPLGSTIVVRHIISRAQTFLRGHDNQISV ITVSRSGDYVASGQRTYMGFQADIIIWDFKERSMIHRLKLHKVLIQSLSFSYNELYLASL GGIDDKNMLIVWDIKAGKALYGTPNRDPVNQVQFYNQSDEKMIAVLNTGVQILTIDKQNK KIQSVDVSFGNVKRTFTCVAIDKNDKYCYCGTKTGDVFEIQMDMAIYKRLAPVKKLFSQG VNCLGLLPNGDIIVGAGDGMIAKVSFQTMQIVASSELLGGVTSITFTNDYTHFFTGTVQS NIYWLDTERLIPELRNTCHYERINDVAFPHNYSDVFATSSLNDIRVWNAKNRQELLRIQV PNLECWAVAFMNDGKSIVSGWSDGKIRAFLPQSGRLMYVINDAHIHGCTSLTCTSDCQRI ISGGSEGEVRVWEIGKQTQVMKSSMKEHRGRVWSIQVRRNNEQAVSASADGSCIIWDLKS FTRVMCLFESTLFKMVLYHPEESQLLTTGSDRKITYWETFDGQAIRMLDGSEEGEVNALA ITKEGEHFVSGGEDKEVKLWGYDEGICYFKGQGHSGSITRITISPDQKSIISVGAEGAIF IWKMPESVINSKAQQELPTVQSVKKSNEIQQQSQQQSQQAPKDSQSQKSNPVAKSVKSGT KSSKK >CAK79019 pep:novel supercontig:GCA_000165425.1:CT868319:10507:11242:-1 gene:GSPATT00013986001 transcript:CAK79019 MNRSNSPIQRPLSNISNRKLTFNKLSTKQNDSISKPFAQNSVVFPQHFETAKSTIQELKS SKNNIITPKSSITSFTSTPRLSSSDYDDRKSKMLKRTFNNQQKTKFVLFKILVFLSIIAF ALLLYQIQANLFSESKSNKISNEVQIAKERMIADSISNSIIQTVVYERKKINLQKEKNDV KSFYQDEFSSNLWKIVLENVNRDIRVRLEKQENEEFWTV >CAK79020 pep:novel supercontig:GCA_000165425.1:CT868319:11388:12797:1 gene:GSPATT00013987001 transcript:CAK79020 MIVLLLKSHYEGFRLNIFFYALSLLLDVCRLKILSLGAIILYFYLTHKLNNYYLPDGGSP VGHLYLKGGILNDYKHIPEMAIYYPASIEDCKKRKKGFKWLKVKDYAKKMDDTAKKDVRR KRRVPYLFFKIVVSQFYKFMINVYENAQIDINFAETSTNLAKFSVIVLSHGLASHCDGYS VVARYLAQQGHIVFVPEHIENIRNIYLTNEENREYRKLQLVDRINAVKQVLDIIYNQKLF SELFKHPDKKIQPDYDQISIIGHSFGGSTAYAIAQTEKRITGACILYDPCLYIFDFEEKV KLHIPLMSINADDFYRRLYSFMENDERLEKAFQLIDGPISDHCANIYIKDQQHADFSDIP IIWNGESGLYSISKNVHEVHLRFGMILLLTKIFLEESKQYHKIKHNKQMINGNEELKSII LKEVEKSFGAQRLKLLRWKAVQNE >CAK79021 pep:novel supercontig:GCA_000165425.1:CT868319:12824:13581:1 gene:GSPATT00013988001 transcript:CAK79021 MSNIDQLLRSRPKILKGSQTLIQNKPTNEFSLNLDKALFTMQPAPRLIVPKEVNVNKQKY EGQVDQVDITEQEFEKLIPKKQKDHPITNKKIVNDKQEMPTYILEEEKTKQFVMEALSEE LARLDSNTENLLKTLDQEKEKMGLMKQQNDEIEQQVKIMVQNKRLENRISQLEDQLNVPK EIKLDLLNADWNVANEKIEQMFKENQELFNEADQIAHEFAKQQLECEND >CAK79022 pep:novel supercontig:GCA_000165425.1:CT868319:13613:14154:1 gene:GSPATT00013989001 transcript:CAK79022 MNKPMQQSDGDEIFIHIQNNADQYNIQSSDEKNQNQIMIPDQVIEAKTANPKPMTFDQIR LVSSTQVSGDGHAKSVRVQCPQCKQKVDTVIIRKPGTQTYLASCILLLCSFGLVCISCLP CIIDDCKDVLHSCPKCKIPLGKTQFKILD >CAK79023 pep:novel supercontig:GCA_000165425.1:CT868319:14197:15708:1 gene:GSPATT00013990001 transcript:CAK79023 MKQFPIQQARNLVNEFFDFVAKAVSPFHVTSLCKERLAKSGFTELNETENWKLEKGGKYF FTRNLTTLVAFTVGQKFDPNNTGFKIIGAHTDSPCLRLAPVSKLDSNGFLQTCVSTYGGG LWHTWFDRELTLGGRIVFKKDNVYQSQLFHYQKPLLKIPNLAIHLTTDRNSFAPNNESNL RPVFAQEAYQTLTGIEKPSSEGQTSFENKHYKYLLNLITEQTGIPTADILDIDLYFSDCQ PPSYFGLNQEFISAARIDNLFSSFFSLLAITNPESFTEDQAFINMVCLYDHEEVGSQSAQ GADSSLLSNNMKRIYDILSNSNQASTDSFYKAIQKSFLISSDMAHSIHPNYSDKHQQNHR VKMNEGIVIKVNHNQRYATDGVSSAILRVIAQQADVPIQDFIVRNDSPCGSTIGPLQASN TGIKTIDIGAAQWGMHSIRETAGVVDGYYLEKLFVEFFKQYEKIDHKLLGI >CAK79024 pep:novel supercontig:GCA_000165425.1:CT868319:17450:18675:-1 gene:GSPATT00013991001 transcript:CAK79024 MFKYLLIELQEDDRIPLMILVEDDKSKFQIPQPFSDERTTLVKHISDTRKEQLKEEIKTF EACLLFMEETTTYLNTFEKNQDVNKFEENYEKKYFIQSFSDLLIGGRTFKKILSSYNYYS KIKEKKEKVEEEKDEKTQKQSDNPNQQKINKSNVAILIYQGESEHDLNETISYFKGLKII PIILINCKKHFQQLFQEDDIYKDYFNMYIKYSFSTDHSFFLEKQDENGKFEYYFSFFMQL DTLQLIRWIYLGILSFIDPEYVVILKSDFILKFRITDLFSLFCQEQTIFGIQLGEQLLVE DESLKQLSYYIQIPKIISQPSIMHLQMESYFKLDGRILFPIIKSLKNKYWSAGTKFNFTY VNVNTTKIIRIASLKQAGINFKYQPITRKDINRSFR >CAK79025 pep:novel supercontig:GCA_000165425.1:CT868319:18875:19558:-1 gene:GSPATT00013992001 transcript:CAK79025 MSMMMKFDIIQALQLVSYCFKCDNIKLPRTHHCKECNKCILRMDHHCPWVNNCVGLKNHR YFCQFNFYALLCMIQCTLFISYDLFVNDKLVLQELTKNQQFILTICDVTCFSLVLVMGFL LGFHIYHTAQNITTVEYHINEIKANNPFRKPRIIDNFKEVFGPEIKYWFLPLRNVEKSAF PRTDLFEV >CAK79026 pep:novel supercontig:GCA_000165425.1:CT868319:19616:19957:-1 gene:GSPATT00013993001 transcript:CAK79026 MLKGQHQLPDFIAVILVYGIIFSEYYAFVFIFLPAFLNKHGSITYLIGIEFTIIFIFLNL AYIMIQYTKPGYPPKDLVFALMTLRLNIIMKELWKTLNKEQKK >CAK79027 pep:novel supercontig:GCA_000165425.1:CT868319:20238:21726:1 gene:GSPATT00013994001 transcript:CAK79027 MEPEQLIDQLNDILLADHFRFIEVIGRGSFGVVVAAFCSNLDRVVAIKITSNVDQENEAN LLKACCHYNIVKLYKVWHFFSLQVLVANNHLYLIMERLVGLTLDKLMKQSILPEQSVRNY MTQILNALVFLHRKNIIHRDLKPENIFICENACVKLIDLGLGQEIVCKGCVYQSVGTPYF IAPEVILGKDQSQAVDIFSLGIIFYMMINNLQHPLWDGQMRKRHYYQLISNEFSINFPKT MPEMAKDFVLNTVQFRAENRMTASQCLEHPWIKGKAVKTHPMTTREIMLRYNIQQKLQHV IKSLMFIKTLQQTCEEEIHYIKTNTSPQRDEQEEDRISPENDQQITARLSVELTNKKQKS FKLHAIPLKKVSSYKDLVTLREKQTNQKKYQYMISRFREKLTIGNQLNTNGHHKFDSPMS HRKLTTLPSINTNRLQRQSTFNFKIL >CAK79028 pep:novel supercontig:GCA_000165425.1:CT868319:21757:22581:-1 gene:GSPATT00013995001 transcript:CAK79028 MLQSLTRLKLQLKAEIEILKMCLDKGKSTTIIQMIDKNKQRLEQDGKQLEIIIKRLEEGN QLSLNEQDKLDTLKLNISKVLIQNEKIYNSDEQFKELISKVPRIKEDQSQNQSFQQSKKE DSSIQLKSAIKTIQQTNQVQQQPQEVYQQYQIVRNNVDNLISEISNLNLRVDNHKHNELQ QQIDKLKLENAKMQANFKLLKQDNTELFFINNDIKKMIQQIEMDNEEIIKSINIFREQSK PMSNPQSRLSNYYIPYDETKAQEADEIMNRLKQN >CAK79029 pep:novel supercontig:GCA_000165425.1:CT868319:22895:24352:-1 gene:GSPATT00013996001 transcript:CAK79029 MEFQISFTQTSKFNIKYTFFMNKVTFSTILATLILVSDVFFSKYTYEFSNSITKFLQNKF EYGQEQGTFEYFLLIFSTAGDAIVTNILAILIWFKATNKEQALKIFTMNALASSLGNLVK MIAAQPRPFYIDDQIKLDFCYTGYGDPSGHSLRPFVFYVILLETIVCKKYKPDQKQLIDD NQFYKDNQSQLTQTEQSISCNKRFKLLYPNSPISFKQCQFFLGVFIFLIGIGRLYFGVHF LNQIILGWILGMYLLYLYYYCGLEQFIHSLYVEAKRIKDEKTCTKIAKYINLGIMLSLLY LITLIICYFRHYSQQILDEKEEWKKLILRQDTCLHKGHYSYEKKFETSDVQYISIIFFPL YLFVLYEQPPEQPNKSPLRLWLSKGNIIKLTLYFFCYLIQEQQRYIIKLFEKGVPRLMIT LIFEHIYYINYALFLLIFIPFVQTLGNNFISKFRKPTRTIQLAQFEIEL >CAK79030 pep:novel supercontig:GCA_000165425.1:CT868319:25216:26340:-1 gene:GSPATT00013997001 transcript:CAK79030 MQIQDYKFKNVKIEVQLSIKELQPKQVEVQLSYKDSNEQIYKIKNQSILMNKQDDQWITS YNAVFEFHKYQSYRLDISVDEDKSIGYIQFQLGELIKNGTQIKTNNHFTIKLEANLLQKG TLLFQHWAGEKLLNTDGIFNKSDPFLQFFQWDDDEWKLAYVTEFIEDNLNPTWVPFSIDM GLLNFYDDYKNFKIECWDHSQKNPPKHKFIGFIELSYHDIMLSPSKQFALINPEHKNCGH LKLLSCQIKHELNFYSWLQSKQIQILIFMEFSKSSMNMHVKSHNQLNIFQQFLIIIGSIL IQYNKQNTASLYGFGGKLNQQNKDLQFLLFYIDQFYNFKLIKWDSIDLRRLFKMFQIY >CAK79031 pep:novel supercontig:GCA_000165425.1:CT868319:26524:27579:-1 gene:GSPATT00013998001 transcript:CAK79031 MSKIVKQRVSMHDESTSLSNYSKSVNKRSNYLYPCNQLGKQNLKHNSTKQLICIVSVPKQ LKERVYIKSEVELKLAINYIPEHCLGNLKETPCTYNYRVTRTDQLDDVYFYTLELLDQPN YSIDQIVNLLLKQEDQYAQLAVELVHQRFNILNEYTQHYYISNEELLEVEQLAQGYPYHC IIQKVNKDNSSLSQRIVNEQFYALMGVTREMVSHHLHETKTLPSIFDVGTSLKLWCDVTL SSLSGVSHFDQYINTYEGAQYKCRIEQKQMFKRTQVNPNQIVFIEFRIFKLEEPLKSQLL NPQRLYQNQIDYFNRKNTEQEYQQFLNSMNYKQSLHYNNSQPCGYKQLAWI >CAK79032 pep:novel supercontig:GCA_000165425.1:CT868319:27907:28374:1 gene:GSPATT00013999001 transcript:CAK79032 MSSGGKGKAGKGGKAAGAHKSVSRSHKAGLQFPVGRVSRYLKQGRYTERVGAGAPVYLSA VLEYLAAEVLELAGNAAKDNKKNRINPRHILLAIRNDDELNKLMANTTIADGGVLPSIHP QLYPSKEDPSQAV >CAK79033 pep:novel supercontig:GCA_000165425.1:CT868319:28386:29799:-1 gene:GSPATT00014000001 transcript:CAK79033 MFNRITRLAALQHKVVKCFDLHEYQSKDLMRGFNVRVQKGAIALNADDAAKVAKTLDPSG GLILKSQVHAGGRGKGTLSSGLKGGVKICKTPEEVANYTKQMIGYKLVTHQTPKEGLQVN AVLVHEGVDIVRQLYLAFILDRNSQKPAIVASINGGMEIEEVAKTDPNSIIVLPIDVNTG LTDQIANKVVDTLQLQSVRQQAVEQLRNLYKMFISLDATQVEINPWQATDPKNQLFCIDA KINVDDNAKFRQKELVELRKTSVASEQVDPHEELALAAGLNYVALDGNIGCMVNGAGLAM ATMDIIKLYGGEPANFLDVGGGANVEQVKTAFEILNSHPRVETILINIFGGIMKCNIIAE GIIKAAQLVDLKTPLVVRLTGTNSQQGAKMLDEFAKSQTKVSITTATDLDDAAQKSVKIA HTSKKN >CAK79034 pep:novel supercontig:GCA_000165425.1:CT868319:29968:31413:-1 gene:GSPATT00014001001 transcript:CAK79034 MNQIQQGDVIDNQYEIIKKISQGSFGIVYFGRCMKRNKNVAIKVEKQEMASYSSLNKEIE ILTLLEGVSQIPELYWYGQFKKCNVMITRLLGHDLTYFQKLYQKFSTQCVYNIAFQLLWI LEQIHKRNIIHRDLKPENILSKADSDKIFLIDFGISRNINQKTKKKKKISFIGTSRYASL AAHQGIDQTAKDDLESLGYLLIYLLQQRLPWMNIDKNDTQRLEKIGKLKQETKIEELCSE CPNSILKYMRYVKALSPQQSPSYTMLRGLFLTTLHNISDQGLDWTLQKSKSHKQKGHKKL KNTQNPNYQSCGTIRREFLGENLQPQNVKTFGAQDELVGTSDNGSSAQQYSAMTNQGSIK SIGVGYSLSDLHEGLRINQQNIQIAQISTFEGIVENDPSMTLKAEQQLMERENQDLEIKY NLLHFKSVYFNFKNPIQKSFLRSNDFKKRT >CAK79035 pep:novel supercontig:GCA_000165425.1:CT868319:31996:33934:1 gene:GSPATT00014002001 transcript:CAK79035 MDSIPIQYLKEVQNDSVLLRFLYAHKFMKEETVNYFVDHLDWLNNPETTIIDDLPQYDKI IQIIGRDEMLRPVLHINLCQPLDNYFIKAITNKLILMEDYMFAPSKVESWIIIIDMSQFN PNVQKEYIEQAVKHFITNFPMSLEHIYFLDASQILRQVGENLLSQKEFKIFDLDDKISIM NKAEFKKDKLQLLSPEYNTLFVVEDPLFQQASQKSLSQLIINQPQPTLYGQTQKGQQNAD LIGPLYPLVVYAVSQPQPYVPEIHDKELQCYTNQKQIPTFFLDTQNQFCQNYQPFKYNNT SGPQNQQQTDPIPQQQTNKRIVTQLQSQMTFQNTEYSMRTKYDDIIDQYNWNKYGIAQQQ PIADTEKIIYDATYHDSSQHQINTPYIQQTPTLPGTEQTIKKFDIHNNQDIHSTGGFFED PILPQHDNNNVQPSFYSDQKHLPIKHFDFENNSPQLTQPQRNIYADPRSPLEIDQYPPIH QQIEKKFDFGPIPVRPSEQSYTPSYIASDKPYVPERISDIPTYQNNYLQQQRFHNIPTSS LPQTSGYSPYLPQTPFSPLPSSTNLYVAPTYPLTTTNKSYLYNSTQPKIIEQDGIKFQDE TMVIGDPDHRPTKNSNRDPALDQQQCQIF >CAK79036 pep:novel supercontig:GCA_000165425.1:CT868319:35146:35400:-1 gene:GSPATT00014003001 transcript:CAK79036 MGCSLTSKNKIDKLQSVQLASQITSKQIEEDEVEREIFNHFCLEKYKIQKNPIVKRRAHT STPSLINDQNKVEAQNSYVQRQFS >CAK79037 pep:novel supercontig:GCA_000165425.1:CT868319:36915:37980:-1 gene:GSPATT00014004001 transcript:CAK79037 MINRYTLQFKDQKLEEKYQEIQLREKRKPLVKKIIYLTFLVVLVKGTFSIITQSLDEIYG TIGYFISDLCLIAILIMKPHYCRYALILVTYVIMIPYLRVDDSKNQFHYQLAAALIVAFQ FVIIASGEFIDALVQVLSIFSIYLAYFIIYQPNFSQAFVLSAFLISFLLLFSLYQNVAAE RSKFQLTLLNEQWDKILLSMVTEPCVIFNFDQLRHTFIVKGSFSFIVNCDTNEELKNFLR KSKLTFHRKINLENFLFKQIDKFDKQDDNIINQSLIIELNQKAQEITYSIFSGTNPIILI KIDQCKCNRIIGEQQNLMIEQQFQFKYRLLLKKSLFIKHQIQKDYLN >CAK79038 pep:novel supercontig:GCA_000165425.1:CT868319:38638:40949:1 gene:GSPATT00014005001 transcript:CAK79038 MQPAKQQQQQLSVQAQKERDRLKAQREGQLNKKEQALNKLSDEEVIVQLKAKGLGVYGTK QEKLDRLKKANGIEISNKQEQVPQQQQQQQQQQQAQQIAAQQQAQQQKGSVVDNIKKMEQ QREERRKNMQEMKREKAEREEQNQILGKNVDVEFEIMIDKTRLKGGLIQEHQTTQNIKLC VCVRKRPIFKKEEVGGEIDAISCANPMIRVHEPKLKVDGITKYVENHDFQFDNTFSEVEQ GKDIYDVSLAPLMDLLVNQGVVTCFAYGQTGSGKTYTMKSIQELLASDLYKLISTTPSYK IFVSFFEIYGGKCYDLLNAKAPLQIMEDKNNNIQIQGLVEKPSESEQELFQLMELANSVR TTHATVANDTSSRSHSICQIAIRQGYSDIGKLILVDLAGSERAQDTQSNNRQRRLEGAEI NKSLLALKECIRAMDSGQGHVPFRASKLTLVLRDSFTAKSNKSRIIMIACISPGSSSADH SLNTLRYADRLKDKSNQAKVQLEEREVTNEELLYRQQQGQDRQSDKNLENNNKQQDKQNP PLQLPKINDPRNQNNQNAGNIKKNSSQVPEKEKPKSQPVPPKQQKKINTVQEDSDDDVAA EELVNKKNGQVKEDVRCMKETMMKNEQNNANGNGNEFFDFHEKVNTILEEQDEILNIHMA AIKEDAKLLQQESELIQSIQGVGIVDYDVDTYVGNLEAFIRKKLKIYNLLNKKLLVFKTH LKEEEEISSKMKNTFYY >CAK79039 pep:novel supercontig:GCA_000165425.1:CT868319:41124:41787:1 gene:GSPATT00014006001 transcript:CAK79039 MDEPQVVKIIVVGESYAGKTSLLQQYCYNEFDHNHSATIGCDFTLKWITKNGTKLKLQIW DVAGQERFQNLSKMFIRQAKGCLIMCDITNKKSLEAALSWRNVVKDIADEVPIFLIQNKT DLLQGEREEYQTEEYLTQFAQQHNFTRSYQISVKLNEKVDLIFYELVEAMIEKGVIKLED SVIKSSFVIKNDNNDRNKAKKKECC >CAK79040 pep:novel supercontig:GCA_000165425.1:CT868319:41863:42690:-1 gene:GSPATT00014007001 transcript:CAK79040 MFKGKRVHSELIDISLHGTLFKFDKHPKLNAFVSVGNDHDLSVWDLESLKLLYKKEGNEY AIADALIHDDYHIIASVNGTLKIYKGDEEPKVTQLPEIPLSICVHKNQLYVGTTSDKLLI YDLDTAELKCTQNQQPWVTQLRSHDDTLYIMGNQISYNENIVDIGHKGQIMHHFILGNKI LTGDSSGKAILSSFPEFTKLYEYQMESAVVQLAIIENQDQLSLIIGTLDPGIVIITNGEI TKIEDRAAPFIVCSQNRIISRSNILTRQAYCDVYE >CAK79041 pep:novel supercontig:GCA_000165425.1:CT868319:43621:45071:1 gene:GSPATT00014008001 transcript:CAK79041 METVQIIEPVQIIEPGYAVTHKAVSQYSESYKLGYINYGCQGIKEETCAVKTYKLESMED FINAQREKEVLMSLNHENIAKTYKIIEKDNKMYIFREYDAQITLKTIFDRLQATQQVIDK KNILSLGAQMIDCLNYMESLQLTNRDLNPSNIFLSQEMNFKLFDFGQSRIIEEDAIGQSM VVGVNEQFMSPQMLELEKEGGVTIEINYYKSDIWSLGMILYYFGENQYPWRRDLKKSQVE LELEIQKMKDPNYKLQFSRIKCPDLKRLISRMLIYDQNRRASAMQLLEEPFIKPFVKQPS PQISKLQFLCELTQKFNEMNKAQDVDEMYQDLIFNHLIRYFSKNRKLINRRSKFYKSYKQ LLDYQMFNKKELWLTFRIKSKTKSYLLNYINEYKRKSTLDDRQRQELLLLLQAMDTEKQF PNNLQQLIEEVENNYLEN >CAK79042 pep:novel supercontig:GCA_000165425.1:CT868319:45243:46258:-1 gene:GSPATT00014009001 transcript:CAK79042 MNKMPIHEMKQILEQKIDFDSQATQTALNRLGLSQRAFEKISYLEYMTTNRCQQDYFSYL HSIVMNIKKLQQEIAKMNLKDKSIDCAEPENIDEIIALLDQRMQKKITSNSQPRIISYRE KTQEKDEKKSRIIKLNHYQIKSITERTLVQQQSPPKDQNTFISQSPDRIIHKKLIISNNQ SAADLYSKQQASIEASTMEQLNESLRKNIPDKNQTIITGIRQRLKDSNCSKQAIDEFMNR IAKLRSVSQQSIESNRKISTPAIKFVKKKSSNLITTKLEMMLRKEKLIIPDEPLKVHQLK IGSIMGVIQRIKTQKQKQQSKISQQLNNEI >CAK79043 pep:novel supercontig:GCA_000165425.1:CT868319:46779:50256:-1 gene:GSPATT00014010001 transcript:CAK79043 MISEKDKLITSYLSFRGLQKQHIDSFNYFIEQRLEQIVKSPLNQRITCESDSSFLLEYLS IRVENPTFTSSWDKYSLKSLLYPHECRLNDQTYAGDIYVNVRIHMKGREPIEEKDVLIGR MPIMLGSKKCNLSGKTEAEIFQYGECPYDPKGYFIIEGNEKVILILEQVVDNRIIVDVDN KTDQYVSTVQSYQLETKSKASIILKKNKFYVKSSSFKDAPLFIVFKALGIVNDKEIIELI GTEPSIVEKLLMSFQDSSDEKIKTQLDALQYIGKLITSKFGGQSSNLADQAREKLAQVFL AHVNCKKYDFYPKAIYLGQMVRRLILAMEDKRFVDDKDYYGNKRMRCAGNLLELLFEDLF KGLNSTISSILKKELGKANCKFTPKDVTMKMKEFGVDITKGLNRAIKTGKWTIQRFHMDR QGVTQLLARISYTSALGMLTKMRSQVQKTLKVSGPRALVGSQFGMICPADTPDGEDCGLV KTLALLTHITQEDIQDSVYKIILSMGVEDICHFKPSEFHKNYIVYLNGIIVGMHARPQEF VDQLRILRRRGKINEFVSIHKDDLRKVVNISGDSGRLVRPLILVKDGKPQLIQIDMIDFK QNDAKTVFSSFVKNGKIEYLDVNESDNAFIALTINDVTMETTHLEIDQMTILSCVTGLVP FPHHNQSARNTFQCQMGKQSLGIIGMNTQIRCDTQLYQLIYPQTPLVRTVSMEAVNQHRL PAGHNAQVAVMSYSCYDIEDALIMNKSSLDRGFGRTAVYKKSVTECEINQRNKESRFNER IDEPPTKTHNTKKKFIKKYHALDNDGITKVGAQLHHGDIYVNKKTPQVPDNPQTENIQIA DTPSVFKEKWPYTVDRVLIINNTNDGTEKIKTIKTIFRQIRRPEFGDKFSSRHGQKGVVG LIVNQEDMPFNERGWCPDLIMNPHGYPSRMTIAKLMELLCGKLGALEGKFKYGTAFGGEN VLQVGEELLKRGFHYQGKDCLISGITGEYMECYVYQGPIFYQRLKHMVIDKIHARAKGPM EKLTRQPVEGRAKDGGQRIGEMERDCFLAYGASNLLIERLLISSDPFNVYVCEICGMFKS DSVCRGCNTDKVYQIRLPYCCKLLFQELLAMNIKPKLQLVPSHERQEYTMN >CAK79044 pep:novel supercontig:GCA_000165425.1:CT868319:50271:50837:1 gene:GSPATT00014011001 transcript:CAK79044 MGDNNPNNSDGMQLLKTLLTADVPQEGSVMQAIRNKVNSLLNNKQQISILQELQQANLDN EFKEMNDNTLMGLNAIYFSSVVLTQFMFTRKVSKVVFLLSCAYFNFYIIQPIEIEYKLRK LALQNTLAGQEVRNLYRFYFKDHEFTREMTEKCKLYAQADEIKKQIYSRKL >CAK79045 pep:novel supercontig:GCA_000165425.1:CT868319:51040:52953:1 gene:GSPATT00014012001 transcript:CAK79045 MSNKVLLADYNNHGNQQFEYPEQDIYYTGRKLNQDLKHGPLEQRGCQDILCVILYLSVWA LMIFCAINSWEAGDASKIYLPYDSQQRQCGRGDLKSYSNIYIISQTESYCVSECPGESGV GKELPCYQCSAQTAPYASAQLLTLCFPTLKVDEKYKELITNIVDISGFQRHYADIEETWP IILACAGIAFFMAIILTFLIRLAAGCIVWGMILIYLLILGSIGTVAFLQSKGHYQEYRVL DDAQQLEIIAYVFWSIGLLSLLIILCLAKKISQAIQVLKTAADFTREEWQALFVPVVILI LVLAFFAYWIIFSAIIYSTSSDISKSQYSPYVELQWDAKTGWQLCLYFFALIWNVCFSLA LCQFVISSCCCFWYYSHQGYPLSSSILKSFCRGFTTNFGSLLFGSLILAIVWTIKFVLEF LHKQLKQSVQGDNNAIGYALRCAKYYVSCFEKFIRFLNQNAYTMMALTGQSFCNSAYDAF YLILRNATRVAITHGLGELFEFLGAVFISASSSFICYLIITKAEAYKNNIFNPVAPTLAF ILVSYMIGKMFMNLYGMGVDTLLLCFIVDTEMNKNEGGAKSVPHSLKQYASELN >CAK79046 pep:novel supercontig:GCA_000165425.1:CT868319:53049:53195:-1 gene:GSPATT00014013001 transcript:CAK79046 MRKQLLQTLSEHIESDYIGDEGEGLQCAFTLCLQDVPNQTAKIPIDLQ >CAK79047 pep:novel supercontig:GCA_000165425.1:CT868319:53320:53764:-1 gene:GSPATT00014014001 transcript:CAK79047 MATARIPFSNVTQVNKGDRLSATLGEKVEKSANAEKQFVPTNTEINNVKNSLDELQLENV DKQTLIQQLKLHKIILKHCFEENDILRERIAAIEAQFSNLQDEIEMRDNVILELSEKLEQ KEKE >CAK79048 pep:novel supercontig:GCA_000165425.1:CT868319:53912:54912:-1 gene:GSPATT00014015001 transcript:CAK79048 MSEKFITKKQKNEGKAKSQNQKTRFLNDKEESEQPAQMRNAQRFFRKPKATQEMITLPQP SIQYAHPVINIKFNSFQYVPKPIPVPEAIKQIDKKIKAYRRLMERERQEKLQREQQEMQR LNEQEEDQKRAIDFMTNPQIIDQQEPEQEQEEDEQDLLSEEPRVEAQQPISISEKVSYSE AVKKREQIYDHTLQRMYEKRQNPVLFAKFKKKPPILNITSKNKISKGNGRMDPEEHKLRV YNRENVPTMPDLIIPSFTIKKDQMAKNILAYLKEYTPLFRGYKNYEYPKCVQNILQEEID SLPQQ >CAK79049 pep:novel supercontig:GCA_000165425.1:CT868319:55563:56569:-1 gene:GSPATT00014016001 transcript:CAK79049 MINTNNVTIQIYNNADARKSMKVHYLRKETDRRKFLQMCSAVLDLRASRIFDQSGKEIMD IDSIQMGASYFISSGEEFNNYNSNFQNSYGESKQLVQYRISLLGMGSVGKSNLTTRWVNN EFFEDYSLTLLDKYTKRVIVGDQQCQIEISDTCGQEAYTSLRTQWMKDKEGLIFTYAVNS LESFEDIKNTLLLFQQLFEKQEYVPSIVIVGNKTDLGSERVISYDEGKQLAAQFKALFYE TSAKNGSNVNQMFTGLINDIIQQKENARKQQFKKVEEDPQNQKQGWCSLL >CAK79050 pep:novel supercontig:GCA_000165425.1:CT868319:57719:60438:1 gene:GSPATT00014017001 transcript:CAK79050 MIYFQQSCLEEKKQDMPKNQASLQSPKQLEDTLERIKEFREEFMASLQEYLTIRLSNDDQ DPCEEVIQIIKNLCIKLVDKPLWNFKELAAELELQLEGHIDDYTVFNQVKQLISSLNAQY SNKFNQISSCYLKSIQSEKAIPKQQIKRICHYDEVQELIPNTRDRVEEINQHLLSFIHHD SLLQVDPWCCPFQSSFGVTLTDQDISYQAKKLNVDIILIDTFGINCFFCSQIMRQFYPSC HHRSISTKGIYSKQCRIYFGQALSNIYDVSNILVDWPNILTLKGKGVVCCNFDGFVTNEF INFYFGEVYTPQRWFEKQTVFNKRMQDGNRKSGFQSPYAEFHINDELLFIDPTRYGNIAL HISYSCDPNCKFVTVQINSSYQLAIFTLKKINYLEELTLPFPSTSNDLCLCGSIYCKRLS QLEAFNNRLTQNYPNYIQRNALLLQSTIFGKINNQTTIPDWLSNWEKLNSAQDQINILTC VDKVKFVLNTLNVTTPPLYLVFDTFDVFWKNYDNNKPKNELKQSIFNEVKTMLMRHSDRK ECQEGLEILQLMKSIIESKDQYKMQLTRLLLLVLSELLLKMESAPFHNEGLSTILYFMSF THTYFSSTQYEGFNGKPFEENEFEYIPQPKNKQKLALSKMYTPQYIWGQLINWNKQTLQN PQSSMAQERRGVLCYPSLILSFDNKHKLFPYQCKTREKFLEYFYTKSDIQPDLSIWSYKN QYNVYGTIFFEQCFSQQIVGEQFITEISKCGLGSFLNKFKFWLQIESRFKQDNQMIEYLN FIYEKYFVNINNQLRKFNSNSECTEQVAKKIKQNNHSTIIDETQQGQF >CAK79051 pep:novel supercontig:GCA_000165425.1:CT868319:60553:61518:-1 gene:GSPATT00014018001 transcript:CAK79051 MIFFIIVIILIAIILGGFIYSLNKAGPKRTYNVDLTGKIAIVTGSSAGVGKETAKKLAQR GATVIFACRSKEKTQIIIDEISKISKNKNLHYINLDLPNYDSVRQFVKEFKQRFNQCDYL INNAGIFIINEQKNNLNHELTFATNHLGHFLLTNLLLDVMSDKSRIINVASGAHEFVRSA PDFQKAIKGEIGMGMSTYATTKFANILFTQALQQKFDKENRRIKAVSLHPGFVRTEIFGS KNGSNRILSAIATVVIYLMSQFSLNEEQGSRTTEYTMLQPYEELVPQGYYQKNQLSKSTK LVRECGLETQLWDLSTKAVGL >CAK79052 pep:novel supercontig:GCA_000165425.1:CT868319:61876:62178:-1 gene:GSPATT00014019001 transcript:CAK79052 MINQSTESEFFQEKELTVSRWQLEDDDKENQTKNQLQTRQPKPSLYKIQIEYPDYSSQTP SSNKSKELKRMIESNFRRRPKKSSDAWEEILLKCNNIRKG >CAK79053 pep:novel supercontig:GCA_000165425.1:CT868319:62204:63153:-1 gene:GSPATT00014020001 transcript:CAK79053 MIKTILIRIMNQKNEEIGSSGEQILSIGLQKVFQELSKPFDSALQPEQIIRLLSVFHWMI QQCSLPKEIFADCNIGMITKTLDTSFALERLPTELNLTISRSMNVAPSWNSTPKQTLEQN YFREEPECRKSVTDKKVQFQELRDPLTYQQILQVYYIYLKRVCMQQLCEIPIIDCFVIQN IQCLGLRIIEGQRNQKLRYCFDLIIKDLIAYQNQTLDLLSKLTSTHDVNQIQEIYLQMYL VSKQLKYYCNLNRCNFDQSKFKEFKKNHNFCRQKYKICESKERMEREDLKDSHNFYKYER TQLYNQF >CAK79054 pep:novel supercontig:GCA_000165425.1:CT868319:63460:63896:-1 gene:GSPATT00014021001 transcript:CAK79054 MSIGEIHRDPGPQTQGYKGVFKGISENLDVGVGMYAPPIGMEQREHHYNIGWKPWQQSNE TVYEVDYKPNKEIVKRENCANMHPPTIHIDHINVPYTKDTHFNTEYKNYKDYQNPPRAKP IRDKLTLMATQIVMGG >CAK79055 pep:novel supercontig:GCA_000165425.1:CT868319:64054:64526:-1 gene:GSPATT00014022001 transcript:CAK79055 MHQKSQPSLPSLHIVTNPNISSTKRIHARMIIEPNVKDILSLPKGQIRGSQFRRVPFKVN PKVVQAFSPFQSYSIISFRDLLYDKEKQEKQLQKLKEKKQLEKLKDVQHQEKIKYFSKED ENNQDIIDSIMCKVRMELGQQQQTNFITN >CAK79056 pep:novel supercontig:GCA_000165425.1:CT868319:64561:65547:-1 gene:GSPATT00014023001 transcript:CAK79056 MQVTVEKICSFSNPLSSPHEVNGCIYMVSENGDILVMNNELTIDTRMGGQPSSIAIERDH ILIYIADMAHQAITYRQLNQLQQQSNNQNQEGAKDFVTEYEGMPFLGPNQIVISYNNLNA VFFTDSGPFGETNVENPIGSVFMVDLDGQEIKPTALAYRCLAHPSGLALSHDEKSLFVSE TCENRIIRFVLTNAGIYAFSVYHQFSGRFGPTALVISQSDFLYVARFEFSVGNENEMGLI SVLNPQGQLLENILIPGSPEITGMCFSLIKPTNLYLTDNSNGSNRLIKCVIPLEDKDEEK KKKDKDSYKVK >CAK79057 pep:novel supercontig:GCA_000165425.1:CT868319:65599:66481:-1 gene:GSPATT00014024001 transcript:CAK79057 MISNMRSDSQIKIFTPKSNFSNQIQHFKNDSSRNLESENNQQIMISNCMSNSINNLTPTK QNFTRTLRKTSINQQTRIRDDNMIISCYCCENLDNLNLIQPCLCDINYHASCFKQKLLNT PGQFSQENFTCTQCGIQYKVQQLEIYKSKTFINLFNIILFTSKLIITLGGLGGLSYWFYN YTQKQQEMSSLIAVIVLLILLFLLYLVYLIVEVFRGEPDFDWQVVDYKSEVEQQLNPEDL NLLENRSKQLSNDRPNTLHQITSVQYPQNNLNEIIN >CAK79058 pep:novel supercontig:GCA_000165425.1:CT868319:66925:69012:1 gene:GSPATT00014025001 transcript:CAK79058 MKPIILVVLLLGAWAQEKTNKVECPVIGIDLGTTYSCVGIYKNGNVEIIPNEQGNRITPS VVAFTDEERLIGEAAKNQAAINPTRTLYDVKRLIGRKFTDSTVQYDRKFMPYDIVDKDTK PYIKVTNIKGHQSKIFAPEEISAMVLTKMKEISETYLGKKVINAVVTVPAYFNDAQRQAT KDAGTISGLNVVRILNEPTAAAIAYGLDKKDGEKNILVFDLGGGTFDVSILTIDNGVFEV VATFRRYSLGRIIDHFIKVIKKKHNKDISADKRAIQKLKREVEKSKRALSATHETKIEIE DLVDGLDFNEVLTRAKFEELNNDLFKKTTGPMQTALEDSKFKKTEIHEIVLVGGSSRIPK VRQIVKDFFNGKEANTGINPDEAVCYGAAIQGGIICGEESNETKGLIVIDATPLSLGIET VGGVMTKIIPKGSYIPTKKSQVFTTYQDQQQTVTISVFEGERPLVKDNHKLGTFDLTGIP PAPRGTPQIEVTFEIDANGILQVGAQDKGTGVKNQIVITNDSGRLSKEEIDKMLREAEEF ADQDKAAKERIDAKNSLESYIYSMKNQIEDPEKLANKLSDDDKDTIKDALKDSQDWLDKN QNAEKEDYEEELKELEKICNPIISRVYQQSGKQQQASDDDYDSDL >CAK79059 pep:novel supercontig:GCA_000165425.1:CT868319:69089:70359:-1 gene:GSPATT00014026001 transcript:CAK79059 MQLREGASSEWQKFIEYTRNANPLDYGKIGQNVKIKLTKFPTDDSLIDYYENVFSKYMFN SSKYSVTSQKDRKKWHQMDKYLLIWCVAKLLQVKQRVNLVPDDEDWDMISKVLQVDSQLI KLKWISLLHSNLRIHQWTREEDQILKDIAEQFYDKNNWTELTIKFNSLSRTQRYPKQIRE RWKNVLNPTIQKCLWDSKEKLNLIQLVYKYGKRWSLIQHHIKGRSENQIKNQYNGITRSL KKEKISNEEEKELLLHIIQNPNQPIQNLIDDFLLKLMAKQEQLKLGTTNREEIKIEVNNN LRIQEQTQPFEKAHETPTIVQFQSPSTLQSQNFPQYNNVYQNSPFQLHHYNSLAQQPLTI YQPYQYVQQYNQYKPNFYSPNYAHMRFPYYM >CAK79060 pep:novel supercontig:GCA_000165425.1:CT868319:71899:75958:1 gene:GSPATT00014027001 transcript:CAK79060 MSEQQRGLEELARQKRQAQLSKNPPVKREKIEGDLDDFIVKDIQEDEYYANDDSQEEIKK IPDNQQMITQYMGQNRKKKQTGIKLSDDADEIEQVIQQVKVEKVSLNQVVTNSDELYKAQ FNQPLKPKQKQDLQEEDLDEILSLLQNQKAKEEQQKQQQQQQQVKAIQSKQLPVHRQVEV KVELPQMKIDYSTININQIISRDRDVMDIVEKNGSILFYWIDIYEDQIRFPGSLFVFGKV KNHKLNVYDSCSLYFEDYRKTAYLSYENGRYTEQQLIQECQALMKKVLGLGSKDFTYQFV DRQYAFEIADIPTSQQQYLEVSYTLKHGQQLPVRIDNPIFKYALQSTQTLVEQFLIYNKI RGPCWLQLKSCQTIDTKEFHLRTQHALQLPQTGFQVYEGELPLPNLKLLSFTLTQCKVDK DEQIIAISYTVQNNIDLNDAEVPEQVVYSTLARMPSDAPLPPGYIKGQNKQVKDFESEYS MLSSFLQDVEAIDPDGLIGHEIQKKSIEQLVARIAKLKVNEWNRMSRLQKREQIPKNIFL RSKYLTIGRLVIDLWIQAKDMIKSNDYSITYLAKEFLKQDCQQLESDVTKNYTENAQSYQ TLLQLSLKETKFVIQITQKLNIISLTRQLTNICGNVWNRSLLNQRAERNEMLLMHEFFLR GFLLPDKMSKINLSDEEKDQQIKEQESKKTYGGGLVFEPKADIYTQYVLLLDFNSLYPSI IMEYNICFTTVQRDKINFEVDKTQLEEEPTQTKPQKSNKNKKKKDQTQDENDTKEKIKDF IGSVDPDAGSGILPQIIEKLVNMRKQAKKEMSRSTGLMKQIYNIKQLAVKLVANSIYGCL GFSSSRFYAVGIASLITQKGRSILMDSKNTVERSNDVIYGDTDSMMICARQEKNLSEILV LGTQIAKEINKKYKKLVLAIDGVFDTLLLMKKKKYAGIKIDNLEDLMTGQTEQPKFKMEV KGIDIVRREFCDISKKMQSHVLDILLKTKNRDDIHGDLITLMQEIRSMFDALSGNSNADP KQLIAQNRFITYEIPTSDFIIVKQLNKAPHEYSDTISAPHVQVALRMVNEYGRSAQSLVN HFIRYVICEDPTQKNLSFRAYTVDELINKNLTIDYYYYLSTQIFDPIVRLCKNVQVISIS ELAQILGLKTYQYKDKVNHEFIDFKLEEEQKQELIIIDSFKFKCPVCKEEQKYSQFFDQQ KLFVKQLICQSKQCSYSVENLKSISNQTKLMIKKDLCNPYYKGYIQCNKSKNKFTNFCRK GVCFGSCCEKDKEKKVNHVIDEETINTQVKFITQFLNKVLSEINKQTTTLNQNKARVYQI QEEQQFHQPFCYIRLNDIFRKLQI >CAK79061 pep:novel supercontig:GCA_000165425.1:CT868319:75972:77102:-1 gene:GSPATT00014028001 transcript:CAK79061 MLFRQKEKIQDVGRSNFREFYRMEQEAQTKTVKMMPSVQSGLSKSTNQPLIDENQSSLTG SSWDAKHLDTFLKHSKYSSMRQTQDLDNQAILVGSGQNQSFFFRRQQPRIIPEYIDYLNM SKINHIVSDHPVNHSQEVITKRLEVPDKNYEQQNLALSQVITLKKPSGYLAELKETQEQQ KKYHQWREEFSEANKAYRKIRQAYKSGIIGIDNPTIENSELYKEEHQKFKQKQESRIIHS INRQQCTNIYVISALEKYSRANPNIEFDNRKYDDSLTQINHTRKLGQYPCQTFEMDSKWM HKKTINPSQNTQQRLFGGVDKISRAASLRAENIKNQELRGRDYNCICLTKVQ >CAK79062 pep:novel supercontig:GCA_000165425.1:CT868319:77257:77674:1 gene:GSPATT00014029001 transcript:CAK79062 MAPSKSPKAEAAKKTVKTVKTKKGSDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAM NIMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVT KYTSG >CAK79063 pep:novel supercontig:GCA_000165425.1:CT868319:78775:81983:1 gene:GSPATT00014030001 transcript:CAK79063 MKLVKPPLQVKEKQWDNRFYLGKLSDHEIKKQFMIKKKSVRRPTSTNKIVVDEKPQAIRL YELQLLWEQFRIPQFHRAYFLQYNEKDLDAILRETAEIKIKQSIVQKLMSLIKGRERCLN YLKTETFEEHKFSELLFHLRILTVNVIEQFKKWRDSLNRCVRWRIDEIDYLVKLRTDIDF LKEYYQDYQRDPFMLWLYKLGKFDAVHSNYHQLQKDMMKRIRDCEILLIENDYFDMQTNI LSRKEAQLINKYLRESTIQMEDQLKSQELKPFSPNIKQTKTVQIQDNLTIVPQIVRNKDQ FINLLNQVKNDSDIESSFPMRDNLFEIIDFQDCHILAFMQHQKIKGICISQSDQSEQYRK VIIEQIKLESLQLFPQFIQQLTNYLIGNEITIKLVHYMQNEKLIDCEYLKVALKQEGFRW KQQTNDCERNIRYTIYYKKKEYQNQIMNQFKFNFHVHSTKLNQLMQLVYNNIHESTDIAQ KFKRYADSRKFEHNPPQIKLKPHVCDDRIILLDLKIKLPAFKTEVRNEYKYYRIIQEPEI SNISFIEIDGQIIYALSLLDNDYNILISKDQINHETIQISQFQPTQEDLSIPMFCYNDEI ADIFNHHIKLQFEHFMVINRKPNTQQQSIEIKPETFYFSILYEGHIINSFKIQHHHLIKF KPFKSQLKISEDVNIDTSKFILPCFPLIQKTLESEPLKVIIKNYECICALDNYASARKWV IYTIVGCQESQFKDVLESLITQLTIIDPNIQEVGIDFYHEMVNGEYTVNKNVQKQLTSLG FKWKIQINEANEHTRFTKYLLKLQRETQVVDNLVVQYFCTQDFADVNVQPLIQQFSIFYQ TLTQSQLVVKRNNLIPFSKYYNKDIPPELQTDQMLETRISQILQNCKYGTKNNIDYIIFN VSVTINMKNQVITSNKHPELGTIYFIQLFDIVLCFYQLEKEINTERIASLIQQSTSDENE QQGSLGVEFSQYSKAGSNYSMEINLTMEKRIAIEMQDFKTISKPYFIGLIEPNWYEKHNQ MIASMIIL >CAK79064 pep:novel supercontig:GCA_000165425.1:CT868319:82299:84601:1 gene:GSPATT00014031001 transcript:CAK79064 MNTYDNQQRNVTQVRFGTEQSVASSISAFPKRTQVELFCYRHPDRFIHQGCTALNCQKMI MCPQCNTDDDTHYQEHKEDIVEFPHFLEILASEIKKVNRNDSMLYNKNVKQLLEKEHTYL LEYDNLCKKQVKYFNQLIDDQTSLIMQHFYILKQTINAFFDKQFNTFSNNIHFFKTQYQQ INPEETLARYGDVPQIVAKLYAKNPQQCFHFLNNLRKSANMEGQLLSDLDYISNMVLRNY EDQSYFLQEFALNQLKNSVADMIDQTKIAVNDSLKQIPKLTQDVHASVVSQAFISYNRHQ VKLAQPELFENRKFRFANVAQIQTHHSNSVTALVALSHDILATSSYDRTMKIWKISSGEL LKTIYDTCCISSMISLKIKNDKNTQADSYTDEENSQLHNQILKGNLSNSGFYLITGGFDK QIKVWDFDIMVKDNQYEMDRIAKYESSLLSQSYNLSVFPIHTLKGHNSWITALLSFNDER NIAAGDDSGEVIVWDIINQVLLYRLTHIRNPGIVPFLSLTIPFQQFCCSSGTYLRIYSLF YKSQEEIYPNPKFKERLEAKFAPKKNPIQIAPQLSNVQLDKEIDIFWGITGCISPSNYPN LLIVFGNQPNKLKYVILNKNKVVEINTDNGTYCGQLLLIEKHRIHDTQMDQFYKNINFIM MGDKSLAVYDGYGTKIRVLESQMDDLYASNILCQRNMQILKLEKRSVKKTLKFACVSQYG TQDYKYKSARITLFEISYDTI >CAK79065 pep:novel supercontig:GCA_000165425.1:CT868319:84879:90497:1 gene:GSPATT00014032001 transcript:CAK79065 MFQLYENAENSTYSFEFKLDLTLLNVLRSFTHKDHEFQEGYLESIYALLYYYQGKPESEL FSEYCAQKGNHTIEALIFQVHYYIASLANLNQLVGFLKADENKVIPLSRSDINKTNILSV HSITDRVGSINSTVLVSKSQTVKPEYLDLRVKICTYENKEEGVFSIDYNVLSLAIQAKGK LDPLVGSHLANKLNKMFKLELYHILLHFADKKGQVTENYDERCMIIVNGVSNFSFHKKEF TDLRDKFIRLSYGNVEKNVDEITKLLMDLDQDVGKSIIARDTKSNHYLEKLLVGLLGNPL QLIRDQAIILLNILYDGVDWQKRVPFKPKITKVGSKFDIQYLFEYDSSYPLSSIVILLKS YIFDSGCKQNIVSWHKPKLSEYSNQGDKKYMAFKMDFGRFKRCGFYDWKLIRMTTSGKLQ SLQKIMNLDELKSNVEITALQMMEAKPIQGRFIVHPRDSKNLQIHEIYVDLLDAKPDNQG KFTKRGNFRKVREKIPDLVQQGINCVYLMGTLERDNGLMIDQDKQQKLFKRPDVSPLAIT CRLTPNQMLGGKEEFMQLNKVAQQNKLRIIVDMVTRISSARPHRKYRKDLVYRLDEQGRS VAMFGTDGRSIHFEDTIILNNRKKRVWDVLLEEMIELTTQYNIQGVHLDNGSSWPQIFSL DLDEMYRKDTDGNQAYSNKQIFSGEICLQNEDCGYWGSSVKNLYPNPFLVKICKSLWSKF PNFLIVAEAWGAMGEQEEREINLIQSGVIPRLFKLPIAIASIFGENLKKDGTMVKMERKN VNAIKKWIEYTAKQYPAGSIIVQSTTYNSWPYPALIYKRGTWAAVDLFFTLQDIPMTFMG EEEGFAFREKTTNVFNYVTKHESSEIKLQDPTQQKTPQRSLKRAESYVQVNEDYGFGYNT LTHQVQLQITQSPAKSSNSGQPVRVQSGSSLSQMDISNIATAQENFRREVGPQFGFDLQQ IGKHYVHIRQMRKQHKVLRNGQMVSLSAEHMYGWHTHVLAFARYSKDEMALIAINFNDGE IDMFMNLRNLRYYFPNSERSNIVVRLRNWSYPNLENEETSYFYIGNFLTSRLEVHLKNFQ SQIWGVEILGNSQEVQQKAQKSALIRLQQKMSSNIPLTIYGNDAANKLTLLTDNLNSLQQ FINGFSHYYTRVIKPNNFDLNRLISSMKDFQSNKVRLCKLFAFFQSILGTQDKNLPFYDT LQQLINLNKLGPMCFCTPELGKWTTTGGLGVMVDELTQELAKMNEEVILITPYYHRNKKG ETGYILSDGFQHIRNIEIWLQGEKIIMGVFEGVFNGVRLFWLHNEQYFPSAYAGEDASYV MKQLTVYAKGCLELLCQIKLIPSLIVTNDWFCGLIPGYLRVRRYGEAFAGTKSFHIVHNL DPLYEGRLYPKPNEGKLDYIHELPNDFFVDPYWQNLVINPSRCPLITCDNWGTVSQSYKY ELLESSPLASVLRRHPHPFAFPNGIRREQRFKVIMDKTNNDHLKAKEQLQKKYFGCSQLE NHIVVLGFVGRVTKQKGVHLILEVAEELIQRSQGTVQILVGGPADMKEEYSAFCAQNMIR LKSIYPRNFWADPSAFFMDGTLVNVGCDFGLMPSLFEPGGIVQHEFFIGSTPVIAFKTGG LRDTVHEYDQKQQKGSGFIFDQYNRGNFLYSIDRALQLYKNQDQYTQLRKNAFDAAIDVA DVSRAWAQEYYRLFEKNFIDKELVQQMVGQIQQDYKELKEQELFTKEEGRVFSNSDKTLK NFERILAHAKRSNLRLHQFVYRSTRLLQPRQVAVSGSFDEWKEKHKLKFDHFSKVWNVTL KLLPGEYYYKFYVDGEWICTDDDLKDNDIYGNINNFVIIQ >CAK79066 pep:novel supercontig:GCA_000165425.1:CT868319:90902:92727:-1 gene:GSPATT00014033001 transcript:CAK79066 MDIFVFQPYLRNRIQQLSQQSKIIIFQSIISYSYVTLLFWNAGIYNSRLIGLLDYFDGCF FPYAPHNIILQSEIQKLFKAYFFNFICMLCSRRNIPSLYWILRVRFLLILRPLYDFGITS GVLHSCVLQHCDSRLKVLYVLITNCAIIGFFVPFEIAYIQYIVCSFSMFFLLGVQTYIYE DNRRSQFFLSKSVEIQKSILYEFTNDSLFAIMYDENSRSFQLSFANKKFESLYKTDLDEN NVKEFLRSQSIINRNNQNSYRSLNNKQINKQINLEEYLFELVQQKLDYFNQDRFLIETNN GKEKYLIDILKFENQKTQFFLSIKENQAKHQIEKYEHIIKDLNENFKSVLMVIGNKFEEL YKTLLNLNDHLIIENEILRKSYSNIQFSLNYIKNHLIYLQKGRISFLKQQYETLTIEKLN EALLDYFIYYTQQHRKQFLLNCSYDLELQLITLNSKLLTQLLINIFNKILKLSEPRSCIQ LSIDKKQVQQSSINDKNEKTNQDLQIIQFSYIFEHKDQIENIESQFYQSISLDSQKSLEI ECIVNRIILKILSPQGSIQIQQEYQQGLSNYKTFLTFSIYTDQTQLDPSFTKYLQQNFDY >CAK79067 pep:novel supercontig:GCA_000165425.1:CT868319:93138:94978:-1 gene:GSPATT00014034001 transcript:CAK79067 MASLFKQWKLQFTNPIYEAEYQDFLNNQRLFFLRALLITIMLSCFFAMLVFIVQNQSAYL VSFMAVLFILHIVFLFFSYKLIYCLKLIMTILYASYITAAFYLAYIGFKVPLFDFGLSCG LLFSCALQYCDNRFKVIFLFIANWLALVLFVQFELAQIQYLVFSISMFILLGIMTYLIEY NRRSQFLLTKKLETQKSILYEFTNDSLFAIMYDENSRSFQLSFANKKFESLYKTNLDENN VKEFLRNQSIINRNNQSSNRSLNNKQIHKQINLEEYLFELVQQKLDYFNQDRFLIETNNG KEKYLINILKFENQKTQFFLSIKENQAKHQIEKYETKIKSLNTIFISVLLLISHRLERLY KQILNLKDDLQENTDLIKELQCNIQYSLIYLKNYMIYLQKEKMSFLKQQFEQFKVQKLIE ELSEYFHHYSKQYHKQFQFVYLSEAEQQSIFLNTRLLTQLLINIFNKILIISNDKSSIQL QIDRKLIQYQLLKDNNLTRNQELQLMQFSYIFVHKDQIDNLEFQFSQSINLDSQYPFQIE CVVNQIILKILSPYSSIQIQSIYQEKLQNHRTTLTFYIYTDQTQLDPSFTKYVDLYNL >CAK79068 pep:novel supercontig:GCA_000165425.1:CT868319:96091:97004:-1 gene:GSPATT00014035001 transcript:CAK79068 MKLIKKKDIFQNINTEYDISAQVQRKLLNGNAQFIIDLAINLELNSYSTVSLAIHLCNYF FHYKCYLQYDRFIVAAASLLLAQKIKDGDPRMRKLLISFHKIMQSIEQTRMANEALMQSL QNKLCIAESRILKVIEYEFDIKLPNDYIEVICKKCVPKKFEEATFHTLKILILDSYRTYA PLVFHSWVILVGTFLVASSQFSYTPYMSPPPQLNMPQTTNEEEAYKVWLEYVESELRDFE INKLNNTNTKQPEKTTILKQEDLKDFLLAFNEMLFLNQGPESQQQQLQSQQTLQQS >CAK79069 pep:novel supercontig:GCA_000165425.1:CT868319:97031:97466:-1 gene:GSPATT00014036001 transcript:CAK79069 MQLIDTEFGRLFYCIYTLHKRQLISQDRKGRLKDLLITTDDRMTPLLTNVKQYNEQQVYE HLLMIVDSPHDTYLKQESEPSEENQSINSARTSRIPKPKEIFIEKSTFKLAEKSPGPLST RSSQKRLHHPLKILENI >CAK79070 pep:novel supercontig:GCA_000165425.1:CT868319:97514:98692:1 gene:GSPATT00014037001 transcript:CAK79070 MNRIKLNSLQQKVHEAIIMQVIPVIRDGLNTIISTPSGTGKTLCYSIPIIEQKRSIVLTP TKELSIQTYKTIRELDPNIRVSRIGSIGLIAPIVEMISDLEAKKLQNYAKERLQRMNDSL RGQLNWDLVDICISTPGQLAQLVKLGCRIQEVNCLVIDEADLTWGDVSFRESIYTIQRYL NINQFVLCNSVNTIKDEKEMKTVDGSKFTSYISKDYMQIPKRKIEFMDKPLQEYLDNQKT IIFVDGEKECQEVQKIVGPSKCFNSGQSVEERIRNVEEFRKNEWKTLICTGLGSRGLDFP DVTQIIMYDLPRNFEAFLLQCGRLRQENGRIIVRLKDSFESAIYSEYERYKYVFPNSCVK L >CAK79071 pep:novel supercontig:GCA_000165425.1:CT868319:98753:100237:1 gene:GSPATT00014038001 transcript:CAK79071 MQNNGDQIIEFKESTLTAISPLDGRYASQTAVIKDYFSEYALMKYRIKVEIEWLKFLHSK NMIKQSNSVLNLTALDLTYLDLIYDKFDVTKSFRVKQIESTTNHDVKSIEYYIKEELDKN PILHSMKEYVHFCCTSEDINNIAYSLMMTDAKNNLLMKSLEGVINKLVQLSHDHHNVPML SRTHGQVASPTTVGKEFANFAYRIRNHSELLRNLKFEAKLNGAVGNYNAHLLAYPNYDWP ILSKQFIEELKLKHNPFTTQIEPHDSVALYYSYLNIINNILVGLSRDVWSYISINYFEQK SIKSEVGSSTMPHKVNPIDFENCEGNLGLSNSLAQHFMNKLVISRYQRDLSDSTVMRNHG VCLGYAVVGYRSLIKGLDKISPNYDTILNDLENHWEVLAEPIQQIMRQYGVANPYEQLKE LTRGQKITKDSLREFISKLALPEDVKKKLLTLEPKDYIGNADKMARLI >CAK79072 pep:novel supercontig:GCA_000165425.1:CT868319:100467:101432:1 gene:GSPATT00014039001 transcript:CAK79072 MDQKDQKDFIIDTQSEFFTKKFQVTLTKREPINHDSYMFRFDFKNHKERLGMQAVQHIKI YGLNMKGEIVDRAYTHVSEEDGYFLIPIKIYRPNVHPQFPNGGELTPWLENLELHSELTI KRCVGKLLYHKNQFIVRPKLNKTWQQFSTVLLICGGSGITPAYQLIRTICSDQNDNTKMV LLYANKTEQDIWLIKDLNELSDKHKEQFTVHYTLDKSEENWKGLKGFVSLEMMTSIFPQP TETTLGVLCGPKPMNKLVLSLYEQFGLKKENIVKF >CAK79073 pep:novel supercontig:GCA_000165425.1:CT868319:101560:102594:-1 gene:GSPATT00014040001 transcript:CAK79073 MFNTKFPSVSINEKLQKRILRSKIVKILNSQFQNYCNKFLKIERMQSEIDTRKTEFELED QNLIQMLPVHPPMKFTQEERRHCQRMNINIPTPLEMQQKLKAQLTHQELLEIGEDPAYFI QDEEMRRLNWNEEDWLSKSHTHLKQEMKDIPQIKSSSNLIKIKKPTIRSNTEDRTERKRK MIEDQVKRLHEINETNFQKVKYNQETKEMEKQKEQAKLNEKFKSKIDVQIKKEDARHESH QRIAEYKQQQQQLIKEKIEQHKKQIMEEEEMIRLHQRLKQICQNKQVNNLIDSNLKDRIE KAIKCQTNK >CAK79074 pep:novel supercontig:GCA_000165425.1:CT868319:103722:105653:1 gene:GSPATT00014041001 transcript:CAK79074 MNKFIQDKIYQSSQHEEITSLIYKITDRQTKFAELHNQVEESIQKVINVSQKQFEKVMKR KQKEFRQILQDHDERIKEITQKYHTSQNNQIQYQNQKKSEYIRIFESLYYEYQQFIAFFD HDQQAENSNYIIMIYEILTESQVFHEDQRLNETLLNEYLKQHKMNKILSLHASLKLIIPQ LQKVEENLQLSKINSKKGQYLNILNERLKAFIENLKYGLNQLDMFLSQIQENNQVQKELD TRIHSNLILINQLLKNHQDRKMTEYEDLNKTNENLIKIINRLLDINYKLKLQQKQNYEDV QQLMELYYLQNDKAPSLQPLSRKSTIKIIKPISYQRTRIFSETKKEVHFDLSSRNSVKEM NLESQILYQSPLIIQPQIVNQPTITDVSLFNSGQSLNKIKTQKYCDKHWTSKHQTNVDKK TAIPIKCQCPPQCSCQALLIIHVHTCNKQLYVNSIGQLLCQQCLFTQNIQDYSFYCPHTR TKNKFKCSKDFLEAFWQHIKTLTMNQQIVDFWNRLQISCQQMFQANPFSTLEIQNSMNFI AFCPNQCKCNHKQSRQKYHLCESQLQITSDGLVHCKKCNFNGDPKQYICYCPDTKTFNIY RSGEEFVQSLDLILEVGNQQQQQQLQNQFIQQLRQKIPFILWE >CAK79075 pep:novel supercontig:GCA_000165425.1:CT868319:106533:109171:-1 gene:GSPATT00014042001 transcript:CAK79075 MNKQFTLKKAFFQINIQDIRGKKKACEICQKDFSVLQKEHQCKRCKRAVCYSCSQNKEII YKIGLYKSASNVDQKPHRQCDLCHEESQRMRQFIQSNSLAFGKDTLCERWLKQMNNKKNY EEIVKDYYDNLNDPQFEYKFMNQDASESMAFTKYKNAMSNMYSKLVDYCEAYNYSFQEFY HHITQKKDATSIQNAICNIIKAFLNKHPKFGFDDEFILVVQFFLSFASEPLAFMCLSYFY ELVYPRELYYTFLKSNDYDIKKYSKLILSLLDSVFHLQSSDVQKIQSYLKHDFQKLALTL SINSLTFENTFLLIDNCIKVGNFLEFSKGLTAICSLRIEEIKKYADVSYEKLAVKILRNV EFRELQESLKMFEIVDQKIQDKIIKSFIQEEQKANFILDDKYLQNKILSSDQYKELQYKY EILDNEKNGLKKQIEEQNKIYQLTLAENQQQNQQISQLKNDMSKIKSELFDLQNLPQNVQ TNQSYTGGSDQSKFIALLQAQIQELRAKYQGLEEELQITQTQVYNKNVEIRKLQSNVREN SKTLTDLAFENHNLQYELESNLGRSRTSTRSRTQNEDKLSYEMMEEKLKRTEKSLESLQE TYDELKLTTGEQELELVRSKRFQQEAQFTISTLQLQITSLEQQLQDERQINQKNVEINRE RLEMQYKKMNESLEIVYGRNDKLMTENEQLKKDLKDSQDLCQEQKQLIKLLQEEYEKQKY LNEEQEKISQEFKNRDLERQFDAFEALKENEDKEKEQLAIQLFKKIEDLIKEIQFRSIDQ LLKREQLNKLFRESAQHEKAMRLLLDKVENYTDKKLMHHHSASSQQQSLRLEASIKGQDG QNDKERSINISDNKKQEDCNIM >CAK79076 pep:novel supercontig:GCA_000165425.1:CT868319:109649:110028:1 gene:GSPATT00014043001 transcript:CAK79076 MFEGLGSSGQLQSLILFRLHQKMVQCMQPFSFQIENTCPLCKQKFTQIEFKWKRVHYKSH KISKPKCKQNKIYVKDKSQSQKEDQFSVLLDLLIDFILADSINIIKPLVND >CAK79077 pep:novel supercontig:GCA_000165425.1:CT868319:110082:111532:-1 gene:GSPATT00014044001 transcript:CAK79077 MDSNYNYDQYAQKAYSANVFKVDESEFIIEKRYKPTQQLGSGAYGIVIGCEDTKATTPEQ KMVAIKKIERTFEHRFYAKRTLRELKILRNLKHENIVNLITIQLPKSRKNFYDIYCVTEL LDTDLKRVIDKEHAKLNQDHFKLFLYQILRALKYMHSANILHRDLKPTNLLLNKQDCMLK VCDFGLSRALLQTTKTQQQNPNIMTDYVETRYYRAPELLLGLKTYTQAVDIWSVGCIFAE IVRGKTLWRGQNSKQQIKMIFETVGTPSKTKIMQVQDTFVSQKLVELVQELGTLEKVPWD RVVKGLPPEGYDLLEKLLEIDYKKRITAAEALKHPYLKELHNPSDEPTRVPVSNMEFEFE MYEFTNEQLKDMIYEEILLYHYPDFKKTYEDKIANNQSVISHIMKGESAKIIDPEADDDY PI >CAK79078 pep:novel supercontig:GCA_000165425.1:CT868319:111564:112533:-1 gene:GSPATT00014045001 transcript:CAK79078 MNIGSLNEFLDFECKTYEKHIQQVRNYSVPNPIEKVQTKQIKSNRAIELLTLRNNIQRYN QQLQAAFQQRRKKPVQKSMVVEKPQTVKRHQLASIQIKSPNYLGLFDKGKVFDDSVPVSS LKQKHHLLKTVNDVKQEQNKDKINSLIDQAYIRMQEEFNNHSQTRSQERQQYITKYETYN KVQHNNEEIKVEVENQENSEASSLEMEVQTTRKLKKTQLNEVRKKLDAKKIIQQFPQKRW SKIRNLSYSSPNEYKTQNQQLELNSIKFIEQIIETGKNKKKYTGDAIDKLERIANQLLD >CAK79079 pep:novel supercontig:GCA_000165425.1:CT868319:112881:116463:1 gene:GSPATT00014046001 transcript:CAK79079 MQANCILKPLQLQPQVRSLIVIQKPLKPLAGMQQNLNEHLNNIKNIIPYQFVDKSSPHIT ICGLTPKITEQSLRLVCAEYGNVVSISLRAYYKDVQAQIVANVTYENASSAQHAYMELQK KVENGFHFQLYYGGPCYQSKSKIVKIKLPNPQIRGIIDKLARQVVKEGAQFEQMIKQREI NNSKYAFLYLQSEENEYYKWRVYSFQNGDDEKQWKQEPYYFNLNERIYIPPAIEVEEAPS FAKKELEKAQSKCSSIIIIVTTKNKKAQYYVLEDQDRLTLSQMIRELNTQKHTIGKAMVF CIDHQNCPADLMLILEDSLLNDSIWSMKLARLYLISDILNNCNQNFKSYIQWCLPKIFSN LDQLLPYKEKILKLLQCWREQNLFDQKYLKGLELSFLMKEQSIQIESISSQLYKEKLAHV DDETLDRICRIKGLCSQGPRDTLIQRLVQHKFYNRANHDVSLEQVSKFVQVYRYIVEKVF VIYTIIKSKDQQTIISSQKQSFTTQIQMMQEFLKLLQKRYKNIISRDGEEIDAVDERIYE FNKHIEIQRAERNLYLNIDGKDLTEEDIRTIDDKKVQVVTNYELTYLQPKPPLPPPPTDP IEIEVQQYRDILFQSGLYDPFKIEEFSKSKRAQLVKADQLKKERERQLLLKQQQEQRERE KEREREREREREKEREKEREKEREREKEREREKERERQRHHNRNNKRSSSSSGSDKRNQK KRNMIRNIIREDPDQEAIQEKRKLKTKRDEQNIIKHKKYNYKIYLFIKPLKNFEFVLEQL TLGKRIDGRDPLQLRMIQSHFGPQITGAVELSLGETSVSRMSPNPIRPSEGFLKFHLDLQ VLRDTGYMHNPIKLDMEIEKYIEKVIKGSKALDTESLCILSGKNVWSIDVNVALINNDGN LLDAMYLCCIFSQQHFRRPQVSVSLQGVKVEVEKRLVPLSIHHIPLSLTQAILELNEQTI LLQDSCLEEEDSEWKNHLWCKHLQ >CAK79080 pep:novel supercontig:GCA_000165425.1:CT868319:116701:118503:-1 gene:GSPATT00014047001 transcript:CAK79080 MNKVAFVTVASLLTQRKIQLQSAGDSQQIYYKLKSREEHLADALQSEYDVIIVGGGATGA GVSLACANRGLKSIVLESQDYASGASSKSTKLVHGGVRYMQQIFQLSEKNRIEKWDLVSE SLRERSNFLSMCSYLTQDFPTLIPCNNLFDLGYYYLGSLVYHCVYLYYQTGGHTFKPPRI VGKEEIQSHFKFAKCNYGVIYYDGQFNDARMVQELLVTSSLKGTLNMANYVEVKGLLKDE QNKITGVQAFDKIGQKQIKIKGKCVVNATGGWADNLRLMDDPTVSKRIVSVAGSHITLPQ KYGSRHWGYLIPKTSDGRVLYMIPWQGNMIMGTTERKLESPTHDPTVSHEEYMWLLKSFC DEFTVDASEAARDVKSKWCGVRPLVYQSDALSTKEVSRTHQIEVSKSGLISVMGGKWTIF RLMGEQAVDKVQQLIGKNQKRIENLPKLVGDWTQFDHRKEIQIMMKMFDLPQSYAAYFLT TYGDRAYDVLKLIYEKPENKESLHPQFPHTVGEILYQIRYEQARKPEDILFRRTRLGFLD QNAIFTVYEKVFQIMAKELKWSDKFQQQFLKENFEYIRKLEF >CAK79081 pep:novel supercontig:GCA_000165425.1:CT868319:118730:123018:-1 gene:GSPATT00014048001 transcript:CAK79081 MIMLMMICVIFTQETLREINLNEIVQDNTFQFANKVYRLKVANRDDLKHQQLALSLFSHQ ETLKVYINCDTHPTFLDQYQWQFEYTFQLNISYLERNFRECSDLLFYIAILSESSSNYEF IVYPLDGIHSLEYNLPLMQLYFDQIKWRIFKLQPTYFQEEITIEIQADTTLKYLKKCSRE QCFITQLDYKNTQYGIFIEKETYTFRNSVNLFGYYVLGLLSEFKQFFRIIIFNQQSHIKL KEGHYDLFQVDQGSSIYYIYNTSDLTNIKKIKFQLSQITGNCILYASSQNKFPSQRDYEF EGNHQITTNQTSAHFLSVYGISFCKYHINVTVERKQTIQQTQFIQLSNGIFHLHQQQELY SFFKIQLEIQANFSIYLSSNMGDFMMYVKAKSSKAEIPDSNSFEWKDSIQIDINIDKDNQ ASTYYIGVQRLNSEGEFVIEYTLHQKIQYYDFGEKIIDSVNENQVKYYKLPMSERDQLFT KDIYIDNEINNLIIYISLNQTNTYPKENLNTYVFTDSTLTIPQQELRCRSCQDLKKSKCF IYMSVTSAKGLLFYTITSQYLYHKIQLHEGCPLILNFKQQMLFYYILSEKEVSVQWFSYG GSQAELLAYLGYLNNSIYQQEQFRSQQYNSNSYQTIIIPENNQEYILYIQVQPYDKHIED DKYSIGVYETVKTITPIDKIQDKVGLGQIKYYMLKIDQNVKSIIIKVQVLGRQNSIVVLF QQDKNSRPNILNNSIQIQLLIDQFYIFQAKQNYFAQDYYIIGIQGEEDCEFQLSYQAETI EFVLFPSGILPLDISEAGLPTIYLYKQLSAFKITLALFTGRLQIRVQLFHTRIHTLDFTG SFILDDIVQEYKLYKFDACSQEQCQYLIKLINLDQLTQGAVQIQQNQQVNQLYELYENIP QFEVMKQNEIVEYIFKSQKQFFIKFSDIFGQFQVTVKENHNNYSEYVEIVQSRTTIEFNK YADKFETFFIKIECLTPKVSFQILISRKTQKIHTLFLGKILNLQLGMQEQYQLRYQSLST LYDANSSSKLLTLQISTPFFPIEQYNISINHSSVNPIVQSVIKFKRGIYYKLYEAFGKYD LNIAPQPHDSHLRVLLSDDDINSLMEGVPQYQITKVGQPNFYQIFVDSNATLQIEVFTCR GTVLIQGTQHSSNLNKQIFEMQVMSKPQQYFNANLYLEGGIYYFLVKLISSQVKDENQNR ISNFYIRHQIFRRIDPIPYTAFGFGNASLNWNFVSNEVIIEIPNLIKDQQFQIQDKFTIY FIVQTYQQDEMFCIYDSYQNGTTNDQQYLKIVQQSSQEQTTQVKMIADSSKIYLSIVGKV EIDYGIQQYELTYPFPTTELILEDRQIQEGGIQIIYYIIALFILLSIIILILRLLCKKKY IQDNSQQNAKDLNIEMNYQTFNKK >CAK79082 pep:novel supercontig:GCA_000165425.1:CT868319:123112:125232:1 gene:GSPATT00014049001 transcript:CAK79082 MFGQPVSLKFNGHSKHQTEVGGIASIATITVVFLFFSSNILSFINKGELSAIVVNNFEET PEIISLTGERTFAVGFDNITQAQYFNITLQQMYDRPQYLLRTIENQIVTNATLIPIGKCL PEHFQLANGNTLQNYLKDHFFCLEQNQQLYFGQNQSKKYEVHLIISKCQNNQSVCIPDQQ LDGQVFNVQLIFQNQVVNPMDVSEQYIQTYLDDTYSIKFVPNTLSKEQELLITQYDLNND DSLIYQNVHKSQAYAVDSRDSKQIVNIDNDVYASFIFKKNKLKYTVNRSYQKITDLLARL GGFLKISFFILGFVIQIYNRLQLYLILANKIYEFSFDSVKEREFQEKQFEALNSAIQSKM SKTNIQNINEEGSQQKLPISRVMQKSNSIKSKQNSYSLLPFSSQHIPVYSNETNKKQIIT EEECIISANLIDHHIHLAKKFNCLSGLDYFQKQINQIINRSQPLNLTIRIFLNHLCCSKL FNSNINVKLYRKSMKEISNHLDVYYILQKLEELNKLKTILLSPQQLLVFNFTPKQMIAPD KTELKFNRNFLEEKARIRHTTLFSEPQNSITAAVLQHKKRPSQENENLIYQRIYNAYDDI LKQYEGESPKQDQDINKQLIEKLGAELKSIFRASKMIDFQQLQSSKQPRKVNRRHAQIDN EIQVTKMMQQFYQQ >CAK79083 pep:novel supercontig:GCA_000165425.1:CT868319:126356:127459:1 gene:GSPATT00014050001 transcript:CAK79083 MGNNCTCWKYDLQSQIEQEKRSKPKWQSLEFLKSQEHKIVKMQSWIRGWQARQRVWKIQL QKYNDSVNEQLRTYSVSVINVGSKQLPPFDFGIDDINDSLVHQKVLKAPMKLENGATYFG EWINELRHGKGILICDDGSKYEGYFYQGNAHGRGRLIHSNGEIYEGLWENDEAHGLGTYI HEDGATYVGQWEHDLQQGKGSEKWPDGSYFEGAYKQGKKEGLGKFVWADGAIYDGEFRSN NIDGYGKYSWPDGKQYIGYWQNNKKNGKGKYIWPDGKVYDGDFVFDQKHGQGILRFPDGR AYEGEWQQDKQHGRAILKLPNGKTSFGEWKNGIRIN >CAK79084 pep:novel supercontig:GCA_000165425.1:CT868319:127505:128863:-1 gene:GSPATT00014051001 transcript:CAK79084 MITSPNKQFIENKRKKSDIVSLINGLSKEQLMPICIEILQKNPEQRKLEDIQIIQAATKD IEFFQQVYNEQGELMLKECLKRMCIEMFDEDDVVFEQGDKPQSFYIILSGGVSVYILKTP LVEHKELELSKTEKIKRRSFFIKKISMGQSFGELAFLNDNVRSASIICDSECILAVLSKK DYKEVLQKAQENKLRQQIKDFHSCLKQHQISPKLLDILFLAFQSSHYQFRQAVYYQGQLS KQEIYLVQSGEFVICQSNDGFYSPKKQTSQIALLQKGQIFGDLESFNSIDKRQQSVFCNS ENGVALKIQLSCLIQRLQTTNEMHLYDQLKNICLQKEQVRSDRQQKQVSQDENLIIDMKY VRKRDLTYQVKRVRSARRNTFNIRSPLQIVEDVIKNKKLVKTIIDLIFNQEWQAHQGQTF LN >CAK79085 pep:novel supercontig:GCA_000165425.1:CT868319:129185:133150:-1 gene:GSPATT00014052001 transcript:CAK79085 MQQLPSLIRYEEIYDLDVEKLEEIQTNIRSQNTDDVQWNKKAKKILDLIDVELKERLTIQ NFASNIQFQDFIQQPIYQNNALQSILNDIKSRPANYLDQQYSKPPLPRYEQSKQEQQPQQ SKQLYQQSCQKVSQQKSCQSDAMSLSTVTRSSNANTRLQAKKPNQMLEMMKEVLKKTEVT NQQFIIGAAINHRYVPPPPKPQLSTVEKIKQEKLLRKKKKKKTKLENNKSSQDHLPEINL QKNIPKQSTQQRLEASNLHSQTPDIFKSAIENKNISQNEEGYKESQQIKEFHNVKQQTNN NSQVSQKSAKKQQIDYQDKSKLLEENEFLENQQYNTNVNIQQDQVSQNTNSNNDVREYKE LQHKSDPLDIIDDANNDQSQKITFITKNQSQERIKCQQNKSQQQITQIEQNNTTQTLIQT NLPDSSKNSLTQNKEINAQKRTSIQSHQTKKTQEDLDAVINTQKQTQYQIEAQKKKLEEK NSQIFEQNFKPNSEDQIKNNGDSQDYYNEDSFQSDDEVRQKDDGKRIQNQNSNNNQQVIP QTQEQFTKHEQQQMVKKSIKPVQSVQQKDQKLKQNEKINKSVPQKQQIQKIPNTQSNYKV VEQIKGDEEIIEDFIEVESDNEASVPIKHKPLKELVGKVIGRVDQPKYKGVIEIKQKPAL TDQEKLEEINNLCKQMGLDEFKDKQQQQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQKQILKQQDNKTKTIQSKQIQRMLKFIDDKKLVDYSDIVP AFQNLFSGFFNNQSHYNHLEIMKEIHSVFSQHIEKVDNVDSLLGKYKSSSLVINPNELVY INDPQRLIQFSTTTGVDMQQYIQRQMVFNKRLQNDGALSGKDERYFRVVKTKEEVYDIIT RSFMRKQGWSELPHGIGLRTSWNILWTWSKPQIDLNKLFYFQKVNHFPFNKNLGRKDLLK KNIERCQRQGGKAYQTFDIIPATYLLPKEYVQFMEKFYKDSETEGQQNIWIMKPTGKSRG RGITVLNDISEVMYAEPVVLQKYLKNPLLLNGRKFDMRIYVLVTSFNPLEVYLYKEGFAR LTTQQFSLEINDLKNQLIHLTNFAVQKTHVQIQDLEQQLGGCKISLKQLKEKLEAKNINW NQIWEQVQDIILKSLVACQIEIPNNPNSFELFGYDIIIDTNLKCWLLEVNASPSLERDYL LDELIKQQLIDDIFDLLDTPKYDRQRLCEVLERRIQEEQSNKPQNNSKLQLQRDLNYILN GQHIRRYGEMPNQMGGFMRLAPSEKYEKLQSIVQHHKTFNGRGTID >CAK79086 pep:novel supercontig:GCA_000165425.1:CT868319:133549:134806:1 gene:GSPATT00014053001 transcript:CAK79086 MKKLSLLLLALIFVNAIDPSMVQLGAQKLDELNSSNLGKMILELAQTHAEMRGPLDDLVT AIGDLENELTAELQQLDDDYTRSTNQHAATQENLDIQIGQTEINIFNQKDFIDAILIPSI DQTNQKIDRLNGYINDNRDNLSKETLSRQKQHQQFLDRVSEHQSAISAVDEAIQLINALI NGNISFAEKGTINQAIERINTKTQKTNTVHPIVEALMSLTQNFSDQEQAKKIRDLLSDTR NQLVASLNQETADENQIEQTWVERQKTLNTEYQEFKRSVLEATYVLATYQSKLKSTREAL AENENDLQSYKDSLQQDKDAQAQETQIYNELKSQYQIQLQTTRNAKEFVNSAEFSNVIRQ KLNQGGLI >CAK79087 pep:novel supercontig:GCA_000165425.1:CT868319:134919:138001:-1 gene:GSPATT00014054001 transcript:CAK79087 MKGTDMLFSALSSQPENLQMELQAEQQNEYEREYLEADLGSRVRTRNPSSNNFTHKSTIQ IDTHRNCEPLIIVKEESNKIAKKTTGVNFMKYFYLKRFIQRIRNNRTKLTNVNENHIKLV NDNSSDSQVMMSFLKFNSHPKFQIRNVTMLFRHNTISKEENRFKIYLKEKSQQFRKYIHE LVSKIPQIHPETPKKIVWDYWTIVFRLILLILIPLEISYNPKILFDQLVGLTITIIIILI IDNILRLNTIFYQHGHAVFDRWKIIQQNLRFQFISDIALILLLIYFIQFDGNQFQYLVLL ISLTQHYQINITLEKSEESSYFTKKQKAFISLFKLLIYLIYVLHLFACIWFYNSSINTQN SWIIFKELDHQTWQVQYLEAFYFAIVTMLTIGYGDNVPKSWNEKIIAIFFILSACLWFSY SINKIGTIIKEINLNLVERSKKIRVINRYMHQRNIPYSLQYKIREYLTFRWKEESEIDLQ QEETLLNELSEELKQELKKQANNVFFKHCDFLFKNFSLELQNSLSPYIKRKIIQPQNSFS IYTLSDTYQPHLCFVEQGQLQYQNFLKVSLKSVQSQGQFVDASEFIEENDNVQTFKAIGY VSLLVLSKTDFMNIIRQYPKDYQKYCHIKDQYILSVRQKHLPYSQFCIACGNNTHGLKEC SNLSITLDRELIIKRHQYTIEQKRQSHTRSNKRGKASFSTLCDREIIEQFVIYFQNEQPK LVQIQLGKQLAQEQEGEEVKSELNDQSQLTKNFKFRRQDSVPVPIPRYSQQSCECLSMNK QLCLNDSVISSENNSIQNLKLSTRQQQMLNTNKFMTAKLSKYKKLYQQQVSKVNQNDSLE SFKFDLQEYYFQNLEQLYNRIFNDENNVTSKQDQSVQQLQILYYQQKAQQEIEQFEIVKN FTFYMTQNNIENIVQSLEQKQHLLLKNPITQLIKFMYFPYEFIMKFQRIKTQKLKLLNQK RKRSFQNMKKKQSKINDLVRKWETPRKSYKFSVIIPVQNEGDVQ >CAK79088 pep:novel supercontig:GCA_000165425.1:CT868319:138025:138454:1 gene:GSPATT00014055001 transcript:CAK79088 MQISPFSSFYYTSLTPRSFQIYPLKFIQLQLNVPKLGNLLNCSYLSFDLRMRKQCFQKDR VKQQRNKTNYYYF >CAK79089 pep:novel supercontig:GCA_000165425.1:CT868319:138569:139815:1 gene:GSPATT00014056001 transcript:CAK79089 MLNQIKDKPLSQLIAELQQKYQEDNDQSNIFQQIYQKQLEQESSTDSSHFLPALLSGSTG SQSSNSQEDNQEQACSKVQKNIKKEKKNKKTGKVSQDDKQEFTQIPDKKAMQMIRNRISA QNSRDRKKAYLQKLEEDFVNQSAQLSEMHGQVIQLQQQLEEAQKINQQLQQQYTFLTCLN CGSKHFGYDDENPISVSKNKSLGKLGLSFLFIIAIIGCIAIDLNPQTQKLNTIQSYQLHG NQIRNLNASDDQFRLFDHVSQSRIKGMTDIMEYNSEYNYKFFDTTYRAILNSEESLVNGL INFNKERAKTNNGKALAPLNYHQPQVDSLFCPSIYKYENQTQGIRVHYQDNQWLHLIIPK NKVNIFYQTTDNSIVLKESFADSKTEHRQVYQEIWCQIKSVSDFYI >CAK79090 pep:novel supercontig:GCA_000165425.1:CT868319:139913:140597:-1 gene:GSPATT00014057001 transcript:CAK79090 MLQVVGQKQARIKIIREKFVNAISKVRYYVQKSQKFKNISVDDPDYRIIHSLINSRVVNS TWSKQISKKFGRKLLSNFVKVCDDEENIKSFILKSKQESIDRIMRKKLVHIIRNITKVQK KEKIDINKIDLFYGRFHQQIYEEIKNEKLEERKKQKQKLESIKQESKIRTQILAMNSNFR QLEKLQTSLSMAIFEINQNEFEIDGLISELKQQLLLVKI >CAK79091 pep:novel supercontig:GCA_000165425.1:CT868319:140698:142539:-1 gene:GSPATT00014058001 transcript:CAK79091 MKIHPFKHKEDFETLHTWSSQRQITEMGSQVVLTHGNSGFQQPIKNSKRKYRAKTVKNFI QMASTTTISPTNSQRIDKKLQFSKLVRKIINAQHFIEELRNYADQLRSQNVRYAYKRRNL LPLFPDDIPYILWGLIINLCTDFAAILFPLQIAFDFEGVGSQLTLIIQIIFWLDLIMNFL ICHVNKQLDLIYNFHDIAYHYVGSWFIFDLISVIPDFGITGLKILKLIRLLRFFLFERRV AYNQSLDLLKQQKAIKDELVVRNEYNFDLRLKKLMKIFLEMIVLNHLFACLWLWVCRFNQ DTNWLDYYKINEKDQFTQLVCAFYWAYQTITVIGYGDIEAHNSDEYLLVIIWMLIGVGYY SFTIGNITFILIQSNPNQEFDDYLFNLEDISSNMPEWIQDDLFRFTKYNIQNNPFWADDA KRILFELPHPLILNMMASVHKDIFRTIPFISNDINFSAAILPYCTLGCYKQFETIYHIGQ NANDFYFLIKGDVRLCDASGESIIRVMEGTCFGEIESIEYTQRCWSALALQESIVLMCSA HFFSQYLQNESPQFFELQQMYKRRKIILFQQAKLKRCKFSLIKRKIKQNQKRTCSQ >CAK79092 pep:novel supercontig:GCA_000165425.1:CT868319:143829:147042:1 gene:GSPATT00014059001 transcript:CAK79092 MSKIEQQHIQNFDLALELRAVQNEDLEPQYLEHCLRDTRRQLSITNNQIQSQTKSEKSND AYDFRTPKNTTHLNLNNLPSNDAQQIHLLTPNSKNQEKQKNTGSSIMQFFFIRRFLEKLT FQRKKLENLNDTHLNLIDDKASDKAILQEYKQTKVQKGLTINQLNRIVATKIEIKENRWD KFKSALQEQKQNAIKQITLIANKIPLIQPESDFKLYWDIFASLFRIILVILAPLEISFTT KILFQNYLGLTITIFLILQLDLFVRINTLCYENGKAITDRWEIIKRQLNKSWFTDFSVII LIVAFMANPHAQDGYDLFLLILLTQYKHIFEGISKIDQISYFTRPQRGIIGLIKFLTSLL YILHLFSCIWFWFSSLDQSNSWILNKELNGQTWQLQYLESIYFAIVTMLTIGYGDNVPKN SIEKIVAIIFILGACLWFSYSVNFIGAIMNDITQNQVERSQKLRVINKYMTQRQIPFSLQ HQVQEYLTYRWKEDDEVDLEMEQLLLEQLSDELKEELEKQANKVFIKKSELLQKYFSAEF RNALFKSIKRKIIQPQNIFSTEMNGHQHLCYVEQGAILYQHKDRKQRPKMNAQILQGQFF CVKEFIMQNPEYELFKAQSYVSLLMLSKMDFLETLKDFPNDFQKYCQLRDTYSLSNEELL IQYANFCPACNNFDHQLKSCGQVQLKLNQEVILKKHIMNQEQIRQKFKRKTLDKPIQTRA ELAFVQECASYFSNENQNFINEQTKLQLVYEADNDSIQGNSETHNVYDALPSVNIIQFND MLASNNKSTQIKTLPRLEFEEEKPKVKEDLTKSNVLSPQYARIQRRTSQPSKQLQRKNTR SHSILTQMIEIAEEPHETTVLIHEDEQLKKDQFFSQNMKENIRCLYNKLLRTQDDKDNSV KQGLHSLQYIYWQQNAITLEDFETVQNYDFYYPQSNVHYIIDAVNKNLHQWQFEILNKYQ KYLYHPFLFITKFLKLKRLQQQTGGSNLVTKFKKAQKKIWLIQLRNNLSSKKIISSTKIS KSKLSSIIPCSQDSLPSIQMSSN >CAK79093 pep:novel supercontig:GCA_000165425.1:CT868319:147058:148186:-1 gene:GSPATT00014060001 transcript:CAK79093 MIQKITKIFKSQSDFTKKLEQCSLTKDNFVFRQEDIDLILQYMIDEKYIREFFDVYIAQE MQTYTIKMKMLIIIHQIINLSEEFSSIFNSIRFNHFNKLKHCNRNNEAWLLQSIQIPFLQ YLQKLSINIKDIKSYRTCLFRKQILNTNLVIDSFKLINIVNQALSLVPNLKIALTNYPYD FLLKRAAFNIYLEIHTFQRQLINSLSALLNENSQAKEVEIYEFLKEVQAIEQKMMFFYQF HKKFDPSQSLIPPLKLKIDVESARCIEKSAFQEREACEQLRQAKTKREISSSSPQIDYQL SCERRRRGPQFQHLVLQMNSQSNQAIVWDQTHTFQEAVDTHNDEKPTKEEQISEHIKK >CAK79094 pep:novel supercontig:GCA_000165425.1:CT868319:148202:148657:-1 gene:GSPATT00014061001 transcript:CAK79094 MLQNYYYLQLRLEKLIEISQEAQIFIRRVILIAKLRTQILVTTIISQLGLNQKTIRNLEQ RIEKKQNIQIKQGLKYYYGQLLKQSMCLILFPNRFKYINEGLSPILIKINCRITIQIARS KIINLLENYLNFLKCCNKNKKFF >CAK79095 pep:novel supercontig:GCA_000165425.1:CT868319:148684:150868:1 gene:GSPATT00014062001 transcript:CAK79095 MVISINNAVNRFLLGIDIFGQSFTINVNGKRNYTTACGGITSVLVIAIVIMSSWNTIINF FWKSNLQVSISSEHNMDSPIIKLDSDNFMLTMGLKQLDNTQLQYFQIEIEQRLYNNNSEQ ISYIELEKCSNERLLQLQENQTINMAQVLPQEFQGSLLCLKNNQTLHFHQSGPNQLEFLR INIKKCKNFTNSQLRCASEDEINQFVQKNRQFTLQLYFANYILNPHNTGENYVQQFLDDS VTISFIPQKLLRSVTLLLKKYNLMNDDSVMPSNEKSEVNLISSQDKIEIVDLGRDDDEVY GYIDIKQDIFTTTIKRSCLKLHTLLSYIGGLLQALSLVLGSLIIQYNKLQMSLDLANQIF QFEKNSEVKIEQIKQLKEINNVIEQQKEQSRTEKSPQSQRQLHKSQVDSPYCGREVNKLF FNILRSQFEFQTNSERKIQLNQRNRIISKQFNFEKNNTKWVQKFGYTSSKDYFRKSIIGI LTRYSPLILNFKFLINQITFGKLFQCNDSKLLEIALKKINMLSDSKYLFEKLTEIDKLKQ ILLTKDQLAIFNFTSKPIISLKIKNRRASKNSLYKQYNQYIQNKQNLIDDIVYNYEQVLS VYEKIESTKNMYPDNHYQTHIIRRLIKQIDPELLTIYRLQKYIESQEVIEQLSEVEQQQN SVNCLISDEV >CAK79096 pep:novel supercontig:GCA_000165425.1:CT868319:150922:151750:1 gene:GSPATT00014063001 transcript:CAK79096 MDNYFTIISLLGLRNQNLPPFREARLKRYRSIKKMVELIETAGWTQPKVPFNAFCLSSQD PEWEDDMTYPVIEYNKFGYQAFAFGMNLFLYAYNYNVITQNIRFRTFRYLFPVVQCFIFG RIYFEYKSELTKVNLFDEYVQLRAQELVKENEFLLEHEDIKRFVWWYEDYKETLCRVHRQ ANDHAATDFKDSELILQDFIRRYTNPNSARPLNIQEKGVLF >CAK79097 pep:novel supercontig:GCA_000165425.1:CT868319:151750:152797:1 gene:GSPATT00014064001 transcript:CAK79097 MLAIQLFILTLSTLFGLSFQSQQCPNIIYDTVKLFIFCTFLIENYCILIGLFLFIWLREL IKKFDHEKKLELPHIQQNNIQSQKAKQLSFSVEAIESAVEAVQIYDHVTKESQYAILQRA DKSHLAQLGITLKNTVLLEKGRVVDSNQNSKLISQLDNQKRGNGAQQISIKYEDNSEYLK NQNRILKSIPFKIGDFDKQMGEIIQAEIMSNEQLDNQVSRHQAQEQHHALQQTIYESILL IILYAGGISQVKNIYLQIFDSLLFGVVLHSGVQKQQLFTVTHLLLNVCIISGCCSLYILG IYFKFQIFIIGGLVLSLQKVNANLVMMIIPLTTLLKIFII >CAK79098 pep:novel supercontig:GCA_000165425.1:CT868319:152921:153568:-1 gene:GSPATT00014065001 transcript:CAK79098 MSKHRRTKSSGNYFQINHEFLVKDDDFEYNETKLIIQKIQQMATQTQQIVEKLDENTQGM YNNLFNFGKQQSKEKADLNLSQISECSSTHSAEYPSLKIRNSSIQSPIRMHTQNSQSLQQ TIQYLEQEIEQMKIKQDGMHTVNMFLLQETQKNRQELLRSQKVRLNYKQKNEERIKQLIK KIDKDQSNANGTSDGLD >CAK79099 pep:novel supercontig:GCA_000165425.1:CT868319:153630:154120:-1 gene:GSPATT00014066001 transcript:CAK79099 MAEVEQLSEELTTLKRVIQTSLHRGQLSKGVHEVCKAIESKQAKFVVLADDCSEETYKKL VIALAKQFQIPVWRVEKGALLGEWIGISKFLTKTKKIKSRKCSSLAVKDFAIEVSEAEKQ FIEDKIKGL >CAK79100 pep:novel supercontig:GCA_000165425.1:CT868319:154218:155620:-1 gene:GSPATT00014067001 transcript:CAK79100 MRQVDPNTALQKSEVWEIESQKDGQCKQIEIPRFVIVEGLPQASHKIPLTYIHTNIVYPD ENEYSCDEEDQALGADPLAIQQLDIRNNLSNTRNYVRQYELLNSINYPPEYYNAKVERLQ RPLLRVFMRAQENDYRMNMAFRPSRAYKQKRLKRLNIQLPEFQVGRQIIYNENLVALHLI SQIKQRELLKLKLIKQDEEEFTSTFSNCSDIFNTLKDLRVALKEEEPLDNKDHRSKIFDS CQDPECAYQFNPPLISQTVIEFMDDQYQSIKEKQVLWPKHPTILSDWNLDNYSKIGVYQS NKQLVYSTFDRTLLIQDRTKKNKKKVKLMLIDRNRASVFDGVRADYEHFYSHYQRQLNKM ETTIEQQRKEVQKLKLEQAKKESMLKMQQKKEEQKKLLKIRLKVNYVEEPKIVKTVKIKH SDELKFLLVKHDSTSIQLKQENCQIILQ >CAK79101 pep:novel supercontig:GCA_000165425.1:CT868319:156438:157875:1 gene:GSPATT00014068001 transcript:CAK79101 MSHQRRREQFEKISNSLKEDDRKRREELEREFLKCQKRGQMHTNSMMNWINKHQNKADPK AKEMYRYLPEERRKHIELTLLFEKFDNDGSKALDFIEVFRMFTKYGIFITKKELLDFFKV VDQNKDFSLNLQEFKACYLDSAARDIFAKIMKQLIERTQLTEDEINAALNEMNELNNQNS NQNQNPIPALPKSFQGVISLLTYLNTRDEIKDKLTSKQVNIYEQINNLKQLIELSNKIDV VQKVEIERKIKIKKSSEDRLNKFKNQLKQIKDRAIQTGQMMAEEELRQKNSAFLITVQPQ RKYKYSYKSENKFKRMAPNKQEELHLPSYSDQKVNQFYEYMNINIPDQLKNINNRIWTKL FENNQQLDIILQKNRKNQLESQRTDRLKQKIKQTLDNLQANFESDFDSEANRKPTLESPQ EKQSAKSPNFFTSRNLMSQTSEDFRTARTQRMPPGSSKLSFH >CAK79102 pep:novel supercontig:GCA_000165425.1:CT868319:158129:158953:-1 gene:GSPATT00014069001 transcript:CAK79102 MSLSIFSAKTSYYKNGIWWFTKMAARVVGEERWDALRMARRVYGNKFYYGRQQVLFDVFY DWPAFANMIGIYPKVDTSHGFLHWATYEAYRDWQEHTLNSDGAFAMWVYLICGVYIAHYY YSYVIPYYWTNLFPAKNEEFVRLRMKDALASTVQEELFGNQFAEFGWTPHDFHYNRQRCM AGYSHPDDPRTMHMASFNRKHKYKEHYMKTVGVQSRMTGL >CAK79103 pep:novel supercontig:GCA_000165425.1:CT868319:159521:159909:-1 gene:GSPATT00014070001 transcript:CAK79103 MLIHHNDRNMFPAKNEEFVRLRMKNAIATTVLEEQFAKKNAEFALAPHDFHYNRQRCMAG YVHPDDQRTMHMASFNRKHKYKEHYLMRIGESRRMTTVLLNNHASSQICTNYYFYYNSLK >CAK79104 pep:novel supercontig:GCA_000165425.1:CT868319:160171:160848:-1 gene:GSPATT00014071001 transcript:CAK79104 MLPFQMKFLKQFDIIIYLKWCAILYQIIWCCISIYYQFKQIWKLQFLMIPKLVFLKIILI QQAIQTKFLIIQFIIQFYLKSKFKLVLLLFQNLFKQILCLIKTVLIFFFQISHTQDCALF LRIIFEQIKRGQKLDLRENLKMDYRIVRRIMQGTDFFEGVKQVLVEKNHVSSWSFKDALT IPQSEVDKYFAFLVIFEKLFINQYRQIYKNCTFQGG >CAK79105 pep:novel supercontig:GCA_000165425.1:CT868319:161442:163615:1 gene:GSPATT00014072001 transcript:CAK79105 MSKYLDKYVEYETIGRGSYGSAHLVRSKSDQQVYVAKKIQLFNLKSKEQDDSKREVMLLQ KLKHPHIVQYTESFNENDTLIIIMEYCEGKGDLSFHINRMSQRKEYFPEQIILNWFLQCA LALKYIHEQKILHRDIKSQNIFLSSNGFVKIGDFGISRVLEHTQDQANTVVGTPYYMSPE VCENKPYTYKSDVWSLGCVLYELCNLSHAFKSNNLLGLVNRIVKEQASAIPSHYSKELAD LINKLLIKNADQRPHTSEIFNFPLIRNTMQQFVAMQGKVQYQAPIKRTNTHNQIGKMIKQ EETTQQNTTNPYDNTIDTVQSIDFSQLTPQQRLQMKKEEKIQREQRELAQASKQSFLQQQ ASQQRKIQDLQGGQSLDYNQKRKQQQQYHEELQRQQQFKESQANTKKPQQQVQPNKSNMY NYDETLVSQYEKTSQMLQNESKKYNETIQNDSKKQNETFKKELEQFDKTVDSEMCQSTYD NTTKQQEKTVTKSQLDRFTTNYQKQEFSVTQQYQDEEFEEYNSDEDLEVINHRLTVKQGD SEIEEVLKLYQIQMDQTVKKSSSNKLEDIQETSQESWNSSSASPQKVPINNDDRIQILRK KAIATMGSDLFNKAYQFMSHHLSKGTGSAEIRKNLEDFVGKSRMGDCMLIDEILYYENYS K >CAK79106 pep:novel supercontig:GCA_000165425.1:CT868319:165206:166970:1 gene:GSPATT00014073001 transcript:CAK79106 MKLSLSTEWLFFHLWKKNPDTNSSCPGVFVADTIIYRWAQPYFWYFTAKDGQILRKTKER IFVEQIEEIFQKSGDISALYLQSQQNKIIFEYFEKGQFVQFLHQREKALNALLQKFVEPK SSRNSMIKVSWSPQFCLLSRKTNNNDLKNNKITLEDRLVTFEGPEHLSTTDSIASPILSA DIEQICLNIVKHIQDVSGGNIQISRMVLFFKIDEKNRLWLLFSSGVKVRQKFINEETVSD FPKQKERIQSPIMLLQTQLKIGQVSKFSVDTQGFVKQTGSSCNNCSLQGEMYELTVQQYI ASYDQGIFDEETLIIRQKVAKNPVKKSDNEEDYYVEGSLNIPGLLLKIWGKMDIEKYKNL RHNMSFMNLKLQLCIDCYLKFTRIHVESKSEREAKQTVVSMPPLWQPSKTKIQNNQETLT LVSSTKQHKMYHTPAKQVQQPSQQQQKQQSQYQSQQQSQQSQQQQQQSQFTIQQQQQPQS SVVIPKLNLASKEISERVIATTHHTDRQSNFPSKRVAKIYNNLQGVSLPHSQSSLNTTRS TITHSQRIVSIQQLKEILQTEQL >CAK79107 pep:novel supercontig:GCA_000165425.1:CT868319:167026:168609:-1 gene:GSPATT00014074001 transcript:CAK79107 MSQTKRFQEQKVMETPGPGAYESASQKKIAQQQYTSQNYIDNLMKLNKYQSIPSIPTSSQ VYGYTDKGPHDLELNKSPNPIFTGLKSDTVGPGQYQLKDTFDNNKNKGPSWHKSRVPKLA PPSRELIVGPGAYDHENSIIPLYKLNPSGNFLSKSQRMFDQQKGQKTREFMRAQFENQKK KLMQNPVFADIEDEDVEFYDMQNATPGPGYYIGNQTTFSTASTYSQSMPKAQSGFGSKQK RFNEIQQQRQIQMGPGDYRIETNLVKNNMAMKNIPFLSSNTRFESRALEKKPGPQSYNPK ITLEDKLIKKLERAPVGKFGSNQPRFDDPENEQPGPGTYEPNFQDPAKSAACVFKSQTKR SVIGGKDNMPAPGAYDVKNYTIENTTKVEKEEDKDLIINKPGFGSSLPRFQEKPKKGIDE EDEEEDVQLKHNASDLFQKKKKEHPAFNCQENRFQYEQKKNNLPGPGEYFDQKQNPWDKK TFNILFSEI >CAK79108 pep:novel supercontig:GCA_000165425.1:CT868319:168650:168919:-1 gene:GSPATT00014075001 transcript:CAK79108 MAFVFQAERDINNASGALPANVGPGSYIEHRKYEPRPHAAPFNTQVARSKPLKKSNSPGP GSYNVEFVTEGQRVVLQSASV >CAK79109 pep:novel supercontig:GCA_000165425.1:CT868319:169013:171245:-1 gene:GSPATT00014076001 transcript:CAK79109 MKVVQRFVKSCDIFGQKVDFNYKKQEAYKTGFGGCASLIIIVILIVFFQSNVLSFFAKIN IFVSQTTEFEDFPDQIILNEENYMIALQIEQKNFTINPYFNITAVQQNSTRLEDGTMLKT TTEINLIPCSLDRFQKVFSKFNIDFKSQYYSLGLSDYLCPDLNYSMKLTGRFANREFNYI KITVSKCNNDTSNNSIYTWKPVCKSEESIQSYLQTTSAFKVSLYMTNLIVNPSSPQNFIS AYLDDELYFTFTPKLLQRQGNIFWRKYNFQTDESLTPFKSVKNETFYARTATDFRDLTLL GTDTDVIYAQFYLRRSTFTENILRNYQKVDDLMSYLGGFLQIMIVFFGFFIQVYNKQSQL VDLSNELFDFEVEDENKKPLLSNPDDTEQQILHQNQSQSMVSLGFELSNQGGKDQIINSA QQQIRNSTQELFLSNLNLKNYQQQSQKKFYYKDQLEQKHKDELEKNRQKTGKQYFYEQIQ KLFDKQKKIEFTLKYLIKRVFCQKALKSQDERLLNKAVNQVNSELDLLVMLNKIQELDKL KDLLLKKPQQIIFNFTPKPLIALKEQKIFPNRQLIHQSSMQKSLNLVNDMESSLQVGQDN GNMDQLKTLQNDSFNNSPQRMYSKLFKAYDKISVDLDKQTEENRINVQLMKSLSPKIQNI FEVTQLLESQEKIYKQNELIQISNLFKK >CAK79110 pep:novel supercontig:GCA_000165425.1:CT868319:171516:172448:1 gene:GSPATT00014077001 transcript:CAK79110 MDLEKIAIVQQIFSSLFAAITAMLIKLVQELDFNQTLYLRALCSFVLLVFAVNHYKLQAY NFDKQTMVSLIQRGLLAGFGAFIYFKGLDLVLVSESIILNRMSPLWTSFIQIVVLKKEQF NLRLLLNMVLCSLGIYLVTGNTRNQKQHDEIDNQFYHIIGILLILLASILQAIVNILIKQ LDKQVVSIVISCYQSFFAIIFPSCNSLITGSNYIIPSMNTTLMIIVLTVVSLLAGQLQII AMRQGKLSVISNVSQIQILFGYLIDFLVFKITFTGEQILGNFLLLLSIIPLIWK >CAK79111 pep:novel supercontig:GCA_000165425.1:CT868319:172689:175109:-1 gene:GSPATT00014078001 transcript:CAK79111 MQVVQEFLKRCDIFGQGVNFNYKKEDTYRTGFGGLASLVIIVVLVVFFQSNVITFFAKTQ IFVAQKTDFQEDPDLIELNDENFMIAFQIEQTNFTTNPYFNITVLQQKSTRLDNGTVIKI AQEINLIPCTLDRFQKVFTKYNVDFKAQFDQVGLKNFLCPDYNYSLNLAGRYASHEFDYI KIIVTDCNNNTSQNSRLTWKPNCQTKEAISSFLSKNSAFKVSLYMTNLVMNPTNPKDYAT AFLDDELYFTFTPKQLSRQANIFWRKYNFQTDDSLTPFQAIVNETYNVRSATDYRDLTLL GTAADSTYAQFYMRRSTYSENILRNYQKVDDLMSYLGGFLQIMIVFFGFFIQVYNKQSFD LSNELFDFDLDDNSQSKLNRNDLISNADDFDFQAQAQDSNQQQSMMSLGQVQQSARFDKD QTNMNKSQKSIKNPSKGISIKQLNSVQEIIPLSQLSINNIKLLEKHQTSLDKIGSKNGRQ YFFEQIQRIFAKTRKLEFTFRFFFQKVFCERMMKNPQVLLLNKAVNQINAELDVFGILDK LHEIDKLKELLLKKPQQIIFNFTPKPLITLQESKSLPSRQRAQTLKSSKHSRSMNNINKV ESSMKIQLDQVSKQVIENKENFLDPDSPQYLYSKLFKAYERLMALIENQDEDAYLNNQLI KKLGPQIQNIFEVSWLLIQQTKTSKEIDVNDRAKRLISSKFSKFKNPVQQDKESDGEVDL QDIEMQLSPKTSQNQSILKRVIGKRNSNEF >CAK79112 pep:novel supercontig:GCA_000165425.1:CT868319:175783:177358:1 gene:GSPATT00014079001 transcript:CAK79112 MGEEFGFDKERFVNYNQDIFDCPICSCVARLPKDCSACGSVFCGPCVDSWLKKQQECINR CPKNSVIQGIQKSLKKIYDDLEIRCQFCTKPFKIAEIDKHEMNCQMPKCTNYDVCGNNLG TNEFEKQKVCDQACLLLSRIKQTKNKQDLYSCLKQYVKDKKPIIQISPYNFNIPAVQNQE YPVFKWDRQRMGTGITFSDGDTKIFLKEQAYMFRTALATYGFEKGIGYWEIEADEKTENE LKIGVSTQREFNYNTAFCDFEFGWAYYGLAQLRHNSNATGPSFGRRFKKDGILGVCLNMN TGTLKFSLNGDLMGTAYTDEKLKHGPIYPAVSLLHCAGCKLITGKPLPTIFQI >CAK79113 pep:novel supercontig:GCA_000165425.1:CT868319:177358:179481:-1 gene:GSPATT00014080001 transcript:CAK79113 MIYFYSQSLSSFFLKEYLKVVSSNEYEDQIQQIQVDNSEFMFAFKIEQNNFTASPYFNLT LEQKQYRRNQNGQQTKSSFNLPLIPCTIDRFQSIFSKYQNDFEEQFKILGINDFLCPQQN YIQLIDKTQPYLWEEHTQAASFNFLHCKLQNVKIMKKWIVHHKKKQMNIQKQWDHLKFQS ILLTKQQIHINNNIDLSFQMIKFILHSFLIKQIDKPTFTLGNMSLQMIKVQCLLVTSNKI LFFKLIKLILKKCRILVHQAIQYLQHLIFNLIHLKQNLQEIIKKLMSCFQIQVEFNKHFS FLLAQPQVFTTESNVNEKTIIVLIELANKIFEFQIDSTLLNRQHQENLELIDEFMQHREN HIHANSDENINEQDNQQMEEKLSPNISQRYNLLNLFVNTGGTYTKNTTKSKSTREAYRQQ YRMAEKLKQMTGLDYFQKQIINIIERQKPIYLDFIIFCNYISCGKLFKNNPRVILMNKAF ENILDQLDVHHILLKLNELDKLKETVFNYKQLLMFNFTPKPQINLENNTAQPSRQLIEQI VKSPGITEKEDSLQLVNQKQWSRNYFSLLGDHLIYSKIFNAYDDILQSTDTVYTNKALIQ KLGPELQIVFKLSKLIDIQHKIYNRQRNPTRRGAMQEDEEDLCVKMFDQQSQ >CAK79114 pep:novel supercontig:GCA_000165425.1:CT868319:179659:182017:-1 gene:GSPATT00014081001 transcript:CAK79114 MQRINSCNCFYDYYLDLKACDIFAQSPSLGMNQQSIYQSIFGGFTSITTIFLVFLFFSSN FLNYLEGKNIITKQTQVYDNDLSNLQLDDQDFIIAFGIEQQNFLTQPYFSVTLQQREYER LDNGSIQKNVTYLPLEPCKLERFQTIFLKYGKNFSEDFTHLQLENLLCPKNNIRMNIGGT FASNYFNFLKIEVSACNPSQILENSTCAPNDELQSQLDLSGAFKVQVYLINKVINPNKIG SDYISIFLDDQSYLSFVPKKLNKYANIYFREYKFRNNLDFYSLNYNENFNFISIDNTETK EVIDLGRDQDTTFASFYFRKSPITISVERNNQSVTDLLSKLGGLLQISLIVMGFIIAAYN KQKMMVELSNKIYEFSSDGDEQNKQHQLNLELINNVYEDRQFRHEYYVNKEGQQQIIPSS QIKHQSKIFKLFGKGQPEQHIVLTDRPTTENNECNNQFSYENDDKSNQFTQQAIKDHGVL AQQLKCVSGLDYFKKQINLILNRSQPLRFNITIFLNQICFRKVFQNSKSVQFYNSALDKI NEQLDVFNIITRLNEIDKMKEILLSNSQQLLFNFTSKPIISLEEDKEMPFNRTILEERVR NTMNETICDDKKKQNLVKRKPIHKQFFRAVSLDKDMRIYNRIYRAYDAVLQQSSELNPQH DVNKKLIKKLGDEIQAIFKLSKLLDFDGLRRLRANSNNDIFCRNTQNLPDEMLSN >CAK79115 pep:novel supercontig:GCA_000165425.1:CT868319:182262:182979:-1 gene:GSPATT00014082001 transcript:CAK79115 MIVLKYHQCIDPKGINHLWRSHPDDLRYIFSHGLLFAINDTYKKLINSYLKDDKQSKNAF IAGGLAGMTHLFLNYPFLVARLKLKDPEYKRISLFENTFATQFIRIIKTEGILTLYRGFP LSLLSTAIYRAIYFGGYNHFQKYLQVIPFFNNIITKIALSFVITTIAEIVSYPLEQLTPK KTRTYYNEMTEIIGKYRTMRGCLAIVFYGELFSIYDKVMASFILDRDELNL >CAK79116 pep:novel supercontig:GCA_000165425.1:CT868319:183583:184952:1 gene:GSPATT00014083001 transcript:CAK79116 MKKQDWKFMQVFGDKASSDNVSDEDIISAVQFERTGRFLGLGDRAGRLIVFEVPQTKKKD KAEYQYLTELQSHTREFDFLKSTDIEEKINQVQWLRGQGKNMYVLTTNDKTVKLWKISEK NVTKVIKPSGKDLAMPKLQVVESGLIPSVRKVFPNLHNYHINSLTASNNEEFVLTSDDLK VYLWSVEQPSKAFVAVDLKPENLDELSEVITSSTFHPTLDNQFLYTTSKGIIKLCDMRKS GICDNTAITMAEPEDPAKKNFFTEIVTSISDACFSRNGKYIFSRDFLTVKVWDIAMANKP VATVQVFEPLKSKLCDLYENECIFDKFSIASTLDSNSFITGNFNSTFHVVDRMGECNQQY ELNFNKKTIVRQIPPKYFENLGSSYDFNRKVQKLSMCQTQNLVAVACLNCLYFYTA >CAK79117 pep:novel supercontig:GCA_000165425.1:CT868319:185383:185734:-1 gene:GSPATT00014084001 transcript:CAK79117 MDQDLLRPQYESEKRKHKLKRLIQAPNSYFMDVKCPQCQANNTVFSHARGIITCIKCSSQ LGRSTGGKLQLVLGAKYKTKK >CAK79118 pep:novel supercontig:GCA_000165425.1:CT868319:185868:187483:-1 gene:GSPATT00014085001 transcript:CAK79118 MQQNAEEEDVFYDEEFIHIMQKVIGECDGFEELKKYIAINKPSFNLINTQFQKVLDQNNK EKFEFNLQKIFEELLKNNVQRVFIRVLFQYLKKNKDQMNLKQLSNSGIAKNWKNLQVQEL QEFIELFELKAQITDENLFQHFQDFVNKKKFQDAFQFYQALQLPQKCFDTLIEQMGNNHD AGRAADFIMKLDYNPANYPKIVERLEKSCTRYLQSEYPWFKCEEMLMFSKSLLVYYCEDA YFHGKKNEALSIIKRNNLINEIKKPNLKEEMEKDLKGQFEEIPNVLFLKDEFKPAEEFIS DEIGVYLHCKDFGYPENHIVIVDKIDQNYLDAWKCINQSNAVGYDCEHITPWTKLDYQGF KVCLVQIATSNHVFLFDYQKLKEFQEFKNDVSQLLENVEILKIGLSLKDDLKHTVNYLKL NNIIIRSIIELSTCFKVLEGDPKLRSLAYISEFYFKKKLSKYDTCSNWEYRPLRKAQIHY AALDAIASLQVFQTMKEKNNQIIEQEKDVLSIG >CAK79119 pep:novel supercontig:GCA_000165425.1:CT868319:187605:188564:-1 gene:GSPATT00014086001 transcript:CAK79119 MNKPIYREYSNLKGDDGDPNNTKLVQINDDNSHTYVENSIKQTFNEYNKYQEPNIFSPSN SNCEKKELKTDTQRELKAPRLIIQNITDSDKLIEITTTDHVEKEDPVKSLKGMLGLETVE YQKKRIKFRNPTYEFKVRQKKILNWKSEAMNRWKINLIIILFVVRLEKNLNHKNQIKHQT QTTIQESENRVKKICISVLKLMFGLFLAMLISLMLLPFILISDLFLRIYTYNSLYVKYAW SYDNKCYRVILLLQLYMYALAIVIAVITNLYQSLITTVELIYNIVGTIQNNISNLSRLLF STINTTFSKQK >CAK79120 pep:novel supercontig:GCA_000165425.1:CT868319:188610:189021:-1 gene:GSPATT00014087001 transcript:CAK79120 MDPHQQCKYIQEISFQENDQLRLRVSDLEARNAELQDEVETINDLLEQEKAVGQSKEKAL QALQVNNQELNYRIGELQNKIVEKDTKIQELQNVLKQREDQISKVNGEQRKNGNKSKTQR RQQSNPKKQ >CAK79121 pep:novel supercontig:GCA_000165425.1:CT868319:189199:191838:-1 gene:GSPATT00014088001 transcript:CAK79121 MNQYERVFKESWIMIIITLLIMFQSIFGDGSLIITIIILGIQILCVMMGFISQTQKYSIH FEMFQQWTLLFALYISSKSNAMSILALCSLKEFFICKINTQLPSLNKYQIIHQIGSDLLL FIIILLEDYNQVYLSATTIMVHWLILFHISKAKLVTKHTISSTQQEINDKIMIDSVGNTQ NHSRLKFKEKPSHNESPTIERDNRLEILSIFPQGIGLIKITDKKIELEYHNENLLKLSMA EQSDQILSSLFCLEQQSIQEDQQKQQNDYKNSMSSFSTFQQTPIPCNLISKASRNEKRSQ TNKLQSSHKLELFRQNSALSNIEYKGVILRKCDTLEESILEQELRLISSQMRKVDFQNYM KNQNQLIQEHVVILGYQKGQDGKKNRTIEVKLYNALIVDVPYILMLIRDITHRDYIHQLR DYSKQKSKTLSFVSHEYRTPLNCIIDMLEQEVDDDQKYSSQTMIMEKDIRRSTKQNNPIK MAKQIKIALDHAKYLQNLSDDLLDLAQIKVGKFKINKAKFNFNQLLETCVDLFQVMAERK QIKLYINYESKAPRYICSDPSRLKQIMINLLGNAFKFTEDGSVTIKVAQVNLRLEISVVD TGIGMTEEDQLRIFQAFGKGNSEEHKKMNKSGVGLGLLISNQILQNLNQDLQNGLKFKSQ YKKGSTFYFQIQYQDINEIKSLNSMEERNQDSEESIIMQQQQEESIHKVYVNNQASIFKR STKAIMTTQIMIVDDVCMNIDMLKRRLAQMQYKIIIIRGQDHIDSATNGYLAIEKCSKKW QANQDYYKLIFMDLEMPTINGIQTTKKILELSQKYGIDVKIIGCSAYESLEQKQECLNAG MKDYITKPIQLQDLNRIVQQYL >CAK79122 pep:novel supercontig:GCA_000165425.1:CT868319:192523:193361:1 gene:GSPATT00014089001 transcript:CAK79122 MDKRFKHTLVAFKICPYCMKVLTIMCHKNVKFEIKFIEMHNKPDWFTKISPLEKVPILII GEEVVLFESAAIMEYIDEITPPKLMSDDPIQKALDRAKFEYSNEIIKSLYQFIFCTEQEK FVKLKEWIINRLKQMEEWLKDKKYLNGSEISLVDFNFVPVFVVLNMLKPILPCDMLKDYK RVQNYGDSLTSLQCTKTGRVPDYEFLMIDGIKNQNTVLFRSNPCYFNGPKPSRCCFFSK >CAK79123 pep:novel supercontig:GCA_000165425.1:CT868319:193470:194322:1 gene:GSPATT00014090001 transcript:CAK79123 MKNKIKFFFSQLKFNPNKNYYQILNLTNKSTQEEIKTAYYTLAKLYHPDKNPDQIDKFKA INEAYEVLKNPSTKKDYDESQVNPQQFQKKQYDRQYHQHSTYSYQQSVNHEQFRRMYEEY KRQQTHYEYQYHSKASYDKDDPFKQYKKYTQEEQFELYSGRAATMRYLMIFMLGMAGYVF FELFGLIMENRQIVVVDQNTGQQYVTTKDDFRRIQQKTREQMDYERRRAILKQIQDEELR MMRQNQQQTEFKK >CAK79124 pep:novel supercontig:GCA_000165425.1:CT868319:194330:196227:-1 gene:GSPATT00014091001 transcript:CAK79124 MLYSIQKGLQRIDIFGQTITLNMNKNAYYTTSFGGCSSIMIICLLSLIFYSNIADFFTKA NVYYNSQTAFSNDPNYMKMSDENAMFALSIDQNNYTNFPFFNITMEQRSLDGTIKKSTTQ IPLEPCTLNRFNNVVEYQGFDTNFNDSFNYLGMDQWLCPKVNYSLELQGTYSSETFKFIK IIVSDCQNNSNSTYWNPICANETAKSQYLQANGQFKLQVFQINTMVNPQKAKDHKTMYLD SDMYFSFVPNQLSRLANVYYRSYVINNDLSLLPYEDIQKEEIIVRKAEDFRDLTELGRNT DSIYATIYLRRSPFTEIIDRNYEKLGDLLSYLGGFMQIFKVIFGFFIAFYNRTSMLIELS NRLYDFKEVTNRSYVRKGAFDQNLGVITTPPDEMNIILNNQPNPDLQKVEWKQFIHKLVE RSQPIKLNLKILINELSCGYFFNNTNSSFFTKAMMKINNELDLHNILHQLQEISKLKSVL LQKPQQILFNFTPKPIITLSQENNVPSRIELDYQNRQSYIRNKEETPNEELFTSLQEAYR TVIDEMENNESSLICQKTINFKLTKQIDDELGKFLRSRHMSCIHQEEKA >CAK79125 pep:novel supercontig:GCA_000165425.1:CT868319:196558:198503:-1 gene:GSPATT00014092001 transcript:CAK79125 MLIALKKGLQKLDLFGQTITLNMNRNDLYTTSFGGISSILIICLLSLIFSSNIISFFQKQ NIYHGSEVVFSNDPGLIEMNERNSMIAISIDQTNFTITPYFDISLEQSILRIYVRNLNGT IDKQTIEIPLQPCTLDRFNNIFSDEGIDFTTQFNFQGIGNMLCPIENFTYHLEGTYSSEV FKFLKIVVKSCKSSEIINNNISWNPTCASDLDRQNYLNENSQFKLQIIQINTVINPQNSE NYKTIFIDSDMYFPFVPHKLARLANIFYRNVIINNDNSLLPLEKIDKEEIIVRKAEDYRD LTELGRDTDDNYAIVYLRRSPFTEIVSRRFQKIGELLSYLGGFMQIMKVLFGFLIAFYNR TSMLIELANKLYDFKDEKDVKKLKTINTLQYIEHISSPVDVFQINGNQQNQNQDLSNIEW KDYLGKLVQKSDPIKFNFKLLINQLTFGFCFKDKNSQFLEKAIEKINNELDLHTIFYQLH EISKLKQVLLRKSQVILFNFSPKPIITLGIDQVIPSRLSIKDSKRISHIQKSEEEYINEE LFSKLQQAYKDILNEINQNPTNLCQTNINLKLTQLIGQDIMKLIQPFQQRRNAFKLLDSN LNQETEL >CAK79126 pep:novel supercontig:GCA_000165425.1:CT868319:199417:200469:1 gene:GSPATT00014093001 transcript:CAK79126 MYLVNLILVKQLGFNVNIYRYANSFQDITFNLIREGKAKFQEQKNYEINNYFNQFQNTLN YLKQEIDINFLQSKNTKCILYPKNSSLFQNSQVSLITKPSYINGQDNEIVVKLSQIRLDN NDDHIRVIEILESFQKDINLYYFGYFQFFSLNISFYKYYKKTFEQFQQKLRADFTDVQLI KPIVKRAFFSIIHQIRLLHDKYSIIHRDLKPQNIMIDLKRDQNEWQDYQDVNYIIIDFDC SIQIVNHKHQVFETYGGGTGHYIPPERRDNQYSKQSDTYQLGVIILETLFELDQSIQNDD FWKMKNELIKKKSIFNITENLQIKLIDMIAELPQDRPSLEVIQQLLEQEF >CAK79127 pep:novel supercontig:GCA_000165425.1:CT868319:200551:202298:-1 gene:GSPATT00014094001 transcript:CAK79127 MNKPYEKWFLSAYLNSKLGRFKMRTQILIINGIILAIIIPCLLIAQTINMQYVQQILNDA LKRNSIYEMSKHLSSASLAMNHQLNSVFIRCKILLQILAQITLTNLNQLYWLQLNNDIVT NQQLSRTCDYIHKHTPLENKFSIICFVRFGLEYNEQTPDLNGDINQPLQGITNLVSSTIL SIMRLNDEYLPNQIYFVSSINSVQYGFLYPQVVLQPNFNPKERAWYQSHFKNLEKDKNNN TQLTDIYKYFDIQPIYSMTMTQSMLNLNKEVEGIFCSDLIFKNSFIPKLNINIMIVNLNG TLILTNYKNIAVTNSSILTRIDDEEITGFTNDDWNSILNYYYNKKLNSTCKYQNLDILCR FNSIYNKDVVIKIVKLKNINYFLILFYDLQIEQEIESKIDFLLQTFNNDFQNMIYLTLAV SFGLILLQVILIYVIFLPMYQIIRQSFFFLKKQEKPKFFGEQNLLKELNKFQEIMKKQSR LKKNSIDNSNVLMQFKAQFDTLFDRVLAQRKNINPQCQILQQFKYPRQSVHHALDIHQIL KEDKILDNYYEQQTSNPRKNFQRRTFSFRVESS >CAK79128 pep:novel supercontig:GCA_000165425.1:CT868319:203678:204423:1 gene:GSPATT00014095001 transcript:CAK79128 MQLQYKIDIIFYIVIQFMLNKFTLQFKDQLLEEKYQDYQLISNRLPLFKHLTLGLTLAGI VRLCQILIYGGSVIWLIPVLFVVGVISLGSFIIMKKKYLRIALIFINHLLIVSSLEVDNQ CSPHYYYLRGASMMCIHLVILLQSEFVDAFFSLIIITTIRLLTIFLQDSIFPYPSIMAAI LLIFYLLYVIYKNNLAFRSQFQLSCLDNQWDQAITTLIDDPYLLIDFNQNNLILIQLPK >CAK79129 pep:novel supercontig:GCA_000165425.1:CT868319:205323:206136:1 gene:GSPATT00014096001 transcript:CAK79129 MNQQFFGNSRLAIQRAQQLHQFFTQSVIYDQFKYTAKMPQYPKIVFLQSNQFFRNLVEKQ FLQYSIIDAQKSSGEDGLARLAEQVQLQSTQTNGALLFGFPNTAFEAERLDRLLDGVNLA VRFRLSESLAKKIAGSFLSCQSCGKVFNTSLPFITPTHPGYQNNCQTPSKCALESSSAPT DQVNAEVVDYYQQKGAYLEYEINEEHLLYDSQEFFEKLDNAVATHIKV >CAK79130 pep:novel supercontig:GCA_000165425.1:CT868319:206169:207149:-1 gene:GSPATT00014097001 transcript:CAK79130 MLKKYKTFSFCTTLYQKLGVQPNDSIDSIKSAYIKLAKLYHPDVNLSQKEQEFKDITNAY NILKDPIKRKLYDQSIDAQQRHSNSSNEDSNQEKQYYNNPGWQQYGEQQKQWHENNRQKN TYQERTYQYTQSKSNSNQIYGYLALGGISIVFWSIISDDDYSEKPKITKAIAPQTKISDN PTSYAELKQIYDSIEQTDSKKMQRYYTHQLEEDQTELRRQNVIYQGQNLNDSPFIIEQRR KMMNEEMKQKQFKIQVEQYEKVIKGDSKILKRKDSNPKKTELKTKQGDLTLQGFAKQNKL NINKSTPKEQNQTINISIEHLEQGYM >CAK79131 pep:novel supercontig:GCA_000165425.1:CT868319:207507:208626:1 gene:GSPATT00014098001 transcript:CAK79131 MNQRPKFQLENSFLTHPLPLSCCILNKFETQIITAGYDQTCRIFNIESGAKLNEMQGHSN VIQCIEIDQSKDDLMATGSFDHTIKLWRPKSGICVETLKGHDAEVMCLSFGHNNKLASGS MDSTCNVYDIEKFKILHTIKDHKISIVGVKFHDSNVYTASFDGQISVNDTKTFKQIIKLQ DDSKEIFQMTIDPQGKYLGTISGNKQCKIWDLKHLNKPLYTLTGHTQDLTTFTFDQQGTR VLTGSQDSTVILYEASTGKMSQRFYGHTDEIVKIVYTQKHEVLTASTDKTARLYTIDGVC QQIFEGQEDGLMTLLVDSKGKIVITVGKDSTCQVWRL >CAK79132 pep:novel supercontig:GCA_000165425.1:CT868319:208643:211193:1 gene:GSPATT00014099001 transcript:CAK79132 MLIYTNSIYLQFSIKNTIFLVEVGMKQQTQLQYIIINSFSLLIAILLFGMELFKKQPIYV NRVGALILCLLNMELVFEEISSINTIQLVIFIVILITQFEDEEKWHKIVKHSIIFYIFLR TLLQYKNLFSITQLLTYVLWQPINHWMLYYKNQLRINQFKKQSLDLSKCQSELTPQLCKE SSELEEQCSSNVQGSSKLSQNLKKLKQLYMNNPFSQMADFDQKTFSQFQKQTSTLDVPQI WNLLPFGIALITSKFEILTYNQKLLYFLKASDNDGRNIILNLDLLLESPESWESKSVHNY GSHCSNRQSRRFQTKRQLSLISKSNHEQNSINPDGGTHSQINANFAFIPNTSNQIRDEIL TTKSRYRNLDQLFKKFALKQYSLTNNNNGDCSLQSIGQNIQIIKKVQDIDSKKYYFRIKV YEIDSIGNKLNYLFVIENITNKEELRQLSVRYKFQQVLLNSLCHELRTPMNSTLSQLNAL TSLISPQIRDKNLTPAIISAKKLMFQLNDILDFAQIDCKNFHLVNSQFELKEIFELLREL FEQECKEKNLQFILNFYHIKDDQNIYSDKERIARILVNLVDNSIKFTNEGGTITVTVQQE NHYILFCVLDDGVGISDKILTNIKSNKDFQMQDQYHQNETKLGLGLKISQQIAKFLCVDK ELKIESKEKQFTKISFRVDSNYKYLNHLKLPLFQGCNFHINCDCIQILNVDDVRFNHSAI EALLSQHKIKMESAYNGEQAIQMIKKRLDQNCCKTYKLIFMDIEMPIINGYQASKEITTI LNKFNLTDQTVIVMCSAYNGYENNNQAKACGIKEVLPKPIEQKQLKQLLDKYLL >CAK79133 pep:novel supercontig:GCA_000165425.1:CT868319:211213:213780:1 gene:GSPATT00014100001 transcript:CAK79133 MEILIKLLICQLIIQDIIQISFIWMNTEWYYVLLNALSLSICLLLVIIDYYKIQQIYFKV GLLILCVINCETLFQLSINIASAVQLFYILLILFKIYENTILTKMIVHLVLVYMVIRAII AYSDYRIQHLLLIIFQQPLNHYIFYSLRKKSFSQQTQAQLIIQQNSQEQVICLSNDSKQD NLKCDLNESVIVNQIDYDFESPRIQQEHKSIGTNKNTIEQRVIIKKPINVWKTTTNSSDS PQQESIKIENNMDSKYSDLVAIMSNLPFGIIFVDQNLTILEYNQKVSQLLGITNPNKIIP FLDEAIKKSGEIQEVRSAKKIKKSLSKSPKKSYFHQQPSINLQKRQNDDQISDLVSQFYN CGSQSRMDNESQQEGNLRSIFQKFQKIHQSQQTAASRETFQFIIRLDSMDTSYCKSKYKS LKLKIFQIDGNGLWEQNVYLFVLENITKREELKLLNHKYKFQQALLNSLCHELRTPINGV ISQLYALKDELMTDLIQSHLNPAIVSTKRLQYQLNDILDYAQIQCKALTLNKSYFKLEEI YQQLQELFNFECIQKQIQLVIEDDHQIRLHTDKERLLRIFINLLDNSVKFTNKGGTIKVS SEIDKDQLKFIVYDNGQGIKDEIIEKIEEQAEMLFKDSVQYHSNKLGLGLRISMLLSDYL YKEQKFQIESKFNQYTKISFRLSNLIENYSSEFQMGAQLSILEKNCNCSKILIVDDIICN HFALQVLLKKFKVKTDSAYNGNSAIELVEERLKQQCCQTYRLIFMDIEMPQKNGFQASSE ISQKLRQNSLNEECIITMYSAYSGDDDVLIASQCGMKERISKPTDIQKLEHIIRKYIL >CAK79134 pep:novel supercontig:GCA_000165425.1:CT868319:213927:216206:-1 gene:GSPATT00014101001 transcript:CAK79134 MVSVLAGIAKLDIFGQMVFLRINKQAYYKTVLGGCASIGVMAIMMTIFITNFITFIQKEQ LKVITISEYDDKVDQIAFNNSNFLFAVQIEQDNFIENPYFNITLKQKVYSRSQQGDLLKK TEPIELVPCTLDRFNQIFAENNVNFHQQFQQLQLQNFLCPSINSEIQIGGTQSSTLFQFI DLSVSECQNNSTNQWKPNCRESDQHTFKIKLLTVNQIINPYKPKESYVQPFIDDSFSFSF NINFTKSINAFITKFDFLNDESLLPISMFEERSFYVLDPTDVQQDYTEIIEDSFVKLLFR KKPFKTKFQRQYQKIDELLSNIGGILQIFSFFIGLLNQLYFLFLTLDMVELGNKLYDFSI DDSDQKKIYQDNLQILVETQANDNNAQDSQIYQKMQQAIIADNEIRITDSQTQPLKQDQK LSTKLCCSSGLDYFQNQLQKMFQKKKPINLDLKIFFNFITCGMLFSKIPKVQLMNKAYDQ IIQQSDIYSLLTRLNEIDKLKEVLLTPKQLVMFNFTPKPLITLEEEDLKINRNMVENQLK TPKDNKEEMLVYARMMMKQKRQNKIGMNHESIRKKKRSSFIPQPLNNYVYQQIYNVTLET IIQAYEEIVKRQPGSSSETLNSQLISMLGAELELIYQVCQKIDQDVRPFAKYHQKLKSSL SQKRSLIKQDLEKQNDST >CAK79135 pep:novel supercontig:GCA_000165425.1:CT868319:218069:219324:-1 gene:GSPATT00014102001 transcript:CAK79135 MDDVIPVVVIDNGSGYIKAGISGDDAPRATIQTVLGKPKQPGQMVGMDQKDTYIGDEVNS KLEVLNVTYPIDHGIITRWDDMEKIWHHAYYNELRVSPQDHPVLMSEPPLNPAANREKMI EIMFEKFNVPSFYLAIQQVLALCASGKTTGLVVDSGDAVTETVPIYEGFALSHAVMKIHL AGRDLTDYLIKLMAEQGKEFSNQKETERENAKDTKEKKCYIVGDFEAEMKIYQDSGKEII HRMPDGNDITLGSQLFKCPEALFQPIKLSKEFQGIHELTFQSIMKCDVDIKKDLYGNIVL AGGSTMFKGMKDRLQKEVIALAPSTMKIYVQEPPERKYSVWMGGSILASLSQFQPMFITK NEYQDYGTGYVHRKCF >CAK79136 pep:novel supercontig:GCA_000165425.1:CT868319:219387:220891:1 gene:GSPATT00014103001 transcript:CAK79136 MKLKRFLLRYYPPGIILEYIRSNGEQETKSIDLLNLSNDTDVDQLVDEIVMEEPIISENR KPQLAALIRKLIEKIESKKEQSFELFKTLKAHMLPLTNCAFNKNGDKFITGSYDRTCKVW DTFTGEQLVSLEGHKNVVYCIAFNNPFGDRVATGSFDKTAKIWDATSGKCLQTFVGHQYE IVCISFDPHSLLVATGSMDKTARLWDVETGKQIARLDGHDGEIVSLHYNSDGDKLLTGSF DKTAMIWDVRSGECIHILDEHTGEISSTQFEFTGDYCATGSIDKTCKIWDIKTGKCIETL RGHQDEVQDICFNSTGTRLVTVSADATGRLYNVNSGQCIAQLLGHKGEISKVAFNPSGNK IITASADNTARIFSETGECLQVLEGHTDEIFSCAFNYEGDIIITGSKDNSCKIWKEANLQ VKK >CAK79137 pep:novel supercontig:GCA_000165425.1:CT868319:221078:223006:1 gene:GSPATT00014104001 transcript:CAK79137 MDDNVQESAYLLSIAYLLLGLIFIFLALYSIPCLWKAWKCRQFFPKVFYLFILAICSDQA TYQLLYLYEVITAIKKEKDDQSQTESFRIIFFQTIYVPDAIFFVIYFSLFWQFLIMMHHG RIKTGSIDIGKRNWNPEQANSKVRLILYLYIIGQAIVIWQFMAQELSPKAIMICDIAVNA SVPIIVVIMVLYLNIKFSGSPFVSNRYEKSNNKIQQLLWIWILLRIPQLAVNVIVVVYND DLIALMGGKLEVTFIEQLLLILFIVGDIIIVQIIPVLMAMSNSFLSALLLDHYKINQIIS VEAFSGDIDHQQQGQGIVPTKNTTRDYSLFINLKLKDLEINDRQFFRKYNGFGEIKIATL QKDSKQYALRVVQFKELSTFLIDETKQEIQTINNIRKGYKIFWFDCNESSIYLLSKFYPN SNLNNFIHKNTDNGNEQIKVITTSYTNKQLLIRVNIATDLIKEIQNLHDQSIIHGHLNSN NILFTDKFKIKIVDYGLRAIKKYASLVNGYCNKNGFTAPECLNDRGSVVSQMAIKESDIY SFGMILYHLFTETVPFFNMPQKDIVQLVKEQQSRPKIPESFNPNIATLIRCCWQQEPIKR PNSSQILQTLKQISESLE >CAK79138 pep:novel supercontig:GCA_000165425.1:CT868319:223319:224690:1 gene:GSPATT00014105001 transcript:CAK79138 MKFLSEFSLLNIGNLICCLGLSLIAPFYPTYAEKFDVSGSLLGLIFGINPIGGILSSLIV GKILNKVRFFNILSLGMLIQSIGMFCYPLLTFTTNRTIFITISLLGRFISGFGAQTFVTP LYAIITQKYADSINQKMATTEFFSSFGYLCGPVIGSILYTIGGFSFPFLLFACFSVIIAI IIKLQFEKQEEKAINKIPEPVISDIYDIECPSTTSFYNETDISIGYYDLIKYFPVSSSLF VILALCITFTFYYPTYAIYFEENFNIPPQHVGFYMAAVSLAYSVGALTVSRINVNKSKAI FIGMVVVSISQFFMGPDPLMNISPKIFITVSAQATFGFFSAPPFIMALPKITEELQAKFK KSDHEKCNSLASGLFNAVISTGDFLGPVFSGVLAEFFSFQRSCSYLGLYLVATTLLFLPN LFTSKKVQQVQIKSYKEYL >CAK79139 pep:novel supercontig:GCA_000165425.1:CT868319:225275:225581:1 gene:GSPATT00014106001 transcript:CAK79139 MSKQPEYILDSYKVPYYLQDSCVDEYVYYQQCLRHNPRFFENKLIHSLPFASALSNCAKK QQIFVRCQEYREREMFEEMRKIYVESVRKGEER >CAK79140 pep:novel supercontig:GCA_000165425.1:CT868319:225808:226097:1 gene:GSPATT00014107001 transcript:CAK79140 MIIGITVLLSQLFDKRCKIIWRQDLNNYCLTFIKRCLILIKMQLVIKGSRKKNC >CAK79141 pep:novel supercontig:GCA_000165425.1:CT868319:226408:228352:-1 gene:GSPATT00014108001 transcript:CAK79141 MNLIPETDKIDMAYLKSERIGKVITKGLAELYRVKPQFPVEYLANWLLNHNRTESNRGSM QDHITHKEELIEQQKKEQEVQEEKDRREKQLQDEENLRELEFQNIIKTHEFHQKLLIKDF CNHLQKKGLTGVYVGYVDFPNKQINENDDDENAHLDSEQPKLIKYIGANDDHSFMIGQTL PLNEVGITGEVFREIPPPEEGQQPQSPIIYIPDVTKEQKLIYFKWKKLGAFLAIPLIYQS CLLPASLDAGIEERLRFKKADVEKKLEKENKINEFNSRLKEATETEQDPTPIQEELDQYL ANWQDESEAPFQSLKRQFVVCFDTLGKDVEISQKDRQYFEDYVNLFAQSWNQMESKLLSE DIDHMIAYQEQGVKERSEALTVQEEQAVESQQSQYDDLKEREKEHQFALLSIRANTVAQQ IQQLQQELFSLKQVRVLKYPGLLLALMLFLNYNKENLLEKGTNQLDWTGVKHYICEDLIQ KILDYEYRGARTEEVPKHAKLNRIQKRISKFTVEQVEEYNVCYAKILKWMQYIIKLRVLD IEIRREKIANLRKLIQETTEQVAKIKEEKEQKFEEYKNSIQQQEDQEPPNREEWELKYDE EHPLPKIPEDVPDDVDNDFDEE >CAK79142 pep:novel supercontig:GCA_000165425.1:CT868319:228369:229385:-1 gene:GSPATT00014109001 transcript:CAK79142 MKQFQKENRRPNQLNIASKTPSRLQTTRLLRVHSPSTSQSPFRCIDNKIAVIHNLPPSTM PKSPTSQITYFKRKKSKQEDMLDETISKLSFLEKKIAHIMEKNDQLRSQLKKTETDSVES YSYYLSGPSRSNKQSNCISQHTLQSYMNSVKHTIHTTHSPIDSSRLQDKMICHHRTSSKT PSVGKFKKSNITIREDVQNGRGRKVYENGFVYEGDWVNGMRQGNGTLKDFYSNIVYQGQW QSDQFSGRGRFVNQDYQEDEFKLLNFKQFKLVQHQFTSYEGEFRNGQFHGQGVMTFNCRG EEFKFVGGFALDAFHGVGSLSQDENVILQGKWIYGCFV >CAK79143 pep:novel supercontig:GCA_000165425.1:CT868319:229439:232182:-1 gene:GSPATT00014110001 transcript:CAK79143 MFFNVELLKFDNLPQFMIEQILTVPHTFYYSMLNQDVDLNIQPFLNQIPKAITLTDHSFS LENDMLLGWAPKVDYSKLLTLNFANHLNDSIIAKALHNNQLVYLGFRPQDTGQMHKQYSN GSSYPFKQIKILQRKPQLPFLGMNPNLSSRIIFNSTFESGNLDVVVKCSETEYDLYMRVD GNTKGHTSWYNFEVTGMKKAETIQLNVCNFRKSRTLYERGMKPYLWRSTNPEWQQGGEQM QYKTHYHQHYNCLSFKLVCNGDNELIKVAYCVPYTYTQLLEFCLNMQKNCNHVEKTVFCE SLSGIQLPLYTFSNGNNNQNQKCMIIQARIHPGESNGSWVMQGLLEFLSSQQGLKLIKKC VIKVVPMMNPDGVILGNYRTGIAGKDLNRRFKLTDQMLFPTVWAMKRLVKEQHSIFGNNL IGFIDLHGHSVKKNVFLYGPEYPLWNYNYYKCRVLAKLLGQKTEMFRYYSSIFRISQSKK STARGVFLDLYDIVNCFTIESSNGSYYNSTQTFEFTCKHWIQMGWIIGEALTELVEMQSE MDVIYNQKNDETKRSNRQLKNSSFNKKRSFTGYQEDQCYQQFQNTKFANLFDELKQDADK MNISVSEKESDSSDDDGSETYLEDLKQQLLLSEDLTKSRYKEKPMISIMQPVSKSKIEQR FSPRIQQQQQQSSQQSIMLSIQKSEKKFNNPSRSAQNSIIKKVSSNSQSKKSTKITNYIT NFATGQNSATLVFQEDYNLNQFQPLQQLMHTTLQRPSTANLEVTEEDIQFPIQSFNTSNY NVFKQHTRLRSNITINKQLKDQKKLQSKSHQTTPPLLQQMGINVKNKLNTQTNLEQLGLK VKPQHFLAPKKSEEPLITDLSKNFNSIIESQKSTRPPKPNVPPSFYSVKRHMMLRLKN >CAK79144 pep:novel supercontig:GCA_000165425.1:CT868319:232933:235154:-1 gene:GSPATT00014111001 transcript:CAK79144 MHFYILFIEIVFGSHLYTRSNGSVENQIFRECSYSGSNSDHYLFQCIQDYVFVPKLELAQ KQVDIKWFIQQIGIYSFERNGEIQLSNYVICQIITTEGQKTLEIRRTLYTQHSNLIKKEN NLMFYDLTQIDSLCTMVSLLKRQGKQDYLIEMHPIYPGNVNQFQNISYKFNSDDDRSIFG FSQSYNKIRNALLLIVLKQNQIQLIEYSLFDNQFREASTYPLSENKVPLNWKVWKSGYII ITYEQFQVLYSIGETLYEIQEIYWFDQQPLQMITNFDGTDSKYILQQNMNYTKIFIIEEI QYQYQSKSVRLIQKIDFQYSNIAFLLDETELILIYDQFETAQVHINHIIMDQFQFCQYDI EELPQMNLESIKYQQSYHTKISLYPNEVKLTENCSIPCDLIYEPLNISLIPHKSECIFES LYNEFLNGCNKFNSCYACMQQTGCEWIDDICQTQQNYEGIDLNQVKESSKWFVNKILKCG QEIEFNQTYYGNVSKGTVFTLFHDATTFQEFNLDFNLQVEQQSNMNFIQQSICLGNELSQ LCDEILINHFNSDFRFKGYYFRITFVILENIKVSDLSVTIKSQDSLIDSELYRIYKLIGW LCLAIILFGTIIYLANKRMNYLIQLSLQNNQHSLDTESLYNVMEQMIKDKVIVKERFSQQ ILKYDEDKCPFCIEKYEIKQDIIQIFCGHTFHLDCFEDWVRINTKLVRCPICNQTIEHFL KNQEQFKKSTNV >CAK79145 pep:novel supercontig:GCA_000165425.1:CT868319:235293:237046:-1 gene:GSPATT00014112001 transcript:CAK79145 MNKYYIDSEEKQFQQSNREVLKQLDVTNRSEASLKTSMVSFACDRDNKYDLEDYRTQLEE QLAENIELKRMLMDEIEKNKRISDVDKSSQFLEQQDKFAQILNKKDNEIMNLNKLLRQLE IENKLLHKDDQLESSRRMEKEKLQEKRVMEYEQKINLVLGENSKLNQILQERITQIEKMK HTVDQLEREKVQIAEKYEILIAEANNLSSREKSTIEKCLNQQKNEFQKQLNIVNTQNNQM TNILNQQKNQIEQLEIQIDENQAQLEMTKQSYDEQLNERDSLIQQKEKENQDLMVKFNEL EKKLAQQNQQITILEQQLQTNNEQVVIEEMQKYFEEQCDIKEKELESKHQKEISNLMKQL NENQNFIEELQNHLTDIHKQITKFKQEKQTLRQQNQELQQQVKQLQSEFQQQEDLRYSQE YMLPQFNISCQSAITDQSLQDEKEKQIKQGRQLNKSKDNVLQNKENQEKKDTKSNQCINQ LKQQSQQNTSYKAQFQQKVKKSNPQNKDQYALDTFGNRDRSISYLDIINKEVKFRESSDE EDQSPIAQFKQWKQQMTVRQ >CAK79146 pep:novel supercontig:GCA_000165425.1:CT868319:237518:238036:-1 gene:GSPATT00014113001 transcript:CAK79146 MSEAKQFRNIQNKNCTKDKKKKLASRIEFLKLVLCDNKTIRASAQICKINFSTAKAILNK FRKQGVIKQPHKDYDRQIDLLKQIAQIQEGIKCEQITKTKEFKQKLRHQLQVILQNSQIQ RIGAHQQMDIKALEEELRNEKQKEYKLVEQILKEQITLMKSRCH >CAK79147 pep:novel supercontig:GCA_000165425.1:CT868319:238224:238614:-1 gene:GSPATT00014114001 transcript:CAK79147 MRFKVYLCEITKRHDVFRTFNGKSKIEFLNKNIGRKFRQFNMHEFDQIEKSVEQQRTKGV MILQEILKKHECYFQNLKGNIQSIFMQMFFLNCVDSFYKEFQASSSLEYYKFNSIQQFQK >CAK79148 pep:novel supercontig:GCA_000165425.1:CT868319:239854:242775:-1 gene:GSPATT00014115001 transcript:CAK79148 MQELQVLIEQQQKQIEKQSQQQTTFSSTQRINDLDRELQEKSNIIEEITYRKNFLESQQQ NNVREMNDLRQKITQYQLINEQLNEQIDQLINDQEQVKLEHEQKMIDYQNSVRRQISTFH NNSVDLLDQVDQLNKQNQENKQQILKLSQQLREREYEIQRQQQDFLQQQNYYQELQVAIV NENNQLKSQLHQMEIELQNVKFKDNQSVTNLTASQELKFKHLYEAIQEKENKTNQMNKEL QHQLVLYDKQAKTLQNRITHLEDEIKQQQEKESINYESTVTFHQPEEVQQLHGQNIKLTS QVQMFSSQHFLLEKQLNEYDEKVKYLQFRQENEIKKLTEEHNQRQQQLSDQLNMSKKDIK DLQNKLGLLTQQYQDQNVQNEKLQKQNQQLSQQILNQQKDINTYNQQANEKLESANQLNQ QLLKQISQLNIIRQQDQDEIRKLSTQIKQLQDQQGNYQNQIRLLQNQLNDINQDSTLEQN EIADLKKTINQLINENEILKSDGQNFKFDQSNQLRQQIRQLTQQNEIQKQEIIILKQQIT SEQNTNQNEIQNYRRQIEKLQNQLKQGRNEISNPSNKQMDQITLLQTNLQQVQKSLRDQE EQNRNLQRQLNILQNNEYQQKQKQKKRALKDKIIELQQQLSNSQKDYSLSEKGQSGNLVK FYDKEIEEKQRLINSLEEQFKILQKGYEDQDQEIKLLEEENSKLKYQSDNYQNELAILRI NQNLSLNPNITINNLNIQQENKILELKIQKLTTQLDELNLKLQDSENDLADMIENYKQEQ QKNKQLELNLRNSKLPSKDIENQYRQMILKEFPIYGDQTLEQMINLLILNAIPNRSSQRS QQFVELQQQNQEMLEEIQVLVEKIKSQDIEYNQLQEQLQQSGKQNSVRSANHSYGMSNDF EKDKKIIELENKLALLASENSRLNHIKNQQHKKLQSQQFTQQDIQNY >CAK79149 pep:novel supercontig:GCA_000165425.1:CT868319:242826:247345:-1 gene:GSPATT00014116001 transcript:CAK79149 MSQTPEQNRNQEVWLRNSLNKNNRLEFEIKSLQNEVKTKNQQLSQLEMDYKGQVNQLQQQ IQINQSLQLQIQQISQGNTDQKKAIEQLTDQNNQLKNQLKQVQETNNIEKDQSKQNLERA NTDIQKLKNEILQLQRNNDSLQQQKTYQISQLDTNSKKVIEELQEQIRKMQLSATSKIES LTSELSLKNDQLDKLMKEINIKKNELYQSTANYEAVNATKSQQLIQAQQIQQKCQQDFND KLAQFQLQAQMKITQMEQEIGQKNTIIEKANQDLAKKAQEIKENNQNFEQINNQNQKKLQ QMMIQVQKLQLAIESENTQYDRKKGDLKVQIDNLEKDLNMQQQSSNQYTQKLNNEINQLK NQNLDLQRQLSEKHKSLCLSQTESDAQIKQLNLQVASFQKEIQLKNQQLQQAESQLLEMK VLKVQEIDQMKLKFDQREKELLIQNDQLNNNNKMQSQKVITLSNEELNRQIKQVDQFWTE KYNNLSKQTKEDIYQLTQQYQMQLAQMDQTNKEKIYVIEQNHNQFLNSQKELFNVQLSLL NKQILDLQKERENSIIQLDLMKVKLNELQDKTQNFNSRDQRKDELMQNQMATLKNSKEEL LNVINQQNLAHKKEIYNLQTKIDQLQQQYDNSVREGKQIKEQLKLSQLEAQQVYNLSHQK NKESLNAQADKDSEIIKLQSELSRVETLYKQVVLELKIINEQKNDLQVIDDFQLKEKDIE IGNQKSYILELKQKLQQLQKRINELELQLQNANKKLGQKDQEAKKEIENLNQRITIQKRQ IQEERERCDELAEAQEMEVQTWNERLKEQQKLNEDLIIQLMRLQQQTSQNSGLINELQNL KQQFEIVTNQYKQLQEDAEEATKQKSMVKNVQIQMLQNHYNKQMDVLTQQLEDMKQSNLN QKLEFPIMDFNVQIIALNDLLVKKNAEINQLKSQTQQKLLEKDSVIDQQREYAQQLLSEF EKLQVQLMDQHEFQERADNLQMINEEKDNSIIYLKEEIAKLKQENKLIKGKLDLKEQSMV VIQDQQQLIKQLKIELQNKQKNIQPKQIAVSHQQTMKSSIHRMSKRSESSRQEKSEVDWN GESQFLMENGNNKLPCFHQQSSESFTDNKLIEKMNSEIVDTNIRLRNQVDLLNQDKQSLQ NDLTRTIQSLNQVKNENSELILKLQYVQKALESSQQNQEKLEREINQKKKKEINIVSFDD QINSKVKAMNQQLENLQSSKTQQTQLINQLQQEHSELYQQIDRLNDQNRELRKNITVINE LNQTQEQQILELQMKLKQEQLVTVKQNSEVRVFQLKQDQTSQIVSFENQQLKQQNVELQN KIQEQNQLISNYENQQQKKNGLEKKYEEQQKQLSLYLDKIDNLENEKRQLEQEQNRIIMD SNKLLVRNEDYAIKVNQLEKTYQQLKQQLQEQQAQHEKQQYFQKEKNSNCNLRLNCQIFH CKTYKDQINHNVLRKILKIINQWLINKLMIQIQNCKKRFFKIKTSNYKLNNRMSRLEV >CAK79150 pep:novel supercontig:GCA_000165425.1:CT868319:247819:248871:-1 gene:GSPATT00014117001 transcript:CAK79150 MKIAFTILVVLAISNASLMQKYPLQYGGKRSIMNIMVEVENKLKTHSPLDSIKGILNSFK SAVAQEQGGHDDVYNAQKTECDSEISYRKLEVEDANGKLKIANGILKTATILLKKTQATL GETENILNTVSLHIGLINDARKEDTQSYNRGAVSFNDAINAIDDSIDLATALAKGKASLV QVAEMTTKLMKSAIATKMNKTFMQAIGALAQITQEEDSEGAAERLVQLLQTLRNTVEEAW ASYTADNTTALGLFAQQKDLYLSSQARLDDAKTHLSSKAENLQGTISTQTAVAQAATNKR QRNQTLWDDAADLCHSFDVEYEAVTAGRRQELVLVNELERLATRRAAEQQ >CAK79151 pep:novel supercontig:GCA_000165425.1:CT868319:249598:251022:1 gene:GSPATT00014118001 transcript:CAK79151 MKRATDGNYYENEYIANQEDKLLEKMRKKQERELEQLKLYEQQQKEQERRKVTLLESTRS KQQQVELALKEKRQMIELKRKEKEKEKLLQVQKMEQEKLKELTEREISRDFVIQELHSNK HSQQGVILDKIKPSIVKKIAEGIINTQQEEILIKKIELDKLKKEQEEQIQDLRERKELLL KELKQAKRKQLKKVKQRNEAEYQAQYEEKKQKIEQYKKKLDDIELNQQVKIELIEQKKRE KMEKLKKENQKKIMEEKKEKDKQKLSHLDEKINQISERMKSTEDKMEKIEKEKARHRQNI KSRNGSIQNNKSQTYKDKIKQKEQEHLKSITETYLISKLKQQQEKLKKEELIRDHKKKAA KILQEMVEIKDKKLKEKLEKAQQFKDEKEQLFVQYQQLAKEIEKRKNKLLFSSPKHNIVE DK >CAK79152 pep:novel supercontig:GCA_000165425.1:CT868319:251491:252266:-1 gene:GSPATT00014119001 transcript:CAK79152 MELFLSTDLNSQFLTLLAHYTRLVTTGRVKLVVLSHQALKLNEEGVLKFPSIPSLVTEDA QILSNVFSIAQYLTNISFTEKILIGTDNQTLGQVLQVFEAQRKIQGEELIEAFLKHLESK VFFVTNHVTLADLFLYIHTYDIVSTWNDEQKVGKYVHFFRWFKQVQALPQIAEINKTLGR VDVKPASPFVDISSTSKQKKQK >CAK79153 pep:novel supercontig:GCA_000165425.1:CT868319:252678:253766:-1 gene:GSPATT00014120001 transcript:CAK79153 MKAILGLLAIIVLAQAGFVQKYPLNYSNKRNIMSIMTEVESKLQTRNPLDTIKGILNNFK SEVAQEQGIHDEVYNAQKTECDSEIEYRSKEVQEANSILTSANGILKTAKIIKGKTSATL DATEEILNTATRHIDMINSARKEDTQSYNRAAVSFNDAINAIDDSIDLATALAKGQISLA QVAELSTKLLKSALATKLIQEFRQPMAALAAITQEEEAGAAERLVQLLQTLRTAVETAWT DYTTSNQQGLQQFMGQKDLYTATQERLDKAKSRLVAKLETTEGVISVQTAVAQAATNRRS RNQNLLEDANDLCHSFEVEYEAVTTGRRQELVLINELERLATRRAAEQQI >CAK79154 pep:novel supercontig:GCA_000165425.1:CT868319:255809:256822:-1 gene:GSPATT00014121001 transcript:CAK79154 MYQYVEGAKFIQHLQNLDWKIGYEQLIIGEQLAQELLTATNIRSVNFNGNEIVKGNTFPV VYEEAQLNHSIIQLLVLNGQISIYGPSLNFQVIDHQFQYIQNEAFQNRYNLSSGTISFDD RQRIILNSEQLVTGMWNCASIFNLPQNQQFINCLLKFINQITQKGNKRIKFTKQDSFILV IFDVSATCILVSLGDPTHKLIYKPKVYKLWENFLNKNSFSSDLQFSINEYDQLESQISIL QKEYSSMLKFLSEPSTQLIDSVPNKTSLLILSKSNHQTNNHNSMASHQFQGLLQVSSQKL FTGRSHLDTKRGYHLSVGQLSENSFLQDAPLINQINQ >CAK79155 pep:novel supercontig:GCA_000165425.1:CT868319:257259:259889:-1 gene:GSPATT00014122001 transcript:CAK79155 MKGVSKLKYRIVYCSGEDQDYPVTELLTQSPQSRGWQAPKYCEYPQEIAIQFVSAARVRQ VQFLSHHCKISTKIELYVHMPDKNIPPQYNQIKYKKLGYLSLDSNERGGYQARELKSVYI DTPCLFMKFVFQKCFVNKFNLFNQIGVIALSVFGEPLDSPPGYGQMKQKEFYNEIQFETQ FDQNTLERLRLLEEAKDKAVSREDFMEAKRIKEAIERLKQIGVQLRTLEERKAVAIQNED YDSASIIKQEIEKLRNAVAPDSMIRRPDSAVILNKLNQQYQQPVYQPQQQYQQQQQQQQQ YYQQQYQPPPVQSQMAFVPPYQAPPPMMPIQGEEMISQSQFEEQRADPSQMRQKRVAKEL NQHHEDMIVPGALRKQNNNQYPDDDKQQQQQYTTEPLTGDSLQKAEPLIPILTEEFCQKI FSKQWGAREDGLKWLEDQIGRPTQVNSQDPSIFFLSSIASINYTLGDKVAAVSIRSLSVL QSLLAKYPKIKINKSAEFNEHIDGILQSLMEKLGEQRKEQAENAFLQMADHPSVGPAICV QHLIKGFVGKSKLQSSTKHIVGRLAMLTELVKRYEINNANMPYQPIVDFAVKLQDDKNEP IRTQAILLLVEVYKFSGNRLKQSLTNVRQAQLDVLEDFFNKIDGGGDVDDQPQTNQQRAI IQTNIESQGAKKGNQNQTQNQKPQQQQKQQQQQQYDQNNTAKCDYCDRVNPSFRDPDQID KHLWSECPMLVTCSQCGQVIEIAELTNHLLSECDHKRKFKRCPKCKEAILLSGYDKHLED CRGRNDNTTVRCPLCHQDLKLEKNTWKNHLIKQGCLNNERTAG >CAK79156 pep:novel supercontig:GCA_000165425.1:CT868319:259926:260477:-1 gene:GSPATT00014123001 transcript:CAK79156 MTDFDVILVPTADAFHLLNFPITQMKEFESVYKIDKINYKPISTHIELLYANTTQGDQRL EERVKFNSQIINNRTYYALTKLDEHNKKIYLYIITNFQNMRVQTIKDIDVQAQPQQQQQS QQQQQQQQQQQLQKKYYKHYQEIKSKETTVELKLQNMNLENPKNIQSSKQMSSDEYMKYI FDS >CAK79157 pep:novel supercontig:GCA_000165425.1:CT868319:260517:262409:-1 gene:GSPATT00014124001 transcript:CAK79157 MLVQQQQRQMPATPIPGNARKVIENFSYALSDAIGKGFSSIVYKGRNDETNEVVAIKVID KKGLKTPLHYQLLRSEVEALSQLNSPNIMRLYKVYYTENNTYLITEFCDQGDLGQLVTKT GVQKEQNMQKLFYGIIQGYKQMKQRGIVHRDLKPANILLKASVPKIADFGFATTPQTAAT MPNVNVGSPLYMSPQAFKNRYSEKSDIWALGVSLYELLFGQVPWQAGSERELAQRMATVP VQFPGHISDECKDFIYRCLIVDENRRATVEELEGHVWLSKQELQPIKGNGFSSMFRSNQQ NNHHHLLQNNNIATPLGDTQNKQSIQFQTRESNYGKENTVIVKQSQQSIMQQPLKSIKRV QESRKESQISQQMNQEHSSQREEESWSESKKNDYIILAQLNFCRYLYRVSALMDKYRFFQ TSYLREKLLFLVNKNIMIKLNCLNEVMQGQNTLGLEKFQQYVQNPQYTKLCTAIHQYNQK YTLQFNTVWMSLQNPDQKSLLAIDKKFDAVFDDNFTEYESFYIILNSILRTAIKEIEYSL ESKIVVQDTQQLLPMDIEGGVILLDYLITYFQLASLIQECFEDPYEFAIDSKIEQIADGK PVRLTYGHYIEIRNKIKQLDI >CAK79158 pep:novel supercontig:GCA_000165425.1:CT868319:262482:262949:-1 gene:GSPATT00014125001 transcript:CAK79158 MSFPNQDYLAMIKNKQQQQQQQSPLQQQFCYPMMQIIDRIQRSPQPWNYWMMQQQFQQMP QMQISPMLSQQQMMSPISPLNVSMIPPQFLLQPQQNPSRLSTSQSQPLQNKQYVEVNGTK VKMNMKLIKDDDDMLDYDEIKRQKQKKVNSTNKRK >CAK79159 pep:novel supercontig:GCA_000165425.1:CT868319:262949:264250:-1 gene:GSPATT00014126001 transcript:CAK79159 MPQRASLSHKNRLMTNQMNYLRSLRQNGVDAVCIANATYLPKTYRLFNENECLQFFTYIN SKVYEDKITKDGPQFIIKMGKEVHRGRGINMLFPQEKEELNKKFDKGELCGSVKTFKVAQ QYIVNPLLYKGHKIEFRVYWILASTNPIIAYAYDKTLIRRCIYPFDKFSLLKGAHVCNTA IVKSTLKKMQNEDDDNDNDSDETDDDDDNNNDEISSQEDTLFIDWKLDHLQEILLKEGRI ENNKWLQNELLPKVDRMIIHAIRSTQHTFAKDSKLGEFFAADFLLTDDLDLHIMEINYNP QTLNTTEARKQQHFKMVQDMIEISNAYLRSRFMRFQRIIGKLLTELESKKQKGKDLITNQ IGQEIHKAYVDRLEQGISISQDNLFRLLMDENLQGTRAYKDLIQEKCLV >CAK79160 pep:novel supercontig:GCA_000165425.1:CT868319:264394:264803:-1 gene:GSPATT00014127001 transcript:CAK79160 MFVNTITLLISFIFIYSLGHVYMTRVTYINKGSVEVVIGLLNQFISQNYYSPEAFTKEFN TILVQPQHDYCIKSDTYKYYHPDVIMNDTYTFREYRGVVKEALQQHFFDVLPNVNLHRNN KDCMFPNY >CAK79161 pep:novel supercontig:GCA_000165425.1:CT868319:264842:266114:-1 gene:GSPATT00014128001 transcript:CAK79161 MQIKYQAIILGGGQKAGSMLFPLCQDYSKSLLPICNKPMILYQLDLLETAGFGPQDILIL LTKNHQAVADLVQRRAEIFYVSEDSESGSALLEAHEKIKKDFILLSCDSMIGANILDLLD FHYSKKATITCLIKEEDLDKKQGRAPISCNLDESFDIMFIGSDQSLLHITSQEDDDQVNL QVSRNVLLSCQSVQIMTNLFDTHVYVCQYEVLELFQKLSKQELEIQNWRLEFLPYIIKHQ KNVNLLNLMSKKEQGLFNERKQQQFSIKVFITQDYARRLNNIKDYQQANYESMIKGNKGI SLYQTVQDFQIQNQYPQDARISPDTVIGEGTRIGNKVTIQRSIIGKNCTIGDHVKISNSI IMKNVVINSNCIIQHCILSNESAVGHATELNKCNLGTLASVEPNQKLVDECIIR >CAK79162 pep:novel supercontig:GCA_000165425.1:CT868319:266160:266515:-1 gene:GSPATT00014129001 transcript:CAK79162 MKKFLLFLTIISIVFSIQETTTHSDCLTQYCSAEVEACRKDSTCKKQIADCYQTAMDDLS PAGIQRSKAAYDLCLSTNSYAKVQNLAKCKQRNCPNKLLII >CAK79163 pep:novel supercontig:GCA_000165425.1:CT868319:266568:266941:-1 gene:GSPATT00014130001 transcript:CAK79163 MRLLVIIFIVTFITATYADDNCVQAFCSTEIKQCKEDATCQQHLADCANDFRKSVKKAQD SKTYQGTEHAYDDFNYCMRTHQIARTITECQTKHCLKNNLIGIDIDIRNLEKIIA >CAK79164 pep:novel supercontig:GCA_000165425.1:CT868319:267066:268331:1 gene:GSPATT00014131001 transcript:CAK79164 MLPKFIKLRASFSLLNFNFISNLSPEIEELRSHVTKFAAEEVAPLADKADEEGKFPPHLW RKMGDLGLLGATVDPAYGGSGLSYSAHCMILEEISRASGGIGLSYSAHSALNVAQLQRHG NEAQKKKYLPKLCSGEWIGALAMSEPNAGSDVVSMKTTAKKVGDKYILNGSKMWITNGPV ADVIVVYAKTEPEKKQHGITAFIVEAGMKGFSRGKKLDKIGMKSSDTGPIYFDNVEVPAE NVLGEVNKGVYVLMSGLDYERLVLAAGPVGLMQAAFDISREYCNTRQQFGKPIGQFQLMQ GKLAEMYTTLQASRAMLYSVSRAVDAGNITNTDCAALIYYTSVNATQVGLEAIQCLGGNG YTQEYPVGRIMNDAKLYEIGAGTTEIRKWLIGRQLTQ >CAK79165 pep:novel supercontig:GCA_000165425.1:CT868319:268347:269500:-1 gene:GSPATT00014132001 transcript:CAK79165 MIITGCGNFYQTIKQLGSGSFGSVYLVKDLNTQYEFACKIISKHLINMYNASQMIQSEIA IHSSCNHKNIVKFYSYWEDQNNIYILLEYCSKGHLINPKTQFTEDEVFQIFHQILSGVDY LHQHNIIHRDLKFENVLIHEDGTLKLCDFGWAIKVQQLPVENVMCGTTEYMPPEVVSKQV LDFKVDTWSLGVILYELLHGSFPFNGHNQLELIQNITTNQLLIFRSDGVSEDLINLIQAL LIKNPELRPTVQQIYLCKWVKTNMKTHNIFNHYENESLKLKVKQKNIALKVVHDVKYLTP NKKMKTSHDDVDGKSTNSINTIQSISTNTSPKQNKYVDKFFNFIELFKNF >CAK79166 pep:novel supercontig:GCA_000165425.1:CT868319:269786:270670:-1 gene:GSPATT00014133001 transcript:CAK79166 MILGIKFSNYQIYSFDFNNFQNPENEDIIGFTDKGNAFVVKDQSKLSNEILPTHFKHHNF SSFIRQLNMYGFKKIRNVNNQNEFSHYYFRRNMENLLVNIPRRNGGVKAKKEKSIKMKNN KVKKIQEDLFEKYNSLKQDIQNINIESNFISKQVEQFQNTQSTLVESYHSIFMEFSVIKS KRQTYNDLMCQLLFKLTNASPNSSEQIQNMVQKFNNTNQNTMSNGAGSSDNNNEQNGGSS KDDTNQSNGSNPQLEEERIKSV >CAK79167 pep:novel supercontig:GCA_000165425.1:CT868319:271204:273501:-1 gene:GSPATT00014134001 transcript:CAK79167 MLFEQYKDYEYNRKKMELEIEYHTPKTIFIKAFGIELLFRDVWPYLIDEDRKLKLVILNF IVQYCKTMIPKWKTTEKYYENFNHGNIEKPNEEYTHTFYFFQYLDEWSLCIYSTQQKTLY SYILSESTQRKIKTIFAKEGIKVDQRINIGQTVTIAEEDTVVMVKMLYYICKEQELIFEF NQEAAVDWRKMVKVIDLFLFELHRQYKEQMDYQQNQSNSQKSHMHSNPDLSIKQGLKPIE FNPDRSKIGEAPMLDDSLYSMKQRSSFDKSLSVSRVRHGKVTDEMIEFSKEEYNYLIEQF KQQVIQELKQIEPQHPTVFLQKYLKGNGDLMVKKDGSGKDELIVKLKGEQLQVLLQQYEK YYQQQINNKQFEQQQEQMKQQYQQYIQFQQLLNYYYQHDPPKYSKLVTEYYSRLNEQTLQ NLKPLIPLSTKSQESSQANISQQSLKVPYSPQMQTLSYSKSSDRYNKPINPVIQPSFNVS KSFSQNLQLQSSVNISRSIDPQFKPSPQNTVVCSRYNKQITYQEINLLQNKGEITENQLN FYIKYLEEKQSSQQQGTLKQLKLRIFYLSTTFYKTLIKEHNNPDSISYQSASVYTSKYIG KDNTIFDKFDIILIPIVIAAGLETILININLAQQTIYFYDSLINGVGSQSMAQSHIAQNG LLNNPFLMCCLRFLEMEYNQKLMKTLSLLKWNIVYSPHEKIKENSKTNTVIAFLMTQISK GIQVEKTFSIQLDLAKFTSNLLKFFSQLGITQNRKNELNIEKMVL >CAK79168 pep:novel supercontig:GCA_000165425.1:CT868319:273520:276773:-1 gene:GSPATT00014135001 transcript:CAK79168 MQIHPIQALSTTLKEDSQIHITGSEEKLLKTKQTILDRAPVNQEALNTYLKNTHPTDVDE KLYDCTNCYNVDVGFNCCCSTEFQSDINQYGAGITIYFRFLKQIILYFLLFALLSIPQLI FSLSCVKDTLTFNSILMGTTLGSLSLQGDSCVKMSWDDVVLNNNVTLDSATILEKTTFNC NSGTISSQNFVLGFVQEGSNSIPCTYYDPSQAIINCTNSKAFTEIQNKCVGQQSCEFIIR ESLFDSCPDLHSNIVYAYSFCQDSEFSLGSVSVKNNTVSIICGYIDLAIVLILSIFLFTL ERQEKETMKNVNESQVFIENFSLEFRNLPECSDPKELFQKLIEIELQLAKKFPQIPSFHI VDIQFSKGNRSVLIGEERAMTIKKRNNLVRRFENKFKVSLITSMDLKQNLATAVLQQALN QLQQKEDAQELLDEIQKLENQISEFDNQIQQPEQFNEIIQYAWITFDTIEQRNMAFFVYQ EDYLRDLFSCCGCNEIMKIDNAIIKAQPAPPPDDINWMNLQYSSVELFARRTLSFMLTFI LMIFTIAAIIFLKYQQLTYQQDYPEPNCSMYPNITEADVIGDQSKSVKKGLLECYCKEDF LNRINMTFSNGEQLCQQWFNDYVKIVGWPFLIVVVIILINQVIQLIFTKFAEFEAHRSKS KQLSSRLLKVFVVQYINTALVILIINIKFTSELNLSSFSVLLNGKFDDISVQWFNQVGTT ILLTMLIYVLGPLINIVLMSIFRCLKRCFDQCCLEDGQFTRKLTQQDLNEYYTGSDFNVE LKYSQILTVICVNFLYSSGMPLLYLTTALFLFITYCCDKYYLLHFCKNPQKVDDSVAKLV REILKLLLIWHIIFSIWIYGSTKLFPETIDFTQSIQSSSIVQSAQSNVFGEFFKRAITSQ CIGLTCILIVLIIYYILWPCVISPILRTIFFFCYSETTLFDKVENTFILMQQSIYELYSQ DQANQIMQLLRIQRQDAQEKGEQQFALLMGERLARMQALKFFKGLINGLHSYDIVLNPKY SQYHTL >CAK79169 pep:novel supercontig:GCA_000165425.1:CT868319:277074:277955:-1 gene:GSPATT00014136001 transcript:CAK79169 MIKLEQKQRLKKQSESQGDTVDIVGYSGKRETLKQSVVAAIKQKRDQLLVKNTMNYIEPM KLPEIEMVDGSLYEGEWMKGQKWGKGRLVYKDGSIYDGEWKQNMAQGNGKLIHTDGDIYE GQWIDDRANGFGIYVHSNGATYKGLWKDDQQNGKGQEVWPDGSQYEGDYFEGKKHGYGKI QFYDGSVYQGQFEQNNIHGTGIYSWFNGKVYDGQWVQNKMNGKGTLKWPDGKKYVGEYQN DIKHGYGVYYWEDGRIYDGMWKDGQQHGEGIYVDNNGMKKKGIWEGGRRVKWV >CAK79170 pep:novel supercontig:GCA_000165425.1:CT868319:278250:278920:-1 gene:GSPATT00014137001 transcript:CAK79170 MPSYLLQNGITMGSIIFNMFHTFQPRGKDVGNLQKLLDERLLARQARQLGICPIREELLS QCFDEIIRQVTIDCPERGLLLMRVRDELKMTIAAYQTLYNSSVTFGMRKQLQAEMGKSEL EEKIVQLEQRKQKLEEKRIDLLNKKDSLDKKIKERNQIEEQKRKQEIEFLKYQGQHLEAF LKSVQPELK >CAK79171 pep:novel supercontig:GCA_000165425.1:CT868319:278921:279100:-1 gene:GSPATT00014138001 transcript:CAK79171 MAEVKPPRESLVKYENPIEVSNANDASRTLQGKKKAQLSPLESKPNTEVSNEMRNVRIY >CAK79172 pep:novel supercontig:GCA_000165425.1:CT868319:279213:280947:-1 gene:GSPATT00014139001 transcript:CAK79172 MKSYIIDKDLGQGTFSKVKLGIHKLTGEKVAIKIIDKTKQQDSDYVRIHREISILRKLRH PNVVQLFEIVESDSKLYIVTEYASGGELFDHIVRNKRLEEREAARLFIQLIHAVTYIHDH QVVHRDLKPENVLLNEGTLKVVDFGLSSTYQPGQKLKTPCGSPCYAAPEMLQGLSYDGLF TDIWSSGIILYAMICGQFYQVNFRCVPFEDQNTKRLYEKIKTSDFHLPKHVSLQAGDLLK KLLMKDPTQRITLQEIKNHDFIKFAGQYSIPHSLKIDNDIVQQMVQYGLSNQSEIIEMIQ NNKHNQITTTYYLLQNKNPQSQQQFQQSTFNKITQQLISQIPHSQINENTPYIFKIKLKS KSPYQQQEKQSDVTLAHITSSPRKNQLENSNNISITKKIRTKTRSQEPIQKREPSLSNQQ PLTKQTRQVQIGEFFKKTDSDQFVNQPRQSSIPQGYNKFIITERVSMQNNTSISKIPSRQ GRLSFKIEQKPLSTQPNESNKDGKHQKSTSNTKKPTEISSNQKLLQMDTIATQIQNNIQN RFLIYKKKIKP >CAK79173 pep:novel supercontig:GCA_000165425.1:CT868319:280955:281383:1 gene:GSPATT00014140001 transcript:CAK79173 MIDVKGDGNCLFRCVALALQGTQNTHQEFRLMCANVIQENQAIFKEKIHQGMLKQYDESK EVFQQYIEQVQNGEIMGGLIELQALSLGLNVQFNVEGIGNKLLDIGLSHNPKKEIQLCRI KNDKYKDGHYMFKKIHKIKKKK >CAK79174 pep:novel supercontig:GCA_000165425.1:CT868319:281409:282526:1 gene:GSPATT00014141001 transcript:CAK79174 MGNNCTGQCAGCYKRDIEILKSEQHQILSEKPYETLSRKSSKNVLQDAIPLGSIYEINIK PITKSVVDIVGDKSRKTKAVIKIQSYWKGYLVRKKLPYRINIKTSVETEGSRTTGSYFER LCNESNLKKLAKMYIGQWLGKERHGKGIQTWSDGALYDGEWQHNKAYGKGIFRHADKIEY EGEWKQSKACGFGIMRSQNGAYYEGEWEDDLQHGYGKEQWADGSMYEGQYYKGLKHGKGK YVWKDKSYYEGEWQNNKIHGLGAYHWIDGRGYIGEWKNGMMNGHGEYSWSDGRKYVGEYL NDQKHGYGEYKWIDGKEFRGMWEKGVQHGEGVYVTIDGRTKRGMWSEGKLVQWMK >CAK79175 pep:novel supercontig:GCA_000165425.1:CT868319:282600:283732:1 gene:GSPATT00014142001 transcript:CAK79175 MSSDVIKRRSRGKENLSFNRNSSKLNSITQVIEKSQQFQNLKLTLGEYWGQVKLNKANGI GSCHFNTGGFYYGEWKNNVMNGVGIYFFNVGGYIKGEFYDGKANGYCELCYQNGQLYLGT FKEGKQQGLGLKTSRRGKEVVQYKDGIRVNVTEEQTQQEDINQLLKTQLPQIYSHNDRTV YGLQCDLTGLGIIQYKNGRVDMGQFQNGFLNGRGRIIFKSGDIYDGQLQNGHFQGQGFYL NYNSKQMTEGIFEKNQIVSILNQFIQYPPKGNDEQCIVDQRIIIKNVHLKTRGVNADSQY NSGQKTTKVNKIQNLIQQMLNPIKNSINSESGQHEVVLDNLLSRVQSPQQRKNLEQIINQ VTSPQRIR >CAK79176 pep:novel supercontig:GCA_000165425.1:CT868319:283805:285066:-1 gene:GSPATT00014143001 transcript:CAK79176 MIQQQQEIVMEPPLYFAKDHLFDLNFHPFQDVVCCAQVTGVVNILKYSSDDVVEIAAFTH HQQSARISQFFRDGQHLVTASKDCSFAILDNNGKMVLHQLKAHKHAINALKQLNDSIIAT GDDTGNIKIWDLRQNKCIFKVKEAEEAISGIEIDSSNNLLLSSSLDGYLSVHDLRFQSTN EKCLYAKSDCMEEELTDICLVKNGQFVCISTSEGNLLLFKWDYFGDFKDRIIGHPNSIDS ICKIDEHSIITGGEDGLVRGVSVFPNMITGILGQHEDNSYFPITKIVVDRTQKIAASLSH DSSIKFYDIADFVNKRKTAKVQVNETEFEYTNKQTQKDMDMEGDSDDSEDDSDDDDDDED DDGKQKKQKSLKTKNLQQSIANLKKQKIKQFFDKM >CAK79177 pep:novel supercontig:GCA_000165425.1:CT868319:285134:286304:-1 gene:GSPATT00014144001 transcript:CAK79177 MQEFQSLKKLIEQKKQSQANSISIQEQKQKVEQERLRLLQEQREREREKHEKLVKKLKIQ DEYYKTKDIERVKIKRLDQQCINSERDKIQQDEIQIPQDLPPVEKKEVQKRLRDLGEPIT YFGESDWQRYKRLMQFVQEKKDYHQRELEIKILEGEDKEEIKVFLNKIKERNLDPNTALV PVFQCKGKEEIEQQLLDGVSLLTRCEDVYLWCNKMLREWNIKLVDKFNTETQIRSLEGSQ AFNSYKQTLDYIKPLTEQLQKARMVQSINEEILNALYLIIRFCVYKEYVRAYDKYLELAI GNAPWPMGVTMVGIHERTGRSKISSSQIAHILNDETQRKYIQAIKRLITVSQKTYPSNDR SKMVDFRTEYAW >CAK79178 pep:novel supercontig:GCA_000165425.1:CT868319:286368:286630:1 gene:GSPATT00014145001 transcript:CAK79178 MNTHTISQPKPLIRLIQQIKTDSVSVSSTQSYDSHFSEIERPENPMIKNFINNYEDDLDT FFDQLE >CAK79179 pep:novel supercontig:GCA_000165425.1:CT868319:287631:288784:-1 gene:GSPATT00014146001 transcript:CAK79179 MDQQTQPVQISFGEQNCRCVSNIVINKRHIFAVGTWNAKKNNEIYVNEYLELEQKIVQLH KIPINGMIEKIIAKKEQLYVIYIDPQQKKTKLCCIELAENGRYQVNFDLLYEGLTNVICP EDESNIYLSSNKSIIQFDLNKLSFDNIIDDNDLYNLCEQDPHHKNLFVRSQNNYLCIQDT REKKVNKFKAHSLQILDIDFNPNKQYYLITGGEDCLAKVWDIRKTQYAIKSFEDLQNSVL QAKFNKFHDQLVSLSFDDGTISLYNITSVSSVPQLNKEDDYLVKQYDEHEDSIYGLSWSR GTAWVLASIGYSGHMIINTVPTTEKYKILL >CAK79180 pep:novel supercontig:GCA_000165425.1:CT868319:288961:289501:-1 gene:GSPATT00014147001 transcript:CAK79180 MQYVPLTVHLNALAQLYQQCATLSEKHDRLAKGLDIFNAQVNHIKIAPMFDQDRVLQEQN TEAPQIQDLNSQRPNSLSSNCKSPVEIDLVTDEDIQIEQGTKPIQSETKKIKKIKQNVDS STFLQCPDCQKHCKNQAGLKRHQSRMHADKPLKHVTKKLQNKKQQGNNVQN >CAK79181 pep:novel supercontig:GCA_000165425.1:CT868319:289618:293525:-1 gene:GSPATT00014148001 transcript:CAK79181 MDSASITLDNQQTITFQEKLIKRTTPWFKKLFFVQIYPIMEKAYKAELDEKEMTELETTE QSYVRHQQFSYHLNINRQCNLVNLILQYYFKYFLKGFLIQLIVLFSQLAMPFLTKYIIGY ISEKNKDYYQAFLLISLVILVRIVNLLSMSHSRFMMKIYGYDIMSVISLEIMSKCLKISL LSNTEKSIGEIANLIQVDVQRLIQVPNNVVNMIIIPLQLSITLAYIYEEIGISVLVGIII IILSIFQNSYVGRQIVKAQTKVLKSKDNRVKVTTEAFQLIKFIKINALEQYFLNKIYKLR EIELESIKDRLQYFSINVFMGWLSPQMILSLTFGLYVLLGNELVPSKTFPIIGLLSILAA SLQLLPISLNDLLETKLSLIRIQDFLNSDELMNDLYCDYKKVANSSLEIEQGNFYWRKEC NQEQLILKNINIKVEKGKFVAIIGDVGSGKSSLIQSLLGEMIYKMDDDKPIINITGSIAY VGQKPWIQNATVQDNILFGKPFEENLYEQAIKYSCLTLDLEILVHGDQTMIGEKGINLSG GQKARISLARAIYSKAEIFLLDDPLSAVDDQVGNFILKDCFMNLLKGKTRILITHALNYC KHTDYIYLMQKGEVIEEGDYLKMQNNIVYQEIEKKFEFDVQKQENQEQKNIVQMIGEKDI DHNQVQIRDKKKNKSDLMTVEERKKGEIDSEVYVKYLQYKKNLVYQTVLLIVMIIWILSQ IIANLWVTEWTSRYVSLSDHYSEITYFWVFLFLGVVQSLFAYIRAVMIVSQSVKSSSQIH NDMIHCLIFAPQCQFFERVPLGRIMNRLTKDINSLDVEIHINIAQFSTKISQILSNNLLS IYVSTYLLIFPLTIFFYICLKIQRLYMKASRELQRLELISRSPILSYFTQSLMGLTTIRA FCQTDFVMKEFSQKLDNNKQIVYYSTAASSWFLQVLGLASLIVNTFAIAYCILFTSNPSF AGLILTFAASLDRNVQQTVDSLSFLENNMISFERCLEYTKIESENLAEITTVKQPWPNQG KIKFIDYSVNYRNNLPLILKNLSFSVNSKEKIGIVGRTGAGKSSITLSILRILEGVSGLI EIDDVDISKVQLQKLRSSITTMLQDPVIFTGTIRQNLDPLETCSDEEVMKVIEECCLLQL INERNGLDTPINEGGDNLSAGEKQLICIARAILKKSQIVLIDEATANIDIETEQKIQQTI QRLFKDCTVLTIAHRINTILHCDKILVISKGELKEFGSINELLNNQSSLFYEIYQEAINH K >CAK79182 pep:novel supercontig:GCA_000165425.1:CT868319:293998:295332:-1 gene:GSPATT00014149001 transcript:CAK79182 MFYLDKQSHKSKWHLVLMTSFGLIASSYCLVLPAQMQTQIGEAFNIDDPKQFLTWLTSLH FLLNSFLPFFSGLIRDFYGDRKSIIFQNGLCALGQIIVTFGISSGSQIVFIIGRILLGWG IESLLIVLTSFICSYYKYTYLTFVLGMYQFVYTLGFVLCIWFAPQITSVGASNTIAILAT SLGMLMSIVAIDIDVDAQSTILNKGAKYFKKHHEKLKSSTLHQQQQQIEGEEIQVDQLNH QEEQMAVEQIESEILPQSFFEKYFGFFNKNQYPSIYWYLLWFYSFASTSVLVLVDYAQEF LYDKWLIAIDNGETLAWKLVTLMWLFSGVITPLLGFVIDKYGQRSSLTIFSGLMAIWAHI LVLLQSPFEGLLLLGLSFALSYTTVWSGVLYLTQPNTHGRSLSLFVCLQNFGITITPFIC KLLEQQD >CAK79183 pep:novel supercontig:GCA_000165425.1:CT868319:296264:296530:1 gene:GSPATT00014150001 transcript:CAK79183 MAEFSSLKRKFESLRIVDDESPKTIKKTLIQDADLYLLGKKIQSIHLVQPLQQVIKKPDI YQACFFKKDGYNPFLYIDRPITKEKDES >CAK79184 pep:novel supercontig:GCA_000165425.1:CT868319:296864:297296:1 gene:GSPATT00014151001 transcript:CAK79184 MEQFEQQNENENQEEVSGTVKKRIKKVQAKKKVSNEEPPEDCSICYQEIIDKGIIQTCKH SYCFKCIEVWAKQKQTCPQCRMNFNQIKRVRKYGRGRRQKMYSYRSDDYKIYHLISPNSI FHQFINQLLNMPEEY >CAK79185 pep:novel supercontig:GCA_000165425.1:CT868319:297608:299402:-1 gene:GSPATT00014152001 transcript:CAK79185 MQSKIDRSFFDEQTIDSSFWEKSISQINNDKIEHQFIFFEKSQKTGIWVSRNYAIYDSKL IKLRPNHKTQHQINLNKSRIEKIIYKNDQEKESCNRKKYGIRITRNQRCREFYSRSQEIN QKIWEELKKQSLQITFKQDYDIQKMIGKGNFAKVYLCNKKSDKLYFAVKVFEKSKMINTE TDRLALLKEISILRKLNYKGLIKLHEVYEDETHIYLVQDYLQGGELYQHIKKNQKLPENI VAGVIATILKSLEYLHKNNILHRDLKPENMILRKKGVLEDIVITDFGLADFCDPFGNYMF QRCGTPGFVAPEVLQDKLYDSKVDIFSVGCLMYLLLTGKSPFKGSSYDEIVMKNFNCKVD YQSIESIVSTQCLQLLKLLLHRRPSQRPNPNEALKQEWFQINLDGQRYLELNNNAEQGQS KKEITKSSSNDIGSCGYMKYFSCGIPEFCTAQISIVNNKQDAFIYTPQYAMMQSIQEQFK DSEKFISIRNLEGDFGDMIFEDEPPQSHKLPQYQLIGKLKKFVDQNHSTNQASQILLLKS TSQDQSIFNRTQPKIDNELLKQS >CAK79186 pep:novel supercontig:GCA_000165425.1:CT868319:299435:300665:-1 gene:GSPATT00014153001 transcript:CAK79186 MNSTAQVLFLKVEQGNVNKVIEILQQYPNMIDVENAKSGQTCLTIACRKNDGPMIEKLIT QGADINKTNRLNQSPLWICSFYNYRRTADFLLNHGADVNQQDKKGFSPLMIAAQRGNLET VALLLNAKANIQLLNKNQDTVFDVCKDYDTLQFMIQTLQIEEVRVKQPDKFKPKQQSNIN SMKSTQTKFTNNSYRREKSESIPNLNEEAYTIQTMINSICEEMQLIFNESIQKFKDCILN KANLAEAKKSISLEYERIQQSQQIDDNIQFQYDNFQYEVSRQIGRTLYSIIHNLDKAQVK SPSPKNKKYLSAEPLIEATVEEEQSTPLSQFRNQLKKVETPSQVKEPLSRGSVKGENEQF NKMMTQKLEILNEMINSRIGNRKQ >CAK79187 pep:novel supercontig:GCA_000165425.1:CT868319:300696:301804:-1 gene:GSPATT00014154001 transcript:CAK79187 MGNCCNGNQMLDKQNPQIILVTDQQNSSLQVDRAAIKIQSYFRGIKVRKDMKSMKNQYHS NNEHAPLTLVAKLSRMPNYLTEKTKKVLDEQGPFEEMSELDLPKLGPYEYNDQTVYYGQY KNGLKHGYGTQIWIDGSIYEGQWQKNSAQGIGRLIHCGGDVYIGEWQNDKANGYGNLYSR RWRKICRLMEGIETWPDNSIFKGQYLMGKKHGNGEFQWKDGSKYIGDFDNNLIQGYGEYT WFDGRKYIGTWKNNKMDGQGFFTWIDGRRYNGEYKNDKKDGYGEFKWPDGRIYRGQWQNG KQHGSGIYIGDSNVEKQGIWEQGKRVRWIHKGESIITD >CAK79188 pep:novel supercontig:GCA_000165425.1:CT868319:301840:302947:-1 gene:GSPATT00014155001 transcript:CAK79188 MQNNNGTLLAIMTVEITQDERDQIYIYERDDPRDLGIAFASKHDLPGRYLLKLIDSISQH KQQAQHTINIEQKVIHDQKSNYFRNSTNSTPYKQNSQISTQSCQKKSPLVSSRSHYRDIS QDISKMMRDKSAREIRQQKPVDENELTFTPQINKNSEQIVKQKRQDNSVEQRLLNYGKEK QIKLQELRMQKQHSQDQQNKSFTYHPKTTQRSHEILNEKSVSKTYKDIYNKTPEYYNQDN KSSNNNSYVLNLPCADQSVVQQVWRASLMKLFNMLDKEQKGELNTEQVDLSQMSYEMLQI VAPVLIIMEERGGTFRFEMFAKEVTNFCVKHNFVENLFSLLLQ >CAK79189 pep:novel supercontig:GCA_000165425.1:CT868319:302947:304045:-1 gene:GSPATT00014156001 transcript:CAK79189 MNDLYYQLKETAQQNGITIELIPSNRQSIAEMMPDFQKKSSMIRMKLQLIRNNNEEISRL TEIIQTAASTQKEKESMKKVDQLQVQINKNTSEIKKLFDEMDKLVKESDPDEPETRAMIY NQKAIQQEVASILQSGQNTIFNYNKTIQKKMKRQLEILEPNLTEKQKSDIIQDPQGLEKM VMKETLGQPSLQLVYRVQDIQDKYQDIQKLEKSTQYCFQMLSEIAFLVTQQGEQIESIEQ NLNKAKNYIEKGEKALAKEQKIHKKSRKKMCCIILIGLIAIGVITTPIVLKFVKSSG >CAK79190 pep:novel supercontig:GCA_000165425.1:CT868319:304237:304653:1 gene:GSPATT00014157001 transcript:CAK79190 MQILSFINRHENLSKWQQEQNKLRQTSQQRERTKTQSNYRAFSLNQKAPSNSKSQPDLID DNETIFVPYRQRNISQNSISISYDNTNKKQSFLPAMNHQDPMLQTFGKQVIILKPKRFPT EIFQGNVSKMKKQFQQNY >CAK79191 pep:novel supercontig:GCA_000165425.1:CT868319:304678:306016:1 gene:GSPATT00014158001 transcript:CAK79191 MEISFDFDLKVYQQIGHGAFSQVFLGEYDSKKVAIKVMDPIYLDQFKKEVEILESIHHQS FAQYFYSKETENSLYIVQEYLEGKTLTQFIKTVQMEETHIKQIIIELLDSVSYLHSQDII HRDIKPDNIIITTEGQLKLIDFGLSSHSESKLSYDKCGTLLFMAPEMIFKQPYLKSVDIW SCGIIAYQLINKKHPFWDPSESTSTFVQRVQQFSPNFQEMNEYQKSFFLKCAAYSPEARM NANQALQHPWLSGKGELWQPITMADMKKLFDNKMKTLQTIKALLMIKFLCQKFGTIIPNE FSNYEFNDSTADTNTNFKLKLVRSSSRNPSFIKQVRSTSTIFFESNNLPSPIRFTHNNKR VSISPNKTMLHSESIQFSQIAKRNTQVIKLPPLSNSPVLKKQRKSNLNFRLKQY >CAK79192 pep:novel supercontig:GCA_000165425.1:CT868319:306196:307956:-1 gene:GSPATT00014159001 transcript:CAK79192 MKKSVIQDQKVDDNQQRKKNEENLKIPLNLYRSYTRITQQSLLEEIAPMMSQIEFLKKNC PEGTKIEEFTAQACSYFKYEQFSRGQIVFNYGEYGDKVYLILFGEVGVFVSKSQEEIAND REVIETYKSNLNPFEEEVLDYETVFKKKKRAQDLQMELLLASQKNPFEVNNMYFKDGICL YKKVFQFYSGQAFGDMALSSDKPRTASILVLSDELHVLTMNRQDFKSVGERSIKEKNQTF EYFLSIFVGVSKFIVTKFIQYFQKIEFAPQTVLWKEGDEPKFFLLIVRGRVEIYRSYDED ALHGKHKEPSIFQSKIKPKKKVTLSQLSDNSFVGEAELIDNHPVRLYSCMTSDNTLAYYM ESDAFNIVRKNHPDFLKILKEKSFVIRSYLQRREKYLFENIQKQDKAMEKLQYPDSTNTE RVTVDELYRDTNKLNQKILRSQQKSMFTTTDIVNSNNLISKKITPQSENMFIFHKKQIEL LKQKKQSQIKSNSVIPPINLQKSISQNNFALECQVLKSYRKMRFQQFQANREVSLKIKSQ IGSLITTQLRSSSHDSSCPLATNEFGKRIKTLQEFYYAKTFITTNR >CAK79193 pep:novel supercontig:GCA_000165425.1:CT868319:307996:312200:-1 gene:GSPATT00014160001 transcript:CAK79193 MLYILTFIAYAYSYIIYVNETYQLQLTNLFGYLENPTYSIVDANPYASIIPQYHLYTDSQ KHNQNADDIISVKAQHLFGHWTNHFLFLENNKGTFNVHYSENPQEENVATPKFNEHLQVT NENNMICFDAEQFDSSHYIVDCALQVTDQPLQNKFYVIAKDQSLQQQQVANPLGYKTMRK RKIAHLYQDEQDYLLQGSIFQSDSIIQIYKIKTPAITFEEQSVEISTNILKILYKDQLEE TFKFYLIDYKLVYNQVFILDNLFVAALSFDKYGDWTSTFFIKLYGNIIAFDYVYFLDDNG KKLSILVILKDTTFDLYLNSQGVSTYSLPKKISQNSQIKISDHYIIVEDENTIYQYSIYN KILINQKTLQQVNFYLVNPVYPDLISISYNLTRRYYISDGYLLIKSGNVAVDKASFNIQA VSKGETFTKEISLQILNQNDFNLYFNDKPQQVITDVLASVNYTQDVIQFMSGPNVQFKFE NQGDDLDMEFETLEEMVISNWPQASDIHYQDMLQFNDTQVYVLFQLKNKEVQIYNCKQNS ISLIEQCTQFVNSSVFSKSFSVLVDESHFQWWIDNEQAYVVFCDQEQTAELWKINEQELV KLNKITSVGEAITQLLELDRRIYLLREKSKRIGIYNSLDGAPLDQIDEPTVKSLGMIKSW SPKKLFGNQKYNGHLLFIRNSDNILITIYNNQLNMVECILNTDEVQITTYKSSFFMIKQT QILEYSLEDLNNVYLIRQLQIYNHEFKNPMLITQSEDNGFLFILTKQQQILVYQPYNQNS NDQLFRIIDAHLDDKFMMSACGYQKTHLYFNNGTHQSYFAILKQSKLYIKSINLNNFDYY VNVTKTLTIFNTEHTQKILETIFILNIKYPIALTQQLDISINSSLQNSTVKLNQLYKGQV QNISIDCDQCKDLIKLNLPIERISENIATNVLDIESFNSLYNVALTKDKLLAINHKDNVS SLIEFQSPNSCEMIAMQENAKYIVTLCKYNNVYHAYLTVCDKDQKCSNIDTPQDILDLNG VQKMVVIPSDLILMQDFGKIYVYYLNINTELTKWSITLTQIIYNDKGLTDFVIQKYSTPK EGENQNYILSFIDQADHLNIYYTEFKQNKLNIIQENNIYLYDLVRKNNQFAFKTTDYIQL FATQEIKDNQLNYIIISNDVASFKLQLDLDNAKLVVKSRLINVINPFKNWIALNKGYFIE DNLLFIPYTNKQDTVLAIYELNDKELSVNMVYGLQDTAFQVKDGLFIVYGFNEGQNKRLY TNLVGKMLNGYQMSLETSLSVLRPSQLKDKQQVTISVSNAYNKEEEKFNVIRVDDPAPPP NPPDDDKSGSSKWWWILLIVLFGGGLIIGGILFYMKRKQLGLFKVKENQYSLHNY >CAK79194 pep:novel supercontig:GCA_000165425.1:CT868319:312212:313567:-1 gene:GSPATT00014161001 transcript:CAK79194 MVNICLICDFFYPRLGGVEMHIFQLGLCLMERGHKVIIITHKYDNRAGVRYMTNGLKVYY CPFVPAHEQVVLFTYMGSLALLRQILLREEIHVVHSHAATSFFGGELLLHAKSLGYKTVF TDHSLFAFNDAASFHVNKILKFILSEVDHAVSVSHISKENLSMRASLDPRNISVIPNAVD CSRFKPDPSKRYPLNTVNIVVISRLTFRKGVDLLVDVVPTICKRFPQVYFIIGGDGPKKK IIEESIRKHGLTDRVEMLGSVPGHKVREVLVRGHIFLNTSLTEAFCIAIVEAASCGLFVV STNVGGVVEVLPKNMVNFAKPDPDDICEKLAVAIPIAKNVPSHQYHEQVAKMYSWHMVAE RTETVYNKILSSPYPSILGRIKACQSNGPFFGLYLLILLIIDYIAIFILDLIFPFKNLSK PLKFNPEYRVNKEKYGDHQFKVN >CAK79195 pep:novel supercontig:GCA_000165425.1:CT868319:314870:317000:-1 gene:GSPATT00014162001 transcript:CAK79195 MLNYDKNDDEHQHGTVQEQLEKLKFQSLEPKSSTISFKNNVRENRKQSHANIKARKEASE SISESSYDDQSELYFSEFDIMNDNKKAKILCEYKNSYFNEKIKVFNEIKSKQIWPKQQPQ LNQDEASVQLIKSSSIMRQQSSVSESNHNTISLVRRIKEFNDFSVEQSLKQINSPLIFYI PNNHQFNFNSTQINNNFTSSHAINNRSSQQIKENSQYTIDIPCDSLGQQSAKHTDKDLQL QIIEAIFSKNVFVLIQMIKFLQKEYRTEILNQKDVNGNTPLLLAIKLSHQQNQFEIIRLL LSSGCDPSIKDLNGWSPIEETVAQMDVATTSILFDYLVQKRMFDIQQERNQIDQELLRIN DFYLEMKWEFKSNLIPFISKITPNDTFKIYKRGSSLRLDSTFAGTKNYKTKRRDISVFYN PMIGPSQRKENQSNCRFVIILNRTKKIYYYPIQEIDIEEKEQIILDLLHCDSVSGEMKVT QCDLIKRKNIFGNYVSQKINNLVCQKYEFRIQGNKQFRKKQRSHYSMNFEQYIKQNFDSD SRQDKVNFEPWAQEIIQNDGNSKKQQKLCQLYISKEFPLDFRQFLPIIKMLARGNEMLQS LLEILLSETVKQVLNDNGFPVRIEIPINFTIDGVVTFQNYTQIDFDNSEIIELFQIPSNF TLMQRRDATKVMKRQKKRLILANLFL >CAK79196 pep:novel supercontig:GCA_000165425.1:CT868319:319066:320673:-1 gene:GSPATT00014163001 transcript:CAK79196 MKFKPCYMIEDIQKITCREQHNDKQSLFVMLDSRLKNEQRLQCDKCLLTKRGEFNAITVE DAKSRIEKYLNRHLSELEKLIFDKITHLDEISKTLNNIQIQLEKQLQDVQDRINIWKKQL ELFLNENCQFSLIKELVNLDKEENQWVLEKLSHSLNQINVIDHQHSIILKFRVDEIQRQV QKILSAEEQLYQNIQKENQNQFFQKPKEQKMHQKVLNSLVKEIKQNELCYAIGFNKNDSI MAAGFNHCIKIWKITNGQFIDQQIILQGKSEVDFAVCLIFSKRINLFIYGSYDHNIGIWN EISDNLWNQYNSTNIMSHQGLITCICLNQNEDYIISGSEDKSIIIWKINGTSIIFDEQLK KHTNTIVSINYNQTETEIASISKDQFIIIWEKIKQKWGLKQVIEYSNANFGQIITFLSDD KLILYQHFQRIIYTRDKVDKPFSYSQKQSLDLSKSVKQDKQFLFPTIYEIKNNLIVQKYN GNVYFLIVNQDFQLINFSKQKIVQNNTFYGKMTNNGKYLAIWNQGGFKIYSLTYD >CAK79197 pep:novel supercontig:GCA_000165425.1:CT868319:320884:321405:-1 gene:GSPATT00014164001 transcript:CAK79197 MDPQTQKPKLTVIDLPTFTLVGLTRDIIQKEELDPQTGQIGKAYEEYFDMQMNEKIPHRL HPKRTYCMYYEYQNPHDHDEIKYKMVLGEMVSEVTNLPEGLIAVTVPAHRFCRFDCGPGP IPKVIIDAWQSLPKLSPDELGGTRSYDYDFEVYPEELNVNENIKLELFIGLQK >CAK79198 pep:novel supercontig:GCA_000165425.1:CT868319:322550:323620:-1 gene:GSPATT00014165001 transcript:CAK79198 MEQTTKIMDAIVLWTYEVDNEEMLVLNMEVHNKSDEQLQNIFDEYFHIFDFGLSYDLQYM AIFEDKTRKSYNQSRRTKGSQKSDLRVKLLDIKSNSVVFESSLLCKGFLHINFHFSLDSH YLICQGYWDGYLVIDIQEKKEFIFKNKEDQDFILQMDSINSYYLNRNKKILKINAEQINL QQEIHLKFNFQYIIYFKSFLQQFALVSTELFQYIMYLENCKVIKQIKKQVDVRTELIIFQ SYVIIEQENAYQTEYTVRLLHSGRLVRRIKDLLQSSGNVCQDEFGIYKYSFLNDESPNNH YKIKIFDFLRGISKEIYYRYDSQNNKYYQISKFQGQFMYTLTLSDLRTQISCYILR >CAK79199 pep:novel supercontig:GCA_000165425.1:CT868319:325644:325982:1 gene:GSPATT00014166001 transcript:CAK79199 MQGFKILMKNVNHLITLRGNNCAYWGEIYNLKKIQVKTLSFKLFLKIIKICQEIQEAIKS NLKNDDYQSFYHLRKVIKELKEQLLTNKTISGHLGLSTNKYKFSLKQFRING >CAK79200 pep:novel supercontig:GCA_000165425.1:CT868319:326400:327496:1 gene:GSPATT00014167001 transcript:CAK79200 MGFFSLLDIDTECYRVSRCSTFNRSVSENWPSLLRNRGYNIYFRQISEICSIHYLYFSID QYLISRQVQLKYLNLKKQIGEFKKTSQITIYDRNQKNLISFQVILVQVFQGKFLKQNKIQ LFSLLNRKLSKIIELFQIQVPYGILFQYLIQVKIKQQLSCILSCVMPKIRLLVIVCQSNV LLSLRRNYQLLKKQSLAQFLQNHFLGNQLTNMINNICQVNLKAFENEVRQNFFFYLMLQG MDNSEFLQDRITLLLFQEQQWGLIVKFSHAKYHYYLINSIMIYLSR >CAK79201 pep:novel supercontig:GCA_000165425.1:CT868319:328540:328851:-1 gene:GSPATT00014168001 transcript:CAK79201 MFELISLPYKDLSPYISAKTLSYHHGAHHKGYVNALNTLIQGTDYQGQSLEEIILSNQQK AVKIFNHATQLWNHNSYWIILTAAFKKNSHNLQTNRKIVQKFC >CAK79202 pep:novel supercontig:GCA_000165425.1:CT868319:328904:329464:-1 gene:GSPATT00014169001 transcript:CAK79202 MDTSEGDNLKYMHALFDSYAYVNTATYNKYVHLYQHDPSYINYAAELTKLSIRFWKQRCI SISKKSQKTQKWWQKNTALLFFNLLQSKIHAKPVILALSFTIHQKSQKQLQQKLPLPMTK TTQKRLSATHGAQQEWSACFPLKSKTLLNKMAFINAGKKYTPRFKRPSFSIFQHHLLTLF IVKKDY >CAK79203 pep:novel supercontig:GCA_000165425.1:CT868319:330266:335158:-1 gene:GSPATT00014170001 transcript:CAK79203 MKGLIENKNWSAQENETIQSILIQLMFDEEKKGILQQQIQKMKSAEKVITYLLGCNQNWE ILQSSIQKETSNYEELEQQKLINQISLNQLTNIKIQIQQKIKSPQQTNRLIQYIQQKNFS QEVINNALSQDFKSIQEFQSIIYKIDPKQKNIEQCFINQNNQTLNRNNPEWYDQIQELLK EFQSLNKRLNKQNFDLLQEIYNSIKKYPITYSKNDDFSKSPNLQLGDLLLLCARVYEHFS FYPRPVQLLSVLELYNHNEDKGRLAQIYTGEGKSLIIAMLAILLCKKKNQNVDIVTSSPV LAIRDSQELAKFYELFKVSVTHNIHKSIEQNERRVSCYTFQVIYGDPHSFQGDILSHEYS ESGIMLERKQEYIIVDEVDSMLIDGNSNKTILSSSNPGMLDLTKVLGLIWDEICKVEKQL STDMKVMMIVGQDYYSVDLQEYLENTLNIQIQDVLKNYLPRFRLNYINFMKKIWIENAIE AKFHLHEKKHYLIDNQIRIIDYQNTGVVHKVDMQWQKGLHQFLQLKHNLPITPLRISTNF MSNVGFFKRYNNKLLGLTGTLGSQVTQDLLAKQYNLDFAFMPPYKKRLLKEETGIATLTK DEWFQEILKAVELQMRKGRAVLIINQTIDDVNKIEDYLKKQKINSITYIDDNQELKKEIG PQTIIIATNLAGRGTDLTTNEELEKNGGLHVIMSFLPRNIRIQLQGFGRTARQGKQGTAQ LIVHFSSNLYVGQIKEIKIVSDAISYYQQYNQTINYTYIDVLLFFRDLNEQQYSNEIEER MNKLLNEDKCFQKFCQIAKKQVCIKTDKPAFRALEEKWGLYLEEHQDDGLDEDDIEEKLN SDETQNPKYLVQQGIDKGNIKLFEKAIQIAINDPIPEYYKACQQIKMANYKEGAETFIKA RQLFQDKINDDQGFLTVAKLNKLQVQSSQQIQQKPDLQQSERKISNDKKVMPKLDLQGDS QNQNKSVSQNIQKNEQLDALEQKIKNHNKVYQKAIDSIDQILNTLQTQLASDEHFELGWI PVINESKEKNAESEQYIKDQQEVVDDGPLPKLGRLYKIKKKKSIFDSILMFFLGAAQLVI GCAMCVFTCGAALPLARTLIAEGISDMVYAIKSAWQGIAIDWGAWGQNKVIKIATTLGLA GPDCIREALIFGGSKMKSIKKVEMTEFINKIPPVTVEGLEKSCFWLTQNEKVNISNQYET LRQISDVTQNAYSNTQILELANQVLCNQVNLNNISQETYSQILDLVKLCFQQSKYNVVGF KNIFCQMAQNYIQLQIAKSKQGYDITKIKGDLIEICQRESDKKYNNNVKNEIQQFNQYKQ ALNNELMYFYDLIIDIYKKDEEIQKQTLVLDLFYEKLCDQQKVKKIFTNNTIQAIIRQNF CIHNKQVQNACKKLQISNPHSNRQFYQVAIRPSIQQLCRELNVKEYTEPLEIILQMEFIN QFKQKVEQQNVMIQKLNYQIQNIEYQVNQIKGTRFAQVYDIERVQQLIDNHKTQVDQLNQ QIQLIKELGDFDYQHYLREMVNHLKNKGMLNNMDSKFSSQLYQELNKYMIMKKYFSTFLI ESIIQVFRCSLSQMSNLQSRNKKILTSKLLLAIEVEIIDEIKKYLFESRIQTLENKIANL IS >CAK79204 pep:novel supercontig:GCA_000165425.1:CT868319:336357:336962:-1 gene:GSPATT00014171001 transcript:CAK79204 MVQIINIIIEYAFQMMMISLSINLVTSQIGVDHGEFKTEQSIEYELILIFGCMNNDAQYL ITWDQGSKEIQSRKYCYLQMMLTQIKQAQRLLQLDKNNNQAQIPLKAKRLNDLALGYSSI IIKTPFCFSFSLCFLLILFLNKKMKIIYFEFIAEVNLYYQSKSVLQIIVQSEQDFINFSY QNCFTIINKKMEFHHQQRQVI >CAK79205 pep:novel supercontig:GCA_000165425.1:CT868319:338853:339194:1 gene:GSPATT00014172001 transcript:CAK79205 MRPFQIKNTGAPNTLGQEKEKNKDPVGKQYYEEFNNKDRGENVAKIEDKIKPNQKFKSFI NQKFKPNYNQGKFERLNINKSLTKQNFYSQGELLDQTWVIIKLSTNQQVDIQQ >CAK79206 pep:novel supercontig:GCA_000165425.1:CT868319:340210:344433:1 gene:GSPATT00014173001 transcript:CAK79206 MEVTIKTYCYAIMKETMSQFICSGLVYKLFVFVELMQNIYFIMHPILKFELDIVFVEYFR QVIQYSQLTYILDAMDQNQSIILLYTAFGLQITLLFLIGLGVNFVKQKKKITTITSYSFK AISFYALILNLFLHVCIINIFFVFLICYPDSQYSYGVECYSGVYILHTVMAAIGLLLYFL IQYYFSTFYIDLKPFSDVPFAQPQNRYDYVKIIFKCAILLFITIDYKAENPYTYIIFILI LYFILLMFRIYEPQHFQLDIYNFLIACDVIQFSLAFCYTLHKFLDDGAPDTVGFYFILAA IPFVYYCAIQVGHKKNHYFQVKGIKKLSDPNQHEQLFNQLIYIIDRRDNLQHYLLLEGIL VQHMETCQNANCQCQYLSESKSKELDDLTWYKFIIQMIDLSISKFPKSCKLYLLQSYIQN NKLNNKFKCYYSLQHIKSLETSLKEEFQSYRDLHTLEEIMQEEDQKAEIIIDVLQVVKFQ KYYGQFQNLLEESVKRHYEFWCELQENNPDIQKLFTLGSQITQSVEDVKELYDKLMEINP NHINTLRIFGQFQNEVINADNLGLRILEKATQIENAQLAYSNETQTEKYGENSNTCIMTC SAETKSLGLIQSCNKEIEKLGYHKYELINQFITRIMPKCYADNHDKFMSKYLETNESKIV GKERTVYCQHKNGSIIPCLLMFKILPQLKKGLRMVNFFKVLDNYDSHYYILFNPTSYLIY GLSQNCQDSFGIPVNFAYGNNAHSNDFNMEILILDFNHLVEKLKISQENVQTAFPLQLDT TKLQKQFIMEDSVDRLSLMDEGEINNNNNQLNQNGISDNLKSSVKKESLFKVYKISCTVE KQIYTDLEVAILKFTEATAFQEASKSGNYYQSEIKLKSKQTKYSSSATKKKADQQVNEDY LNEDRSSVNSVQSSLNDDMRRLKDFKALMSEKKVPRNIRTIQITMLSLTLILLTVSIVDL VLKGIQNTSFKYSSQILSDSYSVTNQMSTITLHSRILDMIGTGEYNNSNGFLPSFLRDDL TRRLKVVQQFQFDTLKVKNDLLKSDVQVPSEYHYNFTSLLIDNSYKDTELTLIDSIREAL SHLVIMVGTDLKNFKSSDYITPAQQSFFYVNYNGLRNIRQGLVERLDQFYTFFQDLISSK FGTFLALMIISIIILIITHAIVIPILLKVDKANNKVLSMFGLIPPIEIKELSDKCEKFLK DYIEDFNEKKELENRINNKNQEEIKKQQQQQQDKHYIEMNNEEHIDEKQKEQIEKQKELQ RLEDEEKERLRLEKLEEDKRKKQEEENKQKRYLRHKKKKKHKYNIQEIELTEDERTRIEK LERSNEKNDSSALLKFMLFFILFTGFFITQLVLEVILLKQCKFFI >CAK79207 pep:novel supercontig:GCA_000165425.1:CT868319:344468:345193:1 gene:GSPATT00014174001 transcript:CAK79207 MMYRVVYGIEDIIQEKSITIDNVWMQQSYHTLIYDAERDVFESYKSFPLSGFDTYQQYYD WFNFNDMCSNITLMSPLDTTITESGCRQVQNGILEKGLRTSVINLALYSNDSLKITGNNT KSTIINGNTFQIINDIVKYIRPAFNTLNEVYITDSQDYINYSQSIEIVKFVVLIIAWIIL FFIIWMPYLTKLSIQIWQTKGMLNMIPMSIIQKNEKLKFRFLQDNIMTMVQ >CAK79208 pep:novel supercontig:GCA_000165425.1:CT868319:345355:346842:-1 gene:GSPATT00014175001 transcript:CAK79208 MFILQFLLLNGILTEQIQQQEVKRFSIIHGRISTIQVPIQNVFNVEIHHLNKTLNNTKEG EEPSVLFADFCQPKNPSIYLEHIQSINVCADQCEIIIQNNKIYSLLPGYLKVLEMNDEQG FTFFSETLLQISQNLSEILITTNIQQDNKLIILDGVNLFIINIDQNDEINKPITLQSQIE AVTQIMYFDNLIYVFGNNTLEIFIIQDKQVELIQSINIGKFESQNTSIVGFKLKSANHII WITESSLGYVQLDAQFQPIQFNSFLRLEGKIIDVEIQEDLTYVIQKLNNKLNQQYVLEYE RGVLKQNLSLTTKVRQIFSTQNYIIILQENLIQLAFAKIESQQLFSKNILENTNKILKYD QGQLMVQQQNEIVQYEIKQQSAQLVCEPNQNYSTGTYMMELRTGYFVNEKKAYHNQLVEI NVYFEIFENGNVGLYVGLTIGLALTFMTMIIFCVFFYKLKAKYQLVREQYHKNSTEFTIE >CAK79209 pep:novel supercontig:GCA_000165425.1:CT868319:347260:348282:1 gene:GSPATT00014176001 transcript:CAK79209 MVELDFGDVIEYKIVSFSQFNTIKELKENLQNYYNKQSKFEQINIEIYKDHILQTNEKIT IYKLLDLDKEIKQPKIEAKSITQNQQNQEPNDKTCLNKQQFGKLQSDSQILQELQLLKEL INSKFKILELQLTNILADSQKTILKELEFYERDFTQNQQLLKQSFINNPDWIYQKYKSFR IYNKVCQAHVQSIFVELEKFESNLQKEQEQITKLYESGSIQSKNLMKEQQYTVGKKNKLT NNNFEIEIEIEIDTTFSEIIEYLESQYPSEFKQYIQVFGPIQKWVGFSTKRERVVSISEK MCTYPVDIIKVGPFHINIPKIINNLELKVEIYELKNQHQV >CAK79210 pep:novel supercontig:GCA_000165425.1:CT868319:348314:350966:-1 gene:GSPATT00014177001 transcript:CAK79210 MNNQSGKYIKLNLFSKLKTIMKRKGQADTETIRTEWSQMIADNSRIESRKHYQQRKRLYY IATVNSVFMRNQYFIQNLPEQEDQLLQQEQPPNQSEESKMIETVQNLDTIKKIVKVRLPT DFVLVIWNIYIMILIFSQMLLIPLVLSFDINNEGISIYDWIVNGCFYADILLQFNTAIYI DGNLVQDRIVIVKEYLKLWFWIDVISSFPYDIIFDSPEMQSKAQVIKLLRFIKFIKVIRL LRALKLKKIFGRIEEYISVMESLFQFTQMLKLIILILCIAHWCACVWNLFVDGGDSNWAA EYKIQDEDWTVKYMTSIYYSMTTMITVGYGDVHAYSYQEMIYAIFLMLLASAVFGYTTNI VMELFSNQYDKFIEREQNIKSYLKRKKIDVSLASRVTSYLEWLQISYAKSQQHESTVIDS LSANLKSEVICLINSKIIEKIPFFETFSKDLLSNIAYRLQEKVFGPEEMIFEQGSIGDEM YCIVNGSIQVKYFQTRIANLGKDEIFGEICLFSEQTRSATIITEDFVNLLVLKKEPFLMI IKQLQNDLEKYHQIKYYLEIEQDYSVLDIRCYICQEFHLALNCPMAHLIIDKQVLFLEPH KQLCPKPRFKIKHKHSYKYLRDQTHLRALKKLHQKLMKLHLLGRQSMILKPQQQKIDNNF IDSEHFEIDQVKQFEFYITKGNVDEIILSINLNADIKLKQIQQEKESRVQQKKMQLSRVR KAILHNPFLGVVKQKNDPSTIFSLQVPQQQIQQICSPSPLQTKRNRMNQVKNMRQHSQSQ LMKALSRQDNKQQYHKSQFMPIDNNDEVEIEVEESIQKLRSIRINNFPQEPQIKPKRSSS FKQRKTRKLSLQEKRILTIIKQAELGLKNCVMNYT >CAK79211 pep:novel supercontig:GCA_000165425.1:CT868319:350991:352058:-1 gene:GSPATT00014178001 transcript:CAK79211 MDLEESCSSFKDFDDDGSDLFKSLIEDDSDHLSRNQCTIQIGNNLQRSTVQDSSYLVRES DKSEESDQSLILEQPELIQNLNNRQPHNRFDVILQRTRPKRKLEKQKFNLDTSAILIQLL NSKRIYRLAFNFYIFLNIVFRVMCFGITFLQCIVYSLDNRWSCWGSFGGFCLTRILFGLS IYKLLNIGEQTKDKSIKMVYETYMKWFEDIQVKIRVLERDTNSLIRRNQADEDQFQQQTK EINRLQKRLLFLKTHQIFIPPELSFLYFRKQSNGFFQVQNFIMKSIELFYFMPAFLYFII VFNVRDVERIVILDFTEHQIQHIFSILVVLDIFIFLLISLGLLYLGNKKQKVKPI >CAK79212 pep:novel supercontig:GCA_000165425.1:CT868319:352138:353010:1 gene:GSPATT00014179001 transcript:CAK79212 MDTTDTLKHAFLEFINKLNPLLPDQLLSIVSDFKEQLWSKTPTDLYEFVQQIPELKKSNS SCNTSPEPLLNKVPSHGYNPLSVCSQKESDDKSQSSYSAEKYQQLKIEINKNFFLKPTTK FNSNTQNKSSKNVDIEAKVQRENIKLVETLKDMPKLKRICFAERTGLLNQVSEALHQFKK DGITINTVYEKSTEISTPQTPIDTRTGLQQCYYVKQQDQQQFQPFPQQPVCQFKGYSVHS KRGFMQPIQFDMQFQLMQQQFQNQLIYKKLYF >CAK79213 pep:novel supercontig:GCA_000165425.1:CT868319:353035:355230:-1 gene:GSPATT00014180001 transcript:CAK79213 MYSQFILSVIGCFLIIFSTFWFRTPIRDINEIVAFRKPIYDQVVLFFLDATGYRYIMNEG KDHEIFQTITLLKGLREIYPQHTFLQKTKAVPPSTDFTILSIINGIKQMQNNLDVIFKSY PAPYEDSLLRKQFKSALYSSKKQDWLRMTSGEFTYIENPQFFNLENIQIADLSSYQFFKQ SFSQAQPDYQLYVVHMMGFDALGHALQYQDYDKGIQLLRMFNTMLEGVVNNLKENQLLIV IGDHDQSRRGKHYQCSQESFECEGFIFAFSFNELLQDDKIYEVYEPTDLSATIASLLGYQ PTSQNLGKIIPQFYPNTANRTEIQNDQEMIKNQVLKYLETQGYKVSSTFIEELKKVPADE VITHVQRNLQIGVPRKESFIIGLMLLLFSIYSIRSFWGYQEIMIIVSSGFFNAQIMILGL LVLVILKKEYNMKQLLKIVAFLVFIQLHDMGYIPEILLSIEIQFLLILAIKYHTYNCSGQ TFTKWKISLLFLLVILSQLTVYVFFLLGQSEFEFKRFLLFILISVIILLCVIDPRFFNQG KVKALYLTILFILSDVTVIFQLNYSKSFIQFALQFLLLQFTDVGQNILISKIIYNAINPI KIDHQVFKGHQQVNMNSMIILTQEFDIGPSLFSVFIGSFGCQIIGIASLFFNKLNQNRHK IIGALIISSVSNLLLDSWRILNYKQSIVNTNEMIEDQIALLNSFPLKLVELILYNLTFII LARQSRKQREK >CAK79214 pep:novel supercontig:GCA_000165425.1:CT868319:355399:356052:1 gene:GSPATT00014181001 transcript:CAK79214 MFLSSIIFCYSPSSQPSFTPRHLAFKQAFQQISSFPKSLIQYNAHFNASLQQPLSQHKAQ KINISCPNCFLLASSICNNQAAKQQFSVPSAQLTRAIFDMNNQTLSPDSQYSKFSRDVIQ NYLIDEQIPLIQQENEQVNIYFYILISILLWLTFWLFKVTKGQFLILIPYLQFSISLNKN YNYLMEKFWLLTQEAIYQLFIIIQELKIYNRMISSKK >CAK79215 pep:novel supercontig:GCA_000165425.1:CT868319:356451:359151:-1 gene:GSPATT00014182001 transcript:CAK79215 MSVQPRLSPKNYKKYSIFNDSINIRTSRNTSFDYEFQKRRNSNLKFKNSGSVLRGSKAND FMGNLIAHFQYVLIFLLPLQGQNAFREKNLIEAIKYYNECILIDSLHVPSRYMLGVCHFS QNQYEKCIKELKFVKEQQPNYNKNVYIILALGYKKIMQLEWSIRTVLILLSQQLDECLQF YPQCYDALIFKGKLELKLRRFQDCESTLKQAVRINSKRSTGYHYLGDCQRLQNNLDLAIK NYQIALQFDTSDKSKLNILKMSICLYEMANYQQALQMIDQYLSQDELSSEANKLKGQILY KLGEKQESQLYYEQAIQNNNSRNAVSKAMIEISKFKIESKDYYSLYHTLLRTEYLDVDKQ QLQPYIQFSEAVVCLMKKNYTQASEAFKKLEQLEIPILIQEIYHSYLGYLNMMLNNFEEA LKDFRKNKSLPYNQIICEGIINFNSFEIAYSKFDQALSYNQIDPNMYKAILLIKEGLKQE NSDYVNNSLQCLIRAQQYNQNNSQLMYLKSLAYMLMDNIEDAYKEILTTIEKADENLADH YYLKGLILALNGDILNAVQDFSVCLGIDEQYQKAYLQRSKCYSYLGEMQSAFDDMNSYIQ FVDDFLSAGNLLYNSKMYEEAYKTYKQEPNPTLEHQQQMASCLFQLEQFDGDEIKEFIRD KQFDQNMILAYQHILKFEYDSAILTLQKKIDPQSSFFFNKQYLHQYKGVCYLYLQKYQEA IQEFERCIQQTKSDTLIYITNYNIMFCWMMLKKFKYSHTQLEQLIKISEQKHVLLTIRNL LIDQTEFQLADNPQGIEIMLADTIKLQPFISTQYTIYPYFSLPIPQIKDMTPQFDFKIIQ SLSAQSIECRPEAPWIRRNENGVLFTDNLQQCELSQTENSSPEN >CAK79216 pep:novel supercontig:GCA_000165425.1:CT868319:359371:360042:-1 gene:GSPATT00014183001 transcript:CAK79216 MKILDDIKQQVEKEMLKFDPRLAQKKYLKMTESIKDLFLKAVVSEKQSIKAVLLIFIILG CQFNRYQLLIGQGNLGRIQKEEIEEEEEQQRRIRLEKRKSTSQAMFIQNIERLQQDQEIF YGNKILCLIEFEFNTLLQDGPSHLNNKLYNYIQSKQFSHNNFTLFLISCQLRDCKTKIIN YVVTINNKKLARNQRNLKIMKFNCICINNHQPVVLIIADQTEM >CAK79217 pep:novel supercontig:GCA_000165425.1:CT868319:360424:361970:1 gene:GSPATT00014184001 transcript:CAK79217 MYKIILDLQHIPVVFKEDVHMFEHAIEIILDYFNQPIEIIETNILSFQTLIQKSIYLRPI PKLRKLRKNRETSSTERVKKPNGYDRMFAIMNIEKKPEQVKKDEIYVFKDLSKCVDPQVI KGFNNDYDFGVFIVIYEKLGLSHIDLLNEVVKLYIQERNSKTLSYLSLWLDYRQVDLIND NSVFCTIFQQFLNLVELIATAKQQQQDELQMKITNILNGKKNILTTTLNTPSPTLLQRKQ SFDHPQKSKKFRNLSMQISNQSIIQNISSEFDGNYFYLNCNIEILQKQFWIIDIEQFSKI SITAMLNQNHLIKNHEISDYFDYLLFHMIFSTIISTKDEKFILISKFITLYQLSKEAKHY QMLHVVFTYFNHYIIQSILKSIYALNPLQQIILQEILEKEQFRTLIPIDINDRQGIIPCF SLIQFYVYKFQDIKDQQPNRLILLAQQLFQIVHLQVLTTKLNGSQANYKDDYICKYLKSG LKKQLFSYFGCNKSNIEIEIFKFYNNA >CAK79218 pep:novel supercontig:GCA_000165425.1:CT868319:362376:365521:1 gene:GSPATT00014185001 transcript:CAK79218 MLLFIFLNIISISSQSIKIGEKCQCSQLLIQNDCQKISSCYWDNQLMQCKTSIDVYSQKY LLENINEMKENRAYSFYCNQMTQEQCRKESSCIWFKEQCTHFTGCSAYMRETHEECQRLS RLCVSDSEMCVSIDNCSTYKNSYSCQYDKQGKYCNWDKVKRQCESAWQCDQLPQVLKTHQ ECQSQLDNCTTKKGGGCIALTYQCYDLMQEESCHTNSGKNKDCFWNGNKCLERTCDNASN TLRSDEECKQFLAECTTKQGGGCVQRSKCQDAQVEEACVVNQYGEGCVWNGGKCMDNLCE NAPPTYTTYEQCQSIHKICITNGNGCITNYGCEFALSEQYCYKDGEDNECLWRDNHCRRK QCQQAGDTYLGHEQCESFMFLCTGNIDSRGCVEKTCQNAPLKFSTNQECESYLPNNQCIT KKGGGCRKNVVCVYIDTEEACKIDSLGSTCFWNYQENKCQKVTACQSILNQKDCIKDNNN EACDWISSNKCVQKTCDTAPLQLISEKQCQEYFKPLNGTVCTSKLNGGCKNKSSCQNQQT QESCNVDNQGNQCFWNDTLKLCKLKECNDIQSNSFQECFSFNKNCTIGLNGRCVPLRQCN EIGNKYECIFGQDGPCLWIDNYSTNGGKCFQYNSCSSIKWKTDRECKLISNYCTTNGYEC VPITKCQETNISGGCVTGIEGMCIQSVTALGALEQPKCQIFLHCSQAYYVTHQECQRANP QCTTNGITGCRSLTSCDYYVEEGCHFNNAGIERNERNQVISTGNCVWDSQYNICRNEDCK DMKFKTREECQGALQSCTSDGQKCISKMMCADYHNEELCNYAQGMEGSCIWKQQRCQQKT CSDIISQCEEVDNCISDGIKCIPKRNCSEYKNQVSCNSIGLDGLCYWDSTINQCHLMSGC SSANHDQIACQQANDRCYWQPKTHNEPSQCKEHTCSSFESQSGECSHYLTWDWQSYNICR FVSFKCMNFDVKSLTEHTCLLYSLELYKWNPISSACTECDTGETNQDPNRSPIPQGQGIA EILVSKILSTIIIIAQMIV >CAK79219 pep:novel supercontig:GCA_000165425.1:CT868319:365655:369678:1 gene:GSPATT00014186001 transcript:CAK79219 MLWITAFLLKITYQQTLFYSAFTDTTLTNSENWSFVGADPLSVIQLCGTYSIVGGFEKFS HGVAATNFIQLPPHYKIIITVTFFVIDTWDVNEYCHLYVDENLVFNQAYMYQQGQAHICG QTGNNRQDSIQEIQVEFLHSGATAFIELTTTLDQIPFDVQKFLKQESWGFRNFRLFLYLC PSGCLTCTYDNSQAECQTWIITNSFYTDIQYSSFSTSGWNVLNGDQTKQQCSMIPSVCGY GVCGKDTQLTINFVQLPFHTRMKIKFKYLKIDSWELSDQAILYVNQNLRWKASLSVFDQY LYGVCGGSAQESFINVELTFPHHLTFSTITFSNTLDQAMTDESFGIRDIEIFVQQSICGD KIVEEYEQCDDGNLEPFDGCFNCVYSCADGCSICNQGTCLGCYSGWLYIRYASTCEKISV ITQVIQDTPIDQSQSTCYDYIEGCQECLGGTCILCKQGYQLKHEANGCQSICGDNIVTID EECDGQNCSQCKFYCPDYCEICEFGVCEVCQQGYYLSNKQCRKQETVSLCQSQCELCIDS VCYKCQYGHNLVLGQCQEICGNNSLSVYSLDECACNPQCSDCRFGFCFQCSESYQLLNNT CISKCGDKIVQAYEECDDGNELEFDGCYNCQFSCTLNCQNCQKGICTDLCQYGYQLVNNI CMTICGDMIVAGTEQCEDNNNINHDGCYQCYYSCPLDCSDCINGQCLKCDAGFVLYDNVC TNICGDGILSNLEQCDDGNQSDGDGCSSDCFVESNWICKVDGDCTYVKYPTIISEYLMQK NQYQYVQLSFSQPVFKQSSLNYLQTIKTAIVDLNETLFNITIMEVQAALQDKATDVQYVL QIEIFQSLDYYPILQIMLTEQLYNDNQAPLMYMIEYLQLKQPNYITEEQVQIASTFQQIN EVSVKSLCLLTILLMLLGNTLSFWGILDALQEQSYLKYINVLYPQTLIIYFSSSQVVSVQ PALNAITPYTNEGRFLQFPYLSSYEKFEFYEVNADIAEGFRAEVLVFGTLFGFYLGTLFA VKILSKLEHLEFLQNQPKLIRLIQKVKRKVNAKVRNAKGESWKDTLRACTWDLIFMALLE LQTPHNYQSARSFICIFIAISILITTLVILLNQVSGLKSWKKQNFRQFWQQKRDIFQITK KILILLILVFFQYEQELQTMILTLINVFYLLYVIYFKLFEDSLEYVKSIVMETSLVIFTA STFVNWNIVSKYLQYSQRINIAWIQMSVLVSVLIIFIFIELYNTYQLVRQKIMESREKKQ QQLEKERQLSEVKITDQKEELSNSDNLVKKEKTIFYRARFHSRLQPQKI >CAK79220 pep:novel supercontig:GCA_000165425.1:CT868319:369766:370527:1 gene:GSPATT00014187001 transcript:CAK79220 MSLTSDAFSRSIVLTSIPLEELKFVYSVPQIVDPDPITCIIEATHEEGGIYVGGYEGAKD LEMLKRLKIRAVLTASQETAVQYSDLVVQFHHVVQAHDKDDYNILQFADQTFDFIERHRK HTNILVHCFLGISRSPTIVVAYLMRKYNLNMEKALWKLKSKRRQVNPNTGFLKQLLNFEK LLQQQQQSQQYQQQQAKPKSIFKMVRQQAVPQTQMLPQSRILPMDSRVIIQKQPSQYFGQ TYYK >CAK79221 pep:novel supercontig:GCA_000165425.1:CT868319:370553:376474:-1 gene:GSPATT00014188001 transcript:CAK79221 MYRNQSLNISQFKIRMKDLGQSLILIYLLILVQNTSAEPTTLSVSNATCSCTQVLTEKEC KERKCYWNNNVCSNLTLKTTNYCELIGIEVCMSTPGCANVSEKCVSFSGCSTYQYHTNEI CQNISTSCTTDGEQCIELDKCSNYTNQISCVRDETGKFCYWNTDKKVCSLTTSCDLLPNT LNSDGLCRAQISSCTMKNGGGCEVSGEKCENQKFEGACFTSLDQKTKCIWDTNICKDKTC INALQTNTTHDLCTAYLATCTVNDTLNGCQDLPVQCSALNLQNNCIINSGGQKCYWNKNN KKCEDKNCDNASDDNQNHDMCQQWLSICTVNSGGIGCQTKLSDCTKYTASNQCVQTLTGD ECFWNEGKCLLKSCENAPSTNITDEMCSQFLSTCTVQIDLTGCEKKKTSCSLFAKEQQCV SQLNGKLCIFQNNVCIERLCSNASEDFTNDQECKRFSSTCMVKSTYKGCVLQECKNILTG DNCTFDYQNKKCYYGQQCQQRSCANAPLTYKTDAECRAFLNDCTVTQQLKGCIERPLSCD RLSEKQCIMLSDNVTKCSWNDDNENNKVCRILDCSDIIPGTQTNETCSAFDPSCTVADLS STCMKKPLGCSLMLNKQHCFGVVLIDMKSTCSWDNDNSLCRDRQCSDSDQSIKTEEGCRQ YLATCTLSPTGSGCVNEPTSCKGYKTKDACRRLVSDPNNIVMCGWNGCENRTCLNAPIAG SGQLYTHKSCNSYLSTCTLNADQTGCVAQFSSCDQIKNQVQCADTILISGTRCYYDVSTD NYFCRDFRCTDENSSQMTHAKCQALSIQCTLGEVGMGCVEMLNTCSNIKEMQQCEGTILS FNRYCSWDTTIENQNPTCKDRACKYSPFKGSSASCENYQDSCTLGDPELGCIEKPASCNG LNQKQCVNVKLINGTYCSFNSTIVDEALQCRGRVCADAPTKYQTDAQCKTWLPSCTVRSD QAGCVDEPSDCTLMVKQQCITSKGVTCFWNGYANPSNCRVALCTDASKTLYTSDALCKTY RSDCTVAKANGCITQPTSCASLDQDHCPRITIYATDKEASENKCSWNLSASACQDRVCSD APTTIITEEGCRGWLKTCTLGLTNQGCIIEQTSCDLVQTQNQCQVLSDGTRCGWNAGCVN RTCSNAPDIYTSDEQCRAYLSTCTVQADGIGCIIRASKCTDILIDRQCIKIDDSKLCQWN SSKMKCEDRACTNAPNTYINHDLCIEYLPTCTAKASGAGCQSLSDKCSTYTSNKQCVLTL SYTGCQWVMISGIGQCLDIYESCSSYNIEASCTAQTDKSKCIWLNGSCYNRECHHAPKYF STHEQCQYYGNCTTNGQGCINYTPCDQYKYTDACVQGLSGKCVLVNQCQDISCDLAPVNY KTHSDCQAFLSTCTTNGNGCIEIRRCQDAYVEEACKFNASKLECAWVNGICYDKSCDTAD TTIQSEKGCYDYYPEGNCTTKKGGGCVTKGKCKDAQVESACISQSDGQKCSWQNGSCQLR SCTDIQGYDHLTCNTAQAECTTDGFQCTMMKTCSNTLIEQACIKGTDGPCRWSQGKCYRY TKCTDLTYPTHVKCNSIHPQCTTNGINCVSITNCSNTPKVACFIGISNNQIGKCIWTPMN IKASASYQCIDFNDCANALYLNHNDCYNYSLGQCTSDGISGCIKLDNCTKYTVESACFID QAGKTINSAGAITSTGKCKWSEGKCVAQGCSEIIGSNHEDCNSQLSTCTYNGKSCVVQGT CSTFTTSDSCITATGTDGKCYWESGVCTAMKCEDYPVTLCSLYSSTCIQDGSSCVSLKDC TSYKTQSACDYGSPTSVCAWIITSVNNKGKCVEVTTCTIATVYEKACRRLSDRCYWQSIV VANQTEYICSPKTCEIQTDQTCTGFYDWSLKSYTVCQFSPQGKCVSTEPNSLSQNDCPIA TLYQYTWNPTTNKCSICNSVQTNNSSNQNQSNSADSGSTIILSIIVLILGFII >CAK79222 pep:novel supercontig:GCA_000165425.1:CT868319:378216:379093:1 gene:GSPATT00014189001 transcript:CAK79222 MLLILPNQKSTPHVDKSLFFKQSPQISKLESRENYYQNNKDQFFNQLHVRKNNLDVISAF EIKIWESLIDQITITKNSQIHFIFNLDTFQGVLRENLYTKVVYLGNEVNALFEVFVENVR KPLDMFKVFIPIRLNESQNFQLYLRITLFEEPKVVNFNLMLRKVLHSYYYDERRNLQNHK IRDFQLDSYEFIQSDIQKCSVYMLNKLKKQLKQNPSTFAVQDVLGDEKCPQVIKVNANYK QYAEFKQYQYVLLKRQIFTMRPTQTYVSIFYQEIGKSFIYIFQV >CAK79223 pep:novel supercontig:GCA_000165425.1:CT868319:379287:379876:1 gene:GSPATT00014190001 transcript:CAK79223 MQQQDDIEIQKEVTKPKNKVKKSKERLIINVSSSQYPVIRYVGKKMLDWKIQKDENATNW DVWWTDGAVFSDLLGRMNAHQKVNHFPGMYSLARKNHLGRNLMKMHKQFPQAYNYFPYTW LLPAELSDFRANIGKNKTFIIKPEASCQGKGIMLVKDSEGLSIHEHYVAQRLLEQSFI >CAK79224 pep:novel supercontig:GCA_000165425.1:CT868319:380026:381117:1 gene:GSPATT00014191001 transcript:CAK79224 MHLTNYAVNKDNENFVFNQSDQQMDVGHKRSMTSVFELLRSKGENVDLLWNTIKKMMIKT FCAVQPILAHQYKSCQPNNHMNNMCFEVLGMDVILDHKLKPYLLEVNHSPSFTTDTPLDA TIKRSLISQSLILMNCTWKAKQEIINQERQILQQRMLTNKPVRLTFEERQKLIKQCQEMR DQYENQNIGNFEKVYPLEQYEEEYDKFIEYAGQLYQESTGASKALKQQQQQQQQQQQQQQ QQQQQQQSTKRIEKPDLKSEFNLRTLEYKSESKILEQKTEFVRLKSEKSSGMKRILKKEY STALLADEVIRNIKFTGLKPQQKALLKQESVRKNFKLPVSQGTFVTPKLFMINENNKNGN NPF >CAK79225 pep:novel supercontig:GCA_000165425.1:CT868319:381198:383780:-1 gene:GSPATT00014192001 transcript:CAK79225 MQNNSPIKGRRISNQIKLTGKVLKKKTASEVEIIGPSGRDMDTSVVAKIQIMQYENLKSH MQQCQSELKVAKNRHAAIKKSQQLYKKKKKSTKIKKSVSAERVKSQTLEFSSPEYVRRKK AATFFNEKPNTLLDHRERLGLSFLNKIGTKRIDQILERNRMSSIEQSHHPPLTPEKHRFP HELKHYMKEKKKFYSQLDSIKQQSMLEKKKHIDENLRSLAEIAKRNSLSNSRSPPRDSTN KVSAKPLMLSYVANRKSTSRKSGVPKSLKEQFKQLEARYKTLKSNSDVISLRESRNYEHE MKEQAAKCIQRWFKGFKARKLWLKKKQKKLLKIQQFQQMKDSEMVRWDNLKAFLQNLQVK QDMVVLADLIESLIRYADFNKENVLSNTIEQPQIKLKPQKLIIEKSEVFPPQIGQILYLR GELIKEREKKEKQILKDLLVLERISPRSFGLKEQEIQKWGEKELEALEISKQAIKDGWFK AYETIQKTQKDLRFVQKLGDDHFSKMMPVSVSQSNLLKNQLNDLKINLLRASYSEENLID QKKKPLQHSDIIQFKLIKQDFVIIHPRSRIRDVPIETEEQGQVENLQYVQTHVGYVKQYL EDIKLIVKNQYQNQFLQIINLSIGPSPFEILRFFRLTEEMLEQSLDGGFIHQAVLNLDIF SIKEKVGTEEVCVNEMERIHNKAIFDAFNEALDYHRPFGIKGRPLPWRKNVIYRQVSSVE DTLEKSAIRVMQWAETLSGILLPQGSQVDNDILPQVREERLDKMLKQEIFETDDRWQEFD EEHTEVALELSELIFNHLITEVITELKI >CAK79226 pep:novel supercontig:GCA_000165425.1:CT868319:384144:385157:1 gene:GSPATT00014193001 transcript:CAK79226 MNSFNYKITMLWILALMQMAYGIPCGYTLDQNNLPIETDKEPWINENMSACQFYDKSPVC CTQSQDEGIGNDFVSLDATFGSDGDGCDICAANMKRFWCAYSCDPRQGEFLKITGRANVT DPRNPNRTIDVQTVTLRIHPSVACDVFSSCQRTNFASQVSAMQTPGGFFTFQAEQGVSTS LQLIAIEFSEQDSLLMPNIDNCNQTFEIADDGKFYDPYQFEIKKPCGCNTCEDSCDSQKV LYQEPGVFYGFDWQYVLFAWGWAILFAIGFTLYRQCKRKNAVILQEEGDSIYI >CAK79227 pep:novel supercontig:GCA_000165425.1:CT868319:385573:386763:1 gene:GSPATT00014194001 transcript:CAK79227 MKRASQIQIQKEAIVFSSDDSMNSDNSFNSSIEEEEAEADTNTIFHLKEQMAQFFTENPG LQEAIKFEQEEKDEGNAEKNEQTKHKPALISKSEQQQFVHGWKLLGKDVIRNSQGGQTLP TLETINHRLNIFKQKVDKVDYLEILQRETQQQQQKQEEQVQVKQDNTKQQPRSQSENPNK NDNEEQKLEEEQDITYFSFKKKMQLALHKRQQLQREEEERKQKQQKEKQKKVNEADQLAN DAPNQQTQNTLVGSKMEFQTHVGQMLYQTYLQTGGDKESFKKMAAIFLKNYHKKQKENLD KLKVFPPAIPKFTSEDCKHLNLLLPDFSTQLYAPNKQNLFQGVENYKFPANSPEQEFINL VDQIMSGKVMQFWKEMHQQ >CAK79228 pep:novel supercontig:GCA_000165425.1:CT868319:387239:388185:1 gene:GSPATT00014195001 transcript:CAK79228 MLLIILLSVAAFAYVHKEEQHVMDVYNKMNSIKEFLSQLEYYTALEKLEVRSKENFEFEE ESFTIYSEHYQELLQALKAEQVCHKKQKEDMQMFLRETFPEAIDKIKRIIADKEKLIEGD IKELAKIKHSQPEDISKRFEEFNYLHQKIQEVLESNDQQDIYKALELLESKIMIGSFKQM QNQEVDFFMKSVDRLFREKSNPHKKEESIQLLSLMRSVISEYLITMMNDQLEQSFDLLDK ELFFTQSKHTHINAKDQYVNLLQSMECKFMINQNCRESLQYLEEYLRNEI >CAK79229 pep:novel supercontig:GCA_000165425.1:CT868319:388258:389828:-1 gene:GSPATT00014196001 transcript:CAK79229 MTINLVYDQRQCQGVLGVGAFSQVRKVTHRKTRAIRAMKVISKSRLSTTELQQNFINEIN VYKQLDHPHILKLYEFYQDEKNFYIIIELCTGGELFDKIIEKGSFSEKEASYVMKQIMSA VLYAHNQNIVHRDLKPENVLLDITSQGNYNVKVVDWGTAKIFSPNQQINEKFGTLYYMAP EVLKRNYNEKCDIWSCGVILYILLSGMPPFGGKTDLEIQKSITYGKYTLDSDVWNSVSAH AKDLVSQMLQYDVQKRLSAKQVLEHPFLQLQHQEKVDKQIVQCRLKNLVNFRAEQKLQQA TLMFIGTTMISKEEKNQLMQAFKEMDQNGDGILTKEEILETYKKYMDDETACQEVQKIMD LVDMDGSGTIDYTEFIIATMDRKKAVQKEKLKEAFQIFDKDGNGFISEQEIKDVLGPSIT GIDEKYWMNMIKEIDKNGDGQISYEEFCAMMMKIIQ >CAK79230 pep:novel supercontig:GCA_000165425.1:CT868319:390663:392421:-1 gene:GSPATT00014197001 transcript:CAK79230 MLEHKRNHSQKIRTVLIRCFDKQVAIDIAMDKSVADLLNKLREHPATPNSQQLVALKTVS NSITMDYMLSVPEERAQLPIHKLKQEALIGVYRQNAHKSILSLLDFTFERCIGKGGTSEV YLVRHQVSGRLFALKMIKKQYITDCRRLEQILREKKILSQVLNHSKFIIPLYATFATKDH LCFLMEYSAGGEMFYHLQNYRFTDEEAKAYICEVICALEELHQHRVLYRDLKPENILIDL RGHIQLTDFGLSKLDLSEEQLTNSFCGSPEYMPPEIVNRQGYSYPADFYTLGCLLYELLL GLPPHYSQNTEEIFHKIQNEDISFPEELTGDVMQLLQSLLNKNIADRIHDFATLKKNSWF SDVDWQAVKQKKSNQMPIFIDIYETHIHQEFLKIDVTDLNQKNEQGELSSSEDLFDFFNY VNESYKDIFQLKQKMRVQSDHLIDKKLLQISQSTTKKKNLQLNLNEIEKQFSKTQTQKQS LTPQSIMPLSLLRKSFQQMMKEKPRKSQDNHPTLSTVLSDRPIIDRNYVANSSQIIVDIK DVINFNKQEETAESLTQ >CAK79231 pep:novel supercontig:GCA_000165425.1:CT868319:392439:393847:1 gene:GSPATT00014198001 transcript:CAK79231 MVAKTPKSVKLKPLKAANQGYLANNHESSDTCYVTGVPYGQTKDKFQNYDLFNSLNTQMH PDLIVLQLDPSPYLARQRFLAHKCALQNVEGYEMYDTPLIDPLKPHAWEEAVVNLVVLDM LNANKNMEEIDWSKGLSTYSYANIQSKEIQEANKNLFIQTIDEHIINHRYSEYYLINRVL YTALMGKHKVLLADMPDHLHKLNLGGTLEIQEARDLFKYTLQKTKQMNDIPITLRKAAYD FLPHVFQTPKDLYMTAMLKSAFEGCETINAYVGVPHLVPINNYWVEAPHGINYTEATRIP PRRTGETDENQIEKMALLDVLLETHTWAEPYLSNPFPYVVEDLTQATAGDLKAMKECFLQ YYQKYSAYKNELQKELPNLRNHDIKFIEQTRQAIPDMKYEKSIEIKALTNKQLQQRNVEI VQ >CAK79232 pep:novel supercontig:GCA_000165425.1:CT868319:394313:395441:1 gene:GSPATT00014199001 transcript:CAK79232 MQYFLALCFVLVALGQQIKGNGKHHKHKNKEIGFVQVSADLNDQRIILEGIIQQVNQLAL LVQRQNVFDDSLRQRPFDLMGQLDRLDKLELYPEESKIIESLKQQLNIISNAMDVDDYIQ ECDGEDVCQICQASMYYQLDDDNWYMIGFEHGFKKEFQFLLDSAKQALGSDGDLLLAFST ENHICLQFANLKHPIDINGVLKLYLGTTLNDYQNKLIHLPTHGVPLEFLVRAEYTNRNFI YKDLKFIVNDNSQRDILGGRLNKLQFSIFDQNVFIEYDGQFYIQSQIYPFQVKTIQGDTK SEQINIKYSKNIAEKYLSNSILKDTFKFSQMQASTYTNSTDIVISVELD >CAK79233 pep:novel supercontig:GCA_000165425.1:CT868319:395470:396788:1 gene:GSPATT00014200001 transcript:CAK79233 MKRIYNNNQPINRPLYQLGYKVQDQVVPQGIAFFSTSGKRHYPDNQRGELETYQGMQHLF DPPKRLAQDYDRSLNTKDIDGAVTNTLRSKVVKNMELAQVYKQEKQKSLWEQGSKPSDLE SQSYQPRAKWDENDPYKNRSAIYTNNQDSKRKLEDLSDKHLDTIQYPDSTTFKPNQQFNE SHHQQQLYPQSYDDPNLIALRQQTQNQQQLIQLQNSQSQPNLVVQPQNPQLFNYPEQLHQ QVPLYQYQLEDERLKQQQLLMQKQWEQQRLESLQRLQQQQNEKIREQQNLKMQQDQDYQI MQQQRQQSLNQKQQYAQDLRSQQESNKEGSLRKGFTPPPATKSELIGALLQPPKIQKSQG FDGDKNQNLRDSLSKEIEENERKLIINRFRPHELQQYIYDMKNGRIGGSKTRNNPTFMSY AGQQLVNQQQ >CAK79234 pep:novel supercontig:GCA_000165425.1:CT868319:397093:397551:-1 gene:GSPATT00014201001 transcript:CAK79234 MVYQWTNFVWETNAWWLRRIRPFIVLGGFAFTAFYGGRYYFFGKWAYYKQRQFSEAELVA QAEVNKRNWGYGVWYKPTLERSRKKLLQDALKDKYRYAMTWEELFYEDPRPVEDILEEEN SWEEYEI >CAK79235 pep:novel supercontig:GCA_000165425.1:CT868319:398082:400494:1 gene:GSPATT00014202001 transcript:CAK79235 MLIGKQHLLFSDLCQLIYLAYINQASSIIILSLITVLYASSIIFEILSVILKNERRNQII HQIGYSIKMISFWFAYQAKQEYILCSLLQTQILFNNTDLKQKPQYPILILSQMSLFIFDF KQIVLDYEQIIIIIVQLAIFSLWLYRDRCIKQRDSIEFREQQNQQQCTIDIQVNTLKQQQ NLIQTNSTKRLNTTQNSLLFNDQFELLETQINFAELLTHQNQYNQAFEILLNLNFNYIQE TALKQCELRIIVLEMQIDEQLLLTIDKNEYFKYENYAMLINCIEINEVRCFQIQFFPLPL KTNNNKETDESMEIDMCRSLSHELGTNLNSIVTFTKMALKDDNVDSLLKSKYLEPIRINS EQLNLIVGTIRDYNLINLKQFSLKLEELDLESEIRFIVSLLNESIENKNIKIDYHFDLQS NVLVNDKIRFRQVLFQLMQNAVKYTFESSITITILNDILECFISIADNGIGMTEQEAENM NSLLQSNKFVKVSEQSVGVGLGLGISNQLVKQMSGKNNSIQLSRNKERGCQFSFFIKNHQ LEISKEFMRKQSSGFIKKSSQTIRFISANTYIEDQVKGQSIQKIQIQKSQIQTNTNSQQQ FKIPSTNRINFYQESKNQSEEDSLSSIHPPILSPKFQQSIVQCSLNSDCCSRVLIVDDEY FNIQCLKLLMTKYQSKCDSAYNGKEAIQLVNHKKHNPCKKCGNRYYSLIFLDINMPILDG YKTVKELKHLMKQQIINKAWCIANTGFCDLDTKLKSYEAGMDYYLTKPLDQNELRGILNV LFPINDY >CAK79236 pep:novel supercontig:GCA_000165425.1:CT868319:401038:402749:1 gene:GSPATT00014203001 transcript:CAK79236 MIKSVQHIEDKCNTLENISTKDIYQFKFLEFDILEEILFQKQEETAVSLPWAKTIIVNFW NIPKENYTLFNLYGGMKILFDMDIIKDLQEVQFKFFSNEDIQALIKLKWLQSDNTNYFYF EIQEENQYELTLQWRDPIQNAECSSLELERQLLKRNLTKLLNKIGDYSNIYDQQELFDMI LENQYDTIYVYFPSIIKLKIHTKIFENSEEQVRSVELFIHNEWFKERQDNLIAQFEILHT QIQRSMIDNTDEIVLDYALIYDEEQINCSQLMSKINSLIIKILLTNNKRIQTILLSLMPL EIHFELDMKRQELNLDINLHHEDPQDIYQEGLIILLQTITTCKLFQSLKVDIQQEDDSNN VKFQLFSFIMMIHEFQQTLIKKGTFTYNNYSLIPRYNSVCSKGAMNYLISEKKSIYSISD KDYLDIQREIGCFIQYLSFYAKESSSIIISCDMCELSNSSKQFYDQLIEQLIKQNGILEF GILDSGNRDQKYYERIKEEDADNIVDDRQKISEQNEVGEYKRTDQLFAK >CAK79237 pep:novel supercontig:GCA_000165425.1:CT868319:402822:403299:1 gene:GSPATT00014204001 transcript:CAK79237 MPSKRRNNGRSKKNRGHVKPVTCSNCCRLVGKDKAIKRFTVRDIVDASSKKDILEASAYE SKQQQSIPKLFDKLQYCISCAIHARIVRVRSSEDRKIRVIERKRPVQQSTQKKDAPKPQA PVAAQ >CAK79238 pep:novel supercontig:GCA_000165425.1:CT868319:403351:403915:1 gene:GSPATT00014205001 transcript:CAK79238 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFRRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCREEFVKRVQVNDKKKTEAN KLKQHISTKRQPVLPRSAEIVKPTATVFQHPKAFVEII >CAK79239 pep:novel supercontig:GCA_000165425.1:CT868319:404191:405066:-1 gene:GSPATT00014206001 transcript:CAK79239 MKPSVDFYIVQFEQYKKENEQLKREIVLQKLNFEQQLEKVQRMTQEDQQMYQKQIQEHER EMETFKYSLQKTFDKLIQENNKLQTQLMNAEIEKILMNQSQQSLSECKQWITDLSNTNID KESYEKLQNTIQEFEQYMETERQLFLSAKSEISEKEQEKQQMEIKLEQKQHELNKLKERN EICEYRLKACQEQILKLQQQLKEKSELEDKAKEVQQKYQQQLIKLKDNFDRQQKMLQSYM AYLNEIRDKFEEEQKKNILLKEEFESEKKRLQEENRRNRSLNNETELDQQI >CAK79240 pep:novel supercontig:GCA_000165425.1:CT868319:405787:406953:-1 gene:GSPATT00014207001 transcript:CAK79240 MQYNQEQETLKQNFIDKLAGLRQLADNEKRYTLEIIANYEQMLIQNQISFKKSINLKEQE IDDLRQQLKQSNNNIDKLIFNNEQLKIQQEKVFTDFKNLQEKINQNYIDKNEEKYQKDLV QEVQKLNFSSQEVKIQGYDINELSKEAQDNLKQLQDQLKILEYRYSCIQFKQRQNYRLKE MKEENEYIQDKLEKINLHQKQKCRSYIDESKQYQDQLKKCQNELKICQELLQKCQKDSQI MREENEIGKDICDKKQIIYKEELKKVKKVLQIKESELFNCKKALEESERKYQQLQMQNIK EKEEFQFQTQQLRQTYDSTIDKLKAQNDCILKQQNEQIQQYEQIQKRRQNEEFEIQKQQL RETINQLTTQNKSLEEYILQQYIYSMIQ >CAK79241 pep:novel supercontig:GCA_000165425.1:CT868319:409712:410700:1 gene:GSPATT00014208001 transcript:CAK79241 MLQKVDIDLNQVNETIKQKCMEEIMNYLNKELSEYQAKCQNYILKIQQEHEKLQNQYRED SEQFSKSLVQKLRSLKSLVEEEKNSALELIENSQKHFLKQAQSQFNDHFTDKSQLKEELQ KRNQEYDKLKKDLDNLKFSKINESQSLQRAIEKQQLVENKLEELQITSQNIINELKEKNQ KQLKQAQDEFQRQQDIYKQNLDQLKSSNIETLQFLQKLQNENSSLQEQVNTGQSQLQYQK KQYDQQLIKFKNEKGLLEQQALKAQAEIQINILKLALRRISQIQKIITGISKKSRRKQDK TRRIKIERNLRIRVDSQKNQRKL >CAK79242 pep:novel supercontig:GCA_000165425.1:CT868319:411738:413172:1 gene:GSPATT00014209001 transcript:CAK79242 MQSQAEKLQILDNKVAELKLKVNEAKQQESQAKENLKEYQIQLENEQKNFEQKMLELQNK AKEDLEMLARENTNLSQSQQEEYQKTLEDLKEQYQKEQNELEQQVKEADSKYININTKYK ETEEALKKTQEMKQKCQEKLKKQKEEYEKEKSLFEQKVQQVDNEVEKLKVLNKDTEEELQ KTREGKQKYQEQLKTYKEKFEQERQQLEEQIKKADAEVEKINKQNYETELEHSTAKNQKE LYQQQLEKQREQFVSKILEIEKAKENLEKKVLENTKDIQQQQEHDQDKYSKLIEDLKKKF EEEKLKLQKNIQEAKEKEKEAQDKEKEATSSLEENKRQLLGLKNKYEPQQQDEENYQLTT AFNNIRKELQKFDISVIDDQNKNEEAMMQQTERVQEQTIQQEQQVQQVQNQNTQIHHYIE NHNIIQCLEEMKNKIKRTNLDLENQCQGIIMQLNIQKYLQ >CAK79243 pep:novel supercontig:GCA_000165425.1:CT868319:414067:415792:1 gene:GSPATT00014210001 transcript:CAK79243 MQQQAEFDLKEIEEVIKKKCKEREEEISKQVNDELTKYKTECEKYIETIQKEHERIQKEY GQEQEKFNKSLKEKINQVKALVDTEKNNTKKLIANAQQKVVEITQSSFDSLVQVKDQEIE NLKKEQQKLQIQIEQLEKERQEQNAQIEQQNIDKGKLRKEIDHLTIDFKKASEQKHLEAQ EEIKKNVRLIQEDYQKMNQKQIQEHERDMESFKHSLQKTIDKLIQENNKLQTQLTNAEIE KIQMNQSQQSLTECKQCVQDLSNSNLDKESYELLQNTIKEYEQSVQDMETERKMFLSAKS EISEKEQEKKQMEMKLEQKQHGLNKLKERNEICAKNLKACQEQILKLQQQLKEKSELEDK AKEAQQKYQQQLIKLKDNFDKQQKELQSYMAQLNEIKDKFEEEQKKNILLKEEFESEKKR LQEENKRNQEILQKKHEEAILQQTQRIEKEILDQRGKIVEMELIKQKERLKPNNNQLSEE QLQNKLSKIGEHLHNYRRAMTQGLQIKECLLSEIGYFEKQAQMYPIESLLDTGITSY >CAK79244 pep:novel supercontig:GCA_000165425.1:CT868319:416260:418648:1 gene:GSPATT00014211001 transcript:CAK79244 MQDSEPRGRVSIGGKTDKFRMVKLSLSYKEKIDSTLYIRFINKTLNEEVYKVQEMKQEQQ LYTIELILKENCIYKYYYDALDNTTKLKHTELGRRYFQFAETEKIQIDEWNKVWCLYRIR PKTDEIFKAYQIQVKNLQDGKYFELRKSAIVFPAKDFYENTGDSMSQEIIQFSLRSKIDE KEDLSSQIQIQNINKFKMNCFFIEIDLQLFPKQKQDQIKKFFIEWNFSQKIQILEEQIKE RDKGIQELEQENGELKFKQKDKDQRLSELQIEIIKKDELHRKEINNLIYKYEQEKASLSI LHCQETNNLTVEFDKKFKRLSDQVTEWNNQYNSIQQDFNQFQLNATKRIAEYIEKLQESQ TTVQIQELQLIQFKNNNQNVVEDDSQINDKIFITLLDGDQKASKEEITQMNSLQETLKQY KDNLHELQIKNQEIESELSKKDRLQSAFKVKINEQIKKYNTCMLSLENTQNQLQDYIQKN EVLQQNNLHEVERYKKLQNVLEIIKGKYKDLQKYKEQYQELLDRYEKQTQQFVQLENQKR IDDMEFEKQITEKSNQIQSMACEYQKQITQTQQENQQLLENQHAEQLIRLKQKHDLFMQF SEGILENVLTEILKPYQVHQATFSRKLSSNLDSEIRNIKCLMLINFETAINIAADGINII TNNSFNNLKEDLINIHSQIIQQIQIYKENIDFSTMKCLLSLMNEFQQKIIEIKNFAYSVE NMLQQDQDQIDLINNNCQTLEKILDIVIKLNSVLERKNDELTILLENQEIVIQAFEHFRQ KSLSLIDSM >CAK79245 pep:novel supercontig:GCA_000165425.1:CT868319:419186:421493:-1 gene:GSPATT00014212001 transcript:CAK79245 MMEERSKSRSNTGSFANITFKLKLSQEQILKSNPEAQNNFQVFLKLLHGPSDGDQPPLDR EYEMELDEKDKESMIYQTKIKVLQKSKYRYQYCQKNDQDTISEKYFRGYQYSPDDPFEVD QWNKQWCKYSLIDEKLINFSGYMVYIYTKENNENNMIKLEKDANNKMSCKEIIEWDKGQK SRQMSFIQIQLELNNQPKVRKSINFNQEAEKQKIDESLSKRIDEYLSSVYVNDQKNHSSN LISVEYKIDSNVKRRLENYSRLFDEVKRYEEELKFKKQDMETLEQKSKNQKYELKNLKLE LEEKEKELDKKKQELEEKKQELEIFKNQNKQKKQYLQDKQNYYESRLKQLESKNEMDRIR LEQENIDIQLKHKEEMNKFMSENKEILEQLKNQNKIEFDNFKQYSEKIIQQYITQYEEAN QKLMELEYKNVNFSSEEITIQAEDFNQFSEEEQNNLQELQKRLKIYESKVVEMKEENECI KERLGKKNLQIKQKCISSIDELKKCQELLEKCQQESQIMGEEKQIEINIREDKQNRYQEQ LKFVQSKLKKQEDELNKYRKALEDLEKKFEEEQQKNKDQQVKFESDILQLKQDYDKTMNE MHAQIQQKLDQQEENQKELQKKANQQQRQKMDFKANQKYERILNEIPTKNEFYKRFISFL PYKKSPKQKPVLDAYRQSQQIIQEISTQLLQVQEHKIQNHNDLCVEIISELQTTYSNSDA IENLLNENFLKLDQFKKLHQKLRIKRYLKYH >CAK79246 pep:novel supercontig:GCA_000165425.1:CT868319:421554:422572:1 gene:GSPATT00014213001 transcript:CAK79246 MGNSQNGKEDMDYDQVLKHFNFNQDINHYQIGNVKIFEAIENKNQKVFQFKRLCQSDQQL QQLKHHFQQRKLLINDHLVRVFYVMAKESVMCSDASHVVVLGEYFSNSLFSEHEYNLNQK LIFNESRLWFIIYQIIQCCAYLEGQGKYHGDLSIQHVYLDLDGSVKLIEHDFIPGGMNPF QKALYNNEFHAFSPELLGLLQQGDKEHQYGKIDNATLNKTDVFCLGLMILELASQFPTQQ YYDWEAKSMNYQQIMNAIQFLPNRGYSTVLQNLLLQMCQEDLGARPGFQEMRTGLASLEN DILSHTAFYRSHIK >CAK79247 pep:novel supercontig:GCA_000165425.1:CT868319:422589:423000:1 gene:GSPATT00014214001 transcript:CAK79247 MNQVEPFGIRVIPIKWVLKFEPPIIGLVYKQHQKEKKKHLYQISLNNLIFLTNPEDIVNQ IIYEHPVYLNRKFIKHEQLIGLVRKLLDYKSQKLQEMVEFMEDHLVEYDDADSDQEEKYT TNKNYSF >CAK79248 pep:novel supercontig:GCA_000165425.1:CT868319:423311:424087:-1 gene:GSPATT00014215001 transcript:CAK79248 MNYTDILSSKFEPETTGTTIMAVIYDGGLIIGADSRTSSGQFVADRCADKIDYIHDRIFC LRSGAAADTQIITKHVRYYVDAHAQELGRLPAVATAANLFRNFLYEYKDSMSASIIVAGW DPYKGPQIFTLPLGGSVIEQKWSIGGSGSTFIWGFCDSNYQSMLNQIMSRTFVLHAVAHA MFRDGSSGGIIRLPQCNQRQNRTRIY >CAK79249 pep:novel supercontig:GCA_000165425.1:CT868319:424174:425487:-1 gene:GSPATT00014216001 transcript:CAK79249 MYRGINCVIKSFLNMFLPIFQSPFFQISRTQSEVIVKVYQEKLIIEGFVGFEGRQEKMLD FIETNYCIEWDYDMCQSNYLKGFTLCQYGQRLYQFQGESHNLTELRSVLRGRIGFFNWEK EVSFKKWIKKTEHCEIFQVLRGENQKVIKVLQDNDSNVELLVNQMLNQKPHVNLLHSDEF YKDELQVYLLMDFHEQSLGDLQNEYSKKRLPINILRPILQQLLEGVNHLHSHKIIHKDLK YDNVLITQDGTVKIIDFGLSQIGEATNIRSGTGGYIAPEVFLNQAITSKSDIFSIGVIFH KLLTGKGIYNNLNENMAGRMKISSHIKDKNAKDLLLQMLNQDPNLRYSAEDCLAHPFFTG EYDQPSQKVCLSNYLSPLSQYKFQPVSIQMVSLSL >CAK79250 pep:novel supercontig:GCA_000165425.1:CT868319:426576:427724:-1 gene:GSPATT00014217001 transcript:CAK79250 MLKSITRRRKKYIFLDYLIVLLTAMYLRIRSVDMDFYSSLQPNYLWLLTILFGLMFAKIG RVWCIFICVIMNLFSIYFLSSTTHVVFVSSIYKMTYDSLILLVIGHTLYLFRERAVQALT YCYSYLELAQLFMNLKYFKYFLFLLIVSLSTLRLRCRSLPTTNYFIVIKHLCTARMAVII LILFLQILLTTINLNTFVGAFTSSLARYIILFLYPFYFLPLIRHIQYTSESLLILNVAIS GLFLFDQLAVFLVASIFIPIIFIVQFTIFIYIFREIDPKISRTIKYINYDAVVLINYIIL RYAHSNYESFTQHKTIIYFLSAIIAIFLFIRNKNICKSFTNKQNYYNNTYDQFESARNDD TVL >CAK79251 pep:novel supercontig:GCA_000165425.1:CT868319:427758:429001:-1 gene:GSPATT00014218001 transcript:CAK79251 MMYEEYDDFPLCFVKAIRIYLNGDSSKYTDLKIAQNTTWNDIQTQLDQRFGKFKEMRLFT QQGVEIFDDDVKFFKDGQSFYASRGDEFDPYSPFSQYETIKQLGEGGFGCVTLAKHRITG ELVAIKIIKMIGNAQDIELNFREAEVLRSLSHKNIVKVYNSYALKNTQMAVIMEYLEGGE LSDRLKQKGGRFSEEEACKYFRQIVCAISYCHQKNVVHRDLKLENLLFNFGIAGIQCPTN TDHVNIGSLHYMAPEILAGRVTRVSTSVDIWAMGIILYKMLFGNVPFNGKTQQDIINNII SKELQFPPNNLSEEVIGLLNQMLEKNNEQRYRITDVEYHPWVNTDQRTAQQKQMFLQIPS EGNIRKARPSASPRARSKSPNPTGILKHPNIFKL >CAK79252 pep:novel supercontig:GCA_000165425.1:CT868319:429896:431450:-1 gene:GSPATT00014219001 transcript:CAK79252 MQKYSQCGEYNIIEKLGEGYHSKVKLGEKDGKRVAIKIFKKKHNTAQNIKTLVNEIKILN LMNHPNIINLIDFGDAYLYRKKNGQVESRVCMVLELASNGELYEYVATSGPFAPEAQRYY FRQFLSAMSFMENKGICHRDLKLENVLLDANFNLKIADFGFAKIMEAAKLTTILGTPGYQ APELEANRPYNGTKADIFSFGVIMFILHVGTPPFERATEKDGYYKYLMTNNNNKFWMNHS QNNHNIQFTQDFVNLMEGMLAPDPDKRFTLDQCLQHPWTNGPVATLQQIQYEFQQRLAKI KALAQATKLKLETQKAKPNRNQVGKFRSIVGETEQENFTAIIEQYNLDLDKKILNKGVVT GLPNEILLYQDPNFVFCFLLKHCDVFNSKVVKFPETKYKINFEAEDSLNETIQFQLEIFD CEDEMIKLIITKQQGNYLKFKEIISRMIEVLHKNGEENQEQEVQQK >CAK79253 pep:novel supercontig:GCA_000165425.1:CT868319:431945:433000:-1 gene:GSPATT00014220001 transcript:CAK79253 MIMLFIPIVTCLEVNLTNLQDNYYSMPIQANDITYNLLIDTGNDEMWIFGKTSNRKTYYQ CEKCIPTHNETKEYSQGRIFGVEYNQTFLLMNQSLSLQVIEASKVDYFYSIITDGAIGLS RSQLSKEDNLLKKLYDSKVIDEMQFGLLLNEQNCQPTSILIFGKPIKHHYINELQYVKTL NSQQWMVKGSSVQIVGKNNKQILESTSQIIVFDSGEPKILISRDKFNKLITIFNENYNLN CQKETSNQINEIVCSYNEQSFPELNINIDSNLSLTLLPQDYIASCEYNYYLQHKCLLNLQ QIDIDNTDVLVLGIVFLQKYYIHYDITTFQIGIAKSIYYCKIQKQFQKGIN >CAK79254 pep:novel supercontig:GCA_000165425.1:CT868319:433157:433654:1 gene:GSPATT00014221001 transcript:CAK79254 MQTKENNSTLSTKYIRSDGIRKLNDLTNYDTIRQQQKMQMKLSMSNQYQKILGRLPDAKF FNPKQESYVSYPCYNEKYLKFPKEILCKLKKRTQDDDCDTDEEVKQHSINHNVTEIIKSL SSINKKAYNASDYL >CAK79255 pep:novel supercontig:GCA_000165425.1:CT868319:433891:434950:1 gene:GSPATT00014222001 transcript:CAK79255 MCSKEQQESKTLRDEVSPSIRDFITNFRKQQAQLAKQNAYQQSIQKSIPIQQITQLQFIR QSVDTQTDRAELQSDTSFKRNSAQISQRQNSTFSQSYLTTREVQKQCEYNQAKPTDDLPS TRVNFHQLHQHYLSTQSELGTQNISQQLPLIYRSPQKCEGNINYNQMTEQIRNKVIYELD KRNIENNSFSQQQSQSKSLSSQKMINLSLNNQTTSLTVIPQPKSEDEDSFIKFIQMNLVN KSKAHLEEQENRPPQQSLMQYNSNITKTKQQHLKQSISKQRISESLNQNRVIKNKSCIKA NTNTNTKSNAHQRLYQDACMRQERKSLAITKRCRY >CAK79256 pep:novel supercontig:GCA_000165425.1:CT868319:435105:436525:-1 gene:GSPATT00014223001 transcript:CAK79256 MKNQTKVKTSKNAPQIILDLIEQAKQTRLYLLKRIGPTSLKYCDEDGNKFKVELSTTMIC SCNQNNQHCIHTIHALLKVFQVDEKCPILWQQQYSQYDITNILNGQYKTKSQQTKTRQQI SQNNTNSEDQQQNRQQITEDDMCPICQETLLCNEALVHCKKQCGNNFHAKCMKVWVMQKQ TAGKKINCPMCRVDWGDTALVEIRQEEKIFENLHKTHNKICSYCKITPIIGTIYTCISCP NYHLCDKCHSKKIHQQHIFMFKHTRLEKYVFEANCSYESLMFIFPENLNAGNHETGGLML VGTGFQKQSKCSFCHKPKQLRLLQCGHIADEGCLNRMKEHCYLCPIDNQPQFVGLFNNLS SEEKALHLAETKKWVIQKEEEHSILNHKLPPIGKHFSARPKQLSQKHFDNSDNKQIKTQQ LKQSQPIKRQLSANRRQLDNPLFIKSVRSQMENII >CAK79257 pep:novel supercontig:GCA_000165425.1:CT868319:436892:437607:1 gene:GSPATT00014224001 transcript:CAK79257 MKEDDSAIQQNKIDELIKEQKYSALIQLISRKDPQRLKQYSCIKIKNQIFRLKQDVAVCA NNNDIYYGKLIKIYCIKDVNDQHVPVIQVQWYYTKQDLNLDKKSMRSISIKELFFSTHVE FLAANKLQCPIEVMTFDQYTQLEYGEETKFFSRAAIDLKTMEPMPRVSEWQKSCVCRMPQ NPDIQVIQCETCDEWFHLDCVNLKSEEAEQIENYKCPGCQ >CAK79258 pep:novel supercontig:GCA_000165425.1:CT868319:438318:439246:-1 gene:GSPATT00014225001 transcript:CAK79258 MNNSRSTARKGTNNLPVQTGDIEEYIQILYEHQKSCEKAGKYLEADQAKKRLAELKKELD QKNKYEVKDRHTNEKQEIEKAHLDEFNQFNEFWDQKMAEFDQEAQRVKEQVLQRHDEELK QFTDELENSIPVKPKDSAELLSLRKTEESLARQENYQEAHLTQQRILAMERDEYEKWNAS RTSKIRNLISQLKLKQTNELAALQQRIISGQEEQRKIRSQELEKLLQKYQNVRKELTSQQ NQEITRLDKTMKNQSIMQQSRMNSSKMMSSSMKKGDEENYYIK >CAK79259 pep:novel supercontig:GCA_000165425.1:CT868319:439327:440136:1 gene:GSPATT00014226001 transcript:CAK79259 MYYSNSQTSLIKSPYGQIITKTPLVRQNRPQEKLNDQSIVSQYQSPTLRQAMSPKAAREY LNSLKSFINQYESKPINESRIATQSSHQSILQQPYLYFPIANRLNDEIKLMTPQRIEVKK LNQDGTVHSLKYYYLKQPVLSSSNDVPPQKQTTIVGLNHSGKYKLFLELIKKVMPKQFKL FIQTDQVDKQLNIQVNDDLKSTIKSFKTGSKNYQLIAQSDQHVIVCRGLQGFIRDKKDKG ILILCIKPGKEAKLDCVQTLKLIENIQKN >CAK79260 pep:novel supercontig:GCA_000165425.1:CT868319:440166:441128:1 gene:GSPATT00014227001 transcript:CAK79260 MGTCQVKFCRCICQEGRLGCQCGSQNTIMLEEQSREIRRRRITSDQMVNNINSHKQRRSN RFENQKSRAGSPAQSNSSGSQNKTKFSTYRKATNKSPNSVERYLMSQECNIPTDHSQQDN KRKPKIRDQAFKIGQIYFKKEDYDFQFQEATEKVLNTNDNKMESPHFQNSKKNLENKQSL DQIQSIQDPSASMKTPTTTALDATNNRTMSNPLLNQHPSISKESSPTKISHPITQVSIGP ISNKQSLSVITDQQQLKNRSRTADLFDDQKSVTIRSVLKKKQKNNDSFRTQQQESRKVRF DLPNSHYIRERQRQQPRLYQ >CAK79261 pep:novel supercontig:GCA_000165425.1:CT868319:442229:442868:-1 gene:GSPATT00014228001 transcript:CAK79261 MNTRHDQQDTQKSLLWEHSQALPPFFAENILYLEMEVECNNVTIEVVNQLLELYRIGVEY FESIKSNKFLIFKNKTQQLLMRGTVNQCMNVAYEELKHETALKKSKHVQGHDILPPPSPK VNIPSKSQKQQELENQLKYIKEQDQKLQVNQLLEFHGYESQRVTRIHNKALQEQEDQVQL RLQRRRLQSVKIKGQRE >CAK79262 pep:novel supercontig:GCA_000165425.1:CT868319:442910:443507:-1 gene:GSPATT00014229001 transcript:CAK79262 MKQKNIQFIGIFAKDQQMAQECLFNLTQYALQLLNQQYQNDQELQNMLKQLKQIYKFPPS IHLTSLFVGNNQKNFKLQAFTDFKEDLEQELVIDGIAISPNNIVTAISNHNYQIPLTNKH SHITTLLGSWKPKDSNLLMEEIFKQLSYEEMQKQVQEDKFWKIQLLQGQFAYVVQFKNKT VIPGVCRMH >CAK79263 pep:novel supercontig:GCA_000165425.1:CT868319:443762:446985:1 gene:GSPATT00014230001 transcript:CAK79263 MNTINVMSLFMLIQILVSSQYISIGDQCKCSDLSTELDCNLRGTCRWNSVQMSCLESNQF QSTIVSTSPLKQVEAKSSSLYCDHFSQIECPNQNGCAWFENKCLMFTGCTSYVKTSDEDC KKISKECFSDGQRCVELDECNTYTYQKSCVISKKGKYCYWNTQNRRCEQVKECNDLPKFL ISDSECRTQLQFCTTKLGGGCVESGSCADAVSAVSCVSDRQQGMECFWAEGKCRDKTCEN ALTSLKTDAQCKEFLSHCTTKSNGGCTLRLRCSDAQIEDACIKDTNGNDCFWTGDQCKEK LCENAPPSYVTNLQCSYLSSNCITNGQGCTTNHGCTSALKEEFCDKDAEGNPCIWSGVFC TQKKCEDSNFKGDELCSTYMSNCIGKPDDQVGCRTKTCETAASDLTTNEDCEKYLPKSNC IAKKSGGCTINTRCNAIDFEGACIKDSQGNKCYWNENEQKCLTVTTCPQITIESKCIADQ FGQPCQWVDQFMNNIKAQCVNKSCSSGPMYLKSEKECNEYFKSNNLQCTLKKGGGCREKS ACQDVDVIDGCTTDKDGNTCLWDQTSSKCRRQVCSDFTELTYFGCSKKRADCTIGFGGQC AELQECSSYLNKMSCVKGTDGVCLWIEHFKDGKGACFQFDSCQSLKWQTDAECKLASSSC TTDGEQCVPITECRSTNVNGGCVTGTDGECIQTVSSLHSTESKTCSKFINCSTAYYLTHE ECQQAHSFCTTNGETGCRDLTSCEHYTVKESCHLNNKGIQFDDQGSLISTGKCAWDESNQ NCREQVCSDLVFKSEDECSQILSNCTSDGEKCVEKQSCQMYIDESTCNSRNGIDGPCLWN EGTCRIKQCQEIQQGTNQNTCSQIKDCISDGEKCVLKDKCAKYNTKVACNISGVDGICVW NESSKTCSVMNSCNEANTDESACNSANDRCLWNSSQNEQSRCSEHTCLSYSLQSGQCQYF KTWNNDKYHICKMIQGKCSQIDATTLTAEECYTYSFYTYSWSPLSNRCMQCSRILENGSN NANSTNSNKTIYQYILGSITGFFAFAAVL >CAK79264 pep:novel supercontig:GCA_000165425.1:CT868319:446998:448821:1 gene:GSPATT00014231001 transcript:CAK79264 MGSSASSRINEFQKIEADLKLTTLPELDKLLIVAQDLLKYTEQLRQRIVSYHDLMMRIVK LQSYKNVTLVDAFKIWYLCALVCNDSKGDSVQFECKIENFDQEFASESLKKLMNFVWTLI YKQGSVVAFAIEDKFVQDEKEQLIICDTGICGDFKLQLWPFLCSSFLCYLRSAYRLAPFL EEKINSQINLIRKYLDVHKAEKLTKSINQQALTRLCEHLKYFGQLVDRYHQEVKTFTSKY KQIMVEMHPLSQEVLRQEGNQNYIFNDERTILKSKIQDIVRKYAPEYELKETALMQNEIR LKQQRRKVKPKTKLERRRTEQAALTFPTTYHWVGHEYFDTFFSAQSLTLSELEECRAQGE EARKKLFKITGVNQWRDKTIKSVFECLTWMLSAELNKNLKQSELKLLKEEPWIHFIGQKK HKFNDISRDIVTHLKVWLKYFSPIRYQRICNYYQDFLKRQRELQKNKFEFHHLVRDLPPQ NQYNALSSFTKNIRVNETYISKIEKLFEMTIESSGKMGEELYDSWQEIVDQADSIKYQIG TPFNEFIKEFRPNTLAELDQLQNRKAERKQTKLSIACIEI >CAK79265 pep:novel supercontig:GCA_000165425.1:CT868319:449019:449687:-1 gene:GSPATT00014232001 transcript:CAK79265 MEKNENEYLKQIQNKIQYYQKQLTSLQQFKGINYDLKQKQKEKYQCQLDELHNIEEICQR SDKFSEQLKSLFYVCLHYHYLQNIFVSQEVKLEEILEIFKMVFFNLQTTTNKDQKVLQFC VQHYKSLQKSKEQSNHSKNLSIDTKSQTSGYSRGGVENKTPSRSTTPKRQQMCNKSIVEL STPQLKNYYTQMLKKINVNNPRPSIEKSPSIQKEKDKTNFRV >CAK79266 pep:novel supercontig:GCA_000165425.1:CT868319:449813:450305:-1 gene:GSPATT00014233001 transcript:CAK79266 MDWEKDFYEFLMEIICKQNSIRLQMASNDIVTAMQKLPPKHPVNMHRKQYGNLQQCFKAK KNPFFSYTDNLVLLLPKDKMDQLNQHGLVPLYQLYVQTYESVQKKLIEIMKINKENQCQV CGMVYKVLINRQGMCGEEEDQKAHVPMHQFNIL >CAK79267 pep:novel supercontig:GCA_000165425.1:CT868319:451276:451852:1 gene:GSPATT00014234001 transcript:CAK79267 MLDKKDQSKFQNFLLQLKALVDSFLLPSPEPEEQPKTQVTKAKKQKKQKDPLAPKMPKSA FIYYFQDKKDKFQSQYPNLQFQEITKLIASEWKDLPKEIQQVSLQKNNQQYHDQAEQDRN RYSQEQELYHSQTGKQVNGKSQAKANKSSAKKEKIIKIVDQDEDNDSFGADIGQD >CAK79268 pep:novel supercontig:GCA_000165425.1:CT868319:452035:453172:1 gene:GSPATT00014235001 transcript:CAK79268 MDVKIGRSFKLTKKLGSGAFGEIFHGINLKTNMEVAVKLEPVNTKHPQLFYEGKLYQYLL QEPSVIDKGIPNVYYCATEGEYNIMVMDLLGPSLEDLFNLCGRKFSLKSVLMLADQMIQR IEYVHSRHFLHRDIKPDNFLIGTGKRAHKVYIIDFGLAKRYIQKDGKHIPYKEGKNLTGT ARYASINTHLGIEQGRRDDLESLGYVMMYFLRGSLPWQNLKASNKKDKYEKIMEKKISTS IETLCKGFPQELATYLTYVRNLRFDEKPDYSYLRTLLKDLFTKSGFEMDYIYDWNLIQKV EGAPGQTANNSQPQAPGQVPPKQG >CAK79269 pep:novel supercontig:GCA_000165425.1:CT868319:453622:454166:1 gene:GSPATT00014236001 transcript:CAK79269 MKSFISDIMLDTKVFMDMSFLSSNSGNDGRLRYANNSNYKSDVLIRKECYVSDAILTEIK RIIEESEIVKENDSKWPAPDKIGRQELEIKLGNNHISFTTSKLGSIQDVQNSQDPDGLRV FFYLVQDLKCFIFSLIGLHFRIKPVN >CAK79270 pep:novel supercontig:GCA_000165425.1:CT868319:454939:455731:1 gene:GSPATT00014237001 transcript:CAK79270 MKESRLYKDREDGQMPLNKSQQLMVKERLKAHQQIQLSELKNEQLQYMKERAYWTIGEEV ILLKYVKSHDGQLWNWDGLKQKLRKKDGNDCQKRYDEIRRPQDGEYEKPETPIDKSWDQK KESILLYCYMKYQGQWGKIQKRINEFSIQELQEKLAEIQSARRLNNQWNIFGDFQLLEGI MKNKKLQELEGQLGKNQEEITNHVKEILEDLGKKFRITENDYVSDKSEDSEQIRERIQIV SSNNSEGSGYNSSDN >CAK79271 pep:novel supercontig:GCA_000165425.1:CT868319:456187:456852:-1 gene:GSPATT00014238001 transcript:CAK79271 MPLVEFNLDILLKYGQALYLANSQSNWVVDRMCRMTCIQDSKWQISCDVFQTPFEYKYAI GDYFLQGQSSIQWEKGPNRILNWNKDLAMTIKNIWEKRKISFLLQTDSMTSIVILMSNHD SIQKRLRNKKDSKNNQKIFTMSFYIDIFKIALGIEFQYYLLTQGKNPIEFISKPFTLSSA EQQYLNSKDLQFSKISS >CAK79272 pep:novel supercontig:GCA_000165425.1:CT868319:457371:459564:-1 gene:GSPATT00014239001 transcript:CAK79272 MNFISLDHQIHSIEDYDILCALDRTDYSTVYKALDKKTGLIVAIKVINLVNQAELAQAVQ TYRTKPFRNICLVDKCFGQDGKLFIVMEYFAGGSLKDLIHLFKINKQTLETQYVQVIIRE LLLGVQEIHQEGLVHRHIKSANLFLSQEAKVKLSYFSTNKEFADTINKSQSHILSLPYWM APETIQSSLTDQKTDIWAIGITAFELITSKIPYEDVPPQKVIFKIVQQPPRLSGDYPNEM IDFVHQCLQRDPRLRPTAVQLLTHPFIRNAKKTQLLFELLERAGMLSGEQQQASCETLNY THNRVVTSLKLDASGTPIREDDESLKEETLKIKRTFRKIEKYKPGLGKIVLTLYLCRYTM KSSKSSKPRPPDEYSNLITHSHQLQQMKTNNEPSLFKYRVLEQKNKKIILEADEDQFNSF ANALSIIQRFHDDIKQQLNPQQPSSRKIRGIASPNYPQSIPTLRNPTMFPIPTRTQTSRR IRTLEGIPIYSLLPRRIPHFFLSRFKKWAKMMEQDAAYKYLQNVQESKVLSHPILIQTSK QQRFELGDLQRCFQVQVNEPKESKLCKNLLKDLFISFLQNEATLQIIHYNKISSIEQKHK YIAEIKNMIQEMYELKPFDSYLSSEKIKGKKTINNNICQSPIQEKQEEQRDDFQIPQIQE KYSNESFKKYTQAPSLVKMNSFQ >CAK79273 pep:novel supercontig:GCA_000165425.1:CT868319:459635:460276:1 gene:GSPATT00014240001 transcript:CAK79273 MKQALIFKHESPNVKADFHENDTKDPIKILQSIYDQYDALFVEEIILEELDIPEITPELR DKLQEFPNVHSFGINRCGLQSLTNFPSFKHLVRFQADGNLIKAKQIGYLKKYTELRSISL ICNQIDDPKTMIKYLKEMKITQLNLYGNPMQDYFKLFFDEIPSLIYLDNLQKTGAEVYYD YDDTNELSKQDGYICPNYKEYKS >CAK79274 pep:novel supercontig:GCA_000165425.1:CT868319:460278:460705:1 gene:GSPATT00014241001 transcript:CAK79274 MAYNNDSQDFLPDDQDEFAMRMPVKSHLQRLDQLLQQLMEELDMVKKEKEQLRNNLIELS QKTSDSFDDANKYLNEEYRRLMQEFHEQNALQLEQHQFLKQQVDSINQDRIKLQQNTIVL ENRVQDAEKELGFV >CAK79275 pep:novel supercontig:GCA_000165425.1:CT868319:460725:466772:1 gene:GSPATT00014242001 transcript:CAK79275 MNFKLTIFLSLLTLHQATRFSTIKCTCEQLLSQNDCQTNKCTWDQNKCVINIDTPVEISP IVTYCTYFEDLVCQQQNGCAWIDKQCLPFTGCTAYSFTGQEDCQYISNRCISDGVHCIEI GLCQDYKTEIGCVKNKNNKLCYWNVDKCQDIQECSQISKTLQSDSECRSQLTKCTVAQQG GCVDSGDACSNQKLEIQCVWDKSKQQSCAWINEKCVSRICSNTPATYTTFEQCNTYLPTC TVQLNGGCVNISSCENIKVEMGCVMNMNKQPCIWIENTCIDKQCSKAPAKFDTNEKCKKI NSNCVTTQNGCVEQLNCESSKLQIGCVDMVDGTKCYWNGSKCVQKTCSNNTTIKTEAECQ LYSSGCILNVTAQSGCLDKICENVVQQEQCVVDKSNNKCSWKSTCFTKSCNTAPKTFTTS NECKSHMNTCVLDTSGQGCMTIRLTCQAYTSEKSCFMIKGGQYCHWQDKKCIDRTCSMAS VSLNSSQQCQQFKPDCIVGNNSQGCMDLTKNCEDRRLQANCEYGDKPVCIWNVTRCVQQS CESASVVGSPNYLSVFDQSSCLSYMDNCIPNNLGNGCTAKPSSCTQLSINNCIAAQSNDC IWVNGQCRDKICINLIGSSHQECSNELSSCTVNVSLNGCISLSAKCSSYTVSQQCHLTST SVQCFWNGTQCRDAICSDASDSSDYDTHEECNALNSSCTVVSKVGQQGCVEKYSTCESYK QSYQCHQSSNSVQCLWINDGCYQVSSLTCGDIKIPIYNDANCSSILPNCKSNVTQTGCIS KACTDYSYTNKVDCEALSGCTINADLNKCITKQDSCSAYSSDPTQCKYSKEGNCVMKGLT CVWTHLDCASISTPVVDNDCFVKRSFCKMLVTDQGNKCIARDCTEYADVTPTSFSICQEY DYSCVNNRNNNGCITMQQYCSDAGDAACVYSKKEGLCKYTGSVCVAVATANTKNCNLVVE AGLTFKKCQDYSNNYCSVNRANSACVNIKAQCSEYTNLSDCHSSSSGMCIQTQQNDSGAS CTNVTSSVLCSKLFIGQSSTYTHEICESLKNTCTNNSNSSCKDKTCLNTNTALTTHAQCN AWLKTCTVNTTNNGCVEMKQTCLEQSVTACLQASEGSCIVYDNKCMKFTCQKLPNTLTTH SQCETQNNQCTVATFGGCIVKSSNCNTYKTQLQCSFNLDDKKCWWNQYNMTCVNLQCDLI EQTTDYNTHSKCYNASTNIKCTVSSSGSGCQQLKVCTDYTFQGQCVIDKYNKPCVWNDNV TPSVCQLKSCEAASYTFTTHDECQAFDSTCTLQTKYNSDTSTQINSGCQILSINCSDYQF EQQCYLNKSNKQCKWVDNLCIDFGCKTAPKTSDYSTHENCQSYLNTCTVNYDLLGCLTIP TTCSDIFYQVQCFKDINNNECYWFDSKCQQKKCENAPSNINTKLLCEQWLPQCTAEDISK CKTITCEDYTYTTDLECRQQISNCTTDGTKCVTKGSCAEALSEIGCDKSINNEQCYWNKT YCTLKVCNLVTKEVDCTNSYNNIICVWDNNKCRNIGECYDYNGTSHKECQAFNTLCTFDD NKKCRKLRKCNEYSKQDYCILGLDGPCLWIEQLNICHQYTSCNSVKFQTHIECQQVSKQC TTDGLQCVPLTLCSETNTTGGCIDGIDGSCMQTVAALNSTQSPICKLFTSCVEAYYLTHI ECQYANKNCTTNAVSGCINLNQCTQYQRQESCQLDINGVQYQDNLIIATGKCIWDNGLCR GQICSDFSGITNEQCNKFLSTCTFNGVKCIPKQNCYQYTSSAMCAVAYGLEGKCNWNIAL DKCLKLTCDDIQNGITQALCQNTLSNCITNGVNCISKDTCSRYITKIACNVGGTDGICVW NAKNSSCSLMLSCTQADDDQEACLQASDRCAIKYATSQSTSSCYSHTCDTYLNSNGLCKS FNNWNYSSKTACSFTQGKCTEIDLSTLTEQQCFTTSEYSYTWNTSKNKCQSCVAQNNNNN NSNQTNTNPNVTTPSQNVDFGYMIQTVMYLYLISN >CAK79276 pep:novel supercontig:GCA_000165425.1:CT868319:468158:468394:-1 gene:GSPATT00014243001 transcript:CAK79276 MSLIIRLVRTPNAAWEKPKQILDEESLIQRQAAYDEKVKEQIFNSDANPIEIKEDEEEEE DDVEDIDDYADDLQPHDL >CAK79277 pep:novel supercontig:GCA_000165425.1:CT868319:468691:469245:-1 gene:GSPATT00014244001 transcript:CAK79277 MQIYYQIQLIITNITAYLMNFIIITLLIIPNYASTSSSNPKYKYQTYQSYKQYHPNPLLN DNQIIDEAFLSEIINENIQIKWRRAPFLNDTIELPDILFSLKDQQEILVIGFNQDGHQYY ATRIRVRSFQPLKIYNRTDHIQLKKQFSVLKVLQIADQVADKPQCQETTISSDNVQQLIS LLNN >CAK79278 pep:novel supercontig:GCA_000165425.1:CT868319:469536:470357:1 gene:GSPATT00014245001 transcript:CAK79278 MLTKSIRLFRQFRFCSAIEEITKKQAEWSAIAKQKTQSQQEFLEQALSQDQKQRVKAIAD ACCELDLFELQCLMSLLNENHYKAQGIGLFQIDSNWPVIKQNEVPTWPPKEKEQIEAYMK ELFGENVPDFKAIFGGVGSIGSQAPSQSAASSEAPKQEQKKAEAAPKVEAEKKNYDVELS AIDAAQKIKIIKEVRQLLNLGLKEAKDLVEKLPANLGKQVPKEKANELKEKLTAAGCTIN LK >CAK79279 pep:novel supercontig:GCA_000165425.1:CT868319:470386:473059:-1 gene:GSPATT00014246001 transcript:CAK79279 MNKKPQTKDTFLQTNLFLLATQIVLNISLIQELTEIMTSLIVIILKVIFDIFIFCLLYLL QNKITPNIILKVRFADRILEILAIAIIQLPSCIFLSIQMCYPLEIKADQCLAKITLIANI LGKLTLILSVSLVQINAISFVCSFVLIGIDILKFTQSKGKTQNKNQYLQSQLNELQSPSN YSKKSDNIWRQRIQDMNVQVLILDYSNLCVKYINQCFLKLFKCSVEDELQKIILSQLNFQ IPQQCTEDFKSASLQIKRLRDKQRDLSCREFKNLESTKQVIKIQTKTLEQIINEYKQGYY DSLIQASSNKAFDISCTLKLQNSNPISLSGQIISDNEEITIFLNDISKQTELSQQKIKDD FKSKIIESFSHEMKTPLNSAKNLIESTLSDSTVDNYIKNQYLQPAFNSLKLQSYIINDII DFSNYYANSFYLQTRDFTFKELINEISGLFQQQFEMKNMGLVIVMKKNHFSTFNTDYNRL IQIIVNLLANSLKFSYGGNVFVNFKSMDQNTLKISIKDEGIGIEQEKLERIQRTLYQFQE TSDFVFNQGWHGFGLLISSILLTKLCANTNKQLLSIKSKGKNLGTKVTIFVDDQNRGQCM LASRKSDIIGAKIHSQNKIQIGTVIVTSVKKMSNHKNNDIIFPQLKTKELFTDIIDQSSE FLTLNSKVKELQASDPKLISYLNDSPSSDQSPDINYRQLGQSYDYPSIQHQFKDQKSCKS SKSQLKQLEDKESEFNLLNFVQKKKCHCRRILSVDDEVFNQHSLCMLLQKLDFEVLVAFN GQQAIDMIGQLQKCCDHCQLLDLILMDYQMPILNGIETTKLILEMIKAQKIPLIKIIGLT AFTAESDVINCLDAGMTYVLSKPLNLKEFKELLPNL >CAK79280 pep:novel supercontig:GCA_000165425.1:CT868319:473216:474549:-1 gene:GSPATT00014247001 transcript:CAK79280 MRELINEVNSLFTMQFAEKNLEFRVDLMDCCTATVISDYSKLMQILVNLLQNSIKFSTEG LIVLKIQSHTKDILKFTVTDMGQGVSSLNITQIQSYLNNLKNHKEIQLNKSWQGFGLLIS AMLVSKLGPSDRSTIKFESDGINMGSKVSFFIRNLLPKFNSVKQPTIRQNTQRFQSSVHL TTSLNNLNGTIIQTTDQGLKSLPKKQKTKLCSQKSNDNSMFSESVVYDSLDQKFGLLSPV QPSLITDIVCSSPQKVIIEKRHFSRNENSSQRSNNSKILSIQFIKQAEEQEDEELLQTYK RRKKCQCQRIMSVDDEIFNQKSIQMLLCKLGFEAFNGQQACVDILNIKKCSKKCSLLTLI LMDYQMPILNGCQATEKLIKMMNDNILPRIHIVGLTAFTNSNDIENCLKAGMSDVLHKPL NLKEFKEILTLI >CAK79281 pep:novel supercontig:GCA_000165425.1:CT868319:474757:475352:1 gene:GSPATT00014248001 transcript:CAK79281 MNLQSLIVVFNLLFLQTRAAKLSVSQSTPQITQYNQTISQFNSTFKYNTTLLIEGQEFQF LIVEFQQTSNENSKIALLFNEHSPTFQINQTIKFKDMDYDSYALKKYNHQLQIQNSPNPK FITILSNISIFFDLTLTGTNVQICLNNCSNNGKCIKGECHCSSNFIGKDCSLAANRVKDL DLEKSNIIKL >CAK79282 pep:novel supercontig:GCA_000165425.1:CT868319:475381:476095:1 gene:GSPATT00014249001 transcript:CAK79282 MSKELDLTFYTDSQKNLSILEIVSSFIHLPTLRFYDFYEQFNNSMPLAQTITSKGLLDYE KKQYQQEYTEEEGYHGISSLLDESPSRLIVAVWCDYPNTQLSISLQKSKNRRNDPELLEW LLPLVIIGGIFFILIIVLSIRYFIRKKKKFGNDYEQVQEVQACQVCGLCQQGLKDNNDTV FKSKTCKYNHFFHNRCLNSILDKYPKHGNCLTCEQQFQTQLTQQKKSTIL >CAK79283 pep:novel supercontig:GCA_000165425.1:CT868319:476932:478978:-1 gene:GSPATT00014250001 transcript:CAK79283 MKQIEMNGTYLLSQNQQHLGNIFQRDHSIAIFFCFQLTINALMIVQYDSQLIFTITVCIL NTIFAVTTQMIALTNKTLLFKKICIFISRLSTLLMIALLDIQLKSALIMLLHSFEVQEVD KKYFRYFEIIATRAITLFIIIINFDLISIISVSFQFLLELNRLSQVKHTQQFDPNQQTPL AQSLISQQEQDNLWKNRIQMIPVSFVMINSKTQKINFKNKTAQQFFSMFCESDQEFEDLL LHKLQFNLVQDNIDQICQSFSYLKIRQRLRSKQNRFFQQDQNCLTPAPLSGSSGQCISSR QADSVEHNTLKFTLAEIIANLSEGLFSEYIVNNSLELLCHQNYQGLVENANTNHTQQKKF QLSGSILTNQQNEEIILLLNDISKQNELQQLISEDEFKSKILESFSHELRTPLNGAINFL SAFLQDTEFSERIKNKYIVPAINSLRIQSYLISDIVDFTSSSTNNLELLIKEFSMRELIN EVNSLFTMQFAEKNLEFRVDLMDCCTATVISDYSKLMQILVNLLQNSIKFSTEGLIVLKI QSHTKDILKFTVTDMGQGVSSLNITQIQSYLNNLKNHKEIQLNKSWQGFGLLISAMLVSK LGPSDRSTIKFESDGINMGIYYRSLTVLNSLQLGKTHKDFKVLFIQLPHLIIQMVQLFRQ RIKV >CAK79284 pep:novel supercontig:GCA_000165425.1:CT868319:480280:481659:1 gene:GSPATT00014251001 transcript:CAK79284 MRTSYVNNQYFIIAMNLQSLIVVFNLLFLQTRAAKLSVSQSTPQITQYNQTISQFNSTFK YNTTLLIEGQEFQFLIVEFQQTSNENSKIALLFNEHSPTFQINQTIKFKDMDYDSYALKK YNHQLQIQNSPNPKFITILSNISIFFDLTLTGTNVQICLNNCSNNGKCIKGECHCSSNFI GKDCSLAATELKIQTWRNQTLSNYETFFYYQQQNMSKELDLTFYTDSQKNLSILEIVSSF IHLPTLRFYDFYEQFNNSMPLAQTITSKGLLDYEKKQYQQEYTEEEGYHGISSLLDESPS RLIVAVWCDYPNTQLSISLQKSKNRRNDPELLEWLLPLVIIGGIFFILIIVLSIRYFIRK KKKFGNDYEQVQEVQACQVCGLCQQGLKDNNDTVFKSKTCKYNHFFHNRCLNSILDKYPK HGNCLTCEQQFQTQLTQQKKSTIL >CAK79285 pep:novel supercontig:GCA_000165425.1:CT868319:482436:483126:-1 gene:GSPATT00014252001 transcript:CAK79285 MSSLVKKAKDTYDLLIKILLIGNSGVGKTQILLRYTENQFKSSFLSTIGIDFKIKKIQVD EKVVKMQIWDTAGQERYQTITQTYYKGAMGIILVFAVNDKETFNDIDKWMNQIKQHASDN IIKVLIGNKTDLPDRCISYEQALQMAQKYNIPYFETSAKEGTNINDTFQQIAKTIKEQLE KLPQQQNGSFNKLSSTPNQDQQKQDDSMCC >CAK79286 pep:novel supercontig:GCA_000165425.1:CT868319:483216:485968:-1 gene:GSPATT00014253001 transcript:CAK79286 MLKCQTLYKAMLKYLKTFQNQQYQSWKWHNYTQWADLSFRPLMIMQVIFIIIKLSIVADN LMLWIIFGVEILVHLILFLCLPKIIHKESQFLQFVPLILQESLSVLCYFVINESYLIFYT ATCVIFQSMYSTILWKVIAIIIFLIQTILLADLSSQEGLYLTITLLFMQIARKELQQMQH FINLQALFLIIDSTPQAMCIAHKDKNWLLYSNKLFENLANKLESTNQSETDHSPEKGAAA TNNFTKNQLTFLQNLQLDELNNNQNVVQFDFDDDDLYLDDQYKIKNVTYSKDKNSIQYSK AFTLEIPTVRPKNHVQFQKFFQAFKNPEDESIIQKTFTSDVTMRIEVNNPPQFHLQSAGK KSQHKKSNLQNKTQKQQNYMASSRKVSADCLLNSKEYRNSGHKQSLLDQEVNFFNKTKPV YVHKMKFLINVLENCNIFDNDDELQIYFIHEVNQILLRTKLKKLESIKKNLLRSISHELL TNLNAVYGFIKQSQDKLLKQESCHIQLEQALSYTKLQRYKIYDFFDYRDILEDSFQLKSD KFDINTVILECVDLFRNQIERKSLIINVELPKTSYIISGDRQRLCQVLLNLIGNSIRFTF KGGINIIVQKQEYIESMEGIDLHSANYQDSNLLEVQINDTGIGMTEDELSILRKKLHLTD EDEKVSKHSVGIGLGLSVSKQIIKLMSPIGQNYLSVESTKDEGTQFNFLLKYHDESIYAS NFLQRSNNHTIPNVDAQSTQQVRVMNYNKCNNLQITEKQGQSEMQLDLVLNECNCKIILI VDDEDFNIQVLSHMVKQLGFDIDSAYNGKQAIEKVQNQLLKRCNQKFCIGYNCILMDINM PMMNGWETVQMIRQIENEIHLTRTIPVIAVTAFCSIKDQQKSINEGFNSVLIKPATKELL IDAFNRLNI >CAK79287 pep:novel supercontig:GCA_000165425.1:CT868319:486052:487021:1 gene:GSPATT00014254001 transcript:CAK79287 MIPLNYLSIPNQIEPYHTTLQLLTEENHHLRKLLNLNQQHQIICLTKEQLQEEVYKMIDF LMKHLNYLSKEQIFAYQKTFRCYAQKKALKSIFFQIFTRYLQAVKTREEMIKFIIRKSMK HQRQSQSKEQIKEKKEIRKMNIAFVKQLFQNTSYQQNYSNFLNQYLQLALNENQQKIKKY VLFIVDLIQSEQINQVLNYKRFPWLNDWINQSVQIAQELQNLQNQEPKKAKSDYYLTK >CAK79288 pep:novel supercontig:GCA_000165425.1:CT868319:488226:488603:1 gene:GSPATT00014255001 transcript:CAK79288 MGSICKQQAQQQIEIQNEVKFSKGSEKKDLLKRNTETSGGTQQQNSTKQFSVKKDPLSSY MNESLSDSDSDSQQSKPNQQVFTNFVTFQALPSQKQMIPKFEVLKDQFKNEMIQQMNMQH QRKQF >CAK79289 pep:novel supercontig:GCA_000165425.1:CT868319:488728:491613:-1 gene:GSPATT00014256001 transcript:CAK79289 MNFQVKLKLSILILFVPVGFVALLQIITLNVIFITNFSNQAEHLSFAFYNETTQTTLKFR HQLETINLGIKVNAAYILQGLIMSNQQLSLNQNLEQIKVFLNPLISRSQIENFKIVCKDS FEYSIQQIESKFETWNSTNQVFVDLFQNYFRFIISYDTNNDCNIQVFETISNLVNKAYKY AKYELLQNQFNYFMINKQFYQFTQNSFLPIEKNKLEGQYGQFVEQLFIQQNFDENNCLLQ DTLVQHYLQKYFIYGWFYSLQKQHVKCEKSQISEVLSIKIFDVEKFKQFAGSSQNQENHN VSLIIAQSLISLTFFLYVWTISIRVSNSFCIPLQKLARQLQNPLKYHFDSMPSKSQLQNC KEINKVYDSLQIYIYYYQLLKKQHFYSDQDQGSLYLMTLSQLSEVYKYHKNNWQVSVCAN NIAQVHFKNKRFIEALKFQAKSVILGFEEYSSIKQIEKIRKQLLNSQKTLLYQLKEKLRR FVINKFAGSTNILHSEHINRNRLYSINLQNPYKQCNIQQIQITERLPLTNYLKSENSLDK MISLQELALQQDLYDDEKRFYKLTILFRKYTFCRMLYKFCIKESPSLLNEAVRSLSELFE EISNKRFSENKSIVGIKIQLLIMKFSCFQKLNLMEKAILELYQIKILYQQYAITEKSKLV LNGFDIVLLTSNEFIQNAIRKLKIVVLMKNQEYQIAGEKCIKIIKKNSQNIYKLNSFAYK TLHSIFIQLGLNQNVLQKLYLDINSKQFKIFFLIDYSSKVSLEQIQVSHSICSYIMQKLI HLREVGLYLFNNSVYEMLAASESKQYRKFLLKQFERFQILKGGECKVIQCLNELLNYKLN TQSLQNETRSTYQSIAIPKQFQKQKQQYNEIIQTFVCVFSEFSTKIDQDLLQKIQIQAER EEINLVLFNIANSSRNHEQAKNFAKKVNANYIESDRETIEWISQLNQSSVPIYGQGYLEF Q >CAK79290 pep:novel supercontig:GCA_000165425.1:CT868319:491774:492698:1 gene:GSPATT00014257001 transcript:CAK79290 MPQLPNFAPVVEQWWKYLGFGQRLILFQMAILRLISYFSNHILNMLYNQTLLVQNGQYWR LFTAPLFSTSFLEIIASWFFFQLIVNPYEATVGSAYFIFDFFFKSFLLESINFLVNIGLC QYDIQYQYTLSYGIDGLALYYLCSQFLASPHSQRDFNLFSIPNYYLLLTIPFVMFLYTEK FIYIFALWIAIFEWFFFNGMLIRLSDNSIEKLSFIFGTLRKINCFKQPSQSLQEPKLYSA SPQVELGSQQQASQAFGGRGIMIGGYEEEKEQRVQGQNNYQQI >CAK79291 pep:novel supercontig:GCA_000165425.1:CT868319:493790:494293:1 gene:GSPATT00014258001 transcript:CAK79291 MIRAVFTIILSLNYIIKVSGVIEVYIDLHLKEIVFLMPIVLLIFFQIQVGFVSGASPQFT WCDTGKLFGGYNYFGTKTSVRTPFIFKALTEGYAIHEKIQLKSKNIDIQFLQKNRFIGLD NQINASAFDRNMKDEVLIIIMMQNQSLICMVFFFIF >CAK82631 pep:novel supercontig:GCA_000165425.1:CT868440:282:533:1 gene:GSPATT00039507001 transcript:CAK82631 MKKFYVKIICFRKLKQTIAFIYNRYWDQFQQSQSLNFGRFIQNQLFLNEQESQQNVILKQ KQDKSFHFALENLNKGSTNKISN >CAK94693 pep:novel supercontig:GCA_000165425.1:CT868679:1711:2678:1 gene:GSPATT00027017001 transcript:CAK94693 MNSQLNTCFLHSSQIQYFCQDCDISLCETCLLTQHYQHTLTYYVPIQDQKLKILNGKHIK WLQEQEQEVDVLQKIIQFQIQEKQNINHTFNKPLSKSNYLQISNQILNSDHQTIVFNFIE KIITQNEDFEYEDQQQLYLNAIEYKLNQNLWQKLCLQLSLSEKLQILSINLCSSTLNTNL LQQLIESISNLQNLLYVELDMKHTYLDDNSIQVLSGVMKIPNLIKFIAYVSQCNIAVGTL HQFWKRNKEQIREKFNYFYIYHHFYSFGHDKDFYEEIMASKSSIYLDQFSESTFV >CAK94694 pep:novel supercontig:GCA_000165425.1:CT868679:2763:3422:1 gene:GSPATT00027018001 transcript:CAK94694 MKKSQVIRPNSNHKNEIKNNQIYIPKVSNMIISEKIMQNLLRTTSAYLLAKNVPHLNLTP SRRNYRQSPMKNQIHGQQINSLHNQMNYVVTNSIRNYNPSNQCYLSSSFSKIPTTSGQII SQISTKTLTSINKQNQNSIPLYKLITKMKNQSKDTRSVSQFPTMSTERMVQDSEGQQQKR KKSVRFNDQVEYLVIKSADGIEKYKYKFFEPLDEDHVYL >CAK94695 pep:novel supercontig:GCA_000165425.1:CT868679:3816:4430:1 gene:GSPATT00027019001 transcript:CAK94695 MDKNNPNIKEYILSQLGEDPTEEAINQVAQKTQVLPILIKQWLLFYKRNNSSQNGLDLST QRLESVPIFNGKFDQCYEVEDPNYELKQKKQFISDNHQKYTSQEDRTIEKQLKEVEKEIK KRKKLMKTDKKQTDPYFNELYASDDLNQESKTYDKQPFNLKEQYINVPIYYMIKLEESLM EQAINIHQKIVKIIEAKYDYLNLL >CAK94696 pep:novel supercontig:GCA_000165425.1:CT868679:4452:7417:1 gene:GSPATT00027020001 transcript:CAK94696 MNSANDEIKMKKTTSQFSVKPTVLPQVMSTCKLGRFPIDGKLGESFQESNKRYNRQYFRI QTQAEKQEKAEKDKGIEDKDVFIQMQNNQEEAYEDMKQKDLVGPDSIKNYYQTYKKITRI KEQNKLFTVQNSVQTNLIIKSEQLRLLPCKMGLIKQKGESKVLAIENHKYGDKYVEVLSE GLRTLPVIQDFNFNQNRIKENGASLLLPLISKQARSIEMVSNIIGKKGLEPVLNVLPLQT CKIQVLNLEDNQLGDVLAQELFKAMQKNNTVKLLNVSKNQITNVSHTFIKQMIETNDSLE ELYLHWNLIKGSGGAELFKALINNKNMKVLDLSYNLLGCGGSNLTPVLKQFFEENKEMIH LDLSANQFSLADSQSISEALKENHTIYGFHFSGNFGYVDSKGFLIIQNEMRNFNQIHTDY RIRGCETHSKPYSKGPRSEKLMDVCWICDGWQGQKFQWIPNKSGNASDEPIFIHLDFEGY EAIFLGKPDENGIYQTNRMVPTGELDYFYTGCQLQVASLTEPLKVHNEKFRVRTKIADQI IDVLLDETNHQTINKGKPVILDWDPNYDVKPRTCDPIYIPAKMKKQKRAWSFPISIWAPK YKFDTEELLRKCFERDWNCSKITKFVKKIEEQEQIKEMLWQSYKSIRETYRYYSAINPSA DVFSMSQNPTSEFVNQCQLIDGKQMKLADVDLKFIATCSASQSDWKGNFRNPERYLVRYQ MMEFLVRLSEDKYIRNQPGSPSYVQATRMILDQCLPHMSQYDSHKWRMERYFIEQCDDVC KKYKQVIDYVYMHNSQKKVKPGQAPFMCLDELKDVCNKANLFDENFVERDVNLAFCLSML TQVDELESDRLFQMQLIEFMEALGRIADKYSPIGIGKQNEKEWTYEQRFAQPLYYKLEAF MIHLIHTSVDEETKKNWKIPTVSMFDLIEEDDQ >CAK94697 pep:novel supercontig:GCA_000165425.1:CT868679:7461:9241:1 gene:GSPATT00027021001 transcript:CAK94697 MEIPFYYRSQFKIKENFINPNSKHFDQIAQKLLSEDNKGLKRKWDKQCKMLFIWVIVKYF QVKNKKTINPDSEEWVELSEIFNFDEVTLKQRWITLINPMAKSLNWETEEDDIIRSLMIQ QEEKHIWTQIALELYNHNNGQYVRTPKQVRERWMNYLNPKLNKSSWTDQEDNQLLQLVVT NGKRWSMISTLLQGRTENQVKNRFKSLIHKIYKEEDDDDIEELAAIKQYLAKQAGGESNQ DNFLPKQTKNENNVNYEESQSNSHIKQKSKKMPQTKNGEQQKQQIQIKKKKVKVIENLQV KQETDEKLQSQIQSLNQQFCLSTYGNCDTQSQENRKGISSSHSQGSLINQEFECKSQQQI QSQSKGSIQSPSYMGQTSIKDPIPQYNGFRPYTNDACNDIFQQTPCQINRLGFGLKQHQY PFQQLSPFQQQIQQTPIVYTPLYLYNSQFISQSPYKSPILSPHIWMQQQQQFQQQYEIEI QNEQQKQEQFNNKLEFLQGQDLVSRWKNKRVQEKQNQQELNKIIDQ >CAK94698 pep:novel supercontig:GCA_000165425.1:CT868679:9753:10674:1 gene:GSPATT00027022001 transcript:CAK94698 MKKQQLLLNVNEMDLILDKTSTKGALWLGNLKAAQNIKQLKENKIQTVITVANNIIVSFE NSLNISHKIYKVEDTVKAQIIEYFDEINKEIQTGLNSGSVLVHCAAGISRSSACIIAYLM KTYKWPYERTYYFVKEKRLAINPNPGFKKQLMQYSKNLEANSQNQNLDLQETKDFKNSNS FILGYSNSWVKLSKKKLSSPHDLVNQLSQNLLKSPLKKIDQLNRTSTSEERQIYRQQLMK KLFVNTFSTKNSDQTTNYKSEQLQKENKPIEKQPIKTKALLSMYKRVEINNLDSERTSI >CAK94699 pep:novel supercontig:GCA_000165425.1:CT868679:10720:11051:-1 gene:GSPATT00027023001 transcript:CAK94699 MLINEQFGFISNDFGKSFMLFILSAYFNRCYKNLQFSYMISSFYNYLFLFQSLCFLISYI GKSCIPRKFNKLKEDSKSEN >CAK94700 pep:novel supercontig:GCA_000165425.1:CT868679:11334:11656:-1 gene:GSPATT00027024001 transcript:CAK94700 MGQSCCNNSTTPDSDAKLNTNLPEQYSTREQSNTLAGNSNQYQNRINELESNVQTSLEAM NKLNSTIQNLLVQVEELNKQLDQKQKLEAIEIKEIKEEE >CAK94701 pep:novel supercontig:GCA_000165425.1:CT868679:11690:13145:1 gene:GSPATT00027025001 transcript:CAK94701 MHNDKKIKEIKDGLSNYQIKPITIIKGISGIGKLHLAKAILAEFKYKILEVDSAFRDIWM LNDVHPLMYSMQDQLSKIDDQQVIILKEISGISNLNSLRQLQQQYTYTKCNKPLVIIVNT TVLKDYELTKMLCQEFIKIYCNVVLLKSPTNNKIEKYLHNCFPRVNSNVLRQIAELSNGD IRNAYNQAYIFSINYREETQSKDSQLTFFNTVGRVLYNKRLDYYNTPKQLTYEEMISKPE PKYYFDPFQLLETIQVTQQTYRGFLFINSLKFLHDLKEMKQFYEIQSDADVIEKGLCRFD RYQGDIFNNQQLVSMVVTLGYMQTNKHVKPAKSMMLTLNGPQDYQFRQERLLKQNEMKRL KPFKGYQKELVLSILCGKRVSLLNSKNSFMSQVSVEDDQYDQHWFEEDQQDQQKFSNKTL NSKNIKIRRNQGDLISRNKNQRID >CAK94702 pep:novel supercontig:GCA_000165425.1:CT868679:13150:16568:-1 gene:GSPATT00027026001 transcript:CAK94702 MAELSNLTQEQLYQMLTFVLSGDNEKIKEATRVLKVYTKSVNCIGPLALVISQNENQSFR HLAGVLLKRNMAANYDKLDATAQTQLKQLLLERFFAEPINPIRTSIGSLIGTIAIQTLGD NKWPELFQVLQNQTAKNQDIVTRQRGLMLLALIFDYSGDSLKPFYSVFYPFFIENLQDSD KQIRVQTVKCLISLFDNIEHMNKQEAQQYKTLVEPILRFVDQCIKEGDEDNAYHCFDAFG YLAESKLTILDTHLGMIVEYAASQNLLLNPKCSSKFKECVLDLIDNVVEYHKKVLNKNPT LLKQLIECLSLVIAQPYTEDQLTQDEDPLQDVTLWLLETLVIGLGKKKTLFGLFLETIIK LIDSGDVNQMNAGFLILAAITEGLQDQIRRQLQNPIMNVIIPKGLKDERTAVRGAAIKCL SYFSEWLCPEILTYDQIVIPEMINCLKSQDHKIYEKALLTIDIFAENMESDKILPYMQTL LPSLVQLFLQQTTTFIARRHCLSSIGSIIVSSKEAFAAYLKDVSELLLQVLKEKDTPEVM SIKSEAIQVFGTIAESFKSNLEVQNQLITPLAPQIYELLTKHEDFEIREACLAFFYNMAA AQGEKFAPIFTQIISYTIKLAESKEGISYDKEKKEFSLDTESEDENQQGPMRVKVTQMDE KAAAIHALGQFAISVPQQFGQYFKTTFDILDETVDFFYDNIRIQTLQCYRDLIEGYALFK HNGVLPKVQLGLPAIENLDAEFLTFLQTDVMQKLIRVIAEDESYECAALAIDVIDHLTKK LGPQFVYKNLDDLAKVITLVLIKKIKCLGADLDSEGEEEEEDSDMNLNVLENLTDLIPTL AKNLKNGFVLFFRQIYPYLIGNLNKNKEIDDIICTVGCLAQIFEYEPSLIAECQQIAIPF LLNTVPAIGDQELNRNAAYALATYCEFGPQNDVASALPQIIQTLTNIFTSATTYREAAEN ATAAVCRILIRFPQALPLETTLDHILAQLPFKGDVEENFTGLRFLVHLGNTIPDLITPRM EQVIKLLLDSLIQKEHYKLKEEQLNFVVTTVKGLIQNQQYKTLIENIILNVQDQTQKNKV IALLQ >CAK94703 pep:novel supercontig:GCA_000165425.1:CT868679:16614:16972:-1 gene:GSPATT00027027001 transcript:CAK94703 MEILNELFKKKPKKQQQTNEREYTDLSDIQMMIQYTQRRQGLGFDDIDRRAKFQQPFVKK LKKDQIVKKQEKQDDNQEQKQKEEIGQETRTQQKQKRNNLQQSEYNFAGVFNL >CAK94704 pep:novel supercontig:GCA_000165425.1:CT868679:17314:17739:-1 gene:GSPATT00027028001 transcript:CAK94704 MDTTAVHNQAQAEIFEQKLITIQGTSSLPTEHVYRVRKQLHPQITANQFHLNKTQNSSLN CVNLFCQFCNKSTSVSTGRYCGCSNRQFHQSCLLNHINQGFRQGNGIMQCSYCNLYYPTE VESKQLSLQFIQIVIAKFDKN >CAK94705 pep:novel supercontig:GCA_000165425.1:CT868679:18270:21719:1 gene:GSPATT00027029001 transcript:CAK94705 MILQPVSSGSINISGDSHQNGLDHYLTELNNQNLMQVRRPNEDQILAFIDFEGLKADRFK QHKTVPDQIKDDKSIGSKVLHQVRRNSAEQSEESPLKQSLRDEFIQYESPNMELKKFGDT EEHRKSKTVISPAIQSSKSPKRKSKHFSRIFTKITNRMHLARKFVQKLKSLSPFSRKVSK QQMQIIQDLSSDINNSNEKYMNQSYIKMHDSVKSKKKLRRINSFQAFIALKSYFSVCKLQ TVLNKIKNGTIDPQGNFLFFWELVKFFITLSSLFQLSIQICFNLNVLNWYFVAEKENSQN ILIFMFIYYLIDIFLGFRTGYYENGEVVIKYQRVARRYFKTYFFVDLISILPIFINIILI QSFENDNTIIKIVNCLSFLRSNALSRVYHSLEGRLLSNPRFVIGYRFLSVIGTVFLYAHV FGCLWYLMAQKNHNNWINKAGIVEDSWFALYSYSIYWSVMTMTTVGYGDLTPANHEEALF CVCTMFIASVVFAYSINTIGMIIAEMNKFDEKINENMAIINRYMQRKNFEQSLQFRVRQY LQNLWTQEDKFRIVDENKIINCLSPTLKEEIQICLYGQFITNIHIFYRYFSQECLLELTK NVQEYRVAPNSYVIENGTHEGIALYQLVSGDAHMFVDLQDRKYYIGKMKQGDIFGHGPFF MNTLHSYSVKTDSACSFAYLSKQMFLDILQSHPVDYQTYRMIIDQWTFQNQKLDLGVKCI GCGESEHDLDQCSKLHLILNKKMLIAKHLFSIPNKRLPFKRNNRRTTNAKFGQRLFEDAV SLFQENNFSFSSDEEEPDASQLERTYQTKMTQEIRYQEHTSTHKSQQPLSTQQHQQPKNV RFRKRSRSLNSLSVHSEEESKFQKSMSGIKSNDSQLDKISGIPVRIQQIPSSQLSQSSFN VFLKEHPKRKISKQQDSDKYSNHSIGQRQQQPIHLSQQLLHKSSSQSSSGNLPQSSMGIA LVPNTSPPLFQQDEKQLYQRNNFEDSLYITQDRKKKSQIGTNYSNTKSMKSPLQAIPSVG EINETEKFNNNHLKEGSYTSKQLSMQSRSRSQRYTISLRTDSQKIKEDTKHDTQKHDFKY RTDTYRQYNENNSPLNEEQFHNKFEQACDLKSYYPQYNKDQIIQKYKRQQLKKVTYSYQL KF >CAK94706 pep:novel supercontig:GCA_000165425.1:CT868679:21839:22027:1 gene:GSPATT00027030001 transcript:CAK94706 MNKESGAKTYQNNQGQKSRKQGKGSKTKKEYNCRLILEKRNEKQNLEKYIKHQNGKYIDN IY >CAK94707 pep:novel supercontig:GCA_000165425.1:CT868679:22348:23571:1 gene:GSPATT00027031001 transcript:CAK94707 MNSRDPPSKILLLIITYLPPSFPLTNDYLFETFKQYGEIKKILIFERGKTNKAFIEYYDI KHAIQARRDMMGKSITIQGGRLLIHFSRLKQLNLEVVDHTRGTQYQPHEVETQVQPEKQV QNLQNAPILIQEEIKPPIIDLTQVIKLEAVDVSHASEESPTKAIMETQLKQLERILDEDY ANEVARSNLTQFDEQQQVINQLLTQKPSKYLKVQNIDERVTAKMLYNMFNRFGHLNALLF QKKDNTAILQFTNVDHSTIAKELLNNIMFFSREIRILFHQVDNLEASNPNEEYYVGSQTK FKIVPLSKVLVFSGINDLLDIQDMVKLGRQNSRNQIRIKLYLDYYGGYL >CAK94708 pep:novel supercontig:GCA_000165425.1:CT868679:23614:24333:-1 gene:GSPATT00027032001 transcript:CAK94708 MQLNPSNTFLALPDKQNIFQLHFVLFNFANDSPFRRHLDHLQIIILKLGIIHGQLNLCLL VQSPSCILMNKQQEMQHVACNVNQKLNMQKTQLSTIWIINNLLNCLLLILMSQVFFKRKS KKLCNNKLQLKYIYWNKNLRNRLTLMLIKTILILIIIIIKINIIIVIKILKIMIILYRMI IRIIIRIIIILIPTVIIVSIIIIISNIIIITTMQLDNRKAQQLFFRCFTINLLCSLLLF >CAK94709 pep:novel supercontig:GCA_000165425.1:CT868679:24652:25587:-1 gene:GSPATT00027033001 transcript:CAK94709 MNNNNTNLAKNLWIEWQLFKKNESTNPTLQMKKQGIMTKTDQYAEFTYRPNANSPPSMQE FYESVINGIIKRFQLGLYQGEYPYYNELQSCLHEFIRLMNFKSEILVEVFQSITNLNLSK ETFPNVVVPCASCGMFIYIVQHCTICYSAFYCDKTCLKNGRQKHETECQQAKMTPSIIYP LQVEIHCGSSLGDDVKIFFQHERKLSLLYRGQKFLNSMYKILLKNRWLKNNGYNIIENET SIQLQSTKKIDMKPSEDQGDPNYSDEDLYLKMPCVQEIKVIFLNQGAILQDIIKETYNLQ TNFIHIYYFPI >CAK94710 pep:novel supercontig:GCA_000165425.1:CT868679:25599:26415:1 gene:GSPATT00027034001 transcript:CAK94710 MYIPVNDMLKHFKQDAPAMANITHKSDQWSPYHDNSGTVLAVGIPGAVIVAGDTRLSNGY NILSRDATKLSQLTDKCVLATAGQYADFIALRKFLQQRLQLYEFQNEVQASTETVAHLLS RELYSRRFFPYYTFNLLAGLDENNHGVVYGYDAIGSYDQMTYGVQGSGQELVVAVLDNQL KGYNKINKTIPQTREEILDIILDCFSCAAERDIHTGDNVEILIITAAGTERIVKPLRKD >CAK94711 pep:novel supercontig:GCA_000165425.1:CT868679:26420:26881:-1 gene:GSPATT00027035001 transcript:CAK94711 MIRSFKQYTQENSKRLLSPLQSGHFGYSPEPNLISKPHTHIARKSSLIDLRQFEEKRLQL PKQKENKIIKKPVTSDPMSSKKILQIVKEAQQQLYSSQQPRTQQQVQQQKHIRAITLENQ IEGLNFTFAQNFSNKKEIMKEFSAHSLKKKFFA >CAK94712 pep:novel supercontig:GCA_000165425.1:CT868679:27264:29007:-1 gene:GSPATT00027036001 transcript:CAK94712 MNYDLQQLKKTQKTQNKKPPLDNRSSRNIAEQFTDKQTLNVSFNEKKNSTPKINALLQEL QKRTNQKDQYFNRTSTPNSQHEKSSTNKTQRSISPQLLYQIPQQLKKIYQHINSKVEQDK MLNKNQKPDSSKCKTEESKSHKNESTSSTINDSEEYVQQFINLEEIVFTILAVISKKQKV IKQCQNYLNQITNFIVEEKDQIRQQILCKSMILERIGILVVLYKAISEAFDEDQQNLKNL VFYIHSSMVLHLELMQQSNALSQSQKALIQARLNKLRARKTLQLIDINLIRKNSNVVYSL LILLQDYLSINFSVENATDNNLVQLEKVLTIIDKINLQQGTKFVKYQFDKILVHIQQLRL TRDSSDFQFDYEEVIDCQQIPYLSKTNKYTLVIDLDETLVHYQELVDDGQFLVRPYAQQF LKEMSKYYEIVIFTAAQQDYADFILDLIDEDKVIGYRLYRQHTTLVNNTYVKDIQKIGRD VKRTIIIDNLAENFKFQPDNGIQIHSWYGDQDDQALLFLSPLLIQIVQKKIPDVRDALRK FRDQMQKNIENGIQDPHLHLSLD >CAK94713 pep:novel supercontig:GCA_000165425.1:CT868679:29075:32341:-1 gene:GSPATT00027037001 transcript:CAK94713 MHFFGHEEINLLEAAQDGKLEFIQQQLASLASKQLQEACKKSDYYGRNALHYVKKYFIQA AYRGHYEVVEYFLNLQCLDLNSTDKQGNSALMLVCVRGYNQDFDESVEQSDHTDCDFRKY KIAKMLLQRGASLQHYLKDHINNPLHWACYFGDLKLAQLLLYYNPELMLRKNDRHQFPID IALTNGKDPECQKQVVKYLIVKFLAHFLNSEQQIIQLQELNKDLDFGDRDIMSDHRYNCQ KMDRKIYESVGLNYLFWASVLGDEDLVIPFLKQQFSAFAPSYKGRNAVHAATYQGHEKLV QLFFEQGYSENKKIPSKKLVNLMTIEKPQTALHIAVEQRHENIVNYLIKLGADHNIYNFR NQAAFASSRSARIKELRQTLLQTEKNLIRSGYKYVLVGTNMSANLVKQQLNNIKEKVIGG KFKAVPLKSYNESCMYYIIKVQNQLKNEVAHKEKMMIYNFTQGIICPFNKDKAYMYENFH HYHDQQIILTLLYDEFNLDQFMHDQLLLDHFPLHDEEEKKLIYNQWKCEKWDLLKEPLSL YQNRCRTPSAIKAYFGSEGGFFFVFLSFFTTWLFLPAIPGIMLGVYIYSTNRFDTLFVPI YTIALAVWATIFFEFWKRKQSETMFQFDMHVETEQKKKIQSFQGQFWIDDVTHKIEIQYS KRDKWKYYKTSFPLVLLAVIMIAGEQIAYQYIISLQNRTTNYTIICSIGLGISIKITNEI FNYFAKLSMKYENHQYQNELEDVYIIKVFSFTFLNSFVRLFYKSIINPDSDELNIMSITL TIVWAIVHLTRFTIIPLIKHQIRSYFLNTEYQQYMLKKWKKTYVSQVASVLENNTENQVN KSFDRSSMSGKRFLKTVEQNRIMMPTPNHIDQFTYFIIQFCMVTMFSASSQLIPVAILLF NIFTIDSLLYAYITFVKRPIAEAKRSIGLWNDILLIVGYIGTVINCLTIYQANQDQLNNL IGGQDSHTNEETFALRNFLLLIAAEHIVIGLKFLIEQVIPDEPVWVTKMMQRQEYLYEQM LNKIYDSNDSSQKSKHD >CAK94714 pep:novel supercontig:GCA_000165425.1:CT868679:32843:34950:-1 gene:GSPATT00027038001 transcript:CAK94714 MIQIAQLQLNHNSLLIQQIEEIDLILYYILSKIQKEDVDNKRQEQADKYQQESELKVKIL MKKIWFQMDPLFDFLLRISVSLQELSCLSIKVMNYQLQEDESSAQHRNSESIVIYIQFVQ MICLQQFLPESQKNWQLASLKYLFQFIQILFNYIDIDIWAVIVQEKIFKKRVLQIVKSSE KCNEKFKKLSSIAPGKAEQFIAIEGFRAAFTENTQLADKIYKFIKSQSDTNIVNRETFVL SMELFTKQKSEIYVYTNNYKHLEQYQLLLLISIQHPEIYKREEFKLQQLTKVEITYLQAG IFIKEIINMLSPKGNKLSDDDDVAAKLLINNIFGDKTSNMDLQQFCNQIKMEVPLINTVI RRYFAGKFTDSSHKIKLPELTDNSLIINYQILGLFYLSTSLVLLKPKNGQDQFDLNILSN QLLSQNSPTLLLFRHVERLNKYEKLPYQHTPDENLDAFEQHYLFGYFNSSKWRLAPDITG DKNSSIFSIVPKYQQFITGKGKGQSKYALLNSDQGRPLPQSLQKKLSKFGLGIGGSGYEQ HRIWIDGQNLKDSYIADDDKTFATGHILAPHITKLNIDRIEVWSVEFISTQQDLSHFRQT QMNHINQLLEDEIPKQNVDPGSLINSIKNRNSRIQSAIHTQQVEEEKF >CAK94715 pep:novel supercontig:GCA_000165425.1:CT868679:36084:36314:-1 gene:GSPATT00027039001 transcript:CAK94715 MGAKQCCGSQIFIRTSQSISNQISQESQFTNDIDVLRKAIIQPIKPLPLNRLESLKEVRD EDIDDIFNHFNELEKE >CAK94716 pep:novel supercontig:GCA_000165425.1:CT868679:36387:37168:1 gene:GSPATT00027040001 transcript:CAK94716 MNIKQINYPFIFPLVFRQNSKNTCKAVTQIERYYFLMFDINDVINKIIIYFGMWYKNFSK QSWNLRVWRKANILFNQDDIGMFKTKGVLRWKDTVFRMARSEACLRGFNFFFFAGMIGSF IWVKSNYYDPKYVAPKKVESEKELERLDAEADKILFKNRLEAYSRPHRSLEDLIAFLSGS KTFDQFADFISYEEAMNNSMDQQNGLDSWMDDQDQRMLKYYQRSIGRTPKFD >CAK94717 pep:novel supercontig:GCA_000165425.1:CT868679:37232:37438:-1 gene:GSPATT00027041001 transcript:CAK94717 MMVRFCPAKVYEFIDKNEGKKLQINAQNCLHCKTYYIKIPKDYIRWTVPEAGGGLNQQST QIVFSCGM >CAK94718 pep:novel supercontig:GCA_000165425.1:CT868679:37445:38238:-1 gene:GSPATT00027042001 transcript:CAK94718 MIDHFFHLGRLRFYIIIINRNQFPVLFSQNEQQKLIISKKYLNKQRRIVVQYEFNNAYFQ IQIQYQCQKVAKFLFSLQKIQFDILIVGVGPASLSGVIRIKDLDSNKSVVVNVGSRNYLC KTKFEYEDDVKQSWIYDELSRSRNFKGSFQYNIYFGLIWEPWNIKSHVKESESYLTKDQV PQIKYEKPDRKLTFDFQITQKEVGPIMNMINQLILKQSKRLNLRKT >CAK94719 pep:novel supercontig:GCA_000165425.1:CT868679:39089:39323:-1 gene:GSPATT00027043001 transcript:CAK94719 MGQCQVPQMQQMEDDFAAIQRNAVTLKAVPEKLNQLEAQINGIKSTAEQLTNSVNSILHT IENIQKSLP >CAK94720 pep:novel supercontig:GCA_000165425.1:CT868679:39374:39931:1 gene:GSPATT00027044001 transcript:CAK94720 MSMSEQSKTENRIFVTGYSTKDKNEEDIKGLFSKYGEIIEFSWKGRFCFIAYSKAEEATE AVNEMNQKDQDGNTFVVEIARAKKKDGECYKCGRIGHFARNCRQKGRSSSSSSRHHKRKA KRHRKRSPSSSSSSSSSSSSRDRKKKQKKPKKGRESSSSSD >CAK94721 pep:novel supercontig:GCA_000165425.1:CT868679:39943:41109:-1 gene:GSPATT00027045001 transcript:CAK94721 MQSKTLIVLLMVTMAVATESPVLQQLRNKLAQNDNYNSQLVELLELSLAGGQLDKVFELL QKMVDDLTGQINAANLDHASRMAAFESSIEQLEANLASLSSEVQSNNRKIGDITQAISTL TSTSVAVKKQLETINQREEQIRDNRQREVQALETKQSAGAKILAALEDIHDRLVKAVLSN TGSFLEESEKQEMIKQVKKELGRTHPLALLLEFSSKFDEATAKRAIELIEQIIASIKEGQ VNRESNQTAVEENFNSLVNEVTVVRDKLQQDNQKTTSALKNKQNDLKITQRRNKQLQQNE ANTQQLLETTRVQKDLYDSNFRSNASKREGQLNSLKTALQILRDNEQALKK >CAK94722 pep:novel supercontig:GCA_000165425.1:CT868679:41764:42276:-1 gene:GSPATT00027046001 transcript:CAK94722 MKNFEEDRKNMKNPDAAINLSPNKSEIMIHQNRITKTIKHFLEQTFLSQSICLNPSKKKQ FMNYDVYQDIMSHIANFINQQDMNLIMSLQNINEQQQSRVPTYILKHSIVIENNEKQQNR ILEQIEDVLINIGERIESICISTYIDGDHDLDGQLQAVLNQYQGILNDIE >CAK94723 pep:novel supercontig:GCA_000165425.1:CT868679:42366:43256:-1 gene:GSPATT00027047001 transcript:CAK94723 MNLIEINLIQIEILILTYLNVASSQEIYFYPLLFIQENHESQIHNQFLNLFQSVTNLLLS QDINLNQEKQVENNQFYEFDSSYFIGNDCLNNFRCSLKFQIFLQCYSRIFLRIQQLLQKL LHSMGSKPCCCESPKNQFSEINIIIQQISQEVRLPTKNPPQKPVPLQMMKSLKQEDDFGF FLVMEQYERLLRKKNHRIRSASPNQQIQTETKEFTTTQNLKKHQGKLDYQKSRSFSNTKI STSSQKSTIKSILKKRSTQNQISYKQEKSFTHRSFKFVHFDQAVSSKNAIFLRAYN >CAK94724 pep:novel supercontig:GCA_000165425.1:CT868679:43828:45009:1 gene:GSPATT00027048001 transcript:CAK94724 MYRFRQLWKFSTLQFHKGVSEYQSVSENVVLRGVTLKGRYNDLPNLLFFTEACDLVENWI PFFSDPNNKILDYRNVHILSPRNFGTSDKHYSFDVQDLANDVVRYMYYNKITMATLSGHG FGAKVALAAGCYHPERTTGVFCIDYSPMDQRYHEAFTEFRGYIAKLSQINTKELTKSQIE SYLKDNIDCPKWRSIFSDNLIKLPSGQWDWKFALKFLNDNVSFNKADSIAFWPIKAGLFT GRTHFAFPEFSRWVHLGTNTLPMLKVCPQVRGFGHDVHSVQGDNNTLNHWIYEFDSQSFV FASRFTKFLSMYDGVHLLLKDRTEVGKEFVPSIIYSKKDPNHIYSDYSPAHYYHNWRFNN VYKNLDTPNK >CAK94725 pep:novel supercontig:GCA_000165425.1:CT868679:45854:46734:1 gene:GSPATT00027049001 transcript:CAK94725 MDSSDSQEDSYQKDSLLEILRIEQFKNDNERGKLSIDFSNQVLNLGMFKKQKQTYIQNTN HTKELYISVIKNHRSISLLCKINILSQEQRNLYSPKKKTMGPEDYQLEQQVQRVCNTVRR KSCICLLCGHQGDFEKRMNTFQVKTQQQINSEMRLLKYNTRNQFKQQTLIRLHKIKQLQN QKCHNSSLSAQLDNQIQFNQTPTIAPAIVEVQLKFKKKTASQPVVLKQFLPTTKNYYVKQ FHLTSRTKTNNTPTQNTLPSLKTLSQSRRNLFDNKYY >CAK94726 pep:novel supercontig:GCA_000165425.1:CT868679:46787:47968:1 gene:GSPATT00027050001 transcript:CAK94726 MNSLSRNRASSQNQQIIKKNIRKYSSDYSDSDVSNPESQVKKRIVKIWTAEEDQMLQTFY EKYKGNWIQVAQSIPNRNPSQCSQRWKRINPDRLRSRRQWTEEEDLQVLHLIKKFGKNWK AIERNMDGRSGKQIRERFINKLDQTINHNVFTQEEDDKIVKLYYQMGPRWSDISKLFQGR PENMIKNRFYSHIKKHYNIQSKNPEIVGDEMMPQNQFQNTNSLSDVLQEQDQQIQGEPRL DTIRSQFEQEGSFISNLINSNHILLPQFQSLIQGESLISNGGSFINKQEMNHEQKQLYGI DSSGAGSHVYQDIQMPHSDIYDELDSSLKKTPQYLRTDSENKEKENQLMDQLIKMSMEQD >CAK94727 pep:novel supercontig:GCA_000165425.1:CT868679:48088:49254:-1 gene:GSPATT00027051001 transcript:CAK94727 MRLLIGLAFITIIFCQQQHKFNPEFLNLISTGTGLGTARDAIQAVLQLLEDLKNANEELD RKADKAFQEYEGGVLNDCAAFTGIMKENNESLQKNSEDLEAVDDKIAQTTDYLNWNEKRR KSNDMKLEDLAEQRCEANSLFIDALRDYREALNVLEWVNGDLQLKEQNAFLQKEETQEYT SKLALYTNMVDHKEVFSQVEVSQEDQAAQVVSEIVGKVQGLISKIQEHIKTLEEQEITSA NDFVDYRRNLLNEQVLLKQEYDSRLKFLNSLEDDKELAQDVVSQCDKILGNTQRILQQTQ NAYNQQKAKYVMEKQKRHEENQIIIEITMLYHQKVAQAEEFLQKKGV >CAK94728 pep:novel supercontig:GCA_000165425.1:CT868679:49658:50088:1 gene:GSPATT00027052001 transcript:CAK94728 MESQQGLRNIQQNSSFWEPKYYLMVIIFCFLIYFFLKNNQNKQEPIKDKVWKKFAFAYQD MMNTFDDPEKELLKHTFHNTIFNTKPPRVNNDKRKQSKKTSNVSFDLAKNVTHMFQQNGY >CAK94729 pep:novel supercontig:GCA_000165425.1:CT868679:50587:52989:1 gene:GSPATT00027053001 transcript:CAK94729 MFEQQSKAREYLHLILNIAISSLFAVVEQLMCNITGGIIWDLVFIPCGIIFSLLYITQYF QWNKGDLSSFFFWVLIMKRIFLLGINEGEFVYFLFGLLNGIYTNKLNVNDKKKYYLKFKT IIQVLVVSALIIYNFIQETRGQSSTLIVVYIIISLILGINDNIDLQNQTPIQEEYQTDLK HNLTEIKKSQTTIVQLQQQQQQQQQQFYYQQQQLQQKSNWEQYQQQTDEWICKLDLNKYC LFDSLNSSEQNYSMRKSLGDLKVSIQHLFQNLMITSQSTNLQQSINLWSEIVEKNSLQSW LEKNFFSESSAGKLEQARQRQYRYGIDGIQGMQEDQLSIISPQNEGKGTFNKDQVHELSA ISAIQQHGDMQGSNSLLFNKTTLGCYFLTNQVKIEMSVSIFLMEDEFDTKKSILILVLRN IDKEVKRMKTSLEKTEQQKIVFYKYIKRVADDVGQILQQIKNIKMKLDQRQKEFEKIKQS NFISLSFCDGDAFIKSEKMLGDMKLSQHLSVPNQPGQNSNQRVTSSLSQNHDTQDEQIQK LYSFSQPSDLIRQIDKWQTNVLLVEQNNFNFFELFSTIEFSTHRFNFVNSLNSVKDLFKQ DYSVQKYNITISIELNNENEMVLISDKRKIKQVLMNIIKNSIQSFDFNLSRRQSEKLTAM SNEQKQDIKQQELKVQNTIVIKTWADEEKIIVEVIDNGGGITQEMLKNRIQDCKLGLQAC RKILKQLSHDPRKPLEIINYMKTKDGIKGTVVQFTLSKQFVPSQSIEENVFSDSLTMNNK VELN >CAK94730 pep:novel supercontig:GCA_000165425.1:CT868679:53145:55120:-1 gene:GSPATT00027054001 transcript:CAK94730 MLSQKFISDSPSKKRDENDEQDYLFEQLDQINKRIYNYQQLIKQKINVLLGNNTMKSIDY DSLQKQLSDLRLEEQKQSSLFYNSYSQIQLDIDRQQSQVFDYYESEFNKLKSLYETINKE HQGFIKQKQQANELYCQLIETKQILQEDLNTHLLQRAELVGQKEELEEFVEQVKNQNEQL FMISQMYFTNGKKHQENGNQIAMQDKEIKLLSQQREEKQQQLGLQHQATLLEVQLHQANQ KIKVQRNKIIPLHKSLNLAHPDNLFQEFLQQSDVQSIDQSDFNSKLTHYLSLFRNNLFKN SNQGTNWTSMKEFITQLEQFIIVSMQQKLIQTQLQEMKQTLRQYGEELQIQNKISEIDNQ IEMKRQMIREFEEQQEQFKINDAQDQFQSMIEQSALEAYDMYKTLNKEQLQQMKEHQDYD KMLLSIQQEITQLISEKENMQFINVIKLIEKYEAAEQAINTNIFMVDQQILPQFKQVVQQ LSKLKREIEHLNQNDKHQLERTSKIEQEIQYMEQEFKKKMDQFQKQENEINNKVFEIKQQ VQLVQDQMINKIKPNKAQLEQEILQMNNQLSQLQEQKYNLEQITYNLNLNGINKSPSRRS VLSISKSLNQLAKLKSNITPIKQMKQSTALKTNSSLKSIK >CAK94731 pep:novel supercontig:GCA_000165425.1:CT868679:55228:55987:1 gene:GSPATT00027055001 transcript:CAK94731 MASQYDTTPQKMLSNYSTDHSQRYVSPCSDDSDQDSQNLIKQPTFRKKSFATPGDKYDQS DGKRQQLRTKFCRNFQEKGYCQYKDKCSFIHEPCRIENSASRRTKPCRCFFSMGVCPFGL NCQYAHYEVIDKEELRDFVEKAFREQKLMVPLHPNKLQLDIRKDLQRFQHLYKIFGRKLS FRRDDLLVNMCRERNSIFLRLCQSEVQEFDDFLQ >CAK94732 pep:novel supercontig:GCA_000165425.1:CT868679:56223:57804:-1 gene:GSPATT00027056001 transcript:CAK94732 MSKAKSRYSTEEQAALQSKVQSVQLPSIKQEQVNSVSVIGLSELYQIKNHLQGEKQTKKT LDNQRLWAQRITKQRQQKEAEKLERFTKEEEERRKIDKDEEEYQERLKNELVKESNQKIF DQRADVRNLKAQMMLSEVERTNEQLNAINKEQKELRKIQEEEKEKEYEMQEYYKEQELMF RKEKQAKKKKECNEILADQHKQVKERYLKEMMLDKQEGQLIKKKAEEDEILRDQQKQEIK HKQQKLLKEHEEFMEKRREIQELEKSVLDEEDKKIIEHEFHKEKVLQMRKDRENQKQQKK EQLRKQIYDLRVEQQKQQEDNYMQTIQKHAEQLKQKQDEELRQKELEKTQMVQDAETMRL KQLQIQQQRKINQQADQEVDFKQKLKALEQLEQVEQLQNDVLKKRNKDLQEYQKIQIEAK KQYRRNQYMQELKESLQMQQRNQSEKQVYTSWAQQCIEEWKDNGKNILPMVKALYQTE >CAK94733 pep:novel supercontig:GCA_000165425.1:CT868679:57934:59145:-1 gene:GSPATT00027057001 transcript:CAK94733 MKTNQIPRLFCVADYDKENFNYATKHQRVQSSMALRDKSSNSFHTMIPQQKPQLKLNDES SNRTKEGFHRVKTDSNMPMPLRNGRNLSITSGVTTLLTNKSFLLPQKHDNPQSVNAYKKE IVTYMKEKSCRSNYKMNAFQFQTEITEKMRSILLDWIVDVHFKFKLDTETLFLTISIIDR VLEVHQISKQKFQLYGVTALFIASKYEEVYSVPHVRDLVYVCDNAYTKEEILATEGKIIS LLGFDLLTTSPLRMLNVYQETAKMDQKNYMLARYLIELSILEYSTIQFSNNVLASASIYL VHKIRRIHPSWNQDLMVPLTGLNEIEIRSCAKEMCSLLQNQDKKQFASIKKKFSMPKYFE VSKIRIEKKPSQNLQTLQQ >CAK94734 pep:novel supercontig:GCA_000165425.1:CT868679:59197:61072:-1 gene:GSPATT00027058001 transcript:CAK94734 MGSGAGKQLTIPVVYAESSHYLHANLKTTNKQKLKISDPYTKLQQGYHTIPLVMRKEDNQ DGIKVIHGVVGLKNLGNTCYFNCAIHCLSHTQPLLDYMLSRVFEKEINKISKLGSRGQVT ECFAQLLSDIWKDERSIGMSINQMSLSMDMQKKQKQYENWVDPLRNTRRDLKSDVNYQHI QLEQEDCQELLSYLLDMIHEDLNRCKKKEIIKEKDYIGEPREEWAAESWGEHLKINKSIV VDLFQGQLKSKVECKTCNYQSHKWEPFLFLNLPIKQQQQQQQQQQQKQQSFQSKSLGNSQ LKQETICYLTECLDQFQQEETIQWKCPQCKETRDCKKGIRIWKLPNILIIHLKRFEFGSK QTGKITQKVNFPINDLNMSPYCNEQDNTIYNLYAVAQHHGSLQYGHYISICKHRVDNQWY MYNDDAVFKIQELEKAIVNEYAYVLFYQKQTDNIFRQTTTDPSCWPHNQSAKKQQNDVPP KDSSKLQTMTLMDYQDTEQKGQLDDNSPHNILNNSVKIEPINGQRRLFPHTNSREQNSRC QQVYRKSRPSVDHHSNNEQLTSITKLEEESCQIQYNNPQIGIDRKVQDKFKLCQLRAKGS RK >CAK94735 pep:novel supercontig:GCA_000165425.1:CT868679:62010:64463:1 gene:GSPATT00027059001 transcript:CAK94735 MSLSLNDISLIHKLNYLSLTTENKNQLYFNFTCQYFDFIPLHDQVINKQLQQQRYLNLLI LLKIYQIFYSHFIFQAEIHYHIADIYYQTCFQNCIYMQLANFQQKLQGLIKFIRDLHYGF DILIISIYSKNIIGSPTINYLTKRIQKTLHRNNYFLKISRDCYWKILVDIGLLITLNSQI EQGIQSQFSLIIQDIQLQDSIIILFLILFYYKLCFIFIFDKLVSQFISYLDHIGQNSCQN NETQYQFIYQQIEFYYYLNCLIKLNNEQQTQQKVQKESFDRRNLKNEEQTESIVKNVRNG DATNPEVMVIGEGELQRMKNNAIITTKEEQIYQKKLLEEQKEKQMAAAKAKKQRMIQMEE EKKKLVPLTSQQEEDKVVKDSLLARAAEIMNEQMDDVKEMNKMVMYAKCVTVRDKQLKEK KDLVDQYKVQEKRKDLMMEIERLKSIKYHEEKDKQRKVELKQGHDIIIEQIKERELVRLK DKEEQERDGQVMLKKIKQLQQEESQKAMQKKISQQKVQEEILEANDRAILVKEKRKLEER EEEEMIVKYNLQKAQKEAELQEEQRRIKEEKEREVQRLREMQEKAQDRQAELDALRAKRA MEQNERQAREKERREAELKMRLNHEVHEARKLQQFEKQERLEEQARLERDEFQRVIQKQK QERENELKLLHDKDALVKKHADELRKQISLNEEKRKQEERDKLEEGKKIRDKMLNEKKLL ENIKDTKLKTLNENGIADKYKAELARKKINILI >CAK94736 pep:novel supercontig:GCA_000165425.1:CT868679:64981:66433:-1 gene:GSPATT00027060001 transcript:CAK94736 MDNEKVTLYEIEINDGDKVWRIEKRFNQFKQLNQRLSEYFGNTIPLLPKQTYTTFIVKKT EQEIIERKKGLEEYLKQIIQNEQILNSILFKEFIQSPSFNTSKLQLDSVRTFDLGLRDFY FYEDAIFVLLCEMNPLNRATTNFHNMKFPWQEDIDISNLKPLGYLDCLIGPQMIWRKKYN SQPICMSVIKDNILVGLDNGIINHLQFKSKKHLLESQDYQQHTARIMGLHIYGENIYSVS KDQRYRVMNIKKGETYIDLYHKHELTCLKFDESRDYAFIGDRGGIIYAYHQATLKISLDT NLQFIRDLIIQKSHDTIIAIGFQTGQAIILNIFNKEVQMQEAIQFKNKIKSRCIAWSLLR GEAYIGNQEGFVTVWDIQKKIPIYEIQLHNGPITKIYWNDEEEMLYTASKDKTLKVVTIT FFNKNKRDSQIYQNGQSGILQNFNL >CAK94737 pep:novel supercontig:GCA_000165425.1:CT868679:66549:67953:-1 gene:GSPATT00027061001 transcript:CAK94737 MQLNYKISIPSFQISEEDGRTTHYQIKVQNQNKEWVVQKRYSDFKRLKDSLTQYFGDILP DLPKKKYITFLVGKSDQELDQRRLELEKFLHVISQNERIINSGQFQSFLSLTQSKIQVTI LQNFKFGIRDFYYSEDLLIILNAETNALSRFDAYIQNIIDDEATVPVGSIECWEHSVKSW TKKYNSQAICLYFHNQSLLVGLDNGMINYLTILPGKINTSYEIEQHQSRVMGVHLFNQLI HSVSKDQNYRVVNFQTGQLEVDYHHNYELTCLKFNEIRNCAFIGDRNGQIMIFQNSSKLI TLDFNDQFLRDLTVDPIKNYLIGICFYTGSTIVYDIGGLGQEKNTKKITQFKNKDKSRCV CWSSSRGEVFIGNSDGTITIWSAKDCSPIKEYQVHQDEITKMIWNEESSILMTSSKDKTI KIICLPKRWDGEIIIEKQKKSLDDIEQWDQND >CAK94738 pep:novel supercontig:GCA_000165425.1:CT868679:68026:68505:-1 gene:GSPATT00027062001 transcript:CAK94738 MNQLLGIRNDEEMKHGFTVEETQNGVAQGMYEYGKKVGKWTIKYPDKMQNIFKLIIREEG EFQGKHKVGEWIIYNHIEDLQYIGYYDDKNCRTGDWMVCHNQQSETYIRRTYKRGQVTNE KKIERLQISTIQIKT >CAK94739 pep:novel supercontig:GCA_000165425.1:CT868679:69166:69903:1 gene:GSPATT00027063001 transcript:CAK94739 MKASNYNQMEQIKFINVDETYNNGTVIVRFLINKQEVLPYMEFQLSDDNSEQYSTALYDH IYNRGLKECCFLYMDEPLSCIQKNRFNLISSLSSDVILKLLNLSYIPIIRTNISKYECDK SIQEGSHSVIQKLNLQWQECKKNSMITKELLSIIKEFQSLYRIEILLRSTLKQIKRETQQ DYDILYIPTDELVRLKSNLEISITKCFPQLEELNKSKLAQMTFIYK >CAK94740 pep:novel supercontig:GCA_000165425.1:CT868679:69962:71014:1 gene:GSPATT00027064001 transcript:CAK94740 MAQQTLKQYKANQDQQSITNQQHRTCSINQSSIVENDSLSSKKKKVYERIMSSQTNRDKQ SEVSQYYDHLGGRNSILSNLGKCLCSLCNCGKHKCNSKNCINKPQLHGNYTIYQKEFVKK TPENGSRYNQTIFSQPKPQGDLGNVTTYKHDFPGYNNKVEFQKNTSKPTVSGVPFSGIST YNNMYLNWGMGDTPQLLPQNNPTVIKEMPFMGRSIYKDSYQGAQTLPAQTCKNMNKALKS PLSPPDLKFNAESIAKSSYKPFKPEKTQSSKGKQDPNLNPSYNGQYNSEYHKEFDSKHLN QCPAKEVLEEVARNTQF >CAK94741 pep:novel supercontig:GCA_000165425.1:CT868679:71082:72077:1 gene:GSPATT00027065001 transcript:CAK94741 MNKQKLNYHLRTVNDLNLNTISDQTQSFILKDSQIMKLTTQIDQQNQRINRLIKTIDDSR QEQNKKFNHHFQELFKSNTKQYNQEILKELSGLKQQFLKIEETQPQKATKQKSHYQSHLP PLQSSYFEQQNSEKKDQNLYKQTQEIKNRGMKSSSIDEKEIQDFNQQIQPKKQSHSVHKK SKPNQSKTNYTKTEVSPPSSSKRKIQIMSRQKQRTQKLYNSDNFQQFQDPLMITTKQPFE YDKLTTKSQKKKQFNLKKARYLLRRFKAVSICVWLSLTLFKYCKKIWHENYMIFKDWSQE LIGRFDSQFVSFYYVIQRIINLLQISFIKNV >CAK94742 pep:novel supercontig:GCA_000165425.1:CT868679:72370:72708:1 gene:GSPATT00027066001 transcript:CAK94742 MIILEYSYIQILLPLIVEGEFWKRMPNYKESLKIFVSILHNLFIESFQNLPSAQQDIIPD DVYFLVSQKKELGSIVRFQQKPNRWILLIDKLQASQIFLQQRWGCFGIIQQS >CAK94743 pep:novel supercontig:GCA_000165425.1:CT868679:73021:73287:-1 gene:GSPATT00027067001 transcript:CAK94743 MNSEAVCLKLASKHFDEQIIQELLCDGDKTELEFVKQVWEPAEMSTSVSIESSESCGYAK KIRLKNHINKYLDSSLHSSMLKRLREIQ >CAK94744 pep:novel supercontig:GCA_000165425.1:CT868679:74175:75193:1 gene:GSPATT00027068001 transcript:CAK94744 MSKTLLALGTIALLGTIMMVNQPEQLDFASKYQTFKQKYGKAYSQAEDAYRMAVYTQNVL YAESVNLQQGKRVFGETIFFDLTKEEFAETYLTLKITQDDLNVERVPAKNISAADKIDWT QKGAVTKVKDQGQCGSCWTFGTTGVLEGFFFTTTGELPNLSEQQLLDCSTFQDFNLGCNG GLPARALQYVKRSGITTQDAYEYKGVQGSCKIKGGAYHIKGSVALEPTEEALISYLNEGP VSVGVDASNWQYYNPSDEKVFSTCEKSLNHAVLAVGYDKDSFKVKNSWGTAWGDKGFIHL KRGQNTCGIYDTNVVPV >CAK94745 pep:novel supercontig:GCA_000165425.1:CT868679:75198:75503:-1 gene:GSPATT00027069001 transcript:CAK94745 MNMKQYKKSNQLPDFETLKHHRRVQVSKNPSNLIEFVNINKKFTQKIENTKTRDCLTDIF LKELSETDQQQNYEYSPNEYINSLIVHRNPLFLQKQHAKIN >CAK94746 pep:novel supercontig:GCA_000165425.1:CT868679:75620:76415:1 gene:GSPATT00027070001 transcript:CAK94746 MMKEPEKIMKKNILNGQIKYQVKWKGFDETTWESDETMKKYKELIEDYNYFSLTGERYDE KKLEEIRQLTVQSQPRTAIKRVAMPKLMPPSELNKKEKKIDKIDSKHVEIIDNPKNDLQQ DQANILQLSNTTINLTEISKKAPQKLANSNDKLESIKLQTDENRGLFSLIWKERSDGIRP YCDEYNYEDFKIQAPLFFIQFFETCIFECQSQNDIKFEIQGQDIAERIQVFKDILEKRDQ DKKQSEFQQ >CAK94747 pep:novel supercontig:GCA_000165425.1:CT868679:77130:78533:1 gene:GSPATT00027071001 transcript:CAK94747 MQSYSFDYILDQFIGLGKYQLQTFANSCALSCNFGNLALLLSLLLKLYKQELDITMNKVI LLAVVHRIGSALAGLFVMKFNNTLGRIKIIKYSLLVLAFAQLSASIAEKYLIILFVFLLQ GFMHGICAQYSQLLTIEVIPRDHRGQMAALVNLFHGIGSGYPILLWYLFCDDYENGNHKA IHFCNALLTISIYYYLKKYGRDNPRYYLSLGRFSECFYEIDHILKENKCTYFDKLNNQQK DALILWYTENFSETRQRKSQISTLFTKNNIRITVYVISIQIIYYAATSIQQYLLPFIYHD KQKGFESLFFQQVGIIPAVFIQSFFIDSPTFGRAKSGMIISILLCITYLLIFYQQDTYIS QQVLVRFLLEIQFTVMQIMINESFNSNLRALGLSFTYVVAKISQLLSPVIIFKLYETQIF LPFLFCGCLFLVNGILYATFPRERTKKALDLDLSESLSQSKRSESEY >CAK94748 pep:novel supercontig:GCA_000165425.1:CT868679:78886:79668:1 gene:GSPATT00027072001 transcript:CAK94748 MSDYNKLKLYKLTKPETFSMSFYDAHKEYQEWLNNINNPQRQPRKSHKWHSNITDYFNYG FNEHTMQIYVHKITQLTETYRKFRLQFADKCDNSKETIFNSAQEIRRQSKDVYPIDLGGI FIPVDDKVVDFGFKFQVENLYEQYNNQSSNEKFLYNQYHCTGEALLYKSKFISDIYRKQV KDIQDLHKQYKKEHQRDIYLLNTAIKVFPIQKEADLSLQIAQLVKNITIKQEKIGKSEKI NRHDRHERSRTPKKSRSKKY >CAK94749 pep:novel supercontig:GCA_000165425.1:CT868679:79716:80435:1 gene:GSPATT00027073001 transcript:CAK94749 MRRNRIGTKAEFLWAWDVEDISQMNGPLAVQEYIQELIRADSSNIKQIITPPPEVDIHVW QYEHLRQFILELNLLVTQLKGLCTAQTCPKMKATEDWLYLCAAHKKAQECSAIDYMIHNL DQSTSILTNIKTYPSRVSINPQNATNNFAFIVRRLYRLFSHTYFNHKEIFEDFENEMFLC TRFTEFALKFDLMSPKLITIPKEALKL >CAK94750 pep:novel supercontig:GCA_000165425.1:CT868679:80708:81110:-1 gene:GSPATT00027074001 transcript:CAK94750 MNPNIIEADQMNGLENLMIDLDNFEEILEQENKKDTDENNQKKMKKHKKLKEKFKSKHKS LELLNSIKAKKKEKGNVIIIDENEGLELIKDLKLKDMGQNSLKKINQQNIINKNKNAIVV FKRI >CAK94751 pep:novel supercontig:GCA_000165425.1:CT868679:81901:83602:1 gene:GSPATT00027075001 transcript:CAK94751 MGNQCTGVDDFQGQQFAPNISVQDEVFVEGRVPIVGQNPSRFNDDDMITVYQSNNNKLNY GRNSLGQGYMTAMKYNLKDNISIQASSHTLMNQQNAALMITIKSNDILLINQRGQECVRQ GVDLVCLIDHSGSMQGEKIKLVRKTLKQMLTFLQPCDRLCLIMFDCKVYRLTRLMRVTQE NVQKFRVAISSLQARGGTDIGNGMKMALSILKHRKYKNPVSAIFLLSDGVDEGAEERVRD DLIQYNIRDSFTIKTFGFGRDCCPKIMSEIAHYKEGQFYFVPNLTNIDECFAEALGGLVS VVANHVQLSVQPMHSNKVQIKKAYGDKWTYDSWKGVFTLYQPHLLSGVRKDYIFEVADYK TSGKQEIRVLLQADPVEGGDKVTIEQIIELQNSDLSNEVLPNYYRVKGAECFEQARIYAE QGQYQASQQILNNINTEIKLQNFNDPNLQVVQTDLEMASNFCYPGQFENEGRHHMHQLHI VHMYQKSRGVQINQNQQGQVISLDCQYQNNLQKQYKDQTRQTKQNDPNYWNDC >CAK94752 pep:novel supercontig:GCA_000165425.1:CT868679:83793:84701:-1 gene:GSPATT00027076001 transcript:CAK94752 MNIAFSQQNDLTPKQGGTNKKIRKIRSAVKNKKLAKHQSSTLTPKDEAKYMFELTCTKAV HKKQQTNQFRPTLLSYLDSDVFSNLFKIISMQQQKHEIIVKCLPFQEINIIDIKKDFPFC LFLDQVSSKVTDFDTERNLECIKQNDVPQEIFEFNLNPNQDVIGCNRIVNNTWLRMFGMN QDMMIHYLLRNQSFPFGWSLENQFIQNQISNSFYNQQLRVQLVCYNGSKFNARIQVRTES ETNYKKQQIQRYTILYFINREQLSLSKVEQNFRMYFDLKDLSQELQDKFIEKTNKQCQFK KL >CAK94753 pep:novel supercontig:GCA_000165425.1:CT868679:85087:86109:-1 gene:GSPATT00027077001 transcript:CAK94753 MSIIAKNYQEIVEESSCLQKKTIRKPKRRNQYTVDFKFGSNVSTDKLRSYVEAYFKIPAS VAEKQVIRLFSNIQFPNDFNQQAKLGNLSVDPHSYNYKVIRIDKKNKLTIFTLETIDNPF TPFEQFFQKIQTCNPRLALSFQAFNQRNLDVNTYKQTKEQFIEDFEAQLDQPFTCYLYKL KNGVCSTIQRVINDKFMDLLGISYMMLEDHVLSTNILPFSTYIDPYDDVCEILSGLFEGN LKQDIRTREVMNYNGQIFHARVQNKSFFTYNEEEDAYYEYAYYIYDCDPRWLTTQRVMRN QEEYFNEKWFQQDKLRTRLVSEDSQTIFSNRNCGFKQLDF >CAK94754 pep:novel supercontig:GCA_000165425.1:CT868679:86790:87501:1 gene:GSPATT00027078001 transcript:CAK94754 MATFKSLMKMPLAKLASKCIALEELLQRYYQFEEVHYDLLNKYQLLQAKYERLNQQNIQL MQSLQIERDSISQASANKMNSSKNCHSRIYKDPLEELEIQLFMDDLRNENSKIKEELQTN KEELKRLQSKFEQTQTELLRSASIKTASDSKLNSIEEKSYDSIQSYKNEIIRLNKIVSSL RIEISNYKTREFDEDWLKTQLIKYQKQSQMSNNQNFLDFIEN >CAK94755 pep:novel supercontig:GCA_000165425.1:CT868679:87595:89273:-1 gene:GSPATT00027079001 transcript:CAK94755 MFINNSDQNFQGNTPLGYQFGGAFTFGTSDRTDWVKRDDKVASKTEQTGACERFEETLRI TEKLRQSQQKSTRSNFSRDDRIKPIKSTNPPVGQYNLHKQLILDEENIKNISDKTQQEKK KAQFTIQTSLGKIKSNGEIDTHPTWVNGKEQQFREDPIGPGSYNPNIPGRSQAPISFGYK ENFNWTKDGPSPDKYYNSETFSQFRTATSWQDSKHRKAGFGSAPKNVLPKSIDLGPGQYQ PNELPKGLTISFPKSERQYYRATDIPGPGAYAPKNVNVKKAFSIGHKYIPFKTSDFYVPG PGTYNQKLPPQSKQISMPKDKRQDLVTRESTLKPGPGQYIQNTDTIKPKEVPIENQHGFG VAKRYELAEDENLQLPEKTEQQKQEERERIGFSTLGGPKFSMRQKNERQSINQNPSPGKY EPDYDYKYGQQSNYKPPYHYTVPKTATPSMGQSNRSDPTISKFKEIGPGSYTALSSQSGP RISMPKAARFPIREPEDVGPGSYKIGTTIGLIPKYHFEKQRDQLETSLTQFDKFHK >CAK94756 pep:novel supercontig:GCA_000165425.1:CT868679:89370:91020:-1 gene:GSPATT00027080001 transcript:CAK94756 MSTKEEKIKLFLQFLRSKNYHKTFEKLQQQSQIQLDPSNLLKITQSIKTCNYTELESILE QYVDNQTKNQCMLLLLEQLYIQLIKTQRYQEAVQILRNQISRFCQGMGYMNQSQMNNRNT YTALWFLIRISNQKDNNVLIDEIISLCFQQLGLFEPNRLITLIQQAKSNEVLECKWHDHL HQDYRIQKKHSCTQEFNHVIKVKNVSLCSFSDNGEYKALAIGQSIVVYQINQIESIKEID KLQEVHSKKITNLLFSPCSKYIGSSSEDYTVFIYNFINKRKYRLQGHNAIVKSFNFVLCD PSRKKQKNEYDIYSISTDGWLYEWNENERRGGLKIEEKLIDIHSHQSKELMLIVSQNKIT LYQLYSKNQIIQTSSNNLININSQVNKQFEQMIVYVNDYLPQLYLYCVQTLQIIKILGVY SEKSINSFKYHFGWFNNYLIAAGTDSGQLVLWHVEKSEKPIEILQVSEQNKEIACLRFHP TSHELLIYVQQKTKKQSTQLERQQLQENLIDLFTNTLRQTFALETTGWSYIDFEFLIKNC SI >CAK94757 pep:novel supercontig:GCA_000165425.1:CT868679:91037:92884:1 gene:GSPATT00027081001 transcript:CAK94757 MDIVGNVLPQVLKDEATEHKGEMARLQSFVGAIAVADLVKTTLGPKGMDKILKPTGPGQE MTHITVTNDGATILKSMYVENPAAKILIEISKTQDEEVGDGTTTVAVLAGELLREGEKLI QKRIHPQHLEMWHQRDQEIFQLKMTLNLKKFHNDLIKIARTTLSSKLITTDRDYFADLCV KAVLRLKGSSNLDYIQIIKLPGGTIRDSYLDDGFILKKQITIGCKRRIENAKILVANTAM DYDKIKIYGTKVKVNSMDKVAEIEAAEKEKMKHKVDKILKFQPTVFVNRQLIYNYPEQLL ADSGITVIEHADFEGMERVAAATGAEILSTFDAPERRDQVLGHCDLIEEIMIGEEKMIKF TGCKKNEACTIVLRGSSIHILDEVDRSIHDVLCVLITTVKNRRVVWGGGNSEMQMAAACE EEAKKVQGKQALAIEAYARALRQIPTIICDNGGYDSAELIQNFKTSGLNMNDGTVGDMKE LGIKECMRVKEQAVMAASEAAELIMRVDDIVKCAPRKRERA >CAK94758 pep:novel supercontig:GCA_000165425.1:CT868679:92907:94238:-1 gene:GSPATT00027082001 transcript:CAK94758 MRKRKTCLKAIVIVQQAYQVTSISNYQFIDAIGRGGFGKVWKVKKKKNTLFYALKVMSKA KIILKKSVQSVLNERQLLSNLRNGFMINMQCAFQDKEYLYLVMDLLTGGDLRFHIGRLRR FNEEQTKFFAACIIIALEYLHQNGILHRDLKPENLVFDSSGYLRLTDLGIARIWKPENSS DTSGTPGYMAPEVMCRQNHGIGVDYFALGVIVYECMLGRRPYLGRSRQEIREQMLSKQAA IKRQEIPPGWDIQAGDFANQQLLQRKPQNRLGSNGPEEVKEHPWFKDFDWEKLENKRILA PFIPNCNQDNYLPSDGINDSDDSLSAEQHLMLRRNSIQNLFNGYDQDGNQQQCSQNNLMI FSSQSSARSSKPPTLSSTLKSSKL >CAK94759 pep:novel supercontig:GCA_000165425.1:CT868679:94333:94977:1 gene:GSPATT00027083001 transcript:CAK94759 MILFSAISKGTLILCEHTESNEDFQQLISKQLKFIKNKQEKQQFQINEYISYLLQNNQFN FLCLMQQQLKNEEQQEHAYNFLKDISDKFQLMTQTQGIDKGQFTKTMAELMSQYNTKSEN SCNDLKHQIYELIEECNFRIESKQEINTPGVFENIQDLPKKDNLEYSVFTCKSVFFVINL FLAGVLVELFAKFNRYL >CAK94760 pep:novel supercontig:GCA_000165425.1:CT868679:95212:96948:1 gene:GSPATT00027084001 transcript:CAK94760 MYYERDFLGEGSYGKLYKGKDTKTQEEIAVKIFDLSKMEGVELELLETEIATMKDLQHPN IAKIIDSFKLPPKNMVIILELCDGDLNKLMKKYGGKLPESVAQVALTQLMEGFKYMINKN YIHRDVKPANALTKGSVYKVSDFGFAGKVNVRAKQKLDLFCGTPIYEAPQILAMIPYTAK CDLYSIGVMAYELVYGQFPWSNRAGDEQLLKNIKNVPLRFPVDIKVSEQYKDFLRRCLEY DENKRIEWDEAFNHPLFKVQESQKQYDSFKVPQNAKDLLGQLQKISQARDINVVELFEKY DTDRSLQLDRNEFYLFVLQLDPRLTRDQTTQIFKVMDRNGDELISLDEFKEIFCNYEFIN ISDKAERIIIDLREVVKARKLNLDQLFRSFDQQSDGKLNPQEFTQFIKQIAPGLKQDEIE EVFKKFDKNNDKEITFAEFKEQLVAGTQFDSKFDPEQERAMKLLSDLKRIVRTSGSNPQQ IFNNFDKNRSGKLEFNEFQNICKIIDRNSTPDVEKVMFKLVNKSNSQGVSFQDFQQIFQ >CAK94761 pep:novel supercontig:GCA_000165425.1:CT868679:96967:97674:-1 gene:GSPATT00027085001 transcript:CAK94761 MLENEQQNQEDPKTNLLNLDSGIEFIKPIRHKSSYYEQDFYVEPWDENQDNFLQLSPKQK EEQPQDRKTRSIKIKLPQSRTQSRQRSNETHQTVQLTLPYHDRAGSCHTLFQDQGFINDK AAYKNIVDRVRSIQINKNQIIQNNKNNNKQISLKFSANKKKIKQIVQVKQKEPFSWSDYY KFIQKNHNQIKPNKQQNPQITGYTIQINKSLQQKVDKDSIVRNFRLKSNYTKTIA >CAK94762 pep:novel supercontig:GCA_000165425.1:CT868679:99089:100537:1 gene:GSPATT00027086001 transcript:CAK94762 MIHGPNSQFSGAFTIGKRYECAIYQTNPNPGPNHYSVNLLDKPLGYKFTKSKRKPLYQAT AAPDPGVYENKIQAIISITYQAILPHSSSVAFTKAKETSPKQTEIGPGSYNLAVQKKAPA FTFQSRFDSIGNEIMKTPGPGNYEMEHNHILKPKNKGFSTSQRTNMLLSNHPGPGSYEVE KPQFLTNVKFPKSQRSMNWSQMGPGPGAFDLNLPKHQGITFGSKTNQSIDRSNVPGPGSY DAEVVEGKFKRMKGAKIGKSERGSLTYSKLGPSPLDYDVTNYKYPTRHASFNKAIRPSMI STERTPGPGTYTIDMKFKKGGPIIPKASKDQINLSNLPGPGKYNPNDSMTSNKGPSYHIA KKYEKPQESSLIGPGRYNIPRDITDGPKYTFPTLEKSMEKKSIDLNQSHCYDIKQTIGYI PQYVLQN >CAK94763 pep:novel supercontig:GCA_000165425.1:CT868679:100666:102362:1 gene:GSPATT00027087001 transcript:CAK94763 MHKTNNSASPNFKLINNKNNKSKLDTTTNNGNNVSMVKKDIKQQGNQYSQLQSARPRTQS TQKKESVSKDKQQPLKHLDPQKRLVTNPNSSAERVVNKQRTHSTSGRTSTLSPQSRVKPL ATKNITQQQQQQLNNSNQQPTPRSVKKQMFISGNVKETKNNCNIISKMKPNFEKGKSLPF KKESPAIKLNKQDSNTISSQSIQTRSSKQSLSVHKSITVNRMDDLFQRSKTPLNKNLPND PFYCIVNPQFKDAKYYQTSLIHYHYEQVRNKYSPFKIHFELQYNTNQLKEYFSDLFCEHF QQSFTCLQYCKRLTQTLKQNNKIQYLPPNENHTKTLVFDLDETLLHCNENVNDPTDHTIM VNMPNEGMVKTKINIRPFCQQMLKLLSNHFELILFTAAYQYYADKALELIDPERKLFQYR FYRESCLEIEEGLFIKDLRVIGNRQIENLLLIDNAPYSYCYQIDNGVPIIPFYDNKFDKE LVFLTDYLLKLEKNKQWTYANKVHFRTYLYQQCLTADECLREMFKAYDTYTY >CAK94764 pep:novel supercontig:GCA_000165425.1:CT868679:102388:103972:1 gene:GSPATT00027088001 transcript:CAK94764 MITNTNGIAESIYHYIWLKDDSLLKESFQLRLPDTIIFKNGMPQVWYFTNQSGEILMKKN DCRKPENIINHFCNNSKQNGQVVAYYIYNSKYNVKDPINDPHDIKKTKQFDVNEKICIYY LTKETFPQFIKNNNKSPEGILQRFIDPIANHEQLIQAIWSPSVCILSKKQNNRDLYDMQF DPYERCATFDGCEAYSKVIPLRGKQISHEIRKQCQMIIQKLTNLSYGQTNISRVVMYFKP DKQNHVWFLYCSSIRLQGEPDEQIEQYKSIWGNSNIKKNNTPISFNTNFKRPHQIKNVLT VNTMHPVTLIKNIECVECGSLCQKDDLYHLAYEFIIKHFELNPNSNPIINENILVIKPKS FFVSQDHIRDIHSHIPPLIIKLYPQITVALYEQMKVNDAFLIKTILVCESCFLKYSSSNS TLSGASQRVTKQKKMAVRLKSASILQRKPEIKEYLFQQNLDLIKQKKEAFFKTNSNKQSF NSNSQFSTVLNTHSASHKYKLSLESNFDQISFQLNTAR >CAK94765 pep:novel supercontig:GCA_000165425.1:CT868679:104311:105127:1 gene:GSPATT00027089001 transcript:CAK94765 MSTHNIEGKNFVKFNDEYVLDIQDQSTTTQFKQCSNANSTTSAFTENNCRSKPTKTQSIF EIYPKGSLLTLTPQISQRRGSQSLADQTMRYEDDSCVLNLYGKKSQYIIYWYDVNIDHKY EILIKTTKVQITIGDLIQLAISQFNEQNEYLQTPFSSDVNNQYLFELYIPKKKKGTPNED FPSIYIVKLKGFADSTLLSQSNQTEFALKVSLKQSKYQTSLSNSKHSSAIKQNEKQVLGQ KNSSSKKKNLFQQLFFFCNSAEDY >CAK94766 pep:novel supercontig:GCA_000165425.1:CT868679:105490:105696:1 gene:GSPATT00027090001 transcript:CAK94766 MSQVACFGNFFSPIPSLGPEGQWKEQREHDDIERIDDKTRGKRVRFKIEGAPPDPVEPSC SEEDVELN >CAK94767 pep:novel supercontig:GCA_000165425.1:CT868679:106178:118294:1 gene:GSPATT00027091001 transcript:CAK94767 MNQSFRSPQRKLEGPIVKLRMRKILDISKIRKEEEQFQCMLDSYEPEQSVSFQDRTFYNR TFRSTSKSIKKVEDRKISEEYTLYGFSRMKTERTPLILISRKSKRIQPVIDADKDISNQE WFEKCKQMDPPQAKAQFYDKATNSYVWYDVTVLDYFQETKKFSVQKYPEGQTKNVTRLSI QFYNQNQDLFKQAQQEKDQIRSQKKIELKKIQDITNVSDEYVNKPPQHLRFRFMKKFQQN DRQGLLSKLLEEVNQIYTFDMKKCVFYKELQKYKQVYIPLPKYQFYYKYQQQIENNQSFM QQNTIQAWQVMNSRCQRFNLKIIDLNQLQLLILNTAFLEYCQNNIQNYIYQVNLQRKYKK SEIQDVLQKKYSFLGKDQKTHIQSPLHRILRKLDLIYADFLNHLLQINTETIFNIYKQFI LQPNDTFYVNTSTFLVLELQVKILKHAKPKNKVIKQMERLTKQSIREREQEIQKEEIEYD EKITINLSEYEVIELLTFPQFQLIEQVSKVNRMEGDIMTLLLLKNELALTPYDVEQQKQY INPTIDIIKSEYRKCEQILVKFQKFEFILKRFRSTEVNQLLGISTKRVPLSQLNFDDIDE KIKCLEQAKNEIINNSSDSIKLGLFTLQLKGIKQFLVNKAEEQKKLIMSRIHEVIQFNIK NIGEQYEEVYKKGSHIPDTEEELIDLKLMLDEINVKFGKLRFEISQIMKYVNIFEENYFD FDNKIIESYYHLLYKPKDITQIIHKNKDVILIKEKEFLKRLQQDEQDFKEIMYQIAELFN QIKQFNDYSQIKTYLPQVNQLNKSFNYAKDQISSFNIRQQMLSMQLTNQAELDNIMVQFK PYEKLWTLVSKFESQREKWISGSFKSLNYQDMIWKLNQFASEIGSMSSNFEEENENLKSL LKGFRKALDSFKDILWVVEALAIEAFTRKPQFWRELFRECKISNFDPKEEFPFFVLLNRG ILNFKEQVIQISIRAEKGWNIEKRLQEMHGKLSQVVLEVNPYRETFIFKNLDEIQLILDE QFSVLTILKAQPHIKLSVGQANQLEYKILLIQETLDFGMKCQKQWMYLDPIFTSQDIQTK LIEETKNFKLVDQAFRNCMKEFKKEPILWECIDSDKMKVDFSNGVMLLDQIQKSLTIYLE QKRIVFPRFYFVSDEELVQILSQTKDPTQIQNHIYKCFEAMHKLQFTSTNAITGFQSTQE EKIQLFQDVKVMEGSRKGNVELWLLDLQNEMRTAIKNYSYQTLIDLISTKQEFISKWPAQ CILLANYIRWTRNTESAIRGQQKLNLATFCEQLNKELHETVLLVRKENRIIPKTILEAMV VMEVHAKDIVQSLYKHNVQTIFEFAWISQLRYYNEENKNVSARMINASVQYGFEYLGKVT RLVMTSLTDRCQRTLLEALHMNYGGAPEGPAGTGKSETVKDLAKAVGMPCIVFNCSDGLN YIAMGKFFKGLASSGSWCCFDEFNRIDAEVLSVVAQQIYTIQKAIKEEKTNFIFEGENVQ LISTCAINVTMNPGYAGRTELPDNLKILFRPCAMMVPDYAMIAEIYLYSIGFQKARELSS KIVTCLKLCNEQLSSQEHYDFGMRTLKAVLNSAKSMFNETEEEICLNALINVNKPKFTES DLQLFMAITQDLFPGIQLVEGEELSNLYDGCQELDLQMDKEFFEKCIQLNNNINVRNGVM CIGQACTGKTSVLQTLSKSQDALILKLNPKAITSDQLYGKLDPETKQWADGVAPILIRDS IDKHQKVWIMFDGPVDSIWIENLNTVLDDNKKLCLTSGEILKIPDTMCMLFEIEDLKAAS PATVSRCGMVYFLPINWYLIVQSIQLLKGYDKDYTIRRIRFLLDNTIAWVKSRHQVFIIY DSINILTCSFLKLLSKYLTEDLVTKNYDNLIIFCLIWSFGAAMDEQIRPQFNQFLNNLLQ KKVSDLETQFPADPQLELQIEILDDYFSYCYIEGKWIKWIDTQVPQKIQGTMQFHEIFAQ TADTIRNDYFCQIGLHFLFAGPTGTGKSLSMNKYQQFLITCSGQTTANRLQRLIETKINK RRKKGHYYAEEGQIRIFVDDLNMPYREPEGSVPAVELLRQWMEMNGWYDLDSKEFKHICD ITFLGAIHPAERNQITLRYLRFFNLLYIGGLNHQNLTTMLNVFGEWLIMNQVEEIRDLKN TLVEKTINLYLSVSKLLLPTPQKSHYIYNLRDIFKIFEGISRVKVIDNPVHLFKLWTHEC LRVFSDRLIDEEDQNKFEQLIQDTLIQLNLESIEIENLIFSSCQNKQYEEVYDLSKLREK LNMILDKYNSLDSSGRLQLIFFNMAIIHIIRIVRILSNVYGHVLMIGMGGTGRSSLSKIA NFIVFNKSLKTIDSRSWNEQLLIQLKETGLENEQNTILFNDSQFQSEYMLEDVCNLMSHG EVSHLFPPEERIKIQETATYSQFVKSCKLNIHVILCMQPVGALYRKRLRTFPTIINCTTI DWFSTWPEDALESTAQQFLPKQLVKMGVEVHYKILQITERFKQELRRYFYVTPTQYLQML QTFQIIQEQKMGQSQVFIEKFENGVEQIKKAENDVDRIKAKLFELQPKLQKSNEENNQLL IKIQKRQEEADKKKQACEFEEKICQQQSDEANELRNSCQQALDNVLPLLAQATEALERIT KDDMILLKSFTNPPVSAAIVMEGLAYAFEEDHLDYWDYAKKCLLNDKLIKRIKGLKLEQI RQISFKNIQKLQVFVKNPLFEKDRVFNASKAAGNLALWIRAVLESYMAVEIIEPKKAELK QAEEKLQQAEELVQEKKNALEVVLEELHSYQLEYNRAKAEKERIEEQVITISSQLQRAEQ LIANLSEEKSRWNLKAQQYKENQKNIIGDCMLNSAIIAYLGVFPIQYREICLEFWKSKLQ EYDVQISSNYSLQNQLSDPVQINRWLQQKLPNDQFSIDNAIIMKQSTRWPLMIDPQLQAN EWIKNMENQKSLIIFNAMWPISQIQLQLQHAIQIGYAVLLENAGQTLDPLYEQILQFNQQ KGQRNLYIKFGDKMIEYSSDFRFYITTKLSNPHYQPQVCVVVTMLNFQVTQEGLIDQMLN IVVKIDEPLKDEQRNKNISQYVLNKNRQIQTENLILKLLSEASGDILENEVLIKTLQQSK DDAYEIEQRLQKLEHDQLLFNQIKSFYNQVGELVSDIYFIINDLSIIEPTYVWSLEFYIQ QYQKSIKEAQFGKQRRVQNIIDKFLQHIYITINRSLLDKDKFIFRFLFCLKVLNIPIEQI RTCVIGPSITQTDLKMPTAYDWLTPKMWLGLVDLMEKYPKDFSWLYKDIVENHQFWNGYF YSQQSYKIQIPQIENQFNSLMLIKIIKPEQFINSFNELVRSLMGKQFLENIPFTFEQFYQ ESTPTTPLLCLIQPGSDARQEIIQLADKLGYQDNIYTVSLGQGQIQLALKLIKNGIHQGK WVLLQNCHVAQSFMPELEQLFENQFKSQNINKEFRLWLTSQPTNLFPHNVLLKTLKLTYE LPRGLKNNMLRSYFQQDQEKFEQCKKQDEWKNLFFSLTLFHACILERRKYGPLGWNVSYN FSQHDLEISKEQILYILNHQHETQWDALQYLVAESNYGGRVTDPQDRILLNILVNEFLNE NTAKEGYVFSDYVKIPESNNIHGYINYIQTLPIEDPPQLFGLHPNAEIYSSILQVDHISQ EILQVLPRAIGAQQNTDAIAKQKCKEIIDLLPQQFNIAELENRYPILSQNSLNTVLQQDV GRYNKLLRTINSSLSNLIKQIDGYINMSDDSQDILGYIMDNKVPNEWLKHSYQTTKPLAT YIKDLLDRLSFIRKWITQGEPIVYWLGGLFFIQSFLTGILQNYARKHKIPIDEVKFDYEF YQSKPSSKPEDGFYVEGIYFDGAKFDFKTNSIEEPENLILYYNAPIIHFKPTLEQQVLQH YACPLYNTVQRKGNLTSTGGSANFICNIKVPIRQSDSHWAKRGVAMILQLN >CAK94768 pep:novel supercontig:GCA_000165425.1:CT868679:118344:119170:1 gene:GSPATT00027092001 transcript:CAK94768 MYFEVEQLGKIAIKSQFQNDMLISYTNAFSSGLFLAVGIVHILPEAHETLAEYIDYPIAF LIAIMGFSLILFVEKIIFRNVEENPSCVELQQLDKQGHHQAILLDNFDHQHTNQLIRSLK HNQNNLKPYLLSTAIGLHAVFEGIALGVTRRTSDTLALGLSLMGHKWAEGWALGVAFRES SVEQDLQIKFIIFSALLSPVGIIIGMLIASESIFVTGIVQSITAGTFIYIASTELIVEEF NKNQNKTIKFILYLLGIMLMSFIVYLE >CAK94769 pep:novel supercontig:GCA_000165425.1:CT868679:119340:120321:1 gene:GSPATT00027093001 transcript:CAK94769 MNRLITKEKSRSNEGRKEKSGLFQNYITKKDLEQVSGILQPQLRTLNFDELTNGTLDDHM SCQTFLHCLPNQDNLDSLSDDHMCPYKRKYIIDQLKNVINQGQGINLSLLRALQKRTDSC EKKSDVNALTDDENISNRGLEQIKTVMDKYQERQQKIQRYKNKRRNWERKISYSGRSQVA EQRLRIKGRFISKEDQQSIKKLIHNKQQEDFYDKKHNLNIEKFHQHTKLFTYAEDPKMIP KKQILMDIRDEYQFQQQQLKILKSIKSKTKIFQIVQKQKS >CAK94770 pep:novel supercontig:GCA_000165425.1:CT868679:120390:122288:-1 gene:GSPATT00027094001 transcript:CAK94770 MQHHILISPSRVAVQQKTQISKNTLISPQRFPNRKISQGVIEDFVVKPNYITKTNNGSLT ERVNSLQKSQKSVSKDKIRPISKQLRIPTENFFHITKGVNLLLTQKNSNPQQSNSQQLKP IQGSMTRRNSMEELIGSTMYTGIGKSQSQDKIQKQEISIYVHYSQDEVQNYRFAPNITTD KITSLLKQKQQNNNQIIGFSTVDENYTIDYYLQQPNLPMDSFANKTIRLKPIYSQSVKRI NLSSFQFISIIGKGGFSTVILARSLIDGKFVALKLINKQFILQHQKQDLILNERDILIQI TYSGSIFTNQIECAFETKNWIVFGIEYCPGGEMFNYIKKLQRLSEQQAKFYIIEVILAIG FLHNEQIIYRDIKPENILIDSSGHIQLADFGLARPNMTKDTCAYSFCGSPEYMAPEMFQT TGHTQLVDYYCLGCLLYEFVTGLPPFYADDKNIIYARLLKEQVEFPDYLSTDIKDLIRQL MIKDPHKRLGSRFGIDEIFSHRWFRDVDLVSFINKQVKPPYIPDLQKLNIRQVSQNDKYF FEQLQREQKQNIAFQPMFSSQFYFTKDLEKQNNNVILNRATIDKLVKRTLKKNPKSSLTN LQLQTNFENLLKQTFTNIKSKPDSRNKQQLQF >CAK94771 pep:novel supercontig:GCA_000165425.1:CT868679:122441:123945:1 gene:GSPATT00027095001 transcript:CAK94771 MEQHFDQFHEEQYEIMDEQNQTGINKEIAYEELSGDEAMEQSFSVNSQYMGHNRKAKTNL FMTSMIVTKSILGVGILGLPYVTYNFGLLFTIILIIAFYWQTQYCTNMFLQCKNLSKRSN ISTIGFSAFKSKGIIVFINLIIIINNFGLCFAEMIIQGTAIQNVVKSLFDANDGEWYTQR QFLSSISGLIMIPMMVIKKIEKLKFAGVTAICAMSLFCISLITSFINTMKNEGMAVGFNI LPYDFTFIKAFGAFPTLLLAYNWEFNLFPVAKGMDKPNDKKVMKACSYGMIVATCFYLMV GIMGCAIYGKESQTNFLASVTKEKMGNVQFYLLNGSFYVSTVLTTPLVFFGARNNFLQLI KGEKKNKVKGVNFDSLDEKEVALLKKQKKKRQQSTKYMYYIWSIGLFVIITIGSIYIHSL EIAFNFVGSVSSNSIGCVLPSLFLFMLMKEGYKNKQMTKMESLQENLAKIFFVYSVIMSF VCLTSEILTTIYE >CAK94772 pep:novel supercontig:GCA_000165425.1:CT868679:123983:124451:1 gene:GSPATT00027096001 transcript:CAK94772 MQQAIPNITPEVKQRLEDQGFKPFKYRPLPEYANPHSLQYWLTNAGLGLICLVGRHYASS QQSIRILWSASAVFIPLYAIATNAKLDGLRQNNFYRKTLDDRLELHPLTRRAWERAKQTH KEYQDQLREEIATLEAELRK >CAK94773 pep:novel supercontig:GCA_000165425.1:CT868679:124485:125262:1 gene:GSPATT00027097001 transcript:CAK94773 MNDQLLTMTAQEFQQIYKVSHSQIINKEVEFKLEKLHNKNNVIQQNLDVDIIKRELYQMI KQEIDQLQFFIEEIKDQMKSLENNINSIDSKNKIKRLKDNYLKETQRNKAITRILNNKSS FQSEKQYDGVSILSQSGTGEQPDSVPSNSSQFEYQQQNIQQTDSFQQQDKQQNGITIQNQ DSPSNSMLYENVIQRDSSNEIKFNNEEQIEAEVEGEAQEEINNQVYFIVLVIVIIMAVLI IWLLYDEDIL >CAK94774 pep:novel supercontig:GCA_000165425.1:CT868679:125299:126258:1 gene:GSPATT00027098001 transcript:CAK94774 MEDQIDNEFLDENQQNQDLIDCELCNRKFHPERIERHLIACQKAQLKQQERDKIIQKKKK QIEQKKQQLQQMDVEIVKTNWREEHQKFQEQIQYNRKLKQLENEGQDVNQLTPPETKVNS NYVFCEYCERHFDKHVAERHIPKCKETKAKPKPPRKKTVDVIQPSQPQLQEKRQAQVSTP STSSQMERKPIIKKQLSDSSQQFRPTSLQKFIAEQSGKANLTNIGITSSSKQVLLTANQG LLLSKIQSVLIAIEDSYLELLKDTFLFVRNQQENTLFKSNIIIERVFPQNKIIQ >CAK94775 pep:novel supercontig:GCA_000165425.1:CT868679:126458:127396:-1 gene:GSPATT00027099001 transcript:CAK94775 MGNYIVKGLYAIGVPETTIKYFSQTTQDKIANAIINGDLDLLQQIAQSTNYNFTSIFQGE NSLMLAVRMNQIAIVKYIINSTDENQLTTLLEDKNPQGDTSLMIATINQNIEIIQYLISV GAKVNTKENGGASIFIAACASGNIELVSVLFNIPGINIYLKNNEGQTAIHRACYYGEIEI VRFLLKNTKLSLLSKDKMGNNCFHLAAKHFYMTLIRYMLKKFRKHNQVLRITNGDNQSTL DILVELFNKIKDESYTAVDVNQIEDYIKNKDMPPEINQWTHKQREIQKKQVPRNTGNKKR KTVLYKQNLKLS >CAK94776 pep:novel supercontig:GCA_000165425.1:CT868679:127429:129789:-1 gene:GSPATT00027100001 transcript:CAK94776 MNINSNSKPSLQEYLDKLKQIQDANNRRLSQAKSQTNASKIMTPKTTSQLKQQTKLSTDR SHSQHKLVPFFQNRKVSSSKRQTSQADEPKIPISISGITKTVEATSPRTGELEDIKKQLN QWFIKESKNNNTGGCLQLLEPTLVHNILKLPPRQKINSELRASLSAKDMLDNTALHYAAK NGNAQLTSALIFKQIAIDAQNKDHMTPLILAALNGQDEVLMILMNAGSDINHQDSFGNTA LHYACKQNHKTVVQLLLKRQSIQFKINKEHKTAEQYAQNEEVQPMQIYLLILIQEQKMNM VQIQNTQNDVILKMFQFKRGQMNQQQQQQQQQQQQQQQQYQGIQQQTQQNFAQTPTQLNS YSCKNLNVVNKQSSSPNNRTQQEIKQERLNKINSTHSAKQQLTNTVSTNSDSIKNKEEEK IGPQQFQVIGLIGKGSFGEVYLVQKSNQLYAMKVLHKSRIMKHNLTRYALTERNVLSITS HPFIVKLRFAFQTQDKLFMILDYCPGGDLGEVLQKQKRLPENIVKNYLCEIVLALEDLHK RDIIFRDLKPDNIVLDTEGHALLTDFGLSKEGILEPNTGARSFCGSVAYLAPEMLKRSGH GKAVDWYLLGVVMYELLVGLPPYYANNREELFYNIENAQLKIPSYISNEAKNLLKALLQR NPAKRLGSGKGDSEEIKAHQYFQDVNWEVVYNRELTMPKPNRKIRINTKIDGNVFDMQSI VEESKAHLGGWTFVNNDEL >CAK94777 pep:novel supercontig:GCA_000165425.1:CT868679:130445:132362:-1 gene:GSPATT00027101001 transcript:CAK94777 MNYNNSSNSNTKRQNKKNKALKKYNILGQDDKQQILESLQKDSSLEMYQILSEKYNTSIK NLRRWYQDGIARKPGCGRKKLNTKAEEELRSWIIKESVELRRRVRRSQLKQKAIELFNIP NFKASKAWQDEFIRNFDIKYQKVKFEEQRRKRQQQVQSVDQKSEKQEQLQQIFSQQATEI PQSKLEVLNIQPKVSDFKLEDWSFEKQEDIKDYLSEIFSPKLEFFNDEKSNQYLLSSFLE LSNPIQRILDIQYFYYHIIIQVLLLNIYNNQLNGEGLQSASNFCQNPNIKNPDQFPQVME QFDREYFIKLINSVCQNLGQAYPQVPKYQQQQLNIPQQNSLLDHFSRQTLFADQGQLLQY SQTLENARSQQENSKPIQQQHLLMDHMLGNQFVPAPNNYLDFNVNSNQLGQIIKQPTYFK DPHDVSEFQKRQIQYLQAINDPAPPEQVKLFKQNIQQLGGIEDTIGNMQQTLNQYEIKHL NNKNIKYYPLSSPPLTQEQIEYQKTISSNPHPFLYNPAEDKERFVYGPLKPAQNIYEQQQ THQSQFYCIYLYLIRNFTLIIQLRFQLDVRKNSTFIIIRLGLLIVTYLLVVESIIILF >CAK94778 pep:novel supercontig:GCA_000165425.1:CT868679:132772:133220:-1 gene:GSPATT00027102001 transcript:CAK94778 MQKKQVNDNEDRYKGEAGNFQSLESDDGPGPMKSVEGWILIAKGIHEEAQEDDLFDAFSK YGPIKNLHLNLDRRTGFVKGYALIEFSDFAHAQDALNGVNKSDGIYGKKVQVDWAFKKPC KKGALKPTKKQQ >CAK94779 pep:novel supercontig:GCA_000165425.1:CT868679:133369:135414:-1 gene:GSPATT00027103001 transcript:CAK94779 MQALRQFYQSRYYKKSLYGVIGLGVIGAILVVSTKIRKQQSKQQSLNQFQSNEQSLQGTI FNKLDKCSLSNIDQVKTLNTSISLEIDFVQQILTGRVILKMKAIKSVNKIQLDAKMLSIK GVKVNDQETQFHYKELVVKELGDQLEIFTEKQENEEFQVEIIYSTQQNINNEQVAQNWLQ PSQTFGNKHPFLFTQSEAIYARSLYPCQDSPSIKSTFDIELTVPAPLKAFGSGLLVQETS KDNKNIFQFKQPVAIPSYLFAICAGDLEKKKISERTFVISEPILINKCANEFSDMEKYLS TIEEYLTPYKWNEYTVIVLPKAFPYGGMENPNLTFLSPSLVVGDKSETAVLIHEMIHSWS GNSMTCQNWECFWLNEGITTFIENKMVGKIFGKDQQTLHGLLGDNSLQKSINNFGAEHTY TTLSPKLDQQNPDDCFSVIPYQKGCQFLRFLEGQIGEQKFQEFIRLIVSQDTLNSEQFRS LLEKFLLENNIEGVDIQWQSWIIDPGMPKEKLKIEEQFSKKYEKLANDLYDNQMQLDDFQ NLHSNEKCFILDLIGNKDIDQRILKNLEEEYQLFESNNPEILHRILIYGIKNNYPVALGD KLSDFLRANGRMKFICPVYRALAEKNREIGLRIFNMCKNSYHSIASAQIQKMFGI >CAK94780 pep:novel supercontig:GCA_000165425.1:CT868679:136024:138626:-1 gene:GSPATT00027104001 transcript:CAK94780 MSEENQLNADKNQNKNNLEKIIKLDKKNGNFDIYDKYSRRKLKIRTSQNNSYINSSYQNE YILCYFWRHINQVYFKKVDEPEYERLDYLKAMNDDAIVTEFPVKYADYKFYQARQILNKQ QIQSENKTYWENIQKHIKLSGLIHKAKALKIPQIEKIYYMKQKSMVKFKQKNISSLIQEN AQLDKLLDKLNEQIELRTGQKDEIFQQLQEYSNELQQLNKSNKKDLQNLTQKIKSEQPLN VFGTQVHIYKQGRGNNALSKNQIIYNNDALLTYLRKSLEQLDYRQTAESIVKVINSVHSP NGYQFCVAFEQALNSTYVNIEEDLMYELDKLRDTSLFKFYNIPQKTKYSHIAQNFIGINQ VQQNRQKPNPLINKNLTKESKAAQSNDNDSTLLRYEACHHCKMLFREEYLISCNYRSGTM GLPIINSSITDSYLFTQMDDEGIKSRRQVPNRKKTAYSIYSKKNGELICQRKFCRMCLKQ NYDIKIEEVTQKTDWVCPFCQAICFCSRCQRNDIMIKLKDLYTICGGDLEQLTKDSIFEK YVRPLTDDQLYRKKPSQLQRTGYSFVKQQLNSFKDMQGIRLDFENLRLLCSQVMRREKMK WKILEQDILIWNYEVKQQKQQKEQTSKMTQKKQKTQKKIKKITKKVQKSDVEYIQELSSS SSLSSSSSEYQQSSDYESEENEKNNNNNNNNNNNSNNNNNNNNNNNKQKNQKSNVKSQKM RSKNHKQVSSDKQQSLNKILQNYKSFPAKNVKREVAYLLQYQDSDTYSLIVKKIKQDQSI NSLKR >CAK94781 pep:novel supercontig:GCA_000165425.1:CT868679:139546:141570:1 gene:GSPATT00027105001 transcript:CAK94781 MEEPKGIVRHIQTLQDPQLSRSYRGHEKTYCLSFDPQMRQLASGGNDSIIHVYSFKPQGR PFKFNGHKGAVYSVQFSPDGQIIASGGEDRTIRLWKNSVLGKCTAIKGHIGSVRSLSFSS DSSMIVSSSDDKTIKGWNVLKNNFMFSLAGHTNWVRQAKLSPDSRLVVSGSDDSTVRLWD VNLSKELQKFKCEDSIYTIDWISDGTTICAGQMDGKIKIWDARSMRLIQYYECSKKSVNT INTHPSGNFLLSGDDESNLKIFDLRQGRLAWSLYSHSQPIKQVQFNYAGDYFASAGLDSN VLVWQSNFDENMKPCNVINFVDKNISNNDSQTLSSSNQFKVPQTTFKANKQQNKINYENQ TNQSLLTNQTHQSPLAQMLSTKFEKIVSQMDQVTQLIVSIDKRITSNEDQVKKLLQNERV KSVLGVYEDQYKEPYQKAAVWENYRNKQQQNNNQLTLQQQQQLQDQEPVFGTGSFMRDGE LEDFPEESEQKLKQEYEEEKYKLQQEHLLETDQNQQTFNVTGEQNIFQTGALDGTLSNKN NYNNTFSLTFTQRQNLQEQLNNLGDWREQLEQVQREVMENQQDNDQFIREVNEDEEEQQE QQDEQDEQDVQQQQEHQGQQVEIQEEEHPVQEDFQDEQGNQGEEEIRYQDQGAQE >CAK94782 pep:novel supercontig:GCA_000165425.1:CT868679:141627:142575:-1 gene:GSPATT00027106001 transcript:CAK94782 MKFIITFFWRSIYFYSSDSFYLIFNRIPFQIIIINLMQPLKNPFGIIDPNENPRQFQSNP FDIQNEDLNETIKLGDNVENQEEKHLLFDSSNSQPQESIEDIPLLEDLDITSPLLIKQRI LSVLFFQKCDSEYLEDPDLSGPVLIVAALGLLPLLTGKVHFNYIYGIGLWGWMLLYLLMN FMIQQQGKQIEFYKILSYLGYGLAPIVLLTFLSVFLQLNSSFGYALAIVCAVWSTASVSK TFDTVKLQNNYQILALQHRRFLIAYPLFLFYCTFVIITIF >CAK94783 pep:novel supercontig:GCA_000165425.1:CT868679:142619:146056:1 gene:GSPATT00027107001 transcript:CAK94783 MKNSYLLLIVAITLVHVHGLEESLTLMSLEQMNSLDTSQYDCSRPQSEFIQMENSLSQWQ DLLEHKDYIDHDIKVLQGIQAKVGLKASHKQVIKSLSQLKLPKTSTKIGLAEVEALKQQC GCLKNSDDNCDALLKLLSYFILSLRNVQSQMPITSNNFITAGCGGTIDIKGDESCDTPQQ PEPTTPTDVPSTDPVPQPTTDPVPDDQKDSEEPAEETTTEETVDTAEEEQGDQQPPVTPE ETTQPEEGEADEKQPEEGSPIAEETEPAAAEEQEGSPEETKAEEGVDTPAAEEEAGVLAE EEGAEEQAQTAEEGAQEEVNQPEEEITEPEEDIEIQVPEEESEENAVEVAEEGQAAEEVQ PESAEEGQVEVAEEGQAESAEEGVAEAVEETIAAPEEEELQIDEPAEEIDIEVPAEEEAC EEEELDQEEEAFDEEAGEQAGEEASEEGSQKEDTQVPGETEEQAEGEQPGEEQQQQPDAE ETESSVGEPLEFEEESNGEVPATEETTAPGEETAAPGEETAAPGEETAAPGEETAAPGEE TTTTDEETTDAEESSVGDEPVPDDQQDEEQEVLPEGCRKVPEDVLDISWQSTEAVAVSDL PVTETQIIGLSFYFRWLSKFPDAVPEGLSQETKFFVAGLGNALKFYVGQGGFHFSSFDEN GVETYKNAGHGDIEGQWVLVYFGISNQKVTGFAQIQGQDVETVEFDVNLTLDESYQLVIG GPDGDVKSFNGQIARVFAFNDELYTQATEFTQFVTKCYGIPKNIYSGKRLTIPIEKERTF VSPASFDYDSVFENKKPMLPDEYSASGWFKWEKPNDQNIWHSGFRWTTNNQETNQNFRVL GDRGLSFFVGDEDNLQGHVAFCTYSYKTANGKSKVNDCKMVKYGNKLTEWFYVYFGYSRH LNKAYGYMEFTHEMAQIEFKDVRHYFASKIYFYLGQDKFYPPFNGKVQGFMLNFFDGSYR TSSYDYTFGYFPRPVYEYDDSKELIPSEPVVKQKCPKVVEITVDNASDVLCALSSYLSAV AQGHDPASDPKAETFCFCLVYEEENATPELLMLAQIFGGKIKQKSPNVNSSIKKLLSRQN ALLK >CAK94784 pep:novel supercontig:GCA_000165425.1:CT868679:146103:147812:1 gene:GSPATT00027108001 transcript:CAK94784 MNLFRSKKKEEPKKKITLTIEQLTMQFMKSKEYINHDRQKKINEAIQQETKLLNMLKAPQ RNRKDEEIQIIRIVNIVNTIEAYDKAIIQLNNIDQFKSQIVASQGDPNRVQQFIPYIASV CYYIENTNDLRGAVDLKLQLNEYYGCDIAKTYERSVDPSAKVLVSILNLSSPSVIKYAQD FSRKYQILDVPGVNYQPQQQQQSWVPNQYPTHQQGGYPQQGGYPQQGGYPQQGGYPLQGG YPQQGGYPQQGGYPQQGGYPQQQNGYPQQQNGQPQQGGQLQYSAFPQQGGYSQNNGLQQA QQPYPQQQQQQANYQQSYQNQSQAQTQQNTINPNSIQNSNPYGQVQQFSQQNLYLNNPTV YQPQISQPQIGINPKQNSNYNYPQPQQSIYNSQQPQNNNIPQQQQQSQFQSQQQQVDPFA ILNQSNNQQMIELPSISQQQFTQPNIPLNQSKNENGLVGEQSPSAFHNQSNQNQTAAIGQ DINRSQPSQINQNPQIIPVQINHDLRSLEILHKFKTGFQQSINQSIQQLQKAGLSL >CAK94785 pep:novel supercontig:GCA_000165425.1:CT868679:148932:150049:1 gene:GSPATT00027109001 transcript:CAK94785 MECKQNQCQHTNPVPYNNKFFCKLCGIHMPQDGNVAIKSAKFSFPGYINPIQNLKSQWNR SVPVAQLPLDYQRQRISMIDYMMEWSEKLGLSINSLFLAVQFLDYFVSQKKVDPIQFRLY GATCLMLAAKSIELDERIPFISKLRRYTYLPYATIDFRKCECQIIKQFNWNLQYTTLIDW AETVISLGIVYEQDELSQTEAILKEKATNIPQSQQAQQTKQENIKEQVESTPKKSTSTSI SRNVYNKVQDQFTKLCLTLLKDGSYLDKDPSELTLSLIGCVRKTCGLKTPLPKCLLELYE NIQPKFQDSIVDLLSKQTKQPTSVVGKAFTNDLDFFSLQNYKNRVI >CAK94786 pep:novel supercontig:GCA_000165425.1:CT868679:150246:150583:-1 gene:GSPATT00027110001 transcript:CAK94786 MGAAIAVRNRHWWFRGLYDDYIGRETRLSFGLAAVIWIPHYVYGVYLNRTIETNTSHKIY SMEVGPSRNRLTHSMIFEQFEMVLENWEDLNKEYADKGKKMLEE >CAK94787 pep:novel supercontig:GCA_000165425.1:CT868679:150836:151574:1 gene:GSPATT00027111001 transcript:CAK94787 MYYQQVLNTNQSQAFVSTINTERARCQTQHYKENSKSFLYQQPIQQQQQTLNEQLLIQNQ KLSDQNVRLQNECKRLEYHLNLIQQNFQQLKQLYDQDQLEMQRITHFCHQQQQEHNAMQT RILDLEQENFQLHHEIDHYQKNLKEQEFLENQRREIMIGQQKLIELQEEMKKEVEQIKHD PLMEILELWRDRALKSCTYNSQQKPVKQLNTTKEKYQKLTRLTMQAAELLSFKLNYK >CAK94788 pep:novel supercontig:GCA_000165425.1:CT868679:152586:153596:1 gene:GSPATT00027112001 transcript:CAK94788 MSLIVRNISSIREEWRQLMKKKIKKTKRKNQYTIPYKFDDDIQKSQQKDDSLLIVQNIPT TIPNYNVFRLKTNIQFSLNINKDHSLGSLFMDPHSNNYKIVRIDKRKNLFILTLDQIDRP ITPTEVLYSIFRDRNSELAQAFMQYNSRNLDPKNFKLRTMQEMLEFEYQIKGKPYAVYVN IYKDGIWKTFQKIYSQEILQVQGISEDMLYHYCNETNLIPISAYFDENDEQLNVCYKIFK GIVGQQSIKREYMNYNGEQFTASLTVQSFFIYDEEKDVLFDYTYFVTDCDKKWLSQERVK KNELDYFNIKPNSCSTEESSYQEPLRRCGFKILNQK >CAK94789 pep:novel supercontig:GCA_000165425.1:CT868679:154027:154808:-1 gene:GSPATT00027113001 transcript:CAK94789 MNKFSREEFLFLAKIAQQTERFNDMIEFIKHFLDQELNKEERSILSAAYKNVVGNKRAEL RVLTAIEQKESRKQTDQYTLNYIRNYKHKIEGELKISCAEILNLIDSTLYPNAKQVDSKV FYLKMKGDYNRYLAEFLLDNEYHAAVEQATQAYKDADVLAKSNLSTTSPIRLGLHLNQSV FYYEILQNAAEAIRIANDAFEQAIAQVDSVNEENYKDCTLIMQLLRDNLTLWNNPEEEAN DDQ >CAK94790 pep:novel supercontig:GCA_000165425.1:CT868679:155436:155798:1 gene:GSPATT00027114001 transcript:CAK94790 MKKQNYHRNGGAKKKHLTFDQKVMVLKKLGPKPSKLRIQAMAQQYNISSSTIMNWIEKGI WTQIPSVIPELEYQSNKQKLKRQRENLIELDDTDQNNTRNQKKEIDEPQQNLYDQIEIIL >CAK94791 pep:novel supercontig:GCA_000165425.1:CT868679:156714:158029:1 gene:GSPATT00027115001 transcript:CAK94791 MNYDYMGAIKIKDGVFLGDQFASQDLEFIVTNKVSRIVNCASRQIPNHWESIGIIYLSFP WVDNDQQIIFQSDESINVAIKFIDDALINGESVIVLSARGHNRSVAVLCVYLMKKYRWTL YKTLQFMHNRRPDLEIKAHFFNQLLSIEARFSKQGYGAKTFNWDEIYTQGDNDEIVLRNT YLNSQALGVAEFKDHDSKPKEYKLTFADKITMQIPPYDKIIFSKSKTTSKVIKPIIKESG SSKQIDSTFQFPQKQQQQKLNYEQNTNIYDKLSQKQKQTDQQIQSPLQISPIQPQRPSSV QQKQHHKVQSNNYMDSKEQFFQKSQQQNQLAVTGTRRPQTAPNQLKAPRVQTTPYKGNNS QGQKYEIGLQPNSQFKPMRKRAQSPKAVYNITNPYIQREFKAKTWKK >CAK94792 pep:novel supercontig:GCA_000165425.1:CT868679:158222:159179:1 gene:GSPATT00027116001 transcript:CAK94792 MDTPPIKRSVTYNSDSDLINKIVNTENLVELIEKIQKQRQDPYIRNDPPQTLTLPPIQHQ IERQHNITQRNQKKKQEKEYIPFTYPINPKKIAYYQENNLYGKFIEPTEYKKINYESIKT IESAISEQLVNESRLKRSMTERKDEAHKNKFKLIQSSTEDNLENEKAIKNYTLSKVDYEN LEALYSKQGKMNKIIKNALKIKSQQRDPANASKSVLSERI >CAK94793 pep:novel supercontig:GCA_000165425.1:CT868679:161228:162007:-1 gene:GSPATT00027117001 transcript:CAK94793 MAPFTIQILLIWSHYQIVNTHPGPIKITKTPIELMKEKNCSIKAIKLNEKYLDQKCEKCE NWKPPKTHHCQTCNICVHYRDHHCAWLNCCVGYKNLKYFSQFLVYFTILLFMHCNSCVIS IVKFAYQIHIEGLINLTLTSFWAQISVFEVTTKLLQFIISIGAYLIVSNLLKDKLIQLPD LTTYNEQRAGKYSSYEVYSSKLKRLLGNNFIQWILPIPNKLALNYLELTFPKVTAGENYF ENHLEGKEDFEFYKHFEIN >CAK94794 pep:novel supercontig:GCA_000165425.1:CT868679:162170:162787:-1 gene:GSPATT00027118001 transcript:CAK94794 MFNRKNSNLLFLPMPKPIKDSTPDYTEIRTARNQSLEKQLRKIKQKIPPIQTDRHYSSTQ DLLEKVQEQVLYKTSILSRKSSNATILPQPKKIEQNQQQQQQLQDRRNEIDFVLKKLLNA QAIINDEPQQMIEPRIYNPYFRSPSVLPSHLKDTYFDPDKYQNFYDKLSKNNLKVNHQVR AAILNYRKQISSKQQRVPNFLKLVQ >CAK94795 pep:novel supercontig:GCA_000165425.1:CT868679:162830:164901:-1 gene:GSPATT00027119001 transcript:CAK94795 MKELRLRKNEGIQQCEDTRQVYQEYRILLLLISYGEKMQNVQEQYSIDHLITQNEIMAAQ MSIEDQSTLDLIELLLQMQIWLKYMIVKIQNFGSISFRVSKFSKDSPSSIRDSHRLNSFS DYISLNLTEETNESEKKTRSRPSTPAKSSVSIMGNLKKSGKISQFLQRQQSAQTIKNQDE TEQEKSCHFQTKKKSCFAQTASSESELDISIENSDQQNKTIDSTEQILFEQDCFFASQKG YFSDTEIIKLDAENRNQERNICLKDFQFIRQIGQGAYGGVFFVKRIATGDHYALKIINCS NRPFERLLTQLKQERNIFEILTGEYVVKAFYSFQHQSSLCFVQEYMVGGDFAKILMIEGA FDENIAKHYFAEILLALEYLHSNNIVHRDLKPENILLDQNGHIKLADFGLSELGFNKMMV KRKASQRDIVKQDLSSSPGYIIQRGASFKKSRSNNSQYDKGSEEERRRIVGTPDYIAPEI IKGVSSSNKTLDYWSLGVILFEFLVGIPPFNDESVDKIFSNILEGKVDWPDIGDDPESQI SECVYDLLKQLLNPDYNQRIGHESIEQIKKHPFLQSINWNTLRSKPGPIIPRIPQAQQQQ FENVQEKLQKFLKRAEKKHSQIVKKLQDELEYLERVDLLIATNEKEVLHLKQQITI >CAK94796 pep:novel supercontig:GCA_000165425.1:CT868679:164909:165124:-1 gene:GSPATT00027120001 transcript:CAK94796 MEYLIICRICNQSININLMDIHNQTCRHNAEQRKKLVQLNLQVARLCEKAYMKKHRNSFE TRIKIVIVKIQ >CAK94797 pep:novel supercontig:GCA_000165425.1:CT868679:165821:166054:-1 gene:GSPATT00027121001 transcript:CAK94797 MNRKFENLNVQTKFDFDAIISQLDNGSPLAQIYTKKFSGIKNLDLYETPDDQYETPKDSC NSLIEDLITTKQSKKEL >CAK94798 pep:novel supercontig:GCA_000165425.1:CT868679:166509:168046:-1 gene:GSPATT00027122001 transcript:CAK94798 MQQEKGRIYYGRFVQKEKLNQGSFGVVYICHDKVTRDYVAIKVEKENSDLMSLEREIQII EELRGIVGVPKLYWYGNEYNSNCMAMQLLGKDLAHFLKRFRKLSLKTICNLAEQLLTIIE EVHKRGVIHRDIKPENILMGRGNDSQQVYLVDYGISKKYRTNGQHIQFQENKPFMGTTRY ASVSAHKGYELSRRDDLESLGYVFIYLLKGIVQLNVIGILPWQNITSSSDREKTRLVGKL KMEIEMRELCKGLPTEFQRYMDYVQKLKFAATPDYKYLFSLFQKIAQQNGINFDRKFDWN DNQTSSTKSSDQAQQSFNDIDVSNLQGDYLKIPDLKKSEKRKSFQIEQYSSQQSSVVLNY VPSVVSKINTRLKDSNCQRSRQNSKKSLSRESSLIRQPIGQRLQGQIFQNGEFRDLEDRG DKYYQDEVKNNQKKKVSKLNDFDDFDDFDDQLNDDVGIQTNLKQVGVIKVHFKEHLSKQ >CAK94799 pep:novel supercontig:GCA_000165425.1:CT868679:168192:171159:-1 gene:GSPATT00027123001 transcript:CAK94799 MSNSRKRLIRNSPESKSNHTEVLSDIEEEYNLNNYKYDAKSKNGQQKQKQQQQQAQKQTQ QSMGEKQTPQISDVFPNQNQSKTNQPQNLSQSQKKPSAQRQPNQPNQPNLFQFFGKSVLL NPSQGSQGKLKESIIKRSNDINVDDINIQEQFEIERKIQEQYKNKQQSQQQIQPQSQQQQ TYRQKQDPYQDSGNEIIEKLNRIGLDKNNKSTSPRHLGPDIKQSFEIQIEQQPQAKKKKG AVNITWEKQNSKVLKPENKKQIEQEHQPEFKNDPDLLKGLTIVLSGLLNVCSRDKLEQFL KNNGAKVTGSISGKTSYLIVADKLEDGRKGEEGNKFKEATKKGTKIVRESELNDWLIDKI GVGMEEIFPDSNLSKLYKKSGSKKQDQPENVNLDKNLSLADKYMPKLLSDLVDNKSSVTQ LNDWIYKFQHPNSAEEQNQKQKKRFIPLKMGRFQPVGNITSKACIISGPPGIGKTSMVRL VAEALGLKLIVNNASDKRNKGSLRSVLNDLVDNSVLMNLFRPNKDFIIVMDEVDGMTGSD RGGISALIECIKSTRVPIVCICNDIDNQKLKSLLAHCYSIKFQKPDAKSVAKRLKYICEQ ENINMNLEDLEKLAICFDCDIRQSINMLELQKLQSKAKMFQPNAFKKDKVCVFNTFNAAL SLLNRNQRRQMSLRDMLDMFFLDYDLIPLIIQDSYILSHHSDINNVAKAAELIAEGDIIS KKIRRDQQWSLMPSFGFLSSVYPSCIVGEQMDFPKFPQWLGKNSTASKIRREGHQIKNRI APITYLTSDIRLYSHYLFQLIKQFLELKIVRNDKDAVWSVVQIMEEYRITPDQLKEDLHD QVFNPLKDNLLSTINAQVKTQLTKMYNKRHVILKEKAAKRQVNAERYLSENYNPLIGEEA PLVEQSQKEIEEEENKNEEENTRGSKVKKTKKQTKKQAKKGTKKRQLDEEKSIDEDSLGS MNNFIVDDEDEE >CAK94800 pep:novel supercontig:GCA_000165425.1:CT868679:171181:174217:-1 gene:GSPATT00027124001 transcript:CAK94800 MDRNGYLNDKSLFGASSSKSIQRKQTSKVGSQTSRSHYNTSICENERGTQNCKKILTEFL EQTRNRQSTFQFLDQRNKQSQNQLRTTQNSSGFKIKGLGTTYSRKLVSVIPFEKGVTINS DENKRMLIKEAERQFLSQVNGLNKQEVLSKMELFITTQLQEDFKELGLLFQIFMREVQLN HMGIYKLQANNYKQSEIYQNNELEGIIKQQKIEILFLKEQIEELKNECNKIKMEGSKIMA EQRDQLNNERNLIKQMKQKNLEFESKAKKYRLIDLDNQAMQVKLQFYENKIQKLDKRQSK GSESPLGKDKSLTQLNQLIIQQQQQTSKRSLSSNNTLNHDSYSLNQVEINQEKIIEIRSV EIQVQDDLLINFYKDQEVQTDLQLIDYKYEDISQEIMNLALEFNNFNQQNNYQINLDEMI QNQTECTNTPLNYEILSDSSIKSNDFKNIKPQSQQTTRQSIMHRQSIKQSTHRPSEFKQK QIVQFINFQKFRIAQLNNQIEELNQQLQENYQNIDGVTKLNQKLEIDNLQLKLNISEITG KLHQTKSEKNYLDNQNLEQNQIKLVDVKAVGGNKQKETSQTTVGKGPLLGQRITISYDFQ KNQSKFLIEKIRQKKIGQIQNTIPIKLVLKYITTLYQEKLQSQKENKLLKDQDMASFIYN YYLKQFGYTKVTEQKFLILLISIQKNIAIVRVNVFAKFLGLLEESSNFSLEEQKKYLEAL DLINSMSNYGTTIKNNEANTQQFVPYIRALAYLESLYYFKSQDKYIQLKKELDSIVEKDV TQQNRDGVLDFDQMMLKVLRLFRDNVQNIQTFVINAFNASDLDGNGMCNLEEWLTLNKYI ENQKYDEAKLSSKFQENADLVCDGEKYLSFDRFSILCLELDIFSDNSQNNFLNVQNPEEV EQKFGLLRENWAFEYQTQIDKINQSKLDEEFKNKWLEILSVLDDHIVSNPEQKKPILIAW QIYLLETEQYFNNQYEEIQE >CAK94801 pep:novel supercontig:GCA_000165425.1:CT868679:175068:175387:1 gene:GSPATT00027125001 transcript:CAK94801 MQNSLFLIQTVIKTTMGCNLQKQKNKQPTLGLSIQQTELHCNEQIQTPDTMDMSSLKQHN IIVLKKLLASSSLNVDQIVKPPLKKYSHSTLSRSRRQ >CAK94802 pep:novel supercontig:GCA_000165425.1:CT868679:175631:177129:1 gene:GSPATT00027127001 transcript:CAK94802 MIKLLKQFQLIRNTQKINLIACCPFTSSYKQEANIVLCDALNALMEENYKNSKLDILSEY YTKIWEGRLGLVENKILFCQFLENSNQILRKEFAIDKIEKDDNIRINKSLKIFHVFNTIT ELQIIRWEGIQDLFQWIRNESTYELFQRTNKLHIYLKYIHLLYVNQYDILEFHKRVEDAL FQFKKATPQFVDERLEYYNAINMLRQFDRIEKAHLKMTILELSKLENRQIGYSLEQLDIL SQYINLVCEVFFPNLLQDRDIFNFVQEEEEEEDDEENNQDDYTLIEPLNRLIRLFLQQDA FYYKRSISSYRDKLVQKEGYVDLVDPVKWRQLMSVSKGLWVLTDDNKHDRSCIDLLENAI FRKLETDTASITDGIQIIKYIQDIQEMRQGHQFLIMKSICDQINNQELQDLSITRQNLPS LLHQMLTLERKAEEFELAFIQPALYRLETWIKEAKFDQELKMCDWYDLSLVIKKHQYNEI EDYVNKKLEQA >CAK94803 pep:novel supercontig:GCA_000165425.1:CT868679:177181:179540:1 gene:GSPATT00027128001 transcript:CAK94803 MNQQENVFQSVSTKSKEYKEECRQLLERIVALQLKVFKAPDLYVKEVLGVVELYKQQFEL VKQNPGQSNGKFSIIAQFLSKVAVYYKTDLKFYIEDLMELINHFQNTLNQGLRKRIIFCL LRLRGKNLIEPFRMIVFLMKLFNCQDKDLRRIIFRFIIKDIKQFNKKHRNETLNKQIQNF IIDLIKKSQENIAKRALQIMIELYKKNIWKDNKCVNIIAQGCFNQNYKIKLLACYFLIET TENHVEIESSEDEADKYIQKKGKNKCVKPTKAREHRVEREKKMADKKQRKKLSRLNSNGG FFALDQIYSPQDFAERLFDNLKKHDSERFSVKLAMMHLISRLIHRHKLLLIPFYTYVQKH LYPNSKDVPKLFAYLAESIHDSIPKEDLQPTIRHLIDQFVNDRCQELTLTMGLRGIYEIL QKHPKILDKENITYLIHYIKYKNRNVSQAARSILNHFKDTNPQLLDKRLRGNKWKSTEEL DGEFDDNFIQQITVGVDGAELLQQEEEEKLNKKFDIPIYCDRILTDDDFKRIRALKRKQE AEIEQAKLQEKQQRNEYIEQENEDDEDFIDDEENDNDLDDISEISGDSAEIDDNENEEWE DESIEEEDVDDDDIKPDSKITKEQNKKRRQSLSDLEEDSVQEYYSDQSQMSDENPHGYVR EEDIMRYRKTKSEQKHEKLEELKNGTKEKWYQGPNKKKSEFASTSNKEKQKNKPLQMMRA KKVEQKNKESRIKSKIKDLKNKLGHVRSGKQAQRLKKQKIK >CAK94804 pep:novel supercontig:GCA_000165425.1:CT868679:181637:182654:1 gene:GSPATT00027129001 transcript:CAK94804 MQSIFIIIDITPQIIAMGYPADNFESLYRNSLEEVQRFLNTRHPEKYKVINLCSERQYRH DLFYKVVEFPFEDHQPPPFQIILPFCLTVSKWLKKQDRVVAVHCKAGKGRTGTMISCYLL FSKQYDSSKDALKYYAMIRTQNQEGVTIPSQARYVEYFNIALKMNLLYVPAKTVELIEVR LVGIPNFGIFGGCSKIFKFIIVEPFIRIQNENKQLIQQPTILTNQDQQKQYSAIFKFQGV YLSGDVVVQFFHKSIVLEEKMFQAWLNTSFVIMSPQLQIFKRDELDGVGKDKQFKKFPIN FSLEILFDKVVAIRRRSDCIK >CAK94805 pep:novel supercontig:GCA_000165425.1:CT868679:184369:186486:1 gene:GSPATT00027131001 transcript:CAK94805 MIQIPYANQQLSQIKIAESQITNIDKNIIRVLQLFSITGQLDMDIENIRLELSDNSNFYP EALFTYLKTFAPSSIQNSNQYKQKFFDFSDLQSSAPLVINIINSSKDGIIQFQNLLSFLN DFSQTPININQIKCLERIYHVDQYAFQQDQAIDSLNNEYPLPQDVTPISKNKQTQIQGTT KVPLAKQESLEKVNSGEGFNYSTFKKLILSQSIPLAKQKAQKRKLIYETNQQQKVEINVL KQFAKLIQYELELLDKSEQIRLQLNTDKAYNHVGMFNILDAHGNGEIEFQYIEQLMNKAQ IPFKPQAFKALVRRTNLLSNNNSLSECMIFEGFRLLTTVQNPYFKIPKPEVETLPSNIEY SRILGAEVTGQNSSQLSISRIPDKLKNSTQNYRSKPIIPLYNSSNPYVNETLKKQSKIYD SQKQNYDTIQNDYIVYGTKREWEELQHSMNSGVLIDTQSLKSQIQRIQNSQINFQSNASN SKAHVLQQKTEIQLIQQQALEEDLERRKNQDLSKQLQHAMNANTKGYYSNLVKIEKEKRN TEEINEFVVQAPWYQNDMKINKNVNLIKKQQEEIHKFSGLNQPYTSINSSQQNLQNNSSK LPPKVPKKEGVQTNIQPLQQQQNNQQEQPAQSQIISQQDVSPIKSRIFSSQKYNPLIYQD NLQQKIMDYKCDIPTRQFDYQN >CAK94806 pep:novel supercontig:GCA_000165425.1:CT868679:186624:186887:1 gene:GSPATT00027132001 transcript:CAK94806 MVYFMIKTQDGEDEMQKQARLMAEKYKDGPKKKGALVKKTQERTQFDSATHEMQKQAQQH PPK >CAK94807 pep:novel supercontig:GCA_000165425.1:CT868679:189161:189331:1 gene:GSPATT00027133001 transcript:CAK94807 MVQHINSYDFAIGMYKMIRNEKLICNQQETQMGKIMPVNKFYLEISLKEQFRPPVI >CAK94808 pep:novel supercontig:GCA_000165425.1:CT868679:190967:191290:1 gene:GSPATT00027134001 transcript:CAK94808 MGKQNTQNKQHSRRLKVEDQQGLNLPIIKQIRGEFRCDLPSHISSHILVGQRLEIINSKL ENYMFRLEWKQRADGVNPVGTEFRYNDLKQVCPGLLMKYLSKFIVLS >CAK94809 pep:novel supercontig:GCA_000165425.1:CT868679:191992:192627:-1 gene:GSPATT00027135001 transcript:CAK94809 MGTQEQFNYIEAQLQTTLLRSQNLNKNCKNSILSLWEISETFQYGNWCGKNYGGFNNDCR KFCEIDLFKPSEECVNCNQPIDWVDEQCMYHDFCMTRFESMGIGYGMACSDSINLGNPFP SPCNCAQNLTEHLKLNYLVEKCQFDVECEMNGEIIQNFFNSEFLKCSCAYVQCNNNTIDS NGDPIVIDDPLECWNATACVTPEQCTSLNGG >CAK94810 pep:novel supercontig:GCA_000165425.1:CT868679:193173:194409:-1 gene:GSPATT00027136001 transcript:CAK94810 MQLDQETLNLLFKELFNLDQLPNKDSEELTEQYEYYDNTERKFLKQFHNKQLNNESEVKL LHRFIARLAEAYQVLLAKKSIFRSKASTFQPTIYNCLSNMYDGKQGVFIQLIQSIFTECK LQLEIANRKLTNNDIVKRIHSQDQDSLNNESLQQQQQLSITQLLLQRKSTQPEWQTNINN NKNLSQYFKKTHLKLYDLLSIDKVQDDQILYFQSREQNMDKLFQQLMQQNTHIKKSLNWA VDLDMNDFEKHIQLMQIKQNSTSIPNIQFTPVDIVKTDQFDGQKTVSSFFSQNEQYKIRS FSQNSKIKDLYSFSEKINIFHPNYQDSIKYKISQSRLDNQYQQKRQLAQQQIIKQSPVVN MLTRKMIFEQQPQNSKKKTKSFRFKN >CAK94811 pep:novel supercontig:GCA_000165425.1:CT868679:194876:195607:1 gene:GSPATT00027137001 transcript:CAK94811 MSLDSSQKCDEETGLKSIALEPLELDETYQTPVDIRWGNCYPLFQKNNIPKIVIGPHWPL FICAYSLFFVASVFLIGWHFTSTSSEFIKWATFIICVNQCWSYAWVALINPGVINYDKNN SIDYRPRPSPELGIQNDSKTWYCSACKLLQLYGTVHCSDCDVCIQEMDHHCPWTGKCIGK GNIKQFYYFLASTLIFMIFNIIASLTQLDGAINGTRRKIKQGS >CAK94812 pep:novel supercontig:GCA_000165425.1:CT868679:196701:198135:1 gene:GSPATT00027138001 transcript:CAK94812 MKQLEINKQFVTQKKISQGSFGIVFLGIDQKNGNQVAIKVEKPENEHLHSIDKEVEILSR LSNIKGVPQIFYYGWEDSYNVIVMELLQKDLASILKQRKKMCLKSVLQLSIELVQILEEI HKQGVLHRDLKPENVMLDDNNKVYLIDFGISKIYIRKNGILIPFKDRVPFIGTSRYASIA AHKGFELGRKDDLESMLYVMLYCFKGNLPWQNIKHVPDDQRTQKIGEIKENIAVKELFKD LPTEFIKIYEYLKRLTYASEPDYNSIVKLIQQAAQHANIIMDHKYEWDSQLANLDKNMNR YGSLQTEELPLKQFEKFSSNLNNCNNLVAQTPSRFNQLYPPTTKQESQQNSNSIYGSGHQ NISIFNSMGIIYQRSLEEISEELQDSIPDELKSHNQIYLQTLPEQLKKPKLFFKTTQFDE DDEQVKEDNLLFEKYRSLSAQQTTIFQNHKK >CAK94813 pep:novel supercontig:GCA_000165425.1:CT868679:198240:199789:1 gene:GSPATT00027139001 transcript:CAK94813 MDPAKQYLVNCVQALLNLPQTPQGLASGQTLDKFMIAQEKKSLILQYMQGQGLKLFNPSK VDELNPQTKLIAITKIDTDVITEDNYFTNLTVQLLSPNVVTELSNHLSMIYQPLMGAGVD EKIKKKLSGFKQVLQGLQDNDFDNVQTEQRNISRPIDEIEQWLRISQSATSSESQQRTAN QVCQIYQKVTQLWKDVKQLEINKFSDLLDQTLVCLDELYTQQLYNEQKLSGMLSSMYNQI IIKLQNIIPQGQQIFSNSQQQKLLLLECQKVIRMFGENLRKYFEYDWKINPNLQEFEQIE KRLSDLIELRSIYEELKRSNIDQDFFKELFEVNPFMSIGQDSLFNVAYKKVLNNLETAEQ DIINLLRQQVFKQQVMKENPLQTIREMQRWTGLLSRNTIQKHFLTERDSLITSITNMISK IEQEFNTRSGAAFLDDGEEEKLPYQIGFSKNIHQIVWCKSLIGKVKRIIQLIQQLFSDIK KSALIYSYVLKSYKISLII >CAK94814 pep:novel supercontig:GCA_000165425.1:CT868679:199875:210853:1 gene:GSPATT00027140001 transcript:CAK94814 MEIDMQDGLVRVNYSDKLVQLIKEVRQLCELGYRKSISNEIHTIVETGKKFYKEALTLKS IASFYNQMSDQIIECQKAMLINQAVRFEDTVKNSKKKNSITWENTQELENYVQKVQESAN EIMQENKRLRKNHQQIIDNICLLFQIELKQKNLWKEKVDQIKKVIEQTCNSIDGKFTVGW RTHIDFQLYKALEFQYKKGFQEMDHAINEIQADLVIKNGQVIYKPSIEELREKYYNELKK YIQYPSQFIGVGGNNDIYMQMPERNAVFVIQVYEKSEHIFEKLIGLSSQYMCWSVVALLD AEQLQLQLGNMEQWEKFMKEVRQRRKEFECFNIQLQVFFKQLNQLLFKLNLKNLNLRINY QFKFEQFLSQTQQKLSQKPQNINQMNQALKKFFQIKAQKFEMTQKMQDCQQKQKFINSLS SQSQALNPILIQLQQINKNWENFELLIGDFDSILAEQTKQLKKDMQARAKEVDSEIEKFY SRYSAVKPKQLSELDRSSANELAENMQQWRQQWKQLDEKIQTLIKDHHHFEMEVPTFNQY DKVKVEMTDSEVVWCYYDKFQQNINNLGKEDWLSFRTKLYMFQELLLQEQEQIKAELAKG TFTKKEAIINYIFSQIELYLKINPLLKLIVGDAFEPEHWTSLFMILKLKEMKKEKLLFKD LLYCDKLILDKQNDIRELQARAQGEITLREAIFELKTWCDTSEFDLTDYTNNNRVTPLIK EWKELMTKVSDNQSLLASLKESKFIGRFKDQVDQFELKLGGIDEYLSKLQIIQRKWVYLE PIFVRGALPQEQARFRRLDEDFRNIMLGIQRDQKVVSLCSIPGIKDTLDTVLDQLERCQK ALNDYLEEKRGKFPRFYFLGDDDLLEILGQSQNPQVIQMHLKKLFAGINSVEFSKDNTQI YSMLSSQKEQVQFNNSIQVNDIVESWLSVLSSNMKETLSQLLKQCLKEQNMDFNKFPSQI LCLSEEIKFTEQAVSALNSNKLPQFKQTQLKLLDQYTQLNAQSSNNYLLQLKLKSLILDL IHHLDIINQLIDNKVSVLSDWYWYKQLKYEYQKDAQIIMCKARFDYTYEYQGNGQKLVHT PLTDKCYLTLTQGMSMGYGGNPYGPAGTGKTESVKALGQLFGRQVLVFNCDEGIDFKSMG RIFMGLVKCGAWGCFDEFNRLLEEQLSAISQQIQIIQNAIKENSQSMTLMGQTCMVNKDS GIFVTLNPAGKNYGGRSKLPDNLKQLFRPVAMSIPDNELIAEVLLYSEGFKNAKILAEKI ITIFTLSKQLLSPQQHYDWGLRALKTILTVAGQIIQEERKQGVEINETIESELLIKSIRI NTMSKLTYHDTKKFVQLVQDVFPNINSQDIIYEKLTNAIKEVLQSMKLSEIDNQIAKILQ FYEATKQRMGVVLVGPSGCVLKKAHEKLGQQVKTHVMNPKSMPRSQLLGNMNNDTREFSE GVLTASARLVVKESVDVLNWIICDGDIDPEWIESLNSVLDDNHLLTLPTGERISFQNNVN FIFETSDLQYASPATVSRMGMIFLNNEDISMQSLVTRWINKLECEEEKKSMLLNQIESTL YNLLEEIFSYEESQIVPTTRVGLIMNILSQLQRIPTNKQQFNYFLLQGLSSNFQPEIRLK FQTLINSNLELNENGDKYQYINQNIDESQFSDVNDPPVIKTVGHQKDLQMLQSWILNNDP FIIVGEEGCGKNLLIQSAFKELKKTIKIQIATINCNAQTSASQIIQKLNQICAKGTSALG RVYKPKDCSRLILYLKDINLPKPDKYQTIQLIAFLQQLITHRGFYDENLEFVYLDDKIQI VSSMNPPSTIGRHQLSTRFTANVRIYYIEQPSNDELQQIYQEYLKILIFKDNNQSKKGAQ LLIECYTQIKSKFTVDEQRHYLFTPRTITQIIFALKRYNDIQSVFPEALLNEFNKIFRDK LISQDQQFKFDQLILPIFKKYYKDIQSQQYFATVQNLQTLSKIEKKDFIQLVSQAVQIYS RENRELNVVMIEEVLSLLTSLNRALSSQSQTTLLLAGRNGIGRKMGLQIMSTMLNLEVLQ PYTCRDYGIREFKRDLKSYMETAQSKNCLLILEDHVLLQQGILETVNSLISSGEIPGLFG YDEIDRLIQNPEEVKREFYGKTLYEAFHERVKRNMKIALVMDNSNHEFQTNCAQNPALFT NTTIIWQTQLSKESLLQFMKKQLESSNNNNSVNEQLISYAVEIHRNSKADPRSFQSLTQT YSLIMDTKMQSKGSQADHLQKGLEKLQEANNLVNKLTQEAQEKKVLLSKKQLEADDALQK ISKAMQDAAERRQETEQLQRYLQEEEGKIKVSKDKVEDELRDVNPLVQEAQNAVKGISKS HLDELKSLAQPPPAIYDVLGAVMKVFKQTEINWKAIKKFLGNKQVIDQIIDFDPHMITAD IRKDVEEEIAKHSNSFEKQNIYRASLAAGPLADWVKAILKYATVLEKISPLEKELSMISK KLDSSRNRLKQCQDALNQLDQKVQELKNNFASKTSEAELLKRDLEKAEQTVSLASNLLDK LSGEKVRWQQQHDLIAQELKQFPLDSLLSASYITYLSSQDENVRYKTLQEWVHLTKLQQY DFLKFMSNESQILKWKTLGLPGDQLSIENSVMVFSSSKVSLLIDPNTQATEWLKKTLSQA EILNQTDPKFNNQLELAVRFGKTIVIQEIDQIEGLLIPLLRKDLLHQGPRWIVMIGEKSV DFNESFVMYLTTRNSSIHLPPHTVSLVQVINYTVTRSGLEGKLLSIIINIEQPDLEQKKQ QLLENEEKLKMQLADLEKTLLDELANSQGNILENRVLIDSLNQTKSKSQVIAQSLQESSK LQEDLDTQRDVYRPLSQKGAQIFILIQSLQNLNNMYKYSLAYFIQIFQKTLDIKENFDSK QKKLEFAGQSLLKNIFNQIAGSLFKQDRLIFALHLVKGCKPELIEEEEWQFMIGNQIPND SAHLPKWASQDRKEIFGQLQNLKLNINFNSSEWEQWNNNQECEKNFPQSAKLKPFQKVLI VQTFRPERVQSALNEFVCPNLSIPSVSGQTFNFQTVAQEELTAQIPCLFVVSAGSDPSKE LEEFAEQQIGKQNFQEMSMGGNQNELALKLIKEAAQKGQWVCLKNLHLVISFLPLLEKTI KQLKPHPNFKLWLTTEAHLKFPSILLETCYKVSYEAPPGLKKNLQRIITSWPTHNKQSVY QTQLLFILTWFHALVQERRTYIPQGWSKFYEFSYADYKAGVQIIENLLQESQTISWQTLY GLYENAIYGGRVDNEQDIKVLRAYLETYFNQNKLQNGTLSTGQQIPQTNQVKDLINLINK LPENDVPEFFGLPNNIDKAVQRYTIQRVVSGLKSMNNIVGSEIKFDKELWSNLLSPLINM WDQLKIRDTIQVTNQQLGSLDPIESFIYLEAQQTWNLYQIINHSFEKLKNVLYNNGLLTS DIIDIGLLFIKDIVPQKWSNFWEGPDDINLWLKIFIKKLNAIKSWIDKIQRKQQLDEVDL SELFHPEIYMNALRQKTARKLNIPLNELKLQADFDNLKHPLVVKLKNLLLQGCGFSNGQL VDDMKITSEFIELPPLNISYVEKSQPEKNGIGDFPIYLNASREKLLCRIKLLQSGQINDK IIAGVALFLSQND >CAK94815 pep:novel supercontig:GCA_000165425.1:CT868679:211027:212177:-1 gene:GSPATT00027141001 transcript:CAK94815 MLNINTQGEKLKQSANYIKTLQKEVSEFKEKINNLEFELSTLKFLEQYIQYEIPKLDEIN EDKEREKQETQSKLNKLLKEIQDLKDSNSDLQSQLKAKDQQKQEIIEKLKSELSKKQQDF EKCQAELEAKNKLFDEMKKKEEENFAIIQEGSATNSPTNEKKMHDIQKYQIENQRLKNEY QQLKKEYDNLTNIFNDLVKERHKQDEDNQQSVRDWKTKYDQIAKLRDSDKESFQSQLEDK TKVIGNLQQKLYQIQKETSTKDTQTHEQTGQKDSIVSFQYDEEQIDVLDKTLEIISNSSI QQIITLCQTQGQQNDLLRELKRIIEETISIVHQKLATSLPSMIKTCCEVKDSSSIQLIL >CAK94816 pep:novel supercontig:GCA_000165425.1:CT868679:212220:213569:-1 gene:GSPATT00027142001 transcript:CAK94816 MQLLSRNILKRFTNVPFLCFSSKKDLYELLGVPKNASQNDIKNAYYGLAKKYHPDANPSK DAKEKFAEINNAYETLSDENKRKVYDQAGAQDPFAAYRGKAQDFQFDESIFGDFASFFNM GGESERQIKGADIFIQLEISFMDSVNGAQQTIQFEKIGVCSTCNGTKCKPGTAPGRCTNC GGRGSINYRQGAMTIQMACTKCRGTGVSIKNPCTTCKGAGIQKQATSEAVNIPKGIADGQ NLRVTGKGNIGENGGKAGDLIIKVQVKPDSYYKRDGYDLITNAYISVAQAVLGDQVKIKT LNGEQQISIKPGSQDGEKIRLSGLGITKLAPNSNQRGDQVVNLKIQIPTNLNEQQRKLFE ELAKLEKSETKGQSTVHEGVFEKVKNIFNK >CAK94817 pep:novel supercontig:GCA_000165425.1:CT868679:213809:216545:-1 gene:GSPATT00027143001 transcript:CAK94817 MNKGPRAMKISVIISILSSELKLEQEAIEIIQSFADKCKNFAVVVVVGKYRTGKSYLINQ VLLQQNQGFNVGSTVNACTKGLWMWSEIIHFESGRSKEPIPAILIDTEGIGSLEEEQNHD VKIFLLAMLMSSYFIYNSVGTIDDMALQNLGLIVNLTKMLQKTDESTQKDLFETFPSFLW ILRDFTLRLEDEFGNKITPKDYLENALKPLKGFSETIENKNKIRRHITQFFQERDCMTLV RPTQDEKDLQHLSQLKFTELRTEFQEQLTALRKKFSYKISLKQYKGKPTTPFSFVEMCKH FVNTINEGNMPVIETQWQMICKQELNRTLIGGLKIYKDSIDDLLQQNGISQEQLEKSHNI FVRKVKYYLENNCLDKESEEFSTAKKMIKQQIQELYVDAQQRLNKKHEEELEEIKTQFLN KLNEQQQQENIWELVVDLNQKQTMIWNSGANQNLQIDYIQQIQQNVMNKIQYEFKKLSLQ LKQQCDNGNQIQEDIKEQKQRYKKQEDDLYEKITQMEKDKNQLRVELESEKNKNLRQQQQ ILQSQTTVDNKVSEYMNKLKQIEKSYNDQLNEKDVLIQNSELKQKQYQNELDKVKALQKQ QDNLNQQEIANLRREIERLKDEISDKSETITKLKQSLAETENVSQYSSLQPSRRIKNQDE HNTSTFNKDLYEYQISTLQAQLDEQKKLTQNLFDAFNSQNNLQKSIYDNMSQSQNMVNNN KLYQFLNVIHQLIGQFVGRTDEREGKDFKSLIQKLFNLFEDNDNSFINNNLTDRPKLKNS DSQRIEKTMSSESPKNSNLSNCQNINPFRSPDQPKYQNNKMTIMQMFMQHKNKEKDQEKL RKSAQLENVLKNRNNMHVQRKIY >CAK94818 pep:novel supercontig:GCA_000165425.1:CT868679:216730:216976:1 gene:GSPATT00027144001 transcript:CAK94818 MDLKMQVCQLLENIDRVIELMERLMRTQLGTLKQEDQEKQKVDPTYQFEQLLFYNHQSQQ YSFWSELCQI >CAK94819 pep:novel supercontig:GCA_000165425.1:CT868679:217032:218620:1 gene:GSPATT00027145001 transcript:CAK94819 MASQSEEKDLIGSILSDQDDKQEIIPKKARKNLSLKSKEFDPQIHPKHPEFQTSNASILS TGYQSHQKQRIFFQTQTSIEIQSQLSNTLQQTADQDNNTCSFDHFQSFDSFGQLQQRKQS TKKHHTMQPTEYQNPTLIFTSDQCIDGKLSLSQTDLEQICGNQLINRKLQNILDSNDINK KRLIFNQVEKICLKASKDMFGNYTVQKVFEVGSQDQKQRMHNLLINHIFDLSKNQYACRV VQKMMEFIKDYPEQLEIFLQNFYPYIIPLLNDPNGNYVILSCFELFNKNQLIFIIPMIED SLQFMSKQTYGCRVIQKVLEIYPIEHTQKMMDILMTLACQLCYQEFGNYIIQYILKSGPP KEKQIICQIIKDNFEQLSINKFGSNSVEKYIDVMGPNQIINILCSISNDQFVFYNLSVNP FGNYVMKKVLISGDPSVQYLKSLLKQYPELVQGIKNSDFGQRVGLIMDAL >CAK94820 pep:novel supercontig:GCA_000165425.1:CT868679:219503:221859:1 gene:GSPATT00027146001 transcript:CAK94820 MFQNKDIAIDFQQDFFLTEQVKEFEAQISGRLLTKDVLLKFTNKEIVYIVDGSIIRIDQI LDVKEMPQIMKNLEQIKYLKWMGDLGQNLSKIGKWYIIWRGCNIDMGGCYCADGKKQGIW KEILINYNNQVQVYEFGLFNGGLRQGVWKIINQDQVIGGGSYDLQGNKNGQWLELHQQWE KDSREIILQGEYKNGQKIGRWDTVDIGLNQNKVMYDFSIKQISGGGTYNESGLKSGKWLE IHDLWDHYSSVTFHGEYKNGEKYSSWTTVDRENQKIGGGSYQENGIKNGEWIEICAEWRS VCQINFKGLYKNGKKIGFWDTLQYGNTKMYVYFLQNISGGGKYDDNGLKQGKWIELHDEW QHWDREVTFEGEYFQGKKIGKWNTILNGKQNMLIYYFLQENFSGGGQYDQDGLKGGQWVD LCDGWEGNGVNTMQFTYNGEYLKGKKVGRWDIIDKNQKLIGSGSYDENGMKDSKWIEQHE QWKYNLREITYHGEYKNGKKIGYWNTFQKGEKVVGGGLYDLNGIQDGKWVELDDSYDQEE SAITYNGEYQNGRKCGKWESVYDQNTVIGGGVYDEVGLKNGYWIELNSEWRTDSKEIIIK GEYNKGKKCGQWETFQLIYGATQKIETKEYDDQGLRI >CAK94821 pep:novel supercontig:GCA_000165425.1:CT868679:222150:223244:1 gene:GSPATT00027147001 transcript:CAK94821 MYNYQSFRKGGLVTFKQKQYQVLEVFPQGIQGTVIKIQEENKEDQFALKIINEITEKELQ ITQQLQKNHHQNIVKIIDFQKQQNKVYILMECCEHNLYQELKKNPLDQKELRYFMISIAR GLKYLHSHSIIHRDLKPENILITTLTEKNNKQLQQRVYKIADFGLSLQQQRAQTKYVGTC YYMAPELIINLDQPYDHKVDIWSFGTIVYEILTGKTLFQGRSTQEIYNQIKSQCNIENQK ELNQKLQIIKDKNYLDLVTNMLKYDPNERFNIDQVISELQNKDQNIIKNRSVSCNVTVPK DQQQVQFTLNNQIIWRPAQKLNFPITLPQTINKPNNIQIGPIYLQPNNNGKQPNYYVKCF KQNK >CAK94822 pep:novel supercontig:GCA_000165425.1:CT868679:223449:224136:1 gene:GSPATT00027148001 transcript:CAK94822 MDSLFALFDQFIRINLINYPQNQQAYISESWEQFLSLLQTQPLDYGKIYTLINRMTYEDL TSAKNERQSSTQRQVARNITAASSPRIVSTSVSKNSTNILQKISQMDNVASPKIAVSNLA SQQSLMNKTSQQQNVKQQQMTEEFNRHLLLSKIKELQFNYSVSTDKLYAIAKIFQSKECQ PTSKSPIKYPLTQYTNKSRGSNHSAEHYKIVA >CAK94823 pep:novel supercontig:GCA_000165425.1:CT868679:224625:225541:-1 gene:GSPATT00027149001 transcript:CAK94823 MMPQKDNVVTILNRQLKILSVLGQGNFGTVWKVEEERTKEIFALKIQNYLDPYEQEILGN LSQFSHRNIVNVIGYEKINDQCFCILMECCNENLFERIQQKVFDQKDLRYVLVSIADGLK ALHNNTITHRDLKPENIMIKVIKDSKNPEYTQNIYKIGDFGLSSKKDINQTSQVGTCYYM APEVIKNQPYTNLVDIWSLGAISYELVTNRPLFEGYTQDQVLEQVANFNKKEFYDVFLQK ISIIKEDEYQSLIKKMLQYNPENRINIDEVFSSKNSAFILAENRCSPQIISNSQNI >CAK94824 pep:novel supercontig:GCA_000165425.1:CT868679:225723:226547:1 gene:GSPATT00027150001 transcript:CAK94824 MFAIITKKAGQALKINNSDYTHKINKVYACIKSSKFTSSKLLREVRITKHGLIQQKFKSQ NIYSEKQLEYVELIPEIVKTSEIKGKEYKMAFENQKQKSKWVYFENYIDARKVRDFCYAN AAMNNLRYNLAGFVHLLIKHFNETCIYFVLQKISKTRQEMERLSIIELNQSQTLSLFSPG LLAKQDYEMKQSLSFQENSVKTQGQDNQINMFLQRVVNEYLEAAVQKHIEQVQDANLENE NEIQSVSYISVFQLSDHHKSKYLELNSSNQMYIS >CAK94825 pep:novel supercontig:GCA_000165425.1:CT868679:226630:227225:1 gene:GSPATT00027151001 transcript:CAK94825 MQFISQDGRTFKIDDKALKHCTKVSNTNLEVVNLTGIKSNILRKVIQYCQIHQNDAYIPK ISRPLKSNLIFEVVDFQDAEFITQLDFEEIFQIIQAAEVLGIDRLS >CAK94826 pep:novel supercontig:GCA_000165425.1:CT868679:228149:228787:-1 gene:GSPATT00027152001 transcript:CAK94826 MNQQISCDEFDSNHSTKSCESISDEQLDEVMPSKKTNKKASYKVKVKTEICKYWAIEGYC PYGQQCAFAHGKDEVRQKVHVPSNYKTKTCKNYTQDGYCCYGERCQFKHPEKKSNKLPTI PYQILLANINLLFASKSKLQKRSKGLPKLIKNTNNPQ >CAK94827 pep:novel supercontig:GCA_000165425.1:CT868679:229141:230181:1 gene:GSPATT00027153001 transcript:CAK94827 MNYLFNQFDIYHHLNFASLTTILYITQKILITSLVQNYKENDDSQDNNTLIHFELFISYP TLLMLISTIANTAQLLFALFLQQLSGFSLLICFIAASIFNGISIWWSLVKLRRTSYRKSR LLWILSQNLLLIVIFFYLDFNLSGLTIGISFALQLVGIFLGMAIGSLNIQDAYGEFKQQF LDNPQATSIKQQILFHIYYGLLPFLSLIRVSHEIVFHKLFNNPFDLLYFALIQFFQTRIM TYNDENCLKSNWGIKSLKLAKSPMYYLLIYQCIISLNRVDSFDFNNIKNIALCDFMIFVV SNGAVGLSSILVQKYLQEQKK >CAK94828 pep:novel supercontig:GCA_000165425.1:CT868679:230376:230849:-1 gene:GSPATT00027154001 transcript:CAK94828 MLQTLFIFILLFLLLTFIGLYLRKYFRNKPLTVQNQGSGQIFSEQRVTFCEQVVKQNSQE ISNQKQNIKIKTSKTTATVTKNTKCKPSKIKQQDLEAAFQFDGDSENEKENDSMKNSTLT EKQQQSNSKDQEGFNFQQTSHNLQELVTPTQGMCQEV >CAK94829 pep:novel supercontig:GCA_000165425.1:CT868679:230953:234916:-1 gene:GSPATT00027155001 transcript:CAK94829 MYKQNPNEKEPYSLFSPSVNLNKQSDNTQSTLFNRDQQSVQQMREQIRQLKMQLSKTNLE KETAIQENNKQTRELERVQIYVTQLEARIKMLEESLIAQQTEAERKLTLQKQHFEYLKQS TIERQSTGMQRDFNLEKNDLEIQIKLLKQRIVELEEQLQKVTSIDHMSGYEDLQRQIDSL TLQLMSQKGQERQFFEKMQEMQKQLQITTSKYDQLVHSSKLEIEEYVEKITLLSNQLKDS QDYTEQLEDEKLTQQLQLSHQVFLAYNEIERLQIKIVKINKKMKFIEESHVQQLEDRQHQ FENQLNLRSQNLQKGSNELKISYELKISNLQLQLQEREQMIEQLKLELKQKQQKIDELTK QLDQERQKNKQQFESFTVQIRDHKNTSDKAYAELQTNSRDQILKLQQQKQEQDSVLNRIK AELENQKTQNSQLQNQINQLQSEYEYMRQQYESQIANLTLEINRLKTQLQQISGKSQQSL DELQYQLEASQQQYQQLIEQQQQLQNSVSKKNELYENEIKQLKQKLTQATNDLNNLKNES DKEKEEFNSTLQDYSQQFQLMEKKLKDKENELSQLKKTLQQTTESYSEKVTQLELEINQL QQQLQQQSTQFTSQLKNSEKDKEKLKQTIKERETEISQLKQTIKTMEENSTITISQLEIQ LSKLQQQYQNSQQEQQQQKNQFQKQIQQMTQTINELKERISEIQLEKEQLENSLNESMLK SSNSNKDLQRQIQLLQKQIQEYEIRIKFEENKGSDLNQQLESLQEELEQLKLEIKNQERD KEKLKSQLKDQQLQYEQLLKQKQDLEQKLSIITQQHDDLTNEYNEFYMNQQQQQEQLQGN IQEKDKQIKNANQQINQFKQKISDLERQIIQMTHEIEERDTKFSELEQNNSMKLQKLNNT IDQQKRQNQEDEKLWKSKLTQLSDQHEERERELQQEKVDLQQKLEFQLNQLKKSKQETEQ RLSQLQLKHDQLENSYEDIQREFNDLQDKYVIIQQQFSSLTIEIQLLQKFKLDTNDNELK FQALKDSLESQLRLLQTKYDSLLASEQHLQDENKKLEEQNNIRIKQLEDFRLKLDTETVS LAEYETVKQERDESTAKNFQLSSQALKDKSELQKLRREIENLKDDIKTKENEVQDAYNFA QSRQTTGNLQKNETDMLKIENQKLLNQIKGFETKIIIQNEEIDKLKRQNEELTLQNSQLK KYSDKLQAEYDQCQLDYKDHINKYSQVIAKYDQAYEGMKTESVFRGISYQQS >CAK94830 pep:novel supercontig:GCA_000165425.1:CT868679:235116:236908:1 gene:GSPATT00027156001 transcript:CAK94830 MSKKTVGSKYEYELSNRLGQGAFAEVYKGKNKVTGEVVAIKVIKRSLLAKYGDDILKQIH QEVSILQSLMLSMRKTLCPFINKIYECLETSNNIYIVLEFCNQGTLLDKIKKTRKLPEDE AIFVFFQLVQALDFLCDNNIAHRDIKPENVFIKDGVYKLGDFGFAGQKSLYQTHLGTYPY MAPEFFNSSQYDGNQVDIWALGLLFHEILFGEIYFIGNSQYEVSQKILNKQYTLGSQHQC CKEIRDLLPRMVEKDKTKRITAKQILELPIFQKYKNDNRYLEIEEKERQFWQQYLKSENT KTEDDILKAQKEEEERQRKEEEEKQRQALEKLQREENQKRERINQQIPKIIDVINDMRNG IMIIIKLCEFLQINFSEVCRYDIFYILKQGYQQQHLLKEKLDNAAMFTKEDHIDFQNIDH EVWDYFYQDQKVQCLIIEIEHDRNQVRKSYIDQFNYLNNYTTIQYNGYLLEFQQIGNLDI TGGIPKELFLNQLASTITFLKDEETKQSDYGIKQLLKKAIIWIFVVAFYQDLVSGNKVNI SKFLRSIDSNEPEEMKKCLYSQ >CAK94831 pep:novel supercontig:GCA_000165425.1:CT868679:236930:238327:1 gene:GSPATT00027157001 transcript:CAK94831 MDQQADGEGNLGIQVQVGDPIVKKDGLKNYVVYTLKGSDKDGQFEVLRRFNEFDCYRITL QIRWPGCYIPPLPIKKPVGNMDQKFIDERMHYLNLFMMKMATIHHLWYSEETKLFIKAGG DIEKQLVAQQKPTPGDIIYKYETVFKEFSGKEINDQLLSKIGNFSLFLKRIQPQLEIFQQ QAKQLVQTRIQCQENMNLLLDYLMPEYEKNCLTEYVVNPENKLVFVQHTTELYQQYRAAN EKSALDNFALAIKIETRDIDAVLEAIQSREKYEQIKQNYLTKLCSLSNEKKDIENGKTTL KSLFNSNKEELIQKTQQQIDNIQKEIEQLTILCDMITIIIGYFILPTYKQEKEKNYYQLL KQMAQHQVQKAQAERNYWLDLDKNQMFNDV >CAK94832 pep:novel supercontig:GCA_000165425.1:CT868679:238376:239065:-1 gene:GSPATT00027158001 transcript:CAK94832 MNLLNHTIQLLQQALQNLQQMQGKQEAKDILPQLTQVVDSIPEEFKELVPYQVEVSDQHL NHRQSKELIIKTVEQFRQWRALECLKAETFEEVMAAHNQYYYRTLRQQVYRFIVITDGFR YSRGEAREKLAHCPFCKFQNLLTYVTAHVLKKHANNYQIYNCSICNKDFQSHRLLVMHIH NYHKEGVKPKKRNGMAPRKEISEQEQEKEQEQEDEDIIFEDADSPEISL >CAK94833 pep:novel supercontig:GCA_000165425.1:CT868679:239313:240117:-1 gene:GSPATT00027159001 transcript:CAK94833 MNNFSQQNQCKQAQPFLQQGNQPMNQFPYLNKNQQPVSGQPQNFAPTSHPTLQQGQVNPS SQFNQFNQQNQQSFQQPKPAGQFQAQAQFGNFINNQQIPNNNANPPNYNNFNQNNFNQNI QKPQVIQIPPKADAKFTPNSINLNQQGANKYQIQPQKPQECETKPIQQFQQQFKDAQAFG NIVPQQSLPGQSPLMQPRNQQQKYPRVDVKSEEDKKQLVKSLNQYFKDGNSEFVIECIKQ IIENDIRVKLPEQAISIK >CAK94834 pep:novel supercontig:GCA_000165425.1:CT868679:240197:240963:1 gene:GSPATT00027160001 transcript:CAK94834 MRPKPRANNKEQQNVEEQQPQHVIKEEKPISDKYQVKYNSKTQLRLDEKESFVLGVPGTK IYSVKWDAEDKYIACACENGTVRIFNVRKRQLSYLINSLVPNIPFSYVKWRPQAQQFKTR NIFVTGNTKGEVQHWHMTSGKCLGTMKEDNSDIYCIDYNQDATKLAVCGLNPIIRIHDEE KRVVDVRLGVEQTQPPGHNNRVYCVKFHPQNPNMLISGGWDYRVLIWDIRQKKPEANQIY GPVDLW >CAK94835 pep:novel supercontig:GCA_000165425.1:CT868679:240974:241354:1 gene:GSPATT00027161001 transcript:CAK94835 MRESFDGQQLLTASWSQEKQLQTWDLRTCKLICNFDWNSQIKVSNQPCQLYSGQFSRQFD NQLTLAGGSGENEVRIFDSQDFDNAQICIHDLCREVNTVDWAHKDSRFAFSGGDGYLRIF EIQQIN >CAK94836 pep:novel supercontig:GCA_000165425.1:CT868679:241359:244262:1 gene:GSPATT00027162001 transcript:CAK94836 MQERFMRAIQELDTLLRETETGKIKLSLTLKDLAQLHHLQSRLQECKFLNSIYSEESRIK QYLNKSVTVNLQIQEELCSIIESKMESIASNFNHLDKLILYILNQTMNKATMKNVLRQIS NIILGSNNFTLLRFQEKSVESVSTDEFIEQQLTLEQKLQLYQQFRGDQLEILTFKPTGQL QQIFKSSSLQVIRVPQKLNAQTHSSLIIYEVQQFAKLPQSKPHQIKLICDQLITIGYDYL NENYHKDDLINSVRAIGQFLIKNAPILFQECIRNEIEKKLNTKWQQFNFIKSEIIQSTKN SKDTSFAILENQTQCFIPVMSNHVVQFYLHMENVSLDIITFADFMSQLWQYFKHCLRELK DKEFLYQIIEVSTPTKLVIASDLHLNIIYQSGSVPSSWNFLDLSNQQIDCYQNLTEIKME EIYKTMLLSSLDEVQKSKKGAIDVGQIIYHDKYVDIAIYVKKDEKNEINQFFIVFDQPKK RFESKRHSKSEIKESETLASLKQEKIEKACLVLQETLNLNLQTDEIIDMLKEHALMTIIE QKELITEDIRQTYVLEYYRNSEQVQTYRRTVSESDTPFATKTLIQEQQLTNAKKMELFQL RPDDLENLNNWCFDITIQKDQIKHYTWALFHLLNYFDKYQMYKETFFQFLVAIEERYNSR RNPFHNFEHGFTVAHACYYMIKNKLLDEYLDQTEQFAAILSSLCHDIDHTGRTNGFEVAR MSKLAVRYNDESVLENHHAAMAFKIMQREKYNILSNLSQEQFQKVRRFMVSNILATDMKK HFDLVSSLEIKYKNGEMNIVNMDTKRVLSGLIVHTCDLTQPTKRFEITKKWSIRIQNEFD NQVEEERLLGLPITQHLVCQNLPKQELSFIRNIIQPLYALTSSILNNGLSVALKCLEENE KEWTKLLN >CAK94837 pep:novel supercontig:GCA_000165425.1:CT868679:244300:251353:1 gene:GSPATT00027163001 transcript:CAK94837 MFYSNERAEKLVEWFRQFIQNFYSQNAQMITMEMNKGILTLLSVPQEQNVFCSQEYTVVN FIPKTLLRCFMRISNVFLLVISLIMIINPSLSPYYIWMIIVQIAAGAIVFICNEALCDWQ RRNSDKLVNQQFTKKGGRNGVIEEIKWQDINIGDVLILNKGDMVPADIIVLDTGQVRDRE AVCMVDSSYCDGKSTFTKKKSCYLTQLIVLRTRQKSQFAEYRKLLTGRLEYEVPNRHSNI FRGRLKLKKDPKVEFLSIENLILQGSTIKQTSWLFGLVVYVGSKTKNSMSSKRNKAKCSH EENLIDFISLCMITLILFFCLISIIVLLARSDDLTFALRIDKNTQNGMKIFNLLILYSQF IPANIFMILDLINIVNKIKFKLQRPQTFSELGSLDYMLLDKTGTITTSYYKLDNFQFGSQ VFNLNHDQLFNQLTKNKRSDEEEDVVKFASIFDQEYYIPMEYDTVNRKTSQVIDLMPNIN KKVLKSVTTDNNQQIFNQILEQQYQLQPNSVRSQDFHDMVSLRKSMLFQHKKRNLLHQHQ SLMSNNNDEFLQMINQYKHKSPQLEYAELQNENALYYDCFMKCLILCHEARPVYLNDVQY ESFSKNEEIALGFARLCGYQLESFNKFDCPDAYLCKIRNQKIQYDILGLNQYTENRNVNS IVVQSQKSGDIGDWDPCAISHLSGEGSKNKSLLICKGDYDAIKTKLQLTPKEKEELEQHI QILKMRGVRMILYGTRVLNEKETEEYKKQFNLLKNSLTNQDEQLEQLAIQYEQQLSIIGM IGFKEELKQDAHEFIQSIKSQNIHIWLLSGDQEAQTISCAQALQINESIYNKYLQISQTE NEKIWFQLNTCIGIIQSEMVKQQLCNEDFNTLINSSNTIYDGINYQKMLKFTLLVNGNAL SIIQGDNDLLSHFRFLAGICKNVIGYNMNQQHKEMMCKIIRNSLNKHVIAVGDGFNDQLM MQYSNISVEVINNKNKQSIINTGDIKVQYLGEIKELLLQGKIYQEKLHHLIMYCFYASGL IGMSLFFFNWFCYFTSTSLHGSLTLFLYIQIFIGINALLIGLFSRQTSYYINQLYPSLYI DGQIRIKIIWKLFLLNIMESFLTSAAVFYMILYQFNYAMNDKGQIIGLTMNSLGIIYCFI IVITFRVFQVLNSFGKLMQLKLVIILVLIVILSDEYKNETYQIFTRYQCIISMIISLILS FTIKYIFQDIIRLRIFPSVYEQMAFRYELDNESINSQQIINQHLDQQINISQIISQVFKD CKVLSPYIQEILNPGDTKITEMRLSKLTLKINDLIVEQKFLSIKLQESLNSYRLFLILLL IYFGIYCLIDYFINLQQIRTGALYLCLFCIFAIIIIYVMSKHLQQNYYTHSHMIFIVFYI IKISLDWLSDDLSFIMSSVLVALFTTNNTMNINIIPIFAYNIVYIIQLIARIILVLIADS SLSTQGYYNHTRVVIYGSSTSIILMLSLTFSLLYTLYKTIQRRRTDFLAKYYIEQDNLAA NDILSILVPKFVRNQIQLGSLHMQEAQNDVSILFCYVCDFDSIMKEEGRNVVLMLDSLFR IFDNLCLQHGVQKIETVGYTYMAATGIKASEINISPHMLKTEKTMRLVNMAFDMMEQIQG RQYGKGNQIEMKIGIHVGRVIAGLIGHHKPQFSLIGDPVNQTSRVGSTGDVGAITLSAEA FKQAKQGIKYYNKKQKEAKGLGLIDTYQVFKIKPNNYQIPINQLRWQQIAKLVIKQQKLK RNLAGAVQKESILQKIQNSLNNLHDNSWGSQKISNSPKTQFAQSLLNVPSLPDQRRHSQL DTIPIQSLAILDSEETQIHDQQHVQDDENKYKGLLKPNILLMIPESQNLIKNGFYNIHYE QQQYESYFALLTLWIIFFVITLLSIIIREFFGQSYDIFFIRSLFLFCLIFLLPIIKQTYK NIYINWIFYVVFLYGQFAVIYGTFLANNKLVTIISMVELVYLVVVVCSLKIFTFVQILVF MILVFTSFLALSINNNSLTHQYIFYILSSLVLCLIGYYKGMSELIEMYNNLQLNEQRKAK QISLVSQLLPTHSYLKMKNNTLYNRSEFIDDLDDVTLLFADIKGFTEYSHTQTPEGVVTM LRNLFTEFDKLCLQYNVYKMYTIGDCYVVMGFQQATKRNPIQEAINTVKMGFSMIEIIME VRKLIDFPLLNMRIGIHTGSIIGGIIGTDIVRYDIYGQDVSIANKMESSGSEGHVQISET TKLMIERAERNPFVFQFKQNVELAKFNTSINGYIVEWERKNRDRGESHFRSEPYITRDKM QSQNL >CAK94838 pep:novel supercontig:GCA_000165425.1:CT868679:252107:254200:-1 gene:GSPATT00027164001 transcript:CAK94838 MSVHGNNSGIQIAQHQSPSRIIKEVSDASKELAARKVLERKISELELKLKQQDNLIQRQQ SQLEEATQETQQIGRQFEDALNDVRQMKLIGEKKDQQIGMLLEENDKIVQLLEMQRSNQQ TDVTDTIQKLEQQVRDRFLCEKRLNDEIQSQKIKLHQVEDQLKDRNHLIEDLREKLSHRE KQCSTDASLGVLANKRAMEIEILTLQNGDLQLKVQDLTSKIQLLLEENGNLQKTIGTQNN EVKLQQEDKFQRMIKILNENHQKDMLKLKEQHKLEIKEKTDLIQNLKANQSLNNQNNNYV EELKSAKNTISNLQSEMSKLTQSSIKSVQGESDDIQQQYEKLLKKNNQLSEQNFLLQQKI RMDEASNKEKISELEKGNEKLLEIQQANEAKIEELQQKLKQLPTKVREKSLSDLKLKVEQ TKLTEFDNKIQKLQEKVDSQNQEIKEKTQKINQLQDQVKQAIYEKDNAIQQIKLECAQEV KQVQDQMKIELQNQQKQFNEAQRPIQEQMKSSSIEQNKLKTQAQRYQNEIKALENRIASK YQSQMIDLLIENEQIRTQMEKLKATGESLMKDKQNQELKIQDNLREIESWKEKYVKSVSN GEKQNDRAQKEQAKAEGLKEEINKLNEQLEQIVEQNNTLEEQLQQAQQESRNLRNQLIGG VKGESSSGNKSNGLTQPKA >CAK94839 pep:novel supercontig:GCA_000165425.1:CT868679:254275:255920:-1 gene:GSPATT00027165001 transcript:CAK94839 MIINQLFGKLTESPNFKSISLIIKLFRLASQGDQDEDQPDQAITKYCKEPEDYSKIIRFS LLELPNILREFYQVDYADTFKREHIESHIHQVIIRGFLIKAMNFLEHTIETQEAQEDTIL FVIRALEHLNVILTAFESLQKKALKLLIQVWGDHLSLQIKLQAFLQVRKVFQSMPDHQQQ DILKKFYEKYLESAKHVLWRNYEAINFMINCVTELCNMNMNQAYQVVYLSLQKLVKKIRD ASVSKNQQNVLSIYNQQTLYVLKLWAQVICTHKRENQLADLMPPFIQIAQSVLDFYPCID NYTFQLQLIDILIKVSQVYKVNQNLLSYILRMLNCAELKRRKLKSSVKPYDFLINISIKP KYKQSGTFWADFCQQVVNRIVIYLSIFSNEKWFYEYTLFLEKQLKRTSEDFGFVGNKIKV KDLIRLIEQHNSKKGKGESNLQSEAQRLIYEQEELIKQKVMSEKQRQQAGEELEESEQEI DDVKEQEFVLDEIRKYKEDKKKDDVAQEDYEGLSEYEGDPENYQEEAEEQDDQDDDSDN >CAK94840 pep:novel supercontig:GCA_000165425.1:CT868679:255930:258314:1 gene:GSPATT00027166001 transcript:CAK94840 MLLDNIKVAIRIRPFTNRELEISDITTLLVLDKETVSTIPPIEAGIRQNQKTYNFNWVFD RMISQKEIFETTLEYQVLSVLDGINFTIMSYGASGSGKSHTLVKYAEDYGLLIRSINKIF QTIQNKKRQIAIKFSYLEISQEQLYDLLNNMNTNLDIRDDQDKGVVIHGIKEMEIASTQE LIQLIQIAKKQKSLKQHELLIFSLYIQDHPDGKLVVSKLIFADLGWVERGAQKKKNISLQ VLNNCISLLNEAKKKNTQTFIPYRNSKLTRLLKESLGGNSKTLMISCVSPAILGYEDTIQ TLEYSQMATTITNQTSIKVFQDNEQQLIMEQTNHDLVNQNEELKKQLNKQSQKQNEVIQM QQIEKNIIQHFNRESSIQEDIFKMQYEAEQMKQGIKDKQQLLDKIDLSERKKYNQLRDEI ENDQEKVELQENEIKKLQKQTNDFTIQRKALQDNIKQNSLVDVDKLYLTNLIEKFILKLQ LQEIKAKESIYQLHQQEQEKLLSLQNNQITLRDRIIEEQRKQITQNFKLNSTKRYTKSDG SSQLITTSKSKFKLNPILPKVSDIQQGQEPYLYRQSSSRQTPQLQTPKKKSKSRSKSKSR DKFKTKIPNKNVIDNLSTPQIHRYNALDRASPSKLIDSKSMVALPLIQSNRSVTKFVYDS KSGGYKFLEEQSIFPQQVQKQQQKQIPIEQDKSFLINSSRGSSVQKNHIKQGLLLPGKVN ESLYVKGFINKEIEMKMKLHQLNLKMKKAPQKK >CAK94841 pep:novel supercontig:GCA_000165425.1:CT868679:258515:260006:-1 gene:GSPATT00027167001 transcript:CAK94841 MPIYCRQHANQIVQNVCIDLECQQQKLSCKFCLQQYHPGHNSKPVLTYLENSQSILQSKV NSLLQFITYITELKITYQEPNQSNIFYKQFEEFLEKRKFIFDNDLQQKLSELSPSKEQIQ KLSNSQKATSASQNQSSSNLFQQSQIISNIIRQLDLVTGQMVELTQTKQQFQSQQISIIN QNDSSSVIFDQGMKEFKLQNYKLSIEQFEKAIKLKQNFEQAWIYKILSYGEQKQNKKAID ECVRAKQYCFNSSNLCFLHGILLQENKQYKEALEQFDVVIQNNNKNIEALYQAGVSSFEL ELYPKAQEYFQKIILQTHNEKAYLMQGRIALEEAQQENAIFCFEQCIDINQKTQAHFYLA LIYINLNEIKKAQSYNDIYCRNFQDDIKGKLQRGQILLLNNEQEKALQIFNEIKQKDPAL EFTVRQIIELYRQR >CAK94842 pep:novel supercontig:GCA_000165425.1:CT868679:260161:263416:1 gene:GSPATT00027168001 transcript:CAK94842 MSVRSLRYSQNSMRKNKTEPDKLSRFYPNDMRGPFKYEPLQNIAHPIQPEDDISIKDPTL KTVDKSRISQSFYQNNSPQLSFRSRTQKSEPEESPFVLSFPPKQLENMRKRTKSRQIFNF VERLRRKFRIDNMAKKFQYLYDQENNFVDYSCNYNMIKRGTLLPSSRILQSWNVAFYILL HVILVFVPLSTALEIQDINYVCVGFKLIDVGIQLCSSFTQNGLLITNFKQISLRYYKSFL CYDAITIIGFVFLNTLPQSIKYSVLTLIVVSQIRRYYEIEVFLKLQVPDLIFSEYNLFSP PLQIINSIIKIVLLIHIITCYQYGLFYHSNSLFDSYLSTFSIVISIYTFNSNYLPNGQNE MIFYSCVAFSSVLSFAYLLTQCLYLFKNARFATQLSEFLAINKLDSNLKCKIINHILNQP KFYHNQFVSKLSGQLLQEFNTIQRFQLLTKYFKYYNQHTIQTLINFSEDVICQPNQIIVT ESEYDDCSLYFIVEGNFKVVNKMGVQLQILGPEQTFGEVSFYTQLPRSATVVSEGVCRLL RIRRDIFLKLLTFSDKQYFYSMKDRILIHKDLPCQCFCCSSYDHLITKCPLLTFRPDREK VIKSLIYPRRNLRQEYKRRTVKDTRAYEFVKDVEANQDYLLQLYSDNHFQSSNQFSQSNL PYDDVYIKESYASAQSFSRVSREKSLLKSTSLMREKSLHDQQQSIMNDVNLDTTDKQYIQ HQGEMDDEKFISLVRKDQQKNTFATAGFGNAGQQSIKDQKSLNIIVENESSENSPSLENA LDLTNQLQRNKDPKLTFNYNFDNQMNDLQNKYQQYQRNATESNHSMMRQDNRQPSIRQNS SRSQTYSPITSNNITANSFRSQMKQNDNTQSASGLHRRSISGVARQYTDSPEIQSRRGTN RKKSTKTGTKISIAHSQFQPFSAFDNPVGFTLGDSDFEKLYLFEIYLPYNNYDIVIFKFN QFNRSKQLKRLSRYFFSFKLAQQIQKLKAKQHNTIQN >CAK94843 pep:novel supercontig:GCA_000165425.1:CT868679:263449:264246:-1 gene:GSPATT00027169001 transcript:CAK94843 METFHNEQFLTYAQKGSMEEMKRAMVQGNVDVNYQDKEGSVFFQIQGNTAMFYAIMHNHL EVVRYLIQNDASLEVYNAQGSGPLHLAAEKMNKEIVLLLVINQADPNLKNQSGQRPGDGI TEIRTLINNLTAESKAFNALKQPQKQKLQAIFEDIDYDNSKYIDNAKAVKFNKYIEDTIT DNQAEKDAKDFIKSVALCNPERGVNIDEWFFSFSKLIVVDPAAFDKFIEDYDKQVEKKQK LRHQMQD >CAK94844 pep:novel supercontig:GCA_000165425.1:CT868679:264800:265627:-1 gene:GSPATT00027170001 transcript:CAK94844 MIISQGHNTPQCKSICLVYLDYFSRLQVTINEHINSDVDVKKLYDSIDRKVPFRNLQNGF YRGETDGAQPKGKGEWISKDGYSYKVGFWQNNCLQGKGLTLYCVYDTQQITHYECYYGNF NKGKPQGYGKLKATNNKSYEGQWENGQMNGQGSIYIENSYYIKGQFKNNQLSGQGEIESK DQNYYWKMVGTFIEPNRFKGDITYKTGKYIGSAIYEENQWQMHEQGSFIWDDESYYKGGF SYNQREGYAISKFGNEDQQELVWKNDQKYGKVEHT >CAK94845 pep:novel supercontig:GCA_000165425.1:CT868679:266136:266926:-1 gene:GSPATT00027171001 transcript:CAK94845 MLKQSRSKEQSLGKLMKIYVAQMLLNSGVSSCQEQGINLLAEFLIKMIRKVGSNSRRLYE LQGRQEGDIFHVLMALDQLSVTCTSLLQHFKRNSNNSDLSLNRLLTQVMESIYKEKYNSQ EIDEQNNLRQRCQLSIQSLNQPSTNTCSLNTLLYAPVIFKNEKVQKQRKELTEKQVKEEK RKYQYQFDEDQFSKQLGKNKQKERKQELDLNPYNAPLKRKQAIKALDQML >CAK94846 pep:novel supercontig:GCA_000165425.1:CT868679:266944:267894:1 gene:GSPATT00027172001 transcript:CAK94846 MNQQSLPQLQRFKQIAQTPDENSKRADEVTRLKQQVAQLQKQIEFVQQNKSFVMKIQNQQ CTLCLRYKTQIEQLLKQEKQIKKQYEFKIEELSQGQNQSISKQKPSQTSQTDKVPTLDKE IQVELLIESQPQQNQIDNLKQSPLVKQYEEIIIDFHKDYQNKVYQVLQYKELYEKQKQQY EQFKSQHTNCNEKIHKLQIEVSLLKDTSNQYKLPDNIMNLKRSIDCTIEQNKMNQIVMKT QEGDSSIKIQRLISMSVQDAQNAKSCLQIHSLLCLVFIVFAKDVIAISVKDAKKMLLLLE I >CAK94847 pep:novel supercontig:GCA_000165425.1:CT868679:267980:269464:-1 gene:GSPATT00027173001 transcript:CAK94847 MQRVTPNTVKEAMARVVIPKQTSQPNICPEHNNFFHIPPKFQQQRLTIKQAVPTSKSIEE KRYKQHKNNISENNNNRTNSSSKSRVSAFATMQVEDDSMYGSQNGLKLRKLIGDSHILSS NILQQLYVFTLLYRSKQNLTSNLTSDSQSPGQRKPVKRNQELWNLNTQIQASLINKKQFS RWEFHKEHLQKQQKPMQLYKQQFASLSDLSDVQQQLINNLFELYNNMCIQILCEQEEKFY EMIDQIRRERDLWQTNYKQVEQERDILLETVKQLKTPKQIANNKNAQSNNIDSMDTIQLK EMQDIMQQKIQEMSEKEAKLIKLVLAIKKSGVDIEKIYNEEVLNDDSVAEQTEKSLVHKY HHYERSVHDADNSVVNDSDESSFCFLNRFDNESVVESVRKFEYKNANVLDTKSNVKLKLD LSNIQKKSTSTQANQKQQNQKQTIQHHQQKQMQKLQLPEHSENVGFHQEFMQKLNEFSES WRIQALKDEKRTKS >CAK94848 pep:novel supercontig:GCA_000165425.1:CT868679:269521:270733:1 gene:GSPATT00027174001 transcript:CAK94848 MLQLMLIDPNNIYVQNEQLNLENHYKQIRVIIGKPPYSKEYFEILKFLIGVMCQILIKQF KFKKMNAIQENKLFVQQIKQKQDEFKKTLQFQIKKEPPLLQQHNFNQLTSPNQRREQTPN QRKGKIENDNSCSPTLFRTPQISLHQEQNKRQHQLSNNRSRNENLSTYKERREPNNDSAA NDYSRVLEEVMKKYRQLKSELLTKDKEVQKTRFYKEEWLKEKKRNEQLQERNRILKTKML KIIELVQQDQLKQNDDSEVVSFQQHFSHQGIIASLSTENKYLRQMLHLHDVTDISSQLEQ LEGEQDQEVVYIDNILNTFLGDLKTIQKNRREKKDNQQLGQFNSLSLTVLNKESSFVDLS EDKLLMEQS >CAK94849 pep:novel supercontig:GCA_000165425.1:CT868679:270828:271286:1 gene:GSPATT00027175001 transcript:CAK94849 MQKREDMIKQLQNLQDPYVLQRQRQQDVKRKYEQLLAQVNLIYDEDGKERQYTADFQRFI HFYKFLARRPDSEQSNQSVINRLQLWVPDTIVLNDKEMPNYWLYSDSRGYVFRTDTFTSK NVISKLANYTSPDELVAVVKKQQFRNMGISRQ >CAK94850 pep:novel supercontig:GCA_000165425.1:CT868679:271348:273111:1 gene:GSPATT00027176001 transcript:CAK94850 MDMCVIQKFVKSNGPKAFICRTIWRKDKNPYCYIITNKEDFFSPSTNPKTEMTKYATNVN LQNSCTIVNTCRGKYVDETVPYVKNLLTYIYIHLHITFLEFSADFIKDESGIWWFINVKG FIIDKSPEKIMWKSITHYGEEINEEEGQPIVQERNSTPKAKVADMCQKQKICKYCEQSYP EQHLQYKMTLKMIIQTDKHLYWRGKTFNWIDRSDVTNLEVSNLYHEHKETEQLIELQLQF NKLLGLPSDYDTMNQMLTLKANQINNETVKTGLEDSKQIFNISATKQLILNNQLIPMIHN TVIKNLNRFRFMILIHSIRDVPQNVDLSKHYYIECNIFDQKFKIKLDLIQGQLFDKGYFL TLNRMRLYYFFSDQRKGWVDYVNQLKVLPMYLYQDNTRIGTLELELQDLLSERVIRREFL KVFSVKDCYPILSWSLNLTLGLVDSGPINVTRIKLQDHFGIQLPNPDYCTCEPLPAEWMT ILNQKKDVEQSRFERQMTAQTLKRVSTAHAKLQKSKFEGSSVQQEMESFNDSTKELYSQL NLKKEIQMYEVDEDDRRQKKRRQFQQYDL >CAK94851 pep:novel supercontig:GCA_000165425.1:CT868679:273133:275042:-1 gene:GSPATT00027177001 transcript:CAK94851 MELLCSFCGQDLDICVRWPRLIPNTGDTICQLCVQQIVDQTIEADRIIHLQHDLSQVVFC LLHQLQQIKQNQLKLDDFPLNQSLLRILQLQKPKNLRPINLESKMSNQTFEEWPSDETSI DSNFLDDLATSVKKPQKLNLKRFRDQDDSFGSLNYSYNSGKLCQTHRRQLEVVCLQCQTM ICTNCALFGTHKGHYIYSEEDIISLLEMKAQELTEMVERIHKESQSISRTKHESDLQAQV SEMQRIAVDKLKKEFGELRDKIDQKEKILVSQIMIATSNNINKFSDWWNNTIHIEKECQS WIKLAQSAFEQFTEQVKYWELLNLTDSLRANGLQLQQQEEIKKNQQQIIAKRDQLIGLEI NVKFNWNALKLEDFCKIIEGPELITSNNNNQSQQSPYLLSSFTMQQSIEETDLLNDVSAT FANEAEPVNQIKRVYRSATPTNQLNTENCDPSLSPIESNRASICRKKSQVPMKLQLYMDE LRKGKTDVAEFNNLEKDMLAILGNEIKHWKVKSVKIMKSKLLDDQLLQLFKGIYTFIDLF KIALSQNDNIQSVNLSQNSLTDKSIDTLFQLYQNGLIGIHLKNIIVSQNKINARNVKQKI ADFKKLGLIITL >CAK94852 pep:novel supercontig:GCA_000165425.1:CT868679:275363:277636:1 gene:GSPATT00027178001 transcript:CAK94852 MAVELDRMIQENNLLKEEVKEVSNLRVDRLNYEKQIGDLMNKIQQLLAENDMLKRDNDKL KTLLNQANSRIQQLEGQVQDFQQEIQTVSNKLEDITGGDGDFDIEALKQKAELLDSLAKD GQSMEDLSDLLDSLREKAEKYDHHLELLNGRDLEDILSDLDELEKLRKLVKDLQAKLSDM QKELDALKKKSKELDDMKKKLGDDPNKEVDKLRKQQKDQEDLKKKLADALKEIEQLKKLL NDKTAECNRLGQQVAQLTQDNQAKDQRIQELERYAQQYQELQIRVNKLEQELDNLQRQLK DKNQQLEDKTRLIDNLNREIQQLKAELQRLKDQIANLEREKQQLLQQLQQLQNQLAQLQD LQRNSQAQLQQLNSIANQNDDDKERYEQEIDELKNEIESLKEEIEELNDQIAKLKRKISE QDDQIDSQTKTISNKIARIKELEDLLNQKEKAIKEQEIKIKKQPGSSVVSGGRGGQSGQP GQGGSDVNNKSLANQLQGGTGQPGSYGSKSGLGQGDESQSTVLLSQYQDVLLAYLLIAAE NERISEALKNTIQDYEHVLVDLRNAEGEISYLKKSISDLQASINDLRLQLDEARRKAMSN DELEKLRRQLIDYENKIALLSMELQRQKNVSASGIQVMSQQLSQQNTFGYEDKQINLDQQ QFRSQKGSQRNLNINGDDESWRLQKIIEDQLCLIVLMSAELETLRSQEQSNQKLSQSGIQ RSTVQTSQVQVGYGKSTLY >CAK94853 pep:novel supercontig:GCA_000165425.1:CT868679:277696:278816:-1 gene:GSPATT00027179001 transcript:CAK94853 MNHRLCVCKYRNPIEFVCTLKECTDRRLICKSCAWDHKRHDSKVLQINEFETMITNDSLV QRIQDVQNAEGINNTLDQLMQIAQTDIMQTLKKRCTELKDKVKKHYNPFNRTVEHITNIS KKYYNSSTIDSLVKELDISDIQGQLQKMEAAQFQKFSLICKEILNLSDALDKLRKACQED ASPELKSIRNYIQQNLEFKEVKPKVSYNQDLNDYIEKSAVQLQKQQILDRSQLQQQKQQQ QVQQTQLDYKKVAPQHMYLSHLEIQKQPKQLQEKASPYNYNQERLKTEHVQYKSLLDYSF MKEDKSQQLPKYHYMDYNKKQEEPNNQFNSLSNTDRLYPFYQRQSSQSLYQSSKK >CAK94854 pep:novel supercontig:GCA_000165425.1:CT868679:278823:279356:1 gene:GSPATT00027180001 transcript:CAK94854 MKKKQVKSKSPTPVKQHTAIDTPRFKEKASQLSQIPQSPKIQLDSEYFSFGGMEQHKKQS KSVHEFNDKDKKLNNSFTKDKKVTDTKPQKVQIFNNNYQIININQIEKKESIRSLQRQFQ QILENQVPKKSATPVKTNPSSSPIQSTATKAPAFPSANNYFQRIKKSGQSTLLRKKF >CAK94855 pep:novel supercontig:GCA_000165425.1:CT868679:279435:279794:1 gene:GSPATT00027181001 transcript:CAK94855 MKSVSRCKTELGIVHQKPMINLKQILKDHKSFSNHTQIETARTYMVATDSQKRFLIQKRG SRVAASKPRYSEDLEKIKQKFIKVAESWHQKEENYLKEQTLLKQEIARLHVIIQHLTKK >CAK94856 pep:novel supercontig:GCA_000165425.1:CT868679:280003:280229:-1 gene:GSPATT00027182001 transcript:CAK94856 MFFKKNSHFIIEGLSELKDQGLNIQNKIIKLKKPREFFKNISIHRQYIKKNKQSQTKQNN QFSNHF >CAK94857 pep:novel supercontig:GCA_000165425.1:CT868679:280674:282557:1 gene:GSPATT00027183001 transcript:CAK94857 MDKFEKRLNQVSMGTPKNPVIQQSTLKQTDAAKSYASFNDFNKIGDQYDNEAVNLRSQVQ ILEGKLQFYEREFQIKQASLEKRIQQLISTSSQLTQQNTQLKLQYSALLNEYQLLQEKLV QQEKGQKEYEEKVRSQLDGLNKFQMEKEQLIQYANKCRERSKQRKIKVKDLTAKVQDLQE QAKQYELQFEQANIEKQQIYDQLQQQILLLSEQNEDWQLKYEAIVENFKHHLADQNDSQQ EEQLLATHTILDQHEAQTVQQQLENLSLINKELNQLLSQLKNANQINSSAFEMPQIKELA EMFNRMIDKLMELQQKKTQLKEQLKQNQFHLQQQVSIKSSSGNQSPNMHDERTKMLFEQR ILELEQFYQRDKKQEKVRSITQPKYNDQKLKQVISVISQMLEEFLIQQRTLSLLNLQLND MQQDLRIQPNRKLFKVVTWVIITITRIKKIKNSSYYQQFVQYNKLRIEMPIFDSFNQILE LVTSQQSLIDTLQRAVDQKGSDGALQEQEIQQMVDQELREQLMALQIELDNNNKKMIEYK LKSEQDIEERDQHILELQEQLQELPNDYNQELLEQLEDQNNRVKNIENEFNVLQDLINSL M >CAK94858 pep:novel supercontig:GCA_000165425.1:CT868679:282906:290642:1 gene:GSPATT00027184001 transcript:CAK94858 MSNEEYIIDNLINEARFFIDHRDDNETFLSQSQDSIDKINQILKKNIRSSFLDISQEVII KYCEACLIVPDKAHIAQQYIDLYFQRNTSQGQFYIRALFIKARLISMNGHDRLLKAEDMI KNLKLSLTYVQQGLDIISKPENKQKYGFLIYNASICVYNIIRPMLKPQWQLSFVDILDKI DKMFEEVDEADYDWRCRFTWLLFYCLYDDRKADAFKLLDRLWETTKKKGDCDFQNSLLRL RIHIGKEYKQALDSAFKESESAPSEKAWKSLFMLQKMRSGLIPEAQVEKELINLINSISS AVLPGNEVAATNKLAPVFQERLAESGRVALQYNLVNIADSITAFLSRVRQLHQKAYILHE YNKAELFIKKAGPLIDNKTGMRLNSLQIKMQEVERRIEALKTMEKVMVTNKKLNDPDLIF EGAVLIWNISLPFLNAQYRVHVYKAFSMACQLLEQLQCVDHALRVNFHIELAKSDLQEDL AVKAEQHIKKALQLDYSVPLGKVQFKYDEGEDLGLYQRPYDKYLRNLEEKIRLKLNIYQE PKNDIERVITQLENVQAAKSENLRIDILQKCLGTIVNLQEQEYWYDTKLDLVEEEQNREK VLKKQENLYQFKQKKLLAAEIARLAFEWEFNDLAFKACEFVCNDTWDVKNASEMILAQVE CQYRMAQMIIDNLIKDNFEIPFADPIRVEENENVEELSQEKKDQVLSLKRKMCSNFVKGL KLADSIKQTWLVFNGAIYIWNNFLTIFRNPINDSKLLPEITNLLKEFFEIMKNSLKEIEK KLINDYDIDTKIQVLANIGLVYARLMEGKAQYDEVMRVCEALLLTPLSPHTRKLINSIKA RVSGTAKTSAAKPGAQQDKKGTKQPQQSSSDSVIFDVVSQLEIIQNSTNKAQTQDLIKKC FETLVGWTAKENDETELELHAELWARLARLSLNEETILMYKYSLRCVQYSLQLLTSDLST IPASRLRWYSLAEYIYSENLLRMLNTETQETESQESLLFSSLFHAIEAANKGLKAGINSL VLDASKQVWNLCARLEESAQNRKHLIKPVYSCLNYLKECKEKSEPDLVLLLAQLLFKSAL ENEDYKLGEMAADMVFELVPKNMAKPIWEAKMIFMSKQGKNELQAIVNMKEADASLQAKV WIRLARVSNNLYKQYTAYNKAIELLKKDSSVEIVEVLIEFSEWLLRNGNEKQLVIENLMQ AADTLIEIEMEDDEEEEEGDEEQNSSTIFSRSTRGKKSTVSKQSKQKSKMTKKTTKNTVK QNKSKEQKSQISGQKSSNQRFSRAANVRTTTIKSNKQKSKIFRPREEEANPNRLNCAHYE RLMRIHIMLAMIADTMEQSIQFAIDAKVFLVKIIEISFQTMNEFEENAAKYTNIIDEKTS KQQQQQPKPQQQDDFSPRYLLPTCIQDWIKLQFSKEFLERVKSYEDFNFMGKYLFDKAQL TYTYINNLISIFEKYGLQIHNIPIFVFQKFFVKEILNNSYLDRLIDVKFSKCLHLVGFHS EADQMYQQSNIVKFKLTEQEKKQLLAKTESSVLQQNRSRPADIMEQNLPQANIISEIFVY TILTDLGNELIFQGEIYRAKELLAESHKHAKIVSDLELMGRILCLQGQIAFLEGEFKDSI ENHKLSHKLIKNVSQWEVSSIETYKTLYKLGKYDDIRQFLKQITEVLLEVLNKPNYQPNK LQIYQTLTTLYILQSKISAKDLFKEFSYEAFKLIAENLEKSLKCIQHGGFMTHQVDLIIN LVNKILIFIRNRNYTKIDQVEIYFKILQRLQNSILKPLEQQSRSLLKYTLLIEKEQESIR SPIMDQQAKIRVIMASCFIEMGLIKWLRKQQSLPDLQGSDDDNNNDQQEDKPIEQLSNYE PLQKFLTQLTIKIQRAIQPLPQRLESFEKATAILISTLKQLKKDSFWYTIAEIELVRAKR FLAISKQQLDDVWDAPTLSQDQIPQQNEEDNMFDYKTDALQSALKLIKDLESKGFNVLKA KGDNQTIPLEWSIFGFKQMNFYLKMLYESALDILGIKSPEISYDYLCKFQHFSFCEQMFN MVRQSFFGKHKMIVYIRLIKMINAECGYLSLEAIKLLTEANKLWDNLMIFPLTELQSQLQ QSAGFLIMQMSQNKSCLYLGYLHNTKSPVYKVQRLPLDSKSHDQMNQMKSNLDQIKNNLI KTPIIQQQDFIKLEDDMEKEYGKYCQEVESFMSFVSQMIDENINTQEEVPPPVIDPKAPP PKKDQGKQTKGKPGKDEVASYESTLEQAPGKIDTVVLLLDPKFFDYPVEQLSVFYKVAAM SRDFSMAVYVRRLKSVGFQVQLNNSQKGIEKDKLKYLTYDFKTEDQDLNLDEFNLQKTLT EVQKTTPQLKFEGTFSQSRLASLGELQKYSQTGSSILWYGSPGVLNILSSKVIIDLSEIN TSKFWVIFDKMNAKKSLIEKFTSLDPDGEKTHILEQSFKISVFLTLIDVSTILTTQWSPQ TVEYLRAFENLIKQLTEDIYVAACLQKYKAPIIKYEDENGNIIEKKPQPVEVKKGGKAEP VQDTSNWKEIQIEKKRIYTHNFVFVGLPNLRLI >CAK94859 pep:novel supercontig:GCA_000165425.1:CT868679:290662:291145:1 gene:GSPATT00027185001 transcript:CAK94859 MFTEQPYYEAKVFLKSYNDAISCLREAAEYRAHVEFQEHALQSLATARTRQELDVRDGQV VPGLNFAQSKQTKLFQFSNHVFSKYLKGFEEYTGSFKGFQSILNEGLKKMKSDVK >CAK94860 pep:novel supercontig:GCA_000165425.1:CT868679:291376:293037:1 gene:GSPATT00027186001 transcript:CAK94860 MLTRVLARQMRAAFSAAKKQAETTATTTTTKATTKTTTGQIAQVIGAVVDVQFDGPLPPI LNALQVQGTSHKLILEVAQHLGDSRVRTIAMDSTEGLIRGQPVSDLGGPITIPVGPETLG RIMNVIGDPIDERGPIETKIRYPIHREAPSFVDQGSGAEILITGIKVVDLLAPYARGGKI GLFGGAGVGKTVLIQELINNVAKAHGGYSVFAGRNDLYHEMVASGVINLSGGSRCALIYG QMNEPPGARARVGLTGLTVAEYFRDEEGKDVLLFIDNIFRFTQACSEVSALLGRIPSAVG YQPTLATDLGQLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRALT ELGIYPAVDPLDSSSRMMDPNIVGEKHYTVTRGVQKLLQDYKSLQDIIAILGMDELSEDD KLTVARARKVQRFLSQPFFMSEVFSGRKGKFVSLNDTISGFRALLDGEGDEYPENAFYMQ GTFEDVIEEAKRVAAETTK >CAK94861 pep:novel supercontig:GCA_000165425.1:CT868679:293142:294637:1 gene:GSPATT00027187001 transcript:CAK94861 MYYSNYKSTQSRLDSSALRNKLAQSKEQPKSSPKSYQQQLLKRFYLSQNLSKLLNQGQKK NSINKELVSTTKHANSTPRNATPKTNRVNQQYYFAKQNKQQTTLRLSEQISKTLQTMFNT PNHNMNKDYSQSKLNYTNTDRKYNINCLDSSKIIQKLKTENAEYRVTTERNENNHKHLSL DDFITQVSKPGYSKSKFGISTFKQAKSLSPTNRVFSIQRYNVYYVSAMFESMRNCTNQIS QIFKDHAIQTFNSIDFCLNQQEKDLSHLQQKMVQWPKKNTQFNKTIVFDLDETLIHCNES NTSRSDISLPITFPSGDIVQAGINIRPWAREILQKLSEVCEVVIFTASHQCYASQVIESI DKNKVVSATLFRDKCIVTNEGVHIKDLRILGRDMKDIVLVDNAAYSFGVHIENGIPIIPY YDNKEDKELRQLYDFLITNVLPSFDCRKVLQQTFRLREFVNYISPKTAIDKLYC >CAK94862 pep:novel supercontig:GCA_000165425.1:CT868679:294664:295909:-1 gene:GSPATT00027188001 transcript:CAK94862 MIVQQFDKISLLEEYQTLKESIITKLNAYFSKLEKIIEEFSQPINESIKEQIKLISNYES PIERIFQQKLLDKEQVQGYLNYVEKCSINNIDQLEASLNFIRVDGGIGKIQKEMDILYIE QMQEPQKQSSGTLEEIEFNLNLPHKLIEYEEHFNPQYICITSCIISFQNEFITGGWDGKI VRFDMNEIKQELKLFNNPVKIIKQLRNNCFFIVNDSDEYKLLDEGWKILREDKLGEMNII NIDEYVQETHHVIKIRVDNGDQYIGNVKDAFLNGFRHLKMEDTVHKGNVITTYFDDIIVV DQFDNFGNVLIYSVDSSNHIISTNQFKISDALITKALILNDDQYYFRDIQNRLYLYDAQL ESEYDDVISHYNNLVMIKEDKLKINEMEYPNKLTKILDLAMGSK >CAK94863 pep:novel supercontig:GCA_000165425.1:CT868679:295943:297340:1 gene:GSPATT00027189001 transcript:CAK94863 MQGDLRINLDFQEQESFYSDEDEEEEGIKNYQIGRFHPVFIGEVFHGRYVVIQKLGYGNF STVWLAKDFKTNTFVALKIQRSAPQSQEAALDEIEILQTIQRKSRNINIVKLLNVFVHKG IFGNHYVLIFEILGQNLLELIRNCDNDGLNLEQCKSIIKQILIALDFLHRECGIIHTDLK PENILLCLTTEQIKDIVEKGQIKQRQYYSEQLNKYSKLSKSDKKKEKRKRQKEKKKLQSI KYKLQQIDSNKRIFQIKIADFGNACWVNHHMSEVIQTQKYRAPEVILGQYYGTSADIWSL ACIAFELVTGDSLFDTEFEDYDTHLKQIQEILGPFPIEFTSVGKYRRSYFKHDGELRNVK VKHYCSLQQLLVKKYQMENFEAAQFADFLLPMLNVFSYKRATASQMLKHPWISKQQFKFH YFIEVPFIDNEHEVNSESDDEDLEKADLQ >CAK94864 pep:novel supercontig:GCA_000165425.1:CT868679:297648:306638:1 gene:GSPATT00027190001 transcript:CAK94864 MMEATLKLQSFYSQQIELLEAIIENDNQNIEAYNLLAYAIKNDLENTTDAYMRSLEIMHR ALEILPNNTLTLFNMASTYYEMQDFPQAINYYQQLIQINKVNDYRAFFNLAMCYEKAGEN QQALEMYQQSIRSNPNFSSAVINYSNLLMQMGKQAQARYTLENYLKNNKGDMRALNNLNI ILAEKQIDQKVEENFKKINQSGAITSVYNNGVFLSKQGKLQEALQIFKQLVDKLKDEVEE PLLLLSHVNQGVLFEKLNDFDAAIQKYNYILDKFSKEINSEEFLQRIAELKQKEKERQKL FPPKIMSKTQTQWKQPQQQQQQAQAKINNLMKQSPDKYKQQQPALVPINNNNNKKQQQLV QVQKQPQQLIQSQPHPFSQQQQRQNQQQQQQFPQQPRKPSGTKIISNQQSIQSDKISSQQ QFDEHQSSIEQISMVQPVDTGQRFVKVSSLKLEQQKNHSGDNSQHQKFHEESSNEMNNEI PNQQRTKTEEQGKAKNQFKEKFGFSESHPDQEPQQPKEQFTFQGNSDPYRLSSIKERSME IGESQRVKTDKQKTQDKNQKTIIDEVNEGTKQQSSKVSLDLQNKELVVEVEENQVTFKPK EVEKQGASLEQQQMKSQKKPIIQQNYKESIQKQKDDDIEKPIDESSQNQANDNTISKIPN TDSQQQFKDKNNKQIQIANLDNKQSQKEQTQSISQKEPQKIENPQNQQNNQQKQKVNNLQ QNKSSDQNNLENKKDLLQNQQENNQVQKIETTQDGNKNQFLRKQNTNQQQDKDQQQETQQ EHQIQKDGQQNKLVEEEKEKDRQLELQRQQEKQQAEQQKRLEEEQKEQEKKDRQLELQKD QERQQAEQQNKLEEEQKEKERQLELQKEQQRQQAEQQKKLDEEQKEKERQLQLQKEQERQ QAEQQKKLEEEQKEKERQLELQKEQERQQAEQQKKLEEEQKEKERQLELQKQQEQQQAEQ QKKLEDEQKEKNRQLELQKEQERQQAEQQKKLEEEQKEKERQLELQKEQERQQAEQQKKI EEEQKEQERQLEIQKEQERQQAEQQKKLDEEQKEKERQLELQKEQERQQVEQQKKLEEEQ KEKERKLEQQKEQEKQQAEQKKKLEEEEKERQLEMQKEQERQQAEQQKKLEEEQKEKERQ LELQKGQELQQVEQQKKIDEEQKEKERSLGLQKEQENQQAEQQKLLEEENKEKERQLQLQ KEQEPQQAEQQKKLEEEQKEKERQLEQQKEQDRQKVEQSKKLEEEQKEKERQIELQKVQE NQQTEQQKRLEEEQKEKERQLQLQREQEQQAEQQKKLEEEQQEKERQLELQKQQAEQQKK QEEEQKEKERQLELQKEQDRQQAEEQKKIEEEQKAKELQLEQQKEQERQQAEQQKKLEEE QQEKERQLELQKEQEKQQAEQQKRLEEEQKEKERQLELQKEQERQQAEQQKKLEEEQKEK ERQLELQKEQERQQAEQQKKLEEEQKEKERQLELQKEQERQLAEQQKKLEEEQKEKERQL ELQKEQERQQAEQQKKLEEEQKEKERQLELQKEQERQQAEQQKKLEEEQKEKERQLELQK QQEQQQAEQQKKLEEEQKEKERQLELQKEQERQQVEQQKKLEEDQKEKERQLELQKEQEK QQAEQQQIDQQQQQKEIVINQDQLQQPQHNAEPQSHPVSLQQSQLIDQNAQNQNNNNNNS LKQQTFGDLSKIDQEPGKSPSEIQKSESQHSRKFVQEPSIMIKTPPKQQQKTFETFNEEK VVQAEQIASPKEVKIGNDIPQYNQTGTFVGLSEQQIPDTRRKEGSDNQSQGEQQQEIKQQ NDQKTDKTVSRSSIQKVNSRPPSSKGQEKKAPLTLEQQQQQESAQTNRSEQPHDKEKTQS KEIIQQEQNESQSQKPQSQSGIRSIKSAGKRGEKTNPEQPSQKEGDNTQQPDQTNSVKKS NKITPKIQSRPLSGANQPIELSRPNSTKNSVKKITIEQQQMKQEQSNQQDNKPPELTESQ LSIEDAKRLTQTMSQQDLPVKQLQETASHPQLQQQANPQTQNQQQSSKNNSRIQSGKSLQ KSDRTQKKVEQPNQDSTQQLEKDQQPQTKSEDQNKEIKDSNTIKSEGKSKGGSNKSSNAQ QQPIQNEESKPQSAKDKQKPVTKEQQQPVQNQDEQVFRQQQTIEQIKDKANQEDIPQIKV QSPHSSMKGSQDMNQLEASLHKDDQVVEQEQEQVVEQNQDIQEVRSSNQQDQEQANENAI QQNNEQEKQEQNNDQDVKGPKSEQNGQEEQEGMKSSDSNRSQKTNKDGGQDEVLEKPAEV LLVPESRPKTLVYQNSSSLESQPQQELQSQTKLGHSDTQQFAETQKKIAKVIRYPENFED WTIEDCLRRLEEDPNDIFPMFRLAILYTDDLEKAKEYLLKVTEMDPLFEVEKVNWALGTI FVSEKEWKRALHHFRIGYQYSQDRAKSYLEIARCYQKLGEFEKAERTYRRAIDANNKDYL PYYKLGWMQIKNKQLKEGIDNLSKAQTLDYQNMDIIIKLGESLMIYDEDPTAVDEAVIVL HKGMIVDPLNYECTNALARAYEKKGDLVNAIKYGKLATEQPNSNSNSHYFLGTLYMKKKD FKSAAESFRTLLRINNEHPEALIEYATISSIQGNFEKAKKYLKHALKSSPNNPVANMRLG RIYQTKLNELNSAIECFAKVSRVDPTNYKAYYYMGQCYIQKGELNKGIENMNMSLKHNQS FGLAWKAVGNIMYEKNQPAKALRYFQKAIDSDKNDMEAKIGLGNCYYLQEQFEQAIQIYE EISHLDQNEELEYNMANCYYMKNDFEEAVLHYQKALSINPDKIECYYNLGNTYCIMEKFE EALECFERVVKDDPKHSAAFYNYANTFFVLQDYENAAKYFEKAVELQPENVDWRNYVAQL YIEKGDLNAAKRHLDESMRLQPRNPDTLVRYANYYYQIGNYKEAIQKAKQTLALDEANDE AKSLIRELTK >CAK94865 pep:novel supercontig:GCA_000165425.1:CT868679:307291:309851:1 gene:GSPATT00027191001 transcript:CAK94865 MSQLASIGIDFGSQRSVIAAALRGGVKILDNEGAHRETQNVIGFTAEERFIGEQGALQQK SNFKNSIGFFNRFLGIQGKQAFLAEEMKWLTVPTSIHESGKTLFEVTYLGQKANFTPEQL TGTMLNKLKHIIQLNDINISSSNVCISVPAYYTESERKALIDACKIADIPLERLLNETTA IAINYGLFRKTELDPKTPRNVAFVDLGHSKFSAFVGSFYKEKAQVLAQVCERNLGARDID WVLFEKFATQFEIKTSGLSVRKNAKGRLRLLEAIEKARKVLSANSEAQINVEYLVEDEDF NTLIKRDEFEQLAQPVLLQIQAQLELLYNQVQNLKLQLHSVEIVGGATRIPAVQRLIEKI FKIEQVSRTLNASESISRGCGMMAAMRSPNFRVTEYKIEDCNYYPIRVGWLYDQKLNKLD QSKGLARCFPEKQCKILFEQNNPIPSIKSFTLMKTQPIQLTLFYDPVPQGFDPIIQQVQV PPLVPKHKEHTTKIKVLLDQNGLLNLEEVVLIEEFMEDVKVPVEKQKLTTSEKQEEGQQQ QKDAQVQKEVKVEEPEYEIKQKKKTIQSQVNCETTCLYSLTKKEIDHYFQQECEMLNNDN QIHETQFKKNQLESYIYSWRENLNGKYAENVKPELKERILKELDLQYEWLYGDGQKTTKR EYSDRYDRLVSLCGPIATIADEYRQIPEVIQEVQKYSLIYENFVNSQDQQYAHISIEERN LVANELKLFNQWCYNTIELLKNADKTVRFAISVQQVNTKYVELQQKCQPIVTKPKPESIK EEKVIDDTKQQQQNNVNGNKMETE >CAK94866 pep:novel supercontig:GCA_000165425.1:CT868679:309872:312224:-1 gene:GSPATT00027192001 transcript:CAK94866 MKKIPALFDSDSSAKKRAKSPMPKRLNSPRNVLSENTSNIKVFARFRPLNKMEENNPSQD FCIFPDIHTVLLQPDVIHTFDRVFPPTSNQLTVYDSVGRDAIQDVLNGYNSTIFAYGQTG SGKTYTMFGELRDSNGQGIIPRSIQEIFTYINQSDPECEFVLTCSMLEIYKETLFDLLSL QRPDLKIKESATKGIYVEGLTQLSLQSQDELLRIVELGEQTRKVAATRINQYSSRSHTIF MLEIKQRLPNETEKKGKLNLVDLAGSEKVGKTGAQGEILEEAKKINLSLSCLGNVIHALT TNNDHIPYRNSKLTRILQESLGGNYKTSLIVTCSSHVTSMDETISTLKFASRAKSIKNHY KMNVKMSSEMMQQMIKDLKLQLQLAHQELEQLKRKSILDIPNENNLIKHYDSQINQEKGN KSKHPSMSLMTDKSCLQIDLSFFSSKKASQPENIIEQKENEINYLKQIDDLKIQLGQSKM ECQRKQVKITQLNQKIESLEKMVNKLQRGENNIRAEYNQILFDEINSLNDQLNILNNDID DYQNNQLKDKMDQINIDYSRKLEQIINGEITQSKLTKIITKSQTIEQVMQKLSLQNLLNT IHRAITTNLVNLKLPLDVSKKISDIMEDSLKHPLIEQGVMDYITNYESMKPNRCEEQKIE ALKLDKLRYSQERQNLVEYVEKLKDRNDQLLQQLKRQNLIIQQKMTQFQLMESKYHEPTT PLMVIDNQRPVSQHSDRSLCKPNTARKPSTFFRYEELL >CAK94867 pep:novel supercontig:GCA_000165425.1:CT868679:312460:316435:1 gene:GSPATT00027193001 transcript:CAK94867 MQQHVDPSTQILKLITILNQKGQEVVDKKPAIFNEIIKITKQVIEGKQDSQQIQRLQQDD FILWARNQLQDNKAQIRQYAIKFLRYLITDQKILGIYRQKGVHYFIARSLQRDCKQPTKN DSTSRQQQQPQYIQQEVLQALKFSNKWLEKLGGCQNQNLTTTSKFPKILGNALVALAESC EESLKSYAIITLRAFALNAPEMCSWCGGIRILIENAMEPNLSRISDQIVWTLLYLLNEPS ARTTIRMYLDLSKWFSIFTEIDPPIDQAKNDKNRTTNNNFEANLQLAKKAIITVLKSWQG LIYLGDERQALKSLIQVLRQPIKPAIRNSIYDILKSLLQLGNKENVGVSVVNRQQQQDGF IGEYKINNLLNNYLVMLVQVLLDCDLFKILVELSGLPDQDVSGPAQRFLKELSSLMFNLI PDCHKYTDFLIAAANQNDPFLQDLKSWSSEIVNTMGNYAFDNTNKKGRNQISKFLYQCES LYLTTQLGLPTHKINNETVGIIRGQIEQEADQNKFETLLSKSYVLEKKEDNSKWNWNYIL DLLENYLYDESRYQETIKNRFLKNLLTYYFPSNMQFCKMDWKPDFIQAKCGYLLLKLLLR DKVGREIISSTTGGYLGIAKSYIQDLISLLKADNSIIVGLKSSVDQNAQRHAAQYLLNPE QYSQKMIREYISWIGLFSCSKSGQTILENTGIFAILKDYILESGIRDHVLIHLIHSFDYG KQSQARVFFSNCLEDGSNTLIKACLDFIRLLYRSELHDFNIWAIDLLINCLKKTDDIGLK ALSVIEEVTQDIENANRFLEKVDIHRLLQLCQKCESQLIIMLLSHKKGFEKIYKDLNEYL LNEIERWKQSECENYIEKVEKVMCDALEFVSSEEQQYLFKFPLLQNNNENPNASIGFVYK MPWSMLLNCELGSEGNDLVYLNVTIDYPGTTNLFILVGKSDRFIQFHSNNPFVLKLQINV GKIFVDQSFKEVTEPYLIRCDANDKRNKSYVKDNQLIIEKNGILFVFDCILFNNYLEFDS NLRLNHIKVYVRLGETKNNTIKMPAHIFGELAFTEAGFNLLRNYIDYFVQSIRSTDVAFQ QKRSALWAIGHIGQSKYGIRLIRELGLIQDLVKMAEQYPVLSLRGTCLYTLNLICTTSMG RKELEKFQWISHLNCNSGWLCIPKNIYQFFYIRVSLQESHRCYWALQEDIWDKYSKFQSY FKMNQTQKKIIDTISLMSNSITYKEADKELSSLKNSKPECFQDVDLFHLILMMITFYQFS AQAKNRIFSNFDKIFTYQTINIIIEHPIYQQLSCQEPIII >CAK94868 pep:novel supercontig:GCA_000165425.1:CT868679:316471:317472:-1 gene:GSPATT00027194001 transcript:CAK94868 MITQAKKSIDQDYQILTKKSIYKKKQKNQYTKEDKFQEKYTQQKEQNILKLVNFLEVRLY KSLKLDNIFRLKSNVQIPRNADNQYQIGQLNNDFYCYNYKINRIDKNGSMITVTFDPIQR CFSPVENVIQILGRGNEQLKESLEKLHYKKLDSSQYLMIKEHDLFNFENTIDKPCTGYIS KVVGDQVMTQYRFMNELYLNLMGINSEMLIHHAMETGNIPIPLYFSEDNCGEQYLSNFFT LNTFNQSINQLQACNYNGQKFPAKITFHNYYYYNQTEQCFYEYLFFIWDIDKQWMSKQRV QENYLDYFNLKNDPASLTQIYYNSEQRCGYRDI >CAK94869 pep:novel supercontig:GCA_000165425.1:CT868679:317718:318738:1 gene:GSPATT00027195001 transcript:CAK94869 MQGLTNIGNTCYMNSVLQMLYKLEQLMLDIDEIYTNSKENSFLITYYFMETFYLMNNHEM KPEWLNQFRQVIWQITPLLISSQYPQFKLNEQQDAQEFLLALLDMLHEELRNPLSGKIPI FEFQQDGEVVLTSLISYIEFEKSPITKHFGNIIRTQIICQSCNYSNNKLEIAFSLLINVE SSKNTVLEMLQQSFNKEAVKMKCPKCELERRFDKQTTVLKYSEQLLICLNRYRIPGGLID RWWNGNEIQKSTKSITLTNKLIMGKCEKILIGIVDHSGSKDKGHYRYFHLSGNDWVCFND AKINLLCEQSIQKLIHQNETSYILLYSKLSS >CAK94870 pep:novel supercontig:GCA_000165425.1:CT868679:319353:320466:-1 gene:GSPATT00027196001 transcript:CAK94870 MKNTIFRFNQFSIPFQRIQKLQYNFSLSNQDVRQNINKLIELNEQQLNSINQIEKETMSI QIEAIENNLVQYLQSFLEHQKQPYYLFRKNLVQLAFYTYYNSKFDKCQQYLSYAISGDYE NTYQGELMKQLFLAELTGIQFRINYHNQELELAEKSLSHWKNIIENHCNFYRNMKNNQKF DLIEFFENMENVHLHQYIETLVVAGQIQYNKGLYTQSISKFEQAGEIIESTLKFIELENK QEKNLSVDNKSYQDFYINQLRRLFSKSYIQQIKLAILMNDFYQAEKLYKKGIEFTPLKYQ AIQIEIQNFDIQFYLGQSDEVLESIQSRIEQEMSKMQDSLETKDNKVQFRYGQL >CAK94871 pep:novel supercontig:GCA_000165425.1:CT868679:320668:321870:1 gene:GSPATT00027197001 transcript:CAK94871 MRVIKIYINYRSISNQHNTKNFNIILILSFIQESLQLRFPEVDEITYTLRPKQSYSLAIE YICTQQNQGIQQIDLFFLWNQALQTLLKPSYSPVILIYFTTTCSSLLCIRNVFIQFELTP TKQLLTFILVPAIGLFFVYSKIISQILAFVMLCDFIHFASKSLIVGLITSFLILYFQHYM FESAQFQSVFLEALVHQILPCIITIVLLIQVNRPINSSYFYFFALFGQQKFILWFIEHKL INICLYRIFKICLLSFKQSFQFQLQTTILYGNKSNILRLSINIDIHLYQIIGLSLIQSFI FRHGLLNKEQIINIIVNAITQFTGLILFDLLYRYKHNPPFLPLITGFILLGMVLNYLRTG SGLRNPYLEFETMEEKIRVI >CAK80493 pep:novel supercontig:GCA_000165425.1:CT868366:766:1765:-1 gene:GSPATT00039389001 transcript:CAK80493 MNQLKDEQQQTERLKAELNMAKDREKDFQKRLSKLEQDYESKHREDKQKLQQLQDENKNL NQKLNQANRHLQEEINKVRQQYESQLNELEQAYNEKEQQLEQIAQEFNLEEIQKKIEELQ QESDMKDQIIEQYQQQLQDMQEAIQQIQEEQANNSRNTRNQFSSNKKLSKSQDNDKDQLI QNLQQQLEAKDEDCRKLEDLIENFKPLYQNLSDEKQQLQEEVEKLANENNQFREIFSQNL HLFGIDPEQLNEEGEEGEGEYPEEIAEENDDQND >CAK80494 pep:novel supercontig:GCA_000165425.1:CT868366:1860:2287:-1 gene:GSPATT00039390001 transcript:CAK80494 MQSLFVKCQKCQQRPATIKCSQCRYGQTYRLCYSCDSQIHNRTGPIDQQHKTEIIPYQEM YQKNQNFAPAPSKLEQSNQIKKNEFKAQPPTKDYLSSETRKPDYSKTIDVTLNSKMHSIP QMRFLKQNQKLNIN >CAK80495 pep:novel supercontig:GCA_000165425.1:CT868366:2344:3471:-1 gene:GSPATT00039391001 transcript:CAK80495 MKALFCLGVGMLITQKDNTVHAWLYRDDIGAFWGIKGYEEQVTEVGTHRGHMSWPQYRFL GTFDSASVRRGFLVFSRNCANCHGIVYKKYDVLLDKVYKQLELAALVSNFTIHPAHHHFK QFYYQEWDERDRYIHDRIYPPYFSQDQAKNANGGVWPTDFSKIRLRPGGVNYIYNILTGY HYKPYQGLDVPKGKAYNPYFDHMIIGMVRQLHDGLVDYEDGTPASTPQMAFDVTNFIQFV QRRSGFQRPDKTVRYYMFLTGIALIYPFAYLKTRGFYRNNLSLRWEMYAVRDGVYYNHFK KGWKNSRAIQFRGQVWA >CAK80496 pep:novel supercontig:GCA_000165425.1:CT868366:3476:4329:-1 gene:GSPATT00039392001 transcript:CAK80496 ADNLGEEYKGYVLKITGGNDKQGFPMRQGVLFKGRVRILMRKGHKGYRPRKDGEMKRKSI RGCIVGQDIRVLALQVVKKGANEIAGLTDQNVPRRLGPKRLTKLRRLFGFKKADGVAIVQ KNLIRRTWTTKDGKKRQKAPKIQRLVTESRLRRKTIQKKTEQARRTKAKQALEAYKKLAH DVHEAHKKHRKASSEIKESTKQATQPKQTKQAAPVKQVAPAKDAKKAPVQAPAKTVPAKT APQPTQKAQPKAQAKK >CAK70175 pep:novel supercontig:GCA_000165425.1:CT868075:2987:7552:-1 gene:GSPATT00038277001 transcript:CAK70175 MKKNEYLVQIAVIEARDLVAKDSSGSSDPFVKITVGNLPTQVSTAKMKATTAVFNQNFTF EGLKMSDVDFENFEAKIEVFDQNQFFQNELIGIHSIGLATLYRNPSHEIYNSWLRLTHPK EVNKVQGYILISAYIIGQNDAPPVHDANENNVEDEPDPFGGVPDDQLTGEQLQQRRENQK KIAMVCKPMPYTKLAQLMVNIVKAEDLPILNGTTIDSFISVRANGITQITSVLKNQQKPQ YRVRMMFPINFPLQNDKIIIRVWDKRVCMADTFIGQIPEFPSENDFFNMTYLQSKGGVLP FRWFSLYGIPTNERPSTYESNVLGYKKNPRGTCYMGRVLLSLNLTPSEKPEKLVQPLGIY REPSIEKYMLRLDTYEVNQAKDLDEFIFIRVRVGKEYFDSSEADCKSPGQFVWRNKVILK AWEVEVPKNPSEQTDLFFELMNKEKKRVAYIRLPATSPELTNDKPQWYEFKDLKLKGEQE GVHSYVLMNILFGQINIISQIPKIIVKKNMVLPYICKIYLYCGFDFCPDKVNDEISLSYE VRIANQVYKSEQNKNSKNPIWNQCIRIPVSMEQNLEFVSNLVISFFNNKEPIGQANVSAI NCRVSEEEQIEPIYQIFHILHNGKSKGRIILGVTLQRKKKNDKIDPKINVSEIFTQFKEY KLLFPVYAIRQLPRKLRKAQLIARISNPNQDSVVKVDSYLQGDEEETRFSPGYTDPNFLS LLILDIQLPENPLLMPQLNVEIYSKEGLAQKYYFSVPLYNLYPGLDQKVQHKLNAYYYAT LESGMLSSNVPPPDITPQIQEEQTEEQEQEEQEEPKTIFTKKSIKPSTKEKQSTKSKQST KQQTEVLAQALEKSSDVIKKRMRTRGIENAYVQDEIVLILDDEDVIREQLIKKEKQSKLT KLLKSLPKSLNTELNKQFLKKAILEQIFKVQDLQAFFTERTIELSDDGIDYGRSIIKGQY DTEIQKGLPFQRYKIYELNKNGSGLGQETSAILKADVKLINVSTFKQTVKQSQQNEDKKT KKSELVEKAEYVKRLRSADYPFDFFDPVFHDYLKQQQFRCKVRVYIYRGLSLAAQSENID AYHYMAGEQSRNSANPYLEIEVGEPGADPGEFVKKVSDPDKFISNTLNPTFLRSFELDAI LPQDCFLHIRVFNKGGLTNKLIGAVKIDIEDRLMGEKKLKSRLSCEAYKDYFLNDLERLK YTDNADDIKLSYQAEIANLTQKIESITTLEIPVEYQQLRHPDKLVSQGQLEMFVEVLPLE VSRLIPPALLVERLPQEYEVRVIVWETFNIPLNPVKKAVDIFVRCVMDSSATGTGSEIGK ETDTHMGSTDGNGEFNWRMKFNFKTPCQFPRMKISVHDFSVFGASPIIGEATISLKRVLT VMKTQAIYEMAPTQIKLSSAKEPDAEKGTVMLQMTILQKSMAEAKPVGEGQEEPNIDPFL KKPTEGRGLGAFFKGIGIPWGMICKQACMILLPMFISALVSFILFVKPGILMPQTPGG >CAK70176 pep:novel supercontig:GCA_000165425.1:CT868075:7827:8802:1 gene:GSPATT00038278001 transcript:CAK70176 MIQIGFNLLIHFVKGIQFYRVIMDLNRDIKYSTLKVSDQVQFGGIMTKEECRALARKIMS IYDGDGNGTLDSFEVGYIQSDCYRAMNKGFNPTPTDIAAFSRIIDRRQCGMVTDKDIEAL CIKYFGGNFDRSERSERVVPEQTISQQKTYETSSFNRQVSSNQNSKVITVQQQTTVTNQV SSIPSSPPIKNTYSTVVQERLEVARRIFRMLDTEKNGFITEKHVPSLLQETYKLMGMSIE PTQEDVELWMEMADEDRDGKVFLKDYEALVIRSLKQQGIVLE >CAK70177 pep:novel supercontig:GCA_000165425.1:CT868075:8909:9307:-1 gene:GSPATT00038279001 transcript:CAK70177 MFDKDGGGTISTNEIGNLMKECGENPTPQQLKEMIEEVDVDGNGEVDFDEFIGLMGKRMR EEETNEELNQAFNLLDLDKDGFLSKTDIQLGLVKLGQQKLPDNDLDDLFLKADLDKDGKF SYDEFIRFMTPK >CAK70178 pep:novel supercontig:GCA_000165425.1:CT868075:9318:10202:-1 gene:GSPATT00038280001 transcript:CAK70178 MSIEDVMLEAQKVGYQIDEKTVKHNFDYFKHNLNMCVCALCQCGNCQCKNPRFQLSTSYR KRSLTPKVSVRDTPITVSRSFYETFENSYRQMQGLPNQFVTSNQRQYRGFSLTGTPVRSS NSRVAYHSSFEGSTRYQEFFADKPKQKNVLFKRPYERHTGPLKIRQLETQNQKVYQRLKI EPQPAIFKQNQMDQVLKVNLSIPMKTMYQSSFREMPDLTQSVDRQECKKRKQEDLQCINQ IILPFQAKSVTSQQYRHQPLFVCPAKLQKYQ >CAK70179 pep:novel supercontig:GCA_000165425.1:CT868075:11582:11890:-1 gene:GSPATT00038281001 transcript:CAK70179 MNIMIIQMTIMWNMNKCSGQFKCYTLKIHIQILKRQYKINAMKFFINKLQLRYININNRK VEQEDQSSKTSNKLNHIWRMIKMSQNKSIVMEQDDNWQAPPN >CAK70180 pep:novel supercontig:GCA_000165425.1:CT868075:12095:13991:-1 gene:GSPATT00038282001 transcript:CAK70180 MNQDTTNVILVVITNKANKTLGHDKYFKVFSQFGTIQRMLIFERSLTWKTFIEFDNPESA IKARQSMNDKLFCDDAQLTMNVYASKLTYITFQENNTGGVDYTLLRKQQQPAPKPPQQPI PIQFLQSQMQFQHQMSQQIQQINQLMGQLQQVSAEGFSTTMISSSDLQSQIEKQQQLLNQ IYEYQANFQHLTDQYQNFLQGVNKQEETQSVSIQSKQSNRKKLTLPADQKKKTDQIEFIQ SYRENNTESLFNSQEQKIEDDDLNFQGLGLRESDDEIVGSENCDDDDDNQDEEQNEFLKY FDQNSDNKQINNFQNAFQNNEKSQQQQFQSQQQPSNLSQTSSQPTSSSNLDQFKNKIIRM PVSKSQTLQQVEKQQLDKMQQSADKIEEYINPKFLQTVRKSRVIYARWFDKKVVTSQMLY NLFSIYGNIDKMIYLKERSSALIQYVTQDHAAIAKESLNDIMFYGQSIKIFFSNYEEISL KTQPTKPGEFTQDVKTQEEYFQGGEETHRIKPDSTYTLAPPCDTIQVSNLTKNSCQIPVL QQYLQDYGNIRLSKLVTNATKYMAILKYPSTEVAITVLANNNGLDLDGKQIQINFSKQKL I >CAK70181 pep:novel supercontig:GCA_000165425.1:CT868075:14046:16188:-1 gene:GSPATT00038283001 transcript:CAK70181 MSHHFKNDGSQLAYHASPSRTMQEVSELSREQAAKKLQEKKIQELEYKIKQSEIIIQRQQ AQLNDATMEAAKVGRQFQDALNDINQLRQSSEKKDQQIGMLLEENEKVVKVLEKLKQDQK IINGDQNKTIQTLEQQVRDRYQVEKRMNDDIQQKAIQISNLQLQLQEQLKDKDAIINDLR EKLIVSEKQWSTDASLGHLARKRGKQIETLTHSNNEQQLKIQELQNKVSMLLEQNNNLQN TIQKDSNRIEEFKSLIKQQDEKYRELLEKQNEMNEQKIEKLKQQHQVEVQNKMDTLEVIK VDLNQKVNQNMKNSTLPIIEELKSARQTINNLQNEVQKLKLQQYKSLQGEAENIQQEFEQ LNKKNNQLTEQQFLLQQKMKKDEITNKARIAELEKANEKLQSVQQLNETKIDELQQKLKE LPRFRERSLENIKFHVDSTKQTCELEMKIRKLQDKNEQLNQEVKEKDQRISSLLDQVKQA QYEKENEVSLIRLESAQEIRETQEQMKRELQMQQRLFNDAQKPFQDQMKQAALEQQKVKQ QLQRQQNEIKQLESRITSLINENQQLHNHQDQQRLVEDQLLKEKQTLEHKLLDRQKELEN WKDKFTRTTNNCEKQTERAEREQSRAEGLRLEISRMQKQLEQMAEQNNSLEVKLQNSLQE SRVLKNQLVEGLRRDCGSGMKSNSFIKTK >CAK70182 pep:novel supercontig:GCA_000165425.1:CT868075:16795:18190:1 gene:GSPATT00038284001 transcript:CAK70182 MAKMKLQLGSYGKAFLLAEQAMSTLEKDLIDRLKQRDSKIEDAMLLINGYLILAKSYEFS SDYDIQYIQSKSVWAQRNQQDQFCKFYLNAKQLAIKYLGNNNKLIQKLQYSCSHRVQNPK TPQPIPQLISRQQLNQLIQLLKLVKGRVIIVIQPEKPLIRRCLKGSIDTHKTTSTQNSQV DMSKPYIKQFRVRCKQNSHHEINSSDETTKEKMQNCDTPISQYKQLETIIQKKVEEQLTM KLNAKQQNNLEEQKKLQEQNQQISNLYDQIQQLKEQLKQKEVLQEQQKVQIELLQAHNKQ QLLKNAKSIQSPIKKNNFIKEFQISSEQKQSLVQSAIQIKRAEINEFNTEQTPIKLVNSI IGEFQTPGNKSSIAEFQTNKKSTPPFSFSFHKNQQQSIDVPLTPPPNVTQDNTLDQSQQW PSQKLQYISIIEFEESMNFSITYCKIQLGTLFHLIITQ >CAK70183 pep:novel supercontig:GCA_000165425.1:CT868075:18462:19619:1 gene:GSPATT00038285001 transcript:CAK70183 MENKQYKIALYSIPQGMFSNSQCINLYGDQCEWSMFFIENFKFKVVIYQNKINIQDFEII LDQSSFDEYFEYLNFDQNSQQEMQNILNSMKKIQSSSHNYFKLPSVKIKDKDKLIKFINN CLNQIETLINEQKQKDVKHYLKENLIALNLDVIDKCKIRASLVQKINGRIDLILKNFYQT HLSQDLLGFAGSSIQITDEFIQDKFHIDFFTLNESEKQFILKKITNYFKLHAYNSLQDGT DLDWKNENPIQQIDQNFGGTHKIMIVDQYRTPINFTLIGVHDRPEFVRVDMFDTEKVQQN GLLFFVNEDLWRRREVQPSVKKSKQSKYNKEFAIAEKYYLNEVLQEGGWRVIEKLIIPKN SIQINQEGKIHRLEQFLNWKSIYEN >CAK70184 pep:novel supercontig:GCA_000165425.1:CT868075:19633:20515:1 gene:GSPATT00038286001 transcript:CAK70184 MYICSICNCFLAYKQDEIYKYPLREGEQLNFLTFKMIENCKEDQFKKYDLFSHLYCKFCD QEIGMRIGKDFSIYKCQLQESLGSINIGVYSLKQELLQKISQMLDKTCYQCYLQPLLKKE LTNVQIIPNVNAAIIIHQVESRVLLLGKNGLYNDLAQKLWKQTSGNVLLILYDKEETNQE ELVHNLATQGEQPQIAELFQSQNLVFFTKLLNLNSILQKYFAKSYNQCYPIDIVKKEEDV TNEKCLLLTYYYQRYKQIDHPTQDDKLTLSLIKQSRKQLKFECKVQ >CAK70185 pep:novel supercontig:GCA_000165425.1:CT868075:20681:23063:1 gene:GSPATT00038287001 transcript:CAK70185 MLLENIKVAIRIRPLNARDLEISDKSTLKVTDQETLVAIPPVEAEVKQVKKTYKFNWVFD QIASQKDLFEKSLEYQVLSVLDGINVTLFSYGASGTGKTHTLMKMNDDYGLLIRALNQLF QTIHNRKRKIQMKFSYIEIQSEQLYDLLNNMNGNLDIKDDVEKGVVINGMREIDVTSTQE VINLIQYGKRQKSSKQHEVLIFTNYIQDMVGQNNEIQVSKFIVADLACLDKGGQKSASIQ VLNDCISLLSEAQTKKIQPFIPYRNSKLTKILKDSFGGNSKTLIIGCVSPSVANYEETIQ TLEYCQMAVGIFNQGTIKTIQQSNQHEDVKSIYNQLVNENAELKKQLNNKSNQKPQNNEK EQTKLYEENIIEHFNNEQDINQSIFKYQWEIEQIKFNVNENQENLQRVDGIDRNSADSLR FQIEQDLKQIHNYQEQLVKFQKQASNFSIQRRALEENVNQSNLSNSYKLYLTNLIEKHIL RLEVFEIKLKEQINELHRSQHERIMAIQRSQITLRDRIIADQRKHLISHGKNRSVQHYSQ IDTTTEVIEGTKAKLKHYPLPKVKDHYFNKQAYLQRKESPKSIFSPRLQTPLQLKEKKPY IPKTPHTKILDNLSTPIIPKSNPLAKAPPQKLIDSQSMVALPLITTNRNLSKFVYDWRGG GYKFIEDQKKIPSQRELKKVISSKQHTQQSLDKSFFTTSSRSTSAKRVSFKQSLLLPGKV HESPYVKGFINKEIQRKMKLKQLNQKMMKATKNK >CAK70186 pep:novel supercontig:GCA_000165425.1:CT868075:23582:23940:-1 gene:GSPATT00038288001 transcript:CAK70186 MKIIIVFIVLITIAVAQSQQGFDEIKSWRERIQFKQDYEKLQEMIQQVDHIIAYLDTEQG KNLTPKQYEELLNEITVIEQEIKVITSAYKEDDL >CAK70187 pep:novel supercontig:GCA_000165425.1:CT868075:24095:24834:1 gene:GSPATT00038289001 transcript:CAK70187 MSGVQIKGPQNILRKHKTEPDKLSRFHSSDMRGPFKYEPLQNISHPIQPDDDVSVRDPTL RTVDKSKLSQSQYQINSIRSKTPISEPDESPFMLTFPQRQLETTRKRSKSKLIMYFIERI RKRFKIDSKAKQFQYLFDQETNSKDYNCTLYEFKQRDFVTFVMDLTSLELDILFNFEFDD CPCSSVNNFDRKGFELRFLGIQMSGRWSSIVQFFHREWTIHYKLQIDLNPIQQIIVVA >CAK70188 pep:novel supercontig:GCA_000165425.1:CT868075:25039:27341:1 gene:GSPATT00038290001 transcript:CAK70188 MILIFHVITCYQYGLFNQYHEHFGSYLSSLNMIVSIYAFNSNYVPDEQEELLFNCLVNFS AIIIFAYFLTQFMYLFKSNRISEQLSEFLAINKLDSNLKFKITNHIFNQPKFYHNQFISK LSGQLLQEFNHIQRSQLLTKYFKFYNQHTINTLINYCEDMICQPNQVIVTESEHDDCSLY FILEGNFKVVNKMGVQLQILGSTQTFGEISFYTQLPRSATVISEGVCRLLRIRREVFLKL LTFSDKQYFYNLKDRILIHKDMPCLCFCCQQSDHLVTKCPLLTYRPDKEKVIKTYIYPIK NLRKKFDRKINREIKAYDFLKDAEANQDYLLQLYSDNHFQSSNQFSQSNLPYDDVYIKDS YASAQSFSRVSREKSLLKSTSLLKEKSIYEQQQSLINDVFLETADNQYIVHQGELDDDKF VSLVRKDQQKNTFATAGFGNLGQQSIKDQKSLNVIIENESSENSPSLENVLEQGNQPQRN KDPKLTFNYNFDNQMSELQNKYQQYQRNVSESINNSVLRQENRQTSIRQNSSRSQTYSPL TSNNITANSYRSQIKQNENTQTPSGKPRRSSNSNYTVRSISGINRQYTDSPELQSRKGTN RKKSTRTGTKVSVAPSQFQPFSGFDNPVITVFGDDDFEKLYLFEVYLPYNNYDIVIYKFN QYNKQNRLKKLSKYFLSFKLAVQIQRLKAKQQYINYELDKLNNDVDDDDIFIMY >CAK70189 pep:novel supercontig:GCA_000165425.1:CT868075:27457:28341:-1 gene:GSPATT00038291001 transcript:CAK70189 MGSTVATNQFQTEQKLFVARQRNKNLVISQITNERLKECIMEVLEKDIELKQIWKEKINQ KEDFTLALNGSYRGQREKEFIQSGYGELVSDRQDRYFLGFWKNNVLEGKGCTIYMSDQDY QYYYGQHSKGVAHGKGTIVFNEGAQYQGDWEQGQITGEGSIFITNSLYYKGQVKDGQMHG QGKLILFAKTNKVKQNIGTDVMIIQEGGTILEGQFYEDQMIEGNVRSLKGNYIGQMKEGK MDGKGIFICNDESFYDGQFKNNKRHGIGKSKNEKGQVQIAQWEDDKLVKVLEIN >CAK70190 pep:novel supercontig:GCA_000165425.1:CT868075:28481:29940:-1 gene:GSPATT00038292001 transcript:CAK70190 MQRVPQNTVKETLVRVVIPKQSSQPNIYPEQNNFFHIPPKFQQSRLTIKQPVSTSKSIEE KRYKQPKNNFSENTNNRTNCSSKSRVMGTMQFEDDSLQSSQNGQKLRKIVGDSHLFSTTL LQQLSKQNLNTNLTNDSQSPAQRKPKNQELHNLNTQIQSIIIKKKYHSKWEIYKEQLFKQ SNLLHVYKHQFIQSTPINDISIRIINNLFEMMNNISIEILCEQESKFNEIIDKINREKEL CLNNYKVIEKERDLLIDSINQLKSSKQQQSTNAINTINAINPIQIDSEDTVQLKEIQIKL QEMSEKEAKLIKLVLAIKRSGIDIEKIYNEEVLNDESVLESEDKLQGFQQHNFERNDNDA DNSIVNDSDESSFCFLNRFENDSILESVRKFQYKNLEIKTNSVKFKLDLSNLQSKSQSNN QIRQQNNKSQTIQVHQSKLKVPVNQGSVGFHQEFMSRINEFSESWRIQALKDEKKNKS >CAK70191 pep:novel supercontig:GCA_000165425.1:CT868075:29966:31593:-1 gene:GSPATT00038293001 transcript:CAK70191 MLCLILLQLVVGLGERPQNVADLSKILYGYEYKGLWTSLKKDQQFQYLSVEQGDAYMIFL SNSSQQNSDDLIDRFEFQLLNPKYQEQRQVFGIFKLTNYTESDISFENISVLNYSTAYKF RRTHYSRERCEIAYTVNIEFEGENYDKEHAKIKAHLQTYNKSLDSSCDVDIELDLTLDTT NYLLRIIMYCAMSVMICFTQFLFVTKLCKALIENVEDSNKISFFAVGFLTVQDSYICLQN LYSALINYQYFQYFVLPAFFYFLLATTCDMKLIWIVWRSRHLEDLFDQQRMRRAITYFFV QFYFSLIIYFVLMYFLSNYNWFICLTGLILLPQIIHNIRLGNNPKFISYFVFGILVPSMF YQIYNRGCPSNLHGLEPSFAFCMIYLSEYLFQIIVLYIQFKLGPRSFIPKCFLPKQYNYY RTLNIQDDHEECAICLTSLMEDPLTAEAPTEKLILKQAMQTPCNHWFHPSCLRSWIDIKM QCPTCRSALPPLLE >CAK70192 pep:novel supercontig:GCA_000165425.1:CT868075:31659:32673:1 gene:GSPATT00038294001 transcript:CAK70192 MKTISENKSFVQHIKQKQDEFKKTLQFPAKKEPLLLQQPNFTSLTSPNQRREQTPNQRKA KIESTHPLQIGENSCSPTLFRTPQISLHHEYNKKGAHQRQPSHNRSRNENISTIKERREH NADSVTRDYSKVLEEVMKKYRLLKTELVTKDKEVQKTQFYKDEWLKEKVCTHSIQKRNEI IQERNKNLKMKLLKIMELVQQDQLQSNFESEGIIAGLQTENKYLRQMLHLYDVTDVSEQL QQLESEQDHEVDQIDNILNVFLGDLRTIEKNRKEKKDNSQQGFYLQSSLAQFNSLSLTVL NKQSSLVDLSEDKLLMEQQ >CAK70193 pep:novel supercontig:GCA_000165425.1:CT868075:32976:34166:-1 gene:GSPATT00038295001 transcript:CAK70193 MNDELARETLIVQNQSQQLTYTQILKALQPQSLKTFRFNIPEISIFNQGEVELFISQRRT NLNGQYKQLRNLPHYRLKALMPKNGCICKYVDQNLQMMTDIEFTILLNKRRNETIWKEIF YLQSQYLVDQRVLYVAEQDDSTTLYKRAYIEVGILTKDIVIASSQFITDEEEDQYERSLT QESLCQYYTYKIIHFLEKVRNIKITHGIFKWSIEKLRHYYFVDSQNVSFQNIVIEDKKLN QKQLNPLQLMDSINDDVVKQYTTFLNSEYEKKKQEFGFNEKQFNITKDRETEYVFKEIHK GSKIQYNQLFRDIDSFTKYEGILLKQIKQKHTMKYIKPRPRIMTIQTNQSPNKTLPVRSF TQQKQRTPTYKHYKSNLKYNTLPYLLQC >CAK70194 pep:novel supercontig:GCA_000165425.1:CT868075:34663:35757:-1 gene:GSPATT00038296001 transcript:CAK70194 MNQLMGQNQRRSKVNESNITVNIAVSHGDKKFNLQTCVDPEWLFNVFINGIKECINCQVD LTQFKLKGLLLNDVYISQNDSRTLKTLGFTNYCTLFLTFIQNTINKRIYKVQVELKVKKI ELFIEQIITTPISCVFHDILVELFQNHQLKIARPLAIFINGTEIQPYDLRQIIDFQTGKG ITITIKSIDPNQKPNKSSIEKFQFALYKSKKIQRFSKKQIKIQLNDDMEVYDYNDLPLVE LIQKHVTIKQTQYFRQIYFINDVILFELENIHAINDFKLEVLQLDESQEQKYYFFGDFQK KYKIRSIIDQIWYYFEEYIPINTSKIELQNFIKHNLFGPNYDNYKQELIILDDELIINYS RILN >CAK70195 pep:novel supercontig:GCA_000165425.1:CT868075:35862:37075:1 gene:GSPATT00038297001 transcript:CAK70195 MFDEDYYRRLIVQSSNQSMRTINTDRPWYPKMKQKPAVRPLFSEDFKFFVLPPNKMISLL KRHKITIPTDEQIREFLRNHSLKNIVNYGVKLQSSTEIKVHPPSVIIQNPRKRANTKQQI NINKKTMNILPIRNVTEPSKHSTSQYKPSCHKTFRMYRSDYCDKDVYGMLKKLNFSPMQM DESPRQTKENTNFNTLPSQTYVKPIVPKSVLPYPPRSKKQLRQLLLRAINKIKKLGLTIK YVMQNKIFSKKPYEKPLSKQFIHAAKKNEIDEVSNFLSINPYLVFDFDFYNMTALHWACK KGYVQLVELLLQYHSDVDGVDILYRTPLILSIEENHLEITHILLAHGAYPWSTAITDLKT VLESNEKAKNLLTKVRRLQIMAKWTQQKDYLSLI >CAK70196 pep:novel supercontig:GCA_000165425.1:CT868075:37119:37343:-1 gene:GSPATT00038298001 transcript:CAK70196 MKALKPWKYPNSVEISEANHLILKRLAQIDRRPFYNFKDQMKEFRKHIEIVENRKKWFAI SRSYSPVPYRRRKL >CAK70197 pep:novel supercontig:GCA_000165425.1:CT868075:38115:39639:1 gene:GSPATT00038299001 transcript:CAK70197 MFYEHLKQVFCQRQKKKESVDQISKIVADLKFFKEKREIFDSILYYQMLKKLNLIETKQE DVLFHYGSNNVELFYIIMQGKVAILCPKNRMISHKQILDEYHQSDVQGSPKSSNDSLKDI IIQQAYSNFLGMKNLKQFDQDAIIDEYNHNPNNFQVDKALDQLIKKRFPSLKIVNMCQQG DQFGEIALIGHVRRTATVLCVTDCLLLTLTNNDFQNILQQYHQQVRNEKIQLLRNYSLFR QLSDGKLKGMIEHIIVQKFSIFSVIYYENSIPEYIYFIKSGEVELLKVVNKNKQISISLL QVGCVFGHQDIGHQQFRQYRAISKSCQCELYMIPVNIVLQFDQQNENNQAEKEFHQSRLK RLLSDRMSLHDRKKQNVSPQSIEERYKGDKDYESFLSYAFATKRVLSPKHKKLISEPFIP FFELNHSKQKKFRQHTSLSKNQSVEKQTLHNLDHFNNSKNQLITTQVNYHRHLFSPRTTK RHLDTFMPFKRL >CAK70198 pep:novel supercontig:GCA_000165425.1:CT868075:39783:41656:-1 gene:GSPATT00038300001 transcript:CAK70198 MVKKIEDSFNHAQKLYEYQEKKIRRDKPASDTQLMQKLLHSGTIADKISALSISIKEHPD HALGSLNKLLEIAALPSREKSLKALFQLKDLFVHLILKRGVPCNFEESNLTDELQKYYWH GINQVLIKTCEILIKASEDVLPYVRRQIILMMLELHCAHPIYTKELVKELVNKFGDKEKT LVTLLTKKLSGELSKKPALTYPFLKEAYGFMYRPNLSQDAQYYCLNFINTINLQTQETSS VAYMLKIFFIFFKKIMKIPQADQKCSKLFSQILKGINKTLPYGQSFLDQLKELYKENEKL IYKLIATSDNFKIKIQTLYFVYQISDLNAHFYRSLYEILLSQEIQSTSLGELFFDLLFLS IKQDNEIVRQKAIIKRLLQVSMHSNLSFTITALICVQKLCIEIPQLLEETNEDQEYQYYK RDPLFSGASSFLNEIKAFTSHYHNKAQQIAKQILDKDQNISQKVNPLLEYSQSAFLAKFV EAKNRVTNRISNLSGSVINEEQFKLKYEKQRQQDNKLKQQSKPQRKSSITSEDAFADELF EKELQKGNFSDEDEIDLSMDEDDDDELDLNEDETQNENQPKQIPMIMRKIYKRHKLLKK >CAK70199 pep:novel supercontig:GCA_000165425.1:CT868075:41671:42700:1 gene:GSPATT00038301001 transcript:CAK70199 MESDRLSQSIKFEIEQKQIKQKAQLLSIIDKELLSIKEKLANQVFEDNNNILKYNLKLLQ LEKKNAEKHDIMVEQEMILRKQATIVEKQQKAQVQNEQKEIQQINKDDKEEISITQDLTV EIEGLRTRNLYLSHKLSQLLFYFVDLQLYDKEFAKQVALDDFPIDQLYKPSLSQPMNLFL NIEDNEKKKLEAIIKSKVSLLNIIWKSCQNNKKQKLNKKQLFFQDQSTEIKPDQQKRTVF TYEDEHFEDQQYLEQLSQLKRDNQPKCKTLNDIILKKRKYSFDTAQPALDFYKLNRRLIA ERFQQPSLQFVNYQKFRIHSQSIKNQ >CAK70200 pep:novel supercontig:GCA_000165425.1:CT868075:42873:43475:1 gene:GSPATT00038302001 transcript:CAK70200 MGGAFGKRKVQVLVVGLDNSGKTSIINALKNEKNATVAPTVGFNKDSVEKFNLNFQFSDM SGQNQYRGMWEQYATKIDGLIFVIDSSDKIRFGIALDEFKLLLETPGFSKDLPILVYANK QDSPDAQNPQFFIDYLELKQYKNPSQCFAGSAKTGVGLDQGLEWLSAKIQQVKPK >CAK70201 pep:novel supercontig:GCA_000165425.1:CT868075:43574:46649:1 gene:GSPATT00038303001 transcript:CAK70201 MIDSETFFAKYLLSPRLQHTESDQRLISLNAAQIRLSPDRKIKSSFLQDEMSFTSKNSQL LSQTIRSLLKNSWDFVETVREKNLIDPHLQYHLRKFKNDHESVSDLVNRKVFDNIEHLNQ YKDQINSLQQEIVNLNEKCKHRKVCLKKLNDILNRKDDELLQLNQQLQTFQTENQALKNQ QEELNCQNIQLIEELQNVISSSQQTINQLNLKIREGETHYEQLKSDKDYEIQIQLSQTDK LEEEYDSLKLKLKKQESQIGLLIEKNQEQKFEIESLNASQVQNKDQIKLNEAEIKRLKDL CAFQEEQLNQYCNQQTQTLLQFTQRQNELTQQFNQKQNDLLSQIAQQENEKAELQGKLTS FIQKEAQLHKKIQQFEDSQTELEQTKNSYYETIQQQKKQITLLTSQCQQYLSELENNQNE QTIQQLQNQLSQSKVTINDLNNKIKEEEQKLEKLKQQKDDDIFAQLNQIYKLEEELETLK QKLKKSDSQLVITSEKNHELKEEVESLNAESLTLKDQIKLNDLELKRLKDLTLVQEEQLN VYCNQQAQTYQQFSARQNEQVQQFNTKQNELLEQIATQQKDYADLQGKLSQIMQKEILSH QKIKILEDQVNELEELNKNLLETNTKQKKQLSNLNQVCQQNDVQLEQQQLERQNLEEAIQ QNKQKLKQLEDKLNQSNLIITQYEQQLNDQQLQLSILNQTQQELQQYQQKVQILNNNLDE SRVQAESISKQKEDLVVQLQNTIHTNSQLQQFNKTLENQQKLIENNYSSLTQENRRLQNQ LSESICVSKQKELENQELQKLNETFNQQISQLQHQFTQLEQSYHQIESDKNVMNQQLSDN SHFLEQSMVENESKLTKLQTQNQNLKEEIKQLKKNQNEKEHQFAQTVKQYHQKHQEHNTK MSEINKLLKERNEEIQKMKLQMDDAQIKSQLLKMKDETYRRLLNRIIKSIDPFCDTKKIN DVDTLLETAVEKVQTLVKLKRRNKENDEFSLNSQKEPFNLELSQIVQN >CAK70202 pep:novel supercontig:GCA_000165425.1:CT868075:47178:48795:1 gene:GSPATT00038304001 transcript:CAK70202 MNVRPKFGRSTPPSHTSSNPHSQITQPVNQDMQQNQMQIPQNCGSYPSIPMPPPANPHLI SPQYQVQNSQHQPYIPEQNYTYQQNPYNHYQQPISRETAVFGQVQNQQGYNNGPDIYKSA DIYHQSHKHYHYKEPLQVESVILPTSDVIQQSKEYQYSSVILKTCELSNTQNSQILENFK IISNSQIKDMDISSKTHNMITIQDKSNEFQIQPFDENLKKEKQKNKQLINSNLLIIQNKN TNIPNFECLERLCQMHQKPIEKICAEKECKELNELLLCQECAREHKGHNLFDIPEALFLI LKSQQNLEKDDQLIQVIQQKAQEQFKHLKSNITWQLSQIEEQLHDGFNNFKNYLLEKKNS YQKAFEDLQLGGLSKMVEQANLLFDQGLFQEVNLNQNEQEYLKLSDELNKVKQSMKKFLQ FVEDDNQEYTQIKLNNVDIQIESQKIDDLNQLYYQIKIDSLNQKFTNILDQELLLLQNNK AIRLKENYSKINLKQQQNIQIVSYQQI >CAK70203 pep:novel supercontig:GCA_000165425.1:CT868075:49202:51851:1 gene:GSPATT00038305001 transcript:CAK70203 MNKNNYIKFQEKYQVLNKLNNESQCYCQPIKKYKNQKQIIKWSKLYRKTFKLIREMIADL DKPEVSKEILENHTIKLINNTKEQDLKVILQLLIQIQHLNKLNNLDEHIIILIERNQKQH SYHAQIIQLLHTLKENVSIQNLPYTYRFLRICYRIKQLSNNKIIIVNTQVLNDEVLTQIG QSCKGYLMNKQESEKNILAILNFFMRIADGSLDTDFDDAYALKALSLILEEFQKLKILLD IKIAEVINSNLSALLKSNLNKTTQSIQKLQSIFKLIEILLLGNSCYFFDFLDTLKKHLFS ECHSIQKQLSYQTIVNCTDAFIEYLGNDKYKNEFVAFYTEITKQTQIENINIPHKLKQIH EVVGHFIQLENIYQEYSDNKQILKFIAEFNLRLSQQMQKPQLLLQKQIKKCMKNLLSKIE FEQINQTYYNYIQVMDTDVRNSMIIHLIEQNQIAFVTQLLYQMNDISTSTWQKYIQYYLK IKESNEQTEQLMKQLLLNEKLLQIEVLLFSLFSCKCYQLIIQLCNIHYNALQFDLVLQFL YQELMNDIEQQHTVELICSLIILIQERSNHFQFDYMLKMIQLKNHNELLLHYLQTISNKV GMDQLLSLLTQCLPSQLISTILYDCVQTKEIDESDLDLILYILQKQDVIDDHFIAHLMDM IANKEEYAVTLFNIISQKKVPVKLIFYIGQQIQNQKYYNKDTNQQLLFNHQVDINYLYEL CQFTYGAGQIDANFIESQLPYFEKLIETQQNELLEEVTRVIKFYLQNFPTQQCLIKLISK YEYLYNHGNSNLTQTLIQIVPDLKIILSLLEKDDQQFQQFENKLDNLHEYAEFAEYMEKH QIALNSNTESIEQRPKIQLSELEQQ >CAK70204 pep:novel supercontig:GCA_000165425.1:CT868075:51877:54690:1 gene:GSPATT00038306001 transcript:CAK70204 MSYKISLVGLEGSGKTTLAKVLNDQHQINNIPLEVNEDMPNSDVMIFCLDGSNMQQLHHS KAALEQINGKEQLIILQTKADIQTIEEGELRNFLGVGEVPILPISAINGDGLPQLLTLLS QQVQPTQQNFSAEPRVVPSRGKYRINEQNQISKPMQTFQNLMKDHKIKQRTPMEQEQEYI RLEKERIKVENMSAQLNNFKRKLKKEDTYDIKATANPRIQVNLEFFLTDTLAQCEQQKNT LSTQTDKLQKKPEDPPFIPKKTGIDAATQVEDYELFDFDREVTPILNVICTKTLEQACLE IEQEEEFLAMYRFKEAFEKRRVNDKTKQQVTVEREKQLIDQKTEVLKKYSQKQERMQKVI FKAQAHAIAKEYLKPLQGQIMQQVISSGFYPNEFMNQLQTMFMDYLVGKTQEEVIKLVDL NNSMKTAFNVTNFEKIPKVRKPYDQQIQKKKDRQALRMINYSNQRAIRIFYQDLVPLQSV VSMTLPKFLDGSFDEWKRTYDQRVAELEQKAENNEINEEDFANQKRAEYPDLQEGYFGAS VNNFTRFAFSMAHDQYYLTSDKRLQIVAYVLRKDGTYEIVNQESKNYGKVFKWKKFRLPI KQTDDEALLLRLQELDSEIIAIVFGLLLPNLQNNIKALEWVQNARFGLYDAQYCIPFAQT NVNKAFKLEELVKQVDDPELAEAPQTVFMSCFTLMKRFKQFGGWYIENTQAATKGMLDQE IEPFYEKLSQFLIEMNEFTLERDRDFIQTIQDKEKERDTILTNQELQMIITKSKIPQQKK MPTEPNVTDSALPSARPLEEPSDYTKTQFNSMIIEPIILDMSDSFETVESQLINHLTNVA QRLVNSCTWGFELKARGNSFLRVKQLIRIRSVGEMTIVRKPEPPKEEVPVQQEENKDNDD NDNDS >CAK70205 pep:novel supercontig:GCA_000165425.1:CT868075:54707:55057:1 gene:GSPATT00038307001 transcript:CAK70205 MNYYELLGVDDKCSAEIIRKAFLNKIRFCHPDKNGDAKEAQSLIEAYKVLMNCREEYDQS LRSQFKYCFDTMTVKCNYVEYECEQCGEENQIELNSQTNVIVECRSCNLKLQIFQQ >CAK70206 pep:novel supercontig:GCA_000165425.1:CT868075:55136:57021:1 gene:GSPATT00038308001 transcript:CAK70206 MDKFEKRLNQVNMITPKGTLNQQSTFKQNDHAKSYASLNEFNRIGEQFDSEAVNLRSQVQ ILEGKLQFYEREFQIKQSSLEKRIQQLISTSSQLTQQNTQLKLQYQALLNEYQIQQDKMA HSDKGQKEYEEKVRSQLDNYNKYQSEKEQLIQYANKCRERSKQRKLKIKEITTTNSQLID QIQQLEQELEQANHEKQQIYEQCQQQIMILTEQNEDWQMKYESIVQNFKNHLADQNDSQQ EEQLLASHTILDQREAQTVQQQLENFSILQKDLNTLLSQIKNTSVTNPSSFEMQQVIEFA EIFNRMAEKIYELQQKKSMLKEQLKDLQQTQLQTQMLSNKSISNNQSPNMMDDRTKLLFE QRILELEEYYQKERQQEKNKPAQRGRNQDRKLKQIISILTQFLEEFLEQQNHLKTLNYQI NDMQFEYNDKKCNRSFKKASWAIIAIYRIKTIQNQQYYQQVVQFNQLRIEMPIVNSLNQL LELVSGQQQLIDTLQKQMDRRGSDVFQEQDIQSIVDQELKQQLKSVQIELDMNNKKMFEY KLQSEKQIEERDRIINELEQQLRDFPNDYNQQLLEHLEEQTNRVKSIENEFNVLNELVNS LI >CAK70207 pep:novel supercontig:GCA_000165425.1:CT868075:57044:58105:-1 gene:GSPATT00038309001 transcript:CAK70207 MSNKKSIKQKENISVDSRLNLLETNLNRVCMQHDALMPIVNEIPHVQKLEQQLKILLKKQ EELEKIRDKSRETSTNTSFSDFKSNSQNKPYEKQLNDLTLKMNYLDSQLQELQKKSQGRV EQQFRMFSDTQDIQRLEQFVTEELNNFRSEVQLEYKNIYKELNGLRCDLEYIMNNTKKNK VTQKIQAMNVSPDDKLFVINLLEQETIIEELDHYENENTFRLLYELDYFEQQRESISTLD PQQTQRESLYLEEKLISLKYQLAASKRKYLFEIKKIEHKFQVINEIIEQNQKYFNYSQQI HILTQRMSKIVTRVHQNIECIFQKISSLDKR >CAK70208 pep:novel supercontig:GCA_000165425.1:CT868075:58489:59110:-1 gene:GSPATT00038310001 transcript:CAK70208 MNFFHPLLQTLQFMRFNLSADRQQTTLNLLNPQIKLEENDPLLPPIYQMTQQELLQRHMA DSKPLGMQMQTLPQQQEQPKAINKKISKKTNTCGHPDKEHYAKGMCNNCYHRLGRNKQPW LCSHKKLYACGLCQNCYINQYNKKRRVENQDQQESELNNHSSIPKPDN >CAK70209 pep:novel supercontig:GCA_000165425.1:CT868075:59156:61614:1 gene:GSPATT00038311001 transcript:CAK70209 MNTSFPKMSTSSLSQTTSQTNFYDSSPMSSYSAKQLTSNDNFKVVVRVRPPLQREVIDGR FISTIQVSPDHKKICLYEYYNIDLVDPEHLEEYLNNPNSYTMHTFSFDYVYDQDNTQEEV YDNTARHAVLSALQGFNATIMAYGQTGTGKTFTMEGFKYNCVDPQRGIIPRAIEEIFKHI SNGSNESTTFMVRVSYLQIYNEVISDLLRSDRQNLHIREDKKRGVFVEGLSEWAVRNPSE IYSLIQKGAQSRATASTKMNDVSSRSHAVFIIIVEQMTEIDNHKSIKVGKLNLVDLAGSE RVRVTGATGRRLEESKKINQSLSCLGNVISALIEQKTHIPYRDSKITRLLEDSLGGNCKT TMMGMISPAIDAFLETLSSVKFANRAKNIKNKATINEDVDQKALLRKYECELQRLRKELS EKNKTIVDSSKLSQLEEDKKRAEQDKNAAMAALEARSKQFFIEREEKKKLEEKIRQINSQ MLIGGCNIENSPQFRNAIEEQQKLIRAQYEKKITELEKERSQLEEDKVQVDRYKQLTLKQ RDIMIALTTRLNERDETIVQLQDELDAYDRIHRETETMLDQKLQRVQQLEEYVNSMGGNL PNVQQIQSIRQSKIKDCVTQDGQETYNITKENLQDYESQIEQLTLINQEQDQEIQRLNNL LLNHENSNLMEYVKSSVDNIIDALSQPNDGLKLQSVAKDLISLQKILSGEQQQSSHAFSN IQNIVHSRKESPMHTNQSKKSLNNSQNYDDDEQPRQLNNFYTFSNKSQTSSMKKQKDPSL PKPQINVQEIIKMREVKRNLYS >CAK70210 pep:novel supercontig:GCA_000165425.1:CT868075:62234:62707:1 gene:GSPATT00038312001 transcript:CAK70210 MFTEQPYYEAKVFLKSYNDAIACLKEAAEQKAHIEFQEHVLQSLATARTRQELDVRDGQV VPGLNFGQSKQTKLFQFSNHVFQKYFKGFEEYSGNFKGFQQVLIEGLKKIKSDVK >CAK70211 pep:novel supercontig:GCA_000165425.1:CT868075:62946:64571:1 gene:GSPATT00038313001 transcript:CAK70211 MLTRALAKQMRLGFSAAKKQAETGKAATATTGQIAQVIGAVVDVQFDGPLPPILNALQVQ GTSHKLILEVAQHLGDSRVRTIAMDSTEGLIRGQPVSDLGGPITIPVGPETLGRIMNVIG DPIDERGPIETKIRYPIHREAPSFVDQGSGAEILITGIKVVDLLAPYARGGKIGLFGGAG VGKTVLIQELINNVAKAHGGYSVFAGVGERTREGNDLYHEMVASGVINLSGGSRCALIYG QMNEPPGARARVGLTGLTVAEYFRDEEGKDVLLFIDNIFRFTQACSEVSALLGRIPSAVG YQPTLATDLGQLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRALT ELGIYPAVDPLDSSSRMMDPNIVGEKHYTVTRGVQKLLQDYKSLQDIIAILGMDELSEDD KLTVARARKVQRFLSQPFFMSEVFSGRKGKFVSLNDTISGFRALLDGEGDEYPENAFYMQ GTFEDVIEEAKRVAAETTK >CAK70212 pep:novel supercontig:GCA_000165425.1:CT868075:64598:66112:1 gene:GSPATT00038314001 transcript:CAK70212 MQFNINKTTPSRLDNTSLKAKLTKQYEQQPIPSAEVYKSTLSKLYQTQSLSTLIKDKKSS ISRQVSQNNPFINPTVRTNTPKSTNTTPQYYFYAKSKEQSKVQISQLISKTLQQSYTKAS NSLIKDNSFGISTKSNTDRKYNLLSLDQNKLISKPKTEKVQSIDRTSNNNNLISDQKQSL NIHLSLDDFVTQVKVPNSSKNNYTISPFKQAKSLSPNNRLLSTTRKNIYYVSSMIDAING AYDSQCQLYRDHALQTYNSIGFCLNQIEPNSSVIEKKLINLPQKNTKFQKTVVFDLDETL IHCNENQNIKSDVYLPITFPSGDTVQAGINIRPWAKQILNLLSEVCEVVVFTASHQCYAS QVIQFLDQKKILSAQLFRESCIVTNDGVHIKDLRVLGRDMKDIVLIDNAAYSFGYHIENG IPIIPYYDNKEDKELRQLYDFLMSDVLPAYDCRKVIQETFKLREFQNYNGPKVAIEKLYY >CAK70213 pep:novel supercontig:GCA_000165425.1:CT868075:66667:68581:-1 gene:GSPATT00038315001 transcript:CAK70213 MTDTILGLETSEFVVNRQSAKIQYLLEENKQLSEQLKDMEKSLKLNKEVLRLTLEQNLNN SNNSTNSSEQIQTIQLQMKLHEENEMLRNQMAKLTEERNMAQNKVLLSQQISEENQAFYK DLIVELEEKLGELRRCIQDKEYTIQDIEKNRGLGDNGQMVKIREIVTPHEQSLRLHEELE STRQILNKLSQESQNLQEQNRQLKDINQNFKRELIKLRIILRSPLAYYKMKNFIYNDDPG DSADNISLHEEIYQNQPFNHNSNDTPAQGQNNQGFQQSLPNSIKYMTNNERANYQEKLQK TETLLKGYKELFEKEKNKVALLAQSTDDLEKKINECYSQNEQLIKAIRGRDQKIEQLQAE VQYYRTQYGNYIHFLKNKRVVNLNLSVQLNKLSTNKQPTPPPISNANNKILTDFEKDPDD AEQCISILENPVDDQSPDIRKNNQQNGQNKAHQKISNILEQNYSQMNQLECKQFLLNTAK DLYLQYNLKMNNLQKKQLMDLNKCIKAKPIWHLKSSSDPLDYFTLQYHNLQISGKSEIKH NDDLANFLRKKYPKETKESEKDNWDFIINDISMIQGDKPKQMISEHFGFNEMGFS >CAK70214 pep:novel supercontig:GCA_000165425.1:CT868075:68593:69287:1 gene:GSPATT00038316001 transcript:CAK70214 MILIPGYNPGQLTLQGTNTYLMGTGKKRILIDTGEGKEQYKIHLKQILEQEQCEISIVLI THHHLDHILGIPQVLELCPDAKVYKGLDHNLENDKLYPFLPLQDGQIFQVENCIITAISL PGHCVDHFGFITQSSEWFSGDCLLGGSSCYIENLKQYFQSMEKVEKMKINTIHPGHGYSI VEGAQETIQKQVQHRKNREQQIYQVINGQSVDENSVSCLSRCE >CAK70215 pep:novel supercontig:GCA_000165425.1:CT868075:69525:70557:1 gene:GSPATT00038317001 transcript:CAK70215 MSLKKIYNQNCAIKNNKIMLLEIFLLVIFVGLLIGIRWLFTPYTNWKNQQKTVKKDDSIV IICDGKEIKYSTYKNKSDVQLSIIIPSYNEENRLGRTLEATFKHFEKYNYEIIIINDASK DKTLEVAKKYSINNKNFKIITYNRNRGKGGAVRLGMLAAAGEIQLMMDADLATDLNEYEK LQKELIKITQNGLGLVAGSRNHLVKDVVVQRKWYRNFLMHCSNFIINTICGVRLKDTQCG FKLFTKNTSAILFRVLHLERWAFDVELFMIAQKYKVPVSELPVKWEDVEGSHLNVVEASI QMARDFLLVRILYLLNIWNFKDDIGL >CAK70216 pep:novel supercontig:GCA_000165425.1:CT868075:71066:74482:1 gene:GSPATT00038318001 transcript:CAK70216 MLFQLLFIFFVLIQISEQTCRTDDCYSCSLHKDCCITCKCYRSQKFQRTIDTFGCDYKKC DKYDDGKCYSCVDGYYLQNSICYLCTYPCIECYSSTKCKKCASGYKLSNDKCIQCTVPLC KDCDSNSNQCSQCVNNSTYDSFIPACVCNASYFQVSGQCKQCISICGTCSGSYNYCATCK SVSNMSATPINGVCSCITGYYWNQSNSQCNQCTAPCLTCEEQATQCTSCNDASLIVNDDN ECTCQIGYFSSPNDPYVCEVCSKNCLTCADISNKCTSCYENYELNDGNNTCFCPDGFFEI NNTCNKCDTLCSKCSSNSVCTECIDNQFVKFSKQQCTCMDGYYFDAIQTTCLQCDSTCKT CQSQKEMCSSCSLESNRILSVNKCICKPEYFLNVNQLCISCKSKEAIAIEFCEYRDCADG MWSYGEECDDANYSSRDGCYNCLREPQYYCINEIQKPSKCFKCQEHCSICEYDYLNKKQV CKLAIDGYYIENSIQINKCADKCLHCQSNASQCTKCRFLNISKSNINCQLCEYKQGYYSD YENNSCYSKCGDTILADVEQCDDGNRINGDGCSDHCILEKSFDCINGVCSKTKNPIPSGQ ENKIYDVYTPKRQVLVKYDQELKLDQQFDINDQLYISLNQQANINYIIRTNVEFETKNLT NFSFTIDLEVDRSISNASLIIKYLTPSIFKNAQNQSQEIEFIEVKISDIVIISQSVQGLT TATVNSSNYVIYGLLALLGCGLVLGGIDIYFNLIDTLQYLSYLYYINTIFPYNVNQFFEN LSFAQFTIVQDFIKLDNIFEYDLEYDLEFHSITVPFKIRNQGMYSCFILNFASIFSLFLI GLAFYIVANIITIKLLSSKDDLLLQNDSTSNIQLYFLKLQTKFKHFTFQWALITIREFFY SDLLRIFMTTANEYSFTLGLGLKGLDFSSTFGLINSTITFLFLGIYILITFLSFKIVDQK RFAIKETQYNIKFGSVFQGVQIAKYQKLYNPFLLIKKFLFMTFLIYLYDYPIIQVLQVTL LSLVTVFFQIFYSPITDKLELIKQISCEISSFINSLLFTIFCINDLLKFLNENQKLIIGW VVISNVLTGLFSQLVINTIQQWKYLYYKFQFIRSTANSCSQLCKSKLQSTQAPHDVFL >CAK70217 pep:novel supercontig:GCA_000165425.1:CT868075:74547:77869:1 gene:GSPATT00038319001 transcript:CAK70217 MTNIQHHFKLIVTQTIFLADLIETTISLSVLFVLVMYWINSQISSNNETIIEIMKANITL TGIDLQQTISSIGFLFNITKLTLNQQVISQQNSTLQTVIGAFTIIERSQIAQIEEITSIS NPNLPYYVIQLTSNSLCTSNNTLNQYNFKSTPNCTNNPKFCQLLQNSYDDQGNFDLFLYM KNQRKDQVVFYTLFPFNIQLTQICGLLANDSLFQCFILDLAEYKQSEGILYSTLFTINNS VVSDVTDDCLNAEMKCSAATEFNNMDLDYSNTQDIVEFLDSIDKADWLNQMHPLTRYYES SNPIISLSMIDIKVYFYQSIHIGTYDINDEFYSEYKEDLINQTKEEQDKLNALQQNYDRW QLLATFNNKLYTNLVETKQEDYFDFFLFFQVFILFLLLTPILVNIITQNEFDQISITLNQ VSLMMQMFFELDYDTLHKDLNAFKEYQKSSLTELNELIQIFDEFCWLIDFLNQLNKKVHD LEKLEQGLHIFQKRNSIECLELILMKLVSEHSRQKDYETAITYNKQLISILEQQKKDTQL IKSEEIMRINYKLFQSLNQLLDLLSKLLIRNKSRDRNAFNQAKTLFSNIMDFADTLEIKF TLQIKLFFKKCKLLFNLRKIKEAEQCIDQAQLILQQNYTLSKNASYQNKTFNQDKKLLQV VQQKIYFYRGLIYMSSGQIQPAIQQLMLALDYGNVYQTKLRVKTIKMLSTLLAKQEKFKS DSQILKQLQYFFQNQKRQFIFLIDSTQRMAENQLEVVETIKQIFQIMNDDDLIQVSTFDE YTHVLIESQSKISILETMGKNYMEDGFFDYLSGRQTQNQRKLYQGILESLNQSLPKKYTN YLIVMTFGENYAEQNDKLVELLEKLREGFWHFILCSTQEKKFMNQRNTFYRLTDEARDGT YIELFANFEEGLSKIISLFNMFEDDFDLGKQDDLAREVKEMEVKANTLQEKSQQDRYLEF SEMVLNSFKMMEQKFNEMESKFSKVLQSKNKENTTSNIRQKSVSVGKIYAPPKISVGKIS LPKHQPPQLKKSILKTKK >CAK70218 pep:novel supercontig:GCA_000165425.1:CT868075:78146:80771:1 gene:GSPATT00038320001 transcript:CAK70218 MSQLASIGIDFGSQRSVIAAALKGGVKILDNEGSHRETQNVIGFTLEERFIGEQGALQQK SNFKNSVAFFNRFLGLHGEPSFRAEETKWLTVPTSINDSGKTLFEVNYLGQKTTFTPEQL TGSMLNKLKHVIVHNDINVQASNVCISVPAYYTESERKALIDACKIADIPLERLLNETTA IAINYGLFRKADLDAEKPRHVAFVDFGHSKFSAFVGSFYKEKAQVVAQVNERNLGARDID WFLFEKFATQFEQQSGGLNVRKNLKGKLRLLESIEKARKILSANSEAPINVEYLVEDEDF NTLIKREDFEQMIQPVLNQIQQQLEFLFNQVQNLKLQLHSVEIVGGATRIPAVQRLIEKI FKIEQVSRTLNASESISRGCAMMAAMKSPNFKVTEYKIEDCNYYPIRVGWLYGQQLSQQD QSQGLVLFDQNNAIPSIKSFALLKTEPIEISLFYDPVPEGSQAILQQIRIPPQNPKHQEH STKIKILLNQNGLLQLEEIVLQEEFMEEVKVPIEKPKPAEPPKQAEQPKPAGDAQQQQPQ AAEPQQPAPDAIQQEQPKPEEPQFEIKQKKKTIQTQINCETTSLNSMSKKDIDHLFQQEC EMQNQDKLVHETHFKKNQLEAYIYAWRENVNNKYAQHVKPDLKAQILKDLDVQYEWLYGD GQKTTKREYSDRYDKLVQLCGPIVTIAEEFRQVPETIQQALQYTSNYEAFVTSQEQQYAH ITHEERQIVANEVNAFKLWCNQILDALSKADKTVRFTTSVQQIQTKFNEFKDKCQPIVLK PKPEPPQEDKKVEEPQPQQQPQQNEANNNNKMETE >CAK70219 pep:novel supercontig:GCA_000165425.1:CT868075:81442:84400:-1 gene:GSPATT00038321001 transcript:CAK70219 MNFNTLLLEKDNDLRILALKEMLNQVDQHWSEISELLSQIESLSEDPKFPERQLASYLCA KIQYHLDNFDESLNYALQSGHYWQSDLNDKFNQCLIKHCVEQYRQSGKATEERIRLIKHI LELSCGQNNHISAIGVAVECKRIDWVAEILNKWKYPGKMISVLHLFNTQRGQFKEQLLRL SVDLIYEEAKQGQIPNEEWGQFVTVLIQLGEAIKVAEILWTLVINENHYKLQTGTPLLLA EQLCIDLAQNQKPQFIQKIIAALPIDQQFQEVRDKLIKLLSGEQQRKAYLYFLKNHKQVD VEVLNKIKGSNDPKQSSVLHGACMFGHALATAGTQDTSFLQANQQWATKCNYWQRFTATS TLGMIHKYNIEESQQIMATHLSQTNPFEIGGALYGLGLIHFGTQDQQLQLKLSDHMKSQK EQIIHGACLGSGLVAFASEDEKLNQDYHNLVSKNESVYGEGASIGFGLLNAGSGSIEQCK RLLVLAGQSDKDKIVRTLSLSIACILFHQEDKADVIIDQMLQSNDPLIRYGGCFTLAFAY VGTGSNKIIQKLLSISVNDVSEDVRRAAVISFGFLMFKNYESLPKIMRLLTLSYNPHIRY GTAIALGIACAGTLYADAISAIEPMLTDTVDFVRQGALIALGMILSQGNKDQEPKFEPIM KSINEIAAKKHETVLTKLGLAIFQGFLDCGGRNLTVSLQSRQGVPKLNACVGMLWFLNYW YWYPCLSMISIALQPVSFIGLNENLDIPKDFQLQCNTKQSVFDYPPPQKKSEKPIAEKKT VQLSTTQKVRARTNKKDLEKKQIDSASQGVISREQSKMSLEQQPSEIIRQPSLIEEQEKK QAAPKDEPNQYILTNPCRILDKQKKHIQLLEGSRYQPLLKDRKQGLVMLIDSEPSQPADV ISLTIKPKQPIIEQVVNQQPAPPNQVPEEFVFDEALQNEEQKP >CAK70220 pep:novel supercontig:GCA_000165425.1:CT868075:84611:86183:1 gene:GSPATT00038322001 transcript:CAK70220 MINVNNNLEDEFDGLTIEKLSGIKRSVLQSRDVHDWFKKRYNDKVKKKYLYFPEEIKQQL EVKKIFQNFDQNKSSIEVKFNLDNLDMSELYEMFQKNGFKITEEQSQKFFKIVDKDRDNA LNWSEFKNSAFNEQAAQVFYEIMKELRENMEKEQKLDSSSPAGKYMPFTFNNMISYLSYL ASRDELKKAIDDTSITQLEKFKKYMEMINLNSTISVQKRNQSTPEVDILHEEVGECQNLD QEQEFIKRNEQRFNLYKNSFYNSQSASKSPKSQKQPNVMPKEQLLRVPKKLREIDAIVRS HQIPETQSLFKLKEKTNNSIIKLKQDVSKYIHLAKTQYNINVDELQIQSTKSKPPLTLKI RNFEEDFKNALAAHQMSQSNSEPYFKFNGSSVQEHQLPILEEQSKIITNPNLFGDHLPIS VYKNQENFKEHNKIHLIQIHVSLYSKPKVMYKIQHYQQFTKTAISQKSRSQGRL >CAK70221 pep:novel supercontig:GCA_000165425.1:CT868075:86408:87755:1 gene:GSPATT00038323001 transcript:CAK70221 MSEELKDTFDGYLMFDFSNFHGLMAQTFDNEEIDIPRVEKQPKRKAYNIPGPYLDSPPIE FERSGDCSEGILFNGQLGEDDIPEVNLDEDCLSNFNYSTSGFNLLANLDFEPDEDAYDNA INATSEPNSLHHRNSKISRTKKISIFSPTLQFDDNVDQKIQMITLQNMELLKKEDHYDID DFYKFLTSLDFLNVSNQKHNDDLMKVDQLFHLVQIRQFFDKMVVILFQKTDQSHSISYTS KLDSFKEKMDHFINLVQYMVDDGTYRQKSLRDQHVFKKDYTLTMSVAFFKGLEIISLYKS LLMQKNMQDRLKKYTTAKKNLHSYWRLWGLIKEFEISFVQKNIQKSPNLTNSTLGNQKES VELAILDPHAKIQIARNKDNNNKISLQGFDKYLNKPCLKNTNYCFAGKWNQKKLQTSQYS IYYSNNQSDQLDY >CAK70222 pep:novel supercontig:GCA_000165425.1:CT868075:87799:88628:1 gene:GSPATT00038324001 transcript:CAK70222 MAFTLGTACYFLNKQTNMEIDFNQYCEKHELQSMVTECTKFFVRKANIQPQIAFQEFVQC YFKGETVLLRPYQQICNSKMNRLVFVINFNKIFESFEDKSGFDYYQLTKLLCSDFPREIV VSSLSLYANFDEELLFKKTFTLLQFCKALLFELLFENIFQELNAKLNSNGIIDSVLPILK KYYPTNDEQLYLPNPAAVFECLIKLSNEKNKACLNPILPFTVDMFSTQIFKWRDS >CAK70223 pep:novel supercontig:GCA_000165425.1:CT868075:89171:89536:1 gene:GSPATT00038325001 transcript:CAK70223 MQIEIKLIFCILFFISEFSARVTKAVVSIISEISSAYQPLFVIYDFTLVASTTSSNTTIN TSEKKIKQQHNNFFFDESFYQFSPISQDHNQMPIQHILCMQDLKLSQVELSFNISQIVMK I >CAK70224 pep:novel supercontig:GCA_000165425.1:CT868075:90017:91686:-1 gene:GSPATT00038326001 transcript:CAK70224 MNPVEVFPLNLLSHNHNHITNNPVLVLHQQVRDKNKGQQYPSERFNIINMSTVINGQQQA KEQNATEQIFYCKQWDIGCQSENDTTIEVIFIVAKQLQKYIQVPSPTKLHNLKKAESTSS QNILQKIDIGLAQKRSKRTDASNEVTPSSRAQIIKLLQDTSPKQKANFSRAKMASQTVDQ VTSIKPKMPQQMVMLLGRSTSQATLVNNLTNECEMQDYVQLFFSKSHSYKLRSQAGQNGN GQKKTNYDSVVITNNLGGVKNEYIFSVCDGHGVYGHYFIKNFIGKQEQDISEAYESEIQK VLNQSFIKMTKDLSNSGIDITFSGTTCSLVLVSGLHLQCANIGDSRSVNGIDNNNQILQN NKILIMELSNDYKPDLPSKFERIIQIVEELSHILQKLEKKLVQQEFWLQHEQIPGLALSR SFGDYGASTVRVSSEPEIIHYKMESNYAFLVVASDGVWEFFSNEKIQKLLYPIRIDDICE IIVRESTKRWQEEDEVIDDISIVIAYLHRQ >CAK83938 pep:novel supercontig:GCA_000165425.1:CT868488:1320:2456:-1 gene:GSPATT00039570001 transcript:CAK83938 MYMLIGLGVSSFIILLSGNPVECFEILDAMQYQANLKYISSNFPEHVMIYFESSEIVTII PIFEKLKILELYQVFIGQVYVPAFGKFLFYNINSDLITNLSSLIVQIGMAGVLFLISKMY LKIVFNIQYTKIRTFIYCNKMLCITQQIAFLVHKINRLSLGINQMMSLQGVVYIIKANCW DLLFKTMLYLYSEKENNLRNQIQDILAQSLLVSVLVLVFYIFKIKDSQMALKKRKSLCYD GLNVAKKILFVLVLIGCQKSQLLQSILLSLINSIYLTIIVLGKMVNSKIDLIIILMFEIP VIIFTLLNICHEETYSHFLSLQSQVMVGFGQIGLLSFGIMAPLIKYGHQIKSKLTILIKR WKKYKVNQKEQAQSSLFI >CAK89046 pep:novel supercontig:GCA_000165425.1:CT868653:1140:6611:-1 gene:GSPATT00022215001 transcript:CAK89046 MLFELDPEFEKHLNAEYILLVREANYKKSDIVDESKFNQKSSINFLHLMDLEQLHQIHYY SCLLNHEDAAYYVNQQSLQQQSTFESEEFIYNYLEPFLQFNITLGYKYNIQPKALEFIKN FEKSKQSLFFYTEQLFSYSSSIIHQTEIQNHFKLIFDQDNDDDLRAYLKKSLQQFTQLFS ESNYVSNSQVQSLNKIINPISSQLSNKKVQEEHHAAPPIVIILGSQACKIMQNNTYMTNH MKLLFSLAKITLLFDANTYSLNFVQKLFDKPHLTLNLIFENQTLLKSHQGQHLQIALLQE FSYFQKVKYENVNKQTFFKNMIQSLKVRLVYERCLFNELLIYHNTDAILQGFNELNELLF YYVPLLNILTKYQFLIAFQRTIYFSSFTITVYTTSVWFFNNQQNLIVECCYNHRIYLITK LKLQKRQILIEIKLCLNWNQNVNELFLYQQYFKENLLIXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXFTYQNQTQSVDVVIVYCFLSITLNDLISLLLQIGHQLKVAVFFIYSLSYLSFLLL HLNIMAPNLEFTFEISNLFEILLVIFIFQVSEQLFEHHFVLQVPQMRGLFQKISNSISHQ KESMNQKQISILNLQREINKIFENIEQIDFNLQKLLLSQKQLFQNLGQWQSQIFQKAQSI MKWKQKSRIQGLQLIFFHCQMLIIIYSESDTSYYFLITYIVYLIVLIAIYVFQLLYHLNS RQTQYLELIKYMMSGIVTLIAVLGLSVTGMSSYYHSFSEIIVTFQLSSKLHPIYDNRLYL IPLVVVLIGYFVIFSLNVVITPYFVIIKISIILFFSIQQYLIKSYVIYNNIQFILLEEDQ ATNQINFIEENNKINDILGILLPKFVRHRLNETDQYNIHQNQGHVAIVFCDICNFDQIIM EEQENIIPFLDDLFRTFDKYCEICGVQKIETVGKTYMASAGLKACEQELAYLSEIEPVQR ALNLAEMMITYIRSKLWGHQSQALIGKIGIHYGRAISGVIGFHKPQFSLIGDTVNTTSRV CSTGQEDKITLSERAFEQLKNDKTEFEVRYVEMKGLGQRPTYVFIQKGNTKNYIAKNSIN NSNCNSRSNTMNIANRVRTQSLQKGGLKKRSIAIQDPLNQRSKQLLQIQLSWNYQQQNGQ QNSQSLSEENSIKKGLQNSLSSHHLNHFPQDNDNEDNLYRNIKQPELISQLASLFHHKFH LEEYQPEVDHYINYDQLLNVILLKNENGLEDTLILQNSFISLLKRSYNSSKNNYLEYHEY IYKQSEHVTNKIISIYSLYYLMKQFCLIGEYNMISLPLIILQWIGCALNIISLMLYKKRM IDYWVQLIYIGLSFQLIIAGIFVIFDMPLFLRYSHIIEMIFIQSFFSNIQLLHFWIKILF CISSFTFEAFVLIFWNEHNISLFFAFIVMVYNLNYCYFLEEQQVACFNQKNIFQSQQAKE SQLLQYLLPKHILQTFLDDNNRARCLSEKIDNVTILFADIAGFTEYSSRVTPEEVLLMLK NLFVEFDRKCYELNVYKLYTIGDCYVAIGMIDYNERNPAEEAKNIVDLAFEMIRIIEVVR KQINFDGLDMRIGIHTGSVFGGVMGTDIVRYDIYGPDVLIANKMESNGKKGQVHVSEVTK QLLEQDYEDIYTFTLNTKVTLTAINRSIDGYIIECLAEDDFPSDQINSQQIQVQSLHSDH >CAK89047 pep:novel supercontig:GCA_000165425.1:CT868653:6646:9143:-1 gene:GSPATT00022216001 transcript:CAK89047 MQKLLQHYDEFKRKTYQLHFRNGEVIKLDGYSTYSNRINRTSSIFSIVLFAPFRIARNNI IYFTLSIISLVLLASIWFMQIQNYHRDQQQYEFYFIFSFQLFAHISVELYNKFKINGLDI KLNNQENKILKQWDECISNKEILKRIKQKASQKPKNEEIKEKDRSIPLKLDHSIKNDQIQ RQLSQKSRSSGISIVQSQFSRQSINQGRPAGSPRQDRFDRMGSRASLMSPLIHEDMIIKN ELKIKLVQDPAIRKFMQQVPRIFEKNTSRSKKIIDSKTINTTDRMDLIKSITAAEIKLGD VIIVERNQIASCDILVLYCNDENFAISNQLCEYSDTAMRKPLVQNKFDSHNLVLFKKSFT GNIQLNETKNNLSGYFQLKKDPQSKVIEDENFIFAQEKLLNTPWVIGIVIQVGLNCRCYK QFEIRPQVPNYSQRLLISMIFLYFAFLILTYVITYQNKYVNEYLDIISLLFTNLLNLLFL LPHSFRFYYNLIQLFQQRIIYKISQMDMKQIKAFHPEYYQQYKRLTLNLDSILEGTFELR AIICNYRICHCREQELFQQAQVYASSISSQNIFKTVDSKEDSKIVDIQASYSHQIQNSKQ QNNTQNSGRYLEEEIFSYEAQEEMVLNMPQTVGFRLISNFTNNDNTQQEFNALEQHQSIN PDKSDYQQKSSINRVQLSINSKSAKQLSLKDSRIDRKSIYLNDLIKILTSEDDFNNIILL QLALNHISYSKLRYTQTGEEKIKNVQVNLLDEKQIQIAKAFGFEFICKYFSLCCLNQLEA LLIQVS >CAK89048 pep:novel supercontig:GCA_000165425.1:CT868653:9182:10946:-1 gene:GSPATT00022217001 transcript:CAK89048 MRKKQQLKKAAKENDSSQPTTAIASQKKFVNKDLENDLLEYKSKMINHIENRILHSQKKL EDSIREEMEHFEQQISKTINELRDDRFDQKVCSVQHQERQMEKVFRYKPSLLSEMLKQSD YKTLYHMMMASMFILMGNLWIQDYLERGIIFDMDTFFWCFQTPLLVAEILFALVLSSYLT VYWVTYCYSNKIKPFTAILVFSLHQIISILAACYMTTAWVNGFGSRMIVMCEAVRMMMKT YSYARNKMLYGTENKYKYFILQSFERKGITKQNALLPDINIQSLSQELKRYTYFFLAPTL LYRDYYVKAPNYSKKKVVIEFANFFLCIYYGFILFRSFCKEPILQLRENLTLMNFIVTLY KLMMPSTFSLVLVFFGLLHSWFNGWAELLRFPDRTFYHDWWTASEFGQYYRKWNVIVHEF LYYYVYLDSEKLSQGKRSRLFRQLITFGCSAVIHEIILTFALGFFYPICFILFTGPGILF IQAKEVFKSGWFNILFWVLMYIGTSVMITLYLTEYYARILISDHLIEQRWGIIQYLIPRT FYLITGI >CAK89049 pep:novel supercontig:GCA_000165425.1:CT868653:11321:13855:-1 gene:GSPATT00022218001 transcript:CAK89049 MESNNFETKSLKHRGKDEKMTIRMNQNQIIVVLEPSDLQSAMKLQIEQIKDKAANKNYNW EIFDIKQEIGAGTFGQVFASLDQKTGKKYALKFIGYDDESDQDPEFKAAVREIVIMQTLS QTNCQGLLKIYDIYPCIKDDNKYLVIVMELCDCNLMELLKVRIEENKTKWTDEELLYILY QLVEGFVIMKKHNVTHRDIKPQNILYCQADKSYKIADLGGAKFLKPKQAQLNTVRGSPAY WAPEIYFFCDLQKDKEGRFFVGKQHLNYDPYSSDVYSVGITFLLMRKLIPRLDRESLKIE VEMLRKLKSPSIFEQILIKMLEYDDQKRVSFEELLQLISTYKDLFKKPNEDVFVNCLKTQ QDKKNLSPQDLMMREVKLAEAYEKLNLPEHAKSHYELALKYAIKLSKDSAKADIMNSLGT VNCDLEQYDEAEKNFCESVALYKKLNGDKHSSVADGYNNLGIVKRKKGEFEKAIKHYEQA YQIKEQIFGENNLGGAVILQNIAMAHKKLKNYDQAIKYFKSALQIKKLLCGDRSPILCST YDNLARVYYEKQQFDMAISFYSITAEIYRKHQQSNQQRLSDTLFEIALCFQKIGRSAETI KAAQESYNLRKQIYGQYAKQTVKSQELLSQSFLEEGRYEEALQHYNQLKQFYLDNLVKCT VDERFDSMADILKNLGASYMGIQKYDKALEHYREGIEFLMKRNDNESTRMAKIYYNMGLV YRKTKDFKSAEQFYQTAASLIANSKSASDLITLGDIYNNFGVLYGTQDKFVQAKKYFQMS LEHYQKVLGPNHSICKEVQLNIKELEKWLAK >CAK89050 pep:novel supercontig:GCA_000165425.1:CT868653:13871:15508:1 gene:GSPATT00022219001 transcript:CAK89050 MQYQQQRISTTQEYKYTSQTKSARTNTPKTHHSPYEFSLDSIRSPQENRSSKLTPEISQF LMACEIERLSAENEKLKFRIKEMQDNAQDKYHLELQIIDLTHRLNDMSKIIEIQKYDKSE LIMQTTTLQKEIQHQKQQQTDFYESRISNLLNEVDNLTTQLREFDTLKFDEIQILRNELE FAMKTEIEKKKQKQELNSQIQREFLEGELKKWKEMCNQKQSENDDLKNTIFQKELSKQRE LEHQLLSYKNETERMNKVLVSKQEDIEIWKQKYLKLQNINEDYKKLQIENQQFLDKFKAQ EERNTLLTSQLNQYRQQIENANALILAEKNKTSQIFTQLAETDKKKSEFENRYKNLLLEV DKLNEINKTKEQLAITQNIKIEEFQHSLIQYRSLNEEKAQEVDTLRKFIIQLQQQIVQLE EQIDQLKRFQENCRVLSIEIDRLNEENKAQDLELRQWRMQYADQGFLIKKLQDQLCVIVV LVSEIESLRSRLLEKDLEVEDARRSSLAPYKM >CAK89051 pep:novel supercontig:GCA_000165425.1:CT868653:15568:16294:1 gene:GSPATT00022220001 transcript:CAK89051 MKVVQALKSTKFPFASFTNRDVKSDFHLLKPHFENLVPDEYLQKRLKLPTNKIMRKRRMM NYHIEFDKDGNITRFHEEYRLDNYDETKCLQHANVYEELTKEMKQDQIYKDFLYNTLQIT WQLKQFQKAQISVHAVRTISYVDQPASTTPEGPNRDGNDLEIIGVINKVNCQGAESQIYS SDKSKLLFEMSLEPGEGYIIDDKSLWNYATSHKPIDESQHGIRDILGFVLKIDH >CAK89052 pep:novel supercontig:GCA_000165425.1:CT868653:16390:17169:-1 gene:GSPATT00022221001 transcript:CAK89052 MQYAVLQLQNIFTEISDCQQKQILIAIFFLAIMATILFLFQICNSRQNKRNRKKLILIGT SGEEKTKIFNLVAEKNIKSQAIKLDIDQFQEFSIGNNLDLVNSPFSIEKDVSKEQIEETI YQLTIYYKQNINDIQRLYLVVNFEQIINMKEYFLEDAQHFKKFSNLIDIFVTNFQLSQDQ IHDKENLVKAFHHLNYNKSKVHFIRDDIKIEELQQLLIQSANQFDYKDTLFEDVDEIEIE HLTNLLANGKRYQNIQIQS >CAK89053 pep:novel supercontig:GCA_000165425.1:CT868653:17221:17952:-1 gene:GSPATT00022222001 transcript:CAK89053 MSILTTFIKYVVNLVFEIFLKAVGCFRKIFGTQHQLALILGINYSPTLFIKLQNTSSNFK QNNFQSHKLWNSKQNKNFYVVSCPFLTLTDTIDEREKCIEEYQKFFRREALLKSQIKCLY IVTEYDRTDIIKANLLSCIKWFHQYRDLIIIVITSFEKADDQKNSSDELYNALQIFVQAD KRIILVNKNTDSDLLNEQFLNAAQQVSMKGEFHPRNTIFEGYDQQEGNRIMQQLHLSIKK YRI >CAK89054 pep:novel supercontig:GCA_000165425.1:CT868653:18173:19282:-1 gene:GSPATT00022223001 transcript:CAK89054 MGAKCCAQQPILNHQVISNSQTPAFVEQLQYITIDNQKILAQEVSAEITDEKKQELEQRK SDEDPNIFKSLQQQSQKQDVQQLTEVPQQLQHPDLRLYEQTIEITNNNIKRTLERIGKYI PIQQVQHSGQAQLPYQIKGEVIYIGNWEGIKREGYGKQFWLDGSIYEGQWQSDMICGHGR IIYADGDAYEGFWMNGGAKGFGIYYHFDGARYEGQWVDNQQEGEGKEYWPDNSYFEGQYK QGKKNGNGIFTWSDGAKYQGQFLDNQIHGQGEYTWADKRRYQGEWKENKMDGKGIFVWPD GKKYLGDYKEDKKCGYGEFYWQDGKIYKGYWKDGKQHGKGEVIDPSGNKQTGEWLDGKKI >CAK89055 pep:novel supercontig:GCA_000165425.1:CT868653:19312:19616:1 gene:GSPATT00022224001 transcript:CAK89055 MSQRKLFRYYIDQSIQQQNEQKLFLTRVNRWRFNTLDKSNFLKSTRPIKPILFGLACLAV YDWTYGFYRIDEHH >CAK89056 pep:novel supercontig:GCA_000165425.1:CT868653:19623:21316:1 gene:GSPATT00022225001 transcript:CAK89056 MSTHVNQAQQNLTNSQQETNLRNRIRSRKLFISTIIKFFFAVMLFLLLSLQIQYHLDVIS IFVLFLIYHLTDFLNALYYHFKMKKLQIRFKKKKLLGYVGATLFDFFYLTCLLLLKKVGY QYFVYSNVFLVIAILMNFYSLYQDRALLESLKMLNQISLLTRIYVAICSLFITLKIDKVI IWNWTPTLWGVWVGLICFIGISAGSLIVTFSKIIQYLFDRQNLQRSECICSYNNFQQFPT SGQLNCSYLGVFPYHQVLWDIQSIQKINQIILTISLCKNISQLLNFSSFQFIPLYFRIDI YDEPVNQQQFSVNIQGNNQTLQQINPTSSRTQIPQVVQKISKAYFGIPSLIKKEGGDQDS ISGSPVLKKSSKGHKRAFSSQGVASQMFQELDYIVIDKQPPNALNKTTSNELKFQIDKKR CNSHLVTIAHDDSIQNKKQEMSMSQTSNICIVCYERGPNAVFMNCGHGGTCYQCAIDIWK QKTVCYLCRNKIEYILKVDLEDRYGDLFKVVSTAQMIDQFNK >CAK89057 pep:novel supercontig:GCA_000165425.1:CT868653:21362:23279:-1 gene:GSPATT00022226001 transcript:CAK89057 MQDRILSAYRKGKQTIALYQDSQNKAPGFQLNLNNFPNISIKSIDDIDIPNSLRACLSFQ ISKYYDHFALINAFNVLQSEIELMRNIYEIRENPFAVWLCDQGEWKISIVDDQVLCLKNS DETLFKCTYQWAIIIEKAVAKLLGNSYNQLNRYNRLYMQFDVVYFKLLIGQPITQIQMNR IEELRTLLEQKSSIFYIEVNYKQRNNAYVILSIKENQVELQAINQESICDAGVKQNDSKF LFSWNEFQQYPLFQVHLNKNSQIYTFTMQQPMERKLQFIEHTYIYNFQINKKDTYWISIC QRDQNFNSLKQQQEIKYGLIRFLLFKNHKKSYQLISDTNDFNQNLYHRTELEKGKYTLLC QAEFYNLELLSQELQNQQKVLKLQIQGLKPPQNFDQSEQSEGKLKELMVAIIRQTSKANR QNIGKLQKEGFPQVQMTMDNTIGFMYIYYENHGDLHFEEYLQFNIHENLINYETQQMETF RKVSIPPKEYMLLLYKFDPRTLLQSPVIRFKCQVDFKIKPSVFEYIYFKQDVQFIEIQNL KIFIMENKKGVLLHFVNQSNNIRKVECELKLNNLLIVQNENLTLKDKIANFEMKSKSNYV ILLNFQNPQEQKYNCEIKVNVQPRQ >CAK89058 pep:novel supercontig:GCA_000165425.1:CT868653:24015:24413:1 gene:GSPATT00022227001 transcript:CAK89058 MDDSFELDILCKQNPNNLFLQKETEIVNYLRSLGIKMKTDPTIKQELFHSKRKQQFTKSN TTQFDIISIKQLEISSNSIKSRRTPSHTPQSSPLMINQENVGQTRKSIFSIRLDGFGDEN LEDEVGDYFTNM >CAK89059 pep:novel supercontig:GCA_000165425.1:CT868653:24510:26127:-1 gene:GSPATT00022228001 transcript:CAK89059 MSENQRTMTLTQLTHMIEEKVTEIQANPDQRISELPQLIELLKHENVFIVQMTIMALTDL FIDIAPLYKIDQQAHEFKVTKFIKKEEKQVLNFELSLIKNYYQFIKAQFTFVKLISQGPL VETCYQSLCKLLNSLFHFNYNRELVQYVTYGLLTCNEICYNSLANILRNKKHSLHESKLQ ILTQIQKLYQTKHEDQLPDNLVDLVNQIQIDTKFLPQELEKQEKEKKRAVKQKKREYFSQ KEKDKKKQKLTKEEMAKQKKLLKEVQDELQEAQGDLDKKTLAKNNGEILSKIFYIYFKVL KLPRVSKYYESALNGILQYVHLINIELIQGIFECLLSSTNILRQKKDNLLKYINLRLKTI FALQSIMDGPASVFGVDDKETMQRFYVCLLDMWTNKKIKITEEEESLILRILDSAFIRKR HFSQEVTGSFVKMLIQLANNSENVRFVYALCYCIKLVMQKYQKTQKMLEEDNEGFGMNSY NVKCDDPSCTNALNSSIYEEVKEIKNFRNSTTNKR >CAK89060 pep:novel supercontig:GCA_000165425.1:CT868653:26294:27096:1 gene:GSPATT00022229001 transcript:CAK89060 MSKYSVILPTYNERENLPIITYLIFEMAKKNNLDFEIIIIEDNSPDGTLQVAQELKKVYG DKLIIHFREKKLGLGSAYMDGIKLCHGNYVVIMDADLSHHPKYLVDFIQKQKNTNCDIVT GSRYINKGGVMNWGFDRKLTSRGANFLASTMLGVKCSDLTGSFRLYKREVLEKVIKDVVS RGYAFQMEIIIRARQYGYTVEEVPIVFVERIFGESKLGASEFQIYLKGLWKLLWTF >CAK89061 pep:novel supercontig:GCA_000165425.1:CT868653:27096:27869:-1 gene:GSPATT00022230001 transcript:CAK89061 MKISVTENNSENQGFLKQSQFFQQLEVEIIKQFQLSIGLNFQQEIFVRFLYFLMGNLIEK LKSFFSTSKLEVCMVGLENVGKTTMLNQLSMGDPSFTVPTVGLNVRTVKKGGVTMKIWDI GGQVQYRPEWGNYAQGCDAIIFLVDTSNQATLGTSKKELHNLLDNKSLRNIPLLVIGNKI DVNPHLNEKQMIEGLNLDYITSNAWAVAMCSALTGNNITQVVDWLITKSKKL >CAK89062 pep:novel supercontig:GCA_000165425.1:CT868653:28146:28862:1 gene:GSPATT00022231001 transcript:CAK89062 MNSSMKKSILSNYETYSSFKRKQSQPLATARQNQSQTHLIQAECKTPEKTSFLRQKTPST KTKVKSQNTDNKENENRTVNRNSSSKKSYRQTQEKQTSNLMKQINEMLNQKSLSVPEILQ ETKEDNEINQFEQTQFQRAFAQNKVIKIQDLFSQNQITIKELEKNWQAQLSQESELIKSL QHHESIQQIRSDSKENLLNSLIPLENSTVHQPLIYVIDLALL >CAK89063 pep:novel supercontig:GCA_000165425.1:CT868653:28864:30616:-1 gene:GSPATT00022232001 transcript:CAK89063 MRNRYLYQLTPTRSRRKDSIESDFSSYTGQATNTSQINQKDRPKYFEEIDNQNAPFSHNT IISQQIQYRCMNGDYIQLCNEHFEMKNKTILANEINNQSQISIFLNIKLDQSEDLLGVWI SKDIAQTYNSDVLLLECYITGDINQQNIKLINYSILLADELFITCQTIQQFNTFLTLFKQ VGSRLQSLNIVEIEQDELDIQQINELKTICDFVSYSKKFPSNLIDLQWQYYDYKQVNSRL IPLKKWRGFNLNCRCFFKLAEVLAEFVSNYQKINDVIKDVIYNKVFEQIIDDQLGEFQTQ YEDNIQKSIIPILPSIEIYQLLFQERMKIQENVFEDMHMFKELSYFKLKYSQFLTKIDQF ENEYIIFNKEIIECFQSKKITDYLKDVYYSKIFDQTLLTTQNIQSEISEIFIKLFSIFTE YLAKHNYDQLIMFFNKTYKTFLTDTLEKVVSVHEKELNKDFANLIELIDSLHFQRNIIEE KALYLSDYMEIIKKEIQNMEKIVENMTHLNDKLMPNYKQSQLYQAQLHQLEVTNFKYKLI IQKEMQKAVKKEKKLKKIQ >CAK89064 pep:novel supercontig:GCA_000165425.1:CT868653:30629:32606:-1 gene:GSPATT00022233001 transcript:CAK89064 MEQNEPKEVVDEQLVKQVHQVEQQKKQKQVFQMMEMSDSEDEQQSEQKNEETQTQQSDQT TQPQNDQNNNNQKKKKNKNKKKKEKKQQQETSQKPEQNQDDDFEFLDKVQAEQTQQQQQQ SQMIQFQESQENGPLAINIKKLNYNKELQQHFKNAKIAGGQQKKGHKNAKQSYLTYNPEI NIPLPDKFNVKFGNLNEQGLQLFSFVASQQYERLQQDYTIVKGYYDPQAIFNFLQMNPYH CEAQFDVSEYFRLKGDYKQANELLERLLYIYECSLGYGFNKFFEDASINLEVEENAHSKV FLMSLFKFTDVLTRKGCYKAALEFSKILLKFCPTRDPCGALFLVDCNALKAQNYEFLINF TSNFARSVYKSNRSSIVFMPNLLYNCALAKFLEKGENHHYSFNDQNITEAINNFGPHPLQ ASHQVLIIQAILLYPIIIKQLAEANEFVKQNVGNLESFVDNQRKPFKELLTEEFLQRETY LHWFLQIDAEDDNEGFLKSISIFVEKSKSQWKTNEILKWIKGALGLIVNHIKSQKLDLGS FYEDLTVVKEGAPIYNELPFQYRRYKELSKSHFLENPERLDFNNIFAENIGAQQQQPQQQ LPANFNPLNANQGLLQAVFGTLLPWVHHPNAQM >CAK89065 pep:novel supercontig:GCA_000165425.1:CT868653:32673:33444:-1 gene:GSPATT00022234001 transcript:CAK89065 MQNFGSNNDLDEKGHVMTDQPKIKVKFEPKPKPSTCPICTVEYYQQFFDIEQRDVYMRIR CSLLSWKPEFYQCVGKNPDLWGPLWISTTIIFILFAGGNLSRFLLEEDKRHFKYEYNYMY VAVLIVYAMAFIIPIILGVIMKYILKSELGVFEIVCMYGYSLSVYMFVLVLCIIPYNEAQ WFFLMCGLANSTIFLLVNLWDFMKKNKQTTLIAVPIISFNLALILCFKFYFFRLIYAVDD >CAK89066 pep:novel supercontig:GCA_000165425.1:CT868653:33469:34677:1 gene:GSPATT00022235001 transcript:CAK89066 MLFLVSLIGIVYSTQLTLVEPSLTIPSTKNASMPVNVADFGYVPYGRTVGGNLTYVENTC NPLNITLNSNIVLTMGATCKFLAQAMNVQSAGGKMLVIIYNHEEDISNFLLIAEYGSQQS FIPTMMINKADGEFLIEKLESMTIYAQVSFELKQQEIVDLQYFLSSFDVLSYLFLDEFLP FAKQMINKITFDPIYIQFYCKECEKTGYKATNQNCISGGRYCGQDPDQNGPLTGRDVILE DLRQICILQKYDLITWWNYMILFNELCFNNYQECPSKIMKSISINETIVNDCMTSSFVGK NTLLDDNTILKEQRYKIMRNHQVYWPSLYINGEFYKGDLYLNNADESTVFDVDDFAVLEA ICDGFLDDSRPTLCNATGFFSIKDGELQDCKYNQFIFQKQVP >CAK89067 pep:novel supercontig:GCA_000165425.1:CT868653:35094:36288:-1 gene:GSPATT00022236001 transcript:CAK89067 MLQQIDKLNWSLVLIIQLQQMNFSSSEYRVLSNLGSGSGHQVMKVQHNSTSQILAMKIEK GPQLGQIETEISRLKQLKGLDGVPQLIDSGKTKDSRYLITPILKRNLQEILKDNSMTIPQ ILTIGLSILRVLEQVHKKHILHLDIKPENIMITSSHINVPIEEIAKPGFVHLIDFGLSQK AGQSISQNKVFVGSLRYASRQAHKGNQLHYKDDLESLLYVLVYLRNKTLPWQTVQQYQSQ QLEIRKIGEIKEAVFNSMNLMQRFPSQFTAFKNYIDGLPETQMPNYDYLKSLFKEILNLE KCSPTLQYTASITHSNSFKESNQIQNSDRIISMFSNPQKQDQVQRDEDLCDSETSVILIS NWIKTCDTQKPKSIVDIKF >CAK89068 pep:novel supercontig:GCA_000165425.1:CT868653:36435:37630:-1 gene:GSPATT00022237001 transcript:CAK89068 MGNCQGQCYQKSLSQANNVDCTKLIILVKTWQKDAFSLFDYENAANVQEQKFIVIIFKLH LQIGNEGYLVGRNQVEWIENESIIKDQLCKIKKKNENYFIVNPHFQNDDKKQSIQENEES HNIVNKTVSDKFGNKIWKVIHESGVLLQEGDVIKLGRVKFTIRQIALQIKPQEQKSEYES SQSNSSEQIMCRICCSSQKSIKNPLLNPCKCSGSIKYIHLECLKTWLRMKLENRQSDNCI VYLWKNLECELCKFNYPPKFKSDDAYYDLVELSKPNDYPYLMMEFTNKQGQQLEWNNSSG IYILKFSNVQELKLGRSTDTDIRVNDISVSRNHARLMVQEKKVYLFDNHSKFGTLHLIRS ERLQIQRGMEVQVGRSLISFQ >CAK89069 pep:novel supercontig:GCA_000165425.1:CT868653:38309:38750:-1 gene:GSPATT00022238001 transcript:CAK89069 MNSSESEEQDVNIEEEELSKPIILAQATKGMEIQEHSDPFNGTFVFFDEDHTLGNSLRYI LANQPNVEFCGYSLPHPSENKMNMRLQTVNETKEKIMNDGLKCLSQICDIIEDKFKKALE NK >CAK89070 pep:novel supercontig:GCA_000165425.1:CT868653:38806:39440:1 gene:GSPATT00022239001 transcript:CAK89070 MSDGIFVSIMLPAFIVKHENQIDQLLKQTLCISSQSYEIFEIPSKFGKEFGVKLTQNSLN LRDIEELIEENRQLHASYQKCKESLQTLNCEFEKIVNDPLIQSESDKMKYQIKKLKKVKE ENKRLRQLLKSYLDNSDNRRMETHAKVELLKDELNSLVKEFAQQEQQKKQNDILISN >CAK89071 pep:novel supercontig:GCA_000165425.1:CT868653:39532:41300:1 gene:GSPATT00022240001 transcript:CAK89071 MENDKQSQFEDHILQRFTLLEFKGKGAYGVVWKAHDKQTKSIVALKKVFDAFHNKTDSQR TFREVIFLEQVSKNANIIKLLQVIKAQNNKDLYMVFEYMETDLHKAIRANILEPIHKKFV VYQLLKAIKFLHSGDIIHRDLKPANLLINADCIVKLADFGLARTVNSSSEDDGKYKIRIL LVPILTEYVATRWYRAPEILLGSQKYSKAVDMWSIGCILGEMIMGKAIFPGTSTMNQVEM ILEILGTPTEEDIKSIAAPLAKHVLDSFQYVKPKNFKTVFSQESEDTLSFLKHLLVFNPQ KRLTVEQALAHPYMAEFAGSEEETVIDFPVQTFMDDNVQYSIEEYMTALYQHINQKTQQI QHQNWFSSHQSPTNASKPSSTIQQSTTPTSVQDKRKKKVDSIEGSNNNSENKQIIRQEVK KKLSEDNQNQKMSALEKFKSIENMIKMQKAKLGTSNGQITVQTSSKLQYGNKSVNITRAD SQKKKEASCEILIPSPAAKSKIQKIVSQNTFKDPQKVSTIKSQSKLPKHESQHDKFLNKK TYLQYILSGKAKLQK >CAK89072 pep:novel supercontig:GCA_000165425.1:CT868653:41618:42421:1 gene:GSPATT00022241001 transcript:CAK89072 MSAFQIKVWRQIPQYESVFSRRKRVFKRLRIAGYAVQFLVFYRTEQIKRQNRQRQMQQFL RNPLISTKRGALVVQSAKSNRSPKSPTPSENKIRRNATDYFEIDSIKEKMSFNDHCSTLI VCQNQSIKKRQVSLYMEEMLKNITTKQSIAMSLTPLSIIVLCFNMFSQDKKLKKNKSIPC ITEQISPKYSLDNLQLEKLLTPLNLLQRGKRNQSNIHIFHNEYSNNNKEVIKMIDNLKIK HRKLKRKN >CAK89073 pep:novel supercontig:GCA_000165425.1:CT868653:42443:43183:-1 gene:GSPATT00022242001 transcript:CAK89073 MELNAQQLSEIKQELKKFSSTPISKSYKLIAQIYKGNIETQALIDSEITKSIVRIGQKKY TEDDEEKYAKAIKICRKLVKQWKQLILTNDQAATDHIKKEEPKKELQRIVQKQKPSKVEE PIIQKKVRQEQEKKEIKPIQHKNGNSHHTKLQNNDSQNGKGEPVDEEKRKKAVEGLKKYF YQQTQKEEGEWSKKIEQAIFDLHKSSVQEYMDRVKSVVKLIDRNAEFRSKLLNGEFDLVS EIKNLK >CAK89074 pep:novel supercontig:GCA_000165425.1:CT868653:43255:43576:1 gene:GSPATT00022243001 transcript:CAK89074 MSKNNNILQKNLEEENILKQDELFEKVQQLKHVSLNIQTYLKNEKPSLDKLNNDYDKSID MVKKSISGIGKLLKSSFGQNTCFLICVVFMAIFILYLL >CAK89075 pep:novel supercontig:GCA_000165425.1:CT868653:43785:44549:1 gene:GSPATT00022244001 transcript:CAK89075 MFKLIQRACFRYAVASGKAEAEIKSVAGHKPPSFEDTVQGKYAGVLFSTASQREALHLVL QDMKYFKELAEKSPVFAGFLLNSAYKRNQQRNVIQALTKEGFHEVTVNLLNTMIDSQRIS YLSKTAEKYIEYYRIFNKEENITIISAESLSEEQRAQVVGALKESSPNVQFSVQYKVDPS ILGGLQMYSGNKFLDCSLLSRVNKLRSELQKLSI >CAK89076 pep:novel supercontig:GCA_000165425.1:CT868653:44549:46368:1 gene:GSPATT00022245001 transcript:CAK89076 MDSLKDPANDRYVKTLKPPPHRPLAKNLMFPDKLKNKPDWKLLKDHLQKEGRVAKEELFK LVADCNKLLKNEGNVIYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPETTKYLFLGDFVD RGSYSIEVVILLYAIKINYPNTVYFLRGNHECRQLTAFFNFKDECLYKYDQETYEMLMDS FDLFPLSCIINSKFIAVHGGISPDLKSIEDLKKLDRYHEPPRSGLFCDILWSDPVDQDQG NLDGQWKGNEVRGCSWFFGNEASNKFLQRNNLISIIRAHEAQLDGYKMHRWNGGQDFPVV ITIFSAPNYCDVYNNRGAVIKFENNTLNIQQFQYTPHPYLLPNFMDIFTWSIPFVAEKIT EMLYNLIQAGDQGDDDEDINQEDIEQFKILTQQNKQFNKQQSTGSTGKSTEKLKNKLKFV ATMMKMQKTLREQSESIMKLKGACPDKRLPKGILSAGKTAITDALADFNIAKTADIVNEK MPSQAQVPQQSVSIKKPSNTVQNKKK >CAK89077 pep:novel supercontig:GCA_000165425.1:CT868653:46392:48320:1 gene:GSPATT00022246001 transcript:CAK89077 MQDKQIIQLFEKYSKLNDPKLVFQTSEQILERVKEDDITFVLSKIMNCLQSTDFDTTINY ALLLKQLIQKYSSKHQKLQPKAIFDNLQQTLSKKNSYGKSEFKHFMLTKYLVYSYLDFQN LLNITLEDRKTEDSEYLTYVLLKQLKPENVKQVSNAIKNDLQNLTPRVFCILVKLQQLSQ KGQFQWDKYLQEQVFDRQKFHKLLEQANDQFPKKQLFYQYLAIQMGKFSQSQDNQKIYTE FWEYLFQQEDLRKLNYIILSIFKHFLKSTTVPLSLIRNQQLVELWYRQFGNSNSVMKRLA NKIEQYLTQRDISFEDIVYLRNIYGYRIHPKNGIAQKILAKFTEDEIDQYFENMKQQEQS STDPINKLYYLNEIYVLATVANTNVKVLTSMIKYIYEIGCINFEQIYEQLDEESKEAYQF EEIKKKFLDQCQSFFYSLVGKVAANVQEFDELTKSLLKQLKKSDLSKQYKLITGKQPKEC IKHLVNTILMFSFLNQEEGLQILEEFQHIAGDIQEEEPQTKKKVKTNPEKAKVVLTEVFI QLLTKSPCILCNLYNIEFLRDAVNQCCKSLEFTTECLEILLDVLLKEDHEYIAEMQQQEL DDEEGGDESLEEEEEENQ >CAK89078 pep:novel supercontig:GCA_000165425.1:CT868653:48632:50637:-1 gene:GSPATT00022247001 transcript:CAK89078 MDDSFEVNQESEQMSQESIQDEDDFVERVNQLKKIVPNKQEDYEIIEEGLPDDLVELQEK ILRKDQKKIDQKPKKKSYVPVNQIRTTIIIQLFKLFQDHKTMNNLSEFAFKLSNFTFSNI EMIEMKLNQAKTLQEKVFALYQGFALTYSLKTQFFKEFKITHLSPQEYIITFGQLCKYYG LNVRLVRAFDIGFLGLELKFKIRTIKKNEQENEEVEQNTKTIFDLNSQFMTQSEKVESLN QFIYQKPNQKDKGLKVSQEFQRKQQQNESRSLMDIQQELKKPKKKDNKKQPNERQINQDI QTYNQNIWVEFYDSNQNSWIPFDPISDKLVLLDINILKTKLQNSFSHFIIAAQDLTFKDP NFRLNRLFDNTHFVDVTQKYSHYYQIQRCQLSLERWFNQLAYNAKLIYKGLQQLVNEPVS ANVIIPAPQDYTNEKEFKYSQYYALASQLSQYQMIHPDAKPIGIKFKDEDIYLQSDAIIL HSRDKWREYLREVKLDVQPIKEVSQKFDKTKTTALYAIWQTNDIKVSLEENGGNLPSNAY GNYETFSFPPPKGTRLVRMQGIKHLLAKNNIKFIEAVDGFDSQNGRMFAQKCGYLILNED YDKIIALYEQYKIEIAEKNKINKKKELIKLWGDLFKTILLKRDLQAKYQQTN >CAK89079 pep:novel supercontig:GCA_000165425.1:CT868653:50822:51734:1 gene:GSPATT00022248001 transcript:CAK89079 MGNKPSVNQSKFQKQLTINDKQFKKDQSQSNVIAPYREGTTLAVESKLKVYLRNANDGED LDDDNQGGRNKLQQFKEDQHNVENIQKEIIHLEETVFSKEKLQRVREDFESYSKENKMSR KKLLEYFGMAELDNKRLGNRIFQCVKSTFSQKKTGPFLDYAKFLKAISMLSQQNDEGRLR FLYSMFDMNLEGSIEKDEMYNLMMMFLEGMMSINYENQDLNDLKQRISESHDRQIELALE EIVNEIYQNHASKQNVLSYDDWRNWLMEQEGIQEILQFNPHTDFQD >CAK89080 pep:novel supercontig:GCA_000165425.1:CT868653:51850:53595:-1 gene:GSPATT00022249001 transcript:CAK89080 MQNYQISVQISSNLVNQFSKEASGMIKDLNPSCEYKHSKSFFQISYSTMQWSKSTIPISI ANQTISDEVKFVNQQAVVKSKTLSSVANYLFYQTKKGRTKWIDIENAIIELFYHPKFGSA LRLIKCFDVIEIFCDAKSWLGYLKSYTIQCDFDATYYMKKIIGKGQSSQVFKAVNKYDGN EYAVKIYQKKSFIDEAYRVALIKRTSIHRRVQSEFAIKFYEIFENSEQVFIILELIKSCN LMDFIAKEICFPEDRAAKIIFRLVKTICYLHSKNIIYRDLKPDNIVFRIDDNIETLCLRK FSQADFYDSESNYDQIRSDTPGFIAPEILHHQNYDLKVDVFSIGVILYILTTGTLPFEGN TDTRLQQNAEGEVDLSSLNLSPLGLDFITGTFQPNPEERLTSHQCLNHQWFVQEQLAKLM QLQMKRPTIFSNRHFNRRIKQSKTLTFSPSSPRSQVSIQSPRDQNLQQNQDFPLKIPQYH TEKLIGPSYSSKNINQYEKFQTQSPDDDKNQDDGSLKAAFQRQMRSSRKKTETFVIKSNS TVKQFNATKSKFK >CAK89081 pep:novel supercontig:GCA_000165425.1:CT868653:53861:55482:1 gene:GSPATT00022250001 transcript:CAK89081 MDVFLIIISCIIAVLLLGINIYILALYCHPSDSGFGASLFCKILVVLGFTLAWGQILLVS ADISASKGVFTTEGDAMMIVWYVIYCTILGMVAFLIPCAQFYYESDEDKPLMKRLLEVMC YEFILLGVLLTLLLVGFTYLGTARIPVHTITQTFDSQISATFPIVLSNYAFNQIESDTNV EISVSFPVFLMGFLAFIGWFLLVLFGGVGLSALPIDLIQEYISRPKIMKSSEAMEKKMRL KKQAVELIQFGQQIQEEEKELALVNGFMAERKVKNQIKQKAKKFQAATEALTNEHEIFKM ELEYQNVNPVVWVFKLILGIIFIILSLLWILQILLYMLIKINGFPAYSFLNKMFIYLEGT VMSFIGTILLALFTLYLLGCTYKGNLKFGLRIPFLFTIYPMKVNETFMNSFLFNANLLLI TSVAVTQFSIQAFSEYTQNSQIALMFLGQIQYLYFFTWFFDYNVFVIALLSWTGIVLIYL LVRKPPKPYALVEIEKRKTQDFELQKK >CAK89082 pep:novel supercontig:GCA_000165425.1:CT868653:55831:58550:1 gene:GSPATT00022251001 transcript:CAK89082 MKNQNKLETLSIYPNDSDSQEIYPLYDIEIARIFDTEDFLQQTLYTIIRQCYGYRNLTRM WPKLSQQQLTKGKYENYYQKIINNRISRFPQLNLQGIQQIEGKRYKIVLYSELMFKLHPQ KMLCCICEKEILLSIVEEHSQLCLKKHMLNKDIYALQDKIQELSEEINNKEIELITKINL YSKQRMSEKNLSHQNSPVMSRSKQQITLSKFAQQSDQRQRGIQRAKTINISEDNSISEFP TFHQRKPGRNFTRLESSMSMSPDSQKQIKCAISLLKVTQQYCQKILKQDNSFGLDIDAKF QSLIKLGLPEINHLSDVSELMKRSLSLITQRLELNKQVNKIDAAQVQLQCGSIEKLKKIF QKGLSNSYKQAGTSLISRNKKGVNTGGKVRIMDLVKPLKSKFNQRQFKEDQEECLYQHQQ TPIQEQKIDSLEFITFSPSEENVNQNTNKQQQLNIHIYSQQEDDDSDDVQQNLFIRGYYS DSGITLRIPQYTKKIMTVGLKDFEFQEVLGVGAYGAVWKVRKLKTNDVYAMKVIDTQQQS SQNFLETLKAESTIFSVLEGDFVAKAYYSFSHSDCLFYVLEYVKGGDFDKILRKYGALDE PIAKFYIGELLLAIEALHKKQIIHRDLKPQNILIDGKGHLKLTDFGLSEIGMKLYMQHSE PSQALKLQQQLDNNIKDLVSSEPKSPRFDHCISIKASNHNKHRVVGTPDYIAPEVIRGES ISNESLDQWSLGVITYEFLVGIPPFNDDTPEKIFENILQRNITWPEIGDGENQLSLNAQD FIERLLDPNYKTRMTVEEAKKHPFFKDINFDTLRKQPAPIIPDNAPDDQPLLLIKKQREK EKLTKLLRDTSSENSTISKLGVDNLLRLDLLVEMNQQKAHQLRKKYH >CAK89083 pep:novel supercontig:GCA_000165425.1:CT868653:59168:60323:-1 gene:GSPATT00022252001 transcript:CAK89083 MAYFQIDCTYLMQQYQHHPHQFHNRSNIHSKESIQQDLQSLLQFNYHKLLQVGDRIKDVT ESLQKILDQSNQLKEKFEQSQKLQQKLQDIEEDLQSYFKLKESFKEMIEDYQSSLAEYYE MRKKVKEINLDHLPQSGTQNLLQEYATLTKKLEDYTTFENDLKDLKKIEERFEILELEED YLNYYLTINNKDKNQILDPSTQLLSRFVQLLGQSINYLSRIDNQFIILESHQNCPVIVCH EDRNNMSEEVLMQFQYAIILKTYEAVSALIIIDKEKSEAQVICTSQNRVQNKQYLENYKN YIANVKISYTLLKQEVILESIYKKIIEKLVQFNMITQIGNLTFSEMQEAIKSIILYQQDL EQLDTFQFLDTMQIELN >CAK89084 pep:novel supercontig:GCA_000165425.1:CT868653:60524:63647:1 gene:GSPATT00022253001 transcript:CAK89084 MLRFIARNLNNVYKFSELQKFGASFLGSGNAEYLENLFDQWYLDNKSVPATWDAYFRQVL ETNNFDFTPEPQKGQAVSARLDGQSGLRKLLSDHFRVLLLINKYRHRGHEKSQVDPLDLE HIQQIGKVKGYTKLDYREFFAEEDLDREFYIHAVGSTGITKDKQMMKLRDLINYLEKAYC GKISYEYMHIQSNEERDWFRHQIEKYDEFMPSKEQKLKTFERLGQEHAFSTFLQKKFNTS KRFGIEGCDSMISGLQSLIDEAASAGAEHVVFGMAHRGRLNTLYNVFQKSAEEIMVEFQD LKSTFNEDIWGNSGDVKYHLGSVHNVLFGDKKLRLEMLPNPSHLETVDPCVYGKVRAIQD YFQDSKREKAFGVLIHGDAAVSGQGIVYEALQMADLEGYKSGGIIHVVSNNQIGFTTVPK DSRSGLYCTDIAHAIQAPVIHVNADEPELVDKVFKIATEYRYKFKRDIFIDLVGYRRYGH NEQDQPKFTQPIMYEKIDKTPPVFIKYSDKLIAQGIVTKEQVDTLMKTHEDNLELAYQKS RKMDYNLKDWQPVPWEMIKVPVLWGRIKDTGVPLNVLKTLGEKINKIPNEFHAHPQIRKF YEERLSWIQKDQPIDFATAEALAFGTLLHEGFNLRLSGEDVQRATFSHRHAVIHDQKDPN GQDYVPLHNAIPKGQEQRLSIYNSHLSEYGVLGFDYGYSITNPNTLVLWEAQFGDFANGA QIIIDNYIASAESKWDVDSGLVMLLPNGMDGQGPEHSSGRVERFLQLSDDDPAVFEKNLG VRLTRQMRNSNMQIVQCTTPANYFHALRRQLRRDFRKPLIAMTSKRLLRLQAAKSKLTEL TTQFNQVYDEALPEFLVQPNQVKRVILCSGQIYYDLLKKREDLKQNNVAILRIEQLAPFP YEFLQKIIGKYNQAEFVWVQEEHMNYGPWAFVRPRIQSVLAKTTNISNSPIQYIGRRPSG SPATGFHQLHDKEIQTLLQKAFEI >CAK89085 pep:novel supercontig:GCA_000165425.1:CT868653:63726:65653:1 gene:GSPATT00022254001 transcript:CAK89085 MLTEDVVFYSIATTILFIYVVYLIWEYSAKDVPLYIKILTFISWMLTFSIVLVLPIDIAN STKQLNEEQQQETMGNIKILWRIMYWGNFFLAWQNLYLYRLVLPFFQDYESSGDFDIRGK IRYSLKKNLTIYGVGTVIIVGLAIYLLIKDNFDSAHVEGVLIGVSNFFGLLLVVILLGQG LVAIPKLYYREHKEEEVLEQCYQQAVLLDEQRTEKTYELEDICRTLQLLQNEYSDSEFSR YLMIILQRIPQEFQKTIRQTLQKYNASNIPDKYKPLNLEVLASIHKYVKCLMFDLQRIQT KLNIVNQKALKFERKDLADDETQFETKWSKMIYKISIIWNLKLRKYYCVILAITYALLSL FILFCEMITFVAKTNKNLNPYYLLLSSINRYYLTELVLLLPLLYMMFCTYYGLFAMKISG LISFNKHHHTDAASLMFGSINFARVSFPLCFNFIQITEILEDQATSFSETVGNLAESLFV QSYKTILPLMLLIMCFFNLFNIGDKLMRTIGLGQYAQQERISGMSLEGKKIIEKEREQRK KNNLNESNSQIVFQELQIMPKSKIQDEEETQRKNSNQGIRNFDQILSI >CAK89086 pep:novel supercontig:GCA_000165425.1:CT868653:65765:67715:-1 gene:GSPATT00022255001 transcript:CAK89086 MLPLKEYKENIFKNNVSHFMNELIEKNIQSNLVEILLKCQLSSMPVTIPVRHNSIQYVAC LFDLDSWLEYYQTNNRDGHGFSCPGCKVFHLYDDYGIDFKLYHALESFKLLQKKYPGVKF DKDKLFQSTQPTNPGYFAQNKVPKNQAASAIKYQLPGITPILGIQRKLEETNSLNPTQKT ISDEMSYTSVKLQQYTNNKIYKQAKENNLKVQDLQQKVKKRAQELQQVFRNAMKLITLTQ NNLTNDFIFALKRRQRQEISESTLIVYFINYGVWHEFPLILKGKPYLQKEQALYIKGEAN NQKFIYIIAGKTEDRFVQSNQVLKVTFSNDPFQDGKSAVMEELPPLPQEGYNFMGTHYNN NVYVFYGQGQKKTKDQQILDELYNKAYVMRQQTPWQVLMYNLIPRFGGSFFVATHPQFSK LLVIFGGIQHDPDGLVAYRCQQQNQGQIFQCKDEKFIGKQCFDVHFSVKKDDEYQKNVLS SPVFSAPYYGYNQLILSGEFLKFEYIMKREIYTFDWANGEIKKNEIFSLEPPEQFLIPTR REGVSEVFTPVQDMEGAVCFGNFYIIHQYEVNPGNKVILQLLRINLTNGQCKHLFPYVVF PTNYQEHQSSLQQATIKRNQLAQS >CAK89087 pep:novel supercontig:GCA_000165425.1:CT868653:67814:70490:1 gene:GSPATT00022256001 transcript:CAK89087 MKKNSLCDNSKCNKTTIFQKQMAPVKCSKCNSGTYCCQACLKEDEDHVCNSDHLDLDNTT QINSSVNETLLQIQQKKRTLDDYEFINGDKGMLGRGAFGEVRLAIDIELQQEMAIKILNK KKMLRNCNLQQLKNEIKLQRSLNHPNIIQLYHAFEDRENIYFALEYASNGSLYKYLRKLK VMPEPEAFVYFFQTCLAVDYLHKKNVIHRDLKPENILLDEQGNVKLCDFGWSAESVEMRS TFCGTFDYMAPEMLHNKPHDYRVDIWALGILLYELLHGNAPFTKAHFAKENISNLTIKFS QSVSSQAKNLITSILQHDPKKRMSMDQIFAHQWLKSNSEEFGMDIGEYIYVPKSQRMNDS SINQTFEQSKQLNESKLKQPNPNRSSIKKPDFLNSSQQQPSQKQEVQKVQQNYQENKKTA NSSFHQANNQSHISKISENLQDSARSSSDCSDIQSRASNIRQSFAKDLSKKGLSQNNNSF HAQENKHLFTNDASILNQEEIERLLRQQLKQAETKSDDIKLSLNSFQNVQSNQQQNKSLS SILVEDNKNFSQQLYSNQGSTLKQRHVQFLSPKESMNESSQKTQQQVEESPYFNPTQVRE SIADDEVKEKFSFGSLSNSKHDQNQQEISQPEEQQGFNKKDKVLKETRLSKLSVIESDES ECKSDGDDQRNYQLQQITQAVRSYSANTVQPKDTSNSRIPQEIELQSQFLYQNNVLQDTK SQTSKTSKKSKLSKQSKLSTNTKVSSEQSRAQQQLIMQKKKYSQNMVDYRNFNMKEISKE DRNRYQDYKDSTKQLEIRSRELQALSLFLGQLICLKKKHQVKQCHQRGIGLFWKNTIGIW LFRIKMNVAFEYINYFYQMDEYQVFFRLNVLKNKNKKSISPERK >CAK89088 pep:novel supercontig:GCA_000165425.1:CT868653:71195:72077:-1 gene:GSPATT00022257001 transcript:CAK89088 MQTLVGTKKGQIYHLEINRPKQLNTMSKQLFQDLKAAVDIINQTDDVRVVVFTGRGKHFC AGLDLKEAPSMFQVLFKDILKSIRIYNLIKDWQLSMTSLSRIRVPVIVGIQGCCFGGAID LITSADIKYCSEDSKFSIKEIDIGMAADIGTLQRLGQQNANTSLFRELAYTGRIFDAQEA LHLGLVSKVVKNDQLNDEIIKLAEVIAAKSPVGIYTIKSILTRESNLDSQLEVMARTNMS LGFTNDMPTGITALITKSKAEFPKL >CAK89089 pep:novel supercontig:GCA_000165425.1:CT868653:72184:73672:1 gene:GSPATT00022258001 transcript:CAK89089 MNHLTGIDAVLNVAQASTPAPSNIDSTWVLITSFSALLTILGFAFISSGAVRYKSVQSAV ITVLLGAVITILFFWLLGYGFAFGDDKGNKFIGLSRFAGAGYGVDASRDDYTNLIFQSVG AIIVSSLFGLGTLERSRFFSVSVCLAVISGFLYPTALHWVQPTGWLSKFGFIDFAGSSYI HFFGGVTALVVSIFLKERRDQAGNVHPGIFPHHSPINIGYGSIILSVATLIFINGANQEG KTDKYEQGLIAVNTLIAATFSALTSFVAQYLKTHKTSLIAIARGSVAGIVAISAIANDVR IWESALTGTLAGLVYIVLILVIKRSHVDDPAYTLATHLGPGLLGTLLVGVLTLSNGFVTG HGFKQFGLQLVGVLALLGWGLLIALFVIPLKGTGVFKINPFQESKGIDTSYAEGEAIQFI DEQPEPSLLSSIPKKGIFQ >CAK89090 pep:novel supercontig:GCA_000165425.1:CT868653:74184:76252:-1 gene:GSPATT00022259001 transcript:CAK89090 MQLQSYQEQLLSNNVSNWYQRLLQEKIGGEEIVLTTKCQLSKISVTIPIRHTSITYIHCL FDLDCWMEHYKQMQYKVEPTGFACPGCKRYTNFKDYGVDYTFYHVLEELRLFKLKNNQIK LNESQLIYQPSKNIYYVETKVTNSANSKIKMVAQRYPLPGCVPIFGVSRRQQQISNQHQN ETQKRILDEISQTIVKLQQLLFSKLYKIFKINANVTFKQLQDKVATQKHQCKQQLAKSLK VISLGRKSLNDDYVFALKKIASNNQMHSILIVYLVQYGIWYEYDLIFNGQPYVQLEQALY IKGESDAQKNTIYIIGGQKQDRFSQSNQCLQLTFPRNPFQVVEEQVKIVALPDYPLEGYN FMGTSYKGNLFVFYGQRQTKNSDNQIKYQLLNSQYVLRKNAFNQKWEQMKNKLVDRFDGS FFTITHEQFDKLIVLFGGITKDPDGVPNYRSQQQHLGQIFVCKDEKFLGNQKQDFNINYA GQDAYHKSLLASPVFSCPYYGNNQLILSGECLKYKNNEQREIYTFDWASAEIRINELFSL EPPEQIFTPYRRKVTGYDIFSPVQDPQGAIAYGFFYVIHQYEIEQSQQEKVQKITTQLLK INLTNGLFFALPYQESSNSREVAQKNLQQLPDRQNEYL >CAK89091 pep:novel supercontig:GCA_000165425.1:CT868653:76701:79515:1 gene:GSPATT00022260001 transcript:CAK89091 MSKQPPQQSDPDSLVEAYEQLQSKMAHSNYDVVDQIGQICQSVMWGDKNNDTFFDYFCDQ KTMSLYLDLVHTGQREVVVAVIKAITMLLQNIQRPVSINYILSHSALNGIIIADYNFRDD EIIAYYINLLKCVSIRIDEQSLQLFYNLKFSTFPMLMKAICFYKHKENLIRTSVRNIVLG TTKINLPQLRRYVRSFPFTLFYVQFSCYMRDALFYIGGLIQEGRHESHKIIKLLLDDQLD ILYFLEDLLKTNVDALPIIVNSIMVYGFVPTIIMGVIKSGQQSIKLNQQPQRQSMNHQQS QKYQHNRGQSLGNFTFTNIFQSSQQTNNQQQQQQQVIDIKFCLFILIQIYKSFSFSQFLD LLTLFILGKDPEIIDFITQLSKFILMLDSNSKLNSYEKDVSMSETWVIKEKTFIQAYSQF IYKDCEISEDVNVNDKEELLKGVSSYETQSVKRELNCEHFKSLIGDPKRYEDLLSITDMC DITIVKSQNKSKVSALSFLERNEQNKVNGNTNTNINNTQTPFYALMKHLESNDDTVVFMV LLFIKTLRHSKNISHSVLEQVGFITPDKNMELYKNCSETSSFMQDKLLGILETGLPPYRL GTYILSIQMLIDFCRTDLESYDLRNKDRFRKIVLNHINKINEYIRNPLEVHYVIEFFYTE YKILNKTSISIWPQTHIQLLYEMTPKGVCQIDIKHNESVYFMEPANQQDKVRKDMLILLI LKYTASVLCGFEEQEQKILDNPKPFGDGPTLKYVSGNIYNLQDEPYCQFSQHQCKYQNID CCILEDSHNLVVMDLTQGQSAAKCVVAHSFKYTDEMVERLNLKMLHVTYRFENQNNVFDL EFPNKQCCQAAKKMTEQKKSSLRKDELDTVTSYLQALTNTMNYNK >CAK89092 pep:novel supercontig:GCA_000165425.1:CT868653:79650:81431:1 gene:GSPATT00022261001 transcript:CAK89092 MELNDKTIEYQQEAQSAAIQNGKSLIITNNNTVQFHKAKKLQWECKFTDLIPIDQIDTCA LSRSIILHIHSSIIFLSEDKQIQEIIQLEQEVHSIYALNDFNVIGLFSITNDQFSIFNTK LVQNYEFFSQQCIQFDVSISFQPYRLNCFQDQSTLHFVLIRNLEHVKHYYKQIQCVDIVS INKCRNRLLIITKQQVLSIFISEIENTTSEEQYLNGLQLRLMNYCSSIFEGQYYFSNDSY LFSFCNDEVKYYKLVQNQKNQITKIKFQEKILYYEYVNDQLVIYSQQQSKCYLTVYNQQF EIINRYEFNKLHERIKYCPYYPGIAIYEQKVQYQDKEPNNNYILQTETLQKTFLQLIDFR TQTYQNNNQNNTEQPTIQSYLLYGKSNNKISCGFMLDYSIVNIQNIWNNRFIITSTYKLK IVEIYNSTYEMQHIFEFSILNQQLLTFRNSLPLEDLERINFLKQTDQRILFTHFGQSTPG ESKMNGIIHSFYGIKWVSINKDNVNIIQLQQKQEIQKIQRVLQEGSNYFYVLSNDEEIYK ITPDEVKLIYVSGSKLLSYVSNHNNESIILKCNNELLVTELENAQKIKYNLIL >CAK89093 pep:novel supercontig:GCA_000165425.1:CT868653:81465:89282:-1 gene:GSPATT00022262001 transcript:CAK89093 MLIPGCVKPPEVVYQYLGCSSAGLNKLGCIKIQTESCIFVDGKCQQVNSTGQLKCSDALN QLACLSVTNFTETCYWSAGQCQYKSVKANDDCSFDMQVSPSVCANVSSISCFFDSQTKKC NSMCKSQSNMRTETSCRQSSSGCYWSDDNGCLFDDNQECGKLGQNKLSCNEAIQNCQFID GQCLKIKSLDQLKCTSGLNKISCLNLKNPSQVCNYNGSQCKIFQVTPETMCADLTSHNPN ACRKVTTEACYWSNGKCKTTDGSLACEQEGLNRLGCLNSTMSSCKWNEFSGMCMSIKIQR TDECSTLKLVNAVACRMVNHIVNLQAQLCKYNSDSNNCETFVMTNSIRCDTSGMNQKGCS SVTNSSLSCRWLSNQCATVESLGGAVTCSSLQSVTSKTCAMVQQVVEKCRYDPNVQSCSA SVDPSASCTASGLNPYACAYLKQSCYFDTTNQQCTTATDFTNIKCDTNFPSKSTCLSISL QGQYCIWNSIDSICQLQTFPVSNECSQYKNVNKLFCLAYEISQSKWVGDSNYCTYNGTTK QCSLYTSATDWADCGSTLDINLHACLKFSNKSMNCYFNTTSLKCLQITAPNDPLLSSLLC KQCGKSLCSSINTSGQYCIWVSTAQDIKCKLLTTGSECSSYLNEIVNSNTCASTKSVSSC RFDPNTKNCVVVNNSVNNLSCEASGLNEQACISNTTGACYFDKQAFKCSTVTNDNAKRIG CKDSVNQFGCLASSKEACYWDQPNKQCQNVTDITYDTATCASLNTQQYNAIVCAMVTTSN EMCEYNSGTFHCDSIQMNSSCKVGQNQAACELNSSCDTFNTHEYLCQSRPSPPQNDCNNK NSDSTCIGVNTSTCGWFNSTCVSVKVDENSQCNQFNIASGGKFNQMICSQIQSSSCGYDN VNKVCITITTDNNPCNKPGLNLLACVQKTKGSYCGYRQNSCQSIPDMAVVTGDFDFLNED ACVNVQKVACQFDKQTQQCSEISESTLMNFSCSSYSDSNKQTVNSKTCKYANSDSSCVYD AVNHICIVGTTPLSLCNSNASINSVYCLTYVTTQTCEFSSYTCSVITSTDFPCPSAVSFK QCVNSRVVACKWQNNECVYITPNDDCSTLTNVNSYACMQNNYESQSCQFQDNGLSSQCVI PANTMQPCDGLNQIACINNTVNSCYYYQICIDITTSPYDAQCTGEYAFTQSACVRIQTPK QLCQWNNGSCTSITTQSTDLCNTYASNVYSPQVCASILTEPCYWNNGCVTGNAPNCNSMG ANQKACAGALSGNCIFTNNLCLDVLTNDVTSSQCSDPSNQNGCLKLYNGQSCQWASNVCS SVTVSNNCLTYSQVNPGVCSQTTDIPCTWNANSCQAVTVASANCDKGMNKLACVAYTTAY CYYQNGMCMQVTDYSNLDCTSNLNENACKAHTTKCCQWQSNKCVGSSYQSTCNSMANFSK YCCLQQVGDYCTYSTTCTSLSSQNARCQEAINKTACLWILQPCSWDSINLMCSPVSKSQG CEENLNAAGCITQDDFCMYDETNLKCIPGQITSCSALKYSSLSEIYCVIVTDVGCAENPN HYGCQLTGTNSQCQNKMNKIGCLNNINSCYWDSNEQACKNMDTKNLPLNCPGVGQNEVSR GYCANFTGCRLDTANQCVPVDDSNTSCDQMNSISPKNNVFCQKSTLTDPCQFKPVEQKCS STISGSVCNDFVNVIGCKYIENNCIWNNGSCMNIAPASIFRSCPEITSKYTCLHNVSTAC KWDSTNHQCLTYTGDMKSCSAYVDALISPGICYFFSTDYCAHNGSSCYLETAQSVCTQSF SPLGCQNNTSLNCYWNIIVGKCLQLADETLAKDMTCPLFKYSQKRFCVSPINEGQICHWT SNQCRSADTYEVSCTSELSLYGCLAVAKENEKCIYKGSKCQYIDNSTISCSDHLNIYGCV NVIKEGEFCKWLGQQCSQLEDSDYQFEIDYHILINQHVCRRAYTIKDSVVQNLDNVSVKY DNTNFICVNADNETDLCSTPGLNYFGCLKTKADRCDWVSNKCVSYSNTNEYSTCEEYQTV SPKVCSQISSKNLKCYHDSSTLSCKTFVETTQVFQNFVSTLNGLNRLACLSITQQPTIWL NEECQFNTIINGVTQCNQLKNVNPVSCSLIDVDDVVCKYLSDGQCTSLFDSRVDTCKTTG LNRLGCILVENEKCQFVDYKCQYYDGSITVCNNLVNVNQNVCKALNTQGCKFSYLSRGCV DVYSNDTCDAPGINQIGCTQLQNCKYINSECICSGLVSVYEIIDCTLYTRDKCTASQCIY DMQQGKCRNKVCNDISSDLCDSTKINQYQCFKNKSQCQPASKCSDLFNVTDCTKFKIGNK KCNIDIYNSNHCIDQSCIHFTQDQCGGNCMWSYYECQLKLCKYLKKDECTGYFNDEQCIW YDNLCVGVTSCEEFIQYVNSDTQETACNQQSIAGKQCYWLQIQSFSNKYSCISQLCLQFG SSANNCNGAEIQSQLCVLLPNNQCTACNEITDACTCMNMGNYCYYNPSSGCLSNLCLNMY QTQCELASDRCVYVDSQCKQICTKLQMEQCKQRSTDCHWNSNTLMCEEGIETEISINTLS DVIEVETSLYQSLITVASIIAFFYN >CAK89094 pep:novel supercontig:GCA_000165425.1:CT868653:89312:89845:-1 gene:GSPATT00022263001 transcript:CAK89094 MSRASLSCDYYQSEIDCIQNGNSKCRWNEITSQCLYTDGVNFGCSNLLSSQTCIAQTYYP DGTMAECIFSGYCRKVTTLLSNCSKNLSRAACLAVQDDYCFWNDSCQTISESEANSIAWS ASDSIQLVSVKICRLITASAGNEQEQRVKLFINPEPIQFQPPFIRHTS >CAK89095 pep:novel supercontig:GCA_000165425.1:CT868653:89914:90615:-1 gene:GSPATT00022264001 transcript:CAK89095 MYMCKREREELFRPQLTLYEKRELWHTILENRGNVSLVAQRMGKPQETIEQDLLEIFVEC LLIVNDHLGQSKNEAIIQKLKVENVVSVIQLASRNNSYYTSVKNLNLLLNMIQCALQQDD LEKNLRDLQKQSKFECLFFEIRCLFQEQINDLSDDEQPQIVIVNNDVQTNGTFRDMYIDL DLPQEEQSKQDKRNTISDKQFLTQLNKIMAEKQIPYWEQVVVRWNLMQNIFNN >CAK89096 pep:novel supercontig:GCA_000165425.1:CT868653:90709:91250:1 gene:GSPATT00022265001 transcript:CAK89096 MASSVLRSQIPLGGICDVESAKHVARRLFESYDRDRNGILDQGEVAPMMVDAYKGMSKGF NPSKSDIDTYLRILDRNGDGKITIQDIEALAIKYLVGITGESPKRPKRQYSKFVEERLDV ARRLFRKFDQDGSGFITENEVPQLLIETYKSMGIHYNPTLRRCQIMDEND >CAK89097 pep:novel supercontig:GCA_000165425.1:CT868653:91256:91402:1 gene:GSPATT00022266001 transcript:CAK89097 MMMAKFHLKNTKTQLLDHFNMQESNLNDIYIYKYNYINKFKIPLLKIL >CAK89098 pep:novel supercontig:GCA_000165425.1:CT868653:91479:91961:-1 gene:GSPATT00022267001 transcript:CAK89098 MPFHNPEDNYIYFEQENSLVEFKKMRSEGLGKVGRLPLNPLIKKNPQTPIFNKQKNIQKR LGTRSRRQGSILLNSQFKNYKSALISTKSMDYITLCNHSNNNEFVSQCRETILKIKCLIF GVRLKEFQLKTQNENIEKSQIGQPSPIREYAQIDDLISQI >CAK89099 pep:novel supercontig:GCA_000165425.1:CT868653:92071:92602:-1 gene:GSPATT00022268001 transcript:CAK89099 MKDIYWKYFYGVITCYILLLLNCTNDQNLCEQLYYSETQQKHLKQCVQFTAQTSKRINSN HNSSFLKSIILKIKQQRTFAKSRSTQFVDRTHQRTPFHYKSEREKAQAGQDEFLSGQLKA GPNVKLPLNMRYKILAKEKIPLTIGKNPRTENKRLQEQGKTQIKLITHHEI >CAK89100 pep:novel supercontig:GCA_000165425.1:CT868653:93157:100426:1 gene:GSPATT00022269001 transcript:CAK89100 MNNSGQLPQSPQEQLEERIKALSLKYKERIDETQIFKMFISDQDDQFAIWKNAESQVKSV CGADVKSGELTFQCFTCAPDPHHMYCQKCFDPDVHLNHKCIIKHMIGGACDCGDEQTIVP SGFCSKHQGVSKFDKDQELKKISDQLKKNIEIFIKAVCKIYLQLMRRIKSDHDMYNPAIL KLYHLSEKWLIVPIKQVIDSEYDLEEYYRIFQQALYLNSILFIMCDWFTESRLCFIILVS QIFQSQLTPESNQTLFEDLMEVQVLLEVLGPQPAQKIESLLFRLYADYQFKQFLQVCYLK KYSSMWLQTKSKVTQYDKQMQDSIIQLSTINNQHIVTAQREVLVKMAQKVKLYIESYKKS TEITTGLHVSEIHEQHTQYVAEKLQEIFLNHKYLQNLNDQIREVLNLIYSHQPIATTSHL SFSIIFKLRYDYSFFYKLGFQQLQHVLGDQYQQFFTSNTSKETIRNFKYDQFTITSYLNI YNSIKAQHLDIQNDHLTIFIQDTYDGFYFLICQGQAVQVVQTGIQKLFASQFSTPEFQEN LTKVLLVQSYQILKKKSKGISVDDQLNEAYKKYIENQDLGDAELFDRLAKIYFLLIKSIT VVERVFTFLLSQLYCLKNFSSGKEFESYLLDVLEEDKNELKKIFYEVLSKCLQMYVTVQF CDNQYLQQIYLGLNDQQEIGASESYDSSFLRIYLFLFENEGFQDLIQIMNNRRVPKQLGS ERILCSLLLRIFSSDLDMYNICSSTLKELPKDLKLSLAKAIQNFYNLSTYLSFTDIEAKL KRMGIQICSNLPDHVLQICEVDHALKQLKLKPDYRVIYEPAIFYINTSINSQIVEKLVEQ NKSETEIHLGNGISWDVELFSKNNYRTILYNILKHYCQREYIYQNIELLKTKGFALIQAY QLLYIQIVAANYFVQQEFKSYAPFIINELEQILKVTQRKDDIQRIQVLRAEIVKLITVVQ PVVQKQPQDNKVNLQNKKNQFKQKYNKLQNSEFIQNMLESNNVKENKDENDQKVCSSCKL PLSQEASVALMLLIKKPQTSNFSIISKEGLECFKNEKYNLIDLGIATCQHYFHYTCLIER FEQDQQYRRQNAPDWVKIGCPVCKLPCTITLPIQKQFTSMDVESFNQNLQLFVAKNGVEN LFENQIVALETLSESYLNIFFDLLISLIHNPSQYIRDQKDIVFNHLLQILNVTNMEPAKN QITEKFQFQTENNFILKILSLIQQYVIVEKDLQRFKLEVITSCRQYNISNEITNQIVLSL DIKDELAQQSSQIQKQIENQEHPNQYAIINQEQLEDQLKSILGLTFEEFYNKYFTKKCFV CGFYNKNQQHGGFSVCLFCSKTFCIYKCQKDKTMGNLNQHANKEHNGISIYVNLRNGYVT LLCSPISIQVNYRNRESEFRLEVIPFRYLKGQRNFTNNHEQFLLSNHQEYRSQVAPIGYG RMALNQYAMILEYQQIWLIIMNISNFFQECVNTVMDTRPIQYQPSMQISEGEILVKGKTF GRWETKKFIIDDEKRVFALRSSNGKTRLKPYFLQEYQIENKEKKKDKITFDLTSKTGGKC LTLGIENEQKANEFINTLQDLCNRVEVKQNVNETIQQNIQDQSQINQHCTIIINYREKSR WMKLIMKFRASLISEKQGIGKKVFQISIAHIRKGQHNLENFKLVFENKLLIYRNEHNHHQ FKVFMEFDGDCRDSIIQNLTDYNKINQWNALINAQDSRMIKELHADKSFLICEIRELSNL FIFKREFQYLQHHLKVDNIDFIVQKQIDQKSYTKNYQGSLKYGVWAVQTSENTTKLYYFS EQSMQGLSYPDEDGYLIQQFLKQIINIYQQSSRSQQDLSQIKQNEQNLQVYQQNQNQALT KKQSNKQEDKEEFYDCEEIDAMNDKLFMVEADSNKNNDVQDEVARDVYEQNIRRLTSLPL QVDALDNIQDWVDKKMKLVLSGNYNSHSISVKHQLQPKEYDPTQRQLLTQQEGGHYIFKK DFIREEKSGGLKVINEEKLAAQKAVIKFLLTRIGASLMMGKSITSISMPVSIFEARSNTE RVCNSMGFAPIYLEDAAQSSDIYYRIKQCAAFQFGFIFMYLSCEKPFNPILGETFQGFYD NCPIYCEQISHHPPICAIQMYGRKYRIDAQLELIANFNSNSVVGRNVGTVKITFENPHQV VLITLAPGSLNGTTYGDKVMNYLEKQFIIDLKNKIIAEITFNPDKKYCQFFDVEYVNQLD YLCGAICDVTDAAILKYHKEGYRKYKGLDLKSDIKQIRHKIKGIWVNEIKIDNQKLISIY NDFPVKMQLAQYPIPSDANFRMDLLWWKLRDFDQSQQWKEKLEILQREDRKLREQKMKKK K >CAK89101 pep:novel supercontig:GCA_000165425.1:CT868653:100572:101382:1 gene:GSPATT00022270001 transcript:CAK89101 MDQESNFYFRLESDIQIQSGIPTFQQIGQINFMQSELQIPPQIQQANLIQSPENSMIMQA SPINGHLFGNNSPIHSQQDEEDISLVNQMYESQVFSQPILDISQISQIQLQPKTKLDLTD QPKYKKKKVGCSCTKRKCSSKYCFCAKVGQSCSNLCKCVDCSNNKSFMSQQIQVTNAQEQ KGCKCKKNFCLKGYCDCFAKGMQCSSNCKCISCKNMLTIEPIKDKVPIIKKKIKKFSKFY KNKKGFIRTNKKGLILTQVENQ >CAK89102 pep:novel supercontig:GCA_000165425.1:CT868653:101501:103243:-1 gene:GSPATT00022271001 transcript:CAK89102 MDKLYIGIRAEDKSYWERRTPIPPHDCKYIMEKHPQIQMVVQPSTKRIFTDEQYLEVGCL VQEDLTQCKGIVCIKEIPLDKYIEGMTYLHWSHTIKAQPHNMPALDMMIKKNIRHLDYER IYDEKGVNTTAFPYAGIAGIITFLNEYGKYLLKRDIATPFLQIGPTYQYFNKKDAYQALN VAGQAIKDRGLPKEAGLPIIIGVLGSSGLCGKGSMEALSNLHVTLVKPSELKELVNTPND PKHRRTVYVCPFKTTDLVRHQEDYDKEFTSADYYNHPNQYTPVFHTKYLPYLTIIVNDIY WDHKFPRYITNSQMKDLVQSGKSRLQAICDVTCDMEGSIQFLKKYTTPDNPVYFYEPISE SIHDEFDAKSPKDIMYMSIDFLPSQLPYDASIDFGIALRDIVPHLAYSDSSKPLEESGLP VFLQNATITLHGQLTHKFQYITHLRNINDQLKEAESFQPKKALKKVPSYLAIKLTGHLFD TGAINKILSVVQSGCKFNIVDIQAGQSDNQQTSCLLQLYSKDKGQLMDEVDKVIQLCESL EIQVEME >CAK89103 pep:novel supercontig:GCA_000165425.1:CT868653:103814:104419:1 gene:GSPATT00022272001 transcript:CAK89103 MYRYVSSELGFRTPALINSIKIFVRDFSDVPSISVSRLNTEEISQAMEIHSLSWQQSTDS TKLIKEFKFTNFKQTFVFMGSVSQVADQMQHFPKWVQKGNTVTVEMTTQDCRGISVKDIL LAYTMDNIANDVENQKVENVCDTVKVSTNQLLTNWNSNYTKTEELFQGFQKNIVQL >CAK89104 pep:novel supercontig:GCA_000165425.1:CT868653:104587:105226:1 gene:GSPATT00022273001 transcript:CAK89104 MEQIKVFIKDFKEVPKLATSKLNQEHVNQLKDIQQLSEQWQFNSSMTVIKREFEFPTFKE SFAFMSSVSDLSEKMEHYPKWYNKGNKVIVEITTPSVGLTIKDILLAYTMDNISNDILNE DIQFICQQSKLTSQSTTLLNWWNKNYSKYEEEISSQFQRNVNQI >CAK89105 pep:novel supercontig:GCA_000165425.1:CT868653:105364:106980:1 gene:GSPATT00022274001 transcript:CAK89105 MTTEQQSSNKKTWAELAEEEDDEIENGQFTTNSETLDKNEIPSQPGLTKSVSEFQSKPRN EKQRNNKQRENGGNGLKNYKQNNSLQVKGNNKQNRQSKSNYDEVYDALFETTNQEIILRV KTQNKSENLEAVKEYFEKQYQNIKAFPRNDINQVDLLTSPKIGLYILEDLRFKVQIGDSQ FAIYYPQFPNEQLSEYYAKKRYLEKEKKSQQSNAVFQRSAINSKVNDQQDYYEKSDGSSN TLEKVQYDQPQIYKEKDYQQDEEQEYNQSQNRQKYEKKDFNKQTNYRPRNFNKQSEQYDQ EQDWREYQDEKYSNYKSHKYRNEQHIPKESYQNQKYHSNKGYEYTEKTTSNNNQSPFQQK EQNKDYRNNYYYQNNNNNNGNSNSNNNNNNNNNHNYNQKRSYQQNNKQKSDQYVIYEEKQ KKDYYEEPDNQKINEDNFEGEVKNPQKINQAIQQQNLNEENYEDTQIDSENEQDQEQVEE NKSPNKNKKKKKNKKAQKTSKPNDTNFFGILQSVTK >CAK89106 pep:novel supercontig:GCA_000165425.1:CT868653:107754:108741:-1 gene:GSPATT00022275001 transcript:CAK89106 MNIIKVGLKFSSIKYPSLDIHNYQLYKYHSDYGNLLKGLKIGTSLLPNQFEIVPSKLKGF LIDQLKQDQQILKKPKEVLFVGRSNVGKSSLINAILGQKVAETSSRTGSTLRLQFHNIQT INGFVVDSPGYGYSQINVDAQKYMQGMIYTYTKLASRLSRIYVLIDIEHGLKDKDRVMLN MLQEQNVNIQIVLTKCDKVKERMLFDRQLYLAREIKQFKNIHPIIHTVSTKDNFGINDIQ YSLIDSFLIHQSRQLFNMEDKLIKLIQARNRPQKLDLNKLTKQFKKGLQLPATQNSLVHS KKPKHQLS >CAK89107 pep:novel supercontig:GCA_000165425.1:CT868653:108785:110330:-1 gene:GSPATT00022276001 transcript:CAK89107 MIGVEENLKQKRESFRFQIRKQNLSKEFEKKRIIEVNRVENNNFNSKNDQILDQIRQITE RSMDLESVQQCICLILKHQNTKDILKKTSFLKDIIVNQFCSRQFNEYTLVDYLRYFDCQL LFDEVAYLYIKQHEKQFMLTISSILTTKNYLNLAILELIINILQSLIIDDMSTVKFYLTQ EGYDFLVQFQKIVEYDEFQVLRTNLIEFYYHVIRFYRNENVSENELNILNNFYFSALNLK NPNEYSNLLFLYLKSGKMQLKLLEMENFDISKIEQLPSYLANLIKLSFLKNETDLECSKY YIVKILGHLFRSFQQLHNPIDILINMGLIEVLMRLINESSCIKTQGLLILKDILDSVLEI DELMNLISLKCQFEQQQTTIIQWLIDSVKYQEQNHIQIILDAIDIILQRATINYKMELIN QGLLEKLMDLIQMDIDVQIQIQIIIVLETIISEGADIPAEFLQLLLKSPICKALESVSKK TKNKSLFMQIDQFFTIFENNFMNLFT >CAK89108 pep:novel supercontig:GCA_000165425.1:CT868653:110379:113113:-1 gene:GSPATT00022277001 transcript:CAK89108 MDTESLQQQLQLIYQTFSLDQSTFKLMQEMTNNYILNNKTNDYIVIPRLALWLASKQQQI VAIDGSIVYGSGISLNSIINDCQNKGITNIDQLIDVAINMVTELKVDQTCQKTLTLLKHN NQILNMFYQKMEALLLHFKMNDQNILIKNITWLLFLITKKSLNLQDVVQSTCILISILTT ILSQLLNVFPTTNKILSDIKKQATGEEEQTHQIQQFLINSFKIQDLDLFSSINLQVKLQY AELIKQNIIKQLKSNTGFSIFMASIINSNQYKLDQQYKQLLKSDEFDERLLIPDRQKNLT PKKLTPNLNTLKSNNIKQLAMQSKYDQSRELQPSSQQQQQQNRYQKLLNYELDTKITLTT NLREIKLPQTVLMSPYAQQSIVATPMTEAMEMYNWIHEKQQPFKKLFKNGILNVNQMLQQ TPQTFQNLQNQTKYFDLIDKTFNECIQYCQESNLIDENKSKTNQYWTLYVLLLDKSNFQI DEQMLKSLICFSIECVYFVLNKQSQLLDQLMQKCEIQHYQLWKWLDFFLNQFDSKVPHQL KEHILDLEVKFVSYSIWSCDSQSELIKFLNEIHLSRYQQNNNQMNRLFKRVLHYCAFQIQ IICSNLKLQEQFQEYIWITIKYIISEKTDHLRHHSLDQVVLASIYAVTKLTGNQLKFQQI INAYEITNTWYSKQFIKKIVCNTYISNDSMGDIVSYYNQSFIPQIKSFMLQMSTEIKSNN VPQSPMNNKNPALNNKILDSPLRDILPRQQTFTSNLQSQQAGLMTPSTQLLYAYQESPLL KQQVKPQQLPVSKENVYNNSNINSKIDYSQETQDFILKKFEQLKNSKSKQINSKTSFSIL QQGTPKIMNSSRTNNSAMLQHNQTQNSQQSNEQNSTFCKLLNFEQQ >CAK89109 pep:novel supercontig:GCA_000165425.1:CT868653:113146:115574:1 gene:GSPATT00022278001 transcript:CAK89109 MTELGNYLIGKTIGEGTFGKVCHAKHQVLGHDVAVKILEKKRINDELDIERVKREITILQ MLHHPNVVQLYEMIETDTHIYLFMEYADGGELFDYIDLKKRINEVEACKFLHEIISAIQY IHQLRIVHRDLKPENLLLTAQKNILVVDFGLSNTYEDTLKTACGSPCYAAPEMIQGKPYY GLQTDLWSCGVILYAMLCGYLPFEDNNTQVLYKKILTADFHIPRYVSLDGKDLIKNILTV DPTKRFTIEQIKQHKWWQLWKSDVSILTQIEYPNDPFQGILQQDLLYPHAQYIERNISED VKTCCDTAQQSIDIQFTDKDDFINKSIIKEEIKQNPPPEDLNEALDQKFVHAEDQYEFQQ SMRSLTRQKSSQSIQSITHLHTQVDSPLKQQNLPITTKPTAPAKQQISQINKLIPKKPVL TQQQQQTLQQKKPVTKTGPSKSLHEPLNFESKITKQPNTPSTKQSINNTSNSQNPSINTS IISHQLKTDIPKKNSIPPVKLTVIQEQIKENKEKSHSLKTQPTQTKQQQIQQQMQQQQQQ QQQQQQQQQQQQQQQQQQQQTKQQFNINKPQTVYKTPIKQNTPQISQTKRSLHQKQAASL QMERQSFHTQQSAVEENVTFQFNDNPKPTIENTKLMVEKFTSRLNNVTIPSSLKQTQKQA AVQDVKGIENYYGPFNLSFITTKHPQLFLNGIKKYLEEKYQFIQIQNNQNGFMFNINEIS GDLKLYRIENLEIYYCLINSQNSKNTANSSEFNNIIYDLQTNFKF >CAK89110 pep:novel supercontig:GCA_000165425.1:CT868653:115940:116743:-1 gene:GSPATT00022279001 transcript:CAK89110 MITTIPISLHQSTDRTGTTFRFENQKQQSMNLLQRVKQHLQYKSATFKTKRECVIDSQQL DKHVNDELNNKKYLTAMDNDDINHQKLIDDCVRDILKFNTPVPNETDVSPIFRGSQRSSF SQIGKSVPKNRIKRLSSTILVGQMVKNKGLEKPTINQIKQQQIDKIIKQERDRKNTETNP KPLKIVLPIILTKNQDKDSVNQLQQKLSMVTASQRYLKSKKRVLSQDPSPLFEHHTSFSM LSDSFDCSPKQYESNRRLGTLIQQNKQ >CAK89111 pep:novel supercontig:GCA_000165425.1:CT868653:116807:118123:-1 gene:GSPATT00022280001 transcript:CAK89111 MKDIKRDHGQYLLEKMFEKFKLVTLQENEVLFKIGDVGNKLYILLSGRLGAYMELEECQF ELKKINDILPYDQLGENALHNQNCKRNQTIIALEQSSIAYITRQDYQLIIGKYRSDNLDI KLQLLNKLDCFQSWTIGQFKSFSCYMFLQNFCLNEIVYKENDDSQFLYIVKDGQFEMIKT IQDEKRILRKRTKRIQQLSCLLNEGQIFGEESLMRSLDEDPKIQSPLNNCVYIQRYSTVK CMSISGSLFRISRKDIVERCWDEITKLQFLSLLIKLKNFRLKRVNSLKNNQEVNENFTDF ESGRKFRNNSTQTQKKITLEPIFTNTYFQKYFEQQQQKTKSTKIVKTKFQIRLNSIDALS NQNNSPKISIQRKASQFHKLLSQRYHKSANKQDNKQFSFDFPSNNVGYQYYKQFLTSERI KSLHC >CAK89112 pep:novel supercontig:GCA_000165425.1:CT868653:118564:122366:1 gene:GSPATT00022281001 transcript:CAK89112 MQITQTQLLEWVKDGDINTLKKHFEQELKFAHKKLLEFFSMVDDQRRSVVHWAAYLGQYK LIKWWCKVYNQMIDLNKGDMHSYTPLELASIKGYSGEYNPEKQEKTIRLLVENGASIPCQ CSYKPNPLHWAFYYGNKELVDYLIQQNFNLQLETEQQGNFPIDYLFLENRPEQYKKDVWD IFQNVVIEYAKVTAQQELKRKVKKRKQRKATTITSQIPLMQIFQKDEDESSPESEPSRYG IPCLNISNINRSYASNSFLSKYTNVKTTQKTPNSQQVLQSQQVLKYQQRTGCQRVQQKNL DDVADIPLYDDSRIEMKEPEEEKIPEIKVFNSRNTNNSKIITETNQDELLQIQQYNSNNN QPTLVEPTLKISQSRRENHKGHRTKTTLIFNDDLEKGSKEKFQIYDSKEKLTTHQKYECI LQYWSARQGNQDFFSYFLKRKCNPFLNVYQGFNCLHIAAYKGKLKILKVILESDYEYYDS SEMLNKNAKKPINTIQFNKSKKECINILTDQNPSNALHLAIEQEKYRCMKILISHGISVD AVNSRCLKPFELTYNSKFMEFYEENIQVKQEIKSLTELGYQYVIQTKGTLSVEQDIVYLQ LQNIRQTFLERGWSFEFLIFHAPNLDQLELYNENQHHKKVKPLYHYYVLKIPPDSIYRLA DLYQISCYNFQTKYICQFNYQKSRFFEFPKDLQVQMLILKTLNDEFDVEKFVLEQLIISH FPLEDKKKCEKITQFWDEQQNNCIRDSLRYETQQIALRPLHAISSYFGPVVAWYIALNVQ IIGWLMIPSLFGAALGIYIIIIQQVNSSIVPFYALLMTLWSTLFMEKWKNRESELKFCWD MHKFKQTQPQRVMYTGQYIINEATHTVQIYDYFTTFKRRLIAEGPVILIGIAIIVLSFYA FNIWLVEYSADPVMSIVINSLNGVSMTVFCDLYKRLCKSLVTWENHMYESEQEYSYILKV FLFEFLISYVSVVYVAIFGNDASSLSVSVASIIITRGVISNVKSNLLPFAIFQLEKRSLT RLFSEFKQLFANRIKEKKNIPKICNQIFSSEKLSHDSQLLFLQELEISRIKGPQKLLYDE YTNIAIQFGYTTMFAPTFPAAPLFFMINCYINLRSSIYNYQHILKRERAQAADSIGIWLQ IFQILNYCATFMNCIVIGTVNKEQFKGIIGNQDALVSAFFLASIEHILLLIKYILDVSIP DCPYWVEKELRRYAYLEEKYQKIGM >CAK89113 pep:novel supercontig:GCA_000165425.1:CT868653:122884:124109:1 gene:GSPATT00022282001 transcript:CAK89113 MYPQSYNNHDDLMRQQFINENNGLIEQFKAQLIDPITGRKRNEVKVQNFADGGRYEGEVE GELRKGYGIYFFASGDVYFGQWNDSFNGQGTYIYRSGERYQGQFNKGKKDGQGIYWYING AEYDGRWVNDQKDGFGKFRYPNGDIYEGNWVRGVKSGQGTLVLANGDHYQGEWNNNMKNG QGTYIFASGSRYEGFWLNDQFHQQGVFSYSNGDKYEGIFENGQKTKQGIYKHAVDGSEYQ GEWFRDQRSGNGRMKYANGDLYQGFWQEGERQGKGSYKYNNGDQYDGEFVSDQKHGYGVL KMVSGDIYEGDWKQGRKNGKGLYKFANHDIYDGHFADGLRQGYGRYQWNDNSYYEGNWDK DRMNGKGLYVSPDGVQADGIFDNDNYVGPAD >CAK89114 pep:novel supercontig:GCA_000165425.1:CT868653:124132:127730:-1 gene:GSPATT00022283001 transcript:CAK89114 MSVKVAVRVRPYNARELELNCQLCVAMNGPTTILLDVEDAKKNRDFAFDYSFWSHDSFEN DENGYSRAIPGTKYADQQMVFDTVGQEILNNAWQGYHCCLFAYGQTGAGKSYSMVGYGAN KGIVPISCEEIFKRIKKNPDSQLTYEVQVSMLEIYNEKVQDLLVPVPKRPTGGLKVREHK VYGVYVEGLTKYPVDSYEAIEAKMDEGSKHRTVAATQMNASSSRAHTIIQIEFKEIKNVD GRKSEKLSVINLVDLAGSEKVGKTGAQGDRLKEAGNINKSLSVLGQVIAALADKAMGKGK NAVVPYRDSQLTRILQNALGGNSKTLMICAVSPATDNYEETLSTLRYADQAKKIKNCAVV NESDVDKKLREQQEEIHSLKQQLMMLQTQLKGGVINPEMLKQLSQIQDDIETNETIMQEN QESFESKLQKQKQKDEEEDDEDIQNEGIKEHPHLLNLNEDPLLNRKILKSLNQEETHVGR PNGNPTPQIVVNAMCVQPNHAVIRNKEGVIEISPFTKECGDFIFVNGSPITGPTLLNHND RLIFGTTTTFLVQIPGSEQVEQGPEIDWELAQQEMQKKEEQKRQEQQKLAEKQVHEEMQR KKQELEEQRKEEQRLYEEQMKKQQEDFDIKMKALEEQNKDMLNRALMAEEERKLIEERKK LEEETLQKQLQREKARKQYEDEETMKKYRIKEKNFIENKLQKYLPKVLEVNLIAKELKRN VTLEARLKYVYADDQEYSQFTEENAQKGQIQIQVNNKEEGQIYYWDLHKFSNRYYIIKDL LERYFESNQLLSLPKDQDPFWDPPEARVIGSGFLKLQALAYLMDNPCDLTLVGEHFQCGT LKVNLIPTDETGQRNLSEDIEDGIEPPVEDPSELVGRRFDFRVSIESARLPENLCKDTYV EYSIQVEEKKKELFKTKTLTGAHPNPIYNYDQQHTFDQLTETQLNYLINGTICFKVYGYD ENKSKDMKKREIPVENLIPQQQTASQSNQQQIQQQQLDQPTQQVDQFKKQEEHIDILTKT INQSKNLQQQQQSQQPNKLPSQSSQVKQPIEKFASNQPTTQTTSKQSFKEYEDHTSEQQQ MKAKLQQQQMNAQKPRSSSQDRTPAMMSKGKTDPKKGTKKDDGCNIF >CAK89115 pep:novel supercontig:GCA_000165425.1:CT868653:127784:129095:-1 gene:GSPATT00022284001 transcript:CAK89115 MNDQTPIKEVDLNKQSWTSPKDKSDRFIPRNVQSNLYQLFMSEENSQGTLYNNLLQSSIL GKQPAVNQKLFNYKTENKQNEMNKIINNGLQFSATPTKVEPEKPPRKINKRPYKILEAEN LQDDFYLNLLDWSPFNALAVGLENSVLIWSGHTSKVSRLCTLEDPDMVCSVAWSQRNQHL SVGNSMGEVEVWDVTKQKVIRKWNGHQGRIGSLAWNNYLLATGSRDRNILVRDVRSPNES IQKYVGHKQEICGLKWSFDEQLLASGGNDNKLFIWSLKNQGELTHFSQHQAAVKAIGWSP HSHNIVASGGGTADRCIRFFNTQTLEQADCIDTGSQVCNLMFSKNSNELVSTHGYSLNQI IVWNYNNMSKVATLTGHTQRVLYLSGSPCGQNIVTGAGDETLRFWNVFPQSASKNDHGIT RAETIDLR >CAK89116 pep:novel supercontig:GCA_000165425.1:CT868653:129235:130101:1 gene:GSPATT00022285001 transcript:CAK89116 MIDEINIVFLSQLLNNIHLSQSHIDEAARFYIRHSHDQKSQQSLCEEWCNHFHFAKGNVD GDKVILSLLYMAQRVIESLIKFERTYYLMSEAFKKQIVKAFVILKDYNWTPDLKQQIKDL IRQWDEKQLFNKSEIQNMLETIEPTKMSKEKFKNQFAPPLFLIEFAKNYRELQIRQQKVN KYEMSLNELINYGTQDKINLYNSLLDQYSQSVENLQKYRQLVIKDILDKLKELDKIHSKS IIDLKYLAIRVLELKSRREKRIQNEYYHEQ >CAK89117 pep:novel supercontig:GCA_000165425.1:CT868653:130133:130838:-1 gene:GSPATT00022286001 transcript:CAK89117 MNQFANYLEYYTQRDVPFSQRSPKAKEIKKEWTFSPQFSPHRSRYNMNEIQQDNEPYNQR LAQNSKVKWRNKIEDKENLINRQNVVLNQQMKKCQKLMSPRKEKKNSIDSSYLLPIDQIL TISIQSSTDENIVQIDSVKKQCDTSLNKIQVQEFENDSYFNDSVLKSFKKIKIQDDNPRD YAKQLKLEDDFEQINSFRQHIQTPRQQQMKNISFVMYKKQVEGRF >CAK89118 pep:novel supercontig:GCA_000165425.1:CT868653:131166:132496:-1 gene:GSPATT00022287001 transcript:CAK89118 MLKIFRNLSLISKDEFDYSLYQCTSSENGDVPISKYMKPIIECLKGQDQKILPLDAFNKF YDILCQIQYNKWISALKIGYVLHYCLEKQCNIFVDAVCNNHLYDKIKNKQQKKPEDPIAQ LHINIVQNLFSYLQIRYENYLFFLNALSDFEEKEEFQKTFIIHKIVSTIQVLVKIYKDLD ISLTKFHNIEVTKHICVQLLNDLYFFYPKVQIYLQSHINQMLQMESEQSIKLYQVYTETL RLGRSVTQMVKLCNEVVSIKSFPQLVLFTVEQNINRQIELHFKEQKRQPSPIKFDIIKFD DENLFEQYKDEQIISTPKVLQQFQKMVTQLNENQHEPQPDQIEFRTSYKHKTERQQQQFK ARKCESNEQSMVQSIISTSDNCSSVRNIQFSHQNSFKNEFFNHSQSPLNFIDFSHQQNHQ QKKKQ >CAK89119 pep:novel supercontig:GCA_000165425.1:CT868653:132914:134076:1 gene:GSPATT00022288001 transcript:CAK89119 MSIEEIKKQVEYYLSDKNLSQDEFFHKQITQNQDGYLQLQFILKCNKVKQMKVTQEQLVE AIKTSTEVELSPDSTSVRRSNNKPLPELIITQTNKKVKTNSGEAVAAQQQQEEKPQVEVI DNPYHNFEPLIFTVKAPAGVAVNWTQITEALMKQHNISSPYVRYGKTEGNFALNKPRTPE ENVVRLTSEGIKIGEHQLEIHVTNGDDLTQFWSHHGKHYETIIKRAKETFEHGGAGKGGN KKYEQRKQKKDIVFHNQKYRDISQLKNIFKTILARSENNTPLKEPYHSMLVSLLQHHDKK DQKLNGLKHFTVGQHPDHAETRCFFAVKEDGSSEDFSSLKCIKNLETSLGL >CAK89120 pep:novel supercontig:GCA_000165425.1:CT868653:134398:135018:-1 gene:GSPATT00022289001 transcript:CAK89120 MDIDYLFAIKVVSMIVMFLMIIVMGNIPLRSTAFKGNQLLLELTGAFSGGLFLSVGIIHL LPESMKQFHLNYKAQEIESFNEFPYACLITVLSFALILYIEKIAFIHDHTIDESHSHQIA VINQQDIFGELESPETAKQQIQQIKEQNEIQVNSLTPYILQFAIGKDLCNEEFMHSLRVQ QQEQNNKYLNVQVLPQQQFVISGQKV >CAK89121 pep:novel supercontig:GCA_000165425.1:CT868653:136367:137933:1 gene:GSPATT00022290001 transcript:CAK89121 MGCTASKQSKNASKDNPPSILDGLNDLPKQKLLTLETKPDERKSKQPKSLDKSKIAELFQ AEHQQIYRNKKFQHDYFITEEKVSLNGFEGKIFVVENKVTGLKRIAKITRNTLASQQITK YVDYLQQLKKLDHPNIIKLFDFYNDDKHIYLVEEYYDGGDLYERLRTEMQSSEKIHVAFV FQQILSAIYYLHSQEFIHKNITTSGVVVAQKSNLLIKITGIDDLFNIFQDSNPDISYRAP ESFADKYQWNTAADIWSAGIILFELMYGQHPFKDQSRQITIQNIKRNNIKEDINLNSIND DAYKLISEMINPDPKMRPSAKECLKFKYFKSIRRSSMKITSALLRVKEFQRKNELKLILL SLMTEYLLPKEERDKVAQAFYKIDLNNDGKISKNELYQQYLQRSGNEEQARQEVEKIFSE LDINGNQFLEFNEFLIASSNKAVLFNEENLKNFFNKLDRDHSKQISANELKVFFYNTNLS QSDWQQVIQLGQNKEQMNNKISYQEFVSLLTENE >CAK89122 pep:novel supercontig:GCA_000165425.1:CT868653:137963:139373:-1 gene:GSPATT00022291001 transcript:CAK89122 MFNTITIGLLLLNFLKALSVDFEISISKDSLYSKKRIVNTISSNTTFIVNCYNLYAQYCS IELNQISIEAAQIALLFLKGQAPFLDNKKDFKYDGMDYDSYVQQKSNHFILIPSSQDKVY FTVLTNIPVSFDIYLRGSQTMLCQGDCQKNGKCVNGECRCEQGFIGRDCSQRALQLEQDK AIKINGSHDPNFYCYYEYNGTSDLRLEISTKDDENETQVYLLIPSLVYLPTTDFFNDAAI ITKGVPYVIQIDQRKQSYFEDENSYIPDRLIILLRGGQFNISLDLISDDNKGDQMKLIII IVCSVAGALLLCFCFFLIRRAKLRRSMDKQSPEDYEMREKQFTYGRSLSKKEDDEFVTTN NQIQCKDLQDNCAICLDPLSNQQPIKTTPCKHIFHSKCIEKWLQKNQFCPFCRFDLKIDN LKQQKQQHIKIPVSNQIRIVRRNN >CAK89123 pep:novel supercontig:GCA_000165425.1:CT868653:140259:142969:1 gene:GSPATT00022292001 transcript:CAK89123 MIKEKQKIRNQFHCYTKDYSITLFFLFQLIINILAVTYDARRQLYSVVICSINGFLALQQ LILPKEKAILYQQICILVSKVATIVLIIIVGPDLSILIIALFERLDLITSGKGTFKQFDH ILLRFITLIIMIFNFNLFLTITLSYSILIEINKLILERSTQNKQIHYNTTSSYQNTIKAS DQEWNYRLNLIPQCFMVINLPSLKVTYKNNYLYTYFKQCYENDDQLDELILHKLQFSVIQ DKIDKIKQFFDKPKINQRQVKKQQLWQYKSDSISLQQQSPQQKQEQSKGGDSLEFLTTSQ YSIFDILTNLKQNNVSDQQQLNSHVEIYSDQSWQSITINYNYSFSPKKLQLSGQIIFSEQ EGEVLLTLTDISKQNELQELISNSEFKSKIIESFSHELRTPLNSALNFLVSCHYDKDVQE SVKEDYLQPAINSLKLQSYIISDIIDLSQISTNNFIQSVSEFSIRRLLNEIIFLFKTQFE MKRIELKINLLECTTSKFTSDYLRLIQIIVNLLQNSLKFSSEGVVVLQLSTTQNQGLRVC VSDQGIGIDNDHLNQLQLLLQNIEQYKDIQLNKTWQGFGLLISAILVNQLAPKENKFLQI HSSGQYQGSQVWFYVENQHRIERPRQNTLRQSSSRFQSSHDEQIFNLEINCAIFQATDFS NIALSKQSNQYGKRKEFVKNDFNCTDSQSFESLQFKYGQLSPLSPSLITDKLFKYSKQDD FQNNHQVINLKTIFQAEIKEDQQFLKTFQKKKRCSCQNLLSVDDEIFNQKSIQILLAKLG FDVILAFNGAEAIRIVEDAIPCSPKCQLFTIILMDYQMPIMDGCTATTRLIEMMHKKQIP KIHIIGLTAFTNATDISNCIKAGMSDVLSKPLNLKELKEILTLI >CAK89124 pep:novel supercontig:GCA_000165425.1:CT868653:143132:145960:1 gene:GSPATT00022293001 transcript:CAK89124 MLISSRLEQLIKIDKKTIMLQISLDSPCKKAQESLLINTRGVVSKQNIIQILVFYILLSL IDLALLIQYPHNSQYIIPKLSISILMAIIITLFQKKLTHMIINIFLVVVKLNSILFLLLI GQDYILIVALICEHNNYPANFEHLEYSVQKIILKIVCLVIMIIKFKIMDIFMIGSVLLLE IYQIGLLLKQSKNQNIGELFSSFQNKINRETFTIYSKENTWISRISTLPICFIMISKKDM KLTYKNHNVYTHFSSICKTEEEYDKLILNQLEFSIISECYEDQKNIATDQHIKKNRLRNN LSSKKRNQENKDFSEQESINPQFKETNKLLEILENYKEGKLWDTMNSINNSLELFCQHFW EDNKCSTYSGQIIINQDDDEIILTLIDISKQNKYYDEMIKDQFKTSIAQSFSHELRTPLN SSCNFLQYCLNHKSVEEEIKNNFIQPAINALRFQSYLINDIIDFSSLCADNLELDIKDFL IKDLVDEVNKLFKSVIEMKNLILYVDLLENQLNSLCTDFSRLVQIIVNILQNSIKYSNSG YILMKLTSFSQNYLKITIKDEGFGIEEDRLIKLHQMLLDVEQKQNFSQYKSWHGFGLLIS SMLLSKLCPADYKSLLIRSGGKGQGTKVTFYIQNHKLSHSSSSLHQKDKPIRFNSKLRQS NPSGSNHHLSLNGTLIQISDLFVSNRKVNINTQFVKKVTLKSVKSNENSIFSDSIINLDQ DLQISELHHLQPFLFTENAKQKSKLSKQDQSPKSNHQQTKIVSYKQLKLQEDQDSEQYLK TIMRKKKCTCKRILSVDDEIFNQKSIQFLLTQQGFEVSLAFNGQEAIQIVQDTQKCNVNC SLFLLILMDYQMPIMNGLQATKQLRYMMDQHQIPQIHIIGLTAFNSKNDILMCLNSGMSD VLTKPLIIKDLFEILQLI >CAK89125 pep:novel supercontig:GCA_000165425.1:CT868653:146046:148167:1 gene:GSPATT00022294001 transcript:CAK89125 MNSNQELVQMLKDLQIYNSIDNNFSPDHSQLVMVYEKFCDLINFDDSFTLENSTLQLFYR CKLMCKTVGVADFQMMDLTDPDQKRMKHIITQLCKYCIWKKDQSQKWEKRDKEIVQLEDV DLQQTRKQKSELEDLIQMKYKDMDLKKKKFEQYKQMKHKCESDLLAKRNQNLKLQNSISQ NQNELKDAEKKFKNLIEKLQVAQNELSILESMVVKDPKTLEKKVNDSQRRVESLQIEVDK CQRELSIETFKIGKIYKQLHYDYDIFLRLLEQIKESENALNQEDKNFEKSNDQIFTASNK ILQENLALQKLQQNKEQLQQQKVAESEKNNSSNQYSLQSLIDLQNKLSELQIEVKREQQE YLSIQLMKQEQQKVTSENEEIKAAIEANLKQVVTQQNKEIEQYTKNIEILLNKIEFE >CAK89126 pep:novel supercontig:GCA_000165425.1:CT868653:148317:149397:-1 gene:GSPATT00022295001 transcript:CAK89126 MQTQSSFNIANPDTLLSKDTQLKRQDRLRKAVIFALVLAICMSLLILFTPKSNYVPSYSL NQRNSYYAQDQLCVYQVSTQSTNCTLIEANTTQVTIDENDNNSTHILMLDGLQVSSQQNY SNGTVIFSNLYENNVNITGKLNNALRILEKITEPCNNQDCGSYNEIPMVTYTLDKLNGQV LRIQVPNGLDGLLLQTLISSIQHLGPNVESTERTEDGIKNPVQIGKYQFMSEREVEQSWF GYSTITKEVTEQDSLDKDFLVGDQFNQKQTTKLNRNNEIVESQISSNLIIQINNTKSKLL LLIIQHFRELRAIRMPTSLIYLNRSIIIKIQRLILLLLFKIDCLSNMKKNN >CAK89127 pep:novel supercontig:GCA_000165425.1:CT868653:150347:151541:1 gene:GSPATT00022296001 transcript:CAK89127 MGNCFQQEEEKNQKSSIKKVDSFLAKQIEKKNEKQKVTLKDFLSQGEIGRGKFGKVLRVK MKGKDNREYAMKVIKKADILQYGLVEHTMLEKNVLGASRNPFVVKLKYSFQTEQKLYLVM EFIKGGQLAKVLRKRQSGRFTEEQTRFCAAEIILGLQYMHNTLRVIYRDLKPENVMVTEE GHLKLTDFGLSKQYETEEAKFFTLAGTPEYLAPEILVNQGHNHMVDFWCLGVLIYEMLVG ETPFYDCQRNHNTIEHNIKNAQIKYPNFLSEQSKSIVDRLLNKDPKQRLGAKSIQEIKDH HFFDDINWEQLYNLEIPSPILENTQVYVRVKNDNFQPQFKKDQFGKRIYETPSSHFIETN GDFKGFSAVQE >CAK89128 pep:novel supercontig:GCA_000165425.1:CT868653:151613:154198:-1 gene:GSPATT00022297001 transcript:CAK89128 MVASAFYIAETLQLDNQFEWFQSIIKAGKIMGTFSQSTKLLWAKVLYHQLDRHLTTQQMS GVPEPIQQYFIYNEELCQVLILKAQQLTFVGDDLEYCHLIVASQRLIYGSIIKLYKDAIV AKKCPFLDILCILCDTYIQSLVAFAMSPTFSYDTLNQNQQNLINEIIKLLAITCKSISVY HIFAEYRMAIFVDIILPFFSSTQKEMSDLNEDPNEFVQLTQDLLDEQKSDIIKSSVAQLI IAYCDHIDGSVSFFTSFSTLAATYCVQKLQNAELKEQLGLIMEFKEHYFLKSMNVNVLLE TSLLILSILSNYLVYRNEVGLIFKSFITQYGDYLLNYSIPLVKARLCTYIGAFCKSILHE KEELSQQLLNFLMNQIKSSKNELYANCYCAIEAIKNIIEEPNLEKLLEPIIGQILIALCE SLLQSDFEDHFDTIKQVFKTFSLDPSVLDQALGLIVLKIQQEQELVEQGQTERQICINQT WNILKSLPEIDRIIPIHFNLLEKRVAVLYKYIINPNVIDFDEDIVYFISQLINKTKFISD YQAEMLTQCNKVIEKQRYTLGQLFELFNYYIYYGRTLFQNAKAQEFLIQMLEAVFNNPQN GEASQGEAIVLLHLLLQEYPLQKDVLTYIYTKILQRSQLEVKNDFLRARLMGIYISGFIQ NCAQTLAWIESQQGFIYDHIIDSSKHCQPDYDSQLYIVGFCQLLLKNPKYLNLPLLTNFV TVLKRQYNNDIKKAKEDNNDNEEMFAFNDELEDAKITMETFLCNIQKHNEFDMFHLTYQH LRKTINIPELISNDPILKKDLDEILKISKINSDARIILKLKKRKET >CAK89129 pep:novel supercontig:GCA_000165425.1:CT868653:154285:154674:-1 gene:GSPATT00022298001 transcript:CAK89129 MMNQQEYINLIVMAFQSKETQTRRKAEEQLIQACQNDARSVEILCELSSQQNDLLLAEQA AITIITAVKKFIGNTSKTMFDSNLEPYAVEMRLHHVDLFVQMLTKQISDKIKVSIQQALQ QLVYYDKCK >CAK89130 pep:novel supercontig:GCA_000165425.1:CT868653:154737:155978:-1 gene:GSPATT00022299001 transcript:CAK89130 MIPRDPPSKILLLIITYLPQSFSLTNDFLFETFKQYGEVKKILIFERGKTNKAFVEYNEV KHAISARRNMIGKSLTPQGGRLLIHYSRLKQLDLEVVDHTRGSEYHSDDEETQPEQKSPL KSMTLPNSIPVSLPLIKPHSLEEQPNNGNPFNGIQNITEESPTKAMQNDSSIFSRMETQL RQLERILDDDYEQEVAKIQLTQLDPNEQAIQELLNQQPSKFLRVSNLDERVTPRMLYNLF NRFGHLEALLLKRNIRQSILQFVNKENAIIAKELLNNVVFFGNELRILFQTASNALLQPN NPYDEYYQGSQTKFKIVPLSRVLSFSGITQLLEIQDMVKLVGKIQEIKLDSQNIQITMVD IYEALKVISVFSEYDYKGNKISLTLK >CAK89131 pep:novel supercontig:GCA_000165425.1:CT868653:156336:157018:-1 gene:GSPATT00022300001 transcript:CAK89131 MNRQQQEAAQILLKRVRDALQARGSKTIRQLGVCFRCLDSYDGNRKLDKNELKVGLAENG VQLSWNEVDILFAAMDRDRSGTIDFDEFLVSIRGQLNPTRKAIVDQAFRKFDKTGDGYIT ADDLKGVYNTKMHPKVKNGQMTETQVFEEFLVNFGDVDKNGQLTYQEWCDYYAAVSASVD NDEHFVLLMKMAWKI >CAK89132 pep:novel supercontig:GCA_000165425.1:CT868653:157077:159738:-1 gene:GSPATT00022301001 transcript:CAK89132 MQEIQQKRDVYNEGWSDRELEDKLKFSTKITLLALILAIILIIVAIIFFNKYSLTIMMLL LLSGIASVVLSGVIVYWFNTSKITEESQSQLQQRKFYIDTRISAVVFLGSFINILYNIIL LVFIVFWYRIGQDYYQAEHSVDTSIAYMSDYKIGVILGFPILILISLIWMYVAFTSYITI PQKSQLRLCIYVFALSTILIASLALNQANQASADLSTPYSPILVTSVFSLFKTLSVLSLI TAAFAFLITFIYRNSLFETIGYANLILIFLITACSFYIIRQSEQMRSAYINQCGQEMRNT HQDWIKENASCNKYQEQNNCDPQYQSIFWEKDSSQQCLNISCCKAFSDSMSKNIFYTGFF SLLLVISGFALSTALLQVDTSLKGAQIPNRKEDWTFVLIGLVVLVFSILLIFGSATTPNQ KDIIIVANQQVIKANKNPLVYPTGIENVTGCEPFMDVYKKQNNNKELELNEDSRLTILAP QMQLVVTEYVNSAKVSYIPKEMIKNVFYTSAGSNDGIFGVEGDKGEIKKILSNHIQICSE GNKKQLTVDIFALQSSRLLWQKHKVHAVEDKSFNQEAKQYISKNLKFTNLQINIVDIQSG LELDDVILYFFKNEDDCGKIKPIPQRIITVNQNSVLYNMVVRDYYFGAEKKGYYLYCNKF KNSETTKSLDVTMIPRNTIKGQLTVTLEVPKHDKFNVLLGAAYPECVVGFFNENCGGMQF YGSKTAQSIQINQLADRKYTFFVKFDPIDSKLKELNNKKSQGLKIDSSLIENDPIFKEMK PVVTLYGYEQERPIIRYQLPQVSNLNKEPNLTWLVLCLDGGIGDISQKSCGQFWRYSENP NKYERDENSKEIYPQVCNKL >CAK89133 pep:novel supercontig:GCA_000165425.1:CT868653:161201:162259:1 gene:GSPATT00022302001 transcript:CAK89133 MAQKSQNTSQFEDELSQKVYEYNDSPSQDLQKLIKNTHKKIKKPSAKRKSCNWGSGRPSK NHKAGSDIQKNQLLLKEVLSNLWFGTDISLQLITYLCKMGNMIPKVVTGIPASKNKKDFM KYDINTNRDVKTYQSHQEKKKYSNSLKKDLKDKHKMLLEYLQYSEETTYLFLGDNRNCED KLYLDDYIMEKESKIGLEVVIKNLSPKFVYTYKFIVQEYYQNNNVNFETLVDNILNNLKT NQQNHKMIFDRQLIYELTKDDINLINEIESKPSMADFHMYQNTSIRKLNFCSNTFNDEVE EINRMSSWIRQFISGFIEILQNCDKITQANKTLGK >CAK89134 pep:novel supercontig:GCA_000165425.1:CT868653:162332:163078:1 gene:GSPATT00022303001 transcript:CAK89134 MNPKIETFNFYYQTYFKDISKIEFIEHVPDEILIDSNDKDNNNKLIKIEQSTLGISVSAI ALLYPICLELVKNEHYEDQASWMILFLNGENYTAWGIRQRLKKEEDLKLTELICIRFPGS SCSFNYRQQFESTYENETRFFLKAFQKKNRSYHLWTYRMKYIKKISQEDHTIYEKECDLM KNLAEKDVHNFSIFHHLMICSKQCGMELMKWALELRDSFSLMYQGQVKDCEIDFKALQSL NQFIKHLQ >CAK89135 pep:novel supercontig:GCA_000165425.1:CT868653:163162:165914:1 gene:GSPATT00022304001 transcript:CAK89135 MRLIVQQYYGFGQKKTFKIEINDDETSDQLITVISSKVGRQVQDLVIKCKRDQYSIKIIE GWPIEFYELQENQNIFVEVRENELDTKQKEQKRQTEKYLNKLFINQREKPQKLSAVSETS ENSDDEANSSSKQKSSNTNVDPIQSDLDDKIFQTVKSGNLDMLKQLCQKINTSQLNQAAF GGWNPIHFATFLEYKLIVEFLISKEIDINKVTDEGWTPLQIAVHRHNVEIVKVILNHPQV DVNFITDKGIAISLACKSNQIKIIELLIQRNADLRLQDKTERTAYDYCNQATKIAIEQMK KSNQMKDQLKNADDFIPPRPPVAKGFIYKTGQMLVTLNERFFVLNPDEGTFIRFKNIQDY PLKPLEIIPLRSVRSVQMAQKGLISKSGYHYFELLYSARILLACKHDHIAKKWVEYIYKA TVYHQYIEEKIKDGTLDPNLIDKNAEVFIEDSNTKAPSSDPPPKQLSPEQSISLPRDEAS IIQNRLSNPEPAIRYSQSPPRNSDIEQAKPISQSLPQPQSSIMESQNELLKDSKVNFDSF EVIKELGSGAFGKVFLVKHKADGDVFAMKALKKKTLILKKQIKYAITEANVLKMCQHPFI LKLHFAFQTPNYLYLVLDYCQGGDLSYHIANQGKFSEEATRFYAAEILLAIEYLHTKDII YRDMKPENILLDIQGHVKLADFGLSKEGVTDQDKAKSFCGSPAYLSPDILSQKGAGKPSD IYGIGCVMYEMMTGESPYYNDDIQQMYKNIQSGTLKWPKKMSIEAKNLLTKMLERDPNKR IGTKSKDEIKQDPFFKGIDWDKVYKRQYKPPITDFSDMQDDDDQQDFEPDELGYYGKAIF QDQDYEQENNKTNRVKNFSFATNSS >CAK89136 pep:novel supercontig:GCA_000165425.1:CT868653:165999:166512:1 gene:GSPATT00022305001 transcript:CAK89136 MQTPEQIKVESKTWKTIYPPYIDSTLTAAQGRRLGKINCVPYPQLMEISQCLSSLGLRHV IDQHAGFPRDIFKQGRIKVRLYAEDKKPYNPQVKCKHTLLQSIAKLIKSIPNRKVEVPPY INQMEIEKQNKPAQKKQTSNKKKHKNG >CAK89137 pep:novel supercontig:GCA_000165425.1:CT868653:166687:167457:1 gene:GSPATT00022306001 transcript:CAK89137 MDRQDIEQQKILKLQLSRIESLKKEYSKKDQEICRLEQQVEQFRIYYDKYENVKKLLESA LEQLEKIENQNKMLQKKLSEFQDTYAKLELKHQSLLVDFNNAIMEKEVNQISQNSSLRLE LNELKSQYNQKIQHMETEIQKYKQTIQEKDAQNNNLTSQLNTFSKQILQYKQQAKNVDLI LKNHIASTKQQNNSYPQSVCETEIYKEDQNLKILCSQLTQDYDEAVKRIQQFERQLTEYR TENLELKKYIQYLTDK >CAK89138 pep:novel supercontig:GCA_000165425.1:CT868653:169362:170257:1 gene:GSPATT00022307001 transcript:CAK89138 MLTKLLLKARQASFCVYIKNSVNYHVANSQDPNSKFANPQFNSSILDFDKDQENNVQMHY YQGKFMPDELDWTDVWETAYQNFTQPEYLYHFWFCGVLYCFEPEWTINYPYEKGPLSPLF RGEHALRRYPTGEERCIACKLCQSACPARAITIETEPRPDNSRRTVRYDIDMTKCIYCGF CQEACPVDAIVEGPNYEYTTYQHEDLFYDKFKLLENGDKWEPQIARNIEYLITRKY >CAK89139 pep:novel supercontig:GCA_000165425.1:CT868653:171002:172564:1 gene:GSPATT00022308001 transcript:CAK89139 MQRDSLLERKETLQQLNNAKFGWFHIKACLVSGIGFFTDAYDLFIIQLAVIMIGMVYYHD ELYPVSETLLKQSALIGTLIGQLLFGYLADLLGRKKMYGTELLIIVFTTVTSALAANTAA PNGLTIVGMLIIWRFLLGIGIGGDYPLSAIITSEFANTKNRGAMIAAVFAMQGFGILTGS LVSLLVLFSFSNTLNNSDPLNYLQIDHVWRIIVAFGAVPGLVAIYFRMTIPETPRFTMDI KGDVGKAKKNTQQVLKNNSNDISEDGTSLDDSNQKIQKPSFYELKRYLSKWRNGKILLGT AMAWFALDVGFYGINLNQTTILKQMGFGSGSDLSQYEILKQAIYGNLITSALGTVPGYWL TVLFVDRWGRKKIQIMGFVALTILFTVMGLCKDILGHYLFIALYTLANLFNNFGPNATTF IIPGEVFPTRYRSTCHGISAASGKLGAIISQVWFLGLGADNFQAIMLVFAVFMAIGLAFT FLIPETKGKTLEEICEVQLKSSQIQCV >CAK89140 pep:novel supercontig:GCA_000165425.1:CT868653:172749:173422:1 gene:GSPATT00022309001 transcript:CAK89140 MQIQKSSFSTHFHQISPPFLMTKRIKSEGQDFLTELDLNAQNSIKKRVQKSEAQMTEANQ KLIPKQKMNIQARKKVIRTKQPSLPYLKLDNKLYYDKWYIPYDQRYIPKVNLAQESYQDP YHFYKNMHQAAAQYNPFEKQLPKDLERNIEYKHRADVLKEMLKGQKMIYEFRKSLESNQQ RIPQFVKKIMEDKKNPLQKQQ >CAK89141 pep:novel supercontig:GCA_000165425.1:CT868653:173435:174658:-1 gene:GSPATT00022310001 transcript:CAK89141 MIENPNFTSIFDKQQFFYGIVIDGQKNGIGIHYKNQKSIFEGVFTDNQKNGRGIELFFNG SFYIGQYSNGKPEGTGKFQWQNDETYEGQWFQGKKHGSGIWKGSKGDSYIGEWKLGIPDG YGVHLWINGDRYEGEFKNCLKDGQGTEKFTNGDTYIGQYQKGKPNGIGEYFWNNGAVYKG EFKDGVRHGKGIWKRGNGLSDQYNGEYINDQKQGYGIYIWADGNRYEGQFLNDLRDGQGT MYWHDGSFYKGQWKQGIQDGQGILSINQDLIKGIFYGSKLIQMNENTQFNGNQTRNKAHS VEARQTSYGTDENHQNGSLSKTQQRKDSAEYSTKVNSFTQTYRNSNSVDQRVMNISAAKR FGKITNSKLLEQFNQARFPKVQQQQSNKQQLQKRLWKPTGIPKNVKF >CAK89142 pep:novel supercontig:GCA_000165425.1:CT868653:175400:176427:-1 gene:GSPATT00022311001 transcript:CAK89142 MDKQIRLNQEQILQCIRNHRDLNEILSKQKDHSSQELFDEIEKVTNNMSNESKESQESKS NSDTIDRAIKMFAEPKVILYKKENSLASDQIMEQISQVFNNKSFDQKVGSNLSTEISNEP CLQLGSSRQSLLTSGSETERRKRKTISDEESHYFVVRLEDIINYSDERTTIMIKNIPNKY TIQMLQDLIDLKHHDLFDFLYLPIDFKNQCNMGYAFINFIHPLYIVQFYKDFHDNGWPHF NSEKICELRYARIQGRQALLQHFQFSSVMNQKDKKLKPVIVPQSELSRIHQLIQRQKQ >CAK89143 pep:novel supercontig:GCA_000165425.1:CT868653:176509:178047:1 gene:GSPATT00022312001 transcript:CAK89143 MTKSNFKGDLSQSDMIVLTESELKRIKNLSVVTTKEEQIQHKKLMEEQKEKQLAAAQAKK QRMLQIEEEKKKSIPLSQQEQEDKFAKDSLISRAQEIINEQIDDVKEMNKMVMYAKCVTI RDKQLQEKKELYDQYKMQEKRKDLMMEIERLRAIKYHEEKDQKQKQQLIHGHEIIIEQIK ERELIRLKEKEEQEREGQIMLKQIKQLQQEEAHKAQLRKTHQAKVQDEILEANHKAILIK EKRIQEERDEEDKILQYNLQKAQKEAEFLEEQKRIKEEKEREVQRLREMQEKAQDRAAEL DLLRAKRAMEQNERQAREKERKEQEQKMKLNYEVMEARKLQQREKLERYQEQAKLERDEF QKVIQKQKQERENEIKIFQDKEALRKKHAEELRKQIIQNEERKKQEERDKLEEGKKIKDR LNQEKRLLESIKDTKLKDLNEKSIPDKYKAELAKKKIVINI >CAK89144 pep:novel supercontig:GCA_000165425.1:CT868653:178512:179174:-1 gene:GSPATT00022313001 transcript:CAK89144 MFNRQPFYLHTSRFQRPSQKGNVLPSVAQYLQKVRTPEKNIPKQKESPSYRIKSNPKINS QRQASSSSPSREKPQLKYNSFHSQQIKQKKRLQEMGNDSQVISRRQKTEAAVENCVQSPK KNVLQESQEIMLKLQKNAAVRRVKTEECDREDHLHSVRGTVQRQNKNQKFENQNLLDLLL LSTVELKHHFEQTRTARQIMPTKARAPRLSIRQFPKDFFC >CAK89145 pep:novel supercontig:GCA_000165425.1:CT868653:180418:181100:-1 gene:GSPATT00022314001 transcript:CAK89145 MRSESESDSEHFEFVQSFFHLIQDINNYCHNKAQRNRTAKFMKNAKARRKIGQINFRMPQ SADQNVSEIVSFNKNQAEKLVKPMRNQTKNLENSKVETFICNVHQILRDIQLKQQILRNV QKQVYLNLIQYDKKQPSQLQQKTSCLHVYLAEKIYADQIKDSANYQIIHETPKIAIPLFK NIPQTRKGSKD >CAK89146 pep:novel supercontig:GCA_000165425.1:CT868653:181646:182857:1 gene:GSPATT00022315001 transcript:CAK89146 MNSNSYVQLSKFWLLNQLGHYKIQAKGRKNLKAKFMKMRMRDIEQRKKQTISEAEHTNNN MITHHTTQLIQTLFNFNQASIFIIIYASLYHLSIDQTFVIDILLRSKSFLIQNQFSTIEY QILIKILYTFLIVKFRNQINKMSSPDKSPLFKFIIIGDAGVGKSCLLMRYMKDDFTTEYN VTIGVEFLSKVVNINEDTKVKLQIWDTAGQESFRSVVRSFYRKVAAVFLVYSITNKQSLE RLDSWLKEAKDHSSASIITVLVGAQNDRESEREVSYEEGKYWMETNGLNLFFETSSKTRE NVDEAFAETAKLVFLNHINGGANNEAKLPSLNQTDLSVKKLHQPLEKQDKKIDENKSCC >CAK89147 pep:novel supercontig:GCA_000165425.1:CT868653:183251:184699:-1 gene:GSPATT00022316001 transcript:CAK89147 MDEAMGWKQKKLTAGMVIAGKYKLLEKIGAGSFGMVFKSQYLKNGDLVAAKFEKRDDSQK GVSLLIREIKVLSELQKGFPQIKFYGRDENYNFFMETYLGLNLEQLLRKCGNRFSIHTTL RIGIQIIERLQAFHEKNLIHRDIKPENFTISRQDATQIMAIDFGLAKYFRDTGGKHIPFV NNKGLIGTARYASINALQGNEQSRRDDIEAIAYVLIYFHLGELPWQNIQVASKEEKYKQI LVLKQNNELEKYSDKIPKCLMKMLQIAKSYEFNQTPDYMGLTKLLQDELTTDAKMDWESL LETNIDKMSVSIDMIENDNQFDDLQDDKQQEVINSMNKIAQQETKFINGRLNNSRNHIIY LEVPKNSIVLNDAQSPAGTIKSFNTSKVNHYGGSHINLNTQKQFSDLRVKSCENFQYKDE DNYEADSDDQPCILYQNLVIGRSSSKM >CAK89148 pep:novel supercontig:GCA_000165425.1:CT868653:184730:185820:-1 gene:GSPATT00022317001 transcript:CAK89148 MIKFEEKQKETQQNQKVTIKKKEVIDMDDKKAEIIEKDQQISQQEQIINQLKENLQERTN YELRRLNQQVQQMRDELKELNEYYLAEIQPLETESLPIIQGNRDHTFTILHDVYNKQEMK FPKAPRVRYEQVQDMGKELSLRLQLKQITVSEASAILIQNATNNAINVEQLQEQLLQEPF TIADPNEAKIIARYIVEDVNDEIFNLDPQATVKLPVVKSVFRHLLDCYDLLTQEQDKQLW EEASVIVNKYETALRSQFDLLNKQQHGFMKPKDLFYCLDQLFLDLTDIQKEYVLLRLFVY TNNINKIMHMKIFDVFKAGSYIDRVSKDTRKKKKQRQTGKKIEIQKQDIDDFD >CAK89149 pep:novel supercontig:GCA_000165425.1:CT868653:185859:186284:-1 gene:GSPATT00022318001 transcript:CAK89149 MQINISTIQQEKQRSKITQDEKQVNQSWLGIKLKIQINQLNSQIEEKQSQIKQLQKNPNY TRAQEQNMLIKCLNQCSSLLKQEQNLMPNDVEDLKVEIQELEITKKLLEEQSENLSIQKS LLEKKISQQYLELDQIRLKMS >CAK89150 pep:novel supercontig:GCA_000165425.1:CT868653:186548:188219:1 gene:GSPATT00022319001 transcript:CAK89150 MFQPNQSQIKYHNPFGTTSAIYFSQQQTSRDKQMQSRDVSENKQTPQYPASQIVYGPTMK IVPSAQTNIAFRKISKELNITIEDPSNQQQSLRQPSPTVKKQREQMEKDWNKLIDKSKTL QENLVLLKNSISMNLTKKLPDQRLSKDSTSTAAGLSSRLKLQKVNINCKLNSPFKVQPKQ ELSKSLSQNTFTNLKYAANPIKNPVINNTSIMPLTNNTSVRKSQDSCTLQPKITSQFSSQ KQTSSLYSSIEYKLNLKISSFLGRGKFSDVHMAIDQRSGLIFALKIIKKQTVIEHAMQEQ LVREILIQSKLSHPNIVKMYGQTYDEQHIYMMLEFCNNGELFQHQYKQPNKRFNEKDSST FIMQILSAIQYMHKQGYMHRDLKTENILLSLNYVKLCDLGCVREIPSKEDRRNTFCGTVD YIAPEVIKDQGYDERCDAWQVAILAYELVAGNTPFSEYPRDDESIMENILKNKFDLPQSF SPALKDFVKRGLQQRPENRITIDQMLQHKWIVEYNKGNDKEYIF >CAK89151 pep:novel supercontig:GCA_000165425.1:CT868653:188332:188797:-1 gene:GSPATT00022320001 transcript:CAK89151 MQEKIREQLLSPQFKETEQEAIAQELRKFSSISAIEMNTPDTKYRSTITPSKMDTSKEMN SLHYRNKTCEDDLFRLYDEIKDLYQKREYDQPALVEPKILFTNQEYPSKIIVKRKRMEVQ LNNNQVLIDKIYRANLINYNNLYNCI >CAK89152 pep:novel supercontig:GCA_000165425.1:CT868653:188898:189137:-1 gene:GSPATT00022321001 transcript:CAK89152 MEIPSISQTRLSSKKLSQRMRVDRQGHPILKGYKLHSVTFIDNVLSGSNIHKIHLVDSWK QHNFNEFQYKNNQRCCQIS >CAK89153 pep:novel supercontig:GCA_000165425.1:CT868653:189338:189917:1 gene:GSPATT00022322001 transcript:CAK89153 MSKKQPKQTRPLFIPKPENGEFPFDIKNANTNDIKPIDFFRMYNSLQMMVEKLIQDQVAQ QKVQTNIDAIFRVFIEKDLNPPPPQKLVGRRSSPYTYQKQEFWQLVEHLNSLGFSYRQIS ERLQVHYVQISTHHRNTVDYDDDSEQESSQVKKQTKEKSKKEQIVDKQPYQICDVFQSDD EQGSK >CAK89154 pep:novel supercontig:GCA_000165425.1:CT868653:189975:191112:-1 gene:GSPATT00022323001 transcript:CAK89154 MNQNQQQLPFKTFQLLQLQCQKTKKFLSGNVRQESKLIGKSKSTLSLQMNANDDSINFIV ELQDETENEFLCYGDLIAIKHFKSQLYVNTSNDNKHDELKEFEVYLNEEPEYFVIQPPEE ENFSLLKYVNQHITHPFRLLSSDNRNYLISQQKNDNVFYSIGRQKLISKNQQEGIWNFVQ SKSTNDNLKIFDRVHSAPIFIETRRKIIIRNWWTGFTLHSHTFYSQQRKTQEVTCYSHPR DDNDYWSIVKQNSRNTSKFISYDDQIFLQHIETGKYLNGSDQDSFSKLGKLVLCSDNAAL LNTQAFDDFILEVNKPFTIKFNNYFLAQSSSRAESNTGIQQECIYVKKQSQECLWIIEKM I >CAK89155 pep:novel supercontig:GCA_000165425.1:CT868653:191298:194649:1 gene:GSPATT00022324001 transcript:CAK89155 MNKFKAINMDDTAFGSIDSKNTMFLTSSPTQFKTIGQVSLSRKLSKLEPLIVEKDQRNDS RSQEKRLLKDLGISQVLDWNSFMEKDAEQIKEFNETTQKFRRSSIQTQSSQIFMSPQKTD KFFPSQFDGRRSSINLKSFDKDDFLEKMKLGNKQIPNLTTTEFMKCVRQKEKLTEYAKVY QQSPENLYLKLNNSQNFVPKTFGLVSPQGSPLTQINASRFLRCSDDCRVYAEAMSTTQSQ GIQKMQLNSNIYDPRQFKELLISFPNTVRELELKDCKLNFKHVDTLMAYINKNQILKLNL EQNLLRDQGCNTLIKHLMNNNTLQCLNLCNNKITESSSAALSNFLKQSQRLIELYLGFNN LQINGSIQIWKAMYKNTSIKILDMSHNAIASLECAQAIAKALSRPYNELVHIDLRYNKFN QQQSEVIAEGLIKNETIFGFHFEGNYQDIIMNPNGFLINRREELKQKQQKIDLLNKQPQY FKLLDEEKLENSNNQKTDDDLFLAYHRSRRMKSTELNKQKVNMINKLDVCWICEGWQEIK FLWTPKSGSLRSEPIFIHFDFDDYKPYLMTFLNGSFFFVKMCPPNRSIKYFFTNPILGIQ CIAEDQNVLSLHQPLPSIPFLYNNEILVDGNTMQIINEVMSPNNQNLFDRYVPLVQVKPR EKMALFDFSPYLNIASTKWSVETSIFRYFPPDTDKLIEECFEFDYQNSKINRLVKETELQ DIKELLKQFYVQLFNCYKYFASGNPTAPIPCLGPTDYVEFLISTEIMEGVKPNDIDIGFT STAGAKDVSFPQAYEKGIVRCQLMEVLVRFCNDKYIRSGLCQTMIEGLQQLQQQCNEFLS KFDSPQAWRKMRLWIEKCDILIHERMPMIKCLYKYTCKLSKKPQQYKYDYVSPQDFKDLM KQSNVICDELTDRECYLAYLQSMITQKDELFQPKHYQMTLYEFIEALARIAEKVSIIRGE KVLEIENRRAQDLQDKISGLLLLMYLTLIDEIKKALPNEPDVKHLEKCMNNDFRSKKQKL EDSFTDGDEPPYDVKVELPILMSQVPNTQGNANGPAKRVTIRNIKLLKPSTTKYSLTNFV QFFISLNERT >CAK89156 pep:novel supercontig:GCA_000165425.1:CT868653:194925:195632:1 gene:GSPATT00022325001 transcript:CAK89156 MGASICQQTCAINDRELVKEQVELQPKSLDSKRECSQIQDQPITDHLRINIQNSSQISYN LADQQQNQSKEYSNSNDIPEAMTPWLPWQNLLFYNKWAEQFQRRIVYSIVKHRNCLVYQI IIIISELSKCRYIANVKFSQFTFRLEFKQQQSNSSYPRFCNDDKGNKLLNMQIIEDNLDD YINYDWKNSKFQLISINDQNLLKKASSTKPKTKLQKRQF >CAK89157 pep:novel supercontig:GCA_000165425.1:CT868653:196133:197671:1 gene:GSPATT00022326001 transcript:CAK89157 MNKYNLRFKDQEIEKKYQIHKVKDIQFPTLTYLTLGSTPLLLIFAITSLINIDYTNALIN GILLVYMLIQYLVLRKNEHLRVNYCNLALMLTNFASLYYEISPKQSDYYNGYIMGSNQML IHTLLMFACNLEIGVFSNLVLTVVRVILAVENSESMTLLQYLQTIIMACSFIIVQYQIER QFRDSYLLSLKDNTWEILIPQLLKKPFFIFAFNQEVNMYQAIMSNRNSFFNSDSPLTTFL FQSKVKKKSLEAYLVKKSNQKGKFSQLPCLFNQQVNLEYQLKKLQVSIIGCKFERIIYAV IIDSEDPLLRESKIKFNQSLQQCKDILNAQILSINKVLTLYLSETYSSLIRNLRISLYEI YYKQVDNKKVQSVKMKKLLLKCQRIFETPTNKIKIDCQEFTKFATIKSTLLIFLFEILKL VEGNQQVFLLVNQNITTTLFVYGIKNLPTSALFEESRKVLVEKTLYTAQYYSFEFMKSPQ STFNYENQIKQESIA >CAK89158 pep:novel supercontig:GCA_000165425.1:CT868653:197710:198957:-1 gene:GSPATT00022327001 transcript:CAK89158 MSEELTLLKVKQKIFWADYYTDRHKFKNFNFRKHGVTINNDFLSALANNEFLTAQHLIYF QQMFQYYYGEFLVYIIVLTIKQVLSLDCHVFPEFIPDITNFILPNMIATQFKQESSRDSQ NSFSNFESWQEQEQQQTQTTQSENNSIFYKTLNLIKSLNPYKFFRKSQPQQEEVDFQGNY SNPFPINQQNIVTQQNQEILQKYNFQVKNITYIKKDPQEPTQVISKGEMKINNIIILENE KGKYQFNKLNVQIENLKNRYKFSTMVQSNFFSLFKYTYIPINLQNNHWLCAIVEFKENKI QYLDSNFGTQNNVVEGLEQMLNYKGEQTKWEILFNSPKQENSFDCGVFCLMALYQLYKTG KFIQTHQYNQQDIYSFRKQLLYLAIIESQSDINQKLLNIILDFKHKN >CAK89159 pep:novel supercontig:GCA_000165425.1:CT868653:198977:202481:1 gene:GSPATT00022328001 transcript:CAK89159 MDALTKIVATGERAISELNKQSLTQWNGATTIKIIERLNLCFDEINFHLQKKGLNEEANV ISKLYNHYNVLFQKVEEINQRKHQNAPELPMEYCQRSLSTNASSKTIFGGAEIQSFSIFS AQKSKFISAEQEFRSNKPKFKKNVQSKGTDTGEDAMRYLNVEYLGEKERELQRAKEENEM NLREIEKFLKLKGLWSEIHASNAPYKLQDLGKTLERELQRNEMFINEQIRIANQEPDFPS RDMKQEIKERVVVGVQKSTADRILKYAERKMKEEFEKFQLKKNSGLQMHKRKSQNMQRID ELEHLINTKNEEILEKESKMSALFSKFEEQEKKLVNLMIQMEQIKANEKHNNTFTSSQAN EKQSHVEIQVSMSDPKIFKLELQLKEIVEQNQNMKGELQTMYEQAKNDKQKVLLQPQLIE EVLMMIIQSELQAEGKIELLQTFLQYLIENSENDIARMPPNELYRTVRQKMQYNNNSNRN LEEVLEKFEEMQSDNEDYSPSSIKKTKKNKNKQQKSKKLILERADSQVEQQTFSPEKSEK FIGVKKSKNKFEDQSNENSESQIITNNNNNTNNNNSNYSISMFKKKPLNKISEENSIIQK NSPRKQDVNSPQSGSRNILNNTSTFKQNQSDQKQNKNIVNSSFNQNQSQYQQQQQQQNNQ QQQQIQLQPPKESSRFRTEQQKQLSSGRQQANKSRGQIDQSNQKSVIQSNHTPQYKTVRQ IKQRHSIKDEFGEVDYDEVDDEVANRGVQVNFGNLYIKASMINSQDDNSNMMGSQIGFQT PLMRQSYRQLEEKVTAKFTQTDDFFMWNLFQKMQVDLGLTEEQIKKLEQIFLNEQQFIHY YEKTPSQRQKSRASTTVLADQTTKAKQEKYIENTINDSKMTTMIQQKNETTDSEQQIRRP TISIMKTTQPPKGLESPMNLVGRQKSQVLLSYTNESSIIQPNQGRSVSQTSDVHIMNYGS VSTDTIAKKQRQTTSQKILEDMLKNKAGLTSPFDIETKEQKEQKMYFHVFGDEMQTDADF ELEAVRVNLGKPLEVIQDHLKEDAMKKIYQQFVNRPKNPWQDQLYMIISQFANKPPQAIS YIDFKKYYENYMRVHKRCGDGCIHIQRFLARVGFGINSKRKALNMSKQSVSPFELPKLK >CAK89160 pep:novel supercontig:GCA_000165425.1:CT868653:202529:203055:1 gene:GSPATT00022329001 transcript:CAK89160 MQQYTNNYESVVRTQVVSGQDQKPLNLGLTDVEGAKAVARRLFDTYDRDRNGQIDNVEVV PMIVDVYKSFNRIFSPARGDIDSFYKVLDRNQDGKITYQDLEDLCIRYLTNQTPTNLRAS EAPRQSGIQQTSQTVTSSYRRTQY >CAK89161 pep:novel supercontig:GCA_000165425.1:CT868653:203516:205503:1 gene:GSPATT00022330001 transcript:CAK89161 MSYQKIEGAEEIDEFNIVQVKKKIKKPKQIVAQPKVTQPVPVQSSETVGPDASKPQQTLL DIILDNLPQSELYERSLMHRENINICLQMDILKCGEKCLDWLNSLSTLEHTLEQFTCATL SDGHDKMASVSPADRTIKIFDILNQDLLDMIKLPFQPYGCEFVDTADVQQQLLLISDGNS GDIYVTESGKILRMVKMHTQPVKQMKYLSHFQFMLTIDTTGRMEVWDPITQDFPKQAYAK PQFKIQYSSKLGTDLYELMQHKLQCFGLCVSNKQKLIVLYVSDKKLRVFNIQTGKIILVL DESIEAITNRQNDQATYPILHIEQQDFERRLLIEKDIDKQPEQLKQITMTFDETDSILIY PTFIGIKFIHIQTGELVKLLGKMESSSRFMRVALYQGPAMKNTQNEQSHSSLNRKETDPS LYISAHKSNKFYVFSRRIPEDLADKPWAVARDVLNEPLNMIQQSISVMSDNKNKTLSGCQ AIIQTTFGEIYINLFPNETPKTVENFIQHSKNGYYDGLIFHRVQQGFMIQTGCPKGNGTG GESIWGGEFQDEFHPELRHDKPFTVSMANAGPNSNTSQFFITVCPTPWLDDKHTIFGRVY KGMNIVVQISEVETDDFDKPLNDIKIIAIKIL >CAK89162 pep:novel supercontig:GCA_000165425.1:CT868653:205630:207249:1 gene:GSPATT00022332001 transcript:CAK89162 MNYNYPNIRILMVGVGGIGCEILKIVSKFTFQEFHIIDMDTIEVSNLNRQFLFRLEHRGQ SKSLVAAETMKNMAPQLKIIAHFAAINSPGYTMDFFRQFDAVIMALDNAETRSYVNKVCQ ALGIFIVDAGSMGFKGQANAYYQGTVCYDCYPIATTQKQYPACTIRSQPSNCTHCVIWAK YLFTQLFSGEVGILEVEGFDKSQPNSVFNKFFKGEEMPNSIDIVEHELIKKYHFAERKET LEELQGMWFYAYDELNHLGQLQYDKDDDLHVLFIYASTALRCRNFKIEQYDYQQIKSISG NIIHAIASTNSIVAALEIQRLLSFIENHDKAKYYQDLNAASYVQTGKKERILTLKAAGPN PLCNSCFHNQIYSKVDFQVVKVVDLVNQLKNYLNSEINIVSLSRIIWDDEDEDDNSAIRD KKLNELFKLDLDNRLVIKSIEEKFLAVFWLQHLAGQAEIQFASTKISDKLLKFKNPLQHK KKERVRTQQEIKELKETEVMPIKNPEKFQTAAQKSTEILIDEEPIEQAEQNKKVELVID >CAK89163 pep:novel supercontig:GCA_000165425.1:CT868653:207417:216127:1 gene:GSPATT00022333001 transcript:CAK89163 MIAIVLLLQRFFLLSAYYIIGMNEDSQIQTYSLDLNIQNYGIDSCFSYGLWSRYSPLGSI LQVGQFGLFDSNCYHLHNAAQQSTYDLNLIVYDCLNYESQKILRRIQFVTPDKSWHLFEV ELDILHYEYVWHYFEITQWPQRNRFELLVIKYPETKLHIVQEDFQFPFKDTQLLLTFGGG LQISQSNTNQLLQEISKFSYFPGKFYLYPLSIGNMGITRNAALVALSGIQFRASCLCSYN QLIGIPNKELTWLDNVIFPSQNSNCNSYLLSTWIRIQNIYQSSPEFLYQVLKLSANFENP KLVNSNLATFQLFYKITSSKNQLIVTTYSYKFPIVSINFQGDPFLITKEFDLHHNMKLWH NVLVILKDNTLSIQIIFYEGFQIYEYNYELQVNQFNIVKFKLQYGNVQQLVHDYLSVQFL NSYFFNCVGFILLPQLSCHESCLECDGPTSTDCLSCPSNSNRIYIAEQKSCVCPYYRVDD SDCKDYQNYNFVLVKEDVKDNKCLEGYFQYEGGCIKCPSLITSTSITCLECVYQPQTWAT NSFCQTTLYNDIKGSVSQLKQTKSQYYIFDGDALEIRYYTNSKLIDEEIKDDFELASMNF KNFCFQAQTFAVVWRSEKECYQCSIKDCKKCIITAQRSVCLECDYYSQLMDGICVKSSLV GPININNCLSPNYYSSTYECKPCNIKYCKYCFEFLSNDLTKCTLYRDYKSFNIDEYHQVG CALCNDDFIYDFTSGKCKYEKQKIDKCLRSYINLQGQEICTLSKVDDFHIAPEIINCQSY IQNCKQCLMTPQKVIRCILCEDGYTTSITTGLCYKCSIKNARICIEGDYQVRDQWVQVIQ SFLIQFLPNQYMYPKPDQQRLINEMPYECYKGFQLDPLNNCIQYCDSNCLSCVLTEVYPY KFYCNECPLDYYQLPLRSSEIGKCIQCPQLCSVCQSRTDEEIKMINPYYVLNENTILYTL KCLLKAEDDNIVIDPYTNIAKYCYQSICTDVVQYQLYVDCLNVQDVLNKNKQAFYQNNIN IGYCNKLGVQKIIIYMKIMIKPGIICNLPGSIDIYNDLRQRIYTLQEVQLIMEGQDRGGI NVPLNIMNFNRVEFINVNLEIKKIQQLKIDNNSNQISLIIKNSIIFGYITQIQKYSINLT KCGDLIIQNLQLSNLNLINSTLFNYISINPESKISISNLTIYASIFNNSFLFIFSNLTQN IQITNISIVGCQFYNSPIFSFNSISPNIQLQLDINGFELQKSIFIASNLIVASNGIQVNL NYLQIYQNQFTNSTLLGLSQNLVLYNTLLRENLFINSLFLYITEIEGQSKTIYIYNFSVI SNSIQTSSIINTKQYINNAFTKFQIINLLMRDNYNNQYSGLYLFNLNCYSLIIKGCLIIN SINLNHFKLFEIQSIIIENIIYLNIQQNYKVPLSLECIDQKEQNPQLFYISGFSKLLIQK IRIKNQFSIDYSLIHILSNILYSPTIKEVIEIKDAIFEGNILLKQNLGIIISQISIYSEK FQEITFENIVFQEGFFNEQIDDPSQNSAGLIFLNSQQSSVIINNLTCQSNAVTNSSNSFI YINSNSVQIKNITIKNHNSLNSTIWKKYYEIPLQHQNNSDQTIYIVQSIFKFQNKGGIIS ITSSNIVITSGQFDQIQAQSSSIFDIRTQGQGVVHFDSLSISQAEVDLQSPTEMQGCISI YSRNSLLNLIVQNVKFKNIFNRLSSSVLSITPSQKFNKIDIQNVILESCLSLTNQFVKIE FSQFDYNLVSIQNLQIYQSDQDWIEYFNKIDPISLSEINKVPIDNAIINLSGCQLIIKGL ISEGTFISPIFKISDSQKIQIKDCKINSVQTFFTFSILQFIQTKTQKSSIFLEEFVLKNI TLFQKSNEQEIPQKASQNKYTANKCAIYISAPITQITSTYSFQQILQYLNENISSTGSLI SLQSVSSENVINLLNFFISSNKFSEKLNGLINFDLLGFQELRLTEVNCFKNLINEFGCLT FFASKSLERKIRIINSKFLYNNGTLGSGIFAKKVIIDIKNSLFIKNIAQQEGGAIYMEDC SNHFRINNSFILKNKAQQGGGIYFNGNNHINKDNVRHSLILLNSAEELTDNIVELPHHLT LSINYNKMISSQEISENLTTNILKLNSYNIIEQGQLINTSQLYLPSNQAIIDFILFNPKN QGYLSYIYEFSLLFSNSLNEKVSNLINFTCKAQMFSQNQNNESIENGKPILNLPYNQEKN IVNLEQLTFTFDPYQNNDELLLVQIICSTSQNQNTLRYFFTAKTFKCQLGEFYVNNGCQV CQQSQGFYSVTYDTTKCSIFDKTKFSNITSNRIQLLKGFWRPHEQSDDTELCFKQQAFCL GGWDVGNNLCLRGHVGGLCEECDNYNIRGEGQFFKDFENQTCQLCSKSAKSVFIFIAASL WQQSIFQILLTLRSIDKSNQLFYSLKLRKKVSKILFKLNQDHQSIQIKMFINFLWIFSLI FSFNIQFSFSFGFVDQISNPSNFMATSLDCYLLDFEQIQLNYSRIIATLLLILLQLIIMF LGSQIFALTTKSKNVNSVLSNTGLYLYVSNFAALIKQFSSLLARRQISNVDYIQGNVSLM YDTQSHYTWIYRFIIPGLGFVGCFIPLFLFSFMFIMRKKLDMIKFRKHICYMFNEYDERC YYWEFIKIWKKTILIIILTYFETNILLKASLLGLSLLFYQLFAVKIKPFINQKLNSLDLE TGQFCSIAIFLAATIYVCEQTSNQIYSYLLQSSIILLFIKLCYPFIYQIIRLNYKMQRVN VLTLLLKCSSLLMSNSALVKHLNFKLVELKQREQKLNSNIQKLRQHLFSVSKSINENQKL LSSQQQFQNKSTFRILTSRETEVVKFMKTDQE >CAK89164 pep:novel supercontig:GCA_000165425.1:CT868653:216674:217577:-1 gene:GSPATT00022334001 transcript:CAK89164 MGNDGGSIAGRAELVKLKKPEKRVESILVAKQRAQFCSLTKERLRKPIACCRVGYLYNYD SLLKAFMEKKIPQELKHLQNMKKIKKLNITENPDQNNEFPFVCPISQKALNGKEKFQALW TCGCVFNEKLIKDLKIKEFKCPICNQPYTEKDIVQQFCNCYCRLCPTNEEVEIRKAQLYQ EIELKEKQKVDQKKQCKVVDTAEDLEQQQKKKVCTEERKLMKQAIGNNKLQNLQNQSQDL QKNEIYQSLFHQQHKLEGNLFTRNVRFGNR >CAK89165 pep:novel supercontig:GCA_000165425.1:CT868653:217607:218630:-1 gene:GSPATT00022335001 transcript:CAK89165 MDQKDQDSQLEISQSDHLTNMLVSNNLTDILLNDYYSKFKNSQNLLEPSMTMSRVSQLDK NSEDNSNITHLKVIQTSERLILELQKNQLLENKLLQQSKQIQELLEQIDSKELQLQESIR QCEQLQSQVENQTKINKLQSNQLLELKFIIKQLLDYLSSLSKKLNLIDLRQETLQSSMES LSVRPSSQSRAKKSYNNHHSYTSSRAYFQRILTEDTQNISIDKVMKDKALIFLNAKQKSK LQTEKSNIKKEKYYRSSSNFWSSVTASPNPNQTQNSIFANKSQTTGKKIENTLALLKAIK SQCNQFSSSKKLC >CAK89166 pep:novel supercontig:GCA_000165425.1:CT868653:218708:219847:-1 gene:GSPATT00022336001 transcript:CAK89166 MNELYQNKCYLRILSEFRELKLPPRVTNLKKDSQQTNQIKELLGKPTNHRKMLSEHFPKV PKLKIEYNYNKNNTPRFRIIKNLSPTNTTKTSRPTTHRTVRGYLTQTKQGKFNTLPQTQL FQKTIEETPQLEISSAQKPPALQSPKETVISEFQSPRIMQHFDFDHQFVKAKIEPPKRTK KQLRMILLRAINKLKAMNIDPQYMIENKVFSKKPYQRKMSNEFIHAVKLNQMDRVNELLE YNRYLVFDFDFYNMTALHWACKKGLVEMVELLIKNHADVDAADILHRTPLYLAIEGNHLI IVETLLKNKAYPWSTYYTDLAEVVQDNRKVQKLLTLVRRIDIINTWGDKKVEEDCI >CAK89167 pep:novel supercontig:GCA_000165425.1:CT868653:219859:220899:1 gene:GSPATT00022337001 transcript:CAK89167 MYKFNKSQTVPPRTKEKSSILALCVNCEEFIPIDRIDLHTQICTQVSNKVRKFAFSSFYF EENDFKLKKLKTNLSTKNYQHTQRLCRICELVTQINSIGYVEEASLIEFEQELILMSKEP IQSLNLSLYIERLHSLVIQRIQIIQNQLNSKVIRPSQSQQNFYPQTSTVYNSKQSKISTG STQTLSNINLQNLISNKFEQSEIFVSGHRISSSQIPENRMTTITRFSQDSGNQQQVLTSQ QRRGEVLLTNISEQSVESIKEYVNPKTFAQRCFYSKVLNMKLEYPQTSPAQKIPVSLLWK LAEQKQIKSGNWDLFIQQCLDNPFEYVDPKKFNKNFNNSIQYQRKY >CAK89168 pep:novel supercontig:GCA_000165425.1:CT868653:221576:223795:1 gene:GSPATT00022338001 transcript:CAK89168 MQSNCASQSLEMVAQNFQTSVKNLRRWYREGVQRKPGCGRKKLNETAEKELALWIINESI NQGKRIRRNVLKEKAIELFKDPQFKASKAWQDEFIKTHDIKFLVNKELYNRGMLNSLQAQ KLEEQLNIREQGGQLVIKQKQQSEDIPTETKLEEKRERVITSPFDQKEEEGVFKLIRDEG AAHELDYLDEWQINQGSMNLIYEEEDGLRDEIQDVSEVYFPKLKRVIDQFNQYINILQEK KGNYLNLGQKGRNLDFQYQFFTNTQILIILIIIMNAVSLLIDELNDQILADQFQYIDSIG GGSQGKVVKAQSKGLNQSVAIKIIERHKNKAQDQEASLLEKCNHYNIVHFHKLLYTHNHL YIIMEYLQGITLQEVMQKQLRENKMRNLIKQILEGLSYLHNQGIIHRDLKPSNIYLVREN NKSIVKLIDLGLSYQICSHKIANKQCGTLLYMAPELAQDVPYNQTVDIFALGIIFYQLFH DGKHPFYVPGMRSSDYFKRLAKLEFNLDFKENIPSMAKDFIQKTMALSPDDRMSAYQCLD HPWIKNVEQQQYPITTNEIISTFIVKQKFITIIKALMIQTQLLQLSIKREPSESESRYYT KSYLTEIDSQQEQEFVHLRFPMSQRDKLPKSKSKMLKTVQGTFNTPQMKKREVSRQCLAF SFLGSPKFLTPQAHTKRNLKTPSPPQFRLPSIKSPKQVQIPKISNIINKSRFFQQ >CAK89169 pep:novel supercontig:GCA_000165425.1:CT868653:224240:224554:-1 gene:GSPATT00022339001 transcript:CAK89169 MLHNKQLTIQLGLKSRQSSPSSQGSETPKHKKSNSYMQFSPKLDCNNGLSFVNEIKEIKI TSPRTEDLQSPKSQSPKQIKVNNVQKEEQMKKSQFSKTKSKDFV >CAK89170 pep:novel supercontig:GCA_000165425.1:CT868653:224815:228355:1 gene:GSPATT00022340001 transcript:CAK89170 MATKQKSIEDYRNAVKLLKLKVLKLQKQNEELIDKLREQGIPLDQQHDQVDLSNQAVMGI FSRSCRRVGLTPEMMFRASDREGQGQISTEDMRLFLSKVRLGLNNTQLTMLVRIFDEDCS GVIKREEYYDCLQAYGINEEKVQGQARTYGQESLLKYARLLLMNRLSVEDSLKKMGDNII PSHFVSFIHGIDGQNLLNEKEIIAVFNHIDVNKSGVLQATFYDQEVRKAMRVAQSDIGLG VQINPNTGGGGGNPIPKPQPVGILKPSQISQQLDPFRMTQTQMGQSKSGLNMSSSHAFLN ETIIMLTEEDKKNILTIITKLKAQGVDAIEFIKIVIQQLEVPGAGILLYDFYKRFDKKIP KSDQLSFFNAIDLNKNGSIDYDELMVFFQEFKSPKDNFDLLFEILTRKLQALEIGIVQHL ASEQIYEDTQLNQEQFYQLAQILFSCPSKKHSDALFSYFDIDGSGILSAQEIIDQVQKIL LIYVPQKTMNLDNTLNKSMRASLKSVGEYATTLSKLKPVLTEEDYFGKYRMEGSGKTLHK EETKWTTIFNSDLACLRYVYEEISKLEQNPGAKWEDPDFGPTKEDPYGSKSMYFADNDVP EGAPQPKECKWLRPEEFLAALVENGDEQYKNSTVDVFDEDGAASNDVCQSKYLGNCWFVS ALSIISGYEQYLQGDFVITQESIQELTDEEVNGMLIGVYPPCFKFLRKYGLYVMRFFKNF GWKYVVIDDKLCVKDNEYVFGKNRKPTESWVNLIEKAYAKLHQNYFALTAGDIAQGLADM TGKVPDKIKLNEECTKAEKEDLWNLLLKCKKDGTMLGCSAEGGTELYIKINDEDTGVMSG HAYGILDMFEIPDQSCNNYHKSHRLLKIRNPWGYGEWKLKWSETPDYSAKLDKWIDWIND YYQKEIEKAKLEGKEPPEPYVYGQDDGTFFMCFKSWRTVFSNLFQCIDFPDDWSGLRAFD QFGLQSSGAPMKTEASLIQYAQKNPQYILELKRKKGDKTNMYIQMQQVDGRLFLGERYPF PNVMKPILLCVFSLGPTEKCLAKFDDKKVVASSGKLNLRREIDTNDISLSNGRYAVIPCT KEGAQQIDFTLSFYFDCDKSEINITKYGDPRFKLHPITEEEEEVSKVPEELKKLLKKQAQ EVLSSQ >CAK89171 pep:novel supercontig:GCA_000165425.1:CT868653:228364:229868:-1 gene:GSPATT00022341001 transcript:CAK89171 MDQANKLQYQQQVENYLERNKVYHIFEDLLKSLIIKKPDDPIQFLINKLQEPETKKIFVV GPPGSKLRELSLTLADYLNFHIVSIGDLIEKELSKKSELSQQIQDSLDKFQYVSDEIVIN IALNQINHLENEKKSYIFEGFPKTRVQGLALQKEGIIPDAFLILEMSQEKVYQCCLKKLD SEQFNKLNNKEDLVKNHSLEYQLNLKQVKEVYKNQYFSVDGEKNYELEDMAQLLKYKLYD NSPKRALRIVVIGPPGSGRSTLAKKLSSKYGFVYISTRELISNLVNQKGATGKEAFEKVS KGDFVDDRIVNALIKERLNQTDCQLQGYVLDGYPKTDKQLESLNELNVQPTLMVIIDAAD DIVTRRLVQRRTDPITGKMYNSVDEADKEVRSRLVIAPNEKREVVQQRLKRWDDLKQLID STPKYASIIYKVSGENPLDNMIESVCYHLEKMN >CAK89172 pep:novel supercontig:GCA_000165425.1:CT868653:229929:230264:-1 gene:GSPATT00022342001 transcript:CAK89172 MDSQQIHPLSELMTNEEIREWAFSLDPQPEKKSKLNSSMPQISSSATINRACQKQQEQKT KYIPRIIQPQPIQIENQKQKKEIIHRLKHQNKLMKEMIQQQKDLLEQMKKA >CAK89173 pep:novel supercontig:GCA_000165425.1:CT868653:230368:230882:-1 gene:GSPATT00022343001 transcript:CAK89173 MNNKLADLISNYLNEQKQLTDKYTRLFNQIKQADSYYGRNSNSILNLKKRSSNSDYSYSS NKDKVCCQFCGKQYTSRLPLKNHIEKFHFQEPYTSKQDLSTKQLTPYDDDDDCRNDDNSY NEADEELRQKLLRNVQAIEKREHRGPPQEEVIISGIDSDSEN >CAK89174 pep:novel supercontig:GCA_000165425.1:CT868653:230990:232368:-1 gene:GSPATT00022344001 transcript:CAK89174 MAILRIKEQEVSYSYLYIVVSYIVIKYLLEQFINLRQLDQLSVKQMPIHIEQTLGITQKQ FKRSQRFYYDKLSFEMYTKSIKTAIEIIVILCGVMPFIWERTVTFFKMDPNSEFQRGLAY IFVEFLRLKLIDVPNNFYNTHVIEKRYDLSQISFALQFSDLVIESALWVVFVPILLYSYL YVAELGGDYFFIAMQFFVLIMAIVSSLVYPNYIQPLFNEFEELKETQLKQAISQLAFRMN FPLEKILVMDGSKRSDHSNAYFFGMYSKRIVLYDTLINNLTNEEIVAVVAHELGHWKYRH PYIKLVFFCIKILITFYIFGFYRDSDVVFLSFGFKEKSIFIGSALFFSLFEPMNTLFQIF ELHLSRFFEYQADMFANRHGLGSYLMSGLIKLFKQNSTNLMVDPIYQWYYNSHPSLFERL KYLNKLR >CAK89175 pep:novel supercontig:GCA_000165425.1:CT868653:232400:233386:-1 gene:GSPATT00022345001 transcript:CAK89175 MSILEQALKRAVGLGYLKWGLIFGLANGMAVYSYYRENRNKYDLEAYQQSVTRYVSYQSG KMAETYIPKWLRSPLFQLFGYVYDVNYEDMLEPLENYENFQQFFTRKIKSREFDKNVNKL IVPADSKVLSFCEVRDDSPILVKNVHYKLGYFLTGQETFEMSPQILEDAKKRKNTKLYSV IFYLAPGDYHRYHLPSDFQLKSRSHIVGHLAPVKISYISSTPKVYETNERVALFGTYKFG LMSIVLVGATNVGSMTLNYDKEFQTNQKAQELFVYKHYDPTISLRKGDELGMFRLGSTVV MMFEAENVKWNVEEGQKCKWGDVFAEVS >CAK89176 pep:novel supercontig:GCA_000165425.1:CT868653:233530:234481:1 gene:GSPATT00022346001 transcript:CAK89176 MQALKTVVITGANKGIGFGILENLIQKQSYKVIMACRSLELAQKSRTELIEKYNLSQDRI DIIELDISSSDSIDKFIQEFTTRFHSADILINNAAVAVKTDDFNFEIVQYTFKPNFYGTV ELTEKFIPLLAQNGKIITIGSQVGNTKILESDDLVKRFKNPNITREDVFKLADEFQEHVK NNTYKQNGWPSWGYGISKLLINTYVKTLASNADVKHKHLQVYTCCPGWVKTDMAAEGALL TIVEGALTPVYLVELPHEVNPAYQGQFFHLQKVQEL >CAK89177 pep:novel supercontig:GCA_000165425.1:CT868653:234801:236311:1 gene:GSPATT00022347001 transcript:CAK89177 MHSKQRSVAQLFYRSLYNNTHGLLKQRMRELVPVKQALLRDVRKRYGSKEVCKVTVDQAI GGMRNVFGLFYDASLLDAKTGITMRDYNIPELQEYLQKGENGHEPLPEALFWLLCTGDFP SEQEFADVQQEWKQRGELDSQTQHFILSLPKTAHPMTMLSQTLLYLQKDSLFQQVYDQGK VSKPQYWEYFYEDAMNLLAKIPRVAALIYRHKYKNGEIISADENLDWAGNYAHMLGYNKF EVRECLRGYLSIHADHEGGNVSAHTTHLVGSALADPYLSYSAGVNGLAGPLHGLANQEVL KWLLEMRDELGENISNEKIPRLQGKVIPGYGHAVLRYTDPRFIHQKDFAARHIKNDPLVD LVRQCYHVIPPVLKTIGKIQNPWPNVDAHSGVLLYHYGMREFQYYTVVFAVSRALGCMAN LIWSRAFGLPIERPGSITLRWIEEKFGENQNLK >CAK89178 pep:novel supercontig:GCA_000165425.1:CT868653:236345:239610:-1 gene:GSPATT00022348001 transcript:CAK89178 MQLSKKIEEFQDLDPTELIPQRKISRTVGYQNSQSIQEEDEEQSSSSLQQQQRKLIFMNS PIQQPCDISGSDMKVPTIYTNIHSNKSFEKNSFQKESKVKVVENNSASRLVDVSGLEPHD SLQSESLQLQLMDKNKSGTLNSQRVKNIVNKFVQRMRRKINWSQLRDITLIDDSAQIQPQ MYRWFTISYFVLTSAIIFASSIIIPLQATEEPGFDIAAYIIHGFSILQIAADIHFKRGPF HLSEGKFNTEYFDNSKIVLDLSRLASTLILIFSYQYRIKYIIIPFIILQLARQAERFENI YTSTQLATYILILWVALIMTFACLLESDEGINYSLTLAVSILTHNGAITIDVTPSNATLL QIFMIVSSFNMIYTASVIFIWIKPSKKLEEEKEKHLAAFLNGIKDKSLDYGLQCRCYSYL EYVIDEDIIKTRDLLAKKLSPGLQEELQISIRSKMVDKIKLFNKFSSSLKQQLIYWFDMA QYNPEENIVQEHQVEDFCLYFILKGKVKIQYQGYFQGKPKRTFHTLSEGQTFGEFSFVSG IPPYISINSQSLTTVLKLRRSDFLEIIKTFPHDNEIFCLFKDNCNQNHNTFECHYCKSKG HLLFECQYLQYYPNKINIIERHIYPHNQQRFKIERKNKEPKALLMLFVVGERAKQYQQKL SQEVMTSEDFPMSSQLPYSENQTQHSASYLSKTQSVHKQSPEISDNQDSEGDQLYEDLGI DPAFNNQLRKQQNKTTLRTAGFPFMSETLENLHKEKLQVITEQSQSKQLETLNSKQEDTN QLSKQSSGSQAFAKKNHLYAAARSMHTKLTFRYQQQQSGQMDDQNSIRQTSNRSNTYSNP LSNNLSNNPSSNSKVNTKSARVSPTQFQQPPPQKKKEDKGSYSDQSLSEQQRHTNRKKST KTGTKVSILNQMSQFQIINTPENHHYQYNDILFNRFEKMHLFKYYNPHNNYDNVIIRTNK FHRTKRVKPIHYTIKCFVSSKIKKVQKILHSQL >CAK89179 pep:novel supercontig:GCA_000165425.1:CT868653:240307:242924:-1 gene:GSPATT00022349001 transcript:CAK89179 MACHFVNEQEVLEYASMTSSHQDDGSDDGGQSENDRLSNQIKNPILLKSESQFSESDISN KIKLPRGGSIVMTKAGAIQFSMVPETLKDSINLGLDVPGIFIVPSHRFDKRFCLSVAEFE FPAYFSFFVKRRRVTLITDKEGEEAIRAIFQETLLGPKDLSRFDQDFSSDYNCKPDILKE LGHFAKNPFNPSEPLTVDLLINFLIFDEQNQVNLGQEVVVKKEDQKFLIFENGKQIAKMK NKVEILIDDAKSNAYIKYGLFSNPNDQQYVEHYFEQTFTPPAFGVTVLGCSHGFDPKGSV SGYIFWINGLGVMLDPPPFTTLLLRKNGIPSRLVKWVIISHNHADHDSGTFQKLLESEKT ILISTATIKESFVRKYAAMTGFSADYIESLFNFERVIVGHPLKINGASFDFHYSLHSIPC LSFTVRLGGKSIYFSGDTFYEPNGLKAIYEKGVISESRFNYLISEDKWNNSLILHEAGVP PIHTPAKLLALLPPEVKERLRLIHTAAKDIPPDSGLRVPGVGLENTIVLINQTEDFRLNT IQELSLIENIDLFKHANIHQVRQIFESSILKKYEQNQNICVQDEIGTEFYIIRKGTVKIH SENPVFVKYLHYGDYFGEGCFFNQNKRRANATAMNQCDLITLSKADFLRVFQHDEVMQKL ENLQVVRSQTQIMDKNKFFSQLTSYQKIQLEMLLNQKRVFQKHSMIQQYGVMATVAFFVV SGKAQVILPSDYVVQQFEGQSVKVNRQESTISDQTKQQQQQIIDQKKKRLSLPINLAMLE QQRPNIQTPEVSEKSSISEIKTYPLTSGMFFGEIDSIVQNRRTTTIVEAVEDTEIIILES SSLRSFLADNPGLMVLFQESIIIE >CAK89180 pep:novel supercontig:GCA_000165425.1:CT868653:243730:244122:1 gene:GSPATT00022350001 transcript:CAK89180 MEFPVLSGICGSLASYAGRTIIMYIGKIAFQDESQLMYRGVGLICMLLFNKWMFQFSFKS MQINGSSVTVLLQFIVGNLINVRMVYLSQGLNGYFLLSESLSLQWLIGIILMCFGVFMIS NDNHERQKQQ >CAK89181 pep:novel supercontig:GCA_000165425.1:CT868653:244244:245290:1 gene:GSPATT00022351001 transcript:CAK89181 MHSLHVKLSDEPELSAVCSALLLAFIENSRVLRYCSGGGDYTNTQNDFGDHQLEMDVQCE LNVNTELKKTGFVSHTASEETPEMKLLSEGGKYIVTFDPLDGSSIIGTNFAVGTIVAIWK SDEKLLMEKRVETWFLLVVVYTEAEQTLCSGMRRNRRSRNTHYLMAISKDNIKIKPKGKL FSPGNTRCIADHIPYREVVDYWIHNGYTLRYSGGMAPDICQIFLKEVGVFSCFGDAKYPS KLRYLYECAPLSFLTEKADGKSFNGTHSVLDTEITGYQQKSEIIVGSADEIEFFKSVWKK HGLLKE >CAK89182 pep:novel supercontig:GCA_000165425.1:CT868653:245424:246989:-1 gene:GSPATT00022352001 transcript:CAK89182 MSEFVCFVVEGQTHYIEASKCLSMTLQTEKKGLVYTATMPKWLTMEAFSVFQQFLNNQLD IQKKYTDQTLQRLLWLGDHLQMEFFQETVIRDLILDRVTVQNCILFLNEAFKKLKACEDS PEVWYQMLNVCMNFTARNLLLIYQSNPMDLNKINQKVIEEILERALKNYRKKNNPELLQI VQKIRNCQDIVQIITQQKQTVLAKKINYQTHPSINWKLSNLSSIINKETQQFKFSDFTWK LVAKMEDKVLKIYLKLEDIDPELNLRIIALYFQLQLSQFGLEQLKLVNLVASKGNKILLC EFNDLNKFDQQKLEFTVYLNTDQVLTLCLNHLYYNLNPTYNLSKLDLDDCLILLAATPQV QQAQEKSFQLLLEFVPQLNPNDYERCINQLNLSLMNTEFLMKYAKSPLHQFIQNELDKRQ PQQQRSYSVSKDSKSSSRILRQVSAGGSKNDKSGDQLVNRSQSSEKLHNEMPINKLQQLK KTPSQQKV >CAK89183 pep:novel supercontig:GCA_000165425.1:CT868653:247056:247767:-1 gene:GSPATT00022353001 transcript:CAK89183 MKTRGTQKKKLKKYTILTEGIKQEIIDYYSKHPSQTSLIHLKDKYKTSIKNIRRWIRSGY KRKKGCGRKKLNEKAEEQLESWVKKQCIKQKKRVSRQQIKLQAIKFFKDSSFKASKAWQD EFVRSKNIKLKQLLLLQERGCLSYSQIRTFQQLISKSGKDAQSFRNDILQNYDFLNQPSF EDSQQCTNSINKQYWNYEIFQQDSMMHFQELFL >CAK89184 pep:novel supercontig:GCA_000165425.1:CT868653:248121:249934:1 gene:GSPATT00022354001 transcript:CAK89184 MQDKPNQQQDTVQNTIKSLQDKIQNIENLIERGTDCIIIQYGSHSIKMNKASEMLPKKFR NLIGYKVQSQLKQVQSTDLTVIDESLLLLEQKLMQKGTLKAQPKSMRGKPRAKIEVDLRN IRGFLGKKEQKQPQCTLAKMMEDKSVVFEDDIFTGEYLVRQPIKHGFFNLTENYNMQDVI EDLYKLTLYGLNCKLEVKNVSDYYCILVIPDIFQRVQVKMLVDMLIRQLRFKGIYLHLES VLSSFGANLQQCCVVDIGYEKINITCVDDGVILPGTYVRKNFGSKDIDLVLMRQVTKRNA CDQAVQLQSNNYGDLLQMEKLKEKACQMRQKEDKLNYNYELHCLRNQKEKRFFVQHNDGL YISPNTLFESEPFNEIRKQDMHECFDFTGRLFEMQYDPEDNFEELSGGIQLCWYWGSKEK EQQYFTEGNQMLNPNYMIPLDHMIAYSIAQVQDPEIRQKLANNILFTGGGAHLIDLVDEV EALLIDKFQVMELNEIERVEVKTIIRDVRPIYMAWVGATVLPKTESVSELWITAPRWLGD LETQKDELEDMINQFDGDVQQLEKKLIAFAKKDKEKDRSCEYGLKHLKEKIPFIW >CAK89185 pep:novel supercontig:GCA_000165425.1:CT868653:249968:250482:-1 gene:GSPATT00022355001 transcript:CAK89185 MSQLLKRFTKFFNDPLGLGGGGKKQLQQMQVDNLPGLELSLNINHRQIKYLNYQCLIDCD SNNLQSMQKTIFSEDEDQSATKLLMPDQKIDTRKKLYNKNNYCSCFKNNMFDDESEEILK PSSRTPPQQQQIKPPKPKPSQQKNQRIQRVLFEDSD >CAK89186 pep:novel supercontig:GCA_000165425.1:CT868653:251147:253839:1 gene:GSPATT00022356001 transcript:CAK89186 MIQSSSYQSWNQQAQIGSFQSAYSNDTQQIRFKEESFSTFQPVVPQQGTWTSSQLGGRVE EKDFLVIQRTNTFGKSEAERQQHYEELIQDNQRMREVIEELKREVATYKASSSSDAEMLR KELQITQKELEAANKEIMMLKSGVESRQKTEMENIQMELEKWKKRCAELESKDKENMEQL KQLTYYQNKLKWYEMDAKKKFEKYQTVDLLQQQLSDQESLIEELKKEIHRWEERYDAQAL ESQEIRIKLSSNTRVNQMEDDIKAYIHEIGQWKKRCSALEARFEASDEAKLLARIDQLNR LLAERDQDVQKSRAQLNLQISQQQQSKLLSSAMQNQEFDNQLEQLRRQLQDSQNKLEQQN RDMEGYKMQANLFNSDKFEELEQEKWKLELQIEELERDCDTFMLRIKELEQQFQELSVKY NEQNANYLKYKEIIDSNSSKYKNVDNLTKEIQNYQKDLEVWKTRYFQTEIRLKEYDSLRI EYEKLLKQQQQTTIQSTFINIETDAQYIQIKRERDMLQKQISDLETKLREQSSNSFREQQ NTGFKFQQDDSIRIERDNYYNKIQELELRIKELQQQQSQSVKYSNDDSKVRELEAKIREY LFQIKSYESRISTYETKIREFETRNKEYETRNTQSLVEKTVVLTDEPKIKELTEIIQQKN KRIIELEQNANYTMSNQSSVVVIKEQVRVKDQRIRELEIQVDNLQLELGRLQNLKRDQES RINIMLQKITEYESQLNILQEVKLRQSKRSPPPQQSKITSTTYIQQQQQQPIIQTNSYIK QNNAQSTIYQSAQQIVPSPAPISQNIGFQQMNNSLTTTRQVVITGDSLKNLYPKEENISS QILDRIAPNRITQDLNGQQSPQIIVSQYKPIN >CAK89187 pep:novel supercontig:GCA_000165425.1:CT868653:254378:254749:-1 gene:GSPATT00022357001 transcript:CAK89187 MSSQTAAQYRMYLGARVLWPPDCPDDILEGAINETQSCLKTYEAKDGQKMAEHLKKYLDT NFEPFWHVFFGKNFGCHSIHEKRRFIYFYIDKTAYLFYKTQ >CAK89188 pep:novel supercontig:GCA_000165425.1:CT868653:254815:255792:-1 gene:GSPATT00022358001 transcript:CAK89188 MFRFLSKFNFKQLTKSQADFYHANGYLVLPNIVSHQEIDKLLKRTDELVENADIINNQMF FDTKNAPRGMSFLETASKIGYLLELGMIDEKGQLKVKDKKLALNKIGHAMHDLDPAFERF SYNSIFKAILQGVGYIDPILAQSMVIFKNQKFGTPVDMHTDNTYIISEPKPSCIGIWIAL EDATRNNGCMFAFPKSHQTPTTYFNILDETRKNTIMIGQNPEYAKRYDPAQAECLEVTKG SVILLHGDLVHFSGHNHSSQSRHAYTLHLIEGHNNHWSEKAWLQRIPELPLKKYYQRVSE IDTF >CAK89189 pep:novel supercontig:GCA_000165425.1:CT868653:257726:258518:1 gene:GSPATT00022359001 transcript:CAK89189 MQTLSHYEAMAEEVRKIMLQGKPIPPDYQEVIEEFLPTEDKYPSRRVITIYEYPDSNPAL FLENKRGFRLQFMFLFLSNQLAAQYRFNSNNRFYEEVMNNGPLKWQIARFYLEVADNVVF IKTRGQRAQNMLQRVQHAIQTQPLCVFHYNMKMRTLLHILEQGNWCIRIVWGPAVDVPLH GGDAEKVENVIAKAEPKLEIQKKEETESEVTKKIQINEEEIRNKTSLYIKSIEYYSRLAQ QTVQKLRDENQKRKA >CAK89190 pep:novel supercontig:GCA_000165425.1:CT868653:258560:263634:1 gene:GSPATT00022360001 transcript:CAK89190 MQIKENLYNWLCSLKVLPQDGKKYADKVEISKASLIQLENGIAFGQLLKEIVKLRNRPST PLAKLDTLKDNQQKSSILYNWKILCEEYQKVDIQIDQDTKALILGGDKEMMHQLLLEIYD KYYRGQQQSSVLQQSQMLDDSVLSLGGNTKFLANSKISQANQQVDLSKLDPKKDLNKTSN CLEFFIVALAKNLQLSIKQSASLFTNNNKYLAHILAKGVKGVFEPIVAFYKETYANGNQL IKLFNEDSTKKSLVFAFQALKPGLISKSFDVAYESVRLFTRLGSMIPVQLLREWFLEEQG GLHTALMGTRRHPELVSFHVEMIQQFARNNFVEVFTTGIRTVLPDTKDFINSVELIYIPL SQNQELVSTGILNDWVTQAIGIAENEFKNTIDTRISSLNFLSEVLGNTELDESLTNTIIA LLKKGSRDKSQSLSLMCLNHLFRLLNHFSLQKSNFAPLIYKNLTLSLVENHDNQQIREYI LNNFIHIFSTMEAVPINLVLENLIKQLQTSEGVTYILNTFDMHFFMFTSNLNIGIKNAIQ LLDFLAKIFLNNLVYQSLSSEIIINILSKNVENQTMQEFILKFVKIALAMFFASEKKRQA KDPIGAQKRNQIVEIIRTIIQFQQQLLNEKIKPLIAHTNIQIKQFSKRNSKGMMAILELF GNAERILEQYEIEYREQQASKLQGPPEDDDQLVPFNSRSIGSIKLSKEEQYSLASLKTTK ADPKVLEKLETLKKQFDDRTTSEAQKIEQMKEAQQKQKANLRKQLEKRSIEQGVSITKDR ETNLVFKDGSKSVAQPNKHGLTEYEVWDLQLEEDRERYLVEQLFRKYHKIFKYVFFKYAN SGNKIIKPKDFDELKDQSDTINEAELWAFLKDYELIFKVTREQVHALMRSIAIQLLKCKN ELTNFNYEGFKHIITQYACILFTMRTKYIQPHTCIEMMIQRMKQVTAAKGQTTQLYDDPD NMFFDQKDVIKEFNKKLAEDPKYVLPEGYKTQKEEIIKFNYAFEIPDSNTIAYSILDDVV FKVCNCHLIEPITSKEFQLVCRPNMLGYVESKVKQNLEKDHYMEQKNKSMRKSASVSQSL SNVPIQPKRNLEIEPFRQYSLGIKLELAKIPFNQPKQRLLVEQVADVLEDMIFAVENNQK TITRKWNIVNKVQQDKLEEKKKEDEEAKLRDEKIQKNHEQAKAKLEKLKKERQQKQKEEE AKAAQKDSKKIRQEEKQKEQEAYLKQQKDKIAQKQKEEEQDRIKRELLEKKQRAEEIQKK KEEFKLFNQKKIEQYGEIFKAEQIKIKMAAEERKKMEIDHQKMHEALYKNIEKKGEQIKV KEKATSELIVKLFRNQIYQTIFTNNNFRLSYLYELLQLEYYKSIEMIDFKQIPLKLWMWF GDRFRIYPDIISQIEFIRVFNAITYRSNEIPASLDYVEFLEALFRISVKGYEFFNKLAKS IKEPKQQKQDNQELPQDQSPKSIKRTQQTKEFMLNRIKEVQSEQERRLEAAKKELEEKKK MDKEALILDNYQALNDIIGTDNVSGQNYNEQTLDAFITYLALPNDKTGIELRFRYLMEVE AKKKPNKLRKQEASKRLEEDVNQWKEIKTVEQNRQPIFPKKSTIKQSTNKPVEQQDPILP QNNEENQEQEQEQQQEQQDN >CAK89191 pep:novel supercontig:GCA_000165425.1:CT868653:263907:264193:1 gene:GSPATT00022361001 transcript:CAK89191 MGCIQQKAPSPEISIIILSPPLSNSPSNEFTLKPYHNDKYPAACLPTNTSDHSFHAKFIK HKGLTLSKNDQQRINYYQHCNSCPYF >CAK89192 pep:novel supercontig:GCA_000165425.1:CT868653:265084:265346:1 gene:GSPATT00022362001 transcript:CAK89192 MGCMNSKPSSKFQPPSSARSQIQIQFNSQDELPYVIKKNPIFQRRASQKSIVSPVQTASF IQRNK >CAK89193 pep:novel supercontig:GCA_000165425.1:CT868653:265558:266007:-1 gene:GSPATT00022363001 transcript:CAK89193 MQQPDFSDCKEYSLDEMKNYQNEEDIKRLTQIQQEMHQLKNFQDEIDVLITKNEDPLDII ADQTQKSSANVNNIKQQADGALDDTLNLRSTKITLAFSGVIGAFSMIKGFGLTIGAVVGG YIGRKAGQMSQGNIKEKASD >CAK89194 pep:novel supercontig:GCA_000165425.1:CT868653:266029:268289:1 gene:GSPATT00022364001 transcript:CAK89194 MEYKIICRICNLLVRIDFLKLHNETCKTRAEFRRKEIKLNLQVAKVCENAYKKKHQIHSR GQKYLNSLRLRWDQMSNEYTQEIYQEYKILQVLISYGEKTLNSEVDAKYHLISQNEFMQA QQIVSNPQILNLIEQMNSLINQRLDLCYKSMNFQRLLAKFQSIPSISFRLSKFSKDSPKS NSETHNRMNSFSDYLNSSNFSEDTQSDNKSNSRPDTPIKSISIIDNLRKRGKLSKFNFLK RQSTFSSEEEQNSDKPKLSQEIKKKSYFAKTGSSDSETDDIIDNIVVENKPIQTENNLNF ESACFFELQRGYFSDTEIMRLDIENKTKEKNIGLKDFNFIRQIGQGTYGSVFLVKRIVNG DLYAMKIINCCNKRFERLLEQLKQERNIFEILTGDYVVKAYYSFQHESSLCFAQEYMIGG DFSKILINEGAFDENIARHYFSEILIALEYLHNNNIIHRDLKPENILLDQYGHIKLADFG LSELGINKKMIKKCSQQNFTSNDSSPSPIYQMIKGRSFRKSNIIPENAERRIIGTPDYIA PEIISGQSFSHKSQDFWSLGIILYEFLVGIPPFNDESVEKIYQNILKGDIEWPEIGNDPE EQISQQAYDLLKKLLNPDYTQRLGYGSIDEIKNHPFLTTINWDQLRNTPGPIIPQINQNI QSFENVAEKVQKFIKKGEKKQIQIINKLQEELEYLERIDLLVAKNENEAQLIQQKLQLFS >CAK89195 pep:novel supercontig:GCA_000165425.1:CT868653:269075:269347:-1 gene:GSPATT00022366001 transcript:CAK89195 MYQPSIDQNITQSTTVKTIKELKKLGDSRVNLDVKQNEIYDPNLSVKYSPDAETSKTQHT KVSMNKQPKITLHAQTQNLIRSKANYQQID >CAK89196 pep:novel supercontig:GCA_000165425.1:CT868653:269659:269987:1 gene:GSPATT00022367001 transcript:CAK89196 MDRKSQKQLSLDTSNDDYNLREDDEFSEDDKGRSKRLDFRSKQTKKLEKQLIKLDKVQNS QQPVSMEIYYLLKFQQNLIYQVTSLHTRIMRIIENQFDYSTLL >CAK89197 pep:novel supercontig:GCA_000165425.1:CT868653:270657:271545:-1 gene:GSPATT00022368001 transcript:CAK89197 MFEYDLDRNSKYDWGSIQIKNLIDNNSTQSINLKQQCLENEKNSVRFPLGLTVRYRKQVN YTHFWEQVDLKRIFCFLHLIMIFQWWENNGHSKLGACIGSTMEFDGEGTLSMRNKNKIFF SLLCGKQYNQLFIQIFAQVLKNTNKLDSLIKKKYFRMDQSENFEQDQGPIDYYKESIRLY YYNIVLHSYLERVNQEKNEIKSKLQKFQVLEDQESDISLEDKRKRNRRSAVEIPRSHVCT ISNCNKSYGSEGSLMQHMKIKHGMVMNQDKIGQVLDQFRFQYSSNSN >CAK89198 pep:novel supercontig:GCA_000165425.1:CT868653:271995:273408:1 gene:GSPATT00022369001 transcript:CAK89198 MLNTIFSLQAFRNLDTNAMILAYDYALQYINELQNQIEQLEKSAIDIIVEKDQIIEQLEL ELQHSSRRTSFHNQEEVTQYKNHIQELQTRLEHLQTKHYEQLQEQEKVWNQYLLDQIAQN EFSVDQKLKSHLEQIFILEDKINKLENELDIKENMQISLINQNKMFKESNAKLEEVQITQ NRQLLRLQIELEQWQSNNSKEKNQYLEQINSANQELQELQSQTSAFKIQNQKLNNQNKIY TNTIGELMVKKAELELLIQSQKNEKQESNGNSKTGAFSSIDQQGDNHQIREDINTTAFDI NEDTADIKQELASFQFDENNVNFNHLKKSYSNYSFHSEKKDNIDLDIHLKNSIKTIKQLN AQVQLLTQQIRVLKRQNANQINAKEYLNIMEQNYSNSVQLKQQQIKTLEEKLTVQNQEIL QLKQKVKQYTQKLIKYNKRLTSIQSK >CAK89199 pep:novel supercontig:GCA_000165425.1:CT868653:273417:274873:-1 gene:GSPATT00022370001 transcript:CAK89199 MMAELQARQAKLALQRMTPEERAAYEAKNKPPPPTNSADFQAKLAMLNQQEPGPAQTQQN APPNTKVIVNKAAPPPPPPPPPPPPPKGAPPPPPPRPPGPPAAKPTSNPPNPPPPKPASQ PPPPPVQNLPPPPPPPQQNVLPPPPKPQQNVMPPPPPPPQQNVMPPPPPPAQQQAQAPSF QAPTQPTQQQSDVTYFPVPGQQKQQSQEASFPVPKNQQRQTVGDAKQVEFPELKQDKKQK IEINYFLAIAGVNLQQQLQATTFDNIDKITQLAAPSQNDNPNPEFFSIKKGFRKLDQDPY QAVIYFQKSDTSVVKVTRNFEKYLRNDNNIWTYNQNKQHLQLLKEKYENEINKYKTEYSQ NIQQNVLKQIDENRFQAACKKLDEIIKTKFNNGDYTAAQALLPQIQNLKDTKKKYDELNP AARQDLVGSLESMMMAIESMAL >CAK89200 pep:novel supercontig:GCA_000165425.1:CT868653:274940:275809:-1 gene:GSPATT00022371001 transcript:CAK89200 MSSDYSTVKEVILQMLASPTWKNQYNSFVDEYCIYFDDDEEQSIQQNNLFKQFQTEMAAI YDSFFSSLGLDNSDELQIQVTIYLQNCLKIIKEILNSDGDEEVDIQQLLALGDFQVFKAE MSFQNKRREMAAYQQLVDDEEDEEEVEQQEEEEQDPKEEEDSKAEQIQKMQILKIKLEYE QLQEELQLQQAMQISLDTPNQKKLEQISQLLGEVFARLQILQIEEMLQQNQQMAQNNDRK SKLIAQLNNLPLLDLAKEREIFTQFKLDFAKI >CAK89201 pep:novel supercontig:GCA_000165425.1:CT868653:275809:276618:-1 gene:GSPATT00022372001 transcript:CAK89201 MSKVRQMNKKKKFVADGVFQAELHSFLSRALTDAGYAGFVVRTTPVQTDIEIKAANTAGV TGPEGRKLRELTSLIQKRFGYAKEALQLIVARVQSKGLCAPAQAESLKTKLLAQVPVRTA ANAVLKQIMADGAIGAECIISGKLGQQRAKSMKYRAGYIISTGQPKKDLIDVAVRHCFFK QGIIGVKVKIYRPYDPSGKKGIPIEVPDKVRFPEQKYEQEEEEIRELINQ >CAK89202 pep:novel supercontig:GCA_000165425.1:CT868653:277572:278482:-1 gene:GSPATT00022373001 transcript:CAK89202 MGNCCDTPLKQNPPKIESLPQVTQSLSETEIKEQSQKYKEEGNQYMQQKLFKEAIIAYTQ AINLYNKESIYYSNRAVAYRTIEDYVNVKKDALQALQLDNKNVRAYFILGTVHLILGQQD KCLIQAQEGVNFLIQAQKHIDLKPQLKESINYNYSQGLILKAKLEKSENYKEFLNLKEKL TKFYGKIINLNKLSYPGTKEHYVPNSVEYYTCVITQEAMCEPVLLSSGHTYEKCSINECI RVNGPYDPATRQVIWGNQIPNIQLKSAIVDYQNNTLEFQ >CAK89203 pep:novel supercontig:GCA_000165425.1:CT868653:278501:279603:-1 gene:GSPATT00022374001 transcript:CAK89203 MGTSILAVAITYSYLRRRQIPKKRLADIIGNTPLIYLKSLSEQTGREIYAKCEFMNPGSS MKDRTALALIQYGIQHGHLGVKNNTIYEGTSGSTGVSLTLIGNSLGCKCKLYLQNDLAQE KYNILQTCGAIIEKVPPVSIVDSEHFCKKAEKQAYIEGGYYADQFHNLANQQAHFQTGKE IYKQTNGLIDCFVMSSGTGGSIAGISQYLKGKNKNIKVILADPPGSSLKTHVNSGVCYTY QEAEGHRLKNPFDTVIEGCGLNRLTKNYLQAKIDYGFTIQDKESIQMAEFLIEKEGLFVG ASSAMNCVAVLKAVEKYPECKVFVTILCDSGQRYLSKFYNKEYLQQHKIEY >CAK89204 pep:novel supercontig:GCA_000165425.1:CT868653:279627:284653:-1 gene:GSPATT00022375001 transcript:CAK89204 MKQGNQVTNQLRDELVHLFSITDTKDPYLLSQRADTIKSEIEQRFRFRWSIILYSNDAKM EFSFVYGDEFTLELRSESYGMLAYIIPDQPQTQYEPRRDIGVKMPQNLYKGNYQEQIPVE KNNIVQRYQFINERIKFNNIQSSNKVLPFILSLIDFMLQILSHNGLYKLMDYLLNNIEVE IDLDQSEDSTNSMCFKVYNKNLQTFWMVEHKLEEVSKFAQDVFSTQMPDESSELFPWLLD LCTKNNDPHILDFFKSKPMEMKRKQSKKRSLSTFSQLLKMSCIEETRTHSRNTVTSKQKQ YTQIILENQYRFCDLKTTRDFIDLLALLHYREIKKVGSGAQSQAFKALNQQEHLVAVKIS QNTQENLYAALMQRQINQDVAENFVGNYLFQYQILEVEDILIIEEELAQNTLEDLIERKQ HMKENFTDYEILQIIKDIVDQLYNLHIGRSHNDIKPENILIQDGKFILHDFGAIRQVPKD QDQVEINQIFGTILYMSPLKFEHYLSTNQKQQLDIHITHTIDAISNNFKHNPFKSDIYSL GLVLLQLLLHNDKINLKKQIECLFYEQRQQNLPTLIEKYEIYLYSEKFFLFDHKLKRIKP LLKLLLDEHEDTRISTIELATLFNSQICASIQTSFTQQFVPAIWKSEQGTCQLAYSLKSQ IYQGSYNKEKQERDGYGIMYKAPKNDLIFKIQRHKKDKKSICDDEQDFDISQVTQLQESK QNLSAKQSLVQNQLQILYSGHWQNNLPHGKGELHLYNTVSDLNYKEINIDYGKLINKIDD HHEEHQLTINSQFYFGLLDSKTTINGLEAQFFLNISERLAEEDFIVGRFYYKFEFDTATQ KYFGYQQPYDFTVIDLLDLNDTEIICLIINRFIKNLRNKITHFEIRRYVIEKQIFLKDKN NNYLYIRFDGQNQKDTQQKQQKDVQNRKLIQSQVVQTKSTTACCVKQKKKVSQNQHKDIE IQSDLLESQIVLECLNQQKQNVSELILQTTSLSSQTLEWLFADDMISQIEVLSFNNSLIK DSQLKLILMSQSVTQVRDLDLSYTQLSIQTVTALINSDNLNKLHKLRMAGCQQCQQFEDF IICDKAQKLTLLDISNNKWVDANLLKLFTPVYLPNLNQLNLNKTSLTEKDIKKFLETPLG KKVILVQSTSKLWESQIIDFKICIRTTNSLFENIRSLEKYGYMSQNDMEENTYIRDETLK RIAHSQGLQNIEYLNLKNQDITQYGMKHFLQSQFITNIISINLSNTKINGEVLKLIYSSK IQHLKILKLKNCNQIVFDDVQLFLKNYKAAYLQKFYISSRQISTKKLVTELKYLQSLNQT QIIFNKQEKLIDISSLLTSILTWVAILISKQKLQKFTLDLRDCKGINNGFDLFLKEIQSL KGEHSCTLIFKISKYDCKFIQVPPCQQKLQNSWNRITNQFSLFNDSYFIYLMKERFKRKD NFNSKMILIQLFSLLCTYYSGLIVVCLFLDFAVGLQFHLGQIWDFGIFTYDTYFSVNLLS NIFNILVVVSGLIFIVVKGSKVLDFVFSLQLWHFIICCVLSSSEFNNMWLILNTIISILT IFLGEYLCVRFDQQDTLIIDRLFKNKKKPVAEHKRNDDIIKINIQ >CAK89205 pep:novel supercontig:GCA_000165425.1:CT868653:284822:285957:1 gene:GSPATT00022376001 transcript:CAK89205 MSKSIGKYVYDEKNLIGSGAFGKVYRGLDTEKQMEVAIKVSSIKNVRKEVLAIIENEIQV MKRLEHPNLIQLYDVFEQNDEKIVVMELCKRDLKDLLTKRNLQENEVLHIVLQLATGLKE LVRLGLLHRDVKPANCFECGGIFKLGDFGSVVEADYSGKKLMKQQFGTLAYEAPQMMMKD KYSVKCDIWSLGILIYESLFGQLPWQCQSEGQYLRAIKTWGVRFPMGKRISNECKDFIIR CLVIQEHNRMDWDQLFEHRWLNIKEHERKFNNSLVFEQIEDQLLDHLNEVATQQHFHPQE TFQRYDFDNKGVLDYLKFERFLIQFDPRLTKKEMMAIFVHLDKHKKNVIDLSQFQQIFSK >CAK89206 pep:novel supercontig:GCA_000165425.1:CT868653:286221:287305:1 gene:GSPATT00022377001 transcript:CAK89206 MNDLTSLLNLKREQFSVHIRKQRNENQFKESRKHYISSLFSQQIQMQEISAKIRDKIERG EQLSGELLIIIVEKIEEVLERENNLKLLDTIDAAVWMLKSVDVQTYEDELSDNHKMQRII DIMIPLSQGYSTHHEQMNQQIIIYAAKFLKYWTMMDDKSIYNYYGEVAETVYFLLNKQEV IFIKRGVEIMFNLFQCDDGRLLSKLHELLICGQNLVKPICILLQTQQNHDIQTTLQKIVL QTFLMQERNGMDQYLNYTNQDTTFFDILIELVQESEENSRKLFRYQLHLIHLILDYSQTE RELLYDHFKWKMNSSEFKIKMQQNWLFHQWSSIQKVANQIQNHLEE >CAK89207 pep:novel supercontig:GCA_000165425.1:CT868653:287567:290140:1 gene:GSPATT00022378001 transcript:CAK89207 MFKKIGIILTIVSTVPKVLNFVQTKFQQYQQSQKVQCLYQSDDVEQSTVFQGDITQEQNK QIVFKKMEEVQIQIIEFLDQYNFQKLYNTKQIKQHFYNEKMDFCMINLQKIAKDQFSMFT TELGTTNEVIWLNVAITKVYYLLIIYYQGKFKQINKDDEFLLYFPEILEFIQSTILKRAK QCFPKTYDKLEKLLEYYCQGSIQKNNQLHDLKQQFQNNYNVKHYQKKISEIYNNIIENYN MEALENIKIKYLCEENLETRNKFKISDVLLNEGHKIIRKTIKFEILNSLQNYKERDFKIL KTKVRNIILKQIHEDSFVGGIANKEINQNLQLIIVSQFCRLVKIDNPIIEILIKELEKQY EIKDRIQWFSLIDLEEDQPVDLKEKIKSFQNMVEKAQTWYEQNVDEETNLLVICQLYMIL ANFKFKNQKIYFGEYDSEARNSLFIIVEQLKDLEYGYFNHEILKLCESLTHKLQTKFFED IDKKIQKEQQNGYNSGELFKQRSEILINDTPYHFLLQDTSVSILKSPTAIGKEFHQQHQL FELILKSKQPNLETNQEEQVLTVRIQFLEIKKLIMNQKRLGNYKFVQTLEEPKLFYFSPL STDENNSNVITLLISGFLSKNSDKQELGKHLLKKLSGTVIALNWETKEIKDLVLQITNLG AKQDKLEILDQSLFENQFIQATQEGTVIGRCLAHFLDGGNVFGNRQINIICHSLGTQILL DCVHELDRFSSKKCINDILLFGGVADINELAQRRWNSVSGSIHNMYIEDDRVLQYLFKVN YIFKQPCGYNPVKFGYKKIYNYNLTGIVDGHSGYWDKLDMMMNISDLNSNYKVLVKDVKK VF >CAK89208 pep:novel supercontig:GCA_000165425.1:CT868653:290566:290886:1 gene:GSPATT00022379001 transcript:CAK89208 MFWKQMLMSLKSMKQFPKVVFLLCIADVLEAQKQAHQCKLDCSQKDLQSKITQQLLDEIN NELKCSLYQDIQKQFYQWLHGLCCLIIIKQMIGFKLYLKQNCHAVY >CAK89209 pep:novel supercontig:GCA_000165425.1:CT868653:290932:291840:1 gene:GSPATT00022380001 transcript:CAK89209 MKTLKERMLKIDKICQKLNIPEQSKGLFKKRKNNSCIMKEQDKNINNVSMISSNAGCIIS RTQLKKQQSIQMLQCDTVRSQTLSLKQLKMIHEQDQIQSMQSQKEELQNQINQLVQMKKQ IQEQEDKKKKQFITQDTSLLPQMLKLQQEKLSIENEITQIDSKIEQVRYSNINKIQVLQK RNWKEEKKSFEQKWLDLEQNESKMDDKLYNLFIHDSPPQEEQVDQQTIDTLTKEVEDLLC LKLPDEQDDSLLEQSQRSKFNQNYQSPLQIIEEEQSVELSFQKL >CAK89210 pep:novel supercontig:GCA_000165425.1:CT868653:292873:293821:1 gene:GSPATT00022381001 transcript:CAK89210 MQFLKNDKSLITESKQKSEVSSELLNAVEIKPNDILMFDVLQNQKKIIAQVDIINKTLQD VMFRVKTTSPDFYVISYEKEKPIATQSSYTVSIYMMCDESRLQHRLKDKFQIEIVEKQKY DAATNEDCKWQNQARKHILGVSLIRKDISSQIQQPKSQILYSNIKSHSIGQTPRLVQSRL TQGSAGLNMAFQQQYQRQDSSNSISNDENKNLKLKLESLKQKYQEQAKLIEQYKYDINEL QNEIDRNAFIYDLEKKQEEQDQPDQIIASAIRDKTGIPLWELFVAATISLILGALLNNK >CAK89211 pep:novel supercontig:GCA_000165425.1:CT868653:293833:294326:-1 gene:GSPATT00022382001 transcript:CAK89211 MEFLQHNEQYKYDTHRIFNLNDPKFQPEVLQIIEQKKEQFKIRLNQQQNKRMLQPLQEIN STNASTDRQRQSKTNNQLKRTRLKTDQNLEIQKSNSINRIQSIKDIMPKLIKHNEIPGSL NKQVIQKGLEFLQFMVDRHKENVSQCYQQKRQKCLK >CAK89212 pep:novel supercontig:GCA_000165425.1:CT868653:295737:297286:1 gene:GSPATT00022383001 transcript:CAK89212 MEEMKQNYLDRLNNLHQFLYCELPLKLMNHNEDETCIDLQNRLYTLIETGYIKETESQLQ QLIEENYKLHNKENDKQLINGLSTSEARYVKQIAELQHQRDLLQDELEEKNFILNQIESK MEKFELLSDNLIKAEQAIKELSDENMEISQQLQYEQEMNQQYKNQIEELQQAVMTKEASQ RKIISPSQLYQLHEQVGSLRIELSGLQAEVKIRVQILEQFRTQLMSDLVKGFHTMLSKTK QELQAETIEVKKQHQQLNEMTTQQINKLRKDVQFYKVLEEEYQTKIKEVLNQKSELESSI KLKDEQLSDIASKLDLIQQNIEQTELNFQNQLIQAINSKEKYKIQLNNAKQGLLTLTEKV QSLVSFNQKQMKFIEENIQLLEQTIREENQELIDGLSQELNQSIETIKKQHSSIKQLELQ CLKSNFQKVDQTNKGIEQYKQPEIMNRHLDLEYLKNDCSFMGERVVYQRTGRLDEQRKYL DDLKQLHRTTKSQLKLNRKY >CAK89213 pep:novel supercontig:GCA_000165425.1:CT868653:297384:299709:-1 gene:GSPATT00022384001 transcript:CAK89213 MLYAKLQSYVGEEFLIKTLPTQLSNFPSSRGIRVMKCPNNPLIIGELKLQEELYQFSIIP HTEIQVENQIVTKETILNNFSRIYIPCKECRTKHYYTFILPLREPLKYEAQRAAQTQFNR VHDKALYSKWTQEEKEMLKKLILQFGYGRWNKMLQLKFANKSKQEIKAFANSLLRSIVEL LVNYDLGAGIVNLIEENPDDPYIETNQSIIQYNIIEDWELQNLKQKLISISKRILMLAKI REFIKKFKEHQLKNLGIKDKSQCKMNTDKLVKWDYLLGFIPSSSFYSQRPSIWWTRKHDS DLIRGVYQYGYTNHLIIKEATDLCFKDLSTSQNYQEFPFPETLNKRVKKLVQIIQKFDGF YDFDNLAQSDDEEEKSWSIAEKQALFNLLCDYGVPIGVDGRQNWQELKDKLILKINKFDK NLNQLEKMVQTIRTRCEQMLMKHKEREFLESDSSDLEIEQPPSSIGEIREEQGDEFQISY QDSQKFSKQTNMLHFIRKNILPQNQALYQQHIQQVEKELFIDIPEYDPKSHDIQIITTLS QTGFNGLQPITLSNINTIMPTEQILNRIEQLCSFFKKIRDQTNIKRKPELKDDQQKKIKP NSAGGVNEIQLPYQVSTSLKLVSLGKIIPSPAYHSEHNLFPVGYKSIRTHASMFTKGKRC QYTCEIQEGSDGKPLFKVTSEEDVDNPIIKNSCTGCWVHIYNKINELQEHKKSKVTISGT DRFGLLEANVQRYLEELPNAEMCSKYKFKYRNVAQDF >CAK89214 pep:novel supercontig:GCA_000165425.1:CT868653:299827:301085:1 gene:GSPATT00022385001 transcript:CAK89214 MSKTNTMSCLWKESKDAKPQVISVPIPTVEDGQLLVRMDYAPINPSDIKFLLGQSSSNKQ FPCVPGFEGSGTVVLTGGGMASWGMSGKRVAFYTNHQFGTYAEYSIADPNLCIELDNDVK SNEAACSFVNPLTVIGMLDICKKNNVKAVINNPGASQLGKMMNRLFQEKNIKVINVVRRE EQIYELRYECGAELNINQNDPDFLKKLKDLCETTQASIYFDAVGGEQSGQILNIMPKGSI LMMYGTLDSWQIGGIQANDLFREQKSIQGFFLNLWLQEQNKIELIMTLKMLQKFIKSSLK TKIAKEFTLEEFQQALDYYKSHMTDGKTVICLKKAITSKREYSDQQKSQSQNEQQQQQQQ QQQQQQQQQQSSNEAQDFQEDDDSSDSGFGEGLNDVLSNSEQK >CAK89215 pep:novel supercontig:GCA_000165425.1:CT868653:301095:302755:-1 gene:GSPATT00022386001 transcript:CAK89215 MRANNRFTSVIGNLREIKEANLLFKVTNTFQKTINEDTDMIRQRCLQILTIEPEKRSIMQ AKYVQKYFERDFAYFSKIRGQLPDDLYIRIFKDLQIERINAAEVVFNMGDIGRKMYFIID GEVAILIPMQESQHHDNPHHQRRSSVVKKFDDLLKHKYAQYKLIAIKSKNDFFGEIAIEQ RIPRTASVVAKTECVFATLSYESYQKVLGQYQEKILEEKLQFIKCTPPFKNWSQSGQLVL LHSCQEMSFDAGSFIYKRGQKGDQVYIIKEGEILIEKWGKQQSVTQEGDIYLKKNIIVVG LYSTGQIFGDYEVYQTNMKCRYLTRITQAKARAKTVVLALSISQYIDNMRLNERDGWIRD YFEQKFSKKWLNKPEISTQNVSKSPIPSNNKQYIKSREDGRQAYKVSLSNNYFEDLESEN KNNSKDLQLLSPTQLHSQNKSNKTMRKFLSLQGSLFKTQQNYEAPSMEIIIKQLKNKVIK SRENLTNESIFDISQSKFYSVKKLSAPKLKIGFSFKSFREGERNKSKFLD >CAK89216 pep:novel supercontig:GCA_000165425.1:CT868653:304889:306344:1 gene:GSPATT00022387001 transcript:CAK89216 MISTEINSNDSIVRFDQIVGQQGDQEYRSPQDVVIVQDQNQPQNSQILEIGQQILDPEQL KLKEKERMERIINIPNTIKRSLSTINEVPDQSISISPPAVHYNRKDFEDEMEEQHQKQGS QELNQQRKLKKRSKKKKKKQQQTSDFHQYFSIKGLEDPRVDCNWKLLFSYFTLIILSNII LQTLEVIRYSQSICKLEGLDNLFVYLNQLLYVFGKIGILSLNYQEFTNTSSTKPKIPLLN STLFSSIFIVLQLPLYVFDFVLCQSRSDLFTLNQSLFLLNCLDWFIISVMSVIILLHSII KITLKPKTWKKLKYQSIHKKQEITIILQLLVTFTQSTSTFLPAMIMENFYIFFSLLTSVY LSIRKFYFHKDPFLIRHELLNNEPTCVNQDENFHNQEKSEKLLYNCSFNIKKRIKHSPLS SFSSIQLTKTNTISRKE >CAK89217 pep:novel supercontig:GCA_000165425.1:CT868653:306699:308083:-1 gene:GSPATT00022388001 transcript:CAK89217 MIIIVYHNKQKIKIVLAQPSLQLLYKLVQENLKLPYDFELRDKKNKILFDLFDGLETNVV EVPTMNQKIKSKTVNDQQSFRVGRNRIQSLNLQSSQKESNSDFSTQNDLTQNQQNKREQI IQRMMEDKLYREEQQKINSDGNKLIKQIQKRIKLKEQVFKLKDEALNLSELEKKKIILAQ RRELSQPIRLDALTEHQKRYEEEKFQKLKQRQQQKQELDEEFKMKLIKFPKSQALVRLEE EQAKLKLSQQQASEQKKLLKQKQLRYGESVKDSFLKDIPRHSISPIKQTQEQNSTQKSQL EIAKQNIKKLQSLLEERKVDKILILPERRSVNDIRQRGQNSLIEIKQNNKIDNIEKPYTT DHKNLISKRKVLKSGLNSANFPSSLHEIKPIYFEEKYQNVLNSIMQLEQKCKEKEKKLIL NKSQILEEEENQKFIENLRQKLALI >CAK89218 pep:novel supercontig:GCA_000165425.1:CT868653:308578:312238:1 gene:GSPATT00022389001 transcript:CAK89218 MNQTPQILDSIQTEQDALHKAQNKILDENTKNEEQIQSQVPIMQPTNIQAQNNQFKQPQF MVKLDQFQFAQRMPSGMQQGMPQGIPQGMPQGMPQGMPQGMPQGMPQGMPQGMPQGMPQG MPQGMPQGMPQGMPQGMPQGMPQGMPQGMPQGMPQGILQGMPQGMPQGMPQVMPPGMPLG ITPQGMPQVMPPGMPQVMPPGMQPQGMPPSGMPQVMAPGMFGMQSGLPGLPPGAPYSITV GPPGITPQNMPFNFIPQNNQMQFPSVSLNHIKPSDDNQILLKKEVEKLKNEMATIEEEKS KMENDLKKKIHQLNEQINNLKREFDEQKFELQSEYQKKEQENKENQEKQHKEQIKTNRKW NQKNERKKAQQIQKDLRQKLKPQKAKSSNYKKPVIIFQHFKINSNDELQKLKKQNQDNLA QMKQKDQEIAQKNLLIQNLNQLKSNDQNKEQQDKIARLQKELYEKTEIIHQITQENKHFN GLRNDIENENKNLLTELEIMQMEIKKYKNTEEYLQQQLEEQSKTIFELCKKNQIDNAKEL ENQLKEIKENREQKNKNEQEKFSIEKERILQRQQQEKQQQQQLNQQGKQKMNELVRKAGQ IQCCFVIDLFKSNEKVGNAIIKATETCCSLIKKTTNRNSFWGAVLYGYQKKGLDIQTQKF AQSADVLSGFLKKQKYIKDPKDQPEDLKSALKEMLKLDWSEKYRLAILIANSPCHGKKFH NPKKYSKFFGLWSVEYDTKSDDDIEQVIKEVVKKDIVVLVIRFNEDTKVMCSELDKVYTN LGYPQLFHTLSIKGLDQKNSDCELAMQIQKMAAFIIGTDNKMTKTKINQQVRLQNFEEGQ NDMKNLKVEVVEINNDIQKEISNKGEGKQKDIQQVSVQNAIEDQKQYEALIEEAKNQQYG ALQALTRKGDITKFEEKADCEVFRCKVYKVDLKQESFQKKIENIDQIRYDQNDFELIQEN EWDCIRTKSPFAFGMMKAVYLMKKRNNTTEIYVVKVPIVNGIYKSKGQAVDDCRSHLIAK NLMKEFINKIRSKNNGIKEVQYTDFLLLEENENKYWIAERFFSGTFEKYNNNDGFINDVD SQLNSISQAFSFFTYQRSQYNYIVNDIQGVDVYFTDPAINTTKGNFDETDVGEEGISNFL STLQLKKHLCEKLLQSIDIEID >CAK89219 pep:novel supercontig:GCA_000165425.1:CT868653:312244:315529:-1 gene:GSPATT00022390001 transcript:CAK89219 MTNVLLQAISFNWTPFLFTSPNQISVSILDKTNAIFKYSKMTLYMAQLDENYNPWDLESD DYRMPGQVIRNYNSLHNEPQPVFLYFYPMLKNQIVQLRIRVDPLTLGIITAMHHLQQITL KYIIQFTEKPKCTPLVKKEIIELEDEEARKMRSPSSHEAISLQTPKSVRSQQPHRTKAVE KIRARLSKPQIFTKNQLRQRQLQQFIDGWGFSLLMGFVTLYALFGDDIRILSVNKDGDDI FFILTTICIIVLTCIANPNYLFNFYFWLDLISTATMILDIGWITDHWYGDEGDIQNAATL KALGKASRTARKAARVIRIIRLVRLIKLYKHARLQLEKEKEKKILQDLLLKDDQKQNSTQ QQQQQNKQKQHYLIRISILQQNRQKKVKSEEQLPYINKGQHSRSQSQHDQNSSNNEQQQL KYSNLSQGDQIKESHVGSQLQDLVMRRVITIIIAILISIPILTLDTYSEIINSYDSGIFR ISQFRKNQPITDMLIQQYVQFHNSEIYPIQSVQVLQDDLNKKYTEYNYTTNPDWFQQTEL LKSQYRFSDQQYFVTTDTTNQLITYSVSDLVDYNKINAILSIFQTVFVCIVLALSAVLFN KDVTELIIEPIETMIQKIELIASNPLEAVNIEEQEDLITQELEKNNDFKKIRQRQIEQMS ETYYLQKLIMKIGALLAVGFGEAGSEIIAENIKKGGSVDPMVPGKKVLAIFGFCDIRNFT DATEVLQEDVMVFVNEIAEIVHFTVDSYGGSANKNIGDAFLLVWKYPDMKFHADPQTKKL VLHDDNNVKQIGDMAVLSFLKIIISVSLSKKLEKYKKHEGLNARIKDYSVRMGFGLHLGW GIEGAIGSSFKIDASYLSPNVNMASRLEAATKQFGTNILISGILKRNLTQACQNHIRLID IVTVKGSIEPVELYTVDLSIKSLLNKYKEPRDIFDISRMNPREQKQFRVINRYKRNLLIK SVENDKIQIAELFEKDEDLMTAREPYHQEFYDTWVSGFNSYIRGEWDEAQKIFMKTLTMI PEHKDGPSNTLLEVIHSSGGRAPYDWKGFRELTEK >CAK89220 pep:novel supercontig:GCA_000165425.1:CT868653:315860:316261:1 gene:GSPATT00022391001 transcript:CAK89220 MIIIYRALINGQTYWAKHPKDVRSTVDLAVLLARRYIRNCIFRSGITERIFRNYRQLNSQ QYNIATLSKIRAACNKRILIAAPLMYQEDPNHLNDFAMKTHAQEYDLVFLDMNEGKNINF GIPAIPHLINICK >CAK89221 pep:novel supercontig:GCA_000165425.1:CT868653:316508:318846:-1 gene:GSPATT00022392001 transcript:CAK89221 MDQQLKKKLKKQQVQKIQFHQLHQFAGFQVAKDITEIEPEPQRRKPLQLTSLQVQHRQQL YMKSKFQFVLLSQPSKEVRWDEVYQVIYTTTQDITCPICISDHDIIIPYITPCGHIYCLP CYQRHKLQSKFNQKCPLCGELAVMSELKSVKIIKHKIKSSGDTVLLNQILKYKNETELYV NGKESVVYARTLIANESYILKIFEEEIIQLQSYLEICETEIQEPVQQSIQFLLERQDKLP KSNLNLQPDIALKSYVPKPNQTSYKFYQDSNGLLGFLHPLCNKYIILQYGNDHLPQQIES FLIQKDQFTQNDHTLARYKFLSHIPLHTEIYFYEIDLKNILNQDHLKEYLHEIQDYRERQ RKERLRQEEKYNQLQAQQIQKQQYPIENGYDYQYSNSQIDEFQFDEQDFPELPGVRNISQ QQDEQENKREIDQNQPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQNRQEEINFDLESQ FPSLNQECSVIKVNPFQQTWGQQQPKVEQKVEDEQLIKKEINNQETVKLSDLDFPVIVKI KKLKKRNKIRESEIYTYIFNQKIMQQGLAQKRLLMDFKKIQQENQEDFMASPQENDIFHW EAVIFGPEQTPWEGGCFELKLAFSNDYPTKPPEVRFAPPIYHPNVYPDGRICLDILKEQW TPILDVWAILTSIRSLLCDPNPNSPANPEAAKLYMSDRAEYYRRVKEQVEKTLNGIEEEK >CAK89222 pep:novel supercontig:GCA_000165425.1:CT868653:318921:319481:1 gene:GSPATT00022393001 transcript:CAK89222 MNHQGFFGTAIKRNSKQMNSKRGEEYFNNKLFANENLRKIQLPKQLTQQYCFSLEQGVYG TRLENKSPSKQIKESNNSKQNIENTAFQPETLKFSYNQHFLAHDLQPLIKIRRCQMDKNS QNKQQVTLNQQCFNLITNKRIRAQSFAEERCFSPDQNKKRTRLNSINYKNFHCESFRLQE NQSENY >CAK89223 pep:novel supercontig:GCA_000165425.1:CT868653:319928:320512:-1 gene:GSPATT00022394001 transcript:CAK89223 MKSAFQIGSIQRNSSKIKEIYQKKNEIYFKNVFQFIFYQLQQPSQKLLKIENYVIRIIKF VYSTISLYNFQQFNLNWLIVKFCYTLLDNNRQYNFPYFTQQIVKFSIRCINYTQKNGEVQ KINQSKIICNNIHFYLNNQNLAVQTIQLIVGQHKWKNSYSFQDQHWLHFKKQLYIFLFVK HFAQTQIVSIYRLL >CAK89224 pep:novel supercontig:GCA_000165425.1:CT868653:321697:321891:1 gene:GSPATT00022395001 transcript:CAK89224 MNYRAVTYVPVNLRPSLARMNQDLQRCKFEAIQYAICASSKGYEVKEKQCQAEFEIYSSC LKKQ >CAK89225 pep:novel supercontig:GCA_000165425.1:CT868653:321918:324008:1 gene:GSPATT00022396001 transcript:CAK89225 MEAITQLKKFIGHHFNVKSFHRYMINNDISDVETLIKFCQLDNTGQRFNLWRLFFNIYSI EDTVFEKIGKLSKTRETYKQLEQKYSRSQEASSTTKIEDQPKKGPLGGPLGGPLGGPLGG GSKIKTATQNPMEDAELRNEIRKDVERTYQEIQFFANKKVLQILTSVLFIWSKENSEISY RQGMNEVAASLIHVYFQEALYQDEIDKIQGASEDDKQILLQFNSWEHAEADIYTLFQKLM NDAQHMEMFRPNYTEAQKIKLQSKKPSAILTRVSKIQDILLKQVEMPLFRHLKLLQVEFQ IFLLKWIRCMFTRELHLIESFKAWDAVFYDFYEQKTETLFFVDCIAIAMILYVKQPIMEM EDSSQCYQRFLKYPPVSNLPALLESAINVRAILLNQKSATTLDEQNESNQPAIYSDKQFN PVFFAQPTDKVEGMDAKFVKITSEKPQFRMKGDVDLVYKDETSPDKSNQNQQQFINPLQP RSVPQQQKPQQQPQYYQAQQQIQQSYSTSQATQSGNQSSITTNFFDSSLSSTNSKTIKTQ FQVEEQSQQVKKAQAPSKNSIQIPIQQAEDMFGTLEETLKFMKSFKDHSNYQDLLMNLTE MKDYFESQINENSQPEKQQNQQDDNSISSKVISFLKKKP >CAK89226 pep:novel supercontig:GCA_000165425.1:CT868653:324133:324729:-1 gene:GSPATT00022397001 transcript:CAK89226 MIYCVSRTYAKTREIQHQKNKSASIITTKPPETNTASVHELYVSNNMMLSLLTLSEQESK AVSKLPSNTQQDDNQFYQYRQSLQKQLSERKVKRNYDLEPITKENNSIQSIQLPEQAHAD QNQGQSRRTVPNLLQKSQQCSQNNRNSLMFNTSYEISFGKFKFQKNEIFQDTNSISNVTD TETVINLPMQFQPFKFKQ >CAK89227 pep:novel supercontig:GCA_000165425.1:CT868653:325259:326876:-1 gene:GSPATT00022398001 transcript:CAK89227 MKSPVRKEKKHQTHNPSSQQDKFQQKLLYPGQIVAGRFKLIEKVGQGSFGSIFKTENIET GEICASKFERRESAKNSVSLLVREIKILLVLKGIPGFPQILHYGRDENYNFFMITYLGQN LEHLIRKTKRFSQINCLRIGLQLLDRLEQMHQKNLIHRDLKPENLVIGWQDIDVIYLIDF GLSKCYKDNQGVHIPMIEKKGIIGTARYASIGAHLGREQSRRDDLESLAYVLIYLNKGKL PWMNMPIQDKSIKYNKILEYKQQISHEKLCDNLPKCYYLLLQHAKSREYTEQPNYTYIKE QFSKALDDQEYIDNISFDWEKLPELKSKKSRRSNSQKKTNEKKPKISISKEQSIVQEHKQ QNQQPSLQIIQQTQQKSQVSFLHVPDLDSQSPDKQSGLERKSRGYLSRGSAGTTRLMNYD LSQIEEDENDGDNQNHTPFLNIKKSRKDLKSFSVMTENQVFKITTFCKQAGKTVKDQQIE GVNQIYGSFDNLDLIANDEINIQFNNIGAFSFKKH >CAK89228 pep:novel supercontig:GCA_000165425.1:CT868653:326932:327879:-1 gene:GSPATT00022399001 transcript:CAK89228 MLNQYEPLEQPGVALSMPEYPTSSEIHEVISKEKQSHLSDILLLLIIIFYLIVVIAFFIA LGTSQHFRQFLFDFFSDNYENDVYGLLYIGAFELVLIITGFDIFLMDILFGFFIRPYLTA LTLLFATKMAGKFLCFALVKYCFKEEIYQAFKGNIFFKTFYVAAQINPIKILAILQLITL PTAFKTYVVGVFAVSTPQYLVVTSISNFMWTGFWVHVGVQAKNVKTFIETGKSSDIMPLQ VQMIIFFGGICALGYLLKLTNQVYQSIVQEVQGLEKDRK >CAK89229 pep:novel supercontig:GCA_000165425.1:CT868653:327914:329398:-1 gene:GSPATT00022400001 transcript:CAK89229 MDLFDDNNPYYRQAMSMPPFEPVFSRLSSYNQSQNRIINWGNLQPISCILKRNEQDYITT GRDKFNDSMLAVYNTQDSQREFDSLGDVKKFKATKISDRSNRQLKYPKEFDKVFEELDKE YQLEFHLQRGINPFLHQPNYVQPITVFGQFKNLKNLKSISSIIEKCYLPNMIRVCYKLIN DDSNYDFKGRFNNVKVIQNLGEEETVQILYMKVNEKQNAGEQFWYFVTMMYKRLEDGGCF VFEMKNLADSAFTQFLYLFQKLFLIISLDHSDILTLSPKISCYGFQRQSSIQILDKVIMN LEEIKNNNYNIFRIRQLTQDHKFEHDIVSFYKQYLQKISQQIKLIQQFEKGGEISYQEFI QECEKLKEKKKKNHQSFDQSNTYTRQEPRNENNYRATQTKVINKKIDVDPSILELDFEKG YRPQWKQNQTKQIQPKQNEKEEKGKKQLEKLLSQGSQKKQTTKEIQQKTYEEQLGLNAKK RTYNTTAEDRKRRH >CAK89230 pep:novel supercontig:GCA_000165425.1:CT868653:329516:330343:1 gene:GSPATT00022401001 transcript:CAK89230 MNSHIYLTTHQLHEMFVDPTQVLVSPSFVEPTTPPSKEKRYFFQEDVTSPSKKDDKSTEN YSNRKISQNSIFDSKVELKLTNKDLCIKNLQEQQNKSKEGQIIIKLQQELQQQSQIILSL QNQIQILKGSNQKYHSSVSQLLSQIKKLEQENQSFQDQLLKTRLGFQDQIKQQQQIKIDM ENAKIKILNKDQMIESLNQQLSSFRQELSKTRIAISPNRVIEQTHYPPSVSYINYRIAQQ RSNSNNLKNF >CAK89231 pep:novel supercontig:GCA_000165425.1:CT868653:330378:330798:-1 gene:GSPATT00022402001 transcript:CAK89231 MNEKEDTIYQSFINSDGSLPIENQFEQCQQCVELQKYVYQLEQEITDLNKLMQKQSKNQK PQNIQIPKKLSFCKLQSVSVRHFSHHQQSKSLHEEQIQITKSMFTSSGHQKRKIFDEVEE KTSTKALQFYKL >CAK89232 pep:novel supercontig:GCA_000165425.1:CT868653:331545:332573:-1 gene:GSPATT00022403001 transcript:CAK89232 MGGVYLSSPNRSKNTTIDENKTFIYAASAVQGWRRSMEDTHIFVCDLVPNVSLFGIFDGH GGADVAIFVQRHFTEELLRNNNFKDQNFEDALQETFLKMDELMFAEEGQLELQQIKNTTE EGAYQTGCTANVALFFKNTLYVANVGDSRSVLCRNNTNCDLSNDHKPVILKEKQRIESAG GFVDEGRINGNLNLSRALGDRQYKQNSSLNKTEQLVIAFPDIEKIELTQKDKFLLMGCDG IFDQLSHLELLQFINNKLGNQPVTPQLLGRVAEDLLDHLIAPGISSGVGCDNMTIIIIYL K >CAK89233 pep:novel supercontig:GCA_000165425.1:CT868653:333447:334136:-1 gene:GSPATT00022404001 transcript:CAK89233 MQQFKRLLPLMNTKFHLKHQVPYNSILNKQRIQQEQSSKYLNQYQNIRLDKAITTTKVSL LKFELKWETQFYCPISVDKKSIQMDKNFSFLETQTCQVYYSDDLFQFSEFWQNPITYDNK NCFIITSIYQTINIKQSKMGICHSKSKLKRSGAFQCIPIDFDKLKRYNEERQKNDQNLGQ QQSFCQLIWQEGNKISNHQTQTYNNVQTQQIELRKP >CAK89234 pep:novel supercontig:GCA_000165425.1:CT868653:334167:334982:1 gene:GSPATT00022405001 transcript:CAK89234 MDPNQFGIEIAKKFQQFFAHMNKFCQQFLKDQNVTEIQVPEKKKERDPNAPKKPLTPFFL FNQKYREKVVDRNPEIKLTQISQMAGNKWSSMSEQEKKPYVDQYNAAKEKYEQELKDYNE KNGIETNDKKRKKSEKVDDKSMKSALDHNIDDFESESVQPAAKHQQQIKQQQQKQSNNDE QVKQQPAKQSKQQTQQKQIPVQTKKAKHVEIDDDIQKDIDQAMSNPKQTQKKSKK >CAK89235 pep:novel supercontig:GCA_000165425.1:CT868653:334997:337419:-1 gene:GSPATT00022406001 transcript:CAK89235 MSLQVQTPELISYLNNQSSILNYRQNELVKTEHVTFLPTGEVLIGSSNNPQKQLTLRSSP IFGKLGIASIHDDLRLQNELLKHQQEVIHICEDSKLLGSGFYVKGHTNGRIFNQLNIQSL AINERRLIFKEIVSFLCRVHNLSQNQSVFNYQAIIESSRIQYKTHETRIQTNIEDLLYWL PMNVPELTIQESLCLGNVELNNFIFDQQEIKLINVQQWNSVTIGNPFLELARFLSNYDIP YEKNVTNYGLQKIDHLLGLPSKQELINQYCIDRKLDTIKYLNYNTIIVLLQKAIFKQQCI KTKVDPERSNVFQQELEIISRSAWNIVLELTNDDPFQIKLRAETDQLLWAKYPVSQRCKS YYYRVRDFLRDEVFPMEQSQFDIVYSFNKDNHWKGLPGIEHLQRRAKSMGLWNLFIGDPV YGKGLNNLEYTFLSELMGTSFFGHEIFNCYAPETGNIKLLIMAATDYQKEKYLKPLLEGE CKTFFAMSERNVASSDPTNFETIITKQGDGYVIKGSKWMVSGIQDERCKFGIVMGKTTVN PKSPFTEQTMFLVDTPHPNIKLKHPLAVFGYDEAPHGLIEVEFDNVYVPKENMLGKEGTA FAMSQGRLLGGRLHHSVRLLGLVRRAMDVLLERGQRRVHRGKKQKEDSAFQEKIGRMECD YQICKTMILNAAMVLDSLGGRHMQSLKTVSETKAFVPYKCQCIADECIQLFGAQAITTDY ILQIAFQACRGLRLMDGPCELHKKQVARFTQGSHMFNELLNADGYIGNI >CAK89236 pep:novel supercontig:GCA_000165425.1:CT868653:337660:338493:-1 gene:GSPATT00022407001 transcript:CAK89236 MVIHQLKIQEIEMNQKLDSLDIQTPTERTSQISSSKSHIFNDQNKNLIYTHIYNDHKFKQ NILIFFTLVIVINFFCNLALLVANCHPQDVIEENYYLPFHMLDFYGSFFFALSEGCVLIL TGIVTMDSIKIYLIIVNIGGTLVALILFTFDPEFFEETSHWIEYSVQLLLTLTDFLFIFQ QDKESLMYKYRFYESCIIILAFIMSFLKLFVYGGVIPTDGQNERMAHFLEYSGEMINDCF AIFFIVLQLNIERVSVMETMQSSLERLLDKNGIELVK >CAK89237 pep:novel supercontig:GCA_000165425.1:CT868653:339365:341389:1 gene:GSPATT00022408001 transcript:CAK89237 MIYLLLCMALAFGAEIDVNTFSNYIEVSQEQVHIEWLLDLDEQYINATSQSIFRVTTNKL KKIDLDIYQLSIIAVYLSSSGNVLQHEIIKKGDQSLLQGDVLSIQLDRVYTRGEYIKLNI KYNLDSKGARALGFLTKEQTYSKSVPYLFSQCEDNNCRSIIPLQDTPSIKVFFTATVLVK DPRINVFMTGNKLYNTKFKLLNNYENLQALTLYQFELDIKIPAYLIGIIAGEVVEKSTGN GTYVIAEPHFIDEYAQELSDLPVYMKKMQEYIGPYIWGDYKIVILPASFPFGGMEHPLLT FASPTIIVGDKSGVGTAIHEIAHSWVGNTVTGRNWANFWINEGFCVFLERKILSRLNGLD SVKLDAINGNSSAYTSMLTFGLDNSFSSMHPNTTNRNPDEATSRVPYEKGYQLLTYLESL IKEDPFQQFLRDYIENFKFQSIDEDQLYQFLLSWVRKNKQEEAQKIVEEIQKVWKKWVYT PGLAPITIDVSTPLFTDANNLAKAWIDGKGQAPQKANDFQQYKPNQKSVFLQYLIDNYKD VEAAVMTKMDEQYKLTFYKDQKTVYKWYRLVLLVKYDQALEGVHDFVSKVGVSSYLKVLY DLLGQNYNKQAYDWFDENKSFYHPVVVQAIEKILQKYPKKLIIE >CAK89238 pep:novel supercontig:GCA_000165425.1:CT868653:341759:342013:1 gene:GSPATT00022409001 transcript:CAK89238 MGCSIQKIEKGSQSQQIKQQQVGGQETLIQSQSPKNENLIGETPSQINLRAQRLKHVRDI ILSGYSNSPKNIHSRKQSTQNLWG >CAK89239 pep:novel supercontig:GCA_000165425.1:CT868653:342449:345010:1 gene:GSPATT00022410001 transcript:CAK89239 MYKGYIERMFKEFQVQPIYLPFEFQQNGGEGNIIMDMDLVRQLDQFIKQNMNQNNEHTIQ QFIRMFSVQLLLPLHVVDELLSTIQEIPDQQFDEEVIESCQRQSINRIKEQTQLEEMKFI TQLELQSEQKHEQQIFIDEMKEAKLIVPLANLKQYPLGRTSITIRAKLFNKPIIEREQLQ IIGDYCLQFRDESGMCQVFFTKNRIKEKFQLYYENLQVQTVYDISEMEIHQFKQTYEIKV TSNTQFKLYTKVPVLEQLPSALSYNLKNGEEIIVAGMVAEIGELRQQNKKLTLYTLPIDT NTQYYKIPLILWDQYKLMNFKVGDVYVFEGVLLKIYTDVIELKSLRTRFKVYTEGDEKYQ DIIKQIIDKKHNWLQKDNAEIQIEQVVQQPVFLSDIHQSINCAYFNTVVKILKDMPAIFQ MKIQKCVRQLIVTSDNNNSQIIELVGDRLCQKIYLAEEAIIFISYLQIINNKVVANEHNT QIRVLPDDDIDFAEQISLLKQPFSKPLQLSQNELEQDVLINAQKRSSIKIKINQKQSGNQ NQLQIPSDSLKKGIDRNELMNDIVSLLEGKTHKSRFNILVQIDELFEVRHQKGNVKLQDL RISDESDSGKITLWNNQICDYKIGQAIRIDQVIYDTSKQEFKTTFQSKVNTNVPNKNLPG KQRKQNQKQLVIDGVEYQNFTYNQELKLITDIIKLQYSVSEELPYQYCRAMISEIMIARL SQQCPQCTFTKLVQNNDVYECSGQNGIKHIVTLPKIFCYLKCKLTDFTASIEVVFGDEIC QQYIPQNILNELYKNQMSVVPYFDCLMYKEFTFKLWLQKQKDQSKKIKIMKLFQTNYLKI IDSYL >CAK89240 pep:novel supercontig:GCA_000165425.1:CT868653:345033:345450:-1 gene:GSPATT00022411001 transcript:CAK89240 MQNPMLEDLKNIMKEGWLEKESRVFKSWRRRWFVLTTTTLYSFKVEKQYSNPTEIIQLST VSTIKSCQEETNKENTFKIDTPDQTFFLQASNNQDKEGWIGAVGKAMVKLNLKKNRNDDD D >CAK89241 pep:novel supercontig:GCA_000165425.1:CT868653:345573:346231:1 gene:GSPATT00022412001 transcript:CAK89241 MKKSKIKQLICDVKDNVLKSTHNSNPIVKWAQRKDNIFLTVEVRDLKEEKVELTSTSLKF SANAEGVNYAFEINFYAEVVVEDSKWTNYGVNVRFILSKKDQSASYWTRLIKETHKLQYI QVDWTKYIDEDDEAEEGGKGLDDWNGNNFQGFDGQGQPDEDDEEEQEQEQEQTQEEQQEQ QQQQEEAQNQ >CAK89242 pep:novel supercontig:GCA_000165425.1:CT868653:346236:347787:1 gene:GSPATT00022413001 transcript:CAK89242 MNQLILISVLAITLVQADLPVHCLRHQIVGKWKMEVSDVQLRGVGAVPCGHHVPDTQHSS YKAGWEDFRASDSFELTLGNDYTVTDKDRKQSGSWTMVYDEGFEVEHNGVKYFAFSKYEP NGVDYKSLCGETLIGWYNNQKTGEKGCYRAKKADGNVDATDSYKLISVVQPEFIQKNFTN HKEAVDKLNSIPKLWKAKAYDHFEGKSLLELNKMAGRRRHYQGKTIKRASFTQLKSNDVS DLPKTYTLERYLDPPRQQKQCGSCYAISTMEMLSARLKMKGEKITLSPQYSVDCNYYNQG CDGGYPFLVEKFASEQYLVTEEQYPYKGDVGTCKKIDFSSSSKVYGAKNYKYIGGGYGMS NERDIMLELFNNGPVIMNFEPGQDFMYYSSGIYHSVAQHDWSSSDRPEWEKVDHSVLCYG WGEENGVKFWLLQNSWGEQWGEQGNFRMKRGTDESAIESMAEAADPVIYSKSSGQFIEIS QTNLRKQ >CAK89243 pep:novel supercontig:GCA_000165425.1:CT868653:347811:348203:-1 gene:GSPATT00022414001 transcript:CAK89243 MRNSALGPKLILSRAQVDDTEDIPEEPEDFDTIIVQKKKIKYKVMREDRFDAKGRQIKQG TGYGIQFDNFITVCVFDPNEEVVQIKDTLSNCTMTIDQSKINPRLDIKYKAENVGDEIIL FSILKKQKNK >CAK89244 pep:novel supercontig:GCA_000165425.1:CT868653:348209:349356:-1 gene:GSPATT00022415001 transcript:CAK89244 MGQVCIKESKQQTEEAGDAMEKNEIKDSQQLFPEEDNDKGRQQLNEFTILRHLGRGTNGK VMLVQHQPTQKQYAMKVVCKNKVQSLTQKKYIKTERKILEISDCSFITKLHYAFQTQTKL YFIIDYVSGGELFYHLKMNGKMSEKRVRFYAAEILLGLDYLHKENIIYRDLKPENILLDN EGHIKICDFGLCKLCTQGDNYAKSFCGTLEYLAPEVISGDMYSKVCDWWTYGVLLYVMLT GRLPFQNDSKKEMMKSILMEQFEIPNDLSEEAQDLVQKLLKKNPQERLGAIRDGAEIQEH EFFKDIDFVKLERREIKPPFYNDKPFQFFDQNLVRKSTIQDTPVNEFGKYQNFSNFTYKE DKMINEYNKLLIIH >CAK89245 pep:novel supercontig:GCA_000165425.1:CT868653:350527:351726:1 gene:GSPATT00022416001 transcript:CAK89245 MWYFNIKKPTIVIDNGSYELKAGFQGHYAPSCRIPTVVGRPKLENSDEDFEDQYFGEEAM AKANALSLKYPIQRGIINDWDGIIYINMILIWNQFGIMQESEHPILLTEVPINPNANREK TMQILFETFQAPLISLVNKAVLPLYAVGKLTGVVVDSGQDDTNIVPVYEGYYLQHAVLRR DYAGNACTQEFLQILNKSGYYFYKHYYRSLIIEMKEKLCYVAQDYDKEIKKYKESGAEDT KYELPDGDFITIKNEKFRCSELLFKPYQEVEVGIHELVYNSLKKCDPSLQLYLYLNIILS GGNTLLKGFRQRLQKELKSMPPESIKPKSLLRYFDIEDTSEHNFISWKGGEILASLDSFL FTCFERKEYDEQGPSIVHRWMYK >CAK89246 pep:novel supercontig:GCA_000165425.1:CT868653:352064:352576:-1 gene:GSPATT00022417001 transcript:CAK89246 MANSQKCSNCGGKDHIAKFCYERTKKVPATVKLSTQSDKMNSKDKKELKLQKKEQEIVVQ VTKQTPKSKYEEDKYIGQHTQIWGSYWNEVLGWGFACCYSNQKSQECLGEKGKRQSLTKE YSIKRQIEETPIAQQELKSGPLNLDELYRKSNLREGAPVDVIYNQSNTTN >CAK89247 pep:novel supercontig:GCA_000165425.1:CT868653:352611:354421:-1 gene:GSPATT00022418001 transcript:CAK89247 MQTEIKNIPLNSGKYTTQQRLGSGSFGEIYLVISQSNEILAAKFEEAKSKHPQLVFEAKV TKALAGGIGIPTLHYFGQEAGLNVMIIDLLGPNLEDLFNLCQRKFSLKTVIMLADQMIQR VEYMHSKSFIHRDIKPDNFLIGLGRKSNTVYILDFGLSKKYRDAKTHQHIPYRENKNLTG TARYASINAHLGIEQSRRDDLEAIGYVMVYLLRSYLPWQGIKANNKQEKYHKIMEKKMTT PVEVLCKTLPIEFSTYLNYCRSLRFEDKPDYSFLRKMFKELFQKHGYDWDYQYDWCLAIN GQKTNTFNNGKITIQVNANQYDADENQPPITIQINEKVQDKQVVESKIMENKFQRVQNPD NDIDQTEQIARNLMGNEQFNKQQEQIKKNLLGGYQKNQAPIETVFNPRVVIQKKTIYERL GQSMAIDFTVDKLLQKLQNDPKLKSFFVKTDMKKLNSQMQAFLTMLFGGPSNYTGRDMLE AHRGLGIDDTHFNLVVKHLSDTLVNLALPEDIVAEVVEVCESLRDDVLGKG >CAK89248 pep:novel supercontig:GCA_000165425.1:CT868653:354502:355086:1 gene:GSPATT00022419001 transcript:CAK89248 MKNQQHPQPTQISPKTAFQPTIHQKKQQFNQFFTELLQKNQSLVQIFEQQSQRTYEYPQV NVEKLRSNSSFRQFTTRDSNSNSNNSKNVNPIDVIKLKDILNANGKPKKEIKIDPQLSQK ASPKYNATHLTDLLSVNNQQLQQKLTVKPSPKIQLYNQCQVQEKNLQLTNKLIKELQKEN KNLDIETPRFYGMT >CAK89249 pep:novel supercontig:GCA_000165425.1:CT868653:356195:357733:-1 gene:GSPATT00022420001 transcript:CAK89249 MDQDHFNHILCSEHQLPINRIIKQKKINSSKRGLCYKCAGEGTSLFQIYQILDTLQVIFL PIHQTYSKFRNRIQVLKSEINNIIDKALTSIEGTIDQSEFELTQLEKYLNYRKNYNEFTQ DDLEIISNFIYNGEPEESPLVKATKLARDFEQNWKNQMVLLFEMIIKLIKGTGFSSNPSV VQPPLQQTSVQKSIIIGNNSLSYVKKNEFKTSLVSAAIFNQNGNTLIQGQYPYNCSNLEI KSIEQNFEIKSQQVQQEIDENSQEQATALAFNESNSLLFVGYENGNISTYQYTKNIWNKK DHCNAHYGRINFLIVNQIHTQIISVGGYDSIKCFQYQDGIMPSRKEIDSIHKIIDIQINK ECDQLYVIGDGKLHILSNSKDFFSQLQQISLENQQATCITTANKQIYIGSNVGNIYIYEQ KNRHIFEQVCTSNLIKKSLLQIKYYEENKLLIVLLDKQVRIHQKGSNNQFVQIQEITGEY SMICFQNNQKSSQIILYCQDQYMGIHYIMQRK >CAK89250 pep:novel supercontig:GCA_000165425.1:CT868653:358890:361954:-1 gene:GSPATT00022421001 transcript:CAK89250 MNVKNYILRKLVGDSSNTLTVESVQRILESQKDEDRIFRAIINSSLSNQKLQTTNSDFLI NSQTEFGNLLLNQLQSSLQSIKSKNQLLSIDQYPIDINQFNVDISQTDLIKQQLQHIYRL YKATIRQNGDGLKETSNYLANLNQTEQIIESQYAQQCILKAPQFIQGIDQINVWCDKSTT LHQTVTQTRQLLQQSRTSSLKQQLQIVEKYKSIQRIKYTLEKLMILKQTFGQLAQAMNKY DDNAYEIIPIMKETQVNINKYKLNELKLSCISDNFFENKIESLKERIKQGILANFMKFDE KKYCSLLKSYYFIEKHYQMQSYLTQIWGLAKKSISLTFKNAIKLFEQSYIPNKIYQNCQD EDLLQFYKLLYSELVEQMLNFHYCNRFHQENDFTIEEEQSNSKFEEYTEQIRQSYLEMRQ LIWQQIQKKLAKIITAHLINIKSLVIEQLIYFLGYNCLLIKRAEDFSHSDSQNLTKCIID IYTSFVQQFHKANLKSISTYLQNELYQRLPLTKDSKLNAQLEQLKILNQVNKKLFEYLIE KREENYQQEAKKTVDFQDLINNDKEMQLFYLFEYQNPFVLQQEEFDLIIKAIWIKKYTTQ STGVLNQIESVLSSSSIRILELLPQYALLSFYHPSCQQQIVSNFLQLLELYMFVSYNQLV GIHQQKQLLENFAQIIKFDESKETEFFVQTYDSFSDCLVLQERFCYFKRFVQRFSKEGID SKELDIFQSKEKRQFVDIAERAIASESLAYLLSQTKTVFSLIGITSQNFDIFKEVYNQYK EFIYRNFVQTYIKIDGIVQYVPQQRWDLKDLHNENNSIYLDRLIQFIIDQKERLKSIGGG VIPPNAQKDIFSFLAEHCLNILLETYGKIKKLNSIGRDQMVFEYTTCCQSIESSQKNSKE VVLNPKITIMQLEYLKVFNSQQYEEIDQYIQKSKTLIPLRLLYNLMSSSSSYNKLSKQQK KDISVKFFKDYYDTFKLF >CAK89251 pep:novel supercontig:GCA_000165425.1:CT868653:362382:362990:-1 gene:GSPATT00022422001 transcript:CAK89251 MESKKLSKIIELIFLSIAWLSVMACLIRTDFNFPFAFFCYYLWISRDDKANSLMLMVLNG ILILVDLIWLLSVGSIWTATEKNNPVWGHLHGLHVFVIFISIVNVLLKVGVIVAINSYRG NQSQVAGSLQNPQQSGLNDVSQNRQVPYGQY >CAK89252 pep:novel supercontig:GCA_000165425.1:CT868653:363064:365580:1 gene:GSPATT00022423001 transcript:CAK89252 MGQLQCTQVEKQPSIINRLELDCEKQVNNKQDDRANSFSYVHAIGLYLNVGNNPKLDEIW ENKLNSFKVYDEPPRTQSSYRNSLTKPRNIEQFFAECPLITQDFEYYMFVFFIQEKQEFK CYGLIGLPQKYRWAYWKVITLQKDIMHKKMDNQITNALYHIQKDINRTLISELFKEGSVI EKLDYVLTNLARLCPQVGYCQGMNYIAALFLMVSGAKEQETVCVFGQLLDSSFYMFNLLF KEDLPLLFIIEEIIMKLMQKQMPKVYNHFTTCNISPSIWISKILLSGFVYLFDLLDCVLL WDYIFIKGTVLGYTDLILAMVTIFQDQLLTKDEAELSIFFNFQDQKVKCAEKIIKQALKK PIQEKEIREIMKKTNKKLELVELFKLFGKDEFEQQYKKYSKVIKNFVNCLRIKQQLNLCN INNIKNVDYVRVLYQVWNLRINRYYNAFHFYFAKPISEILANLQIDHVILFKDLLYFNDD NDYLTKCYDKLDQKQIMSKLQFKIPIPNLLIVTAHQILQKRNNKLQKLQKQPVHNVAATN KYQILFNTTQNIDLSSEIEEYENRLISWETSEHNIYSTSNVSNNQIKPITQRLQSILNKS NSVHQLHYQQNDKDISMVFRDINKFTNRQLMKISPKQMVNKKSVKNNGKSKNQSKNTNVI VPKLRIPKIIDNKVNFQQLLNQYDQIMQQRYCQTERQSKSDKQQTNKGQLYNKQQQSIYS VPSSSNFPGKGLSTCSASLLLKSLSKMSMDKSPMTSRRLEIKQNLTNLIKQQERDMGFYT QR >CAK89253 pep:novel supercontig:GCA_000165425.1:CT868653:366126:366997:-1 gene:GSPATT00022424001 transcript:CAK89253 MKLLVLIFLSHLLMVHSQETEDIKGYMYVFAQEWPGSICKFQKCTKTYMGNYDNARWNTH GLWPNTMLATSCGFISNCRDETYDESKLTVATKTLIDVTWNGMYSDTLTFRKHEWEKHGT CHPDNLTQNGYMSKVGNLNNQYNYYKILASAGIYPDNSRELTDAEFRAPFTKTLGISTAM TYTCQKDSSTGKFYIAEVRTCFTQAMKARTCDCSKPISAFVTCGKSFYYPTFQLSNDYVS YVEELADEVSGIFQNFANEIMNILF >CAK89254 pep:novel supercontig:GCA_000165425.1:CT868653:367124:367684:-1 gene:GSPATT00022425001 transcript:CAK89254 MNRITNGVIGLAFKNSFFFSAAKKAKMELTVRTPYKTIIDKFDGFSRIVAKTNEAALIIQ NRTPAAVYILPPGPLKIKFTQDVKGVTGDFLHLGGYVFVNPDNTCEINLLDVVDRKEAKV DQFDKADVKDADTVAGRYAGKIRRAAQRTFIKKATA >CAK89255 pep:novel supercontig:GCA_000165425.1:CT868653:367711:368918:1 gene:GSPATT00022426001 transcript:CAK89255 MFNNIRKHKENIRNELRTHLFGKQGLRIRRSVDIENVIVQQEQVQSAVRFKDAYVLQEQI GEGAHSVVRKCYKIPKQRSTKSLMPKSIQVFAVKCFRTDDPEIVNTIIQTFNLQRQLHDI PYVCRTYDLFIDQQTKHHYQVIEYCDTPNLEQVYRSLTLRQKQDTIKQLAQIIAQIHARG ISHRDLKPENILIQTEPNLQIKLIDFGVSKRFKYRDAFTEMWTATGTILYQAPEVFLGGG YDEKVDIWSIGIILYQLLCSTLPFYAETISETIEQITSPDPQFQYSKEFLKLNPIQRDLI KRLLKLHPHQRLSAEEIPLHPWFEQENYVDVSSDDMILAQDMMIGERTMSNQIGTEITEQ QFQNLMDSLEWGRRIHFIQ >CAK89256 pep:novel supercontig:GCA_000165425.1:CT868653:368968:369862:1 gene:GSPATT00022427001 transcript:CAK89256 MGNQEGMCCLSRDKEYHVLNNELVIFREYLNVKITVKLGDISKESGESVICLYTNPEKIP LQASYTQQVYDNLCKIGYENVYTQNLEMSNYKCIAYYRVRAFESEKDLFQYYNGFKEWYC NIHNNSLTQLNDDQYKDILICDCPIKQSRSFSSEVLVRSVIDFIEESNNRLHIKSITIMN CDKKSSRFLKYDLIKQIEESKQPALRKDRQNKFMKFITSTKSVTSEMGRQNKCDFSLKDF DLGDESKLMNMKEEQ >CAK89257 pep:novel supercontig:GCA_000165425.1:CT868653:369909:370548:1 gene:GSPATT00022428001 transcript:CAK89257 MNKKKNTISAPTLPQNKLFASQLVPPPQQLSPTNSSSSFIPPEPKFDPNDYITATTTKRD IILYKEIFDFLDSNNNGVIQPMDLRKAFAMVGKYQPKKQILYQMIADFDSDQSGIIEFRE FVRMMSTHPGEKDTDEDYANVFYQIDQDYKGYITIDDLRELASECNENLNDESLQSIIQS CDPEGNGTIREKQFVRYMKSLQRR >CAK89258 pep:novel supercontig:GCA_000165425.1:CT868653:370570:371009:1 gene:GSPATT00022429001 transcript:CAK89258 MQKTHYSSFSITSNSIDTSQNNASLKGKISSLESLMYEVADSVEIHRKEYQSLKQLKDEF EAILSNKTEDMLKTLQNELIHLDDEMKREVGYQLAENSRIQTQLTHLKGEKTALAIKLNE LQLRICNLEAQVGNHEQN >CAK81368 pep:novel supercontig:GCA_000165425.1:CT868402:978:1298:1 gene:GSPATT00039452001 transcript:CAK81368 MQSASLVMVLNQHLILIKLLDYFKQRADIKQQHLLIILELYIHYVFLLMGPYQQLVIKIT RYFYMIQKNKLDFKILVFIVILKILHLKIYKTINRQKVVFYLFITS >CAK81369 pep:novel supercontig:GCA_000165425.1:CT868402:1997:2366:1 gene:GSPATT00039453001 transcript:CAK81369 MIHNLTNCSGYPKKYRQSRQIQKKFNRVNEEQADSQQFQFIFINYLASSVIQLLLQSRKK RKEIYLEREIIMRPKKTGEKLKKSYIKDKSQIIDLKNQKTQL >CAK77389 pep:novel supercontig:GCA_000165425.1:CT868273:2:1001:1 gene:GSPATT00039217001 transcript:CAK77389 QFREKVLEETANLIDNQLIKVNIPENGQITHADLLVLQKKAVEYHKEQKKQEILQQQLDK CPFKPQLMSNSNGSCQNIDERKSQSKKSEKYLQLYSLAKPTTQKRDRTTEEIEYERQQEE CTFQPGLINKGSQQQKQESHFVNKDVDKTVQRMRQARQRREEVQGMLERGYKSNKPVQQQ QQSNQQTKVSRSQSQNQLKQTTQSSRQKSLQSQESQQMNTYQSQNFHMDESQPKDEQSVG RSGSQQDERIPLLFVDVNLGPNKTERIVVYEGDQSCDLAARFAQEHNLDEFMQEKLKELL DYQISGLLTKIDEEEGALSDNDQ >CAK77390 pep:novel supercontig:GCA_000165425.1:CT868273:1023:1392:-1 gene:GSPATT00039218001 transcript:CAK77390 MEQVYSIGESFTSYIGNFYDQRILISIYLLFPIILLFFFLSLRDLERLENQEKYDMTHSQ YQPNIYNNLVYQWTKQGIEDLKNDQQYIKWQQQKERELELRSQESSKLSLRQQQE >CAK77391 pep:novel supercontig:GCA_000165425.1:CT868273:1433:2287:-1 gene:GSPATT00039219001 transcript:CAK77391 MDFNDLIFPSPKPSYNETLGGLYYIDEQICGQSVKNMTIGQNQPHHKVTKRRRIVTLLQL EQKLNKGIVVFFHANAEDLGMCKSLAFLLGIDLDMASISIEYPGYGIYKGICSSDTMVKD GYQVMEHIMKVLKVQEEKIIIIGRSIGCSIAIEMSIRYRKIRSLILLSAFTSICDVIKEN SFFWLSKLVKERFRNLEKMHKVVCPTLFIHGKDDNLVNYQHSIELMKECQGLVHIELFEG MNHNQFSIESHIISPIKQFLMKII >CAK77392 pep:novel supercontig:GCA_000165425.1:CT868273:2304:4673:1 gene:GSPATT00039220001 transcript:CAK77392 MNHRSIRPSSAQQNVSHISDKNVSFHKKYYNSFTLSPYKQPNVQNVSSYKAKESEKLFEE SLQLKLLMNQLKDENIKLRTRNTNLEKDLQKCQKIIEEVETTGNMKRFYAKPSTDNQMIL SFKGQIKELRQNLDQREQEMLALKKTAKYTKLTEMEIERKMLQDETIRLKQVIDELIQQN LYAQQKEHDQQKLQDMISQRDNLIKQMQQDIESFESDNQNLQSQLQKLISAYQDLDKEYT KLNQTMQTKIKQKDKQVVELKTQLTRLKEQYEKEKKLLQQPKFSPTLKTHNKVTNRIQSA KPPEQITKPIQFDEVTSIIEEIKYKLIALSLHSKQIDTLLLNQSTQQTTIGQLTDKLIND PLFLNKNDANNFARFLIESPQQRYPYTPNLSIAQDNGLIRGMFFKAIGFWPCYDQVEKRV VELCLAKLFQGSLIQVEKVMGKNVYKKKDFITQIQKILQKKQIRELELTYLISKIILQSK SLNTLKGDVFVKYLRELEKLENEEDIRAEDLQQYYDSLGQDKGFFFYKQLRSKQEQMIAL LETKEDKVEEIDINQLNDGIPQKSVQQYILKGGLVRSHSDPDPLQLILIQKNEEEEEEEE NYEQQQQKHYQNQQQYEKKHYPYNFDEEDGEYENNEEQNDDYQLDLNNAQIEEQDQYQEE DDDYNINVDGVFEEDNDDENDQWERVENKGNFPVRQDRQIPNSRPTSSYVNKVNRSNSDV KASLASELHPTKEINEEEYMEEQFEEEN >CAK77393 pep:novel supercontig:GCA_000165425.1:CT868273:4700:5227:1 gene:GSPATT00039221001 transcript:CAK77393 MKRTKETIFREHPDWQQRQGVSGLKEFDGEDLQFDSRNKFNKDQQKQWIFEQIEEKKRRQ EQEKAEEMAYAQQTLEINRFRGMLQDNFASRKTDMGVATKQTNLQLAKEKKDKEEREKQE KLAAERAERDLLLERGRKQPYNG >CAK87293 pep:novel supercontig:GCA_000165425.1:CT868608:1299:2091:-1 gene:GSPATT00039796001 transcript:CAK87293 IKTGKWVELSDDYHDCSQVTQVGIYENGKKIGRWDILYKNRDTNINEFIGGGSYNKLNDG YKIGTWIELNDWFSDSSQVTNNGEYKDGLKFGRWDIWYKNRDTKLYQLIGGGFYQDDVNQ IKLGIWIELNDGFWDYSQVTQHGKYQNGKKVGRWVFKFEGSQIGGGLYNEEGDGIKVGQW IELSDGFQMFQQVVYIGEYRNGKKVGSWLEIRREWDQIEFVKKAEVKYDN >CAK72845 pep:novel supercontig:GCA_000165425.1:CT868132:66:1190:-1 gene:GSPATT00038802001 transcript:CAK72845 MINFGDQVGHKLFCYDSVVYTYSGEDLLILEKDTMKLNSRINVGAQIQTFAVNGDIFVLT CNSQFLVYSVDGKQLQSKHHKGKVFQHVCFHNDHFIAHDGNNIVRVGTTCSKIYDTQSFT KINYIQYSGYKEQLIIADDKQIHVIGNEDKILREFGLAVTIMQLDDDQDLLACGLSNGQL ILLQFSTGIIVQQDHWHAHQINALLFAGASLYSAGQEGVIVQWHLRVARKAFFPRQGGEI VAMCSNDDNLIINIKGINQIKQISLMGQNKLPYFQGIHHVYPNSFITYQNKLITQGANGT LQVIHPNQGTLLHIIPIQQRNYVSDIEDSYKRDRTTINKFAMLDRFLIVVLSSELKQTRL SYRLGQ >CAK72846 pep:novel supercontig:GCA_000165425.1:CT868132:1496:1747:1 gene:GSPATT00038803001 transcript:CAK72846 MSQSERQNFYTINHFFQVSSIKADLPFSSIVISILSLINIYYTFLMNQQIDCQERQNYQF RLENPAVIGIDLRNDKDYTIWVN >CAK72847 pep:novel supercontig:GCA_000165425.1:CT868132:1773:3871:1 gene:GSPATT00038804001 transcript:CAK72847 MLKFEAFKKQQRLIERSPQFIVQQLLQRKIVFIRENFSSKENFMIQVIIGGQQPQKEESQ ETIYLKPESGDQVMNELTRIAMSEIPENEQLEYHVRAQQLLDYFTQNQIQVQYTTVLFHK INYALALRKYGLSEEVINLLLDSDQILKIKGKQLKKQPLDIQLLARIVQNKLMRLRIIFQ CTLLFSESRRNKQALELAKSALKQLKVIFETTIKLCQQMNLTNLINKKQRANSEMNLATL TSQQPQKLSYSQIVEMVFKEILALIKCSMPQSQFEDRNTQIIRSYHSRSQSLDKYSEQIS IGNTGNHNNKLYPNLNDNHPMLQMQILGLMQMTQVDIEELYPISNPEMVVTEEVILELIM LAGLSFYSISTELRFINSNFDNRSNLEIWLCKSLEIYYTYVPHMSQIFNQIYQVYKKLYG VDKQMIPEDQEITHLTKLLRPHQFNNRATLANKVVIVIKVPEGPGSAIKHANTQQKTIPS NKTSKKHLLTQVEDQDDTGRSPSRLFLKPTKSQQQQPLEIKQRVEFLMNHILSQQAKLSK EKVKVIKSRLKPDLSNGNVGMTASTNTTNNTTQNTTQNSAKSPPKLLSRSLSNSRKASQC VVPAQLNIQQALHQTRTAQLKYRYRNQFNTLPIEESPTQFSSQRKQGTLDSAKKQYQNKY QLVLQQKLQNLN >CAK72848 pep:novel supercontig:GCA_000165425.1:CT868132:3897:10950:1 gene:GSPATT00038805001 transcript:CAK72848 MGNEISNGNHLDLESMKRFMYLSPGQVKLIKEKFDMMADEDLTIDRNGLAKLMKLKETEV NKVFQFFDISNDGRIDSNEFICALSLLSQATLKVMHHIYSQEKANVIFSLYDFDHNKTIT RNELIMLIKTTLTALGAMSQKGECTIQEAERIADDLLRKYDTNKDESISFNEFQSLLSKD QDVLKMLLSYGLCSLEDLRQDFGGSEQGDVPYPDSDLEQETQRKHLVFDQKREKRKIGIE SNLYQDDLTNQQIGYQYYTNKLQSKEIWKNQVRNGQPSNWKPNIADINPPNANLELDYIY GFRCFDSRNNIKFVNQELVYYTAAVGIVYNPLTNTQKFFFEHTDDITSIDVHHGMVATGQ VGALPIIYLWEVADKIEHSKAAFKGVLRRGVQCLSFSNDGKKLAAVGMDDDHTLVVYDVD TDSNQALLASGKGPRSFVFDIKFDKADKQLILACKNEVYFCNYDQGQIRLNKGVWDTKVC PISTVLCIGLCDNNVITGTYKGQLIIWKNNRATSSVDAHKSAVLSIHTRTNQEGGIVSGS KDGTIVVWDVNMKARERIDVQNLKLKIFNIKKASHLIFGTRGSEIVEVQGQKSKVLMRGH SQGTLRGLVMHPRFPMFYTIGEDNILACWLIKDKKISSFIRLDYPSSAIHISKDCKYLGV GSVNGTVLIIDPKTLTVAYNFKDRDAEVSCLKFSPDTELLAVGHDAPSCDVIIYSLKNHF KKTNVLRGSPAKIISIDFSSTCRVLQINDRSQQVLYYELGGENNQKIQPDGAYKYKDEKW STYTAIYGWHVQGIWPALSLGSDINAVDRSNKGDIVISADDYSGIKLFRYPASIPQQGYQ RFVGHAAHVTNIRFSADDEYVVSLGGNDKSIMQWKFSNDRDAQIEQDQACDTIQDNQIPK EYCQITMNDEIIQQEGQKQYQTYVKSTQPTDFKCDDQKYNVLPQATLVLDYVLGMKTDQL GQDYCNGVKFLDNGKIGYTCGAVGIIMDPIAPVGQQYCSQTFFKLHQDEITCIAIHPKGR IIATGTKAFALSENQLTPIYVWEAETKKVLSLLNDFHTKMIHCIEFNCNGVFLLSFSQEY SIAIHDWQIGQLIITIKTVRSLIYGICSKSSTEFMSCGQKSVTFYSMNGRNVKSSPGILS TSHFEPMLCCCVAFKEQYEITGSENGNIFLWKENRNFKAYQGHQSKVSSLIPVGKTQLYS SGLDGQIKLWEMNGNNLNNIAIVVDINEVFHIPKVTGIISMDIKNENILIATNQSQILHA PLKNIQNLKVLLDTHYGGEVWGIGVSKTSQTIVTCGGDCILRQWDINQYTLVKASQPFEN DIRACDWSADDKYVVVGDVRGCIFLLNSKNLDVLDKKNSKAGQLKQNSQQITNWVEDIKF SPDSTKIAFGVHDSYSQIEVWDIEQGKFSRQSSINISMTGYVSKLDWAVDSIHILINSSS YELKFVNVQSLKDIPGTSVKDLEWHTCTSLFGFYVQGIYQKKDEYQVTAVCLDKQKSILA TGECNGLINLYKYPAVCSFNQLHKQFRAHANAVTRIRFSNDDTKLISTSGMDRGIFIWKT DQFKGDQVIKNETVLDSLTLPQEKIRRIGPDKYGKARVTDTENTDDQQFDQIEKGDDSVA IRPWMGVVKEPSNFFKDPLNQTKQPLIELTLEYVHGYRSKDCRNNVKYVKGNQIIYNTAA VAIVLDPNCDSNSNTQKIFNYHNDDVISLDVSSDQLKVATSQLGTNPIIYVWDINSLAIL AAFQGCFTKGVSQLAFSVSNDKLAAVGMDDCHQLCIFEISAKSSQLGGTLLIKDQIGKDT VTDIKWKNDNEFVTCGLNHLKFWKLGHGGITSLNGQPQAPQSFKYLCAAVNNDDYLLGAI DGSLQVFQAGKMISYFQYLEANHPLEAINVSTEFILIGGNDSKIVIINSKSYQQILSFKL SECIKNSLGLEVKSIQLGCDLKTLLISTNSGDIVELITTDAKINGNSKFAVSKTIMNSHY YPNKRSFNEIWGLAINPQDSDQYYTCGDDATLRSWSISQKKMLNMIKTNLDGNGVEIRQE EGGELPDNIKGRCIAVSQDGISIVVGFKDGTIRIYDKELRQKYVSRIAKEWISDIKFSKD QSWIAIGSHDNSIYVYSFPDMKQRYNPLKKHSSYITHIDFSSDDNYLHSNCGGYEMLFWE LQTGKLLPNGANQLRDEKWLTWTTPFGWPVQGIWPDIQNAFDINAAVRSNQTYTLSDKPP DNYYLIATGDDNSQIKIFRYPCVKKASGYVLGKGHSSHITNIAWSVDDQYLFSTGGEDNS IFQWKINKLK >CAK72849 pep:novel supercontig:GCA_000165425.1:CT868132:11148:12741:1 gene:GSPATT00038806001 transcript:CAK72849 MFYWFYGNYCITFMQMHYICGMQSIQFQIIAIKSYQIVTSQGGHCSQQYSDKSIYNFQLT EMIVMKRSFSHPLRRITTVYKSARLRSESWIPSPVRVSINQISNQRKSLEFPNLGHTQKS TNHVYLYCQLQIDNQNDQLRKDLCTKIQEIDNLKQKIIQSLETIEQQEAIILEWQQKYQE QEKQHNREIAQLKSNLDNSIFDDPQMDLIEQPNENSQIERMDDLQIIQEESECPQIKSLN QSVAQNFKFCEILNALNKMNFDESPIIEQFDVSESYIVQRINKLIDYIHKLETELDDWKC NYWNLEKQLNSQLKDNFIQQGSQEIEIEFDFDKNQLVKAIKPNSKRSLQDENSALQQEIQ RLQELNNQLVNQQKSQTKQVLESLINKQKKTNRKKNLTHIPEPANKHLTQVSTHHSSSHN NTQKKSHPTQEGSPNQQRNNQQINQYFSHYFQQKREQPAQHYSPVILTKVQQITTKQQYG VKYLKDMSMDHYYNVNVYQTPIVVKQSSLSPYQKSGSKFIQF >CAK72850 pep:novel supercontig:GCA_000165425.1:CT868132:12780:13186:1 gene:GSPATT00038807001 transcript:CAK72850 MSNSQSVQNSFEEFEEVDYFDIENYQYISQLTKMEEEFEKEQLFINRLELKLKLMIPFLL EQLNKDNLRQCISNLSKIIKNKQLNDQYVKAISNIKTQYHRLTLKQCKQEIAQIILWLLF EIENS >CAK72851 pep:novel supercontig:GCA_000165425.1:CT868132:13209:13940:1 gene:GSPATT00038808001 transcript:CAK72851 MNNRLLYYGICDALVCLVIGVVNVCQKSCCLRFGISFIAELILMIAFVVLHKKNCLNALI RDCYLIISYMLCQILQIEFLEQQQSPVFTIIIIRNICSSSSQDCWYLQQFYKEAQFKSAY FLQSISTYALDQNFMLTYSLILVWYSCLQCSKLTSTNNYYLIQLLNFSKEQQLMLQQQSM LKQNSLYFILIKLNLNLTIQLRIKSTLLIPWNNFKIQPIRHQVLFLRNKNYKQPLENWLN IANF >CAK74575 pep:novel supercontig:GCA_000165425.1:CT868193:352:2931:1 gene:GSPATT00039030001 transcript:CAK74575 MGFFLQSIYLNHILNQISKRKQKLNTIYFGWSILRCQNILDIQEEPQKLNNLEQIKYLNW VVECGQNKKRIGRWVATWNGEVLQEVGGKYKNGEKQGFWKEPISNYWAKAKAFEIGEYIN NVKRGFWMFIYKDQEIGGGEYNQYGEKNGEWIELSEGFCNDSQVTYKGQYKNGIKIDKWK TFMVHNGNKKMIGGGSYDNLGVKYGQWIDISKEFQADQFITYKGQYQNGRRVGQWNIFYS EYNLQDDLIDELIGGGLYDKDAKGLKIGQWTDISKGFNNNSYVTYHGQYIIGQKVGRWNT YYRRSKKKQDELMQNLYSITINSGGGLYDENGSGKKIENWIELADCFKDLSQVIYEGQYI NGKKIGRWEIKYRSDDLHVFERIGGGQYDEVNLGMKVGNWIEISNEFNRTSQVMYYVTYK NGKKVGECKIMYKYDASDLPSLIGGGCYNEKGNKIGQWADIFIGFKDQLQIIQQGKYKNG KKIGSWIIIQMLNNDSKNEEIGGGSYDQEGEGIKIGKWVEICEGYSTQAQIIYDGEYKNG KKVAKWNIKHRNSADESFVEMQEFLIKTICLSGGGAYDEQGIKVGNWKEISDEFKNSSQI IWNGHYEDGRKKGNWEILYQRKSKTNYQKIGGGLYDYQNYCLMHGQWIQISEGFSNSSQL IHKGEYKNGQKVGKWETQFREYVDQPFQSIGFGCYEQRNGIKNGNWTEPSWRFWDETQII YEGEYYNDKKIGLWMEKKREKGNVDSFRNQREIVYDHNDD >CAK74576 pep:novel supercontig:GCA_000165425.1:CT868193:3170:3755:1 gene:GSPATT00039031001 transcript:CAK74576 MLRIQQNKYCKDLNQQQESYCLRESSKNSQIEMLPPIIVFYTTDIRLKLLQNQNFLQTFL LYLLNRYRYQLCKGFNHTSFLAQNYLCGQDKFYKQFFQINISILCAFPIYTFDMAIINIC TWISEQQCSINPYYKHYQNFEEQNQTMIINLISTYNISVIDDSSSQYLKSSHCIFFKQSN LG >CAK74577 pep:novel supercontig:GCA_000165425.1:CT868193:3913:4573:1 gene:GSPATT00039032001 transcript:CAK74577 MVHIFELHSKLKHQLVVPLLNAQMYPDFQRCTTYEYIVVQVLLLQIINTIRIYYIKFYYA ILFCLLCYQNSWASQCRLIEVFCVCTEAKCEDLPLSVSCENYCPAYSIMSTFIGTGNCCA TKGACTTYNKKEISADDSECYSFIEGCVSNGAGCMKSKFPCDILKTQVQYLQNFSLRPCI WINKTCSQYYN >CAK74578 pep:novel supercontig:GCA_000165425.1:CT868193:5272:5481:1 gene:GSPATT00039033001 transcript:CAK74578 MCCSDQVLQFKITCYKMNQYIYSWNVLTKKCIVCGAGSSSNNYSKSVNKSVDNTANSATN NNQSSLQQN >CAK74579 pep:novel supercontig:GCA_000165425.1:CT868193:5933:6940:-1 gene:GSPATT00039034001 transcript:CAK74579 MSLEQDQASEDFPLVAFQEEYGVFDPFFNTLKKFGWKQASIDQQCQKLASSGEIMLFFIK VYALLAGMLVSFYLMTFILLFTKSQKLKENETFNFHFALYYGSFAITIALGIAGYVLDKT RQFPLNLIFYFTFTIGAAYSFGYPLSLLLQYGYYSGEDWIILLYFFTMTLGCYACLILFL IRRQSSLNSNRNNFIIYQVIIFSIIGVMIFLLFIFIMTAPYYVGVLIACFFCHVIYGGLL IIDTKLMISGKFSLKTNQYVSGALYLYLDITVLILYFIGCIIYTILKTLLQCLEECCKKL PDCLKACCQCLADCCQGCHQ >CAK81124 pep:novel supercontig:GCA_000165425.1:CT868389:2870:3502:-1 gene:GSPATT00039431001 transcript:CAK81124 THIYMKILNNYLYRIPYNLQNQYINQNKSHTFLILDNPLMHISCIDSNYSSPYCMFVRVL KYIYQPPKCKSQSFCTLFHFEHAHKHIFLKSLNLVLHITGKTEDNRTYLNLLISIILIKL RSFKYFCNINVIHQYQIFYSSNERMIFDYQDELEICFIQNQNFQCHISQFKSIKKLLTRD YVNIESPFKPTSYNILYRKQINHSILLQYC >CAK59323 pep:novel supercontig:GCA_000165425.1:CT868002:2461:3739:-1 gene:GSPATT00029955001 transcript:CAK59323 MLQVPCFKHEGQYLLFFLPQKEATQYFCDICLLSLQEQSNLEKFQKLIHINKVQLNQIKA LKYPEYLITKLDIDQQLLNYFNEFSQQDEVTLIKELKEIELKIQEMHTVLTKLFQQLKIV VQAREDLKQKIRSDLNQIVKLDQLKEIISSLSQLGDTINPQAIEKSEQTLHQYIKDLMLN NSAYLNEKLYDVLDQKQNQVKDLKEEKYPEFRRFGELYRQISEKHFSFNKWVDPKLLKTI CCSLLTEQFSQKIQDIIQSKTGKKISQAQMIYQGTKDGLNGQIFLTKVNGKSNLLLVFKS SSQYIFGAYTPLKWIKQVANYQYIQDDSCSSLIFSQTHDQIYSLKQESKSLAICFSSGYP IAFGGGHDISINSDFQTGYSNLGFSYQWEQYKNVKSNHLFGQDQPKIQECEVFELIFF >CAK59324 pep:novel supercontig:GCA_000165425.1:CT868002:4434:4952:1 gene:GSPATT00029956001 transcript:CAK59324 MNFNRFQLGEIGNICLVDTPTMDLDCGIDEREDAISQFQQFFVNFKTSISAIMIVVNFER TDLMKQKLIRTLKYLKKFQDMIYILITDFHLSENHDGDKSQLEQSLKFLNLKGIIFLNKE ENEKWMIEELNAIEQKSSHQVFDINDTIFAIIDDKMNASLMDQINLKFSSLK >CAK59325 pep:novel supercontig:GCA_000165425.1:CT868002:5509:7657:1 gene:GSPATT00029957001 transcript:CAK59325 MQLAILALCIIEITTYDYWGNAYTAFDSTDIADNDGWLMHGNNGNVFNSTCGTKSLFGGF NILGAGASVSKIISLPAHFKVRVSLEFWKIDSWDEEILYIILDNNVTTDHWYWSTGDMIC GNVPYWSEWKEVQKNFSIEFKHNQPTLAVIITTTLDEVPLTESWGFRNFKVDVLYCAPGC IICNEDGPDQCWYYAQIEKNWFGTEDFNTDGWTLNTYNSPTYSTCVNINVIGGIGQFTQA TELQKQYTSLDPHFKLMLQVQVWKFDVWTANSFTIEIDGQNAATAIFNKDEVTQLCGNSG GGERLLNIEIIQTHTADSMLIKMKSDLSSTAGSWGLRAFSLLLGKCYEKCLTCSGPNYDN CQSCKTGYFLLDSQCDDVKWILGLQQYFLPQDFQIQTGWTISNVYYNRNPFQLCNGQNLV GGTQLLAKDASITLSFELPRHTKIRIKLQLWKFDSWDNEWFRVFADGTQVYQLQIGLNGA LILCGTYSTSSYVKNLDFNFLHNQQSIILMMTSTLDEPADNEAWGIRNFQLFYGVPKDCS NSVVDSVTLPSFIGTKCFQTIYYSTDHTLQNKIEITELGLSLQSIFDQNLSVDSAIKQLT ILIEWNCFSSDQTFSISILQSSFPDYKKATVYCKQKRSNLLKSTVILQRTIIQTSTIRLV ATSTKLQIQQTVDGQTTTQYEMIIN >CAK59326 pep:novel supercontig:GCA_000165425.1:CT868002:7834:8034:-1 gene:GSPATT00029958001 transcript:CAK59326 MEKSLLVNKYYTFVNLMRLDNDGKLLGKFTIEFSTYGIFGTISHNGDYLVTWNYKTKEIE VRKYND >CAK59327 pep:novel supercontig:GCA_000165425.1:CT868002:8066:8998:-1 gene:GSPATT00029959001 transcript:CAK59327 MNNFKDQTLCNKHKREGYNLGSRQSQLQNQVQCQICQEEKFQTKNIPNYQILQQQSKQIK TQGTRINQDKKPFSYILEPKFSKRQEENCYAIEINEDMTFMIVGCNNRIKIYQFNQGNLK EIQVLEGHTDNVTTLSFMNTSNDIISGSDDKQIIIWQHVKEQIWNISQRIIGHSGQINCV IRNNNDDLIVSCSWDKTIKFWVKQNQWECWQTISEHTGSVFQLSFNQNQNRLISCGIDQF ILVMELQSQDNKWIVIKKIWTSLQGNRLCFLSDKLFAFQPDNKEITQIYEINNSKKQYEK KNEMTIQGKL >CAK59328 pep:novel supercontig:GCA_000165425.1:CT868002:10167:11063:1 gene:GSPATT00029960001 transcript:CAK59328 MQILTKYKVALIQNAVFETKQKILEGVAASIRDCVQKECKVIFLGEFFNTIFETNQLKKN AEDFSDKNNRETYELMKQLSEEFQIMIIGGLPEVADGKLFNAALAFNDGKLVGQYRKCHL FDVDIPGGITHFESNTFGSGNDYCIFDSQYGRYGLGICYDIRFPIYSQVMRDQGCQVLSF PSAFNQTTGPLHWELLNRSRALDNQVYVASAQAARYYSDDPDYYQTWGHSIITDPMGRVL ATCESDPAVLIQEINLSLVDQVRKNIPTSVQKRTDLYQVSKGIK >CAK59329 pep:novel supercontig:GCA_000165425.1:CT868002:11353:12114:1 gene:GSPATT00029961001 transcript:CAK59329 MQNKELSFEDSLRQSQLPQQQKQSKKKTSKQDKQDKQVKFTDEEIKIIEMLTPQVKQQQQ AIKIANGKLDFLFDGSRDVNLVKPYHEDGDENMYSKENVTKRRKLKSDPEVVQSIEAFMA HYQFDINNKLIKENYLKTHIRLAYLLRKDIEEDREEIKFLLLEDWEHDSKGNGFMTRAEL YDSLFELVDTWTPDAEKSEYIGFFELLEQKYKRQQDEKFEQLYYEIMES >CAK59330 pep:novel supercontig:GCA_000165425.1:CT868002:12120:12662:-1 gene:GSPATT00029962001 transcript:CAK59330 MQVLSDLQYLQDKFSQQSSQIRQLFTEIQLAQQALQSLKKPIGQMRQSKSTIILHSTYQD SNQSPNKISRNASLKSEDFIEQCLKRIEQANKNSNTNAPSPQQPKQANRIKGRLEKHIPE MKTQDEQIPLPTTSLQSIFQASNSPQQKLSKLMQLDDFKTTSNQQKQEYFDIHEEGQLQN >CAK59331 pep:novel supercontig:GCA_000165425.1:CT868002:12809:14234:1 gene:GSPATT00029963001 transcript:CAK59331 MCQQDIFAKRFPGDKNNLGNLMWFCYQTVAFFGLAQLPKIVNFITFRIILMVGSLGPQIF ILPAILASSSGCPDWAIYIIGILCSICSGMLSVIFLFGMLYYLSNLSFGDEKILYYCSLY FIFQWILGSFFAEIFSRATIEAIGRLFYISIVQLVLSLLFLTTFEPNHPRLRRTKEQILS LIEIKTSQQVDKVNTLRQLIMSGIQVDSLNQQQKAKSEEELKQIMNNMTIQCFSLDSSNL SMIIEKEEFNVYQNKSYLECIKYTSQELWKRNALYLLPLVLTVALLEGFIYIQMLNYIMF LAQKEDSSSSFEIEFIFFYVGLGYVLGCFAIGIFGDFKDKIVWMVFTLTILQFSFIFSWV VISYRTNIYFFFQLFAAMLGFCASGLTSSIVSFLCFEFSSLIYMVDTIYIIYSMCFAISS LLFIFGSSDVFEHLIVNLSIIQLVALSNYYFIYKYYRIKKLSKQ >CAK59332 pep:novel supercontig:GCA_000165425.1:CT868002:14253:14648:-1 gene:GSPATT00029964001 transcript:CAK59332 MSKKQHCRIKIYYTSLTGQSNKIKSNQTKAFLILDQKQWSLQKIDVAYDKKSVQFVQAFK EYGYELPLIFIDDMYIGDGDKLQELEDNQFLDEIYDQQYLERCLLCNMDRKSEDQKQCSD CKQNLLFFRVI >CAK59333 pep:novel supercontig:GCA_000165425.1:CT868002:14708:15451:-1 gene:GSPATT00029965001 transcript:CAK59333 MLSIIKFQYSRRKEHLEQFEKEEAARLRERYQQTKAQYSVVSDFIKIIKWKALKYAIFAF GAYFAYQMYDTADIYFDKKIRILPLKAQYERPLADTTINLLQLEKNLEMDSELAEKVKPY TLFVLDENYPIFTLFPNDVIVANKKLLRQVNSQQIQQLIQFCKTHNELRTSCNLLDLKYI PTLTFMKLRSFINLKKMNQIVLAREYFFLPVPEELEIQNEEIQRLMRQYKNVEQGPLSFI LQHQYIL >CAK59334 pep:novel supercontig:GCA_000165425.1:CT868002:15476:16779:-1 gene:GSPATT00029966001 transcript:CAK59334 MHLCDFFIECAQIEQKIEQNRQFLIQLPTFHPYKAFLELQDGNNKITTTSLSSYLKSQGL LCTEQECSIFIQKPFKYVDFLRTILPSDQTLRKVISIQEPKQQLSPETQLALARHLNQQI DLMNSLKTSKNVFFEGTIQDVQKYFKDNLAFISEKESEQISRFLDQQGDGIITTAPFIKK KSDDYDFTFSTPKQTKPNLQSMTTQKKKEHKLTYNGRSNKKPIQDLNNRDDNYLSIESPL IPLVALMRREIKLNSLKKRLLSQKSFNFLDAFKALDRNSNGYITMQDYDTFLEQQPGTSK QLFQYKTELQFSDFLNLMMPNENIQISNKQFVDKPIEEIFSNSTLQLFKEYLELLQSPPP KLNGSKDLFKLIDSVTRDNYISSTDLQQYLYMNGLRYNASEVSLLINRYDHNGDGKLCFF EFQDL >CAK59335 pep:novel supercontig:GCA_000165425.1:CT868002:16785:18084:-1 gene:GSPATT00029967001 transcript:CAK59335 MFNSNENVLEERLPQNYIDVKLTLWRKSSTIQDFKQYSFFNTIHIYNDRLLICYSQKTEL HGIYINREKFTIEEHFVFQGEQFDYQFVHPQTYFTKNCIWFISYNRATQQQIFYADLPTK TILSIKSEYKPQFRINFSFTQIADLSFYIFGGLDEQMRLSNQLEFFQVAQCDFTPVNFKG QPPSPRHSHLAFVNSNKLIIAGGSQSTNLFERTCLQDMYSLDLKTLTWTQVKTNIPKSIA FGQQVSLNQNQLIYFAEENGQTTHYLLSFTDNQWTCMRSQKEVPSYKYRACACLDIKNNQ ILLFGGYNFQKNTVNCNVIERLQIITKDFKEQTLLDYNGDIINVSKFEKQLQQQQQNLLF QEDNKDNDQQEELTFEELLEQEKQLQQLQLDEIKQETKKSNKKNNKKKNKYKSKN >CAK59336 pep:novel supercontig:GCA_000165425.1:CT868002:18092:19627:1 gene:GSPATT00029968001 transcript:CAK59336 MNKQQSIGQYTFDQGKTLGEGTFGKVKLATHQITQEKVAIKILEKSKIVDASDIERVTRE IQILKQIRHPNLVQLYEIIETQKQLFLVMEYVNGGELFDYIVQNQRLRDAEAAKLFGQLI QGIEYMHKLRIVHRDLKPENLMLENKQRVKIIDFGLSNLYANDELLKTACGSPCYAAPEM IAGKKYNGLNSDIWSAGVILFAMLAGHLPFEEANTNLLYKKILAGEYKCPNTISLPAKNL IAGILQTDPLKRYTITDIRRHPWMTNNYKAPLQMGIIVGLHKIPLDFDILKQLVKMGYAQ DYIEKCVEGNRHNQVTTIYYLLLKKHLLNGGKSFADIGSDIFTPIPLPPKHASTSDYGTK QNNKPLPVIRPKPHDESPINLRGRYNNNSLHSQQTTTLNQSPKIHSVDSKKPQLDNFKLD LNQTLRDPNYGKIITPSVRPRGISDYQCRFGTNGHESTRQKSVDYGQTNQINIPYYNDTR MKTFYKVK >CAK59337 pep:novel supercontig:GCA_000165425.1:CT868002:19689:20665:1 gene:GSPATT00029969001 transcript:CAK59337 MFSPRSNQQTPTQRKIGRKMTESSHKSSRKIEIQALPSGIRIIIAESILAQNHISSIRDS LIRYLKYEDSYQKLRPVDIQIMNEYALTQVSFVKEFSEKKELIVNMLLHLFFYRNAKYIK QCFQEKNQADDIKEFQRLLVFHKNELNSLEVRTLLTWLHDYIAHYDLFYFKALSDDGLDI VYNVVLDIPTFVPPLSQANFIPQNNKQYADVDSEEEEKYAKRRIKMEKENQEVEDELQDN QEQNAQQDSEQKKPNEFEEYIQQQVFGTSQQLFQKIEEQNKIIIEQVEKENNKKKK >CAK59338 pep:novel supercontig:GCA_000165425.1:CT868002:21635:22345:1 gene:GSPATT00029970001 transcript:CAK59338 MPKSIGDIPMSVEQQLHIINGGLLKCEDMHDGSCLADALIRFVKIWNNSYKYYIPIHLIP LLLFQRKKLKQNPGKTIKQFLLEFCGSLFFVAGYISACKYVFCVLRTLKYNNRISLSFSG LFSGVFLFGEKLNRRAEITIFLAPKFLETVWHMLQKRNLVMKIDYWEYFVFGIAMGIINY YYINNPNQIKYSYLQVFKKIWN >CAK59339 pep:novel supercontig:GCA_000165425.1:CT868002:22387:24057:-1 gene:GSPATT00029971001 transcript:CAK59339 MNQDVNNVAYGQGYLINSKNEQVIQINKTYDSFVQYEQARSETQKRTQIQDPTICKLVKF TTSEQKSFCGQLFGISLQYQYTEKCVGEMKKLSQFDCLQIIRDVTQALLIFISKRIRHGQ LSPQTILATQNNRYQLTDIRFITDMYEYKMQLIGFTNQCYLAPFLVYELGKRTMNPIYNY EKSEVFSLGLVILELALQQSVQSVYDLSKFQVSQDVINTYLRVLSQQFQPSFVDLLAKML QVDEEQRIDLYQLEKVLGQKQNLNTTMNSLGTRTQMDKVVNSTSLNNKYQTKSPSPSQNN VNKQHTYHIQNSYTSNNYSTTHLDRNQQKTPQTQMTFYVPQRQQYNTQSNYHSKAPTQLN SSQFYERSRKQSPETADSYHFSDNRRIKTEYDYQISHNIHQHSSNSFISPERKQDAQLDQ LFNQFGEKLQKVKQKIHHLRINTPTSQSQSYINQSYHSQFMESVDEFPTFKPDQLPDKID VAMDQGQFQREFHNFQSKNYNFRALERPNYNPNSYYDDMQGDATLPTSGTIHMHSSGPAT LSDFFLKKK >CAK59340 pep:novel supercontig:GCA_000165425.1:CT868002:24091:26125:-1 gene:GSPATT00029972001 transcript:CAK59340 MSNLTFSGIRTLKARVDEDDQNKKRHPFDLQKYQQILEQISTTHQFDMDLENRLNQLDQT EQSRPTLDKSSFLNKQYAKYIEKGSDLQLSKVDCIDPEDEVCTHKQTKSLLTLIKGRPVK FTEFYKILLQEYSIEFQNAEQKLQFKENLKNKIVTVDPNIVSLNALQLSTRDKGIKGLIE EIAEETYQDINRKEKQKINNEIVDELENVKQLLEKKQVMQIKKEKELVEYEYSLQKFKSE MSNSIQQVYDILSKMMEEQYQQKLSNLNKKFSNLEHQLKSKVKLIELKGSSIQKNNSTYS QEIQRLKQRNTQLEKQVESQKVRILELEKKLSLEKDNTIQLQKKLEKINQKLIEQKEQIK EQIYQTEQNESVQPLKQQQILEQPKQQQQQQQQQPQAEQQKKTTNNSESGIKQIKVFQSV FESIFLGLDQLQIQLGINNQKWNQELLPCCLQDNLIDLLGNLMVIVINKQGFQQIYKLLL QLSYFYFKNTCSSINCQQVEELIKNQKKQQSCKYYIGIHDSKNREFILQLKALLEKKKKN QTLVAMILLLYDRDIAISIKYLSQEICNEDVQKFILDNGLLDIFVIKMIDDRNMVQLLLE IIAQGQFQNQFLLQLTKHFEILLTLLHCQDLEFCEQLSIVLQRRINREENELRFEI >CAK59341 pep:novel supercontig:GCA_000165425.1:CT868002:26152:28680:1 gene:GSPATT00029973001 transcript:CAK59341 MLATMKGRKSSASKFDRKEFDQRTLSAAVNLIIYGCHVQKYNKSNKKPISRLYYLYEEDM DYLQYLHSGRPFAQCRIPLLDIIELREIPTTEQFQNLPYQRTLQITFASKKHTILFNTKD ERDLFWQGLQYFIDVAEHNLIRDIKSTNDNPIVEQITLKQIKGMLPQLSITKQELKTLFN QFDLERKGYISNSDYQDLLKRLMNQDFVRFLFDRYAIQQMNKQEFIKFLTSEQSPYQKQC EDAFRLFAKQDGNSFSLSFLEFLNYLLSTYNQLFDYQKTQVYQSEDFPLTDYWINSSHNT YLMGDQLTSESSIQAYINAFQKGCRCVELDCWDGDNNQPIIYHGFTMTSKISFESVIETI KEYGFKYSKYPLILSLEVHCCVKQQDVMTDILKTYLNVNLLLLPDDYQSSEYYPKLNKLY YKVIIKHRGKMKSSLQYRERFISISPINIIPPPFEESESPDIVKKPSSSVQVEQYLNTPS TLKPRSQKSFKRQDHGLPINQYRQSTNIFQIEEEMKPNKIVHNTKTETTLRPKYKIQNIE TQVQFDAPNPRCQIVQMPLKVDSDEDISIDKAKKDKSESLKLSSITALFGAPIKQQNRTV WEISSLEEGKVNENLLDYHRKYFTRIYPSGSRVDSSNYDPIPAFNSGSQIVALNFQTNDM PMLINMCKFMENGGIESGYVLKPQWMRSDGKKKINEFTSIQLSFTLSILVGYNLRLLNDQ NAKVNPSIKISIRGLLQDEQNNKPQIATISQNGLNPQFNFIYKYNIRCPDLAFLIFEVLD ASKSFLGWSAIPLSCMSYGYRAVQLLSQYLKPLPKSCLLVHVQKH >CAK59342 pep:novel supercontig:GCA_000165425.1:CT868002:28701:29661:1 gene:GSPATT00029974001 transcript:CAK59342 MFNNIKRNLTIFNRDIVESSWLKSLIDDVPKAKHIQILQNCLQGEYINVDPKIALRKISL RLQHSQKPLNALKSLYLAHVLNKFLIPQLTDKIIDQQCKDPADLLMASVAHLYYSYLKSQ KNVFEKIDSIFKMNRQLEVLLLKTNIPIIKEILYLLMIDIIALFHSIDQQDDQEKRIRAM KQVRRFIDLKGYLQMNQELQNKLNTLNFQEIKQQQQIEWKICKTNPNNQEIQTQHFELNK KQLSIQINESTNFENYQTCQEHPQDRRMSLQTRTISNQRGIVQSNTTTSSSIRNNFFIPK QGPLSFLIPS >CAK59343 pep:novel supercontig:GCA_000165425.1:CT868002:29761:30623:1 gene:GSPATT00029975001 transcript:CAK59343 MRRKQPDNFKDLQFKDIFDFRLLQQFLQKQMMIAEDFDSEVYDTSLDKFKTYEEYLDSHI TKEDLFYLEDIELARQLKEQGYHAKTEILSRDQFEAKKKAVEEARLNQNKDKTKALAHTE VSDPSIIEKSPFLRALAERELKVLNGKLLTIIFIRLESANCEVSGYIDYAHRLKTEDFKI YFEGKKKLQPRPTDLSYYNWRTGQCVSNDSPNFKVDANSGQQGLLFRNKRDRKVINVDPN KKPEDGMTRTEIESPEAIQIVLYDYYTRKK >CAK59344 pep:novel supercontig:GCA_000165425.1:CT868002:30930:33848:1 gene:GSPATT00029976001 transcript:CAK59344 MNSELGSISERAPLKTQPTLPKQQLRPAFLKTVNLKTIHTNRMNSFQNKIWRDRALLVLI QVLRFISLITRSPFASKFSLLDRNMFRIIGDKAADFNYYLLHDYFKYMKPEQSSRVKYFL QQHLYKICYMKGVMDYMTNLRLIFEPESILLLLWNILQLITINLNILYITVKFSFDFENY PPESYQFCEIFLFKVPFFLYLIDILIQLNTCYYEAGYLVKDRNKIWYNFYKNNFFNNLFI LVPTSIYFLALQDSPLYLFMLLKAISIPTITEAIIDRVELTTNYWIIYDLIRLIYVILYE SHICCCGLFYVGLLNKEASWLLQNDLINETWIIKYLSTFYWSIITMTTIGYGDITPQNLF EKSFLIFVAIFSCCTFGYSINCIGQIIGQLQSKNHQIRVDLNDLKQYLRVRGYNSKLQIK ILRFFEYLWKDQKNENQLDLVKFNQQLPSHLHSVRQIILQEMMIDLNMKSISKIPFFKEN FNEDFISALASKFTEEKLVPFNTIFSKNDPSNFLYILCDGEIEYFVEIPEGSATILSIQT ITGQDEIFGQQEFLLDQNYEISCRSTTSSRILKISKMDFNIIAKKFGYEKYCQLKDLVKF SGRFDEFQMHCVGCNKSTHMLYQCPMLTGFPNKTKIIIQYRKNQLQERKFHTRNNLKRRL SSLIFEAQISDTVLYYLLKDPKLSLQISSQYYIQTLKQQQMQKELQNNNSNLHKLPKDEV RQTGRKQSVLNIKGRKQSQYIKRLPDFLNGQLKWEQTMFLRMCSAQQSETPSPERKQNTK MKTQDEINIFEQHRISADIAKTLSFKSMNQSSQDQEQLNSDTDSVKENSELSQQNSSLSQ TSSESPSKNQKDVSPGIDQITQIYMEIRQIPGFYQNYMTHMNSSKILEQLNQFKETQNFT DFMNVNFVRKRRSKRSKLVIKI >CAK59345 pep:novel supercontig:GCA_000165425.1:CT868002:33875:35264:1 gene:GSPATT00029977001 transcript:CAK59345 MYRFKLCLNYSRLNQSLSAFLKKYKTNQKKLEIDKSISRQPKPIQPQLTIPQEDQLKQKY LLQRAKVIDLNEKDNFSHFNRAQQLALDKQVKQVGDEYKVEAEVLKLQNKGELLIDDTKL PKIFLSTLDKQRRKGKRMLRRFFIEGEHRYVKENIYKFRSVAEWKKYMRFKKPLLIDLKM EKFKRYPGTDKGKFPMQDKLGFTKWFRENHTQKTVDAIEYLVKKGYGKQSEIMMKKKKGY RLRTKKALEKVESKNRLTRIKQEMYEESNWETVAPGPALFVKETMMEQLLKRNPIKDLGL NTHIKIQTHIPEGEVQPLPDKYPLEKTQLIYYLERWSFFRSFGAYSLLKDITSGKLQQTP QEPVKSGSLNTYYQTLPKHIRDNPNVKNVYRGLEFANNQMTLAEKESSLNYAARLSCQFD EMNQDVYDKRISWDTDP >CAK59346 pep:novel supercontig:GCA_000165425.1:CT868002:35291:35623:1 gene:GSPATT00029978001 transcript:CAK59346 MQDQENEHVDTAINPDVVFKYLEQRAHTPRHVAKDDLPLPDWAVDQSERVDDIPVDYYIN DDGFWR >CAK59347 pep:novel supercontig:GCA_000165425.1:CT868002:35633:37627:1 gene:GSPATT00029979001 transcript:CAK59347 MFLWPEGYNRQPQNPLKELIEKTQGDILLLLEAELFVKQARLGDALAVETLVQGTVGLLE VMFMDYEHVLSEHFEQLTNQYAIMWELIDLKLEKVNAQILTHWELVFQLLSNQLTVEIQW YFALRIISFVSQEKSALLDNHQDKLIKLYHHLEYTYVAQLIIEMMGARMNQYQQSFLSKG IQEFDQLTELQAINFTQVIHELFTKSKNKDLIDFITTSQVLAKMFGVIQQTNKIVTKNAA HIISIISNHYSIEMQNLDLEEEESEQITSQFHSTNFYKVITANLHIINNIIKDYCDNKQK ISQLIIKLIEIVDNLVRITDVSLWECMHQSEIMVSMIRLCNVYSKSDILSSQVERIVFYI FERALNDNHPFWAFQFIKVYQIQNNANSMFNRQVFSFEQELNTKITKELDYITYDAQILE INSELQQSKNWERTKWELKLQQCENQKKLGSQMQINDEEIKLVERNEIKNEVKDTSEEMK EGLENTVEVSKEEQKQNNYEASEDQKEQELVKDSESDSSSSSSSSNEETQKQSDSQVKKD KSYMTVSANLEQMSFQNRKNKLNLDQIEKIRKKSIQFEKISLQMSQAGRRLSLQAGLSIK ELSPTYSEFKLDLDKKVLRNVNDNSILKVDELDSIINQ >CAK59348 pep:novel supercontig:GCA_000165425.1:CT868002:37723:38186:-1 gene:GSPATT00029980001 transcript:CAK59348 MDKELIIDCQHNKDTISLQLNQTRRTQHDILLKKSSPCLHFPQSKYGIRQPIQLKNPLLI KSMFQTQKFLKLKDSSIPICTLKTLPAQPNFSYQTDRIHSKCPAFKSIRLKSGFKQSRHQ NEGQIRIETAPAMCFVVKPIQNLNLL >CAK59349 pep:novel supercontig:GCA_000165425.1:CT868002:38200:39090:1 gene:GSPATT00029981001 transcript:CAK59349 MFILVLFGYKQYKVFTIDVLGNTLLDCIWTQAIKEITKLLTTKEESHQKDQKTAQQKITS NEKRIAAINQQLQEEGLLEAPTGKKDDKKGAKPPPQKKGKDAPPSNPLEAEKLELQKDTE KQQEYVTKYTDKLNKIKVASEKFRDLNERYHNDQLVIELCDKTGERKFVKTKLDGIANTF LSDKGTYILAYLQAPATPDEEEQLLALEFEGYLARTVDEDIGAVEEPLLQLQPKKDDKKK KGKK >CAK59350 pep:novel supercontig:GCA_000165425.1:CT868002:39224:41259:1 gene:GSPATT00029982001 transcript:CAK59350 MSKLYKNASQNNFYQSQTIPKFRPPNPVGPSLPKYNDWLGSEEGNALLNALKQENHVLKE HNKTVEGYLKNVVKVFESLNLENSNLRKEIEQRTEDLQRVIESTATNQTLNEQDLRHQIQ LYEDELGVLNQHISNIKGGDQLQKSLLEEKENQLKKSKELIQKLESNLTSMYQKEKDVSN EAQTKDIKIKELTDKYNELNKKQKPLEEKVRQLNQQNDSLVQKVNSLESAKKKLEDDEAA KFKDIYTQNYSQKTDLEHLRHLCSQQEILIKELRSELGSLKQENYPQQIIILKQENNTVQ QELILEQKRHSNTSQREQSGMEKLTSVKLQLDSLQTKYNLLLSDYENLKQEYDRDLEKMN QKQKQMIEKQKLANQQLISDHNLEISRLEFRIKELTLQMELLQKDNQQKDKQLLEMKQLL LDLENLRRDLIEQKTIIVQRDHKIEILTQQYNEYLESSKKKYSDLDDRYNNLQELFRKEQ EKNDTLYKQNEKMIWYKEQFDEMEEKLRNLKLIQENYKIEINTYQSKISRLEIENEEVKQ INNSNEQEMTQIQAYARKLEKQIQEIEYLKLENKTLRETNFREAIAFQTTTQTHNRKSTS SRQDEQDSGSREPQNIQTKGFNVTSKFVQQNFNYLAFQLAIEIVIAQNKQSKFKSNSTDL RCLK >CAK59351 pep:novel supercontig:GCA_000165425.1:CT868002:41440:42049:1 gene:GSPATT00029983001 transcript:CAK59351 MSENQETNKDREIREQMKKQYMEEEAKLQQGMEEEESALRDYFKNIQKPLQSDPTIIRRK RYNEKMATYQEVIKDISLNEMVKTIWQYEASTPEENETLYDCKQYFKWRCYEGMGACLAM TSLISFWNRRYLSFTAKGLGVFVGLGTGFAMGFLRSTQYTLQRLEELGSDYYLGRLAINE IEDFRMDKVIKKNI >CAK59352 pep:novel supercontig:GCA_000165425.1:CT868002:42067:42609:1 gene:GSPATT00029984001 transcript:CAK59352 MGNGTCKQQSPSLATIDLIDQKQPLPNSYQQSPSKPNIDSINPTIAKQEEEGPNISMEAE EDRCSICRVSQAESPTKERSKKRQSYEDQFFLDLLHQDKTLFRRSSQGQSISPVRILATQ HRKNKTSFIIEHTELLKLRQEEADEISKPKSILKSSNLSEKSFSSQSQKKVKFGLIYKQI >CAK59353 pep:novel supercontig:GCA_000165425.1:CT868002:42626:44903:-1 gene:GSPATT00029985001 transcript:CAK59353 MKLDLDIYQWLVKINVIWELPINNLFAHVDQSLEEQFLNGIIVSQILKQFDSKIEVDVKQ GNSNGIRLFNWNNVCRSLQQINITLDDDIKISIVKGDSQMLMQFLKELKQHYEMAFYVEE QVSTTTRFNSQQNEPQEIGIDEIDPEKPLIQTQSLLEFIIVSLCKHFTLKPKQAVALLNQ NYKQLSNILIKGNKSFKNVTNWLMELSKHINHIIKFVTYKIDILLSLLKAGLVSQSTEVC YWTLYIIEGIVINLPQKELLEDVYAWLIREHGGLIVLILSQQRHHQYLEQVCKIYSVVSQ YNVVDFFDVQLRKILKSGKEYIDFINGIIQTIQIRDQMQELNLFELFASYAVKLFEPQHT TMERMTALKYFEHYWVFYPQQFVINQMEQYLLNSRKATRESSFAMRIFTYQSLFRILDNL ALQKDKYAALLYKKLVFSLIENFDQKEVREFLVANFLMLIKKYSTIPIDILIIPMVKQLQ IEQNEIQINIVDFQLLKSVCTHPKIDVNVAILMLDMLSKLYLSSIMFYQLAFQQIQLLLT RFIKSNAVQEFTFNLVKIAISEYYGNYQEHVKSNPKSILNRNPDENIKRQQFRSQIISLI KFIINLRSFELNELIKPVIAHFYLEIQQNLKTESKALFMLLGHFGDPQEILQEEQKKSKQ INQQPQNPIFSSQIVKSQWQGSKASLAQHNLGHSKSQKGILSQRDDPFKELRDDTNKPTL TMLGNLSLNYDEQSLLKQFELL >CAK59354 pep:novel supercontig:GCA_000165425.1:CT868002:45303:46759:-1 gene:GSPATT00029986001 transcript:CAK59354 MNQNRLTYDIQRRKERMTNYFTEQQQFQDFTKPENPIKILNPNKAPQQLESPLVNQVKFY FQSRVNRLEFTQLFEGKESFSQMFATIIFTTLLILVFGFAAYYLNYIGKTFICLVMLVNG FHVLGLHVFYFSKNSDKALAYLFVILILQFLLCNQLWGGGLLNNIFTTCYVVYNGYKFLR QLPVSPPNQSQILHTYTQYFLHALFVAIKPTTISKRVINFLFFLIPVYMFIFLIDFFYQQ QRITTRKIMLACILDLILQFSEGVIIVFKKYYVFNLSRKADQLPLFIYPLCLQNNQQQEI ASINYVNSLQNDDKVFNLKMLFRLEGCQPPAIADIMQSYYYEKLKQYVQEIQTNFSQIQK LLNEKSKVRTLFEDITLPFTFLKYYYMTYRIKRQQRQLIKLYYFIFKSFDSFQKDNQYEA ECLRFNIQLISTIQQNNFEEPRIINICKKIAKISDKHMKHEIFQLLLS >CAK59355 pep:novel supercontig:GCA_000165425.1:CT868002:47972:50521:-1 gene:GSPATT00029988001 transcript:CAK59355 MQNRITNANQNYKIFARVRPIDYQQKMVDFTNESISIRVQSYVNLRIQPIKMQKARLFLF SSVCTTQEHAFKKLIEGHNSCVLSYGQTGSGKSYTLFGQEGEESKPDKKGIVTRAMEYLL SKSQEFEEIREFVITVSMAELFLDQVRDLGKAYQSRQSGNTNQILQNYENENLTIYENTN GQIMIKDLTQIPIRSAQELTDMIQMGFQLREKLEQQSKQFGQKCHSIITLNLVQKDKENE NLPFMNAFIQFVDLAGSERIAKSLTQEGQFQEAILINQSLTALSKCLTAISQMNSKNIPY RDSKLTRILQNCLNSQSQGGFDECLSALQYAERTKGVTATQPIDDNPNQPGPFPGQDKLI KKLQDENTELKSKIDYMQKEHKQKISEIQTLLGIDVDLEKLFAKSSAQELQKFKIQKDAM QKVETLQNYVKEADYMIDKMQKEKEQLKKEESLKQERQQCRIIELAEEIKKLKEQQAEKK QQLEQIEQEKNDKILDTVKKQLKEHQDVIEKNGQSKTSEVQKHEDIKRMAKLELEKEYKQ QMDNLKQEYAKFLQDSTEQYEKYLQKKNEEIDHFIHQFKKYQEKKKMQIRDMKSELFELY DVVMKTFRVIEKIENGAYSSGIRSFNIPAMDKPHIPTRNKFKNLFKYLDQRSLKNTKQDG IKEKVILQPLQKSQFLEHTDIKMNIIDMQEPTLRQFASMIRDELVSVLAREKELQKRVIQ LETLQSNNDLNTLVKERDEYKQLYLQEVKKMNQSKRIISGKTESHTNVLIRPLTQQHSRY KL >CAK59356 pep:novel supercontig:GCA_000165425.1:CT868002:50547:50822:1 gene:GSPATT00029989001 transcript:CAK59356 MSKYHMEGLNILKVALLELKSIKYTQFTRNKIRSILALKNNKTLQEQDTLKFRNECFAYY SLMKQELHQKDLTITTAEHLRKTMKNNYWVK >CAK59357 pep:novel supercontig:GCA_000165425.1:CT868002:50826:51141:-1 gene:GSPATT00029990001 transcript:CAK59357 MQTKLLTRSFFMDFITRIPLMFDETILQMNRNARAPKKANHGARPCSSVVRRLRHAKQYR KSRENTPLEQEELTSKWDDI >CAK59358 pep:novel supercontig:GCA_000165425.1:CT868002:51879:52142:1 gene:GSPATT00029991001 transcript:CAK59358 MSSKQESGGSEKQGIGRVEISEEPQTVVWSGQSNIEIQILVDKSFGDSMSYEDVLKYKSI LARKILGQ >CAK59359 pep:novel supercontig:GCA_000165425.1:CT868002:52365:58681:1 gene:GSPATT00029992001 transcript:CAK59359 MQRERYTKHNILLQQYIIIINANTTYITNFIHQVWVHPETNRSDTNLEFGSKCQCSGLIH FQLCFGNILGIQFPNRSLVSSAIFIWAGNHGNRTCVHTDLRSFWEISYLHLLRGDEEWDQ QESGMLIVYTASVQPIRNPATQGGTANFILRSKRGDNVIDENLIFGIIGIADAINTMVFG EVVVNPTGSSYAGDYTQYILKFKTTTTIIYNSYMLFTVPTDFELEPFPVCSAYPINGIQL DGTLFCSQVNQTNVVKIEGITGHVIGLQDYALKISMRNPKYAGTTKTFNLGIYKANTQMM FEEVKGISGVTIQAAQLQNVSMTQVQSFINSRSRIMDYAVKFTPTFPIPAGCNIKLIFPV YMQIVQPADYNQAGQFQMNYVKYGLEDISEDSPIGFYYQDSIQNYLRITNFQEMTMNNEI CVIVRVINPSVEGYTTPLKLYVFQDITEYQLLQSDVLYAIADVASVNAGNQNVPTTNVNT WFQKPRTGFFPNYGDYSISNIYANGALATLTLNIDPSATVPKFAFIYVTVPVEFKVNNDT TTGVNAANCKFYLQQNSPTLNGFVSPTNSLTCTATDQVIKAKLPFAFDIIQYPSQALPAT PLRNQWQIQLTNALFTPSLNGTYFFDVTIKDTDDLTVLDSFSYPIQINANLLTGSIVNSI PKNSKEKAIVDIQFITVLQIPSGQKQFRASDTRGFLEFHFSSAWAQDLGSGVKNGGSIPC YGVNALIPYEGSQLKCILFYSTATSKTIVRVSNFREIATNTNVRIMISGVTNPTAGTYDV DIKVFQKKNRIFTLLNQQLTVTSTLNPAPVTTTCTTAPSYSENRVGYLFDISISPCLTTA ITANYYILIQLPKFDIGFIRDENTITCSLTSQLYCIPLLGSDFILIVTSATVPLSPTPIF KISNLQWPRYVEDFSTYTLNFLIINNVGLGIVQKYQFSTLTNCVPSQFSSIQSLVSNKGK GFVDATYSFTFTPQADIPQGSTIIVEFPPFYDFLNGIHLPTFSQSGLLDLSDTNQVSIQV NLKLIKITGFQQFNKSNSFQVVAVSVKNSQSYNTASGFHVYVLYNGKYVLQQLNFYSFDF TTPFKAGKIVINQITASILNADEEVDYLIEFTPQNDIPIGGQIKVMFPDDNYKKLPSTPD CRVSGGINTFQSCILNGKTFIIETNSRYKAGNGVIDLVIKGVQNPDQGTTQGFVISTEYD GVKLDGTDESNLNGRTFTSAPKTNPITLNSLVFDPKNEGEEASYRFIFLPYSSLSVSSQI EFKFPKQFDPLLGRDIQCIVNSGLSGGGYTCSVDSRIVTLKGYDAYTPEISNPIDITIFG IVNPNSNGGSTTDFLKLYIRQQGSNIYDQSNQEIAQLSFLAAPGWSPLISIDVSTYNVRW NSTYNFTFQTYKNIPKVSAKGSVLIDYPSQFEIVDGSITCSSTTLFAATLKCSSYRNRVT SSGNYDDYVGQMYIQLKKVLNPIEKGESDFFYIRTYDGLNLRIIERNFANLDPFKFSYQF PGPLIKVNNEKTVTIERGTQAINIPVTIEFPCLLNLKLLPSENEFIINPSSIPLTLGMTI QYFNISVPQSMYDGTYTIYWTLDNELDPPIYTPVKRTLITITKKQGVTITQTPILSIPIQ GQSLLTEYILAAPPDTALAFRLYLQRTYYAIQLSTQAITVAAGQQKFGFAVEYNKSVNAD GPQVERGYITIQTEGVNKNIYKLSSTSVEFFIISQDTKPPGWVTCKIDNIKKTSVNLLVA LNEPCIVYYLIALKGTVFPSLGDFQSKVIRYSSTQSQMGYLIAYTANLQYQIPLSKLIAE TEYVIHILALDRGNNQAELKTIEFKTLDRPVSCYFTLIFNQRSVSDDFVRNVTDSVAKVL GIDDYYIQQQKYYFNRLLQNSFGITVDEIKSYIDLELAAIPNSDSFPDPYDVVAGLQNKT QLLTSRIETLNLSKEVTAQKFTRYVPRFIKQPKLVYIDYQQCAIQLAISNYGWGFAVAVV FESQDQTWPSPYQIMKGLDYQNRPTPNGQIEISDPYQDFILRIHGLESETDYYIYVIGGS VRKSFLFIQLDFRAPDLMDKSEIYQDRIISFRSPQKPKLNINFGELIMLSILIFCL >CAK59360 pep:novel supercontig:GCA_000165425.1:CT868002:58741:60240:1 gene:GSPATT00029993001 transcript:CAK59360 MLISGMQLRHSLTPRFFAGVFNKRKPTKKDLDHYDIVVIGCNLGGVLSRQLDKVSHGKYK IMVVLDQNINQITPIRQIYEQQKTAKTDFLLNAKLSLNMYTAHSDQVGCSTILPEENAIV LRNGRRIGYNQLVVAMGQQVNYDAIKGFEEAWQDFDSPVFTNLDHPSWRSSNHKYTRWHY NFNHGEAYFCIPQFPFSGEVESYNFLLSQRIWEWQTANGRQSPIKKFTIIQPNERFVQYN DAGDSFFKEELKRRNINVEYGLKLVEVNKQYNTATFEDVKTGNRQTRNFNHLYAVAPTKA HEPLVKAGLTTSKGLLDVNIKTLQHNKYKNIFGLGDVNDLPTTNCFWAGFHQLHVVRNNI ERNIAGKSLNAEYDGYSKVPIILGQNTLTFLCHKYNNENAWHNLYFSNGGFLAALRYYNW CKNFKKAFIDIYLEKNWGPPYYKLKKSFKLPEGEKDDHGFLSKLLPGKKENHH >CAK59361 pep:novel supercontig:GCA_000165425.1:CT868002:60270:60940:1 gene:GSPATT00029994001 transcript:CAK59361 MIKLFAQKAIQLQFYPLYEFNRFADKLQKKQQDNQKQEFKKELEFLASKPQFTLIDFRQR ALDGLHKLKKGFKYQISSGNEETEQQLTLQKQVLNAMFDEELLSPDDLSGDQKKEIAMVA QVEVQQVNFVLSQYSQIRNMHSWVRDMKERGEPMPDNQEDMMYRYKRDKPIKKSFIKFEM KRQNFSMKQRLQKLKWGPRKEV >CAK59362 pep:novel supercontig:GCA_000165425.1:CT868002:60954:63186:-1 gene:GSPATT00029995001 transcript:CAK59362 MNKVLIASILLIGVLGQSSPPLDCTYLSCLATGAMKVGQSTRQAYQCMNGYYWAGQSCQP CQPIEGGFYQCTDYYQTGALTCSPGWTQLNGICVNMPDGCLSYSLNSNGNAYVCGKCDSG FSLIAGVCVENQGCTVYSSTRYVCTQCSAGYHLNWDYIPSVSSSSDYYYNYFDGFCSPCA IAGCQNCPSANTCTQCIGGYFWSFSGLTTGSATDGSGTCQRCLDYCTSCTNSTNCTSCAD GYYISQVNGITTCASCLPSLTCTKCQNGTSCLACASGYQLIGQTTCQKLPDGCTQMDSNQ NCTSCVSIYVLNTQTPKTCTPCGTGCASCTINSTTVSCTKCQEFYYAVKDGSGIVTCSAC SSYPQSTGWLRCGGPQDTPSYTTVQVTQCVDGYFLVNITTNGVATPTCIAAVQIQACLTL TNTSTNSNNVCATCVKNFGAYEGGTCLSCPPQAGAKTQLSSQCNKCGGSSSTPISCTGCS QKYFLQAASSASSATCATCSASGGCLECSSNTTCTKCNTGYYLSGAACQPCQTNCASCDN STTCKACMDGYFQYSGIKTAQATCVACQFGCATCASPGQVCLTCIDGYVFQQGGCVPLNQ ANCALKLSSTLSTDKPYSSSGCSICKYGFHMIQNRCFQSVQPYAGYAYGQTTNVSTDLNY KNDTIVYAQLLVASFIMLVFTL >CAK59363 pep:novel supercontig:GCA_000165425.1:CT868002:64306:67469:1 gene:GSPATT00029996001 transcript:CAK59363 MKNENFLFISSSLQEFCSQKPQLLISQEIQLKTKLYKLLNMLVKMAILMGRFVANVYRCK MDFALVRSNSGDYLNGSQCSSCNDTNCLTCTDASTCTSCAPNYMLLNNACTKCTGYSYKC TSCSNGYCSGCISNYLIQSDGTCLKCPDNCTQGCAKNTQANTNPTTCQICQDQYYLTSSG LCSKCQSPFLRCSYDSSTQQVHPTYCVDGYFLVNRSCIKAQDENTCFKLYQPNDGTQVNS QLCQDCWPSYVQSNQICYKCSYCADNSCSLDQNNKPICSQCVNYYYSDSNSICQQCVANC IQCNAAGIENCQQCDIGYYKSSNACIACTTDNNNKPTCLNCSNETTCSTCQNGYYESNGQ CLACQYGCSQCISPGNVCIACITGFYLQNGGCVKDLGNCLEKQQNQNNGCQICAYGLYPI KGWLSQSGQSQVVDASCFQCVYPQAAVNINYYLVCLLSQPVRQPSCECFLRIRSFLKNSN YFKTAAPLGSTRQTSPQCKLGSFWTQQSCMPCPTVSNGICSNCNNFTSCTQIQCNVQYQL IAIKNVQQCAQIPTGCIEIQYSDKMISLACTKCSTGYTLIGNICISITGCQAVNQNNGAC SSCLNGYYFNWDFVLTSPNTSLSINTYYYQTFNPFCSKCNAGCAICPSYQTCTQCLPGYF WYQSTLTTNSYSFKTYTITQTNDSGQCVACPKNCQTCISATVCTACNTNFTVDPNSTNGD CICSSPLTYNQANQSCILLPSGNSTCSFGGCIQCNSDNTQCQACSPQYALTGPASCTQCL NCNQCSLQAGTSNPTCLECADGYYKVQAYDGAPITCQLCSQSLNNSLRCQGVLENQTQLT AIQCADNYFLFGNACNQAGNTNCFTISNTNSQSCQQCLPGYTLYGNNCDQCNTKILNCLT FISNSDQSALICQTCINGYVLNTNTNSCQQCPSGCQTCTVTGTVALYTLSCSTCADGNYI DSNGNCWGCPFDCKSCDSKYNCNTCKDGNIQHQIIYIQTLLSLSKQLCNLYRTHWKQFVK LAKLDLFFKMEAALIQL >CAK59364 pep:novel supercontig:GCA_000165425.1:CT868002:67472:67812:1 gene:GSPATT00029997001 transcript:CAK59364 MQNDQNTYSLANCYTSDSTGCTICLAGFFLDSQRVCQECVSPYNNFVCGIKAVSPTTPSD NNGQTDTNNPRQNTTTNQIEIGYNPIQPSSPSQILVAMLIFMIQ >CAK59365 pep:novel supercontig:GCA_000165425.1:CT868002:68089:69191:-1 gene:GSPATT00029998001 transcript:CAK59365 MNQYEYQDCFDDSRLQDAFKQTATFFNPNQSSLKNSITSSKGSGRPQMEYTFKPAQTRLT QHESQQSLGKRNNGQIIQNKPNAQPPKITSPVKVIKGFSQKPQSPPQLYESRNKVTYQEQ IKRIKETDIRDEFIHIYSQKEAQPPKPIQKNIVGSRVLRSKIEGSSNTADSAVRVQFHTK QNEQDNVRLSSDQMDFPSYKKSLLESDRFNNRQNLNDLLRKSNSTAFLPQIQSKLSQAQN IPYGYGPISQQETAIRTNSSKQTIPGNEILYAQPEDSQMRKSKTAQETMRESGGQQIQGK IQYKLNNAESQDVLPQIHSPNQGTQQGFSQSKGRSFLQRKPQAKIISRLFSSKSDNKNN >CAK59366 pep:novel supercontig:GCA_000165425.1:CT868002:70007:70339:1 gene:GSPATT00029999001 transcript:CAK59366 MKSLGYNQLQLSQKIIKTEGDDSEMLLPESDKDELGQILNTEESRGKPMKELRFSLKNQV WIFDRNQKRHQSYKSPSPVGTKSSPSILKNKNAITKQQNHDHIIQTNQPQ >CAK59367 pep:novel supercontig:GCA_000165425.1:CT868002:70492:72029:-1 gene:GSPATT00030000001 transcript:CAK59367 MSNQLYKYFRSCEVNQNIVFFAQLDPSTQIHLEQQLPKIQIFEDVDQRTDQMSRVIQYEN QRMDRLQIKNNSSFEGQPRSGSDGDNSRDGSQNDQRNQNKRKCTKKHQNKLNEQLQSEII AQTNCIYSNKIQNLVKKDANNQLIVTKKIRQSRSQQKMERKNEQQLNVPVEHSDQSSSEI PDSINYGNLDPNRLKQVRNRESARNSRARKKIYYELLEIRVKDLQDENDKLRQQCNSLQK SIETYNRQQDKFQAFLNQQQKLFERLEECMTQGKDDTEIEILLDALKYRTSSNKQERIDA AKAHSYSILDVSLPLQAKYLFSILDDRDFFSQNQKNVSEFLRDILRNIEMKPENYINNER IKLKIGLSKQNITDSFKKIKEEIKAIQNEASKIDLLWEQLKENIKPNLLAQLLISLHQNE FRSEFQASAIFKKNKDKIDQNCMQIEVQQVLLRKQLKKSN >CAK59368 pep:novel supercontig:GCA_000165425.1:CT868002:73430:73715:-1 gene:GSPATT00030001001 transcript:CAK59368 MEPLKIYLRIKRENRVFFFECSLLDPVEILKRKLLPYYKQEIQDMRIYLGDRLLDDKANL QDQAVPNDSCLILKLRKGMLGEWDE >CAK59369 pep:novel supercontig:GCA_000165425.1:CT868002:73740:76036:1 gene:GSPATT00030002001 transcript:CAK59369 MKIAKVVEWKPIYIDQKLMEKLLQPFSQINHLSQGKKKSVNKVQTSSFAYNSMLIQDINC ISELEQLNEKIVERLCRDMNKCDQFYNVHQQQIQVKLRKLIYNIFIYQDLQKVDDIKSKL YILKQYSEMLFLECNLLEQFAQFNDIVIKKVLKKYKKQVFIQDSQYNLQRMQSIYNELQI FNNRQEIQKIQVKLKLLYSRCLSSKQKNQNIRKLKYHNIYKGFTRKEQLLIGLYLGGSFC LLLYIMSKRNQLNNNFYQNQFYYDLYFPMFRGIGLMILYYWSLIFCVYCWIKGNVGYRSI FNFKYHSSSINQLIKRAAFITLVYFAVLIISLQKELYLEEEKDINKYLLDYITDKIAYDP AIGPLIIWIIMIIYMVWPSKKYLNAKGRKYFWRIVYTSMLAGFFDCPFVNGWATDQLLSL VLMLKDFGYTVCFYFEYFKNISDYDSQATCGDPKNLQIGLIVCLVPIFLRFVQLGRCFYD AGKITRDDFFVVLIYVEVTMVNVFSYLSQFGQIYFIMWIISFCTLACHAYFWDVKKDWGL FQPNTKHNKLRNQLAFKSIFYYIAIVLEFFLRFAWILSISPNMASIIHVWSPFFSLIMAI FELCRRTVWNIFRIENVHIQNMGDFKAVYPVQLPFESLIDQQSYTNIKELRKTQIDPINI SLLGGQQNQQSVAGQYFQIRNSLRSDSIEDESKLNQLQQQEQDLIAETMFEDRKRESILT MRYSQFKFDHQNEKQTLSKQELLRDLSAIQELIKKMN >CAK59370 pep:novel supercontig:GCA_000165425.1:CT868002:76128:79008:-1 gene:GSPATT00030003001 transcript:CAK59370 MNQQVSHQTKTSQTQQFIASQLSKLPDKNKLQQLIPVQLHVNCFERKNNEGIDNRQSPPQ QQNYCTKPSQKPQQSNHKSNMSLQNKSNSHIESIQEKLSAINHPNKKRDYSPNSDAIRQL LKNTKPDSDMNHLLSKKQQSQDQQLIFTPITTKHSFKSHSPLDIKESVAQLLSNHFKTDV SSPKGNGSNQKQKNSHFGPYLSIQQQIQQQKLKGHSSTNSLSYGSRNHHQQSLQEQKRSE PAPITPTPKRFTTTSQNTEHSKSKSVVEEQLQCMKIMQFDKENQSHILNLGSPSAFSNSE QASSIGDSIGMHLQFGVKTLSPFAKPPQQSQRFCIYHKDKKAKYVTEEGNDYLFFCSKCA VKLAGKGMFFTEIAQLKQSTIDSQTFSFQQSSLDSTQRQSSSMDIQFKEREMKVFLALLY QMQNNRQDLLNQLSSQGNKLQNYYDKQMKMCQEAKQQLSLFLEEIYQRSMNQLSNSKQQT LSFITKLIQQLTTNQLDNKKIQTEIESNWKRVLENMDMTVFREVMNQHHAKFSKFGEFQQ EIQHQYIQLYSMGSMDIQATLSLIAQNFEVVESAMPLISNIQQLNITQPIRTQPTPPKKS EESISQRIKTDFSNQKKNSDAKSQNFCSYFNDKTEINQNVKDTQKMIPQFQSHSNNLYVS FENKEIFMNALEMEKNKQAICNEDDKASKQQAIMILQSYKGSHADILEESLNMSHDSQKS APHSPASGQTKESVQRINPNQDQEFMALANNQNETVPKEAFQKQKSTFRTLFENEDSQEP NIQIKKETTAISPARKFLIANLILNSIFILSIYITQFQGHQKVAAETPDTKRQDSQSLNQ INFEVPDTCVREDIMNLQDSEDIKDSVHQINMCDDQQHLSDYDDEKEIQETKMRYVYQGD ERKEQYQKTLFSSPMFKDH >CAK59371 pep:novel supercontig:GCA_000165425.1:CT868002:79069:80727:-1 gene:GSPATT00030004001 transcript:CAK59371 MSYQVEYQSLPQQDLHNTQANNLHQVSDIQNYVDIQSLERMKTQNTIEQTNLKVDPESKK KVKKQRGHWSNEEHYKFLQFVRLHHDLFKTTVHKKLNRVFKMMAEEIQTRNACQCRSHFS KFNPFIETTILRRKLCNDEQVYEKMFENKNKLDVNIYQQLKYIKFTYVITSLQDGIICCS YRSFEPQVKVNSKCQQSKALPDDFASQILNNDIVLVLSNYSNNEALKNLIQLYMQGVEFY KECEDKAHEYFEIKLKNIFTNPSILQPSEQAKQSTPPEKKKIEVPKINVVQPSYSFMNKP KTSKKPDNNILSFSFQEPVVDKFFQQSQQLKLYHSGEEEEEENTHQKNIIEQEKEEKIKE IQNQVIEEQIIIQTEGRAKEIASQFKKQERRISQQIEAGMSAQESSIQKRKEMRKLRSQF SLHNINKTQSTPTMKQYDQHKTPQDDPNCIPEETNEIGSGKLSSNKKHIEVNQFQFNDEC K >CAK59372 pep:novel supercontig:GCA_000165425.1:CT868002:81020:82701:-1 gene:GSPATT00030005001 transcript:CAK59372 MYDSITTEQRPTLYRNSDQKQQQYMPSGNDQHLHHLTPMTGMKTYESPSKPYASPEQQTM AYTTSPNNVNSFVSPIRPQILQPQLISPQKPIPSYINQSPYIRPQLTSVQPIQLPVRPTI EEIHISEHPVQVIKREELDKAYQEQIQKLENQLNSLQMENIRLRSVQATEKISIGEDVTR INQLRDEIQKYMKIAFELQQEIDIWKKRYADFEAEVRFQYGVEQELANTKRDLQETNIIL NHEIKMQKDLVLEWQKKYKDLEFKIFGFNEESSVIQKTKEQQIRELQSKLALMTTEVERV NVLNEQKNNEMERWKGRFQDIETESLEKDSRLTQLNTEMSRLRQVIDNLNRENGLLKTKI INPTEFQNKINLQQQQIEQLQLKLKQSSQDYENLYQEHNQLQLQVQLNQDNKAQQYKDQI AKLELELRKVQRTFLEKDQQIKELNEKNEEMETILQNQQSSQSKTETYVTHYVNEANQWK KKFEEQNKKYFEVQEKLALAEAELQSIKKRQQTQPTVTQTVYEVKNTSTVRTNNMNQTAR IP >CAK59373 pep:novel supercontig:GCA_000165425.1:CT868002:82763:84873:1 gene:GSPATT00030006001 transcript:CAK59373 MKKSSDLTIKTTNESESRKFNSFNPKTPLQGTFNRTHIRHPIKEYFPNKQHAQHTQHTQH TSIQNTQPSIKSPPNSKPISLANALSLLSKDETNPTSRRNSRRLHTNQLKNILAQEKVSL DSVRFSTQFQNPQPIIQLFTQYNSPKKSLKTSPDNKHPIIQETFKNRISHKLSGHMQEKL EQIPQITSDSVRQRISTLKQKLRGNIQQRYYKFNNNFTIQNPNSFKEDIEYKFVKQPKPQ LPQSPPVVLEHYQSQLTEFEKNEIKDIESIYYLRPENITFPQETEFNNGFDTDKGDYIFR EHDHIIYRYEMLEQLGHGSFGYVFKVLDHKHSQTVALKIIKNKEKFQKQAYIEIEILKMV NKADNSCCLIKMLNYFVFRNHVCMVFELLSCNLYEFLAINEFQGFELDLVRRFAIQILQA LLYLSECNIIHCDLKPENILLKDITRSGIKIIDFGSSCFINSKLYTYIQSRFYRAPEILL GIPYTTQIDMWSFGCIVAELFLGNSLFQSKSEKELLFLQVSILGKPSNQFLDYCPKKHKF FNANYELLTKIKDTELVFPLKPLKELLQTGSPVFYDFINKCLQWDPNMRMKAAEALMHPW IIEGLPNEIKKQHVQQMKLYIQQKNQDLQGQGIQIK >CAK59374 pep:novel supercontig:GCA_000165425.1:CT868002:85315:86324:-1 gene:GSPATT00030007001 transcript:CAK59374 MNCQLLKDHKERVWQVAWHPNGQILASCSSDKTIKLWQLMNDQYKLIQTLDECHTKSVRS VSFSKDGQFLASGGFDTVVGVWMYDGSKYKLIQQLEGHESEVKGVAWSADSNYLASCGRD KTVWIWDHEDLEFSCNCVLQAHDEDVKCIKWKDTTLYSGSYDNSVIRYTYGEDDEWDHKQ FQIEHQSTIWSIDIFNKLLLTTSADCTAKVFSIQDGTLKPIQTLQGFHKEPIYSGSFSYD GLYFALGSADNKISVFKKDAIDELGYPHYALDQIFKDAFEFDVNCVAFNPKNYLLATCSD DENIKVFNLQL >CAK59375 pep:novel supercontig:GCA_000165425.1:CT868002:86356:87377:-1 gene:GSPATT00030008001 transcript:CAK59375 MSLQSFQDTFCGAVAGFSFRFFGHPFDTVKVRMQMNDKKRSFVQSAIKIFHKEGIFAYYK GMLSPLLADIPSNAVLFGIYEYVFRQISSNNQEKKPYFLEWFIAGGVAGIGYAIVVCPAE MTKCVLQMQKEYLHKQFKSPFHCFAYAVKNYGIGSVFKGLVATILRDIPQNATFFATYEY SKYIFSKNGDLPFYGALISGALGGFTCCLASYPMDVVKTQLQVEIKETLQNRKFKPRFYD GGVTECVKYIWQTQGYKGFWSGVESCIIYYMVGCAAQFTGYYYAQSLLTNYSKKH >CAK59376 pep:novel supercontig:GCA_000165425.1:CT868002:87466:88239:1 gene:GSPATT00030009001 transcript:CAK59376 MISCQQNYQNNKQNEDETINNKIDIINQLFKQMNLKKREETKETTHTRNITSDSIEIPIY EVPVKPQQLNKTSSEFQSYLKRKQQAKTIDRVSEFNNQENQLEVEKDEDLLTEIRPFCDQ NRNTLREYSFKPNCVFQQHQSNQTLKLSTLQSQQQSCSQLRNKTDNTIDINSHKNQLCKN MSHRDMNKAQKNKQIIEQAYETFVEKKPASKSPGIKQQQQINLLKNNFKHKINQLLSSTP EKKIRLQATIKKNYFIN >CAK59377 pep:novel supercontig:GCA_000165425.1:CT868002:88283:89527:-1 gene:GSPATT00030010001 transcript:CAK59377 MDKMNLAQNNGQIQNQNQLQSIIVCHNGLQSTYFIGNNHFPQIDQTTIPPGDSDTRITSR DVSQKYFPHMEGMILKKSPHQIQGWQQRWAVCSDNRLVYYLPNNQNTPFGIIDFNIMTYS LQRIIDQHGNLIEFVLVPSGSRKNFIFKAKTTLETQKWFNTVFECWKSSEGAKKILQSLN KYPKFWRTDRITYEYFSQVGEVGDILLFRGRGINCQIQRGLTGSEYDHAAILLRFQSGSL YMLEATGYFGVGLCSWKDMIENRWFELYEKIMIRRLDIDRDHQFIRTVQEFVNENMGNKY QLQPTQVLQNNRSVQQINNSTNRQQRTFFCSELIVALYKKLGIFDQKNSIGPYWPGYLSS LNRGFNILKGKLHAEQLIDFSEI >CAK59378 pep:novel supercontig:GCA_000165425.1:CT868002:89599:93106:1 gene:GSPATT00030011001 transcript:CAK59378 MRKKRALNEFEFVEKKDNGKAQEELGKGAFGRVRLAHDKVTDTKVAIKTIPKKLLKENAQ AENIKREIKLHRKLDHPHIVKLYHSLEDETNVYLVLEYVPMGNLFVYLKKRKTLEEQEAF VYFLQTALALDYLHKKGIIHRDLKPENILLDAQGNIKICDFGWSAELQPERRTFCGTLDY MCPEMLNSQNHDHRVDIWAMGILLFEMLHGHAPYNKNRQSQEVVIKAILNAATTKIPFND KISNDAKDLIQALLKVNPLDRLSMEEIFNHKWIKVHARALQINIPEFVYEKGVNETVQSI QDISIDFGNLTQPSNNYQSQKIPSKQPGIQQRQENPNFYSQQLPSSSPSLNNSTYQQQQQ QQQHQQQQHQQQSTTPNKKPSFDQLFQSTHSNTNNPPLPQYESTSKSPTRLTPEQQRQKN ELLGINQMETSKQMEQSNRINYQMQQSQDMQRSQMRDQSPNQSMMNSNYQGVSPNKPGVS PNSRKPILNDKMAQSQMIINKYTQQSNNQSGMFKSQDIANQQPQQSDEFTKYMQQEQERK RKEEEFRKQQLADLQKREEQRLLEEQREREERQKQEELERSRRLREQELENQRKIKEFEE EQKRLREQEKLRKQREQEEFLQRQREQEQEKQRKEKDEQDRLRKEQLRREQEDRDRKVKE QEEQRRREEQVKREQEERQRREQEKWEQEQRERLRKEKEEQDKRRQSEEQQQEKLKQQER QKQQYEEQLRLQKQQEQDRKLREYEEQKKQLNRSNSRNVRSQETEEDKQAQKLKELEQKA RQEYQQHLINQSNSSYASKQISESKQQRRQISDDDLFIATNNNSYIPQKQKNEMNEDEYE LEQKLHNMLVQNLQLELPPITKTLKPDENSNLDWDISVIEQQQKQTPLMKQYENIQQVEV AMHQIPQNNKSLSPNTRQTRFTPRVTKMEDRPTHINNMLDDQLDEWDLSEDPQVKLLNQL LEQPERQKKEKQKQNVNTSSNNGYSSQSQQQISVSTQPTNKFSFGESKAKQTFKPETIEN VEESFRQDRSMRMNTSRDRSRNKIPAKSNPKYRQIQQEQEPVEEQYDEDQLEDLKRSKSS NQENTYDQKLKQFKKYDHNPYSDNYQNQTQEQYKPRKSRISERQNRTIPQRPLQEMDFFD RLKFAFGCLSRRQ >CAK59379 pep:novel supercontig:GCA_000165425.1:CT868002:94217:96655:1 gene:GSPATT00030012001 transcript:CAK59379 MLSHIFQNICPNHNSEVVAIKLSAHKQVEDVLMCLYCLTGNAQYPVVPLNEIQKLYEAKL VFIKQLQVEKHQLIKAYLNKIIDTLSQLKNDLNVQLDKGIELLENQLEYENLRLQREQNN QEDQEQTLEYYLQFIVSCESSYGQQHRGEDEFSWIQSLSKELQKLSKLQEIQDCLLISKD LKKQYQIKDQNLKSQNQIKKLLQTISQKKFNERTPNLNFNCQVHGKEIILFDMNHQTEKQ KRLCCIECMPSSYISLTKAQEKLKQFETRRSNYFQQQILDKEQQYEQVQEQIIKIENQIV EQIKNLRVNFEDNILAMKQKIVLSLNSNNYDIQNLNYSELQERIDIISKSEENQQCIIFQ EYQEIQNSILSQLKEQLQQLYQYQQNLYDNLRSTLCNSQEISKATSQSDQQTHNINYFII ENRETSNSNQLELLTQKSQESQDYKSSNQTQKEFNLLTLSTINENRQTRSASAQVKSKIQ ETEKQKDDENQIELYQENNYELIEKFKWEQNCHAISFNQDNSLMISGCQNDITVWEFNNG KIKKKQQLTGHTNLVISLFFSLSKPEFISGSTDKSIRYWQFVENQWTCTQMLLGHKRQID CLLFDNKGDQIISCSCDKSIRVWRKNEQLKWIQVQVLTDHQAYVRCISFSKSQELFVSCG EDKLIIIWEIDEFKEWKSKQIIKNSDYGYRICFVQNNLLIWQPRNINQSIIFQLNDNLNQ FEQSTQNIQLLLSNNGYQNFFPSLYNENKQIVINKHGRYVYVLKKLINDQFSISQVIEVG HYCNYGSLSPNGEYLVIWDEGSKYFQIRKAKF >CAK59380 pep:novel supercontig:GCA_000165425.1:CT868002:96889:97259:1 gene:GSPATT00030013001 transcript:CAK59380 MGSCQVSSQATYLKKDDDQIETTSQPNIQSVQFTPLVCNIVGDQDRMDDLFLDLERQPSL SIIQHTSNSQENFQLKSKVQITHDPQSLTLNQSQNGIKSPKKSKNLNAKFKEMIY >CAK59381 pep:novel supercontig:GCA_000165425.1:CT868002:98102:99219:-1 gene:GSPATT00030014001 transcript:CAK59381 MLDTQFFDKVLPVAQIVASSQPQVNKLFNEMRLSAYSNRSGMTTKLNSNPRIRIKTQEQA LSNAENSNSYTYQRQNSERSKQSVGPGSYEVQHPAHLQYNRPFISFTKGKRKQLKQFQNP GPGQYNILQSKQSKTFVFNSRHCSEHHQEFPGPGTYDLNQKSQRSISFGTARKLSQENTK FITPGPGAYKIDQKVERKKIDRIERLLKPKLMVRSYYNRKEDKYIQELMKQKEVREKETI SLRPRSAMQIKQVARRKKMEKIRRSSQEKFGPPGPGSYDVKDFIEIDQVDKKNSKRQKSS IEDKQKPFDSIGPGSYDIKDFLYQSEYIKKSNTNTSFGKSRRFQVEKYSELGPGSYELVV EQQ >CAK59382 pep:novel supercontig:GCA_000165425.1:CT868002:99643:104500:1 gene:GSPATT00030015001 transcript:CAK59382 MNQWGFSFKHSQIKDEFDSYGGLLKVDNQKKMQNYSDQPLKGYKTNNKKNNNKRPNRNQQ MDLYEQKYKNSDERQQYSQVNTYNNNNEQQMNSNNYEPQYYGYNQHDNNHQDQDSWNQSN QPQKSQQQNQYQYQQQQQQQQQQQYQQQSQQQQYQYQQQQLQQQHQQSSYQQQQLQYSQQ IQPFPQQTSFPVQPLYQNQFQFNQQIPPQQEQSNPLNIMQDLQLNQNHTQNDTGFTEVQI SQYNQPSKNNSQINQSSQIPKSIITSLAKEEQLDSYYNSYHKLIDYFFQYADIEIKISNI NPIVEPRLNEIYFDLKELRLKIQQIDIEDQLRANRTYQVKEQQENNISQKDKMIILHSLI IENLYREAENHKSKILISYQKYQYKINPLDQHYEENAKNFYLIFKKKWDNHIILIEQCKS EFEANSKIKLLKVPRINQSFCKELGFQNPIIMLTSDLNLVTLSTTALYNDGLALQKKTNE IIVINSFDQNQKENISTLNDKFQKFLQDPQYIKNVKETFDIDCQPQKDINEIDKCIQALQ AREYIEITVTTPIFNLQNKGKYLVQAELQLWIEGYQTQEMINQKLKVVKQQLNEIYNPPI IIQVFEQEKINLWKNYLGSLFENQNNSKECITREDKGILTIELNGHQDVIYEKKEKIETL FKQFQCKNIEYQCENDILKVLVSKKAQLSKLFKAIFTEIASEFGQCQIQMIDVNEKSLTV IIEVYFNSIDYKFTNISAKVVQFLFDLDYILLKINFKEFLQFQGLKEIQFQEQYQVAVAI GKQKEVGIIGRQKELDEIKQMSKQFDQSKKENYTSIIIDCDNKLVFNRIKQLNSKPKQDA NVDDENAVVISFPQENKIMIQASKKQIQQEKDKMNNKIQQFKGEISTKSCQFSDKEVKYI DKNFKSLLEKLQKNNEIIISTSSQGPISLQSEKSAACTLEYQNKKIQIIYGDISTIQCDA IVNSCNNKISFGDSLQLTGVAQSIFQLGGTQYSSACQEYIRKHYELEIGKVFTYKMPNDR QIKYILNVATPVYSEGFVTDEDLKKIQHNIEAIFKEINNLDVKTLTLPIFGGGACGYGFN QVTQVVLNSVINSLYFQKNSIEAIYIAELIDIKVDWLTKILKNILKPPEKERQVKYQWQW QDNQAFKNYDDEDINNQIDEAYEQFQQTGKDQKVMLKFPFSKQPGTHTVNLDSLIVTDIS LKTTKKIISKKVSNSKRFYFDEDVLDDQQNEYLLLQELNNIKQFDIFYKKHYVEFKKGEM YQMNQETQFKRTIKPVQYVSKKTKSQGKPNKLVGKDFTLIESQNQVSKLVQQKSNCDQLT LQSFDGSLNEMIYKQVKAELEKQVLEFKFDVPNLSDSGLQEMQQFIQSIALSINGRFKQG EKILLKIFEKKKNKIVNYINSIKSQEKAYPDTWVPQSQNLLRTALQPNSQEYQKIANLFK KTDAGQIYEIYRIQNKSLWDNYNAEKNKLIEIHKQQGTNLKAIEIDRYLWHGVRTQHPQI IYSGLKEAFDQTYSNIGMWGAGIYFAENASYSRNYSYKLQFQDSANNVGKLIFLCCLVTT GRVEVRLPDQNIKRPSQGFDCVSGNTNGSDVFILYSMDIRRAYPAYEIIYT >CAK59383 pep:novel supercontig:GCA_000165425.1:CT868002:104543:108071:-1 gene:GSPATT00030016001 transcript:CAK59383 MISRVAVIWVLLEVLIAKSHLINIQFLTQIDIESYGCDHTKLIEAEEGFIQLNQTLQYIQ NGQIGEHINELRELQVLVRRELEEQNFQTFVYLENFQYSYLLKQKSDILGRIKITEKLIS HQFEDIRFLLNQIIKTLDKQERIKSCEILSNKIKTLLEQLVEIQGEHKNLIDINYEEVIS KIQRIKNKCDNDLSNHFQQSKLEQTQLKDIFIEFPEQIQVEKTSSQRDVINNINLIDLKQ EQTVSKSCVSGESSIVDMSSSQTSVLDLKVGDDKIRGANEYGYGYWIRWLTRWPQKQMKG ISEPWYFISRLTKNDPYDNINMGDRILAIWLGQAGYTFVTNDITSKNPNLQITLPYEDIE GVWTYIHFSYKQGQAVGIMKIEDNTKIVVLDAMHEQPNFLRLIIGGSNLNQYPGFNGQIS SPIFKIGAGSFVNTEDEFNKFVMACNHRPEPDCRDKNEIMQLSQGIKKYDSSQQEFYEHF DNQRKEFPTLYGIGGWYKWVEIVQEPEHLAFRITINDQETNKNLELGDRTLAVQLSEKQL YTFSTYSYQNMFGVGQSNIVKNIDHKNKHIQWHYISFQYNRETRQAYGSIIFKDDKLDLK FENVNHYLVPVFRIFIGKDKFYPAFNGYIADLNYVTCQDLYNSQFHPSYTPESANLLKFP IQIPELSSEYHCQISDETIFDSAYDDIQSIAKVEITENLIEGYGYSFWLRYLTRYPKPMY QGKTEPWYFVSRLTNNLKYQDTEKGDRLLGIWQGQGFYAFFTDDYKDTNQNLNEQIKYDD IEGVWTYFHFSYSSTINKAVGFVKYSDQEPQSVAFNADHQTIIYLKLIIGGSDLSKYPAI NGQFTKATFKIGSAAFIDTTDQLNQYSLECNPQPQECCDQYLQQIFIQDTQKFQEQRDLL PEMQSNSLDKFPNEYSVFGWFKWEPTKMDQWHLAFRLHINKGETNKNDQILGDRTLAAWV SPSQDGIYAFSTYSYQGLNGNGEPNIVQIIPQDQQETKWHFIFFSYNRVTRIAEGYIKFQ NKQESIHFDKINHFLVPQFYLNVGQDRFYKSWNGYIGKFTMNLCAEASKHKSDQGILIPN FIDYISPPTINPFPNFYVTETIETCLINTKVVEITQENLRSVINAIQEEETQEKVCFCSL MAD >CAK59384 pep:novel supercontig:GCA_000165425.1:CT868002:108956:110611:1 gene:GSPATT00030017001 transcript:CAK59384 MIENEEQLLCSNNQHIPSPAIAILLDKDLEGTQQLVCQQCVLNHIGCLNGISITQGLVRI QQLKEQIFDQIGILFQETFHYLKIFQEKILQVKQEFLQTINQVIDPLELWMKQLEELKEG YSQYSIYTEINNLTKPTDLLIEQEKQQFQNRIQKINNQYLTKTAQYLKVLDNFIADKKLL LKLNQLQDSTSNKEKILNEESVNTGQQKESNQAISQSYKQERIQHKLIQEVYQEQECHAL DFNKDNSLVAASCKENIKIWKFIEGQLINQNILLTGHESDVFCILFSKKINWIVSGDKTN QIRSWIECKDVQGKTYWQSSLPLEVHKSEILCLHLNKNEDELISASGDSTIKVWGVNCNL NKIEFQYSLEKHTSYVDKISLNSTETNMVSCGWDQQIIVWEKDDSKRWQFKYIVEQSIED IGFRIGFLQDDTVVWCQLCEPFLHVFKLQNGTFQERSDLIVKLQNIKTENNYNDFNLFQL KYLQQEKVLIFKYNRYVYLMRETLDSTFIFPCEPIDCQSIECYGNITDNGRYLIVWNEHS KQLQSFEIEYQ >CAK59385 pep:novel supercontig:GCA_000165425.1:CT868002:110959:112443:-1 gene:GSPATT00030018001 transcript:CAK59385 MEITTFSQFMSSFETLLVKALPTVIGMELSILTTLVNMYFIIGRDNVIGIGFGTIYVNLL VKGLLLCMNSSITTIMTRQFKQHQYVKIGISYQRSLLLNGVFLIVITPAIAGSTYILSQF NIPIIVAQKAIQYVWEMLPALYAFTYFDCTKNYLKSMGIDYPVLVIHTFTTILHIYLSKQ FIVYMDLGIRGAAWCKNFSSCTSTLLLYIYIFKYQKNHPAWIEWDRRTLKSIFQFSYGLL HSSFKNYLQNVSFEIMGFVALWLTYEEFSVCVCLIFTAQALYMFFLGVAMTAASRIRRLF KDQEYEKGRKHVWHYLATSFMTGILLSFLLVVFKKQWIALFYMDNETSLILSNNLKLFLP TLTIGGMQIMLANLLGALGEVTFTINVQLLCQYAIGIGTGIYWGYYHGYGLKGLWFGWAC GLSVSALVLFLRLIMLNWEKSATQMFIEVKEETNQLKQSIN >CAK59386 pep:novel supercontig:GCA_000165425.1:CT868002:114329:115050:1 gene:GSPATT00030019001 transcript:CAK59386 MIVFCANSLPQVIANQGLRQFQVILQSLKPQLILNQCGGDIETRAQYFKKHLSKFNEPFH LIGYGIAGLDLRYVLSQNGDIRAKSLITIGTPHRGSILSDLYRRRRIEDDVIEPICRVLG VRYNFFEEINSENIRDFNLVATNQEAIKYFSLNAETEVGQMSQIYQASGTIIESEKEYPV ESGSDGVFAHNETKWGQHVATLDCDHGAMIGIPNQRNGEQTVDIIQQLIQDL >CAK59387 pep:novel supercontig:GCA_000165425.1:CT868002:115320:116895:-1 gene:GSPATT00030020001 transcript:CAK59387 MDIFTEQLLQQINESHIIARDSIKLIVHLGSVIVGENYIQSQMPLIGYQWFQDQVKTLTK KHYISNDDYDDTIFEDDEPNPVNIDHWRRCQGQVALVKSQEHSPIRRSETKKLTKISMGQ TQSNADLYECQLIKMGELDDDIEFDPNIESMRQAKLRQMQIQQLKLQNDKIKNQEVQEQT RQLKRLNVDSKSKYTYDFEGKVIVQKPPDIDRYPKSHQNIQEKRNLVEVRDLIPNHKLQS ELISSGKRQSAYQNSMNQFAIKTTVPQIDLIERQSAYQNSMNQFAIKTTVPQIDLIVMKE GVSFYDGKSEKKKDRPLPLMDIKDNNELQNTLLNQNVHMTKLEYQTITNSQQSSNQFQNK TLQLSISQPQIQQSKTSRTFQEDQPHLQNNDSLLSFRQPKTTVNHSITSNILQKLNGSIS ILSEEQLDGLLIQSNDLNKQKEHPLFIKKPTIEIGKPTQPVPTITLELPQIPPNALTNSV SKLPRSFQSIGTFPKLLSKHPRERISKQVMNIINIK >CAK59388 pep:novel supercontig:GCA_000165425.1:CT868002:117600:120083:1 gene:GSPATT00030021001 transcript:CAK59388 MENLIIFDQLKYQVNIKINKQKIARMLPSKTSLYENIEEACTNILEKNIDVSLQQKSKIN REIDEYIKNQNNDQQQQEAKMFIKVALDCIKKHYAKNILPKFQDKYKDALYHVETFLQIH TTFVKSMKSFKFLLYQAAQNLEQESIPGFYSSLFDNIFVQELQMVFKSVLFKCVVDMIKT ACEEEQKQLKISDLLYKFGNVMSQLQKSTEELLDFKIEQEFKQKIFDYFEEKYQQNYKSW HQSLNTQMYLRKVQQQKEINEKVLKFGDKTILEQVNRILNSHLLTYYKPYLLADTNPNNF EHLLNEFHKEPVLQLQNLKLIGDLYGSLDDHYDQITTNFKNIITQEGQAIMQKKNHQIVE TNQDQIFSDPAFIGQFYSLYQKYSLLIESCFAQKSDFIMALNNAFESFINLPIGNHEFAD YLVTFIGEQIELLRNSPKNATKSPEQIVKLFVFINQKARFLKLYKISLAQRLIKYQRQVE AKKNKQACTIEMNIVEEMEKKCGAQDLESLKIMIKDFQKTENEVTKIQEEKGLYKLQIQP PIIINKQHWPEIDEIQLNLQSEIITQQKEIIAKRQQQKTLIWLDLISYVEIQSTEKALTF NLSVPQAVILLLYQYRNDILDVNRISSLTGLKEQYVIHNCKMMKDAKILDEQIVNDQICY QFNENLTKQKKGKSKKIVTETYFPQNQVEQVVSVYDKDLAIEAAIVKIMKKQKEMQFSDL VIQVQGHMKKNQNVEIPFAQIKQMIERLQRNEYLERDANNMQLIKYK >CAK59389 pep:novel supercontig:GCA_000165425.1:CT868002:120141:123116:-1 gene:GSPATT00030022001 transcript:CAK59389 MNSDEMEKLQPMFNRISRVSQKPSTQRAIPIKFVQKMELLQNSLLDPTLELNSLDYDQLT FDELNQLFKLNKESKIELLISLFNLFFKQKKVVGEIDILGKSTILWSRKKIEIDLDIREL MIHRQNPKEKPYLIDLTEFLINYRGYLRGRYQYELLCRDPNAQIKDIIIGSEDKEEIAKW IEYFQTCCKQENVERSKTIILKNELEVEAKNFDTRDRYRTATIKRKQADDTKITKQIAQS KSQLQYIEHEAIIIEEQIIQENLPVIFETLKEKLNLEFLMDDHDFSLISFEHCARIMQSK LNKNNFRVYVSLPKTSLEILITSLYDPYSLMKWNKQVKNQSIILQATPFLAQIEEIREPL SFIHQPRAFKFLRYIYPVKDSFFIIEKSYENHENDGSIEWNIIGIFMQDLQSKIMIVDTL VYNKGYITQHQDQQLILNYIQQYQNYKQFLKEENRRQQIGNVNLPIFVSEAMSLINRYEQ LKNKQIKRTFKSQGVRSAQQDQTVSPNLSSQTSQLNDNFSLDGDNQNRDSFLQEDIRSEQ QRITSNLKNDNIQQFYQISKYTKESLHQFLCDRLLDIEQQVHHLQQIHYYQTKPQHNIQL ERYEKDKDGKHFFYQSDWVYDAQKGYLKFVNDEKLAQQKKVLYYILQKIGQSLLRTSILH ISLPVHIFERRTNLQRFASSFSYAPHFLEPVVNSTPLDQMKAALAFIVSINILYLSLEKP FNPILGETFQCWIKGCPLYLEQISHHPPIAAFMMYGQGYNINGHFETQANLGLNSITGQN FGKVNLEFENGTKIEFNNCKGYLGGITIGDRIFYLEGPIYCIDYVNEYLGELYFNPPCGL FGKKMPLDYFQGSIYKIDEKEVSRWKKLGYKKYQGLQGSAQKYPALSKIDGLWQSEGKNF NVDERNTFNIMTDFPFEVYPEQYPLASDSQNRLDLVSWQLNDFELTMKHKEYLENQQRRD KQLRKKK >CAK59390 pep:novel supercontig:GCA_000165425.1:CT868002:123228:123613:1 gene:GSPATT00030023001 transcript:CAK59390 MNCVQINNNQEWKEHVMSCQKPVVVSFFAEWCAPCHKLNPQLIQEAQKNCEKWQLALVNV DNEELYDVVQQIAKAQIPSVHLLNRGTSIDSMTGYSERKTKSFINRVCS >CAK59391 pep:novel supercontig:GCA_000165425.1:CT868002:123618:124182:-1 gene:GSPATT00030024001 transcript:CAK59391 MQYFQILKPEIGISITRGLMKGVVLIIIGKTILSYHPFDFQSDYPEYFSRIPYKHPISPP IYAPHKMEEPEEREKYEKLFDSIIQISNINREYYMFKNLFHPSLEDGFIIKEALWESDGE RVLDEIRGEVRRQLRQAQNLELQNQFHVTQRKALFSKLNGQIRPSI >CAK59392 pep:novel supercontig:GCA_000165425.1:CT868002:124595:126129:1 gene:GSPATT00030025001 transcript:CAK59392 MKYKALNYRNQIFYCFQSIKYCFLINSIMNYFTLRFHDSKLERKYQENYSNELYDAFKYT MLLLSLSNIVLSIFWIQRQQFTLGCMMIIICLGQTITFYYILIKKRFITLVGYLISILSL LSSFYQFLPYFYTEFQQNEFIWIFDISQFMALNFALCPNYILNQFLQFLFVSSRLFMRNF AYLNPYSFIFILYLILFWQKEYFRQKHNRNQFFLKEQQKQSLYLWDTLIQEKIVLLSYDE TWNKIDLVFANSSIKQMIDLDSKEFLKDFRISNIKTNFYQYLLDRIQDKTFQFQVKVVHI SKKKYVIDCIMNKLIELNISLKFSEVQLEKEYQNNYSYLKLLKKIKMIDKQLYYNEIQKH IIQQSYYLKNVTIDQVEQLTLSKLRAFINFILKKNPTKVLFHLQQFNTILPLVFTLISCL KKLYQIKEIHFIELNQNEINFILIGREKKKLPETRVEICKKIINHIVDQVGLYECVFYIT QFNCTLVNMQFKIESTPYSFE >CAK59393 pep:novel supercontig:GCA_000165425.1:CT868002:126879:127490:-1 gene:GSPATT00030026001 transcript:CAK59393 MYRNFTSNNTRTTANLLGLKYLLKDFSDVPTKKFTKLNADEVNQILSIHELNSNWTLNVS SLVRKYQFQSFQDSFSFMAQVSQIAEQMKHYPKWFNKNGLVTIDLITNEVKGVTFKDVLL AYTSDHISQIIQQNHSNSIFDNCNIHVENLIQQWNHNYQKSQELNQVIDKSVNFL >CAK59394 pep:novel supercontig:GCA_000165425.1:CT868002:127873:129700:-1 gene:GSPATT00030027001 transcript:CAK59394 MKLQKALEAQKAPNLILSDQILNEEGCVVVAQFLAQNTQFINIELRGCNINGRGFERICR SISPDARTLIAEWNAIGGGVSALCDLLMNPSYQLQLVDLKNNRIQADHCARICQMLKQNT SLQSLNLKWNEIGEKGAQYLLEGLNGNRNLKFLDVSCNKIPEGLATQIRERIEQNRSTDL MAKNSTGIKESQRITYQPVKTIPKTAATTNPPERAVKKNDAIRVAQLKEQIDQELRQNRL MNEELSNRMNALRQEILSKEQAVSMAKRHFEEEVTRKSIIEAEYTQVKRQFDELGKASDY RQQEVMNEYVAKNKHVLALENEYMHELSQIRHDNKLQIDQITANWQPRLDGIQSKFNSLM KANDQYNQQLLRMKEFFLKMRLDHDENVKAVEGRLLIEEKAKYNHQIELLKKREMESQQT LKRAENESQSIQDDMQRLETNLTRDRQLIEEQIQQEREKVHQTHLQISKRSIKQEKLINN NIVLDHHIDNLIRKIDETFFILNIQKDRGMDDIQQRRILQERQYALHKTNLQLQHQRILE LERLMSNQEGNNIKLRAEYEKLCHIVQGKVQNAVIETCRLNLQLG >CAK59395 pep:novel supercontig:GCA_000165425.1:CT868002:130126:130389:-1 gene:GSPATT00030028001 transcript:CAK59395 MFHQFKQLKLNSEEIASALEIHSLQWHPTKDTTKIKKEFKFNSFKETFAFTESISAVAED MHHILFILNIYQIIQNGSKRKMQSMWR >CAK59396 pep:novel supercontig:GCA_000165425.1:CT868002:130574:131952:-1 gene:GSPATT00030029001 transcript:CAK59396 MSLVGSGTLIVKPLKAKLTHDTEFLGKMDPYCKVTLGNQRQRTREHTDAGKHPSWNQSLS FRRTNEYLVDIQIWDADEVTEDDLVGECSIAIQPYLVDVPKPAEWINLSYKGKSAGQLYI AFEWFADSKYQPQAQIPSNPQYYQQNNVIPMQPPGAPYYQQPYNQQMPYQQPPNYPQQIQ YPQPPPYSQPGYQGTQGYPQNQQQKYPQQGYMPNYPPNPQQGYQPNPQQGYPPYQPPNYP PNQPPNYPPNQPPGYPPNQPPNYPPNQPPGYPPNQQPNYPPNQPPNYPPNQPPGYPPNPP PNQQPNQQGYPNYAQTMQNNPQGYPNQNQAPNPNNQQPPNYQGGQPQNYPNNQAQGGYPN NQMPPNQQAQTLPLGQQYPNLPPINQPYPNVPPGQQPPPQPNQVPPPGQQQPPAFYPGQT MPNQQYPMNFDPNKIPNPMGN >CAK59397 pep:novel supercontig:GCA_000165425.1:CT868002:131975:133928:1 gene:GSPATT00030030001 transcript:CAK59397 MSKKSNLGKALQRQQQRKMNEGAAKVQNQGQFFHDGKVVKEEYQIQQENLQSIIDQNPLN EYLQMAEMANIKYQAEKKSDVVVNEQQKQLVINVNAIRKGQLPNSSVYDYQKNQLLVDLQ IPRRPRWDEKTTVEQLRLMENENFLKWRKELAKFEEEHYQIQLTPYEKNIEVWKQLWRVV EKADILVQVVDGRDILFYHCNDLTKYVHEEQNRVYRKNQTKINFLLINKSDLITDKIREE WSAFLNSKNLNHMFFSAKLEQEKIDKEEQVQDATNILIQQEEPKIEENLEAFINTPRIAD RRILLSELKSLVQKIRKQRQENVEPTKVIEQDDHDIQHDENTVIIGMVGYPNVGKSSVIN AICNKKLVGVAARPGKTKHFQTIPLEKYLLLCDCPGLIFPNASSSRAEMVCNGVLPIDNI KDYLSPMDLLAERIPKIVFEKLYGINLQEFKLIDASTVLSTYSQKRGFMTGRGLPDEAKA AKLMLKDFINGKLLFVKLPPSYQGDALWQSNPLEDLENLIQQQQQQQNQLNDDANIDNQF ENQLIQEKKITNEEILEIFTQENLAQLMEGKKVHGIKLTKEQRREIKHNFQRGDPIDIKK YLNLQQNQKETLYKTYIQGKRGQI >CAK59398 pep:novel supercontig:GCA_000165425.1:CT868002:133939:134673:-1 gene:GSPATT00030031001 transcript:CAK59398 MIKEYDYLFKLVIVGNSGVGKSSLLLRFSDDTFSDSYLTTIGVDFRFKTLEIDGKKVKLQ IWDTAGQERFRTITSAYYKGADGIVMVYDVSSLASFEDIDRFWINEVDSYAEKNVELLLL GNKSDIEDKAVTTQKALDYAAIRKMGHMEASAKTADQVSKAFIQLAKKLIVKKDSQGQKG QGPQRSQQTPGQKIGPQADDNKKDKEKCC >CAK59399 pep:novel supercontig:GCA_000165425.1:CT868002:134916:136564:1 gene:GSPATT00030032001 transcript:CAK59399 MFKHILFDSISEIYAAYNQKIYNRIIHPHTDESAIDIDLKNEIHIQLQQMQFILFFVCII ALVDCEMKVLRPADLVDRLGSKIQIALPNFGVIPFGHRLMGYVDMAEPQDGCSALQLAQG SQFILMERGNCSLVSKVMNAERAGYSLAIIGNDNERPLDSDLVMEDDGQGYLVNIPSIII SQRDFFIMRDYVKSLGVVEVSDEKVFTLVKFDVEKKSRVDVTFSLDVSDRDSFRVVDEFS EYYDLLKQEDVGYKIVYQILAGNTIGKDIEYQIDADNCICSRRYCAIDPDGKGVASGRNI VEEVLRQSCIFQNDGKEYFLYMNAFNFKCTYAQAYNLCGNKIINTLKLSADKINNCIEES FKDIYDHSVTKNYTNAYNIILEQQLHQADFAGMVGIPSVAVNSVVYKGQLTGKGIFGEIC NSFITPPSVCKSEVDNYQQFQYEGQHYLFWVMLVVSILIAIFIIVLYFLFKKFVLRDSVE VTQVQVNEMVSQYIKFNEGKGQQKQNSF >CAK59400 pep:novel supercontig:GCA_000165425.1:CT868002:136647:139364:1 gene:GSPATT00030033001 transcript:CAK59400 MIFQLRYNTKLSESLNNSKDYYSMQNTKWVAPRPNQHRLEHLQFTQRNKPRAKQYPQEML LCLVSKGLVPIEKQRMANLQSHDNSCNYKDVTSEIKQDNHPYYASKLNPSMSEVERLLPP LQFIAVRPQIRTQSKDKDPIETSTSKSCKLVSPMINRSDQKKNVKRSESKDNNDKIGRTS STPFIHKTMQNENLTSRSGSPNQRSSSKKTKTQKLNIQPKLTQINERRYTQNHQETQVQQ TQANQKMAESTEKKLQVKRKKRQLQTTQQQQILQQQNSQKDKLNQKHTSYRCFINKINFS NNQCIQSPLKMDVPAYYFYVGKGNNGSLLKNLFRQRWWWQEVDSLDLNKVNMSWTQLKQN ACIECLPTFNMPTNDTNYNNDSFIQSIEDTVVESSDSELDIHVKPRSVPVLSINQQLRQS IQSKKKLQISNLNQLKRIFNQVDLHKILNYMEENNQWDSQVVFSDCTEKLLMSIKGLQTT IQQESKNYKMHNHMQDNWHLGNKKALFYNMRNYFKIIKEDYTKYIPITFHIQKGMTDAEY FKFVDYYNKRQEEIKELERKLQLEYRRDKRPKPISLWIVKPGECTNRGNGITVCQDLQEI NKILNEEQPDGRQRTYIVQQYIDNPFLYNKRKFDIRCYMLLTSQNGIFKGYWYQEGYIRT SSKEFTTKCLNKYIHLTNDAVQSKDEDYGKFEFGNKISFLEYQRYLDTYHPQSKFNFFID IYPKMKNVALDLMKASYGKIDPQRRSNSFELFGLDFMIDDNFKLWLIEANTNPCLELSCP LLSRIIPAMVENLFRIAIDPIFPPPYFEEWPQNKKLFIPDNVLENNRFELIFDELIEKKT MINLYRDSKVEQDCFKIEEEEEEEEKD >CAK59401 pep:novel supercontig:GCA_000165425.1:CT868002:139548:140685:1 gene:GSPATT00030034001 transcript:CAK59401 MDAIFQQEKEQILAEFESKLRRLQLPVQNKQYQDGSEYFGELNNDLRWGQGVYYYYTGDV YAGQWANDLFDGDGTYIFASGERYQGQLKEGKKHGFGTYYYLNGNSYTGNWANDKKQGRG VYVYAQTNEKYDGEWNNGLRQGYGTFTYQCGVYDGEFINNVKEGKGVLKYKSGAILDGEW KKDKVEGEGKLTLPNGDYYKGTWVGSHMNGYGRYQYQSGGYYEGWWKQDLFHGEGMLTYE NGDIYRGEFQNGLKHGKGIYLHQNGDQFEGEFKNDMRDGTGVLRMKNGDRYEGEWSNSLK EGKGTYYYSNGDRFEGNFVGGKRSGHGVFYWPEKSSVSGFWIDDTMNGQGVLMKDNGDKL QALFKNNKLVA >CAK59402 pep:novel supercontig:GCA_000165425.1:CT868002:140735:142807:-1 gene:GSPATT00030035001 transcript:CAK59402 MITQIQEILRSVDIFGQSINLSFRQREKYKTSFGGFLSMCMTATIISFFYSNILSFFSMT TVTSNESLIYEDNPQLLKLDPSIFMFAIQIEQSDFINNPFFNITIEQRHYHRYDNGTQYK YPSQYIDLVQCTQSHFASIFEKYNISFEEQYNKLNISNFLCPNLNNENALNLTIGGTWAS TDYYFLKFSVKNCVNNSESTFSWKPTCKSPEQVKEATQSAGSFRFQLYQINYLINAQKPK DYIQPFLSTDTFYSFVPNSMFIQSDIFFRFKEISSDKGILMYPDIEMETFPYREYGDQRE QISINQLTPNYYGAFYFSRSPYKYTIQRDFMRLDELLSYLGGFTQFMIVIVGIFVSIYNR EHLKIQMANDLYEFDMSLKKQNLRNISNSVMKSKQKQGTQKRCSNKKIDSIGQSPDICLN IDKQNVISAYHQQEGIDNEQYEIQNIQKNNLITNQTVPDKPMMTQMKNQVSKYFDQFCQY VKKKYKVEIGLRMIIASFLQFDCLKNDDGIVVEKAMQFLVIYFSNQVNKELDIQYILKQL HEIQKFKKLLLDNNQIDIFNFSQKPIIALYQDSQMRRRTKIIIGDIDTNSQEFALFKQFT DLVNSYQQIQKQEMKGQYQLINLNQRLLQLLGQDLSEILEKEIALKDQIISCEDDRINQF PSDQG >CAK59403 pep:novel supercontig:GCA_000165425.1:CT868002:142971:144727:-1 gene:GSPATT00030036001 transcript:CAK59403 MLQFISKRPFCVQLKDINTMLSRLSSSIKEFKYVNRNIDKAIKSREYQEILERLPQSHSQ LDNYGLQCGLDSFSHIFDHLGQDSNLEPVRQFLAKKADNLLQYPINVLCDTYYNLTLLRS DTNKFQDQILTHIKINQSIPGEQFVRLLRALTKKFNLTEYDHQLILVLNQLNIKQSQVQI LLKCFRYFAELHTLHQKPLYAFPELFEYIATQIKKFPTHSYGDIFCAYHHLGTAFDQSFL HKTVQEFEHSIATYKNGMTQLAMQQYITILRIANKLDKSDIVKFNWDLHFNALKELLSSR DFNQKTNITSFCSLFIDKISQYDQSEKDKLFEMIRSFQTPGIWDFFYQNKQYFTQQQNQL ILEEFINYGQEQQQVSDQKYPQNYVFYNKYARLYNLPERKEQQFLLGGLVLSQYEQTTDE VQTMKFLQNVTIKNQFWSSQFKILLSSINGTQTLEFWKQQTIKNTRRVQEEYSFEIQRHL NGDFNMFPKVFNQYQCQFVIWSFQHFQMAPVLVAPIFYRTLGTHQKYVFLKVQPDRRIGE LIYLSQLWFQ >CAK59404 pep:novel supercontig:GCA_000165425.1:CT868002:144740:145395:1 gene:GSPATT00030037001 transcript:CAK59404 MFYNLPRTPYIKTRVQPRVLYSGFENAKIVKVQNTFEYYHYLQKKKEYSDQQNELLEGLK LGNEDMKKLSYSVKCFGVSNKEGKFGVQSQRKFMGGSFESHPTYEVNVQSERISYPFKSK RLITSRRQLRDKQKPLSEIIKLPERPETVRTELASNVDKIVKKSRLKFKQQHSQPQPEIV FEKGKAKYQSYSRLLSEAHSHESFYKILSP >CAK59405 pep:novel supercontig:GCA_000165425.1:CT868002:145487:146419:-1 gene:GSPATT00030038001 transcript:CAK59405 MNNILLDYCPDCISIIDENNIVVSTYDFINDDTKTGVLLLLNKNLNIVNKLDCDGILHFK IINNQISLCTWKGDVWTVDIKDNQFHLVNKQSFVECFQDIKLLYLDCNAQQSLLLGGDNG EVILQKEGQIIYQQKSHEYSVWCTLLDNTNQDLFYSGSDDACLNYYDARIGLLRKDKKSH SQGITYLLNDGEHNLITGSFDGYIRIFDKRQPNFPFEEYKREGGIWRIIKRGNLYLNGLF QEHKYELIEINNKQVSTLQEFKEHTSLAYAMDWYNNLIVTSSFYDKQLRSYTI >CAK59406 pep:novel supercontig:GCA_000165425.1:CT868002:146857:147180:1 gene:GSPATT00030039001 transcript:CAK59406 MEIQFTDEKFEYHFSDQIYDNLRVNEDPSKVKEYFINLMSDVIKDKEVKIEQLSNPNEYV AQVGNGDCYLIEENRIYKCNSFQALKSKYLQNCSTALSNLIDLTLNK >CAK59407 pep:novel supercontig:GCA_000165425.1:CT868002:147503:149844:-1 gene:GSPATT00030040001 transcript:CAK59407 MGNTELKPQIIHSNQWVMVKQLNHPQYGPIKVFKNCQDKYQCLRTFMVQEDEAKKMYDEF QKLNQEQYNLVRINKIEHEVESQLCSTFHKIHLTLDYTEKHLKNVYQINVQKFSVDILKT LSYLDSYKADTCQFCLSKLLLFGDQVRIVYQQLLSQQGDYQRILSGDLKCEDWYFAPEVM KCLRMNDQKTFNNEKATIFNFGLIMIAIFTEVTPYEAEIYNYEKCCLTEKFDKYINLFTQ FHINKKLEKLILACVSFIPDKRPTYQQLLDQFSDPSIFEEQVEDQSPSPQKTDSTYLVPT NQLVSFNPTVMDERVLSDTTNKKLNDNQNRILMKKIDQEKHQNTPICQSPKFRQESMQTQ TTIGNLRSTKLYDLDKLQKSSRNKQNQSKSQQKKTLIIKYEVLTYQILRLVLCYLTSFVY CWWEVGHMMTAQIAKNYLRDNRPDVLAWADSLVQDFNSLTDGKSNTFAEAAVWLDDIKET GTEFLFSWHYTDRPINPDGLLIKIEDESRNINSIYAINQAVAVLTNSKTSRNRHTVFKAQ MLRVLLHVIGDIHQPLHDTSLYNNSYPDGDAGGNFLNIQLQNGTLMNFHSFWDSGALTFA PNNSFLARPLSQSDSEYLDKWSKDLMKKFPISKYSNYDMTNPSVWTYLGFRQAQQFVYPM VAASNSYSSDYEKQAIAFCEENLIVGGYRLGSKLIEIYDQILQNEANLSVSE >CAK59408 pep:novel supercontig:GCA_000165425.1:CT868002:150420:151113:-1 gene:GSPATT00030041001 transcript:CAK59408 MLKLNSKSFILLSEQTERLHQTSFKSHRYLQQLKYKCDSSFHVSRFRQKSARASIFGDEN YSKKWMQQIKIEIPMHRNQKLGKLVFDKLKRLIPTVKYNSALKSLKDHTKVLKILLGIRP RQETIKISDNNKRGSLKKIPNFIIQKSKQPQKPLLKLFSFNKSLIISQPLFNRVHRNFSQ GHISCTKLSQNDSPEKSLPLTQQKRLSVQIRNIYGVKPFSNI >CAK59409 pep:novel supercontig:GCA_000165425.1:CT868002:151182:154816:-1 gene:GSPATT00030042001 transcript:CAK59409 MNNIIKLKINLDQNDQKQSILEILEKLQGEIILEYDGQKQNALIQNRNLIVDQNDIFHLD FQNQLQQDPQWQQQNFNQAIGISKKLKFIAIHNLMKNHFYQKAQLSQIKIYPDWTLSEYR TNQKLNANQQILLNHINMQIQSSVVFSVFCKNQIEKASKIGFLWKSLDKLAKIFDTNQEN LLIGEIKEPHDQVICLKRLVFILNFNQNMQQQQQDPLILQKQVLLNGFIQDNFNIHTFVF SIKKPKCTRIELKEIVSEIVKQHKNINIKVGYNRFDISSFLKLAYIEIEQYDDYYNVDQQ FQQEIQDRASKIFKLNLQDKLNFENYICKLPLITNSNINFKHCKQGIKLNNSIQQIKQFV AQVNIHLKQLKSSSLYLKVDQTLTYYFDQIGIEQKKQILKKLFMDVTQQQYILSINDIKM QNIEVLVYFNRVLNNDIITLEQVLNQTLSKLQVYNLQMNELNQLELTIEQFQQKYFALVH VENNKIICIIHSNHLNQMLNLLDSKKQLSLLSYKPKSKLYALQICQDYKNKIVQQSQEIL SIKLSQSGEIIYLECPTKNMAVLEIFAKYEKILDNQLLEIPIGISKMEAKYLYRNCQKEI QIASDQQIFELLFNFNEYQTPTDSGDMKQFEIKKQDSKNQFIKFLTKEVNFETNLMCEVF IKFNSKLNVDQKIIFQKEDKVHSNIIGIREYFQYCQKQKLPFKQIGVLIEDVKDIFPKLL QVFMCSDQTKFQQLTIFIDNLKLKPLYEQELINSLVTSMRDTYQDFQWQWSDGRQYNDYD DAMINEQIEVAYQSYKADNKKNELMLKFPCSYQPGTHTIDINKGTILDHASGQVKILKQK DGLYQIGNEQADDILSQYINERIQMKKYQFTVFLKKYLILFKSKDEMYQINQDTKYKRRL RREIKTDKYFQFIHEFLKQKQTVETLQDTPNPNNQIYCRAKAFINQVQDIEQAKQNQIEK VKQAIQNIIEKHLITFEIILPSTNDQIYFSFLNYLNNNALKIEGEFNQNQSIQIKSFEKS QQLILEVVEFLQQIPQDWNPSSYQSLYYFDELQPDLEVVRQAFPFLDIKTIQLVQNYDIW AKYQSTKSKLKNQNEELMLFGYKKDCAQEEIGRVTLSLSFDHHTGPYVKCGKTVAYIKDN YCESQKGEKQIIVVQVLLGLVQELKQQFLISDHSENNFREGDYYYIKGNRIYPKFIITLK DQ >CAK59410 pep:novel supercontig:GCA_000165425.1:CT868002:155154:160355:1 gene:GSPATT00030043001 transcript:CAK59410 MRITLIDNLTIIAHSQHQNQAGNTMVSVILIIPYIQYLSLLLPSHGWSYWNYSDGYLSFI QKACSYFTITPFFEFQNNFIYYPFTIFSLIIMVGLILYLSVFPLEMESENKKVKYQEGRT STLCTVILFTIQTLQIPCYKFYIQQIIEAQQKNLQNQLIINIISIILYCLYIFMSEYFLR QYSFTPYHPMQQKFTRLRVSQILINLIAIVLTLEKESRLYNLIGLLMLHSIFILKMLNHL YYKPEAPHKNMIHFEASFTLESLAILITVNVFSENQIFPEEQIGIYIMFIFSLSTILGNL LFNFLLQLNFDPKTKQLYQIYKLYSYIGNITTNSITTYNLIIYQLINDKDFQKFQQKVIM NQKSTKMQDYYKLGLYIITEIFIDLKEGQKSELEELQLLFVSYLALIRNKPLIGYVEFKK FESNQNIKKSYYFQLMKSRIDKHLQKKITAIQQIYEKEQQYMKNVTQEKSVSPAELYEYS QIEEKFQGKVSDLIQLKISIWESQINGCKSIYEFEDNAVKLSKQIISCIFFLRSQTINVI QEEYIKNCDNILKLKICSMFHSFVLNDYYSAYLCEQKIQDIMKIETTQEQNVITRSNILK DETILIIISIVKQLGQVLNLNKSQIANYFGYSNSEFQQIANIKELMPQYFGNQHDQFLQT YIQEARTDLAFKETLTFAQGKNGFLIPQYLNVYNNFDINDDFTLIGSLTKEKEVHNYLIF DEYGKCIGITQQLSKLLVPQDNLEYFLENIDSFYVYMFLPHIHIYMNDILNNSNNNDGAI QQKSVLLYVFQDFIGLQKMHQAILNSYQQGSQKYVEQTRVFSQFEQMNSLITSPRNGNMK RLISEAKPSNPLEEVKNLEQSSLDFFKIGSQQKPTIMGTQQNANSIKENEIIDFLNAIEV LQKTIYFCTAKIQLNILGRKENKQHYFILELSEFVDKSNQQDEEKKQMRRQNLPIRRAAK RHTSQKQSYIQQFQTIPKSPDYHMDSSLMSPVGSVLQKKSFLDKSPVNNQILHYVSERRL NLMGSLGQADSQSFSEEVFSNEIQQVLQHQNEYKSNHGVGILKSQSSTKSGNSGLTAIEI VNKFKTKTSLISSLAIISLIKLVVLILFIIFMIINITQVNVFNESVAKFITDINLPINLN KYFLNLFTYSWITSLMLNDILNASQFINNQMYFQSQNMETTFINLTKMYTNFISLEENGY LDNLNITHLDNTVYVENVDFTDYIYYLEAIGYRLLHFESNSIYLSNLLKYRLNFGNIIQN NFQIIQSLGSYYSDQQDGKILLLFNQILAEIIIIGLIIFSQLYFWRRIEQYCQKILLLSN RLTESGAENQIIKFRLVAQIMKQTFGELGFKQQNCYKLCYTDMLQSKKGFKQHRSKIQSI LIKSKKAKQQEIQTKKPGSSTIPLNSRISNVQINIIFKLIYVMINISFVCFFFLGDYLLY KDQSLKLNPAYGLAMDYIQFQIGFENTITVALMIKSEQQIYSVMSEVIQNEFLVLSNTNI QYNVTSVLTQVYSFNHSDLNSIYQKIIDYDIINGEDETYILTIYNNDFCLVFDDQEIPFC NKNLSPQQFRSEYGTYDSRDNNSQYLKSGIVGIVSKMDTFLMQYYEFEYQTGSLDPDFVK LNQQLNSQDFTNIIVQHYLDTYLGFEEFFNNIQESIINLITSQQNTQDLYQFLIGIFLLI FLIVAGGLMIIKVNLRLIHLRLLITLLPVEIMLDIYTISLLKILQ >CAK59411 pep:novel supercontig:GCA_000165425.1:CT868002:160438:162093:1 gene:GSPATT00030044001 transcript:CAK59411 MFLYFLLICQSMELEFSFLNDTEQQMTNVIMNAEQQSFIKRLDDLGKILSVNFQSEDESS QFCSTFYQGVTIHQSPSGFISLVHELAKKDIDELSDDDLYRGYNEKLSAYAVNPEGISII SSKGRVYFIDTNSKNLSIINQTLPLSNDNQQTTQLIFDEKSRYFYAFLNDNNQVVKYQFL NNEIQHKPVSGWTKPNDKFRVIASNGILYSAQESQGLFIYEIQQHGFRLITTITAKDLYD SDSDQFKIVDVAVSDDKLYILDHYHGITQFQIRSTNMTFVKNGKLGLIKYSDCKSFSVRG STIILIQNYQSNSEIIELFIQGDDYVEMRKIYTKSQLRRADILDDDFAIVRGLHDHKIML IQMPDQYLDQDAKRLDNYFFSGNLLGVNKFGNTNDTLFAISPHGFYIFVYRAYPTIIICN SNDIDSGLYSANVSIKSTDCDKKTDKTNSLQYCITNHVYNFEIKKPLLSPEQQQYTIYLT IILISIILLLIGCILFHCRSYQIKLETLERSKKKRNFRPPSKSEA >CAK59412 pep:novel supercontig:GCA_000165425.1:CT868002:162122:163954:1 gene:GSPATT00030045001 transcript:CAK59412 MQFRKIFQFSTLSKQLIQDTERYYYLNQQSTRNQMSFEQFQQHKQNYFNTLLTCDLKQIS NWRMLIQNLFRIETEPNDLMKQIINRYSELFGIEDLLKINNYKLTQLLINHHNIYDVPIN LLKCDGIAQIIEQYELSLTVYRNVQLNKLFKHLILCQKLNLQVIPIHTFNLVHYNIEQSQ ELEFLNELVLYQRSKRAQTNKLPNETWNLVFNRILSSRLDESRLLYTCQLLQQFAHQKIN IKFDLMNLLKHVIDEFRMLQKREATLLELIRTINILKANKYDIAELKELRKICAKEPQLQ GDKEYDLYMNLEHQTQSIELIDNQNLNAYLTEILLGDVQKLGEIQKADLREKILNKLQTE TDENSLKKIIVVSRQLWPTEISIVQRCAKQIRHLLANNDQTKEMISLAINSLYEFKRIQY PFLDDQLINYLACIDDLYINPQEISVLLYYICLSLPKLTKKELKRDNQREYPINENLSKI LINLLPVIKKMCSKNALRLKVIYTLTDCICKYKEQLVDVDLKFLFEKIEFNLVKELELKQ QCRVAVEQKDIQFLHERFRYLKVGSRKLSRFFDDAKSNYFGALQKLLQRQRVYLRMRQSR GKSIRAQRSF >CAK59413 pep:novel supercontig:GCA_000165425.1:CT868002:164004:169401:-1 gene:GSPATT00030046001 transcript:CAK59413 MKFIVFEQLSCLAHLTHNTQPSDFWIILIAFITNLQYLSIMLPISGWNLWKYSNGHFYLL SKLAQASLIIPFGFESNFNFGDIAFGFVALLLLTFITIIIILVILGILDDINPLQHYSLR KLIFYFFMLSTTIFQLPIIFLLIPFIMIGRTNFNQELTIFNVKSILSLVTLFIFSIFVFL QQYFLRAYTFVPFNYLQQKFNGLQSIQYCLSILIAILYLVDSDTIVQYIQIVIIFLDIIL RLVESYFMKPSIPFVNQLQFSLNFTLIATLIILSINILTNNEQLFQEDQLIYVLMLLCPM TFHLSNQFYIMKCLSVFDYEVTPFTCIHYTQEMHFKYLITSDYQRSKEFFELYQYWKGHK VVCQKHWLKQNYKTDYNQIQRTHQTIFCILNTELERAQLDPRTAYEELQLLYISYVAQFC RKPLLAYVELKRYQSKQQIQSFYFVSIRDQMSVYLQNLIKNQQQTNQQLYGQQKVAMTER QLSIQSIFEALQFQDKFIPSIIELLNDKINFWNRQIKGFNNIYELERLAINQSKKIFNLA SQINQYCSIDIKNLDHLSISNNVQDLKLVSIFCSAIMNDYYSTQICETAISEIYNIEHTL QEDTITNLSFIQERAVILMLSLVKNRGKIINQDKKMIAQFFDYSEQEFAQIDNISGIMPS YFAEKHDKLLLNYLQTAKSQFFDDYNQVFGQSKDGMIISYQLKLDNNFSELDDYVLIGCL SQVKKSSDYLLFNEDGFVIGMTENFYSTIIDSKDQSYRILHENLRQLNAFFMLPNMTDIL NELKNSYGKDSIYIQQDKFYKIWKYNNNKDLYEMSAKLAYSSKQNSFINDSSLFKSRASF ASIEKEKADIQIYQQLGQSLSENKKLKGVSLLQALTIYSIAFNNQIMNSLQVLETKPKQQ YVAKINAVYKIIGKKENRKSYFILEINDMRKADEFFKTNDSLMNNQHTISIQTTNKAKTM PGAQDLSESNLGTMNVDKEEVTPNISKQFQPGIQRLLNKFEGKNYVQQNYMDLMGMESAR DSISYGPLSQRINFISPSSKQQHMQELIDKDFMDIQNQLEIGNEEIGNDIEMADSSKVKS NSKDQNQKSNNLIEILQKNKLNQKNEEIDREAKKSKSSITSGTSGISAMSTIKKFQSKTE MTNSLRVLVSINIIIIMIVLAYIIAHLLKIQSYNNQTQLTLSNINGPTLFNRYFFKVFTY TWSLVFNTLGIIESSDFLITQTISEMKDLASVMFSNLRNMYDTFIGIEQSGMLSQINLDF LYQSNENVTYTYFINIISNVADTLFQALNYNMQTLIQLIDRNYIDNLLMLRYNLKNVVDM NFQLIDSLNELFFRQQQNQLEEFQTQIIIEIILLVLILNCQLTYWRQIEQYSQQILILVG RLQINQAQDMITRFTAVTETLKQLSGKYGWKKQNYYKLLFFPLNQIGIEAMQTQSRVLKE YQLSQVGMQSIKLNHIIEDRKNYKKNLNVVLNSKINNPNTTLWSATIIIIITCLIFLFYL LGGFLVFKKQQNDLLPTQQLTLGFIRFTSQLDIVVSTAFITKTQPILYNKLVELEFYTDK EMETFRDQRVIIKIFISLYSNYFENITQIYENIIESNKITQEDEQVLLLLYSNDFCELLS SDIPFCNYDNTTNFYEIYGTPTRLDDNRDYLSKGIQGVVSRLDSFFKQNYYYETNQADYF PDLNVVLDLFNTREFTNTLIEHFLDTTDGTNLFIDTLMKAVISITQNDLNSLYTYYLVTG LMLLLMYLVFYGCWIYRTNQRLIQLRLILTNLPIEVLTEQHTLSLLKKLQ >CAK59414 pep:novel supercontig:GCA_000165425.1:CT868002:169660:170874:-1 gene:GSPATT00030047001 transcript:CAK59414 MIIHKGNKIQIDNHHEDLDQEVFSICQTHKCFYRSFCTFCDPEKDPEEFYCQSSQEKPVN YFVMDIEEASYFDNQYFNAGISSYDQLIHKDKIAELQKNKANDPKDIAIQLGLYTKQDVK QLIDKAVLDNWQEQQEFSVTVDFWEISKTKTFINRAEQILEDLNLQNSIIIFPAKKSILI KVSELYQQQNSKFLASYQIIDKQAGLLKAETAQIAKKDSLPDYDDQKAQYKRILNVLLKP TQQFPFPGEIVYFVDQYKDIYAPAQKFGKQQTQLNIYCNPKDVNKYYNTAGVTGGKVHEY SSQEKRQITLKLRAIISNNFIFTETQSDRFEKFFTEINFPFDLEYELRDPRNHDTFGCLQ VIIQDENGCFIEQKILEMGSNNDLHEPSVSLSEETLNLTIFSKE >CAK59415 pep:novel supercontig:GCA_000165425.1:CT868002:170895:172084:1 gene:GSPATT00030048001 transcript:CAK59415 MKVIVFITLLVCVFGQQPEMLEQIAQNSFGRQILQTIQLELTQENAARQIYTMLNKLFYD LRDEDARSSKANGERQAQCSDQFALINSIQEKAVVAKADYERQIPGKQEELANKVAQVEQ KNAEIQRNDQLYVNLSEQRRKEHETYERKRDELIGLINGLKQAQQIIRQLQTPHPGGALV QLKEHHEQLIKSYAANSEFKSMASLLMELCTDAKIHSDNDNVQVIVDIINDLIESIYDVQ KREMYAEDWAEKFFQQDLLRLSKENVRLQGQIADDQAAAEFAQQRLEDLQQQALLQQIIY DNKEVERKSFEVACKEDNNAAEQARVSRNEQIQIVLQLLELFENNFNDRTRAALLQIVV >CAK59416 pep:novel supercontig:GCA_000165425.1:CT868002:172084:172452:-1 gene:GSPATT00030049001 transcript:CAK59416 MDMETLNTNISSEVSKKIVINSPIVKNIIGKQLEIVEIPSYIVLDSVFEYEVLDNLESED SQSSEKKRFSNGKGILKQATAKSHGSGGSSASSSKKVSFCRTTTFFEKKSRKGIMLESQT LS >CAK59417 pep:novel supercontig:GCA_000165425.1:CT868002:173093:174850:-1 gene:GSPATT00030050001 transcript:CAK59417 MNLETLLDQTHRNTRRGIVFQHTRKAFTIKPDSPKTREACLALGYDPNIFQFKQLEDFAE AGISENVQKMRFDHYMKKTEGALQEISKVRKIIIKKQKNITNLHLEKSYQRDEELVNDLI ETYNKKMSSIDKEDKDASYLSFDEDDPILVLEQQLEKEIAKYKKSLQIKAKEVQHQLNNE KRRQKLHQDMIEREKKIEELKFKISIQKARKKKEMKQASQKKVNEIKQKEREKQIKLLED RKRQAEKEEKIRKKLEQDEISHKKELDEQERKYQERRLQIQLRKTLQDRQYNQQMAQTMT QIQQKWAETSLNKEKQIWESKLERLTLRSSCMKKNQSNTNQELCKRKNPWFSLLFKNQQA KKKILKVLLLYSLALKQSKEREEQNKLKDEKLRRRKIEKSLKNIKSQQFDRVDSLQKKFQ LLEDYSLKRKEELDYQKSLKQERMKLKQQDLIENYQRQERLKDLRFKSLIKSSQQLNEQK SLEKVSNELIRKAQQELQRKLKKDSENLDQSLVNVSQADEKVLNLRVSQLEKSLSNLKQS SKIIH >CAK59418 pep:novel supercontig:GCA_000165425.1:CT868002:174883:176439:-1 gene:GSPATT00030051001 transcript:CAK59418 MQLYTSVYRFSRLVPRQRLTLNQADPTIFGLIQEEIKRQRESINLIPSENHSSKAVLEAL GSVMSTKYAEGYPGARYYGGTQVYDKVELLCQQRALNAFNLNSNEWGVNVQMLSGAPANF AIYTGLLAPKDRILSLDLPHGGHLSHGYQTETKKVSAVSSYFEVMPYRLNEETELIDYEQ MEVLAKAFRPKLIVAGASAYARIIDFQAIRKICDSVKAYLLADISHTAGMMAAEQLPSPF PYADVVMTTTHKSMRGPRGSLIFYRVGQKEVDKNGKPINYDLKSKIDQAVFPGLQGGPHF HTITSIAVALEEAKTPEFKSYQMSVLLNSKKLADELLKRNFSLVSGGTDNHLVLVNLKPK SIDGARVESILQAVNISVNKNTVPKDKSALVPNGLRMGSVPMTSRGVNQDEFAQIADFID RGVAIAQKVKGEAGPKVQDFKDWLAKNGDQHPDIQKLKKDVVSFSSQFPVPGLD >CAK59419 pep:novel supercontig:GCA_000165425.1:CT868002:176606:177585:-1 gene:GSPATT00030052001 transcript:CAK59419 MNSRYQINLKQKLGSGMYGTVYTAIDTFTNEMVAVKQMSKQELGLDNKIFRQEVESMRSI PYQDGENGSKYLIRYKDFYQCANFNNIVMECFKDSLDLNSYIEKKGKIQEDDALQIFYQI ASGISFLHDMHIVHRDIKPSNILIRTKPKLEIKVIDFGFARLLNDEQTTSRTYVGTPMYM SPQTKLLSQYDPYANDIWSLGVLLFFMVTQHYPWKAKKSDQLEKEMLNYVAQQIQINING IPQYAKELIMDCLQVEECARIKANDLAWKTKRIIQIIIEKSCQPIFKIPRFKWEQLDGYR PSLQYAFINKEELEQMEQ >CAK59420 pep:novel supercontig:GCA_000165425.1:CT868002:177732:182604:1 gene:GSPATT00030053001 transcript:CAK59420 MSVIQITAPQTQNNFRWLIQKLIMKMNRESDRNSEEAAYRINRGALTCFTTLCNTLSINN RVIEVNLSGCNINVYYAIEISGLIQKNKNIQILNLGDCKINSFGLSCIFKAMIDHTGLKI LNLFNNQAFTTQVVQDFCRYVLGGKNFLQEINLSHCNIENSLLAQIMDKTHNLSSLRRLK LSLMNLNYEGIIALSSMMLNYTGKLSLDYLDISYNQIKNDGIALLARALFMSVRLIKIKS LNLNGNQITQTDQLEQIILKIQLEELYLSHNKIVEFQNQHVLTLKLLKIDLSHNRIEEIP NNFFLNVMYLNLSNNLIDTEGAYQISQVLCCNIVQWAYLDLSTINQFSGFISIVYALIEN ISLISLSVSKNQIDGEGILVYVFNHEQIRLQYLDVSLNQLRYDIVYTLISMMKESRLKTL LLSQLIIDDNEIQSGKQDCFEIKCTNLRELDFSFNPHIMYPVLESLSNQYNRLEYLNLNS CQLNEPILIERLSQLIEKTYTLTTLLIAHNNLGDLNLSSFEFLNSALSKNSTLINLDISS NKLKNAILLLINGISNCCSLKVLNISNNLIDENEVIVRKLPLLFKNRNLQYVDMSKNWIH GQTLFSIKAIIQKMHLSFPQLLLSNLKLTADDLLIISDIISDIYSFKILDISMNQSIDYM NNFTALQDNQTISSLTINKMQCRLESYQNLQQVLKRNKHSLKLIEISNTLISHNYLYTLL QGMKYTKSLTSLILEYQVIESYALLNSFHELIQINTLRNLEYLIIQKSTLSIDFFQILKE ILRQSNKLIELDVSENRINKHKFEILCQGITLNNSLQILNIANIKLNDQKCQMLIQSLLN NKTIKQIDLSRNNITQQSYYHFFTVFKNNITQIEKLKFSKTKKKAQIKIYPDYKFDFFIN FHRQEPSSLLFFNLTTLDLSRVKYQQANLELIINRIKPDSILQNVTKLQFNECQFQDSHC EQLQQLLQNQLKLKELSLDKNNITSDGFYKIFNIILQNKSQLEKLTISNNQIENTILQFN CEQKLSFFKLLIIDNNKALGSQDLLLFLKNNKGLYIYNTWSQISEELAIQIIESYILYSV NYHIKNTPDYLKNLIIRDANLTDNFLIWFGTKYFNFQQLECIDFSGSTKFSTSVGKMHMY ISMINEQFMEYNLISGKIDNQQRIINIFDDGILYYLLIKLKMYILKRNNSMKWIIQIINK KLYQYTDFKLSSSLYKFLRQNKVYIRTYCVIQLILVPIQAILSVILAFYYDYNIDPRELK MDCKYQNPCYFSTYNEYAILISLIYFLLVLYTQVLNLYVAVKIRVKAVPDYCIRTFEEKK FQIYKYPHKQELFQFFILLFISADYYFEFQVIGLCVSFIDYLQDNNLMRELQYKFFVQLF VLSSVAITKFIIVIYTNYINMYNLMKNLDADQATLFSYIWKMSENNYVLENILRQYCPQI GIQVKNHLLNSRQIQELIQALLIDLPSFICISTFYSLSTQYYESQFTSHIIPSAYFLFMI ANLRVLVGFFFHLNIALTTRPPQVNGFDLNQTLLQRKYQSFQKECEKQPYETCEPQEDAR LMSTLMKYRSEKQIIVALNKKEN >CAK59421 pep:novel supercontig:GCA_000165425.1:CT868002:182662:183832:-1 gene:GSPATT00030054001 transcript:CAK59421 MNNKERIARYKEKVNDVLQKMVYELFKSQPENYIEWMIQYLEQLKNNSSMTQHLNTAVEY NLSSDQDDNEEIEELPLPPKNSKAFRSSVSAEVFGIHNKKENFIPRVIPKTEEQKQQILE KLMKVFMFQALGQHEQEIVVNAMEEKHFTKDDWVINQGEDGAELYIVFSGELDCFRRMKP TDEEPKFLKQYKSGDMFGELSLLYNSPRAASIQAKVDSVLFALDRSTFNNIVKDATMKKR QQYEEVLSKVELLQSMDAYEKTQICDGLKEHSYLEGQVIIQEGEEGDKFYMVAEGSLAAF KDNNGQQEEVLRYQTGDYFGELALIHKMPRQATIKAETDCVVVYLDSNSFLRLLGPVEDI LRRNADTYKKFLSN >CAK59422 pep:novel supercontig:GCA_000165425.1:CT868002:184245:188325:1 gene:GSPATT00030055001 transcript:CAK59422 MDLPSQFNLELQPVSNQQLPLLQNSEEENHGEKRSLLTLLFFLDVYPMMKVLTNLIIQQS QQVSLESDCIKELRFAKRSLKMHEQFSSYLQKDGKLIKQLLLFFMSPLLKVILILLILTL GQLCMPLLIKTVIDFIKSENRDENDAIYLILAILFLRILNIFSQAHSRRMILCVGYDAMS VVSVEIMRKCLRVSLLSTTEWSSGEITNLIQVDAQKLILITSYISSVLMIPIQLGISLYL MYSMIGLSFLIGCTIILIMILFNIFTGKQIVKSQRKLLKDKDERTKIANEIFSQIKFIKI NALEEHFLVKINQAREKEISSIKNRLYYSAINIFSVWLTPQLILSMTFGLYVYLGHQLNP STTFAIISLFQILQQPLLQLPIAINSLIEANLSLKRISKFLATNDLMTNCIHTSEFRDPT AAVDFQNGIFYWNKLINNSVELNGNQEDQNEGADNVKERFQAQQIEQPILKNINLRIEPG KFVSIIGDVGSGKTSFLQALLGEMIYIEGYGQPKIRLNGKIAYVSQKPWIQNASVKDNII FGKQFNQQQYDNAIYYSCLTQDLQILINGDQTMIGEKGINLSGGQKARISLARAIYSDSD IILLDDPLSAVDAHVGNFIMKECLLSKLKSTTRILITHALNYCKYTDYIYLFEKGEVIEQ GAYRSMLKSQKFQEIKTKFNNNYNEDLEDSLLISNPLESLVKHTKSENNNNISTISTITQ LNQSQQDEVDDLMILEERQKGNINYEVFLQYFAHNGGCLSFSLVMMIMVVWVFCYLGSSI WISKWAALSSTDEEFSRNTLYFSIYFTFGFMQAFFAFLRAVTIIHQSIKSAQIVHTKMMN TLIYAPQCSFFERVPQGRIMNRLTKDINSLDTEIYWNISWLYTKVSQLISNTFLNVYAST YLIILPILGFFLICFKMNRLYMKASRELQRLELISKSPILSYFTETLSGLSTIRAYQQTN EFLYNFSRKIDTNKKIYYKQVESNAWFLQILGLSSLIVNISAIVYCIYYTQNPAFAGLLM TYASNIDINILQTVESLSLLENGIISFERCLAYTNVKSEKRNENNVRVQNWPRLGEIQFA NFSVQYRSNLPPALTNLNFKIDTKEKIGVVGRTGAGKSSITLSLLRILESLEGQILIDGV DISTLSLKQLRESITIILQDAVIFNATIKENLDPLSQRSNEEILTAINQCCLNRLISNRD GLMTKISEGGDNLSAGEKQLICIARAILKKTKIVIIDEATANIDVDTEHKIQQVIQSAFQ NCTVLTIAHRINTILHCDKIIVIDKGQLKEYGFTQELLNDKNSTFYSIYQEALQNEAH >CAK59423 pep:novel supercontig:GCA_000165425.1:CT868002:190016:191504:-1 gene:GSPATT00030056001 transcript:CAK59423 MNFDPEHLENYDQTSIMRGTVCQLIAFTNTETKQKFYIVQGKINQNIHFNQNLFDVIKKN ECFNIISITNKIQRNEDILIQIEQCDFTLYQYMLNRKSHPFSSEEIYDILSQIINAYIHI AKYTNQSLELSPSNILIRKLKNQKILIKIFINFFNSEQKSAYNFSHYKAPEELNNSLDIN LQTDIFLLGIILFQLCYKVKPTEGINNVQDLKKFQEDLIRNRFMDRLENQDGVDQFLKNL IGKMLEYYQNDRITWKQLSMLNQLHPNFHLLKNKYYINLNQSLGRGSQGVTYFVENLETN QNLCAKIIDITQVEGQREQEIYEKLIKQHKKNKNVIEILDIILSQDEQSTFLIMEICESN IEEYFKKKNRKLRDEEIMDMLKQIVNGYCYLKDLDIIHRDLKPQNILVKHEKEIPIYKII DFGVGKIIADQNLAVTVAGTPIFSAPEVIDGKQYDYQCDIFSVLIQFILARNHFVLFNL >CAK59424 pep:novel supercontig:GCA_000165425.1:CT868002:191673:192341:1 gene:GSPATT00030057001 transcript:CAK59424 MIYDFDQEAISQRKTKLQLLLNRNNNKQRFFCYRQHQIVKQVSEPPKRVFSYSRSIRHFQ EIMSKTGSISLNKKAITLFLKRDDHTEKRSYDEIMKVTNSKMIQTDNINQDDYGFRTERN YLQKQQSPYIKQRKFSQHLNIKDKVPNKPYIQQTIERSVVSTYKTIRSSKKHTFQNEVLQ QKMDYMLTQKITEQEQQYNKNKQKQIDLYSNDNIFTTITHRY >CAK59425 pep:novel supercontig:GCA_000165425.1:CT868002:192342:193523:-1 gene:GSPATT00030058001 transcript:CAK59425 MIDQYENNPTFWGKFSFAGGPTQLPRSVLHKLEQEFIQPNGKSILEFSKYDHEYHQILDQ AINDLQSLLNIPNQYKIIFCQGGASLLFEAIPMNLLKTQNSSASYTNTGYWSSKALEESQ KFCQNVNQDKFGKRFVPEFEQWNINKEDSYLHYCDNETVEGLEYQFIPKLGSVPTVTDMS SNFLTKPLDWNKLDLVYAHAQKNIGIAGSTLMIIKPELVQNNQNIPYMWDFKEMLKKQSL ISNLPIFPIYVNTLVFDWIRKQGSLDFWDQYCKKRSQQLYTVIDNSHGVFINQVKKEQRS RINITFTLKDEFETNKFIEVCKNNGIIEVKGHRALGGCRICLYLPIPQIAIDKLCGIMEE FMI >CAK59426 pep:novel supercontig:GCA_000165425.1:CT868002:193564:195581:-1 gene:GSPATT00030059001 transcript:CAK59426 MKLLFIIALLVLGNTQWITTYEAFQKEEFDSLDGWVIQNQFEATTDIITKCSGKNIVGGF KSFGTKSTATKLMKIPPHYKLKFNLQLWKIGEWENDVFSVYVDGVPWEMKWGFTEGGIRL CGGSESKNSDKVFDVEFEILHNSPTVTTVLATTSQGKADVQAWGFRQMKISLIPCPSECG ICHNDKVQECKHWNQEALSWFHVDTKLEGWKLENGKPKGYECSGIVIFGGYENVGAKALL SNTITNLVPHSKIMVKFTFWKIDLWDNEEFYVKIDDKQVQKLAFQKTDGIDLCGAQDIPY ILKIVSIEIIQKHSSPTLTISFTTSLKNDPDEQSWGVRDFFLFAAQCTKFCEECVGTAEN ECTKCQPTHLLQDGKCINKNEWYILSKEFFTPEQFNKVDGWTFQDLDAAAPNPPTSQCGD LGLVGGYKAFGKKASVQKVFQLPKHDFVRIKATVYKIDQWDGEELTMFVDNQEFWSQFLG WNDPGQSDICGNQNGNWKERIMKIDKIIPHSQSELTVDFKSTLSKTADEASWGFREFLLL YSPLKECIEVFSECNYKGQSSRICDNLESLKDGQIEFDIKSIKIPEGLKITGFKNPGFKG DRNALTQQNSL >CAK59427 pep:novel supercontig:GCA_000165425.1:CT868002:195606:197589:-1 gene:GSPATT00030060001 transcript:CAK59427 MNGKLVQSLINLFPFQHQEMMIKCVFVIGFDKLIKKGYVTNGKPNIHEIIDYASKLQQYG RLLQVQEPCDIRHELDQLKDEISKLTQQIQYNNSIMQRQTQRSHERLMQNASPIYDDRKR SASLGFKQQQQQLVHKKSKEITPKITQIEPQTINQIHITISKHHLDDTEIKSVMRDNLIK DKPPKPIKTIQDNNNHFKKSHYVDYQHPDTEELPVFEDTDRYPVRDNYIPQYIQYSQHQH SQQQQQQQQQQLQQSQISSQSNTKQSDQNTQNTHNTHNTNQTFAQPQSSQKQLLQTSKSS HGQQKSPNRSPKFKYSQKQQSKKNLEPVLEENSYLHANQQSSHSASSKGRASNRQTKTQQ NTQAVSKIKALLDQDKKLHKQHLLEIASERNSFTNSSDKLKKMHDEHLSDSNHSKMNKII TQEMNESLHKRAFSNNSLYKQQQQPMSPQLDEPKIMGQAYYNQFQGSISRPNSNRGNNIL GNPQAQDNILIFSKYKQQVLDQYSPKINYQYRPSSVGKQQESELKRSEDFNRSSVSSTFS MFNPNEELKTFFQNDFLERKRYTQQGYSDMMKQSSLNSSQENNQHHSKYISTSQIEDQQN YSKHLYSPQQYQNVDKSNVFNNNRLSVTRILNNSQI >CAK59428 pep:novel supercontig:GCA_000165425.1:CT868002:198332:199340:-1 gene:GSPATT00030061001 transcript:CAK59428 MSQLFTNPLIFSNLIHQPQPFTQHFHFQNPLQTYYSQFQVPCNFAPIHNHQSEQYIQLQL PFNGYPDYGNPLTYPVMHTEISTTSQKMSLAIADSQTTNQIMDPLQQHLFNKQKLRDYIL LIIDDHHQINDIAQNLRNINQAQLAKILEILATKQSQQIKSREELIKFCLRKAFRFIFKK ISERDNITSTIVNNLLETKLKTARQEFLTIMEQEKKIPLVLPFRKNSKNKTMNNDFLKEV FSSQIFQSFYKEFLEHLDDTIQADRKKKIDKLQDKIWLSLRNNKITTFDIKRLPWTLKNT ERVKSIAFELLTFSQNHQN >CAK59429 pep:novel supercontig:GCA_000165425.1:CT868002:199442:201354:-1 gene:GSPATT00030062001 transcript:CAK59429 MIQTFARRALQPQFRSLARFGQSNEEGDVSFLEQVYQYFDKAAALTNIPVDMLNYYKKTD CVIKFHLPLVRDDGTVECIPAFRAQHKTHKLPTKGGTRLSEHIHTEEVEALSLLMTFKNA VLELPYGGAKGGLKINPKKYSKREIESLMRRFTIELAKRNFIGAAIDVPGPDLGTGEREM SWMKDEYTKFAGHLDINAQGCVTGKAISQGGISGRTESTGLGVFYGCREILEDYEFCTQA GIPAGLRGKNIIIQGYGAVGYYAAKYMCAYGAKLVGVAEWDGSIYEENGIDPDELQAFKE QRKGVKGFPKASEYHEDESVIYKECDIFIPAAFEQTVNRANAPKFNCKVIAEAANGPTTL AAEEILIKKGVKFLPDILLNAGGVTVSYFEWLQNLDHIRPGRMTRRWEETSKYKLLEAIQ ISTGLRVDVTKNQQAAKLLEGPSAKDLVFTGLEESNGSCCPKNKRNSQQIEYIFENGCLL QCSYDNSLTCRHSWFKMIVYNKYQQNCVHINNFYLFLLPSNYNCQYNFEQPNQINNKIRI VGLNNQLPFLFLILSTLYLNFRIYLF >CAK59430 pep:novel supercontig:GCA_000165425.1:CT868002:201656:203787:1 gene:GSPATT00030063001 transcript:CAK59430 MSHSAKEYLPIVFADLQISTKNDYYIEEKLPILKSHHHTTSQPKLSKVTTPSKSQQEIIN HQNAIKKIVFPNILQRTKQDIKKYRKHQLSLISDEISNSQPIIELEHKKISKTNSQSPLK LHSQQPSYISNYPIRTDLSSLIFDNNLESQSNDSIVKFRKLSPTFNETSWQKVDKVNRIN LAHEVQKEIHHLERFNAYWKPDMINQYLQLKIVHQGVNQKRGNKRIFILMYLPHQTLVLK SSQVEVKNSPLSLQLNTLTYFVPLYEKISNQSELTENYNIWSKHFLDLLHYKQHINTVKF YFLDGKELQTLNQIPSYEKFIYCNLHGEFDLWNRVGQTLNLGGQFQLDKYVKLATKMVDL RSKDDILKFFGKRDNFLPFYSMAYNQEDECAKSPILQQIEMIQQDADDEQLNDEVIDQSI FQMNKNQQKAYLKTIKQFIQQNKTKYSQTLANQEAGMRQRKQNIKEIRRKSIEIKLKGQK QMLDLNEETHIEMKLLHEDTQSIDEIKEDAVDKVDVFKNAIYKKLPKKIELTNDPFSSFS SKDNKKFKEIMKLINIEQIVLEKKLNRQDVMHYLSLFKALMDSDNLNYFTTSQLDYPSLY ISREQLKKSLPYIILYKNQLNTGKLNEIYERQYNYVEFLDFLDIFTTEYIVSEKELERLK NQKQDAY >CAK59431 pep:novel supercontig:GCA_000165425.1:CT868002:203798:204841:-1 gene:GSPATT00030064001 transcript:CAK59431 MFVVTKIGQGYSADVQLVNRCGQLMAMKVFKQQQSSLYENEIKMMQLLSNIPGVIRVREC ECDGCIMMDYAKQGNLLQYLKLRKFTEEFSRHYFKQMIQIISEIHKKGVAHRDLKLENIL LDDNFNILICDFGYAINFLDAQSKRIKINTYVGSPSTAAPEIFLQQPYHGIEADLFQLGV ILFQITSGFCPFQTANIKTDQVYQLIYRKQYNKFWEVQQVDFSPELKDLITKLMAFNPNQ RLSISEIEAHPWILKCGVDDSLIVHEMSNRYQQILQTSEEQEQ >CAK59432 pep:novel supercontig:GCA_000165425.1:CT868002:205404:209258:1 gene:GSPATT00030065001 transcript:CAK59432 MSQLIGTLSLDTNAYVDYNISENMSNNALENSDLLKVRLGKIKGMKVCNFKSFENEHFVG PFTKFTSIIGPNGGGKSNVLDAIQFVLGISIRSMRCHRAEELIYSQSMYYKNYQEEDRTA YVEIIFEAINYIDTQISLKRTVNKQQQTQVYIGEQQVTEKELSSFLLLSKIILPAKNFIM LQGDTDTLTTIEPKKLTELFEYVSGSVQYKNTCQQIQSELNKVVIRMRELQFQRGAMRTE QKKVKELKNTSDKYKKINDEINQLKLKQKLFELKQIDNELEDISKQLTQNKNDESDQNSE NKRLIEDIQQCDLEIEKLKQELNQIEENEKKIKKDEKSKAYDTTSIDLEIELLKKQVSTY EGLLGKLKKDINYQQEMIEEVQTDLDGKTQHLQKIKSQSNLKLSKKLMEEFQELQMKFKI QNQQIQSELEKLQEKQGVAQKIYDQIDDEIKQYTDDRKELVQAIEEQNTQLKYVKDEFDV LKDRHQNTQKRIDELYRQNQIEENELKSLQQHKIEQERQLRLIEVQETENIDEKQTQLLI QQLKSMFKDFRGELSSLCNPDQQQFAIPLKVALGQRLLCALVVDSEKTAKEINMHLRSLD IVKELIILNRVKSNKDESELRENTRAGKAYLAIDIIKYDQSLDKVLKSLLSGIVVCDSYQ LAVQLQKEKIQDIKQIITLDGITLATSGMIVFNGSQQRLSEMRNFRSNNQRIQQKGPKES KEQLIKSIDELQNKIKTLKNISVQDDLKYQSALKMKLEEQQAQLSNKQISLQKSIQMYND KLKQVDKLLDELYIEINKRKVQLKEFDEQNEKLVNQIQNQQKVAYQDFAKRNSISLQELN QLDFQQNLGKQLEIKQQQLNKLQVQKQSMEQYLEELKKKQEDFQNNIKTKNEAINNYEQK KIDLQQNHDKKNKDLVQYTQKQQDINQKLKAQQKKLLNLTDLRNQQVRKGVDKKQQINLI NRKLEQLRFQRGRLIELCEIESIPVRFKRSQGTFQPSLNFDDDQQMDMEAIDYGAIKNDL KHSNWNKLMEEINVELEIKEQEITKYLSENLQVGLIGAKTDEKFVQLETNIESLGRSIKE LQNREAELSDQLVQTQQLRKDRFDKLFDRVEKEIKILYQKLTENQNRVGGTVLLYMENKE EPFEGGLIYTPNPPNKKYIFDNSEQLSGGEKAIASIALLLALNAAIDAPFILLDEVDAHL DQDNADKLQKIVKLLSNQIQFVLVSHNPDVFAHSDSLVGVTIQHSKTTSEAFSLLL >CAK59433 pep:novel supercontig:GCA_000165425.1:CT868002:209421:212466:1 gene:GSPATT00030066001 transcript:CAK59433 MDRQGIDTIYKTDSSRLMTLRNNTETLSRITLLIQVINTQGFDSRPGLGLQFNEQQIPES CVNDDNELYASENSSVSNQSRHRSRKESKAESLSKIMKETQKIQSDDFHQPIGGWDNKIW QKGALRIMTYVIRFVMALLINSEKFKFTFMKKRQFQAINDQSAIFDYYEERFLIRGKKKL SYSQILKLRIQNNCLYQSCHIFFQYLINKIIFIIVPTSTPKLIWDVFLLIILSIQMVFVP LKICFQIEITQDLLQFFLLTLPLYVYLIEILLNFLTGYYEHGVLVIDQKQIAMHYLKSTF MYDFLSVMPQFISTISNESQIFEILLLIRLKRLILLADTLEETLNLRQHYQTFIDVVRLL IQFLFLSHLFGCVWHYIGVLEEQFGYDQNWIKQKNIDGESWFVRYVFSIYWSSITTLTIG YGDVIPVSSVERMFVVIVAVVSSVVFAYTISSIGNIFSQLNENKKNQRHKMFLIKNFIDE RNVNKVLANKVKKFFEYFIQIDHTSDNECVKLIEKLDPTLKTELKIDIYRKFISNSKLIS ATFSDLMLNEICQLVQEKQYMPDEFIVISDQEINELYFVLEGEISLIVELNKFSQLSLIK KNEVLGEKYFLTNAKLPFSAKAQSFVRVAVLDKQQLNLLLQKYPEELEKYKQALNKVKLQ ERIKLAGCELCYQNHKVLECPFVFYQPNVRFIVKRQYLQEQARRQFERRQAKSHNSKSDR LGIQYRLVTYALENNLIQEESINDSVIKKMDLQCLEHQKNLFRSCTDSSINTLIKNNINP NFQSGGKESPHESQEQIMRQNSNNTHNPRPSFTGIGSTKQMSTLKLIKLTNKKQEKQEIF EKIELKVPEKIVLQDICEHSSDSDLQSPDKTKLTKQNSFTFCASSDFKTQLKVEYYQKLI INELDGLDKYQEYQYYYPQYNVERVIEMINEKYKLKRLKQSKTLIRRQSRYLSSFPTISL RKKKSGIIGEEIIHEN >CAK59434 pep:novel supercontig:GCA_000165425.1:CT868002:212490:214592:-1 gene:GSPATT00030067001 transcript:CAK59434 MSNNKRQTIEDAMRAEQISFSQSQSSQQSMYQNYYGYNQYMPYGYQQMMPNQYQYNQYHP YNYQMLQTQQHNNLMGNLNNIYRPQQQYLQQSNPALNSQQKVSQPLQQVNQQQPQQPQNI QQVQDQQQKNVMNNRIEKEQNQFLPPVDVSELDQPSRWDNEIKIYSYLYRKLNVEMPNLV SARFCNSREIFNEQSSVTVKQYVERAFNKCQSDNERNHMEQYLKATIAEAKRKNEYSIRD WSKFPLPTLPRENQIRTQSLFSQPLQIKQSTAMALNSLGQTSKFGAPSQAQPIGPAQKIT ASTSIQNLMSLNDQLKQQQVDTLNQHHMKNKKIDYSIDLAMLQFGKLQPNASIQIKQQQK QIKKKIEEEDQFIQTNMKITGTCEDLEKPYYRLTGLPDPNTIRPEHILKKALNHILEKWK NYQADYNFTIEQFRSIRQDLLVQHIENRFTVQVYEENARICLECGDFPRYESCWTMLCDL YDMISISEGKDANFIGNKVEFDSYRIVYLTMLNKQDQLVKILHQNPDDQRIKFALGIRES YKCGNYVKLFKDYKESSETMGIRIRVKALKQIVKTYISNIELEYLAQLLAFQDVDQFRQF MQYFELVRFDETLTYLLTKQSINAFDNINFDKINE >CAK59435 pep:novel supercontig:GCA_000165425.1:CT868002:215013:216044:-1 gene:GSPATT00030068001 transcript:CAK59435 MEQEKTFEEQLEAAENKIKDSQENLGDVEVRDAMLEKASLQQKYNKYEDAIKTYIDTLPK SVGIGKKMDVHFLILQIYLKQRNLEKFKDHLNQQQILLDQGGDWERKNRLKVYEGIYCLM IRDITKACKLFLDSVATFNSSEIISYNEIVSYTVLTSIICLDRQSLKKKVHQNPEVVGVL RENQVLKSFLESFLQCDYKTLFQKFAIVNENLSQDQYLSIHRKYLIREYRVVFYSQFLES YKTVTLNNMAKAFGVSVQFIDRELSELISSRRINCKIDKVAGIIESSRADDRNQLYNNLI KQGDYLLNRVQKLSRLTDL >CAK59436 pep:novel supercontig:GCA_000165425.1:CT868002:216447:216838:1 gene:GSPATT00030069001 transcript:CAK59436 MNKINLQKKQKQKQKFIPLGFVIKYDPPVIGLLYKRSIQENKKKVYNIHLQNLIRLDDEV EITKQLFEEHPEFLDPDIIEPEQVLGLVQKLIEFRQIMEQDDENEYLEEETDSHIIEEMF D >CAK59437 pep:novel supercontig:GCA_000165425.1:CT868002:216866:219031:-1 gene:GSPATT00030070001 transcript:CAK59437 MNKINHQGVNSLVYGVLLNVKLHKMKRTQIILLLSPNEVRMIQMLDPQGTFSTYFDLTQI SDIQQKEQSKLKIINIQGEYIKLYFENPFQLITIKEGLDYLIKEGNRIQKQLDSYNQIWS SALKLITKADIDMDNKLNFKEFQFLIGELQIEIPERKLIQIFEKNQKNNQLDEATLYKLL MDITRRHELTELYQKYSSKQEGMFEDPLQAMLMTDKDLQQFFKVEQAQNDYQPKRKQYNF YDFQNLIFSEENSLFQPTAVDLSQPITKYLINSSHNTYLETNQLTGESSCFAYQDAFKMG FKCVELDCWDGEDGEPKVTHGHTLVNDIKFKDVISTVRDFAFYKDNNPAILSLEMHCCLK QQKRIADLLQSILGEMLFVVKDFKQEKFSTLQQLQRRVLVKYKGDDQFLQEKLQTQSSTL FYTQSHDDMLITFDDDDNQDIQANVKQFSQTLDEHHKKICRELLEITSLYAVSLKLDKKP ELVWIVSSVSEDKIQDVIKKSHGKFQEYVDNYFVRVYPLGLRFDSSNYDPFPSWTAGAQL VALNIQTKDLFMLQNYGMFLNSSYVLKSNQDVRMNIYVRIISATNLVWEEAKRRQEEIVD PYIKIRVAGNKDDVNKSDKWRTEVVQDNGYHPIFNYQCVIQLKHAQQDVIYVQAYSYSIL GDSLLGQYCLSPLNLRTGYRIIPLLNSQFKTLANSYVLVQIKIEY >CAK59438 pep:novel supercontig:GCA_000165425.1:CT868002:219059:221704:-1 gene:GSPATT00030071001 transcript:CAK59438 MISVTTKTALSSLFEMGVEYMTLVQHNDNRYFIVLGNTSIFFLKEGFDILEAKVSFQCID RLIVSSQDIYLFQIHFNGKRPKNVPLKMNIHTLERRDLIKFIQQGWKTDYMYKFLEVREL PTYKGKFRDYNYQVTMLKKPQVELMENQEMYKFNMHVLNGYNIFFLNSYQNTKKGLYRNG QNKSQFTLQVSETNELEVLEHMHNHQDIQYYAEYYVHNALSEEEKYWITHSAPYFKRKNL YNDLAEWKCWQTRAKVVMKHQDEPQQGTKKKRTTKQAIEMEYAVIMMRRKYHPPYLENFV DIVLTFLYDPKCKVEDNNPEADQDQQELEEQLQESENEEDGEEEKKNAGEAYIAAYKQLY SDFYWLNIMRDAADSIYASDLKPMDPIYKGFLQLMADSLVFDEDWMFYVQQKHLITPKIR ETLVIPIIQGFKILFNKSDNEKELDQNKRPAVILDGFKKISATQFSELKLSDKQKQEKTR IYIYKVSRYLASQLDGGYDSSFRFKTIMKAHNYYKDDVLKVFDFCLYSVSEQSGVSNDFI IEEVSKKFPKIAYQKYIFNERVMISFLDTDLFKEELLKKDQQQLYIDLLMHLLIHGKTTK LATCKHIITYHSKDKEQITEQIINLQKGLISPLLIVYQSDHPMLSTYACVALYNMCANSK EFKYQIMKENGIALINSKLITNNQNVLLYTLKLIFSLMTIVQNIEAFLQLDIMNTLIGII QKHKGFALYSAQVLAMCFKIYTKCISRDMDLRDKLDLFFQVVVLISDVYFVEIKDVDFLK AEAINTIFKICHLNVEDEKYLEKVQSGLMPYIIQLLQVPIENELQQSIVKLMCLMIDKRQ SFREQWEVQTIVPIIEKFESADPPINGADFLLKQLLLSDNK >CAK59439 pep:novel supercontig:GCA_000165425.1:CT868002:221761:222784:1 gene:GSPATT00030072001 transcript:CAK59439 MDSPVTIFSFVGSSATGFALYQLVPLLSQLKKKYIQYQQINEFTPTSLLNYFNQTQQTTV EAFIAGELISDSPIISKVPLIISEKKIYYVYENGIKKLIKIIQHGASQLSVADYYNRIEI WKNSTLNYQFSMSHIWDRFLPKQLSFFQRFANFILKTLNQIKTRRLFLKGFYTGQLEREY GIIAREFYVLYGEVILDKKLNKMFLQNPKFILKSKKQLLQIIQQQIKYKRLTIAILVVIF TIWTYIFGCNVKNLIFKILKEREKARLDKLRGQKYLEIDNYECQICYERPRNIIIKPCKH LTLCHECIQRLKQQKCPICKQQIEDQIEIFFT >CAK59440 pep:novel supercontig:GCA_000165425.1:CT868002:222814:224308:-1 gene:GSPATT00030073001 transcript:CAK59440 MSKQSKDQQKLGKFYTFEVTAEKLQTVQQKARSMYFKHFRNAFIFGFCIEFMIIKSRICK FHLFIYIKMKILLEKVHKEDQNQKKKKMIIQIQPIIIHYINETLIIIYISKSMQHFILMT ILKIIVLSKFRYHCYTVLYSLLKQFTYEIINILKRVIWGCCYRVQLWNLSNIQRGRVSIW YIQFTKAEFRENIKKQIMQCYNANADGGFVEPFDVLQTFSKNEQGIEFHIKLVKSLAKKP VCHQENIDPLIEPFQPGQLVMKLENYNLLLNKYPVNPYHTLLVPKQFIHQSEKFSKDYLS LAYDVLCAVEGFAFFNSHSEAGASLDHKHVQIVSKLAFQSANILNHVREWWFERSVKQPN KFTRLRYLKKIKHFILFFNQDLLQSKNCDELQQQNLIYETYEKLLNKCKVEDIKDFKHNL IMTQEFMMIVVRKKATYNGVSFNAVCFTGSLLAKNEQECEKLKQARIIEILDNLAEVDES VYSDIDEC >CAK59441 pep:novel supercontig:GCA_000165425.1:CT868002:224644:225484:1 gene:GSPATT00030074001 transcript:CAK59441 MFDQAQLTELSKRDKDKKLNSQLPLYIGNSQGVCRRDILVQWVIQQSQKFEHSLKTLELA VIYIDTYLNIFNIEPEFLELLGISAYSMASKFNETEAIGQIKLKDDFGKVLYEDQVYNEM EVQLLQSLDFQLNHVTPSDYLIEMGISINESISSLIMFVLMDFEIYKHSQIVLAFAIFNF QQEQSHMFTDRVKSVTKLINNKLNKAKEQFNLDKTENDETRGLESNLGTQKKIYKKRQSK KLKQLA >CAK59442 pep:novel supercontig:GCA_000165425.1:CT868002:226066:226952:-1 gene:GSPATT00030075001 transcript:CAK59442 MDPKEQIQQCQILNPVNSSNMDDQEICSVQGSHSNNDSDSSFSLAEQEQECKKLLIQSSN EQEMTKRRRGKHGLKYAKITNDQREGLIKQVTQTGCTIKSAAQQLGINFSTAKAIMQIFK KEGRSCKKVIRKNKKRQSQMYKRLNVVTKDQSIGEENELKKQTDEIAKEITQQPIQVMED QNKQQLMLIQQLTNQNLLYQVQVSNLYQENVVLSSKYQTLCSQYSQLQNMMQQIIMKTQS PLVPFIV >CAK59443 pep:novel supercontig:GCA_000165425.1:CT868002:227586:228251:1 gene:GSPATT00030076001 transcript:CAK59443 MSKQSKDQQKLGKFYTFEVTAEKLQTVQQKARSMYFKHFRNAFIFGFCIEFMIIKSRICK FHLFIYIKMKILLEKVHKEDQNQKKKKMIIQIQPIIIHYINETQLSFNKLTFLLNYLIII LIQYYHLSKLKRNRIVIMDNLQQILVHQILKPLHNLTNLNQFLQKTNNQLYLCFLQFSFN SFLILHINYFSLPQESYVQNIILKIYILFKIIILDILTIYI >CAK59444 pep:novel supercontig:GCA_000165425.1:CT868002:228822:229332:-1 gene:GSPATT00030077001 transcript:CAK59444 MIQQKLQPRAKSIHMKEAQSSRTNNFKSLPKLIENNKTSRYSEHVSPSPKPKFYNHWYIP YEKRFMEQKEQKNFHDEIRSNYAQMKEDPLFLYHNLNRPLSIHQDPFSRPDKNQIQKQQK YDKTKIFLEMNKSSGVLKLFLEDLVQKKQRVPAFLLSEQLIL >CAK59445 pep:novel supercontig:GCA_000165425.1:CT868002:229405:230321:-1 gene:GSPATT00030078001 transcript:CAK59445 MLQKILQIVNQAKVSANKVTQQLKSEKMHINQMNNAKDPTKPTFDHLSNFKEYSNILKDV YVSDPFKKLKGFAKKEKELYINQLQKTFPKETLQQYNLKLQSSLNKLKNNAFWKTSSENQ SSYKKQQTNYSSTNYTNQYKQQQQNQTQSKQDRWTYQFWNKYTNKFQQDASDLNNQYSQN FDEQKQKFSKFKDAQKASFAFIYKNVLQKAKIIGQVSFKYILMGLFVLGIAYSIPKSIST YFATKATVTAQLQFENLLKENETLKMNLKQAEEQLQTLQQQTARGTKIV >CAK59446 pep:novel supercontig:GCA_000165425.1:CT868002:230372:232478:-1 gene:GSPATT00030079001 transcript:CAK59446 MDSYSPKVDSLSYHTNTRMDITTLFEKYKKTHNKLSPLPLNQFYPMAINENCDLLQMQPD SDVQNFVSFRELNQGAVSELKRSLQQSKMVWNNYSKYLFGEASLDEVEMESVDQQKSYTS RKLQLLHNRETFHKMRKQYKQYEEDQMNQVKQALKKIHSQTNGIKVYPSLAYQDQQKLEL LRKQVRLGGAQQLQERNADAQQLQKRILESQVALSQIKKQFAYAYNFDYNFWGPCSRDGS SMVTYDRKLYLYGGRFVCGHKFYKWGPQKLDEKSIHGYRVHHTAGIYKNFMILFGGEIHV EQSNHKLVSECTSDVKTISLTSFDIKLIKQPGTIPARKCHIGEIVGRYLIIFGGIDNRGN YMKDLLCYDIPQSKWVQLNVDPFDLYANGIAFHKSCLVTQNKFCDIYRQDPDLKFSNQGI YVFGGQDKFGDYLDTFIRIDVYQKPIRIEQVECKGISPIARCQHSMNYNEALSSIVVYGG KNDDNTFEGFLNDLYLFDVKNSSWIQLELKGAQMPGRCGHSSSCIDTKIFIFGGYNYNGF VKSDILVVELDSNVSHQLIQSDKVNEEKPRNSKIRVSFHQIPEKRKTIDKTNERKKEIEK LNFKNSHSFLPMPRRVTMLINAMRFGQEPKANTEGSGSNSNKNSPKDGTRRPHRNMTLIV EGKQEK >CAK59447 pep:novel supercontig:GCA_000165425.1:CT868002:232645:234224:1 gene:GSPATT00030080001 transcript:CAK59447 MVSQIVQIIDIEHQHLLDGFEQSVLLFAQIDSEDRVKQFETLNLIQELYNKLALSYFYND DLLDEKKVQIPINDGTSTCSDFSDDNFEVEDFEAQVAQELFQQIKGQLQDPEIEQKCYQF HFTIFQEELNIMDFFNDSLELQLKEYDVIVFLNYSGLLQKLMNYKLDNQLEFFVGQLYII LSRIKNDEIDQLIETNCFELKYSRLSQRKFLRCKILSNLYTKLVYEKLDRWRSNQNIQEI QGENLKDLRSFIVQHKAPLSRWEVSILSIQDVQLNNENILDKFQKLVIALSLPYDLLLEN FTILDKLYVNLQQFQKWFKLSSIPFTVSEISQLFKEVSQTYGYYTNQGIKVTQRVDFYWF LETVYALNQNEQPIKEKIEGKNVKYNDEITIRQYNRHLQLKVNDLQQQLNQKEALIDQLK KAQQDQQQTSRMKTKSNNEIKEKDECEFKLELLHQAEINSLKNETQNQLKVVELKWKNKT KDLLFELENKDRQIDQYRQQLEALMKELEWVKTERRTK >CAK59448 pep:novel supercontig:GCA_000165425.1:CT868002:234368:237606:-1 gene:GSPATT00030081001 transcript:CAK59448 MRSSTLVICILVLGSALSVELDLSTSLLSMELSDIALLDTTSMSCATPQNEFERVSAQMA AWADIVQHRDSLHRDIYRLESIKQLIEKRKFKAIEKQIDKLELPKTESKIGEPILAEFKQ KLKGLSNPETADECEATLLKLCIYLLKQFNSCRQQCQSNPVTVIKIKGKIKDLQVVQQGC GQPAPCEDEPGVPDEPTPEEQAPPSEPEEKPLPPPEEDHPPVSPPSEEEKPQIPDEKGPE EESVPPEEQQVPPSPPTPDEEKPEIPDEKGPEEKTIPPEEEQEVPSPPPGEEEVKPEVPD EKGPEEESVPPEEEQEVPVPPPGEEEEQPGTPDEESPEEQSPPQEELNPEEEGSPEIPDE PPVNPNREESAEEFEEYFEEVEEEEFEEFEEPPIVAPPVEPPVEQVEKRCLNSVNENVYT ASVDEQIPLISKQLEGDDLSCYGFGFYTRWLQAYPTYLANGRQDEKYFVARISESEKLSG SVTDDSLSVFLTRSGFEFQSYDNDGTTSTVINVGDIEGQWIYVYFSYCNGKAVAVVNENG QTSVNEINVNHKKPSQLYFTLAGLSGDVKSFQGQFTLVQSNAGQNVFIETPAEANDFVFA CNSIPEEKCERQISNLHEFEFDTQTTQFDSKKVIENSEPIFAQEYSISGWFKWQTVQEAT TNSWFSAFRLTMNNPAVNQNAKFLGDRDLALFVGNEKKDSVLAFTTYTYEDLYGNGNPTY WQAVPYEKDLIHWHFIYFGFNRHISKAYGYVEFLTRKGEVHFENVKHFVSPHKYLYVGQD QYYPSYNGKIFNLHYNLCDGSYRELKYDEHWGYTPKPTDPVPPPPPQVPEEPSEEEYKEP PLPAIPSEPSEEDVPIEPEEESPVIPSEPPSEETTPVEPSVSEEETPEVIDEPVPDPVEE TVPASEEEEYYYEEEFYEEEEEESVEPPVESESQSEEEYSEPLSEEESQPSSEEEVIEPP APLPQPKCPPTIEVNKDNAADILCELSHYLGEFAQGHTPAAGQSTKTVCFCMTYNEESAP PTLLQLATKLSGNLKLNEPKVAVPLLKQFIKQRL >CAK59449 pep:novel supercontig:GCA_000165425.1:CT868002:238513:240570:1 gene:GSPATT00030082001 transcript:CAK59449 MMNSQSQSVMNTLGGSKRYVSPYEKPQNQDNDLRKIQQSIGHQAKSRMINPDQSEQVSVI GDNNNVSKLSNLSQFAQFMYIPCSTHPEFFITTLCQEQNCVEPLCAECIKNHMEQHQKRG RQPKFENILRVRKDQIYRVEDLLKQLHEKLKEAKSYFNETPQIVYNNALEQVKFIHGQIS DMINDYFQGLYRELNEYNQDQQLKQLNQLEEDIVQQQNELLKLQQDLQNDNYVKAITSIF VNKEQIFSEINIFRLEKMVKDYNQSKVEVVLEKSNLELFQTYCKKLCYLLKNKKPVPVSQ LKQSQLPTLQQVQSSKIQQTQEVDQKLYQSSLVFSKLVSADLPPIVNPTSLISENLTNET AKSRFNYQNHFEDEKDQVIVRLEEGGFAAYIYDIQSQKYRIETINSALKIPLCHKLYTTS FGKHLVIGGVDRDKSRFKAIAHVYEFNHDTLQLTLHSEMVLPRSLTSACQVDNFLYVVGG SSTNDENTSLAKAEKLDLTTRKWYTIEDPFYKTTGCALVATDYNTLIKIGGKSDIFTPCN SVESYDIQKNLWTTIDFKFLSSGYLRLPFQSCAVTISQDQILIIGGSIHDVRTDETQVRS KSQQQIFNVKQKTIQRSSQLPGSIEFPTQTAILQQNSVFILTENEQPLLYGNSDGFRYQ >CAK59450 pep:novel supercontig:GCA_000165425.1:CT868002:240631:241080:-1 gene:GSPATT00030083001 transcript:CAK59450 MSTKARNFSVDNTNNKIFLPSIFNNDYDLNTNIDPKLYLQTIFNKTTKAQRTKSLSFCNQ IKLPQKVEPIQVKQKLETDKDIVYAFEDQFQYKDKEKQQYTNKLQIKLSKNNQNKEVRYQ ELVNCYCQKFTKKKPPLNLKHHKLDLEFL >CAK59451 pep:novel supercontig:GCA_000165425.1:CT868002:241109:243140:-1 gene:GSPATT00030084001 transcript:CAK59451 MNNNLILLILLLRKPSQQVYDLLTEYLKIHDVMEIVNNPTLQNTILSHIEPELLQITSMN KFHKIIGETLREKQQQKTPGGSVVDLFFRNMLLLFNQMDFNQMLKSWNDFREGQDNHLQN NPFLTDSYIEYLLTKLQTSSSYVNLEELKHIIEQPNLNENCKVNFLKALLYALLRYPFRA IKFSRKYYDMTLNSLVPKKVNHCIMNSIYLNMKMNFYDEALNSLSEGLRLSQTVSDEQSI NLCLLNLFEIAYRNNYQKQATLLLEYAVNHAQQLSPQHRLQSALIYGSQVRYKQINYSLL KKKHINWKDIIHQSLKQILQSHSPDIEQSITAYQLVCANNYGNSTMIQNALDQLQQFDQN DQTLSLQLEALSQISLHQPMYTLSQFQQCFDTTYNLSEQSILVILNICLEYFEIINEPIS VKYIKQIMMQLLQMNPDPYISESLQIAPIKNPKLRVKQLLKDGENDLEIIKLCKQFHLNQ QYLEYKLNIIERNIKQDQIQEAQDKLNNINLYELSQSNCVIQAKFWNLMARTYKNIKYYA TSINFAIQVGWITMIQQNYYDMAFIYDQLDQFDQRDHCAEQFNKADALISQCNQNTNLIF FKINEIGIVEYLYRFLNNIQSQFSCNM >CAK59452 pep:novel supercontig:GCA_000165425.1:CT868002:243621:244939:1 gene:GSPATT00030085001 transcript:CAK59452 MEVFVKHEELLMKQRQAYEQKLNNVFDRNLQLEEKDRSMHEQILSSRYQNEQLKVQQEKL KQLQELQKQKKDKKQAANELWQLEQKQHEKEIQQKLFEIQQKIKYEEFKRKTDELMNQLD DNLRNLNLRYLEKQERKEKYLNDFLTQKQQTLNTSMQITESHHQNVYSNYQQQMKRIQEI CQLKLKKYENKIKLINQQKLSQIDQLTQKAQQSFEHQEGIKYRSHQIFQNKLSKVQNKMN YFQDKINQIEKKRLQQLQMNYLQEQELNQKRNDVRAKSENIYRNKSLNILNKYLEKEKSF QQVQSQNQIELQQKLQRLNEKWQTHQNQVQKADQLKQSYFSSLEQKMTQRDQRLQDQIQN KLDVQKRRLKVIEDMERQKRDLLLKLEQDKVPFTNTSEMKYLYSTPKQQQSKLFIT >CAK59453 pep:novel supercontig:GCA_000165425.1:CT868002:244961:246247:1 gene:GSPATT00030086001 transcript:CAK59453 MRKLIYKFSRPTYLDFQATTPTDYRVLDAMLPYLTNQYGNPHSKTHSFGWETEKAVEKAR ASIANLINTQPQSIIFTSGATESNNAALKGLYGFYGKQKNHIITTQTEHKCVLDTCRYLE EKGVEVTYLPVDSNGLISLQQLQESIKSNTLCVSVMLVNNEIGVIQNLKEISRICHQRGV YVHSDMAQAIAKIPVDVQDLDIDLGSISAHKLYGPKGIGALYVRRKPRVRLQQIIHGGGQ ERGLRSGTLAPHLCVGFGKAAEIAQTELPYDIQHVDKLYIKLLNGINQRIPQIQINGSLE QRYKGNLNVSFAFVEGESLLMAIKQVAVSSGSACTSASLEPSYVLRALGVKEDMAHTSLR IGIGRFTTEREIDFLIDQLSQAVSKLREMSPLWEMHQEGIDINKIEWTQPH >CAK59454 pep:novel supercontig:GCA_000165425.1:CT868002:246276:247658:1 gene:GSPATT00030087001 transcript:CAK59454 MLLSCVYMTKDINFKWKFVARELKVCFNKRELQQCITRLRSWSNIKDLELLAQFSLECFN RREYQESKDYLKKLIHYADSETSENLKSLNTINHLKDIAQTCDSEQFCMILDIFLKICLP QCLSPQLLNQVQELQSIEIVNQFITLLQRIVYMNEIDQLIEQHNIIQYLLDITIELDDFK EWFQIIEQLCNYHSKPSLYFKNLIIPCLKLLQYDSNQVTLQVIESMCNCKTDRRGAINYL LIIPGFIDLLISNLSKSFNIISHIIEQSDDGTSKLISMDLFMKLHKLLEADQSLKTTFIY LILLICFYRTDTIIHILIESQLFYSILALDEQTLQYDDLILISRMLLYLIRKYSNESFYL YLIQKTLFINMLGQLLNKFELQEVYNNTIHSIIHMAFSQSMEFSKIFRQSILMKPVEEQL QKNKSKDANIDDKIYEFLNITYK >CAK59455 pep:novel supercontig:GCA_000165425.1:CT868002:247689:248005:1 gene:GSPATT00030088001 transcript:CAK59455 MTHKKELLLFFQSLIIVLYLLNHLRILFVHLRVIYLIQPIWYNLLDKTKEIQSMDTFLKI MIESQTNKWQFHYAINIYMFKGYQTFYQINYQQRC >CAK59456 pep:novel supercontig:GCA_000165425.1:CT868002:248253:251681:-1 gene:GSPATT00030089001 transcript:CAK59456 MLESKSDQIVESCLKHPTPDGLDQFKFKIEEWLYKQKQNKALFICEEEGNDIQCLISYWM KGLNKDIVIPYFVEHENQNYQYAIFYVLSRLKTIFNISQKVEIEGEKLKQFFQYWLEFYS REIQNQVFSDSKCVYKRLILIFQGIDRFRDQNGEVRASYWLPRVLPENVKQLGRLSPKHM NNTVIKAEPSFKCKYLSLTLYSDQNKDEFLERLFSQDRLYKLYAKIPSHLADQAYFCKSF ELTLTNNNEDFQDVIKESISQMENIKSEGDFFSILIKSFLKYFSETHYISILTVLTFVFK GVSLDEIVQICSCEKEHITFVYEFFRVFLMEKQQIYCIFSISFKNALIQCLPSNKQLYHQ FITTMEHSQNSIRKLEELIYQYTRTKRYFKLKEVLINVENFLMLCSPNHKFELCHLWETL EQNGYDLVMEYNKAIENFQALYKPTNEGLFYIMLQICRFLREFSNFENDHTPPYKHPELR GQSIEFDEIGLYNELKQLKMIAKKKTKQMNEDYFPQQQGNIETLNMDIKANRDFFINYYI SQFDQNLVQEYINTKQEYILDKIITNTRNQQNYYYKRWIWVQFPWLALTQKNNYSKLMDY YNTNNIPMSEELQISQKAIKLALIAKQTKQMKDHNSTKLPSINSSIRLRQFSPLDTTRNT NILKTRADSEHNKTERSLSKKISLPSLQKVQFQKKLDQIIYQNQVLKNRLKDYTTIKQNM YPEELNGETQKTLEINKFLSEELKKQQENLSVVQLEMKRMNIVWKLCQQNQDYNEDRAQQ LVKHSKNLDKLITEQITIIRELGEKVQSIKQKSKIETKKVQQEKKQLQHQLEASRRLTYF QNIPPSPDTNKKHLNLQQINAVHSNTEVSNSGNEIKIKFVRLKSEKVEDNILKQLNCDSH TQDLLNQLTDLGIDNPYQNPKWIEFCDKVLKNQELQLEIQNRQTKLQELKNHKAELQIYK KILSRQVVSKNSIQFNQHYSSFQRDDVIRSNDFKMLQLKELKQHQAVIKFYNNNLFNLLS TTQKLTSNNIHHMGNVLCKMT >CAK59457 pep:novel supercontig:GCA_000165425.1:CT868002:251820:252240:1 gene:GSPATT00030090001 transcript:CAK59457 MVNLFYYSYLGFTITILENIKNLKQELFILQAIRTKSISNLFSLLFQQGVNLKQFGAFTI EVISDYVKPLQHSCFKIIEDLEIQRVDRKHVHSIRRCFIPDNQFKYFLQRYPERKRYLNF RVDIQFIKKDLE >CAK59458 pep:novel supercontig:GCA_000165425.1:CT868002:252570:254830:-1 gene:GSPATT00030091001 transcript:CAK59458 MSNIKGVEQQTKSKYNEPHVENVLVGLRLRPTDSNDKICNIVNEKSVIVKKSNERFSFDN VFDEAASSKDIFTKMVQPIVQKCLLGYNGSICAYGQTSSGKTYTMKGSNKEPGLIQLSID YLLQSINKITDTFSNLKISYIEIYNENIYDLLIRDLQEMKPNTSNEYRWETINSLIQFDE IFKLGEEQRHFGETKMNDNSSRSHVILQIAIETRQKCPPFIIRNSYLHLVDLAGSEGLLK TQAEGLRRKEGFLINKSLLALQNVVSKLKENKKDQFVNFRDSKLTKILKPSLNGNSLTVM LLTISQNNENYLESVNTMRFGMSAGALKNTVNANEIQECNTFQSEAYNEMIEQVENYQTQ LQEMQQQLDIYKNKSVDEQNTIKQIKELVMEKNILIEDQLKTITEQNIEKQRLQDQINQL QRCNQELISTSNENSNYLNYFRNIVETKGVLNPKLMVECITQTDSCEADQQIKVLEQENV SLKQQISEMKNKFSYEIQDVKDMVSGIKKNKEFTPAKNLKFQSNKDLNGQNENQKEAKTW LQKSLQKTNQYLESLNLLTTLQTQLIEQTKQNMILRNFLDKKEQEYTELERANFVLHKSI FDLQERLSEIQNDDKKENAWKMNSEKSNKQESLIKKRERQRLYQSPTKDELKRNLFRQEQ RNQIYEKELNKYMKNLDQISKQKQELQQFSHHLYMACIENNIQI >CAK59459 pep:novel supercontig:GCA_000165425.1:CT868002:254903:256609:1 gene:GSPATT00030092001 transcript:CAK59459 MSSSEIQSDSEDLIQGQKDFDFYADLLNPAEKLKKRIQQSTQNQINKLVKSQKLNEKTQK KSKQLVQKVKNEEVQENVKPQYDPKIFATNTDFQQLKLNKSLVKACHEQGYKYPTKIQAQ IVPLVLAGKDVLASSCTGSGKTAAFLLPLMQRFGNTKSQKYSKALIVMPTRELALQCFEM FQKLNQFSHCTAALVIGAVPIQQQEAELRRYPDIIIATPGRIVDIMKNSFSIDLSSIEVL VLDEADRLMEMGFEAEIKEILQQTPRDRQTVLVSATLKATVKQLSLLALHKPVKVSVDYV DGLAYGLKQYILRIDSDEEKDREATLIALLQQKFIEKTIIFVRTKHDCHRLQILLGLKNL SSCELHGNLTQQQRIQAYEDFKEGKFQYLLATDLAARGLDIANVKAVINFEIPYETSRYI HRVGRTARIGNQGVSVTICLKKEVSQFKQMIKESKQKLFKLNFNIESIEEIKSDLKSLEP KIKKIIKGEVFEKEIHQTEILAQRAQNLIQHRVEIMRKPKKEWIQSAQQKKLRNQIQQEE DD >CAK59460 pep:novel supercontig:GCA_000165425.1:CT868002:256625:262653:-1 gene:GSPATT00030093001 transcript:CAK59460 MNYQIKGNFNYHLEIQASQLNETTNITINAFSSKINQIPLGCKFQWFKKLDNERIKIETQ GNIYPCSIFDIGYKIEAIVQPFEQGYEGQATIEFQKIQISTSLENKLSQLYHNNMKQQII CNDQNWVFCLEYLENSTTQQKIQYADQCILSNQNQLQVQFNNQIMKFRSKDDKDAFCAFF ISMQSLRRVHLKFIAYNIGKLNQKQVNFQQLLNAQILQLINEKSAMPIKKFEPIQSNIMM ATSQDIRSNNQIQMNQLKQEVTQLQNEKQKLQQQIDKLMKDNKELEMNGRSNVASKDQLD SMDRLIQSLKNEVYSLKQRETDLMNQNGRLTMALNEKCDKSLSQSQFLDEFKEKQYQEKL QECNNEKLRLQEELNKYQKFGSSSRTSQNIDGEKERLLQVNQKLMQEIATSTNKIKELQL ELEMMKNMSRISMSMSMMEDPVMKTKIEQLEQENQYLQKKISILEEELSKKKQQKPQKQQ SDRDLQIQKLTEANKRYLEENLKLFEEIRQLREKFDYSSVLQGSMKDSQIQENVVNNQLE QQIERMQQIHNLEIQKMKKKIEKLTTDLQEANQFKKQFEQLIKQNKRLVEENTHLTEQIK NLADINTSRSFSDNMLNSKIFNSDQYKELEQQLIVLKRKNEELQSKLDFQLQRDSQQLDK IKTLELEVAKYKSQIRDMEYNNLESNEVKLLQNQIDDFQNTKDNLMRDNIKLRDDYSTLM QDKEYLNGKIKQLENSIFELKQKQMQLQEENRTLKLFPQSAERQFSIQIQQLQTQNKNLT EEVVRLQSQQNALKDLNQSNFSINDSVLGSKVYEAKEYKLLENQKELLLNENQQLKLEIR QEQQKVNDQFKFQEQVKSLQNEKDLLSQQLISIKESHSRQIITLQSEIDKLNQQLQNISI NESRYRIVVNDNKSDDYSQKQQEILRLESENRQLNQQIQRLQLQYDTEVRRSQTQLSDLQ FENNKLSREIASSKQYSESVVINLNEKKQYQSQDSEIAQLKNQLADKTHQLKIIQTNSQN TMEELQQVISKLGLENKNINEVHNSIKSRLEAQINKLIEQLKEKDIQINRISEEIRKKEQ INKQQEDDLLMKSNQVEQLIQQNKSLALQLNNLNFELQELKMASLSQSFSKSHFDARESE NQELQSEIQSLRIQIQTLEQTQQSQLYQHQKIIRQQESVKLLQLEDEIMHYQKEIQVIKG KLNITMEDCEFYKREFIQSQQQLEKTRKDQAQKQFEKQQVLENELVQLKEIKEELTQENS ELSDIIQKQQIQIQDLQSDLDEQIQSNKAITKLKMQSQLECEKLQRENQQLNNKIHEVSN IQQLTKDKELNDSQKQLQQMEKEKKLIDNLQSNFEMLSNDKDEFEIKLHSSEQQQKEMQI LLKQKDQQIKSLEQELQYLSKELDKEQQKDSNYKKKFGELTLQVSNKENEIQQQIVQVKS LEGEILKKDNQINDLNNQIDQLNEQINKDENDIIPNLKQQLNQLNQQFESLQEQLAQMEQ QILSISREKSPVRSKNNSLCKPFDQGLNEKIAQQEQTINQKNQLVEKQQEQIKILTQQND GLLQQINKLYDDLKEQQDKSFSLDRSSETYLINKSFNSKYNLDSELILQHQYQSHDIYES DRKRQSQYQQFEQTLQESVQENKILKQEIEQLKQVIIQQKQEYEQQIQMIPIYNPCQNSE INRQFEIIGQEDQKSHSLPCYNESNRNEQQQQIDRLEEELMAKQGLEQSNQRLNDEIRLQ ITKVVDFQQKLEILEELNQVLNQEIQKKDEKIKNLQLLIIESSDSTVAQKEEEFLKQTQQ LQDAILMLEKQNQQQEQKLSECQSKVETLENEILRKSQQLSIKDSQVNQNNRKNKRLEEQ IQILQDQLKGQGGLVTQSKDDLIQQLQNELEQNRTEILDHQKIIKSFEEQIDRLTKSNSA LIQENCRLNEQLKENLNVSYLSSDSQNH >CAK59461 pep:novel supercontig:GCA_000165425.1:CT868002:262949:263884:-1 gene:GSPATT00030094001 transcript:CAK59461 MFKLSKLFYSFKHSSRSNIQHNLTSFKKKRRQELLYNIGLYGAAFGCAFGAVPFYRLWCE HFGLEGDLDKKDYSMKGKKLDVFRKYHIEFGAETDPEANWEFLPVQQNVTVHAGETALVF YRAYNRNDKAVVGFATYQIFPEDAGLYFAKIQCFCFNQQLLNPKEELQLPIYFYFEPEIN EDPLLKKCENIKVMYRFYKAKNQELAQLAENEYKTVKKNKMILQKLRDAKKVGALNENDL NKIKSTKFTDQEIEDFDEWAYDNPLEAAESQKQEESKN >CAK59462 pep:novel supercontig:GCA_000165425.1:CT868002:263899:266171:-1 gene:GSPATT00030095001 transcript:CAK59462 MSGKEATLILLDVGASMYGQYQQGGSKKLSRLELAVDCLGLMIQQKIFNYKNHEVGLILF GTEEAPDGKTLYIQDLSIPDLDFFRNISDLPNHDVGQQVGGDIFDALDKAVHALDDHAKT KKMEKKIFILTAGCGQTDYSEKQITKLIKMIEKVDVKINFIALDFMNDYNGDMDDPEKPE EFEALNNRMLTASYQCQEQSINSRYVFLMVQELRNNMRIFPANVAFELYSQFHTRSLQAR ASFRGDFQINDEISVQVLIYKRCFEERLPTLRKHSTLGEFQTDTNKNHVRNDLIYYNPED PNMTPIEKDNIIRGYQYGRNLVPVDQIMEDKMKYQCPRQFQLLGFVDRSHIPRYYYTSTV DMVIAVENQKQQKALAALVIALIATRKVALARFVGREKTAPKLIMLLPHKSKNSQCFWMI SLPTTEDIRHFQFAALKRSTPPQQMAVSAMIDCMDLEKMPTEDGQFEELLKMKYVANPTR QYFQQVVMHKAITRSDVLPPISPLILEYLHPEKRVYDYAKEALQKVKAAFKFKINEIKKQ GDKKVFWKQLFEDQSTEQIQQQVEDEVVEINQEEEEMVNMFAKQKLGFNDDIVKEIGTVD PTSDFRKMITEKRVDLVDTALQQIQKVIIQFVDQSLKGSFYPKALECLKEMRKACITEDE APVFNKYLHVLKEKYSQLVFWAQIVQQGITLISNIENQKSHVSVDEAQEFLNKEDISHKQ LVDQLQHEEEDLLAEID >CAK59463 pep:novel supercontig:GCA_000165425.1:CT868002:266257:267771:1 gene:GSPATT00030096001 transcript:CAK59463 MQIQENQLIIDYIKKLLCPYHNKPIRYMSYSPNVPLGYKLICSDCAEDRGLNNYKEVESC VKEINKDRVPQPIRNACQQLMEFFDRMKNQVAAPLNQHTYFLRNTQNEGGLIQFQEELKQ YYLDGLNSNYGQQLIANGKKIIFNSIRQLEAFSTDLTSLLTNSQYEQQSLTEIWKKEYQK QPSVIEVDFSDQEFFILSDEKLEVYNTQVDGIRLQQQHHLDKKINCMIKAQSKPLIFLGR YDGIVEVFEQLTNGDYVSSHKIEAHSSGKFQYGVSCIITNSDSSEIITGGYDALVKSWRF RNRQWQLISTLNQHKNIINSLSYNSDFNIIGSASQDRSFSLYKSNSQYLYLNSFTQTNTN RVNTICFVSPTNFVISLNQDTSIYLYTIQNTLDQIFKIENLQTIQLNKINTDITITVQPR YYESLKLLIFQSNNQTYYLCQDSQNKFQFFPISRYVSRNQSQDNSAQPENSLKGSMFRLM EYGQQSIQLFQEGSSYVVRASKFQ >CAK59464 pep:novel supercontig:GCA_000165425.1:CT868002:267823:271236:-1 gene:GSPATT00030097001 transcript:CAK59464 MLIKVFNVEFQIIQFVLVNYLRLMSYPVKNSDVEGQHLLISVAFAQLSKIRLILAILLSL ITAFLFALALKYSNKLYTNFLFIQVDDEVSATHYLITTSKNEQFVCPKHLIKEKSYFTFR MLVYGFNSQIQSFEPIEFECKGRIISEMIKLRNKQDRNVKREYFGYCSIQIPIDSILVYS FNAFTGAFNLLQYFAVAIWIAENIILPSILILIFTLLSVYINYFLYVRSRKRLQQLANYE QNVEIYDRDKLKLNQIYQKVPSSWLLPGDLLVLKENQVLNCDCAIIEGDVLVNEATLTGE DIPIPKCAFQNQNVEFSFEKMNQNCLFEGTKLIQVNRGVAIVLRTGFSTLRGQYFRNVLY PHPQSMKFYIQAAKFLLGNGLIMAAIFGFFLISYIPMDFEPSILVLRFLDNIVWSIPPSM PIFFQVCKTFSLVKLEKKGIIGNNAEKIESAGIIDTCCFDKTGTLTTLGFKVVKAIPQEE QPILNAIMGCCHHLLKVNGNIIGDPLEIEMLRFVGWQCDFDKQRTIINGNNKQFVIHKIF DFNTQNFMMSVIVSVDQKYFLYTKGTPESINQIALSKRDDLINEFNINATKGYRILGLAY RELQSNQIDLTREQLEQSLNFVGLLLMENPLKQDTADVIAALKKSGLDIKVISGDNPLTT IQCSKMAQILTADQDISFIDYNEQDQSVTIQSNNDKKVIQIQQQNIFNQLDTIIDDKSEL AITGKFLDYVITQGNLKDINDLNDNQLAKASGKNMVFTEIAQIDTEDVNVKKFLFNLIKK TKVFARQKPEQKKHVIQVLQSLGKQVLMCGDGANDCSAISQAQVGISFNEADASYTAPFI SRSNSIDCVIKILQQGKVSKRNFIEVFQYLISVNVIKFIAILITFLEAETFADIQFTYTS LIAIIPLLTFLCMSGPCDTLADQNPIDDQFALRNQLQIYNNLFWASVGLIINYFLSQQAR DVHTCQFKEPIDNCIPKPINSLKQSGDIQSIMFLSIIFYFMTFAINLYVSSPFKLRYYQN KLLLVWTIIGFVIFFLAAIFPNQGGKWLKVIDINDNTYKGFNWIMIAVVIGTATVGFITQ RLLTKFYPSQQRI >CAK59465 pep:novel supercontig:GCA_000165425.1:CT868002:271737:272835:-1 gene:GSPATT00030098001 transcript:CAK59465 MHDQIGLDRNILESKLNFIGLFTLKNNLKDDTSQIIEKLLESNLDIKIISGDNPLTTVHC AFESNLIKNKLILLYQVMMKKRVALIIRSKLKILNQNIVRLEIRLELILNRNSDFAITGN LWGYLLSNKVSDISLNYSIDQQYYKQLRQTDDIDIKLITCLKNLVKKTKILTRMKLHQKK EIVQYLQNQLNKNVMMVGDGALIQSAIVEALVGVHHLLVIKRIQFECIKFILLQGRATLS IIIEIFHYYILVSVLKFTGTALLQFQEMNFGDFQYNNMNYLSSIPVLILLTLSQRENQLT DAIPNDNIFQINNQLQFYNIFVLTSLSCLIIFFIVADNSEAPPYQTILNPIFKKVH >CAK59466 pep:novel supercontig:GCA_000165425.1:CT868002:273023:273340:-1 gene:GSPATT00030099001 transcript:CAK59466 MSLLKLKQIDIVGSNPIKTEDSGKIDTVCFDKTGTLSTSGLQANYYIPHQESLLEIMAYC HHLTIINEELNGDPLELEIFKLTDWNINFDNQKYFKVSKNEKSFW >CAK59467 pep:novel supercontig:GCA_000165425.1:CT868002:273486:273989:1 gene:GSPATT00030100001 transcript:CAK59467 MEQFMYQYFVMSNIVKIDRTMRPKMTKNLYAQTQTLQMEVEEIQHFQNTTPSLKHIQFLL LIQYSFDKEISQSLQYLQREYFHKIIRQFNMAYFGIGTVSLARVASFTITSPQISRQSQF IQRCFSIKNQSPTTNSLPSINLLSINQTCYLIFANFSSYILDFLRLK >CAK59468 pep:novel supercontig:GCA_000165425.1:CT868002:274369:274999:-1 gene:GSPATT00030101001 transcript:CAK59468 MNQTQTNLSQVRQKEKVALNDGLPKIEPRVVIEELKSHLYSDDNFKKLFSDAEDKKYREN FQLFDRDGDERISFTELQELLTSIGYIYEEQELSELYKELEDSEGQGIRSDALFILVSKK KREQDREEQLVEAFKSVDLENTGYIQSEYFKELLMTMGYRFTEDEADEYMKFIDPKNEGK FLYIDIVKKILK >CAK59469 pep:novel supercontig:GCA_000165425.1:CT868002:275024:276747:-1 gene:GSPATT00030102001 transcript:CAK59469 MNKFTLRFPSQMEKKYEEFTREQSLFHFKCYQPILIIINLGVAIIQLKEGQIINGLFESI ATLFFILQIPYILKYRKGKNTCNLFVINNLIMTAIQILVDRNTPSYDNAYVSGCGIIIIN ITLLEHYDFVWNAFALLIITISRLFYILFYFKFDGMAVLYIVVSFYLFILLYKKSYEKRT LFIQYQNEKEISIYLITIKLGNVLNEIIQDIYIQFKFDQKSFQFELKYANHYAEQRLGIS CNQDFKDFLHQYSIQDTQLQPKGNSKQKQNIQGSTGLDCQNIGQYLYDKMIQSKSPQKKI ELTIQKNNSKQLYNLKVFAYQNESRELLMLMEQKQDILHDDTQLKLKEQEIKLLSSRLDK INTRTNKQLFQLCQLQQNSDDRYYFKVSESLISAQIISNTKIKMILDAFQIYYIKINYSE LQTFSLKNIIYQSLMIMNNVADVENKSIQFESDSTDHFVITSIQHIIQFIFSNLLYLFLI QKSHKHIKVELSKIETEQCMAFNFYIEGFISLEFLRQNTFIIQMIYKGLQLVGPKAEVTT TSFFQDTCPSLLQVKIYKNLDIVLNG >CAK59470 pep:novel supercontig:GCA_000165425.1:CT868002:276973:278333:1 gene:GSPATT00030103001 transcript:CAK59470 MVSAFSIGQAKRSDPAIRSSAPSPNAYLPKLLHNQKAPNGPVQRVGRSTSYGPGPGQYQY QQNVIEGPKYSIVGKHETKQFSLSPCPGNYNDESFTTIYRRPPIYTLGMKHYTSAKEFPP RPGQYDLNSSFVSNNSIKFLLNKDQYPQKEECLLVLDYNIDKAVKSTMEKTQPSWVIGAS QRQQELKKSQVSPGPGAHQMSKMLNLSKNFTIKQKLQSKDPNVSAKGPGAYDLDVSIFKS HYPTFKIGTEERSTKNSLDKFFPSPGQYYRERVDSLSSLQKSAPSFKIPQALRKDLNDSI TITTGPGQHLNDYNEGFQIQSKQLCSFPGTGQYNPNDSICKPKDGSVKIVPEQRSKAMLT QYVPGPGQYSIKSTLEGLAWGFKKEIRSKLIRKDFNPGLGSYNIPPNINEVPRYLLQK >CAK59471 pep:novel supercontig:GCA_000165425.1:CT868002:278358:279165:1 gene:GSPATT00030104001 transcript:CAK59471 MINKCLKYFYIKQHTLISIEGNIGSGKSTLLKLMQQKYPQMHYLPEPVNEWQQINGNPKL NLLGSFYQDPHRWAYTMQNYAFYSRLKHWKTVMAYLNQSIILSERSIQADKEIFAKNGYI NGLMNEMEFAIYEQFYDWLVQEVFGKQIAKQLIVYLQVNPNVCLERMLKRSRDEEKNSIS KDYLVQIHQRHEEWLINAKDQNQKVLILNGDKEFEGDLKQQQIMFDQINQFFSGLV >CAK59472 pep:novel supercontig:GCA_000165425.1:CT868002:279181:280255:1 gene:GSPATT00030105001 transcript:CAK59472 MATNNLPTFYPGQQSMTNVQPSLYQQQGAPFYQSNQGLPQVLGSPSGIQQNQQISYGNQY GLNVPNQGVPSYQTPQRIVSQRVMQQSPQVVTSPIIQQQVPIIQQQAQNIQSSVQQPSVV HNVEHVPVIHELHHVNRPINVVSVDEIEGPWRSKVLLLEKQLIELQLMLKKGPVKQVQSK QVVVEDEGKIRQLQSEIERLQAILRENQKEIDELQQQINDATFNLENAEEQASSQVEAQQ EELAKWKKKFSDLNKKFHDIEEDITMTEAQIESIQKRKMITQTTSTVKTTAKTSGGTYGD GDVRKSGFSNRNY >CAK59473 pep:novel supercontig:GCA_000165425.1:CT868002:280280:281309:1 gene:GSPATT00030106001 transcript:CAK59473 MLNTNSNQFVHSKLIYACAISPKSTIDNQRIILGTIGSGGQQIIQLQSAQEGYKIKTYHL HNAFSAKLLFLNQNEGNERFVSAGEKLQIFKSEDQKISHICDLIPLSKEYVGPTFALDFH YEQQNRLISGSLDPTIRIWDLEKRKCQYQFIAYDISKEPDQYTLDLNIGRDTNILAFLNN YNQIGIYDIRSKMGMHKIKGKLQYNQVIWNKKQDNNLIAIDYEAGLIDFYDTRDFKNKYI KELELTIDKL >CAK59474 pep:novel supercontig:GCA_000165425.1:CT868002:282536:283636:-1 gene:GSPATT00030108001 transcript:CAK59474 MGLNYLQKKNWHPGSLKNQEIVWIREQIQADIIKREQERAKKLAEEKNIEELKRIQVEAG LIPKSHLDRMEWMYDVSKLEKQNQNSAEEYLLGKTATSQEVEKLADQREKAKQTQQYQTV FKEETTNAKCEDFVLVHEDPMFQIMKGEQQIKQVLLQNPLKVKQLQEKIEANKKIKKEKS HKEKKDKSKKKNGKKDKKSSKKSKKHNRSSSSRSSSSSSKEQEISTAISTGSSKSQIYQQ YLKERLGNIAVTDEHGNVKADFSLMKKKYRKNLNPQQSQEELRNQMAADGQQRLQQQLKQ IDEEPKQQQQGVGGGQFLNKIRKEIYNQNDSSAYQDRIKRNRHFQERFNE >CAK59475 pep:novel supercontig:GCA_000165425.1:CT868002:283752:284716:1 gene:GSPATT00030109001 transcript:CAK59475 MKKKETKFKKIQVNKKIYPNFLKMIDERNFDLFISSFRDIHPENFTHCGFIYTHKQNEKL SINEDAAFVLDNKIWFARIEEIVKIIINGEDAGFIKGRIYLQKPDLIGLHEKIKECTEDD LFLTEQTKWFFCKELRKKIMVYNLNDIIQNNIDTKPGSFYTRSEFNVEFQKFITPVEEWA TECICQKLFDNSLGYLQCDRCQRWIHYDCSGLPKDVLENLNDHNFNCKICVGEMKVEKIK IKSNENENQQQVVKQSKNAAKKENQIIEEKIKIEMQERKPLKNKSVLNQSMNYNKQFINE KNSKKPIQKIKSN >CAK59476 pep:novel supercontig:GCA_000165425.1:CT868002:284741:285758:-1 gene:GSPATT00030110001 transcript:CAK59476 MKINDKKNKYCLKQTLIHNTYVLQLQKVLPQKREKVSEIMLRLNYLIIDAKITKFIYSGH KTQSDTNIIVCNSIDQSPQTQSNKDIETDKLSYEKMFSSNDVDLQFDDFDTKPTNSYQVV SPGLSITSYDLDYTQKSSDIQLYGSVSYNQREIPQIVLAFRKRRPVQVQIPQQTYQNQES WKTLSNFSSPDSSPFQNKKIQIKSFALIPLKDEEEDEIFSVFNDPFNFYGTISQTKFENM SHLQQFLILYKMRTGTFPRQRENLENEIVISIAMNLAKIDKQLFKQYFPSKKVYFDDEND YTRTKVVKRKEQRQLTQVVTPNFQQIQN >CAK59477 pep:novel supercontig:GCA_000165425.1:CT868002:287257:288417:1 gene:GSPATT00030111001 transcript:CAK59477 MLMKPYIKQVRLQRIEDFLLSLVCLQQFQQWVRHTHDIHKAFKSQDYYANKLDYESIFHQ KYLPYLSILVHDIYWVQKKTFPDILLIYKLKQEYPQFQELVESGNSRLEDVTCDLKGSIK FLKKFTNPDHLVYYYNPLSRQINDQIDVQFENDIIYMTIAFLPSQMPYEASMDFGKALRD ILPHLVYSDPTKRLEESCYMNFCKMQPLHYMENSPKNFNTLMNQGELMKQKMTNNNLDRL NLIIRTKQLQLKNISQQKILLYHSHNHIFFLFNFRSPNSNSQETKDDGAQLEDSPINLNM PSNEGITKCSMINQLSIHEFKFNDYYQFSYYSIFDFYFYNPLNYYQDTFFKIIIRFKDRN VIHFIAIKEFQKLFKLSLNPPPPKDL >CAK59478 pep:novel supercontig:GCA_000165425.1:CT868002:288611:290526:-1 gene:GSPATT00030112001 transcript:CAK59478 MDQIKCPIQNHENNIERFCHFYNCAEPTRLMCETCEREQRHIATHKGWEKIKEIFEFIEK KQKNCEIDIIEQLDEQFKVLQSNFIQLRGGLELKYFLPKIKIEKYNGVQLNKYLCDLVKL PDIMEQFSNLLENFQTLIKNIVDFQIANNINCIQKADDNQQLSDYYYEQGYKLYEIDKFQ QAIEVIEKSLKYNEKNIDSLWCKSTCLSLLGNQSEAIKWIDKALSLDDSDLDSLCRKSEC LNQQGKHKEALIIIEKAVSIYPECIDSWFRKAECLSLLGQQIEALEILDEILMVYPNNTD FLWRKAECLSLLGKHQEAIKLADVILNVNPKHVNTLSRKAQCLSLLGLQVEAMIWINEGL LIDKNHINLLRIGAKCLSLLGKKKEAIEMIERISSQDLQTLIIKSECLFNLGKVEESLKV INQALIFYGNNLDLILKKIELLMAQREYSKALVEINKVLGNNQNNIDLLWMKGECLYRND MELQAIQVLEQALKLNQHHPKSLLTKGNCLFFTKNYQKALQCYTQLLEENPNHLEAQDQK QQCEKHLSNK >CAK59479 pep:novel supercontig:GCA_000165425.1:CT868002:291330:292923:1 gene:GSPATT00030113001 transcript:CAK59479 MRGYLIQGEIIQKKLSLQLKIPQLQNVKEIDQNKIKAFLREVDLDLEYYGNLPLLHQASL EYHRVMGTELAFNIENAQKWIQSTEFIELTYALRWVYEMSSYKDRQEIQIEFDPKDQILK KIILLNIGLEQKKEISQINLIELVCDNSEILYGLSLYIQNRIETQDISTYLPLLQNMMQE GDKSAINQLIIIELIDKVLKKYSGQQDEQIKKLKLTNQIIRQIKWEEDYKQYTLQELENP NFQLRMTKQVYLYTKEQYEVKISIFKSQWEDLFTEIITSTIIQPLDILCSSLGEEEEINK EMNNPTFRKKYYKLKSSINQPNPLQSQIRVNLPPPLPLPKVKSTNISNSIYVKFNYPILE NQLDGTIWKVNLIGYETKIDKQILKYFEKNQIKQTKINLAKIVVLKDEVEYGNSVFKNQT EIELKRKKFEKINLDEILEQRKIKIRISEHIFSFLILLFNLYLKIMSNKQNKTQKRPNKQ SNEFRKRKLEEEDELQKQERERLKDLQKEAREQDILKYESAIR >CAK79913 pep:novel supercontig:GCA_000165425.1:CT868348:2484:2840:1 gene:GSPATT00039363001 transcript:CAK79913 MTIFFTQNIFIFASFCYGRIQIEKLTQPKQVHDIEAADLQMDSYKLCQEQQYLSKSIPKH LRIYSVSIPDTFDKSSQIFSFVDYELVALLQYNFLLFAIQLATNLEAKLEMNVQLLAF >CAK79914 pep:novel supercontig:GCA_000165425.1:CT868348:3147:3643:1 gene:GSPATT00039364001 transcript:CAK79914 MSYLYNFKQFQHLVIAFTPQFYSHTRTESWSGFLKFCSSCVQLKNSTPILLQTHHLSPVQ SSTITCQNCSLFYLNSGFLQCILNYIMRIYSQCQHTSCIQNSLDNICSSYIQKMSFLAHY LIILTSYFNINNTQAIALIFRSQAFVFKTDYNLYINR >CAK79915 pep:novel supercontig:GCA_000165425.1:CT868348:3697:3933:-1 gene:GSPATT00039365001 transcript:CAK79915 MSISLKDRANISIHLALITAGFGKNQGVIINRALRTKQYDNSYSLKVEGLRFLKLKNIEH NKRNMQYIQCMLRRCSVS >CAK79916 pep:novel supercontig:GCA_000165425.1:CT868348:4069:4675:-1 gene:GSPATT00039366001 transcript:CAK79916 MKPKQHNYLYSKRARCMSLANFQFNVEKTCWAIQIQNDQKIIMKPLTSHKSIFLISTQMQ AVVHIVHQQHDQKDGPKRNKAVSESLQEQFGSIYIKCERRISISIEEQSALKGPTRFTVY LSIHTQSMNLTEYQLQGSCQHQLQALKSIKDKSLVHMVHSIIFYYCEYNDNQEIMNFEND HQTLQR >CAK86032 pep:novel supercontig:GCA_000165425.1:CT868553:240:446:1 gene:GSPATT00039737001 transcript:CAK86032 MRQKSKIIQLIKAKDRPYPFPNIPTVLLQTATSKSLKQLNWQDKRSGPPKCSNSYDLCAI FMIQHLQM >CAK76385 pep:novel supercontig:GCA_000165425.1:CT868235:114:787:-1 gene:GSPATT00039133001 transcript:CAK76385 MIQQLNLKLRCQKDDHKDEIDMVCYNQFCTEFRLNCFKCIKQGIHQHHLDDVEKIKNLQE FIENKNKECDDLIDYLNQLVESMNKSFTQFKTGIKHKYSLLKERLQHLNQNQINDFFNSI IKFTEYKQSITTIISEWTKKLTNSFNNLYEQLQLSSINYYQNSEENIKLSKELYEIGYKL YIDDKYNQAIVIFDKSIQQDPNNHLSLCRKGKIDG >CAK76386 pep:novel supercontig:GCA_000165425.1:CT868235:2195:6654:-1 gene:GSPATT00039134001 transcript:CAK76386 MDLKIDDLQFFLQLKMFNLENIYQYYWIIHLQNRLVGIQWIVYMHHMQQVMYKDIYQQVV TNDIFTQIKIFEAFVYFAQIYLNHFKFFSIQKLRRQNCQFENSLQLTNFYLALWIQIYLI QQIRLILSDIICNLSLSFFSQVQFTYLGRVAYSXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFLPFQLQWNQCLGFNQMY KDWLQFSSFYKALCIFFFLLQLIYEMP >CAK87754 pep:novel supercontig:GCA_000165425.1:CT868638:223:444:-1 gene:GSPATT00039828001 transcript:CAK87754 MQYSEQDDDYKQLNFKDLHNNIIKFRTPRFAEWDEELKQKLLDWNEKIRMPSTNFIERTN PLMRTRKRFELNK >CAK81372 pep:novel supercontig:GCA_000165425.1:CT868404:832:1254:1 gene:GSPATT00039456001 transcript:CAK81372 MIQNYGQITLIKIENPIVLNEQQKQVSSKPSKLNQVILFGVSSFIILLSGNPTDCIEVLD ALQYQSYIRFINVAFPENVTIYIESAEIISIQPFQIHLFQLQQMIYFANIIIQSQSVIFN LKNYFKIHHFDLRVEVFSIK >CAK81373 pep:novel supercontig:GCA_000165425.1:CT868404:1498:3108:1 gene:GSPATT00039457001 transcript:CAK81373 MNILYQKQAQETQETSCKIHNLELIAVDLDLSDKAQIQFFCGKCLVEKFNNNKVTTIEQS KERIQSIKSQQKDIKAKKNQARLNYYKIILEQIMYFKQSIDDSLEKMHKQIQQYMFPIQK EKQELQEFESQLNYFEDLKQLSELYSQEQQQSPKLIEDNHFINEIQKQFELLFNSSEYFQ TVYTFKNTKEKIKDIMENNVIEMVLSNINKNDSKTPSLSRICSNHKKEIIMIDMDSQKQK IEDRFACVDCIYENPQIKYQTIENIDKQWKEYNTGTEKILKEYKKESKETKQELLNQLGQ MRRNYNTKLNEISEKLIAEQFLSIDKTKQSKKIKNISIKTLDDEQLQKDLEQLIEKEKEN LSQSQILKDLKNKDQIFKKDLQYYLECLQQYDQQDIQQSLEILKEVSIEKDLSIQLTDMI KDIQKYAQKDENYKNQINFIKEIQELIDQAKKYQCQLNIFDQMILVYQQQVQKIESIQQS TSIKSQDQAVKSEQQISQYQKLQNILNEYVNTLEIIVYN >CAK77045 pep:novel supercontig:GCA_000165425.1:CT868254:3200:4130:1 gene:GSPATT00039179001 transcript:CAK77045 MRQFQMQIFDLLSINKLCWENNNLNFRHLNHFKDEKGGNKIQICQLRTSASAEQSSVQSY STKFVQRITENYQLHLYNYQISNKIKLGNRGCQKQNSLVKEPQTKKHSMILSNGKNFFCQ TASYKIVQNTIIANKQKIIKDVSYQILLKAKNICTSFILDIQPANNQKVLGNYDVSSMPS TVVIRAYYLCCSTVTAKLKFPGQKSQAYQEGASKTQFCSLAEGINDFATTSNETLLLCKS FRDTREELDMKEWFTIIHIKRIYRHYQMGDRIQKLKVKDFHSINSKSIL >CAK83402 pep:novel supercontig:GCA_000165425.1:CT868474:2306:5711:1 gene:GSPATT00017731001 transcript:CAK83402 MYQKIPITLFRVSKIGIKKYATNKVQTSKYTWFNFLPKNILEQFTKQANLYFLILAVLQA IPIISISDGQPTILLPLIFILIVTMMKDLYEDTKRKKSDRQENENTCLLESGQILQWQDI RVGEVLKIRENEQFPCDVLLLKSVQKTGECYIETKNLDGETNLKQKKVPQYLLQQGAEML NHLVVEYDMPNNQLYKFSGSCYFDLDKNQENDIFSLLNQKEILNDKLTILQSQRNKDQQT NLLISQVRTLDEEIERIKILKIALDNNNTILRGSILKNTSHIICLALYTGHDTKIMKNTI KVKYKQSSLEKQLGKRIIFIFLLQLGICLFSSLYYSIWFNEHYETLTYLEIKKSGYVDNS FAYNFFVRLGNWILMFGNLVPISLLVTLETVKFCQAFLIQFDKKMSLNEQRCSVQSSNLN EELGQIRYVLSDKTGTLTKNQMIFKKICIDGTSYGNDYQNNSPIDFVQFDDQTFVDKLNQ NDPIIEKTLLLITLCHSAIIQKVQDELYYNVTSPDELALLNFAKKFQVTYNGIDENNIIT INYRGKQKQFQFLYLFEFTSERKCSSILVCDKETNLIYLFSKGADSVMLKKQLHQNIEKS KNQFNKYISEYSERGLRILLLGYKEIHWSEYAQWESLYKQVITQMENRQEKMEALQDQLE KDLTILGLTGIEDKLQDNVDETIYSLRQAGINIWVLTGDKIETAINIAISCKLLEQKMNI QIIQQPIYEDIIANLKQSDAFVVSGECLTLILEDTVLENLFSKITEKCQSVLCCRVSPLQ KQQVVCFVRKYFSMSSSLAIGDGANDVSMITSANVGVGIFGIEGQQAARASDYAIGEFQQ LRQLLLVHGRESYRKNSELVLYNFYKNVILVFPQFWFSIYNNFSGQRIYDNLIYQAYNIF YTSVPILIFAIFDAEYSEQMLYQNKYSTYSIGLTNSCFNTQLFILMLVNSIYSSIIIAFF SIYIFDQSTHQEGRQLSFWYTGTVTFWLAILISNLRIVIISNTWSPAHIFFLLGMIAMFF FTLLIFDDFTSFDGVYKIFLSVFRSTGFYLVCILVVGSTSVIDHLLNKFTYFNKKLQPIN NRIYQLESQ >CAK83403 pep:novel supercontig:GCA_000165425.1:CT868474:5750:6909:-1 gene:GSPATT00017732001 transcript:CAK83403 MLNCSCKSSSVQLTEEQKKELRWKKEINLLEHYNIKIGLNCFLYDYEVKYQGKLYQIHTF CGGESDKEIVLMLHGYGGSNVHYCRIYEQLIRKFRIYSIDLPGMGYSSKSDILMESYEDA IEFFMGTISQFIENFIRDQKIILIGHSFGGFLAAHLFTRMPHLFSRLFLLSPAGGTYYDD SEIKKLQDTSKYPFLQRIFFNYAHKKWSEQITPQQLKDKWYGNYFIKKYLKKRMSLEGRE YEVWQTYIDEMLALPDGSEKALFLLLQFPRVIAKGWDSIEYILTKHTKYIYDIPIYFYFG DQDWMDKKGAYNLAKNKNNINIRIIENAGHQLNFENPKGVYEQLLIDINASANQKEQQIV FS >CAK83404 pep:novel supercontig:GCA_000165425.1:CT868474:6964:10134:-1 gene:GSPATT00017733001 transcript:CAK83404 MNQSYLLQLFTLPVAEGLSVVELDQLLDYIAKVYSNQENLQTVELYMENNFSLKLIMIIR SIYHFDSIMQLNPQKYNSQRFKQLVIFATMQLKKLSQITSEQDQQIIFSLSIHLGIKYYK THIKLMSHLIGMVFEAFQEKNELFDFLLQQMSQSLVNHQQMSTSITSILFILDQLLRFLF NIRTLDQQLQVIVEQIFQQFQYIDYSSIDIHIGKTLSSIIVICVQKYNCYQQEKLLLLSQ DQHLLKFISKYLLLENIITSNLLKSLCILIKNQSQVQAQLKFDSVQIPYLAQLTNQILPL LIQSFLEKKFDHPTSLSVLQFVNECLNYSFSYEFFYNNQHQLLFNLIFDNLIFDEQENSN FYENTTDFYEYIQAIIKLDNDTIKSLIAQILDKLSKNIDGFLKNYYDMCYELLLFHFEVI QETNLCNKLQTEIIQCKFFTCPPDLRYNAIILSFCILNKQLTTRKDVRQSVEYFLKQNLK YMKKKADALGKCLGMEFIKIVLPSIFSNSEKSFQKCIKFCFRILNQPKQKRGVKLFAVKC LINLIQNQDVQLKMEDSIAFQHFEFCDQLALCELDIYYEFAAIVVQNCNEIVDTYLDDIM KSIARRIDFGCKKIKANQTQYVNHTSIVFSCIKIIDIILSQPYTLKYTVFIKTIQQAQIE PTLNQILNYLLQPENLLFIDEIFDACSKFISYTKGLTEIIYIIYDSLPAIIEKEGKLTIE SVFKLFLQSTIHASEVVKSKPQWISIMLKFVYSELQKNNSIEDNIRISILIQQFYQFYNL DECCLLLTEQFYNILSLQLQQKEILPKTFISIFMPVIIDNSKLLNTHLRQIIINTSGYLN LTFGRALTVYNYKFYFIIIHFLILNSNLLYEEQHRQSIIEQLFNNFLNKYAAYIEKITFY QKIGNQNLSSQEKTIPSFDLEYQDNQLEVHLKEMSHYKKENQIEKQNLVDLESTQIMSQT QQTQIVQNILHYLKINYPTTLSHLTQADNILLNSILQIREIDPQNQQGVRQIMSVKTFQR KSQNLKQYNVM >CAK83405 pep:novel supercontig:GCA_000165425.1:CT868474:10251:12210:-1 gene:GSPATT00017734001 transcript:CAK83405 MGCGSSAGAVIEQNRAPGFKGPVFLTIKHALLERDVRFIGVMDPYVKLIMGRQEFKTPVA KEGGKHPKWEGCTHTFQKLLGEQDVIIGQVWCENTITSDILIGEGAFSLTSIRQGNNEPK RISFLLFHNGEKAGEISLEATFTLDPTGKDLPEIKAPDTEGKFIVKPKFGRLKKNTNLLL KMDPFLTIHFGNETMNTSVDAAGHTTPKWNDEIIFTRETDEDTLYIEVWAESLIGWNDFL GCGYASITDSLSLKENQLQTVTIFYDGSNVGEVEVQCTFKTSKPQDYLQKEQKSTEAPTK ESFQQQLQQYQQPQQQQQQQQQQQQQVLPQQLNQFVQNYEVRYQNSYAQQPMNGRVDFTY PNGDQYSGDMMNNFKHGQGRLQFSYGGYYEGYWANDQYNGMGVLVIGDSRYEGQFINGKK NGQGKQVWNNRQQYDGQWVDNNMHGNGEWTFVNGGKKKGVWAHGNRLRWLDDDQNIISSN KTMVSGFMLTSPNQQFTLSFFHDGRLFIFHNQTRQPIFSAINFNRQPIPPVWLKFDQSGG ELQMIDAKGMPYWVTGQNFGNYAPPFVLILQDDGRLVIQDANGQPKWYSH >CAK83406 pep:novel supercontig:GCA_000165425.1:CT868474:12994:13222:-1 gene:GSPATT00017735001 transcript:CAK83406 MDIVRLHHLAEQRCKPLGCRMKSCQESAQDPNLCQQYHRELLECISKEKARLIDNWNRTG KFSS >CAK83407 pep:novel supercontig:GCA_000165425.1:CT868474:13344:13596:-1 gene:GSPATT00017736001 transcript:CAK83407 MACCSCSQSLIFTIVLITLLFAAVTIDAVRFAWLTISFMFGIVLMIDYMFSNEKGFMYDP DYKTWRQKTQTDA >CAK83408 pep:novel supercontig:GCA_000165425.1:CT868474:13619:14872:1 gene:GSPATT00017737001 transcript:CAK83408 MFELWINTSFFSSQTCFLRSKPIALHYSLLYCVLSLLQRRSKMLKNNGLNLSQKAEDHTY GCIESQTLNPTKEIDIEHILADYKFMKMLKDPKVYPYLFPKRNQAQVFFFDNQYFQKYKS KQQLWKNRVSQSKSQYFSIIQQQEHFLFSRSIYFLFKGDCKLQYPSKTSDKCVFSMKIQQ NFDSTSEDLEVISINTGFHTPTKAPIAKVLSATISPQNKEQGMQSIFSFGIGEPNEIQYM QLNWLIGYLNQGQTELGVVHEDSKNAKLNTFQSTWRSTKCSHSFFHSSQIYYFGQKFQDI LLLFMNLIVFCGFLGFFQGFMNKVLSIFQFYFQQQWFKLQNSQEYHLFHLDQIHSRFLIP QQTYKLFRQSFFYSNSLSTSIQTTIEFRFLHSSFVYQIISKNQLVWFFKF >CAK83409 pep:novel supercontig:GCA_000165425.1:CT868474:15418:16002:1 gene:GSPATT00017738001 transcript:CAK83409 MKISDEIILMYNILRILGWSQTMALIIYQTKHDIDDISNILNIVKALTIGKCFEIVFIKQ CQVFSQRNILKYYIFLMRLIFQWYFMRPQLCFCSFRQTISGWAFMEITESLYYVSKSEFM KKLFSLLIFIFVPFTLIGMIRVINRIIEKQEQEDYFKRLFQSILVIFVAIQLYINFKRID FGQSKVGIRKTKAF >CAK83410 pep:novel supercontig:GCA_000165425.1:CT868474:16336:18805:1 gene:GSPATT00017739001 transcript:CAK83410 MQLISDREDPEHLITQGACSVDIPIQRNRPSSQYMFHGSQNRKLKTQTTVSIFGTFNTQE DKTTITRDTRSVFVRIVRKLIIIQCFFDSLEQYRKERLQQRIEFYFQKRTKMIPFYPDDC FIVLWMKVITLLQFCSCIIYPLFITFNQLEGDLQIIVIILDIFFSLDMLLTFLTAYIDET SSLKINIFDIATHYLKTWFTFDLISIFPWIQLGLDDLRFFRLCRILKYFIYKQKHSYKGQ AEQQKVVPSFMPDNNIQLKEGIKFIIDVMVTAFLLIHIFACGYHYLLEIEYIDAFYQSTQ TITTIGYGDSSKFIIYEYQTLWLIVGVGFYTFTIGDFAYMMQRSGINQDDECYFQLEQLC YVSDFPEKIKNQFQRFISTNLNNNAFWSSYHKKMVHDLPYQIQNYLVLSGMLQICEAVPF FMQDINFTIELLNNVQFFVIEENDLIYREGQNSNEIYFLLQGDVRIMTKKKFTLLNILEG TMFGEFEAIEETLRATYAVALQRSLILKVDYAILEKSMKMSPNLYFEVQQLFHRRRKLLI NNLKLAQRNKIRRSVLIQFSHEQLQYTRQEMKKQREIRELKNINEYNQLVLQLLIDKKRH KYDQLLARFKSVVFRIIHFNQQLNRTPPEDWKDLDNYNLIKRVFPLRCLSDKFQIFFRKS SSVHSQSVHRSSIRRRIDNEKYTKYHWRLSDVQIKQIILMHNKRSVKVYPTLSNIVSTYQ GGTVASSPQRINRYYPRWIKDLVQEEYTSEEMEQFIWKYNQINKRLDRIDKMWTKGLILK FDKFKLKSQNDLDHLDTESSDEK >CAK83411 pep:novel supercontig:GCA_000165425.1:CT868474:18946:20725:1 gene:GSPATT00017740001 transcript:CAK83411 MNAANLNLERDNYKDLLKSIKDESMQLAIRHQSNSQHVLEKKRKQKTETDHKFTLALPSI ENSTLEDISSHFHSIRRSQPGGFCELIANKSQQKFIQQSFLELQRNKMSQTYRDINLSER KFKSMQRKVPHREPLTLKYLEKQLGISKTPEKQILPTVQRQQQQKRKITEEDIKEEQPIV SFFEETLNSYSYAVPSRRESAQIGVVRDRMYVYGGMSGAGINNEIWWFDLKKQEWSNYCS NVQLFITSHSMVVWKQFLVLFGGSGYYDHKMKIRQVYSTLGFFNTITNQWTTSLESVEPR REHKASILFGKLMVITGGLDSAEKLLDDTLIYSLESKRWTGQKLIFEEGIAQHAQCTAYD NKRNIETVYIFGGQTAKLNSFPLMKMTFYGLHPVGWEKVQYSGQAPEGRYNHTMENVGDY LILIGGRSQEKKEYQNEIYIFHLQKSYWTIAQRQGLQTKRWSHSSCVYGSNILCFGGIGE NTYLPPHIYQIETDNFKIKGKIVVVKPLSTIDEEFASNSPPMIITREQEQDSKKVCRLEK FRKARKMYEQITTYLPLPKLKTPKMRYDLLIHFVKNVLKQIGYI >CAK83412 pep:novel supercontig:GCA_000165425.1:CT868474:20808:22914:1 gene:GSPATT00017741001 transcript:CAK83412 MDAMSCNVCGNRLVIKGISLVCTGCGNATRSNHIFSTEQEYQKQILKRTTSHIMQRRERE IQLNLTLSEHIKQNWIQYLAAFTKFINFIGKQLNLDIKPLFSRYLHHHINQPVNSNWTIA RKGHQAFSENRVVNSKYKFNNSNTESTLNIKVEDDFDHDFEKQLQNNFTQDQQNQSSIIE IQQIKKKISKPKTPFYQKRVIKLQLKQGSNLGQTLSKRKQSVHRKGSQILDIPVNQDKST FINGLLSSTNLTNTIYYALIEIYFEKYYSTTTNDLNLAIKKIKNIKTNSNNTQILSLKSI SQELLQEMSPFLQKEYVLVQDILIYMLGCPNSFYEMSEQWNHFDFKTLNKNLKKRNVNFI SRKIKYIQQFLKSCQQKEINTQETDVTVNINNKLFSKKKLNLIPLDFTFGLILIYATNLI QGNKLFPSKLIQLIKQKEINYLEGFSHLMGDTTNQYLTIPQHLPKSGFIRHQAVKLLKQF NIEYQESNREQFLDMFKSICIELKISQQIYSIALTFEQKYFINFNIHNSQHDIYSCSLIL LAFKYYIYIDKLSAIKDLSLVFEYCKNIQPYDYKDLEDCTLENLRQKLNALQNFDQYYGD RDLNIKLNSKLQQLDSYFEQQIIQEYHKQVQTVEITNEVKINIIHEDWDFYKTGDFEFEA PEVRFLEMKLSNYIDDDENSIINLFHELERVLV >CAK83413 pep:novel supercontig:GCA_000165425.1:CT868474:22955:23277:-1 gene:GSPATT00017742001 transcript:CAK83413 MSEEQEIDWGVGAQALHYMTRATKDCSKRCGALKVNRDFNESETECLKKCAVYHAGASST HMRFLINYAETVHLQ >CAK83414 pep:novel supercontig:GCA_000165425.1:CT868474:23643:25978:1 gene:GSPATT00017743001 transcript:CAK83414 MQNKLLDKVQEENASNKMSKLFKGGQNDYYVSMKEEDSHQIEMNKIQRKKVFLSGSEDEE ERLPIPIIKQEDNKCKMIVILLEMQVYQQPEMRKSDILDEVPSQENMDGLNGMGQFELSP INIQAGKKKNKTKKNKSKKKKVKHRALPFSWNTYKFLLHYPLQHLKLTILVNVLIVLSAV AQMLLPWILGRLIDAITKNVQQTQGSDTDPINNKDIPNNLIDEELHTKLSKQFLYVLATY TVLSLVRAFANQIWQEQIYNDMREDVLKSFLSFDLTFFNTYRNGEIISRMTNDLQSAKSA VSGNIILLIRNCCLTIFNIIILFALSWKVTLAILAPMPLYTVLTTIHTRLGKKFEKLGQE YQAKLTALADEIVSGVVTVKSFCTEQFEIKKFHKQLDLNIKLAQKRGVNNGCYQASSALF TQLGSLIVLWYGGRLAMNNSAELSAGDLTTIILYSIQLSTSSSNISESFAKIVSATGAFE GVLDMLRWESLVKEAPDAIDHITPTGEIQFKKVVFSYPNSQVQVLKGISLKINKGEYVAF VGPSGSGKSTIMHLLERFYDPQQGSIYFDEINIKQFKLKALRKAIGLVSQDPVLFEGTIE SNIIYGTETYTKDDFEWATKAAGVWQFVSDKFKFPHGFDTFVGEHGYTLSGGQKQRIVIA RALLKRPKILIFDEATSALDAESEFQVQTAIDELIQKGSKNITVLVIAHRLSTIVNCNRI VVLQNGVVAEQGTHQELLNNPDGIYRQLVERQLSGVIDSKDNDGDSEAQ >CAK83415 pep:novel supercontig:GCA_000165425.1:CT868474:26027:26404:1 gene:GSPATT00017744001 transcript:CAK83415 MQLIKSFILAPKQEYNRHEAQFIQRFMKILEEELIVQHSNKQSIQRENCNNKIPSIVNHR LRSYSTNVQSTSKLNDQLHISQIEKTKKSKIELLPKLSIRQFEFKDIEKPYRKRKLIQSK KNIKQ >CAK83416 pep:novel supercontig:GCA_000165425.1:CT868474:26408:27552:-1 gene:GSPATT00017745001 transcript:CAK83416 MSFDQLLLSRPIYSPNHKSPAFTVHSHNPTPYSITTLVSPVESPRIGDLSTIPKTSERGQ LYDKLKASLKNQEVIKSIQNGIKGQKVREAYNLLSDFNDKTQIIQSLKQLSTAEERILLI DKILERKEEMQVATLRLKAKKLEPIFNVIRRKLKLKQNLNQSSDKMKKQSILYSKSTDPT NDIQNPMHSQRNLDEQQQDENTRKELVMEKIQTKYAASVAFAWLTNRTENKESLIQNLSP DSIKRHNRRNTTLKQSHKGITGLKQMITKKVSENSDEMIRLGQLKMLENQQKCYKSKDII AKSKPKVDTGRIKSVQASRSLRKKQTLEFFTNERKENSELTQQIENEKSNFMLRRRLIIN E >CAK83417 pep:novel supercontig:GCA_000165425.1:CT868474:27590:29266:-1 gene:GSPATT00017746001 transcript:CAK83417 MTDKRIDKYIFNVKDRIGQGSYAEVFKGTNEKTGEKVAIKTLSKSVINADDYLREGLIQE IKIMQKLKSPNIVQLLDVMETNNNYYIVQEYCDGGDFDELLKKRKLLPEKEAIKFLVDVL NGFTHLIKNGIIHRDLKPANILIDKQTYKLADFGFAKCVDNFKKTMMASMVGTPLYMSPQ ILDHKRYNSKTDVWSIGFIFYEALFGKTPWTARSPAELLKNIRTQPLKFPTDKNQVSQET QDLIIGCLQADENKRMSWEEIYKHPAISQYFSDFIKGNNKLEDKAQYLINDIRQMICKEK IDIHKLFADLDMSKDKALDVNELGKFLQKVDKDITREEIEYIFNKFDDDGNNQIEFEEFK KWLEDNQIVTNANPQQQQRGGGSHKKLSILPHQLKSQSSIEERANYVIEKLKLSIQKYKI NLLDLFKKFDKSADQRLDKNEMGLLLKRIEANISQEEIIACFEFFDANGDGEITFQEFQT SLSEEVGKKRQSIDHHE >CAK83418 pep:novel supercontig:GCA_000165425.1:CT868474:29351:29871:-1 gene:GSPATT00017747001 transcript:CAK83418 MIHNQISYTNSWQEEQTLILMQLFQEDFNQLEQPDIQLVQADLTLVVVGTVADDIRLLNV PKINVCALRFTETARKRILAAGGKVLTFDQLVQQNPTRTGTILLRGPRVWEALKHFGRAA GLPGSYAKPYVSNTAKKGRGSR >CAK83419 pep:novel supercontig:GCA_000165425.1:CT868474:30035:30724:-1 gene:GSPATT00017748001 transcript:CAK83419 MRFSKYIGRILLAILYVHAGFSQFFHQDSYIHYVNIRYPVFYAYATNLIGSSLENIETLK PANFIQYTPNIILAFGITQTLLGFAVGIGIQKAGYFLAFLTVIITGYAHNPLIYSKQVDI DREYLQIIFNIGIIGALFLVRKNKSSKNLNAKNATESIVEELKKPEQPQQQNKVTIPQGN AKKAKGKAL >CAK83420 pep:novel supercontig:GCA_000165425.1:CT868474:30764:31265:1 gene:GSPATT00017749001 transcript:CAK83420 MILVLLVNLILATGKLKQHHLNHQVMFKRENEVVVLDASNFDAALMRFEVLLVDFYAPWC PHCQKLMPQFEEAANILKQRKSKINLAKVDCTKESFLCHESEVRGYPTLRVFYHDKRFPY LGDRTSHGIVDFMEKHLKQEEEKEKQMLGYRHRHQEDLP >CAK83421 pep:novel supercontig:GCA_000165425.1:CT868474:31314:32828:-1 gene:GSPATT00017750001 transcript:CAK83421 MGNCVSSQDDIRNANKVSPVSLQECFKNKKRTIKHFTWNRDSLMVYSIMKTKMLQKVKSK QIHNQVIQQLNLVRLIRQKENIKIIQTKEELQQFQNQQDVMELLKLTRQFSKYQVETSLK ISKAEDQEGLNDNCPWIVVKSTKSKLSDGQGYDLKEGDYVKLGRVRFRIREIKCSVDNNS ANKGSIEPELQKYVSEKCLNTMNINTQEDDKRSQTEEPCCRICYNDSQTNKDNPLIDCCK CQGSVKYIHIQCLQTWLVSKLSPKTTKFSVSFQWRQFDCEVCKAIIPSRIRYQDRIFETI IIPKPDAPYITLEILSRERNKSKGTHIISFAQKQQIKLGRGHDSDVRITDISVSRCHALI KFINSGFVIEDQQSKFGTLVLLKSPALMSVDMNNNMAIQVGRSVVSFQVSKDWNIISSIN RGGVSEDQMLQDDTDLIGNAVGDEDQAQIEQELQNFEEHTP >CAK83422 pep:novel supercontig:GCA_000165425.1:CT868474:33695:36592:-1 gene:GSPATT00017751001 transcript:CAK83422 MQQENFIDEEIQDEEEERREQKEVHEFAKEIKEKVEETNKQNKEQQDQQKVNVNSTVGPI RVKFDVLRCFFDRMSKVRGKKKSELLDVLTQFFFKPPRIPEHTYIIMRLIIPFQDRDRGV YKLQEKNLAKLFRSALGLSDDDYHRMANYKQASMQPYGAPVGDFPMVVHNVIKDYCRKDS IITISEVDSLLDNLVKAQDTKEQELVVVDLIKVCTADEILWIIRIILKDLKIGLKYEKLL QLFHKDAPEYYNATSSLNEVCKEFNVLRIFHAIKPMLAAKKSPEEIRKLIEGKELLVETK FDGERIQCHFTPEVIRFFTRNSNDYTYLYKDKLGDIVRQSVQAQCAILDGEIIVVNKETG DNVRFGLNKTVALSKDVNDDAFGLCYKIFDILYLKTYQGQEVNTMSAPLSTRRSLLQRII VPIPNQLEVVQAATIKSFEELIQQFDMAIERNQEGIIIKQMDSQYLPNERSTKWVKMKGD YVENMTDNCDLLVIGGYFGTQSHRVETFDEFDRITHFLMGLAQKIDKNQPTNSVILPFVK VGTGFTDNELSTIRNKLRNHWIKKQKPNYIPQNWNPGVNDRPDVYINNPAHSIIFEVKAA EITKSNTFPTDYTLRFPRCYKTRMDKDWYEMMTTQDLSSMINDSQYTKNLKKKNDKEGND KQTDDERKQPLKKGGAHSKQITIMTEFQGLDPRNITKESNLFVGCEFFIVNLEEDYNKQY LESIVLAQGGTCIQNYIVESVTHVVASIIDFRVNSIIEKFPTTIIRPQWIIECIRRSQLV NIAPRFILFAPLAIMNEVNKFYDRFGDSYFELIDDLALKDICDNMKINETVNQDDLLSLE EELLYQLPKLWIFQHKSFYPYCLNHQISDVELETFKARIIARGGSFVNSIYDEKLDFIIL FKNQTFIQNERLKQFFNEKPYIKTTTYTQMMQLIEEQHQMILPS >CAK83423 pep:novel supercontig:GCA_000165425.1:CT868474:36724:38223:1 gene:GSPATT00017752001 transcript:CAK83423 MSFCEFIQLTVEGEDKLEEIRIALARLEMFELNTVYKRLDQPRQSALKEDQIIEFLDDNN VQINQEELNYIFRVLDVDRDNFITLADFQQVILPKTNDQVKDQALNHKSYEMPQSMLLPK EVEATLTAFFEQLKTNYNQYQNIQDPINLGELAIFENENLITLDSLKNWLQSIGQEIDDQ ILEKFLIIIDGNPNNLQNLIDQIYQQIEQQENQQIEDPKQAQEQQGEQPAENQIEVQDVN YSQQDLQKSDALQESFNPSQIQKQEQKKEQQQDQIAQSKVLQESQSYQTDSPLLNYYHQQ IKDEEDKIKKLCEELNIPNIYTQKREESTLIKYYEKEIAREQEIYHKLLSESKTSGVPSK LSKSNFTPDPLYLDEYQKELIRIDNEIRKEATNLSLLQSKIDISSGLSTSYLKYESPSKI QESYLYNNLSGQKKQFGLYK >CAK83424 pep:novel supercontig:GCA_000165425.1:CT868474:38224:39809:-1 gene:GSPATT00017753001 transcript:CAK83424 MSKQQQQQLSYICKDQQNQKGQGQSHRRMNTNQNNFDDKNVQNQKKQNKYNRRSNSQEKQ QNSHNQPSQPVLARAQINQPQNKIYDSHSLILAYQELLEPPQELEEFIGKIPHLFTKISQ KPLISPSTQFTHSDEDDPKWMHSNETFQIIDIENEIEKKRIEYQKSHGTFEKKQEQKSKK QNEINQEEISELQQAKEKYRQLREQEEKQLQVASETKAKLQELFSFSEQEQPKLNNSINT KVLSVEEVEKQALAFSNNKQKDLIKVEQPQEKIESYFSNFNNNQTDDFFEQLEEMGIHIQ RQTQVPSQPTIKISREKIKTAPFSLQAQLHSRSIKESLWYYVDNSGKIQGGFTTEHMDQW FEHKYLKAKLNISWETPGKWITLEQYLINLDQIEKKLLDPKSAMDPLTLKTNINNIQLNN LQQLQQMNAFNVNSFQNQQMNAYQYQQVNHNQYQKYQNQQMNNQQNNVNKKKYNNSNNNN NGRVQQQYNKYEYQQNNQSLY >CAK83425 pep:novel supercontig:GCA_000165425.1:CT868474:39868:40886:-1 gene:GSPATT00017754001 transcript:CAK83425 MQFNRLKQEYQDKINQFRNHPAFNKLHFDILKAIIDSASVLQFIKNQRVYIENELMGTVY IVKTGEFKMSKRVLQQNPVFSYLKKWKQFEICLLEKGDTFGIEHLDVEPKGYYKYTVVCH SYEGSLYSLKLDQITQILKNHRIKVQAENLFYYQQQLSKNKLYIYREQQINQLNSNDSPP QEHKGIRSFSYVHNENGINNYRSQNRSISYDPSYCEKIQSLINYQKQIISNPRRIIKTEV LEDDSYIDQSVNNHIKALKPFINNFQSGRNITKRSQVCSQDRIAELNQNEKIFKMKVLYK LGYRPKKKLQIRMHQIPSQHLSKIFPFHCK >CAK83426 pep:novel supercontig:GCA_000165425.1:CT868474:41230:42281:-1 gene:GSPATT00017755001 transcript:CAK83426 MQTHKFQNQQLTLLDLVLKNEEDQYRGKSQSNSSRFEREDIHHSQYNQLGISLYYDANIT GNEQFVSNQLFRSILKNIEDKLLELETRIDNKDNLTKFTFQIDQLKHQQYRNPFLKDEIL LQEYKRQDSQISEMIDDQSVRDTGVKFHKPSRSRSTCTNNYDEQLSSTNNNASNLRQRKN KVTEETTFSKLNLFEKKLSQFQDQFQKLLQKEEISFKKLEQQVQVALKEAETRLIQNTKQ MDKYSESLNNYSEYYKEFVAKFQQLNAQINNHQQEINRLSDDSKIPFIQLESTIAAMNKL QNIHNDQILSIKESYTYLEADVLIIMKNYKDLILDKQSKIHR >CAK83427 pep:novel supercontig:GCA_000165425.1:CT868474:42308:47848:-1 gene:GSPATT00017756001 transcript:CAK83427 MNWQHPFVDVFKQFGVFEIAHTQSKGQVSVVQDNQIGRKIIRLLGAISANNMVQIPDPCQ GIKQLGLTGRYIYIEFIPIKGRYFTIHFDLQIKDRDPSIKLTVSNMYDVHKVQQSQLLIP YPKNAPNKWTILILDVEYFLGNFGLLPSSLYKQFKGIHSLKSFQICSSMNIRGIYTSDNL YDWETLPKQMLFKLAKGQKWTEEYQIAYWPTLDVSPHVFKQPLVEVTTDILENLQKIQDN KEKYKQETQKSFKQNSIQHNTQQIEELVKKRPGDYNVAQSKSVDAQDHPLQPYPIQQLDK IIGFTVNSCPDIKWGRQTDTQKQITYAAGSLLVQSNIQDGKQKFFMGHSKAISCLDVSKD GEWLVSGQSEKNAIIRIWKNNCDCLSAFATPYSHLNYLSFSQDRKMLVTVGQDEHNREII IVWGLEKIETNRKPILFAKQTSSCNILAIKFSPVDNNKILSCGHENIRFWRIKEEHLPGA SVVLNHHARNTTFTNFDFEFNYEDQNVSNIYFGSKNGYLFQVAYQSQKLMQVYKVHNDAV TAVCLSAGFCITGSLDQYLRVWPLDFNEFYIEAKHEGIITSLDISIDGLQVACGTSTGGL GILDISSHHYKTVIRSHTNVINQIQVHPYSKLLITLSEDCTIRLWDIEKSDQVYEFTFPQ DDKCVCLSSSPIGMYFAAGFKSGTHRIFDIEQTSILFEGKYHDLEIKSIQYSPNGQQLVI GDAKSIYKIYDVSRDYQPIKTIQHSIQSQNVCGQFSKDSAILSIIENSNNIELWDTSSLQ QILKIQTRFNLKILKWAENNVELLCGTTDGRIIIYGIERVNDTITAYYLREQTFMHKQSL NDFVVSPNMKYLFSVGAEQYLKVWDYEFQIKGPGSCQVFIGHSSSVNSIDCADNGSTIIT AGGEEGIFIWKFLGYTGKNERLIRMEQEQLVQQCTKIDMQPDQFEQQEQQQDEQNEKLDD YYDLQENNENVQPNKDAHLHTFQQSQLVEMFSLVRKIPQKEEQEEEQGLANKNVNTPFKP DHFKPSRLQQEFKPITFEFERQILNKRRSRSQIKKQQNRQHSSTQLPFRQYILQQQKGQL QQPTLSCKYMVGYNTKTHDNVIWCQSYGWYAYTSQNYVIFEKLQQDREQQILSFNATVSV IYLDKTEKHLIVGLAQKVQDAAPIYVYEIQNNASQLGFKQIAQMNFHTQGVHQILLTEDE KYLISVGNGKECTIVIWDFSTKKLITSSYTLDRINDIKISKYSFSKERIIEFATVGRDQI YLWAFTKDHKLEYFDVFLPKNVNTNELEEITAFDYVVYEGSKNKQTSQSLNLSDRVSFDN SQQSQTVKTEEILLEEGSKNQMSFPQLQQEPTQESMKQQQQQQQQNKNQITQLLILGLKS GEIVIMKYGEFKSIYRKQITNAEITYLKVSQAAGKIILGSIEHSFFIMDIKAVLTLQSFL DSGFAFQRIQLPAMVTSYVLDPFYTQGIFGLGNGNIYLISFATKQYFLLNASNCDQSEIE IQLCKLVEDNILVTTFNQGIVKLWRMDTAELLVEYQWDKEIVQFFYDAQIKQIVFIQEFG QIKTIHIDRLDIYDQYYHIGKGGPDKIISCVFGIFDSIPGKFVLDESGQLFFLEHLYKNQ EYIIRYIKALELPNVCQLVEQCEKRVIFAIEKDTGVVSTLQVDYNSTRQYQFAFTLFDQI NFLENPHGNLDNEQSQKDTQALYQSVDTQTSIVPAQGFDNVYFAVLNTLQYLYGRNYNKK QIILLVNLNDFPLYLSLDNNLKVYVVTQNGSIEVVLPNDASNQNQIQICQLNSQIDNIIC QKRKTNFVITNKTIMNFYQYI >CAK83428 pep:novel supercontig:GCA_000165425.1:CT868474:48022:49421:1 gene:GSPATT00017757001 transcript:CAK83428 MDKPTIEHLFKIVFDINRDQNLIFCHACQIRFPIVEPEEATLYIHHFSKEHVYNLTTIAT KDIVKANESTKKDTRVLQVIPLFDLQKALHIQEQKPKNVLHQYLKECITKLPTIYEQLEL NNLEAHMSTLRRFYLHLLNHNTSKLTPELCFSFVDIYKTEKDFQLIRKFVKAFNFPLTHD QMLILNPHKQTYPNLSQDLQVEFYQIFINDLIDLGLCKESLVYHLAKIGTPISKIIDLKL YEIKNKFNELISRGINEDQNIFFLSIIEKLERYENLNNHSYVFTKWNTECQMRKCVRQIR NKLRYQTTDERYKVFLATASLQQIQNWQKIQTQNEKNNTTDMISILETINENKNQSRKSK KLFLQQYILPNKSQTNLDQFERKGQEETENNEIDISINDSNSECDLEKGLFVKYSNQMAD INSTKQNQNDTNNSLSCIEIFDNYKSKASLKKSSKR >CAK83429 pep:novel supercontig:GCA_000165425.1:CT868474:49626:50043:1 gene:GSPATT00017758001 transcript:CAK83429 MNHLGVGQQQKKTVVCNNTEVQLVIPQQPQNIQYTRQPHVVYCANCNNQIQTHVQLKIGK GQLMMALIMLPKLLCACVIPLLMDDCRDAVHLCPVCKAEVGRKNFIFE >CAK83430 pep:novel supercontig:GCA_000165425.1:CT868474:50043:51130:1 gene:GSPATT00017759001 transcript:CAK83430 MSQESFPNQILQQQIQLQNVYRSFDGADSRIRMDHFFKVQSQFIKCLNNLIRHQNNKKKS SILVLPYQGTHLYVHFKDEINLKKAKVFESTDSTFGQFSFLNPQMPFLPFSAYQTIAPHY SQEFAIQRMNPIMQSQDRFIGQSKQVNQQTNHFKVKDKFIVFSGKPEESQSIHSDEQDED DKQSDHSFSIKKKKKISKVNDTKNITKNFSKAIISYITQNKDIGLKLLDPREFEDFLQIL KEKKNKMTNIQQLRELWVDCEDEKLKSFNKTFRIFSQYFLRQQSVAYIYNSRIMNTGWHL KYRYNLLRALREPQNFKYIKDI >CAK83431 pep:novel supercontig:GCA_000165425.1:CT868474:51155:52309:-1 gene:GSPATT00017760001 transcript:CAK83431 MATNFISLRELEQLASIKLDSNAYQYYRSGANEEITKKENIDAFQRIYLNPRVLRDVSKI STKTKILGHQIDLPIGIAPVAMLKLAHPLGEEVTAQLAHQWKVPFTLTTLSTLSQSEVAK HNKDGLRFQQLYIQKNRQLTEALVRKAEKEGFQGLVLTVDAPILGKREADEKQRFVLPPH LRLEILEELAKEANIQLQTVANNQGSGLLKFFAEQLDQTVNWNDIKWLRSITKVPIILKG IQCGADAKLALEHGVDAIWVSNHGGRQLDTVRSTVEMLPEIVAAAGSVEVYVDSGVRNGT DVYKCLALGAKCVFVGRPAIYSTAIGGREGLNKMFQILQSELVSTMQLMGVTSIQEIKSD GIVHRSKL >CAK83432 pep:novel supercontig:GCA_000165425.1:CT868474:52894:53340:1 gene:GSPATT00017761001 transcript:CAK83432 MLSQSSSRNLLENPIGPVLRHDSNPYKSYEKDRSPSRLSIESEQQLKRNNSDGIKMSVHE MGEKKKTINFNIARRKSTRPQQVRVLQIKVKQAQIRLGKKIRRVVNLKNHATELAHLKVC KYTTNEILHFVSTQQVPSKLRKLHAIQG >CAK83433 pep:novel supercontig:GCA_000165425.1:CT868474:53435:55473:1 gene:GSPATT00017762001 transcript:CAK83433 MLNYINLNYIEGQIINTRSDILLNYLGKSSHFDICSIIIWIMISFGAIEQVSIIQMLAIL LVIIIFARIFRVYDYLVEQLYQKGFGGYAFDLLTLVISIFFFAHIMACLWLMVGLNSSGE KMNWIRDNDLENESIWTQYNHAFYWATMTMVTVGYGDITPKNNYEMTFANVAMFFSSCVF AYSMNAIGILLKGNKFEYQRKSVVIMNQYMKSNNVEDQIQNKVRNNLKYLIYSQDGDNND ANKLVNKLSLGLQQELQADILGKIIKNIKVLSCNFSKQILQEIQGLVKIVQFSPGEFIQK GENVLNDDDLFLQELYRNVNFISNTTNSLIYKLGPGDTFNQYEFFTGFGDKKIDIISDDF CQLVKLNKAQFIKLILESKKDWEIYHSIKDNAANNNDLIDLNYKCQFCQRSTHLRQNCPL LSYQPNIQHKLKKQQQLRQKQHRSQLSRNCVKLNSRMILEEVKMTIQQYMMNNITDFTEN LSRKESKVNEQDDDQQFKKIELISSTPNYNRNTPAKKTTIIQNLGSQNYIRSKFQAGTPL RANNQLKFNKETSHQNSTNQSQPILSQTNVQPTLSFKMLDHVFDSMKFTYINIDKMQNYI NYLPHNNFRRILIQMDTQNQQIRDNAKGQIKGDKSFGFTAKLRSQKQKNNASRQSQFNIK L >CAK83434 pep:novel supercontig:GCA_000165425.1:CT868474:55495:57347:1 gene:GSPATT00017763001 transcript:CAK83434 MAERERFSSQRKLPTLDFVQANRIPTEGINVNITVQSGDAQFVSFRSLNELNPKLRFPTN SIDQSSQQLNQTIQKDLSKNKKMICMLRKTLYKQDYEYQGYQENIIKQIRTVTRIQRQVK KVPHRIDPANNGNEKSNNIQQIAKKKVSFPMYFNGAFFSKLQHSWIPAIRENTMAADFQN KIYMFGGIGSEVMGDLIEYEIQTGKFREIKQNGDIPLNRYGHCLHSIGYPMTLSTQFSYD NQQYTQINGKQLILYGGEMQYNAALRLRENLSDTRIFDLETKTWQLLKPNYENVPESRRS FGSCIVGKGLIVMGGIRSKFSVFNDIHYLNLNLCKWNPLDTSKNPFNKGLAFQQLICAYN KPQLIFDRRDTRGKPYKPLEYEGIYCFGGCYIDDDKNTQFYNHFMALLKLDQPNNPWVIP ETTGKPPLPRIQHTASYVQEMNVIIIFGGRDDSRSNPYFNDIFAYKIFEKEWVQLDIYGN IPRPRASHSAVAYKSQVLYFGGVSMNGYLEFSVNVAEFNQNQIRHLQAEMKVHESTINVQ STKEEIQRQSILNKQYFSLHQIAPIQIPRELTKTEEVNKKIQQLSFTNFPLHLK >CAK83435 pep:novel supercontig:GCA_000165425.1:CT868474:57860:58967:1 gene:GSPATT00017764001 transcript:CAK83435 MKYLIVATLILFTTAEQIKCPLEIDTSVSCTKENRLTCAYSSEGYQLGTFENPCFACRAD KASYYETDACKLESAPSQPQSSDSGNSSSTTSKGNTIIKCDMPKSDSPICPAVYKPTCGL FNASIQCFKAPCGKSFGNECEACSDKSVDSYFYGDCDEVPQEDPQNPPDDSITYCTEPRP EICTADYTDTCAFLSTPCFSDSCLRSAGNFCDACSKKDVVGYVKQSCDKYKQIYTQYPSN LDDKDPQTQTEEEAQDLDSQNQETSKQCGTKPSSCDNVVNEVCATYKCNDATCQKEYQNS CQACLETTTISYIQGKCQTLSGTILGLALLLQLII >CAK83436 pep:novel supercontig:GCA_000165425.1:CT868474:59082:60116:-1 gene:GSPATT00017765001 transcript:CAK83436 MGALYKCQTNYQCSESLIDLIISSGILYYFIMKAKFMFENNNGILLLIDQTLCVIGTIQM ALQVFYFMFASTRFSLCRIHHLADKFKKHRIGFRIVNMHNINKCYSSFQYRSFGIMLDRI PLAISDHKPSSRECVYYCGKTTSYQCNVISNLIMSFIGLMLSIVALFIGFQCVHHLHQYK EYLMDNKNDSFSHQLIKLETRLTQIKILKISGLIQYGVQFSLDVFVYALSSSNPTECTNY LIPTSILTVLIYSLLKLISLITIPVAVFLVCYECNKEYFGTDHHIWNFPNSQRTLKALAD QEQLNYVEMSEVK >CAK83437 pep:novel supercontig:GCA_000165425.1:CT868474:60268:62176:1 gene:GSPATT00017766001 transcript:CAK83437 MKDDQIKMRNMILIKDLINSDLQIFEVIQLLLGMKSGEIIQNMNDDTSLSSEDDEQTSKI IENDPTGRFSKYNEEIGKGAYKSVYRGYDNESGCEVAWNVVILQFILHLDEIRRARQEIT ILKTLKHKNIINFIHSWQSRSKRQIVFITEIVNGGSLKNYLRRITRPKLKVIKYWCRQIL EGLEYMHQQNIIHRDLKCENILIDTNNNELKIGDLGLSIQMQSNNTNSVLGTPEFMAPEI YHGNYDTKVDIYAFGMCILEIVTGMKPFCECKGGTGQVIKKVMESQKPQSLEGILNEKIK SIILECLKPANERPTATQLLNQYFQSSHIDEDNSPVQLNESLLNQISHDSKNSSFFKNNL SKNISEVKGTTNNHINNNFTNQPNKLILFQECNPNSMKQSDVRHCEQQNKLSMDTADDFS IEQQFGKQYKEYYITQNDETLLDLEQRQERELQLLLTLHKQQKADLSNKLQQTNRSIIPY GSGFLSPKSFSTLFEFNNDQHQQLQGNQQQSQILKVFPVSESTNSEEIGRKPNNKEDDLN MIQSKQPCKLSTDVVVQSKVQNVRTPIQG >CAK83438 pep:novel supercontig:GCA_000165425.1:CT868474:62239:63904:-1 gene:GSPATT00017767001 transcript:CAK83438 MEDFFVQFKEFYDKVKDITNVRFAYMKELYNLGIEQFPDNQEVYNFQRNNQLSIQSGTKK LKKNWSDEDKRILIWIIGKYMSYHKRDFKLICEEDWQNISSMMLRRDSFQCKQKWLQMLK LPLQQAPWGQNEDEALVRIISEFQAQNRGNKWSQIATELNKTTGLNVHRNGKQCRERWNN HLNPSINRNPWQQLEDLELMRLAIQNGKKWALISKKLKLQRSENNVKNRFNCLMRKEKSG KNVPQSQNESDNEDHDSYISDPSAEELSQDEIKQIQAIIKKIEWRMKQDGSSYEIKQEHQ DQVSKKVQLDRRELKTRQQQQENQNNNRIQNVNNFNNININIENFTPSSLNQPTNNTANC ISLQIMEYNQNEDQSQLSFCLVNKEKGYVYFLNQEQFNNYIKSQHQINNNALINLSSFLG AQPPISNLNYSNNIALNANLELGQQQNQQNNNLDGFSEHQSLNSYYYQCLPMNNQMNFNQ LQPTRSFLNLPTHLNNNFTTNQQYFPGYNYHVTCYQQQQQQPQQMMYQSQTSDKLDL >CAK83439 pep:novel supercontig:GCA_000165425.1:CT868474:65412:65699:-1 gene:GSPATT00017768001 transcript:CAK83439 MQQQRQQEILQEIMEKTTFYKGKINHFLNTQIFEQDSLKAKIMKSKQNIAKKTNYARIHE KTDKQKNFRKNKSRKERKQQKLQKMIYKFSDLQIE >CAK83440 pep:novel supercontig:GCA_000165425.1:CT868474:65789:66346:-1 gene:GSPATT00017769001 transcript:CAK83440 MITVQIRTPKVKLSKHRIQNSQPTILNYSNLNSFHEFFNNSSHSNEKRQSIFNTRCKTEN AQIEVSIKPNKQKQSSQSTTISLASSASPNQGMKNVKGNSNSSFKILQQSNHLNPFQENG TNQKLQQQLQKVVERTKKMMSNYQAATKKWELKEQEYKEEISTLKKTIEKQNAQLQKHNL NPIYF >CAK83441 pep:novel supercontig:GCA_000165425.1:CT868474:66842:68551:1 gene:GSPATT00017770001 transcript:CAK83441 MIDQKNTKIQNDHILVGSCEMEDLTIYPYELVNREFFGFIEKTSFVKENFELLSKIGRQL IKQSYCFKRICISFVEFSSQNAKRKSIKWSDFEKKLFYWIVMRYYIYKGIKDNKQLATNE WREISKMTLGRNAHQCRLKWEQKYKIPLSEAPWTEQEDNLLFQVHEEFKKTGKENKWSQI SREIYKRSNNKIFRQPKQCRERWINRLDPNISNDPWDKQQEIDLLKTILMRGKKWSELST LYGRVRTENSLKNKYNSLLKKEKLKYEFETINPHLFEKVQKLRKDYAKRYGNITPIEEID NYEWQFIVLAIQGLYIEQCIQEGRIEEAQKINNDDFFNLFHEDPLIKTSKAILYKKELTI KQVDPNIILNNEKCGVVIFNHKNNKLYLTPYNVIDFQNIVLNQIKKKVKIEDNATTSSTQ SDPNQTYLRTSIGTQSIQQFLYLTNQPNYYVPLNQSIFNSYWLPPVFPQQIVSSQIQNNN FIRNQTQFQEEKQQHQNLKQNNQDNLNDIFEQIGVDIKIVNSDDEK >CAK83442 pep:novel supercontig:GCA_000165425.1:CT868474:68826:71174:-1 gene:GSPATT00017771001 transcript:CAK83442 MPPKRQHHKTQSSEISPMALMSYLSNREQIQARFSNSPTLQMDFKPNKQLIHQQSPLLKQ NEQHNNSTNLFNNLRQTQRKIQQSDLLKSKDFMSPKFVSQEKKLVPQKTVIRQGGNHIKT QPHSHQNSQSSITKIDKTQEDYKQLSLISSAIPKSSEIVLTQPQSATNKTELTLKQKADL AIQEMKQRQQEESLQRINFQKSLGVSSAKHQSQLFLQQNSYKSSSTKQLESQKLKTHSAS QPIIEFTPNKPITTRKQLQDTINIILLCKSLKEKITIDIQSNSIQYLVDLVKQIISKNFG TTLPTVIGIITCNISIPVDYILSKVERPLSLLNSSHLQPLIIEPVFALENEIKTPRVSLK DFEFIRCIGMGGFSKVYMVRERKSGQYYAMKLIEKSPIIQQNKQSIIQNERDIMSILNHP FIVKMQYAFESRKYLVFVLEYCSGGELFYLLRKVKRMKEEEAFFYFSEICLGMKNLHENN IIYRDIKPENILIDFDGHVRIADFGLSKPNMTEQDIGYSFCGSPEYMAPEMLLKSGHTFQ LDLYCLGALLYELVTGLPPYYSRNTEEIYTRILNQKLNFPPQLQMSSSIKDLLNNLLAKN PKNRIDSIDTLLKHPWMIQWGDKNLYKDILQRKIEPPFKPDHYSFNFDEQEFGQGEAEFL AFIKPLQQNISENFPKEIILKQFYYNSNEANFQESTGGTRNNQMEYQSTQKQKNKRLNTF ESENQMNQQDQRFYMLNQMKTQTDNDQKRKSA >CAK83443 pep:novel supercontig:GCA_000165425.1:CT868474:71336:72238:-1 gene:GSPATT00017772001 transcript:CAK83443 MNDNHETFFFTQKQKKRIHEQDYAMKVVSHTKVDKETIQLSESLFNAKITAKQSSCIIRD IQRSVQQKIKFYDEYLKSSDSFRKQTDRKSLSPDIIKPKCIEPTLQSQNQHVSEQLISVR PKSINPNQQLMQTPIKSQQSRKSQKQYTQGISPTKKQHQNSLKNKTINSSSPQIKPKSNS QYVQQKERQSCYSKLQIKEPQKEQEQNQMDIAQSTNRAQTARPTAIAERNEEWKQKLKNK RDNQQKKQKEKEMVDCTFKPRINTQTNGKRFQKSVSKEFQTEIKELMHLIDNQSPVKTKQ >CAK83444 pep:novel supercontig:GCA_000165425.1:CT868474:72366:75010:-1 gene:GSPATT00017773001 transcript:CAK83444 MNQVQQEITTIPTKIFRNAQWMSKNSSVNKRKSPNYPCKRWGHTAVLHDKYMYVFSGCGK SDNAKQWEQIYRMDCLTFQWERLTSPSAKHPAGRDSHCSVCLQNKLYFFGGSSNDYIMGD FWSFDIETSEWTEIQVPKDMQAREGHSMIALSQRLIYIYGGWDQVQNTMTDSHWLYDVKT NRFQQITNFTGDEMIKLESHTANKIGESVYIFGGQGQMSQKQLLFYKDLYKLDFENINDL QQRFDQQDSLEDKKQNGETNIVIKIEKIKPNGSQQPTPRASHSAVAYADRFLFVIGGEGY SYDQLKDNEDDALEQDEEDNFQNGDEEEKPIYPKNDIWIFETVMKTWSKLVPRSKTPIFQ PRFSHSCIVFKDQLIVFGGLRSMGEVLEDIMVLHLKDSENHLQKFSRDEMKNVCKYCQLI YGNQQEEEISFNKVAENNSIRQPKLSLTFIDEISKLVQSPMSCFGLFLDNAKLSEASELK IEYAQRLRRKKSQYTNSDLQEKIPLIILFEKETKDLEDLSDFLLNFDIPKKKICLNKQDY EQITRNGGEGLIQEEQQQFNKKQYALNFKIASLRLGDSVLICHKSQNNYYVCFISMNNLK NPSDESLTFYNYTLTISSEKERKVDSPQSKYHLLNAVTHLLIEEDFIFNCNYNYTKIFIF DLAKIHSHQKVFELSIYNDDIISNTYSAFDLKKDEAIKYPDYSLKEYIKFYSLDQLPFKV SVNDQLQVASSIKNKMENKFKVMLGNSKLSNKLNECTESYGICRLPQNNLGIFLYYQGRL INRYKRSLGVFPREQEYSGYLNLCKFIKPNLTSEGFQNSYLSSILYQLLESLEEEYEPEK RKEIKV >CAK83445 pep:novel supercontig:GCA_000165425.1:CT868474:75469:77675:-1 gene:GSPATT00017774001 transcript:CAK83445 MNDDEGFISEESDQNNYQLKLPKDLFIDTSVNIQTPLHNIEQKCVYLKHKQTTSYNFKYH FNGLLLDDNKFIKSQPIITNGMFNSNFESANLYAAYEIKDNEFNLILQDDTNTMGYSQWF YFEVNNPQGQVLTFHIINLVNTLNLIQIKEYKSLKYGLSVMIKDGFKWETLETNVKLKSS QYYKLKYPTHMCKLYQLSVIIPSKHKKFKLSLNYPYTNTDLYAFLKNDYQILTTSLSGFK IPILRYGSPNNDVIVVIARQHPGETVSSFVCQGFLSSLDKDEVLTQRFHFIIIPLFNPDG VDCGNFRCDLSGKDLNRQWHKPNPQLHSQIIETKNLLKNIIQTRNIVCFVDLHGHSKKLN SFMYTCRGDQDVVQCRILPYIFKTTSKFFDFNSCTFSLEPCKMKTARAFVYKLVKKHFPN EIHDIFTLETSFFGYNEFYQIKQFTQSDLLQIGQDLYKSLLLYYTNVNLKQQVAIELEKN RQQYTNQDLYSTEDQSDSDPENGMIVQKQPKQKKRFEKKVSIKKEISFIKKLQQPQLNSF NNQNYENIRYFSMPKSKIEKRQMKSSSFHQQMNITQSQIKDISVDITPTNKFKLKTQQSN NNQEMEQSQQQILIPSQKKQNFQFQKTNFVPPIHNGLSIKVVHNKIKSDQIQQQQQQQLT FQQQQIRLNQSFQSTQYNSPENKNLAVISKSIQKKDTWDPRLIFEFTQKVKRKNTQNKFN >CAK83446 pep:novel supercontig:GCA_000165425.1:CT868474:77722:78853:1 gene:GSPATT00017775001 transcript:CAK83446 MKVTIALVLLVTLASATSTKDQILALLQTGTKASDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWIGAQTIEQFTKIKTLNQKLFQQSIENRAQFEQDLHDTKNYLAWNEQRQDEINR KIQVLLDEQCLSNQLFVRSIKQNREALEVVRLLKQDVAGYIINGDSFELVQVHSVADKLK QYSNTFQEQEIQSFLQLANKQEDGASSRGATLAEKVLGVLESLEANLQASLEALEVNEIN ASWELAGWVSLSEAEVASLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDALDQ ALSDLENKRADYAEAKAKRDEENAILAEVITMFKKQVASWSGR >CAK83447 pep:novel supercontig:GCA_000165425.1:CT868474:78878:80673:1 gene:GSPATT00017776001 transcript:CAK83447 MSISETYAQKIPNIFEINTLLMITFILLFVWRIITLKTKTFANPLKILGIATLKLIHYVS SLYSLIMFSFLISYVADQLAFRNLNKFKVIQIFFVIIIFFWGTDINLFSLTLVTLIEKIT KMVIQANQTQKEDEISTILSYVLALGIILTVSIYEIFISEELEGFNIMEFPIFNLLMIAL FLLALQKITYNITKEQHNKFIGVIMCLLFLIYELKDKIFDPFNHQVMWVSLSILAALNIF ENTEEESQLIQSNNRMLGEDEISFQAFMNHLKNNSDSKKLMIQLSLNFSFMFVELIYGWI SNSLGLITDSLHMLIDSSALAIALFASFMAKRKANSTYTFGFERVEILSGYANGVFLLFA VVEIISESFERVITPQEVLPEKMLVVSFLGLLVNVIGLFFFHNHGHIHSEEVDEQLEEKH HHHCSHHHDHNHNLSGVYLHILADALGSVACIISALLIYYYQFHMADPIASIIISLLILT TTITLLKDTSKILLMHVPYSGKKVLAAISHDLNYRGFDPQDMKLWQYKEKKLVFTARFQI KSEDEAQIKSEIDGIVSKHKEVVINAIDFDYVD >CAK83448 pep:novel supercontig:GCA_000165425.1:CT868474:80716:83459:1 gene:GSPATT00017777001 transcript:CAK83448 MEDQFSEDYHLSLQQASARLSDRYQDKFKPHSILLEQNPVPNKNTDSSQIQIWESASDTP VKESIQQPSINGINLRNSLVLQVTHIFDQNRTMTHIPTVKRIKQIISRNKLILEVKDRLM RIGYIYPKNEKTNLVNFLKETYLGDLNKDQIRKQIFFEPIKIKIPTFSPTSAFILIWQIF RIIQIMFLLWWVPFKIAFTPEGSTDIRNIEQALVYLLIFDLIIKLNVGIIDQGQIMKDRL FILLYYIKYELYEDIIYLITLIIIIREQPIDTYLIKEIIVLTQFSLNFFKLKKKIKTYEE TLTTQSTLIELANLSQLIIVIFYFAHFMACVWYYVGIKSLDQFNESWIIKYELINAPISY CYGYSFYWATATMVTVGYGDVTGQNIYEVLCSIILMFLASGIFAFSINSIGQIFSNIDNQ QQVYKRTLLLINHYMQFNEVQLSLQSRIRNYIKYFFEQENKGSKSEIDLVLNQLSNNLRQ ELLQDVQLRVLKQADFFRNNFSQSTIRLIADHVKFQQCTPKELIYKQQNNDDKSIYIIQK GEIQLIDDNSGKVLKVFTKGQSFGELEFLSFQTRFCSAYSVTFSQLYKITREDFLNLIKD NPMDYQKFQQMKDQIQLKYQNDQFKCYACDQKHLIWDCHFLFYKPNIEVLIKKSLFYTEQ KRSHFKRSDKRYLNILHKTLDNEDSIEQTQKVGTVPSDSSSENSSQESKTPEVEQTKVRD SVSKLGQSHQSRKSSAHSKQGQVIIEDFNFQLNKRQSNRQSVRQTDIDTPLTQKRKSMQS IFPQDAKNSVSATQLAPIKEEIRKENKDYNQNFKSFHQLDELIDNKQYDEDEIDSVFSFQ YYLPHNNVENVLQEYQRFQKQFRQKKIKVTKSKYMFHKITKINRQKQNKHKKDQTSANSL IIRENV >CAK83449 pep:novel supercontig:GCA_000165425.1:CT868474:83462:88754:-1 gene:GSPATT00017778001 transcript:CAK83449 MQSRDEFEEQEDMIIEENFIKNVGSNQNQRFESDFTVEDQDKRNILHQTALRQDNKVLEI LIEDYKILLKDNKKKIKSTRQQKKQIPQKNEDDEEIIEIDFDFEDNDGLEVQEQIKKYVQ QKDIFGCSPIQICCFLNDPKLQNNRQECLRILIENGSDVNCINPHSRWAPLHWCAFYGDS YSVQFLLKKKAHTFLCDNNGLYPMDLAGKNGHENVLRLIIKQTIDFLTECQQFWNSELKG KNEAEFIQLQEICSKHEPELQNPLLYTKVLYWCCFYSINKDIQDILRNLPRIYIMFPIKS LEGQSCLHACCSKNNYDALKIIIKSEILDLSIRGPESTNKKKPQKKYRDRKNYYSKALVS YLETISRQELPGITYILDNRDSSIYKERYYHELERYRMQDLKYKEELLKITTRFLQQHEL KNDKEFYNKTKELFCKNVLQIDVKDNHGNTALHLASLNGDQKIIKFLLNKYADPEAENNE FFRARQLTKDTATQIYYDGLIKKKKYAQNQNLVHQSIAEIFDKHKQKRQKQLVNFEDQYN LMENIVKKSQFYKSQLKTKKQIQAQKSIREQKSLSQFNENELQKSPDSIKSSVQRENKNF LHKTAKAKKNNNQVIPLNVSTSLQQKKKTNSFDCLEQLILFSSQLFVPDIVLKFDQSVKT NLIDIFKRHKGSEIEEQKRIIDQQINFQLDLLQKAEFEIYMMQSFIAKESVYIMLRLKEE KLERLAEEMEMQIKLIDSYDLEQFKSEQRNKFEPFRSSQRQKIVYDHLIKSVNLESLLKS KLVESCYAMHTSGGIQIVKRQWQKLSFGFIPQPISQIKDYLSEGRGRNFTSLTTMRLYFG EQISFYFAWISYLSCVQLIIAIPGLALQLYAILYDFHSDLIPYWVLFVTIWSTVQIELWK RKTSEINTRWGCIDQMLQAESSYYEGPLKDKFSGDEEIHFITRKLTKHQQITKMAVYFIL FIFLLAVFLFCSFAIVYGIDLLKQELIVYKGFIFLLGALQAIAIQILNILFLYFSKWYAD QENHKFELSYQKSLIYKNVFFRFINSYMPLMYIIVTSNKYTLEDIFYFIIPLVLVKKAYY ILVSFLIPVILLRGSIRSYFKKVKDITIEQKKVRQTNKQHLLYLDEIEQFWDYDEFLKNS KLESQDNIDVDLLESAARPVLKIESEEVLEEKILEETKNPKFKYMPFETKIDVDAIELNS LKDDFEGTLDYFMEAITDYGYFILFSAAFPIGPFVGIVMNTIDIQVKLYKLIYMTKRVKS ERVPGIGQWLNVLEFLSATGVFTNFVLLYFKHRYATLRMFTDQVDYVIQNDLELWYFMSC VIAVSLIKILVRELIPDRPDWVIEEIDKINHRELVEQQQKTQIKLKDLEKHYKELKEDNK KLKKEQGEMEYSTEIKLKQLDAEIVKLEQHFFSISKYRKLDRIVMTEYDMIVQSVMKIRY YQIDNALIVKRMLQFLQAKSQALSICQECGKNPTILECIECTELFCAACYQKLHTITQQT SHNVNLLIKKNEILEQIELNVVIDRPSQRMVPNELSKQMKSQIKITEPKRCWKKIEYFYI PLQLQNGQPKLNELYNQFGDYYLRGPGLEFRDFRIQVEKIVPIKELILIEDASLRIEDKI FLNRIAFCLFRKKKNLAEIQLFLKQCSTLQLGQLEQKVILFFDLLDLNEDEEISKDELEN QFLLSFVQDVRTNKTILEMIDSFFTDKVKKREKKEITQEFFNKVQRNNDFSSFLESLLQV QGLKID >CAK83450 pep:novel supercontig:GCA_000165425.1:CT868474:88909:89935:-1 gene:GSPATT00017779001 transcript:CAK83450 MIVENQRCFGKEIVNSSLYQSMETGVIVEKAKKPFSIIPRVFAISLDEKENKIFRRESER IQIELENEKPKETKIPQNVHMYTDEIFQHLLIEENKYQIDQYMTPEMQPNINIKMRAILV DWLIDVHAKFKLRDETLYLTISLIDRYLAKAQVTRLRLQLVGVAALFIACKYEEIYPPAL KDFVYITDNAYVKSDVLEMEGLILQALNFNICNPTAYQFLSRYSKELDPKNKALAQYILE LALVEYKFIAYKPSQITQAAIFLVNKIRSPNYKAQNEAQLKPCAKELCQLLQAAELNSLQ AVRRKFNTIKFYEVSRIRVEVINK >CAK83451 pep:novel supercontig:GCA_000165425.1:CT868474:90160:94001:1 gene:GSPATT00017780001 transcript:CAK83451 MLFQQTWMDLKESMNTSYYTSFIYLSIGAILNTSPLLEIYLKISDKNNYQQNQLYLILDS LNVGKYLLKSSILHSIYILIIFAFNIFIILLFIILYYIKKKNAKTNIAYTIYGQILGYII QPYFWSTNGFLLQIQNTYLEQIQNLAFIVLDCIAILLQLLILLTLTLFLHYDHSQKKNFL KINSPKTLMFYIITIYCNSCLELFINSSNNLSIAFPIVLAFLFLILFAQMHQDLPVFSNK YLTNYFQIIIGLQFALALNLLISYFIYLFEDRYCIQVLLTFILLIKLNSKIRQYLILRSI INYSATKMIDSRTIVYLKQNPQNLFLLRGIINLHQSLCVNINCFYRLKSIYKISSKGNKK IYINRDAIYENIGRISTFFLKCTYESAQLKKQDQSTKILLIELLCYKLKLYSESLVQIDK LNSDHLNLLQRINVTNIRLIISRLIKQKNEQSYKSKLPFDHLLLCESYIKETVEIMMNIF GQQLQFWNYLLNEKIRLSDELILLNEINQLIRKFQKLEKLILNLHYNHKVPIRNKQWFNY FFFKLFILNKKLKLQELEILPDYQINLEQIIKDDQIDEKSSDEEPVNYYQQKFLISGNTF VIQINQAGIVKNCTNNTYQILNYNRQTLVNSSASILMPQMFKQHHSKYINHFQQTGQSSN LYKRKKAFCMHDSGYIIIVYKYLKCIYNYNSNQIEYIAMFRQIQTKQEYLLLNEDWEVDS CTQLLQDIIGIKSLSLFILAPKTIVYSQYEKFLTKENKNFFSLNAPNLEKNISRLFYTQH SSPMVRGSLTSSNGQISILQNQAELKKSFQNFLNQDDVINLEDQQKSKVKQILFNLRVPK QKYELVQEFQSKLSESYKQAIYSEPFRKQLIVKSASGKFKIVRSEFIRRCKVYQEIIRKE KFQIIKELFLKYTQSEDCVDKILRIEGTLKLEKTSQSKRYILIKIVRTEVIEENLMTQRQ NSCFTLSLQAPQMISSQPTKRTSVTQQSPRNINVTINDQFDLYSNRESMGKVLLQEVQVN QDFNQHEHYKNINFITCNSDLLKEDTNKIEKKNPSIIQYEYISRFIFILQILIVFLSFFL TSFIYNKSTLNESVILVDYLFHYQIIVAESYNAQIDVELFNRNYTQSIITKGDLIGYNSA EQFYQYNQIITQKCGDYMYIVFWEPNFLKMLYQNFHSNSNESGLDIQTIYQANVYLLNML STQEFKEDFGISDTFRKYMVYNQFM >CAK83452 pep:novel supercontig:GCA_000165425.1:CT868474:94185:95410:1 gene:GSPATT00017781001 transcript:CAK83452 MQNLFKFLKVLFRVQAIIPFKEKIAIIDKLNITINQFCYVTNYEYGNIEEFISMNKKNLL SKAISKRNSTSQKGFEKKILDAEQYIEQIDNKTKIKFEQLSSLYSLKQIAVKIIVNLLLI ALISIAGVVTIYCTINNIELFNKNQFMFNSQNVWILPALKEIFTSQNHDDYSFNDTNAFI ILQAYFDQIQSEFPVYYQSDIDEIIYFFDNNICDGDTQINMDQCTRSINGALTRGIREYD YLLSQVALKLLLPKDERYDNITYSAIYEFNKLYYFVFDHYILAKDIWVSVSKATLEKHDY ISLILLITVIIGMCLYFIIFNEIGYVLLKKKEYKFIKMFYKSFMPNVSINQQKRLRVELI KARFIRK >CAK83453 pep:novel supercontig:GCA_000165425.1:CT868474:95450:98148:-1 gene:GSPATT00017782001 transcript:CAK83453 MYIDSIQESDSRVSENVQCIGPYLHQQSTRQYQKRQISLTSMPSDPFEDFSQKYNDQGII DQRKLKLIKQKESLRRFQTKNNNSPLVQTQVLNKNKGNIHQQVSQSLYAAKTQMKKMLGL LVKNRYIKKFQQNLFLKSYVLPTSRKNLISSEGYLQENQFQNELDQNSQKISGYLFQPGS NFMIVFDTYLFIIYLFSLWLTPFLTSFMPDNDEIAHISIFIILGLALEIIISFNRAIIIQ GEIIEDRKIIVKHYVTQQLIYDILMISIWIIYFLQSNRISIVNEVLCIMSCLITIKKLTK NYFGYIEYLYLKGGVNSLIDLVTLIILICFYAHFMASIWHYIGSFDDLFENTWLIKYNII NESAWQRYNYSFYWATVTMVTIGYGDITPQNHIEIIFTSIMILISSCVYAYLMNSIGILV KHINDTKFKYRKQIAIVSSYMKKNNVNPLLQARVKNFLQLNIQNDKNENTEELECLFQTF PQSLKTDMLNNIQNNLINKIVFLKQNFSKKCLKLLSKKLKKFEVVPDDCIFKQYDQNDKN LYFIIEGQVELQEERTKKSLQILKKGDCFGEYQFFTGFPPKVTAISQGYSEICKISRDNL LEQLSNFHKDAERFHHIKDSILFENNFFKIFLKCHLCGQYNHQIVDCGVLQYKPDLEQRF KKQFYHSKQDRQQWNRKQTKYNSLVAQSIISESIQKYQQTIDGQSIDEQNGQNEKTDSEQ AQTLTKMIAQETQDIMAQKSQNKSLNSPQQFQDLKEQSGIFLKQLKTMKPNTTLNIERTS FMELQKTYIFKQRSEEFYFETFSVPLIFHQNNIDQMQNYRYYFPGHNSADVIKAYNNIQN NKKKGLEALNELKKSYKKYTFNQSLINTLIKTKKI >CAK83454 pep:novel supercontig:GCA_000165425.1:CT868474:98395:98922:1 gene:GSPATT00017783001 transcript:CAK83454 MSESQESSEFQSGSSKLEYKRSNQLGLTNVESGDEQIKKKAKLNDENGQIKISRKVSDDL IKIFERLPNDQITKLLDVIKVKNSPDLHVKVNLVDLMQKDEDFALDSQSDSQKEKKRKKR ESKEKECRCCKQVVKRHHCTHTECEKPCQILEKMKKCKT >CAK83455 pep:novel supercontig:GCA_000165425.1:CT868474:99283:100725:1 gene:GSPATT00017784001 transcript:CAK83455 MIFLLIIKITIAWKVQNVKDNIQTCQVFQSGNQQECELNDLIIFRLDSYFAICLISSEIG ISSVLYEDSQGNYQFISVLKEQIVIYPLPYICGSLYRTVQHQNQFSNYSLTRDNSSLYIF GGFDGSKLRNQLLEYNIDALLNNQITYQILPNNFISKLTVSLSLWDGTIEDFSFTSNFPR LQKLKNLEYKYTLSSVPENTQCSISNVPKCSQHSVIVYVDECNCIKLFYGRDSNYVNQNS SWIYYLDDQVWQETTTESLLKFGNSPVGQYFPEIKMVGFFAENTYYFYYDWEWYKNYEVL NFGFTFKQMIAYDNKTFLLNSSSILYSYFSDQQNMKSQQYGIYMCQDDYHGYDCQTQDLQ CPGSICFNDLLFERICVHCQGHGKCLNGNCKCDDGFSGNDCSQQVKCLNDCSNEGTCIQY FPTPQCRCKQENKRGGEDCSTVFCLNDCSNNGVCKNGACDCISGVKGDDCSILNLKFIDE >CAK83456 pep:novel supercontig:GCA_000165425.1:CT868474:101588:102115:1 gene:GSPATT00017785001 transcript:CAK83456 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHKPVVNIDKLWSLVTDDTRKKYASSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK83457 pep:novel supercontig:GCA_000165425.1:CT868474:102118:103619:-1 gene:GSPATT00017786001 transcript:CAK83457 MQSVIGSIDSSTTGTRFTICNLNGEQLSYHYITHKQITPHQGWLEHDPNEILNNTIECIK QAHKKMDGVHKLVTIGVTNQRETVVAWNKHTAIPYMNAIVWSDTRTHDICQEYLNKYPKN YFQQKTGLPINTYFSSYKLQWMIQNNQALRDDLNSGNVLFGTIDCWIVWNLTREQNHLTD VTNASRTNLMNLHTLQWDEDLLNQFNIPKNCLPQIKASNSNFGSLKIEEYEGVPINCVLG DQQAAAIGHALFHEGDCKNTQGSGLFIMANIGENIKISDFGLLTTVLYQKENEKPVYAFE GAVESGGQLFNWAKDKLQWFENWEQLSQSTVNAEDNGGVYIVPAFSGLFTPFWNFDATGT MIGLSYYTTKDHIRRAILESVCYRTKDVIKAMEQSGIKINKIHVDGGLTKNKELMQLQAD ITQKKLVYPEIIESTASGAAMFAAVGLNLLTEQQIIQNMKVQQIYEGKKSYEKQYKQWEL AVNCALQFKKIE >CAK83458 pep:novel supercontig:GCA_000165425.1:CT868474:103730:105070:-1 gene:GSPATT00017787001 transcript:CAK83458 MQNWSFTYVLIFLFSIYFTEFLILSLINYYSQQHMETVSQQMKHLNQKNQELAALENRLI QLKQQLNLQEANNNIVQGKVEKIVNAKKQKFEDQMELSKIMEANNNNDNGLQNLIRRNKQ QQQEEAKKLKQDMFDEKCLKTALVKQELQLQMYQNKKKREEELLEKQQQYARISEWEFNM KQDLEQKKAERIERIKQEQNLFKDQIAKRLQEQQALCDQMSTEEQRLLNKLKDSQLHGNN LKNNLMTALNLSIKEYNNIAGLRPQNSKSYSLQKLPKESQNSPYAQLPTMLQLKVNGQLS NYLNSNSSFRESLMKEYQFSLPTLCKPSFNEQKQQVDRLYQSKTIKNSKQDLQYILNFCN NKSKSSQTKQKGRMNQSELLSSDNHSISSKQNRTISQQQSISKQQSKDLEKSTQNQQQSN >CAK83459 pep:novel supercontig:GCA_000165425.1:CT868474:105424:107540:1 gene:GSPATT00017788001 transcript:CAK83459 MKNNHKRRFQDVDHLIQLRKKAIPNKGEYLYDYKLQDALKKRDTYSKEEFKQKFKIDFED LPISTNTLRALKQRKFIKMTEIQRCVIPHALAERDILGASKTGSGKTLSYLLPLIENLYV NKWTPLDGLGALIILPTRELAMQVFEVFKSLNTYHILSMALLIGGKNYQYERDRITGMNV IICTPGRLLQHFEESPGFDANNLKVLVLDEADMMLELGFWGPLKAIMNYLPKEKQTMLFS ATLNQTIHQLCKISLQNPESIFLHEKLATDSNEQTDNVMSTPNKLQQFYIVTPIEEKIDV LFSFIKSHNKQKIVIFVSTCKQVRYLFEVFRKLKLGMLLYELHGRQKQDKRTAIFFTFSE KKAAALFTTNIASRGLDFPKVDWVIQFDCPDDPSTYVHRVGRTARYIAGGFSMLFLLPSE VKFIDKVKQKGVEIKQKFLNSNKQLTIKQTIQSLVSENIELKYLAQRAFISYVRSVDINA DKEIFKLKEIKTDLLAESMGLVQVPILTVQQPDESDQEDKPEKKSKLQKLKEKIEIKKQM AIDQNKPIETKKLKQISRESGFDKFEGMKAKTFDFEGEFLKRKPQQEIEEEEEELEQPKF VTSKRQMKKVKADGIFGGRNKVFFDDEGNQITAEEKRRQEILEGQQEVLQKDEDRTLKYG QKLIEHAEDDKANEKDRIKQKRLKKKIRMQEVQEEY >CAK83460 pep:novel supercontig:GCA_000165425.1:CT868474:107572:108400:1 gene:GSPATT00017789001 transcript:CAK83460 MNIIKSTNSFYQFSKEYQTYFKDKSVEQVEKPQNPVTLMNSFLRNSSFSKKSVQPLDWPS DLDLSNIREEYFIIDPTQRFQREWNEKKLFFKHRQSPLRTDSFNRFRENIRSLSKTYKFQ KDNLQYEQAAVLPSQRKLELQTTVQAQKEYKDKKEQFNFLNKQHRLIENLHHDDIVQKSV TENYQMNGNKDQTFCKENHRLLRTNYDNEISSDWARKRKSPLNKLDTFHRVISQEDNEKS KLGKAKPNRVKQFERKGREYNIVNFGCN >CAK83461 pep:novel supercontig:GCA_000165425.1:CT868474:108457:109116:1 gene:GSPATT00017790001 transcript:CAK83461 MKIFEQSFGKQEVFQKTAQFFNPQIGKLNENFQKRRRENSLPQQNLEAIKQILSKTKILQ KNVICRKTTIHSMHDCKLENLKSHQSTKQSTKQSTQQSTQDSLDFSNNIPKMQDLIIKHS INPYNAFKYKSNFNIKKPILNEYSSCATQQLENNSAISKISNSLEGKKYVIKVKDPFGQS KKQITQPTRKYSSKSFVNQKAQIKLVNRIFSSRSESLQK >CAK83462 pep:novel supercontig:GCA_000165425.1:CT868474:109340:110876:1 gene:GSPATT00017791001 transcript:CAK83462 MYQSASKSAKKQTNAIGKPSESNIARRVQTETIDYDEAEQDLQTFQDISNFKLRNKLITF NKPAKKPIVKDFNTQFQLCLKNENSTKPTSGTVKKCQKPQGIEKINTKSLLIPAKTTRQD YSTYTYQNLKTIGSGSFGTVYKSKVNETGEIVAIKKVLQDKRYKNRELQILQELNHHNVV KLKHAYFTPSDNKDEMYLNVVMDYYPESLYTYNKSFRQAQARMPEILVKIYSYQLLRSIY YISLLSICHRDIKPHNILVNPNHHKLQLCDFGSAKKLVKTEANISYICSRCYRAPELIFG AVNYDNQIDVWSVGCVIAELFNGEPLFLGDSAVDQLIEIIKVLGTPNKVQVLSMNSDYDM QQYKFPQIKARDWKKVIKTNDSLATDLVSKLLVYCPKTRFTPIQALCHPYFDQLRDLHQM KQLQEIYNFNIQELFDFSNLETNRMTNDEMKKIIPDWISCSSTKIVKTIG >CAK83463 pep:novel supercontig:GCA_000165425.1:CT868474:110964:112343:-1 gene:GSPATT00017792001 transcript:CAK83463 MSQKGKLFLSSKVDLGYKCNEESIRPATTAAATGSDFLFESNVQQSTCKSIFMKPNSLHT IRNIFDEVNNEERKKYKDSQVGPRYEDDKKEKIVKWSIVGKAEQFLAVRNKNRQRVVIKQ RKQDGDESFSDDVPSSKPFGLQQSQSQMSGMNQSRKIPKKPRGEFKMLPRQDALVKLVEA RRRIETEKIDKIKQEQKLPLHIRNAITRDKRILESFDQAKEKWEFVNNRIASNCDRSPID TILVRSDHYRDRATQLNIIESQKNEDEKHNDRLWYLRLRWYDCKDDRPPFSLITTQKPKS IPMTSRLVNRYVKDQKAEHLSQQQNFVLSDIQSNFNTKVIDNPYLQVERVLTNTKHSNLY SKKLDEQFKEKIKDKPKKKYVDTDQYLELVGENVYQKELEFIMKEKQQEYQYFEEKPDEE EVYVDNWNNQQLAKMGQPLIQHI >CAK83464 pep:novel supercontig:GCA_000165425.1:CT868474:112398:112727:-1 gene:GSPATT00017793001 transcript:CAK83464 MNETNTSDPFTQANIQKADAFLAQFIDIKQLLPIKEGLTKEMIEYFNQFKFSTQLSIGPL TYQERQKKIQKYRQKKNNRQFTKKNHYDYRVKKAKSRQREKGRFISNKK >CAK83465 pep:novel supercontig:GCA_000165425.1:CT868474:113850:116125:1 gene:GSPATT00017794001 transcript:CAK83465 MINQLLFVSFLFLTNGVGLFEQYYPLADEIAQSMTLQQKIGQTLQVDITSFNDDQGNTHY EQISQHFLGSILVGGNGCPDGRGNIIASDNCFAATNANWRDVAQKSLKQKVVVNVPGHGE TTINLLLGTDAVHGNQHSVGAVLFPHNIGLAASHNVENYIKAAYWTKQSILDCGFNFAFA PTVAVSHNPKWGRFYETQGADPKFIKEIGRAYIKELQDDDGKKIKGVLGSTKHYFGDGAT YNGYDEGNCRVENFDVFYNNNIQGYVGALEAHTGTIMVSYSAINELAMSISDLINVDLKQ NQKFDGFIISDYNAAIKVAYQGLPTTHTKMTIEDAYTKSFNAGMDMQMVDGAVGWYEEVM NNIIAQGRISAERLNDAVKRILAVKLAMNLIDVPQTLAKKHNYTQEKKEEEKVEQKDVSN DSNDAEYYDALRSAKESLVLLKNKQNLLPINKYKITNVILLGERLWSFKGEFKRVYQDFD NIGAQNGGWTIRWQGFNGNEYWSNDLKTSSKASSILDAIKSRFNTANIYYIQYSDPTNLQ LINQQRGNFRNEIINNQDQFSRENTLIINTLAENPYAEFMGDIDCEYCKSQDKYGCIYNN WLNQYLPDEQPTTLEINIGDYEKQIINIVRQKDVDIPVVTVLFSGRPMIITNPLSVSTAF VAAWWPGTAGGEAVVQSLFGEYLFKSQGKLNTLPVPWMRNMYQISNYPIYGNEVPQIEDP LFEEGFGLETRPQNSQ >CAK83466 pep:novel supercontig:GCA_000165425.1:CT868474:116510:117358:-1 gene:GSPATT00017795001 transcript:CAK83466 MDLRLKRYDVFAFSQNQQSQKQLDSKRRSDDRSFLQLKQYKHEFKRTTSNSNTCQTQMKK IRLKRQPQINQNQILSYSLSIQLMEQLPNRSLDPKVVKMILPSKSKNTQYQNEESTSKRN NYDNYFAHQSQNEQKASFPTIPFQNKILKSQFQASLQFYKKQQSIPIQVKLSNQEDIKKQ FQSIRNRYVKSRTEFEKQDDQNKPFLKTVKSKFHLSQQRNQNQKIINLNNNSIESQTNLD LEYLEDFQKYDKKNFNRLRDELIQNA >CAK83467 pep:novel supercontig:GCA_000165425.1:CT868474:117952:123388:1 gene:GSPATT00017796001 transcript:CAK83467 MGHLSALLRKNWILWKRNCFCSSCELILPLLLILALGGIRSAVEKEDVAEANFYDPNNLK DWNGPPFLVQLQPYIDEQRIEQNLIQFRLRNQSASVVENLMQLNTKSRLQVLPPMKNCLD NYRQDQKRQWRNGDIAMGPSADHPIVKELTEVFKKYYNYSVVIFKDNKELDDYTSSSKYG DPDYPRVCFGVMFNESSSNVYDYSLRFNSSGMINNEIPPTNFVDIDPIKYEDLDKANEYL ESGFLTVQNFIDNIIIRREVAADAKITPTYSFIHRREGVIDDFASFLRGGFGIYLILPLM IIYLRMTYGIIYEKEKKLREGMKMMGLNNTSFYLSWIIQYFIIYTLISIIATILLKGMVF KNTDGFVLFINYWLFCMVLIFQSMFISVFFTRALFGLIVAIVWYLLMYMVISLVGSGQNL VPEATYWGASVSSHAGMSFAFDVMILFEAQGRGVSMSTLNTKVENYSVNIALSMHILNIF FYLLMSIYLDLVFPNEWGKKLHPLFCIPYFNKPHRSENQLNRKASQIHQERYEEVEQALK DQESRKEVLQIQNLTKIYPSGKQAVSNVNLTMYIGQIYALLGHNGAGKTTTISMLTGLLD ITEGQATVFGYDVETQIEDIRSFMGVCPQHDILFDNLTVKEHLEMFATFKGMKPEDIPAA VRRMIEDVDLLEKTDYLSKNLSGGQKRRLSVAMAFIGNSKLIYLDEPTSGMDTSARRYIW EMLKNYKEDRIIVLTTHFMDEADFLGDRIGIMGEGKLQCSGSSVFLKNQFGNGYNLTIVK ESTLSDSEPIIELIQKICPESILISKVSAEILLQLPLQAIQKFPQLFAELDKNLKPLHMQ SYGISITTLEEVFLKVAQIGAGHNQVNDYMEKEGQNQAAMQIDDFDINQIRIVSSVQLFF NHTLSLIIKRSRYFKRDIRSLCCEILLPCLVVLLGLILMTIEFITQPEVVLLTPPSECYS TDVGYLWGGIQDNTLFNSMQMKLYDEKQQVFGDPTLSNLEKIDMNYFETSDLRSDIGWYY LTQNTNNDFQYYMFVNSVFREGPAVLLNQMNQAILKKLKGNNYEIRVTNSPMRRTFEELQ TQNTISGFLAALVFSMGMAFIPASIISYIVKEREINLKHQQLVSGVSVKAYWFSNWLMDV GKHIVPSVVCCLLILAFDISAMIDDENYGFSWLIFFLYGWAIIPFCYLFSFVFRQQGNAM LLSFFLHLVVGSIISLVVYILRLIQSTRDIAKALQWIFRFIPSFSFAYGIVNSCSKATYK VIEGWPEMKSTYDIEVGGADLIFLAFTGVLYIILVFIVEYFEDNGQLQKLGSSEQSIPYI PKTIDDDVAKENQLCETYQPNEKAILVKKLRKVFMLGAGKHKVAVDQVSFAIDQGEVFGL LGVNGAGKTTTFKILSGELKPTYGEAYIAGKSVIDDLEAARVNIGYCPQFDALLENLTVR EHIELFSDIKGIPYFKKEDLVEKKLSEMDLKRFENIQAGQLSGGNRRKLSVAIAMIGNPP IVFLDEPSTGMDPEARRFMWNVISRIATQRKQSTIILTTHSMEEAEALSTKIAIQVNGNL RCLGSVQHIKNKFGKGYEIEVKLEKPTTNEINGLISQMQLPLGSRLDQQKTIEILRSLGQ TPLEQEINMRGSGSHIYNDLRKPNGLAIETLAEFIIVEGMGRVLMDFILKSYGQFEIIEH FQTFYRFRLMGQVTVGGLFDGFEKNKKVLRISQYSIKQASIEQIFNNFAQQDHIENQGQI QNQQAVHIQIPPNQQEMQNLQK >CAK83468 pep:novel supercontig:GCA_000165425.1:CT868474:123436:127933:-1 gene:GSPATT00017797001 transcript:CAK83468 MKLGDSKLASAIKNVIIANKNVEIIKDVLQEDPREMNVSVFDQVGQENQDVKYLDLFIHL TEQCLNNPNKSATLCTDLILKISYVISDPSKWSKHFNSKIQQSEQQILGFRKIKFMRRSI NSCPTKPVQKIIIMALTNLVTINEPEDETLCESCLKSLKILYKNNPDLKQLLKKTIQKNI LTNLEQKSFLTIPICLLKGLTDFASEIKLGDWMTDQQCILFGRWLEQIFVIFRDDKSKID QSVECTLQLMSRCFVAESFATQLEEFITMDGVMDILSYCFSISKKAKADEIFTKNKIIVY VFEMISSLNRFLKKSNPVLKEKYSDKISTEISKMIDELESERYHFANSESVKTQEQCQIM NKKLGSLIRAYGKILVDNPSYTKILTDKDINDPIKKKERELLASLNEKSKSDKKEEKIIF IQVLKLVTIKKLDPYIYGSLCQFLVTILESQVCDLYLGSIVSNTENFNNTLLLLSKTLYN EFCLMARVYGNVQVTAEHTLANMPTAELQYAVLQILKVFECIQKKASDPNDIQKFQDKLK GLEQWNYKYIILSCLESPVEEIRIVTTYLLSNIPSRKWEFDDLKTMQKILEKLQQLLSDG QGEEEISCLLMIFCNIVSSNANPVSYQFRQNTSSSNLCVVAYKILRTNMSRDTRGDENQT RQKIALSASCVYLLTQYLYSSQLRNNFFNQKALFEIGEAIRLEDQSGTDIKTPLSIESIC LVIQPLFQSIESDFGINITHLNFFRVLMQISNILNFQKYYQTQQLTKARAEIEKEIQAQK DLMQSKKHKMHKVNLAEDFDYDNNQSVEDILSQYKRSLYQQCCEQWEDWKIVKFPNYKSL DLIDDLISAFEVQHTIFSSQNGVDRLLACLQPLIQHVHLDLEEKTISLEALNQSEGNEYQ SKVVTSSLSKHAIVSKLVGYPQNQDLNISRYSSQFFEVVQQKKILANSMVDLQSFSEDQF YEYMSLARSQKSKIALITSAFLYSCYISIIFAPEASILCNALTEMQQKEKLIGYIKLCAA SDWYNSCVSIKLFKIYRLIGRHMIFFFNSDNTFNFQKFVQEEIIKQLRQSNELPVVLEPK LTKIKKAIKDIESKQKLLKKKPLFSAPKPEEYLETCYLINKTIQIILSDFKEIIQSLNKN QLLQQLKLVNSIFKTIQIVFKQMLMCAVELRQDDYTQIFSALRKIYKQIGAQNFFDELTM CMQKLDKKLSSMSTLFQSNDFLQKQQYQLYVNLAQVLGIIFIQKGQNLKQLFGNLCQRFD SSKLSEAVNNGIKAWVAQMVMLHQFTFKDIYNPFVNQIPIHETIIDFKKQESYTRYKNCL LLVYNTYFIVLEVIGVQVNQDFLQTQVTQKNYKIHLHVQGQQIRESLCQMQQQANANMTE EQRQELQEHIEEFNEGLKVMDLQIMNLDGGSKKSDLDYLFYFQTKWDCAKYVKIFNILQN ADTNFENPLI >CAK83469 pep:novel supercontig:GCA_000165425.1:CT868474:127973:129099:-1 gene:GSPATT00017798001 transcript:CAK83469 MFYLIVILCVTISQAQYGFEYYAEWQTGVDNSISKQEQFLFPTYPMRFQSQVVFPRRFSV RPQVLLMHQEIQWSRGRNINYQTYVSDVTLFGFNINYIIWGPAVIPILSVRWVALVDDQV EIQYVHYQEEDLHQLSQGFGARQQDFMISYYPRYPAPKVTAFIVGADFEIFNSLFTSVRI QMGIPTSNSMDVRFLTRDFCHVRSLYVAYFISNNYLTLAGSVGTYYSTYNQFLDNSNKQT IRAQKFSRMVPSIYSLDPAYNILAQGISGFDVHSYAGSAYMRVTAESMINQSQIYTLVYG TRGDTVLTYMQASYILHPPGLPFHYYYKNYDWVVTKDDKIVLEETIQQEKKINELNKI >CAK83470 pep:novel supercontig:GCA_000165425.1:CT868474:130280:130927:-1 gene:GSPATT00017799001 transcript:CAK83470 MGGACSGTKSQNKKQKLQSQELNIVEQPYLEVLSIPQSQSSSRPPEQRCETAQSVKDLLI LYKKFTDFFGLVGNLDHLPQHTREQLHNCIIQRSNINILIQNNIKRIMREQQIIKRVEES DYYLIHNDEKFAITFTQIMQKLSTIILTELKDDQDFQEAFPMLIVSFVDLAQQISNVIEN FQNFKTLSSKKQRTKQPISEESNNQKTTNKISLQQ >CAK83471 pep:novel supercontig:GCA_000165425.1:CT868474:131765:132417:1 gene:GSPATT00017800001 transcript:CAK83471 MNKGLTLSFSKQSTKQDLDQSTIQENSPILLFLEKQKKRNIFYISFKVVAVQVQLNHAYY LIEVNEYGKKWVMRIRYSQLQQLNKNLCKLYRIKLTLSSNFVFNRLRPEYLKKRAIKIQS FLNEIGVQISKIQIKEFYDSEFFPLLIVFIRVKMPGKDPDRLHRRRDPLIRMMSSYNQIK KYSLYYELSLFQYVFLLQERQSIKYLLS >CAK83472 pep:novel supercontig:GCA_000165425.1:CT868474:132474:134670:1 gene:GSPATT00017801001 transcript:CAK83472 MFYSRSNPKLSDYQNKLNTSSYSSKSRGSFKEISPETRNITPNNLNRPLTARNEYTNPSK VKQLLSNLIQPDQQKTSTQLFGQNLIQKKQLDSTSSRCDSLFSSRISNFGKQNAKSPQNN ETFLKSGFIVTETDQDKKLHQNLYYISNKQNEFNSSQQIINKNQKLLTISQIAQQCKKNS ITPKRQNTVNNENINNLNNINSNSKNKQQSKQIVQVGNIKKNKTTYVDTFNILKQKFDNN IQSNQTKNITSGKKQNEKSIPIKSTTQSSTVQKIDDVTQQNIYKSLLQKEQIWNDIINLI DNPNQFTLATKCTQLQKLIRLISEKNYSEYLFRIEPQILEIFLYESFACYLIISDYLQDQ TNQDHNMKNLIQYIINSNLYVLQVLEGVTTNPLNLNLLKQRIDLRIFNVRKVCPKGRQAL QKNNYIIKSILSLMYFIQSIQKSKRIDEITRPEVFMILSRITTTPDQYTLQQKKINSMIE QIMPLDLERALAASNKEYTLVLDLDETLVHYQEFPKGGGQFLVRPFVEEFLEQLSKYYEI IIFTAALPDYANFIIDIIDKKGFVKQRLYRDKTIFKDQVYIKDLSILNRSLSKTIIVDNM PENFQLQPENGIYIQSWFGDTQDRALKDLQPLLEQIAIKKCKDIRVALNQFREQMIERVQ MGIKNPYQYLQLN >CAK83473 pep:novel supercontig:GCA_000165425.1:CT868474:134956:136297:-1 gene:GSPATT00017802001 transcript:CAK83473 MKEQLQTNQTYGTYQSLNQDETPAETVLERDVQQNQMKYSIAMFLCGLINNTGYVLVATS AQSIAKHFDKESFMSAFNFSLIFLSIGMVFMNAKLFIKIQHKKRIYVAISLSAVSFIIIA VCTTIEQDYAFYISLLGATLCGCMQSFGEATMLGYSKSFSSSLIGFWSSGTGFAGIFGSG FFLVLRALKFTDFWIFITAIPFLLIYLYNFTWLDNKRKSLQKVQLVEEEEDDSTDNLQMS FENMKYVVNLAWTYSLNLGAVYFFEYFVLTCWADRANPAQTDGNYFQNNAYAILAFCYQI GVFVSRSSLKIIQIKNVTLLTIIQGVNCGLWAAIAIAYYYSNYQLNIAIQICLMLWVGLM GGASYVNVNYLIVSGTFLPKNCKELCMCINSMTNNTGIMFATLFAMAISNFWLK >CAK83474 pep:novel supercontig:GCA_000165425.1:CT868474:136304:136760:1 gene:GSPATT00017803001 transcript:CAK83474 MFLNLLQLFRGDKQIDHPILGQLSCKTLQEAYKNCMSFIDNEQYQQKQCRPYYNLCILPY DYLAVSCFQVKDDVEFQQFIDDKIDERRRLIKFLRENKSQLPELQKSNQQQFFNLFQFID NEDGQEEQAKNFYQKQQQDNKKYL >CAK83475 pep:novel supercontig:GCA_000165425.1:CT868474:137297:138207:1 gene:GSPATT00017804001 transcript:CAK83475 MFANLPGKVALITGSTSGIGLSVAKKLASQGVHIGLNGFAKPEEISVIRKEIENQFKVKT FYHGADLKEGPQVKNMVQETRKALGSVDILVNNAGIQYIESAVNFPEQKFNDIIAINLTA AFLTTKYALPQMLDRNWGRIINIASVHGLVASVNKCAYVAAKHGIVGLTKATALEVAKTG VTINAVCPGWVMTKLIETQIQQRADQYKISFEEAQIKLLEEKQPSVTPVQTEQLGDLITF LCSDSASQIKGSAYTMDGGWTAQ >CAK83476 pep:novel supercontig:GCA_000165425.1:CT868474:138825:140992:-1 gene:GSPATT00017805001 transcript:CAK83476 MLNKQVLSRTATKRNLLFSQSVLESSQKSSLQQKSSRHMKVKSQVLCNTPSGQEKYKTDM FKEQSLFSEDSDNDLFRESSQKPPKTASSIKPRSKILNQMAYLTQKMTPDYQRRMQLISQ AEDKVLDFRIEQTEQPIQVNSQQLSFGETLINYQHDKHPIELQPELWNVQSVRQMEFYYY KIRSKMQPVPLQFYMNQIESTNFKIFVSSVNPFPTNFSCDQIISVKGWKYKVDESKIFHC DYIYISMVCDLDTQVKLKYQFGSRMMKRPQTMQKKMETESMFLQNPQQQQLERMNSDFLL AQVAEIKKRRKQRLRQRGIFKDLIKENIQKVVEYNEEQQKSFRELKRVQSDNRIEDVLIR KKQLNQNEQSRKVYEQKTRELGKILRQRSNFVQSKKTLKNKVCHHWLNFIYMALISSRIL HVYQHKIEAQNQSTLMAFQVKRIMKRIHKRLIAIKGLTLYDRVFFDVKLASQCFCRAVYQ KQVQEHIQKIVPFLKQRAELHHFKNQVVSTHLKIQKIRQLVQEFLLRFKNYKKLLGGVWQ KYFKEQLLKNYNQLSQKKKEMYQQYIFLLQNQENYNILLTEFMNSYSKAVLRTFLKEMSV YSQYLKKLRSQKYNKTKRRDLEQNEQVMYQPKMFQIPSYDDILEYLPILMSSNNRQDARS SQQISQSQILSIGENNEKKRKQIRMRK >CAK83477 pep:novel supercontig:GCA_000165425.1:CT868474:141109:141910:-1 gene:GSPATT00017806001 transcript:CAK83477 MKCNGIIFFFILIARTLCFDGSDSIILSLSQSFSSIIVTELGDKTFFLAAIMSIKYNRIA VLIGSTLALILITTLSTIFGLVIPELISILYAQILVSLVFYGFGIKFLYTWYTMKKEKED LQEVEQELSTLDKKLMNLPDPETDQVNDNVTKNFIQVQFQQVVWQAFTLTLLGEWGDKSQ ITTISLSAIYNPYYIFLGAIVAHFICTVIAVHGGKLIANKLSEKNFNFLAGITFLCIALV NTYIAICL >CAK83478 pep:novel supercontig:GCA_000165425.1:CT868474:142194:143385:1 gene:GSPATT00017807001 transcript:CAK83478 MSIQQFPNYYPYVLEPQVPFQGEIEQQKQSSSSNYNEFNFIHQNFHPTAYPHYQFEYVQD HEIPSQIKNKKNKKNKSNDQKGLLPKTLESEKQVVEDLQQMAVQQQGEQMLKPITPYPVL HFAQGSPMPKLQSDLVSNQQNEGAVSQEGSQQTQKQKGQNQLTKKPKKENVNTGHWSTDE HTTYIQFLQQYENIMTSSMMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPYALRGENGK RLPRSERSRAGRKKKNPQSDLPKAEEANLILNYEAADPYYFMLLEQQKYYYAGLHQDYWN QGQEIEQNQNIKKEEEVDHLHHGQMQQPEFDEYLRYSFQRNLNHQDYNFEVRNQTINDLL I >CAK83479 pep:novel supercontig:GCA_000165425.1:CT868474:143707:145864:-1 gene:GSPATT00017808001 transcript:CAK83479 MSEFQRRSSQRQGSIEPDAQGLSIQYVAENKNLQTQYLDLKLVRDETKNQKTVFGNQFGY AAKTNRRISDTADDEIKEALDFDILQIKPGKPYKGAKTKESRESASKILEILKLRDYYSF DGHEQSQISSKFVQHNAKNEPFIIWNRRVDVLPILENTEVLQENGIIFTKSGDIIDVIVP TMLQFISDLINLMKCVGNNSIASFCYDRLKFLEQKFQMHEIFNHQNEQQDQKNIIRRDFY NVFKVDTHIHHSAAMSAKHLLEFIQRKYEKNGDDHVDINKDGTKICLKDIFKNISVDPVD LSLNSLDVQADKGIYKRFDRFNNKYNPLGTPKLREIFLKTDNYIKGKYLADLTKELMDQL EQQQYIGCEWRVSIYGKSMEEWHKLGKWLIKHKLYSSKVRWMIQIPRLYSVYKKSGMIHC FQDMIDNLFRPLFDITINPTIDPFLYQALFQITGFDTVDDESLYEYFAISDLKQSPKDWA GDRNPPYTYWIYYIYANLYTLNALRKQRGLNTFKFRPHCGEAGNIDHIATAYLVSDGINH GLELQKSPVLEYLFYLKQIGIAMSPVSNNKLFCRYQKSPFQKYFQIGLNVCLSTDDPLIL HLTNEPLLEEYAIASQIFDLSAIDQAELARNSVRQSSFEKEIKEFWIGENYNDRIAQKNA EDRNNLPATRFMYRKVTLNEEFEHLDKLNFQELV >CAK83480 pep:novel supercontig:GCA_000165425.1:CT868474:146753:147744:1 gene:GSPATT00017809001 transcript:CAK83480 MTEFACDTCEKQFKLKRTLDAHKMIHTGEKPYECSQCQQRFRQYSSLQKHQRTHSGAKPY ECEECKQAFSQISNLKRHQRKHSNEKPYKCEQCGKQFITSQNYQQHNSKHQQDRKQYKCE SGCGKTYFYMCSLKKHEKEMHKKIDKPIFTIESYNRQIKNLKDFFTCNHPQIIHKNHVDV LFNGCLYYYNEENQKIEYHELVDTAKQECDPVADDQHFQKGHQNTQIDCTQYPQEMNCCS TTSQRNIQEQEAQQSYDLHYHGPNCGHPIVLHNGHIDYLVNEMLHYPHDGHCDNHGILNR ITVQ >CAK83481 pep:novel supercontig:GCA_000165425.1:CT868474:147772:150172:1 gene:GSPATT00017810001 transcript:CAK83481 MSLRSVVEIVTHVESFRNVDLYYQGVYFLRITIHNDAPQDANKIYAHPHDPCESYKTYPQ EAQQNVNPPKYYETHIFRPASIVTSNSAFYTKAFFIKFCEEEIELNDICNFRIEFDAGPK KEQSLIMQVDLMFFDCLNSQKDQPKQEPLYTKQDKEDYAIPDGKIQATAKFKIKNVLLPN HQFVPIIFEEQNFCQANMVVHTITLDYRFRTHPIQLFQFARLKFEERNQLLEGKVPSTLD KNKNQSKPLINSDQISMYETIQKQFKEMKGLDYYSMQQEFVESLKGYYEQLYQHYNLIYS KCILEKQRKHFKKYLYPPLKLIVPEYITSETYQNVKGQLTRKELNQRIEEKFHTADPETI IHSILNETNLISCQLFQMWIKVLDLYRISPRFCVALLQFDYQKIIKNRWQQFCIKQPPQQ LLDKNLGIEHKAKSDKMRQENKVQELGVEDLNSFPKLETQPIIFEEFTAREDKDKNEENI VDADITNSEYDIMSYRGIHLIVLVHGFQGNSYDMKLFKNYISLAHPEAMFLCSSINEENT EGNIQEMGEKLATEVINFISENCPENTLGRLSFIGHSLGGVIIRASMPYLDKYQDKMYTY ISLSSPQLGYYYNASKIVDAGMWVLKQWRKSKCLEQLQMTDNRNIEETCLQKLAQAKGLA WFKNVCFFSCIQDKSNSQKKLLKIQRNKPYVQMVKALLRHLENTNVYRIDVNFEIQEKNL DTFIGRTAHIQFLECQPLLRMIVSLYDQFFC >CAK83482 pep:novel supercontig:GCA_000165425.1:CT868474:150220:151189:1 gene:GSPATT00017811001 transcript:CAK83482 MQRIQTLSNQFNPTIAPPAGNVTYPWVMKYPDCFPFEQVGNLKGKTVIISGGSRGIGLAI GIRAAKDGANVVILAKTVDPHPKLPGTIASACAEIEKAGGKALGIQCDIRFEKDIQAAVK KTVETFGGIDIVVNSASAISLTDTESTDMKKYDLMHQINTRGTYLMSKTCIPYLKKSSNP HILNLSPPLSMQSKWFAPHLAYTMAKYGMSMCVLGMSEEFKGQIGVNALWPRTSIATAAV QNVLGGDSMMQASRNVEIMADSAHVILTSCHKKTTGNFFIDDEVLASVGVKDFSIYRCDP KFTDSQLAPDFFI >CAK83483 pep:novel supercontig:GCA_000165425.1:CT868474:151539:153133:1 gene:GSPATT00017812001 transcript:CAK83483 MQLVSVRKQVSVFSYKPDFTDKSKIMYNISITFENQSWIITKRYSEFDDLQKQLKVCFKN QLPELPKKTYTSFLFSKTHDDIEQRRVGLDKFLKLLTSKQEILSSNQLKEFLQLEEHAKI LVVNQPQIVHEFCGFLHGVRDFNLCIGQGILFVVNSDYSIFNRLDAYLTNMKGPWEKEDG QQAVMPVGCIECWIQQQDGLYTRQWAKMYNSQAICCFWDPSSFVLLVGLDSGSINYLEVP YSQGFKKCETDAEIQQHSSKVTGLYYDNERCLIHSVSKDKRYKIRNAVKSILIYDNEISP YELTDLVVSKSRRKSFISDKNGFIYMFDVSEDKVVQLNFINTNIRLLRCLKLDSSQNLLM AIGQETGEIVMVDIGTIKSEKQLRWIGQINTKVKGYKFCWSQKRKELFVGTSDGTVQFWD YDTKQLIFVMKAHDSEITQMQWIEEDQHLLTSSRDKEIKTWLLPLHWRNQQVK >CAK83484 pep:novel supercontig:GCA_000165425.1:CT868474:153176:154118:-1 gene:GSPATT00017813001 transcript:CAK83484 MKSKAFSQSCSIEGRLRARSLNASYYYEFAQVPKTRLSQATVLKTDGQEKSRRLLFHHRT MLIDKLVQRDKKSQKQRVSRNKMYEFKESQQRRLSCHCNECGKRSNKMNKFHNILPKSEK SIKEFWSSSNLQPQQQSLLTISTKSLKSTTTLLSIDMSPHKIRPKIESPISKRLNSNYKL ISTPSINLKPYLWEQSPQKQANQHLKTDSTQLILKPISLRASAPNLETFDYSSKHSSPRR GQSNQNIKQEIQVLTQRNFSKRMIQTCYLKTFSGIHLRNTLLSTKKEIKH >CAK83485 pep:novel supercontig:GCA_000165425.1:CT868474:154159:154774:1 gene:GSPATT00017814001 transcript:CAK83485 MDIIYKNKAINSHVKSSSNSNMMASPFSTNTPLTCRSIIQLVPLIKSSVKKQEMNKFILK ERREYEKRKQIVESGQLTQRGMTKYLKPNRLKWQKNELKLCDTIIETKLKIADLILNSKG GQSRKDILIEKIQTQIESPRQIISTRTIKSEHNVQQFMDRIKFQTIPFKLRSDHKSPSKI NQSQKIIQNFTTTYQTE >CAK83486 pep:novel supercontig:GCA_000165425.1:CT868474:154840:155972:-1 gene:GSPATT00017815001 transcript:CAK83486 MEVSLNFTEEPNPVVIGCPQGFPNYSNIQILTKNGEDVSEPQTRKVIKLSNDQMTWIGRQ NHISSNNFVGVHQGNTISLHPVLLQATLQQQIHKQNKQLQSRNSALEDGLTYFEQRKMVA EEMGTNKSRRKMRQMETNKVEEENIVQAESIKEKFNQKQQEIQEQLEVEKEIHQMEEAAI KEELLPEFVKGNVPVFQIYNVRSIVSEEDMELLDTSKLQVFVSTKREDTLHHYHPQVIQI AQGLIFDNKTKLTILKYLEYLNILFKFQFKQVFQNKNAQNIAHELGIYNHKLVTPILEKF YQATPGQGENVFNFSRSNFLKDNIAFIHTQILI >CAK83487 pep:novel supercontig:GCA_000165425.1:CT868474:156124:159376:-1 gene:GSPATT00017816001 transcript:CAK83487 MAHQGGVKQYDSKRDKYLKDYYQNVLKKQKDKGTSRFHTESNRQPNNSSVLSLNQSIQLH QRPSMRQNQLNRVYTQNQYPDKSMSPHMHTRLQNTPKNKREGGVSLPKLDSTNSNLQKPV TREQLLQFLDQIMFAVENVQYIVSQYENPPSEIYQSQPVRQMAKFKITQEQQTDHSYIQS QLKSKQQYVNKVNKKTQTPNKQMRANSQIVEQHYINKKTTKIKTEFTEESDGTEKRPTRF PNIVQSSQKQKLDKILPQNRKNRVQSQVISFCYGNQKEDELIQKENTNKQISSNQTQQNS DQSNIIYESSQQNQTQNHTDLKNKRHVTPQNNKQQTYLVETQKKQQRSPTNSAHQLQKTN QNAMIIQHQNKQEGNQSIQQISSNRYSLSDFEDGDPEILLSKSSFQDQQMSQKKQVNAQK TPKVKKRPIQIKNTNQNDINNHDQPKQFDQKTIEMRQNNNEQSSKPQQLQANSNQGDYEF SNEFLSEQTKQLTKNSSNTQNKQQINKLSQKQNSSKNILQINPNPEFYPDNAQKQEKQHN NKQNEGPQQNPKEEDKINKQNQNKNSKEKIQALPQNQHQNQNEEDKKQQQNQQQNQDKKD QVHQEDKLNHQNQKLESQAKQIDHQDQQEEGKMNQQKDNTNIEIPKEESSHKQPDIKQTD EKLENSQISQKSQKNQQQIKIQQDKQQLNQSNLSQSKDQQNSKNLASQKQNLNKSLDEQS EVISIVKSIYVKPHDLVKQQSDHQSVDQLAQNEEINDQQKQSNKSQNEKLGNNQEEQFKD KLSNQQLNLKSNEDLGKSQLIHEIQSDNKVEKKDNLAKTQFQDNQSNQIKLTQTGFKFQP KSLQQSGAYQIIFDKDKYKNKEKEKSQINDDDKQNQHQQISDQNITKNKKYQTQKSLQII GSNQEDSNQNKNIKSQNLNDNQKFDEEFLQLLNKNKKNEQNNQITNQMASSQNNSKQQSN EKLQKQEVTEVEPSQNHNLNQQEQKDIALTKQRSQHSDNNDSLRQSQLNNKELEDLNKGD GNEQNKIPDEGLKQSRNPDEGQKEQKIQENNNSQIQDFQVEKMLKQNPQEIDKN >CAK83488 pep:novel supercontig:GCA_000165425.1:CT868474:159501:161666:1 gene:GSPATT00017817001 transcript:CAK83488 MNQNFFDSANLKQQLYKALSKYNGPTTSTPSILSEYLSSAKTQTSNNKYNSNKVKPLLSP QNINKPIQNESLRIKTSTLHFPQKQMVSHHIKSLSSDIKQQPQPPIRRRGRQEKMIFQSD SSQAPFLIIQNKVRDTRRLDTFRKSTLPSLQLESQRSIQNTSHRITDVPLVPIQQVTEIQ DSKSQLFHEPEKICLDQGNALIENQFLDENQKASELFNLLKRKVSITEPNDLIGPHDPTE QHEEILKTDKEVTWDEDELQSSNAMKKLSVKMKFKNVVSQQVQNMFKNRELKSLFTVIIS PEFSSKHEEERQKISDQFELGNFILAKNLQPTKDNCKSRKTIKCIKEKYNLKYSHKINTI VQSQLQKLHTTNKAFQRKIQMIKSTQWTTKECDQPQQQQFSLLNKFKKQSVFSNQIGLGP VLYSNQDNILPNLMDDTNKITITITNTLLQDALLEFNKPRFIVHMDSIIDEQVIQPISPS KKAIQHSSSLHSSFKLQKVQNSASQSVIINKDLISNHNAYNSIQNTNAIDENSNLDFNQE YSKSNLYVRLYYQNRLHKMPQKISYTQHHLKEIEDVFYQYSSMITGSQMQVFFIRISSFQ ASQQFTRYSKCIEIFNLFQEVQIVLERYDVLQIRRQFSFDFDNSISLLSSQSDQSASFDK ILEEPNQNQDCKQMIDMRAKCKTLFNQ >CAK83489 pep:novel supercontig:GCA_000165425.1:CT868474:161728:162942:1 gene:GSPATT00017818001 transcript:CAK83489 MQVIYSNLEISTGLLNTIKKVKNKFHAPINIQAILSVLEQSTKNDLLTFHMMEQSEEQIQ KLIHGDFDTNALKNLDYFYKQSALRKMIYNGYELRYEKILIGRYQSQQRMIDVEHSDLVQ SSILEAPQQHISSSQQSQQSQQSQELLLLQKQKLISLKQQGRSRNLQRDSLTVIIKPKIN SRQTSNSPDITNVHSKPTSQYTNQLTNNIFTQASTQYLTKPTNQSQQSVKVSSVQNLEEN LSHLEMSPQSSLKSIKSKSAIDSSNIIFQTNTQNIRKSQNIKDFDKIAKDKDKISQPKEQ IEIKEEIIPSNRQKPSNVSLFQQPILENKTLSMFSYSLRMRNQALGHSRRQNLDQYKILE QLIYDNDIQEVIFILRIVFGTYLISAFNVPRQKIREQ >CAK83490 pep:novel supercontig:GCA_000165425.1:CT868474:162987:164018:-1 gene:GSPATT00017819001 transcript:CAK83490 MNSVPFQDILNKTNKTRCNSPYISSCTSSIISQGSSKRQSAVYSSNSSYAESNQKTKPDE KTINQLYSLFKQQGFDNINGLLKFLDAQRDQQARKSTSPMLRTVQDENDRKKFGIMINEA KMGLNQLEHKIINLQNKQESRNNMTQKYKNLLTEDDENHEYLYENRQDYKKLYTQTLNEK EQLQKKVDQIVQENRQRDDKINSLHQEVELLKKQIQGLLILKEESSQSPRPSISGSDYTF TLNVCNSEVRGDKPIRLQRFFAYLKLVDKTNLIVEIIHHHNILQAFLPILFRILVLAPAP ISMSAILQWPYERAKWTAVFPSSCLSKKIHFKCQYLLPSQSVL >CAK83491 pep:novel supercontig:GCA_000165425.1:CT868474:164484:166432:1 gene:GSPATT00017820001 transcript:CAK83491 MDPSKTQMLKLSQSTKERVEAAKQYIEKKYQKLLYEQKEKREKWEQLIQKLTNLNYTQIE QQVIKQDLFHKEAEILRLQRQKLSIKDFESVEIIGRGAFGEVRLCRNKLSNEIVAVKKMK KSEMLYKNQVCHVRAERDLLAASDNTWIVQLKCSFQDEKYLYLVMEYLPGGDLMTLLMKK DIFTEKESQFYMAESIMAVDQVHKLKYIHRDLKPDNILLQADGHIKLSDFGLCKYVESRG TRLDERISVHKPEDKGSNTTTFKRNRIKAYSTVGTPDYIAPEVFGKSGYSETADWWSLGA ILFEMLVGYPPFFSDDPSSTCQKIINWRKTLVIPPEAKLSPAATDLILRLMTDASNRLGL NGVNEIKAHPFFAGIDWKSLRTKVSPYIPEIKSELDTRNFDKFEEQEPWVPQDSGKSIRK DVNFIGYTFNREVEVQRSYLLQALLDLDALQAQKTVSPSICIQVPTESTLSKSQEKKDPF AIQQMPINLQLDSDLQSKLLKTQKQLQNNSSKPVLTTKQQTPVSKLQQQLSLSPTHQGFN QQNYLKQLISPQNKKMAISPQSKPVSEHQNPPLAQLYKQFELQKQASNTQRAQPKSQIQI PINNNIISKK >CAK83492 pep:novel supercontig:GCA_000165425.1:CT868474:166512:171195:-1 gene:GSPATT00017821001 transcript:CAK83492 MKNKDNLSQFAKKSVFAKIDNSIIEDQQQQDNDSKTVVQTLQMEIANFQDDNDKDDLSDD DSPSNNIDFTQIYRNEMVAAEQKVPISQPSEQIEENYQENQFMISKDTTYQRQENQAILK QLPQTTQITSVEQTQRTEQGLLEIFKNKSNKIIPEPQNKESSPKQQEFYPSTIYENYSSL SQIFLIHIFNYMKAAQQLIKVQGIKLNLTHLPKQDEDDQIQPNFSKAKKLFNLKISEQLT SFSLFRTFFFHDNKWLTLKIVLLAMSETYSRFVMSILTQTLIAAVKNGDRQDAFIQAMVL GLLSLIALISKHYQLYIINNFSTKMRMILTNFVFDRILELKGYQINELNMGKIMNLVSGD INAIEFQLNFVYSLAIIPGSIIFASVILWLRFDGPIGLIAILICIVIYPLQVFIQKQVQR VLTRAKQKQDNRISSQNQLIEGIRLIKMYVWEKAFSDKIQLLRKEEVICYFKIHFLNLID RSFNYSVHIWGSFLFLLIIYLNDIYIDVSSIIGTIQLMSMIKYYCVFQVSYAFQAFMTLN VIFQRVVQIMTSPSSMSEKKRHILTSIENGNVIRKANTIHIKGKQSERNTVKMQSSVIKR TPYVTMTEVGLRWKARSFPVLSDLNMEVIPGQLIGLIGRVGSGKTTLLLGILEELPQIDG EFSVKKNTSIAFVEQDPFIYTGTVRDNILFGKLFELELYRKVMQVSCLDQDVLSFQDGDK TQIGEKGANLSGGQRARLSLARALYSLADLYLFDDPLSAVDSKVARKIFTYAIKEFIFKF QPQYQQEKHNKHHQAFQLSIPAVILSTHQIQFALECDYVIILDKGTIITQGTYKQVKESL ALFNSTIVEPVKKQLVRKQTRLATLLNKQQEKVEKKEIVQLFSKEELNQTDASWKTYKRY FSYWKPVFMIAIILGQNVATEIINNYYYRAMALYDETNRSANTQLFYNAGLLVLAAYCNN IIKYFLNIVGVLTSNNQIHNQMLSRLIRAPISYFDTNPSGRLINRFSTDLSLADNQIQQI ITDIFEQGSQFLVSLVTIAILQPFFSFPLVFTITMTIVIFSITRQVVSQLKVCDLIQRSP LFDQFKVCLYGITQIRINNNKEWVRDQFNNLCNQSMQANLIFSYSQRCFGFYIDIFGQFT NIVGIFLIIAMIEDPTLFSQALLLLSTFNTQAGTLRQFMAFDSIMNSVNRMFEICDIVNE AQVTQPIDEDLMVMGWPERGRIQFQNVEMQYRTDMPFILKGMSFTIEGGEKVGVVGRTGA GKSSIIQTLLRITEISPSGNIFIDGQDIREVGLAKLRQEVAIIPQVPFLFKATIRQNLDP LNLFEDSKIWKVLKDTGLVEYVLELPNQLQAEVQPELFSIGQKQLICLSRVLLNKKKILI LDEATANVDMATDAFIQNTIKEKFNDCTIITIAHRLNTIADYDKILVLEEGKVLECGHPF ELLAINTKTAVGIDKESVFAMMAIQTGVKNGQAIFDLAKKAYLKNILKNQYQRAESMLQS SIHFKQQNFTSSIFESQQLLQSNTPRNQVH >CAK83493 pep:novel supercontig:GCA_000165425.1:CT868474:171473:173029:-1 gene:GSPATT00017822001 transcript:CAK83493 MLDSHLTDTLQLLRKQPQHRCKAEIDLLVQLTQELPFFKQYQRQENGLIIHRECCKYMFI EKFQSTEVVFHLDTIGTKFYVILDGQVEVLIRRRGFDELESVRILKKGESFGELALIHKQ PRLATIRCVTDCTFAILDKQQFQKILHYEQTKKIEQNIDFFSQISIFNQLNRTQLTSIYL NSFLYEYEKNQVVVQEGEKSDSFLIVKQGLFQVRKQTNKSQPLVVIISYKLQLFEIGEMQ VFGFYHLYNKIPYEYSIICLSSKGWIYKIHRNSLIEKIFESYSQDLDKHKNEINFYANYK VSQEQSTHLKYPRFFQNKTEIDEELDTQQDQVSTKFSKNRKWKNFDNKTRNQMIQQKMDN LIEQQRRKQNNQQITPQTNGLFQIFLKSHRGLKDSTLNLRQKSPSVLEGQNRQFGSKSHF ANSEEMSINPFITYRCGSPPLKDDGVRHSILKTSSSQRTILKQPKQSINKFRIQQQNHLK SSFICYNQIQLSPKITKVNLYSN >CAK83494 pep:novel supercontig:GCA_000165425.1:CT868474:173176:174897:-1 gene:GSPATT00017823001 transcript:CAK83494 MFIIHTKLIAQFAKKQALKSMFAPIQRSQPIKESEEFKEVKKLFSKINDEDNIKQSILES YQPQHILKQIDTNLTNYFQKRAVDIKSVNFLLQAVVAQNKFDQIDEIQQFMDNLKIKPNQ QTYMCLINAYGKFRNVEKAEAYYHIAQKQFGSSLHLYNTLINVYCKNLQSENALRIFNDL KRDGFEPDIPIYTTLINSFYRVKQWKKCWDLFDEARLESHLKPDENLIGLMIEICADTHE AEKAIQLHDQMNDMEFRDTTYIYNSIIKALGSRKDYAEKALEFYRKMVLTSVQIDSDTIV CTLKACSQCGDVKIAHEVIKQMKQYQITPNKYIYTQIIRVYIGACSLPNLDNKIVEEYIK DAWKIFNEAASKQMISQRTLNSMCELMVAANRLPDLEGQVLPLFDQYQIQKDQFTYEQII KILFNEKRLLDLYRMFEIVSTKMKPTFQSVSNYLDTAILLADEEKVVDALEWFKKIERQP KYKQIKQLGTMKNLPLRIHALLNEYDAKFGKVSDSVYRMENNQRSAAKNVG >CAK83495 pep:novel supercontig:GCA_000165425.1:CT868474:175041:175740:1 gene:GSPATT00017824001 transcript:CAK83495 MEQLKKLIQEQVEEQDIVEDLNIDGVKITKFTEEMAALFTQHQQLLGLSFEKCGLTTLDG FPKLKKLQNLEFENNSLTGTAIKFIADNFKELINLNLSQNNIKSVDDLKPLASLTKLESL ELKDNPLTKEAGYHKKVFQLLPSLKVLDNKNEKGEEVLDDEVDDEDIDDDQLDEDDSFDE SEVDDEESEEPVKPTKKTKK >CAK83496 pep:novel supercontig:GCA_000165425.1:CT868474:175741:176886:-1 gene:GSPATT00017825001 transcript:CAK83496 MYKQLKVLDLSRILVGPYATMLLSDLGAQVIKVESFEGDETRKWGPPFFNNQSTYFLSIN RSKQSICVDLKKGSSFIKQLAQQSDVLVENFTSGVMDRLGLGYDELSKINPRLIYASVNG FGSNNNQPGFDYIMQAETGFMHITGEKEREPMKVGVAIIDVLTALNLCNGIQGALYQRIE TDRGCHIQTSLYESAIASLVNVSGMYLNGQKDMHRMGNQHPSISPYGTFKIKNKYIVIGV AQDNQFQSLCKILNLNHLTSDEQFKENKGRVQNSEKLKKIIEEALEIWDVEDLIEVMKKN KIPVGEVKSVGQCLESSHTKQLNMVMELENGEKAIRNPLSFSNINLDYPKQAPYLNEHRD EICKQFGIRNVDELIGDGILK >CAK83497 pep:novel supercontig:GCA_000165425.1:CT868474:177108:178955:-1 gene:GSPATT00017826001 transcript:CAK83497 MSKCEIECCDKGIVIDGKCLCDIGAFGDECFDNLQDIYKAPYYTFQGVYCAAFVFILFIT IRQFQASLKTSKIPSYYTCLQYAFALIGSPQNFILVLTIVMSTCKLIWLILDPFEIYKGR TIVIERLLSEVVYTLLFYIYGCLLIVWYTMYDEISFNVYQDKEKRKFIFKYYKETLKFRL FVVFLVQISVSTMNGLRKGVQYPAFLMFCYLFLLVNFFIFIFEFLIYGRSLQKCIREQIH NCKRQYLEQQKNNVDQIEQVPQNDSICKSPESMQRYLRVSMQVSNEDKKSEIRDEIKEDV KQIEQVKPKLGVTKIKSVSFATTFIKGMRGSTFFRQESQKQLKSLQNKDKRLDPNLNHIE NEFQEEQPGEEINSCCLKEDQQNDIKWENDDDRQTYQETIKLQIKAVKETKQMAEKRKQQ ETLTPKQKSKNANKQLNYITNSIQDQKTQQLRYQSDEHQEKYNNKSANLIVDGQILFKIQ LLVYFGIILEILFGVLSITVLLTDLVRNPLGQLTYLYGSSTLQFFSLITVLKLFQDIKSQ EIKNLIWIQKVGSKKNKINQHFIFSIPKDQKEDEQQQKLEQRINMITLY >CAK83498 pep:novel supercontig:GCA_000165425.1:CT868474:179105:180613:1 gene:GSPATT00017827001 transcript:CAK83498 MIEEEDVINTTQFNKIIQISYMGGKLSKLQLQQMNLEKIINQCISFIQDGASFRECTNYL HGLAMVYLKQYQLLRQEILSLQNSMMNKENFVEVEKKDARSKNKQTKTRPNQQKEAITEL QEHSNSSRDNPRNIASAERLQLDSQKTPFDINLYLRKEDSDSSNGFGTANFILKDVQSIE KQSLKEILNNRRNQKEQSQASSKQTPLLPQIIINDADNEIQEEQFQFQNEEDEDEITDTR VEVRHGNIMRALEEFGISFDNNQQAMNQTKLTDRSKRQTKKQQTTLSKQLDHQDKDRVLQ ENYQRNLEEFIKYQDKMDDLQIKMDLMNLQPEFWSKQDDLIHMINQYEIPLNQTVINNKN LPLSSYSKMQGMTTIENSNNQFQGINSFDDQEEIPEFQSMDSNRHSKSIDVDSNEYHIQE VNFLKKKLLVQLMLLFILIMFQYFKFRSEENKAKSFYDLLLMSRLGFCQIKKEQQIGNYT NMLIEL >CAK83499 pep:novel supercontig:GCA_000165425.1:CT868474:180939:186287:-1 gene:GSPATT00017828001 transcript:CAK83499 MFHKNENFLQNAPIQEFDFIESLYQINYQIMSHLTALLRKNYILWKRNCCCSVCEILLPL FFIGLLITIRQLFMLKTRAQVEIDDIPETSYLKLTKDSDLPRILAPNLNNFPQNPNQDSE DLLKSLPQLKNCIDNTNYKGASYRNGLIGIGPEENNLAQKLSTYFVDYYGYQVKWFNSND EIDDYVRSEGYDKLEETRGLCLAIMFETNDINLKNFSYSLRFNTSDSTDYIEYPLNRKDK INSFKYEDQEITYLFYENGFLTIQNWIDNLIIQQYDTSATIEPTLSHVRSRDHIEDKFPD FVNGAYGIYLALPLMIVFLRMTHGIIYEKEKKLREGMKIMGLSNTQFYLSWIIQYLIIYG IISALATVILSMFSYILSQKSDWGFVFLNYYLYCVVLIVQSLFLSVFFSQALTGLIVSIV WYLLMFLMLNLVPANAIPSSSQYWGVSFSSQASLAFSTGVITLMESQGNGFNESNLTTTI NNYSISIAWTWHIINIIAYFILAVYLDQVFPNEWGVKKHPLFFISWIWESNRTDRVSHKS MSIERMNTHDDKFEEVEQALKEQEQKNEALIIKGLYRTYPNGKQAVSNLNLSMYQGQIFA LLGHNGAGKTSTISMLTGLLEITKGDVVGYGLDVKSQLSELRKIMGVCPQHDILFDNLTV KEHLELFAQFKGVKSSEIQDQITKIIADVDLTDKTDYLSKNLSGGQKRRLSVAIAFIGNS KLVYLDEPTSGMDTSARRYIWEMLKNYKDNRIIVLTTHFMDEADFLGDRIGIMGEGKLLC SGSSVFLKNRFGVGYNLTLVKDSTSIQSQPIIDNVIKYVSSATVLSNVSAEVVMQLPIDS VDKFPNLFEYLDANLKSLHIATYGISITTLEEVFLKVAKIGAGHEQVDDVQNKENNDQIN QNVDLNIQRIEGFCSTFFLHLFALMEKRFRIFKRDIRGLVCEIFVPILVVISGLAIMTVK WIKDDDLAMITPEGLYGDLKLQTFWGGDQAGLELMQYFSNDDWTISQLSTNLEQADKEYF DQFELRESPGWYFLNQFIGSTYSYWFLQNSVFVQSSPLLLNQMNQAILRKITNTASASLQ ISFYPFPQTHSEKSIDQSVAGYLSAFIFSIGFAFIPASIISFIVKEREINIKHQQLVSGV SVLAYWASNWIIDIVKHLIPAIVSALMVLAFDIDALILDGNYGAIFLFFILYGWAIIPFS YALSFFFKVPGNSLLSSFFIHLVFGSIISIVIYVFFLIETTRDVASYLVWVFRPLPSFSF ALGLLRTSMKQFFELIFQNSITPPNTFAMRVAGEDLIVLAISGVGYMIIVFILEFFEDNG SLQKLGSNEASIPYKPKVLDDDVEREQQLCQNYQPQDQAILVKNLRKVFMLEKKQHKVAV DNISFSVGNGEVFSLLGVNGAGKTTTFKILSGELKPTSGVAYVSGHSVIDQIQDARKNIG YCPQFDALLDNLTVREHLELFAKIKGISQFHLAELVEKKMVEMDLKRFESVEAGQLSGGN KRKLSVAIAMIGNPPIVFLDEPSTGMDPEARRFMWNVISRISTQRKQSSIILTTHSMEEA EALSTKIAIQVDGNLRCFGSVQHVKNKYGQGYEVEIKLQKPHANLLDAVINQMGLSKGIR INQSATLSALQKINQAQLMNFIQPKESGSHLYNDLSRHGISVETLAEYVLVESNGRELLN FIQQQLGQFQVIEHFQTFYRVRLLSNITAGKLFSAFEKNKQQLTISQYSIKQASIEQIFN IFAKQDLQQRDHEVHIQVQS >CAK83500 pep:novel supercontig:GCA_000165425.1:CT868474:186773:187681:1 gene:GSPATT00017829001 transcript:CAK83500 MSSRDELLYMARLTEQTERFEDMVNYIKQLVSVGQELSVEERNLLSVAYKNSIGGRRTAW RVLSSIENKEEGKVQKSHFLLIQKLIPYIKSSLYHYSSQRNLTLIRSYKKKIEQELNGFC NDVLNLIDTSLIRTATNSEAKVFYYKMKGDYHRYISEYSSGDQHKQAADGALEAYQQASN VANSELKTTNPIRLGLALNFSVFYYEVLNDPTKACSLAKQAFDDAIADIEQIEESQYKDA TTIMQLIRDNLTLWTSELEDEEGPK >CAK83501 pep:novel supercontig:GCA_000165425.1:CT868474:188169:188795:-1 gene:GSPATT00017830001 transcript:CAK83501 MKSTEYKYTTTWLQPRSKNLYQIILEHQQKDVFNQQILTASCLQTPVRKQQEESQMEIIN QINSFQEISNLNIEKLINPLHLFESSISEIQMNESLSQLINYQKKEITQELNKYLTNPSI VNFITKYRILESGFAFIIRLQQNQSIQLILNQDCELKFAIRHQYHNILCTSTHSQRILKP KTLNIIKKQVTIQNVGDLSIPICFYIHS >CAK83502 pep:novel supercontig:GCA_000165425.1:CT868474:188813:189394:-1 gene:GSPATT00017831001 transcript:CAK83502 MSINVLLLIRIENCFAFYRFYKQKSRFEYEMTIFLATVKVVNSFQAQTPSGSIYIIICQW VFDLFLLCNALCYALSFCIIITFVLIVIFGLIRGDFPVVNRGLSPEELLKLTRKPWVEYQ VPQPEDCPICLCQLEQEEIVVQLPKCNHYFHDTCIDEWLNAKPLCPSCRNNVRMALLND >CAK83503 pep:novel supercontig:GCA_000165425.1:CT868474:189669:190408:-1 gene:GSPATT00017832001 transcript:CAK83503 MKQLEQLLYEGIVNEMNQSHRVQFKYLMIYRLFASIFMTYALIQVSVVAPTFSQNFLYLT LWGGYTTYFYFGLVSIENVSFYKFNKQFFDESLWKLCHILFIVAFCFELPILVIYWSYIF PQDDVHTLNSWLVNVDVHFVSCILIFVDFILNDIQFQLKQSVVLIVIAIIYLIVNMFYVL VSGIEIYPGINWRNGISYIISVITVGIMFGVFQLGLLYQNKVKKPLMMNKKEKQLMTE >CAK83504 pep:novel supercontig:GCA_000165425.1:CT868474:190437:191969:-1 gene:GSPATT00017833001 transcript:CAK83504 MNSSDYSIFEQYPTRMRSLWIQGQPTYSEIDRQLRQSPNDSPIYIKDQCDQLIARPQYQL VNQYLISTNCFVNISNLNLKSITHNEVQGLQLSGKNEFFQLFGEEHVIQKWKSYIAKYTI QRNFLKHYKILKLIGQGSHAKVYKIQKKQDSSIFAVKIFKKAKIVQKEKGASLVLEIDVM RKLDHENVIQLYEVFEDSEKVYLIIDHLRGGDLFKKVQNSLEDYSEHVVQNLMNNILNSL KYLHAKNIIHRDIKPENMIFRTKSNMIDIVIADFGLSDIYNENKIYLFQKCGTVGYVAPE VLKDQAYNHKVDIFSAGVILFVLLTGQMPFANYENSEELLMSNYFCRIDYDKFHNKNISE QAQSLVKLLLEENVSKRPTAEEALLHEWFTNPKTETFCNLPVNLVPQMCICKNQDNIIKS STPLWGQKGSFCDSPLLQKQNQNEENQFESKYFKSLIQSQNDYFVIDDDFIEEDKTLSTM VPKYHMLIRQRSID >CAK83505 pep:novel supercontig:GCA_000165425.1:CT868474:193339:193970:-1 gene:GSPATT00017834001 transcript:CAK83505 MNQQQAELRIIKLKIEKEIEQIDQRFANVSSFFKEIFEKENDPDEIIEIPQSCVTYKAFV YIKKYYEHNKFEPQKIMGGALNADQLFLNQHDKELMLSVNPFIGELLKQLIQAAVYFQLE AFKKLCLARIYYEFLIDPTDPKWLQKLAAKYPEVPPLSIAHLEQYKTLYPTVCKEFQ >CAK83506 pep:novel supercontig:GCA_000165425.1:CT868474:194060:195333:1 gene:GSPATT00017835001 transcript:CAK83506 MEILKQFEAYLISKRFMPKWTEPQLLRMLYATKFKFEKTYAAIQAYIQWRNQAFPLKENQ ETTKFLSSGSIYLHGRDNRFRPIIVVNAIKLAAQKNIDITLDSMTIFLEHVLSNYMLPGQ IENWVVVMDLGGLGITSLPRQQLQRVLDYLQNNYRSRMHKCYVINCPSTITFSWNIVKGF LEEITVRKISFEKSSIPTGLFEHCHKSQVEQKYGGISLNIDNKFWPPHEISEQYFLPTDN ITDILISKQQYNQLYQFGKLSKNRLCKELLEDMRQSLQNTNTEQHLDKKMKEEVPDPEEE QQVINDLIQNNQGFYKPRVEESFDMPLCETKIQLPFNFIAHKKMLKY >CAK83507 pep:novel supercontig:GCA_000165425.1:CT868474:195447:196581:1 gene:GSPATT00017836001 transcript:CAK83507 MGNDCSSACNVCKNSETVIDATSFPNDLKQYENTKNLDYTNVSNQQQQQSLQQQNFSQFQ HQPLVTEEMHSPHPEQFRSNSQLQQYFSQADKQETTNYQNDLNAYPERRPPFTFKSGAIY DGEWRGQVREGFGLQIWPDGARYEGQWKDNKACGQGKFWHVDGDIYEGEWKEDKANGFGV YIHVNGAKYEGQWKDDLQDGNGVETWADGSKYEGSYKEGKKHGFGRYIWNDGSSYEGNWI DNKICGRGIYCWTDGRKYDGEWLNNNMHGRGVYTWRDGRRYEGEYQYDKKHGQGVYIWAD GRKYDGQWAYGKQSGQGKYHLPDGTIRLGLWEDGKRVRWLEDDASVNKSNQQRY >CAK83508 pep:novel supercontig:GCA_000165425.1:CT868474:197153:198197:1 gene:GSPATT00017837001 transcript:CAK83508 MQENQDSINQDRNQQTNNSQQQQLEQQNFSQVQHQPLETEQVESTYQEDFNSNSPLQQLL SQDDRWETNNDLNTYLKIRLPINFKIEAIYKGEWRGQVREGFGIQLWPDGARYEGQWKDN KACGQGKFWHVDGDYYEGEWKEDKANGLGVYIHVNGIMYKGQWKDDLQDGNGVEIWAEGS KYEGSYKEGKKHGFGRYIWKDGSSYEGNWIDNKICGRGIYNWADGRKYDGEWLNNNMHGR GVHTWEDGRRYEGEYEYDKKHGQGVYIQADGRKYDGQWAYGKQSGQGKYYHPDGTVRLGQ WEDGKRVRWLEDDASVNKSNQQNQ >CAK83509 pep:novel supercontig:GCA_000165425.1:CT868474:199524:202825:1 gene:GSPATT00017838001 transcript:CAK83509 MQQQYSKQENSRDFEEFHNIEELKELFLLNSINDGSSFQKVLKLGGDQGLAKQLKSHLLK GIDSEAQVQENREKFGNNDPIEKEPAQLCELILECFGDTMLQILLAAALVSTIIGIINEG VKTGWTEGATIFLAVFLIVSITAGNNYLKERQFQQLRRKLDDGMVQVVRGGIVEISIKEI VVGDILQFGIGDIFQVDGLMIQGSQIKVDESPMTGESDEIKKLPFNEMTQSQSNSKDHHH YSPFLISGTRCLDGNGYMLVLQVGQNTIQGQLKLLLNQDNPPTPLQQKLEGVAENIGKLG TLVAILTFIALMGHLIYDVFVDHKHELLTLLSLQLIIEAFMIGVTIIVVAVPEGLPLAVT IALAYSVGKMKDEQNLVKNLASCEIMGGANNICSDKTGTLTQNIMQVTALWIENHTYMNQ EINVTSKISRQSIEIMSESICYNSIANPTKDRDTNRWTQIGNKTECALIELADNFGFKYS NYRLNERILRQIPFSSKRKKMVTAILNPKNQAIRIFCKGASEIILAQCFRYVSTNGVEQV LDKVKKDEILHNIIENFASHSLRTIAIAYKDLEPQTHVHQINEDDIDKDLTLIAIAGIKD PIRPDVADSIRQCTKSGVTVRMVTGDNLITAQSIALECGILEKNRAQQEFEVIEGKKFRD LVGGLVSAKNEEGKEIKVVKNMQIFSKISREMKVMARASPEDKYLLVTGLIQEGNVVAVT GDGTNDAPALKKADVGFAMGITGSDVAKDAADIILIDDNFSSIITAMKWGRNIYDCIRKF IQFQLTVNLVALFMSFTGAVILKQSPLNAIEMLWVNLIMDTFASLALATEPPSIKVLDRQ PYRRSDQIVSPTMYRTIVGASLYQIIVLTFILFLLPKFIDCSIPEELIDQKNVVQMSIFF QAFVLMQVFNSISCRQLDYHTRNPFANFCNNPLFWIVQIITVIVQVLLIQYGGKYVKVSH LTLEQHLLCVGLAVGGIIFSVLFKFIPEGLCQKIHLFREEEIKTEKMDYTLTSKLRRKST MRLHTSQRSKHDFGSLNKMSSDKI >CAK83510 pep:novel supercontig:GCA_000165425.1:CT868474:203155:204473:1 gene:GSPATT00017839001 transcript:CAK83510 MIFKREDVEFTYKYFVYKYIYINIVVLLFTLYQFQGAPFALPLGCMILNELVIWIKYSGG QITDNVDHVLHILFYMYVTVIYYLDLNYYIFSNTFVVFSFIFKIACDKKCEEHYEYTTII RLSKIFYRFSQLIAIFCITLKLNRYVDWTWSQTFWWYWMFLSALIGSAITFLLILISKLI RIHNSAIINHYKNESIALQSNLVKILLWLLYIASLSSLIAGIWIVNTLNLLGNNINIEIG DFYMYITISLNILIFFGISYLLFNSIVEFVISINQVESRDSSPKITTQNTERQMKKELTT TSIFMQKLSNAYFKQIKDLEGVTLKDTNQNEILTERNVNNKIIKKNKTSPNNSNNKCIIC CEKPKNAILMNCGHGGICYQCAIQMAQKQKECFLCRQIIQFIYEVDEKDASILKRVISKT RISN >CAK83511 pep:novel supercontig:GCA_000165425.1:CT868474:204503:206132:1 gene:GSPATT00017840001 transcript:CAK83511 MKSQFEVYLRLKPSNKSQSQNAMQYQIYQNKKLQVLLPKHVKFGLINNSRDNLDFNFTHV FDQKSSQEHVFTNVTTPVINSFLDGYNATIFAYGQTGSGKTYTMSGAETWQLRGIIPRTL SYIFDEIDKRNKFEYKIYISFMEIYNENAYDLLEKKHLETPLEQWNKIALYEDDQNNIHL KNLSIHQCNNEQEGIDLLMMGNFIRQVSSTPMNQSSSRSHCIFTVTLEGYDTTSETCFVS KLHLVDLAGSERISKSQVEGNLLNEAKYINLSLTYLEQVIIALNERMKGANRQHIPYRNS LMTTLLKDSLGGNCKTVMIATISSENDNIEESLSTLRFSQRVGQLENEIRRNEKVDLEAV VKRLEQEKLVLIRELEQYQRGGASTSSNKKIQSQQQNLLPQSNGTPISQKDIQEKVELYL NERIPMLDVKSIEETQKCFEAMKDLYNTRMKEYVTELTFISEKLQKYDEILTRKKEQSSF LEQKENSSPEAEIYRRNESPQITFKKRANQ >CAK83512 pep:novel supercontig:GCA_000165425.1:CT868474:206175:208048:-1 gene:GSPATT00017841001 transcript:CAK83512 MDFEFPQLLDPTDNEPFILVFESKDVSNNKLLTARHVTKKLHETAGKSELVVIQDFLQDL TKKSPLAYVPDAESQSLVQNFKSKKQEYERQVQDQVNSFKQLNQKLQQGYENACNNIVSQ LVGNAQGESSNVQKAFDSLQTVLKSDPEQEASIPKPKEIVDKISQLRAAPELPEYLFTTT KKVRAWRNAPEELCVWNKTKEQFYRENLEQSLNQNIQDRTELALKFWNSQIKKLGDQLLN IIQEDSSIQQQNFVNLNIETAEAFALLLNTMITTETRIILHIGDFTVAESKSIAESIQKL TSILNLQVNWKVSGKASGLSHIAQAISQAEQLKVLTFSFSQQVQANSAKDFFTEFKDVKL PNLEEVNVLAEQSNVNSAVQHISKAVKSVGGSQVKRVLFNFSQSQIDNKSAQQLGEALST YKGVKKLTLNLSGYSLQSNTIKDDGFSGLIQHVSNFADSLVELTINVGRNQLTDKSLADL NKQFSANKWVSLKSVNISVHYNKITEQGARKLGKFLHNLPILVNLSVNLNSTEVNHKGLS FLINQLGPQVNAQIQAKQSNISQEEVADLEGKVTSLSI >CAK83513 pep:novel supercontig:GCA_000165425.1:CT868474:208968:210350:1 gene:GSPATT00017842001 transcript:CAK83513 MFQREQRNTTNSGYKSSSNAYVMAMKALQEKIKLMETQKNHLQPCNKMKINDEENLSQQI QELRNQNETLSIQLQRQQNDKENINNYILQIEALQQERLIQLKEYQDRVADLVKKIEDGK KERSEMQSQIDQLQKQLEQYKLNERGLMQKVDQQKLDENQKQIEQILDLKHRLEQGQTYA KKLEKRYEKLQNEKNQLESNYLDYRERCPIFKLQEYEKQIQIYRGQLEEKERAFLRMMEE IQNQRQSTEDQLTRRIQELLNKSNEYQSKIHKLTIELKDLSLKHQQLQLRMEYEEKNNKF NRKRLRNVSSSEDPDQDMPIHNPTQQQINNHNQKVAKLNFEYLQPQMSSPRFEQSLVKSN VLKQAIKDCLEDMKQTTPFKSDLHFQADQSLLQQHSKKKLLSPPMSARNNETEYQLKQLN DRYEQLIRQAQKESDFKQKAQIRKELLDIAEQIKDINRKQ >CAK83514 pep:novel supercontig:GCA_000165425.1:CT868474:210381:212339:-1 gene:GSPATT00017843001 transcript:CAK83514 MDFHHKNNEKNNKYVNEKSNYDRNEKHNERNNDRNNERNNERNDRYDNKNDRKRKDRHQK RKNRKYSSSSLSRPSSSVSVSVSQSESSSSSEKVPRYRPKNHDNMVLLLENLPENLTKQQ LDSAFNEAAMEANVIPHEEISIINAFGQAYIKYCTVDYARKVLIYLKGKISIGEHTLNVD FYDDTSGRQRRNRHELKNSLINQPPTSYDWICDKCGYENFAKRHKCNKCLNPRNINCKIL SVMMAPTTGFTDDSLCNTSLMIKAKSIADATDSDILDIFAPLAAVKDIRLVKNKVPKDPR MKEQKDFAFVEFFSVEDAENVYRYVTQNEVRLHGDQLIIQYSRNNRASRYEDHQKPFGFY PNNQFVMQQQGPLQPQIPSQQSQQPQDIIQQQQQPQIQIPIPIQMQMPIPPPISIHQIPQ IPPLPYNQPTQTQPIPQLPIQMSEVSIVQPIIQAPYQAAQLAQERIILKQEQKLTLSTPP MNLMSTLLPKIHNPKPQQQQLPIQQQSQQQKKQQNVEETEEDVKSDKEDDQPSTLPTKTI NKVQPQLTEAQIRKKAELELKKWEKLQQTKKPEVKVAPLKPIINQVEAQTRLILYICPIC RRKFPSEEVMNYHSLNSEMHKQKLLSI >CAK83515 pep:novel supercontig:GCA_000165425.1:CT868474:212425:215157:1 gene:GSPATT00017844001 transcript:CAK83515 MKAKLDYWLKQYLQIQEFNSLMVYKQENSWVQIEYFRERFYSYSTFTNVYHPYQFGFQVF WNINEQYLFSFSQLQASVLYNFILKMKMGLLIVMALFICINGIQQSNGILDLQNLKAHQL FTENVQFDQEFDEIPQVVVSIKQIIGDQFELYTRASNINLRGFDLQIISSSNIANIKINY LAIYDNSLSVICENYQTKKDEIKVPFNIEHSQVAAFITGLKLQQNENVYFKLNSISNSQA VFQTKQKAMGLCLVFGDEDKIAHQQSLITSTLDDNKLEFNVENIEDSIILPLDNQILSFD DIVNKLSIESKEEQSIQVQDEEEKYLDIDSIQIETLGINTIQQNIEDEVIQSVDIQFLQP EKKSVQDELLKIEENHIERVQEIIGKAVDQQIQLEEQEEKKFLTQVNQANVIDMQNIQDT IEQEIIIQEAYELVPQTVDDLDMDALYKEFTLSQQLNDDLFGYNKNIINQQQQQVQQQVQ QQEQQQQQQEEQQQQEQQDYQKQQQQQEQQQQQQEQQQQQEDQQQQQQQDQQLQQPLQQQ QDTLEIIESVDVVEDQLVNEVQSEIITDKTETEEQKQKPVQKHPQIDVPLFDEDLQFDDI DVELDYKKEEKVEEQISPAQYIIDVYKSFANDDADQQNQKENEDHPFVEVSTETQTEEMQ DEVENELDGLKDGLEGVDFSLFFDEFSKPDEMNVRRQTKQNQEESYNKQNQQKYEEPKQF KQPKEKIEDVMKDLQITTDITTSEDERKLITQLKQKSAELKKKLQEQYDAVPKLEQAKKQ TEVSIHPITDQYPKIESDSKINYEVESKYVEWSMSQEQSIKKIGLDLTEDDLRNPRFSLL QMDQRSELDIQRQINEFLGFDDLSFIMIKQNLRGR >CAK83516 pep:novel supercontig:GCA_000165425.1:CT868474:215189:216864:1 gene:GSPATT00017845001 transcript:CAK83516 MNGVSLQNHLNREKKMTIKSFYIVGMIGQGAYSEVFEAVHLKSNKKVAIKKVFKESITQQ NKQAEIYIERHMMKQYSLKHPSMVDFIGSFQDKQFLYFVCEHCPFGDLGNIIWDIYQEYK RDKSQDVENLIKIYIYQIATAIIYLHKEGIAHLDIKPKNIIIDKSYNLRLTDFATCYFFE EHRQPPELIEQIKKFQQNYIKSVKRIENEISEYRSTFVGTPEYISPEMLSHSLASKEADL WALGCIIYEFYHGKQPFSNKSENEVFNSILSLNYQLDDSLPEDVSDLIRSLLTLDPENRL GYEDSEQILDHKYFDNIRNFVLWQDEIEIPSQCKILFQEIIPNQSKSSLIYQTTSTNVEM KQQLIRRRQDRLKTIMEELEETATPIPNIFKRTQMIPYNTNAPIIFEKQITYSSKSLDNE QQFTSSLKQFDKSVGFIQLNTNRWFCIPQIAILFGYLKPPCLLIDFIKGEKKYLPIDDTM KISQDGIYYILESSQFFYKFKDAEAKPINWIQVVQKIKNEYLGK >CAK83517 pep:novel supercontig:GCA_000165425.1:CT868474:216885:222775:-1 gene:GSPATT00017846001 transcript:CAK83517 MTERKQQEQDNIQVSIRIKPMFEEGKTCIRLDPNLKNTIILEGQNQQEQKFFSFDNVAGP DTTQEDIFFMIGEQQANNCLEGYNGCVFVYGQTGSGKTYTMTGTSQQPGLLPRIIDYLFR CVFEDQEKDPSVEYLIKCSHLEIYNEHIIDLLNPDLGNLQLREDLNKGVYVEFLTEECCS NVVEAMEVVQRGNENRHISSTQMNFESSRSHSVFTVQLESRRQSHSLINHRFSRFHFVDL AGSERQKHTQVQGERLREGCQINRSLHILGNVINSLVEDKEQNRYVHYRDSKLTFLLKDS LGGNSRTHLIANIQQSNLFYQETFSTLQFSKRVKQVKNKARVNEDESGSLESLKNEIKRL KQELAKWIVGLQTTSKLAESPSKQNIIMHNINFEDLNAKDQRYIKLEEILKVYLEQSTES ETALYVEIEKYLSGIKELREAFQLSSQLEQQLKLIIRLQNEQIVRLKHANGAEDLSNDYQ EELSKALLSQAAVMKKFSDSLRIKEGSAKNVEKAKLQINENVSMLNTIVTTVNESLDERK KLQKQIQQQFSQVYVPVEEFTQLQSEKEKINEKLTKFVEKEDKIKQSLDQIGITIHDEDE IKIIDHKQRDLTLDQQNEQLELKSRAVEQLNQQLFQKEQEIQQIVEQNQIHIQEAQHLNE QIGEANQEVKQLNQQLLSQQNELEQAKLQQDSLQNTVHLSKLENDQLKLQIETLKTEKQN LQVQSNQNQDDLSNSLQQQKQQNETLLSQLQNSIQEQNNLINQIHSQLKENNELKEQNLL LNREKQDIQLQNNKQIDDLLNQVKQLIQKQEQQELVYQNELQTIIKNSKVENTNIQNEYE SQIQTIVKKHQMQIEELKDENKRQLDQFVNQQESVIQTQINQLQNQIQQLNKELQEKQLQ LVNKNKEFELLKENQTKLEQQIEENKAVMKQQEQELLIKKEELNQAVQEIITKEEEFQEQ LAQVNEKQKEFEDNCLELKSKAIPEKESVIEQLRADIEQKESELQIQNEDFINQQNLLFE MIKQKDVEIKKLTEDLDDHSNRLKEASKVIDRYSNCNSELKGTIDSLNHQLEKQQQILND IKIKENTSTQIYDEKMKSLNEILQSKQNEVANLQMRLEEENKVYKQQNQQSSQQMKTTEK RMKDLEKEKINYQEEIQKKETSIIQLESKLQASLKEKEIMSNQFKNQIKELQQQLLSSNQ GVEEQKIWVIHYKKEVDKLNKEVSLSQQISQQYQSQKNDNDQIKQENQKLNKLLDNQQQQ IVSLKKEVEQHKQEKSKLVESISQQENRILELEEIKLQKQILQGKVSELQKSQQEVQQKY QQAQAQLQSVQDDLQHSKKEIQETKQKNKVLAQQQQNEMSKFNQEIIAIQEELEQSRKIQ MEIKKSEQEQREQNMQIRQNYEKLKLENQQLNNQLDEIQQDMKYEKEEVLKKDETIYKLS DQVKYKTQQLEAQNTLINQVEQNKLSQTNQILQQSNQLTNLSKELFSLKQQLQINDAQSE SYKREVERLRRELEFQEKQVEDYKQQTKKLSQQLDFEKKHSQKQKIYEASELLSKIDNTL SPIKGVKGQTLYGSSDSKTQKRIFQQKTPQREYGFQNSNSASMLNASFNSQDQLAKENRK LKQSLEQKMKIIEQLEQALLQSEQTLKEVHEKANQFCQQQEDEIKQIKDQYSRLEQEHNT ILAEREYENQQISKQKEQALFKVQILQDEVLKSKRGSVGSQHIPGSKYSETVQDMSMRET PSSSHRFRLSDGQFKSYYGVDAHSKLIYDTQKLLLENQRLNSQMCKLYQILGLEKNRSNK LEDGEKIIQSVQLLLQEVIVFKIQLLLKDCKQEIQNLIQAQPSRSLKYQSMEKDLQQTKL KYYAVERSPKFWNSSNDTPKAKFFNYSNSSGK >CAK83518 pep:novel supercontig:GCA_000165425.1:CT868474:222789:224885:1 gene:GSPATT00017847001 transcript:CAK83518 MQYLLRNFIRLPLYHYSQSTPSIASTLKNLSPSQLTQFNQLISNEDEKQLKLIAYLVQKL KPGLVPFKQVLLQQIRNKSIESNQDLEYAIKLIQKLQNPEFYLNEYINTIQEKRIHSCLI QINYKYPGLCSNEQLIKIKQQFPECTQEMVIKLTQLKQCQSKQQIYQKIQTFTPRDIPEQ HNQPEQLEKHKFVTQGKIVLRFPPEPNGYLHLGHVRSIRLNFHSAEQLNGHCYLRYDDTN PANEKQIYIDEIEDNVKWFGHKPTHITYASDYFPFIFDCALKLIKENKAFVCEQNREDMK EYRKNKQPSPYRDREIDESLRIFKEMQEGKHPESKYTLRLKIDYQHANPTLRDPVIYRVL YTEHPKSGDKWKVYPMYDFAHCICDSIENITHSLCTLEFEIRRELYYWILTNLNLYKPFV YEFSRLNVSNNMLSKRKIGKMIEMGIVKGWDDPRLLTLAGLKRRGYTPQAINEFIDQVSV PRGGNEQIISIKLLENCIRKELEKTVPKIMAILNPILIDVEIDNNTIKQVYVQQDDVKVV GSPDFYGLTPNKQVCLRFFGVILCESIISEDERVKHIKVKLVTTDLDERKKLKGQINWLD KNDCINATVNEYSYLFDTENPADIPEFWNNINKNSLRIYDVKVPKALEYRIGDRFQFERN GFYIVDSIKDGHIYFNKIVGLAEGEKKSLK >CAK83519 pep:novel supercontig:GCA_000165425.1:CT868474:224936:227626:1 gene:GSPATT00017848001 transcript:CAK83519 MQQITNQKFESLREQLATSYKQSQIFSTYKHQNQLYQSSIIKSEDSCLEYSNFEQEQEQG TIHSSVKSVKKKKQQARVMNSQYNQKEILQSVTKNIIPKIIMIQRNYRRYLNMKSFQKKL RQLRNRKYVVVEFHQIEKNYNEDLNIIVGRLMSDIRRQSILTEDELLSLFLNVEQIQQLN YKFYITIQQILQNYRHFIIISQQFKIYIPFFKIYYDYCSRFQLEYINKLRNTKVLYWLNL QAEFNQYLQNIEASGLLKGLTFESFLVKPVQQLPRYVLMIEKLIKYTELSHPDYHDLKQI QEQFYKINEQINQMMNTTQSNLKLLAQFGTIDQQEIEMIQKKQLEEEQFLKNLQQQVSIH IVSTFKQKDGINTFIVYIIQIQINNYRIRTYQRYSDLLELETTLLDRNFDIEGLQSNCAN LISENKLIKLRQESILYFLKSIFLNKQMKQYEDILISHLDLPENFFAIDKIHNETLVTMR GSYTTQMRNTLFQEDEFEIKVILPDDKNITLNITQHCKTIDLIDTVCRSIFLDRNFDFKL MIFQNNTCRYLDDNEVVYKVMKNYNNKQQNFLSKFFNGIYYSVSSKQEPKFYLKKYLYLC YEIEFQEFSKNKRRSQLLFYQELSQMKFNHSHMSQQEYVIQCALAICILYNNDVHKIKTF EQVRMQWFQNFVPLLILNSIKKAEWKRQIFQQIQNLLTELQVQKFQLSLNNEIRILEIEF LNNLYKQKLHGIKLFTVKMLQNSFLNSQKRMPIKVSLGILHNEIQIFEQNQSIPLMSLPI QQMQQLSIQNSVMTTTFLEGVITFQHQSILEVYRLMEEYQQISLLKQNLGHPDMIETNDV SQSQII >CAK83520 pep:novel supercontig:GCA_000165425.1:CT868474:228027:228479:-1 gene:GSPATT00017849001 transcript:CAK83520 MYICINLHQVYSLSFRQISQLEIPNLSKSVILANGTFLEIELSRLIESQEVSNTLYIGLY NNKSQKAYFDIIILQKILITISSTDQLIHFRLIFTIYQMNHQIMGILKGIKQQLLLLELL QGFYCQLLVLQVFGKLKNLQANNRLFVQVE >CAK83521 pep:novel supercontig:GCA_000165425.1:CT868474:229080:229931:1 gene:GSPATT00017850001 transcript:CAK83521 MGNQCKGQYCDNEYREEVSHTPLDIFNKIVKIQAIIRGFLSRRQKLILKNNKQLLIEERR ILKKFGFHKLEHILTCRILRKKNIKILVQDTQAYVGETNSQNQPHGIGRIVYSNNSYKEG EFQNGELRKGIYYFNDVILQGQFQNNLLHGRGRTKLKDGTIYEGGFERGIRSGYGKLTDT NGNIFEGQFKNGLLNGDGEFVGKDGRYYNGEWLNGKYHGRGELLFPDGQKYVGEFENHRR HGFGQQITQHGQYIGTWKNGKQCGEGQLLFNGQQIKCINGCYK >CAK83522 pep:novel supercontig:GCA_000165425.1:CT868474:230032:230424:-1 gene:GSPATT00017851001 transcript:CAK83522 MASSTISLSNVYQLSILMPRQQVNKLQMQNIKIIIRFLVILKQKLLKEVTNQQFIQKRMA MASLMRKHASQYQIN >CAK83523 pep:novel supercontig:GCA_000165425.1:CT868474:230951:231757:-1 gene:GSPATT00017853001 transcript:CAK83523 MQFTFDLPYKTNHSISNLPSSRCVGHFNLFNLSMLISQQFQLTNELLKKNLLSIYIQLYF QQSQQWLIIQSFDFLQFMVVIIRILYIKCSISFGYRLFHFEFISITSHHQYLFGAYVITM IYIFMYVAAQKTQGSKITLLTLCKELFINFILYLIMDPTILVKQKRFSQKILNSTNQMMQ YQFIEIYSVVELYSFRQLTYQKVYQAALKIMLMQTQILIFSFEFNHPFTIFIYMINSQHI IQTSMLILFKSSTQLSKYLCILFFVKYF >CAK83524 pep:novel supercontig:GCA_000165425.1:CT868474:231874:232445:1 gene:GSPATT00017854001 transcript:CAK83524 MFQGKPSKILTLLLIHQNNQILLAMKKRGFGMGKYNGFGGKVEKNGESIFQAAIRETQEE GCITPTDAQFIGYIKMDYDCEKETLKVHIFKATQFDGEVKETEEMKPQWFDVAKIPYNQM WIDDQYWFPYMLENKCFSGYLQFEGHEKLILSELKEVTNQELMSISEMESEIRLQ >CAK83525 pep:novel supercontig:GCA_000165425.1:CT868474:232487:233531:1 gene:GSPATT00017855001 transcript:CAK83525 MKKTLTRYLVEIPTHEYQDKKVYYVIKVTNLETNDYKEVKKRYSELESIHYKILDWISIF KIKIPQLQFPKKKLLFSTNLSEESVIKRRAELQQYFNDVIQHPELQSLGSIEEFIPVTKN RKLPDQNQITQATNNQWSEIEALKKSYLAKHENQVFSLPLQKPQQQIKQQYTFKFGDHAF YDNSAIYTIEITDHYANKSWKFNQRYQDLKENHRQLKKIKIPFELPEFPQKKVINSMDNS DLKDRKTQLEVYLNSIFKYHELVSSNLMVFFIAKSQLDGNEIGCRSKGPSQTTLENSSIK SQSNILDQNDDFDPKQPRKITC >CAK83526 pep:novel supercontig:GCA_000165425.1:CT868474:233592:235566:1 gene:GSPATT00017856001 transcript:CAK83526 MSYNSKTIDLILKVQEPNQKQFTSFQQFFKTNNPPPLLFEKNITPKNVKAQRSFSNKTQD SIKETKRKSLSQLKYPEYRMLKIYARIKPGECQLNFDKQSILIETNSYNFDRVFEQSATQ VEIFDVVAKQTVDDFLHNINGCLIAYGQTGSGKTFTMFGESNDPGIVLRTFTHLFKRNQE TIYVSILEIYKDHVYDLVSGVQDLKLKEDLQLGFYVDGLKKIKVDKLESCTELLQIAEEN RHVAETKLNALSSRSHLILTIQMGRAKLHLVDLAGSEKVNKTGAIGETLQEAKKINYSLS CLGHVIQCLSQGQDHIPYRDSKLTKLLMDSLQADCRTSIIVAISPENKNQDETVSSLKFA QRARFIKKEIRIATQKKTYRDLELEISQLKQELLETKQRMSQTSSVSHFQCRYITDSEIP CSDSLRLTYRSLNTENKENCLPNLKMQENSAQLELDKKISQIQIELQGLNKEQNLDNVKK QLSKINELTIEMKKSYKLLVSTQGLNNNHLQIQSQFIETQQEQNKQLQKIAEQISNMDSS KPSFNIAINNMIKNLQQQQKQNIEDMQSKLEKMYYDIKKTTIAQDYNLSHLALKLVASPN RQQQYQQQQYQQIKRSKSKTSMNPSVKYLKNKK >CAK83527 pep:novel supercontig:GCA_000165425.1:CT868474:235573:236762:-1 gene:GSPATT00017857001 transcript:CAK83527 MFLNKQGLLEDTNEPELLKEQVLKNGHISSSSNDSIFSKTNFEKNKTYFHIMGHEEPHAQ RRKQILQKHPEIKILFGPDLMSAWLGIGIVLAQFWCLNFFATTNWLLYIVSSYCIGAVLS HALHALMHDFTHYLCFESVQYNKLMAIFLNFGQGVPSAITFGRYHSDHHTFMNLPDLDPD LPSQWEIDHIKGPLRKFLFMLFLPLFYSLRPMFIRPLVPNKYEILNLVSIIVSNTLIYMY IGPSALGWLILSTYFGLSVHPLAAHLITEHYEFINRLETYNYLGIANFFILNLGYHTEHH DFPNIPWSRLPLVKKIAPEFYDQLPYHTNYTLAILAYIFDGYIGPFSRIVRKELKSNGKM K >CAK83528 pep:novel supercontig:GCA_000165425.1:CT868474:237443:240301:-1 gene:GSPATT00017858001 transcript:CAK83528 MKQNPVAPPTKQQPQQQPPAKDPPKTTGKPTDQKQQQPQQQQPIQQPKTVQPSSPKKETV KAATNDIDHIQKMKQTMMDFQNKYFETLKSKQDSISQKLSLRNAERVEDKILNAIRKGVL QLENSKYNYVEGHMMDKKVLIVEKEKDQLQTKLQNVDTELKTLKSQKINNAYSAYSQPTL KLYMQEENLNKQQQAQETVQKIQNEAKTRREKTNKILSEKEKREKEEEERKKLEDAVKTK QLKEQLHAKLRENIEDLKAKQKQRLEKMDKIEDEYFKSLNDKLSKMNKERSLIASVDIPK VQLKPYKNEGQKKDKKKKIRPKSASPNSKLIHPPKKIEIEQPLYMRVLDKFNKKIQKQQE IVNLERKLKMEKNEFYNQEYEDHMKEYLKKLKMKKREYEEKRMKALEKLGLEAGKYSQNV NASFDSKLWLLQESRVNKSLDDISIIPKETLINQAKEKKQKIVDYDKKVKETIKIQKDKE KEEELMVQRDKTIHPERYIRMVKKNDKEQEKEPEFEIFQRQEEARQQKEKETKEKELERY RKLQEKAKKDLEQSIILSKQKEEDKKKKDEFDYEYEETLAKQKGKEYLKEFRELHQKALE LEKEEREKQLKLLQEQQKKKKLELPQITSTPKIIKNQTLGTLERHDYLQEVLQQAKKERE ERKEKERLEKEEKIKEEERRKEEERRKREEGLEQQTPRKVVNINDLDEEQKDELRKKKLA LHNQKVDKIKKKYIDDKTYGEPSQQESYLKKKADQVNRLEKQTKSMESIVEASWTTKPLK AVEKAQEVDALYIKSIQQKLSLLSTAQKA >CAK83529 pep:novel supercontig:GCA_000165425.1:CT868474:240389:241823:-1 gene:GSPATT00017859001 transcript:CAK83529 MILILILTAVNIFGLQIEDLVTYLPVRLLFHFEQPFNMTDFNFSIYSGYLSITDSNQSFH YVFVQSQLNNVDNTVPLVLWLNGGPGCSSMIGFLQEIGPFVFLNEDDETLSYNEYSWNRV AHLLFLESPSGVGFSHNPLNITFNDSQTADHNLKVLQEFYSNYPEYQKNPLWLAGESYAG AYIPLLAQRIKKFNDLEVAVINLQGMMIGNGVTNLTHLPISQLIYQKQHQLLPPTFDISA CEKNVTSEDCEDVNYDAWIITKRINPYDIYGYCYYEENELEDEEEWILHMKQFMMIHNDN IVKVTNHDLGVPCVQIDNIENYLNNLQVKQYLHVDESIQWYLCSKQHNKQFKYVSDPPLV MKGLQELLNYDLYNILLYNGDADSVVPWIDTMQALQKLQVSITEEWRPYYVKNNQLGGYT QGYSNKLRFVTVRGAGHMVPQNDRITAFYLFNQTLLGQPF >CAK83530 pep:novel supercontig:GCA_000165425.1:CT868474:242342:243112:-1 gene:GSPATT00017860001 transcript:CAK83530 MYNNIGLMTPRGSGTSGYVQKNLAHIKPTRKQDEFLKEIKAMKENVIQARKKANPEIILH EMKRDIELKKITLQEELEARGMPEEEIQQRVQRLEDKLKDMLNKGEYQLDHVADTHIKTQ KKEEQEKKIGDAFGIDKEQFKPGTAFDFDAEEKTRLERKVEREMRKAERLIQLKEQKKAE KKRLKELALQQQQIKGAQEADVKKEESRSRSRRKEKKSKKHKK >CAK83531 pep:novel supercontig:GCA_000165425.1:CT868474:243262:244518:1 gene:GSPATT00017861001 transcript:CAK83531 MNYFKSIFQKKKNRNVIDQTSSETSTIYDQNSLTVHLDDIKAIIKCQQRRRELYFRPTKS LLEQLKVLYSLHMMIISEQINDENELIECFSQGKQFNVQRCAQSSFVNNTVGGQTNHNSN EVQMNYSEVKTIDIIQKYPIKFYNVEIEFAQQYYSYLQRVANNMDIYYASQKMQYPYLEE NQSRKIRFLWLFKLTNMINFKISMIPMIQKIIFQESDFTQITKEITILIYKDCINEYLFI KKEIEEQLEQYQQQNVKDTISLYELYIQAKQITNSLKLFYNIRNHFLKYERIEKFNWLHL EKQQEKDVELYVERIKLINSSQFKHTLKVPSQKDIYDNLQQKMTQSKIKSKSKKGRRILE HLSPKTDNKKQQSKQNSHRIIIQS >CAK83532 pep:novel supercontig:GCA_000165425.1:CT868474:244735:245247:-1 gene:GSPATT00017862001 transcript:CAK83532 MQSLFIRLAIINNPQLSISLISLYLVIFPVYPLSNMRYFQTIKSLLILPTYYSFFTLAYI LQLPLIKQDFFLTSKNIIWCYSKNNVKFRRSSIRSIFNHFFFRSRLHNNIFQHKSQYIKL IKQYNYCPQISLVLQYSSFILLFLVSKAFWFYKLGLILLIIKLQFIGSNF >CAK83533 pep:novel supercontig:GCA_000165425.1:CT868474:246115:246571:1 gene:GSPATT00017863001 transcript:CAK83533 MQTKPCDWICVECRNLNYSFRKICNRCQQCTRDTPGTRFIANKVDQGQQILETLKLQEID LGGSSHSSTDSNEDDEDGVFSQALFLEDLSKSSQSVKKSFSFLKKCQVCCNQNYFYQQKC GHCGYSSFI >CAK83534 pep:novel supercontig:GCA_000165425.1:CT868474:246876:251567:-1 gene:GSPATT00017864001 transcript:CAK83534 MNQSTLQQSTALNSSIAQSHISKIMNKTFLLSEHDSQMREVLQINYKDEHQSFKNEISMI QGAKDSITSGAYLSNIQKERADQFDVVLAQRKFLWKQEEQLYKNIDDLKKTNKRFQDSVS HYGVRNHNLETEVKQKYDQIQTKLNSVREERKQLLKNFEQIQQQQITSRSKLSNVGQQIL DNPQYRSMMDFINQQEKKVEAMKIEYNDPASNQIIPFDKKLQQMAENTFEKQARFLYQGT KFEGNDQQKLAALSTLRNKIEQYIDDRGLQGVILDMPFKLNMTKEQESTHKVEYNQTLAE IDKIRKDYIHSGGTDPNFLISVNNLERYYKNNHPIGRQEMPDKLNMNLEERGPITQNPIY QYLPQTFQKEILELENQVQIQREADRRNPNLNKELLRNPVDVDDSSKADEMMLENLRQQE ISIMLTDHMTEENKRKYDEIQKLKEIYEKRFLQKKVQEQLELKQVEEDVLNFKGRPQDKL NYLQYLQGQIGTMPLHYDPDGGFIVRLDFVNKLPIIYEHVKISYGLFIRNLDEPHTKMTT QSHECTQENIFQKKCIIQERFVERNHEVYKDTYLYMILWCYNQDYGNGAAPFQVGWTLHK VFDEENLISGCFLLPFYNASFTFDLHKQVEIQEIKLGLRISMPGDAVLDMDTKIMNIVDY KLQPLHQKQQDVIDLEKQFKFKEHRVFPIPKELLYMQCNNPSLWKSELPPNVYKLMDFGF LNEQQAAVKQQQLEYNERQQNRLNRPNRRIFGKQGTALRGQTDKKRTMLTFKQRLALKKG TPTPNTNKSKSRDKELSQRPDSKADTSMQKIQEGRSAQRVQEKEKSTIMSTKPRKIIFKL RSLSQLHAGSITSFYMKLALFQNIKLIEDDSKNMCVFNKELEPDEKGDNYFNYTETFTFD LNLTNYFQEQNQEDILNTYLFIAIFKDKIDLFGWHALQVFDFTDDQYKIRSGIYSENLYG PPGQAPPFNLNRTKRTNNQINFIIMQDDDRVLQLPNYDNYKLDQKLLTEEDREQKKKLYS VANPKWNTQIRLDITLLKNFSQKEDFIMKTFVIERENIIIDVLDRQCIRHDNIEIFEGQG KGEMIVNHTIILRIGTRYLIDTYTLTFKKLAYLFNFIYKNEIIGSCQMNLFTSSGTLNIG QQSVFVHDLDGSGKIGKKTNRQMYFFIQEENLNLNDIEETPKYAQHNKEKQENLQFDQNK GLVIQIDSLTDYVNQKSVDFTIQIYNGNEPAIDEDGIICAYTSSKKYQASANQSVLINGF AYFKLPLTQLLHKKVDLDKFYVFISFQDLGWISFQLFVNGQLNSSEFVGKLFQGEVPAPP VDYTAIKKINTKISYSVRFDYKPNQEYNDDEIQESRPQIHKSGTSKFQQSIPSEQVAKIA SRRTMNSTENTDKLLIVLININGFLDQAVLIIKGLLMMDSKPLLDKNNQICSFKTDKVNN VQKVAFFNNMKFAFLFDTTSLNMESYLFLSVLDESETVIAWFGRRLVSSVGKVVRGQQFE YLFAPPLMRPPLDKSKITALPQSIQFEIK >CAK83535 pep:novel supercontig:GCA_000165425.1:CT868474:251596:252469:-1 gene:GSPATT00017865001 transcript:CAK83535 MAHLKKFSLAKKLSQLRQESLQVFTPLLFATGNDDCLFYSADLVMNLSSRKKKDMNNLLF TMQTLEIPYLSANQVGVEDRYFGIMFQKQNFKWSLIEEKNLEKLLCVNPLVVSVSETTSI DWETNICFPFIRSQIERYDRIRLHFQTLEDEQEFEFEGFNARVVQQAIDSLNGYQIIDPR IHCGRWEINPKWKNVLPKTELTIDTYRGEIQKLVKSNPECFRRRNVKQLNKQVKEDDDEF SFEAMMMKRLEKYIKREMLEPAAADMEGQYKQHILDQIKSIK >CAK83536 pep:novel supercontig:GCA_000165425.1:CT868474:252715:254212:1 gene:GSPATT00017866001 transcript:CAK83536 MNQVCSYKYCTSKTVLELGYICSACQIMRYCSQKCKDNDWTLSHKNNCRPYQPKSKTELN DSASTLKSIRRSAEDFEIIIKDNKMELGKGSYGCVKLVKDRQNGQMYAMKVMNKKQIFEY CSVENLKREIKIQRRLQHPHITKLFHYFEDKENVFLILELAENGSLFSYIRKRRRLPENE AFVYFFQTCLGIDYLHKKNIIHRDLKPENLLLDKSGNIKVCDFGWSAETTQNGVRRTFCG TLDYMAPEMLTNKPYSFSLDIWCLGILLYELIHGFAPFKGRTENEKCNNIVKMATIDYDP TLSTEAKQLIQGILKYNPAERLSMNQIFDHVWMKKHYKSYGIDLRSYMYKEEKQNDVSSR SISPQNEDIMSRSFVKNTNQNSNGDLKTLSKSSNYPIQQNVNNNSKSTACSNYNQEDDFK TRVSRVSQRQQMAQGLREIQGPQQRQEELGFMDKVFSAFGCLSRDKQQSQSHNY >CAK83537 pep:novel supercontig:GCA_000165425.1:CT868474:254253:255973:-1 gene:GSPATT00017867001 transcript:CAK83537 MNLKEQESINDYFKDDSKFIGEFQLPDYKVMRGYIGGYGDELRLYYTKFDPPNKKASLCI VHGFGEHQGRFLHIADMFAKLNYAVHLIDLRGFGYSGGPRGSQTLKELHMDIEVLLRQVS KDIPLFLYGHAMGGLLIISFLIRNPQLKVRGIITTAPMLGFPMDRKLKGIKYIAVKYFGH YMEDLVINTKLNITGMSKNDQHIQRCFEDKLMMPLLGIGMAKSILETLNYMESKVQTFKY PILILHGKQDAVSSYHESVRFYEKCGSQDKSIKLFENGYHELQHDFEFIEMKQIIIDWCS IRLQNAGPFGILLQSRLNYDSAGCTFRACVFFGDFQIQEKIQKILISDYSFDDNIQILQQ KFMKNFRRNFNQSNRPFRNNKGPRSNFKRKFSKDDSDRNNNNQSDRRPFKSSFRFKKSGK FDAFRTGKRRFRKLSENKSENLENKLDKQLEQYKSGQQVVGNSASSKLDNALDTYFSRKG SNTKENNLDRDLASYWKKNN >CAK83538 pep:novel supercontig:GCA_000165425.1:CT868474:255998:257747:1 gene:GSPATT00017868001 transcript:CAK83538 MKLADRKKFSVHLDMSALKQIIQTAHPLSTKRKIDTTPTSPNTSGLASDKIFKPIQPLHT NTNLNNTNTIQNIILNFKNKANKEKENGPISTMTKFPLLVDQFIRLFNLSKSEILEVQQM KQVYYYKQSKIQQDGQNGEYLCYAKDHIKYQYEIINLIGQGSFGQVFQVLDHKTQKTFAL KIIRNQDKLKKQALVEANLLMMIKERDPLNKSNIVRIEEQFIFRGHQCIVFEKLEFNLFE VLKQQKFRGLDYETLRKFSYQILIALNYLHKLNIVHCDLKPENVMVQDMKSKIVKLVDFG SGCIDGNQVYTYIQSRYYRAPEVIFGLKYGMEIDMWSFACLVSEIHTGQPIFPGDNELEQ FNLIMEVIGAPTTEFALKCPRKKHFFDENGQPKKTMKTYRKPQSISLQEILKTTDDDFID FLQRCFTWDAESRLKPQDALNHPWILSINPKENCSKFNNKFFNNDKDSNSAFKKSKTQTN TILKEFEKKLSKDNIFLKLQQTRTLIANNTFENKPVLSNRYVNDKPTSSDRIRSNIAHSI HQILSCRQHNASNTQFIRKPSLG >CAK83539 pep:novel supercontig:GCA_000165425.1:CT868474:257812:258825:-1 gene:GSPATT00017869001 transcript:CAK83539 MQTLTHSCIYFNYIEPSGFSFFSSRNARDHQHLIAKNTTNTQSKKKKLLILKRKKLNSNS LPHSYRIPQSDGIQSSTTLTLTERIKKRYFQPLKNAVARITEIRQQQLPRRKSTYGLLFG QLTQKEMQSFPTTIEQHKQVNKQELALTSFAIMLERKQRKVTTRKLTKKLSKQESLIEYD NMERPPSCKTPPKLTLTSKPQNKSKIQLEKERYFKWLDLKMFHFQSNKLQKNVMPQQTQG VIQHFQTQSCVSLRNLQVCSFTSSPRQKPRVKQSTEVNLTLTKPKSKSTLKPLRPYIIHN NQLQKKIQQTFEIKNTSWSKQNSLERQY >CAK83540 pep:novel supercontig:GCA_000165425.1:CT868474:258847:259286:1 gene:GSPATT00017870001 transcript:CAK83540 MNMKPHQIGQIDEFELQNTSKDDGHSTIQSFKEQELFHLNAIPKKIVAITIMLTILGITF LIIGVLLLFKQPSNYDQYLPLLFIGILMTIPGIYYSYKIIQFAYSRSRQEQEQIIQELPI DY >CAK83541 pep:novel supercontig:GCA_000165425.1:CT868474:259337:260720:-1 gene:GSPATT00017871001 transcript:CAK83541 MQTQSGQMDEQSQKEKQRILDKEQRKNNMKNYFHQEKELQEGLKEFQAGSLRQFYGDDSS QVQQTSQQAPVQLFGRSGFYNEIQKKNKETEKLLSRLVKKMGDAHLKVEELFFTQFIPTF VNEYQDHLINVNRMMKEHFQQKIVEIDIFFANKPFDINSNKHEKSINLKSIAIEDVLYFK NQIKSKSREPIKAPTDVDKFARDKAEFIKECDLLELYNNILDLTLLNRKITVDKKYLLLK LLTFTESRGKPQYQTDGLMMKVSSDYEILFTVFINTIMKCVNYENQKFSKFNANDGSEST LKTISVSIISLDSFDLKEQKIEQQSRNFVISQKLEQMDQEPQFHFYSDHIVRMLRKHKDL ESKKLNEFILYTSRDNNSLNGFVCYYLLHLLCLPKQQWQQLQQIEMEQRMIKCLIRMAKD LQFLVTNL >CAK83542 pep:novel supercontig:GCA_000165425.1:CT868474:260748:261053:-1 gene:GSPATT00017872001 transcript:CAK83542 MAAFSTFNLITFGGFVIFSVVGINISNKYVYGDSYYSQLYIEILKVLLVTAGVMFIHQLY LLKRSTPSVVNKPNQKQINFNAFKGNIRNDLIQSNYFRGRI >CAK83543 pep:novel supercontig:GCA_000165425.1:CT868474:261370:261597:1 gene:GSPATT00017873001 transcript:CAK83543 MSSYKKVSPKQSDEFRPHLIKVDLSLAPIKENTESVRSVNFPSEIQLLPQPIVPTRTSIH VKKVNLINYSAKQKQ >CAK83544 pep:novel supercontig:GCA_000165425.1:CT868474:261674:263917:1 gene:GSPATT00017874001 transcript:CAK83544 MFQTESLVRKFIEKLKRQAYILPKVISNQMQNFLKEKYIDDVIILDKLRKQNQQPKKELF PILNPTAIFIFSWNILRALQLMFLTWWLPFKVAFQPNYQFESIELSLIYIFIFDIVLKLN QGFIKEGDYIMDRTQILKHYVSTELTEDTIYFLTQLIVIDKIDIKTHILFEILVLIQFAI NYKKLKKKIKKFEEIFATKAGYIQLTNLLQLIITVFYFAHLMACIWYYIGVISLNVYEVS WTTKFQPHSSDPLYYYLYSFYWATTTMVTVGYGDISAQNSYEVLCATVLMIFSTGMFAYA INQIGDIFTHIDTEQQTYKRTLLLINNYMKKNQVDQQVQSRIRNYIKYQAKIKQQSQNDE IDQILKQLPSYIFADLQKNIQNKIMSEISFYKSNFSKSVIPIISQTLQIQSYTPKEIIFQ QGQLDDCSLYTVWKGEVLIVENQTGKLLATLGKGQSFGEVEFLTSQHRQFTAISKDLSQV LRMPRDTFLKIIKFSTIDVEHFHKLKDQLMFYHINQISSCYCCSENHSITDCPYSFYKPN RDIIRQRDNAQESVSIRTMFERVEQKKGQFQLQTLKSYSDDKEELGSRLQSIKNETAPAI SPQRSRKKTLTPFNFKNFGQHYTDEKLLESPNFTQSQLEIQNEQNSNKISVHQILELPSI KNRQSNSNNHIIISSLSQLEIIEQEFRKVFMLDIDKIGVFKGYFPQNNIEVVLLSFRKLM RSKKIVITETLLELTRRKIQTENNQFL >CAK83545 pep:novel supercontig:GCA_000165425.1:CT868474:264370:266967:1 gene:GSPATT00017875001 transcript:CAK83545 MQDIPFKENSESDSVPQANSLRNLRFSISKGKNQDISSFNNFQQQNNFQDKNDKQKHSRN SGMAKMLVINNLVKQFIEKLKKNAYIFPRIHGDQIRDNMREALNKKKNWKKMKQIQSSED GSKIQIQRKLPVFNPASKVMLIWEFFRAIQLTILLWWLPFKIAFNPSSSKNIDDFESALT YIFAADLIIKFNRGIFDQGKLIKNRINILKHYVSNEMHEDIIYFITLIFVIIIVLLQFGL NFIKLKKYLTKYEESFVESSILTEIVKLIQLIIITFYFAHFMACIWYYVGVKSIELKEIS WTQDPKFEDSTILQMYIYSFYWATTTMVTVGYGDISGKNIYEVLCAIVLMIFSSGIFAFS MNQIGSIFTNMDAQKQQYKRTLLLINQYMNNNQVAEQLQGRIRNYLKYHYHKQEKLYKNE ISGIIDKLPTTLKSELIQDVQFRVMQCIPFFNKNFSQEILPQIACELNLQSYTPREIIYQ QNQIDECNIFIVWKGEVNLIDDNSGKVLKKFTTGQCFGELEFLTNQKRLGTAISCDFSQI YFISRNQFLKILNSYNHDFQQFHQLKDEILFNYNSSSIECYCCQESHSIWKCPFIHYKPD LERVIKKNFFQDSQQERFQFKRKGVRHNVYYQESILQSSKHLKTSNDLDNNTAGDIQQIG SINESEESAEESAKYEKKSDQLLTLSYALPSESRRKSQRTKFISASPLQAESPLLKPTDM LRYGQRPRKSLFFAGQSIVTALQTKRHESVQKAASLGQLQNLIQQQSIYENENNLEPLSI IEGLDKMIIYSNYFPENNYDKIIESIMKYLKKFRLTRVYMIPNKYSFVRLQKYDKIKSQG KKAIILKQNDFIK >CAK83546 pep:novel supercontig:GCA_000165425.1:CT868474:267240:267800:1 gene:GSPATT00017876001 transcript:CAK83546 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVEVKDEKKDQKAKQQQQPKEQKEQKP TQQQSSKGKQQQGGDKKKVQAKKQ >CAK83547 pep:novel supercontig:GCA_000165425.1:CT868474:267947:274289:1 gene:GSPATT00017877001 transcript:CAK83547 MKAINVGIEAIEIYFPKTYVNQAELELFDNVSQGKYTVGLGQVNMAFVRPFEDVNTMALT VVTNLLEKNQINPALIGRLEVGTESLLDKSKSTKTTLMRLFGDNTNIEGVTSINACYGGT NALFNTINWMQSEAWDGRYGLVVCTDIAVYAKGSARTTGGAGAIAMLIAPNATFTIDPIR TTYMKDNYDFYKPNFHSEYPTVDGQLSIQSYLQSIDNCIQSYYKKNNNLDADFYCFHSPF HKMVQKSFLRVKLNESFVQKGDTGFTFQNFNEKQSQMLKFYQNDWLNKAFPSCLLSRELG NIYTGALYAGLASLIETQDDLINKRIMMFSYGSGCAASLFFLRCNKSTRMMKQNSKVQER LQQRIRISCIEYDHIMQQREINYNKHSQQYQPKQVDLYPGTFYLKSIDDKYRREYLVHKQ IQLNQTSDILVLDESRSNKKIQQIRDQMTNNNSTIDQTSQQTQKQYNQLWTGFYKKTIQQ RLDQLEKTMNVNVEPFKDGGLSLQNANLMVENCIGKISYPLGLGLNFLINGQCYSVPMAI EEPSVIAAASAAAKTISEAGVGFQTYSSRPVMMGQIQLLDVSNYSKIECLIDSNRQTIIN RGNQACQSMVKRGGGVEDVKCRNLSQGQCSVDIFINVCDSMGANLVNTVLEFIAPLIAEI TGTRIGIKILTNLCMNRKVTAQFTLDIDKMNYKSLSGKDVAKLMLEAYQFADLDIYRAVT HNKGIINGIEAVCNATGQDARAVNASLHGYASMSGQYKPLSQYKIVDNKFIGELTVPISV GTQGGVLPQNPLYSQILSILDYPDSQKLAEIIVSVGLASNFAALRALAVEGIQKGHMTLH ARNIAIASGIPEHLVDEAVLFMKNRNSFNKQTALEFLKAYNVFFEIGKAKGKQMKAFCTF SGSFDMIGTQEKVDLHVVFECDQNKINLNYADDSTMNRQIFGLKGQEWLKNLFSVLNTVK INKEAELYQSNNLTSKLKLLAILINLLSFNMLSLDFERAKNFILNQKPCLGGAVSLKYGL SLMNELMHIFEYNIDQFIGFPQLRQALMAELNNVILAHVKSFELLQQAKQGQFNCEEFLC TRQKRLSCTMMLLCDCISLKSFNPSIIEEIKLLGRVIEIQMTLTRDTQKWNKSTLSEPNM YTYWLIANQKLILGENKEILSSFYNEHNRLLMSLIQELEKNISPQLSHLKDQAMNAIQLF YKPRFILKQISDSNHQNKDLHPSGPRLTFDQEQLQRYQFQQIDSNTSLHPHKMTNTIHCD SIMIKQDVSKQLTRKRKSEFKIGLNRTSFLRTINRNSLVQKFKNILLMRSYVLSKENKYL LLQDWWQKPTKRNQYAHNRIPFIISGSHVSIILTIWSLFIQIVTLWITPFMISFQQEISI FKVIFLFIVFQVFFDAFLKINSPLIVNGETIYDKTERIKDFFKKSLLEDLMYIATLIVSF FPIFDNIQLKGTICIIIIFISYKKLNQNYESLYEILYLKGQYHYAIDVMSLIILIFSYAH VMACIWHYVGEISMDQGQSWLIQRNLQNSAVWERYNTSFYWATMTMSTVGYGDITPTNQF ETLAANLMMILSSCMFGYSISQIGMILKSQYELQQKYKRSIIIMNAFMKNSQVNLQIQSR IRNYLKYQCETEANENKDDINKIVADLPIGLKQELVQDVQMNIMKKIKILNNQFSQSTLK QLSQYLIEFKFTPGDVIYHRNDSNDQSLYYIQEGIVNIYEENSQKLLQSLKAGDTFGEYQ FFTGFQTQTSVRSQGFTKIFKLNRSSILQLLNQNSKDFQRFHHIKDNIIFNKNFQIVQKN CNFCKMSNHINIDCPLLSYKPDILQRIKKAELQPTIQKRVFIERNNEKVRCLMLHAAIKD TVEEYKANLSTTQIDVDMKLFSTYATKDLNSHCQKESLLDKQMPKSPPVAPLKKNNSFLI RQRNQSQQKKVNLFVNLKSVVRDSDRQLKSESEDDQLNNSPKNQVSQQFLIDLNDCQMNH FDDQFQTTDFNIDRLMNYFGYMPMSNVIYALRKYEKMYKYSKVKTINYPESESRKFSIPL YYSRRESINELLKEKY >CAK83548 pep:novel supercontig:GCA_000165425.1:CT868474:274320:275888:1 gene:GSPATT00017878001 transcript:CAK83548 MFNKKFKKQVSISFQDQDNKEQIDNTAQSNTNQIKKPKNRLNYDEEENTDQIKKYVKVEN KPIVEAQKDVIQIENYEYLKELEEENRMNNYLAKKSLENVEEINIIDLENDGIYEDDQPV RRNQNQQNVIIIDETDNNLITDMDNKRMNMIGIAKQQKLFYEHNSDDEEFNRFEKNIIKS NKVSYDYANNGFKTFNFNDSQQMHYCSFMDNFSQINLEQIIGNLQTLISQENFKRDRLQK ELERSTSAIHSSEMLIKNWLEQNQELQKQLREFELVNEYFQCFNDMSKQKIPTLFKLYDE FDEMRDEYYNLIEARIEEEQLIFSELFQGLPNDIILNYAKDICLHMDDVDEEYADLEKIC KNYFNVMFVIPNVKVLQPIFDYHVLVRFIELYCENMDDCESDLFEGLVSDQYLLCQLIMQ CRFIKGLLTLNPIQTKFIQQFFRSILKHTYQFLKQSNTEEAQQCLNYIKSLLDSVLKSIY KKPCIYGPQIVEYLSLMKDILPKDTIEKLIKNFQ >CAK83549 pep:novel supercontig:GCA_000165425.1:CT868474:275956:278484:-1 gene:GSPATT00017879001 transcript:CAK83549 MSFVDQKAKQLRPLRTQRTQSEYDSQQVKPSPELWIQNVLKDEAEHRNVLKSFGIDKASL KTAGVTQADRIYNSLFIYSQGVYNSLEELIAQSFDKKSIMGKLWKVFQLLTEKCRPQVIE IDQAQSQQKITLNILNDQFQSLMQLKEQDLIDLQNQIKMRDETIHLLQHKLNLQKSELTQ YDELIQERDKLFSQETKKRVSFESKINHIQCIFNQQLQLNESLKLKMINAEQQIQNLEFD LEQKRQIILNLNIEIGEKDQRLDNLKCRTFDSELQVKLLKEMNQSLESKFQEYEQKKQKD YSLIQQLNYQTSINQSQYNKLDTLNKQLTANYEHLQQRLNEQNKDIQQKTQLVFEQQNKV TTQETQIILLTQENECLKTKLQEITLQSQETNKNAKDQEIEIQQLKQRQSVLSTENAQII IQKNQSVKTYEECMITYQELKKEFQFEQELKFKIEFDLKRYQDQVKQLEQEKKQQFDQVK EIQKKQMFQLQQNDEKNKFQQNQIVKKDEAIEELKCNIKILNASNSTLEGQVNSLTQNLS ELQNKYSSEIIKKQELEQQLKLIALELKNKSAEFKKMEENLMLHQIKYSQIKDYPDMYKE INEQYAIMQQLVEQLQSDIAMLKQTKQYQHASIQTVEKNFCSTESQTEIIKQDQSQQYSA TQEDVQTQTQPVFTNTKLIQTELSQVLPTDDNSSFFEKITSKKQHQFTQVDLITQTDFQL DSQKYTLEQDGSSHHRSIQHSYNFEDSYQTRLQPQYEDLVYDKQQMKLIRNGKGNQNKIE EDPTQEQNKSFMKSKIKDKANKGVELPQIANFGDKSQIVPNQKTPSARFAKMYVQASEYY KK >CAK83550 pep:novel supercontig:GCA_000165425.1:CT868474:278544:283909:-1 gene:GSPATT00017880001 transcript:CAK83550 MEHLLVNFNLGKLKRPSYSYSVLLVSITFCQLISAIFSKYKYILENRYQQIIAHHYPNLQ YSQISEIVNLIKYTDVNSIIQENEIIIYILFWWLTTLSIITYLLYAICYFQVKYQKKQNL IDQSSAKMLAQLLLIDQWITCQPAQMVYFNLAYCSINQNYLNIGISIEGQQVLGVFLIFI RFITQIMIILLFTNHQNIKKDALSSGSRFILLYLEVFRVLLNIQLAFPIQNQQFHVKFEI LSTCLASFLFKMSDFKMFIANQYVRQVFKMFSFSLFGISLGLTINSFSIHFNITQSDNSI SFSILSVISITWKHLCLPTVTGDHLIQKIINLKSVTKQLNTQHQFGEADSYYLGMLIQHL SNTYLQDKKERCFCKMRMLYDPKQHKEIKPSQFSMLRQKSLYLKYLIKAWYEIYLFHHPQ DVDIRMNYALFLYYQMNNQVLSYIQIKLTSTYKRNLLQQFDINKFQLQFQNEIIDNNSLS YHNDSDFEYVNKMEQIIKSLELNIQNFLDLSLKFWRQVNQKVIYEDDLWNINQDILLTIS QSNDLWNQISKHKIINRDKVIVKNFLIRRPKWQFLYNWYYLYVLNKKLKQSMLDYCDSQN MVQQPIDEDSGSDNQNQIDQFSFQKAFNYTSAIFHTTQSGQIVNFSESAYDIFGFQEYNN INQLLPKTFIRNHQFASDQFIQTGKSKSLYKKIKILALNNDKYLMPCKKYLKLHISHLSQ IEYICMIRPIYRHQSASYILVNSDWEIDYVSEQIAEYFQPGICLFILCPKLLKYSYYSQF LTEDDLKLFKLKRSKNEDNNQDSIVDAKFTNRKITIAPSNYKALYVEQSQPQDIVSMVLE DDNKNVQESPLWINDALNEDLQEEKDQLFKRFYKVVDEDHVKLTFRLPKKIKYLIDDYTE TKQEILLNGLDQMTIKSNKLKDERIVKRNSNGKLQIDKQVLFYKLFQYQEHYYEKLYNHF KTSFQKYCITSRMLKTVIKIEASIRFTKNTIMDKYQIIKIINLNVIESQILNKKAKAVNL LNRKNQKISQSRQSIFQNPQQLQHFQQFLEQNYQQQVELNYKKITHSNVQNSEVDSYRTT NKPLMPEDQVVLDFNVQQITSLILKTSNNIFIKEENNKPAKHYTQISILKILNRIFIFFL IIFDLIVLNQGPNINQLDMDELGFQSAKKHYDFINIMIESYDKLINTFYFQNNLLIQFHN ESEFYQDLQKSFNDQLFEITKLYQQPSFVQKIYKSQNYNENLNIIDLINQYKSNIGSLQQ IDYNYDFEQQLEFFRTIFIPFLFDYQSRNIDEMISHLIQNYQTQNYICSTVIMISTGIFG FYLVSNIFNLLKLITQSQKVAKQLSYIDKTQIEESLKFYSNLKFQFSCIRSANGLIQNNY FQSTNQTIIRQGDEDEKSSYLKRQKQKRYEWSKRWKKIKILMVLKYLLFMSIISFLSFFY FIYIMGFSNQMSNILSSNYFTKQTTQLLSLTLSKEIFIYTFFNKSYIDINNYKQIQEGYV ATNAELQSQLYQTNIDEIDYIFNGDLCTTINQIVNFQYCNTYLNGALNYGLHSYNFLLSQ MAQQLLNPAEEVYDNTTVEKIFEFDQVNQFQRIGYEQAWTILGLRYSQSIVESSKLEIIF LSCSFSFTLLLFIFLFEISFSNQMFRIILDVRCFYKRYFSNNTIDRYKIIRAQLIQCQII NK >CAK83551 pep:novel supercontig:GCA_000165425.1:CT868474:284542:285338:-1 gene:GSPATT00017881001 transcript:CAK83551 MKTLNDIQKLNQQQKIIFTFTNQFTNNTNSAWLPRDVQSPFSNAVTQLSPQLSDHNIIHN TRGNFRSQSQATEKQIISRDLINKARIQNAQQSARQEKHFLGSINGIQQINSPKSQANQT MSTHSSSRIDPKVKRKLSQMSKGNFLHFLLIQKVGHKDEKQFYDYLKKTYNFEIPQPQQE QSTKINKKDIKYLLTIDDDDETIKKTSQQILKMHKFRRQKEVPLDKYVQDTYESQLRRFP KVRQKLEKSKPYNIALQ >CAK83552 pep:novel supercontig:GCA_000165425.1:CT868474:285350:286707:1 gene:GSPATT00017882001 transcript:CAK83552 MSETKSKESQQKQNLIMIGGQYALKSCIYRGRVHNLYLAINKQQPGNYFIVRLVMQIRAN TNIDSIQEEEKLLKKLNVVTFMPTVLASGDVRLQNTNYYYQVFDKYGPSLKLCFQFANKN FTLGTICMIGIQLLNILEKMHSQFIVHRNLKPKKILTIPGKNDFVLIDFQQCVKFKHKNG KYIGSGSKFSNQTKLTKFSSLNQHLGLTASPKDDLESLGFILMYFLKNGDMFKVKETGSK SKQMEEQKLRMIPEKFCKDMPIEFLQYFQFVRLTNVQQYPLSDYEFLKKLFKNILQQLNI NEKDFQYDWLKKMNLQSQNQQQPKEEVQTKIVIHDPSNLEGIQEVQTELEKSSFKRDQFS KSSSFCINDEDDTQFESVSNKMIRLPNMYDLIKLKH >CAK83553 pep:novel supercontig:GCA_000165425.1:CT868474:286710:288392:-1 gene:GSPATT00017883001 transcript:CAK83553 MVGTIYRIQELLNAGEGYIGQTVTVAGWARTVRDQKNLCFIELNDGTSFGGLQIVVEDKI ANFEQVAKTKYRIFTQSDRKHCKESCQRIIDRNAFVIIGQADPEEYPMAKGVQKPETLRQ KAHLRPRGNFFSAVTRIRNNLAYATHVFFQNNGCLYIHTPIITGSDCEGAGEMFRISTIF DNDVSKIPQIKGKVDTTQDFFKKEVNLTVSGQLQVEHFCISMSNVYTFGPTFRAEKAHTH RHLAEFWMIEPEFAFADLFDNMEAAEGYVKFCINYILQNNMDDLQFLDKRVKPGLIDYLK DIVSKDFVRCSYTQGIDILLKAQQAGAKFENSDIKWGMDLNSEHERFIAEKVFQRPVFLY DYPKEIKAFYMKVTDDGKCVRAMDMLIPQVGELIGGSQREERYDVLAQRIKECGLKLEDY GPYMDLRKYGTVPHCGFGLGFERLVMMVTGVENIRDVIPFPRYHGSAEF >CAK83554 pep:novel supercontig:GCA_000165425.1:CT868474:288753:289847:1 gene:GSPATT00017884001 transcript:CAK83554 MNYDFDDNDIPNEKYVNIPSHINKIYQIFTQTGRYNESDINYLLKFFLEFNINTMEINYM TIFRICLTKLSTELMPYYAQIITKILFHLKFPLQALSFITDLHIAFLQSCIISYNYKIGY QFIKSKIFLQSTLEKKDNIMIEYFYYAGLIANAVKDYDEALRCYRIAHKCQGSSAFTFEA QKMESLLCFRLGMELKNWSNPSNQQLVAKLSSFIENNYYKEVKDKDLDFQDQDANICLKE WTLLAQLYRFLNNEQELHSKVSFDLIIHNFQLQEYETLINLLLQLNNIHNIVTFNSNTTI QPIRKLIANWKADMNCSINIANRK >CAK83555 pep:novel supercontig:GCA_000165425.1:CT868474:289892:293575:-1 gene:GSPATT00017885001 transcript:CAK83555 MKNSIERSKCQLPRLKDYSKEKANKLKSFFRSNRSISENKLAECKFKASKLLIDNQYSKD HSLMSYYIKSNEKLTEMRQILTNTSVQSDQGDAKKKNFPLGLLKHRFSPIEQVKKETQEI NDEVSKEVMEADQESMKGGDGMEFEEDEDIMSDVSSEDEPKRFNGIELQQEIKKIFQKLN QEIDQSYFYFIKRQDRLKDLAINFNKKNVDPIHFYCLNDNIFPKRIDLSNCLVNGKEMHF SDLGLTEKYFPLIKNLLQSVKSKTVKQLFLCNNQLDDKSLLYLIEGFPLSLKEINLGNNV LGRRGALILSNHIQKFTSLKSINLQNNLLADKGVFVLLNCFQKNVTLLKLNLSENQITDS CSTVFYQFLLQNNYLEILILNWNQLGPSAGTMIAKGLQQNRSIKVLDLSYNHLGQNDRTN FMQALCETISIPQITLAHLDISYNQLTEKQLKLFSVALAKNNTLYGLHIEGNKCSARVNP YGFIQFTHESEEFKVVQQKKTAIDGVNYIPMQGDNLFGNDCCWICQGWMEYRFQYIPEND NNQDPIFIHLDFLDYQPIPMTSSYELRQQLMEAQKIKSNYFDLTTGEIIHQLKQLVNTDK RITLAAITEAYAEETNKKSEEITQQMIQELSRFYYTTYQMCPPKRKILYFFSNPIKEEYF IDPRAMNMPAPLDNIILQGKDPKHAVHIFSDGTKLAFAKIQQVNYIFSRQEYIIDDKDNY KPLIKVFPRSSQKKYVLRRFANFLVRKQAHLITWHKEDSIFRPFNGDTEELLTECFEFDW NSSKISRFVKSEYEKIKLKEYFRQHYQLIKDVYKFHSSIGYQPPTFDVFCIQFPQYMKWL NKLSIIDGDLLKSQDVEIDIVSLKNNVDPKYIYNPEKAIIRYQFLEMFFRIANDKYVRTE IYKSYADAIFRLLKEFKEQYQHFDMIQEWRSYRLWTKECDHLLQIKMPFVKKLYDYVTDI TNRKWYFKLKWISIREFKEFCKQFNLHEYLSEKLQVIIYNFSMMTQIDELTLDRNIRMSF IEFVEALGRIADRISPAPIQELIDSYTLLQRQQLPLHVKLETLLTYLYYQMKSRDYEYEQ FCDLFVYYKPEQSPKSSFPKTDKTKKLDKDHDYHNELTSLTIYNAVSYLSQNKQPYLNPK YKQFLKQNIIQTSPIRPFQHILQSDKIRRARLQPETYNLESRLNQQQFKAQSPNMQTTLS QNA >CAK83556 pep:novel supercontig:GCA_000165425.1:CT868474:293765:297411:-1 gene:GSPATT00017886001 transcript:CAK83556 MKKDKLKQFFRTDRSISDTKQHYFYHQSKKQQPEFIYEPQTERLVRENQQLNLLIFSKEK NQDFQKVDQPTQRHLFLQKWKTNPTTVNRQVNTQIQDQSSNNSFSSNKTKLFDESESEYE ISSNQSSDDDDSDQSSEMRFKDFEKDIDKIQRKISNDLNRSYFGFIKNQDKLKDLIQTFR KRDSVNPLYFYCMNQNLFPKRIDLSNCLIEQKEMNFKDLKVNSKYFPLLSQLLKSKKCKK VKSIILSNNHLREHSLSIFIDSFPDSLKDLNLSQNELGRQGALLIAKLFDKHKNLKSLNI ASNLLGDQGAITILNAVQNAKTVKKLNLSQNQITDKATQELQNFLISNLSIEVLILNWNQ LGPQSGIGIAKALNQNKNLKVLDLSYNHLGFNEKSNCIGQWCQLIENPNLALCHLDISYN QISEKQMVQLQKSLMKNNNLYGIHVEGNKCPAFIDAFGFLQFSKQENLIKQVQQKKIQID GVNYIPICNGQELLMDCCWICQGWKEHQFTYTPDQNSEQVPIFLHLDFLDYKPIPMTSSF EMKQQLMEQNKSNKQLELTTGEIIHQLKSITDGEKKITMAAIEEAYNVENNTKNETQNQI IEEFNKLYYTTYQMCPPKSKILYFFSDPLRERYFYDPTLEYVQSPIDDLILQGKDPKHQM HVFADNTQIPFQKINFVNVLNTKQEYVIDDKNNYKPLIKVKPRILQRKYILTKYLGKFKN KKGNVAIWSKDDSLVFRGMIGDSVDILDQAFEYDWGCSKIQRFVKSDYERMKLKEYFRQK YQLIKDIYKYFSSFGYQPPVYDVFCIQFGQFHKILSPIIDGENLKQSDVESDLVSIKNNV DTKFIYNPDKAIIRYQFMEVLFRLANDKFIRSGQCKNFADAVYRLLKELEQHYEILDNSQ QWRQERFWTKEVDLTLQFKQPFLRKLYDLASDLTSKKWYFKLKWVSIKEFREFCKLYTND ILSEKQVTVIFNYSMQTQADEVTQDRFLRMTFNEFLEALGRVAEKISPAPIGEDAQIWPV MARQTLPLHIKLESLLTFIFLKLRRYWFITIFRQPEFIQFTDLFCKDIMDAQETRIIFMP KVYEKQSKLENTDEFVITTTQLTVYNTISFFHSHHQPYLHPNYRNFLMQTGKKRTLMNPN SNIVINNGFSRQVSGRTTIFEQQQQAQYKNNMIAVMEEYDDQ >CAK83557 pep:novel supercontig:GCA_000165425.1:CT868474:297414:298973:1 gene:GSPATT00017887001 transcript:CAK83557 MNNFNGFQVSEQTFSFDLNTIFPFSNFKNRNDQINRNSYSNRRQVDIFNSMSNFRQIYID FLKWQCNIYFKNKYKQIIKTLLKMNQLERLLHQQGKKVKLQEQELDDVNRRHQELQTKLT QEEDKNKILQGNIDKLSLIEYELRRQLQFVEAQRDNLLEQLKSLHEYNFSMFNLQENHVC NKFRTVQELALTRNELQKQQQQLLILQTENAGLISKVKELMSTNTSLNEKLQKYPQQFEN LREKYIKLLTERDLQIQSLSEIIERLKIQRQVKEEKCEKIEFEESDLTEDTNSNQDAILE QQTFKDNLEIIKIMKKSDEEKQRAILQLTEQVSQLQAENSKQRLLLTTEQVIQLYPQDIQ LQIRRLQQLRAYISQFTTKIANLQMKYQEINELKKRNLELEERCKIQQEEINQFANKKKK LEEIQEQFAIVLKENWKLKGRNQQKQHKMKQLLPNILQNLSTELCFEEYPFESLEELLKC YEKLRNQLRSQSEQRHKSQL >CAK83558 pep:novel supercontig:GCA_000165425.1:CT868474:299090:300245:1 gene:GSPATT00017888001 transcript:CAK83558 MKNFLEKHISNDYSIRMNNVSQNHDYQLNQYKCSSPMIFGNRFASPSPFRSVTPIQGQLS PANQSRRESAFSRNSDNYDFSVKEKSKIVNKVITQQIQKQQEYQDEDEYNIDFFFDKEQI ILEEQELLHAKLRMIRDDFNFKIQQMEDFLREYLRERQSILQNLSISIKEEQDRFNEQSK QNKRKNKQNEIHARTDSLNKHDSKGDSQTIQQEIFRAATSIKEQRGDQNLERRDAIDIRR ESRHESINDYSSHHRRESSYKTVKLRESRVKREESLKGDSVKDEQPLKSALKKKDSNRQT QNCQDDSQDDWKFIKEAKNNLEKQKTQLDDKQSPNKVRFVNQEKSCKKQQDKMVKSKYLN DIDIFLKCRY >CAK83559 pep:novel supercontig:GCA_000165425.1:CT868474:300280:303204:-1 gene:GSPATT00017889001 transcript:CAK83559 MSSYFCEQDFKDFTSPRFILDGATPHVDPKLGSNSDVQFQSEISNMESMRSLKQETTLNK NKLMKKNSQILSIKFDKLQNTHSQFQIENKEDDGLQLKQVLGKQMLLKFKDRLLSNAHLL TKQMMEEKKNLLNYLFLDDALLEGKRTKKKQTFSKLEQCFTFPVFLPQDRFVKVWSLFSI LCSFFILWLSPFIASFQLQQSQEIQRITEIVFLYLLIDGLVANNKAILVQGELIVSRKRI IQNYLRFQIVNDLVNFIIWLIMYQGIENIQIAQALSIIQIIIIIFTVYNKINNFVDCLYL NGRLSEILDLIFLIISLYYFIHIIGCLWHYLALVCEQLNQQSWLSKYSLENQSYWVKYDY AIYWATMTMVTVGYGDITASNPIEILFSNFTMFVSSFVFAYSVNSIGMIIKNFYDQKNQY KRQLILINTFMKNNLVDDSIQNRVRNYLKNQVDQEGKSNKIEAQQILEKLPTGLKNEVNF NIKARIIKNVKILFNNFSKPTLNQVTQQIEQINCIPNDIIYNPEERHKDFHIYYIDSGQV KLVEIRTKKIVQTYNEGQTFGEYQFMSGFDSKFMIVSSQFTQLYKISRQDFMKVLQNNQK DKELFQQIKDHLIYLSDYSLIGKKCSLCNKADHMNSDCFLISYKPNLETLIKREGFTLQQ RSLIRRNDRQKLKVLSSINGIMNTVVQFQQQELISNQNETQTVISGTKRFKDSTTRRQSD LKMEVHEGSSLSDQSPDIRQERIQDTNTVAQQKLNSRRQSHILTGKFVTSLQSNKHIEYK DKRKISIGYQRESSYINPDGQSTQLIQQKSLKQGLSSNIIIEIDDHNKHISKSLNLDIDK IYQFQNYMPQSNVSSIILSLNKQKSKISSIYASNLKKAFKFTFYYKVAKLACGMRLIMSS TSRRHLKPTGVKKNKEQFQQ >CAK83560 pep:novel supercontig:GCA_000165425.1:CT868474:303968:304729:1 gene:GSPATT00017890001 transcript:CAK83560 MIEYTPADQIDDYFLFKNYQMNSFLNIAIVISHYLKEIIIYWQHAFSRDPKRKIFNFIYF QSITTLSIRILDRLQIYCYSIPKFLGNTNFILMLDFIMRFMIFVMIEDSLNSQIFLMNND LSWQEQNNQFLTRNSLSGLQVRKCNRQLDDILNMLHNQQIDLNNEIKSCFTFHNIFGSPQ QTFPTFLNCFISFVSIRFFSPFWIIKIEFSLVDFDQKMIFWEQFTKYSYCKRFDELQTID NSY >CAK83561 pep:novel supercontig:GCA_000165425.1:CT868474:304877:305608:-1 gene:GSPATT00017891001 transcript:CAK83561 MNQIEKMLIYLFGFDSSQIEGLQINKKFEHNIIQVLYQNAIASITIYFFTEMILLIQLLK FPLNSKKPLIDMEKYLSFNHILLNLSQFIFLFFTLLILWHAFGIVIILFLLIHSILQYYV GNIRQSSFSNSWIQQQNLQHSALFPKYGYQFQWETATMVTLGYGDVTPYNIYEDICAIIM IFFAIVVFAFQINAIAIIFSNIDLQKQSQKRNLLLVNQYMDKNEASLQLQS >CAK83562 pep:novel supercontig:GCA_000165425.1:CT868474:305660:306110:-1 gene:GSPATT00017892001 transcript:CAK83562 MDQQESTRSINKSQISIDISIGTSRYQDKFQPQLIHIINTNHIQKNKWDSQDQSSVYEKW HEDIAHDMQMRQTRVTLKKASNYYTASQFPQDRIRMQEASTQQETRFDQIQVDFQRSVEN IHQHPKIPIIIQPVSFGNSQN >CAK83563 pep:novel supercontig:GCA_000165425.1:CT868474:306155:306802:1 gene:GSPATT00017893001 transcript:CAK83563 MQGNIKEAFLKLDHFYTSSQKGRTKYLILFTYEHSITTPALLLRVYEGRTIWILQILEKH IEEMRSKSEIKGTLNSFLDMMLQALESNQYQLTLENLNLQILFEFQLTQGVFIKSVLDLG EGFVIESKDSIEFSKDFTIDLYESMRYKFIALKNQKESEIALVKQQTIASKDKDVPKVFQ QLSEVKEEPPKKRVVNGDIVMPNKKKRKAIGARFE >CAK83564 pep:novel supercontig:GCA_000165425.1:CT868474:306828:307490:-1 gene:GSPATT00017894001 transcript:CAK83564 MTTKKIHINILHTTNELPTQRKLETDKYTKQRYHSQRIRVSAFDDYGNPKKKDWKDFYEK QLSEIKSLYPNATHNELTSIISKKWKKAKKNLKYFDQLAQKIKKEPIKNNSESILNSDNN LENLQLSKESDQIIEKLRSSSIKLILNNLVHEIKGETILDAINQNRLIIYLNHPKEIQNT KQEDTYNCMQQTEDDQIQDKEQDKGNDDNFQIVNSIKDYL >CAK83565 pep:novel supercontig:GCA_000165425.1:CT868474:307668:308380:1 gene:GSPATT00017895001 transcript:CAK83565 MRSTYSPIISSPCKFDIPITKCTEIQQRLDYQTWSDVKKGIRDKVQSRVQGFENQKFIQK SDLLYNFKNSDQKLSSQALALRSSTSYVKNHLENKFPKQESPIKMGLTHAIYSPSQKPSI PVDEIKRSNIYPSAFGTLTEKDNCKTKSSKRDLIGSFQSSFDVINFSRKNKPITDRVSLT EIIKISNHLSDLQQTDITSLNNGYISELVGLQQNISKLLNHANSKLNK >CAK83566 pep:novel supercontig:GCA_000165425.1:CT868474:311631:313035:-1 gene:GSPATT00017896001 transcript:CAK83566 MYYPTCQPYQSCHIPLTQCVTQQQCLVQTTQPDINGAIINEREQLRMQLMELEDRYHIDI EELKINLLSESERILQKSLNELVQQYEPQIHQKDSQINVLTQKLQAAESTLESVQVENSK LKEVIFKKKDKIKYFKQEMENQNNFHIQKLNEQEQFWRERLNKELNQQKQVLLQEFQFEK KSLEEQIYKLKSQLALFDEKYQQILFELEKIKQQLQEKTHECEEWKTKCRRLEHMESIKP QTLEHSPSRDRILELRIEQLEREIRVKDAKLAKKKEKVQTVIQHVPQQVIVEKPIERIIE IEKIVPVERIIRERVPARQPIVVQQNIQKKVESESDDEELTEEIRVLKRKIVELQQVIAN LKAQLDDSLNERDDLQHNYEILLAKVRQLEDLLRSRNRELQDKVSSLQNVKKDEDKIIVH VNQGKNKTSHVVKR >CAK83567 pep:novel supercontig:GCA_000165425.1:CT868474:313170:313840:1 gene:GSPATT00017897001 transcript:CAK83567 MGGRKRTFKVLKKPKTMKPRAYFDCAVCGWKDCIIIKIKKCYKTSKLECERCETKFDMKV RSLDEPIDIYHEWLHKLKTKAITPYPNRMQDEYDDTGDEANENDVEQLLKNQKGKKNKRK RIKRNASEEDMSSKNDKVSDSEEALDRDQDISEDSLLNKQKVEDNIDDNQEDEKENDQED EKEDSEDESYNIKEQYKRKRGKKK >CAK83568 pep:novel supercontig:GCA_000165425.1:CT868474:313868:316500:-1 gene:GSPATT00017898001 transcript:CAK83568 MDQNQEKKNEKKAPFIITAPKQVISSAYQHISDFEEKCRFIVDESGNKVFQKLNPPPEKP NVKAGYTELLRRKFPYQDDPYERKECITRYEQLQNKVKIQCPFKCPRNHKIKEKEDHKEK NDEYGRKVIKRNISRLMSATKSKEQTEKLNKLMVKADTLFRSRKIVNTAKQSQNASGFDK GVFANVDKWKNVLVTLEKKDEEELQKSKLSFQKQRDEQKQQHIIESASKRNEKQVKELKD MEIKEQREKKNKQMKQQMIQKELRYKEIQEQQDLKFRNYEQQQNTSKITENPKIDLTPIN KTIFSHQQEGTKMFKPATPSSFQGKQNEQDLTNQSYHFIQNKQEINKQTIAEKSNQVQHQ AISKQKSTVQTPKSDTSKLKQAQKAQIEVKSVKSNQLSQNKDKVKKENSIINQTRQNKSQ RSYSSRLKSEEERKSNYYSEERKIQDKDETSRYSEERKSNRKTPKAKNQKADERIIMKPQ NFTRATSFKNPKIPKYENQQTLIVRASSSGKRVQEEMPLNLNKITLPKKLNATYDILEKV DLSKQMQPQKEDQYDELINEVKIEQNQQSLKNFTELYNSKIDNQIVIDKLNEDSQISNNI QISQDLLINKIDMLDYNSHSNQIDKPKENLINKQNDRPNDRPNEELSINRYFKNDLQMIK DDRINENQQIIQEVQNKQDLPINKIEDSPSNKIDISNEDQTIKQLDQQNERNDEVIVIKK GGQNMEFIEQPVIQGLLNINDPNRNEKEKVQKMTEQGKQISMIKNSTPIQLQYQKGISQT QSTNSLLIKQESNFLKDSLLTESLVESQFKETKGLDKKLLSSQLNEQDSINLSESQVTLK SALQQSQLQQKQQ >CAK83569 pep:novel supercontig:GCA_000165425.1:CT868474:316635:317310:1 gene:GSPATT00017899001 transcript:CAK83569 MDCYPKLLLINISELKISKIIPINIYYLTYKKEQQIVNRMRKMLILAFQLGIHQNRLKEK LKLRISYQLEIPSKVSQKKYVKFDGIYFEGEKYCLELEFQDKIANIRQYYNYINISIFIK STVNFYKACVSKRNNGQLVKTLLKLKWWWLVEENYKDNFNFLWESSRNDNFIITMPYQEQ DNNYNCNVSEQQGDQDILLNDILMENCFLKIHKLNEFI >CAK83570 pep:novel supercontig:GCA_000165425.1:CT868474:317570:317875:1 gene:GSPATT00017900001 transcript:CAK83570 MQKKFGLSNLKKQPIKDISTIPCYIIKENLIFDALYFLQGLMANRKDIGIRMAKSELLLK IFNLNNLSNKMIHLTNSLMLFLSFQIHQKEKIHLNYLDQIS >CAK83571 pep:novel supercontig:GCA_000165425.1:CT868474:317879:318424:1 gene:GSPATT00017901001 transcript:CAK83571 MNPLTLGQLKLIQILVQNKQAHQIENLLKIVIVTLNPTLFFSHKKFVSECLNKKYELIYD TSKMLKLKKNCERLVTYQFILMQLNNFLSTYNLIMLNKQCYFNCLNGFFQYNRKNITFKP RNLVQFNYDISQNQNKVQIYQYFSDHMSIQMQIFKSNFEIQDIKMINIGNSQRIYKFFIF F >CAK83572 pep:novel supercontig:GCA_000165425.1:CT868474:318452:321073:-1 gene:GSPATT00017902001 transcript:CAK83572 MFVNQVIESPAKEFQQRRFILLKQIGQGIEGEVFEAKVENQSFYQTNVAIKLYKEFKERE EQLIDWILEYQKKDDGKQSFLVKIFERIMYNNQTYLVMELGQCSLKQFLDSKTLNYDEKV DICFQISKSIQFLHNNHYLHRDIKPENFIKFQNQFKLTDFGLTKQSKTIQQRLDSIVGTP QYQAPETKTEKYSQEIDIWSLGCTFYEIFQNEPFFKGKNHKEIEKQQKRFKENREKYLEE VNLDKIDNHWKILIIKMLNKPENRPNIKDVVDEIQNIYNQLPFGKNIFNYLNREDKFYTI YENQKEYTLVVYGIGTINQEFINWLRSHQHDNKIDIPLHTNIQVNHIIDNKGYLVMESLS IELQEYLYQKNNLSLQEKLLIILDISKSIQYLHQNNYCHTDIHLDQYFKVNNNWKLAKFH SISKYQPEQQPQTDFLELDAKQKDKFRLGCLFYQILQGSVLFGSRSLQANQKRQIQSDIS NYLNQKEQILNKIKQIQFEQLILPMLQSIDESNSSIDLNQVIKFIQDYLNNYQQQVNSIF DAPTGQFPKRYFVLSKLIGDGGEGAVYQAKAVNETFYSTEVALKIQQKMKDQELQFIDYL IDYQKNKEQTAFQKSNLIRVYERFEYQKQQVLIMELGIKDMNSVLKTNRIPQQQKEQICQ QIAQSIAFLHKLGLIHRDIKPENFIQVGSIYKLIDFGLVKHGEQNLRKTKMVGSPQYQAP EIINGSDDYTASVDIWSLGCLFFEIFKQSPLFNGSSIREIQQSIFNYCQNQQQVRFEIQQ LQIREELKNLLSQMIDPIPHKRPNIDSVQKQLQLKFPQSINLVQSTTPYQ >CAK83573 pep:novel supercontig:GCA_000165425.1:CT868474:322331:328726:1 gene:GSPATT00017903001 transcript:CAK83573 MIILILIQVVNSQVCNCGQLKSQSDCKLNTICQWNNNSCNEKQNQTIGTYCKQFDQSKCT TITGCMYYDSQCQQFTGCTSFEYNTDELCKSVSSSCISNGEVCIDLGECISYVQKSTCKL DLRNQLCYWDGQACSLADACSKLPYTTDEACRKAKSTCTVNFAEKACMDGGNCKDMQKQS QCFWNKSQTIKCKWISGKCQDYICMNAPTTMTTDAECEAYLSGCTTQAGGGCTFRTTCVK ASNQNACVSDSNGAKCTWMDTYCSDRYCSQGSKSFTTNSQCDSFLKGCIAKPQGGCVQNK ECDAALSQETCQQDIHGNQCVWNKSICQLKICKNAPIIENIDHNYCEQFLSSCTMVAGQC ATKTCENASLSITNCRQFLSGCVSKLNGGCQRVTTCAQIINQDDCLKDSKNQLCFWFNDK CIDQQCNVIQKQVNQECSSILQNCIDDKTGNCLDYQCQNIWNQGQCTVDFYQSQCTWKPV CYLKTCYNAPKTLNTHQFCNNYLSSCTVNKDKKGCMEITNNCEAYKIQESCVKKLDNSQC EWSNEKCLSKSCETADKIKYVSMELCNEYLSGCVVSPSFKGCMTLPQKCPPRLIDVVCLF DDSCYWYQDECIEKSKTCDNTPKPFCNLGCVWANEKCVQNLCNVIPNQSHQMCNAINNSC TVNEKRSGCITLLQKCSDYKTNYQCQKSKTQKCFWNGTECLEFQCTDIPDDTTKFDTFEK CQEESSTCTVIPVLNQTGCLSRLSKCELYTLNQCTYTLGGKECIWLDGLCQQLDKLNCSS IVDYSNCDAILFQCKQSGEICVNKLCSEILVEDFSLTFSKCQSYSQTCSIHASLNSCIDI QNDCNQYLIEEHCIQSKTSLCHYFNNKCVNRNSIYGCSTVKLETYTDLKCKQFKQFCKLN STSNGCADTGCILYFGLTSLADCEGVDNTCTVNSARTGCTTKVQMCSSLDQDNCIKAQEG FCAWVSTKCQLFKDNDCSQITLFQYSHQLCNQVSAKCSANAQVTQCITMTDDCSLNLQSN CFQSKNSFCFYNGTTCANISTITSCSDIKVTSKVICDRYDGSQNPLAICTFVAGNSNCIP RTCQNYPDNKFSHQNCSTWLATCTQNIAKTSCITMEQTCDLYIEGTCYKSQDSYCQFNST CLNVPSTCNQIAADERCTQNSLCMINNTPKCVDKTCENYQNSAGYNHNVCSSFLATCTVL KDLTQCMTLLDDCTKNLIQNQCTKSLSGDCIWLQNKCVAATCDTVTDCESYNCIVKKQAG CTNLFENCSLYPTHIQCKKSINKICYWNGSICVDLTCENLKGVGFNSFSDCNTILDSCTI DGSKVQQCMTKKECSQYQYEIECSKDVLNKECFWKNNACYLKSCNVLVLSQYSHVTCMDQ IINNKQHTCTINDNGAGCIELKQCSSYKSENNCIIDIAGQMCGWKNEICNIKSCQTAPIN VNTHEDCYKYLNGCTINATNDGCIKIPDQCSLMNESQCKTDNCTWQDSKCQDKTCSNAPQ DLISESQCNAYLKNCGFVNNQKCFQFQCEDVELKSHQECYDNYKCTSNGFRCTAIQEQCY YYTTSISCVIDVTKSPCTWVEKSLECQIRRCDNAPQSLITNDSCNIYYPNCTTKAGGGCQ ELQELCTSYTVQEGCLKTLNKTQCVWDSNQCRDKSCVDLFGSTHAQCNGQDSNCTIGLQG KCAIQNNCSTKVNQETCIVGADGPCLWIIKNNIGQCYQYSDCSSLKWKTDQDCKLISPLC TTNGENCIAVQKCSLNKISGCYTGIDGPCILTIDSNNIKSCQLFKNCTQAKFTIHEDCQL ANINCTTNTIDSCIALNDNCSSYKLAGQCKQNNKGIQYTEDNNINSTGICVWESGLCRDQ SCQDLKANNHDECSKQLISCTYNSIGCISIAKCSQYQDQNTCLSAVASDGICIWDKSICR IKLCIDIFEPKSQIDCQSNMNSCIYDEANKICVPKSNCSSYKSESLCNQGSITGSCVWQS TNCIDFTLCNMANSSETVCNSNSFCNWVTKVSNQQNSTNTTTQQCESYTCATWYNTKKKC EPFLSFDKSTYNYCSMNNNTCVSISVSQFDQTNCYLFSKYTYTWDQTLQNCVICQKAINN TNNNTNNTNQTIDQKAFIFKFYILIILIIFY >CAK83574 pep:novel supercontig:GCA_000165425.1:CT868474:328790:330957:1 gene:GSPATT00017904001 transcript:CAK83574 MLDHTHQISIPSQENPNRNYISTQIISQDGETVVYEGKLIENEKEINVVIRCQCNNKDSE LEFIDWLISQQKQNQAREIIKIYEKIENNHKTYLITEFSSLKLSNYLKENKQLSRLQKIG ICNQTLDVILFLHHNQYFNGNILSENFVQVGNIFKLANFGFRKFYQKTDENQKIIDIQSL GCLFYEIFKGEKLFQGQIELESQKYNDFNFKGIEFIPKVNDISWQQMSNLILEMIQKKIQ DIQKIQDGLNNLREKQLQPPLPQFYNYQQQVNSIFDAPTGQFPKRYFVLSKLIGDGGEGA VYQAKAVNETFYSTEVALKIQQKMKDQELQFIDYLIDYQKNKEQTAFQKSNLIRVYERFE YQKQQVLIMELGIKDMNSVLKTNRIPQQQKEQICQQIAQSIAFLHKLGLIHRDIKPENFI QVGSIYKLIDFGLVKHGEQNLRKTKMVGSPQYQAPEIINGSDDYTASVDIWSLGCLFFEI FKQSPLFNGSSIREIQQSIFNYCQNQQQVRFEIQQLQIREELKNLLSQMIDPIPHKRPNI DSVQKQLQLKFPQSINLVQSAGNNQAGEQRTKPIFQTTTEVRINDNKNNEKQQKYNENIS KLDQIVKDIYEQYFDNSNWDCQKKIAETQQNFRDHLNNQIIQLGQKSLPNSLGQSVGQVQ NQIFNNQFHPSTYSPQQQTNIINNFHPAQ >CAK83575 pep:novel supercontig:GCA_000165425.1:CT868474:331616:332037:-1 gene:GSPATT00017905001 transcript:CAK83575 MNYAKGENFECNLIRKNKLIRNLQILKKISERFNNEKNQQDHRFDNTIQIEGQEIKSYGS KYFGQFQYGNKEGRGILILSDGSKYDNIYFLDMRVNSKIASLMGKEPFNRMMILFIQELL QKDKFQDLVSI >CAK83576 pep:novel supercontig:GCA_000165425.1:CT868474:332170:332382:-1 gene:GSPATT00017906001 transcript:CAK83576 MSTYKKNQYQRQVICNQAKELDKKTISADDDNSKLYSAALDSRLLEVISKLPKHLKNKSQ TVQFKKQIIS >CAK83577 pep:novel supercontig:GCA_000165425.1:CT868474:332764:333950:-1 gene:GSPATT00017907001 transcript:CAK83577 MRALTIICLVAAVFALDTNKFAVLLQAGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWEALGAVRTDVEAQIRDGYSWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHADAIGVVQLLEQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDALQKFQSLAEVKREGTTGEQVLAILQDLQSELESTLATLQEQEIHAAFALAK YVSDTNAEVDWLNSEHERRTSLVEKLETQLPAILAQQAKALKLWKDSLNAVAGATADLEE KREFYASETVRRQEENAIIDVVIQLFKDQVRSLASQTSLGRK >CAK83578 pep:novel supercontig:GCA_000165425.1:CT868474:334113:334457:-1 gene:GSPATT00017908001 transcript:CAK83578 MLKQQPQSAKSGNAIKQKKETLERFAYCNSQQLFQNNIFDLRQFIKQNYEKYCELLDRVE YAKLIVKQKKENLELLKSKSENKTNKPRVNLCVLDELSQIKRQIEVQTVCQQRN >CAK83579 pep:novel supercontig:GCA_000165425.1:CT868474:334481:336192:1 gene:GSPATT00017909001 transcript:CAK83579 MKQNNYKTSQIDLSSIGEESNTQSDVELEQIDMAKMNCYEYYTAMDPLSTQVFQIDGEHH IKELFNRLIKKVIVKAQENEILRKLPTVSASNSLNLLVMPLVQAFCKPENPNKDIQSGGL GEDFEPLHIQQEQWLTSKINMEIKPEIRPNTCQTSSPMTFARSKVFDQTQPIKEKKQLDP MPIDLVDPLDISITEEQLRNEKERQTKEKLELNEAEKRRKLKEEKEEQLKYELMAKDKKS KQYTYDYDGKLISVNLAKGTKLPPPASTLGSKFDEDQTAKVNPKKKYNKVPWNNKKTDDE KDKEKDTFKFNQIAPLVVENMNLQPGIVVIHEGRIKEGTRPNTVDLQKMNNPNLRMARNE YLSLTQQMNSSINHNVQIKAEDKPIKEIDKGKGFNYDRLKRLSQQSNNQLGVIKINSTKI FEQLAQAFFEEPEELPKIINQQTSKSSQENTLKGVKSPIDQFNLSLYKNNVVGKDQQNEI GINYEKLKTLKANSRYYNNHFRELKSSIGSRSNRPRERKMLSEQSTLPTVRSQKNFKL >CAK83580 pep:novel supercontig:GCA_000165425.1:CT868474:336252:337952:1 gene:GSPATT00017910001 transcript:CAK83580 MELLRDPSHDRVVKTLKPPPHYPLSRQLMFPDKLKNKPDWRLLLDHLSKEGRIAKEDLFK LVQECNKIFKNEGNLIYLHDPLTVVGDIHGQYYDLVKMFDVGGNIESTKYLFLGDFVDRG SFSIEVIVLVYAIKINFPNTVFFLRGNHECRQLTSFFNFKDECLYKYDQETYDFLMDSFD LFPLACVVNNKFLAIHGGISPDLKTLEDIKKIDRYHEPPRSGLFCDILWSDPVDNDQGSL ENGWKGNEIRGCSWFFGVDAVHRFLQRNNLISVIRAHEAQLDGYKMHRWKSSSDFPVVIT IFSAPNYCDVYKNKGAVIKFENNTLNIQQFKDTPHPYLLPNFMDVFSWSIPFVTEKVTEM LYMLLKHEDQDQESGDEKINQEDIEKFKQITQSEKTKIFDKNKSLQKQLSKTGKEKLRSR LKFVATMMKMQKTLREENQSIVQLKGACPDKRLPKGLLLQGKEAITDALQEFNYMKLADS INEKMPNIHIPQQSIQIKKPGQSSKKK >CAK83581 pep:novel supercontig:GCA_000165425.1:CT868474:339928:341031:-1 gene:GSPATT00017911001 transcript:CAK83581 MLKLKTSQQPKNQAPKPEASKIVKKDDQKPSIDLEEEQEETNLVVVAKKPEKKQPTIQQT STDGWQQSDESKELPTNGIGKKPNSSLKKNDDPIIEIRNEEFPDLAVAAELPKQSKKQKN LQNQPKQDQKIQPTTNNAMIFTNSSSKPSSQAASNVQTKNTQLNSNSGSLTFTNTKKQEN TVLFQQQQQEQIKKTQQETQVQPQPQIQETQKSNLPQRQINITRNSNTLTSQAVPEQQKQ LKVEESIPTFINSKAKGNPPVVQQQKQQQEQQQEQQQQQEELPQQNEDEDSDGWETVEKK RKSKGAPLQRNQKTN >CAK83582 pep:novel supercontig:GCA_000165425.1:CT868474:341062:342328:1 gene:GSPATT00017912001 transcript:CAK83582 MRKVFIQIKKELLKFNELIRSLAILALVVTITTAKFIDIHTTLAQINANPFGNVVLSAIK AHLQAQTPANEVNMLLNAVDAGIVQDQNDHDHAFELDTTTNNRIVEDLDKEILYHQNQIA ANTQLRDDTIESLAVSEEDIRVTIQDIANIEATYAREETTRNQQHETFIAKTTAIDDVID AIDDAAKLIQHLSLGASFAQIKTKFDSLHKKLLDNTSHTALLQPVITALTELATHGVNQK ALTKIAQLLSEIRQQLVQEKAAKTDVEERQAAHWAEFSVHLANEHTRLGERKAQLEVQIQ EQKDTIEDAISWIEFQTLELENSEERLAGQQTWFAVQSQIYETQTAERTAQQEIIDRLQE HISEKLSTTAQFIASRN >CAK83583 pep:novel supercontig:GCA_000165425.1:CT868474:342463:347133:1 gene:GSPATT00017913001 transcript:CAK83583 MPFQIKLFEKQVVFMLSHFLTLEYKMPKLFQLLIIIYSVTQSISYSFYYQYTQDEQLRHS LTKITSLTRLYLYIDNPNIKSGLFWLLAIVQNLIFLAASIVAYKNQYKMGALSFWTRFIS VIFTFYIYYFSTAFFPVIFEICLFQSSDLVQFCVSIIVMLETLIIMILGELVFRRGLILK STSQLYINMTSYSYIIKILKLMQIIFFFYLQTKFLSQMIQCVINILIQLCFIAEQIRAKV YAQKIYSSTTIIFSSIGLVLSFDVLLTQSTNKNNYWILVCIILIKILLSCEEFRIDKISN YGIHQLKYLFTFLDLSNHEKSITKLQIFMLFQSHQQSCDNKKTCFCTKHKNDQFVALIKI IESKIEESLHGKTKISKDLICTDYAQILFRSHQYVKAQQHITRFLTKQSPNYDKKQNPLS KITIFLLEYLKGEVLNQVMIQITEKIVLSNQHNKLLNESLKSFVINENSDSEIIANLKQI IQTKIQFYYNFIEHQNANFGNINYALQFIQQIKQFKEMLLDRYNQFPTSSNQNILKYFTL HILNDYIDSFNINRGKAFEDDKFNQFSGQMYHKIFGINPAYFITELNSELEVIITKKSQR AQYLLKQWKVQQHEQKYHANIELNAFLPEYVQLKHSSLIEKFLSEGQNKYYQQQNLSFLK QQEKVMMPIQIMVSMNQTNYDKFSFLTFFYESLDYRSYIVVDKHLEILNISSNISESLFL NEAKVHSSCVTKIIPNFQQLVSTHQHQFYNQEIKLVKEYRHQKVPCSFTGNIKIDRKFEN QYKCYFVELDNIRAISKKFKDENSTLYMASLTQFPGSSQKLLNINSEFDDSEEKMIPYIN FENHPIPENSLCIIQQEQQRQTINQTDTNLVCTLQMVELGKSHNIFEPLSQKRLLHSSKY SHDEDMKKQVNKIVEEDEFLEIGSTSSVTAIRRSKYYKKFEIITILMKSKKQSQKIVKMN KFIFLYIFVILLGLIIQILMVQNLEQFIEDIKTLSIRYDVVEPYESFYVSRFSQVNYREQ LGGGFINASVYAQLTKYPFSTFGLMYDHLRNSMYSVLERREIDQLSDNEFITISFLNKSY VGYTKNVTIRSLANILMNYQYDFKLGLTIRSVAFDSPFFYFTSKNYLTIKHTFDGLNKIV LDATLQRSQLEKEKWISVLLPFITISLFLCIIIINSYREYNQIMNSIFQYLMDLDQQILD GEKKRLQKHLSIVSNELEIIKKFEFDIEAIDAEFEEQNIVSNRNNSKRDGKRFKIKNNFL RTTAAIGFIHFLLFLTFYMTIYLIIERYFEKYDQTSIIFQRISDLGVDIPTLYAQREVLY RRTLRYFFLTDAEIDGVYDVFFQAYNKVEEFTQLNIDLSSDDYLFDENAVNFYQAINIGD LCEYQTEQFKELANSTCGLAMNGNLLKGLSQILPYILYTLKTQYDDSKNFTILPTNTRLE LEGATIIGNVMSTVISELYDNLLISCLKLINFTQIPCIIFLVFQSICIVIYIAIMNENHK QQFQSIKQSIFLFPRQTLIFDDQFYRNFRSIIKDEGIQQ >CAK83584 pep:novel supercontig:GCA_000165425.1:CT868474:347435:348224:-1 gene:GSPATT00017914001 transcript:CAK83584 MTSKKQLIDEICINKQIIQVEICDSILEKAYKNQQCLQILIQKYPLNEGIQSFQLVPVSQ YEDQSRNQLKQLTIEQLAYLLEDAQHTLDQVMYEELQINKLGLRMPKKSLLVQNYEKWDF LLQWPKSNNLESIIINVQSITNNIQAQLQRSKQKSDDQDDLQKKYQEALEKIEYLEMQLE QGTNKTINQGIIIQGNILNTRNQMNRSKQPKRDKIELMDELEKKLQDLETEKEKMSSYSM DAFSKLLQTKQY >CAK83585 pep:novel supercontig:GCA_000165425.1:CT868474:348250:350090:-1 gene:GSPATT00017915001 transcript:CAK83585 MNQQNSTNFSPLEKKTLNFTKNGQFQLIKLLDQDGNEALFDAQPTNNNNSQLFAIRIYKA MSDQEKSLIRELIDHQEKNDNDPIKTSGIIRIYETGLFSNFQTILMERGESNLYDYIQKN ENISMDKRIEICTDLFKSIKFMNSKGIFHKQIRPESFILIENKFKLINFWQAIKGQDSKK HQIKDFQQILYQAPEILNQKPDFLQSVDTWSLGCVIYWTLAGKSFFVSSEIEDLKNKIKD FKDKETNQYYLKRIDSLSVPSYVKENLKSMLEYKRYKRIKIADVQESFTNKLSPGQQNNV VQPQGNPPINPLFQTQIIPNIQNKTNLQFQPQMNQVLQPPPNSVQSYQQQFQQPNQPIQF KQPTQLQAAPFPQQNIQHQQQLSSGHIEQVCQLLLGEIKKQICQNQQQQIVDRDGKNEIT ESGSIQYQNNVEDAFNEIVRIMQNLNDNVQAVQTQQIEDIKNEYEQKLEQKTQEIDQLIQ QLKNTEQQQQFSQNHASDQNNMQLQGSASSLNAKLQEQPIITEQQPKDVLEKQNLEDLVN EVIKRFEEGKQLQEQDKQQQLPKEQDYDIFMKLYQTLQAGLNNF >CAK83586 pep:novel supercontig:GCA_000165425.1:CT868474:350139:351040:1 gene:GSPATT00017916001 transcript:CAK83586 MNDLIVPIVTVVLSLISILSCGFVIYIYGRFRELRNDQFTIVLQIILFDLIYDLILFSDS IGYLFLRNSTFQLSEKPVLCQAQSFFSVYSVLSSTFWTSIIIHSLYISLRESEPNQYMQS YYPGLGYGIPLLISIIPIIIDAYGQYYPMPQTNCFFDIYNENMELYILVFFDIPIWTMFL YNIIVIILVIRRINQNSTINQSLYSLFMYPMILVICWIIPGFVNIIGVKSVIWKSINFGL GSLIGFFDAYWYCYTSLSDRLTFENGKMILRIKENAEEQELPKI >CAK83587 pep:novel supercontig:GCA_000165425.1:CT868474:351210:351561:1 gene:GSPATT00017917001 transcript:CAK83587 MKFYICYHIILLIITKKFEYERHYFRDHLLRCYEFQFPFCIPNSTNTWEYIYKIPEIDET IHQEMIDNPFETKSDSFYFVGDQLVMQNKAEYDYSE >CAK83588 pep:novel supercontig:GCA_000165425.1:CT868474:352203:353738:-1 gene:GSPATT00017918001 transcript:CAK83588 MLKMVNAHNKIQLLTSSNMINSQSKDEDLIKKILNHLNTTCSYGQVFELDTSEDIQLEQP KYRKQQLKKLIEAARKAFKKLCEDQLKQIKGVIVPNAFKLQMSFDVVKNQALKIPFKTLF YDKLILNPLFCDFWVIVEKISKSENALDEIQKIDADEYYVILLFWKLRQLLIRKHQNNAK FEILLYPHQLNFGICKIENNKETIYFHKIDHKIQFLIKNSLFHNTISTAIQQKKLKQFQV VLFEKNDEIQLELFNAEINNQLKTKRTSFGKKISSQFTKNNIQYKIKYEKRRGLFVQNSR KPGGFYYEGFVAFENTNKYPKYRYEYLYLFQEFHIRNPINYYQSVICLNDDDWQDNLKHF IKLELNDDPICECGRQFGPYDCDTFMIDSKINQTFKYIIQQLIVSKEYLLYTTLISQPIF VEIETREYDNQFFVLYYDKEDKDYTIKKKKIMEILFQGKILNYDLDQIKDREERSLFEED YYYQDYNTYNNYESAGLNQVINEQTCKLKQK >CAK83589 pep:novel supercontig:GCA_000165425.1:CT868474:354536:354970:-1 gene:GSPATT00017919001 transcript:CAK83589 MLHFQIYYSDTLYFTPQYQRLYETIIVLDSIDFWIIKAIRKQLNQENQSDYVGGLIDFGN ESWVFETSSQQKGEVQMIHSRIYWFAQLFMFLMLVGMFIINASWLQISALLGMFFPTAFN GYNLYAFNLCNKLRDPNQRNHSYR >CAK83590 pep:novel supercontig:GCA_000165425.1:CT868474:355526:356209:1 gene:GSPATT00017920001 transcript:CAK83590 MSESEIQTFKDLWQSSLKAIQSGQEYRLKTKVSPQIKQNIDDPIEQEPAIVKKIKTNVDT QIIKKEEADVEFTDDSGDETSSKEEKVEDLKASKYLDYQISKNESLREKTLFDKIRELDQ LKNLIKVEEEDDGETEEPRVFDTQVYAQKINTENAVSRIRPNKSQKMSLEYVLIREKNNQ EAIYPQAQLLFNFKTNKKKNSQ >CAK83591 pep:novel supercontig:GCA_000165425.1:CT868474:356253:356510:-1 gene:GSPATT00017921001 transcript:CAK83591 MDQQIKKEDEEFQIDEIQYQISSAKVDGKEYYLYEYKKELNKDENDKLTQFLSKLETGLK GEEGMEGVMSICKGWKKECEKKQFQ >CAK83592 pep:novel supercontig:GCA_000165425.1:CT868474:356620:357784:1 gene:GSPATT00017922001 transcript:CAK83592 MECNLIMIGDQKVGKSSILLRLSTNQFSDSYEPTIWATVKKKIDINNISINLNFTDICCQ EHYLSIRKNSYINKDIIIIVFDINQIASFHNVLQHWNQETCQLKNIKKIIIANKIDLREN DEQIEDYKLQVKESFKDCHFFSCSAKTGENIDEVFKEIAKILIESSPKKKEEQLHREEFC SCLIF >CAK83593 pep:novel supercontig:GCA_000165425.1:CT868474:359076:360101:1 gene:GSPATT00017923001 transcript:CAK83593 MNQLSFLENNDNIEQPISLNLIDNTNQQRDFCYALSFNNDNTILITNSENLILIWKFEFG KMELIQSVSGHTEDVNCLIFSKKTNNFISGSNDNCIRCWKQVSSKEWVSSQEFERNSYIY TLKLNNKENQLISGSFHGQINVWNLDFDSGENELKLIQSLDKHTSTVYDLSLNQSETQFI SCSKDNTIIVWGLGLNNYWEFKYIVKQSIDDFGCKVHFINDDQFIWVSGGQESQDCIYTF GLNKGVFEEIPEAKVQLIKDITNFDIFQFPIIYQEQQNIMIIRHKTHIYVIQRQLNGKFK ICYQLNYQTNVIFGTLTNDSKYLVVKSNTNNKYMIYEVILN >CAK83594 pep:novel supercontig:GCA_000165425.1:CT868474:360560:361144:-1 gene:GSPATT00017924001 transcript:CAK83594 MFVDKNLPFTKSKLQKTDIREIGSKKYNFRVSTKKKYSKYQRINNSKLMRLDINLYIKME ITLYSQIYHNESSDWLYQNFINYRCLKSADKIKVQIRQIMQKQQISLTKTDPSNALYYTK TKKLQLLVSYMKVKDTIFLNFCCISQPFLGYQICNYYVQLQLNSCYTSMRFLFQQLC >CAK83595 pep:novel supercontig:GCA_000165425.1:CT868474:361227:362098:-1 gene:GSPATT00017925001 transcript:CAK83595 MQNIKKSKYRLKDVIEGKTINDEKSAQKFVQLSHIKKNVSEFNELKSTLQDRKVTFAKFA FRQQELIDDKKTWPCLKRNMYKNKNRFYVNNRVNTKVRSLQISQIISVIILTQKSLEPSK RVLRRYAHWEWDQEQDDKKEVPPSYKFTSKTEFEYKKKLETKDAEKKLRKDIIKKWASSL PDVAGLTAFGKQFTMQSSPLRSTKTTLSKTHTHFSSNPRSESLLFVSGLIHELDEIKQDN SKIRKKLRGTQTNLQKIIEKQQQQKVAFMEINGQ >CAK83596 pep:novel supercontig:GCA_000165425.1:CT868474:362560:364038:1 gene:GSPATT00017926001 transcript:CAK83596 MQLNYEILQEIYSSPRVRIQKVRSLQDNQTYIQKTYLQNKNEGLNAIKMLAQIKNQFVLQ VIEYLENEEQQLVIIQEYCDQGDLKTFTLQHIGYRMQESIIWSLFLQMAYVLLQLNELGI QHRVLIPENILLLVRTEGYQIRITDFHKSSRQTLCQSPCAAIYLPYEYFFEGHYTQKSQI WQIGHILYYMVSQNLLFPTSTLESQVKEQLRRGRIQINIPDTYSPGLIQLINVCLTIREN QRPNILQILRMKEVREALARPYYEFSLDQRRHLMYWINSSETAISNPIQYSFNEFDLHSP RQIQKDVVVKKNFKNTKLPEIFQQNQNAKKSSTDRFPESDNAKSEIKRKEDMYLQQQLLS KTYTNKYIQGVVKPISFHKKQQAIASTELPKFSIPYQRQLDPVFQNLLENKKQVCDQWIG ETEYMFLRSQIKFNKTDKLLNYLQTKHPRIDGSFILQQINDIADLEEQRNHQKFKV >CAK83597 pep:novel supercontig:GCA_000165425.1:CT868474:364113:367172:1 gene:GSPATT00017927001 transcript:CAK83597 MSQRLLRKSRTNLGEMSQSQSHSHTSPVMNEDGLRNFRIEFSDLSRKRLSDSGEVKDPTI YSQKRKSRLSQFSNFNPRQRDQSDHNLSVHQYTATTKQYYQLKWKELSGLPKQTDLQQIL RTFLVKLKRNANIWDQINNKYSYFKETYLQDEVRLQSQYISYMRQSFHYVRLLSLLTVPI QAGTLYIDQWIQGLVILFLVIEFIDQLLKIKLVKLNVVCNFIYIASTLLLNFELNQQGKI VLMILSLTGVQYQFQWSKCTLAIILLIYINYFANFWLLINDNDSTYLDSIIWTINNCLPF TAVDAQIESYSNKIISVAFQIMSFYFQILFISIFVKFSFSTNEQELFIDFLKINKVDQNL IDEAQDILNSNVHSNELQTVNNLMQQLPINIQKPLFFLIKSKKLQEIEFFTQYFSKQSID EIAFESILKFCKPNEVIVEKGQQDEYVYVVLQGEMGIYDNNIYLQSLPMNQAFGIENLIM NQGHNLTMRSKGNSILIQINHTKFLGTIKKINSDLETFHSIKNEVLFMNRIELLLQHCYV CGSYQHLSSLCSRVHVSLNKNLVISRHLYTRPQKRRKFDRKWDQRVNSLYNFKLVRSCGR RLKRNYGIVMGDAEVYERGEQEYDEEEEEEIYQGHLSYKDLTNPSRKSVEVVSGQTLRDI IYHDHIDNEEQLQYYQQQWQQQQDINRHQAVKQTIQTAGFQNEDLYFGDAINIPLQHKQF TQNSNTFKSSSGQTYQSQKSQQVKQQQQNQQQQQQQGQQQIPFQSKTYVQSPLGTNQFLI ANNRNAQPKLTFSYDPFYHEQNNKQTLPQPQPEYQAMARPQFRSSTNYIPSGPTQLPVVS EERDSQLNIPQMNVPYASAISISSVGISSIDDIQRRDGQNNTYTGPRRMTQKQTNTITST VSPDIQKKKSISGSNSRSYSALSSKQNQHYSDLDNVIFRYDVKDNFDIDQIGIYQQFKPM NNYDCVVNQIKIQSKKLIKQKKIK >CAK83598 pep:novel supercontig:GCA_000165425.1:CT868474:367201:368194:1 gene:GSPATT00017928001 transcript:CAK83598 MFKFLLRPSSLFSKRTTKLKHLIQSKELEFIMEAHNGLSALIVQEAGFKGIWASGLSMSA QLGVRDCNEASWTQLLEVLEFMAERTTIPILMDGDTGFGNYNNARRLVRKLEERGIAGVC FEDKIFPKRNSLGDGAQELANIQEFSNKIKACKDHQRDKDFQIVARCEAFIAGWGLDSAL ERCEAYRKAGVDAVLIHSKKSDFTEIEQFLKAWNNRLPVVLVPTNYYKTPTEEFRKNNVS LAIWANHNLRASVKAMQDTSKQIFERQNLLGLDQIASVKEVFRLQNEKGLEEDDKKYL >CAK83599 pep:novel supercontig:GCA_000165425.1:CT868474:368249:373823:-1 gene:GSPATT00017929001 transcript:CAK83599 MNSQPFYFETAFTIQKDLTEEYSEDKIQIKSNIKQLLNQLKSDQDKALNILGLYGYLETI INYMTTRYNANLQFDVELSNHTQACIGMIKLDSLLVFCYLIPENKKVDQVVESIETNLFR ILIDLCQNIIILPTEKILQKWIPIKDSQLLRNKMQNYQSEEINSNSCRCVEVQQKLAIKQ IQNYNLYYKNSQNSILFYDLKQEEYFKLVESYYQDQVKNIMLFGRLNEELEFPNPILERK YVQKINKDVMFSMTHYFSHFKDSVENGLYQQLQLKFSPQEFDFLKLVKFFLNHAFRKLNS FKDNTEVLKGPELIKEVKTSNIRMKSKELLAKLIRIVIKYINREFSKMQDFVNRKIKWIM EKIEIEQGVGQEFIVEMKLQRFKIFRNMDNTFKKIEFLDKDMNISKEKETKFQQQNYHSY QLIDFFQLYNLNEGKQSDYLLIMKCIMVESKKNCYHVYLLQENRKPVLVVIYTDLNNPYF YYALEQQTLYQFNLPKRIVISTLNKDKECIDTERVIELEETIYIIQYSYSEEYECFLLLS DDKKVYKWSANDQEFIQQLQSYQDEEGFVNEKEFDADYYNLIVCGSGKFYLLFKIQSVDI YDFNNTRISSIQFRSIYQQDQIYIFSDQYDSFILLAKNEDEQELYQLENFNKKSKLTSNQ NYLKQSSNYILDLIKQSFIVYGKNSSMLDVVDTQSNFYCSQKCGEIIQDCFYKIQLQKYS TLHIQEVFEIKSVNSRALLNVLSSRVPIQLCTFEYKRLIVLSDGLNKLNQNFDCIQIDRQ LKQISFGMIEELLDDYKKPIYVVSILGKQSGGKSYLLNQIFGIRFGVSSARCTDGIWCSQ VQLQGHQFLILDCEGLFGQRRNNIEEITLLSFLTAISDLTIFNQCSSYDRHLNELFDNLI EANTRLKGNQLFKSRLMILVRDIYQASSQNAQQELDGQMDSIINSSNNELLKQLLKNGVS AQLMSYFELEQFDRDVNQIREQILENAKNTVRWQDAQSLFTSMKITLLQLSVKDTRNVQD YELNYQIHKLKEQSNIYWLELPSYIIKKEDGNNISQQIVLTQTIEDNFSKLLEQAQDIVR VNIEQDSAFMQKFMRVDKLIQSFANKRISYIISIISREIEQKLVPIYELDRDMKQNILLD CENELKQHLHVYKLCGQTCSRCYALCTQYNGHLSKNGTKIEKVRAIIQKKKSKIQMIQKQ LDLEDGADLEQFEAAERLSLQELQVSLSRIKGDRTMVQRASALKEIQQKIYHVEGRIKKL NNMIELQESVNKEQEQIQQFNKQHICWSDTHLCEEKNCELLQSHFGEHISKGLKDDQCDK ECLLCQSQCILRIGHSNNSLHYCYSKHVCQETCLYCAEQCTQDPFVEHKHMCNLRYCNKS CSLGCQRQCSEQHSHELENEHFCNCHHQCDKLCNLPGICTVDGYVKRVKMWKSNAGSLYG QTIYEQVRRKEKCFKLIPIGENSHDLGHACSNRKHLCDQQCPGCKAYCYLESGHTNLHHT IYHNVIEPQQSCFKLDEKDENIQFCRPETCDEYCIRLGRGHSHAVECVDEFLCYQQQLNK TSKYYKAVHVSDNLDHVLCKQFWEILGWEQPIRGSKRIEISKCNAKCQTCNKFCNYLAWH DKGINTMNHKFECYNSHQIKSINIALIIDWTDEQNIQIAFKLLSICNSIKGEFNSGKAKF AIILYHDHHPHKEISSPTFILSDFTDSATLLSKLKDVKPKKATAISDYPNAVLDGLHEQQ QLSWSNKNSQKIVILLTQAPPHGHSKYHSFDDNYPKGCPCKLKEVDILKNYRMKQIHLII PELSCHLNLMIKIFQSYLPNLHSINTNKNMAETIIKYLFKYLQISQQSKV >CAK83600 pep:novel supercontig:GCA_000165425.1:CT868474:373947:374213:1 gene:GSPATT00017930001 transcript:CAK83600 MGYQAYSPPIDTKHYSNLAIVLTFIGFCFLSYFVIYQITQNKQQRSLTKELSVGLFASLF LSSGTMFSFLALGLYF >CAK83601 pep:novel supercontig:GCA_000165425.1:CT868474:374241:375056:-1 gene:GSPATT00017931001 transcript:CAK83601 METQNQSNLKLIKQLRSSQNQLLQEKQQFHKILSLKDAEFQLLQSQSREMQLQHQADSEQ LKQVINSQKSHLENQNNNHNFAYKKIIDETNDCEAKSEYADENDSVNNNNNNNNDGQGVD FQNGKDQELLSEEPANESIENNNNQIQQQNIYLEGESQIEDDSTKKENILSLKLSDLNPE LQGQNITQQTQLIKMEDLQEQQKQTDDQDTPDLQFDDDLNDVLVQINTYQEIKQVILKEE TPLDDNIIDFDQVGQQQEENNQLSGWDFEDI >CAK83602 pep:novel supercontig:GCA_000165425.1:CT868474:375163:376027:-1 gene:GSPATT00017932001 transcript:CAK83602 MNLTNLDQAIKQFESPNQTNQGLKITDMECKSEQKFDILENVQSNKRLKQYSKEQLELNK QNNWANAYYQTQQGNENVEENNSQNLEQNCYGFFGDDNKNASNYPNFVNQSYKLFASVQQ VIDMQRLFELEKNELEQRITQQRVRDELNLRQKLAEYELNVKQFMVQRNEFEKKLQETQQ LFKLSSVIDQSDNHQDIIMKLIQENQALSINSSNIIKEQKVKQKELELKVDHLIEFSDKI FKFEIKLQEEDKFNKIINQPIN >CAK83603 pep:novel supercontig:GCA_000165425.1:CT868474:376180:379241:-1 gene:GSPATT00017933001 transcript:CAK83603 MIVTSNIVNDLNTAFQLINMGTNDKIRQGEQLLQQIRGDIQYPKVLFDYFQAYEISLGLR AAIELKLWFKEYRNFDDYQAQYVQPVVQVIKQHIISAYIVSEAPLIHQLKDAIVYVASRD FPTQWPTLMADLNQFLTHPDYVYKTVKLIYKLTEKYVYQSRSDPLYEEIIITCDTIHHNL LLLAKSLIQQIEALQNLQLSYEILKTLLKVFYNLNFQDLHPNFEDNLQSWMEFMKVVLRL QPVQGVEQFLFKCKGEALKCVLLYAMKYRDDFGDLIQVFSSEIWNVCTQTSTGRDSDKIV LCALRYFKTLIAWQDMKAFFEQNIKILIESLIIRNLSLSKDEIGMFSDEPQEFIEKFFEQ SDLESRRAQAVELFKTVTKHFNQQVNLIIQEYLQAYIQSGMNGIDNEIILINLIIEASTS SFTSKDGTIDIILSQENVLGFYTHCLKPKLGQIFEMQQQNQSVESKFTPIQLAFYCRYLF YFRNVIDKVELPTLATLVSKLQLSKKTTLSNIACYTAYSLINVRQDVKNYTNHQLYFENV NISQYLQLILTDCYNNIKQQQKLETYSLKLANSLIALLKSEIFNAIAALCNLLQDLLKNI KLEYEFQNVHLVFEVIASVVDVCIIAKNSEAANQLQQSILNQLDELLRENKGDVTNFVLQ IYSLFLQVQTNASSYYQNLLQNFLEIQNWNESNSSLFQAYIIFFQFGFQGTNLPTPQLQA ILKSIVAHSTPSYTELSKFLIKSNSQWTNIVLTIIFEQYQIQQQTQPQISSKSKATHLSQ RLINKEVFLLLAHVFTSYGVEVLVNECSKISPQLLESFLINELGIIKSISQRNERKLIFT MLIALLFSGTFLTQQSWNLIFQSMVENITLKKRNPLKFMGRVTDREVTSSSGFQPLKPVI ARNTSDKILNDEDKLFSAQFKTYYSNPIVKQQLPIQQLLNQQQQELLSNLIQSV >CAK83604 pep:novel supercontig:GCA_000165425.1:CT868474:379294:380560:1 gene:GSPATT00017934001 transcript:CAK83604 MYQLKESRKLSNTEMKECLQISKSEFLEQINLECSGCISALKDFITLNRKDQFISIDQDI KLNDDLINSQRIFKTFAKLQSFGESIKSIISSIFKKTAPQNNKKRCQLHSLKQNPEINIQ EHVHYICNEIVNQNEDCIIQDKEFDYHLQNYLKTKKFCPSCKENIQAALKHFKLQVPQPD CACSIICFIKYDHLKCEIHIPYDPILLNALLNKAQIQPFYQHASTKEDAQEELLICIGLL IKDRLSSLYRETQTQEIIKYIFYQQIGEIFKRRLENYSKMKGEHKSQLEELEQYLKKEVE QKDKQKKKRQLKKQKRKEQQLSFDGSVRANYLQESSRRSISPSNSEKNLLKSFGWQGSPS VSPQTRKELEFLQKEHLQEINNRRKQLREQTKQCWCEWCHHHKQK >CAK83605 pep:novel supercontig:GCA_000165425.1:CT868474:380656:381352:-1 gene:GSPATT00017935001 transcript:CAK83605 MDTTQLKTKVLYEYTKKNKSLLDEWSEKTVQAFLEQTKKFTEEMYSGNLTMVDDGYNDTQ EQLQILENLFKNFTKTKGDIHHKLRISYSIARRLYMENLQKRVFKALRQQTKYQLYIRRM KIFAASYSKLRVPRQIFTSWRKQARNKTRQEILHQVNRKTDNEILQMQKEYEQLITQLEN VLEKKLIELKVEEEQHKELVLRYDGIVEKRTAIKH >CAK83606 pep:novel supercontig:GCA_000165425.1:CT868474:381437:383273:-1 gene:GSPATT00017936001 transcript:CAK83606 MALLKDDTAFTHVIAIDFGTGASGFGLAPKVLDKEGKPRIEVFNPCDDSDDQKTPTAILF DNNGQFIEFGSQALQKYASILDDGDSAYLFQNYKMHLYHMHNQARSLDNRELPLMLLIKE TLKYISNRAIQKLKEQVGKVISTKIRWVLTVPALWSEEHKQFMRKAAVEAGIVENLNSSN LLLCLEPEGASIQCREDAEHTLKEQMGKNSVVMVLDCGGGTVDITVHKLLCEPSEKFLCN ELIPSSGGCEWGSKYVDLYFEEFLKEFLGEKLFRCYQQNAIARLDILRDFEILKRKFKGS KDERCMIKFSYLGEELNTAKLTQLVKEHNSRHAAEYQVKLKGLSNVEIPSSLMASFFQTL FENIKNKVAQLLQQVEQKKEKVNFIFMVGGFSESPFLKSEIIKRFENNAIQILVPRRPQV SVIRGACLFGLSPRSITSRIAKKTYGINTLTTFDAERHPIKKKVIIEGEEFSEDVFDAFV RKGDAVGCDEVHTKIYCPVRSRQTIMRIIFYVTEKREVEFVDEQGVQQLGELCIDIGKPL QSVEDKTVKVTLLFGNTCIYATATNKDGTEIKNCEFKFECGQ >CAK83607 pep:novel supercontig:GCA_000165425.1:CT868474:383324:384203:1 gene:GSPATT00017937001 transcript:CAK83607 MKNNYVKENFSKPQDYLDGTQDELKSKIKILMNKLQITKKEKEILTKENQNLQLEILQMQ SNLRCMVSGFANTSITFPMANELINSIAEFYKCECFDIFFDVLTQELNMQGIVYFFQTAM LRIDKIINDYFSPSFKNIIDVSCLTTIDGPILNVLRKSFQSNYKQIYEKCMLNLSSVKQE LQKTLKLKNGDMIEQFLKKLSEIMFNCFISDPSLQFDIQSIGQRHQFNQTKNDPIDGFLK NKEECIILMPGVYKHQEQMAKSLVLSYSYQLENN >CAK83608 pep:novel supercontig:GCA_000165425.1:CT868474:384874:386586:1 gene:GSPATT00017938001 transcript:CAK83608 MNKENNNTILTQNQMGRKTMKINTNDMDQFVNSRIVQLQKQLLDKELEIENLSKLNQDPK NQTSNKYRLLEIKQSTLISENQQMKTQLQQYESLNKTLQNKCDQLQAKIEYQNAVLEETQ KHLEQERQSKYNMQFYQSSNHMDVQQEKILIKTQLDSLRKEKSQLISKVKELENSLQLRE LNTNSNCSELSQLKQQLQQQIQKSSDYIEKTHTLEVKNTLLEAQSKSSQSHNDELRNLRS QVIQLQKDKNSHLEIQQDLESKLRLQTEHVLKLQNLLNDKTKQLVKCQERLSQVESIEED NSILQQDLDKITNSMEEIQLENQRIVKFQQQKIDQLKRELSQTDFIREENNRKQEEIAQL KYQIERKTGEQEKEKESLEELQQLRESSKQWVSEKENYQKKIFLLTDELDKIAKQQCDQL RIQVETQIQMKFSTEKVQLENHIWQLSSQINDLQASLEQYATKFGSLNIENDQLREQLNE KQITQKTVIVLEGQLRNYCNELEKLKNMFNQRSQELELARNKILSLESCANYAQELERKV STLMQDNQRLNSIIMDRCKNNW >CAK83609 pep:novel supercontig:GCA_000165425.1:CT868474:386946:388069:-1 gene:GSPATT00017939001 transcript:CAK83609 MLHESQFVSISQKHLFLPNNPFEINNQSDKVYSQLPFEPQPLEMMFDNLPFQQAISQQII VKPSKFSNLKKPTPPAILPQKRSCHDQQQLRMPNYESQKEPFKSQKGLKHLSIKVKQIVF EFKSTSYKDVAERLIQELIQEEGRISDCDNSKDEQNIKRRVYDALNVMIASRVLKKDGKK VKADFDTLVLGKNILQEKSFQKEQLTIMQKTVELKKKQLAEIVCKIKAANSLIQRNKSLE LNQEQQLFYFPILLFTQNANHSKFIKDRKQLKILLKSKANFIADLDIVKKLYNETIDLKY LIDECENLYI >CAK83610 pep:novel supercontig:GCA_000165425.1:CT868474:388073:390680:-1 gene:GSPATT00017940001 transcript:CAK83610 MSCFLGLFFVLHPEGESPVMDACFSWQIDLFNIVFFQYFLYSRFEIFLYLHQLMEGPKIT VVIRKRPLGKKELARGDQDIVQVKDQATILLSEIKQKVDLTKYVEQHHFNFDLAFDESVN NEGVYATAVRPIIEAAFNKAKCTCFAYGQTGSGKTFTMLGLYLMASYDLFNILQRPEYGN LYVTISFYEIYCGKLFDLLNDRTQLAAQEDAKGNVQIKGLTEKKIQNVQQLMQIIQHGQN SRVTSQNSANSESSRSHALLQINLKQGKLVHGKLSFIDLAGSERGADVRDQDKTTRVDGA EINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLIGNCRTVMIGNISPSSANSEHT LNTLRYADRVKELKKPQEQKSGGDALNRELMLARTDTNVVRKEYKNPDSEDEEGDDLYSG PQGSQGGLTQMNNQKYQQYNNMTSSQQYSQQFQYAQQQQQQQLPPQPLPQQPARTLSANS QQQMQQQQQQQQQQQQQQYNQQFTNPYAKQPQPQQQQQQQPPNLPKSKSAQPNQPQQQQQ SSSQTQLPNQQFFMQQQPMMVPQQPNIYQQQQQPNLQQFINPQPQPQPQMYSNLQKQNAV QQNQLLYQQQQNQFPQQQMFQQYPQQTYVNNNQYYPKQQPLIPGMNPIPQQPNNFMPHYL DNNLNQQQQDPFVNDDFQGEDVDNLLLEEVDPEQEIQEQRNQLLSQQHQELVNKVLQEED EIIVFHRDHIDVMVEICKSDMILLNSLDQNQVAVADYMVKLKQNLQVKQQAINDFISKLG QYEQLLEQEAELNEQLKEFGLGNNSNQTNIQ >CAK83611 pep:novel supercontig:GCA_000165425.1:CT868474:390751:391137:1 gene:GSPATT00017941001 transcript:CAK83611 MGTGQYKPLIAKHLNSGTLGHNIQVSHHTVGPVLPNSKFHILRNQYQNSITQLRQEIICL RLKNSQITLPIFHQNKHQIRLCFNLLQLNKDQDIPVETSSEYQQQVPITIDFELDIKMIY KTFCLKSQ >CAK83612 pep:novel supercontig:GCA_000165425.1:CT868474:391613:396056:1 gene:GSPATT00017942001 transcript:CAK83612 MEEANQKQNLSFQIAQLEEEYNNYIDSSEVVMEYYKNQQTSGLDKHFLKIEEWLDQDVKG KQNLPLVIEAEDGVGKKTLLVKWIGYHNANKKGRYQDIIIPHFAMVGGNNSNYFYAIYRI LVKLRELLNIGQKVELLEEKLRKYFAYWLDICNSHIENQILKEAKTLYNRIILIFEGVNH FVDQGNGISKEANVSFWLPQFFPPRIKVILTASKNSGAMQYLQKINSQIISIKVEPKIIE KMIETHKKRKTFLSDSLKDKLLNILTQTKCEMNSIFCKTFLSLLIPYPSLGIIGEGDVRP DTIESLVSQIDMAKLQEVTGLEDLVNFILDHFQTKMFKDQEKFIKLLLCFTITQKGLMIQ EALIICQLNENDWKTFLVFFKVYLMHYKEYWIINNDLFKSIIQKRYIKENSLVPKLHEEI ADHLNKSTNSIRKLEEQTYHLFMAKTYFKLKEIVSAIENFLLLFNPNNKYDLCRYWQKLE EQGFDPVIEYNKAIEGFAMQYHPSSEDIFRIIVQVSRFLKEFCDFETYYTPSFRHPPIQG IEDELDDIGLLNELYRMNLCYIIDANPKKIGNNDENYKSPQERINEKIEKLKSKQVLQKD QKQTKKPGKEEDVKKTQPTISLLEKKKKPEILTKLEKLNVDIPYNREQVKKFFEEKIGIS NFQEEKQREKESEDAKIYQKLENGQVSMKDLDINLSKDEDVQLKKPDQLKLTHNSIQVKK LEEQSLVSERKPTDYYYKRWIWIQFPWVCLSVHSDYSAKMKQCFAKATEYMSVQEEKAFT KQALKIAIEAKLKKKMMYQKQEDINTTLFNDKELSVIPVKQEQNTSILTLMKQQNDLPGV KGFKREKSLADNTINNLNQKSTYREVKSTKMHTTSLQFFITEDQMSLSQIHKEIEEQKKQ QQQNQVDKKQTTLPSIHKTQEVSLNTTMRIIDKSKMQKEKFKQFNPETINKSSQSILPRL KSEIALHNGKELYIMLQQAKDLKKELDNIIYQNQTATKKLANLRTLQHNEGFGTDVDTVS QIQDKIENLKRLREEAEHDYLLSMVQDSRLRIIIKISGDNRSYNEEWIRDLNYLQCNLNK LIKYEKQEIIKMETEIKQIHIIHVQYVDAFNNNMNYSKQMIDQINKTVRQKEDFDHYFQQ SDSIIQQQSSEKMQKLQQQYAQNEDKEQQRVKRIQLERNSKAISDKLEVLRSTMAHVSQY IEIENSDYSKEERFVEFLNQLEIKTSLEAKISSQEDQLSDLYLKLNKQKMYLNTFKNANS NENKKQREKTIKYIQGKVQDNETIQMLLEKRDFQLKQNQAKEKQKNKLQLQLLDYKISIK NIRSKMQQSKLKSISEQGTAVKITLINDIYEDLTKRQNFIKEKLGEEMFQQFLAKKLDFN KMYKAAFKYHQDPALTQMEPY >CAK83613 pep:novel supercontig:GCA_000165425.1:CT868474:396115:397053:-1 gene:GSPATT00017943001 transcript:CAK83613 MIKYLSILLISSLLLISINQNNQENVTSLQLKFEDWKLKHGMQFLNEENQYRFQIFQTNL QKIEQHNSDESQTYTMGMNKFMHLTQEQFQSLHLMNIQEHYVGDQPEILQLGNIQLNASI DYRNHTIVKDQGQCNSGWAFSVTGTLEVYQKIYQKKNVSLSEQHLIDCDQLSRGCTDGSN INGYKFAISNGIATNIEYPYVGYNQTCKRLNGTYHALKYSSAFGEYNMKQALISHPVSAG LDAQNWQFYSSGIFSNCGITLNHYAVVVGYEESGNWIVKNSWGLGWGENGFIRIKSGNTC GILTYSYQDKYQ >CAK83614 pep:novel supercontig:GCA_000165425.1:CT868474:397575:398501:1 gene:GSPATT00017944001 transcript:CAK83614 MKQYLTTAFVALLMAAGYYNVSYENKSDFEVWATQNNKFYTESEKMYRMGIYYSNKKMIE EHNKRDDVTYKMGENQFMALTNEEFVDLYLQKSIPPMDTIELLIPKIQLEGLNAVDWKNY SSVKYQGNCHAGYAFSVSNSVEAWYGIKKYQKISPSTQQIIDCDYNSSGCDGGYNMYAMD YVRSVGLTSNQSYPYADKEQVCKQSRNGSYFISGYLFVGGYQENLQYYLSNYPVSVAVNA NNWQFYNSGVFQNCTSNETNHHALAVGFDNNSNWIVQNSWGSWWGEDGHIRLKEQNTCGI LNYAYQIY >CAK83615 pep:novel supercontig:GCA_000165425.1:CT868474:399640:400934:-1 gene:GSPATT00017945001 transcript:CAK83615 MSSSSQSLQFTDSESHERAPIKKVHIGHEPEIGQIQQEIEYDNKRIDQKQKNTVTAKDYY NQQLKNTETHRRISKLYYLRNFQNWVKAVLINEYSKKCNQNKLCFKLLNVFEMGCGKGGD MYKWSKAGTGLWFGIDISSESLKEAERRHKTQKEDKKKQIQKIYLMETKADSDSTLFRSR LPQDIYFDFVSMQFMANLLFSSEQAVENMFENMTCRLTNQGIVLMTITDSNVLVKKMREF TTKDIEGNYVYSRNQYFSIKFDSLQFSKNKPFGQQYYFYLEDSVGFKEDNQIKYVPEYLI ELQAFEQKAKEYNLEIIENLNFIDFFEKYKQKHSDLLKIMVKPPSDEWKMPMDQWEIAHL YRVVVLRHLKGQAQPKIRRHPHLTELPDSVLELDNE >CAK83616 pep:novel supercontig:GCA_000165425.1:CT868474:401380:401814:-1 gene:GSPATT00017946001 transcript:CAK83616 MRRREQVKQLSPIVNTVKSKLQEKVDQKQQEPVAEYNIISQQALFKLKVSTKVKTQYDEP YNFDAFPLKPGVKLTFTQENKKKDEEIINNEVEDFTDADAYQLQKLNQKPSCTTLPLFKL PKQKHNINHERSFRNIRQNKGFEY >CAK83617 pep:novel supercontig:GCA_000165425.1:CT868474:401862:402290:-1 gene:GSPATT00017947001 transcript:CAK83617 MIALEHCQQLLELYILEFSHPDEIYVHGDEPIYQNLNQSKLQFLIDIPLNMFNRCYGKRQ SITLLQNTFQQEFQIKNDYGLFETYEPKPYLIVVDRDKSDDKYRLEAMMKKRINEMLKIE ITTYHMMNTFILQNKFKLNCCY >CAK83618 pep:novel supercontig:GCA_000165425.1:CT868474:402314:403341:-1 gene:GSPATT00017948001 transcript:CAK83618 MIHPHYSFPVDYQPAYQFENENMPKNQMIEYAMHQNANDFPLYHQFQYPQQLVNYDIVAD QKTQSKTKKSKKHKKDDKKELLPKTKALQEEPQKEEFLKTVVQPQYPLVHFTQSTLLPKL QSDIVTDGSPLGSKQTVKSSKHHQIKKPKKEHINTGHWSAIEHTTYVNFLSQYENIMNSS MMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPYAHRGENGKRLPRNERSRAGRKKKNQL TDITKDEQIIEQDPFYMMLDKQFYYHPYMVNGIEYQQFFYDVNLKREDMPEYAHHAQPQD YEDYLKIRPDYNNQLDY >CAK83619 pep:novel supercontig:GCA_000165425.1:CT868474:403414:405625:-1 gene:GSPATT00017949001 transcript:CAK83619 MNLSMQPRTISPTKYSQVLASQDFSQPGTVKKAHPFNNQSPYIHSPVQNKMNFSIVSEDA ENYRIKCYELEREVAKYKADNDLQRLVQQKSGDLEYKVVEVLESNNALQGQLERAQKVAL QRKSESEMWKQKYEAQMGSLMQIRQNYESEIKALTLEVQKANARSSALDQEKNRIISDQR NVADNQTTQIQETFKRSNNTQADMYEAQLKKLRDMLEDRTLQVAQLQSQNERQKIEFQDT QLRLMNEIDITKSRLSAIQIDHQNELLAQKQKLELYQETNLRNQQTAHENQQDVQVSEIV KIKNLLEIKAQEIETLINQNQKQKIQSELEISGLRNEIEMLRRQILSNEQLHQQENHNIQ TNLDNMHNNDTTNLKLTHENQLQALHKEILKLKEIIDHKNQDIQKLVVEKQQQKDYYDGE IQRLINTIEDQKRRTILLENEKNREIADQHARIERLTIQYENMQIDLQKQIQLLNQEIIN LKNLLDHKNLEIQQNLKNYSQIKLEFEDKLRYLQNDLELVKLKAFETEKSKLQEIQDLKS LIKEIDTQNREKQKQLEQQIDHQKYEIQKTFDMYNNKIKECENLTIQRNNAEIIQKRIQD DNDKLIEKLHLFEQEKNLEIDELRHKMDSGAAYQFENLKSAYNTQVGLLSDQIADLQNQL AIKNRELTEMIEKYTILDKSLLPETLVSRAAYNSRIGIPAELLANKSIHDATLKSQLRNS PIQRY >CAK83620 pep:novel supercontig:GCA_000165425.1:CT868474:405888:406385:1 gene:GSPATT00017950001 transcript:CAK83620 MGECIRSKENLRKQSSLDQHSSSLPKKILIHKQQFNDLQENLKNRKLKEAHQTQNRLPSP INLKILMPPKSKSIQEKKQETQNQIDLKVLIPENSNSFIDWKELISNLNGPNYPLKKWMR DIIIKNNIILDYDFAILIRILMQLYKFKQPTLVNIFSEIIEELEK >CAK83621 pep:novel supercontig:GCA_000165425.1:CT868474:406466:408651:1 gene:GSPATT00017951001 transcript:CAK83621 MINLENFDQIKFKNPILDSPRSIQACRLIGILPQELIQITLQELKQRRPDLKLDKQDWQK FWEHQEIKRQQKLEACIETRQQIIQAGNMQGNYNDDQNFKDSRISEQQSNVIEREKKELE KMKQRQQKEIDQMLEYERRMQEIRLRNEEKQKIQMDKQMRREQELLEKRAQQEYEKQMRE EEKKKKQQDEERQQRQLASEHFRREQERAKMELEKQVQLKMEAKRKEEERRLKQLQFQQQ TQQIQQQYEEEMQKKRMIMQQKEVERLQTIEIQRQQRIQESQQAQQELAIKLVNARVKQE DQLNNMKKSFDLKQQRIEQRRREFEEMKMQKMYENQIQALQHQEKIKEVMEKNQFQEEKK KYDYFHKLQEVEQRKEELERLKQQELISRKQQIYEKELQRQQVLQNNEKQLELKTNDFLR KFQEKEQLIYEKQFEKQQIQHEQKVKELLKEQDKKEALERIMRLQEYEKELQRQKLQDKM RRAEILQLEKNQMLEQRMLIKKEIGFQKQELMQKLELVKLGKLDPSALNPDGNSIRASSS LQNSYHNIRPSTAKYQNTLSNKDMRHNVRPKSQQQKILTNSSNTQQGSQNQGKFNISNKY ENQLDQLKVRQNQEMIDVLEEEQQLEFEREQAMANAKNPEEQKRLEKLFQIERNKTNQRL DQIKREHQRQLEEFRNQG >CAK83622 pep:novel supercontig:GCA_000165425.1:CT868474:408889:410410:-1 gene:GSPATT00017952001 transcript:CAK83622 MGSVCAKKKEARINQVIHDQSASPYMPDQQTSLLLRDKKTQPQTLVQVKIPKKNKKVRET VKAVTLKNNEGQKMLNDYVFDEFLGQGAFGKVKLAHKQGDPSKRYAIKILKKSKLKRQRE FVKDANGNLVVKDALQDVRKEIAIMKKIRHKNLIQLYEVIDNPTCDKLFMVLEFAEGGQL IEWDEDEGKFYKLNEDEELTEDVLSSLFRDCIKGLAFLHKNKIVHRDLKPQNVLMSGKTA KIADFGVSQVVGSKNDVLENTQGTYYFMPPEACDKETAKDGYSGRAADIWALGITFFAFT YLNVPFTGNNIPDILKNISQNEVVFPEDSAISDGLKEFLRFILNKDPKQRPTVNEIAKHP WVNQSSANLLDEMVQEEKVMEVSQVDIDNAFSLVSLMKIKSWAQKWRTESNLKKSGPQNG VDEIEIK >CAK83623 pep:novel supercontig:GCA_000165425.1:CT868474:410592:412692:1 gene:GSPATT00017953001 transcript:CAK83623 MEDQLKKLIEGDEMRKFSDYFQYKETIGQGAFGIVVRAVNLTTQQEVAIKIIKKKLVNRY DQLKQESTILASLRHENIVKFIDVKETDTRILIIMELIQGGSLEDLMQKLQEQCKTIIRN ILQALTYMHKNNVVHRDLKPENILVNEDLSCVKLSDFGLSSVQNQLMTKQCGTLIFMAPE LLMNKIYSKNVDIWGVGVIMHMLLNQGQHPYYKSGDTLEQILQKTKVMHEHVTLTQILDC NIIQSRLGTASSLTMEEIFHTWIQQQKLLNLIKSVMCLSKLGYMKKIENREICNQYSEEK SKLKEDKNKKEGKLTINKEFYDELFEDYQKTLTEQQKRLVLTTQKGESDKQIKQQVQSQQ LSTVQAKLQFIIQARQPSTSSKNLEEPINDLENEEGKLEMNESQTDMLAPENELQHDTSI FEKSDHNISLKINPNVKSPKKKRKSPLKTQTKLYFQKNDSLNNSQQGIKEKPNNSQVSSP IKVMNNSLVNGNNTTKHQIKLKPLLNQTDPPKEIVTNNTILNPNPIAYETNFRSAIRPVR QAYVPRLSRLSVDRDSVQPAVLDLSSMAMGGFSPVHSNQFLFPTKIQNYGSQKRAYQNEF HPSKVLTSLASKKSNDHYNFINGGPK >CAK83624 pep:novel supercontig:GCA_000165425.1:CT868474:412736:414780:1 gene:GSPATT00017954001 transcript:CAK83624 MNQNNTINIRAIRNKKSIKKDLSLQPKNIHDQYYQESDDSEDEKILSKIGRLQTKKYKDK DFIGYDENLNKIQKKKQFQDTIDEFINKTENKDWWRVVRDEMNNQDVVLSDKQLQLLDRI RTGKTAIKLADDYYFEHEDFNQFDLLSSYNPKRRFLPSKWERIKINKLVQGIKLGRIVLN PPQKVEKIFDIWENVAEDQVLSKYLPARIPASKAQLPSNVASYNPPEEYLFTEQEKQQWL QTDPEDREIPFIPQKFKHLRNVPSSEQLAKDLFNRCLDLYLAPRIRRKKLMMKSTDFLPE IPKPEELKPFPTRLNFEFGQIDTTKSGQVKQISISQDGQILGVCYEYAVAFFDAKTTKCL LTKWQSEDKQFLGLDFSQSGLICILQSNGFIILNSYIDKRQHPNVEDGEKANKQIPKNSN NVFEWNFELDDNVFQQLLEVKMPYDPLYAQFHSKSDYIVSTQPHTHVKSHCILVHSISKS STSIPFQNMKASTEVQQTIFHPTKPELYIMTNKNIFIYSLTKQQLMKKLLAGNQQNSTIA IHPYGDNLIVGSNDQKVCWFDLDMGKTPFKKMSYHKNGVRRVIFHKTYPLFASCSDDGTI NIFHCRISTDLNQAPVILPLKVLKQKKKESVMDVAFHPTQPWIYSASKEGVVSLWT >CAK83625 pep:novel supercontig:GCA_000165425.1:CT868474:415357:416794:1 gene:GSPATT00017955001 transcript:CAK83625 MTFCVLFIIFGATMGFLKVQSPIKIDEFSEIMQADYSISNFGHIPYGKRMVAQLFAPPVD MEKDKEFKLCEQPPFSMGLQFYQPSGDKWLIARRGGCPFTQKAINAQNMKAKLLIIVDNR DEKVESIMMADDGNGYQIDIPSILISKSDGEKILTYLSKSNQRYLIGSVEFKLNQTSNLT NVLFGFNIENKDTFRLINEFRPIYEELKGYLNFTIFYEVLRCLSCETGGWKTENQDCLGG GRYCQFDPNGVAFGTGSDVLKEQLRQTCIWKYNSELWWSYMNHFTKKCTKENEYDSCFEK FVKPDEFAAVESCIKSSYKSPVDSLKGENTILEEHFRLRYQSGIIFYPGVSINNVAYRGN IEALEIKEAICATYTDKPEACEEKLISFEPNRQMENSYFWLIVVVCTLSVLFILFIVFVY RRQMNNSMQKNIREQVSQQVNNYVRFYESRSEK >CAK83626 pep:novel supercontig:GCA_000165425.1:CT868474:416935:418332:1 gene:GSPATT00017956001 transcript:CAK83626 MLESSSGVVQRGVSEPNIEKQEQKPKANQDLAIGPICKAPNHLKDKYIKRGYRINFKNNK DVLKSLFMWHNELVNIWTHLIGALIIISLIFYLWLNYDGLFRQKAINSFHESLHNIYQQA YDFEQQIQGQLELGLHILQDDAQKVQQQLQENIENVNKFINEVAHQYDQEFQKIQKNIAQ AFDWENLQWKYNISEIISDYKVKAIEIVESKDFDWIDLYLGFQHLTGGQTIDEEKLHKLI SRWPLIAFLLTAIVCLGCSTVYHLFYCLSERVNRILLRLDYAGICFLVSGSTFAPLFYGF QCNPHYAVIYASIQGFFAIVLFSLCLFDFFYKEEWRTLKSNLFAGLGVTSAIPFIHFAID DAKLEGFSFATQFPYYVAMAIAYLSGLYIYNIRFPEKHVPGKFDNCGQSHQIWHISVVVA ILFTYVGSLNAYYQRLDQPCRE >CAK83627 pep:novel supercontig:GCA_000165425.1:CT868474:418386:419345:1 gene:GSPATT00017957001 transcript:CAK83627 MIKRNTQLKKQIVSSRNQGTNNKACLKPQVFQFPQLMNKTTTFSEAIRFQGQIQQPLTPE IMIRQSMNKPKMIEQPKFKNNYGVQRFSAKVVSKQQNVKEPVIIKITTSKNQQVIQQLEE QSEYQLSELGTFDDLIQQIQERVLAKNSNISQLAQDICSIEAIDLRGLQCIPQLIKHLVI SSVQQQLQIPQILSILFTLSEEIMTRDDLDESLMEIIKVYLQRGYETNLQMIVVFIKRCG QMIYYIRANKQKVLSELLITLLLKFNGFNMQEESQLQLAFNIVLRHKQLRLYIKDNKDIV KLKQPTLIEQLQKFKITIN >CAK83628 pep:novel supercontig:GCA_000165425.1:CT868474:419369:420188:-1 gene:GSPATT00017958001 transcript:CAK83628 MTDNHPFGSGMFQGQAKFVDYAHMNQQEVQVNTLDEPVLDTLLRDINMILYKLSYVIIPR MKETQGRKLRNWDLWGPLLLSLLLAMTLGINSNQSSDTIFGTIFIIMWGGSAVITVNAKL LGGQVSFFQSVCVLGYCVFPINVAAVVITFLQSYFGFFLRLIIVGVAFLWSTFSSLSFMS SMMNEEKKVISVYPIFLFYMFLSWFCIFI >CAK83629 pep:novel supercontig:GCA_000165425.1:CT868474:420239:421594:-1 gene:GSPATT00017959001 transcript:CAK83629 MSIKKMNNFFKADKTQSNENKKYQSSFNGNQQQSINNALDLLQNQSQQRKGNFMEGIQMQ KKNEVHSDQDSNSSSEAGLLQTKKLQKIMEEDYDNEGQSAKMSESTIQRYQHLKKQRVGN ANIKYQDEINNSKMNNSKTYTKQDDSRHSNSQDSFEPNIPISRRHTDRQEQLAKQMNYFK PQQSSQQAVSDFKLEIGIQVSQLDSDHSKSSIEQSSEISSKDYQRNENHNLLRLLDKNTQ PRFSQQQSQQGNYDQTSNQPEQTQLNFEQNCNPILSHLSKLQANNIPQKARLSLFSQKTV PNSASQQYSQFSQQNQFQYCKQGIVLNTCKSLSGDIFTLRPGNKVVVVKIDQEKKLIQCG YQNMLGLFYLRDIAIKDESQQNSKFQIKSRTQSSFEMKYKELLNDKYNARSKSPNNRSFQ AQSKTPTKRPFMRF >CAK83630 pep:novel supercontig:GCA_000165425.1:CT868474:421749:423682:1 gene:GSPATT00017960001 transcript:CAK83630 MTDSSGIKYLEQYVCFNSMQVGSKGTVFRGCLLNNTTECLAIKQCELHSESESLKTLDLI KMELKRLQNIKHPNFIKIYDVIKNHNMLYFIQMYCEDGSLQDYLAQRKDKPLSEAIQFIV KFGQYHSQQLKTIQHPLKQRSGSDLRSLLRRNSPIRNANTQKNLPFPSHLAPEVLHGQPY TNKCDIWSLGLIFYQMLYGVQPWYAQNIHEWLDNISKFPLQFPPRPTRKQNIKELLTKML TFSQEDRISFQDLIQDPVLIIKQDEEQIDFQGTSDKDEFLYLIKANGVILEKNLVVKYLM KEQVVLSLEENQWKQSDVEARIQQCYYEKEPDISVERMAIKYKNDKKKKDIFQKYYQYFI FERNIAFFFNFLVQRIVKLQVDWQIQLQIDFYHRLIYLIAKYQMIILTRISNQLQANGNH TFDQDLWGRFQKSQQYQLLVAQIEQDGLNSLDFYKSISKRCQQVLREEFENNKHNQLIVG MINNFSAVLNENFEANEIFNVLFRECIMECLKVVKTLASKQVDINLLNYYLLVSLNPYDE FKDINYDFNTFYEDSENYSFQELQEKLAKKSNK >CAK83631 pep:novel supercontig:GCA_000165425.1:CT868474:423875:424666:1 gene:GSPATT00017961001 transcript:CAK83631 MQKSFENDQQIGQAQNFQSQVDESRGILPISRFSDQLDFKYSPYLTQIQESNNIVIPFTP EQQQSPNLEDKLLVNATEDQKLKFFRSTLYLWLTWESVMFVILLFNVMQIEVYCNQIKGL ILFGFLLFGAYALILIKYKIERKIGCLDVINNNNIDKLILFMVMVVEGFFHVKLIAVIEC FCVGNRVYGKNFDFQMEKQIVYQGILIHLSILISIGFILVTTLIQQKIDPKCKQVSYIIR IFHNFFDYFLIAGNNVLSSFARN >CAK83632 pep:novel supercontig:GCA_000165425.1:CT868474:424929:426478:1 gene:GSPATT00017962001 transcript:CAK83632 MEKNQSLINKVSPFASISEGQKYIITKNLPQLIQIVLKDAAEFQSTQIQKLKALQQLKMI CCIGDIGEYLTQVFKLLAKIYMNDDKYYLNECRQICYVIGLKVQIDYYLPTLINQARFEL QAQQSKTLCNLINIISETIVHENDNLDLQSLVHLIGDIESVYSDCWEVMECIYYLENRII SQSQQELPIYVRQLFQALLTIRSFNKLDCEPLLHSLSTKCGYTSVTDLHANEISLILEQI VNQEHFKTWKHNTREFLKFKAIVTGCGDGISKYMQQIIQIMKYSLGGDQEVELRLEVLLL LDKVVQIESIKDSIRMYAAVMISQLLIQSMVWKANKPTVKVRKAAVIITLYLSEMLSQEE ILELFPALAAPLKSCMTDDWAPDLRFASSKLATSLIKKCQILNYEIIRDFYPSLLERLDD SQNQIRIEITFAIAAIMQQKYDSTTILEYIVKATLIHLDDSSEEVQRAVFECLLRCPEKG VVLKEAELAVKNFKYPRLCQELIKLVS >CAK83633 pep:novel supercontig:GCA_000165425.1:CT868474:426548:427294:1 gene:GSPATT00017963001 transcript:CAK83633 MKTIDKYTLLNQIGQGQYGKVYKANKHNTQEYYAIKVIKLTRFKQLPKLTQFTMNEVETL KRINNPNVIKFIELFNSKNNLYLVYEFCNGGNLEEYIQRSRNLRESDAMDKFAQLLNGFE SLQKENILHRDLKPSNILLHDNIVKIADFGFCKNIEPFDLTQTMVGSPIYMAPEILLGEP YSFSADIWSLGVCLYEMLFGRCPYEDVTIPRLMYQIQNQPVTMHTILADIPLPNRRGNRT TVEKDAHC >CAK83634 pep:novel supercontig:GCA_000165425.1:CT868474:427496:427979:1 gene:GSPATT00017964001 transcript:CAK83634 MTESSESGIYTNIKIILQQRKQLHEHIQAIIKLLEVNDNVHVPLMVLFMIRHIQSQLKLN FDSLIRLREFKNTPQFDKIQSIYKEDESQIFLVEKQIVQELNKSQCPSDNVTTEEFQWNT KQFLRTISDDIQLSELQKYFYRFLDSLNK >CAK83635 pep:novel supercontig:GCA_000165425.1:CT868474:428001:428689:-1 gene:GSPATT00017965001 transcript:CAK83635 MIKNTQTPLNKDEQKRLNQIVQQLIDSNDSVEFRQPVDYKRLNLPDYIQIVKRPMDLGTV QLKLNNNAYKTVEECLDEISLIWDNCKLYNGPQSWITKIAEKLERLFKKNVKNYLPLVNL PQVVPKTKEITSDVYQEEPQEQVTYNDKVEFSNNLKQLAPEQIGLIVHMIQNTSPTAFIE IEREKYQIIVDNIEYEAFTKCQQQIQAWISGDDINKKVKI >CAK83636 pep:novel supercontig:GCA_000165425.1:CT868474:428871:431027:1 gene:GSPATT00017966001 transcript:CAK83636 MDPRMLVNYNIEAPAPNYPNRSFFLEKLIGYGAEGFVYQGKVSKWNNRVNDRVALKLQPK IKQDEINFILSLISIQNKCEQASNPKLLSLSEQASCNIIRVYEIIKWNNYILILMEAGVQ NLNSYLLSEKNLTIQTKLDIMKQITQSILFLHQIGIIHRDIKPENFMQVGQQFKLIDFGL IRQNSELIKTAHVGTPLFASPEIFEETTHYTQSVDIWSLACVFYEIIQSQPLISGNTIED VKKRVLNHKYQPEAIYNKIESLQVSTSLKSLLKQMLNPSPQKRCSIEEVLDQLQNIMKND YQLGQQRDNPRLNDSKDNPQQQQFMNQSPFRQNKTDQNFFVFQNQNNQQQGSIQKNGVFP INNQQFEMQNFVKGGISQIQQILGTQVQQAQDQNRELIEQTISLTQQNKSLIELTEKNQK QIEYLEKKLFEKEKDDSKEKSQIVSNRSQCNCEAENKKLLAELQKHMEKENQSNLEQINK MNKTFSYFQKSIDRISTNLEEIKKQHNEEPTNSKNSQQQSTSTLNSIIGFIQNSEKNMQQ SIAQLQQNIQSYQQILNKQIEKNEFDEFNNTQKNSNDNNSKMIAQIYKQNQQLNNNLYAE IISKFEKLLYESSLGIIQNLKHEFTKNYSKIADRIQNNTGQSQQQNTQAQTQVTSHQNRY QFQQNQVIQQTNQQEGVNYRSSNKQEKPKTLK >CAK83637 pep:novel supercontig:GCA_000165425.1:CT868474:431062:432402:-1 gene:GSPATT00017967001 transcript:CAK83637 MWRHGSRTPVNCNWNCEYFLYNDLLNGFLTPTGMRQHFVLGQWMRQRYITELQFLSDTYD ASQILVYSTDVNRTIMSAMSNLQGMYSNNGPKVPNVKDSYLIPPNPGAETPTDIGQSAIQ HDIQILPIHMREAITDDRLLSILICPKGYEMFVQNMQTNLTISVMIKAQSTLLQFCNEMN IDPLEFNIFTLTEYMDTFYSCIYNDYPMPPNLTKETYLKVDSLYALTIALQLYQTKHQVD VFSSPFFESLLSYFDTALTQQETDKSQKYIIYSAHDINVQLIASALNFTSAECMAQVYLG QEVSNKNCIYTYPGFASNIIWELWEEEQTHDHYIKVLYNGTEMNICNTDSKKCSYKIFKQ LIENQRRDFEKECSVEIDPIIEEKVPIWMITLSIIFLFILFAMILYILCLCKKLRANGKT KLNEDKEP >CAK83638 pep:novel supercontig:GCA_000165425.1:CT868474:432752:433689:1 gene:GSPATT00017968001 transcript:CAK83638 MLLSTSKLTSSKLQRSQISSPQRSTVNYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLASEKPKDEQKFKVLKDSVLKLQDSAHNQKSEREAFDDKKERDF RTLSDNIALSFEQEKNARSQGETKLQKQIDERFSQITLTITRNTHQYEDRSQAKIAEVLQ QIQIVKNQLDQERRSREESSESLSDQMDSEINKFSDQLLVEKKVREETQGKIFRMIEDVH GKLQQDISFERREREATTEALLKLLEDACIKIDKNFRY >CAK83639 pep:novel supercontig:GCA_000165425.1:CT868474:433704:434279:-1 gene:GSPATT00017969001 transcript:CAK83639 MSNAFKSAGNTLAKGNDLGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEK TALQQQLLGLQRRIAELELQVGQEQA >CAK83640 pep:novel supercontig:GCA_000165425.1:CT868474:434665:435162:1 gene:GSPATT00017970001 transcript:CAK83640 MSSNPSSPPTPYKNGSILLNSYTIKDILRQSPNKKIDLYAKIIAISEAQQCDTKYGPQFT KNICLSDGTDIIWASLQQKLLNQHQNDFILENQIVLRNMIIEEQEGVVQLIDDIWTSVQT PESVEINYFTL >CAK83641 pep:novel supercontig:GCA_000165425.1:CT868474:435322:435655:1 gene:GSPATT00017971001 transcript:CAK83641 MKKILYIAIALACIVAFMAFNGSIQESVFKRVKRHDVWGPACRQKCADNKGEICGFVSQG CCTIERCSTGFTGQNCENNYKLHIPGCTTD >CAK83642 pep:novel supercontig:GCA_000165425.1:CT868474:435915:437709:-1 gene:GSPATT00017972001 transcript:CAK83642 MQNYHYKLIQEQFGNKYTNPEQCGQGAFSTVYQCKKKDGNFVAIKVIDTERAANITNILK NETSLLERCDNENVIKLYESAELGKAQLLVLEYCEMDLQKMSRDYYNNKIPEKIVIVIIK QLINGLFYLHKNKIIHRDLKLENIGVVIRKEDLKNLNNKNQSLYDSIFRNASYKLIDFGF AKQLLEETKTLAGSYFNMAPEVLCGRKYSFPADIYSLGVCIYQMITGQYPYQGKSQQEQY KEIKKQKAKFDSINDQLLRDNIKQMLQYDVNLRLTFQELYSSESELVHLKDFYNQNSEFY IINTPNDDPQESLLSQTQEFSVIQIPNPSQIESKIEIIQVPQIYRWQNQKNVCNLILICC KKLKYIIEKIPDKIRQYEYQNSFIGCFNYYYKLVEKLLNEQKKKFNQKSDYSQYQSSFRD SLKNLQLMNYKYQQVYIIQELNLSEIDDTENYYDLLSLMQINNEKIFMIIRNMIEIIGCD MDQQLQEFRCNCYLIQYQIIILYAEIQNTTTTFGDDQFLELKSEQFNSNPEPIKSQLYEL MKKKNVNFEEVY >CAK83643 pep:novel supercontig:GCA_000165425.1:CT868474:438064:439251:1 gene:GSPATT00017973001 transcript:CAK83643 MRTGLLICLILGVLSVELNMERHSEEVLAKLRESKWASFIVDFAEVELGSGGALTELVEA INQLIDQLEEELDDIHHVYSRRTDEHNRDVTRYEQEIQDADRDIFNGEDFIDNVLIPQKQ RFQDALAQLKTNIEENRRILDSETVNRKKQHEQFLSNIAEINEAIGAVDESLGLLSQITN PSLVQFKRVQTNLGRIQTSFQNHSSFAPIIKALLELATEQNFADQGSVSQLVKIFNELRV QFVDTLNQETADESSAETKFAERVAQLEKEFAEFQRAVLIKNSEIAANEQKLGETIVYVG QRKDDRATLQAQLQAENDNYASETDLYNRTVAEYTKEIEISKQALGLLTQPSFEQYVKSK VGI >CAK83644 pep:novel supercontig:GCA_000165425.1:CT868474:439257:440538:-1 gene:GSPATT00017974001 transcript:CAK83644 MKRRGNHQVIEQDCDQKLQSRKYWKEEEDNKLQAAVSKHGSNWKLIAEFVPGRNASQCAQ RWKRIKPKEVRRFKQGQNERNQKWTEEEDKEVLRLTKIYQFNWKQIANEIPNRTGRQIRE RYVNHLDSNIIKTPWTKQEDKKIWEMYQKMGTRWSDMSKKMPGRPENMIKNRFYSFIRKQ YGKVQNPYYIVPSKVRVLDEEGLKQSQGMKKIRKFKKIQKSFKMEEQQEEMPKGILQENK QQQKQPESQCQSIPFTQFQTQQSQQQQQQMQFSQSQFPSLQHSQIQQSQFPQIIDPFSFF QDSIRSDSYRVNQYPEALKQYQDFQNWLKESLKSSSDPEMNRMYKFETPMMSFLYMNPSL LHSIQQQPIVMKEEENDKLFGGIESSLPVQIRADIFEEMKKTTGETHQQQQIINHHLKQ >CAK83645 pep:novel supercontig:GCA_000165425.1:CT868474:441346:441804:-1 gene:GSPATT00017975001 transcript:CAK83645 MDCQRTYLYANQRKKCNFFPLNRVDTILERCEDGSNIGSHLQKRMGKDIFEDANQSKKVQ TKLDITNLKQNYFNYSQSNQFFEQKQNLEQNIEQEWTNSNKVLQSNFINSEDITILEVDE INYLESNPSRNIQKFKKKYCQKISKNIKKLVN >CAK83646 pep:novel supercontig:GCA_000165425.1:CT868474:442943:444207:1 gene:GSPATT00017976001 transcript:CAK83646 MYQQQHERIQLVILIHRFRYLSFMICFFFFIILNQANTHNWPYLPVISYYNIAFMLEAEI HGTQLYFIIDTGSSVTMIESIYKQSQTFQNLNQTYEQQYDLGKCKGVFGMDRLVLSKQLY IPQFTMAYGTCQKMPFFDQYIGLMGLSNRFQVKHVFEDQQFHSSIFGLEFRKNSESRLYY NITNIEEKVTWHQLNVDHRWMIKMLGVYVDNEDVTDIFTGSAFLDSGYSCLVLTQNQFAY IWEKNLKSLCKIIDKSIYCDCNYNNFPNFTIYFEGAKIIIESQNYFPYSYNDKLCKLCIS QHNRDYLILGLPFIMSTTVLFDKTNQKIGLVDSFDIHQISPIYFHLQLIIVGTLTLVIIF YASQKERTFVKVDIELRNLEYL >CAK83647 pep:novel supercontig:GCA_000165425.1:CT868474:444252:446189:-1 gene:GSPATT00017977001 transcript:CAK83647 MDQVEMIYEEEQSPLHIKRELDINIPYRVSFPSCNNQQQKDTPKTAIRNIEHLEPEEQEI PTETTPKTQIDVSSPRVPFLTHSQIMGMNQDDDDMLYSKSVFNNPLSEIPEEQTPSQLQN LSKPSSQDFNLDNMSMVNSQQLKFSKKYLEKDLIARLELENSRLKEEYKEQIAVMDVKTK ELEKENFILKKKCNQEKVKQIEIQLETEYKITTLNEQLYDQEEFYKKQLVSLKNDLNNAK FQITMLKSQSQPQDQIQHQSLLTKSQITFQQPYINQQQSIKQPYHVSLSQSFTEMNVKNQ KEVYLTQTQYESVQYKIGQILRLIDQSIDFNKKDLFDLIQLLQNKIKQILNMDEEEKMDE HRTLITSLKAELGEIKLLRAQLSKIYQDYDQQINRKPVDTSVDSKMNELNLQILGIKQEK LELNELTTKLQNQLASKDQIINELQSKLNNLSQTDTLRKQSQFVRSLQTNSMIDLKIIKE SLKQRQEGIKKTFKSQNSPQSRSHNPSPTLKFLNSPKNSNNGSNALKKQPQQDTRQQFYN KTSEFSVDNSSSHQATNHNNNSSHQNSEIIQKLVEQFKGNSALAQRISSYSKKN >CAK83648 pep:novel supercontig:GCA_000165425.1:CT868474:446345:448920:1 gene:GSPATT00017978001 transcript:CAK83648 MGANQSNQQAQHEKKRPRKRDLLMGLCMSQLQPKDAQARSRLQKSLSENMKCQTQDILTK MSDEEMPKHSIKILQYFLNFLSIKECIQLKLVNRKLKFMIEMSSNIYSNFLSQYYLRKLQ LHCFVEYGLHRGFASVFSEQLLNIETNQDESWIRIYANFHKSISQLRRIEEEISSHFSID YSITEKILEICRNPTFPIPILTDDVLKQSTTSWFQLELAQKTTDYSAVERVPLLDQLTDK LYAECEATFNKDNIIHTQLLFELRWIIIDNFIKDPSSLEQHNVPILLKLLAQLLHFIYQR CIFSRNVLTIIKQNKKPALFLNMYSVLWESFIGIVWALNRSLKKMYNKLDQLFNKYYTTQ FPQITFTSALVRLWTQIVIKGTKNVENDPIENELFLSFDTILRNKRNLLFEYFTKDQIQN QKQSISHIVDDDYFKYCSSAVDSLLNKFTSNILDLSLHEQSIHWIGHSQVKVGQLYGSIL EIVVKQTNELYNQASIQFSTNFTVFKDYIQAETRYMQEILNQWTVQVCILPIGINYLYDK IKINLRQYVLSCQLNSCIVEQSNFEENILESQIIPPNLQFIKFNEPDELSSRIEREDSIL ESFICQILKEEMPQTVGVSQLVQQHQQQSIYQQQFNMPKISSTKQQSIIEGDYSKLRMLS TFSASTRVSQVTQLTSVLSQQILSSIRSNLNMFQVKDIRYKLENNQWATYLKDIHVLEVE NKIKIDKRNNQIQMRNFMRQIPQDLEESFNNIIDFTKIWNLLDTQNIYLSTDDLANIEIN KQFPQRVFSEIQFGDGLFASYFQQV >CAK83649 pep:novel supercontig:GCA_000165425.1:CT868474:449028:450724:1 gene:GSPATT00017979001 transcript:CAK83649 MGKNFNISKQLVYILISTAVVGEIASIIRFCFISKSQPVVGTSEGNLKQFQIGWFGIMKD TSDHQWNAYQQNLQTILLFQFFCLLGSFLIKKISNNQTQLKNLQYFNITIGLIFSFVAMS FGVIFQFAVLMIFYILQKYLINFKYFVLSLWAFVMVFLYLNETLNGFNQKMISPHLEILD QIQEEQQQIQWHRLFNLVLLRIISFSLDHYWAVQETREYYIQSNLEIKLNQYHPRLLDKS IETQQSKTRIYLNTIFLGTLHTYIILLYLLQDLRLHLMHSTLNQNREVIYYVLRVYVLNL LTFEIFLHICYPNAISNIKENAHIWQSLSFYDFHVMSFVNLIFIWYKFMIIWRISRAWAL IDGIEVPENMSRCIYNNYNFSGFWRSWHRSFNQWLIRYLYIPLGGSHYKALNIWVVFLFV AFWHDFKSDLFFWAFIICLALLPEMAAMYFFNREQYYKFWWFKYLTAIAAGFQIEVMSLA NFIGFGQGKDCLNHIYQKYFNLDCMIIFILVAIFRNGSGVILGLYVRQKEEETQKVKKY >CAK83650 pep:novel supercontig:GCA_000165425.1:CT868474:450764:452470:1 gene:GSPATT00017980001 transcript:CAK83650 MRQQQSNKSFNISNPTFYISLASMIAFESYSLYRFSSICKSNQVEGIEQGYFGFFRDHSD AQWGEFAGHLAELMAFQLIFLIGSYAVKYYSKLESKQRNLQYYNICLGMSYAFYLHNIGM IFQLSIIIGFYLFQKIFYKMKYFIPVLWTLALVTLWSNETFQGYSFAMISPQLKFLEDFK HNNQLVRWNLVFNMILLRIISFSVDKVWASQSDAKYKYDLIHEKQILKTYRERVQEPQPI EEYNFLGYLSFLFYVPLLFSGPSMGYNAFNSQLKIPQQQLNRAQVLKYILRVYFLDYLTF ELFLHVCYPNAIPKIAGNFKILKTFSVFELHVMGFTNLIFLWYKFLTIWRIARGWALLDG IETPENMNRCIYNNYNFSGFWRSWHRSFNQWLIRYIYVPLGGSKYKSLNMWAVFTFVALW HDFKLDLLLWAWIICLALIPEIALQKYFDKEYFYKKPWFIWLCRLGGGFQIEMMCLANLI GFGNGHEGMNFVLEKYMSWEGLICFIFYCVIRNGWATTIQFRIRDDEKAEQNEKNF >CAK83651 pep:novel supercontig:GCA_000165425.1:CT868474:453156:455236:-1 gene:GSPATT00017981001 transcript:CAK83651 MEEKNLLDIGTQALPTDGFQKHYFSPNLQQAKLHGVQFFLIKESFQIDSQRCQCCNSHLI QDYFNLFCNIKEFKHNRAAYLYFLQLQQFIFLFCISSVIFLPYALYFNSQGDQCEKMKNC IPSYYNKFSIWNQTQDYFQLDDFYLQTFYLLTIFLSSIGVYLMIFGNQYKSFLSNSYSRA VLLQSVMTNCVQNKEMETLQNHSYIKVHSLDKFQESIQVQAEGNYVFLNLDNQCHVLEKA IFQEKINPQLIQQGTIIIFPSENEKICFQTENTEIIVPDGVQSYITQNHAKTIWEAILKI FAIVISALVTILSLALNVYIQANYLNSKSTLGEGNFLKILFQILLYLQMHISSMIAKRLL HHNNYQQIYFTFQVIGFKNINRLFYPTTNQEAYLQENGIIDNLIVLCYLNILLPNLITIF DFKYLSKQFNKLYMRRYQKNNLAQIEINKFFEARQLSFEQKQYNVFQICLIGQIFIIDLP LIAPIIIIALCTIYWIDKFIFVKNCIPFQNSWGDDNALEIQKQQFLLFYIMYCLQNFFFF NNPILIFGMLGYIILFKVLMTRKLEKKIFQNKQIIKPEKLKEYASQYDPVHTLDETQHIK HQYLFNTFKILKKHLQKKCRTVRTQIQDLSD >CAK83652 pep:novel supercontig:GCA_000165425.1:CT868474:455685:456452:-1 gene:GSPATT00017982001 transcript:CAK83652 MPNLRKINCVLFILICFVSSYNSPKSCDLQPRNSFEQLLVFYYQLKDDGKYLESMVQQHQ MMIISFRNLKQQFIFFLFYIFSMSESLKGKFCFWSLILNFCNFIISLLLNQGLKDVSFIG IKRYIESRFLNWRIINTYSFSIVFLSINSPNNLFKSPSFLQNQENEKQMFNLQSCPILMQ PIHRQLLNSQTYIYQNLIFSQFDLLPNYKFLSYSKMNLVISQEFQNSINLPMLEIDQCLM VSIIKQHRIRINQNY >CAK83653 pep:novel supercontig:GCA_000165425.1:CT868474:457457:458722:-1 gene:GSPATT00017983001 transcript:CAK83653 MAQTPNPIYEIHFYWIATNDPRILVITFATWDVYELKSGSGEREVQFIIEHNLNDATNGL ISLIGIKHIAYYYNIEIDIAELTSTHITVSARTYSQSKLDYIKFNVLLGTAESLWSSPVQ ALINAPNHPFVSRGTGECDLNIIIDRPQILGNYQLIPIIAGRGYDVNNGENFRLKFLNIE LNTKIQFILNTWSTSIVYRVYYQGAIFKYDPNFKIFDPYCAELFSECDFNGDTIIICQNT PDLQALGWSQNIRSISIPKNRKLHLFNSINFQGVKQSLIQTQQCNEFQNISSAKFDSTPS LIKVLYLSSIPADNCVTILFFSQCNYQGEYFHITKGENLELSNMIPFEIKSIKTCPNGPN YVQGSIKTITTSQSCMNSYKFPKYIRNN >CAK58098 pep:novel supercontig:GCA_000165425.1:CT867996:5887:8269:1 gene:GSPATT00029134001 transcript:CAK58098 MNSSSQKNEIRENMCQIHNREIIAIDLNSTENGSIKYLCCDCIVEKLNNNRISTIEQTQA RIQEYQALRQEKKAGEIKIKLQQYKIVLEKYSEFKINACNSLVKIYDQIKTQISILEEKQ SLLRKFQTTSNFQEDVKSLSEFLSLNENQIEFQQDTQSFDDLIRELELIFNKTAYQQTII TFKEAKQKIQEFNEGNQIKLMPLQFENNKKAQSLSRLCPTHNKEILLIDLESKNKQIEER FACLECVGDEGFNCKQKSIEKINLLWNQKKINQIKFVEELKSKRQSKQEKLNKKIQQMRE NYNSKINSISEQLITNFPLPITKTSELSKFKQVSIQQLSNEELNQTINYLIQQDNDNIQN EYMITKDIQFTNSIESQLEQLKQNDLLDIQESINILHDQSSIKNSLLLADNKLQGILQLS KLIQQSTIVEQQQQIRKQELDELISSSKQIYSQLDLLNYAIEKFQQHNNKVISIKNKIQS IPDHENFSNIQQQLTLYLNNFEKDFKDLKKFCEIDQLESAKLALQQDYTKLQLENKELEI NLQNIVDELIEKLKVEQNKNAQCQEQSIVYQNEIKQLAQKIIDKEDLIKTHESLFDKFGL NLKPKLLQDDFWVRLSFYLQEKSKKKIHESLLIYQGTRDGLNKDQFWNKCDGKSNLLMIF QSESGYIFGGYSPCQWIQYTGKYIQDNSKQSFLFSQTHFQFYPMKTNGNCYAIYSNNSSG PVFGDGHDIKIDSNFKDGYSNLGHGYIWDQYQGAYSKHLFGQDKPNIAECEIYELKLN >CAK58099 pep:novel supercontig:GCA_000165425.1:CT867996:11105:13601:1 gene:GSPATT00029135001 transcript:CAK58099 MDQEVQVKYFKLPKKEEVEKGFLIFVLDCSGSMASYWKYVAKYHNELKEKAIMSIAITFD TYVKVLQPNENISPNIYQYGGESTNITSAFVALNQEIKKREITSDLTVVFVSDGQGSYDE NAIEQNMPKVNNLNFICVGVGNGFPTHISMSLRALYHTGNFSIPPVFIVSVEDQSSKQRE EFLDSIFKEEFQSVGAILKPRKQCETSPCLCFPWSKEKTTMVWSGSWVGSHDNEITFDGR VLQPSNPSEVMILELASYWLQNIQLLSLKSKVKQEAQVALAEFERLSNLTPKLQGQKKQK TFAQRVQESQFKDTGLSDLVAELKLFTQDFTLNQLSDKDAADRLKIGTKIGKFHTKALKF AGLSIEEFTKAKQQLIECLKQHKFDGNDGDRSVMTLQSQKEILQEEDLIYGLENCSSQYQ LVTAFPIIGYGLQVKRTNASMIDPYKIEIVSLVRIHKFLDSVSLIENAQHELKFQVGNGQ EEIVNCILPLYTKKNEDLKPFFRSLIFHTIMTFVACENADVCFDQSYAALLANTLFYLIR QPKSEWSREMISLINESYTLAYEQHNKNFTNKLIQNPIQSLVDFNKDFDTHCMDTIKVIL ILSAKKDQLQKEQVKNILDRFIIETIGRMLKEMAPKDHINIFFNQENEHQIIKEATERII NRFDHEKLKEYNSISAISYQIFNEVEQNKVEEWQLQVTFKKDQVIEFLSHTKYQYKDLQC IYEYFMQEEIPENFFIAAVFHARYQRSIERATNEFIVDFDLLNTKILLVKHKFLKVELLE KCKVEIKSHIQKMGFNNLFVQRRPESGKSGRNRPNANSLQKKP >CAK58100 pep:novel supercontig:GCA_000165425.1:CT867996:14524:15375:-1 gene:GSPATT00029136001 transcript:CAK58100 MINLIGFTLLVVGCQTSTIKLSSFYECSCQNLLTDKDCILEFCNWNNNTSLCQNKSCGEF NKGDCQGVPDPFQCTWNYQLDKCETFTKCSDYSFSITQANRCYELIKCQADVGTIDIMAG TIKCMAKSNESAKSITNCDKIPYDHCEWLATDDEKQCVRNKETQSCETRTITQCSDYKKI DECDPSACYWNETCKPLECSILPEQSCQFYFSIDSKDVTLCTWNQNQCVDLEPESLDQGQ CLSYTLYSYAWDPSSEQCEICSKNSIQKRLFFFGFILLALSLN >CAK58101 pep:novel supercontig:GCA_000165425.1:CT867996:16346:16486:1 gene:GSPATT00029137001 transcript:CAK58101 MIVIGVDSEFTKSLQKQKKIMTVIIKEDQQEVNLKQHCQNLDLSKI >CAK58102 pep:novel supercontig:GCA_000165425.1:CT867996:19348:19545:1 gene:GSPATT00029138001 transcript:CAK58102 MKQMTLSNQQQDEKDQMTQDCEFLIYIDIWHQKHIGYYNVITQNHFEKLTVRITRDDQLQ LKRIW >CAK58103 pep:novel supercontig:GCA_000165425.1:CT867996:20108:22492:-1 gene:GSPATT00029139001 transcript:CAK58103 MNCTNHIKNQVSLICIAPHTCQFDRKLCSECLDEHQFNSNIVSIKRFKEMVMKRFSESKL DQNTEELIHQRKAFKSMLSETESKMRKIWEELEKSINQMYDFIEEQNKLYLNLFNQNDNL SEFSNSNLEQLVQILIGESLDDWNDLQNSQLVKLEKTKNQWEQDIKTFCEKMNIEMKEIT SKIKIEPRQEIVQINEREKDLYEVLALSKSINQKLFDKMIKMLKQDKISNIIIFLQKEFA QKYQEEYMYNEANLSPKSREVKLKNDFQVITTVFRDIHQLDFNKKNFSTDAYIETRINII KKIQKDEKIIEFLKFLVNLTAIDSQFIQCGSNSLNLLVEMKVDLKEQSFENIRIKDTSLI GGNFLRCNLNGSQFDNVDIGGVNLNGAQLFNCKWKNIKLNELNKLEGHESSVNSVSISPD GTILASGSADNSIRLWDSKTGELKAKLVGHENAVNQICFSRDGTTLASVSGDRTIRLWDV KTGRQKAQLDGHTNSVLTVCFSPDNTILASGSADHSVRLWDITTRKEKARLVGHSNSVCF SPDGTTLASGSGDNSIRLWDVKRQEIKAKLEGHRDYVRSICFSPDGKTLASCSADSSIRI WDLKTGKQKIQLDGHSDGVLSISFSPSGTTIASGSKDNSIRLWDVNTGQQKVKLEDHHDF IRSVCFSPDGTKLASGSGDKSLRLWDVNTEKKNLGYDCCFKDHPTFLKTPLKNNTLLQNV ASNITILLISKQLIFQAQGALLYKGEFMDQSGMDFTTVLKQKGSLILDDQIEFQEHQS >CAK58104 pep:novel supercontig:GCA_000165425.1:CT867996:24353:24493:1 gene:GSPATT00029140001 transcript:CAK58104 MIQDATDRECVYKHKDCPILSVLDNQNQKLKENLICTDCLDLYELS >CAK58105 pep:novel supercontig:GCA_000165425.1:CT867996:24921:25142:1 gene:GSPATT00029141001 transcript:CAK58105 MHTETCDGQTQEVSQELWWFVLAFNKDGSIMAGAAKKDIKIQNFENGYLQEKQVGVSLLA QQAQHTYQLNKYE >CAK58106 pep:novel supercontig:GCA_000165425.1:CT867996:25692:26216:1 gene:GSPATT00029142001 transcript:CAK58106 MNQIKELEIKCSIEDHDYAQLVCLNKECKANRVYCDQCIRNGDHIAHINDQWNIQKLILI FQNIEKESETLKSDLCLINQEINKIFTQLNQKITKKYQYSKERLQKLDAKQLHQILNYII KYEEVEKSVLNEVKKCSDDMIMQIKRYTSELKIEELLIKNSRKIKYNTVILKRY >CAK58107 pep:novel supercontig:GCA_000165425.1:CT867996:26631:27163:1 gene:GSPATT00029143001 transcript:CAK58107 MLGDYKDAILWADKVLSIDSKNVNSLHTKGLNSVNKIIAECLKWLDNYKDAILWADKALS INSKHINSLFTKGESLRMLGEYEDAIKILDVALNQNPNHDQSLVCKGACLQSLQKYQEAI NCYNQAIQINPNYQFVQNQKSIYQFRSIVVCENQLKKQQIRK >CAK58108 pep:novel supercontig:GCA_000165425.1:CT867996:30752:32597:1 gene:GSPATT00029144001 transcript:CAK58108 MGRKGRQREIENWQMGGFYDNQGRQQGLWRLNYENFWDLAQIVYAGIYESGIQTQKWDTV LNNNKIGGGCYDYKGQKKGKWTELHQNFFKCNHSHCDATYVGQYLEGRKQGFWQSFFSNK MIGGGIYNDDGQKNGFWVELSDYLNDLNQIIYMGTYNDGLPIGIWEVLLIYDKKHTQIGG GAYNDEGKKNGRWVDLCRDWLNGNKEITLVGEYKNGKKIGLWNTFNWYNQSIGGGEFEDG IKKGQWVELHDNWHQKWPQISYKGIYQNGKKNGRWDTYDNYNAKEKLMLNSYYYLSGGGQ FKGGIKNGNWIDVHDEWIPNWREITYHGEYCMGKKLGTWKTFEQQDRLIGGGAFNENGAK NGQWIDADERWNFYSEVIYEGEYRNNKKIGEWKKIDILNGKNSSLDCEYYNEDGPLIESK L >CAK58109 pep:novel supercontig:GCA_000165425.1:CT867996:32893:33719:-1 gene:GSPATT00029145001 transcript:CAK58109 MQINQALIVKMNSKLEQFINFNEQKQCTLILNNIFNGVEGKKAVDSESSQIVQQTSTNPT EKNIVYQIENKTEYQSELQQIEYYVYEDLQNFVNLLYSLKAVKEHFKEYPSHPMIVLSRN KVILQLNLSNAIHYDLISQYKDNLKKVLLKEKEHAKNIINQFKLILGDEYQQHIDYFLSN VLNEKISMKNLNLEKVEESNCRSENLNIHLFDQALESQKIFSHWIKSKTYHSNTFDSFIF KAICYKQTTEIKLLSNFPASSLNEKRK >CAK58110 pep:novel supercontig:GCA_000165425.1:CT867996:34837:36516:-1 gene:GSPATT00029146001 transcript:CAK58110 MFTVKSKENFQDYSCKFKHNQPVSLISLQANLLPNQRLYCKECIDQIEQDLKPIQGVLKI IEKPLKQRLKEYENELIPQLQQLTRISGTIYQLRKTIIQELDDFENRVNNFINRLKDIGK EMANYSFFDCLNSLIKNSGELDFEPIDLKKINEKWCSETISSLIKFEYYEEKNKIQIILN QLIGKKVMLVRQEDTKNKPEHTFQQLPRTIIGNKKPFSYEFKTKFQLNEKVKCYSLAINH DNSLFAIGQDDGIKIMEIQFYLQKQKQNVNQLAILREKGTQRVQNLVFFKKKTTMLNSLI STSEDQLITIWSKYTNFFNKTNWNPIFRVKYEYEIVRKAATSQIQFLQLNFAENEFFFSR SYSIYFYQFAKQSWTSEQQIQISSECITGLSINPVGNQLIVSSHWNITIYELINSIWILK QKIQIIGTGVCYINNFTFSFYQKQSQAVSLYSFDSNIKQYIKTSEIIYKGTIKKEEVSYY QIGIFVAFKNLLLSINGSAINILKFSFSSDFQNYECNLAQSIELRDGNLNGSISDNGEIL IIYDPSLNEIQIREFQEQY >CAK58111 pep:novel supercontig:GCA_000165425.1:CT867996:38783:41063:-1 gene:GSPATT00029147001 transcript:CAK58111 MIKAIIYFLFLSQALGIYSDADVFYCYEDLTDDELSEKIKMQPLDQYIFLIVSPLAFMCT MFITYSFLKYPNTRKMPGDIVFFISLSDAILCIHWFVTACYYTVYGESPLSSGPFCQTNS MFSIFAGTGEVSYNVVFCIYIRLTLKNQFKVIPKLPIILHSLAWTAMISIPIIAKFTHNN GLSIFGTCSFKYHPGFPLAGIMLVLFYTLISLYTIWYFNKAIPDDEKYKEIRETFGKYYY RYIKGSCLIWTSQAISFTLAGFNCSYFHKGILLIFITIGNSAKLCTPVVLSILRYNEPTI KDQVKRLWRKVWRRDNVQSELCKHIKYQLVHDDSNFYDTIHQNLKFDQVNTIIFGIRSVC KKDTSSETSVCEFDQIYLVRRQTDSRYCNDSILSNDITRLKSDYNLTTDDFLEEIIYGID SKGTNNEKSLDLKLLPSTMTVYSPTIFHKIREKDAKMINHFKSFDLIANQDQIKQFKGPD GGKGGAFFFFTHDNKLIIKTLSDQELMVIRKNLIPYFFHLSENETAISPIYGIYKLFRQN VYSINVVVMRNAMQIPSMYRIRTYDIKGSEHSRQVLKKNVKYNDAELRKITLKDIDFQNL EQQLHIPQQYRERLRVCLVHDAQFFSKIKLMDYSLLIIKMDWYTYSQNNHHIKEEDIPNY FSSDLQCIHSINATENGIYYHIAIIDYLQEWNAQKIIEKHTKKAIHVNIALDTSAQNPDD YSKRFIEKVAYVII >CAK58112 pep:novel supercontig:GCA_000165425.1:CT867996:41689:43245:-1 gene:GSPATT00029148001 transcript:CAK58112 MNINCPLHNKLIIQIDIDVNTPLGERAVCIECPSKSRENISRVLQKFKEINQSGVEEIRS LQNIQILSQKELKKRISNIQELFKKKTSELLQIIENQNNNILINLIEKQNEFQVEEEDQL TLSKLQNIAQTVVLSSNDNMYAASQFSKVIQQTIKSIELINQQLSKEVEQLQVNLKIQLQ SLSEYLVTYTKECVIEHTLAKNENFFKTSELCKLQVINQLDQLYDTCVFNDKVLYITNKN EEVTDIYKMEAQGSLKKLQTIRNDVISLGMNQQQLFVRSASNNILIYQTDKSFVLKQIIN GTKQDEGWSPCMVSVEKKQFLICAQPTPKIIIYIKDQENETWSEHSVLEDFFWPVQDLSC HQFTMNIVVCTHNKDIFIWKQQVTKPNQLIWVPLCDPIKKAHSDRIYSVSWVKSNQFISG GLEIKNWKQNKNGNFINIQKLDKLHIVGRLCHLSIPNIVIVQEQDNCLEICQIDDKDQLV KQDSIKGNYKILSISQESSSIAVNNLHNQVLQILKFCY >CAK58113 pep:novel supercontig:GCA_000165425.1:CT867996:44569:45725:1 gene:GSPATT00029149001 transcript:CAK58113 MHKQLNSISFISANSGFSDLQSCSIIKAKSIDQHPELISQLFETEKLQKSKNIFQDPVNL KILQHDPAIGPKYEKNKIVSRSIVGKPEIFRKKQPTQNIHSNQEFHMPTQQINMQESISL AKKESPLQRRLTQLKVVQSPVKISKQTKYEKVITGSELISKIAHLEKRLIQNKLDEQQQF DNMPFFQKQNLYRDQRALEDFDKQEKIHSELLHSLSARISRNPSCSLMMDLYNFRRKQEY SNTNDKMRQLSENKNQEIIEIQEQNQIPFYICSDRQQIIRKPHIFEKDATISNILKHMNE EKTYQSFTTNKVLKEKELKYKLLVKDKININIDELMIIGQSKYEQEISINLNHKYVIKDI EKYQGNEEEIL >CAK58114 pep:novel supercontig:GCA_000165425.1:CT867996:45726:49588:-1 gene:GSPATT00029150001 transcript:CAK58114 MKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYPSQYNYSYPQQNT NTNYYTYSNSSNTSNTTNNTNFTNGSYPSQYNYSYPQQNTNTNYYTYSNSSNTSNTTNNT NFTNGSYPSQYNYSYPQYNTNTNYYTYSNSSNTSNTTNNTNFTNGSYPSQYNYSYPQYNT NTNYYTYSNSSNTSNTTNNTNFTNGSYPSQYNYSYPQQNTNTNYYTYSNSSNTSNTTNNT NFTNGSYPSQYNYSYPQQNTNTNYYTYSNSSNTSNTTNNPTFTNGSYPSQYNYSYPQQNT NANYYTYSNSSNTSNTTNNTNFTNGSYPSQYNYSYPQQNTNTNYYTYSNSSNTSNTTNNT NFTNGSYPSQYNYSYPQYNTNTNYYTYSNSSNTSNTTNNTNFTNGSYPSQYNYSYPQQNT NTNYYTYSNSSNTSNTTNNTNFTNGSYPSQYNYSYPQYNTNTNYYTYSNSSNTSNTTNNT NFTNGSYPSQYNYSYPQYNTNTNYYTYTNSSNTSNTTNSSSISLSTRSKLQKSKISLKLR NQEVQSQQNNSTQTVMFYNQSMQSYQQIVIFNQTDLYKPKSGNNSTYYYYNDNELITLNK IYDSHGNTVFRNATLQFSIVVYKPYTGSSYSQGYNNAVFYGTLIQSFIWQYPLNTSIKPK NLSQNGLQYNDNYTIQNNLNRGKGEVSYQNGQGQAQLNKTSSERYQQQEQIYQSTESGSS SSTIQNFNSSSNTQQINGEIDRNINSSSSSKLRDQTNYQSQSTQNQYVSNGNINTNSSNL VSSSINESESYQSKQNGEYSQIQYSTQSNVFKSEQMSGYQDGNQSTQNQTFNQQSFYNTN NSTKYNDGNISTYQSQQSNSANTIHANRNQISNSSFSENIYNVTINDIQSEKQLDQQIQE GIVNQSIDSNFTNVFNQTNIENVELGAGNQTKIDSQATQISREFTQEGNTPAQQSTSSSS SEFQKTIETPKQQFNQLRGSKRQPSGSWKLLNENKFSNSNQIVIEEAINEVNTKFNPQKE GFEFESIISVHEQLVAGFNYNIKLRYLNEQQEQQIYEVIIYSIPWANKPDQITSCTRFDQ QNE >CAK58115 pep:novel supercontig:GCA_000165425.1:CT867996:51343:51759:1 gene:GSPATT00029151001 transcript:CAK58115 MMVPQFKHFLKHNEIFKSDIINSKSKTDEYSVKNQRCQCCNEVKFKKYYNLKDQTLLSLQ NICFKKLQIHYTETNFKMLKQGSCLKMQLQNCYPKPYFRLDVGWGYDQSKQSMDDQRHKT TTIIEYKAQNISQTATLL >CAK58116 pep:novel supercontig:GCA_000165425.1:CT867996:52972:54516:1 gene:GSPATT00029152001 transcript:CAK58116 MYQNKHYEIFKLPHLQEYQSNITFIPKCPEYINSQKSQLQVGLELPNFTMMPKKQKQLMR KKTPPKKYIDEFPAYYLDLLPLPPFLQEKEQLNYLILQEKGVRPKKIEINQLPSLRQLKE TPKSKISSKTTSKPKSKPIIKSLDLQALGQNQQQKESEQQINKQRVQTELKKNQQKKPSS SQLKRLIKIVYQLKIWLSFYKLLIETLTAKSEVILKQQREEFTQNLTGALRQLSDWTDAG LDDLLLEIINLKDPLYIKVGEEKQNQQKKKNIENLLVLCMKKLQSINMGEFFTKQLALIL NNLIQPYQFPPVQYYFKFEIVRLKTTASGSLGQIEKNQQRMILMLFLIIRQILFAQILRA WQFHPNQTDNDKQLILIQANSIILVSVVHEIIIKWIERNVPKKRGLDLELLHQQFQFLEK PLTQDKEKMEKQGKIYQDNELIEGILGSNQIMKYLQNSKGEFEKWVIQFEQDIIDFCDKI YDHLKKEFVDQPRQRDRICKMMSIHYLASLIRKY >CAK58117 pep:novel supercontig:GCA_000165425.1:CT867996:54527:55218:-1 gene:GSPATT00029153001 transcript:CAK58117 MSVSQLLIKIYHLVADHSDIESFQNFVKSQNLIQQLTIIIEHIQHNKIKKDYKELEKQLQ KYESEVRVHIRESYLMNQKYDEMKNQIKQLEQDRDELLQNTKKTLNKLKKENEELYQKVK LLKEQLNYYKQIEICTQQSTKISNTKTIEKQNKLKDKRFHTNITPQKTVNSSFDYLVNKR LSQQIVQRKKSVQKYMSSKRKSCATDLSKSM >CAK58118 pep:novel supercontig:GCA_000165425.1:CT867996:55246:56862:-1 gene:GSPATT00029154001 transcript:CAK58118 MNIRQPLQSYNSVERNPEKSCKSISEIETFLKEIDRLQGENQKLKDRLKQQPSISMTMEN QKVLAENQDLKNQLELLQHKNEALEKTHSDALRQLDINWKQDVATLKFQLEQQEINHRQS LRQETMQYKQEIAKLQQCLSKISSVENNNQNLVQVLEDEVIQVKQINNALEEKLEQAISQ FDLQSKDQEFTLMKQQTIINSQEQYLNELKSKLQIQKDQNLDLLSTVKKLKELADNKTMQ ASSLSIRVKELESDFKHVSCTYQEHKTQLQQRVDDLIQLTGKQSEQICLLQTQQQRDQEL IKRLQINLEYEQKNKEMELKEIQESKAKLIQFLQQELLQQKEQNHQFKSDNQNLDKQLNE LKQFYQYHFRDLECKTNMLEGECNRLNNVIAQKDQELQTVEMMMNNNSNCQEREDEKIQE VIQIKEQEINLLKQQNESLINDLSRQADNYRHLALQHKQYQKFAEEVKINNITINELQSK CELQDKEIERLRMKVLEKSQQLEKVQKDNLDYQAKLKSRLK >CAK58119 pep:novel supercontig:GCA_000165425.1:CT867996:56953:57365:-1 gene:GSPATT00029155001 transcript:CAK58119 MASVDQTQFTFIKHRHFTKKRKLEQKPRNYTYISSEAKLLFLQLFLFESYKIQDVKFLVQ TLYQAAQKAGIKYSSAKTILFAHRKTFKDELIRNKLAANSIKAKCCGYKVKQIGEQDNIQ IISRVGM >CAK58120 pep:novel supercontig:GCA_000165425.1:CT867996:57794:60151:-1 gene:GSPATT00029156001 transcript:CAK58120 MSQQSQWIELYCQLGHHLSVVKSICLAESCSEFRFICDKCVEFENHKNHQLVNSQEAELY IQDQVQKYQELEDYLSSLCNSVTEPIKQLQAVIMAQHSVDLNLSQSNQKHKLLDNILKFD KKSDQIKKKIQNISNIYIEEIKKLIELVNVKNETIEINQSDEDNKIDTIYDNAQSSNQNN EKPSIQLTEEEKRSIHYYIQTGLISNSNFQLTYQKNKKSIKNRSLFISKLQIQTKKTQFV GEAQVIATDCQKNSQWQFHIMTKRWKLNQMISHHQLEKVNVQECLRIIYNQNLCMRGYCK WMKKIYYLLQDQVLQNYILGECLRHQELYKEAIQQYDLALEIDQKNVDSLFGKGLCLFEL EQNTIPMDFDDSNQGEKQDVDFQTEDPSLISGIFQTRTSENPLYIQNNEDESNKSIQDQL DEKQTPNLTAPEPFDKNEVLEFCISGNLHQQSIADNLKKDRKYEEALKLYEKALEKYPND IPSLNGKANCLRLMHKFPESLETLDKALKLNKNCLSLYGKGLIINIFIGECLRMQKKFKE ADEYYQESLNKANGESQSDDPIFFMNLRGRGDILSAQGEYQKSIEFYDQALKLNQNHSLS LLGKAESLRCLGRYEDSIHYYNKSLDLNENDSMSLTGKGESLRLLTKYKEAQECYEKALN IDKNRIFCLRGLSNCLRKQGEPKQAQKVIERALIIDPNDENSLKLKGYLFQIYLLEICLK EIERKEKALKQKNNQ >CAK58121 pep:novel supercontig:GCA_000165425.1:CT867996:60275:61178:1 gene:GSPATT00029157001 transcript:CAK58121 MCAYHPESPARYICQGESCFEKRIFCHACLKNNKHMHSVNRNELIEELPQILEGSRQDCD HLLEFIEETFKEIILFKDYLIRAIRKKYHIQIELFNEINIESKCQALDNLIKFKEDSKII QQVLDQPFKTVLSHIKDGITDVCLEQVTYLGQMTEQERQQFQNHITESQNLFQSNRLEEA LEKVERALIINPRDLDALIQKGNILMRLQRLKEAQQQFIQALKIDELHVTSRFALGSYYI RTNQRRQALQQYFKIIDINPGNQQAIIQIDQLLEETY >CAK58122 pep:novel supercontig:GCA_000165425.1:CT867996:61420:62030:1 gene:GSPATT00029158001 transcript:CAK58122 MNQFRQEDNQKQEIQDDNLIRQIPNYSRFLPIANINRIMKKALPENAKIAKDAKETVQEC VSEFISFITSEACEKCKNEKRKTINGEDLLYAINTLGFESYVDILKLYLNKYREAVKAVE GTTGATNQKRKRQNSSDDDDEDDEEDEEDNDDDDQS >CAK58123 pep:novel supercontig:GCA_000165425.1:CT867996:62038:62766:-1 gene:GSPATT00029159001 transcript:CAK58123 MKFSRSTSTHLTNLSFRSNPLTTIQTPQSNSRLTEVNSKIDDLLKKSQTTFTKPEIKHQQ QDKKLTQTHSYALILEQIQREKKQLLTILLQKDGIIREQQNKITQLDLQNKSLMKQLTQF NNLQPQMEKLEEQCQLIIKDNEQLKYEKNQIQTSLNYLKCQNLQIISNEIRNSLQQLHQQ IKKHYLTNYKDDYYNLQLSRMSLKINDLDFYTILRDCLDSILYMIKNTDNSIENLIIKNV KP >CAK58124 pep:novel supercontig:GCA_000165425.1:CT867996:62878:65160:1 gene:GSPATT00029160001 transcript:CAK58124 MNFTINEIRKSGTHTEEELNQLTLDENMGELRRAQYILQRGHPIQKYAIYSNIHRLFKVS GFSLLFPIINEDLVKQDEDTIAIATKEFLLIARQMKEKEQQILLNQTFHFMKNYNLKLAE GWFELFEMLYCCDIHNSIKLINELTDIEADTHWRQRGARLLQYIPQIERIQLLCMDKDPQ VRFEIVKTIQIVYCSFTPEKFSTYLQMKIFELIYDYEVQVRMAAIEMFFMVQSHLQDREK MITKQFFEFLSSQNVQIITIMSRICGKVLMEIMDVKPDIQKFLKVFISFAESTNEEYRIN FAYNFPAILQILKGECYDQLKETYLRLLQFDTSTQVKNLLLASIPDVISTLKIHKTQSMI PLIRKMLDHPIIQYNLYSIIYALWPSYDQQEQLILSKMLVNDILIDLVKQVNCKPSVVLL EQLLKLHEFFQQCDAYLLLLTPLLNLLSADSLNIRNLAVQNIAYLAYHDQSINSQMINLC MNSNKFRMRICYIEFISQTIDLCSKRYFHLNNFINILALSQDKIFEVRIKLSRIMKKIQT IILDNDETACIAYDQAIKRLSRDPIINDILKQNESLQFSFGDDLLKEQKEHLCFARISAD DIDATEKNEHTGSISPFIIKSKNGYGHSRKRSSITRQPINRSQPNASFTQKLTQIQTKYN PIKISEDMSRRTSVPPVPGRKLQLALKPTNTQFKPKLNQQRLRK >CAK58125 pep:novel supercontig:GCA_000165425.1:CT867996:65180:66330:1 gene:GSPATT00029161001 transcript:CAK58125 MDSAPKKKKSVSSKQDKSQAGSVKSKKQQPPGEILEQQHSQHDIQIDDHPQQPDPFISQP PQQLVKVCIHHNLPFQFFCEACEDPICQQCTILGPHNTQLHRINRLSDSFKLRCAKIEES IKANLLGKREQLLAQIHRIEYRIEEIKYVKTIVERDARAEFGGVLERLKQSEGQKLAILS HEISELQRDLDKINEVGSQFYDLKEIPDPCPFLLKSRNIYDQIEYMVAKPFKVQIEVYPY DLPRELTELRLQLQKASAQQQLIEFKNEIIWKLLSEKQIDEEAIKQELERQASEEISEWS KLVDKFSQELRKQQLVCYYCGCKMEKQYVNSICAQNRQEQDCKNIQEFR >CAK58126 pep:novel supercontig:GCA_000165425.1:CT867996:66564:67505:1 gene:GSPATT00029162001 transcript:CAK58126 MNIYTIVELIKLSEQRGVELLIDALIVGIEMGRRNIENQTQIQKIVELLTLLKRKIDLNI YSPFLRDEIFDRVLNKKEKLEDILYGIQLEFQRQSKIKQQNNICEICSQPVNSQMEKFNA QCNHQFHQQCVTPLLTHVMENQNSFRCPLCGHTTLQQDLENKIKDNITFKKSCCPTPQCG KRFSYVGQEIYRCTDCGKRYCLKCQSQSHAINQQNHTVKAVKFEMGDNYKECPKCKLWVK QMNAERILNCQQCKYKFCFGCGDRDGACSCNKVNGAFYNSYMWIKKKIIG >CAK58127 pep:novel supercontig:GCA_000165425.1:CT867996:67527:68956:1 gene:GSPATT00029163001 transcript:CAK58127 MSFQGFLVKKTIQQFPIRQRPVYYEDYTDQNLVLFINKKLADLRFKSGSNDLSAKRIMNL KIKIPKDKNTDGPKMKRKASLKQKTVSEDESEKKTKYKFRSPQAKPEVKLASQLNDELLK QHQISQLFQEYKLANLKANLVRLKSKKNYELNELNHIELEDDEQVKFFLQEICKNHPEIE VSQLLQVDVEKKQIKMESQKQTLQELIEKRIKQEQNENLVKMEQQYQKNLVNIYKETRYD QFEPEFKKQGQINICKIKKQKETQDKMFSEIFKVKHPTSEHNQKQHYFRRQYVTPTKKHQ AAKSQQFQNNIFLSQPDTIQITPQTSIINEFKVSPLTTSVGTYSDIKSPLNSYRKNDFSL KLKTIMDKCDIIEVEQAKHNEIIKKKMNKMGKEMYHHFETARNRYQNQEMEIDVNEGFER FLQENQFKRKLIQYQVNQVQNLHEVLSQRAKREMTNNFMGTDLDKRQLI >CAK58128 pep:novel supercontig:GCA_000165425.1:CT867996:69006:70610:1 gene:GSPATT00029164001 transcript:CAK58128 MSEQSSDDSDESPKLIQPNRQRKHLSEIDVLIATLQIEPKQRSWQQLKFLCDTFEQNVHY FSQLRNKLTTSILIKLMATISLEQFTSFNVVFNQGETGRKMYIILKGEVAVLIKTDDQNE VIQKEDHRRRRATKTFDELILHKFSNYRIVAYKKQYDYFGEIAIEQRIPRTATVIAKEPC VFAIITFDAYQSLLSELQADNLQLRQVVIARMYPFNLLNEQQFQQILHNYEELNIQGGCL LYREQQNADAIYLVIKGEVLVQIKELVDNAAAQVQEQKYFVNFQKQQRIKNIGLFGTGQI VGDYELYLQKKYKHKLIRRTIAIVKSDSRIIRIPLKQFVDVIEHGLSAKWLLRYVNEKYE QKEQLPILQLKDEQENRKVQSFISPQFKKMILRLKKHHDRNKISSDRYQQIIYKQNVEDG NNEQQFTGLKKEINYQDKSLLKYLAKDQILHKRKSNTLTNFSIDKFASTLKSRVRFTKLL TQIDEETSCYRFHSTPTKTNGFLSKQTQTLTNIQSPQYKFNQTFRMN >CAK58129 pep:novel supercontig:GCA_000165425.1:CT867996:70632:72376:1 gene:GSPATT00029165001 transcript:CAK58129 MYKKQSARPDSSKHNKLVIAVNQLIEQQEQPEQMLLKKITNLWSNKQSSEHDQNIQYQRC LYILQLEPDDRSQSQAKQVQAYFEKHFHYIGQIRGQLSPELYLKLFKNLLFERVNQFELV FNYGEKGRKMYFILEGEVGILLPQENESQTERKLDLSAIKRFDELLLHNYSNHKLVAIKK KSDHFGEIAIEQRVPRTATVVAKTECIFATLSYDAYQSVLGLLQEQIMKRKFEIIRSIPP FKNWVHTSQYVFLHNCEEHTFETNNIIYKRFNKCDSVYLIIEGEILVQKWEQYRLDSEEN EVFLPQGEKMTTLGRYSNGQVVGDYEVYLTKMQQNQVKRSSQAKCMVKTSVLRVPIQQYI ENMRNNQTEEWLQQYYEDKFSKKWINYESVTSLQKSASVPIKNQYILPNANQKSTHILRK ISQPCTSKFIECSSNYNDNQVVTYSQDLLNLMKQNRKYRDNSQNQKNNHSVHSSYNIIQN LKNKILNYQDRFQLESRSGVQSQSIFDRKRCQSRIDVDSFQTQFLLKPSTCSQKKRTKFK SMHKFEYLSKVIKMGS >CAK58130 pep:novel supercontig:GCA_000165425.1:CT867996:72414:73042:1 gene:GSPATT00029166001 transcript:CAK58130 MIHSEEESVNSFTPGSQYTFVISRQDQNLEEHKNKDSKKYAIIDQSIRVQLLRRILSKQA TIKDAAKEFGVNFSTAKAILQTYRKEGRIGKKKTRERNKNRQESGENSSPRKIQSMYNLE QPQQMRTNSPQQKPQAPAIQPIFSVMSSPNLDNPQAQIALALCQRELAQQKLINFQLMMM IQNFKNISQLQVKEEPNIIN >CAK58131 pep:novel supercontig:GCA_000165425.1:CT867996:73054:73778:-1 gene:GSPATT00029167001 transcript:CAK58131 MAIFHLLLLVAFPMIAFASQSKIEGKVLQQLQREFVQDDPILKVTLNYGQYETHTQKNGI FAFYNIPNGRYFLEVHSRYFVYESAFIDVYTSNKGTNVAVSKIHPILRQKPKSQQQTKQQ RIQTRDQMLFEIIQRKDYFEKEDELSLSQIYQNQYFFMIAITVAMLFFVKKMPMEELQSA ERAPQQQQ >CAK58132 pep:novel supercontig:GCA_000165425.1:CT867996:73813:74433:1 gene:GSPATT00029168001 transcript:CAK58132 MEQGIEQLGFSNRNRQVGQIVSSGKKKSKSNDEGQKIIRLDKLRQQIVSLEIEAKKPKLK LKKLNSENMLLIEKQQLEDDIKEISQRLEKMKQIKIVSKQQQCDLLQDKQDFEQRWNNIQ KEDEIQSFQERMQTLLNEEQEPSNHDIEILTKEVEELLCFKIDDKPIKYDLFTIEEEQQD QSFT >CAK58133 pep:novel supercontig:GCA_000165425.1:CT867996:74481:75213:1 gene:GSPATT00029169001 transcript:CAK58133 MKCQTVEIDDDDTLLENRDGSIIQLEPYSNDAQIHITQRNVESEDEGSQADSLDEQIGQA IPSLVNSILEMMLKQQLTILIIVILHAILNTIFTFLGVCFRQDTINKLQGLLDSQERAEQ LYWFLTVIDIVSCLIFYYLAISAYRTKSYLMYQLLLKWVYYCFFIQVFLAYINKLNILLV FLKILTYIYSKFVAQLIKGIVNLPRQRAD >CAK58134 pep:novel supercontig:GCA_000165425.1:CT867996:75482:76633:1 gene:GSPATT00029170001 transcript:CAK58134 MKMDDKRFKLLLGVHYLGSTSKQSQSFIDYFASSTKASTIKRCVQTQTSTQDCTQNDYSI QSPQITKVLILTSSFQKVKTTADSTQNTYEDDFYCHENLKIEESIQLPITFTNRNSGIPN QKQFYYINPLYIQEFKEKEYNYKTRALILNRILDNCINMNNPYFHKAIYLFDLFLSKLKC KTISNQQQLRFLSLAIIFVITKMNAESSNVNLLLSQSSLNMNKQQLLQYERLILKVLDWH TNPLTLQDIFYEVILRFQVAFPNLNAYMSNEKLNLKIQINESDMKVINQILNVIVLDHNY LSHDFDDLSFSILYVMYQKELKENEFEDFLKNHEKSKSQILTSIKFVSKFIRVVQQLNEK QLDINSLEILKLVCD >CAK58135 pep:novel supercontig:GCA_000165425.1:CT867996:76946:78329:-1 gene:GSPATT00029171001 transcript:CAK58135 MGNCQQCNDSVPNKTQEILTTKTTKSKQKVGKKLSKQEMKGVIKIQANFRGYVIRKKFQF KLSRSTAQKHSSSIINQKSSHNDLVIAETSQVKQNTLQIQQTVKRLPPRKDQEQETNQKQ FCNADITININKEVKDDASSVSDLSRPTSNPIAISVEMNGLCFIPLQKKDQQLVQTNQQQ KLPCIELQNGAFYEGQWKDGMIHGFGKYILSENSFYIGDWYENKPNGSGTFQHSNGDLFS GTWVDGQVKGKGKYTFSDGSYYDGEWKSDLPNGQGIQTYDGGWIYEGSFQEGFKSGFGKL IYPDGAVYEGRFENDLMSGFGTFAFSDGRTYTGEWRNGVKQGKGVFEWPDGRKYDGQYVN DLREGYGVITWPNGQKYLGLWKAGLQHGNGQIIKSNGATFRGKWIKGKMATTKLTTNTPA KVVKLVND >CAK58136 pep:novel supercontig:GCA_000165425.1:CT867996:78887:79714:1 gene:GSPATT00029172001 transcript:CAK58136 MNNCYAQNLSLSQLRQLPQERMHVKMYKNEEITLTPQSNRSQLNEAIENLEMQWQSIRKQ HLDQRSCSRSPAKNNLMNQLLKNKDKQQQCILKKVVPLSTINLARIQDQQQQQQIQCNPQ QQAQRNHLKDSSHFQYIANKYCNIYRRPSPEQQYVVNSLRDNEQLSYSIGRNQIQQHQYQ RNNLKVLDERVRVNSQDKYTAQALSNSNILKKDGPQRKRVSQYFKDEENKMTSVKSVAMQ NQRILPKKRP >CAK58137 pep:novel supercontig:GCA_000165425.1:CT867996:80352:82866:1 gene:GSPATT00029173001 transcript:CAK58137 MGKLWNLIKSQLLILIMSLLLMLQCIFGSEEYYYLFVVLVLQITRMAWKYYGAQQFQMVL IIQYLGYMTVLYLCSNTDVLSCMCVMQIGQTLFFENEFKNRRLIILFSVGADLLVLLLFK NRNTSQIYLPCISIAIKTLSQLINYPQVNEHPNINKENLIVESNHQSIYKMTKDRNCHSE SMPTTPKTDLYQVELLSFFPQGIALVALNDDIQFCNENMKKIFVQGENQQSILQAIYNLE EQQIVEQAEKQSMEQPPKQSMSSFSTFQPVPIPKTLFINVARSQNKQSGTVIQKQLKEMP LPRAKTQSKKETIKIEDTFISDSCVIEQEIQCMKKQIQRKEVQNLMKTTHVQEHIVVLGY IRSEKQKRRQIEIKLYSTFMNDCPYILIVARDITHRDYIRSLKNYCNQKSKMLTFVSHEF RTPLNCIIDQLNESLREDCRNASETIFLRIALHTAKQLLNLSDDLLDLAQIRADKFKAVK QKFNLKNMLKSCLELFSATAEKQQIVLTLNYNAHVPKIIEQDSNRIKQIITNLIGNAFKF TAKGNITLEVTQGQDRKRITISVADTGIGISDEDKLKLFKAFAKSNSEESKKMNIQGVGL GLLISNQILQNLNGDTQNGLKVHSQLYKGSTFYFTIQICDVQESFSLHEMEERNQESENS EENSLVVPDDDYPQKLKVITKKINIKASTKVSIADPKILIVDDVQLNVEMIARMIKDTAV DWALNGYQAIQKCKERIEHNQELYKVILMDLEMPIMNGFQVSKAILSLCADLGASTTIIG CSAYDSEEQMQECLKVGMTEYLHKPVKQKALQQILYKYL >CAK58138 pep:novel supercontig:GCA_000165425.1:CT867996:82955:85520:1 gene:GSPATT00029174001 transcript:CAK58138 MDPHQICKQLQEEYFKENDALRNRVSEMEAKNAEYQDQIEGLKQTIEEKDAKIKQLEDTL ANIQNKPIKKTIQKKKDKKSTKKPQSAIVKLKSEPSDPRSSFSHLMVSPKLIRPPQTPQQ FHLDQETLYMNNLHQKQETNEIKFQNLKLRTLVQQLQARISQIERVDRHQFQVDPLGMST NNAEGSIVPMLKAKIKQQAQTIEELTADLRTQCKSVKLTQILELQKQIKNQQDEIIKLRQ FQQIALKITDQDLFNDPDIAERLENYLKTIDAQQIRIDQLFKQNKKLSQEHQDLLDKTNK LQEECKQIGLDRTQLKKKLDEQLITETEQYQQKKREFLENKLQSVLKQVDALKGELSLYE NKYKQSTKLQQDQEREFKQTIMKAQKNKQHLEELIQKKEQLIQDLQDRVAIQDLLKQKSA NEEPVVKFVQPPKTYRDQNFQFPEPSIPQHKPTDIINTIPIDQERLQQLLEDLKYKVISL CLTRQRLLHIFNYIEFMQLKDSVHYFMGSPFNYLEKDALILSRYFFSEDKGSGYKIQWED TQSISDLIQMIIPKKENTQFNFKTIVNRNHLIKQLNQQIKNVKLQDAKYNIDDYIIYIKR NTNMNDEQITFLRLINQIYLGDVTNIDQGFLRIYLKEECEMLSQLYNLQKIDFVIYRYIP KLQIKQEDKEFEEFNITQKTSEQKFDESSAQFSLSDSYLKTSESRKPTSARDSLTYSQSQ IESVPLLKRPSDQLNRVSNNSIKKEEEEFVEFGISDQLMLQPPQLLKNKEPIQETEEEYQ EIYQEEL >CAK58139 pep:novel supercontig:GCA_000165425.1:CT867996:86014:87196:-1 gene:GSPATT00029175001 transcript:CAK58139 MDNFFDSQFYEVETGITLKKQIAFLKVRTNNFVRCQLQLINTILTLSHFEEETEILNSIN IANAYIEFNDHVCMGRGVKIQQQNKELLIFGLTSQLKQILKLYGIQKDFANQYRVLKQIG SGSFGKVYKVKNVQNEQQFAVKMFEKQLLLDPRDKSAIRKEIQILRLMDHPNVLTIKECY ESDQCVFLVEELFETQMEISQEKILKEDKAISLLLKLLNGLEYIHTKNIIHRDLKPNNIL FRNFEDPVIADFGLADFYRPDGAYLFQKCGTRNYVAPEIITTNNYDYKVDIYSLGVVFHQ LLTAQIPRPWDNYQRSPDCQALLTGMLNSNPRNRLSIQEIRQNKLVRRLQRRSQIFVAMG SLSDAAKPNGNSPPKQTKPFSLHSA >CAK58140 pep:novel supercontig:GCA_000165425.1:CT867996:88082:88342:-1 gene:GSPATT00029176001 transcript:CAK58140 MQGLYHLKLQTHSNFPNADTPQHIKTRPLPSPQPEEIETFSLDDSWNSNISPTNKKTFKV DKPILVIEYLDCKLRDQF >CAK58141 pep:novel supercontig:GCA_000165425.1:CT867996:88643:91342:1 gene:GSPATT00029177001 transcript:CAK58141 MNYQLSQVENEQSDIYIESQIDEYKKHFQMDCYALEDKVQFYNLNMNEPLDMKSPSETIQ EFIFTEEKLEVQGKGLFNTSLNQHKLINIKLLDLSKCKLHQIPEDVKQMINLQKVYLSYN MLITLPHFLESLTFLEVLDLSYNQIQIYNVHLKRLMQLNLASNQIKQPYFGNLNVLCIQM NPITQLPHQFHNALRNLNQLEFDWFKYCKPPLPLKLNFKKYPWVQEKLINSLSKQPLSFQ EFIQLLSQNQQNFSTTDYKERNLFCQAGINDEIGVLYCLNQIIPQDINKTDFDNYTPLSV CYAEGKMRSVSILKAFGGRFSLNSIHCMSQRADVQNLKFLLGIKEHVHFSLRASNSLHPI NQESLVMLRNIDGNTPMHQLMMNFDKHEFSSEFALILLMFGADPNAENYEGFTPLEMAIK KQQVKGLKFGNDFNMSNNVEYLNKRFFDFSHQSTITGNGMCHTAATVGNLEILEFLISIN ADYFSISKSNKLPRGLAIQSLVALKNIRKLEKRYILNNVIREKSLLEQQEKNVYQMRHQI EKNMVQRHHNMIQKFVDQVEIDDSQSMESYNDFSLQVGSECSVRETVAESTPIFKKQQNL QKEAFSSVQQNVQQLLNIEFSDHYPDILKLLRNGNIDTAIKKLENILQYELICVSERFKY KNQLSLLKLKFKQKNVELKSRIGQDVQLLLNEYQFKQLKTDLRNKYFKNVQSILNNQNEM EISKALNIVSRQQIICSSFNLNRDLQVQDLSLISDLRNKLSANLVYDINNYATHLFSSQS QLYLWLYSCFSKKEKILVKTSFFNLQNYEYLQQMKFKKKRVIVGKPDDLAIDKDISDLNS VPINQIISNNNLFCNTKRN >CAK58142 pep:novel supercontig:GCA_000165425.1:CT867996:92272:93601:1 gene:GSPATT00029178001 transcript:CAK58142 MIKRFKKLFQGQSEFQSFLTKSSLTKDNFVYKQEDVEILIPYLTEDQNIIEFFQVYQEET CQLYTIKMKMLITIHQMLNISDEFATYFTKINFAYFNKPSYKPETFVFKQVTNEVWLLEN LQIPFLQYLQKLALNVQEIKSYRNHHFRKPIHQSNLVVECFKLQNLVNQGLSLVPQLKTS LSNFPHDFLLKKLASNLYCELRQFQRQLINSLSALLDTNSRASNIEIFEFFREVQIIEKK TMSYYMLHKLFDPGRKLMPPLQLKIDLKSAKHLEQQALNEQLKINQQKQMRSQRNSRSNS LDQQQRVSQGFISQRERRFMMYSRTKKQDTIQEDIEMPTEEQQPSEKKKITQMMDNQVND IPKQFDNLENDNIDIQKKESSDESEINERNSQKETNDIVKNQESTSQADQDENQYI >CAK58143 pep:novel supercontig:GCA_000165425.1:CT867996:93636:96018:1 gene:GSPATT00029179001 transcript:CAK58143 MSAVEEIERCLAQAIQQRTATEIIATMGTKVLTLQNFQQLIKKNNKNIINKEVEEYYYKI LTDFDSRVGITYEIFEKMVENLPKPKRRQDVVMSLIEGIQSKKVPLERIFKFFDYDGDGK MPLNEMKELLEYLYPEITEEDIMILMKNNNEVQFSFENIKTLLSFEQNMNAEIVIVKDEN ETSDSDDDDEYYDEFGMFKGNQEEYFDEYCISEDSPFYEPFMNFKSQYYKLKENKTIFVD PDFKPDETSLGNVGDNFAWKRLTEIWKNVQIFQKDLYHSNSELGVHSLVSPRDIKQGSLG DCYFLSSLGSIASKYPDKIFELFQTPILNPYNFYGVWLCIQGIWKLITLDDYVPVYNDQP AFSGSDTQEMWVILLEKAWAKIFGNYGNIISGDPREVIASITGGPTWCISSDESTFIDKL KQIMNSYQNYIVCAGTYSDKPESETFGLVRNHAYSVLNFRTIKLPSKEEVQLIQLKNPYG NDQEWNGDWSDKSPLWTQELKDNVLQSQEEDGIFFMCIEDFRKHFENVFIGFCNPYFKFS QITIQCQKKKSAYILLNIKKDGEYYFSAYQKSQRMFRQQSKDLQIQYDYSEMRLILSKMN KNNSIQPLTYKTETDMSFNIYGRLQKGQYILQLKVYWIMEGWDQSDVPLVSYGEDTTEMK FCQKISNFQQASILFEAKEKRAEAKPLNPSHPELVALKNKSQAYNYPYLYYQNISKEKTF SFEMVFEGDIKLKKPHSGNQVDIELKPGQDKLIVYKFMTKGAKMKYQIHKFKCRSAS >CAK58144 pep:novel supercontig:GCA_000165425.1:CT867996:96099:96924:1 gene:GSPATT00029180001 transcript:CAK58144 MKQLSQPSQLFKAKQKSEPSLLPNIDILKENVKSSFALGHLRGLLTYKQREQPKTDIQVR RDQTFGKANKKSKNKRLTFLKFGFRTHEKKNNYYLQPLIKKVKDAFGEVANDGSMGVVDL WSDRNVNRLMDAIQMTRDNLIVRKLGKELNEAEHFLLIRMEEKKMRDRIFMESLKLQFQE TDTFSLKFQLSDKDKKIVQRVAQPTISSNLRYNNPNENIQTIVESIDQLHQENLEIYTDL YKQIKSIQKHIK >CAK58145 pep:novel supercontig:GCA_000165425.1:CT867996:97056:97304:-1 gene:GSPATT00029181001 transcript:CAK58145 MKQFYNSRKEYSKQSQKKVQRNNLKLDFKMPNSFVKRMQKRQSITRLIQSNNYTKQNENM AESIKIKTSIQIDYSLLDFHII >CAK58146 pep:novel supercontig:GCA_000165425.1:CT867996:97409:98140:-1 gene:GSPATT00029182001 transcript:CAK58146 MQQQSQDAEYFKEKGFEFLLRSFKKLKEWNIEQCNIIKGRTQEIAVKKSQDLRSHQKLNF KNTLTHFAFALQILNFPILSMRSVTTVRSLSLVFRESKMRINNAITKFKPYSKNRQSFRT QCHSIANRPQIHRNQRRTDQNTVGLRITRLLQICLTNSINSMKRMNRSNQEIKETRLLFQ MNDKLAPHQLKQYMQQLLKRYKRIQSSQELEPNGVGWELKTKSQPYKISDDWVVIREHML EKK >CAK58147 pep:novel supercontig:GCA_000165425.1:CT867996:98255:98563:-1 gene:GSPATT00029183001 transcript:CAK58147 MKRERDELLQEYQKEKTDFQAFDAQIRKMQMELDLRVAILKDKESKLANYKKMIEETDLT YHRVNLLTVKIEQIVETSNRLAANLEKETQFIKDRFKIKQEI >CAK58148 pep:novel supercontig:GCA_000165425.1:CT867996:98683:100053:-1 gene:GSPATT00029184001 transcript:CAK58148 MSRIFERVDRETLLRDEQLKDVLLKIREKVLSGHIVELKTLSSEIDRMSRDEKQLVPITG RTELDGLITNPERENAALLDQSFSKKIQGPLNTLTQLNQHQQSHSESEFSHQSFYSDEEP WNIDYINTNLDSVERSLNCDNSPSVVIALKALFEQIRLLFIKNVNKKEFTHKYIVLALRC LYILGNAMKAQGNYQHAILYYENCLTKFTINEPTFKGKLLIETGKACFISKNFQKSQQYY YDALLHYEQLNWRLDIAYVLTLLSRLNGWMKNPDAASKLCYESLAIYRDYLPEEDERIAD TYFILAELAYLRKDYDAAFQFAEQSLQIQQSKNNNEYYGTNQELKPQSSPIYNLLGILYA NTNDYKQAQKYFHNAFQCGRQETLKAAQILMNWGIMQALECNSELAQKGILKAKQISQAF QNNSDWSQRLDRNYQELLLQ >CAK58149 pep:novel supercontig:GCA_000165425.1:CT867996:100093:101511:-1 gene:GSPATT00029185001 transcript:CAK58149 MTIVELFDEIAMHINRLNVVGVQTDQFKLLVHFLLIFPLGIILRYLKSPIIRKSYTFFWG LFFMLYLGAQWIELVVAQTLVIYFMRLLRRPFIPIASISFLILGYLHYDRIMVNGKLSMG FNVVQMMLTCRFVYVGVAAQDRLSFTFLDYISYVFYFPNIIVGTVPFTAYIDFINLKGVY ANMSYSFKMAYLSLFKAILFVAADQLIRPKFSFAYFGTQQWEEHSLLTRHLICQLISCCE RFKYFLAFNFSQASMDAGGITFDGQEFSNYRLADYKFEIEFSPIKRTKHWNSSVQAWLQT CFYDRYKQHKSALLLTFVLSAYWHGFFIAYYVFFIEWAIFNEITKQVYRAKDKFKFIPIP MQKIICAVYGQLAVNSTATPIGLLKWDRVLKAMSDLGWVAQIVMVVVWGFFKITKFGQGK RKE >CAK58150 pep:novel supercontig:GCA_000165425.1:CT867996:101728:103874:1 gene:GSPATT00029186001 transcript:CAK58150 MKTQFTHIPILHKDKEINDNAQTLMLRNKNISIWPNDIPQKLLNLDLSGNRFSTIPHQIN LICQLQKLVLRDNKIDTLETSVNLPQLFYLDLSYNYIRKVSSSLTTLNSLHHLNLNSNLI DEIPRQLFDLQLYFLGIAKNAFVMIPQEICKVLKNLEHFELDWLDYCNFSYFMDEKSCTK LLTVFAEDCTFQQFYEFFMTPQFSYNLEKLFTHHSLGILRLTFEFQQEISMKSLLYVVSQ PGTKENIKFVTYLLDLLNQYDSGLLNVIYILSLRINSTFITQILQNYAINLHYPIKFDLI CNQKILILKNQTPYTTILQYSSNIDVVMESWALKGFDPQIKYQGENCLHVAIKQNTYEGV QWAIQHCNVDQRESIHKNSPLHTIFQKQQSVDMFYQLENYRPNPFIVNRYNKIPRFYQTQ MIQLRFVKLYLKYELRYLKFHFLTYNSNNFHGMITSGSRSNARQDCEFSAINTTDMSILS GEQLLEQLLSEVLKILRSSKSLPLIIDKINLLINSFLDLQARMYLQSILQLLICKAKYGW MYKSAILPQIITEINMLFKHKFYLEKRIFCVQDFKLIIENRKLIINQVKLESQNPEQDHL NNLSQRLKSNISNVIDPQMQTRFHEQYVFNYINIVYLGKNMKKHAQNDINILNQYENLQF KDMFLEEQIDIPDSPRTAIIDKMKYAPVVNAKFKDFSNLRSKSQMDI >CAK58151 pep:novel supercontig:GCA_000165425.1:CT867996:103886:105207:-1 gene:GSPATT00029187001 transcript:CAK58151 MIHNGNPKMLKNRITLRNQSTQSTDSGSFHISSKSGVYREFTLILKGQNLYKFRNHKWKV CDMVKHNLLWEGCRLGFGQNYLEIYDKIEELLKYQKRSAFNRISLKNTLFSNQLDRVSME RYVLTIQVWFTELKIIEFAIKTLKNLICIYQELEIAQQVDHPDLVHIYESFNLYLNYYWV AICDKKLKNRSISLNLLIAYFFRIYEWPLCDAQRHKTREYYAQIFIGSFPELLQNKLFDF KVDFFSIGVLLFQMLTGQIPFESENYNKRVQLNKQGLFDLSVANSSREALDFLQSFLSKI LPIDQPPLRLQIMESSTRIMGRFISNTLVSQSQSSQLLSPKKANQLSQRMNSLHQYDIEK LHNRVQGGRLWSIILCQRGNKIIIIRITKYHIVEVRNQRSCCFLNLDVSYQL >CAK58152 pep:novel supercontig:GCA_000165425.1:CT867996:105315:106561:1 gene:GSPATT00029188001 transcript:CAK58152 MLDIELNDQMWEVNTALTVYLQEHQFPGEKEVFLFFSYSLYALPGIALIAFLFCDNKMGA LLYVCLIQTIFAENQILKNIYRQARPYFIESAIQPYECNKEFGKPSGHAMSSSAMYFLLP LIIFPAILSDKSNHKYLRVIVIAIVTMWTFMTGFSRVFMGVHSFGQILLGWVYSAYSIFI YMRYFHNPITHYIKQCLQPGSFGVSSNVVQAVGLFALLWTGLSILLFEFNNKVLGEEDEV DDWLDALYQKCANQKTHYKFNSPQVLHNISFSLSLYIWLPFSFILGVKLSKGIYNENQFS VYYKLLTFSQKVSRVLIFILLISTLIPIILSQFENSYGYAFGKILPLSFLLGLHITYIYS KLLNYFKLTVEGDFIQMSSQLVSAPEGYKASELGQINF >CAK58153 pep:novel supercontig:GCA_000165425.1:CT867996:106634:107003:1 gene:GSPATT00029189001 transcript:CAK58153 MQYIKNQLPLIISVIKSLDIFGQSITLNINKQKAYKTAFGGISSICLIFILITIFQSNIV DFFKKTDVVFSTKTEFDPDPAQIKMNIQNYMVAFSIEQINFVTNPMFNITIEQRQ >CAK58154 pep:novel supercontig:GCA_000165425.1:CT867996:107168:108621:1 gene:GSPATT00029190001 transcript:CAK58154 MIGFVLSKICYIFRKDFQFTLEGTYTSTIFDFIRVVVSDCDDSKKGYNNWNPKCATQSEK TLHLNEQGQFKLQIYQVNSVVNPKAPEQYYQSYLDGEMYFTFVPQKLSRQTNLFKMMAHQ CHFFSIYIWLIIQSVDYRDLTELGRDTDQNYAIVYLRRSQFSEYVNRRYMKIDELLAFLG GFLQIMITGFGIFIMYYNKLQLQIELSNKFYNFSRGFNKTNTFRKSAKYSVNGSIKNDTK IGIKQPPEVKKDVTLMNDKEEISITQQILNLFEKSTKLKLTPKSLINYLSFGYLLNDQDT KIFNKAMVRVDQSLDIQEILYQLQEVNKLKTLMLKRQQIILFNFTQKPNLTLEEEEQLPS RLLIEQQLIEDDKEEFNKDQIINDLYKAWQDIGSDNGQNVCQQELNQKLNQELGVEIQKV FHDYVKAQKDLNMQKQEDQQPLKQQIE >CAK58155 pep:novel supercontig:GCA_000165425.1:CT867996:108723:111866:-1 gene:GSPATT00029191001 transcript:CAK58155 MNQSNLLYQQNNFTPIQELQAINELDYDQEYLNTGFRGTFNSPNLVIKSQLDTLRTNEVD QYSNQALLPEWTKRAQRTGVSLMAFFFVKQFVQKIRSHRQKLQNINESHLQLIDDQGSDK QIKQCHNSQVMLTQMKFASPIFQIRNVSRLFRQQTLVNPENPVYQSIKEKYQMARKYAYE KLSKIPQFHPESPNKLMWDYFITLIRLILLVLIPLEIAYVPGILFDQILALTSLLSSLLL FDVIIRLNTICYVKGHAVLDRFEIVRQINLQAPLLYYCIKDEEQISNYYLIILLTSLMQF KHISEVIQKSEESQYFSKSQKGIISLFKLILTLMYILHMFSCIWYFNSNLSDESWIKYKQ LDQQSWQVQYLEAFYFAIVTMLTIGYGDNVPKSSNEKIVAIFFIMGACLWFSYSVNTIGI IIKEINQNMVERIKKIRVINRYMHKRRIPYGLQYRIREYLNFRWKEEAEIDLQQEEQLLA ELSEGVEVGIKAIIKQCIFYNFSLEFQNALSCHIARTIILPQNTFSIFTLGNIKQPHLCF VEQGQLQYLNRHRYSLQGIQSQGQFLQVQDFVSENQNTQVYQAIGYVSLLILSKSDFLSV LNNFPKDYQHYCQLKDNIQLSIQKKQLPYGVYCAACKQGDHNLIGCPQLKITIDREVVVK RHLYSKPQERNKWKRSQKRTKDLFQTLPDLDIIEQFTLYFQNEKQKLIKSQLQQQLIFDQ EADENPMKSDECVSPRQTHEPTQKILLRKSDSMLVTDNFYSSNNLPLQPLSPTQSMVHSN NQRRKQGILDSQTSYDYNLQVKRVQNQNDFDLKPPTFNKYTTAKLSMYKKNYLSKYQSQQ QQQPQKSQLDVEQTQQAEFNEMMQLQIEQLFLKMRKSASNEQLDNINSILDIEMLYLQFK SEQGLDQFEIVKNYDLYMVSHNINKVLQELRSKSIRKFNYMNKLIYYMYFPFEYIMKYQK LQQRERSLKTTRNPPRKPGLSISKIVELKNVFQRKRFTPRRSIKISQIYPDSEG >CAK58156 pep:novel supercontig:GCA_000165425.1:CT867996:112133:113603:1 gene:GSPATT00029192001 transcript:CAK58156 MQQDKQYENIYYQPKGIIMHTRQSVKPFLLPKTEASTHRFSQLDYFTEPTASIVDPQGPQ TDRTHVRNNRQLVKNNKVVTIEPESNILNEEKNKLQTKFNLLDSFTLRHSQHHLQGRNDI KQEFFQRKFSQQKLGFKLQQLDEEYESNVILRRNQFFYTSLRIKDRYSPLILQIRIKQGD PNTRMRIFASPKFIQPNRFNATVEVSGRQLKFASNSEIFQEETLYVAILALNELSIGINI EFGRPATKRVVQRERKEKSIHEFPPDLELQIEEIMMRRRLRYANQQNYVELNKCSQMSRR IQEKPQTPKQVEVKQKIRRMKTEQSLKRVAQLQVHDIARQIHRKQLEMQREIKHREYVQK TWFKIVCLINILAPGINEFIENQRQLVAKNMKKQISLVQLLNKIKKRVERFGPNCKLRTI LQGKCCLQLIANNSRIIAKKRAQKIITQMLQNNITCFITESKGTHVVNLSNAKNHMKQRN A >CAK58157 pep:novel supercontig:GCA_000165425.1:CT867996:113642:114034:1 gene:GSPATT00029193001 transcript:CAK58157 MSNLKLYLSVQYKQLYQIALRYSKQSDSSKNKRDPIHFLLYDAQNFEQFNSSFLHQMTKK LLSHVFEKWNKNFITFRNATKEEREKKGKKGVALFWQPPKLFELPQDIVVRLFLFEELEK RGLITGEYKE >CAK58158 pep:novel supercontig:GCA_000165425.1:CT867996:114168:116794:-1 gene:GSPATT00029194001 transcript:CAK58158 MMLNYSNEILKILLNLSERINLKSYFKVNNHGIIDQQEFVLGITLLDNKIPQKEAEKFYY STLTQFSSPTLTLKILYQLLLQPHKKTAESIKPQQKNRSLNEIFILIAKNIQSKEQDIET VFKDFDKDKDNVLNEREFKTFLEQYSFPTISEYEYSLFKNQYSPISITLQTLKSWIKDSK SMEQNNYNNPKLIASQQQKNMRSLSHRSPQKQKDIRPLYVHQSPQKQLLNQTRTKIKAQD YEQQREQLHLQQQLQLQAQQKQLQQKQQQQQQQPPQSRISVLPEQNQYEDPTQEAKLNLE INFESFVIPNCKIVNIQTFLQIFNKSIRTNEFFTDLEFPANAQSLGSKLTEYNWKRLRDI WPSYEIFVQNKTSSRFGLGKWISPKDIFQGSLGDCYFLSVASSLVSRWPDFLLNLFITQK ANPSGIFSVRLCIDGMWKAIILDDYIPVKGNEPAFSSSKQEEIWVLLLEKAWAKSFGSYS NIISGDPGEVIRSLTGCPAETIKTDSPKFKERFIKLVNNQCLMTTGTISSTTTNQEIMGL IYDHAYSILKLQTILHPIKGEVTLIKLRNPWGQKVWRGEWSDDSPSWTEKLKKKLRIQQR SNDGVFYMSYQDFIRYFNTIDVGYFKKDYFNTAQTIVNKSNESIYFIFNNDTPGEYYFMA QQKSLRHYQDQESNYKYSPIRMIVAQQINQGYIFKKAKYEKEQQVFVGDYFEQGQYVLQV KVKWQYMSEGEFVISAYGPKEVNLTQIDKDQFFMKKILFYLAKRNPEIQKSGFSNLDIIS EFHVEYGIGYEYYQNTGNENIEIKGILSVMQGLKLKKPERGNQYQITLKPQSNYLIAYTM EADGFQRKIQREYFATQI >CAK58159 pep:novel supercontig:GCA_000165425.1:CT867996:116886:118883:1 gene:GSPATT00029195001 transcript:CAK58159 MKRIYQIIQQLDIFGQTIALNINKQSSYKTFFGGISSILIIAILIAFFFSNVVDFLNQTD IIFSLETKFSVNPDAMILSQENYMAAFSIEQEGYAINPYFNISIEQRQYVRDESGKQIKS ISSVPLEPCTLSHFNVLLKESNLNFEEQYNSLDLSNWLCPKKDFQFKLSGTYSSQEFNFI KIVIKECEQGNENESGWNPVCASEQAKQQSLEINGQFKLQIYQVNSIINPSQSKAYVRAY LDDEICPDKPTYFIENTSFRMIYPSCLFSIQFSSILRNIQQEELIVRQNADYRDLTEIGR DTDDKYAVIYLRRSQFTEIVKRRFTSIGELLSYLGGFLQIMITGLGLFIIYYNKIQMQIE LSNKLYNFKINAGDNSKIAKRVIKLSQISNVKVQQQCVDDSQNMNINDSLIDEKQKKNYL KSAIIKQFHEVNKISLSLKLILNQVTFGLMFNNNDSLFLNKAINQVNHDLNIHHILYKIQ EIQKLKQVLLRRAQIILFNFTPKPLITLDEEYQLPNRMDFEENLNDLISSKLTDQTDNNL FSNLYQAYLEIKKELEEQSMPFCQFSVNAQLASELGPQMQEIFKRQEMIENQEKAAFSVI LKSVSE >CAK58160 pep:novel supercontig:GCA_000165425.1:CT867996:118951:121488:1 gene:GSPATT00029196001 transcript:CAK58160 MDSKNQWNQKSSVQEFGIQKSTLAKPVHQQVKPTQQVPPKNELEQNQLVRRVTKRNIAIK KSMATDEGTIYETFEPLMKPQTLQDIAFIVKCFQSHFVFSSMNETQLIQLAKSMFYCRLV IGQTIIKQRDGASSFFVLEKGKINVLVDGLSRKQLTQGNGFGELALLYNAPRSATCVAME ECFLWGIDRHTFRKSVENVMRSEQEKNRQLLEQVKFFNQLTKDQKDAISGVLILQKFNQN EVIVNEGDQASSFYIIVEGKCGVFNKEDVQIATLNSKDSFGESALKHDNQIRMMTIKAIE KDTKVVALGKDMITQILGDKVQYIIYKNICKWALNRSKLFGKVPGGIQDKLLEGVIYRKY VPGQKIINKGDKVGFLYIALEGNVLDEQKKAITNNIVNEESLYDEVANSKHLLSFTMETE GHVAVIDYDKYRNTHGSVEKLSENDQSNANSKEFQQSNDLVKSFQLKELIFLNKLGSGQF GSVYLCKNKNLDTLFALKYVTRAHIQQYGIQKHVQQEKAVLEIMNHQFILKFYRSFKDGE NIYFLTEYIPGEELFDAIREIGLLGKYDSQFYTAQMILQMEYLHSVHQIVYRDIKPENIM VDQHGYLKLIDMGTAKCLKNVSPPKTFTIIGTPHYMAPEVISGKGYGYFADLWSVGCCLY EFICGGLPFGEDQDDPFEIYKEIVKNPIHYPQYMTDKTATTIIEQLMNKIPECRLGGSYS SLKNNQWFSDFDWNKLMSQQLQPPLIPKKEKLMNETQIQEQIKKNILVIDQIQKDTMGSK KVFAQPKDTEWDSVF >CAK58161 pep:novel supercontig:GCA_000165425.1:CT867996:121810:127788:1 gene:GSPATT00029197001 transcript:CAK58161 MKQQPELEIPTLQQQDKYLEKKRQGQGLDKNFKLFNDFLKLREAGFSKVTIMLLVSFFHQ ALSYIAQQGSLLYYDISTLQIYTIQQRVLCQIKFQVHVNMQTSSVYCELTQIFPLIFTIG LTLLYLIIFILGQEYKPRKKFIGKLFVFLCDIYIWLMFFPCMVTCFEFCICNQEQECNFD IVRIILTLLAIFGLICGLLNIIVLTALFHNNWEDKKDCFNGENVIYLSSYQFSRFIMAFF VVFSTKAYTFIWLFMIVSFLLYTFFLINILVGSGFLAFGTKYTRTLFIIMLIFLVSVTFS TIVDELHRSQNSDKSIENFSVYFAFTLIFLLLTVGYNLRHTQSLTIQFDIHSLSPDALQK QIYTTLAFLEVSLSDISIDTYFKGILQRHLDFECQHPEIKGEARCFCKKKRVFDSKKRKE VRVEEWFTMKAIIMKFLMKSWIETYLSDRPNDVGIQILYARFMFSKFHNHQIALHILSDL EKRFTFVLDRYKSYQLKWKIIKFIKHKNSDSYKGKLEIENALFVEEQIDSIKNNITNILK QNCVFWNNLQQTTIDMSEMDNLLQMQFKKIEETKHLWITITNYLEFKKKWKFYYAWFTLY VLNKKIKNRILDNFQGFQVNENDIFSEELQEHNDDVNSVKSGFLDNEKIEIKSRKIIFDK KACIIQASDDIQSSILKVNKQFTRIFGYSSEEVVRMFPINNLMPDVYSKVHPQILNDYKQ TGKSNSLYSQRKIYCLHKSGFMFTAWKFLKLYVDLNGLSQFVIMVRPTDFDNEKKHDYII LNNDWEINGMTNNVLSGLNLDPRLFKKASSDFVLFNVLLFAPKLIQYSRIAPLINEERDL PIFGMMKNPKKPVQKKIHNQIVLENQLSNSSKPKINVNMLGSSSLKQSFGKPIQGMYSDL DFGSKVLLPQQSIDQQIPQQLVIQNERDKFLQHQMSSISDKNEFEDFNKQIGQFDKIQNL NQEMERRAEEQINQLKESQFQNNYQKIQTMGKQDKDAYSEDNASRDEMIEKIKGIKILEG KINGGEQIQFRMKIPENMDKIIEYYSSQKSKMYQLKKQQDEHVKQEEMVDQGKKKDKDKL FVKQGTQHREFRKKARLMFQKAAEMKRCQDDVNFYELLVEIYQKLIQEQKSRTFKVNCTI QFIKIKDERVGIIKIISINEIMKIKRVARERDGNRKQSFFLKNLQPSRDLVVKGSKLSAT NIQNQFENSKFVSMASESDTKQQASPLEVKNNQKNPLLKDKSFKGNLGYQMNVPVQEMDD DKDLLIDSKAFNKMINWDTFQQQFKMQQGALNFSLNAGDNIFRKEDNNRPRKFLIKIAYL TWFLRIMFVAIITLNLLTYFLKPFLEFNPMISQANRILALSQMQTTMIETYDTLLDLLIY QDESDYNDMGMSDKLTYYNYQLSKVQESYDYIKLQIKDLDQLQTFLDDDIFYSSAISDES ILGQANTTLTLDSKDFFTKFIMLEHQISMMNASSLEIISPSHPLVKFIRYVTIPQLYNQL NNAVMDLQSMVLEKSNSISDFVIIILSIEGSLLASGFFGLLIIIFWISQTYKAVLKIFIL IQKNDLNKIVKQQKFIEHQFKYIIAKDQEIAGIQPKGVYQRVNSISSKQNFQLQQNFLLI NEEEDQNMNKKKEKNIIDRQLLKSLTLKLYATYILLVLLQAGTSFAFFFSLKQASSNISQ LIQIGQVSISDFSNNQLLLVSVKERYYNEDNYESNYLPKVKALLEIQIESIKQTPQIDNP GYGNYYDGFQAIYFDNLCDYLFNQSCKLIIYSLELNSTEQNDCGQLVNGKLKAGIVAFNQ YFLSNVQDYVLLNADRFGFINSKIIWNLNSCVDYVKTAFKYLLTEWAQDLNQLIDSNITL ILVLLIVMQLFQLIVFLAIAEMYLVDQLNKAFSFYRLVYKSYMPNDIIQKEKIIRAQLIR YNIIKK >CAK58162 pep:novel supercontig:GCA_000165425.1:CT867996:127873:129439:-1 gene:GSPATT00029198001 transcript:CAK58162 MNRFIMKKRDYQFLQIIGSGSFAKVYLAENSQNQQFAIKVITIEFDGSESSQKQLQYFEQ EIQIYKNIKNDNGNENVVALIEEFREDEKIYCVFEFCKNGDLNNLLKNNNLKEEEIKPIF IEILKGMKYIYQKGIVHRDLKIDNILIDENQVVKIADFGFAKYYNQNDVLTSYCGTPATM APEVLNQEEYDYKCDIWSLGVILYYMIYRKYHFSSKVRSLIDLIKELQNFKINFDDKVIQ LSDFGKDLLSKMLDSNKKTRIDYEQLFSHPWLQGALLDNVRNSKIVINKLFVNQENKLLG GIVRKFRLLKADFLAILGKIQQLCKDEAVKSLFGNLYKLIDEEKQHIQYLSQSALVKQQD SIIDYKVETYKTLEEVYKIIQYDHLDIVTIESELINFLKNNHLSNYMTITLKGQYTQEEL DKIQQSIVEVDLELDFQELKHLLRLETNQIDSQLECRVQLWNQGRELKLMGQQIQQSHQK KLEGPSIELSF >CAK58163 pep:novel supercontig:GCA_000165425.1:CT867996:129479:130878:-1 gene:GSPATT00029199001 transcript:CAK58163 MGQNSSKEKNSNAPNGQISNFQSHIQITRDPVTGKLLGVPKEWADLNGLKLEIDKNKTVE TKNLPSSVQPSELPEAILDLINEPIMSAPFNLQHKIHIEIDPTAQLGLKGLPPEWIEKLQ KADLQKADIEQNPQVMIQIISNYEDGVYRQTKLTLPTNDEFIQQVMDIKFIEQDPSILYK FTEQLGKGAMCKVYKAIHRNTNDEVAVRVMKIGNDMQRIKVEIALMKMCANQNIVKYYDS FIYQSCLFMVVEYLDGGCLTEIIYQNFKQMKEPEIAYICGEILSGLDYMHKKKKIHRDLK SDNILMNKKGEIKIADFGFATQLTAERQHRKSVVGTPAWMSPELILKQDYDEKVDIWSVG IIAIELAQGEPPYLRVPPLKAMYSITANDPPRLPIKFSKQFSEFIEKVLDKNSKTRLTAE QALQLPFFKNRNKEGVLQMILNKKNIPLNELIKQAPK >CAK58164 pep:novel supercontig:GCA_000165425.1:CT867996:132082:132945:1 gene:GSPATT00029200001 transcript:CAK58164 MDEVTQNLTYSNLRILFDWAKDCQSLLNNNVFYNQDYSELMYKINQNLLELFQQLHNAID RNFVIPQSTMCITNELGNIITHEDNFFEIMGSSNLVNFAQFIDVYAIPNFTLSSENIDNA QATFYKLNYHALERVFTSDNTLIQIKQNVSQQREQFFQRFQLNISIGNFEFTKYDDQNQF FFYDPLYALNEDNIKKLLIVQFVALNYQQSIQLDEFVQSAKFADCIERFYQKLISAVQDE >CAK58165 pep:novel supercontig:GCA_000165425.1:CT867996:133770:136905:-1 gene:GSPATT00029201001 transcript:CAK58165 MLIRKQLLQQIKDPEVVDYNPKHRISQTLQYKNSESIQEEEEHSSLSQICQIKPVFVQTC PQQPIDIQSSDDYKVPTITNIASHKSSDQLLQKSDSKKIVLISNDSSYISSQSHFQSHLL SEHNRKSTQGRVRIIVNQIKQKLLNSIHYSKYNDPLVTQEQNDVSPLRYYYFTMYVFLSV IFSNLLSITLIPLINLFEGPRIVQQIVFGIKMLTLLQDLYYLRGPYKIIRGKLISNFKDW NKIYLDSFRLIVMVAIVFLEFDKDVILIILTSMLIVSDLIRSWETFENVYKSTHYIIFIV QLWISFIVLCTCFLKVFNDEEYSLGFYITYSISLITQNSINSLEITEQNSIIVSAFMLIS YLCYVYTLILLFVWLKPELEIQEEKQKLLKGFVEMLKEKCKDYDLLRRCYSYLEFRIDED IGRTKDQLTKKLSPALQDEIDLSLRTRMIDKIELMNKFSPQFKQQLLYAIEQVTFNPEDN IIIEHQIEDLGLYYILKGEVKVQFQGSSLANNKRSVTRLQEGQAFGQYSFISGVPSNISI YSCGVTTLLKLKRSEFLDIISNYPQDNEVFCMMKDNSNYNQHLFDCYYCKIKGHYVVECK HIQYFPQRQNVIEKYLYSLKQVRQPCKRKNKKYLTMKNLTQNQDKAKQVINKQTQEIMSE DLPEASQLPYSENQTYSVSYISNSMPFQKPSQDQQISNSNVNVDSLEQLNYEQEQYEEVT PPVLDQSIRRLRHNSNKTSHRTAGFVGNPQSQNYSTLDKDEKEILMQLQEGQKNEIVNQK QQFLSTTNRSGPNKLTFQFQKEGLPQTANKQDMIKQDFIQQQYSYGNLKLSQRQLTNRSH TYTNSYSKDVSNNPSSNSRQKKSQGLSSQTKDNGKKTNSANTQSDENKNVQKSQRTGTKQ SNLAQLSQFQAITSPDNQGYFINDVIFNKFEKMKCYKIYYPHNNYDQVIVRQQSFAIDAL KKHKRPHTSPYSIKCFVITKIRRVQRFIKQ >CAK58166 pep:novel supercontig:GCA_000165425.1:CT867996:136924:137817:1 gene:GSPATT00029202001 transcript:CAK58166 MQQLSLNNIPQNLFPLPIVKSHYEIQHLQELRNEQENQIWQDHSKLLKEKTPNRRDYSML QKNKRQSTVTHDVKNSVRKISEYQSHSQDQILNSQHSIHNNTIDCSINYKQLNKHIITEQ QFQIKQNQIQQMIREKLYTSYCNSMKKHSKLNGVLLQKISELAPFISQDKNMHQPQIKRM NQFLKFRSQSIPLNPNIESVQNSIQRIQSLPRNQDNTRQRTANKQSTQSQVICQNKDADR IIRKLNRKTQRLLKESYPEIDPTPIVDYQKQILNRIELRNSTKKKLIDSFQEIIDKY >CAK58167 pep:novel supercontig:GCA_000165425.1:CT867996:138630:140793:1 gene:GSPATT00029203001 transcript:CAK58167 MSQEKFRRYKLNVFQVQKLKVKSEDRVIVNTSTNFKALSPQQSPKIKQIVNRTQLELERS KSSYRMENIIIQIKKNVSKNNISIPNTAKDFFKESPFSIVEKKQEINVQFLRQCKANNAR ACLQLLEPTIVHQVLNISPLIPINADLKANINCQDGNQNSALHIAVKNGNIQLVQALIYK QINLEIENSEKMTSLILASYHGNVELFQILINSGAQVNHQDIYGNTSLHYACKFNSKEIV AIILKLPNLIFKPNHDQRYPDYYVQDTEILQLFTQFQLEHSKQKQKTKEIKIQNIQMEDQ CRSNQFQNNKCKLQNCPLHNLSPDTKQRVLQLSKNIKQEQKGIINTPSTIDSMKQSKKEE KVYPQQFRVLGLIGKGSFGKVYLVQKNKKYYAMKVLLKNMIFEQNICRYAITERNVLSVT SHPFIVKLRYAFQTGDKLFMILDYYPGGDLGMVLNKIKRFPEELVKLYACEIILALEDLH KRNIIFRDLKPDNILLDAEGHVLLTDFGLSKEGIPLSNQGAKSFCGSVAYLAPEMIKRQG HGKAVDWYLLGVVMYELLSGLPPYYTNDREALFYNIENASLKIPQFISIECRNLLRSLLE RNPSRRLGSGQGDSMEIRGHPYFVDIDWERVLKRQLQMPKPDYSLKLRPIGEQNIFDLQS SVEFEQSNVNGWSYVQTE >CAK58168 pep:novel supercontig:GCA_000165425.1:CT867996:140804:141206:-1 gene:GSPATT00029204001 transcript:CAK58168 MDPNKQIKYSHYQITDAVNFGGVLDPEQCKQLAQRILALYDGNSDGNIDSFEVGYMLSDC YRAMNKGFNPTPTDIASYSRILDRKGTGRVTLEDIEQLCLKFFGAKSDKQPSQY >CAK58169 pep:novel supercontig:GCA_000165425.1:CT867996:141259:141711:-1 gene:GSPATT00029205001 transcript:CAK58169 MKSIEIYGFIGWISSYIVFVVYLAWVFLPESAFHSLGIHYFPQKYWALAIPSFFVATIFT VITGYAALNYCFCNKLDSYENVEDKYTRLHNLKKTELHEGLPEVYDIPINVVNNVLYWQK EMVQKYMPKHND >CAK58170 pep:novel supercontig:GCA_000165425.1:CT867996:141739:142380:-1 gene:GSPATT00029206001 transcript:CAK58170 MIEQSQFFLIHQLEKQMQYLYNYPQFVDDQGQISQELKNELIKFLGKQSVLNLVLQQQQL LMQKMNVLNSFLISNETQSTVQESKLDSLVVISQGEEKLIDKNFIRKECLQRYRAKKRMW MNRTLYECRKQIADRRLRFNGQFLNCEEEKKIIKIHKILGNHYVRIKNNNIKINGVKKIK HLDKEQILQKIERFMPCEIKKRIQNKQILFKLL >CAK58171 pep:novel supercontig:GCA_000165425.1:CT867996:142477:143684:-1 gene:GSPATT00029207001 transcript:CAK58171 MDEYDEEQFEHSECLDENNEFMNLEQEQQGSGEGELEQCEICSRKFHTERIEKHRQVCEK AQQKQKERDKLIKRKQQKKAELQQMLEAKEKQVKNKTVNNWREQHKQFQEMIHCNKKEKE VQNEGGEERTVKTPELAENSHYVFCEHCQRSFDRYVAERHIPKCKEIKAKPKPPKKKQQQ ELKRTTQLSTASTTNQNENIDQTKQSFRAQSLMKTAEVQKRQLPELKKAIYTGFGFMDCQ TRATALSDTECPHCLRKFNPKAAQRHVPICEKLMSKESFKLKFKIKANLPKLKKMEPVLP AIIGQQPQMKKILKETDIQLKSQLKFCTQCGNKMQLGHKYCGGCGHKREIEQ >CAK58172 pep:novel supercontig:GCA_000165425.1:CT867996:143948:145146:1 gene:GSPATT00029208001 transcript:CAK58172 MSMKKSKFSSYQKIQSENRQSVSKLIRVSSMTNLSNTSTVVKLSIKELLEKQKKGEITKL SQQKENFISKIAPIKIQTQNSKNSSPRYRIKLLSSPLSTNRTTLSKSSQVSPLRQNRTLV PKDFICLSQPQIQISQIEKLEEFVSISNNSPTRIDNMEDYSPSIRTKNIEMEQEKILKED IKPPKKTKKELKGCLLRALRKLKEMNINTKMMLQKQIFSKKPYQKPNSQEFIHAVKLNQL DKVKQYIEKNKYIIFDFDYFNMTALHWSSKKGFYEMTEFLIKNHADVDAIDILNRTPLFL AIQENNIPIIELLLRNKAYPWSTSVTDLGEAVGNNRRVRKILTQIRRVDIINMWGEKKLK EELF >CAK58173 pep:novel supercontig:GCA_000165425.1:CT867996:146122:153821:-1 gene:GSPATT00029209001 transcript:CAK58173 MDQDGENSIEFIQNSLNEIIQDIENNVFDNDEQIEKELKELLEKFDEDRRVQYVNDLHQK FQGRSFLNLTYFNIISTPNHNHEQPEEDLVQIYNEALKEQQFDLIIQRITNPEFCQKNQI PVTIKDHKKTLEKLGQNVGLIFDLIEQQKSTIRYSYMKSLELLLKIFYLNDEIHQFVGSC LELQLYEFELYVDNFKEKDNDAYQQVIKNLQLIQQKDKMKEELDQILSQNTQNLDLFDLL SIEIDDYHQKLLEYIKNYPNIEYNNQDKTKIIRFFNMTENFSNLVDILYFKLVIYFWIKH SEKFELQKFLNDMYEYKLKKAANKRKCMERGLESLAKEQKDFIFKYLIHKIVFFSNFKDD NFNENYSKYKQQFNIQTQLNNHQYLSQIWNDIGQLKFYQLEQLYGSKNQEILFFLFVSTT AYTEQMILELNLIFQHKVDLFEIKNELFKKINLQGDNILQENLLHLKFMLRYMNENSQNN PLSISKWISQINLIEKYPQSLQIKLNEFNLTLIQENPEISKQIINDWLSDRKDHKKFKNL IPFYFNIIKRGEPSQHLLDLINQDRKFDHLDSLNMKSLFQILYNNADSELQAILIKLHSK NNSIPLIYKHPQIQNATKDLDLFRLNENIYYLLEQDFTIINFSLTQIQEKIGKTYLINKL FYKDDKFEICDSSLINKNTIDCTFDFAFNRSRNFFIADAHGSFQDELFQLILPLFKCWII QMRSEQELKENIDRVNNILSLLDCKPMICFVIRDSKKLEIKMEQINQIKASKIKICQIEN LQQMDNQPQQGEIAKIRNTILEMIGKENELVKEQDLKKSFLQVCTNFEINTFKILQIQEL IDNLEIELNIIKSNPEGFYNENAFPLRFLEWKIEALHMQRSECDKEIKSNQQNLQDKQRS INYLRQLSECQDEMNKTNLINGIEAKLKEMDEININLDQLKKQQYQIIECILQFESQQRG LTVQTSNLLSIFSFLFKLDNYYIGYLMTVDKIAKFNNILQIENDASIKKVQENAKLNGNK KEETDQQIKILQNNQKLQNVSIELFWREIINGYPHFDLDYIDIIVQLLQRGEPFEFLNGD DLTINFKFLKQLGQKLITHKEQNKILIISILGPQSSGKSTLLNKMFGCHFLTSVGRCTKG MYLQLLKISNKEQFDNLYDYILLLDSEGLQNPNQQDQVFDKRLALFIVSISDIIIFNVKG EINSQFHNLIEMCLFTLVEHQKLSSNIQFIWCFNQNSQTSDKKKLHNQIEDIVEKLKEEK KWQRDVYEISGFREEDIEILGMASVPDKWNNLSCSTHSKEWTQERIIEVYSKDAYSMGIN LILRYIRRGKTFIKEMQQFITWEHFTKKFEECWQIISRFPDVVEFVELKQQKDYERLNKI LNEIIKKRDKQFSEQVSSLIYDIQYEIKKQQNLSTTNLQNIKISIEDNFKGSTNQIKEDI FSELSLQTYDVSKKILEKVKDHAQELISSHLVEGTLVIQQEISKYQIQLQYQLIEEKVNK ALKEILNDEQKLNRYKTDKESRENYFKQFWMDIIHKSSDQIESIYDQFQEQLIESICLFS KSYIYNLDQKDQIKYIFSQKINKSNPDNGEEIYRERLSYQLFQEEFEKYSFVYLNKDSPI DYLNIFSNPIKQRFENISKDCIINPKEYIEINNIQQIIEKPKFISHLQNKFEHFTKQLLL IKEKDQFEQIILNFIHFVKELQINFDQNLYQSLEDMLKSRPNQCNYLIEAQIFKLLKNIV QTFKLSSLNMKCLQFQNYNLKFFREYFPDFIEQSEKVSNQLQEDLNNYFIISEKCEYKNK LDPGSRYYNFIEFIISKETKLMDEHTFRKEFPDEFINIMKKSGGWDRLSWGIYQLIKKEI MSQNIHTDSTIASSISKEEDMTQINFQLISRIMRKVKDLLNQYNKQFAFFGLQISQLLER KLYYYSIFLIWRFICYKKWAIQDQEKQTLDLKLKEMKEFFDDIILQNHKEVCIRNGKLLA QCIYQNLINQQYRKIQPNTQQYLMKQSKTSQEIIQMLDQKLLLCQERINSMKQDLDEQIM SYVYRQKEFIEQYVYLEIQKFKDEIMTIFENECSINEILDAILKNSLELQQQFKNSSENK DQNQLDYFGYNNLDQETEEIIQAIFNFKLGEQAENLNYFQNSDIIDNQSIKRFGIPGVKN LSYKVDAFLGSFIMEIEALKIQKVQPQIEKFNVQNILDSLQNDMIGCDQSCPMCNRKCDS PDYKIDNHKHKCLNGHQQRGMNRVLINSYPSPYTCEEIIDEAEIKIFETNRLKSWSEVKK IHNDWIFKELESQKLVDENIQKMMQIWNGGVGELISKDLQSQFQQEIVFSQKHDISMNQQ NNSFHYIFILDNSTSMHQHWLQVKICMAEQFEQIKQKKNAKVSVILFGATAKIVINCQAV DIDKQIELIQYEGSWFTLFGPALSAARELVLQHSEFTQTVILFYTDGKPSRFINYQQNDE VDIFCNIEKRFRDSIYFFACSQPNLSSSLERIIDRFSQAFAQAALRDSIEPFQLNHIWTE IISKNYHRCLA >CAK58174 pep:novel supercontig:GCA_000165425.1:CT867996:154610:157538:1 gene:GSPATT00029210001 transcript:CAK58174 MKLLQEAYLSLETHKQRGFQKILLAQQVNDELAINIGQLQGLGLPDICIICKRQPLGMFK SGFDQWSSFHCVLGICPTSQAEVSAYIQSLIMNQEKDTFSKIFGSDVIIFKITYITYDIF SKILLVCQFEQPSEGLSQFKNYGIQQNKQCIQLTKSHWEGAHISGILRVLDANFKMPSID QYKQSKNIIKSDCKIALKDVRSQIKQNLNLSFPPLNDIIFYLNWPLSIVFHYLHNTCQLD LLLEQFNNLDDNIIFRLIKSLIHYKMKNYNKSLLELIKLQESNNWFNLPKYIMANVFIKQ TNYEKAFILLKDLIVECYENQTIWITLSKIFRKQKLFQISLLFINKAIALPLKQTAKQIW NEMSIVNYRLLTQNKNLQQPQQMDQIFKIVHPLAADKIESYVQLCGYPSIENLLVRPRLS NKRDQKHTIEMMSKHISRPEFKVNQQTYDTLMHNDFLDIESELSYILNEVIKIQHVVGYQ KLKSYINQYFYTTAKVVQKQIDQIYDPSEFQIAFKNQRNLNLNVSQISNTNKSMCNPRLQ DDIEDCDSDEEQPEFLKKQKVNKSFDFTNIGPRMYQTPQHNNKAAKSPLKFTSMKKKQQQ IKKANTIIIENAFESVESERQNKQFITIVNRVNIQQNEMISQAPKSGQDVQPQKSFIYGL VSYLNYNLNQQEQVLMNEQLKRRKEQVNSSLQGMVETINRIQTELDQLFYLSQDSNKQQN NLQNLQLNSTNNQQPVNTSSQLFKSNLKKALQTKQHLGGQIELKDFYIVQPLNEEEQDKE KTYIAFIKAESMFLYKCARLAQRLKRNDLCFSILQRLNSRIISVQITALFFNIVKDNNKL LIRQIQKMLADFQDCGISQVGFVPLWLEMRIVKMTKQYGANQILALLSSSESDFTFYLIK KIILTTDNLI >CAK58175 pep:novel supercontig:GCA_000165425.1:CT867996:158038:158765:1 gene:GSPATT00029211001 transcript:CAK58175 MNSLLEQPFQYRQLRSQSLSPYNDCNLIKQLKRQLHYPPQKMMQLASYEYMQNPEQDETN VISSGKLGFQQNIDSIQHYQRMIKERKNSLIVDSDLNTLKQEAAQSADINQLKQIVPKLV VVKKLNQKLYRKKKKKINPVNPQDCNDDDILHQTIVVQPNNSITQAQQKKEDMSILPQIK KQKLQIEEQPIKRSARYEQLMKKSIDDQFVELQSLIKKMQKSPINRKDKKVTFLL >CAK58176 pep:novel supercontig:GCA_000165425.1:CT867996:159016:160935:1 gene:GSPATT00029212001 transcript:CAK58176 MKLNTSTSSAPFIPQRPVSQNSSFVQGRMQTPPRVARFADTSPHASFEFEDLNSLSLEEI NRELDQNINEVIMLLNRRKSLILNKKRRLKEEFSNKIAELKRYQQEDYIQDLQRIEDPLI QSLMQQKSLPKMQKLLGSVMRDQQDRKVMELSKSQEFWQHNKNVETEIDNLLKKLKYFDN ELEKDNNEIYEICRQLDTIDDSIRDLLITKVDDNVQRAEPLFANKQRQQSILNGKLNQRL KLKDEKMNLIYKIIEELQRLRGLRQERINKLNVVFGDEENIQNQNYQGLQNVQGSQQNLD QPQPSKLQAYMDFMEQFDNIALMREQISKLEQAEQGLLNDLDKLYRESDQVFSELIRRIS EEAKTFNEWTENLKRRIRYIKANEYFFSQTNLPEQSNGLGNSLQLDLKEVSRKFKENMNY LKPLIEEDATIRAGLEKTGSTIQQFKNMILAIQNEKERRRELLQILENCARLRQEEDMKT EQLRNIELEINKKLQQKRNLEEDLDGVLGDADLNTFKKIESLLHDFDQELVHLNNQKRKI IKEIEEINQSVINLLARIKSDYDDILREILPKDWTNTYDLKKITDLKRMKNYLKKTFKRF GIMSD >CAK58177 pep:novel supercontig:GCA_000165425.1:CT867996:160965:162340:-1 gene:GSPATT00029213001 transcript:CAK58177 MQNQATMTDINEEDIRRLDQHITRNQNIIMACIRVLKMEELRRTNEDNQRLMSFMRSHLY FKGNSSLDYLWECCQLMKFEQLKKNQILYLRGRENQEQLIILLTGEISVQSNEQELLCTK HFGSNLIVFGNDSSPNLMVKTKSVCKAAVISKLDFKINMLTCEISKINGLLQQIYAFHLF KTLPFTTVRQFYLNSFIQHLCCQDILYSQNQKASQVFLVMSGIFELRETTETNTKSLNIY TPGQLLGDFECVNFYQTRKSQALCISDEGSVLVFEGVYFLNQIVPQINKKFVAKRINDVK QTQKSIQMKKTLQRIFPEQPVEQSLQSQYQLNQTSTKHFRFAKLANPRFFKLRQTPEKQM TQQQNSLSINRSQQQLLTTNSHNKISIVSFSTKQELFQGNIKGRLLRNELLNKFINQQSE YQDVVQKLRRTQSQLGMNK >CAK58178 pep:novel supercontig:GCA_000165425.1:CT867996:162921:163079:1 gene:GSPATT00029214001 transcript:CAK58178 MNQNSDQCRLIKQIENLSLMRQPHFIFTKKENKISINKIDLNKLLLELFQDD >CAK58179 pep:novel supercontig:GCA_000165425.1:CT867996:163137:163845:-1 gene:GSPATT00029215001 transcript:CAK58179 MTYDYLFKYIMVGDPGVGKTSIMLQLLGKRFGQEQKDLLGEEFGTKFIKVDDLQIKLQVW DDLWSLRYKSIPISFYRPAAGVLVVYDVIKRESFNNAQLWIQEVKDQGSQTAIIILVGNK TDLESMRQVTTEEGQQLAMEQNILFIETSAKTGYHVDDTFFIITKEIIQKLKSNQIDLLN KNCGIWKGNNVQNQITLLNQGGNRKWLGGCC >CAK58180 pep:novel supercontig:GCA_000165425.1:CT867996:164634:166020:1 gene:GSPATT00029216001 transcript:CAK58180 MNNLCFDDILSKRIKFGKVQIQTFLIISLLDIIDGSEFMFLQLMNAIIYKEWSLSLGQLI VLTTIFNLGQFIGAMFYGQFSDQTGRKSMIISSLLLFIATFLTAFVQDLPQLLILRFLFG FLFGITSPVSSILMAEVTPLHIRGQFIVTLQMMCIVGRMWVLLLAILFLDSIASGNWRAL AITNSVQSIICLIGSIIYLHESPRFLISQGKIKEGVEGINFMGRMNDKDYVDLSDEEVQS LQQWRKIIFEQQYEKKSFKDLFNQENLPITWRAYSLSIIAMLMQSGLYIIIPFLFDEEEK TLLDLFYTVLIEIPAVLLVVCLIDRIGRLPIILIGTVTSAIAIFIIWYWKARFLLLGLVT FKFFNRMIFISFTPLVLESYSTVYRSLGTGTTIAFGSATGFISPAIILHLYEKDNYSPFL VSFFILIIMTIIFATYPRDLTRKPLDIKFEKED >CAK58181 pep:novel supercontig:GCA_000165425.1:CT867996:166323:167852:-1 gene:GSPATT00029217001 transcript:CAK58181 MSADPIYAEMPFDQGDCFQLNKNLFKDEYNIIDPNPFLTTEYGIYQWCYCKKTDSRKLVK TIRKSTDPKSDEPVLILNNIHTMQYLDHPNIAKMMEYFNETEYYYIIYEDYVGGNLLSKM INKGGNPEQMAAVIIEQVLSTFVYLHSKNIIYRFLNHNALFCDDQLTITFLEFGAAKRIQ HPIQLAIGDQHYQSPEMINGFYSFKTDIWSAGVLLHFLLSGAMPFDGIMTQSIKNSIMRG IVKLEDTFDWDKIPEAREFVQKLLNFSQNQRPSASDALKDRWIVRAKQKKQAVKMNPALT NLRKFQKCEVLVEAIIMQIVQMTLTQDQKREIFQIFQEFDTNRDGKISTQELIEGYKKYQ TSTKLEDKDIEKLVQKIDSNGNGYLDYTEFLLACQDKKKLLTVEKLKMVFAQLDVDKDNA LSMIEMRRIFGGNRISDKNWSNILRKNNLQQKTVLSEQEFLEFIIKTIQNGHSFQ >CAK58182 pep:novel supercontig:GCA_000165425.1:CT867996:167991:170568:1 gene:GSPATT00029218001 transcript:CAK58182 MSQYQPQRINISRTQPQQDSLLLEDDYQQLKSPQFASEQNTLPKSTYRTPDARVNQFDGA SNEEIYKKKQIVLYSRINELQRQNDDLRQKYLQVSGENQVIIQEQREEIEQYLNEVNLLK EQLMQKEIEIQQLNSLNQSLKQEVSDSEEGFDKLEQDYKKKLKIGDDSQKIFSEVQLLSE ENQKLQEALQDKDRLYNQCKQQLQSIQIDLNQLQQDSQYNQELLNQKDREIHELNQQIER NKELQRKQQDFSNKQDLLNKEVQKLNQQVKLLQKENQDLKNEKQLVTLELQENRANPEYM GKINLLGQEVERLNNTLALKSRELNDYKAQITRMQKEIQNLRMNEFKLQDMNMNLDSLIN QYNKLKDDNQILKQQIAQKQVKINSDLDKKIQILQQECEKLSTQLNSSKKENDYLREQLN DSSQLEDTNRLLKQQVDKFQMQTKQLESERQSLLQEYQLLSNSYNSQKYNYSQLLQEQQE NNNSVLQLKNEIQRLQKMKPETVEKSYNNCNHQDIIIQYQKDLEAANKQIPLLKQTIQKL KNELDITKEFIPQNPQQQSQQPFIQSDQKLIDKLQNYEIRFPQLVEEIERLNQILTERNE QILIYKKSSFQADQVLEKVSAYEAEIERLRQTIFLKQQTIDSLQQQKENPGVQDLIFKLQ DENRRLTSLVGVRNNEIAELKFKVSEYEQKQKLLSESNSGKYELQNMVLNQEIDQLNQKL LEAKNEISLLKLTKNDKFNEERGKVLTQELEKQMRINKENEKELLNLRSKFAEVVDAERK LIDCKCLLVLLYNEIERLNQEKENDNFLF >CAK58183 pep:novel supercontig:GCA_000165425.1:CT867996:170594:171752:1 gene:GSPATT00029219001 transcript:CAK58183 MENNRFYSNQSCQKELEQQLKDSFLEIKLLKQENKYLQFRFFQLSYRSSTFEDFKLKQEE TRKLQSLVVELEQRVLTLEEENAKLDAEIGYLRGFSQSKEVQVNQKASYTQLEQELSDLQ VYLRKYIQLQEFYSLIEQVPNINMQLCWKEINQIFKNQFNTNQQIQNLQDELKLIETTYK ELVHSKDNNLTPLQEMAKCINTLQNSEQSIRESRVEIERIRKEVQLKQMDLKQSDTKLSE LQNEFTYISKIETRKNNVQMEAEKQLIDFRRGISTTKNSNHNVSLMRDTFKERDTYQEMI PERLRDQSDVLPITSSIKRIQRPYIEINDRFDDYQDAAKTPIEKTIDQTRKKLSRQLSQA SSLRDQKYI >CAK58184 pep:novel supercontig:GCA_000165425.1:CT867996:171771:173450:-1 gene:GSPATT00029220001 transcript:CAK58184 MMKQDQDKNIWEWVPCNYDVKRIVKDTQTSWNLSPQISLKIPSENGTIDPLINIKIDQSR PFIYTDNLGWNWHKSWNLPDIGLEMEITDPLTNERLAPPNNIYCKLQIVKPIITPMKTHH LLEVGAKGNLKVDMENGKCIFSGLKFNTTSYNHDHQRFHIVITLYLCQSKFEFPQILDSR ISPPIFVDSRKSARDIVKQKIQKLQSYFDPFLPNNLEKQFLIIKPQNSEVIKNSIDGLIN YFTAPNIRHKVKHPIFLLLKFSACISLYVNSAKIKFTEPDNLIQTLQHVLSTSNALQGIQ KIEQKLIILYIDCKSNEAKSQQNMKKIQEFLDPLNNDCVQVIMDINDVPKGFTKIENLDE LQGAYHRAYNSLLKYKREDDQIDQEQLEEEYNAKIPEKKKKKELKEPEQLVNPERIRKII HISGALPNQSSNSMPEQESNPIKLEQFSIPNNVQLRLPTQEQSQQYMQQQQLQQQQLQQN LSFNPASLWLYLQKLPS >CAK58185 pep:novel supercontig:GCA_000165425.1:CT867996:173550:175594:1 gene:GSPATT00029221001 transcript:CAK58185 MERYNIHQIFPSQQINPVEQSLLNNSQAFHPQVNLSSILDEMVKKGIGNQDIDIFVEYVT KNFETFWEMLIIINFDESSQNGNHPFILTEILRMKSEEIFNHLFQFNQIEYMDSMNFLFQ TLDRDCLDETVAGYFSKVICSFMQVRGADLWKYLQTNGKYIYEDLMKNLHINHIADVVYN LIAFFNKGDQGQNYNQERLTLLNRTIEILLNKGYDLQIVENVCNILIDLLRNLTDWEFKQ MMLDKLYIPDSFFNLIFLTKSHLLADLLIQLLYESQQFTFLAQQTQPHFQFDYQQYEVIG RKFSQALTMELNLNSFTTSYGIQSEIFGQTKIKLIQFYLNIIQSNNLTLINYFNHQDIFK NLMQFILQYEFNNQLQNYFMHIIYCIFCNNNLEYVQQQLIYELNILGFLSYLNQQYYKQV GSIRKPITKGYQGMANKLSLFFKDVIYTEEWNQYIQNHQQIFNIENNYFFGIIPPKEEKK EENIEQGGLIKPEQIEKEEESPQQDTEDNFSIQLKEDQDMKLTISLVQQEVMLAENNFQD QSNLGEVFGEQILNQNAKQKQNMSKSQQLVIPKIFDFGTKFQRQSPTSIESNNKNKRSKS QEKVQKGQIVSITSSFILPRRVETNKSQEIIN >CAK58186 pep:novel supercontig:GCA_000165425.1:CT867996:175656:177344:-1 gene:GSPATT00029222001 transcript:CAK58186 MYNQHIKPKKVYLNYNYIKREFQTERSIIQTRTSSVIEKPAKSLRNSLVSLSVDCGKSNK TQSQKIRKYAYLFYVDENGLNDYLKFNFKQILESFTKNCIPNLLNEQTYALFLPYSQSYS FPQSRFQGDQFTKVKDVQQLINFFHQLKISGEEYQIQKMFDYFFVPSRELAIQVINDLKF QLAKMIKKPIKVISFFDGIEILNACQLGWNPPTLVCADSKETLIKQLPKIKESIRISKRI KQLQKFKQQMILQCCKQDVVVYGDSSSKRQELIRQNLKQIKQIQQLVEYQKEYDVQLPKL VVQNIYPKFKVIQPSQELEDDQDNSIDHLSLEMINSIKQSNPKLDNYLIYKYYIDYKSLC MLNSIQNGIPMKYFVIYNENLSGHCQNELLKIYRALGVNVDSGIFRWKEYLLFRILQDNC GNPFQVIQFLLHYFMNDNQELSDISVRTQLYQLSQRLNQYSSSKQETISKAMSSIESSEQ SNTFADRFALTIIKWMHKNGFFVKDFLNKEIMLQQYERNEYTFLFIINCILGQFKTNVLE WFYNIY >CAK58187 pep:novel supercontig:GCA_000165425.1:CT867996:177686:179137:1 gene:GSPATT00029223001 transcript:CAK58187 MNNLKNLDLFGVPLVYGIDQRQSKYKSVLGGTLSLFTFLGSFAYAIWIFYLWQTKQMNPK ISNSRFVSDYSLLDLKAGLIRLYYWQYDQNLIDPFQTKILLPLVIYNRNNKLTEPSLINE TSVTSHGFTYIPKIELGFSKIDGEIYTSEEMYIEIVKCSEIYLQPNEKCASPELSEKFFK QSSNIIVLQIYSTTLDSRDGSEQNGLQELYIQIEESFCYTMNTFLQTNLYELQDYFLFGT SRYKEYISGAVVQTQTSSINYCYKAFNNDALSLVYLGMNGNQMKTIFEYPRAGDILANIG SIVSLLFMIKYIIIVLNQNSLNQMIIAELISFYYPEFKSICITRNWRQKITMVKINEKQV VLEEFLKFYDKAKHQMHQKLNYLNLLYEISRLYFIIRSSKYRGELFKSHSVGIKMNLNTN KDFDVIYDYNSISSAKQKTDNFLLNEDDADIISLTRRKIQINLDFIPDEIINENDFYNAN KIV >CAK58188 pep:novel supercontig:GCA_000165425.1:CT867996:179528:184866:-1 gene:GSPATT00029224001 transcript:CAK58188 MFSLLIFLNVVFTQEIKRLTIGHRVEGTQSKWLNQNGDFYELILEEIKIDSDIVIMVKAI NPGGDPNIFISRENKYASNEKEGEIASCTSRGNDLCIIDKSKLIIDDPFYISVICTGNCR YDLRVDYDQEYTLQRNDFIQFKLSDTQQSEILKIDIGEYSKSEVELEIEVKALNIYEFEA AFQVYLNVGTTIPTSSEHQYVAKDSWSGSKLMSLSLQELPHLSKLTLVISGQAGAIFQIK TQTSGILRELKFQEIYNGIVEAGTARIFKVKIEDNSYNDMNEIDRKIKWFIKLTPFIGHA TLYANPDYQPVLLEQYQYHFERTTQQVLIIEKAQFKFQSGNEKYLYIAVFGNEMCTYELE TGIQDFEYQYININVPYEGNIENNEMINYWFVLSGSKTRAVTVELTNYDQECALVLKRCY EDECKIIQDDLNHLEERQSQSPMDLFIYTTQTKNNQILVFNYDPEVCKSFGDFYSCTYVL AIYPSNTHTQLYNRCSYSVLVSTQSSHIQLKENTPHKSMVERQSLNYFKFYIGNAQDISR ISIILTPIQGIFQIYSSTTSQRPNKEDFEMQGSNYMIRYQNQEIREGTYFISVFGETAGL YTITVVVIRTGDDFRAKGKFAWQYIQLYEGSPQDFTLFSGEVGLYKIDLTNYLNTDQSKQ SLHDNVKVEILHETGQLSLYGFDHPSTKLNESRWQSAEEIQIQFNEDEYPEVIYLRVEPT GPFSQVSFRIIYRIGWKNNMLVLNENFYTWIEAGDYQYFYYTFFKNEDVQLTKRAHSHKD SELIVTAFVDSNQHQLITGSMILSASKMPLDKCKSSITEIFYCQVQVQVTSTVDTFYSLL ISKDNSQIQLTLDEPVTQTLPASYHHYYLFYQPENDVVLNVVNFGQPQPLQILVSVFDTT QYPNKGVYEYPTDSESKNIVSVSKTIVGIPHSQVTLSQGFLQFCQYQCVLAITIKKVDDI EYYITQDQTYSIQYSSGYVGLYEQIAYYGSIDRSIIKYYKAYVYDNDTNLMIVLTPMSSC DVNIVVSKDDYPDAENYDWASIDYLGDQLVIESGNPKHPKMAGLYIIGVIGYSTCSYSIV YQTGKLHYYQIQQGIPHNIVLKSGEVAYFKYQQYIVENFQILFILQSGTAEYFVDEIADD SKESDSFIKQLELFQFNNSGKGFKKQMKFTPNDRHKYLIAVRSEQDSDITLAIQVKSSKV YLAKDVIMINQLDPEDFQSYSYYSPYDSVLKVEVLEGKVEVQYSSDPSKDPIRKRVSKET SAQGFIEELMKVSAEFSNQFNITIKSFDKSATYKIQCRDIKGTKFINVGEVYTILLHPHQ SEKFIYNSVQKGNENTFSIFITMLFNTYQKDEYNKYKKEVPKIFVKYTNENQVSHQLFPD KEAQISQYYYAEFQDRAGDYEIEIFNKNDQLSIDFNLIFSNSYLNILVPSQKSVSILKQQ PKYWEYFCPSEGQLLLQVQQCGTTLNLFGSTDIDLLKNGQYSKQYQVTDHLVEVIEVKKS GYYYLVASTNNYISDSSTPFTITAQLIKQDSYIPLNDLQPGNDGNLNWELERRDDKYYLT VTGYKLQVKSNPNFNLLQVAYVLVYQFIEDGSTQTFNYCFETYQFEMRYENPAKENQLTF SLSQELDETKLADAQMIQFTIYTDSIIQLRNQEMINLEKFYKPITVNNEYIKQLRWKRYM KYTFILILAFALIYTLISYFRKKMNKEKMKFEATDYPNIEMHYRGLQD >CAK58189 pep:novel supercontig:GCA_000165425.1:CT867996:185264:186598:-1 gene:GSPATT00029225001 transcript:CAK58189 MNERESRTSHRAFSSNPTSAPQNAYASALKALQEKLKGVEGLRKSVENPSDNLIEQLRRQ VNDLQNENERLQYLLMKTNQESQMNFVASQQIEVLQAERLQHLKDYQDRVSDLIRKNEEL KHEKHEIQMAHDSLQRQLQAHKSNEKGFLHKVELKKFEENAALLTNVQDLKIKLDLKDQQ IKKQEKKIEFLEIEKEQVLQDFSNYKTKYPPMKMHDFEKQIHALKVQLDEKDKQYSRNID DIESNKSVREEQMSRRIQDLIGKCNEYQQIVEQVTQDYKDLSLKYQQVKIKLDYEERQHR YISRKLSQGETELKQGYKEQKTVTKLNIDQMSPPLTSPRIESSLRQSQLKQAIRECLEDM KTTQNFNFCSPDRKSENRKQPLLSPLSTTRQFEIETKLKGLNEKYENLIRQAQKENDLNC KAVIRKQLLEIAEQIKETTKFEKT >CAK58190 pep:novel supercontig:GCA_000165425.1:CT867996:187137:190334:1 gene:GSPATT00029226001 transcript:CAK58190 MQKLTLEKQINLLERDIQQKSNKIEELTKVVDQQSNFVESAKAKIKNLQDTQQTLYSQLF DIKQERDNFKCVNQIKEQQIMSLQEQVLAKNHVADTQQLKHELQDQYNQILELQVDLNTV RNQLGHSELERQNLLNELKLKAVSSVVQIQNEFNSAPLQTYTQYLDKFDEERKQYLRQIH QYQIREDKIKIENKKRIDNLQSIIDKVAEESKNQKEELITLRKLIEMYKQQSQEDITNQR IYEEQKLQNELEMRALIQKKDKLISDQKKEIQKLHQRIGQSPQVILSTINDMFNMSVDES VDLKIENMELKNQIKSLQDLIDQEQKEKKNIQEYTKKEYSIALQKEQEYLKQKTITESQK QTLDAEIQQNQLLKDEIFKLQAELAQLKRKDQIYKTEIERLRQDNLNNQTQIFIAQREDK KSQVNQPTSQIEMDQNQIKNNIQQFEQLTKEIRNLKQIINKKEEEIINLKYRIDNPDTNT EQEEQQKNSAITIAKKIEKIETYSKKQSKILADYRRLKLERNKYEFSINEFQYEIKNLQS KIQLEQTQKESLKQNLQKYKEEFKNLEEQDKKQKKEFEKKIKQIEQETEQKYISQEQINY QKMNQDLLKLIEQDKLLVQQLKEKVKTLSQDVQKCREEILQKDLEIKRLQLVETKSEEHQ NILQMKLQIENDKIIIKSLNERIINLNQEIENKEKELLAFQQDKLDWESLQQFSRQIANE NRSNLNNQEDINDLKKNLKGLQKKISEQTQQYQQAIADFGKEFQQIIMQQNNEIKQLEQE NQDLAKALEQYKQLYQQERMDRQNKSDLTLAEIEEIKIRLDQVTKERDQLLLKKEIIENK EYQILRKEEEIRSQEQELQEEKKNFESYIQKRKMEISDDILIKKNKELSIIGCDYILGRE LEIYKQKLIEEQEKVNELMQRSIQQMEDYQEGNQDLYEKKQMENQLNDLKKQIEEMRAEQ LKLRMDSKVQDEEQYNFEEERQQYQQQIRQLMHLKENVTLEDIKLVRDIIQSGKLISYLE KAKQIHELQTSMQS >CAK58191 pep:novel supercontig:GCA_000165425.1:CT867996:191322:192717:1 gene:GSPATT00029227001 transcript:CAK58191 MPAECVKVIVRMRPFNQREKENGSKPCVIVNEDANSVELRNSQDNEVKNFTYDYVFGAET PQLQIYQKTAFNLVESVADGYNGTIFAYGQTGCGKTFTMIGDPSNEIMKGIIPRTFDQII SLINNNSDSNKKFLLRCSYIEIYNEEIHDLLSKDVKQKYELKEGQQGVFIKDLNIAVVRT TQEMDRYMQLGTQNRSVGATAMNKESSRSHCIFTVYIECSLTDAKGNERITAGKLNLVDL AGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDGKTQHIPYRDSKLTRLLQDSLG GNTKTIMITAISPSDFNYDETMSSLRYASRAKMIKNQPKVNEDPKDALLKEQAEEIKKLK ELLSKQAAGQPINLEAFQSFSKPGNNNNAEISRLKEENDRLMKEKQGLPQSVNSEEKLKE LHEFKEKKQSTLIREGQV >CAK58192 pep:novel supercontig:GCA_000165425.1:CT867996:192725:193859:1 gene:GSPATT00029228001 transcript:CAK58192 MREKEYQAEQERQARQRLEQLLKEKEQMMVQGGKGSEDDKKKYKKIRQTIEQQKKEHEAL IQQQEQQQQEMLEIETKYQNVQEEVEKLRKLIKYLRKKLEEATIEQKDLKKEVEYEKEDL LETIRNQQKEIKLYAGLVKMMFSQKELETLQAASEWDEDAQDYKIPPFNFKAKKVNFPSL PYKQAMDLIELEKSERMLEVNSRQQQFEYEQDNRQRLISPRVPQKELQQKQNGVSQPQLE KGYLVAEKIKQNYQMLEQQEGKLRYQSDLVNQQFLEKKLNQKIILSPIDNRDNSVPTQHS QYNYNGYSNNSNLSQATPNKINKNINLQPLEQKALLMQNDDQYKRRQHQKVQQI >CAK58193 pep:novel supercontig:GCA_000165425.1:CT867996:193889:195029:-1 gene:GSPATT00029229001 transcript:CAK58193 MNQPQFQALPSYDNLDMIRKTFPPLEHINFEHEFKNLDKSKYFIIRTQGEDNVHRAMKYG IWTSSSRKNERLNEAFSQGDVYLFFTEINSLCFSGMAKLTTGFDSKSHFKYWLIENKWFG LFQIKWLYVKDLPFKLFENIKQIQKFEGSDETVKSVYDLIDCTELTLENGIKMIEIFKNE ESKKSLFEDFSQLDKLENQSRQQRDSNPNFEKKFQELRAVFETIPYSFSAASYERRQQRK PQYGYYNYGYYQQPQYWQSNSGFYQQEQYQQYPYQQYQNKYQDQTDQKYNKKETQQAVNL EDKFEMQQNRKYKYDKKKRFNNRNNQQIEYVQKYQQETIVQQNI >CAK58194 pep:novel supercontig:GCA_000165425.1:CT867996:195552:196374:1 gene:GSPATT00029230001 transcript:CAK58194 MKNQRKSQSPFRTTQSIMRDSPNTQLDESSISTNRIPQNRESTRARMKINQSHNNALAQG GQIRKQIANERASANGGNPNEFNPKKFVTKDLKESDIIDIKQVFDYYDSEQAGILSPNDL EQLLSSCGYHPTKETLYEIFSELDEDELGGITFEYFLGILNQDKSKSERKDTIRRVYRKY DKNNKGFITLQDLRQVVYKDLKEEIDEEVLAEIFKKTDSNQDGKMTFEDFYNVMTKKVYY >CAK58195 pep:novel supercontig:GCA_000165425.1:CT867996:196404:196827:1 gene:GSPATT00029231001 transcript:CAK58195 MSCFIKLTQRAKRTLSIEADFQNQGYLPKLQLAKSRALTCNNKNYIAKNSKSISSKINSI TPRVISRPVINDFHFRKLQNCRKATPLKELKMIEKSTNKKTVQKKPSLDFSLSGFQMMDI EREDCFLQSYVRKQ >CAK58196 pep:novel supercontig:GCA_000165425.1:CT867996:197361:197981:-1 gene:GSPATT00029232001 transcript:CAK58196 MQQNRNQQSGQNVSKSLRPASRRVVKPEIHLNPNFKSLTNIPQLTTRIQEKQFQIKHSLN EINTETLTKRSNYHSILNEKKFSLVSHTDRDSNLNCRFKEQKLTSLDKSQPKGILKLINS KSKDHSNNDPIEVHENEEQKTIFTKRIKFSSLKPIKSPYIQESPDIIQKLSPKRVSFSTQ VHIKLIEENFQFKPKRYVLADLIDNI >CAK58197 pep:novel supercontig:GCA_000165425.1:CT867996:198072:199928:-1 gene:GSPATT00029233001 transcript:CAK58197 MQNQYFVKGLGFAQRQEPQETRSYGRIKKSPYSTYNNRSYNSVCGNFQIQHYRDLKICND RFKRLIHIVNNAIKNQAATMFKYLSIYVQNKQQEEIKAQQRVIQEGLLRFQHAISLFNQR LKCLAFFRLAKVDVVSHLKKELRNQIQQGQQPITHQIFRKWMQLTFESKFNKLLKQQKSN MISFYKFTLNKILTKQESLKAWSFNRLKTAPRKQIIHKLITNLGLQQLRLSLFDSEQGTY QYLKKPQNLEKIIAFQKLKHYQHFNSNQHYFILIFTNTINSKINALRTLFISSIRIIDQE ANHTVPKEQLQQFDIELARQSVHSKLEHQKVLQRQLKQIDKKSHRGICEIMKYLVNKQLY PIFYQIKGLKQAQDTEVQDGTVKNLSVIAARLSQKIKAKNAKSQKLEITYRIEQIFRRNY HNEREILRNKLRQWQISVTFPTAMYHDFEIRLRVLRNEKEAIMSDIEQLEILNNELLMTM NQVKKTFLSEESNFNTSHKNEQKQIEIQRFDIQENLTSQKEFKIAFEYVDYLKEDNINLK KQAQKNEQLMLEEIQQLEQQLQELQKELDS >CAK58198 pep:novel supercontig:GCA_000165425.1:CT867996:200386:201302:-1 gene:GSPATT00029234001 transcript:CAK58198 MKNKQIDTEEIVKQVLSNVSESFEELKQNFDWQLGIDEAGRGPVLGPMLYASCYWPTKYH KLISETCKFVDSKQINEAARDKLFDVLTQLKGKVLTFETRELTAEYLSKNQLAHLTINLN DISHNTAAELIQSAIQKGYKITEIFVDTVGPKQTYKNFLQGKLQEIGKEANIVVEEKADS KYANVSAASICAKVTRDYRITLIQQEILPNDSIGSGYPGDPKTKKYLENTNIPFFVYPKY VRFSWQTIKTIINDRDLNIDYKDEQEAAKQVQKLPDYFNVKATKYSYRSLLFSNCIL >CAK58199 pep:novel supercontig:GCA_000165425.1:CT867996:202086:203221:1 gene:GSPATT00029235001 transcript:CAK58199 MNQKERVFVDRNNEYAENEYFVGQKESRFHSNDQQINIQVESQLSTLSYIFDWITNDQLQ ELRSQILHQIKLLDQNVKTKYITTALSYILIKNTSGINETECIQQLLVRIQINIYQNKFN VKSRKLLKYLRMIKDDSQRDMNKLLQQVQYYQEKVRKYFFGQGQSLLLKLKKPKQTYTQL IEEILETNKQVMIRIFSLQLVKQFCVSKRPSRLVINLGYFAFQIQSIYILPGEYARIFRI SINSLRHFSKGLINLLYQFVLRITGQGIEAQFKSCERSPQISQTIMNEGEFKKSPEDTSV SEPNIEIEGFKLLIIRKLINEFSTYQFLFADTEEEDEIFNECQEILNFSTNDNV >CAK58200 pep:novel supercontig:GCA_000165425.1:CT867996:203734:203985:-1 gene:GSPATT00029236001 transcript:CAK58200 MMKGQMSISIQNSLQLMIYAIKGNHVCKEQRKSFNLCRLTPLGKYVEAEFCKDNAVALVD CFLKVQYIAFTQLCRQRNGKFNQ >CAK58201 pep:novel supercontig:GCA_000165425.1:CT867996:204890:205884:1 gene:GSPATT00029237001 transcript:CAK58201 MCLPYGCLKCFVQLEGWLTFVIGILAIVAAIIVTVIQKSFDDAIKDLGITDINTSAIIVP FWIFAAVVIFFAISGLCGAKHRSKCLLAIFNLGNICLFLAFLSLSIVAYVIGSFFDVSNC SNSSNELQIENLYKMSESTLCKSSCECYYSEKMTKEVELAVKSYSATDKTKPTRVQDCPG FDSKYKTQAAALQLMEEKWQCSGWCTPYPIQQFNDVNSNVKNHNYPCYKGMIEYFQNMFT TTGSILFSLAALFGVMVILTCCLCCHPHNSNKGSDYYTRLAYTADS >CAK58202 pep:novel supercontig:GCA_000165425.1:CT867996:206308:207267:1 gene:GSPATT00029238001 transcript:CAK58202 MCLPIGTLKCVVSLEAWIVMICGIAAFIFTMLLQIHYSLMLQANEGESWMLFGQGMLTAF WLFSSYIIINGVCGIVGGQQKKPCLLLVFNVGNVIIIIAFVILMAIGFVLADEANKLIDK DYQQNENTCLEHRFQLNTLDWESKDLLCSIECPCYYTKQNGDLPKNKTKWGDDKDPSKPT KVQDCELYQKTQNTTVKYFSNYLGEIQKETGCTGWCVPYQMQIFYDINVKVENDQQRIYL NQNMGRVMGGIAAGVTAVMVVLITLTCCLCFHPANKGQDFYTKMAPYKS >CAK58203 pep:novel supercontig:GCA_000165425.1:CT867996:208252:209255:-1 gene:GSPATT00029239001 transcript:CAK58203 MQASMPGGNGHLKPSLKLNQIVYLGAHNAPMSKCYGWIYAQQNVTLTEQYETYGARHFKI PLHWHVQDGIPQIVVAHEGDGGTNCKLSLVQRALSNPEKAVDRLKELFDLAIKYPNEVMI VKLESKLFCNTQTNGTQGWDRSLITKMLHSLLVELKGEERAITFQENNPPTLGWCRENKK NILITIEPREQVMEDLINYTYYSYRVSAQVDWETDPLEDVKTGKVQRGVTNKNDGSIWSP FLEIHLNPENSFKPDYQYRTKYNFYQHVKKRFLQYYHHCRKMPNFVVADFIDQGNGSIFV DEINQSINENDGFKPELF >CAK58204 pep:novel supercontig:GCA_000165425.1:CT867996:209289:209905:-1 gene:GSPATT00029240001 transcript:CAK58204 MRKKPFKDNGIYLEKHAKALLDYQQTSDEEEVNTQSKYRIKAIKYKNNLLESQEVIQALK IENQESKKIISELLLDIEEMKEKEKQLESELQEQKQLTQSAIEQLEQELQESVEDRLLQE QKQFQEKLTIQSNEAIEKLKKHFDEQLQIIAQTPKSTAPPSKKKKKKQTSSSTTNLRPQS QNTGRSPAPISKKKKK >CAK58205 pep:novel supercontig:GCA_000165425.1:CT867996:210705:211678:-1 gene:GSPATT00029241001 transcript:CAK58205 MELQIGSDGLICGRCIPFKTLKIIVLSGSGLILTMCLIIEILVYINKGKVNDADTGDYSS TAASSTLFFFNVQMYMGIVGGISTLFGIGGILNNNKCLLGCFNTFNCCLFWVFGLVYLIV SFFSKISDFTKLSGCSLSYDLEREALYKKASLSLCQDNCECYFSGTVSKDQSKYVINFSP TDTTMAVNFQQCPQSFDSNQLIMSQLKQSETFYECSGWCTKYPIQLFNNVNSKISSSNAC KTTIEDYWNKFRQILQYTSFLIAFFFVLMFGFTCIYCFYPKGDPKNDENTKIRLINQ >CAK58206 pep:novel supercontig:GCA_000165425.1:CT867996:214100:214594:-1 gene:GSPATT00029242001 transcript:CAK58206 MKPNHCDTIFNDDQFQTPTRQQKRVITETTIKKPFQQKQRQCTCKKSQCLKMYCDCLAFG EYCGSECSCQNCHNDDKHPEQRNKVLEQMVEKNTPAQIKCNCRKSNCQKKYCECYNAGVK CSELCKCDDCKNSVKLGYNQFQIGNQKFILIPVQTFEQLKSQQK >CAK58207 pep:novel supercontig:GCA_000165425.1:CT867996:214722:215467:-1 gene:GSPATT00029243001 transcript:CAK58207 MKKAKQNETKQKADLEQVEKPVAKKIKKNEANPAEEYQMVDTMLTADFYKVDVVELAQKL IGKIIVRQLPQGEVRAIIVETEAYKAPEDKACHAYNNKKTDRTKYFWQDGGHLYVYSIYG NNYCLNITAATKDDPEAVLIRAVQPLSFDIIKEIRKTKSPKLQDLSNGPGKCGGCLLLDK SHNGLNLCDQKSGMYLIDNTKQYEIGVSARINIDYAEEWKDKPWRFYVKNNSFVSKG >CAK58208 pep:novel supercontig:GCA_000165425.1:CT867996:216103:216822:1 gene:GSPATT00029244001 transcript:CAK58208 MQISLPHQIHITSLNPQSDSQQIITGYLCQSVLKLRDRKNLQQAIELVGTSNAIPICVLI AEIITKNSPGLSQITYFQKDNKTQDQESSQIGIRIRLTYQPTEAEMREPGYQLREVDPLK QHNWDDLYKFILTYSKDLSMIKNHGKEILRLQSEEKQLDQSSSQSLCHQSESHITMNNYD SNSFVYTSDRGIDDANRSKLKTRGQKRL >CAK58209 pep:novel supercontig:GCA_000165425.1:CT867996:217251:217531:-1 gene:GSPATT00029245001 transcript:CAK58209 MNNIQGYGLDKPSVRLHQAPGGNSSISFGDYTPQQQAPKPLGRKQVNQPPVQQQQQSDVK TSVKVRNPPGGRSQIQFG >CAK58210 pep:novel supercontig:GCA_000165425.1:CT867996:218571:220848:1 gene:GSPATT00029246001 transcript:CAK58210 MNPEESNILVAIRCRPLNQKEVQNEDLDIVRVEDNLIIILDPIQMEYEAENKKMLEVYHR SKEQRYAFDKVFREESQDDIFEQTCKQLIKPVMNGYNATVFAYGPTGTGKTYTMLGNQET MGISVLTIRDMFEFIKRDLDNEYIVMISYVEIYNEAIRDLLIQQSQYLELRDDPIKGVTI AGVTEYKAISVQQVMNLLLQGNRRRTTEATNANLTSSRSHAVFQINVTQRSKVKNTEMES MNGKLSLIDLAGSERGTVTENRGLRLREGAKINRSLLALANCINALGDKSKKGFFVPYRD SKLTRLLKDSLGGNCRTVMIANISPASSQFEETINTLKYANRAKNIKTKQLPNKKLVAMH IAEYKNIISDLRSEIESLKLRLNEKQFDDNEDESITINNYINKNGKPEQEELKSIQEEIF ENFQERIQLRRALMEIEEQNAMNFLEIKKRQSEILQWKKSGEKNMPEDIRQGLKSIQTLK TSTEKNMIKKELMGLQLVENINNAKKIRESIPKRIQSKEKRDYLELEIKNHVLELQNVEL EINLQIQEKTINDLKMIVENQKKLINDTQDEEKYFEETDIEYIDDLLEDPEFQQEQDDDD LEDLGELDQFKEFIKKEELKQNSPNQFKQRDKSAPRKQFNKQELSIQEDYDEISQSQSKP KVRDQSRNKRDGGGSAIQNKVLPPKDKQLQFQQQIKPANIKPPKDISVLNLQGRNLSIGN SQQRGNSKAKK >CAK58211 pep:novel supercontig:GCA_000165425.1:CT867996:221127:222156:1 gene:GSPATT00029247001 transcript:CAK58211 MYQETTVNFQIPYLLMEYRLLTLVLMVGTMQMQKIGNVIVEIYHILELVVTCGGSYQSPI DIVTTGASQQPVQPKIYYKNKASDVGYEEVNTPYTKEYEGEYSSIQVLDASGLVVRYYAK QFHIHTPSEHTIDGKHFDLEIHFVHQVNAIQDEEQDCNKVKNSLTVFGLMFTESASATDY EAFKPWFDQNMTGEVESFDLNDFFQKMTDSTYYHYDGSLTTLPCSQIVNWIVFYQPLPIS TFQLNQFRATFADSTAFPLKQNNRPIQHLNGRKILKGTALTAGVTEIPDVQSSQACLLLL SIVMGAFIL >CAK58212 pep:novel supercontig:GCA_000165425.1:CT867996:222183:224841:1 gene:GSPATT00029248001 transcript:CAK58212 MILASILLTLLLDSIKSQRTYCQLPDPIFNDGVKNSTWGDSDPSDWNYLNTNDWKSKVVT CGASFQSPINIITSTATKESTFPAINYILKSSTKNYFEAQRDYTKELEGEYDFITAFDIS NSQYKYYARQFHIHTPSEHQVDGKNFDLEVHFVHQAVEDGEQSCNDIRNKLTVFGLLFQQ SNTASDYEVFKPWFDDTVNRVSSFDMNDFFQRMTDKTYYHYTGSLTTIPCSQTVNWYVFT SPLPISKSQFKQFKDFLDSDDYFPDQSNNRPVQNLNGRTLYKGVNINHQFLDCFFQWSDL SSCYRSKLGFHYLDGYAINNLFDNMIYINIHRLSMVLILSTISKIPISNVSIKKFNQQQT CSIIIQQKFKLAIMQQQSTFRESDGETTLYTGEQILKKTKTFQQRATEILSVNAYKILYT INKEIPKFLNPYPQFIRVQVSSSLSKAQQFCPQLSCDIEEWGKNRFTIGKLERESVHLYD DVYAWVMFNCVEYPNEEMMSPIHLLVDYSNLFQIHSLEEHCTQIIHQMQFNPKTNILSLK TKELLAKSTFQNKQKIKIQDVSQMRGYPTCIQITQNCENQILEDGNIFKVGYQPLLTVRK IYHKFQNFFERYSTKNNIDDMIFEALQSLQSKVQFSGIDESVSELFMLVQDRRINKAEYL QRLEDENLMMQSKLYTALEKNSILLQTQRDENPIEHFLLVADQKNSFLFGRMEFSDITFQ STQVSRNHGTIEFIPTNNKWIIMDGQQTFEQSWKNSTFGVWLQMNPKTFYYIDTEQMVKV GSTSIKMIPNNVKTNNHDIDNVIQLNEFNNLREREIEDFTNTLLDL >CAK58213 pep:novel supercontig:GCA_000165425.1:CT867996:224934:226560:-1 gene:GSPATT00029249001 transcript:CAK58213 MRQIKDFMVNQNEALGAGAYGTAHKCYRIGNKDELFCMKIIKKSINTDQKKELSQKRQLE AEIKTFQSLKDANCENLVKMIDIIDEDSRLCIVMELCDLDLDKLLRQFHYMNTWPSIIEM EDMMKQILKGAQVLIDNHIIHRDIKPQNILVKILNKGQPTERKIYKIADFGFTKYLDDIY AKANLTRVGTRSYCAPEILKGQNFSSKCDIYSYGIVFHQIAYKFSFPSHYDNQQQLHEFH ESISKSPYKCADLKGGQMIMDLIEKMLIFDQDKRIQFEDLWAHSVMKTPNRILKDSIFVR LDRSVQEEDYKQQIVKNEAEKLKRLNLLTDIFYRKFLLCKHVADFMKNTLIVKQIDHLIF QQFISLIGLYQINYGFAMLNCLISDFEPTILLDNDVPQLIELLNYFMVKSQENKEYVGLQ NRLTKDYYQAIITYKQDLNTLLKEKIESKNWKQYEVVFKQLQQSQTRKIPIEKCYQVIQY LKEQDKIKEFISKCKNQPGIGIAQSLQTIMELDTKFNITYYRDINPDDIFKI >CAK58214 pep:novel supercontig:GCA_000165425.1:CT867996:227463:227810:-1 gene:GSPATT00029250001 transcript:CAK58214 MSNQQTPESHGSSIIYTPIPLRLQFSASRSSKQGSNSNILWDSTSKQSFAQTHSQSPRKV LYVIITNTNRLQTFESLYYERPNNPMTRDEKFKELENLISSFDSDAFIQEVELFD >CAK58215 pep:novel supercontig:GCA_000165425.1:CT867996:228280:229244:1 gene:GSPATT00029251001 transcript:CAK58215 MGACQHLCRQQLVIQQEVTIQQKRQKKKQSDKDSKTNPNCSQKGVVEENIGEEFRSPQEI QYFHKRIKNLNIAGDRKNRFMHDIEEEIKYIIRKNIEEERENLKRVQQIYEQELELQRKE QLKKQKEQLDNQFSFQNSIIQSSDNPSEHPSANPFQPKSTSQFAPRRNDNDTVSQKSQIS KTPTPPYKDKSISSQSDAMRKSALIKIIQAREELASNNASKGSSKKKLMLDAYEKMFSEF FSQDERGSSSQSKSHKSILKNRSLTHRSFSGSKSIKSTHTAVKKSKRVRFSKETNFSYER KSTEKKKKSFWDW >CAK58216 pep:novel supercontig:GCA_000165425.1:CT867996:229281:230195:1 gene:GSPATT00029252001 transcript:CAK58216 MIKDLYYTYICKPLQYSQYKCSPNDVTLASSIPRSDYLNNKQLSFNSFEKVLMILGAVTK IQKVNSTVLGQDRLIRTYTFLLRVFKLHLLKKIKSGECLRMWQNKLDALCSHFSMTRRIL RWSRTAFYLQILFNKLSQQKSNHHFKHYRNLYDILFYTYLIITDISDIIYWMCLIGLCNN TNLQKFTKEYAPRFYLIECIGWFISLCFEYRVNQIDIAKANLQKDPKNKIKIRQIQILQN LVKYALDIPVSYSYVNTQAITQEKAVILGTFSSIISLYQTFKLHSGGFQ >CAK58217 pep:novel supercontig:GCA_000165425.1:CT867996:230282:231425:1 gene:GSPATT00029253001 transcript:CAK58217 MNQIETNNLTLNSECLQQVLSYIPINQRLKMRELNSFFDQAVCSTSFPLLMDLIQDENFK PKEVNNLLSKFVKPQQIIFPNLNARELQELVIPKDLSDLIKFDSYLITDVPLEYIEKVVS ASPKLRSFGFKNRNTDDLSNVTKSLSKLSICELKVGEWNQQVKEIVIQQADHLKSITIEK GNNEVLRDLIALYNSMKHSSVSNKEEQLKSEQSEFSNKLNLQEIRFTQFESSSNQHESLE VFEELLNQYHLKSFHFGIIQGEYQEQCILLQENFFQHLKEIQELQFGFKDQSLLMKVKLK KIESLRLSGFKFVKENFQWLMKQAKLFNRIDLRECTFDFAFTDSIEKVEQHDTMVDVYVR KIIILQGQYENQ >CAK58218 pep:novel supercontig:GCA_000165425.1:CT867996:231968:232674:1 gene:GSPATT00029254001 transcript:CAK58218 MRRRFGAIHSDTTEEYIQQAQSAWNKDLFYYEGTSKKSAQNYGNFDFDERETNNTEIEEE VQMEAQNVKIILMDAENDSQTVFDYCGQEYHQQKKDFDYVSKIEVNKNKQITYHFWINNI HSTKFTDIVDVYVKNCDVVIYMYNKSVEEHYQEFVEKISRINNKSFVIYKVNNAMNRTQS FKSLNEKNQENVIAVKSLQEAITKTINQYI >CAK58219 pep:novel supercontig:GCA_000165425.1:CT867996:232827:234020:1 gene:GSPATT00029255001 transcript:CAK58219 MKLLLFVLFVYTYATSAQYRQAMEDLNDDNFGQTLLETIQMHIQSDEPVGNLINMLQNLQ SQVEKVQYKADEQHKRITTNCNINLDQLNDQINNYKIKSVTLKSYIDNLNPNVKQSVATV ERKQKELDDYKQELKQAAEKREKEHNTYTNILDNLEQALFGFHQIKLAFNQFIDSVQKGQ RKFESFVEMKQVLKQVKHTYKLEGYKHIVQMLQALSKQTSEEEALKLAIQLSAILKQIES YIQTERTREDQAELARETAYNDYKAQIADLFNEASKSLTEMTGILDSQSNELKLSENEKK EADVRLQNKMKEFDNIQAECSILDQEYQQQNRQRNQQSKLLDQAILLTTTSLGQLKSDLL KHAEVY >CAK58220 pep:novel supercontig:GCA_000165425.1:CT867996:234104:235577:1 gene:GSPATT00029256001 transcript:CAK58220 MSKIDEQQIIKNTKSMQKMQEIADRIYATTGDLTKTISSDSILKPSKLTQEQLLIQAKKM DSIQDSKQKKGKGQQSTSANKTELNTTEWLNKLQEIQQQHNEEKKKLREELIARYVQREM EFKKTIDELQQELRSRTALDQTDKKVMEMIYKDHSKIIEGINNIQLRTSKILVDQERDII RFFNNKINEIKKQFQEEREKKGQNDKEYIQKENQLISELEWIKRIAQKIDDENHQLMQKY KELKVEYQTQERDREMLMKELIIKKKENAILKSQIQQYEKLLNDVQKEDEQESYQDLILD KSGVKNQKTDKSKQSSQQQVRLPQIANPQASQNVDDASKNKYDIQFQESRYQNIIKTYQD TLKKEQKRLRDIKQLYTKELSAKTELYNILRQCIEDIKEEIIQVRSDERTLKKNKNLSNE EVKMEKEDREKLIENLLKHERVIEVISDKIFYNKKNYDETLLQQQQEETNYNDEQY >CAK58221 pep:novel supercontig:GCA_000165425.1:CT867996:235959:236984:-1 gene:GSPATT00029257001 transcript:CAK58221 MIASLTQIEDQNNNTLYNSLRRNSKSVTFEKVPKHQRTSTCGSGSTMDEEYNESCLSSSR MDEELSNPQFISNYRKDIFRYILEEQSNYLPNSCFMEQTQKDINQKMRSILIDWIEEVHM KFKLSPNSLYLAINLIDRYLSVNIVKRNRLQLVGVASLFIASKFEEIYPPNIKDFVYVCD RAYTKEEILQMEGSILNTVNFSLNYISPLRFLEFTVIENTQIEDNKVFQTQQFQLSSYIL EIALHSYESLQYMPSQLAHSALLLSNKILGIQSEMEITDESKYCATYLLQLYYNNQNNTL YPAVKRKYAREEHLQVSQITISI >CAK58222 pep:novel supercontig:GCA_000165425.1:CT867996:237947:240345:1 gene:GSPATT00029258001 transcript:CAK58222 MSISEVQHQLNLTSYLNQQATVSVFLGVKEIQIDQVQQLATHTYKLTFKNSNKKIILHTK SSNAQVTGNQLEKDYMIAQKLSAANMPVPKALFYCGDQSIVGVPFYATEYVEGRLFNDKK LLSVSQTEKKLLFQEVSKALAHLHSISLNYLGLGELESQTSQYQTLNKKLYNLYKLHETK ISTNVEDLLYWLSLNSPVKSELDNLCLVHGDFSLSKVVFHPTEPKVLAILDWQQAQLGNA FIDLASFVSPYYIPYSNGQHQIDGWFGIEEIIAQPNLQEVLSAYFTTRSSETTQDINYQL VISLLKQSIDQQILYKQTKEEKYQDNSHFISKAGYELLLRTTEGDPFGIKLRANNDGQLW SNWPVSQRCKSYYYRIKDFMKDEIFPVEKAILDKARAVPRTVKNKPIVEIEELQRKAKAV GLWNLFISDPMYGKGLTNLEYVYLSELMGLSYLAHEVFNCFAPETGNIKLLIAYGTPHQK EKYLKPLLEGQCKSFFAMTEKDVPSSDPNNFQCTITPTEGGFILNGGKWFVSSAPDERAI FGIVMGKSSKDMSNPIESQSMILVDMNNPKIKIVRQYSVLGFFDVPHGYSEVEFDNAFIP QENLLGQLGGAFKMAQGRLLGGRLHHCVRQIGLTRRCLDLMMARSEKRIIFKQSLKDNAA FQERLGDLEIAFQSCRLLSLNAGLLLDSAGSRHLHTFMAVSECKAHIPKACQYIIDQCIQ AFGAEGVTEEQPLTLAYRFARAIRFMDGPCEIHLRQISRFAYGNHLFNDLNNAQGYGLAK L >CAK58223 pep:novel supercontig:GCA_000165425.1:CT867996:240524:241209:1 gene:GSPATT00029259001 transcript:CAK58223 MSTGLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLQHPFIVTFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTQHAAFYG AQVASIFEYLHSKNIVYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILNL >CAK58224 pep:novel supercontig:GCA_000165425.1:CT867996:241211:241695:1 gene:GSPATT00029260001 transcript:CAK58224 MNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKSLVKHLLVADLSKRYGNLKNGAAD IKNHRWFGSLDWNLLTQKKLPVPYKPVVKAPNDTSNFSSYPESDTQSPALKPADDPFLEW >CAK58225 pep:novel supercontig:GCA_000165425.1:CT867996:243550:245253:1 gene:GSPATT00029261001 transcript:CAK58225 MQYYEQDIEDEISDTSQDDSPLKRCMTAPAGPLTEFEEFKRSPEYDLLEKAYRLSSQLVQ RDFHKYDLDNPEGQKQCKKFLQNLEKMCEVYQVKVESREYRNHFSKAYKILYTQNKLCYL TEILDSAQEGFPYLWVNSEKYSFTLEVLEAGIKLVDAFYKVQHAIRHLYTNTLQESPDFQ RSNLILEIQYLLENFDDIWVQFEKLYVKELMEIEGKARRFILQAIQIDKEMQSIEIREKL RGKILVTSESYLQLKTAFCKVLAQINSVANVEGKGRDDLGVNILLEAEGITRRVTQEQSK AVRRLADSIKMNFKKFREQMRKYEENIEMVDPQLKNNSELVELLVEYETQWEKGLHYLLE PRKYIQLMLFSHIIETTAEKHIQFAEQLECRDSDIFVTIPCLIVLKHLENEDKNICKYFL PMLDDENSKLYSQFEQLRQDFMNFRDQHAKQYEYYNLIEKKLLGIGQNDICEQVNVQIDR IMQKIRLLSIEIQRYNAIEWNLFIDAAINT >CAK58226 pep:novel supercontig:GCA_000165425.1:CT867996:245291:245588:-1 gene:GSPATT00029262001 transcript:CAK58226 MLRTIESKEQNLFYVSMKLNIIYVIVNLLQSISTQLQFYNFNSLIKVTSLLQCNFEKCNS VQDTLDQLSAPDARKGIVCDNMTTIQVNLRK >CAK58227 pep:novel supercontig:GCA_000165425.1:CT867996:246103:247173:-1 gene:GSPATT00029263001 transcript:CAK58227 MRRRPVVLVGLVEDIPPQNVVVVHQVPTNPNQYPPQFVAPPAQNLQYQEWVKQQNLAMPQ QQQQLPPQYPPQMNQNYYPQQMQIQHPQNVQAYPANPVYPQQGIPVVQPQGINLQFVMRP GLLKNYGPTQIFTDGKQQRAQSLYAITNHYLKAQVSKNDQKSKSVNTYGRDFYDQLRLKG KTNKSQNQSAHKPIFKLKGDNIIAIKSKDRELYPADMQWNNAQIGRIQGEISGKIDKNYA KIRRYLEGSSSVIDYSQTKMYDQFNSYANITHQERFSKDCDIMVRTSNNVQPGNVQSNSA IVRTKIEPDWIQRGKY >CAK58228 pep:novel supercontig:GCA_000165425.1:CT867996:247366:249793:1 gene:GSPATT00029264001 transcript:CAK58228 MNANITQKEISPGIILLKHIQPGPEMIYIFKVQLNRLNCMEFIADFTGSENILVDGQNKM KTITIINPFETKQVAQLTMKQDWKLKTKFKFSLKTADKSVQAEYLKEDQLQLKLEIQDQM KQLYRYPFQLKEVPEILRHLKSPFVDPEFLPVDSSIFGDEEAELDTLIQWRRPSAFFQLK DANKRPEIFFDKIEPNDIKQGALGNCWFLSALSSLAERPALVKRLFVTMITNSLGVYRIK ICKNGEWVYITIDDLFPCYPLGAPIFSAAHGNELWVLLLEKAYAKLHGSYFALREGLSGE AMVDLTGCPTVVYGYSELQQMWQQLLQYDQEGYLINAFTEGNDTQSEGQRKESSTDNIGL IPGHAYSILQVKEAKGNKLLNIRNPWGNFEWKGAWSDTSPLWTQEIQNIVNFVNDSNDGQ FWMCWKDFLTYFKGVNICKVRNWEEVRIKGKFIKVTDINDNNIDVVLSKWYYSFVIPKST KVIMGIHQEDERIRGVKLLRPYLDIGLTLFKQTEKGLELLAQTITKQDRQVQLECDLNPG VYIVVPRTSGLYLYGENNSIINLLNNDGSIHPLLYSTLSDIFRKFDMLLLRELSFIEFKG IYDCLEKQLDPEYFKQMLTKYTSTEKGITFTGFVEFFIDQIKQLGVETIYRWLEKLGYDK NLNSIKSRCFIFTAHSSIEISVTVRDAITTDLNNRVHVFATTFPDQYHQIENIKTEREGI RVIQCYNRHSDTFCIIAINDQTYPIECFLDLTLCKGLIVSTKTSKVLKRLDSGQMETMIH FKQNRIQKLKLAIQVKWQPVQ >CAK58229 pep:novel supercontig:GCA_000165425.1:CT867996:249836:250707:-1 gene:GSPATT00029265001 transcript:CAK58229 MSSNIYLTQTQIHDLFQPCETKKTELKTLEIPSFGDVNSSEQSPEFQQEAYYFFSSCKKD ENYTTNPTNNTNRHLSQDSIIELKDDTVRISKAEYESLRRDAQNYQDLMKQNGQLKAHKQ LINQTNSYKCKNDCYEILAQIYVLQECNKKLQGHIYDLIQNIKMLESENQQHSEDLLMLR LQIQDQLKIIQQGKIDMERLKIQMIEKEESVIKLQDNCNKVFKETERTKNSKSAQRSTYA STHTSSPLFKGLSRICLNNNYCNTSFVIGKQR >CAK58230 pep:novel supercontig:GCA_000165425.1:CT867996:251327:252126:-1 gene:GSPATT00029266001 transcript:CAK58230 MDNFQQSRLCFCWMLKRFSPYLISYEQIISQFSDIVYFPNVNSQLQKTGRLKVFAVETNQ ITLFTLVITVSSWTIKQTQQSILDKNYFSYSKQMNITDVTFAEYTEDNLQFYQIILTEFN HGIFWLDAFVKIIFQLRIAVVMHQLIPRLIITYRNNLQLFIQLLKIYQLFHYKMTVNSVV STTLEQRYQYVGYQNFSTWGQSISFRNITPVDNLTSLPQIHSVLYFNTGNRIVHTIDQNK LQSCDLYNYKLSIE >CAK58231 pep:novel supercontig:GCA_000165425.1:CT867996:252246:253363:-1 gene:GSPATT00029267001 transcript:CAK58231 MLFRGINNYKSMNIILDQTDSSGALWLGDYTAAFNQQLLKQKNIKTVLTVASGLNVKYPS NADIVHKVYNILDIESCNIKRIWGDTYQQIDEGLQKGSVLVHCAAGVSRSAATVIAYLMR KQAMSFSEAFQFVRLKRSVVCPNFGFQRQLKQFERELQGGNGKPDTENTQQQSISQQQQQ AIKPIVQQQQLSNLTIQPHSVPISEKKSTVRRNQLTPASKFLKPAYQSSVKPAQALKK >CAK58232 pep:novel supercontig:GCA_000165425.1:CT867996:253676:254011:1 gene:GSPATT00029268001 transcript:CAK58232 MQHSNEADFMKDEFNYQFTQQYTEQEMLEIIIQQQGILDIFDELVVINVEAHAEKKQPKL CERRMKKIKKTSKFTAQLQGIRNINSGCAKLFKMKLNNDRTIYQLKQISKY >CAK58233 pep:novel supercontig:GCA_000165425.1:CT867996:254400:254772:-1 gene:GSPATT00029269001 transcript:CAK58233 MYTDIAAVEINQMQDIYIRSIFQQLLVTFNQSLQKDIDTNTTTTVTIFIVFLVVLVLVYL LFWWPIANKINNEIRRTTLLLSMIPLNLIQRIKAIREYLNRIHKVDQ >CAK58234 pep:novel supercontig:GCA_000165425.1:CT867996:254927:256814:-1 gene:GSPATT00029270001 transcript:CAK58234 MFRDSKLQGYIIKIENNKQEKSFLNPNDQSQQKKQQSQTKFYFQFDQAQQQYVGEYSGDQ NFQMSAISSVKQDETFDYSQREPMKEEEKTPSSNIGEKDDKIDLALGIRTMKYINGQLFD IEEFKNQDSDEEEENEQKKGAGNAGMQQIQKEDDEDEVEGGHANIYKSRRTFVQFLQESR NVNQTSMICFKWSAAVLIICLGVLGLLDYVLTQQLFSDIQEGYIMMQDSNIRVALGQRIQ WQIMELCRLNKINVLATDDKVKTQLTNMNTTISDLKDIQSKIQSSTEVSGRQDELMTTNT IKMISKDTSGTESNQLVDINQGTSQIISKAFEILLLKLILSFSLDIICSMIIIKQHQRVL IFIQINLQTQHMIPMYYLCFLLLHVYLLSYLYRGLLVLFSLVSQNQEEVIKLFLEIPLAK VKQLFAKCEAFSNTLQIGEDEDANQENDVSFEENEEGEGVVEEFGRRKKRKKYKYDSKDK RNFYIKFIISMGLLIAYFIAHYLIGANLQSSMQQLIQEMNATSLAVPSITFANNVFRQML WDSAFPVKNNVSKTISADFVKDLYNLNTNMQKDHSLNLGYHNTLYNDYFDSIMKAGACAQ VIQVAPVDLAILLSIRQRNCR >CAK58235 pep:novel supercontig:GCA_000165425.1:CT867996:256901:259324:-1 gene:GSPATT00029271001 transcript:CAK58235 MQGVSIFDDDKSALDKMQNSFKNLLFEIIYYLISGENFPLFLYIFFVLIESFQVFYFAFS DEFLSLWKVKSWAESFQSFFGYFMISPYLKNLEFQSFILVLYIIMGFFLLLIILIIFIAI KAQTTSVGKLSGPLVVLKIFFEVLNYIFFMPALHLFLTIFYCDSGTGYHKYYSDQECYTG NYLLHAFLSAIAAFILICISGLVTMTFYESRFQPNNPLCKISGRDDMKFLIFKIILVLCF TLLNVSELRILVVIILTLFAVIQFFSFNKSSVYLNYYYSKVLNSQHAIIMWTICMIIFGI IVEETYYEGAPYLWVFGSPLLLLIVMLRKEYRYDIMMIDSNKFDSLNQAIQQLQYLTKFL NYYHTDRNIATLLDGFVEYHRTICKREDCPCQAKNMGNKKITKFQKNFKLQNQDDEIKEQ YVVLVYIIERIFTLSLTRFPNCTELRISHSLFLMEKMQSNQQALQELVAAEQEKPYVDEQ FIIYRLKKLIEEQMFENSKSSKNPAAGIDAVNELTTENNLREIRAQIEKSASQHIEFWSQ LSEDTPDLGKLYDVGTRMMFIDKMLEDSWKRIIKMNLDVPPNLMMIYSRYLVDILYDKES AEEVLERLKNFYSVNMDRGKITNNINDFPNESTALISISAEDVTFGRIIGLNMSASKMFG YSKSELINRKVNILMPNVFAQSHDQFMETYLQTYESRIMNRERMIIGKSKNGYIFPFFIY VRYVPSFIHGAQFFGAMRQEKVFKNVAFMIVNGQTQEIENISATFITMFHIDLNYITKKK TKVTVVQYLISKKTSLSI >CAK58236 pep:novel supercontig:GCA_000165425.1:CT867996:259414:260937:-1 gene:GSPATT00029272001 transcript:CAK58236 MLSIQGCFEKWVELHLQIKDRILLVGLMRQEWMKSGKRFRQGQIGHISIEYQQMIIIFNY LISIVLCEFQNGYYIEQNETIKIFHGLNLNDYPLTKISDDDLIALSKIGFNLLRISVFFD QLIPFKPTSQNNVTYNSTYLTDLEHIVQQAKKNNFSVILVADQVLLSKQFCGYGFPDWAI QRTNFPVPYDNNGKIKFDLYPNKDQCSTNFDSFLKTDDVGSNFENLYKNTNNLTEYFGLF WQKIAEKMKNEENVLGYDLLNNPQCGNYYKTYYQCIWPGWNNRQLIMPFYQKVSSYIREV EKSKIVFYQTQDTDFIGSGFDDNVNGNEYRKREAYSYRITYKSNYFKELATNLNYYSMWF EIHVGKHAQVPTFLSEFSGTDEYLLTRLLNYADYFQSSWTYKGSIQQALKLDALKRPYAQ SICAEQVFHHQYDSINQVFKLQFKFKSNCSTLLFLPYDYGYSCSNCILRQYDKRIFEIKL TISTQQQQQVNIIIWRKKV >CAK58237 pep:novel supercontig:GCA_000165425.1:CT867996:262058:263926:1 gene:GSPATT00029273001 transcript:CAK58237 MGNEPSAPNDKIDFLRPVQKKAPEPTQINKVQQNEWRIGLQHPHLLQTLGGQPEGDQYRY FFEFCQITLTSLLQERYGTKKYFPEEDLQALLLGISSALSFLQEKGLSHGDICTAEIFFD SNSSSFKVLDSNLINGRGASIQQLLSGKLKYLAPEILQNPTQPLSELQLSKGDVWCLGMV MLEASTLKQNDNLYQNGLQFRLIQDRINEVAQIYNLQFAENIALMLNFNPNERLDPVNLF NYLLEQQRTANEQDQQVVQQQQYQQLLQQQQLYQQQLCQQQQQQQQQQLLYQQSSQQQQL TYQQAQQSQLQYQQQPIQYLPQQVEQQNFQQQQVQQAQPSQLQQQPQVPPQYLQQQQQQQ LQTIQQQQQYNTQQTQYSQQQQQQQQFTQTQQQRQNYHQSRPSQDFMMTQPDMNQTMQGG VSRERVPTKVIKKLVYPDGRVEYQEKSQSASRMVQQPQQQKPQQPVPQQQQQPQAMPQQQ QIMAQQQQIMAQQQQMISQQQMTSQQQQFTQQPQYNPQYQQQQYYQQPQPQYQQRQNVLG NIENQMHGVSNEQNITRLKQEIEHSKTLIQQYNQQKPSVQAESIEERIRKVIAQSQALRE NFA >CAK58238 pep:novel supercontig:GCA_000165425.1:CT867996:263958:264909:1 gene:GSPATT00029274001 transcript:CAK58238 MLPKLNPSFSTQQGKSQEDLSLNEAKRRQKLLELEQHNVENRIRQITKENEKLSKKVQMA EQLALDVYASRLAQKIKKEQKLQQQSTVDPLRYQQTQYERMNLKKMKQDVKEMKHNDAQL MKKSLKYELMRTSEDQNKKAQNLKLRAALIKEDEKFSQVKILEKMFEKQQKVRSLQELEK QKILLENEKYEYQIKQLEAQEIKLVEKLQATQQREQDVKQKLMAATRLAPEEFDKTYLGG QSIICEQGNHEKTQEEQKEQQEDPQKNEQEGGNEGQQGTQTE >CAK58239 pep:novel supercontig:GCA_000165425.1:CT867996:265269:265529:1 gene:GSPATT00029275001 transcript:CAK58239 MEFNQADLFLRAISICELQMKSNKDLEYYNQCANQYLKYKTEQNSLTKEFKICDKQCNEK NECKRQCLRLLTDQQQSLIQYFAKTI >CAK58240 pep:novel supercontig:GCA_000165425.1:CT867996:265926:270485:1 gene:GSPATT00029276001 transcript:CAK58240 MNKFQEQIIKQNQIIRNPLYQNLIILGQFFYTLIWIFIAILVLGHQRENLHFKIFYYFSL PTSSILFNIEQVIIQSITIIFSLTLLLALLFGANQKYSKKILGVLWDAYPNIWQIIIIQN SGHCLLTDNLNFILGIINIIMAIIISQLTSLYSFSQNTSQRLIKSYFERTLLPFCYSVLA LFAGFQINNSYISYLYLGVQLIFDLYFGILYYVKPHMQYLNMKTQKLAVLCSFIRFPLVL FLFYYQGDEVIYLSLFSLPLMIHIHLILWENRFMSLIYRTNMFFQYICLDKFREKDNLIE CYIEYYFEIINYKKPLNRVLMLSIYCNHMNMCQNLKCFCQNRNFHDGVKITTQLSIDLKD IQQQVNFAVTEQDIQKSFKELMLLFLDNYIKNVRYTAMGETLILKLSLYESENFKQRLFQ AKLRFQYLKLYYNKYHPQFLERWATCTLVTEKLQLYIGGVNNLNFDLSLSLQYFKLLENI QHDICKVIEDKILILELLKRNYQNPVPYLLHSSTQQYLNECNQLESQIKQLIQLNGISSE VRDLQDEFYSQIKIDYGFKNIRKKSTIPFINQNQTAFVICDYEGELIIKDYSSNFISMID VSSQVKGSAIEQIVPVILQQYHRVAISQMINTGQTKLVNKDQYTLMVKSEKYILEVDALL RFDYRQLDFLRFIGFIQLRDKDSILLLANDKGKLDSYSRSAGVLLKLDEIDLNFLNYAYL SPCLLDDKVEQEFDGFILIPKRLYRSDILGYMRYFIGSPDECYIFLVNAEHQKKTYTSVT IQCLKVNSFYQLKELDFKIIALDIMIRQIMILKQVYKSQYLVSPECIRKIQTFKKTFKIQ PTIEFNEGEYNLIYEDDSEREIQIQGRNQNILPEFIPSLDSIRTQNEKLLLTFDQYRDYP HTLSKDKSFEQQQKLDTFEGSKSELDVYSKQKKNTNQLIEIELNAAEDQNIEEQLQIILT TVKARQTRKEMLEMKNQLKNKHKASTWHGNGNAYTQKQLVRSIVHQFQISSLSSLLSIKI VSCFLVIINIGFILGFVINSLINIAGIQLDSNNQTYPLILFQDLNLVLMGIQLEDWSNEN QELAQIYKVLGAEQYQNLSIHVNDIENDYHVFLQELDQETIEVEENGIPITYFKKYFLKN YMVEKLRTIQEAESVPLFGDDSEFLFDNFPEIATQLWNLKSKTTRDVIDRIDELDQMSKL LLTLSIICNLIAIIIYLTILVGIIQWRNKIYSTLFLFNNIQMLITQLSDSISRSVIQASN NKGYDEEKNKKIKFLWQVYGAAAFFILSIISLGFYIPLFLYIDSVNLQSIDVNQLQTELT LMQLKQSLILTWWSANQTELVYNTSLIHNTSIIQQAIDECTPSEYFVLYDLPFDSKYDYF PEIQQYTTTSICNCSLLQNQLQNGFQGFQSYVSQHLYDSDSQIEILQAYRFVFEFQIQKK KEFDDYMENRLQNTLLTLQIILEISVILIITIQSSLLFMIHNQIKKLVKSTKSIMYLFPP QILANNKYALQVLIQGSNQ >CAK58241 pep:novel supercontig:GCA_000165425.1:CT867996:270880:271638:1 gene:GSPATT00029277001 transcript:CAK58241 MSRNGLEQICNQATNFWKSQLLPQKKQVEEVSTDFGSNILVECSFIEVSAKKTEEFLFPQ ALNLSQINEKGEQEPLYTQKHNSSQIEIDENFEEEGDELSCSQQQSKRKEKKKSRTRSNK STKQRITISQEAFIMEQTRMGTNLKDIAQQIPGMTINQIKSVLMKKLKTCSKNNDFQGED ISDLIERISNLQQEENIDNATKIEELRQHIHTMENHLDQAKKLILQKYMILFQQQQ >CAK58242 pep:novel supercontig:GCA_000165425.1:CT867996:271790:275225:-1 gene:GSPATT00029278001 transcript:CAK58242 MELRYRLILMTVFLALLYFLCSLIVLLGLYFKGTNISQSEILSSLLYTETSLNSIRFTRY YYGRSIRPDINSLYGISNLVNSINNFQQLNEKELDQVFWHFQPATSLQQLKDQYSNQFST LQLYSSLTRVIKIYIENAIFEEIHLLCQNGLGITFLSKNESIANEWYNKSIKNCQTPDNC ESFLSQFSSQIVFPKIENNSEIWSLNVGNQAHYCNFQIQTKLSIPLIVNSNSPIIYSFLT NGQLNEISNGKLINGSLESALSLWPNQTEDFQSQLYSLISVPIQQREWNMIYDGMGEQRI VFFQSYYFTHPNYPSIDLTQQLLKNCSVVFLYSMSLVDYQKLAGENNLAQSTLINEIVIG CAIHIALLLYFWRKGVILALSLEMPINQLIKLTDVDMKYLEIQDFNLQSYSNLFYNQEIR QSYEVIINLLNSIKYSNNKLLQESQEINEAEALIILSMSKSFYKKYNNNPAVGICANNIA RIHMKNQRYLEAMNEQEESVLIANQDLQSLIEMQKYANQAAQTHDDQQLMKLYLRLKNNV LQKFQRQDDQVMRSVIKDIEKKTKVIQNAQTNLSRGQTIQKSRNYIETSQMKSQPEFENR GLRQTINQVKNITQEDQQIKQQNSELLEPIDSPRRSSPRRSSPISKAYLDEEFLQKKQIL EYKIAFRKYQLACILFNYSMSKTQSTFLNESVKQFNDVMDDIQNLPDSFSIQYMKINIYI KKAFCFVKAKIMEKAYQELKEAQNKFAILKSIKDDIKQLDSKEFVDIFRNIPLEILQEKI YGLEALILMINEDYFQASQKFVSILKADGFYDPGLQKLVLKSLIKIFKKCQIDIDCLIKF SQQNQPKKLEFIFLIDYSSEMTNEQITLSHSMCKYVIQKLDEDAEVALYAFNESLHEIFS LQVKGTYKKLLESIIDKTLIKPGGQANIFLVLEIVIAILFEKDKLEQRKQLALHLKSNKI KQQIEQEEFKFSDVEFRGFSNSSKNGKTFSQGFSQIIKDGDELSENNSLHENMNQYKIDI DMINEEKDDQVLRYVCVFSEGVRNYNQMSINRLQSLIKKKNIHLLIFNIANQNMNMLYLK QLANVSEESKFFTNERDIETFFQQLKRNDFNKRVYLEFF >CAK58243 pep:novel supercontig:GCA_000165425.1:CT867996:275468:276718:-1 gene:GSPATT00029279001 transcript:CAK58243 MQYINLFTKSKVETKKTTCGGILALVTIFSVGFLIIGEIIRSFQLEVLSTIDTTNVDERI RVNLNITVHDMTCFALSLDQQDVTGTHLEDMEYTIHKLRIRDGRFINKEYAENVKLFEQS LYHWNWHNANEVNDCYGAQLFEGQKCITCQDVLLAYASRDWPLPRKESIQQCKYSYIQQN GRRVLFTEDFGEERRGQQYIDMNDLTAMAFTYGESCQIFGHFYIKRIPGNFHISFHGKGQ AVSLISQDIQLSHTINWLEFTPQKQGPTFGRYFKTTNTLDGTTHQLKQKEDTQYYLKLVE SHYETLFTEADLYTFTAYEQNQIANK >CAK58244 pep:novel supercontig:GCA_000165425.1:CT867996:277086:277505:-1 gene:GSPATT00029280001 transcript:CAK58244 MSSDWDREIQQNDSPLYQNYQRLRELNVKTKADICKSLQNLHIQTIEQDEELQCEIGSAL RRKQSRIKKVQKESHYSCQRVASISKTPSQLNLSRESIEKKGILKKRESFQSSSSSEKIS QKHVKFCSESSKFVHMVLK >CAK58245 pep:novel supercontig:GCA_000165425.1:CT867996:277707:280698:1 gene:GSPATT00029281001 transcript:CAK58245 MYISTQIGRCIVEEPDLKNRMLYKTLELELQAQGGLKVVKNTFQNDPKYELKNFDIRKRF DLRPQNIHSFNIQQNLQTQKIQNQEASRNLRSVNLTQRMVDQENITNHTHNGLLSALIHE AGQKVLRQVQQEPSSQQEQRLCILDNLDGNDMRLLVCITMFREPLTQLQKSIDGVVQSLD AFMKYGIAPHQIGVCVFFDGIENIHNVIDENGAAYHENIIPYFKSNLDEQYGIKDKKTLD YQYWEYKKHKKFLNDYNPQNIINLRKNKELLLKKYKKYKDSLLPIMKAQKGYQWMPQDEW VETNIIQAMIRQYQLSKQYIQDRENTAWVYQGRHVYQECTMPIFYVFKFKNGSKLSSHLW FFKGFCQELKPDYCLLMDCGAVPAKDSIFKLISSMEADPKVGGVCGNMRIEEEMDILSKI LNKHFFSIKKCQQCEYDIGHTLDKNYESALNYIHVLPGAFSAYRYKAFSQHYIKYSKQSK QIQLLLQNQHKNTSDNQPDVNVDIDNQQSSQQVIPEENYNILNFYLRQVMESDYQYSSIT EANMFLAEDRVLCLLLFCQDFYLKYIPDAIVYVDACQSLIDLLFQRRRWINGSWFALNYV QETYHDKLEGSSHTWEAKQMLKFSIITANLNQLQQYFFQSFQIVWLFMVLQTVVDSNGTI NDRNYNHIQESTDNIVVSAIIGLYILLVLMLVYLSLTYDQQILNNVNGTIGQSKKQVQEQ IKNYYYSRYYFVSTILGLMSLATVGYTVYLLIQQIILELKFSEFLEVPNAPQSYYSLLIV LNFGMLGLPFLFTLMTQPSIILSVIVNSIHYFYFQPTYTHLFITYAFCRIDDLSWGTKGL TEDNSKNKVFTDKIYKKYLFVIKWIVLNCLLSGILILLLRMNISMLPSFLILFVSVILTV ISIIKGFLAIIHLIQFYMPSGTKARRDKLINRVDKRRETLERGKTYNTNLQQFFMPQNSR >CAK58246 pep:novel supercontig:GCA_000165425.1:CT867996:280951:282436:-1 gene:GSPATT00029282001 transcript:CAK58246 MKKSNSSNSSSVSKQSQNKQLHNLQTKATFLRKLKTEDFSLRRKLMNQNVIGKFKVLIKE CQQHVYILLEDPQSSILAKVIQIILLLSILLSCITIIVDSIYESGNETYDSVSSTIELYL FVFFGTEYLLRLFSTSAFDRSLLQFIISPMNLIDFVSILPFLLSLTLTSGSFSQLRVLRL IRFIRIFRLFKLSRFMKEISMLADTVKNSLKDILMLIVMLLLMVIFFSTIIYYLEYNEDE SDQGENQIKSIPDAIWWCVVTMTTVGYGDLIPQTIQGKFIACITALLGTTTISLPVAIMG INFYRTLKENDENAEIDKLKQKYSISHEAASNDQLNLRELQFMENRLEQLYENNEKVLDY LEQSQQLFDELTADLMSLYKALSEEIDLHLEHRMKRLKMKQRIMKIEKNIEQKKSIELNQ LIHSYRENQRKIALSPMMDESRIENYKVLEILKEVVELVKQNI >CAK58247 pep:novel supercontig:GCA_000165425.1:CT867996:282658:285397:-1 gene:GSPATT00029283001 transcript:CAK58247 MNSKLKSRHHVQKQQSEFAKKILKLTESTPFSIIMAIVTIYALFGDDIRILSVDKQGDDV FFILTVICMVAFLAEIILTSICKPEYVFNFYFVLDVISTITMILDLGWITDTWYSGDLSS AAQIKSIGTASRAARKAARVIRVIRLVRLVKLYKHARQQMEKEQQKKLLQELLRQAQQNK SSDQQAPQQPQIQAQSNLQVVPIVSNNEEQRGSQNDIEQSRTPDKNSTTSNLKTSSTTSQ AEDQIKESLVGAQLSDLVMRRVITIILAILISIPVLSLDTYQEMISSYDSGIYRIYQFRN NDSVMKSLLQQYAQFHVSEIFPIYSVDVKLEGDSNQKLHEWSYSTNPEIFEQPAYETKNQ YRFSDLQYYVKSEGSDLQTYAAADLVSYNQTNAILSIFQTVFVCIVLAVSALMFSKDVSD LVIDPIEAMMQKIEMIAANPLEAVNIEEQEDLIMEELEKQQDVEKLKQKEIEKHMETYVL QRLIMKVGALLAVGFGEAGSEIIAENIKKGGSVDPMIPGKKILAIFGFCDIRNFTDATEV LQQGVMLFVNEIAEIVHSTVDSMSGSANKNIGDAFLLVWKYSPNDYHPDPDNPKSLKLKN DRYIKQKGDMAVLAFLKIITGISISKKLEKYKKHEGLNARMKDYSVKMGFGLHMGWGIEG AIGSGFKIDASYLSPNVNMASRLEAATKQFGALILVSGILKQYLTEECQKQMRMIDVVTV KGSIVPLELHTIDMSIKNLQSKIKGLRDKFDVSQMTQKESKKFRVLNRFKREDLMKKVQN NQVSVTDLFATDDELAAAREPYTQVFYETWKIGFDQYIKGSWGDAQQTFQKTLTMIPEHK DGPSNTLLEVIHSCGGKAPKDWKGFRELTEK >CAK58248 pep:novel supercontig:GCA_000165425.1:CT867996:285440:285837:-1 gene:GSPATT00029284001 transcript:CAK58248 MNTQNEQDKLVHEEQGLGEVFVPSQVVKNFNEMVSDPNVLQLPSDRSAKRSSENQGQNQA PLVFDDKYQLTDKQTAQPLIRKKEPPPDELKKLNKQMIGSNHHSQDDISIKSSGGAKSPA QCKY >CAK58249 pep:novel supercontig:GCA_000165425.1:CT867996:285863:286378:1 gene:GSPATT00029285001 transcript:CAK58249 MINNLQPLFGMYKELWIENATQLKEALEKWDQHQLKSWLQKMERTIFTFQYDESIGLLDE FQPNYIQNLPNDMGIQCNKLRTMFQNNSTQYLIVNTYLKLLRCLKKSLEPIYNSTHLLNS IRNLELYLSSPLNQNTIKPQECNCTAF >CAK58250 pep:novel supercontig:GCA_000165425.1:CT867996:286732:288119:-1 gene:GSPATT00029286001 transcript:CAK58250 MLQRAVLIFCPLCELEFEPKLTKTNICPNCLIAQMNITQDIDNDKEILLCRNCRRYQRPP WVLCERDSKELLAICLKKVIGLQEKTIIDTKFIFTEPSTKQIKLQITVQKEAMNGTCIQD SKILNFEEVYHQCEDCKREFTPHIWGACIQLRQRVNHKKSFYYLEQLILQHHMNQQMLKV ESADDGMNFYFKQKNQALHMLEFIRSYLPIQVKESKEVYSFIKYTFVVDVPRICKDDLVI LPHKLCHQLGGINRIQICYRVASSIQLIDPTKLKVCEISAEQYFKHDLDIRTMPLRDNCD EFTILDVEKIKPSKNDQNHPFLNSLCTVELMKDNDSDYNQYQVKSHLGNFLSAGMTVQGF DLNKYNNELDEIYDKPEIIIIRRKPEKNKKRKTQLKRLDIEENQEKKNKKKEGLEEQQIE EFLEDIEQDQHLQETLNLQQIQTQQ >CAK58251 pep:novel supercontig:GCA_000165425.1:CT867996:288136:289518:1 gene:GSPATT00029287001 transcript:CAK58251 MIIIFFFLYSVLSYTFVIHGENTTTIEQDSILSSKFSLTNPIQTQCSPIRLYIVGSTQNQ SEFIVKNNNETDLHFQFNITSVDSDIISVHHNKQLEKITIKPNNSFEFHVNYICLKQIGE QTWTTIQIMYQFNENQHIAYYYKFCGASETFFHPLAVLLFFSLCIIVSGSIYGIKEWKLF GGSQTEAYGPKAAIIFIIVSSFLLISLYKFQTFASSFTYIIMMFTAFISIETILLDMQNE YSYSNNIKILFSTIMSGTLIILYHHTKTWILNNILAVSIIFFSFRILEFDSLKTGTIFML LALLYDMFWIFVSPTIFGQSVIQNITTTIELPIKLLSPSLIKNCNSPYQQCSILGIGDIL IVGLIIKYILKFEKLSGENSLIFFSSILGYGIGLTSYFILIYFYHIQYPALFYIIPTTFL SIVVPSTLKSLFLQIWNGTFVIQLIEEQELEMI >CAK58252 pep:novel supercontig:GCA_000165425.1:CT867996:289562:292845:1 gene:GSPATT00029288001 transcript:CAK58252 MGNLCGQERQEPTQIMQSKVKLDKTFGNLRIQVIQGEVLSEKANAFLNAANEPYNTQLIK KIKEIKKSPIKNGVPQLVKFEPNHIDYIIMIKLCEEQEEDYENQRTQAFLASFELANKNN LKILSFTDPPQQLTQKTEDHYYINLFLSALLKHKRTKQDCKIQQIKLINLEMKLQNVYIQ AIQQQAEKLNNNKQEEDVQHQIQQQEIQTQQSEKSDLLEQQSDAQIVQQNENKTNDKVVS ADGEKLQQNIHNQEIAKVEQVSQEQVVQVQVESSHHEKVVEVVHEIKQVVNEVHQTQDQH EVKENQEIVKVEQVSQQQVLQVEAESNHQEKVVEIVQEIKQVARDTNNQQVTKQDEESAK VVQVEAEPSHHEKVEEVIHEFKQEVHETHDQHEKKENEDADKVEQFVQAEVESSHHEKVE EVIHEIKQEVHENHDQHEKKEVEESAKVQQVVQVEVESAHHEQAEEIAQEVKQEVHEIHD QHEKIKNEENVKVEQVSEEQVEKVEPESGHHEKAQEIIHEIKQKVHEAHQAENQQEKKEE EESTKVVQVEVESSHDEKVEEIVQEVQQPDDQQEKKEDVESSKVEQVEVESIQHEKVEEI VHEIKKEDHEVHQANDQHEKKENEDADKVEQVLQAEVEPRHHEKVEEVLHEIKQEVHENH DQHEKKEGEETNKVEQVVQVEPSHLEKVEDIVQEIKQEGHETHEAKTEQEKAEEIVQEDK KEAHQADEQHEKQEDVESAKVEQVEVESTQHEKAEEIVQEIKQEAHETNDEHEKKDDEEI DKVEQVSQEQVEQVEVESNHLEKVEEIVHEIKQDEAHQADDQHEKKENEDADKVQQVVQA EVEPNHHEKVEEVIHEIKQEVHENHEKIENEDADKVEQVVQAEVQSSHHEKVEEVIHEVK QEVHENHEKIENEDADKVEQVVQAEVQSSHHEKVEEVIHEFKQEVHETHDQHEKKENEDA DKVEQVVQTEVESSHHEKVEEIIHEIKQEAHEAHQADEQHEKKEHEEIDKVEDVVQVEAK LSHHDKVEEIVQEVKQELHENNDQPKDNENQQQDSNSDVHS >CAK58253 pep:novel supercontig:GCA_000165425.1:CT867996:293765:295513:-1 gene:GSPATT00029289001 transcript:CAK58253 MDQQFIQFEQNLDKIQYNQQNSIKDVIQTFSSEIFQQSRLSNQNLQCENHKLQVALVDLN EQATVPNRIACIDCVTEYPIKYTKLEQLKQLWLSYVDHTLQQQEQQQKHLKEQAQKAISK FSSYREVITDLSQQLTEEMLLRDAFQCKATQSLINLKTKNWYQLNKLEIIEISNILSQKN KFELINEAIKNEFEIQQVQLKKNFSNYFKSFQEKLIAAFYQIYGEISGEKYIAENNEQTN NSIGENSLQLQPQNLQSINQNTLQPPFKYEIINSVTEERVETFAFNVDYTRVAAGFFNSN KINIYEFNQGQIVKIEELRDHEEYIICLKFFKKSNSLVSGSYDKTIIIWEITNNNLWGLK FKLKGHEQGIFCLAINNNENQIMSSSGGSGSGDYSIKVWDKDKEWQCIQTLSHKFEISSI SLSQSNEYLVSCSRKDNSIQIFKFQDNWSLFQKLDIDEWGVKVCFLEDLTFAFQPFNNKK MQIFSINDSNDQFIKIQDVQVEAGNECYFHFPLQFIQQKQMIIDRNGSKVNFIKKQENES YVTQLVLDYETSRIFGTMTDDGKYLMTWDNKSSQIQIRQYKE >CAK58254 pep:novel supercontig:GCA_000165425.1:CT867996:296236:298877:-1 gene:GSPATT00029290001 transcript:CAK58254 MIETDITMNFADIAGGLFINSLYLQLTKGLDVIIVNNNSTLYGNNFVEKPSSLTLSLNGG STLLEKQLFVSSENEIVEQIIIHPYKTYGLSVSQIYLKLPSGLIIQDYKYFDHFTLKPIP YNYRLRVIALDKFKKQIKGLDNSYCTLSPLVYNISANAEEFNVTFSLSFYNVSFNQSTGD YNLDNLVIYFNPNYSEDLVLRLFIKCDAVSVPQYKDKPPYLIDKTMMSYKIFVDIQTFPC QIGEFLNYTSGGCVNCDPYKNQYSVQLEAKSCSYKDDSKINSIKSSMIELRPSYWRTYYY SDNVEYCYHLPQNCMGGWLPGDSSCLLGHIGALCEQCDLYDIRGNGQFSVSQDFQCGNCD QISYNIFITILISLWTLLSVLLSVSSTVAVMKDFIREIRLRSYGISHSIKEASPAILIKI FTNYLQIIQAISTFKLEVPFNLLIIMQSFANPIGSMAYSLDCFIVSFTNIAIIYFKLIWS LIMAAMYLIFFFLLLGIAVSIKLIRLDVSYISTPFIYLFIYLQPNLIGQIISLLSYRRIS NENWIQGNVSYRYDTISHQKWIYSLCIPLLILIGCVIPFFLMFRLFKNKNQLNSINIRKV WGYLYNEYKKHAFYWEMLKVIEKEAIIIVLIYYNDHIQIKASIVFLILFYYSFLTTSYKP YATGQLNRLDTQSTIICAISIIMASCIYTAQQQGLKEIILPSYFVMGFINSLYIIQMIIQ IVLSYFKRLDLLIDKFKEIILKNFPHFIQQHPKIYNILEGKKQKQLRVKIKYKKLKVYLL AQARRILQFKMLNENLLSNQVSLKGFEQEQNQIISPQAKSIQSEVVLNTSQLISERINQN PKSFENQQKSIFSAFKRENNQRGRKYSSPKT >CAK58255 pep:novel supercontig:GCA_000165425.1:CT867996:298972:302219:-1 gene:GSPATT00029291001 transcript:CAK58255 KFSLKSQQLLCLFKRKFLEAKFSISLHQDKAMLKSALEKTTRIFTLLYFKIFLLCNKIYI EFLGKEYQTKLKIQSQINLSNFTSVTFTNIQFNFGENIYTSLDSTIINLYNLKQTLTLKI INCIFITENGLARNYTLQFNSNIPYSLIINNLILEKFRIFSSNLFQFEGQNNFQQNQVFL KKLTIRNSIFFNSTLFKFIALKNNLNFDSNFSDVEIIDTIFLQSSFILSNSLLNYTTGTV VFDNLRIKNIEMLFYSNFAQLPCVIFAKFETVQLENSRYFNNSRFYSSNKINIENCIINN TQIVDSNLIINDVDYSRSEQALFESSRIFIKSCNIINNYYNNHQQIILIKRYKEISDVYL LIEDFFLSNNRLTAKIQQSSISYYQSIIYFECQICLLQNIIISRGYGFPEISIPNSEILN IKNFTVSQDQRQMSKVLHTSMDCVKKFTIMDQYFILYIGQYKSVKIESFSILSCLSFNNA YIIFQGYDIMEASIDESIIIQNSKFLDNKLIITDSNKNAAIISITSSQSCVINISNSDFS NNHLNEYFQDSTSPSATVLYMSLQEGLTSIINCKFFNNLVTNSSDSIIYIKSSTLQLQNL QFTSSNIKFISNMSQSLIFPTIQNLGQIDFETAFPIKSKVEMDTLFSISLQGGGFYIATQ GMSKILIQNSFFANTQTQLQSSVFSSGGCLYIDASLSQLIFSLINSSIDTSFAKQEGGGI VINPSEIYNRIELINLTIKDCFSIKYSFLAYSPNKVENLISNLKFQNINFYQTQNGLNSY LSLLEQLTNSQASQFINSNPLIFARFSNFTLNNCNFHSTYIQFLLQLDQVDNIILTDIKV INCSTFHSPLFKMNLRSEFAGQLRIYNLEFINVEQKQIAQDAACMLVDEELESEILCPKE NQKDTLTIDETDFTLKRQHQLICNQILVFSNIESNFSLFEIDQLNSNQYIFVQHVKFSNT ICETCQFGLMRILGFQQIEGQNMKFSYIEIRNCKCGNSGCLSIIKSTDVSSTLSSLSDNL RLLRQLDYDFLESKMNQQLSILKSTFINNSAQLGGSLYLN >CAK70826 pep:novel supercontig:GCA_000165425.1:CT868088:2427:3158:-1 gene:GSPATT00038608001 transcript:CAK70826 MNHFNILDLSAWQNLVVQICNNLETIPLQEMSITLKQIFLFMNRKFRMQESTVEQYHYSE YDLPLVFTEKQLFAILNQMKFDQTQVNDYLDALTTLLNIFFKFSRIQPISFYDVYEVVRN KLQIILIIQQPCIKVMDLNPKQFNKLFIKYNNLILQFLIENWIEFQKYGKPKEVQIRICY QFQNLKFYIKLI >CAK70827 pep:novel supercontig:GCA_000165425.1:CT868088:4520:4867:1 gene:GSPATT00038609001 transcript:CAK70827 MSIISLPHWTLIENDDMNDHFKNVIHWKDFIKKNYGQKIKVMEGNRQKKFFLFLKKNTVL IRIYRNAQTVDLGAKSIQKLMSVISEDYYKMEVQYNLQRQGSHVSSNAFNGDLIE >CAK70828 pep:novel supercontig:GCA_000165425.1:CT868088:8233:14388:-1 gene:GSPATT00038610001 transcript:CAK70828 MQKYECDGDTCTNNLKELIFDRENDLPDPDQPQQITKNPIRDGKGIEIVDKCIQEPIKDL MKYSNNDYAMLYICQNVLRIRKFNSSGEEIKFVEITIENIYLFDATILNDLIYMIIVYDS DKKISKVYKLDEITNQLIPQLDQSCELDTQDIKIESFKLNYLIFITCLKNYVFDGVSQIG QSQSLFVYDPSNLKVFEKIDVNNPDIFTIDDCNKNIIKGFKVLGNMIYLINVNLFYAKIM ILDDYGGLVQTYNVDVPNITQIKLDKSNDLIALMYKISGKENWVFKTCIDISICFNSYQQ KVSRFQDFSFAIFSNKVVISYCDKLNQKLVYKILNDVGETIFSETIQIDSQISRPLLVTF SNQQIGFAWEYKNNEAKWGLQLSILSNTGDLNKVIINTCSPNCASCLSNTECTKCEASYQ LQYNKLKNDMYCEQQVDQSCFISIGNYCIQCSDNRYPYEQKCVVDEFQSKSLVLNEFTQF VQTKPSIAGFSDGSIMAVWISNYQDGFNWGVYGQVLDSQREKIGNNFQVNQITQGNQHSA QISILNDDTAIILYIDGNLEQGALLKIARFTKNQQRIGDDIDIDVIKLDKFNINTQNNIA KVIKLKNGGYVIGYITLSGEPQHKVLKLKFYNSDNQQVNSQEVQGEFDDDQLFQISSADL NVAVIFRRQVNVFTFEGSFIVSQGLSPQFNNPLCLSSTSQYFIIVFSIYENSNVLIYAQF YDQNFIPLLENKKLIKQFAQQLDHNYQQISYIQVDQYKGGLSIIIEIVKDIVSATQEQYF LNQNMEVQFMNKYIIKDSWQDLNFMKIIESVDQSFFVIWVNYNLINKIDSSNIHIQKMSA QGEYLTKIVNYCFNNCRQCGSNNMCTQCPENYQLHDGACLAICQESCVICIIPSVCYLCR DGYYEETLGVCAPLKPNYHKPQIIQEIEGDINELVGLNDGNICFFTLYHPQGQSIYYWNF YIISQQIQTMKVTIERSIIFQSLISFQQIEQDYLGISYAQEFIEVIRIGQNQQVILNKVI DLSKISLDVFLSKDCVILEAQVNNQYSLTYCHVTWDQIITTRIFRLILDSELNPLGFAQQ ILEQNDVGPALKENNKFRFYSQGETALFIGNQLIWKSCPFSQVLQQQSCDVFELSNGNLI QFFTSADFQYDFDFKGGYSIYYRLLNNQYIPLTQEMKVSESSLMRQQFIKIVTFQNSFAI VIKQYNTYNDMMVQLVIQYFENSGSKLNSQIFIDLLNAQMFNKIISFENSLKVYWQKWIC LEVQCSNMILFKEYDEYGNEITNGQNIKCMQYCDECINESYCTKCSTNYNLDANSQCILQ CPSNCNKCSVVAKCEICQTGYELINDQCIKFKCPNNCDKCYKESNNLVCYQCQDNYYLNQ LECLPQCPSSCRTCDLPFNCTSCPEGFVLTADAKCKFEDQTVVDQVKNTSVSTPIRYTFP DGSYVLVWYQNGEKAGVYFQLYSANNSKLGAEIIVSDYTRRLLVDSSIKKQYYAHLAAVD YNFYVVWADSTSDQTNFLLQEFDSTGTKLTSAQSIGVSNNNVVSSLTQPCQLLTLSNKNM VVSFMTQSPEDQSNYNFKYQLIDPNLQGINSIQEIPNVSYLVAPSITEDDQGMIYISYTS NGYMFVQQITQFGNPVNQPQAISDDGTFALRTAYLKNGMFVFLWESKNIQTYKALFSLNY QLISKDLSQKSEVKVIGSSQIKEQTPDLKLFKDGFIVAWQTTDSQYQPNGIQFQIFDSLG VQLTQIIKVAISGKYPQNPNIQIMNDDKFAITYISKSLDVDGSILGDSIQIQYYNKQGQE QFITTPQLCGKECNICQSPLNCYRCSYGYYLSLDNQCIMDCGLYCNQCEVPLVCQSCVNG YELNSSSSCTEVSCPLGYQRNSKTKLCDPECPNECVCSLPNVCSSCIAGYYLKDSQCHLT SNPLSESPISNPYIFYALIVVCLILLINWICCYKCYIHHRKKQKVHLSDENIGQLSSAGE RQQTDNKIQQETVSADPMIKKEKINEHISQIQVKSDQDNDNQQPVDYGQQNNKIFNKQNN KYLSIISLDEK >CAK70829 pep:novel supercontig:GCA_000165425.1:CT868088:14771:24512:-1 gene:GSPATT00038611001 transcript:CAK70829 MLVNSVAYLKKKTNKLIQGQIGVLFQNYYGNVFTFTKSYQNLKLQNLGNQNKFAIQITEM EATDEIVYLYDYVELKLTKTIIKSYFVSYITYLQLYSVQYKAISNKKLNLQFLNQRGKLL NNVILESINDLSKIQVVTSLSYIYLLYAESSQQSIININVKQYDLNGTQIAETQISSNEQ SIDFLSGNNQQNEFLYITWSTQKYSKSYKLRLNQKLQAIPFDEEICVSHCGDCSNNNNEI MCTQCLDGYIYNEQKLKCSPICLDNCQKCTQPYTCDYCNNDFKFVDNKCLNVTQYQLEIP FCQQTCNGIGSILSFSDNSMITTFYKYKNSQHSLDSNIYQQESSVITTKQLISSETPIAY HRIYEYESKKFALIWLSGNCKVSCRMMLQLFQSSFIVITNPIELRLIKVLNIDEFEIQIK IFNSNFVIVWTEIDENSLSQTYVGIYNTGSGFQIKQNINENQDDISINPQLLIQTSSYQI IYIKNNNIINSLTIDSTTTKYKQLYQSTNQIESISSDNIDSSGLAIGWIESQINLLGIRS YYAYIQYFDRQLNQQATKRIAINSYITIKSLYLNYIPTQHIQLTYIQIEKGEQQRIRVSF ASLSFLKYGSSQEMLTSPLNILSQYQSNTKLLTYVDPRNYQSYVVFQGVMNNVNYIFFIQ SKGTYLYQDNCETNCFYCNNKNLCVICKQGFTLQNNKCALKLPDYCTNGNYGRCSQCAVG YSVTSDYKCQISDSKYKEIKMSVYPAQSRQRISTLSNGDYVIVWSTQFYQNEGTGNLHGV LLKEQMRISESSAGIQQYPDVQVMSNDQIVVVWIDGNILVNANIKMLRFDKDFLRIGSET IVQTDIHLYYAQSYDTPVIIQSIINGFVIVWAEQGNQKQISLIAKFFDNDNNVLNTQIVT ENEQIINEPVVASTQSIIVISWQTNKGVFASSYSVNQVLIDKVQLSTTGESPAIVSIDNS IIIAWKEYVIDENDFMSQKIRFRQVSADLNQYQVQSHFGVSQENLDNPDVISIENGFAII AESVSVLDDKVRTIQMQLFNLDGSTKSQPVIIYSVSNLYPHHPQLASQPQGNFIASWTVS SLISQILSDDVYMKRYKNDGTQLALNTIICQNNCQTCSTSNICQSCKQNYILLSNSCYLK INNCEAHSIENQTLTCSQCSNGFELIKNNCQSILHIPNEQIIEFVNDKAFLNIFKVLTFS NGDVLYFWMGEYKIITQRFDKFGKRYSNPKVLQTTTSCTDCRYYFDVLSLYLKEDLFVIS FKVFQNQYDFQTTYLNIFDMNEKCISNAAISSQTGNVNSLIIQKYSDNLVKLVQLEDMKL GVIQMVMIQDVPILQLVIIPLFLDSLFKISFSPTQTIQLLTYSQFKNSEYFDIQLIDNEI KILNKNNLYYSYLLSSFDILGVMLNQNTVKKSQNQIEFKMISLNSDSNLIAWTTIESSKY EVFFQITQKDGIISTNPQKISTGSEIYKLDLQLNVLSDSILITWREGSEFSDMNLNTAYK GVLIQKQFQFIIGEKIEIKQDNAYTKSLGRLEVVELQNHDILISIMFYNILADTQMIISY KFNENGSRIYLSQLRCGYACQECNYQGFCFKCTNPDLFMLQVDGKCIKKPENCVNFKPNC QDCLDGYYKTQYLTCEKINQEVEKTIPLDYQSYYNYYYIYQIATYSNGNFVVVGFKEDKI IELNYYLSDATVQIQKLKIINLIDIYRINDISIIIDDNNLLTVFYSVLPLTYSDKNMYYY QQFDQTFNKLTEAILFYSGLQQKKITSIKAKILNSGQYAIIIKSRFDIYLQIMKLNFEFV ADIKLPFSDNFDFTSNGELIAFAYIKDTISILNIFDMQLKLVQHQIINDGGLIKLASNVP KQFIIVTNNQINIFAQGLIRQSYNITGSSLYPINIALSDINEIVILSYQKNSKSYHLYYF SMQGLLKNSVQVNMNNSEIPLQNSWLTKLQNNNFIVFFHAGSLLYNWKLNFARFDQLGFS RSVSEIICPEGCGQCETPSVCDICSFGFQKNFETQLCEKVCFQFQCSICKFSECDQCQQG FQFNEFGQCEIIQQSTDPKDAYDPNYQEEVKDPNSPADPIDPIDPIDITDPTDPTDPTDP TDPTDPTDPTDPTDPTDPTDPTDPTDPTGPTDPTDPTDPKSPINIGTIQHPVGDKCNLQL QNKLINFVDNRYAIIYICNDIFSARLFNKDGIEIQSITITIEIVFILDTILFQDQIILAF VFVNRPNKYQLFQIDNSFQTLNYKQEITQESIIHSIQLQPMTQNFVILISNDKQVEIDQT FINCQSQTLAIFDFGFSQTANKLDFNYPDGITQNTCNQNKVTEIKIVDNTIYTLSISQTG GQLISLDSFGIVQQAYALDIPSIQKIKFEINKETIIFIYKTYDAQDWSLRICNKILIIIF IKIDFIPGNSDVQMAIVANNLFIQWTQNDNLKSSSFQFIDLQGNELSTGVISSDAEINST QIVVLMKGFVGFTWTVLNQNGQWGMSYAVMNQSGQFVDKIEIQCSENCQECLSEKQCTQC QTGFILKDNGNEKYCFKTNCFYYDQMGICQVCKDQYYLQNDSCNLNEIQAKAIRINQQTS KGLIKQEGAKFSDGSIIVVWASYFQDSNDWGVFGQLIDNTGVKIGDNIQINAESQGIEHS PKVAVLQDDTVVIIYVDGDPQMNEAIIKAQKFNKQMQRIGDEFQVGTIQYQQENFNDLTQ WELLSLKNGGFLIGYLTQKNEIRHYIYLNFYDSLSNLVVQQSIEGYQNLFQFTLLLAAND INIALLYRDQQFGFSVNLYSLDGNLLKKKQMLYFIQQPDEQLVLESTNGLYALGYLSFFQ KRSAQVYLFDKQFEQLGDPLIIKYFDNQEPSIQMNEYDEGLLFQIHFRENQQEIQELYII KDQQFSLFNKFYIDASLQSTRMMLKNRYFKIIPSLNKQYFILWIKDNKVIDLDKHHLYFQ LLSYDFSIIVKEQIVCQLNCQKCQDQTHCDQCFDHYSYNENRCDPICEIGCAKCYYPYYC TQCQNGYYLFEEGKCSELPIYQPQVLIEYEMGDSINQLKTVNENQKVFFSFFSYQLNLKV ILGDQVINSYSINYQKQQQYRQMFTYYEDENVIFIYYFENEIQVQRYDLSSNLISSDYLD FQQFSEIQISYDNILLRPSEYILLEPIQGFQYIITFYVGTQIFNSYFVYSQVTFYYAKLD LGLQKVEKYLDYFYRNRKIQAYNYLKIRVFFIEKSIWIAIQNNKRQNRRKILSQFWNIRR >CAK86543 pep:novel supercontig:GCA_000165425.1:CT868580:51:2106:-1 gene:GSPATT00039769001 transcript:CAK86543 MDFLAIILKKMNRFEKAVENYDLAIEKNPNVSEYYDGKADALDKMYRYEEALEYYDLAIQ KNPRNSHYLNDKAHTLDKMKRFGEALENYDSAIEKNPQVSEYYDGKANTLYKMNRFEEAL KIFDQAISINPENSNYYNGKACTLKQMSRFEEALENYDKAIYKNHENSQYYFNKANTLAK MNRLEPAIYNYDLANKKNPENSESYFGKANTLKQMNRFEEALENYDSAIQKNPENSSYYC NKARTLQEINRYQESLENYDRAIQKYPEDSNYYNGKACTLIKMSRFEEALENYDSAIQKN PENSDNIAGKAKTLQKMNRLEEALKGFDLAIMRNPENSDYYIDRANTLYKMNRFNEALKD FDLAIQKNPENSGYYNDRANTLNRMNRYEEALENYDSAIQKNPENSDYDFNKGIFLLNDF SAVTLNQLMRFEKALENYDFAIQKNPENSDYYYYKANILSQLNKLEEALKNFDLAIQKAP ENSGYYFGKANYLFQSDYQAILNTIKRLSNLEKSRKF >CAK81128 pep:novel supercontig:GCA_000165425.1:CT868391:419:958:-1 gene:GSPATT00039435001 transcript:CAK81128 MPQRNQLPNNGDYQIYNQDSFLHLIRTRTKQQSINQKKRKQMDDQFEKQKQKAELFVPNL LLNQNICSKANIIKEIFKGRIAVDERCIYKADYHVFKINNKFYDSYLKQTNIAQGNDINK FYIAQILESDNQPQIVLYLFQLSIIFSQRVDQEGYIQQNNYLSNLVTFISFSQLLGTQI >CAK81129 pep:novel supercontig:GCA_000165425.1:CT868391:1107:1376:-1 gene:GSPATT00039436001 transcript:CAK81129 MKKRNSLNYFKTMMGRKSILCLHHKNLILPGRFYKKPLEWTGRRIAMSPFFKNILNEDEE ENFKKQEIFYDPHAQYINIIKHCQVILFN >CAK81130 pep:novel supercontig:GCA_000165425.1:CT868391:1609:2043:1 gene:GSPATT00039437001 transcript:CAK81130 MIVYVQYNIEFVAYRFQQIQAGVGDSIILAVNTQGQLMLKQLFEKALKEINDILIDLDYI PVLIIDENKSTQEAPHLRTEFIWKKLQPQLDGYYEQIAEQKQIATQISLLIKQIEIYKQD ISQQEQLSKNFLKKISNKQLEKKM >CAK76072 pep:novel supercontig:GCA_000165425.1:CT868225:3:1570:-1 gene:GSPATT00039112001 transcript:CAK76072 MKSEKFQVEDLFSIFALSKKVDEIVYPILIKISQREKIQDCLEILSQVQNQKHFEQVIFQ VENSSQSSNYSLLNFVKNNILTITDILKKIMDHEFNEQNYSLDELQEIIQDLITKISWDK RIIEFLKFLVRLTALDERFIYCGSNSVHLLVQMQVDLKEQSFENIRIRNTSLLGANLMRC DLSGSEFNNVIISGMNLNQAKLFNCKWRNLKINELNNLNGHSESVNQVAFSSDGKSLVSC SNDNSICLWDIRRAKVQCLNRKKNQVQSVCFSPNYAILVYCIGCFIYIWNYKREKQISKL HSKTDFVKSICFSPDGATLASAGIRLCGVGGNYIHLWNVKTGQQQAELGGHSQCIMSVCF SPDGNTIASGSQDYSIYLWDVKTGQQKANLGGHSNFINQLSFSPDVMISLFDYGMLRQDN NSNNQKVIIKVNSQFVFLLMVLHQHLVIMISLSVYGMLRQDYNKQNQMVILIQLIQSVSL LMVLHQHLVVWISLSVYGMLRQDNKKPNQMVIAVI >CAK76073 pep:novel supercontig:GCA_000165425.1:CT868225:2245:3105:1 gene:GSPATT00039113001 transcript:CAK76073 MYLALYGFNNKSNKKCERSEVGFNIAILSSKEYHEKSTRYQTNLIVQRQILNLYPENLIF CNFIAGIGGDRCYDQMPNDKLEPVITKALKEYNDNFADMGLVLFEDALKHVCRITRIVLP PGGHPLLIGQRSSGKQSLTKLAVFIMTYTLFMISISSYYGMNDLRNDLRLLYQKSGVKGE PIMFLFNEVQITNERLTYITDLLTSGEVAELYNSDEKEDLINQIRPKVKADGRSDTRDSC WGWLIDRVRQNLHMTLCFSPVGESLRKRASQFSALINSTVIDWFHP >CAK76074 pep:novel supercontig:GCA_000165425.1:CT868225:3196:3645:1 gene:GSPATT00039114001 transcript:CAK76074 MPLSFQLITKFSEEYLNQKKRYVYTTPKQFLELINLYKFMLKTNKGMLVKNKERYENGFI ILRSTQALVAEIEIQVKLKHYEAEEIKNKTYQLAEVVGKEKAKAEVENAKAADQEAKCLL SNKILHRKRNPPKQTQMLPSHLLNRPKLL >CAK76075 pep:novel supercontig:GCA_000165425.1:CT868225:5370:5753:1 gene:GSPATT00039115001 transcript:CAK76075 MENQKQITFKKVCINSSSKWEDTWYTRILYWDKAKGTFMKMKLQILLTKNKELIYQAFSG YFLRMQIYAFKLSDLVEEILFLPQITTNLEQLKFLRWHGLIGQDNQRIGKWTATWDGEII AYVGGVY >CAK76076 pep:novel supercontig:GCA_000165425.1:CT868225:6342:7697:1 gene:GSPATT00039116001 transcript:CAK76076 MGQWIINWMDEEVGCGNYENNQKNGLWIELSTEFQIDSEVKYAGLYQNGKKVGRWNTYYN KKKMYTDLICYFSGGGFYDECFLKHGKWIDLIDNFSNDRQIAIIGEYNKGEKIGIWDWKE LSHFSRFKTIGGGLYNGQGQKNGIWHGLTKNYSYLSRITYKGKYKNGKKIGNWNLFFLEK QIGGGEYNEKSLKNGNWIEVDNFWVFWYCLQYNNSESLCQGEYLDGKKIGKWIIKWEGEQ LGGGQYDENNLKNGKWIELSQEFYQDSQVTYAGLYQNGKKVGRWNTYYYKKKIGGGSYDE CFLKHGQWIDLIDNFYWYYFEQILNLISDNEVTVQGEYLNGRKIGNWVYVLDGKLMQDNL SY >CAK85764 pep:novel supercontig:GCA_000165425.1:CT868542:827:2258:-1 gene:GSPATT00039722001 transcript:CAK85764 MQSELETQAVIGFTGMYTSYIQRGKQLQCQFLNPTIRYDITQTIKIFKRTQQLDLQLKMI QHLWQKTYMGFQADIIIWDFKERNITYRLKLHKVLILSLSFSHNELYLASLGGIDDKHVD NLRYQSREGIVWNSKSRPVNQVQFNNQSDEKDFSFKQLTNKIRSMMYTYIYIFNLLMYVL GMSNEPLFVLQSIEIIAIIEQRLSIYKILAPVKKLFSYGVNCFGLLENREINVEAVDGMI AKFSFKQYRLVAISELLGGVTSFRLQMLDSFFRRNNSKIYLLKILVTMKELMIQAFLTII LMYLLPNAKNRQKFLRIQVSNLEYWTVAFMNDGKSIVSGWSDRKIRVFIPQSGRLLNLIN DTHIHGCTTLNCTLDCQRIISEGQVKVWEIEQTIEIFPRKTTRLRFHKTQFTNMERTYIS FLMVL >CAK61619 pep:novel supercontig:GCA_000165425.1:CT868015:3:2620:-1 gene:GSPATT00031851001 transcript:CAK61619 MIKNVSGIHLLRHVLIWLVCQIIEVSNLYNTHAKCFAVDSNLGCTVRALNKVAVPGCMAR GPCSSYTIKDQCITNASGLDCVWNTNSSLPEPACQDKSCTTAPTSTLTHNDCFNYYNTQS IKCTVYASPGANGGQPILRGCQQTAGCSTYIDIEQCKINDFGDPCGWNGKECNDKSCSTA PATSEFDDDAKCKAYFNNKCTVSSDGQGCIDIPEICELMNQKQCYYNSTGQLCYWTGTDC ITKTCENAPEETATAEQYVIKCKIKICEDYVLTTDEQCSYALSTCTTNGINCVARGTCVQ AQNKAGCVVSSTGQSCEWIPDVVDSQNVVTTAAYCTIKTCNTAPISLQTDVDCGKYFTNC TTKSGGGCVAKSSCSAASVNAACITALNGTICAWDYTLNKCRDKDCQDFIGTTHTICQTQ RIRMYCWTKMVDVLEEACIEGTNGPCLWIEKFATSDGSKGACFAYTSCKSLAWNNDESCK LISNKCTTNGTNCIGITLCTETNVDGGCATGYDGSCIQSVPALNSSDPKICKPFKSCADA FYATHKDCQIANKKCTTDGTTGCIPLGACSTYQSQPGFESGVITSTGVCTWDMSKSVCRD QICSDLNGVTHSICNSQLSTCTSDGTKCLLKTNCSSYSTQIICSTAVGNDGICFWEVGST TNNNTAKCRLLSCADIQNGTSISVCQAALPSCISNGNLFVSQRLNVQLTQLKQLVILVDQ MDSAVADSGTCTLMNSCSSANNDQTACVAAQDRCSWSPASSSITSICTSHTCGTYNQVSG TCSRFFNWDKQSQQICSMINGICTATDPSTLKQSDCFNLSGYTDRQAITQRFAP >CAK61620 pep:novel supercontig:GCA_000165425.1:CT868015:2621:2752:-1 gene:GSPATT00031852001 transcript:CAK61620 MLLMMMIQNVLIIHRLVQLQEQVDVKLELLVHYINRHYNVNQI >CAK61621 pep:novel supercontig:GCA_000165425.1:CT868015:2767:3635:-1 gene:GSPATT00031853001 transcript:CAK61621 MVLDVNTPRQINSCVALANGTSCLWYENSCYQITGTTCSAITGADLNHNICFSYNKGCTS LSDGTSCQDYKSTCEQYSGTTESCTQSINVKCYLYNSNTCITILNVSTDCAKITGVSLTY EICQSYNLGCSVNRAKTACVQKAAQCSGYTTNMTNCYQAGEGLCIASTSNDQACVPALSV STCETVFLGTDNYTHDNCSAIKAGCTVNGSTGCMARTCANATGFTFNHDNCYSWLKTCTA KLDQQWLHYHDCKMFRLIIYLMSKCNRGSVFSVQFYLYQKRM >CAK61622 pep:novel supercontig:GCA_000165425.1:CT868015:3653:7803:-1 gene:GSPATT00031854001 transcript:CAK61622 MASNSITSHSECQTYYSSCTLSNTGKGCVTIPLKCEAITIEAACKIKANKQSCGWTGSQC IDKACNTAPKTISTTSDCQAYLSSCVANNPITINGTSTIQGCQDLPKTCDSRKSSENCNI TRATFPTCFWDSLQNKCVEKSCTTANLSGSPGALSIGKFTFDNCQSYLSICITNNAQDSC TQTSYPCISNNSQDGCMIKPTSCSQLVHSNCKDRSKSNGDCYWNGSNCVEKICTNIILTT HNDCYNIFNQLQHAYPLATTCTSYKIQENCKITSAQKNCYWTGTVCRNAICDDTPDSDLF DSDEECLKYQTQNETCTIIAKVGGQGCVQKQPVCSNYKTSSQCHKTISNLNVQDDCKWIN GICYSLSTFATGACSTFKGTQEMCKAYRQGCTNVANASTSTACTLDCTLKIGSGLTFQDC QNVDPTCSVKKDGSGCIVIQSTCTGYGTTDVNCYKSNATGTQANCVMNKATPPSCQSVSS ASDCALVSGSGIYHAKCQAFNIACTSLGDGSGCQEFKANCTSYTGNTDNCTVSQQGKCYL NGSDCIRFSNCFSITGTNLTHQICNSYNADCTVNKQKTVCQDRRATCDLYTSQEQCTVSA AALKASQCVWSGTKCLAVTVVATHCSYITGTYLTDSLCATYNNNCTVNYEKTACQEKKAQ CSLYSTKSSCTLSQATGTAGKCIWNNGSCRIMISLVTIAGSIKDYQMSSARCAQFNPAFA AKKDGSECFIKQGSCSLYTEDYCTTSSASGTAANCIWDGSHCLAFTSGSLCKHIQAFEIN ESYCPSVNPLCTTKLTRNGCYGKKANCSDYDVEGDCQQSLASGTAGFCIWTGSVCTYVTD PANQCAKILSALLATDEQCASYHASCIPLMNGAGCQYIQSNCASYTEAKNCYKSLNDGYC AWIDSKCIFFTSPSQCASVSGSSLTSNYCNGLHKECWPATSKQTCYQMKSTCAQYNNEGQ QCIRTLTNGNAGLCGWSNTGQCYQITSASQCNTQNVGIVNPSYITTDAFCAVFNAGCIAD AEKRACQELLISCLQYTEMSKYVFGAIQNAHQQQILLLIVQRSRPNYEQFSDATCVSFHS GCTVKADQSGCQERKAVCANYTTEDSCTYSAAASPFNVCLFLNSNCVAARELLTECAAIT ASSGLSDSDCSGYNSICTSNKAGTACQDKKGACTDYQNQDTCSVSSSSKCIWKTSCISVS DATTDCVYVVGTNLTHDICVSYNNGCTVNKAGTSCQEMKNTCADYTKSENCTRSQDFGPQ SNCVWHSTCISVTNTSTDCAYVTGTNLTDDLCALYNPDCTVNYSGSACQEKKSNCSEYTL KENCSPDCVWDGSINLPFYIRSFHLMQLSQWKDRTQPCNVPIIQQ >CAK61623 pep:novel supercontig:GCA_000165425.1:CT868015:7892:9397:-1 gene:GSPATT00031855001 transcript:CAK61623 MNIKVLILTLLVALVTSQQYSISQCDCSQLLSEEDCQKNDMIKCQWDSTKNTCKNQDTTI NPVINYAKYCDNFKEMECPKQKPCGNCGSYSACAWVEGQCTFFTDCTVFNKTTDSDCQAI SNKCITDGVHCVEIDNCDKYKKQQSCVKNLLDNFCYWDTKNNNCVDADTCDKLPIKFQPH TKTCRHEIQSCTAKSGGGCIDSGINCSDQSLEIQCVWDQSMKMECYWNGTQCKDRICDNA PTTLNSDDACKLFKTDGSCTTKLNGGCITRTTCQAATISAACIKNSKNEDCYWTGTACVD KICSNAPTTLTTNSACAAFSKGCITKQGGGCVLNGDCSAANISTACVKNINNFDCIWDST CKEKTCVNAPKSNTTHDQCTSYLSTCTVQSGGGCQKRTCDNAPITLNTNDACETYLPANK CITKNGGGCTINTTCSLISIEVACIKNSLGQPCFWHAASGSCKDRICANAPSSNNNHETL LIFFEYMYCEFYKYRMCRKNM >CAK61624 pep:novel supercontig:GCA_000165425.1:CT868015:9544:10607:1 gene:GSPATT00031856001 transcript:CAK61624 MNILVVDTIHEQASNCKLMSFLIVISNQDALSLIFEYFSNQKGTKTINLSKLYTLCVVII RFLYLNSEFQHFTCSTAKFLLYHILHFISCSILDIKSQTSCRLLLLQEFVRQIELLFIQV RKIFEFLIALMLLFFSQIKDILYLYKCEWIGQAFQLRENKRKKEEDKSNVLLNAKGTRLP SRVQSNVISSSIDQGNLFCGGLCETKIVSFHPNKLYYNNKTCQFRRILYKQKGQQKYIRI NDGYNYVEEQIQKKIVAKWSKQVNLKQRQSKRRKNSMNYTYSNINQIIKAITKTQNKKKF QISQKTRITTQNQQNYVTSITNQQNLKYQQ >CAK61625 pep:novel supercontig:GCA_000165425.1:CT868015:14103:15108:1 gene:GSPATT00031857001 transcript:CAK61625 MSKSRSQSSIPAFLQKTYDILENPQLQDIVGWNEDGSGFLVKNVIAFQDQVLPMYFKHRN FASFVRQMNMYGFHKSRSDQKENEFIHPHFKKDQRNLLKKIKRKSGEQGDDQFAIMELKP HRNQNISDKQIQQIITKQQELEKVCKILIEQNNKILQCNQQLRNQLVQERFNGNKKFQKL KDYFLGQQQIQDDCLQKQALEDLIQSDNEELLLPSQKKVKGEDSDSTIDKMEQLYDPQPL MLTNSLVDNDGMIQLIDGPQFNDICFD >CAK61626 pep:novel supercontig:GCA_000165425.1:CT868015:15114:18147:1 gene:GSPATT00031858001 transcript:CAK61626 MNQQLGSYVKFFHIIRELEDSNQIDIKQKQILKVNFTTKEKKLMNILNDLNDEDEEEIKF SLLNYLNESPQEKQQIQRQMKRTRSSITDVDLIKGIQYDELKFTDALAEMEKALKLITHF QAKIKDGQTIDPKVNEILEHINTLFIQNSPQNIHSPTIIGRGQSLNLDINYQIESELSEA YKEVKCQFANYMKSHLLLMDETVSYNTIFSLLKELSKNLLNCDNFSFFVINPNNEMELYQ SKTDNIEQLPIDQTIKDELETIPQNKIFRFSQNSSFCSKLMSINYLSSYGLYANNVVFIY HSKQNKQLETQDILKIANEYQLIDEIKTLSMFLLQTIQNAKVQFFSPLSIADMILDLGIS FVRASKFVLIEKMYNIISQVYKIDKLQTDQQEIQVWDTESVYIVFKDQTVTVCFRIYQMN LVKQSDQRLYSEIKQFYEKYLRFIRECFDKSAFYKFFLRSNDSLIFEFDKSGHLLFLSRP IPKQIKSNFNIQFNSHLIHSNQLSYNKIFDQNVVSNIENYLQDHKWQMMKDNEKQYEIFL KIEEKTYKGFAVIFTENIKGWVKEQFKQLESGNQLDSKIKAKIRKQLIQHETINFVNKLE ENNPEVKDSVASLYMPLQQLILKKSKSIRDIQNTQNEPKMRKTEAPQRSKYLTIQELDLN EFSLDIQDDLIDTFDFNIMELTSQIQKHRVVWAILKKNGHLDEYQIPPENLQSFIKEMEY NYNVNNNPYHNYDHGVTVMQTAYYFCLELAFTSNASIIDNFNRFILMISSFGHDVGHTGK TNVFEINSLSDLAMRYHDKSVLEQHHAALTIQILKNPQSNILVNLNQQEFRNFRKGLIAN ILSTDMSEHFTLLKDFENRPKDFNDCKILSGYIMHTSDFGGAGKKTNLSIQWSSRVNQEF SIQYKLEGELGYPQQPYMKDLHIPHVLAKSEIGFLKVIVRPCYALLSEFLEDKLKHCIAN IDDTIQHWEKIVKKGEEQQQ >CAK61627 pep:novel supercontig:GCA_000165425.1:CT868015:18191:18560:1 gene:GSPATT00031859001 transcript:CAK61627 MSENFVEKYPDRVQFLMITSPILLQISLRSRLRFQDQTTIKKYLVSKSDHFYHFLQVIRE TLHLQKQESLYLFINNSGLIKAESQVDEIYQKFKSSDGFLRINLTEYPSFGNE >CAK61628 pep:novel supercontig:GCA_000165425.1:CT868015:18947:19493:1 gene:GSPATT00031860001 transcript:CAK61628 MNQSELSSDDLDQLFKGIQSKLEGKEDIMDSLIPKFQEKYKFFQIQESSKKLLGRFQLRL SELMEKCKNQNQFNYLVGIQQKLLTFNIIQILDQINNSGIENKAKDIVQQFRQPTNWQQN NKINKSLFQFYEDQFWRLYGILLLNEYLYIDFKRKHRKYDITISQSKIMLNDY >CAK61629 pep:novel supercontig:GCA_000165425.1:CT868015:19583:20137:1 gene:GSPATT00031861001 transcript:CAK61629 MNFSQINYTMETPYQNKNIRMFGTLTQNPKFNRFNSTSPRKYLKTNNFKNDWSLENESQA LESLDRRPRIYSQNISNIFSNQTVREKTQHQSISQKNNLNGQGSQNQTPQGKKKISSIDL NCKLNLNIRILQSICDVFIISFTQKKIQETKSKLESELDFLLITVSITKNYKQSICNYEK HFVQ >CAK61630 pep:novel supercontig:GCA_000165425.1:CT868015:20368:20730:1 gene:GSPATT00031862001 transcript:CAK61630 MEQQYILCPSCKISVGFLSCCTCHKKFELVLCNGLQVNCPLCIDCKLDTFYQSDQDVQQH QQCHRNSKRMLYHCECCNGYFCKCVERQLKRQVTLNPCPFSKNR >CAK61631 pep:novel supercontig:GCA_000165425.1:CT868015:21080:22769:-1 gene:GSPATT00031863001 transcript:CAK61631 MERSVLVEMQLKEALEREANLKKLNESLMKAMGDISSHDRGKEIQLLNQLHEQEISKLKS VLNEKITILEYEFRNKCKEFSNLEKNYNQLNLDYEELEKKEQKCYKCADFQLQITQILKQ NEILKEGLAREFSEALNNQKSILEYDSNCLKLKLKQTTFELDQELREKEKLQKTIQELGY SHEQAQRSLLQQIADLNRVHNDTILQNNQYQISCQAREQSQAQKICQLEQDKIILEKHLQ EQKDQNAKLEGLIKQQESLLREKENKLIEQRNELSKKLINEKKIAEQCQAVALKLKNEFQ RKQSILIEESLKKEQQLKSVQTQLTRQKSKNKYYENALSQVNLLEIKNSTPKQIKHKKNN FSNDFHTQHQPGHTKNALSQGHVNKIKILPSSIQKSEADSIHFNLTDMLNSTRNPDQQNL SCRQSNEDIGSHIEQFNCAAKFVFIITDSQVQTSDDSVIPIQQLTDRQLSPRTRIQTVNP KGPPQRIRQIHADLYKENIVVRPKA >CAK61632 pep:novel supercontig:GCA_000165425.1:CT868015:22826:25442:1 gene:GSPATT00031864001 transcript:CAK61632 MNFNTQRQISIEDLIKLGQKYLEMSDQDLKQKMTQAKTGNPNDNNLKARIQKIINESKIE GNKSKIEFYLQQVKLSLNQKQRDEIKIKPQAQDLQYNYDINAQNHKLQQQNQALARTKDS QLREQKNLRQEGDSQSNLKMEMHSVIYEEIRRPTSTIEMQINHSQENKISIQSQQQSSKL IQHELAKRRAINIENNTVHNQDKIQPPDDNQSDVKHKYEPPNFQQISMGTDQRNRYNYSP REKYRTDRQIGNLPKNQQKDRSPKVKQGNSDNKTNINDLTPSEGKRDSNQQNLKITEDAI QYFYTLINYIKAFIEKGQTNSGSQKEIFFDFSKLDISYEVKSIQWSQEESTSKDIENIQN NQQIQSNQQDQKVFTNKDKDVNILNDKQIKKDIQQNQQDNKVETKKELNLNLNYEQTDEV IQSKQKEKQVQTNTDTDFSILISENKGKIQLIQQDDLNVNIQYNELTDEVRQSKLQENDV STIMGQDLNLINEQLGKGIKSNQQEIDAQSNIDQLSILFSQITDDGTNTSEQNQKVKTKM EQELTVFTIENIYDGTQIDKQIQEAQKNRDQELFKFISEKNDMIILSRQQDKEVLRIMFQ DLKLLFYELFRKEKESNQQDKEVQTNNDQDQNLIICGQKDKEIHQNQQDKEVQTNKDQDL NLLINEQKDKEIHQNQQDKEVQTNKDQDLNLLINEQKDKEIHQNQQDKEVQTNKDQDLNL LINEQKDKEIHQNQQDKEVQTNKDQDLNLLINEQKDKEIHQNQQDKEVQTNKDQDLNLLI NEQKDKEIHQNQQDKEVQTNKDQDLNLLINEQKDKEIHQNQQDKEVQTNIDQDFSIFKYE QIDKDIQSIISNNELHTILQTS >CAK61633 pep:novel supercontig:GCA_000165425.1:CT868015:26417:27741:1 gene:GSPATT00031865001 transcript:CAK61633 MDTPIGKDLTNQVIGKVYKLIKRVGSGAFGEIYLVVKGKEEYAMKLERSDTKHPQIFFEA KLYNYLQGSDPRIPRIYAQGQDGDYNYIVMDLLGQSLEELFSKNNKRLSLKTVLMLGDQM IQRIEYIHTKQFLHRDIKPDNFLIGLGKKATRVYILDFGLAKRYLTKEGHIPYREGKSLT GTARYASVNTHLGLEQSRRDDLESLGYVLMYLLRGQLPWQNMKAINQKDKYQRIMEKKLE TSPDALCKGFPIEMSQYLNYCKNLKFEDKPDYNYLRGLFKDAFKKIGFEWDYKFEWIKDE SIVKTQQDIQSENKLMIMTQPLQQQQQVVRQNGIESDKKIGNNSHHLNINSQQQSGQRRP QQQTNKASQQLSVEKKRTTSQTKQFIQSKELVKPVTQVLAPKIVTTKEPPRKY >CAK61634 pep:novel supercontig:GCA_000165425.1:CT868015:27998:28324:-1 gene:GSPATT00031866001 transcript:CAK61634 MNHQATKQDFYELEVQITYQIKHFAYCYILISENLNISIYQFKHVNSFYRLDYCERQIKV SQFDLILKQKYKCEVKLSEDSHYYAFEIRVFNSVQHKNQNYYLQKDTV >CAK61635 pep:novel supercontig:GCA_000165425.1:CT868015:28536:29918:1 gene:GSPATT00031867001 transcript:CAK61635 MITNHPAYPKKEIDKYYLQTKLPEYQTVPINEHQKKTHDYYNDNTHIWNNPHQAKSNAKV FKQLKEQHDQGQKRIKKLQEVEQKEKQELQRNKIIYYNMAREEDLMRLQQRKLERGSLQN LSSQRNDVQVDSIAVKPILSRTALLGRPDHLWNKLNQQSQKYVNLLINPKILQMEEQGVK AISEKISKDLEIEQIKEELRLQNMEHIKQQSTLRRMTNLEMEQRSGILPSRLSLQRVATA QTNCRQPKDVIESTLQQLEHQQQSQTIQQLQNQIINSAQYNQTSRPYTVGSDFNSISFNA GQTAKIEQRNNPNQNQTTPIFLVNGQKSKSVSTLSHKHPLDRTEFKGLLLAQAVEQAESN FSKTLKANSSLLKETSIHLTRTKQSMIPKKRIQIKCNSHFPNEQNKNDQNDLQIVNSMLD SFERKLYRTNYLDDENL >CAK61636 pep:novel supercontig:GCA_000165425.1:CT868015:29936:30955:-1 gene:GSPATT00031868001 transcript:CAK61636 MSITASTCQQISQSPLNLSTAKQLWSFPKSSRFGKLENPNNCAVAFYDLPDQKQKRAAGV GYSSKYDFTKNGPKTPAPDTYKIVGEIDFNKTKNRGYPFGVSRDKMWQTGIMGGLNKVTP GPGKYESQSTLSQTRYTMRPKNQQDIMILTKNVPGPGAYNSVQGIDSKGKYPISKFSNSC ATLFNPPRSRRFDNKQYSSDVPGPGNYKLDNIGIQKTGFYPISNLHSSKCRSFPHDIRKT YSVSSMQTPGPGQYRLPSDFGYYESKSKSISRS >CAK61637 pep:novel supercontig:GCA_000165425.1:CT868015:31022:33201:-1 gene:GSPATT00031869001 transcript:CAK61637 MEFIKEGLNAKQQKHGLGVYLWDVGSAYYGEWKNDLIDGQGILFLGGQIIIQGGFKRGVA HGYIVIKVGTRVFYSQFDKGEAMFIQEGERKITIQSSSAQITHDCTQEADRILQGISKLQ QGDLQIQLFNHCIYYGNVGKANLPNGLGIEISNLFYQCGQFVEGKLNGLGRLDQQDEIYQ GQFQQGVYHGNGLMIFQDQRIQWVKGKYNQGQLVEIKSSGYLDQMETPQELVFNFSKKAN QQYIITPISKEIILGYIRLFEVMQFVRLRVNTLTEIKSQQYPSLRKYSTKTNTLSKSVEE IRKLQSQFNTRNLDQQHQIYEFILDQHDENQTQRKTDTQQSRTKTQPTEDFFENKISFGL LEIPSLKNSRKTAAFQEEQQQYMKRNSDYNQKRSLSQNEVKSTQQQQQQQQQQQQYQQQQ QQQQQQTNDRMLTLKNILEAQKQKYHSDSKQKKTVFADIQSTTAQRTKSIIQQNNKNLQC LSEVVQKELNRSPPSEERRSTIKPIYVQNEENEQQQLSSQKTLPSKFNVDYNTAYNDHKN KIHSLLNKFNSIKIKPNIRQESQNQGFSTKSLSSKQSIQKVQDGNDVPLGNIQNYISLVS HQNNVIEKNLQTSFEKQQQKKMDDSNYHSCNGNCTQIQSEDPNTKYIQEIRQELAEQKQM LTQLFQSLQQKQPQVNKQYVKRLPNTPQRNIQMQQQPKQVYVYPTFTLVKSVSPVRL >CAK61638 pep:novel supercontig:GCA_000165425.1:CT868015:33404:34452:1 gene:GSPATT00031870001 transcript:CAK61638 MQVVAKIPVEINKTLDENYKVIKDQCKNEMLNQMINSLLDQKQAPHIRLQFLYNIRQQDQ NIQLSDLCINQLELNIVNNIKYYGQSLEQILRYTDLLNQNKIEFSCEFMRPIPITFNSQS FDYIQLDLTHQTIWDYSITPLMRFRHILYQAQCTKLQQGDHQLILSLFKPEYKIDWNQFK LLVEYNPQLVSDLITKLYQLGVNANVYLDCLIQIKISIQTLELVNQLSKSISLPDQFFNM FIRKCIVNCEEYKIPQQLTRQVRLVSVFIRTLIKQKTFDPKKIYVELQGFCLEFSSILEA TQLFKTIKNAVQEQ >CAK61639 pep:novel supercontig:GCA_000165425.1:CT868015:34667:35773:-1 gene:GSPATT00031871001 transcript:CAK61639 MLQEQQEICPIEQFNQFYLARYFKGLQNSFAELIEVAKLNSCNHTIDLRPYKSPTLHSIL QKVEQLEQNENEIPDQKPSDNLELKKNQLLEYQNHAELITTNFYTQSVIAAMQVRKVKNE AINCAYIHALQGFPSLKQEQDKLKKCKLQSFQLAQEQEFRFLKSIQPNVYQLHKIKQISN HVQDRFMIHFTIYHPFTNKKMQIIIATNDATLESVIQEIDCPVESTEKMFYQDELQIFDP PSISIGKKQDVIGQLKFVLCKQYTYTHLNQCKHRIYVNEIRSLTQDEELNSPYARTIFKA PLKIRGCDGCGRSAQLFRISDTLIPGSSPVFLCKFCNDNLHSNDQEKGLQYFCD >CAK61640 pep:novel supercontig:GCA_000165425.1:CT868015:35800:39729:1 gene:GSPATT00031872001 transcript:CAK61640 MELNNSISRNSRTSRQSLAEVLQAHEEQQELELKSKLKKAQTLSQKKIRQQQIQTLKEQS LREILGFFTNQIDVKRFLKNKKKLLSCDDTEESEKYQDEFLNPNEFEEMKHIYGDCNIGD LVIVFPNPDDEDAPVGEVTFLEAEKVFDKFITVITDEVSKSYLKKERDSFLLSFLTLGDY VKPQKKTKKQEDKKQDDKKQNKDNNENQNQQSDKKDGHKEQKENKEKKNQNDKHQNQSED AKLLPNQKDQPSRINSQQSQHTESKAQEETSYEKYQKFMKLYEVQLKQEEEQYQEQRNVA KQIQLEQFSEVKFSQQFYRHWQKQKKQEDTIIDPLKELLKIDLNNIKQFKNVSKQLSKGG YLVKRMNDEVDYDWICQNTPPRDFLTLVRITVINKLCRNAFVHCRQFVSTTGKQIFVVVK SHQQVITRQAQKMKITKQIEMGFADLFSLEPVDNEFRPLRLKSYVRIIDDDLRNILAQEE YGQTEPEFDYLNCQDVENYCLKLYIKVRQWKGKDLKYNVVKKQREVDKILKEVVTDLNID QSHEKVELIDDIPITDEEWQVYYLYLESILCFGKKIQWAKEQKMKEVIENIAFLYKLVFL KALGDANQIWYAENQSFWREFNGEKQIIFNLWGRLNITPVAPYTEYFKSSGSAGSSLWRK YEINENKHRSEFINMEKIKITNSIVLKHINILKMLQMQYLFAYFPVHDLHQLKGQGELKK QFFEPLKKNGFIVEKEASEGEKYLRDLFKELADEAESTDFDSESLEKESGFNPRVPWFVP IDSIRDYFGEKIALYFDFLSYYAKQLWYMAVISIITQGIMSSSSLELRQTAIIGFSIIII IWSTFFMEFWKREQVYFSVRFGQQNFEADEAERPAFEGDFIRSVLNDDLNEEFYSPLKRK MKQLLALSISAIIIGCVIGCVIGINILKNTLIEQKLDQTLSNTIPSILQAVSINFFNFIY NKVGQTFNVLENHKILSTYENSLVAKFTIFRFVNNFIQFFITSFLSSYFVQLKLCQVNLE IQNDCFQILSNQMTTIFLTNIISLQIPKLVAPHIKAFITNLLTKKDEKLVEHPFNLIDEE IERQLKLDPYQTNEEVDGSVNDYMELAIQFSYLSLFGLAFPACYILAFVQNVVKLQVDKF NFFNYSRRPFPQGASSIGNWLIIFEMISFLAIFTNAGLIVFTSEIIKENQVQVFTTLLIV FLTLKYIIRFLVPDEPAEALVLNRRHEFVVEKAVKGFQTESNARYLIQKINRSIGGPKEV ISSEEED >CAK61641 pep:novel supercontig:GCA_000165425.1:CT868015:39752:43678:1 gene:GSPATT00031873001 transcript:CAK61641 MSTQQKSQSAKQIQVKSKAQNKQSLNSKKLKQQKIQNQKEESLREILGFFTNQVDAKKFL KKKTAKDLVDKDINDKEYKEEKIQDEFLNPNEFAEVKGTYDDYNIGDLAVIFQNPDSDNC ENRPVSFKDAVKIYDEMLTVMTDEVSKSQMKKERCSFLLAFLTLDDYEDKKDEGNQKKKK KKNLKKEDKQKTIKSLQTATQFGEEKEQLQKKQEKTSYDRYLDFLRMYKKQEQDQKLQED EQKKGGEVIQQKKQAYLDEIGIEPDKMEVNPNMVLDPIKELQKVDISDTSQFKKVKKKWC QGQYLKKTKIDEFQEKYEWTCQNTPPKDFLTLIRFTIMAKLCRNGFLHCRQFINGTGELI FLILKSSKEVVKRSAQNMKVTKQIELGFADLFSLEPVDESFRPLRLKNYIRQYANNEEIK KKLQEILDSRKEAQGKEDEFKKKQDQQDKKDNKDLNTDPNQALVDQLQHFKKMQDFKEKK YVDQEEIKIEENIQQQNNQTIGNYCDSLYPIICQWDDILLQVYTILKQQEVDKIMKIIAK ELNIDQSHEKVELINDKDITRKEWEIYYIYMEILLHFGQKIQNLKKKGNSQIQENQAFLY KLIFRKALGDANELWYKNHPSVMSEITQNKSILLNLWGRLNVDPVAPYTEFFQPNNEVGN SMWRKYEVNEKGLRSEFINMEKIKITHAIVLKNINILKMIKELIVTAYFPIHDHYQLQAI PKSPFFEKLIEGEFMQPKEDTEHEYELKNLFNELKDEAEPTDFDSDSLEESSAFNFRIPW HVSINSMRDYFGEKIALYFSFLSYFTKQLWYMAIIGIIAQGLISASTEEAKSTMIILFSL AIIIWSTFFIEFWKREQILFSVQFGQQNFEEDEAERPAFQGKYIRSITNDDLNEEFYSPF LRKMKQLFSLAVSFLIIGCVIGSVLGIFFFKNLMLQQKADPFYAQNVPALLNFIQINFFN FVYQKLSDIFNMYENHKILSSYENSLIAKIFIFMFVNNFNSFFIISFLSGYFSQLQLCKV SEEVQNDCFQVLSNQMTVIFLSNIGKNIPELATPYIKAFITKQMKSKTTKEVEHAFKLID SAIDDQMELEPYQTNEEVDGTVNDYMELVIQFSYLALFGLAFPSCYILAFVSNIIEIQVD KFKLIRVSRRPFPQGAATIGNWLIILEVITFFGIFSNSGLIVYTSQTIQTNQIVIFSVLL VVFLALKYFIRFLVPDEPESATILNKRHQYVVDKVVKGFAQTGQKSYTPAKINLKIGGVI YSQQVSQIFNQDESSNRNEEIAE >CAK61642 pep:novel supercontig:GCA_000165425.1:CT868015:43946:44155:-1 gene:GSPATT00031874001 transcript:CAK61642 MRELEYRAYEVYSGNILVDYWSNKQNDHSYPKIEMKKINKKMSKLQIKGLKRKKKMSDQI IEQITDLCI >CAK61643 pep:novel supercontig:GCA_000165425.1:CT868015:44191:46482:1 gene:GSPATT00031875001 transcript:CAK61643 MYQCQKVFQNEEEAKAAANLTIMEILGNPSYQEDEKFDKLLNSFIEQQLELEDKIQKMIK SQIDALNKTKTFMDHCFNNVEKCEQQIEILNQEFDNDAQFHKELVNYEKIFFYRKNIGLM LDQMKYIFRVQEEIDKMNSTFQSGNCDYENLHYKLIALVDIRDNIIEAVNTSPQSKQDLS VILNEFKCLSEFENKFYDKIYEIFLTTVEASIKKPQQLIKALQIIETADKSRELRKKELI YKKRAIVTIQQGIDEKFNQKLKDAKDAVQILEQSKFSSADLILAFDHTVKCFPKHYDIFK IIEDQYKVNVEKRILPFLEDEQKVTESFGTLINLLSWVDSYEQLLSRVGEQSESYVALRC KVKSYMPIFQDHVNNLIIDYSEKAIRKDKSEQQTLENITKLIKTKQDLLTYFPEDIFHFI NQQLDILGPNLKGEIFIEFIRSVCGTLSEVLKKECRDFINELPSSEQEGEVSPIYLLVLQ TNNYYKCMTYSNETKEYCLKFCNQLISERVQQIFYQDLTGGFNEIINLLLEKSCKLVFNE INDQIIPFLFSPKWQNENLIDQALFTIKDYLKDIQLLMLNQIHFNKLVKLIFKQLITTYE EQLLFTVQFIAGIPKGYFPKLLTNLSFVNEQDKDKKKKEKDKKAAIQMILSNKELVVPQM QRDKEVIMNSIEQDYITQVGKTSAQQFDKILTTLIKSINNPKSEIDGLLQLYPQSFESYA VYILEVVFWMREDCDSKYKQQKMEILNNLINKKQ >CAK61644 pep:novel supercontig:GCA_000165425.1:CT868015:46532:48524:-1 gene:GSPATT00031876001 transcript:CAK61644 MLSYQNVAQNEYQKEKTIPSPNPLSRPKDNNTNQQPTPVPQPFNTLLPTNLLHPQTIEND KQSQVFPSHLELNPTQPLRNNHISQITISTKSIPKSRHFWKSNNHSGKRVKTQSNAIILP RSQLRKLQNENSQLKKVIDQLQSELQSVKNQKSIEQDLKAKFDYQEEMILKLKSEVRRKQ EALQENQYKLGDLDRIQKKLEDYNFLTSKQNELNKQIKDLQDQVSDQKRQLVYLNSVEHE NTNLKGFIETYKQQLREKDLKIEQQREQQEQLYTDNKKMKATLDMLNFELKKQQENSKDQ SHRATIQITELQGQVNSLQKSLANQTHQFELQKQQVKQLENKEKELYSTKNQNLFKIQTN RIYYVTYCSIIQLEQQDIEKLSMTLKNGKQEFLNQQQKLANAELEIKKQSDQLQQLQKYK DQLVHQCQFLDQELKKKQGNLDQSIALAQHKQIEMKTIQDQQQNQLQFLEGELQKRDSII FTLDQQNLALKSQLEQYSQADSVNKNELNSNFAKMREMHNDLILYEKQVNSLNMENHQIK LQSQMLQDRNNQLEFEVTKLREQSIQQDNRVRNLENELHSQSFNQKLNTVRMPYTSDQLF PNQQQFSSSNIPMGSFNQQFNLYK >CAK61645 pep:novel supercontig:GCA_000165425.1:CT868015:48608:62520:1 gene:GSPATT00031877001 transcript:CAK61645 MSQIDPAYFEKLKEQLNNLNNNNESFTERNIFEIRERLSRISEEVHQERNRDTNHQVLQN NQKIFVDNCLNFIVYVLQHVEPQTTRNTKFLIRAAILELLQKKILLQHMTYQVVEQMTAV LEKDNENNAAVAIRIINDLLKSNQQQSHQYQRSNTLFSDALLLRLYSFFEQRIEFLVKFN NELKKSQYKKLLGDNQSNPFQDQNYVLQSEAEDKKEKDIRLNVYSLSFVTNIPPFFIYTI FVLDSIVKDETKTQMREILKRALKTMNQVINETNFQDLLQKVPRNIVQELFNAYSKFLQL LALTIKRDENQLQPQSRIIKDISDTIKLDSVVATIIKTLKHCPVDIMHLRIDISNRLKQS IIQKRDPIQQNDMNDKINEINANVAELIDEETIVGKNLKIPPYQKTSIYQNWLDIVKHVV QSYLPKLEQTQSQQPPNNLEKMQTDFINNQTYMEKIFNTIFKVLFDSSLQLSIHEKALDL IKVFLELFSRQGTYFIDKILLQYYIDQPQQQQQSNDSIFKEVTTHSPKYKLLDRLFQMIG MKLAQIRKQIQQIKEMLNRTQRWGIIKKTTPQTNVSSMPCNNEPLSERYISQLEQYIKEN EIDIDQNLITQQDQAATQVYTYDDEEEYQVNSISINSYSQFIEFAKEVKSKIFDQLNEIL QKLLHFVTTTNKDIVMKYKNRPNFQISQRLAPLAQASNENKPYLSNLQCHYMSKIMKNGL MIFDELHDHQRNHFEILDYKKKTIPLFMQFFIQFQDPINFKNIFEPNSKLLLKISVNLIK TCPETHCLPLLLQDHLQILSHPENQAQPKLSVKYYIEFLLNLYLRELQTTPELPSRFGYC RGGQLIQMSNQKQQNVTQKDQDEYISLIQKLLRIPIRLFPRVKCQQNEEPIVKPLVKQLI LFFVKKSHETYYPIDFLGLLLSLVKRIQNNDQGEGLSKYFQQLCDKYDRNDKQQILQRQQ YELYHKLNLSGVNIVLNMFELFQTGIVEIQDIVAEVIANFPLQKTIQINLAKQYPVFAQC LFRALHNISTIENQVIQKTLMLLENIVTNLSMEEMREFFGDLLQPFIEKLLTFPSEYKMA DIYSKQVNLFLDPSFKSLKILSKLGALVRKSEWPIEIKRTHTDNPFIRNQYLEVQIEGLQ SNLKINLSETVRCAVEILQNFLEQQLATYLFKQSSIQHSYSIVKMVLLGYYQMPIQFDHD LYNYQIPEGMALDMKLQSRQCDQDLLMYQDEELISSVTKVFIGLSNFHNIIQTLTTATFH KIKEDVENVVTTLSEMFFIQLADDHCEQIQQLKQTKPDLNSLYAMTEDIVAKYLREKPQS IKWLSHLFKGLNKRYMLTAEENMNFKDFYIQQHEFIWIKLLMGNCLKKYKRILTNHMKNN NENMAWAYNVYYTVIIRLFEEFVYEKFGKQTQLQKEDDRRRKIQIVQSYGGTMIPSEGLN CRSISIELLTEIQNFRPKFEDYQKSNQLIGLPYIPDQRIVECSFILLRQLPETIRVVSQQ LTRNLLFQTLNQLIENLNFDHSKQPHSHQSTLMLSNKLREFVKVITQNCLTFKKSLLQIC TELLDHIIANYYQGNAGPLFYMNIGEGMNSRFEEQIKPIPLDEITGPNQSQLFWVNIDYS DIPTSPYTQNTVLRELVLIIKQLCEEQKMSDMTAQRYANGFRILAYLLQKQGKHKSVSYN LFKVLQIVENEPSLIPCIDEFVQQSFKIIQQNEETYYKQSKLILTNEEQQQQSAQINVSH GLDDLDYKINIEDIDFEIKWQSYMVVAVYGFLKSIATINYIYLIQKHLQKNIDKNQTFKP AILAMKFKTVEILYEGLLRVESSIRQAGYRYLQDLLQQEGISNDKTLFENDDRLKKVMKP LLTCVQSDIFHYVPSFLKSLKLILKIFSKVFHKALSDKLQTHLTRIVQDNIQQPQTQISQ FCTTMEWYYQQYQSQESLNFQMGIISGLLKLFQHLTSQLTNPSNGTNNINIVQKIITDTN NLRRNYVFKVSQMQLNQSIDKPLVKFLNTLAANYFDVFQSSYNIGQIQQFQKQLEGVSQL LANQTPIKGLWEQLRERLQYIKTVRQVISEPTAFPLREKLCREKSQLALKMERFNKIIDD LSSALEKGLLNIQTALSPELQQSFHQSYIQKLINVISEIKIEIVQFGFQLCKRNPSCLKK NNHFLENCILNNIRDLLEKQKSNNQNKKLQQSQLQQAYNQLILSNQQINSLLNRHCSMLK QFISQNEDSRAAIQGMLRLILYSTQDKVKKWVMNVAMNKSISLRKRIIEIWMDNFKFSED DQINQVQMITGYHVIYPILFHSNQRYDDKQRNDLSQISQLQLFQRMKEINDDFFKYYGKI LSDIEHHCPMNFTYKLPNNNLTAKLSDVNHYYKSSVIDMLQISSYLLCFQEQQLPSELKM SFLQFGYDMINKSDKLVAFHANLYFSKFLKKIGLIQNDPISVNKRYLKIYNKALKQLDES GQSEQELYSLCKKIFSVVLPWLDQVEVQDQKDWIQATQQLLKQESSNLDFEQAQKVSRFW SMFIKNHKIFHKHHESFTIHIINSMQQIGFFNQNQSPQVPIIINSYQNYRRIALDMAFLQ VEWKTKLIKNDLQQNNAKKFRDSFYQSEAQNHDESLSSEIYQSFFIKQSLKAHNIEDAEL QKRALYLLKKILIIAPLTNYKMNMETVKKNIANLMNQIVEPQQGNQNMRQIPQQPTAYFR YFLIVLHILTIIAEFQPSNKINQLYQPFFDIIFHMNLLPDQVQQQMAPRPNAGRIPPTQP RQPPTQSRIQYAQPPQDRGQQHSNALTQDHAPLVFSIFNIFRKLLRNAKENQNEESDKFQ NQLSTWVKDAIQQHPQYKRSSQQQMSQFMGSRIQTQVLKVNILSIFLLKLFFNNDITYVR PLLPSLKRIAEMLIEYLKQKDKGDQYLDNMRKYAIPKKQFNIRENEQWENDSQKQYTHTD LSQYIMDFGLMTDDFPFGVKKSDEMLYFPEDFPENQKIKFHLYRSALQKTLKMIAYNFDE IKDQKEQIEYLQMLIWIIESVPDYELKLECISIMRMLFINGISNREGYLQAISVYLSPVR SFQMRQELKKMEENLQFKPSKLSYEQQLSFFFDLNKFQSIFDKKIDQRSSDSYDNQHGKI QDLKVFKLYFIFLCELLLVYPFKKQQGSINSGFYLLKNIFDKGNGSLREFRRILQIISKL STCLDYLQFFYRMILDIYGYTYDRFLQFVLGDPSSKQQEQPLQTEGEEIMLMAVKFMMLG RGHMLSPKAFQPTQPNQIVVKVVPKDEDSMMREQVLNKYLAIYYRKKYWQKLYNSSIKLF TSEYLPSIVIYNLSYIPIQDQPQQPLRECIIPSAAIELMGTAANVSSRLLKPIFNQVVLN DIKTISQITDYLQQQSISNGTLQTISNFLVQQILSQMTNIYDIMENQQTQLNFNNLIMCL RQKNLLSLAQYLLEYIYQYLNEDSSYYSQLNEDILVQLQGVYQEQWNKQCLLGCKALTAT QPWVRQLVLINQLREPSQSYQLILSNQESVDQFWLQLEQETNEAQGIQKEYDQQIIHQLF TESLQQLNQWNTLKQVEMSRVQAVQNKIIMNFRERQRDATDQGWKDLNQLSEVKPNIQQN DLYCYNTAYFKLLDFVRDEASSNQHGRGGNHVLQSIEQNLKYAQIINCYNFIKTLPKLTQ SYDQTMASPQLWGNKFLNFVSQFQLYTELEESLRQYAPTQPAFPAQAQNAYDQLLDLLSN SYQSSLLCKKYFFERQPTNIDQQEFTFDLISQRMVQLQMCLQRFNGQLIQQTNNQQLQSN TTLDQKSYQLAALDYKTLLYPMRYYQQNGIFELFDEQSILKEVPKVLQVYAEKVYQLEKI KFKTVKFQDVDNNQQSLTEMLNYFTSLQLGTYSAEEFKNYMISKIRRYKMINQFYKLLRL DQSTESSNGMQIEEQKLEVMVSQTNEFFIQNQVNYLKFWKEAHKVYQLAHKKYSNNIKFA HHYIQITPLSMQYKPQKFPITGMYMFHILNITNPTDSIVTDAFSQIIGLIPLHLLQRFLT QLVSTYLQTPCEQTRKDCLDAINKLCTFNPLNSLQLIQYIKSLGQCTDDRSSQLVNFIQS SSKLNQKLASIYSLRYLFNSVFDQQVWDNVEEIIYQCGKILDSKHFEINASNINDRCQYI LNIIQKNPQIKDMIKQYWQFNDLNKLLKSDQLKTIQTIYLITLKCIYVNLFGIKMNLEED FPLQLSNSMNSLLPKQIQIDQLYSINDEFQMNQLPMFGLDGQIKRTQAFETIYITNMFPT IHLMFLRRKRFIRQLALLGNDEKKYFFLLKTKKIENKKFIQSILDEHISTQFVKITNILN QNYKETKIRNVKHMVSDKYLLEYEKQYYQIEPYQEETYNLSNILDTILQIHYHANPNSDP STKIPYYSSQLEKDHLKKDDLTKHILRFSKTIETFVQIRKVFVVNIGVQFALSFTMASSQ SPKQLENLQINLSNGNFYQKKYLFKVNNQRLALYDPFAIRYSRNIEHLVGEVNLHAYLIP TFTATIIGILNIDLHEYLNVVFWQLGCKDSIEPFMKKLRDIVYENGVIDSKCDRLIAISR NLYSGQEKLKLWAKRWF >CAK61646 pep:novel supercontig:GCA_000165425.1:CT868015:62575:64372:1 gene:GSPATT00031878001 transcript:CAK61646 MSQKFTKEQQLLIEEIINKGYNQEDFEYFVLQKEPEKGHDLSEWTYGELLSIIQQYQLES IPYNINQEQDQLQVQTPLIEPESPEIIGSFSFIEKEVYAQNQKQEAIKIVHLHLLYNQND KMHILPYEKTIKCKQLYFFIDENVELQFEISAQFSEYYFRPIEERGGILDFFSKQIVFPI QVNPMEWKIKRTLQDFAQLRNVLCAAFPEFILPSFPYKDIDDYDIIMKEQQNIIKVLTIF LQIYNKKPIIRTIAPSLHFLNETNPKQFQSKFYNQQTFIKQYNLNQKQSKTGTLSVQFNQ NQYQKYKDYYSYLNSEQANYDIIQKHLEQFAEFTYKGQQNLGFSIEMIQQLCVSLPQYFE AQEFGKALSMIKSHFQTQKDFILRSTKQIMDVLLITLVKFKNSRQQLFELKSNLNETFQN FSNEFFLLEKRKEELFTLKDLQKWGLNSEIQSKIDINRCFTDIKYAKEIMLPKETFYVNE KRDQYVYMLNHFNEQFDQQFMIETDKLLNEVRFYLDNMRYYTNNQVFCDCETQLQNWDFL IQEYEVYKFQYSQKLSVNG >CAK61647 pep:novel supercontig:GCA_000165425.1:CT868015:64404:69371:-1 gene:GSPATT00031879001 transcript:CAK61647 MGNFHCLCAGVNENESLWQMKRIIVTDTYIYYFPYTNYTIKTPKINEDWTNYKDLPSTIP QIQERMQYIKQNKLDPDSVTAPPLQVQQVSDKQVFMIPIEQLVNYLSKDGYNTSISSIQG QAICLINKLSKQVQYLIAQNQADNLKFFRSIIVGNAFQKYYTDRNSKKIQLNQNVITWLQ DSVTEVIAKLDCNNENLISTYQILCECNNLVNGLIFRLNLNEHLKSLELLLESIKPDQII NLTLKNCNLKSIMIQEIAPILKKRPYLQQLDFSQNAVDDRGIDSIAMLFEKCLDINYINL SANVLQGKEQYLEIFLLKAGAILNKVSIDLSQNQLNDSSIPIIENRIIKDDNCQIVNINL SYNRYSKDGQMSLFNLFSKKAKPHLVYKIYPLPYTQHVVEQLFNLKKNHHQEIIFEESQE LQGSQARRGHSILKQPQQHIQHHPTLYQKQTIQFNFPPQLPQRHQITFLKKQTKKQLPSN AKVLQEIRNKEEQILQVLQLNNNDPPVEEIYQITEEIKTFQYNISHTIVNQLLQMIWSKL NDAILFRDNYSISFLTFSSFNLGMDITIFKEKYVKLSRKLQLIENRINKLLNFQVEESKI NKELDDLIALCHRLDIRGTPIDFLLQLKLERETIIRRLVYQDYVWQFEYYDDLLNDSTQF IFRDKEYASKQLQQQLPVAPTLLLHPLNTSYYDLTQISIQEYEQYLETLTKIIIYQNSYQ DKTLINTYNILDFDKVENEKILYFARLGQRRAKYIVYNHFENFNQNIWNENSEEHQLNLV RVVVNYYSAQYRKQQLRYYLEKMSHNYTEMKLDEIIEDIDVKQLNCEHRYQISDLKIKHL RITLPEPSNREFIQSKHIFEYPYLNRIQQLDIEDPIYVFAQSELIKQLKHIVSQVVIMGS ENYDNNSVLNSVHLVIDICSNSPCPCLLADDLYIFLIMTQLKVKETSFSKLFSLFLHYLS PSRTLMQPLYDWLISTYQELKFHQLMTAWINNAPMIYWLPSIQEINFRFTKPKIMIHYLH SKILQVEFDGYATVSEVMNQIYEEVSEKDKGGLWLYVRVEFKQEQQQGSGNVLKYLDIPL LGQLGFWEHVSRISQEYNVLSIELRRRIFCMDCVEIQSIQEITNQFINYPYICQHYNSES INKIIIILMCIESINDEQQNTQDINIYNYIQFIPVHLRGQIDIDDLINQHQEMIAQFAYF DQTQLTKLIKIILSFNPCFNGSIYQVNFEKENCEMIVNYHGIQIYQGTSFVQSILYSEIV GISISSAQLRLHSYRQKFNKLIFTFQDDQSLECYQNILSYLVLLSKEKPEYFSLYYEYSK IRYKYNLHLTNSSDQDEIVEQLLSLAQKTGIQNILERYQQIYIQKFLEDSHLSRVAKKQL KLLQIIQSATPFRPMDQIFPFQKECPIIQFLKPKFPQSQDITMYFGKGSRNEIKRLFDPI RELFPSVEYLDDSPNSSRGKSAKESLKFENQLLGSNHLKSQRSKGISSESRKVSLINSRK TIEQIQIKSEEKQEVKSEQQTYRKISNDNMFKSQEIQSEQKASFIYKIQEEEENIKSEYS PSILQQQNLRVSLSLSKTVEHVMFQSHESDLNRLRLEGVLKSQQSAILLQNQQDSRTQSS QQLLDKDNESIFGSVNSIKSKKEQKLHSLSEQFIN >CAK61648 pep:novel supercontig:GCA_000165425.1:CT868015:69713:74688:1 gene:GSPATT00031880001 transcript:CAK61648 MNRQYVLPKYQEILLDYMEKVRKTIPKQYPSFKDFLNKMIDLVKCERLPKLGKHNVVFRL TINMRQPKLTQLALSTLFRLVSNGFIDGRIEDTSQEFYTDEFSELFNDSSYNKGEKRTIM DVLINSVTSCLFDKDEIIIQGLKLLVAFVLNPYCYVTSQNLTRIVRTIIFSYTRSRTQNV DRNTKNMLYQIINYTFQAVEGEVFTIQRNKRRMSIKEDSVQKLTQLVMLQLVDMVCLNKT SLDFSPQSNILNEKKQPAGYFGYCVMCRKSANLYCKDHRVPICSFICKRQHQEYVEQAQS TYSGAVKQFEENLDCALQLYDSLCNLLLNKTTLEQAKNQQIILECLLYILETPDFVLSKN EKFIKTTKERLCNQLLKYCLETEKTLYQFSFRIFQRLVNIMRKSIKYEMAIFINQIYLNI LLSANSNVLHKQTALESLCSILERPKTGLEFYINYDCHTKHECLMSKIINTFYEIIVISI YQKAEYQIQTQQEILLKHLAIKALSYVMDGLNKVFDKFIITPSEEIGSPSMEDQNANLND NTTVMYINPIEIQRQLKQEIMKGCQLFKKNPDKGIKYLLDAQIIQNDAKEIAKFFRENQQ QVSKDAIGAFLGGHQQLNIKVLSEFTDLLKFKDLTVEQALRYYLDQFTLPGEAMQVDRVV QKFSDRYYKENPNSAFKSSGSIYTYCYLLVMLQTDLHNPSVAEKMKLSDFQKLARSINDG DDLPQDYLTQTYNSILKQPLAVREKEKSRVFMKESLTQSIRKKQDLFQREKEALLKQGSE LIKTKQDSHETLYQIINQDMAYLIKPFLECIGKPSFEMFLFVFNNDQMEQASNQCIQGLV LFIKLCSFFSIPLQDYMNTLIKATRLNQGQISNKHINLIKQILQTVPLIGNGLRENGWKS ILKMISRLDEMRMIQQSKDNVDGQTIAILPELLLESDLIDKIFVQSKQLDDEAIQEFINA LCFMSKQEIYQTHPRLFCLQKLVEVCDYNMKRVSFVWTKMWNIVKDHINEVAVKEKKVAM FTVDSLKQLSIKFLQKDELYDFQFQRDVLKPFETIFLQSNLEVKEFILSCINHIVLNHKH NIRSGWRMIFGLIALGLKEDNDKICKIAYQILSQIMEHNLDLLQDVFIDLIQTLKVLAGK NQEDMALASIDFTIKCLGYLSKQAQITPKLNWNEFEEPEATVRNASTAVQLEKIWIPLLG VLSGLAGDKRNKIQAKSMEALFESLQQFGYAFSAEFWKMVFSTVLRPIFDEIQFTFQQNH IVANANNDWFKDSCKKGFSLIINLMKRYFQKLRGLLSEFLKLFENCIQNQNLKLAKYSIL SVKNMTLKIGMMFNEEEWEQIVQFIDRMIRLTTPTKLSSFANQSLTSSRMRNMIEDCFIQ FTSQLLMIQISIEILQHFNQKLNLQQLQTIENTFLYSYQFAVQFNQQIDQRYLIWKQGVL QDMKSLPGLLKQEREAYGCMIIIKKYKLKNHNIFDFDSLITPIDIFIKKHEMIRTNTQFP EEQDQQMQKLRLQEIEKDTQNYRQLLDTYILPTLCELDDEHLKQNNQQLFLILLKALSYS NDSIICKDCVRCQKCLQQEKSNLDQQILQLVQKLYDLK >CAK61649 pep:novel supercontig:GCA_000165425.1:CT868015:75348:76761:-1 gene:GSPATT00031881001 transcript:CAK61649 MRVSILLLAILMVGTLAQDNEVVIQLLGELRQEAVEQLQLLNARFQPIKQAKLDQIAVIQ QAISDQNGECYNRQQDVEAKQTEIDLANEYKNWMKARQESNNNRIGVLSTNVCEKNNNSL NKVKNGRVLLRLIAYLRATLQAQLSTSFAEVKENTISEISHIVTAYKEYRKMNMLQTKQE ELELNGTIEQLIEMLNQMEREIQDDIANGQNGQVQIGVTFSEFKVRIEKENDIFNRQIEV QDDLITHFQNQLTTLYGRVDKCQSRLKEIEYTLLVAQEDLKYDQEWNDEDRLRLEEEIEL FDWLIKHYQAGNLDEADESERRSIANDNGSTDGSANGESGLNGDGRDGVIDYTPLKEASN AWGSEEEGDNHENENDHHEGNDAEKEDRGDVIDYTPYEEKDVDWDSLLQKKQNKNHHQSK KHRTHKSKK >CAK61650 pep:novel supercontig:GCA_000165425.1:CT868015:77547:79320:1 gene:GSPATT00031882001 transcript:CAK61650 MSDFFVPNRSEISQQNQSGIGSLQDVLGASFGRLQQDNQSFGPNGGGSFAHNSGFLVQSE QYRSSVGLEEGAVPIQLYEYQEPQIQKAGFFRSSGDFEMQFEEIGIDRLNIQVKILEDPK EHKSPLQDSAMRNSEPSSQEFNIKIESGEQINSKFKPEIKQLLNQNIIQENKNLFNVNQA KSIYTNKITQLVEQTQKKIDLATKSSPRLSKSQQKLQRKSPIIPRDQYDMSSNEMQSSQM SPDGNMDPVQQKLAKNRESARNSRARKKIYYELLETKVKELQDEVDRLKESNRNQSKYTE VCNKTQEKFQTFLDQQQQLFDKLETCLLKNKDNFEIAMVLDALRYRTNSNSQERNDAARQ YFDSMVEVCLPIQTKYLIYSLEKDKDFFAQQPDDYTDWMKETFKKTQIQPEQILKVKRMK SKLQSVRNNISDSIQKIKDQLKSIQSEANKVDQMWEQLKECLTPVQLGSCILAMRQNSFR QELQTSSLFLQLKNSQMSEEDENFQKPDQSHISNNRKLVKKSMS >CAK61651 pep:novel supercontig:GCA_000165425.1:CT868015:79480:79859:-1 gene:GSPATT00031883001 transcript:CAK61651 MAVRSEKLLKTQGDSDSVIPDSDQEENLRSPFQKGTPKSAMKPPKRLRFSGMNQVCYYQK GSKRDLSPSPTRNTSISTHSILKNKDSPILFREYK >CAK61652 pep:novel supercontig:GCA_000165425.1:CT868015:80650:81077:1 gene:GSPATT00031884001 transcript:CAK61652 MKQRLLIADLSQVELIKEQETIKDSQMEVQESIEIHLGYNISQIDSSKQLLIQSAFILIY YILNSLQSHNQKLCKICLYNISVDKLSCLRGQTLLEMEMFSFEQQAICLYLDWNL >CAK61653 pep:novel supercontig:GCA_000165425.1:CT868015:81426:82493:1 gene:GSPATT00031885001 transcript:CAK61653 MSEQQKYIGSILTRTKALITTNYQKLPLKAPKFVENIVVYADSVSQPFIQKVTDTVDDNI NRSVQIVQSYEKTFNIAEQGQKLKQKGKELTDQLRENSKKALDQGVDLAKNNGATQFVLN TCDNVANRVFNSIEGNVVRMQKAILKESKATNSEQTYNQRAVQLAYNLTELTQGLFTLLK NEIHTAGGQKVQQLKIFVTLNFKQHLNATLFTYKVIVVQPISSLYTTSMKVLKSQLNTLR EKYNNQDLLQYIIEQLTQLKEMIETLKYEEFQTLLFTALKQLNLFTILKSQYQKTIQAYK HSLDEQRQVVVEEEEKEPEQETQETQIKE >CAK61654 pep:novel supercontig:GCA_000165425.1:CT868015:82866:84664:1 gene:GSPATT00031886001 transcript:CAK61654 MNSSSSSQLLQKLQNLYSSNNKISEHSVSPLQPKQFACREFNQLLEKSSPMPYLQVKQLD VEQWTERLLKPSDKASCSSFTQNENVFDIHLQKYSQISSISTSTFPVLVQIEKKVKLLKY AKENDHNTCAAIIAKMKLFCLDNAQTCYDFYRSTDLILLKYMIFLLIQELNQRPKLERQE NSHDQTSQFSSMVKDIVNIVSEIIEEVKSKSFSGSQIDVLNKQLAKIQNKFKPDPNSTQK SFNKSHHHRISSCNFETCKTPISNKKSNKDSYISSDKFKSAQQPNNGSGSQKIIQFQMDE LEQKKQLISKLNEQISKLQNTNQQYQTQLQDLNGQITSIKHELKLKDEEIENLSQSLETL NQNDIIHQEEKEQYNTLFREYEDENAQLKSSLEHFQNTLELCQQQFENQKQETFAYYQAQ CNQIQQNKEKELNHLKHELNENQAIINHLLGDALYFGKQYKSLVDKIDNLNPESIAIDLN QLQKELFYSENALNAKLNAISNFSDNLLFNAGQEYLSQSLPQHQMINPQGIPKSNMQQQN ISKAQKKQFEIMEMLLIQSQVLEKFLI >CAK61655 pep:novel supercontig:GCA_000165425.1:CT868015:84800:87356:1 gene:GSPATT00031887001 transcript:CAK61655 MSSSINHYKYTCASNQNTIIDLETVDVSDIDNYHALEIKNLDYLFVCKKSSKCLYLVSLI NSEEKYYLTTDQEISDFALAYFAQSYYLIIIQNKQDIQFYKIDYQYKFSLNNELKIEGLQ SPKLFCSEQIGAILIDNTQIYLIQLDNKFIKQQLKQVAKQVIISRCLKYIFILTQDSKLQ VLNSKSLQLINEHNLKYTESEPLKFQLLEHNNQIALFTITSQKRIIFWTFDKEDLHTSSL LPNESVKFKFNSSKQHIELFGFQIDSTQNFLFILYQTQDMTVQLYVAQLNQSQIQKREQS KIKHIKKFVKKSSTAALFIQFIIPPEQAERFQNGGNKCQSEKEYIEFQQEFKDFKNQELE FTDHQQPYIFALQSEKKDKIELCWFYSDLVLGAILPEDLLQLYDQNTSKKQGSSIIQQSH LIPPPYIKEEDVQQLNQQQKWEINSNNTTNYNNSSENQFDPVAKANEIIENELIPKVQQC QQQQQLQMISSDVKKDLIEDITFIFDIKDLIEAQVKKELDKQGQNDQQALLKLELQFKQD LCQLEGQMENVVNQNLELYQQTCENFLMDNFNQNYFEQLIDDRIGSHLKLLANQQISQNE CTQLVQNTTNDYLKDQIGQLYQDELPKMSQGVIQIFDKVIQYFNDMQFIDQTKMINFNAF IDNATQQLQTLSKNIAQLAENNRPGSDALQKLDQLILQTEQMAKQYEGAKRGLKLAETHQ QLPQQYQQQQNVSSDDRLQKIEEHILKLRNDLQALTQNQQVQIQPVAGMDQFIKGMAAQL TQLQIKLTNPQMNIQSIQEILNQLILQFQYFILKFGS >CAK61656 pep:novel supercontig:GCA_000165425.1:CT868015:87501:88504:1 gene:GSPATT00031888001 transcript:CAK61656 MINSQTQSSFNNNSSFFEVKQTAQSFNTQLNSLGHSTTFQQKEIKKVPYKNPNIQAFGSK SDAQKQVLTQQQRENSPLKPCTHKGITRMANQQVQNQQATLVRNKNESALANSQPKLTKV PTVKQFSPFQPKHSADQIVSTQQSFKATNIQTSANNKFVQTPKTTRYEPNIITTEREPRF KRFSTKTSEEQINPEQLNSEQALPKSRQFSGNNEKKQISNSTGFNPQIRTEVEDIKTKQD RRQNSTGQQQQQQQENSRRTNSLQKMTIPTVQVVNVNFPNENFSINSRTPDHLLNSLRSQ KPKNFLQRIPQL >CAK61657 pep:novel supercontig:GCA_000165425.1:CT868015:88539:89833:1 gene:GSPATT00031889001 transcript:CAK61657 MIIELEDKLTASIHLSNLQDQINGVLQSDFQRKLFFTICLGHIIHIFDSFHQYCYSLNKQ VNRCHFYCSILQIVLICILYLEMHQNTIVIVVFIIKSLINGYMNQVLQMIRFQLVKISVN VGYLITIVFSLIGIACKTFGFSVFRFICVAEIFLLIIFLKRSSRLPPLMEQCLDNEFDIY VKYIEKCAENKSILSYEEYKNSNEQISIIQTFQVKVHRPTMKKLFTKLSKINEQIYKYFI CQTLQGLLFYSNLTYIKYFNSNNSNIYIDIMLLSIFGAFSKPFYQFMIRLYTQKQVYQIS QSICIISQLLKMSYIYYQSDLVLLIASLLQAVFHYNITTDINSVTWSIIQGLPNDDFRII LSVSTSWGNVYGLQHL >CAK61658 pep:novel supercontig:GCA_000165425.1:CT868015:90668:91036:-1 gene:GSPATT00031890001 transcript:CAK61658 MDSISTCLSLLNLKSNKETKCTKDELNRSIDLYSNSNISMMERQIVKYLHGMGIQTITDP VLKEQQYWSQRRVESNKSLEEFQRLPQLSLNTCVIRLLKSRESSFSKYFHKNESILQASQ TS >CAK61659 pep:novel supercontig:GCA_000165425.1:CT868015:91387:91867:-1 gene:GSPATT00031891001 transcript:CAK61659 MKTNYLRNSFEQYQQQPFYQYSKNQFVNQAQKQSSLINENYQSQLIKLSVQPKQNTQRKA SYQDEEEKKQQMAQAIQDQIQNNQNRDDSIQKPFVDQYSQPLNENVQKDQDIKLNTLMTK FFDVEIPNLNNFSIAYLLEELNK >CAK61660 pep:novel supercontig:GCA_000165425.1:CT868015:91896:93439:-1 gene:GSPATT00031892001 transcript:CAK61660 MEQLNPTLQGQQKCQLKVAEWRYFHEGEVDICKYCPECPQECPNKRAKDQLLLQHPFYNF DLYLIILKFNTLWRLAHLDKKGRIQKCPTLRMLRINTIKISSCLPHKLKGLHRLQRRKPM KLKSSRIKLILRNQPPISIGNGRIDYVEEQTKEIEKWAQKYQNLQQKNQQLQQRNQELEQ IVQLLKTQSQQEIKSTESLPSKRFYQSELGRNENKFESDEKNFISQSKLQSKQDEINQLK LQLGQLKQELLEEKQIHRELLEAEETRVREQERKNFNQYKLETKEQLLLYKNEIQALQDM LENKQMVNKQDNFEEKNRQLNLQILEKNKKIEQLESLIAQKDSQINQLQQQLEKYQKEQV QLIKQQQSQKQLTLPSSSSTCRVISTQHSSLDNNYSSLVNINKTNSIQDQINQTMAQINR SIQQVDQMKQTSSVNQKLYQEQFNYSNIPSSLRSSQMPQMQSNLVIQDKMKILTSQSQQY NSQNYNKYI >CAK61661 pep:novel supercontig:GCA_000165425.1:CT868015:93857:96387:1 gene:GSPATT00031893001 transcript:CAK61661 MEKISVCLRVRPLNQKEINDNEIIAWDGSDSKIQLNKICLKDLIAQKKVVNSLIYEFNHC YGQQADNYYLFSTSVKQVIMQSLDGINGTVIMYGQTGSGKTFTMLGKRNQEMLQNNNSNI QQLIKESFANNQYNDVGILIYSMMELFKQINIDEKRQFEIKCSYYEIYNEFIYDLLREEN ELSEPLIFGTDYRKEFVIKNIKEYNVTSIEDVITCISKGEKNRHYAETILNHQSSRSHTI FKVLISAYSNESEEEENFTFSTEACINFIDLAGSEKISSCPISDDVTRNSNRKDRNKESS AINKSLFFLTRVIALKTQKVPPQHIPYRNSTLTKLLKSSLTGNFKTLILLSINPGLSQYD QTQSTLRFGTSAKLVESKIEQNVNFDASYSNNQQKLNQLIQKNKQNEKTISDQQKIIDSL KQQLQASNYSEILTNLKQSEVQLASKPSVSQFNETDVSLLEKTQELNSQLQQEMDKNILL EKNCTELHKRLQKSNEQILTYFNSHKEMEQQIKELRLQNEKYEKLSQNALNRLSCYEGQP NFKKFSLDELQNLEHQIQQCLQEIKIEKQRRNSFQQLNIDAMPLFTQPTETLRSDRSSAS QDFRRIEEEDSVTKSQKKTPKKDGKLLQPKKQQQSYFKATSNRLSTLSNYENKSPLLKKP KNRDAQNFAKKVQDQKNQKPSQIQNEYRSKSNDSHSKQKGAQQPQQEQSKLKNDIQSLAD RLYSLSEKFQLNRDTKVSQVRKSTNVNRPEIIYETESELLGDSRSTVHKSNRSAIK >CAK61662 pep:novel supercontig:GCA_000165425.1:CT868015:96501:101240:1 gene:GSPATT00031894001 transcript:CAK61662 MQKINDKKQPEAARSSKKRFSISREIANRKMSNSNLFSSEESKIRMLGSRHSVSSIKSKQ KLNLSMNKDLSRGSSSFSSDSESNKEPQEQSIILKDNIGIIQQGQSSFYQVQDKLILPNM SFEQDFINPLDISDGIERSILKIQFIDFEHRWGMKQDINLQKQCGGDQMLMSQASEGLSS HKSLRMSHRSKKGAFQSKLLLIQNKDKQQISDSEDDDYTDDQKVDDKIVKKFEKLYERNP FEDPYYIKLQELHGFERLHYEINAYNDDKRFLKLRKKIVYYSLFIPILCKRIINSVLFKI IMSFLIIFNVTLYIYSQTQQDPLSTKNMEKGIMVCFLIELAIRIIASGVIIPKGAFFKNF QDIFDCILVVVYVLNLNYPDVFIVDISPLRMITLLLYLGDIFQGLGVMLKALKQSFRFLL EALMIVGLFSLFFAITGVFMFQGLFNYRCQFDNGDETDGWIQCNQNSCFEEGMSCQFSSF TPKPPTSFNNVVYSYGQVLRTITMDDWSWVMFFTMRIYHPWTWLYYLFIIFVCGFFGFNL VIAVIKIHYAEAAEENAKEEEMRQIQQKIKENRELPERDIINVFDVAFLRYIKFFPVIQR YRLALKSSYRSIKLEDNLNEKGNSSKTRILSAKQKKNDDYNSQLGFLKRIFQRIQNFTIK NILLPKFYILNEKQNNIHIRKYTEDEIELQILERLKSFQFSQLQSCVNQQIQQSFESEVD VLPTLNLPDCEKNEIEKEILNMRSLKIPVVYPDIKQKKFEQIQHDHKKLSKFPVRGVKRI IINQTEDEQNETNNSLDQNNFIQQKQSITQTQKLKTSQGENNIPFAIKKQNQNYVYIQGY YLNYEGVSTKIKAKIQKNKNSQSSNEFQYRLLRRKDIQQNQISKKTWSGNDVLKLNESRL FNFRDALKRLNFIDIQIWMLGFQGKVETLRKYSYLLITSQISQLFFDLIILINFTFLSLQ GIADSVTISNEEDVSTIFLCIELVIRFFAFSFKDIASSPDYVLQSCIVTLNFIELTMSSI MTNLNEQNLRLIRGTKCLLFYRVLKYNKMAVAIGHIAQRTFRQYIYLTFLFFLVIFVYAM IGMEMYAGYFDQTDTLGQLHSYDNIFKAFMTIFNILTNDDWYGVYVMGGNINYIFAVIYS YSMVILLNYITYGLVLAILLDGFGTINEEMQELEEEKEPEEDKNNDKQEEQNSQITEQQE INDFQLNLRPLISQINISENQQKKSKQNLISNLMKSIIHRDILSQYPNLYDGIQCQQSLY LFEKENIFRIICCRIITSNFFIYFMDLVLYYSIVVFALKTYNDYENDSSVYPEVLQFIAN IFFLFEIILGSIAKGMWMNKGAYITYTWQIVDVIYIVSFFFHFPSSEEKKPIVNFFLYFG YFRVMKLMYRLSWLDTLRLALGRSLADIWNVLITMLSVWIIFGVYGIILYEQQFGFCDDK MQFGISKRECQEQNRTWINYKHNFDNITIAVPTLFVVSTFDGWGEIMQMSENSQNSNIGP EAFNSYIHTYFFFIFFCFIGSNVLFEFIYRSLVCKFEGELEQD >CAK61663 pep:novel supercontig:GCA_000165425.1:CT868015:101242:103002:1 gene:GSPATT00031895001 transcript:CAK61663 MSDITKAQVEFMKISQIIIKDFPLYSSPPTSTIRKFASDLTNNNTVQKVMFCLLLLDLIV LLLFFSDMDDTFFRALNNTHNSLTYIYILWNIFLFLALGVNRFFDNYWRRFYFFLITIAI IDIIADFESDWALAYFRSSPSDSGYQFLRLFFALRCLRIILIFQGLINLQRLMRVMVFAL PFLGKIFSLLIITMYIFALFGCHLYGQLEKGQVMDDQINFQNVAQAMLALFKCASGDDWR TIMTDTMFYNPYCAEDEIYCGSIYNQIYFFLFMLLSNYVLLNLFVLGLVEQFEQFFMLQN SMIQTYVENVDKIKTIWCKYSSETQGQAMHYKFLCRFLMDIGKPLGGGKDENLWDVGKLA SSFKLQCDHFGYIQYNQLMYELFRVCYHVAVFKNGSSSSIKKIKQFNKEMQLRLMYYRRN RFLQRTNISPILHLKANFNILHDYLTVLIMYKAWEAFSKKLIKKLAIKQNQFTEQDLDEQ DSSIDQKTMNNQYIDQDCNEFLQEDVAQQVLSREQVQPENIHQITNCSDDGHSKKEDIPV YKWQSDQQSGGLYERAIISPDQSFKKRKY >CAK61664 pep:novel supercontig:GCA_000165425.1:CT868015:103188:103373:1 gene:GSPATT00031896001 transcript:CAK61664 MDSDLQDQTQTQVENDYFSNKIETHKFVVGISKPGDLRIGLQTVNKNKITVRGILGIQEK Q >CAK61665 pep:novel supercontig:GCA_000165425.1:CT868015:103569:105259:1 gene:GSPATT00031897001 transcript:CAK61665 MRILIINCYDNKDLEKFQHFQFHVMKYLAEQKELIDTENEFYVRNRDTIEDFLYEVESSY VKKESALKFDQLDVIFIIGDCHTRPWAVHMGMDYGFTVSKNIGFVEDEFESIEVTICLGI CHASLKYYLINIHKPINLINQNGGKLSDLRELKIQIQQSDMFLENTSGDLYVFNYESSEW IPKLNVGIHLRSAAQEFQSIGRYVVKAPIYKPKQMGSFISKNKNTETVVSIRNVYLQHWA FKDIESRFLAPLLNRWDVHNFQFNNSEKKFICLAESDEGPSIIEYPKALACMFETDTKYP FTNTLLKNFVQYAMYNIKISNASKPFDSIENYHKVNTKTSSILDLLKNNNNQNQKKSTLE LMQIRGKNGIASQSQQKLAHAQEEQKLQSMQNNLKRRSSIAHVGFTLNKNVPIRTVEVNA VGKRKIKYQQGQQHSRKISADEAYLKSIRDSEDDIFNEPEIIQKTQILKTPSQSEIRKLL HPEISKSCLEVKEIWIPGHLSEYQKTQGDKRIGKIGGSFQSTQMKRWI >CAK61666 pep:novel supercontig:GCA_000165425.1:CT868015:107234:111650:1 gene:GSPATT00031898001 transcript:CAK61666 MQQIIIRNKIDPTLFNLVFAKILLLISALQEIQLVTSTEIQNKASMQIQGSLLSFLQKFS INLIQYNGDELLLFLLLSTEVIYCIVVLIVYFDRKEKFILVGQFYKYFQNIFHLIIFLPT LNISFNFAISLNYIELSIIGIILGLFLELIYCFCNIKNSLIEIEGCYSSRLANLFYLLMQ FIICFVVQSNYQYQVAPTLLFFSRIIMIMQKINCYQYNSWYYIQITNFVISYILVLLTQQ YWYDLALIYLLFITIQISIRQKKLGDELFSKNKEINLFKYIIFRNPEMMNNFKSFSHFFT ETQEQNIIQYQKNVLQNGEIHDRLTVLQHVFKYKKIQLYLNLINIDKTNLSYLQQLTLEA NLLNVIQSCEKLITSPLNVNLYIKTLVLEQQNFQLLINFIDLKCKFYILLTKEQALDQYL TTFRIQLDKILNTLEKYKNIFEKQYDLQTGRLQEFKNSDQISINIVSSFLFIFYSNYFKV KELQKKQNDLLAYDKIMNSKFQKNEVFLLSSSFIKDQNNIVNKNNKRLLQMIGEQLEPKQ LIELLPQFQKTVYNSFISQYLYQGLVIDQPLTLFLYKNEYLIECNLYIHVTISQDDIILQ NLLVLRNQPNHFAIFDSEGKILGISQQIYEFLVQKSDNAFTKKLAVSEFIQQGMIQYYLP EIYSHIQELTTQYSSGTSQQEINISSRWQFPVNHKKCLEQTQALGLVNDEGTVSSQFKKI FYSSSTKRLISSKQHKLTEEIPIIKNVRIKIMQKQIMNQIQALVVPPSRMKSIDFEGSLE FVQQKFIESETNYFVLRFKQIEEFQQEKIAQIPQMENIQNQSLIIDDQQFEGLQSDYEFI SNLIKSKKLYTPLKYNIFILSLMILVTTIALVVSYQLNYNNMINYQQDVNFLNTPQALTF SIGASFMLMWNQYCIDNKLYIISPYLEYKRESQLAYVFDFWGSVHEEYSMNLSRKSVKLG FDEIDLISYYNGLKIETLLDYYEFYAIIREALVRQFKKIDFKNISTFDPDILKTNGLVRQ NMLKIYKFHNFILDDVIQITEESFSQFENSTFIFCVYSSLVILFLLIIFFIVNFRLLRTE TKLIRLLQYLNIKIIQEQLEILHFQKEQLSRLLLIQSQTKDEPNTQKQSEKAIGNQPKYN PLSKLENYNEKYTLLIILSLVVGIELILFIFGSQLVSKLYNSQYQSSLILTMKYLKLKSR LDSAVIVGEVMKTEYLIQNNTAIEYINQTDHIEFFFDNIGVLLKLSDEINNELVTATSYN STFQKQLIDIFNDDLCTYYSGILSFCNVGSIKQEYYESENYLTLIDKGIYGMIQDLNKLS IQEFNFEQVNQHYDYDQRRLDSFIKLPIHNYLFLQYFIELQTCIFYCFLDIFTETQILSN KLSNQILTYLITSSVAFLLFTSFVSGCWINKQYKRLNQLKLIATLFPPALIHQKGFNKLL YSQLIQIK >CAK61667 pep:novel supercontig:GCA_000165425.1:CT868015:111704:116188:1 gene:GSPATT00031899001 transcript:CAK61667 MIVKILLKTYMALQAFSKRYLLALNDLVYERNQDHIFQIIIVQIFIILHELQQIELSTNP NFNYLILEIAKRYRISFLLPVNSISALYVIATFTIISFVIIIIVTICYMQKLIQSNSIIY YVLSINHYLLIIPIFFAGFQNVTQQTVNGISIVCMLLSFIIEIFNLTLNTKLHFIETEGA YYSTKMHFLQIMFNILFCLIRAIAPQKFLIIQIFQIILKLIYCISIIQKQDRNTTFQLSI QTIIIIQILLNTFKLDWCQIMIYFILGITLIIAIRQKIILYYILNNNQVSLLKLQIHNLV QFNKKKKIIEILIASQIQYASLDAFQIVKHFDTFDQKIQYIDHLKNKNCMKGLLLLSKIK SEKFSYLQQLKLQTLRKKLNTNCKRYYSQQEEIKLATKVLIVEDKIIMILNKISRLKIEF LLQLKSDLEEQAYIKAILRGFNKITQELQNQQHQFESLIDLTQLKMKYLPQSDILTLKHI ANFYQNFYLDFEKAQQIRNKIVDRISWNKMMNLQIEACQSLVIQISYLKEFGKVCQLNLQ RLKQTFPNLKTVPQNISDILPQFWKDSYYKLIQTYLDSSSKSKNQFEGILNNDAFIQPCQ IYLSYELTPDDIIINQLIIMEKLTPQILWFNSDGKILGISKEIYEHLIQKSGNAFTQQLK VNQFLENAMIQFYIGEIYSQVLQFQSLTLQEQYSQKISTQWKFPLNHKKCFDKVKQFYNE EVSLTSKKSLQQIFYSQSTKNMFNNFKSKVTIAQATDPKIQNIPIKIIKPNYQESISKIV ISSKSISIDIEYELNLKIYEQIEGDQIGLFSMNFLQVEGLKSHISQDQYIQQGLNHLLNN IQQCEQQQSNLEQSQVQAENLISHKGHYRHIKISLFLLLIVSLILIILSYFNIAANQSDI KVFEYYYDYAIYPQAITFAYAALFLNQWNRQCSISKLYNLSELVETSRLGSLEFVNGIFL ARYNIDSMGCYLLSLDIHQETIIADYYSNDTLQRDELNYLQFFDIARTKMDKMHRENLIN YKTPLEDYSTLNSSSFIRQNVIKIFVFCDIAMDALIQIVLDKIEQSTLQFNIILIIEGSL ALIIIFVQSKTMFNSSDLKNRIFQLLSHLNHDTIHEIIIQLGEMNPYHTMTSTIQNQSTI NNQQSSRLIKQKATVQINHKLINHKYQSLKSFMLPLTYFIIFLTLGLSVSFLHLEYYQQY KQSLRTTFQFVKLKKIFDSSVLLGELIKTEYLINSDSLQHINQIEIISQFFNYTDQLLPI INNIIDEVMENDIFNSSTQELIMTALQGNLCEKFPNFYYYCNISSTLNYTEKDITYMYLT QGISGMMQKYQNLLQSEFSFERDSLQYQTNTTLLQEFLDSQIHQNIFVQYFYDMEYLVFT SFHYFYDQTLALGQEVKDKLSYFFLYSGLISLALITVEFLIWYYLEDQEFTQLKFIITLL PQSLLRNRNISRLVLRTITQIY >CAK61668 pep:novel supercontig:GCA_000165425.1:CT868015:116225:117454:1 gene:GSPATT00031900001 transcript:CAK61668 MKQIKPAASIPTKVKGKELILKSQNIQKIKQYEFDHFLDKVNQPKRIDKFTEQVPPLPCQ FKQRQVKEIITLPEFIQMENPPPFGGAQAVNDKDDQAILEEKLQMELFQYLKIKQLKQEE QQERYSSIHEDNYSDTIVTIENIQHELQKEHDQYIEKFVKKLEEEKIEKKVQEFVRLPLP ELLKIPNQFPIQKKDQYRALSAQDRKQVQNEHEVKQSEIKAEIPKKSLQEMEKMIEETKK DYFKIIRDLDFLNKGARQLKNATSQQYGIRKKVDEPNIEKLIKQVKDTIWYTEGLTNGFD REMALIQHKNSQAKNLIDINKVLEQSDLQEQNQQIIINKEEIPARSEKQEDKKLNNSSLI SQKTQASAKTVTKVVNSKKGKELQDMMSDDLF >CAK61669 pep:novel supercontig:GCA_000165425.1:CT868015:117650:118497:1 gene:GSPATT00031901001 transcript:CAK61669 MDNNVNSVPIGDQQSSQRVQLNNSKNNKLDYNQLLKYSQACDLPKTDIDTLLKIFKRLDI NKQGFLSYHDMIDLLKEFGFLIDQQCKEKIFKEIELRNTQQLDFQTIIFVTKVCKGFESE NKHEEQQIHFNEFIDAFVALGGEPDASGYVHKNRIIEILKDEFDLQFDIDEFLEQLEVQN DQLDFDNFKSLFKANNKQSMKKNSSLLSLFSQRSMVKSTSSISTVKIRMKDFEKFVQKLE QEDSEFLSQASPIKKG >CAK61670 pep:novel supercontig:GCA_000165425.1:CT868015:118959:119480:1 gene:GSPATT00031902001 transcript:CAK61670 MITLHFFYNMEKPICEKFQLIPVKFRKNLCQRVRDIKAKQQRKKKKKERGKYRSYSLSLK ICALKWIEEGIDERTISDKLDIPLKNIRRWKKQGPFRKQGCGRKSIDLEMEQKLAAWCHE TIRNTNKRVSRAMIQTQALKMIKQGVDFKASKGWTDKFVRKYKLIRNKRISKS >CAK61671 pep:novel supercontig:GCA_000165425.1:CT868015:119906:120769:1 gene:GSPATT00031903001 transcript:CAK61671 MFLKKTRFLLSTVIFDLKGEPILFQKPKYSQMASEIFYKTLKIEGKFEKNNIDDFLQQQL KEQELSKIKRKEFLIIGTFLSNVGKSSLLNALLGRNVAETSNYPGKTRSLDFYNLGQSKV IVDSPGYGFAKGNKKDIQHWGYLMQYYIKNSPFLKQSYVLIDSEHGFKDVDAMTLQLMQS LEKPFTIVFTKCDKISKIDELVQKSRENLEKHIYSSQVLHFTSVRNRTGLVELQQSIAFY CQ >CAK61672 pep:novel supercontig:GCA_000165425.1:CT868015:121017:123688:1 gene:GSPATT00031904001 transcript:CAK61672 MKINNKEFWYYFKCLIDIALSAIIYGYSKDSFIVTINTVTIQLLGIILSPCIKKKFGGKK RKYIQIVMQLAQLAQIFYCCFYFTEILRYGYLLIKFYEKQLIDNLQKQLSYIFLIALNAL QLFLMISSNEQGVTYFTVGYATMLIFKEKHQNLKKQEKNKFKELEKTDIKPTQYQTRQSL LINRINESVSLKWMNKLQTFPVGIVIVKKQNLEILFENDQLLQIFEAENDIGKFILENLD CTIIQKKNTYFQHQTSIVLDKNGVLQASGTLNLPQQKMQNKTFTIKQILNLLNIGHLEKF KTRDDQLELSATLCKGNEAFFEERNLLFKISSAQDENEFLIIIQDITLQTNFKKIETKEQ FIVKMIDSFSHELRTPLNSAELFLQALSQTNSLPVQLKESFIDPAKNSLRLQAYIIRDII DFTQFNQKMIKYEFSDFNFVDIIQEINDLFKLIFQHKKIGLHVNAQRSIPTVIKSDYYRI MQILVNILSNSVKFSSKGQVEVVIQGFDGYVLFSIQDQGQGIEPQLLTKIQQTLQDFALN RSLSQQHEWQGLGLLVSQMNLITLAPQNKSHLQITSKGLNQGVEVKFRIKTQSQIFTQQL PQHKTYKRGSLQTPNTVPDLSEGLQGIQIQSTQLGLLIITNAEYKNTSVKNIPVKELPSV AEYYGKPSSNQMVGLQNQVETDLQIQDTDRYGLTHQLIQIQQNFNPKFMTTKQRQNSLAS RMQQRNDDQSQLQTNFSKVKFKFKCSCQRALSVDDDSFNQKALEMILGQMGFEIQLAYNG QQAIEIVKNAKKCCENCQLYAFILMDCQMPILDGWQTTKRLRNMMSGNIIPSIPIIGLTA FNGQYEIEKCYESGMQTVLTKPLNIDDFKQTLIRIYRFVL >CAK61673 pep:novel supercontig:GCA_000165425.1:CT868015:123733:124209:-1 gene:GSPATT00031905001 transcript:CAK61673 MQKPEQVMQDFANLLDKYRNDPEAWSQIYKVINYKPTQNIPKGQSLDPEKIREFLRLGKP GTQEFDPYDDMIYFDDNKVKEIVLRQNFLIAKGYFDPKAYFPSINLSSLDDTSFDEKIKE MLKIPDQADKKI >CAK61674 pep:novel supercontig:GCA_000165425.1:CT868015:124255:125344:-1 gene:GSPATT00031906001 transcript:CAK61674 MNQNQEADNQPQQQDNQGAENQKQVEKKVVVQPFEQEKQKIQYKYLGQPPFEINQEDEDI SCIEGRIPNIQALDKCVNLKRLCLRTNLISKLEGLQNCVLLEELDLYDNRLIKIENIELL VNLEILDLSFNNIKKIENLENQKKLKKLFLLSNKIKKIQNLDFPELTMLELGSNKIAEIE NLDRLPNLRELFLGKNKIQIIKNLEPLANTLELLSLSCNKIQIIQPEIQCLQNLNYLQIA ENFIATIENLNPLKQLELLDLAHNKITKVQGIDQLQQLQDLWLNNNKIEYFKDFEQLKLN PNIKTIYVEQNPVAQFPDYKMQLLSLIPTLIQIDAVRIK >CAK61675 pep:novel supercontig:GCA_000165425.1:CT868015:125511:127514:1 gene:GSPATT00031907001 transcript:CAK61675 MKHTKEYQISKENLKIIRQSELIQNTVVWTNKNLFLRYCQSQNYYYIRDVNEILADASSK AVIRYKDWHGYDDDDEYLKRYYYTDEYPQKVHLLTEYYKFHTDIARLYMEPIATLLNKYF DKKRKYEYYRIAHLIEEENKKNPNRPPKGIVGERPSPANSQETQKEEESPTTARRIRNIE ILKDLSWLNKSRLFNKQKIDISCTLQDICKHLGNQAFEQSSLFIQTGKTEELKLNKFLAY VNQQVKKTSNKIDSQHLKPNKKLSQPQEQLIQTLIQKQQEESKSRLGSQHSKQNTELLLQ MNKLSKDFNLNFVRNQVDSLFKNNQSKENPQSLTRINQNEQIKQSANPKSKTIIQHQPKI STQLFLKELKKNVQSIPQLLSKYNTSNIPSPTTSSHNRVAASNQPNIGKLNLKQISKIQI EEDPTEQEVKLKCGSQTHRPHSGTQNFFSNRNSPTISRAAQNDLKINKIKQAPTTSLQQV NPNPKANKQSGSSTNRKSSQPNIHIRYTSNQDKNYKNVFVTKYSLEQQQKHKKNQYEGKP LQLNTNLPDTQQEYVCLTDRGGASEFLFKNSIAASCQNSPKTQKIKRINSGTTTLKCSMS TKNSLIQQMISQVSKQQQKQEVLSSQFRKPN >CAK61676 pep:novel supercontig:GCA_000165425.1:CT868015:127543:129175:1 gene:GSPATT00031908001 transcript:CAK61676 MQNNDLSNSLYYSNRSQFKQSIYRGYFMLKNTNISVLETRNKLKYETNRTELNESFFISN SLQQFPSKKVEIYDNVSCLEDSQEQKVLKKPLKGHQCISNQKPSQKLQQILPQLSSTQQV VSLKLKSRQQKVKPIVKQQKNHECVIQLRYLNKQFEELQKGLILKCLYINTNDRDQSIDK IVIMNFENLLIQQRKNFWDFRTEMKICPSFLRGSIVEQCESPYCPCALRNDLKNTLKILS KTYLIIFIFSSQAFAQVWQKYLFCNGFFIDAIYISKNQTQPQQQLGGIKLNQILRDFSRF NIAKVLQFDSVDMTNTFPIISAEQFQNKLPILGSSVDTILFIFQQLQNPKQFDSKLICDI CVSFSKENNYRYITSRKSVTIIPIDITYAYKYYQFKEKISQDKLQTVNKLNFVQEQLQSN IDSFDLSLIEEEEDSQCRWVDSMTSKMLEQIKRDKFKEKTHPLHYVIGDLLARNHKFKKI YFNYPEQQLIKKQQLINKKITRLNSEIIVQQNKLKNQLCINCFLCIE >CAK61677 pep:novel supercontig:GCA_000165425.1:CT868015:129251:130103:1 gene:GSPATT00031909001 transcript:CAK61677 MKLLIYEDWKNSASSRDNFTFQQEVKIQSECYQIARDFEILLKNSEQSTFNQYFQKALSI FHRFAHQVSYRKFNRHIYMAACLFLSAKDNDMFDWSVKRYASAFINNCIQKKALDIDGLK IEVDDLNNRTISEKLISEKIIDAESHILQMIGYDLHIIVPQVYFQEAKTKLVAAQGDIQQ LFEFAQKFLSDLFLNNACLYYEPKIITLCAITMASKLLKITIADLPSGEPWHSLFDQNLE VNAQTQKEILEMTNYFDQCIQILKS >CAK61678 pep:novel supercontig:GCA_000165425.1:CT868015:130469:130981:-1 gene:GSPATT00031910001 transcript:CAK61678 MLDQALQFSYFKEPPSKFLEILQQPLNGIKLKPNYFQQEYQNSPNKFKRLRISTQHEGTV NNIVIDLNIPSPNFRVQHKMDYLDNNITIHSQITSQKTTPKSSRSNSPLKKVYLGSSLVT RRKLNGYVKRKKSPDPYEMCTQLTKKIQYKKTETLTIASQTLYLLSKYGT >CAK61679 pep:novel supercontig:GCA_000165425.1:CT868015:131375:131551:-1 gene:GSPATT00031911001 transcript:CAK61679 MPPNIYQSNYFILTATFLLVCFQSIFPKTPCTYHHKQPSQNQLKKYHLRYSNTIINAF >CAK61680 pep:novel supercontig:GCA_000165425.1:CT868015:131611:134195:1 gene:GSPATT00031912001 transcript:CAK61680 MQQMFKQQQAQQFLAQQFSSKPDKIKLSNLIPTQINENFFNKKTNEVMDKKPSSPRSSNQ ATKPSQKPSQQIAIHKSNMSLQNKSLIVNEQFQEKLNQFSKRRDHSPNSQQSNYKKNEEF LQRRPSSLVNEEYSATPQINRFIAKSPTPSEIQESVASLLQNHQSNQSLCKFQAISSPKG SNSQKPKTNPLQLGPYLSIQQQQQKSSHKGKGHSSANSVSYNLKPNILKKCDYGMNHTPT KVTTTTLNTEHSKSKSVLDEQCIRMIYYDREKENDVNLLNIGTPSTYLHSKVSIEQQSVG ESLNSKFHHAKILSQQSPYQNTSGSNDHLQESFPVRTCINHRTKKAKYFVDEHQKQTFYC SQCAITVASQGKIVQDLSQLIIKSQESQILSFQSSSVDSNRHSNFSDANFKERELSGFLT KLECTHSQRADILNQMQSQIEKINQWYESQIGQCQKSKQVMQQLMNDACNNSIQILQNQR QESLKQLSNLYFVLQQHQLDAQNIKQDIEKNWTEVLEDIRMDPFRKIMDYYQSEFVKMND FQTQLLSSTISVKSLSKTDIQSTLALIIQNFQLSESEQQLILHSNSIFNQQIRTQPTPPK KRIDTVDSQIHQSNQQQRVKTDYSGSKTQPQNSETPSNFYQYFNDANIYQLSASKLQSQQ SQQNPNKNNLYISFENKDIFMNILESEKNSKQSLHEDKQSVTSNPIMILESYRESKKNIS EDDGQLSEHESHKSTPHSPASGQTKQSVQRTATKLEEHTQIPKDAFQKQKSTFRTLFDNT EDQQNSEVFQNDSSVSPAKSEKFRSILNKISNNQSKTQQQLIIFILYQILPTNDLIKSEI YIRNT >CAK61681 pep:novel supercontig:GCA_000165425.1:CT868015:134296:134571:1 gene:GSPATT00031913001 transcript:CAK61681 MDSVQQMNLCDDQQDPSEFENDEDKEVQQMFSSKEMKHFSDQKQMTYPSESSQNNSHLEI RKDQYQKTLFCSPQFKDQDILNISDSSGDSN >CAK61682 pep:novel supercontig:GCA_000165425.1:CT868015:135462:135862:1 gene:GSPATT00031914001 transcript:CAK61682 MVNVPKNRKTYCRKCGSHQSCKVSQYKKSKESPFAQGRRRYDMKQAGYGGQTKPIFRKKA KTTKKVALKLECVKCKLKWLKVIKRCKTIVFVDANQLKKQQEAKKNAK >CAK61683 pep:novel supercontig:GCA_000165425.1:CT868015:136043:136846:1 gene:GSPATT00031915001 transcript:CAK61683 MKISDKLKSSLEQCVSEQYQSLYDILSSQQGVDQFQYLVKGFQEKSSEDYMKTVPTPINR QVRSSQSKSQFQQFPIQKSIFEDPGLVQLTYFQFANVMDEFFLEINETQIIEWFQLLQIN EKVQIRDFYIIVLMFLSRDSKQQFDYLYQYGEYLFECLKQTNNKILGLTLKIFVKLCGMQ ARQSQKLFAELKMKQNEEYNFEDFEVFCFAFMNPESLQGQGCQQKFIKQTHQSKHDFNQH QIIKPKIDKK >CAK61684 pep:novel supercontig:GCA_000165425.1:CT868015:136955:137215:-1 gene:GSPATT00031916001 transcript:CAK61684 MNNQQQNTQAQGSIQQINSNQQNQKTEPIKLKQKQHDYSQGNRYQVNCIQRTCMATGRNG IDFYILAKIVQKEHSEIILSAIEEQF >CAK61685 pep:novel supercontig:GCA_000165425.1:CT868015:138047:138619:-1 gene:GSPATT00031917001 transcript:CAK61685 MLHHLSKQRFTLNKAANLDFIKLFIKDLKDVASIVPSKLDDTDVKLSLQIHCLEKSWKIS SKSLSKEYKFETFKQAFVFMNTVSHMADQMNHYPKWINVYNKLNVEITTQDVSGISIKDV LLAHLMESAYKDVNESNVESIAEICKVSSPQLLQNWNANYSQYQEIMKKNVHNL >CAK61686 pep:novel supercontig:GCA_000165425.1:CT868015:138862:139891:1 gene:GSPATT00031918001 transcript:CAK61686 MYQTDPRQQQPFQQNNIYQSSPIQSQYLSSPGIAKQYQQSPQNPIIQSPQTYQPQQQFGS QYQIPSSQQFLQQPQLRQPQLQSNQILPVTSQAFGPVQPQSPITVRPSYQQMNFQVAPPV QQVVVQQPVTVQPQTQVQEFRHVERPLQVITVDEIEAPWRLKCATLERQILELQIQIRKN NGTIVEETVQEIQDDTKVKNLELQKLELEQKIHDDEQFMNELRMRLEELRQEYEVIITEK VTYASNEVETWMKKYGNLEKSYAESNQKIADLKRQLAQVEAADKAMQDQKKSEARSEVRR SSKMY >CAK61687 pep:novel supercontig:GCA_000165425.1:CT868015:139941:141475:-1 gene:GSPATT00031919001 transcript:CAK61687 MIAQKIEGHFLRTVQAQNYSQNNMKFIEQVSSQLICIQSDTFCQVNEEASELCQINKQTN NSMLKKQAQQNGTQISGPKETYQRGHEAQNDSQTVTPLAIPEVQDLEEIPSLEDNLIPVQ NTKKCCLSNNFFSKQRVIRRKLIRKRFKTSRQSTIAMDSNNSLPTALDLQLEGQGAKQSG TNNKQQQDNQKQNHESKGESNDVEIMKYIRIEDFNQPKNRNVYYTDKLINLIKGEQVDTF MAQMYINHFIQTYEILQKSKMLKQSETYQILPKIKPQTTRQKTLVIDLDETLVHCNESCL MPKDLEININLNNGFIVKVIVRPYTQQFLQNMSKHFEIMIYTASNEDYANQIIDYLDPTK QLVKYRLYRNDCINLSKGCHVKDLRSLNRNLEDIILIDNSAYSFAYQLSNGIPIIPYLDN KKDNELIELESYLMDLLKVDDIRIENERSFHFKQMQSSSTIQQAVNHLICNRR >CAK61688 pep:novel supercontig:GCA_000165425.1:CT868015:143008:143475:1 gene:GSPATT00031920001 transcript:CAK61688 MGKKEKGKPNQLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIVRFAQKNMLTEDVRVDP QLNEAVWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDSFDNLKTEITKAQ >CAK61689 pep:novel supercontig:GCA_000165425.1:CT868015:143916:144582:-1 gene:GSPATT00031921001 transcript:CAK61689 MSNQNQQFALLQSSQQSLIDEQMAELPILSFLNKRRPIKAKTQLTVENNDFEIEIINTKV VQNNFTVYEIKIQKGTLFWIFQTRYSLLESLSSKLSKNLKSNLPKFPEKRLFGNLDNDFI EKRGKQLDSYLKALFKLGRSENTVREFVRQTQKAAFMINDPAAVKLFNLDA >CAK61690 pep:novel supercontig:GCA_000165425.1:CT868015:144651:146152:1 gene:GSPATT00031922001 transcript:CAK61690 MNQKKKRRVFSFQIKNLAGTLSELFDSQQTTSRKQNEQPNIKTLLGFNEIDQFYSPKESG STTQRSIPRFRKNSISPKHSYIYSRKTIQTPLTIRSSLVMLNQFEQQFGDSIQANDQVGA IESLLNMMVIAVEQDNITLLITFMRLSALTFISFQEWTKALLFLQHCKFLSEFTRQLDVI QWTFLQIGILCKYVKKYDLGKIFIKKSLEYAWHLKDIDQEIICYEELGKLCFLNYELKTA KSLHDKSMKGTVEKEKSAMKMVSQKGMQQIIKMLPQEEKRMGLHIFSKAVSFPIKLIQCQ NPLYYQRRQVKNQYMISHNLECIPNQRVIEIDISLDEMLQQFLKGKNFAFEIPIPISVAD EYENIRQQSSTPKIQQLKQTQAATLIKLSTLKLHPTSNKQPIIRLNDFQKKLPLEEMIRQ RLEMKFETHTTSTETIRNRKFSSNLELIRLTHERDGIALSVEHQKRGLIKYAQKLLNQQQ ITFL >CAK61691 pep:novel supercontig:GCA_000165425.1:CT868015:146427:147123:-1 gene:GSPATT00031923001 transcript:CAK61691 MFEKEIVIDGKGHLLGRLASYICQVNFRTELIQQSGSLFRNRVIFEEYLNKRMAFNPRRG YKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKIFEGVPPPYDTRKRQVVPDALKLIRL KNHRPFCSLGDLCASVGWNSQAVVNRLEEKRKQRGAAYYKRKISKENLRRKAIGAKELAT INSELEKLGY >CAK61692 pep:novel supercontig:GCA_000165425.1:CT868015:147295:148864:-1 gene:GSPATT00031924001 transcript:CAK61692 MNLGPRRNIKEMLPIHQNFIEPHNYQQLFKSPVSTRQRQQRPSKLPSFKMSSGQQVPVPV SPPVSPQWRTRNMSRVEQRMLSVSPPQVPSVPQSPKYQIQMQIFNEQILQKALKRKINES VAKKQAIQTPERLLHQHSLIREDLNKGCFKFLFVLGKGGFGKVWRVELCKTRKQYAMKEM SKAKILSKKSVHSVINERILLSKLRHSFIANIHFAFQDRDHLYLVMDLLTGGDLRYHIGV SRRFTEWQTKFIIACLLLSLEYLQNNNIIHRDLKPENVVFDKKGYPRLTDFGIARVLKPE NSQETSGTPGYMAPEVMCRQNHGIGVDHFALGVMIHEFMLGRRPYVGRSRKEIKEMMLTK QVTIKRQDGWSNESADICNQLLQRKQQSRLGFDGIQAIMKHPWFQNLNWQSLIDKTMPSP FVELAYSEDLDFKRQISSDHDSQDALIQENASALRRDSIQDMFKDYEYNDHGVTGSTNST QIL >CAK61693 pep:novel supercontig:GCA_000165425.1:CT868015:148995:149848:1 gene:GSPATT00031925001 transcript:CAK61693 MDFTAVNRSPLNTSKTKQMYTFSKAKRWVDPKDNICPPIYQLPTTLSKRAAGIGLGKKMN VTQEIVSPAPNSYSIQTTREHGWTMGLGRDRANKFESIFLGLVQKTPGPGSYNSKETQSK VRYSMRQRLKSRTSKDRKPGPGEYDLPTSINSRGKYALSQYRDSGAIVLSPPKVQSDRKI RDNTPGPGTYKETDNLDPLGSYFCSRFGASKCNIFPRAQRILSENREGSPGPGQYKLPSD FGC >CAK61694 pep:novel supercontig:GCA_000165425.1:CT868015:149949:150669:1 gene:GSPATT00031926001 transcript:CAK61694 MRVVLLKSSITTLPKKDCKQKGIYSNQNNHNVIRYLQVSYFLIAKTLESMKLQESIALKS QAINDQTKKKSDNNNRMTFKLEFDKKANLQTQRKSQDALTIEKKPSNFAIIQNMFDLETK NLEIYMQAQEKKTQIQQLQSQLEQQNIKQEQQVRHQNQEKDELLQKIQQLEHQCEEQKRY IDQIPLMQAEAQEWKDRFLNLNKLFHQSQEVIFRIEAEQQSSQRRTFNFKV >CAK61695 pep:novel supercontig:GCA_000165425.1:CT868015:150727:151529:-1 gene:GSPATT00031927001 transcript:CAK61695 MIPLQNFNHRQSASALYRQYESRKQSPVTHVVVTHNPRRSHQFDGRSNSPSKILTTSHVY PKIIQATELKTRIPTQVNRSFILKGQSFPIQEAIKIYSSKQTPDSQYHSNKVSSFLQERL NEKSNYTKTTTGESQEEERSSKHKPSIIYQKQLLDKDLQIMQLQKEIKQIKESNKKHQNM EKEYDKLLQENQKLKQQIQLQQIQITQLTHQQSLVSPGRPSEYVSALSEQDKLKIKTLLE CE >CAK61696 pep:novel supercontig:GCA_000165425.1:CT868015:151622:152317:-1 gene:GSPATT00031928001 transcript:CAK61696 MDRQITDYESDHTQQDNESHFKKIYILTKTEQIIKEACVNNYSELIVALSNGANRIELCD NLSQGGTTPSFGTIKKAVQYPIVVMIRPRGGDFVYTAEEFEIMIEDIKICKSLEVKEIVT GILTTDFRIDFERMKILIEIASPMKVVFHMAIDDCHNYHKSLEQLISLGIKRVLTKGGKH KSALEGRDSIKEVVELFPQLTILAGGGITKENYLGLAQYCNLKEVHGTKIV >CAK61697 pep:novel supercontig:GCA_000165425.1:CT868015:152319:153938:1 gene:GSPATT00031929001 transcript:CAK61697 MPCGHEIPDRAKTSYMAYNSNFQPSQDFEVTLGDDYKVKSSQKSGEWTMVYDEGFEIKLG NMKYFAFSKYEPKGREGVSYCDQTLVGWYTNLDTQERGCYRAEKTEKVDIVQPEFMDDDD MKSLSFLQVQEKTPEVNHEDVVEKLNQKEGTWKAKVYDHMKGKSFAEVAKSLGKKLGFKK DKSYKQQDQTANKVFVQTADYSELPSSFNWREKLAPPRQQGQCGSCYAIATMSMLSARLK IQGENVDLSPQWSLNCNYYNQGCDGGYPYLVNKFAEEQVLVSESTEPYQGYDGSCNFQKA KSQSKVYATKNYKYLGGSYGRVSEQVIMLEIMKNGPVVLSFEPSYDFMYYESGIYHSKAE TSDYSEWEKVDHSVLCYGWGEEEGVKFWMLQNSWGDQWGESGNFRMKRGVDESAIESMAE ASDPYVINQNSSKSFSETKSNESDFDYEDDDSIFSFNQIRQNLK >CAK61698 pep:novel supercontig:GCA_000165425.1:CT868015:153942:156561:-1 gene:GSPATT00031930001 transcript:CAK61698 MQEKMLNGNMGLKLLTDGNQNEGVPFRQQIQIQSSGGESIMQYQKMLTQKQERKTHYVKQ FCNNLKSQSKRLGNISEEQISYVGDKTSYRSSNTFNIRLMHKNFSLQLELRFFEKIKQFF SKYLNMFISSLKRIELFQPESPIKLIWDSASLVSRLYFLFLIPLDIAWSKYSFMFDMYNL TSFIFQMVLLGDLIVGLNTAFYKQGQLVTDRKQIVQHNFLKCFGLEWTSAIQLLVYQILT QDPIITQQIKDYKIYLTLVTFLVHYSTIQECLEYYEEGLNLSKKASSIIELIKLIAVLFF IIHMFSCFWFWVGDYSHNNYGVSWLENIVDKDWEIQYLSSVYYSTVTMFTIGYGDVLPQS NLERIVCCLFIIMASLQLPYSINTVGAIISKISEYGEDKKRKLRIINSYMQKKRIPFPLQ SEIRQYLNYYWQLNKEKDAEEAAQMINQLSENLKERLTQESNSVILNQCALFRYRFTPAF KRELIKSLKTKILAPETIIGDKKELVYIESGIIDMYGDQQCNLKLQSFQANQTIGLIPFI TGKLFPETYKSQGFCLVMTLSHRKFKKTLKDFPNDYEIFCQMKDYLLFNGNETTIFPRKC YACQNFTHYSHECPVVHYVPDREKIIKKSAISTFQQRKLIPRSEKKKPRYPSRKVTHQSL IKASFVVQQENQDVVQIYDIPVVDDEEENKIQNLNAYKNFTSKSKNNEDKKKQLMSKFRH LVKKITFIDKYYPKFLMIILGKFRQNQNHLNQESQLKQLKLRYEHLKSLKKVDPRLINDV DDAIRINSEYNIFQNYDFESPKNFMHYNPKFNINNIKMKIDKAKIRIVNSFQRYCLYPAL IMQKFHSTKTSQDLITYKRRKNVFL >CAK61699 pep:novel supercontig:GCA_000165425.1:CT868015:156576:159155:-1 gene:GSPATT00031931001 transcript:CAK61699 MNSIKNIDLENKLTENSESSPFKSRRTLINRQNTETSLYLKNLHKNLQYIESISANQEQK RGFKIKKRHEYVSKFIKNLRSPQNHYSKLTNYHFSLIDDLATYDSKKNQSLALRTIEKII CFQKFIEITSNLINKLPLFQPDSQYKSIWDVMTIVARLYFLYLIPLDMAWIEQEFIFQLF QPISYIMLILVVSDQILEFNTAYYECGQIVNSRIRIFKNSILRNYSTEWISTFILIIYTF LQFEYRIRIDPSENVVHLSLMLFIIHASRVRQTVKHYEQSLNVNKKLSSMIQLGKFILFL FYFLHIFACFWFWIGSYSLQSGGNSWVSISQVQDLDWNLQYLEAFYFSTVTMFTVGYGDV APVSNLEKATSVLFIMITSIQLPYSINTVGKFIEEITAYGEETMKKLSIINAYMEKRKIS FNLQMEIRQYLNYYWQTQNQQDNEDQKKIINQLSECLKERLMGEAYQSMLNDCPLFKNRF SQSLKQLLVKKVRSVIYPPESFIDNDQIYLCFIDEGEVEIHLEINKQFPVGRQMKGHSLG ISSFITGKNAPERFKCLSFTKVMLLSRDDFLKCLHECPQDFEIFCEMRDYLTYNQGKQLY PTNCFSCDSNQHKLIECPLVHFVPDKEQILKKHIKSQLQERQINRQRFTFRQNNCRGNLS ELTRAAWRIQSQRFERMKLYYDSEEIKRQMRKSRSVSFYNEKDIVSEYKHRFIHIVKMII YINRLRILPKTRIKKNPQNNEQCLAQLYDRLKLAKRSEKNFHKEIIEIQDLIDKLHQKQS LHDFEINREYQFYNCGNNLSRIPNLHTKLKIGLIMKFMPYFLFPGEIIKRFGCKPSIRNI INYRRRKNVILI >CAK61700 pep:novel supercontig:GCA_000165425.1:CT868015:159240:162240:-1 gene:GSPATT00031932001 transcript:CAK61700 MLKNKPILCTEEPVDEDQMFVQAFQVEQEAPNVSISISDGSESQNDSKKVFDIPQVLIEH HAQERQKEVEIYKQSEPKKGFAKLVQLFHMRQFIHQVLAKRRLISKITKYHLQVINDKAS SLDDVGFRKFAITIKPLIQSLQENTQAHLGNQQRRQLLMQRTKIFIGSQVQRFCKLINKI PLIKPDSKLKVVWDGILFICRFYLLFTIPIDLAWPSIQLLFYTLEVPTVICITLLILDFF VSLFQSYYQQGQLVTDRMSILKHQISKAYGFEIIANILLIIFYNISNQYDYGFNVFENPY YIFLFLQFVQYNNIAQLRQQLEDALNLSREAASLVELSKLFVLLFFVIHVFACLWFWVGL YSETYLGSSWLEAKQVLDKSFADQYLYSFYYSTVTMFTVGYGDITPQTNPEMIVSVIFMS VCSVQLSYSVSTVSSIIEQISGFKEEKKRKFNIINNYMQQKNISYELQFQIREYLNYFWT MKRNEESNEEKEIIEELSSRLKQRLIFEANSRILYNSPFFKDNFSLNFKKDLVSKITSTT IAPENVLDYTKKSQDENSLSIFFIEQGQIEVFIENEQLSELSRVYMLKEGESFGFVSFIT GNPSVEKYKTIGFTKLLIITRKDFLDVIKDYPEDYEIFYSFFEDLTFNPESELLTMECFS CKSKTHKAISCPLLHFKPDRERIIKSAQFSREQQRSRLKKRKEKCYIKATLGQIILNDVA KQVQSDNQQLTYIYEEFDEEKLATPNVQIINSSDEIFDFKNYHEDEKIQLFEIKEEPQKS PIPQKKQLLRKKQTLQDIGDIMKFNNKQKETKEKFRSIVKKVNLMNDFVEKQVENSNERK LSQYRDHLKNIQHRRSKIQHLKDVYSNKTIHELEFFELKLKMLLETEFDILLDAQKSYKY FKPKENLYQVLSRTKISMLSSSNEMQILIQQKTKQLIRYLFYPYLYIQKYVYKQRHADVV GEPKKKQYFKRNNRISLAFIMQQHSSIKKP >CAK61701 pep:novel supercontig:GCA_000165425.1:CT868015:162266:163857:-1 gene:GSPATT00031933001 transcript:CAK61701 MSKNKPKPTDAKPQLSKAELEAQNKAKQVNDVENEAYKKQMREEIRLLKTDIDAEEKLLS QYQQEREKINYNWIIAKKELDDKKSDFINKEREIQDLKENHFMQLNLYKQKIKHLLFQNQ DQQSDLRKDVEVTLKQLEDDHRMKDRELKTDIRSLKVQQKEADLAQNDYMFALKTEYDRQ ATQLRQNFERQANDLKEKYHLKMEKLRREMEEARNNLIKILQEKKDLRIQQLTKEHSKKY TEIKNYYSDITATNLDMIKSLKNEITDHQKKEEKDKKLLQSIENESKNLNEPLKAIKEEI KFLIKEKEEQAQVVQQKEQLKLKIDELEKKFRNLEYEYEVKLQHFQYMEREKRSLEDKFN STIQSIQQKTGLQNLILEKKTSAVQEELEIKELQLNQVLQGANIDQNSINIITRQYQEID VQKSAQIAELQQQLTQIRKAHSHMVKAYDGKLAEFVIPVEELGFDPLVPTFTE >CAK61702 pep:novel supercontig:GCA_000165425.1:CT868015:163952:164821:-1 gene:GSPATT00031934001 transcript:CAK61702 MPQHDYIELHQKRFGKRLDTEERARKKAARQPHELAEKAQNLKGLKAKMFNKERFKEKAL MKKQMLAHEEKDIEVNVEDVKDGAVPTYLLDREQQQQSKVLTNMLKQKRQEKAGKWDVPI AKVKQMSEGEMFQVIESGKRKQKSWKRRINKVCFVPETFTRKPPKFERYIRPTGLRFKKA NVTHPELKTTFSLPLLGVKKNPQSALYTTLGVITKGTVLEVNVSELGLVTQTGKVIWSKY AQVTNNPELDGCINATLLV >CAK61703 pep:novel supercontig:GCA_000165425.1:CT868015:164979:165563:-1 gene:GSPATT00031935001 transcript:CAK61703 MNQCCDIVYQNCNKLDVIQGSYEGGQTLWECTFDLLQYPKQLAGLDVIDMGCGLGLLGIQ ALKQGAKSVCFQDYNEDTFEQAILPQLKLNNLEENKNYSFVNGDWETLKLQKVDIILASE IIYREEYYPKVEDFIANHLRPDGKCIMINKSYYFGVGGSVAGFKNHLTKLQVVEEETINT KKGVKKSIMKLQLK >CAK61704 pep:novel supercontig:GCA_000165425.1:CT868015:165582:165824:-1 gene:GSPATT00031936001 transcript:CAK61704 MSRQSAYFLVQGFDDSQETVEDEKKKKVYILQKINGFTIMDSIPGHCRMLRWHRSFCCVL PIERVFESSLVQKQFEILND >CAK61705 pep:novel supercontig:GCA_000165425.1:CT868015:166164:167034:1 gene:GSPATT00031937001 transcript:CAK61705 MPVKSFSVLKQQKSEFKQCSIINNQIGKQELESLQLIQNLSSPFCGISQCLTQNCCFIKI NEKIIQEPLQDIKCEQIFSELDNNEYYKQTLVYTTMIELKQAVLKVKRSWNRLMMPINEI QVDNQPNETFKLGIITKKFIHHNFKINIKSLCRFTAKVIELDLPFSSSDIIQYHDESIRI NPISLLVQRQKQTCYGGLREMLNKVDNCEEVQQILEMLKDFHSQNLSQLISCNVVDKDVY KLKLQLINLLYKRMQM >CAK61706 pep:novel supercontig:GCA_000165425.1:CT868015:167206:168636:1 gene:GSPATT00031938001 transcript:CAK61706 MQVGNNVVENQKKEENEEESKGVVTMKRRLIASLDLFQFMPVPKTEMVSSKRSLYGSLLM IGCFLAYFLYTFVAFVTNNSPTVNQYQEILEISNITLPDIAFGYFYGDPFTKTINDPSIF YFQMVQVTKFQDVSKKDVKTQIPLKPCNPDWIPASNFTLLCPNESANMSGALYQTPEFTY PRIQVSYCTSGHGQACVSQQEIDQITAGGRILLYVRDNSPNFDLTTGKSVESVPYSTYQY FLMPGLYPRTEIFFQLEKYTIKPDYLRRWSDDVRLFLSTEKINSWITNVTVYSNDNAYQI GFRSELTQQISVLTYQTSFGMISIVGAFWGVLFSTFAAYFLIYNKNVFYRENKQWEDFGK QIGVRKAADVFNLTEERPHSKVNDSIVFEMTEIHQENNGHSKNEQDGI >CAK61707 pep:novel supercontig:GCA_000165425.1:CT868015:168937:169968:1 gene:GSPATT00031939001 transcript:CAK61707 MNSKPHYNTHKLTLTKPSKKSQFYSDDGESTTPHSINSFKQHKQEFQTDDSDCGTPISPS GKTPQKPQQSKFKPKPSTIQQLFTNTAKNVLQNKQTHSDAELKTQKQYEVSVKNHPFRHL IFGESVSETTLKKHLLLTQRGLIYATKCLKGPSDQFIKSRQVEVPKEENKSKVLLLDLDE TLIHCCGSKEQAQVNINQISFNVRPYTNYFLNKLSQVYSIFVFTASSPSYASIVVDYLDP DQTKIIGIFSRNHCMETKNGFFIKDLRTLKDIDLSSTLIVDNLAHSFGLQIDNGIPILEW NSDEKDEELKQLAEYLIRASQVEDVRIFNRENLRLRELINYQL >CAK61708 pep:novel supercontig:GCA_000165425.1:CT868015:169996:171044:-1 gene:GSPATT00031940001 transcript:CAK61708 MKSNIKVICAFQFQITSLSIQLIYNDVSDFNTSFDIPQFIQIKCSIVCCASFLLIILIIG WDVVEVTNWGLQCNSISKQCDKQIYAPGRYLVGPFNSFFNFPGSRQNIEFSDDKRAQSQP LKTRTAEGLTLSLHVSFQYQLIKNEIASLYALGGLNYEATFIRMARDTILQAAGKFEAPK YWTNRRNITQVMQNQLQDELKKAHANCVSLQILDIDLPDQYENSIVQTQIEVQTKTMKQF EQRAQMILNDILVMRAENDQEIFAINAQAEADAFTITQAAQATANKLLLEAESKGYEMIQ KNLNLSQEEFNQYLFWNSVLKQKKAKLVFNPNSVLTYNMNHN >CAK61709 pep:novel supercontig:GCA_000165425.1:CT868015:171086:173068:1 gene:GSPATT00031941001 transcript:CAK61709 MSENPFEVLKEDMENEEVYLKVNAMHRVRVVATLLGSDKIKSQLIPYFETLLKKEDDEVL FALAEELGTIAQIIPNQSSCLLALLEQLAGFDETVVREQAVRSITIVCGFLGDNEIANTI VPMIIRLASNDTNFTCRVSAVSLMCPLYARAGNQKEKIRQKFTELCSEETPMVRRAVATK IGEIAQFMDKIHVIQDLIPVLKQLCQDEQDQVRLLCMESLMNIAKILNSGENKTNILPLI IQSAEDKSWRVRLALSKIFADLAEAVGKEIADSSLIQIFSNLLKDVECDVRVIAVKSLAR FIKFVSPEKLNLIVPLLQLLAKDPFSQVKQNACEVIGQIASLLPKEYSQSKLQQYLIELM ADDNQDVRRNAAKSAGQFAAAIGPEALNQFVPFLKKCMDDPKWRVRKETMQTIIQLALTV KNPDVFNKQLESVFVMFLKDRAAEVRSMGLSQLPALIATYKQEWAVGNFLSKCVETLSKD VGSLYRINALYAIQQISFAVDGPVAQDRLWPIVQKCLKDTVPNIRFVSIRVAKSLSKKID NQQTLNQIKQAINELVEDPDRDVKFYAQEALQN >CAK61710 pep:novel supercontig:GCA_000165425.1:CT868015:173074:173697:1 gene:GSPATT00031942001 transcript:CAK61710 MQKLKEQCQEALKFSSDLQQQLVQVKKDLQEKTQQITKLQSVIQMIDCEASDIQDLFWKV QKQTLVIKQTVREVELKSDKSELIEKQFEELQEKIINLEELVFTFLFNNKMNIKKNNKRS SDQNKENIEDNINQKEVQNKKKKYISTIKKNQNESVNNFINEVSDEDEKEDQKKYRKRLR RNTAIDQSNNLINDMFNFN >CAK61711 pep:novel supercontig:GCA_000165425.1:CT868015:173710:174495:-1 gene:GSPATT00031943001 transcript:CAK61711 MVYKIRNKSFFWTRAGWKNNWHPKNFNAPRPSSSEFTIGIRCRYDHNSFLRAYHSYRKIS RHCKQYFFGNRELEELFQMGLRTFFIVPHIAECQVTQIKHGGERRMVDQIDRDFELVSYN SHPYQLFTYTVWNQYLANQQEAYEQRKNGGQAIEDQVIDHISELVKDEKSKLGPGKQLSI EKTAEIVMNVMRQLRAAQQRPNLNNRRADGEFDDFLEQRRPFTAPNNQSATH >CAK61712 pep:novel supercontig:GCA_000165425.1:CT868015:176610:178259:1 gene:GSPATT00031944001 transcript:CAK61712 MNYIDQGTALSRKVPNRKLSKKNSLFYQQSVFENEEFMQKYEKNVDQLQTVIEEPELKEV IQNRNEKFFQVLEQIIKVESSIKEFAKGYQKYGFIVSDTGITYREWAPNAKELKLNEYGC TTDNGGNWEVFIPKDDDDNHQIQHGSKLITYCNELERASVWSSVKMGEQAIFWNPDNRYE FQAQQLQQKQQSKGLKVIKQKITELQGVNGYNTIMITQQLLMQLDVNLITPDDLKRNIEV LHQKGFSVIMEIDHEQIGKYLNNWDGTGYQYLREEIEQLDYGKWEVLRLLLSNISFWITE YQIDGFKFSNIDVSDDIDATVYLMLANDLIHDLLPNGISIIQDLDYPALCRTIKEGGLGF DFRLSKLQHKQFQPKTLFENTGVYTQAQILNALAIGSGLIQEVQDDQFVQIEQQCGWLEN EIGEVNEVDSVLQIKRGKFTFLINHTNENRETVLNLQITKILNKQEQKWEQQDENTIKLI VESEQGLIIE >CAK61713 pep:novel supercontig:GCA_000165425.1:CT868015:178281:179923:1 gene:GSPATT00031945001 transcript:CAK61713 MNQSNEEVTTIKQSYITKQPFQKTWVLWLALALACMLLFGDAYAFDNPMALQSTIQTQMG LNNVQFNMLYSIYSAPNIILPFFGGILIDKIGVRVSIFIFSTILIFGQGIVVIGGYTLSY GTLLAGRCIFGIGSESLNAAQAAIMSKWFEGGMVSLALGLCLSIPKLGSAMNSFVSPIIQ ANHNELGFTFLVGLFIVLFSWGCGLFLIYLDKKNEHLMEEYKKTNPQVLSPIKEKSVSSD TQSQELSLQLRTNGNHNHNHNHNSSVESSDYSESFLQDDEEEDNEDEDEQNHNVHDAKEE IKLSDLKNLDGSYWILSSIIMLSEALFVPFLDNGNAFFQVKFGFSQQSAGVLLTIPYVFA ALLTPIIGIYSDKIRQRSLLIVLTTVIFIVTHLCLLLIHCDSACGVSALPLLSLGLCYSF YSAILIPSIPLVVKPQMIGTAFGLLGVMQNTALALFPLITGSIYNGHVINEYGQLDPFEG YVYQSYFFVGVSFFNCIIAISLYIFDKNGSQKLSKLRNKKL >CAK61714 pep:novel supercontig:GCA_000165425.1:CT868015:179972:181009:-1 gene:GSPATT00031946001 transcript:CAK61714 MFPSKLYDLQLTPSLNKFNSDFQSAKLIESFRQLLIEDDKEIVTSAMDLMKAISYSLYGT WFYWKALSDICQGDDLNTISQQLERKIIIYDICEVEDLKCQVINFGYKKRIYLARYLNYF YVVGKKGTSEKHKLVRDILMDVIIEIIGLPIRRHKRSYSDALKYLSDQKDNRTNKLNIKN VPLSPSNISRTSLQMQLLDEVNQESDLLLKECNSLINNPTSQNDLLQSLNLNLQEGTNIL SNVNIRYFGTLKFYDEARSYGFIIMDMDGSDLFVHCDDLTKAGMTKDFLRTAKHGNIIRF SFLILEYFGKYNKSRKAVDLQFIQGQPYFM >CAK61715 pep:novel supercontig:GCA_000165425.1:CT868015:181016:182479:-1 gene:GSPATT00031947001 transcript:CAK61715 MEDKSMIYFFSTAMKMIDSNLRTAYYTLEDTVTSLSNLPALLYNRVIGDSRIQVKSIPSQ INFANYFGGATILTKSKQLVGVDNILVDNQETYMITECNQEKLFFIICLKEEIQLETIYF INKEFYSSTIKNFKVFGSIVQPTQAWDFLQAFESEDINDWQSFEFESHFLRYLKIEIIDF HQAEYHCTLTQIRYLGYDIKFRVFGQTVIGDLIQSHKRYKLQLPKIEPIKEEIKQPQRIK MPCSEIINNYSSTNNSTCSYFDYIFDIQQSRADEIENQNQYLDVIPFESNQSLFKVTAQN IVILSHNLQLLKEQLQSIRNQKMKEMENQKQHDYIQQQLLYELSQQQNINKNLEEQIKKL YFLTDICVILICIILFIGFCWILRKQQNHYNNNRNQSIVIENKKNVPDITTLTPILVNGY SINNDENCHHIVKSKSNNNNQKNKKKSNFVN >CAK61716 pep:novel supercontig:GCA_000165425.1:CT868015:182677:184488:-1 gene:GSPATT00031948001 transcript:CAK61716 MDKTLQRIIRLTQKQVDAKSNCYFDQYSFNYTKDLLGEGSFGSVYRGTQGNKFVAIKILN LKFYDNPAYKQALKNEITLMMELQSPNVVRILDALCDQHDNELFLIIEYCEGGDLRHLLS HQPNQRFQESAAIAIISQTIQGLSELLHKNYMHRDIKPDNILLKQNTYKVADFGLAAKIP PKQVLRAQAGTPLYMSPQLLEGKEYSNKCDIWSLGLVLYELLFGRTPWLCRSFDTYLMEI QTKPLQFPYEIEISANVKDFIKRCLKIEEQNRMSWTELLKHPMLQAQGKLKRQATQERLN FTVLEREYLYNIQLICAQHNFQAKDLMKQCGKTHINQEEFQNMLRKIMNQDNDEISKQLF GKFDQSKDGLISAQEFEYLFSEYDFAPIDTLAVQITHELQAIIKIYQISLKQLFEQLDQD KNGFLDQKEFEFLIKKIAPKLSQKNIFEIFKNYDQDNDGKISLKELKFVLQSKNYQKLKI ILNKIRETLMKKSVSTNVLFTSIDADQKGYLNFKDFEYFIGKIDKVSRSDVQDLFNLFDE DENGKISLNEFNSLLNL >CAK61717 pep:novel supercontig:GCA_000165425.1:CT868015:184560:186134:1 gene:GSPATT00031949001 transcript:CAK61717 MKCDFCEETTPLIGNDAYAIKVEIMRHSLFLKYSQSQNYHYTKGITEILHNYRTANNILY KDVIMYDTPEELLCKIYKSDMMYEKLQMLGEYYKFHNDIPRLFMLPAIIPLNYYHDKKRR LEYFRIAKLIAQENKNNPDKPPKGIVGDSPMPQSSQQVTAQDPSSSDELMSKCEKILEGI SIIEPKPPFVNFKQQLQQYKLMQSTNPNFKYENYKPPPKEQQNLQKKPVIQHRKSNIYSQ RELQKRKISPQNLINCILLLVKLTSNLQIIVPCYPNQQRIATLLKSPKCVTPRAPQQSPH LPKKVQQLELKVMSDLQTLLAKKQIRDSKQCCTVHFDSLSLAQDPRSLTQRYEITNRLKG QSSRKKLNIKEMNQFRRVKIQEPLSNREPNRKINVKSKSNDKPLQINTSGDQKLVYYQQT HSARQVTNSQQSTMLKQSSGQSSSQQMHHQKSATAKAIGKSMKQTSTFDSQLYKVALNLA VKSVRSRKQ >CAK61718 pep:novel supercontig:GCA_000165425.1:CT868015:186155:186603:1 gene:GSPATT00031950001 transcript:CAK61718 MCVTQINLNLRYLIKMESRSYNFSTAKKRSTQMESKVIFFPREDENAPRTIILKQECPGE LAAFKECVVRTKDESKCMQFKDALLECGKPAFRKANTTPDYQF >CAK61719 pep:novel supercontig:GCA_000165425.1:CT868015:186643:188545:1 gene:GSPATT00031951001 transcript:CAK61719 MLPNSYVQSMYINPQQQVAPLPFGYTTNTPTKPVYQPQPPIETEYQMKVQPEPHREQIIV QQPMPNKSITVVKPKKYSYLIDWLLYILPIAFFIIILLIMALLFASKVKHLNPEGAHNTL ETLKNNMQLAPIEDIVVATPSSSASTLNMISYFTHDAIECPDGYGRTHLGQWDGVSSGCI CENGDISHSLTCFYKSNCKRVKSHDPELFTTWQQKQYCTKLYAEWKTLEGAACQTSYKQC GNVCVPENKNCPLSGLLKDNSRQNDRNAIKIGTDNYIKQFENSSPIVSIEVVPGIGEANS SPCYNHKLNPKFQSAKYYPLAKRPEIGCDDYKDLQPHRITLNTFSAHQTYQQNGLADVLS QLPFYQNYEDNQDTYALEAIKKIQINTNEVCQKLSPKDIDQISKSGQRVYNSERAMSLII IIGVGVVLFLVPILYLMKNRIFQWIDMTDFHQPKFLCGIGLIIAILCIGLGAVYLNEVDG NNGLREHNTQFSKYLEKNCFPDEGLKQAITEVNHFAKNAYSSTYSLVVAAFYVSIIFIVL LIIFVAYQYYAHKSLFDNPWTSRQQEYSEFH >CAK61720 pep:novel supercontig:GCA_000165425.1:CT868015:188553:189347:-1 gene:GSPATT00031952001 transcript:CAK61720 MDSIDDLTCKLGSPISDQQTNDTLSPIQLRTIDILSDLKKSIDNNNKLHFAFKDQVYYFY QMMRNMTIKENYSYQIASIIFLSEYQDSSSQKVENVKQILQECTNLNLKTYFIILNNNNR KPILKELRQLVVQFPCSLRVIDTEIQNVDQELERITQQLRIGSFDTRLFVHISTNKAMKT YLDVLIDSLQKFEEGLTQNDRVYWSSCKTIQKFTAVEIYEDDEQDMRKTGKENKRKVILY GFTLLVILTIICYLLYVVIITFIQ >CAK61721 pep:novel supercontig:GCA_000165425.1:CT868015:189575:190597:-1 gene:GSPATT00031953001 transcript:CAK61721 MEQDSITILSQSKERRIKVILIGDQSVGKSKLLEQLLNVESKVNDKEIQTVDFFISRVIL PDNSEQKIFIWVTTGEIKNINLIRAFLIKSHIYVIAFSLNNPVSFENAVNTWFAQCYKNA RETNNYYYFIGTMSDKEHLCGSYQDIIQIIADKCQELKIEKNSQQVPFPTDKAYIRQSAK WNDDNIIEFAKNKLPNNVFYSETSAVIKTGIERSSSIFIRLLQAAVKQEFAKDGIEPIIA PSRTASRFSMAQMQVPIPVVVPTSSARQSTQTGSLQVSMAKDPVPFLPFEQKESKSCSMA EIKKEQNLCCMIQ >CAK61722 pep:novel supercontig:GCA_000165425.1:CT868015:190667:192029:-1 gene:GSPATT00031954001 transcript:CAK61722 MYQFISPRNQFQSINYLHYFQKQGESILNNKAFICKKGDGDIFFEKLISVIIDLEERAQI QLLYKSNYLFPLIGCMEIGRKVRGSLALLRFIKYGLWGDESYLSGCLIGHNEQCYFIFNI IKIYIQWICIRSFLHQNKKQLIAVVGDKGVGKHQLIEKMLDVETKVNDRDIMSIDFFISY IWIGDCQYQTIHYWVVNLDSNQQQVKTMPFIPQSDIYVIMFSLHQNRSLFNALHVWFQLS SQKRNAQYIFLGSDSGESRQCGSFNDIIKIIRNKMIRSSPNLEEIQNESTLREIRKTIAY VDDFIDESLPENVQYLEIKDYEHQPFKRVLISSIQNVISNERQMLYSISAPISPNIMPQL QKSVSEYLQKTEFMERTENSKSVKDKRQSEIVQTLKIDYSLNIQPIQSTMNPSLLTLEQS SCSQQAKKETGFCCQLI >CAK61723 pep:novel supercontig:GCA_000165425.1:CT868015:193080:194969:-1 gene:GSPATT00031955001 transcript:CAK61723 MIGTEFKIVDGYVVTGKFLGSGAFGSVYRGYKENDPKQICAVKVLPMIEATNNKNFMKTI KREIEILGKIKSQYIVQMYHAARTARNFYIFLEYCNGGNLRELMKQKGGYLSEQDALTYF KQIVEGFKAIYQENVMHRDIKPANILLHNGIAKISDFGFARIVDDMEGQDRFTLLGTPLY MTPQILEQSKFNSKCDIWSLGIMFYEMLYGHTPWTANSQLSLLDQIKKKPLVFPEQPKRQ QIIKELISQMLIIDEEKRISWYDIFEHQLIKSNSQELKNKLNLIIDSVQDTLEQSVQVNR FYIDNNLVLEQGKQQQELFEVKESDEANTPADKDLGQQTFEDLKGLTYNDIMEVQTKKLQ EQITNNKIDAYLTLQRNIGIFVNLSTNYIFDLYKEQLISIPTHLFYRILFILQKKQMIIF EDLTNIMASKIQINNGFTDQDWKLYKKNKQYFTTLKAIKTDKYYIKPYYEEIAKRTQTYL NNQIAQNKLNEQEQQDLNEFLSIMANGLIQDLAFNSVYEKTLKITQRLMYEQLCQQKKLT ENKNFLLALRYLVLCLSPEMYFRQQKEFPDFNKFYEHYNNSEMEQLLEELHKEFSSF >CAK61724 pep:novel supercontig:GCA_000165425.1:CT868015:198009:200903:-1 gene:GSPATT00031956001 transcript:CAK61724 MNLTQLESIFQQLNSQKTPPSQWTIDQIRNFCDHYKLEWAKEILRIHNNKQLNVDHLLIN NVLGAKQKRHHEKVQDKISILEAQGLQNDVIKEILFGKSILLSKLQRQKHSLSNHQIENM VIHSSIFMRPIVFHLKDYKDCIEVEFVHEENVVGVLDLKENEILQQITCYTKQIFMADKT IEHRRNNDIYIKSNLIKLLFTNLQYLPKESPLFWDVEQVSVLLKIIKLNCLDELFKQNLI DGFVISVLGKCPFTETSQLLKLFNFDLQHNTKIQNVRLQTHSKLTQLQKYTYIQNVFLTL FYLANTLNQTIYNCLEIDQFQTEGQQISHFDYYDLFYFNVKCPQVWKKSPDKKAQTSDDL ETFKVFNLEDGRHESIAIDAFRSNSVGVQHHVKNNEDLQKIQKANSGIESSVRQRQDLMY CQKELEYKAQDTSSENCITNETTTTQGTPLIDSAKNTADSQKPMQESVYFPQKQELQGSV YFSNPEQQQQMQESVYFIQQDIKPMQESVYFSQTQGQQQQMQESVIFPQKEQVQMQESVC FIPQKQQMQESVYFPQQEQQAQKMEGSVYFSNQMQGSVAFPGNQNQQMQQSVMLQDPKSN SLGQSEMVGQSIMMGQSTFTNNNINNTSNNKPNIKSNPDPMGQSINIEQIIQEVQYPQDK KKQQKSVKLKVSFQEGDEKKKGEIQNRTPTRQKRIKLITSIEQASLQSVITNPFILNVSH QMKIKSEQFHKILLINLIGATFGRSQDNQYVLQDQSKISSKHARITVVNDRFHLQDLGSK RGTFLNANKMRIKKAMVFYIGDKQAFQILEVNEIEGTLTFAFDKELIDTKQVNLKIGETW SIGRDLKRTHYQFIGYPIHQLLSQIHCIISYVSKDGEPKFIIDDQNSKNGTWLRLSDKKL LSEPQLLLRNSKFNLAFEIQYEVIDVYYQNNQ >CAK61725 pep:novel supercontig:GCA_000165425.1:CT868015:200941:202485:-1 gene:GSPATT00031957001 transcript:CAK61725 MSIQYQHEIESHILQQYEIQKVLGEGSYGKVWKAIDRSNNNIQAIKKIKGAFRNSVDAKR TVREVCFLSQLSNHQSFVCLDKVIQSKNSNDLYLVFEYVFSDLHKVQNLDIQVIQSKQLM PIQQNFIIYQLLKALDYIHSGGLIHRDLKPSNILIQKTCHIKLADFGLARTYQDTDETIC GYTQNVATKTYRAPEILFNSSIYSSSVDMWNVGCILYEMISGQALFKQQQDFSQIAEMFE ILGVPKYADIKSFQLNQKNLKTLQSLTTGVEKKYPKLYEMMSSFDPLERDFIRKCLQYNP KQRMSASEALKHPYLKNLTPIFEAQLGRQSPMPPSPSVQQFQALKNDNELYSVQEYKDIV NKLINFRRGNIYQFKTEYNRSVSTYSNHQNIIQTPYKTVAANNLSPQQHHSPKLCPFQLH TRTQSDQFFSSQSQQILSEHLANTFQKPFLNKTTKKLSEIDQNASNVSQIKRKQSIQTSS SLVQSPHY >CAK61726 pep:novel supercontig:GCA_000165425.1:CT868015:202567:202915:-1 gene:GSPATT00031958001 transcript:CAK61726 MDIPKKTPFNPETNVYNLNGKTLPKTSVFIAKIYLKKFDVVEVHALGETISKAVKVVEQL QRQNYVTIENINTFTQKFEDNRTKAKIIVTLKVTDDGKKRVNEEIKQ >CAK61727 pep:novel supercontig:GCA_000165425.1:CT868015:202935:204107:-1 gene:GSPATT00031959001 transcript:CAK61727 MKYALLFLIFEVVFVTAQLCTETNSVLNYKYKASSPNTQNNEWNYQSITSFEQCKQGITS DTKNFDISQVSLLNPKISLDVEDMIQLVMDNASGPLAQYKTDQIKLISWGATNIARYQSV YFTIGSAEHNFDFWSKAPDAEIQVFFIQDQIYQQCDVEPLYAVLSIPVYGLTETQDIRYA INMNVTTNLDQIPDFDFKQNILGAYNSDMTSFVSYKAPLNIPPCGNTNWFVLTQPQLIKQ SLLFGLLGLNFEKTLQRTSLEGKNLNFIKGRFIYEGEDNMKDYDDTVEWAATWVASIIPC LFFALIVCVYGQYELSNIGRFKRPEAKQHEGMEQEALHQDDAVENKLA >CAK61728 pep:novel supercontig:GCA_000165425.1:CT868015:204255:204735:-1 gene:GSPATT00031960001 transcript:CAK61728 MDQEIKKLEQLKQQEILLMQQIYEKKINYLNTKIQLLTEESQQKDIVLQNLKNASSENHI EQQDKTQDIKNLEMQKQKMDDQKDKELQKLKTQYQLDLQNIHQQMRNRDSIIEQMKLEIK MFEQSSKQNLDDQKVQILSQIMDVCNELLVQL >CAK61729 pep:novel supercontig:GCA_000165425.1:CT868015:205433:206260:1 gene:GSPATT00031961001 transcript:CAK61729 MIIFYIFGFLYCINAALDYPTACDCKEHIDQDACEGHNCHWAQSECRVSECDERSLSNCT SASQFLSQNPYYCFIKNGRCEKLSKCEDIQISQSNVGQAREQCQLYQCAYDLVSGYCFKP EKCDDIYDQFTCDSFLIAKSSPLEIDSICYWDKKCKARSSFINNCKSIYDKDVCQQGGCQ FENDQCKEIDCINRSIKECNGEYVDHQGKVFVCYENSDKCEKIETEKLHKEVCNSMIGHT YKDKQCKKCISYADHWK >CAK61730 pep:novel supercontig:GCA_000165425.1:CT868015:206566:206955:1 gene:GSPATT00031962001 transcript:CAK61730 MISNPMMESDQNNQQTRSGQSQGTYQLVKSSQIELSVRNKLIEQETEYPKVPQSRQKEKL LCTSCNQVVESNVQYEMGRCSYIVMIILIAGIITAILAFLPCLLDNCKDAKHKCSKCAQL IGTKKFICG >CAK61731 pep:novel supercontig:GCA_000165425.1:CT868015:207152:207703:1 gene:GSPATT00031963001 transcript:CAK61731 MHRKARLANLLLNTLKPNDKYIRSNQKFKKFQIYSRNHQQLHSPINHPTPQQTSTPRSPV EIKDCSKNKKISSMYSQNQHPKKSNTYFLNILKTQLTRIDKNINQLIQTSRQQITEPDDL NITSKRTKQTIIKTIKNHPPYKSEDSIALNKRILPQIKKHTPPSNLLARTQLRLSRFAVH CIN >CAK61732 pep:novel supercontig:GCA_000165425.1:CT868015:208126:209534:-1 gene:GSPATT00031964001 transcript:CAK61732 MATLIEKFQALLQEVDNARLDTNNLEAALLKLLTFDSKSEEHLKHKESAYNKLSSLYCKQ NKPQLVFQIMKTHDFSGFNQTRAAKIMRQMIDQVSQLEGTVELQVEMCQFLIDQCARDKK NYLKHKMQIRLATLYNEQEKFSQGIEIIDKIVVEVRKADDKHLLLEIYLIESKLHFGQTN LAKAKASLTAARACSNTIYCPPHVQAEIDMMAGVLYAEERDYRTSYSYFYEAFEAFNNLE DKRALGTLKYMLLCKIMIGATDEVKQILTGKHGLKYAGRHLEAMKAISNSNQKKSLIEFT KVLEEYREEIEGDKVMRLHIKQLYEVLLEMNLFQVIQPYSKVQIDYITQRMQIDVEIIQR KLSELILDKKIDGTLDQGNDCLILFDTVKHDNLYQHSLSLINNLNGVVDKLFDRVKVK >CAK61733 pep:novel supercontig:GCA_000165425.1:CT868015:209639:210689:1 gene:GSPATT00031965001 transcript:CAK61733 MVSILNEYSVIYISVLIIQKQIKRFINQNNNLVPEMNTIKELSEVTCDKLENTEIEKENS FTYEEPHYVVGQEMLEEQQDDIQLQVYSPQQLLQLKKPNPVNFDEFILDEDPKKKVCTWQ ENTTAEQSEVQMMGDLERLAQQLQRDNQKRYSYPKNLPAPSNVDYHFEELNMRFTPSQKQ DEFISNYVKGDDLLRIKVGLCDEILNDEETLKFEDWVEQLCTSTNYESLKEMARKQKVKR YLEKKHNRTYEKKVHYHIRQKVAEERLRIKGRFVTWGQALNMLNEQDQRKSWSYNDYVKI KGLLSERFGGVKSEKSLRF >CAK61734 pep:novel supercontig:GCA_000165425.1:CT868015:210691:212141:1 gene:GSPATT00031966001 transcript:CAK61734 MDKQCSICIKEFSFIKQNKSKCKRCGLVVCLDCNKNEFKISSSMLKPLKKEKVCLQCKTD CLYMEDLLEQLELSWNKETELQFELFQQSLNQEQYKQQIKDAKVQENFKQNLEQIKSDLI KCFITQEMFNYSFQDWICHSTNKMKVNQIFDDIENLLIVFLYRNSEVGYSCELLQISIVL LTLMKDFAALQLLEQIYELMPIEYWPTSTQEPNLQTLVQDFQLAFKIDSTQISQIKKFME FFSTPNTQSLFIKGLNFSCFHIIFQELVQSMNFEVIQKYLIVVAKCCLKSFGQFGFDYKT IGKQILNKTDYKSITQIKTLLDQKLGNSQLTFSSPKGSMIRKRNSVCSIENSSFTDTLPQ IMTQQNSQREIKVEDNFIFNFQNEQNLQIMQLMKMIEQKKKELHELQIKNNALKNQTANK KQISKQDHENYITLIEKVSLEIQDELKQGLQLCDQK >CAK61735 pep:novel supercontig:GCA_000165425.1:CT868015:213097:213903:1 gene:GSPATT00031967001 transcript:CAK61735 MEDICVLNLLPFASLSVENQYPRQNELSDSLSSQGTNNQGEQSCTLNETSKKSQVTKKKI SKEQPQDVKKNQGHWSTQEHLIYVEFLKQHQNTTMQNQQNRKNNKIFKLMSMTIGTRSPS QCRSHHQKFNPFTLAGQKRNKKNKKRINAENITYANEVQQYYTPEIKSQINPCHFEYLSN NEDSRPQLQNFCCNSNDDSDNCYRNDYQYLEELIN >CAK61736 pep:novel supercontig:GCA_000165425.1:CT868015:214239:214819:1 gene:GSPATT00031968001 transcript:CAK61736 MDSDSLSLFKKKQQREISQALLYERQVREKELQKSQIILKSCERMSLITDRQLQKLKQSQ ISTVKHQLNEHRFKSLESNRSSCSSRPKLELDQEAYDRWMKFQKRQLNRIQTEKLRKFEQ KIERGEKIRMMKELEQKNKQKLRIETNIQKEKIHDAYTQRLHKLQKQVYAKSIKFV >CAK61737 pep:novel supercontig:GCA_000165425.1:CT868015:214919:217199:-1 gene:GSPATT00031969001 transcript:CAK61737 MNNYKVYLRVRPIDYDQSMMNINQNTISIKDPTNKQAEWQQYCYDKIFPSTSTQKELFDE VFNFDCLNKNACILSYGQSGSGKSYSLFGNVQNPGIVPLFVQTMLEKSQVVEASFQEIYI DQIKDLNTNLVTEDFTRRQIMLINDLWDMIKIVRKTDIKRQVRTHVIITLYLNKDTKIQF VDLAGSERVAKNITEGEKYQEAIMVTSSHQVLNKCLNLFNQNKVIPKRESKLTSALIIDN NTQVILIGTINPSQSNYEECLLTLQYLDRTKNVQVQIRKQQSPQGLDTPNNSQQDKVIKR LQEEIQEYKQKIEQLNLDRKKRFMDLQKLLGLDIDLERLSAKNAKDISIFRNQQEALQKN VSLQEQLEQQHYEIAELVRKNEELKQDFHQKVERYQSQLIEQREINKKLKDQINMTKMSG DDALRQLNSERDHFIKKLQDESKNLLEDKVTSIINLPQTALTKLSENQKLQDLRRQARNE AEKEFNKQLDQIKLEHQKWLDTCKQQYEYHLNEKNKEIENFLSSFKQYRERKKQQINDIV DELLDLYDIVQKQNKVIDKIETGGYSGGLKSFSIPKQDKPILPNKIKHKNLFLYLDTKSV SATITKKASTIEKTIKTTTKQLKQSQSQFAIEIDYNQIDQINFTSMDLSTLRSYANKLRD LVKELQDQMIVVQDKCKQQIAQMQRGQFIDYFVERDEAIQKYNIENRRYNQNRVVIESQS RILQKIRPISSIQRKS >CAK61738 pep:novel supercontig:GCA_000165425.1:CT868015:217205:217970:-1 gene:GSPATT00031970001 transcript:CAK61738 MKSLQNYEQFKQVKQNPLNNSRSKQLYSFSKSPRFNYKEKRDNSPSFYTIKTDLISPHKG VSFGSGSKVDFSKLGTKTPGPGHYQIKQSKTTQESNLKKHTMGVGRIQTKQKSEVPPVGL YQVTQSLVQVNKAPHFGLKLLPKNETCAPGPGKYDIQVKEHSKSFVAGFGSGRSGQTIED TPGPLEYRPRTEMSPKYANSNWSNCQVTKFSKVQRFGSNATITPGPGKYQIAGEFGIY >CAK61739 pep:novel supercontig:GCA_000165425.1:CT868015:218005:218538:-1 gene:GSPATT00031971001 transcript:CAK61739 MQQQTIEDLAEKEAEIKEAFEIFDKNKSKSISVQELTSVFRSLGYNFSQDEIQSMVKELR QTQKVEGAEDKELNFDDFKNLLLMQEEKAKSGEDDLRDAFEVFDRDANGYIGLEELMMVA KSLGENISEEDLKGMLQYAANTSNQKDDDKDKAPQINLQQFVEAYLK >CAK61740 pep:novel supercontig:GCA_000165425.1:CT868015:218944:220345:-1 gene:GSPATT00031972001 transcript:CAK61740 MIELKILKSLRVTIQNKNYDYLYQSNSIKIKQFVFCYMNSKEYIHRMLYLSQLKKDYLEQ CLSKEQEPDYQLIQVISTIESNQNSNLDLTLVKATSLQLILPLLKCQNHIEQITITSQQA QQLKDILPQFIEESNLDIQIRKKIPQLDLNQINSSTSNLIQSSQRQNKLQKSPSQYQFNT DRLQNPQSTTRIKKQLLTTGLNQQPQPKSPNTKIVNVKLKKQHTEIVGKSLTHKKRLQLQ SHEDLNRKVSSHSFTKHKNTSSSPINETTSIQRQISSYASGQHQLKPNSSGTNIQIKTLL ESQQSQKTISTTGNSNDQNADISIHLKNLISFCNQSILKKNQECYSSKKELSTQQTNSSI LQVKENNKENTQPIKKSTPLLKATEHTMIKLTELTEEDNPETARFNSDDDVRKLTNFNSK GLQKLLQSKTNQLSEQSSTQSLLSLFQRK >CAK61741 pep:novel supercontig:GCA_000165425.1:CT868015:221198:222115:1 gene:GSPATT00031973001 transcript:CAK61741 MRKTPINLKYQQNYSPDGYPDNKEYKKQAQASTKIASNKLQEFFIEITPTTVKQLTDELS LSILKGIDDEVDLIYVRFAETGGHCIDLKKMRLINTLNGKSRQIYHKVPFGRTLLQSNIK VQISPQPSNNNKLQYTQYHWYEQRNGQFRPLQQQISDIIENFYQNASQTGQSICEFTWQQ HRFIIDLKENTLENTDTLIINHIQRRNIQKPYQEIPKKPISRGALKIIVWQYQLQPKSYE WNNYDQENTDALEKAYEKYCKNSRKSNTLNVMRNTSKYYIDFDKMIEYNLFNKESRQIRR FLEEG >CAK61742 pep:novel supercontig:GCA_000165425.1:CT868015:222193:224255:1 gene:GSPATT00031974001 transcript:CAK61742 MSKNQEKLKKELLEQVSRDVQNAQDPQKEAFKLVQNANETFKQFESALKKPLDDEMLKQR GSSKKNVQFADTIKTSAEMDRPKSVENKKDEKPILQPLSKQNETKASQPERQSRASKKES KVDKPQQKMNPKQEKPIVVIPEKKPQPKENQESKKSNLQDLSQKPQPITFNQQQVQERER VIQNQKQVFSESESSSGYDESRREKGNHGHSCRKHSKEREIANRYEEIILKNQESAQQLQ VQLNEQNIEKSKLITENIKLQSINDMLQQEIKNLNQQLSQSKRQIDQLDKQLYDLKQASR SELLIVKDQLQESKFQCAELENKNNYLNKAKDTFEQNLKQFESEVGRLQKINLQQTQVAA QDKLAILEKDGTIERLEKLNHQLSIDLNQINALYKEVNEKHKSLLAAYNSLENQKITIEN DLEFRKKDQQENLSALKEKIQKLTNQKEIYDEEMGKMQQIVKDAKSERDQYKLQYEQMKV LCSESEELRKRLKLREVENEQLQCENDKLKWKVRKDPNGILSDLKIMKLQAEDPNAKVSM EQLSKLDNQVRQLQEENNRLSAENKQLLKQIQQTELYVQEVKSMFDRERSFLEEKVFNKE KEINEMIIRHRHEMAEIENKNQDFQRRLENENKARQTHQAYQQQSYSQYNPSSAVQQSAS KVSPYSVPQLQAKIKSYI >CAK61743 pep:novel supercontig:GCA_000165425.1:CT868015:224277:225348:1 gene:GSPATT00031975001 transcript:CAK61743 MIYIYIFAALLVVALIKFIWTSLISPKAPSALEPKHKREYLTCQLIEKTKLSHDTYNFKF ALPSKKHALGIEVGQHIILHEQIKTREYPEGELVERKYTPTSPVDQKGNFDLLIKIYRAN EHPKFPDGGKLTSWIENMTPGESIHITGPGGRLMYLGYGNVQINKMPQLYRKKYKRIVMI AGGSGITPMYQIIQAVATNNNDRTQLALLFANKSESDILLYNQLKAYASLKKLTLHLTLD NPPAQWVGFSGFVTKDMTEQAFGKLDSQTLALTCGPPMMNSLARTNFQSLGMNSDDIFEF >CAK61744 pep:novel supercontig:GCA_000165425.1:CT868015:225496:227614:1 gene:GSPATT00031976001 transcript:CAK61744 MKTILLVLLSVQILLAQDPWVTHYTAFTSAEINDLDGWVVKKAFKGNTFSKCDKVSLVGG YGAFGKGATALKQLALPPHYKLKINVQLWKIDSWDNEIMFVLVDGFIWQAKWHYSEGANL CGAANDWKEAFYNIEFEVPHNSPTVSIVLTSNLDEDALNESWAFRDFKLSFQRCHSECAV CGDNKPDNCFFWTNVATNWNKQISLEGWTLDGEGKAESNECAGVQLFGGYGKLGRKANLW KRFTNLPPHFQVKVKVQMWKIDSWDNELFLMEIDDQEKFRQAFAYNEGVDLCGVDTNAKQ GEGWAEKIVNIEINVPHKFPEVKVLMKSTLDEPPENESWGVRDFQLFAAQCFKGCTGCTG PAKSDCTSCGQGFDLVNGECKEGIKWMTLNRFFFNDEQDFQGLYDWVPSSVFQNQNPFST CGQKKLFGGYQRFGAKGKAERNFNLPKHSRLRIQFQFWKIDSWDDEKFQLFVDGKVVFER SFGFSTPGQAKICGAPQSTWMTYFFNVDVILEHTNPTANVVLTSTLDQAADDESWGFREF QILYELKEDCVELYTECGFKGTKFDVCRDTPSLAREKISQVKSIKIPPGVVVQGFDEEVY KGKTVKFSQSQDCLEEIQFSFIQKKFEIIQADDSVLAANLRRIRFD >CAK61745 pep:novel supercontig:GCA_000165425.1:CT868015:227847:229766:1 gene:GSPATT00031977001 transcript:CAK61745 MEQIEQSVILDDEGVKEMKEISQCLNQTPILLDQNLTMISNLKFTFAIDDYEQRQQNNVN QNGKTLDQNKKNNQLYNMQKDGFFQEMSLLKNISFSDLDCQKFRSFESIQLDKNCELTLF SHNQKKGIQNIQSNGLLSNQIFNSKFQSNLLSGPHSSRNLESKNQSAHLTSSDPQLEENN NRWLHKYPSYIIQKTENNEKSEYPTLFKNYSQIGRNTNDASFEDQQIKQEFQTLINASKA QKEQQQQANTSISSINKRKQDQQKQNQDIQNQNKTRRPSSPKFKFVVKQKKQSKNSGQHI KLTFEKGQKVFDISSQRLNKETSKSVEQLKINDHFLKLQNEQERELCYKPFENTKYSEIS KIFTRKNHESPTAALYKKKANKSKQNISQNTINSVNNVSNHSYDNHSGQEYEDSFENEAQ DQYIHKVDLQQLLKEKQVSPTTQSQEQSHFERNVFSFKPLIDFQPQNDSKYQENIVNQKQ NNQFQLTFQPQQQYQRISPIRRNDEDLRIKNDYQNQYLSKHQNQQSQYSEHNNQQSQQQN EIQGNKKQPIQIKLNIQQFMNNSNSEKQQFQEISPHSSKIVNHSNKNSQNFRTLKK >CAK61746 pep:novel supercontig:GCA_000165425.1:CT868015:229826:230875:-1 gene:GSPATT00031978001 transcript:CAK61746 MIKERSITSKHFTAKVQHLFFPDEDNFTRFCRKLRKCKSTFLGCIYQLTHQTIIDILISL ATQGCRVDIIMDQNSEEQEERKKITINKLLVMSGFKVNVSLIESKGLMHTKYCVIDNKIT ILGSANWTFQAFSNNFEHITIIKDSKTAKQFTEQFKFIWDQAKQAKFIESQVEYLPNQNY VEFESKKRISPRLRIQKRQFKMKFRKYKPKYQQKQKKKQQKKKKQIPQFQQAQVDQKQHQ VEIEQIEEQQKRYTFNQLEIKEFFENLKLNEEKKQPNNEKNNTIKKSKINFITQDINPWQ IQQQLVNPNIIQNSIKRIEPQNKDRNIIIIDEEDDEDVQIITDFSKNSH >CAK61747 pep:novel supercontig:GCA_000165425.1:CT868015:231075:231770:-1 gene:GSPATT00031979001 transcript:CAK61747 MSQRLTISKFNPFNTNDNPDLDRVETYKTFYKKRFRKLQADESPHQKIVPQSILLKSGQI PFKRSFQKPKEDIIDVKKLQLYLFRISQICELWSYKYNHVVQKVSKLNNVSSILEIQRQQ QRKSQKYKSQNSYIHTQLYRSDQIPYNSIVISDKSKLKTTQSDYTLPKIESLSSFQKQQK TKFSTLSPEKVLTSSQYPKNNCISDFKGKLKELIEICDHEQVFSPKKFSRI >CAK61748 pep:novel supercontig:GCA_000165425.1:CT868015:231798:232694:-1 gene:GSPATT00031980001 transcript:CAK61748 MDFKSRFKGKMTIMNKDGVIGMVNKPSIPIVKNNQYVASKDEQSPILQNLGQYTEGIRET KSHIFTNIQTSPSKRILTNYTQKFSKAGSQVIKQHHVKTEHHYYFDDPKRQLNELRMQQD FNQLDMDEYEIVAIPKKMLGQLRQQMSVDKYGLTKSFRIDKKSPVELSQLSPQITTKCTS YNQTPLLRKQNTFDQVVKPSPFIKQRSEKVEKKNQIIQQAGIGTLRYNSYSKR >CAK61749 pep:novel supercontig:GCA_000165425.1:CT868015:232789:233946:1 gene:GSPATT00031981001 transcript:CAK61749 MNNTIYLSPNAKNPGTKSFSNKTDLYDQNLQCNIFLFLSIVHGNPQLNKLFIQRCGNKDK FLQMFDTLQYSALLTKVLQIVNQYFTETQSQCYEHYPTSNNKSWYSSDQKYTPKQGSNDK FQSMIKKIITTDLDPLKSLINRVQEQNSTQYQSSNKLASASQLSNKLSEKLMKTNILKDQ LKEKITKLAMQNSYNYQYKVSKTESDATQSQPALLRDTPSQTISQQDPIFHKPNRISFGQ QQLFNNASNGDQIIEYQQNGKNQKTLIESSPTFLYHQQKDHAIQTSETEINVENSNIRKE DHSNDHSLDLSQERSKRFEGTFGKVRNRDGKNKKKSNSVSGNVSPQRSERQNHEVISIDQ IQHKRKISINSVNRRYNILTNEQLE >CAK61750 pep:novel supercontig:GCA_000165425.1:CT868015:233983:234984:1 gene:GSPATT00031982001 transcript:CAK61750 MSILTMYQSNTKSTLSKVQSLGAVTSTPLKTNKSLQQDRELLYNSQLMQKALIESCKKAK ENRMNSPTYKVTDTIVQVNYYPTQANQDTPIQKSGKKKALFSGIDSQIDPVRNVHTSSNK TNVKLMMFNEGHYMKKYQQQSTEIHQEKDYRSSIRVYKQEQKTESEQKRLRKSVPGQPTA KDPILSPDSKVDKKVRKFQNAKQLRISCLQKSEQQGKMESIRTYGHANSSLGFRNSVAQG QKDDTSPMKMRESNTYKSDMKSILSQNETLQKLYSRTDYKGCDNIKKSKRISIKMDVPRP TSAFALFDK >CAK61751 pep:novel supercontig:GCA_000165425.1:CT868015:235625:236735:1 gene:GSPATT00031983001 transcript:CAK61751 MDSIQAYGKLQNWMAVVKRLVLVSTLIQQLICNATPLELKNSINQSSSKLNILLDKALIC KQPLIYFNFQQLQMQFFIFHSYILIASFIIILVPDIQSFQRNKQINTKREVTQMHQLTKF YQSKTIFNSISTPTHIYIIYFFLLFLLSKFLPPNSITPLIFTKKLPQGGQYRFKGTQPLW VNALESLLSVVVQLYYKSDQELAEFMKEKVLIESKKELKQEKKPGRKKKILDNDSPNQEQ TEQQQQQPSNTNVYSLLQQDVLDILISPLRSDFPFETWTTKEIAIFECGMCRYGKQYEFL SHLIKTKNAQDIIQFYYFWKFTSHYKLWKINKAYYHRSNLNNYV >CAK61752 pep:novel supercontig:GCA_000165425.1:CT868015:236859:237785:-1 gene:GSPATT00031984001 transcript:CAK61752 MYQQFQQQFENRNELWSEQAKLIQKITDEQNKQKEQNNKCEQEKEIIQMNLEKKNNCLER LKSKITLTSFCNKMQNDASVLTDETKETYDKEVNQYKDIIHKLEVNKSNESNTYFPKYDE TQKLSTFQLVNSKLINSSQGGIGLAVLQPPLPDNKVTTFIFKINKSHSLAGVGICNSQTA QLFNYNMEKNYQSKDHNVYLVCAGGQISSKLGYTKITTNLNFEDNQILICQYDPKGLKLK IKNLNVQIAFMNQVGKTYDVDLVKNTLKMSPCVMLNGNAEIEIM >CAK61753 pep:novel supercontig:GCA_000165425.1:CT868015:237938:249640:-1 gene:GSPATT00031985001 transcript:CAK61753 MADKKQQAQAKPGVKFQDQPAGVQQVENKPQVIYKNATEQKDQALKQFNKVQTLFKTYTA SDPQCIRKDTAQSLKSLLASLRDCMQVLNIGTFEDKNSNYYLIYNGTVYIFDICRVLRKS IYQYIAIEFLAFCITSMEGCLVLTSIKYLDWRIKLYAELAHIYHSLQSKACAYRTIDLGL QKVQELRELEEMDPPLMDYMDKILKQNTRLLKILELKFKVQAGVFNVDQWKKKIEEFGQD KEARCLAIIESLRFSPPNLSNTVKQTHVGNQLKEQLVQVSFDLLKNDMERIAIALEQQMD RITKTVEFKSKNILDELERNDMTKKRREFEASLIKEKEWRALADGLPLEYQIELAHHAYD VGNTKVFEDISNSAYVRCKYRRIECPYIQDVNILISTNPYPNIPNGYDKIQIDINEANLR TELKRLRNKNKGDQQQQQQQTQKKDDKKDNKKQPQQQEQEKQQTDQVGLGVQATDSELAQ INHNYIYLVYKKSMTPDNAIYEIDVVMADEEQGPVEKMNQGYRAIAIPIKQYTGVREKYK TVPYLLLKHTMNELSDENEKMTLLVGIKPLFGKNPLIRPDFGFQKINLDLRQTPKEFIRS PGMDYIYFTYKTDKYYFVKERELQILIHFIKLEKSYQKDVSQSIEDDSRLELEICYDLQS LKELMDMISSCLVGPLGIHFLKEKRDFLSQLVFLLWRKYFLPPLYQMQFIQELLITQEMS KQDYSRYDKLIQTAREIFKNGLLVLNEILFKIPYVDIILLCKVNLSLAKFLEEEKEAQIA EENLKICIDRIIQHRNSLTVRGVDSSKDLFLPFAATCSNYKIDEMMNRMREAWINQKNQI NREIRIKNRSNNKKQQLEDDELNEEEYELLEAYQSLLGDDNKDTSTLSIQQKINETDLII NALHADLTVALYRCQLKAGLDIQKNSIKKTSLEEEVEGVSQAIQKKMSIMQGETAQTIKK NVNQLQSTLQKEGKLKPPKPVIHQFETEIMNQANKNPYANCLLFMLMASIKQKQTEQRSF LVDSLKYLQQAEKEEQLHIENGINDAIFVMSTLCDNIDTQTTSNNVFPYNMLYNPQYIKS TQVPRKPILISRNSESVTFKLPPFKPKLLDMIAIDQAKKTITSMAIFGKISANGVKCITH LQKIGAIVTMNGLQRNEKYCFAVAAYDGTEGVSNGIGETGDDITTLHPLPLPLLASYLCK VAYQLSNFDICEEAADFCIMQFTEDSEFIDRQLHNELNPIHIKRLLQQRIKSVSLMEIQN LTETLLIKAKCLQKKIANTGTQAQKHIVLLKICNYLLLSLETSLCCRHFTIAKRIVAELY NMLESFQQEKPLQVFHLLLKAQIIIVEIPKQYWDANLRILSAKFTYEILKVCMKLNEITL GRRVITAELQSFNRKWYQVPKIIMIEQVEDTKKDTKKDVKKGGKPQQIDEPAQPPKQVPK LIRELYEVNSTVQDYLEETLLAMNDEFGDYVPSFVEKWKEQIDQLIPYMENPADQIDKIF SELSIRLEYWECIKDLSTATQKLPKQHPIYIEMLCKLIRRMIQTVFNEQFQPSVAIANDI TQIQQKCIQLDIEFYPALLPELIIDKNTNKVGNDDAIQLFEEYNTKKTQLLEQLKNEGQT INRFGIMSKAKRFEYVQLWRSELSYLKAILSYKSNRIRLVDVDCFVSVFNLDIEHLKELI NENDSQKQVKQQQQALQQQKEQQLQQLQQQAQQKQPPAKQPPGKQQVQQPVVELSEEEEL IQLSTQIIKNVADACGFAVWSKQYIVMLNHVKFLYNFLLKEQITPFIHQGQCWQDLCFIG NCINTLIKEVKDHGWFQVKEQAENELALQPHMQQQDYVFEPPLPNTEPIRPSLLTQLSKD CWFSKYKDMDLLANIMAYIVQSLMVEQKWNSLIGISRQFCNLTTHYYSQYILPFTIHAQT ILFKEAQNKTQLKQEELNARTQAFQVWEQTKKKKTRSSLLTQEIPQEEQDYRADKEMLLQ QIHLLQQKQDFIENQLKVSEKLIQEINRDANQALENLKQARKLYEKFAIDDELLSKESIQ LEFNSEQYLLKQTTLDKKQLQLMDQTLKQKKKNHKQFGMQVISKYKLTCELLHKRQEKFA QALALKELGSLNFAMQNYAQAEESWSESLDTIFQRIFVLKSSAFRQIIQECNKNNQLLAQ TYGIQQCLIGVTLCSILAYNCYYSNCHQQRESAILASELVSSVLKLQMINGLDWQAFIHT KEVAIGNIFNDRYVLDPAELALSCERCAWLLLDRDEALRAVPLINLLGELSNRLQSNFYS VRAKLLRSIALSSIGYINQAYQALLQIASEKDLPDQSTSLWQSRVSGKWWYSNLEWNNSI PPYDEKHTQLTEKILKELELTREFGLKYGLQNENIFNYAVALLVYNIHSGDIIEKWEIND LRCKFLIKVETILRNTLNKLNQEEQIEQRILTKPKEEILADPKMEDYYHLQGTSKQIGVT NNQEALTYLQKREERMYMMARSRNLMAKVNVSMGQITRALYIFKYAIENLQTYTLELSTN ENGEELENLPWDQLKPQGVVEDKKGAKKAPEKKGKEGKESKDKPIADEVPAVKTDAQLKL EETILQIVEARKTRHSLNIYYWIKLRTELTLLLYRHNRHEEALELMEALMNDCKQFNDNY HQRLIIEYQARIQFKKGKSTESIKKFKEAIEIGQKNFHQDPQMIVLYGDLGEIYYEKNEL QEAKQQFYDAYQMAEQLMQMINYGYGVVPNWNQKCGQEKIQICQDLCVPLEIEQEILKKT DKQIKTTKKDEKKKDDGKFARKGADKQTKKENIDKPQMPIKGLNQLPNFNFIQPTKLTYT TVDIDTINNTQYQYNCYIKNIELWQRASLRYVDIMITLNQANENSTISIDSQESSLASDS ESDKSSVKDIFDINVISEIVNKIDTSISKSINVPISFRLELYYQQSKIFKMRFVQLLLNL QQKYFMKYLSGKNKKYAEFYEKRPHRDLVRNKYFLLVPPFCQLLKEQGLQYLMQAKESLS KAISVIRGEAVLFEYNRKPEDILVAMAEICLFIREYRVRQGYRYVKVDYLQSLINSKNTE QNKIDLEQQLISMERSDRLEQLNLELEAQSYLKYAIELVKARQELLENFAAVALTPLPDV NKLALEIYTEIIEQDYQYKKKYNPMLFDESKKKVGVSSMDVLQFIQKVWREAKVMTFSGA YLQRMISKTHRFLKLHMQSYQKCLITSLEVAPKNDVNAVFIDEGTIVTKLLYNPVAHEFK ILYVLGGLNKEKIITSLSKEEKDKLIISDEKNVLYGNIYITDANVSMLLQDALNLQSKMK ESDQQSQKMKERDYKHHKKAFYKLIEQIGFYFFQKPSTIQMQNGQATETVKKSVAFKKSE SFMQEQNEPDILAKYETIVPELTHENIAILINLFNDQGQFQDITIIRNINEIINTFKLIL NIILLIGLNLFKLSIKYNLKENLLQQKCLQHDQDYLAVQIGLENIEENKYFCPQCLIELV TTKDLILISQANKQIQIKKKQVSKTIQDECQQKISLIQNLKNNLEELQLPLKQVFTQITG EIEELEKVTYNKLQQSQMINSETENLNQELQLLIDEESGLSTSEDNDDQLKKQILLQIKF SFYQTRLNEILQQFDKIQNLHNNNSDSSSLQNKKTISQNCKTHNEQIKMLLIDEKNQVKK FACVECISETQGKYISPAQLKQSFDNYQLQQEKSLKKFQLLKKESKNKNIGFLNKIRDMQ IQNINLCVKQLEENEKSFEKIIEATLQFKDQNILQMDQDQQLKLLEPVYSNQSPNHYFQI IKRQLDQDKSLTLKLEQSMEELNKFVIKNSTKSILNIQSMKSY >CAK61754 pep:novel supercontig:GCA_000165425.1:CT868015:249654:250816:1 gene:GSPATT00031986001 transcript:CAK61754 MNGAYMANGLILLNLCGDVLYIIEQRLRAQGIDKEKGLTVLNDLAIKIYTHPNLQKMFTP AKTLSNNEIFQQIDQVVHCSIMKLNEDSLSKLFDLVIMTLKSYLMKSNSPYEIYLCTLKH FDVIQDIGINDQAIQAVLQCKQTFIDKYSKLSSFDYLMIRGEIMKLLGGKFTRVQMFLND KSQNQDGTFQQRSYGLSGYGVEQPGLIKMVKIPEKYNVVLAVASQFKENQSTNPFTGQCS LGENIFQNKDSQQQNKQQSALSSQSSLKSEVLDSQSSKIQTQSLNHLAQLIQAKPINQQE DINLFYKPTVMKANIDNQQQPVLNNQNQPQQQSQILKQNIIQQFDDPNYNIQSQILQNEQ EEEDEVDLLALMDKAAQK >CAK61755 pep:novel supercontig:GCA_000165425.1:CT868015:250911:252005:-1 gene:GSPATT00031987001 transcript:CAK61755 MGNTCCARDTTIDRPIAPLVLTPITLDEDQMDFKRQHSAIKIQSVFRGQQVRKQELYPSV DLAKRTNKKFESTNPFVKKSLDNFGPFNFDQCNQVPGAQYLSSIEFNNGIIYQGQWLNKK RCGRGQQLFPDGTLYEGSWKDDMADGFGRLITPEGDTYVGFWKQNEKEGQGTMFYENGVI FEGEFKKDLENGQGIEKWPDQSMFQGNYINGIKEGFGKYKWTDGSIFEGMFTNNQINGKG KQIWSDGRQYEGDWVQNKMHGFGTFVWPDGKKYIGNYKDDLKEGYGEFYWPDGRVFKGEW YNGHPHGKGLFVDKTGKQEEGDWEHGKKIKLVES >CAK61756 pep:novel supercontig:GCA_000165425.1:CT868015:252461:253524:-1 gene:GSPATT00031988001 transcript:CAK61756 MQTQQTLFKPFNYELITKGDPIKQDQWSYALAFNVDCSILVAGCSYSIRVFEVKNGTLEE IQVLNEHKGYVNTLNFFKKSPLQFISSDADSNIILWKSINNKEWKCEQKLLGHTSSIWAL IINKEEDLIISSGLDKRIKFWTQQNQEWICFQTIDEGISSHVYSLSLNESQTQLISCNGD STIFIIEKQKQNEWQITQKLKTTQAGYRVCFINEKIFTFQPKAAENLEVYEKKENGEFVK STDVSVQGANSYCRFLFPQQFINQKSLLISKNGSYLNFIRYYQNNDQTNEFKLEQSIDFG SDTIGYLYGHASDDGKYLAIWNGQSLEFQIYQYKENEQ >CAK61757 pep:novel supercontig:GCA_000165425.1:CT868015:254505:258705:-1 gene:GSPATT00031989001 transcript:CAK61757 MFLIILASFALLSLCKNCSVFPYDYTKIVGKENEKVVQDLGNYFGQITHKDVVTLEDENN KLSLLNPISLISTIEMPQNINKIISYSTLTSPQFELLNKYQLLAINNNDDLILIWTTQFV AGAQGRMPKFDSNKIIGKANQVNCQSSIILDPKHFLIDCYQIEAGNLNNQFYLINQDEED IIKIENSYPLLAVQKRYILSTNNYIYRITTFSNENPSYIEIFTYDLQKKEIERKIIIDEE LVLNYIKFRKYDFEIVDVKVGPLDQILILDTFGNVFSMKYIIDQDTWEFGFLVMNSGYSI SFDYSFKSNILAVLQPFKIIYGMYFPQYYNSIEDLSEFKIHITKNFLYLVSSNKIMSFLL DAFEPNYETNGDFSKLQTGYLQNDFLVLSNTNIKHYQGRSEYKIQYINNQPQLKGVGTLS YNQCQVKIEYQTVARDSKLLILVSKGNIIDTPFLSDTIYLQNSQTNNINKLVDGPMQQIS FQKIDNSNSYQSGNLSYIRIAADSKTVYESEDLSLKNIIFTQTISMLNSKDNNYVIITQN QEQDLKIYSCYFSIAQACTISYETSLKFKITTQNTAIQYEDFSLKFITLLDQKNLFFYQF FQGQYLNQTITFTDKDPINEIDNIYTNYKYLIAFSKMKKIIAVYSFKDVSFQYKITLDQM NQFGISDWNPQRLFCNNYKEILFILNGQQQNEVLILTLNSNYFTLDYVMKINESKDIKIV TFVERFALIQQFENGMISFDVYNIKEGTNIYFEKSPSFYEYKISDLNSIYWVSSTNLLHF KAESENKYYLLSYLIEQTDHNSLHCIQDLNQTNQYITVDQNKIFQFNQDVIEIYQIERKP NLLYYVSFKDETFISNVKYQIIYKNGQELLVDQSFRLVNTYIDLKVYPDKLNITTNLSSK VQNNYIQNMGRAWYFGEVSKFNSEVPDVKGQKIEIIDPMEQVIEYYQNNTISVADLNTNY VFVLKSDSFVIVSKKTNIVVSEKSITQGYDCKNILATYQSQILIKCTQGKAVFISGIKCV DADCKEGDSWLNIDYEIIAGFMDEDNIYLIQSQSIVVYSNQILDIKNAKNYGLVTFNDFE YFYQGVAIQKIQNNLYHIYCSDKNQNFIVLEYTIKEEKFLRVDKIQYNLYDLLNNEFYIL PSAELNLIKVINLYKQDAIYKVDFIIFATEGPHYGIRMEFDCKSDQCALKQEQIQILYVL QGYGASKVHSLILPKVLIKQKYVSIVYDLKNQGLRSFTQAIYELPEKESKNPAVFFFAAI KDPSYGYYETFQTEMYTYANDLYLISNLKQYGQLRLYKVNQSPKLLIDGNISQTQVSVTL KNDYIERGLQFDLTISGDDSDQSDHKGHTVLWIILGILGGLIVLGVGYKCYTKNKSKNEP LL >CAK61758 pep:novel supercontig:GCA_000165425.1:CT868015:259339:259806:-1 gene:GSPATT00031990001 transcript:CAK61758 MQNQWRIYICCQFQQLYVAQFGQRKFFKAAFGFYYYKIWETANKCSILQNSICKIFKHLV TENKSNLLTSTFTFIFKVAEKISKLKMLQINFQHSLQSNHNNQQTHLIKSSVNEAYQEKK KLVSHILVAQYSQSKECMNVKYKVFQKHLKISPQL >CAK61759 pep:novel supercontig:GCA_000165425.1:CT868015:260529:260959:1 gene:GSPATT00031991001 transcript:CAK61759 MPTSYDSYLRIATTNALNAYNCTRRGCLSDLWYILQRCTHTGYEVQPKYSERKMKRQIAQ KHYFETILYLSPTPYLSFISSTTGSPSRFDSYKTLDLVSAIAQERRHYYYSATQFDLFKQ QLSLYIPPQHKV >CAK61760 pep:novel supercontig:GCA_000165425.1:CT868015:261601:262137:1 gene:GSPATT00031992001 transcript:CAK61760 MPRGRKIQQNYLYQISQQFQKFIIIYHVNKRKSNFKGDKNNEAIVFYEKFGQFSLLKRKL QNNHQGEEKISNENKRIQTQKKHIQKANKVEYQQMNKSNKLQEKQKQLLYQSSHKQFSDN DTSNDSEEQFEVQLLCKKCQKGPYKSQHSYQQHIKKYHQGKELKITKINTFQKGGNKI >CAK61761 pep:novel supercontig:GCA_000165425.1:CT868015:262329:262688:1 gene:GSPATT00031993001 transcript:CAK61761 MLVKMLKGLEVCKTSNKQLFNSQNKQDNKRLYQSVEISIMIKILFHSSMIQSKTRSQTDH ILANEKMKMKIQSYWYKESDHCLIETKLEIKKKQKRIDSEIIYIENTKQLWNLKNIEFT >CAK61762 pep:novel supercontig:GCA_000165425.1:CT868015:263002:263467:1 gene:GSPATT00031994001 transcript:CAK61762 MNSQLFPSSKIQHKIRFQKTTKINIRQIYRKNEDHQQNMDLKNRGLEIKTNLNDLTILSD LKNIQKQIKTLNILPKNLEYLFKLGWCYCTTHSNKRIIFNHILEDHLSLPYQMFLESITS NGFNNYNKDRIEQENDKLYKQHIIDKRI >CAK61763 pep:novel supercontig:GCA_000165425.1:CT868015:271033:271474:-1 gene:GSPATT00031995001 transcript:CAK61763 MLDVYQEAIECYNEAISINPKYAQAWNKKGNTLSDLKQYEEAIECYNKAISFNHKYVDAF CNKGFALGNLNQYEEAIVLTLKMLMHGVARVMHYQLILAMQKDQKVAQQFFTFIQDQQ >CAK81926 pep:novel supercontig:GCA_000165425.1:CT868426:1105:1746:-1 gene:GSPATT00039488001 transcript:CAK81926 MKKPDKSNGPKSTLQIVFFDLSIVPSQFNGDWCISQQLVIQNKQPDSTSQSIFLTERCNL MIFTSHIPSLRFNIKNLDQSSSFVILMKAKLPSLENYGLWYPIKEVLKNTFLILGLNVSI MIIRQVSIFSISSTATHINIYRLSGLVVKQGIKNQEEVLDSFQFFYSSKSEISSNQQSLT SNLISSFSSIVQQYSMFRSVGSAKNLQPSYSIV >CAK86043 pep:novel supercontig:GCA_000165425.1:CT868563:3:2973:-1 gene:GSPATT00019736001 transcript:CAK86043 MLLTKHKLSLLIKAFNLKLNSDQIWKEFQRKGLLIQINHNNDLAVIQLDQLQNNSLSINH RTILETCFKGWEIFLLFKAFLINENISFTFGSYLKRKLEIEGKELQKNENLLAINNLKRY LGLQISNKLLTLMQQNDEKLEEVIKIYRNLKQDKQYDDSLIIKVSHQQITKIIQKLEQYF ENIQDIIKIMRLNQNKQKEIGQITNFQKLNDLLRLQVDLELYLILFDRNQTQNIKGKVAN NELFDLITLITDELKNKDFQEYNFSSFDLSAIQQNRNKILKEVEKLVGIYEMEIYKLITN QLLQSSQNLVNYLQSEYESRCDELQKQFSKLNFHLQGIMVIKYQMKTVTQICQLEKIKQV FIDMHLLKFLEDLRYENLKLKLKLVALKRAFSFILQKAEPEELRKLSEVINVGGFLLNII QEFQKGIQTTNFEAQLQIELKNIEIIKKEDFEDRLSKQQGIVKYLIFKQSINEKLIEQDE IDLELIKKEFGQLQIEETPSSTFEKLKQIFQNLNVDESLQRVMDEKLNSSQLKLEQEKYG IFLSQLKQIGDFRKQIQIKNWNQLIQQTETVIRTLENFGCPDKETIKMLINEELILLKSI IIQQIINNEELQVSTSQKDNKRVDTEGNEKCHQVEKVQQIDLQVKKSENANQTFNFYSNE SYRTYLSFILKVVKLKKLILKQQMALLHNLLEEVNFFSNTINQIEKFNKEIQTKFQQRFQ FCIQEYIQKFEQLQFSQINLSQKKDENFHLYLESLEAKLFKRINDEEIIQININIFDFLN CLEVYLRNKLVQKSTFSINQVEEDCLYQLIKKIYLHQDSEKVDDVEESKEKFGFLDNLVQ RFKDFTNNEQWKIKQGLVFTIIQISSNCFSDSITSFCQKVLIQLWVQEKDLRVRNILKNK DLISMQMQILQKDWQSQHNRIAGKMQEMLRRIDELQEQISHEANLNKRDIQLKELDETTE QLDQQIENISEMGQQLRLV >CAK86044 pep:novel supercontig:GCA_000165425.1:CT868563:3263:4121:-1 gene:GSPATT00019737001 transcript:CAK86044 MLSQMSQMDSILRGGGCGTSKINPLSSEISKSDNQDLYNFFNKFNFYVEIICTKAVIAVD QSENQEIMIALQWFTYQEEKIYKLNKNAQSVAESYNLILEGIKKLLKSCLIYIRTDSFKC LYILQTTASLSKVVFSFHVMNEERFMKCDLQQEFLDISDELGQHVKIEKNDLIQNQMELF LFLTKTSFQISPNNSNQRKEILEGFLSGIIVSMIQMRPNEQLLESLFKGACYLYYSYVVD KNRKQFEICCNGRQQVILTMKDFKIQTKQFYVLRQYMIKL >CAK86045 pep:novel supercontig:GCA_000165425.1:CT868563:5104:5448:-1 gene:GSPATT00019738001 transcript:CAK86045 MKFVLQNTLSLVSRYQIREVHIFSKFSLQPQSKTMHTSQMNVNATALLGQIIVLTKKTAV SSTQAVSVVLVQSLKKTLSSQLCSLQPTKLRQLLNRYYYLDMREVQIRVKALTP >CAK86046 pep:novel supercontig:GCA_000165425.1:CT868563:7102:7810:-1 gene:GSPATT00019739001 transcript:CAK86046 MVLSFCFQCFESYKFTQLLHHGIIAKLFFLMEKMYNLNNCYLNLKKSKQGKSQIKHSDDD HRFVLKQRHETLSDWMSKKTIESERVPKPRAKSQYCTISKIQKQATASFYDSYKQAFLKF KLKPRINNSNQPPQLASSAFGKINDSAYISKSIDYADLQTKSTKSNKKRTPIITRNNPQF QSIFERMKKVLDQKKKKEKKLLQQIAMLQSEIVNLKQQQY >CAK86047 pep:novel supercontig:GCA_000165425.1:CT868563:7992:9599:1 gene:GSPATT00019740001 transcript:CAK86047 MYSHSNSGIDPTMLTSTHVETDANQQQENLEEIENLNQIKKEFDDSQLVTNFDLNLANLV QRRCYVFEDQIMKYEKILEKNPTCVEALIEKAKNLVSLQKIEEALECCNQAISIDGSFTQ AYFEKAKILCKQQKIEDAIKAYEAILSKNSKNNDAIQELSQLQNQIQQISKALKYYDQLL AFDRKNKFIYVEKAKLLQKQNKFELALKCCDQLLSFDPYEKYAYLQKALLFSQINEPQSA IECYKKVIEIDSTNKYAYLAKVKLLIELKNYEKALKCCDKILNFEPKEIYAQIQKARTLE FLQRYDQAVKCWDEIITQEQNKAEPSVEKARVLVKQNKIKEAVKCLDIILSNNKANRDVN VQKAQILMQQGLLEQAVQCCQQILSQDSGSERAWHYCGQAFKFLNQLNDALKCYEEILKT NSNDWYSQYQVKEIKEQIKKQRLVKND >CAK86048 pep:novel supercontig:GCA_000165425.1:CT868563:9951:11945:-1 gene:GSPATT00019741001 transcript:CAK86048 MKSNELKLKSKNISDFVDIFIQKNMQGEEVQLPILCQLSKCIIDIPVRHSNFALIKCIFD LNIWLEYCQKYKRGEMMMVCPGCKKQIISTDYGVDYKLYHALEAFKLFKIQYRRMNPNKY IEQNELFYQASYSNPVYKVKVKNNKKALDFEKVIIPGTYPIFGIQKRHLLQITNQTIQKK KIHDEIAQTIEKLSQYFNRKLYFLSKERSITKLQQLIYPKKNEVRNLFKNSIKLISLGKK SLKNDFIFALKTIQGLANRIKSLLIVYYVYYDVWQEYNLEYNSNPIDILEQQLCIKEFGE GKDHQLYIIGGIYTTDRFKQSSQFLRIQFPSNPYEKKEAQLEILPNLPIEGYNFMGTQYK GKIYVFYGQTRKVINDVIINELLNTTYAFRSNKWEKLDILLENRFDGSFFISQDPIFDKL VIFYGGISHYPDGIPNQLCTQQNRIQIFSCKEENLLGNQQKYFEPEFSKKPEDQYQQKVL CSPLFSCSYYGRNQLILSGENLKKPLNADSKFGKREIYTLDWENGRFKLNEIFSLEPLQQ LLTIVKASRQQGSFQPVEDFEGCIAYGNYYTIFHTQSENKSQQKIQSITQLLKIDLTNSQ FRIYQYQDNDQSVQKSKKLIEKFEIRENIIL >CAK86049 pep:novel supercontig:GCA_000165425.1:CT868563:12094:13373:1 gene:GSPATT00019742001 transcript:CAK86049 MNLGYLFLLVGVQCILYQFNATNQYLLVENEAEEWVQMKIQIYEHNVKQSEAIENYEKYL QLYYKLKLGSYYELEIVIIPETNFKIQQINQKVCPKQCSKNGNCINNSCQCYESFFGSRC QFEIESYYNIQQYNIELHQFETSYLLLQYQQSDLINDQGQRQTKNMILSSNHAIEIQLYL VLPYQNISTSIQNQNILYNNSLNGSTTIDFSPFYQSYSSSQVSYSFFFIFTNNYTNQERY SMTFDLKSQEITFQDFILVTILSVVAFIILLIVIFIVRRRCQYIQISKLKYSIDHQPKMD IVGQLAIVTPAKDEECIVCLDLLENQQCRQTSCKHIFHEQCLNEWLQKQQTCPLCRENLF EEEGAQIMKVRNLSSSSIVAGQFSIIQSERQPIQQIILSRSMNNRLAAFQ >CAK86050 pep:novel supercontig:GCA_000165425.1:CT868563:13560:14298:-1 gene:GSPATT00019743001 transcript:CAK86050 MNNQETYTHSTTKYKPIPGNERIQIVKALVNSKMSPSQVSDLTGYNLSTIKAIYRVYKQE GRMWKKEKRDQLVKVIQNFAICLIDEATNTIQLMAVKSTKSDQIKNNNDLEILEDKTHEN SIKFFKENESKLSLIIKDQNQKEELKKQFQTLNITEFQDISKSALKPKHSLKWKKIKKYF QKRQLDYHYIESCGLNQLEYENMNTSEKVTVLRNILESQMNLMIQNLSKF >CAK86051 pep:novel supercontig:GCA_000165425.1:CT868563:14629:15537:-1 gene:GSPATT00019744001 transcript:CAK86051 MRSQLRNYSKSGLMLDSKLQLLQPLQEHTQSDFTSATMHNIELQYYEYDIYSSNQSKLNP RLLFREAKRKDSYFNFHQETAINITFLFLQFLKENKSLVRKFLFANVVLDVVLRIAIFTL LCLKLSTHTKITIYFITLFQISRVFHMCCTIKLLFMDQFNLQNRSFIPISHLYRKNFITE EEPRECDLKPLNYKNNILSCRTILFVLLPVEFCFLCIPKKQIKNITNTIMISSYILKSIE VIIYDAPLLILMSLHDGLSGYINLKNSSLIFILLIADILKYVILNIYLVIFSETGQNNTI KF >CAK86052 pep:novel supercontig:GCA_000165425.1:CT868563:16222:18943:-1 gene:GSPATT00019745001 transcript:CAK86052 MELINQKCHKYNEGWSERELLAKQKLSTRLTILTLLFAIGLIVVSLVFFNQYSFTIMILL LMSAIFSLILCGIIFYWFNTTTVRTQDDHQQTHEVLDVDQRFSIVVFLGSFLNILFNCFL LVFIVFWYRIGKDFYLARHYDSQTTPTQYMSYYTYGLYIAIPIVILISLMLMYVAFTSYI TIQQKNQLRVCIYVFSLTTIIIASFALLYSNQVLADLATPYSPIAPNRAFTIFYILAVGA IITALISFLLTFIYRNDLFETVGYANLIIIFLIAACSFHVIRLSEQVRTTYINDCTQQMR NTHESWMQENAQCKKYLEKIQCEPQNQAISWETDSTQKCLNISCCNAFSDSMSQNLFKAG FSILLLVISGFALSMALLQVDTSVKTQQIPNRTLDWIFVLLAIIIFIFSFLLVFSVPTLP PQMDNIIIENQKVIKENKSPLVYPTGIENVTGCEPLINVFKQQNNNKDIDLKGNSRVTIL APKMQVVVTEYVNSPNVSYIPKLMIKNILYPQANENTDGMFGVQGNKDEIKNILQNHVQI CSEGEKKIQIDIYEIETAKNTRLLWQRHRTHEEEKKFSQNQKEYINNLKFTNLQVNIVDI QTGQELNDVILYFYKNEDDCGRVKPIPSRLITVDQDSVLYNMVVKEYYFGAEKKGYYLYC NKFKNTQETKSLEVTMIPRSTIKGQFTVVLETPKHNKFELLLGASYTECTVGFFNENCGG LKFYGSENAQAIYVNQLAERKYTFFVAFDPIDPKLKELNIKKQQGHSIDTSAIDNDPIFK EIKPVITLYASEQERPIIRYQLPQVSNLKNEPNLTWLVLCVDGSIGDISQKSPAQFWTYQ ANPNKLQRPSNSKEIYPQICNNI >CAK86053 pep:novel supercontig:GCA_000165425.1:CT868563:18983:21468:-1 gene:GSPATT00019746001 transcript:CAK86053 MNAQLLHYKLEQLEVKGSSKFEVVFAAPDTQQEQYSRYTLRAWWIVLQAHRDKPYATRID LYERAFKYIPNCYKLWFNYLKEQLEDLGGRSTFLSNKFEEMITYFEKALVYMHKMPNIWL MYAEYCASLQKYTQTRNVYDRALQSLPVTQHHRIWKAYCQWISKTESITTAISIYNRYIK INPDYKEEYLDYLVSKQLWGKACQILVDILNDDQFNSASGKTKYDFMKYLCEIIARHPND LPIDAASIMKFGIKKYSDEIGQLWIKLADYYIKTGQFEQARDTFEDAVNNVLTVKDFSLV FNAYVKYEETIIQMLEDFDENDENQDDIDDTILSTKLDQLLKIKSSQDDEVQIEDELLLK MDRLDELLERRPILLNSCILRQNKYNVEEWLKRIVLVKGDERMALKTFTEALEIVEPNLA DNGKLSDIWIAYAKYYRDKGDWKTCNQIFHKGSKIEFKNIEEHVNLWSQWVETLLIDGFV NDSLTVIKQGLFKKYVKKLDKMTPSEMVPYSLQLWQLYLDLERNFGNFKSLRAAYKRMVE LKVVTPFIIINYAQLLEDNAFYEESFKVFEAGVQLFDWPALYDLWIVYITKFIQRYRGQK IERTRNLFETVIEQVPKDKNRIFYLMYGEFEEQYGLLNHAIEIYDRMVFNVEYQDKMEAY NIYIAKVALYLGITKTRPVFESAIENLQEAELIQMGLRLAQLERKFGEIDRARAVYIHIS QFSDPRFDDFGLWKTWENFELHHGNEDTYKEFRRISKSVVAKFSLMPPDPKKIKERVEKG QLGQV >CAK86054 pep:novel supercontig:GCA_000165425.1:CT868563:21514:21912:-1 gene:GSPATT00019747001 transcript:CAK86054 MKNTIKYICLLDSKNVPIICRNYENFDEAFIELIINTQCDLIELAYQISPNNGYMGQIGI LNELKIFGFMSMTENKIIMICTKEEKRPKDLLKQIYDLYKSYILNPFFEEKNLQDLLKKI DDCVNSYNYNDE >CAK86055 pep:novel supercontig:GCA_000165425.1:CT868563:22119:23104:1 gene:GSPATT00019748001 transcript:CAK86055 MSSNSDSQVQFNSEDSEIKPESLSSISKKSKKIKNKVQKQTNWNPGRPGKPSISQLPIDQ FQVIKSSMKNIWFCTDISMRCLIYLCKKGNMILKVKTGKPAETVKKEFLDLFGKTNLKSY KKFKKEFKKKLKVSLSYSTKEKNAAILQHLGYSEQTTYLFLSNNDGDQIDLKLECVTNET KQGLLSLIRYLSPQFTYTYKVIVECFYSLLAMSQQEEDNQFNINNIVESIVEKLKSDKKN HKMIFDMQLIQELLLGDYVLMNEIENEPEQAVLHLRSNEGVNLPNFTANSYKDQVESIEQ YSNWINTFIREFVEILKQYQ >CAK86056 pep:novel supercontig:GCA_000165425.1:CT868563:23138:25811:1 gene:GSPATT00019749001 transcript:CAK86056 MMKNQLLHQSVSIIIQLHQELKANSTISSQLAQSLIDTAQQIERVQKQEQQQFKYVDYSK DESVQKTIKNKRTSSQIVVKVQSPQCKEIFSRDCLQELILPYLNLKDLISFRGVNSVIQE SIKQYVKQYLVKEEKSLAHHLFSSYYLASLKDYLYSFLPKAKWFEFDHIQAKKNISKYYR DFEVLKKVRSIPQQYEYIFDVLLLIFQGQRKANISSFEQFKKVNLKQGLKYENIIQNIDQ FSRIDEKIAKKFSTELHNTILLCQSLISLYYLENIFTIGSAAQSEQHQFNQISYYYKYHS DLINFWKRVIHQDQKFQLFLNFSANKASLESQSLSDSKCYMDRINILILCLSYCDDQTLL QNRLINKQFKAECELQLEDRLVFSIQAFEFAKLYQNRQFQRDKYLQNELGFFNPLFDMAY TFLIDKHQELVQFNNFEYIKQCDDEFTFYINTAYTQLHNLNTLRSVLAQKQLIRVTKAHL NPFNKKKQQIQLAAHALQNLSTLVQNNPKISYNFQILYPLYLYLKLFIIIQHTILPTQFD SNETVVYLYNECIKYLNILFTLGKLSTLNMRNIINQSLEYFDQNQKSEIAQRLNQQFFQV YSNFKGSLDSREKTLEILTEITAIFESMNIYQIEKIPEYDFLQFDTIFTTKILNYLNYSD LINFACTSSQSKKLYITHLQLRIENEANIIRAFEKQNKQTLQSIQKLRPKLGLQKDKAVQ LVQQFTISDFSELKAFNHPTQAFEIFCKPFLILFDIPQKNNTNYWATLKSFLLQSNSWSQ ISEFPPETLSRQKVNLIKQILKSPKFDLVVLAKLSSPLLKFVNWLQGILILHSYLRQYCL GEKEENLSEEQQSLFTVLDIKQCILYKAIRYINQNK >CAK86057 pep:novel supercontig:GCA_000165425.1:CT868563:26449:27456:-1 gene:GSPATT00019750001 transcript:CAK86057 MQGFLDISGIQSNEKTMLLDISAIPLKEDHLDDELIHQQLMILQSQEAIREKDNDEDVVK HARQLKKKVINSLENYSKMLTSKDNSIKKVIKNDLQIINEEYQELLQIVDEVESISNEVQ MIIKLVGEFLEKMSFKQNEKRDIQYSKTMSEKLQRGPIRANTFQGHSYDCYQKQRLFPSQ QLQQQQQLSNNSCESDESTIASNKTLSALNNKISSLIQQLSQNDQYQRITHYAQEILNSS DNMETFKLLRQLSKQFEDPEVKKLVKACYLRSLVQEQSQNNTSKREFYDLVIKIKSQVPE NNKIHSMMISSLYDDIIKKGVPNTEWENYMKQLCN >CAK86058 pep:novel supercontig:GCA_000165425.1:CT868563:27668:29316:1 gene:GSPATT00019751001 transcript:CAK86058 MIEELKTLYPTLESNCEDLVLSSKKLFQAQQGKGVPEFYETLKLKINTKVNYEYSNVTKS NELADSLHQEFENIQTEIKDQKKPENKRQIDKIIEDYQKDKQKETKLSKLPQQFLKFDEK TSATQTNNTYIQNDKQQAQNKSLVAVNPNEHQLSVIEPARLGTVMVQKIKKIIKPEWHAP WKLMRVISGHHGWVRCIAVDPGNQFFVTGSSDRTIKFWDLATGNLKLTFTGHISTIRSVI VSARHPYLFSCAEDKTVKCWDLEQNKMIRDYHGHLSGVYSLALHPTLDVLVSGGRDSVCR VWDIRARQQIHVLEGHTNTIDSIICQEFEPQIVSGSQDSMIKLWDMTSGKCISTLTNHKK SVRAMAFHPLEYTFCSAASDNLKVWKCPEGTFLRNISGHNAMINSVAINRNNVLASAADN GSLYFWDWKSGYNFQQINTIAQPGSIAAENGIFCCTFDQSQMRFLTGECDKSIKMYKEDE TATPETHPIDDIRIEYANQNY >CAK86059 pep:novel supercontig:GCA_000165425.1:CT868563:30164:31000:-1 gene:GSPATT00019752001 transcript:CAK86059 MSNKEQDYYEILGVSKTASDEEIKKAYRKLAIKWHPDKNPNNKEEAQEKFKKIGEAYSVL SDKDKRAIYDIYGHDGLKNGGGAGSFKGFHGFGGFGDGFDAFKQFESFFQNFGMDDDDFG FFFGRKGNKKNSNNSQRSPFGFGGFGGFGDDDFMGMGGGSFSSFSSSNFGGGFGGSTSVS TSTTIQNGRKVTVTRKTTTKADGTTEVVETIDNGGRKEEKRYQLENGQRTDGSRSIKH >CAK86060 pep:novel supercontig:GCA_000165425.1:CT868563:31362:32251:1 gene:GSPATT00019753001 transcript:CAK86060 MLTKLLQKSRQARFCVYIKNSVNYHVANSQDPNSKFATPQFNSSILDFDKDQENNVQMHY YQGKFMPDELDWTDVWETAYQNFTQPEYLYHFWFCGVLYCFEPEWTINYPYEKGPLSPLF RGEHALRRYPTGEERCIACKLCQSACPARAITIETEPRPDNSRRTVRYDIDMTKCIYCGF CQEACPVDAIVEGPNYEYTTYQHEDLFYDKFKLLENGDKWEPQIARNIEYLITRKY >CAK86061 pep:novel supercontig:GCA_000165425.1:CT868563:32550:32705:-1 gene:GSPATT00019754001 transcript:CAK86061 MNTTKFKKENTSEEKFEMVTAQAFTDNQISILDKTIKFGKEQFKKVCKMFK >CAK86062 pep:novel supercontig:GCA_000165425.1:CT868563:33199:34755:1 gene:GSPATT00019755001 transcript:CAK86062 MQQDPLLDKKEALDALNNAKFGWFHIKACLVSGIGFFTDAYDLFIIQLAVIMIGMVYYHD EVRDGDTIIKKADKLYPVSETLLKQSALIGTLIGQLLFGYLADLLGRKKMYGTELLIIVF TTITSAFASNTASPNGLTVVGMLIIWRFFLGIGIGGDYPLSAIITSEFANTKNRGAMIAA VFAMQGFGILTGSIVSLIVLFSFNNQLNNGDPLNYLQVDHIWRIIVAFGAVPGLVAIYFR MTIPETPRFTMDIKGDVEKGARNIQQVLQKDDTLYADSSQEEKTSKEQKPSFRELKQYLS KWRNGKVLLGTAMAWFALDVGFYGINLNQSTILKQIGFGGGSNLSQYEILKQAIYGNLIT SALGTVPGYWLTVLFVDKWGRKKIQIMGFVALTILFFVMGLCKDILGQFLFITLYTLANL FNNFGPNATTFIIPGEVFPTRYRSTCHGISAAAGKLGAIISQVWFLGLGADSFKAIMLTF AIFMMIGLAFTFLIPETKGKSLEEISQEHEKSNQVIPH >CAK86063 pep:novel supercontig:GCA_000165425.1:CT868563:34804:35473:1 gene:GSPATT00019756001 transcript:CAK86063 MQKSTISNHFQSISPPFLLSKRLKSEGPDFLTQLDQNAQRSILKRMQRSEIQMTEPDQKP TLKQKQNIKVRPKVIRTKQPSLPYLKLDDKQYYDKWYVPYDQRYIQKVTMTQESYSDPLH FYKNMHIGNAQYDPFEIKLPKEIQRSIEYKQRSEILRDLLRGQKMIIEFKKTLEQNQQRI PQFLKKILEDKNQQQSKKQ >CAK86064 pep:novel supercontig:GCA_000165425.1:CT868563:36117:36569:-1 gene:GSPATT00019757001 transcript:CAK86064 MNLLFRQVVNPSNQKQQPLLQTMLHILKKWVVMNHSKNQSYSKNHLPPSLYLPDGNGNCL SIIMKSKHSYLYCPAWIYGCQEWKEQPKGKMAEYIGGYFLAFDLTEMKAHFKKQGFPWDL AKGQDNFYPNYRFNCKKQKLRIQIIFYYCI >CAK86065 pep:novel supercontig:GCA_000165425.1:CT868563:36609:37466:-1 gene:GSPATT00019758001 transcript:CAK86065 MILKSAKENDSVPTKTLSNITRLLKNKAQTNNQNPEPSLLNEYKLEAQIGQGQFAQVRIC RSKDNGQRFAMKIYQKSKLDQKTLNGIHREIAILKQLEHQNIVKIHNSLEDDKCIYLMLN FASSVSLAEFTKDRQLSEQQIKIIFKQLMLAVQYLHSKSISHRDIKLENILYSQQIVLID FGFAIQTDKLCSVQCGTPNYMAPELLIKQPYNPFAADIWACGVVLHQLLVGEFPKNHKIS NSICGPLQRILLSCLDKNAERRWTADKVVNELNQITYQ >CAK86066 pep:novel supercontig:GCA_000165425.1:CT868563:38202:39398:1 gene:GSPATT00019759001 transcript:CAK86066 MRKNIRLSTDLERQRIASNISIKSINSTTINTINTTNDGTLPRLESNHFIKSRVKRSSHQ IRFKRSPMKMSQPNNMLESQSIKQSSKILLNSVVFELDKLAIAAKHKSTKSQDILNLSID LMNMLGSDYDRIEIYLIVLQNKCKSQCVSLGLLFTFLIAIIQETYGHNRGSLQQYRYMND MANKHQLFQCKMMVYYRIGLLLNRLRQFEKLLVIGKKLLKLSWYYSEQEYELKAYYLISK GYNGIQDMEMSVIFMNRYLDGVLQQNDHIKNIGMQQITLYHRRTQEPRHKSPSSDDFNLE MFRQKIERNYIKMKMIGGKLSFKLQSSECDICAQYGKNCERIQTEDEHPYKKNMILHTDS RNRDLIGYFDSEQIQQMYIPAKTLPILKQLILKFISYI >CAK86067 pep:novel supercontig:GCA_000165425.1:CT868563:39523:39876:1 gene:GSPATT00019760001 transcript:CAK86067 MYSVLSIVAQSVKLQKQRVNHFEQLQYKQQLAISNHKIKETIEKIHQSPSIDKTNVSLPR LKKRNQEGDDIKKENARIKLKLENLKGSISNRQLKYEKPKRYEDVLQEYFKQKLQQQ >CAK86068 pep:novel supercontig:GCA_000165425.1:CT868563:40372:42026:1 gene:GSPATT00019761001 transcript:CAK86068 MSIDLSFKNLEMLDQSLNLNNYVITQFNTNQTIINLQGNNFKGEQTYIQQLKVQHLNLSF NNIEQMWLLPSSLITLEINNNLLQNLSNISHLKNLKQIDLSNNLLQSVHWFSNLTQLTHI FLKNNKIKTIEQLKNLPQLVEVDLECNELKNVAEVHYLETQTKINIINLFGNPVFEGLQL KILNVVEKNCHCRSEQTYSQSEICKKRNQQIDDIDLFDEKASEKQSDLQPQTMTQKKYTK SLSPLNKQLPQFYDPKSSKYVTFHDVLRQKQRNNTNANYDVKQQNVTTTNTNTTINNRQT MDQLKQQNENLKKDYMKLKQKFEQSQKQNQSFFQEIESYKEQLNGLLLQFNIPIQEEEAS VRSLLDQLELGLTTFIKDMRKQNVEQINSMMTRMGNKVKVMSSQIEITTLKKCCEYTEKI KNIELALSKLADVVVEQQYILINHFRKQQIIKLQRQRVHSPLQRQCLTSRVHGKNPSELD QMKLKTLFFKSPNVQQLDKPLKTQGSQSTSLSQQVLETQQKPKIYKK >CAK86069 pep:novel supercontig:GCA_000165425.1:CT868563:42050:42715:-1 gene:GSPATT00019762001 transcript:CAK86069 MQQNNSKVIVLKQNQEAKLVNALYEMMYLNKQLEERKIQLEQNDDFDLNQFYAFFDTFNQ RKITRLDFELGCMSLGIKAKKSQINLLFQRFSEDNSFLTYQEFVNVISCSNDPLVRIVTK ISVKTMAKFKELIAQILLTEEKIQLVKERLAENSEFSLELAFLFFDKLKVGTITIDEFRE VFESYNIQITNQEIESLISIYTKKESRVSYGSFISGMNPIQ >CAK86070 pep:novel supercontig:GCA_000165425.1:CT868563:43032:43987:1 gene:GSPATT00019763001 transcript:CAK86070 MSLNDWKSEKAMLEQRNQFLFMQIQEIKEREEHQKKFNDTIMQAWSQGEGRTNCKVYKEI QSTLEEYSRELIEAKKKSSMAMMKLENENTELKYQIQQLESIYQQKNQLHQVKVMELRKR IECQTNKENVGDNQFGCIVSVKSRTCSQNTSREINKQRSKTQNKPELLNCRNSNVMEINT DFLKDYSMQIESVSPQERQNLAIQLKQLTKELKTTKNEIENTNLQTANELKTEIKSMLNK LLKAKGKMTESIVGSGKESVLVLRGSQYKPTLADFAKQLNAVRSIETFNILNPGKAINNY >CAK86071 pep:novel supercontig:GCA_000165425.1:CT868563:44120:45082:-1 gene:GSPATT00019764001 transcript:CAK86071 MDKQSRLNQEQILKCIRNHRDLNEILTKQKDHSSQELFDDIDKATMNQSNESKQSQESKS YSDQIDRAIQMFTEPKVILYAKEDNLSSDQMMEQISEVFKNKSFDQKVGSNLSTEISNEA CLQLGSSCQSLLTSGSETERRKRKTIPDEESHYFVVRIDKVMNQMDERTTIMIKNIPNKY TVQMLQDLIDHSHRNYYDFLYLPIDFKNKCNMGYAFINFVHPFYIIQFYKDFHDNGWPHF NSEKICELRYARIQGRQALVQHFQFSSVMNQKVLFQLIIQGQETQTSNSAPK >CAK86072 pep:novel supercontig:GCA_000165425.1:CT868563:45988:47856:1 gene:GSPATT00019765001 transcript:CAK86072 MSGYKQYDDVEPYRQRIRDLEAQLQRMDVRFSNVLTHSGKADTQFNNDLHVELEKMTKQM IQKRSEAELWKQKYEQQLSSSMQMRNNYELELQQLSKEVQRLLDKIDQFEYEKRLNDKQL VRETLQDKDLEIDDLVSKLQRMRLEHENESQRLLSENDNLRHKINQIELDRQKELEQMRF KIETFHSQNLDNLRKTHSSQISVVESEIDKLRGLLEIKNNEIETLLQQNMKLKASYENQI NELNVQFDSQKSKYQKQEIIHRDQMTQLQQQLLDEQKFSLQSLSKNYEQSIFTLEKEIVT YQKKNENLSKEIQELIVERTQLRDNYERQLELLKKDNEILKQRSAQIEQTKQKDLQQVES ELFKTKQSSLEIENVYKQQVVKLENELQLQAEKEVVKNKEVETQIQQFILIKQALEEDLQ HLRQQNQTLNEQIKELEKIASFEQEEWKVKWQQNEFIFKDKIRNLENKVDILHQELRKQK ELADSQIRQIPEREFKALIDEIEQLKTRLVLQERDKLKEREDLRAKLEQSHHYQIENLKA AFNTQIRILEQENRDSINIITQKENTIQELLHKYQNLETAYSGQTIGPQEQASKNIYQAY IRSSNRQSSLRKQQ >CAK86073 pep:novel supercontig:GCA_000165425.1:CT868563:47910:49689:1 gene:GSPATT00019766001 transcript:CAK86073 MQKNQFSNVFWRKVREDMRMEILLEKMPLKQFKLYELTEDEMNINLDQVTQWSPDNEKIY KNISENGFADFICNKEYMIETYTNIVFDSEDITQMDNQLLQFKNLLLLRLNHNKIEVVQH LPQQLVELHLFNNPITTLNLLKHNLQYLGLGYCLLNDDAIQTIPKFLPQLIGLDLSHNQL CDLEYSVEACKKISNLKMLSLYGNPLVLMPQYFIYIVDNLLNLRSFDEQSFLEIKNRLEQ AEKEKQAKKQEALRKQQEEFEQQQKLLADKNKKGGKPGKQPDPVVVQQPQVIIPIEEPKI NLNELRQFKITLKIQTLENLEGILLDKYSYPLMEQNSKLFQSKYAITANLFGIELKTKEL LYETATIENEIGRIDFEFSFEQLFNPDVDIRDAVEQGFLVSVSVEEPKMFIADDGEKRPV LGQDELPEQTIKVLGVCKITCDWLRGYNKQFNKKYRLFKEETKNSAEHWFPLENEQDLVQ AKMEMIQKEKDAELEEKKAQQALIEQKKEQSKGKGKDVKKKDDKKNVKKGKDEPAQKLVG QLDWEVDTRQYIYVNEKKVHRATDMLLAIALDLGY >CAK86074 pep:novel supercontig:GCA_000165425.1:CT868563:49808:50488:-1 gene:GSPATT00019767001 transcript:CAK86074 MSSQESDSEYQEFVTTFYHLVQDINEYCATKSKEGRTARFLKQAKARRKVNQVIFRMPEN PDQNVSEIISYNKNQAEKLVKPMRHQTKNLENSQVEPYISNVHLILRQIQIQYSRDLKLK QQILRNAQKQVYLNLIEQYKQKSKKSQPRNCCLHIYLAEKIYQEKMKETATCLKFHEGPK IVIPLFKNTAQTRKGSME >CAK86075 pep:novel supercontig:GCA_000165425.1:CT868563:50776:52185:1 gene:GSPATT00019768001 transcript:CAK86075 MELEKTLHRVQERILTHEQAPKVTSICSKILLCIVSINLLIIWGLSNRTINQIQFDPDAK DNIYHFSITDEDNTILMMKYSSIQELLHLKTEQLQAHNFTIINISIDYNNYFDSSLQKLL SFTTNLETLFLHDVAYSVYSDIYVINNATNQTFIWKEREVPQNYLAKTVKHLWKFTIITL GVFISSAISSLYIKITIICAPVIIIIMLEVSYLIGNRQIFPIFLARAFPWIGLYLNILDR TQKSKKQLILAFAFMLFLTYFIYLSSVIIGSYLLFKNQVPFGLEDNFFGLVTVNEFASLL FLRTRSSIYFVPKFTIIFYYLFLWYVRSTSYGFYSLAMQTLSYACLGTFFLFISLYEIPS LGWNPLSYYTPTIDRPRCYYLPVFSLSWVNDLPQLWSMFYPLHGRRYFQIENLALVDRNF PLLNNLLDIEMQEQQ >CAK86076 pep:novel supercontig:GCA_000165425.1:CT868563:52198:53167:-1 gene:GSPATT00019769001 transcript:CAK86076 MWRFTKSQIADFVHYRQQQLPDGYTQNEEMNIINHYCCSLLATLFKNEKNSQLTLISYAA VLFKRYYMIKTIFEQSGMHQMLLCCSYLGMKLNGLSQQSIAIQAQQLKRKPKHERFHHYG DEFYAKYEILIVQALGYDIDVKPIYPIIQSAIFIYQIKDLLEQSLFIRYEKKIEEYFLKS LQGFTIFTYKESEIAFAIVECSLQSIFQEILSPHISLSLGGIKPLKQLTFEKIKKYCQEN KQNGTLIIEQIDQRDISIANHCQQIQEVVHLLQEIKDTSKEFEKNYERYKQQRQAVFSLP MSVEFLDVKKQVAYN >CAK86077 pep:novel supercontig:GCA_000165425.1:CT868563:53639:55287:1 gene:GSPATT00019770001 transcript:CAK86077 MFQPNQSQIKYHNQFGATSAVYFSQQQTSRDKTRDASENKQMLQYPASQIVYGPSMKFNS PVHSNIAFRKTSKELNITIEDPQVCQQIRQPSPNVKKQREQMEKDWNKLIDKSKTLQENL VLLKNNISMNLNKKQPEQRSTKESTSTTAGLSSRMKLQKVNVTCKLNSPFKAQPKLEACK SLSQNAFGNLKFGSNALKNPTIINNTSSINYVSMNNNYAKKLLDELQSKKANSQSQIQKQ TNPLYIQIENKLKLKISSFLGRGKFSDVHMAIDNRTGLIFALKIIKKQTVIDHEMQQQLA REITIQSKLSHPNIVKMFGQSYDQQYIYMMLEFCNNGELFQHQYKQPNKRFSEKEASNFI MQILSAIQYMHNQGFMHRDLKTENILLSLNYIKLCDLGCVREIPKYEDRRNTFCGTVDYI APEVIKDEGYDERCDAWQIAILAYELVAGNTPFSEFPRDDDTIMENILKNKFDLPNFFSP ALKDFVIRGLQQSPDHRISIDQMLLHKWIVDNIKTDREYAF >CAK86078 pep:novel supercontig:GCA_000165425.1:CT868563:55479:55721:-1 gene:GSPATT00019771001 transcript:CAK86078 MQVEIPSISQTRLSTKKLSLRFRIDRYGHPILRGFKLHSITFIDDVVAGTAVHQTYLVDC WKHHNYNDARKDQSKCCEIS >CAK86079 pep:novel supercontig:GCA_000165425.1:CT868563:55822:57787:-1 gene:GSPATT00019772001 transcript:CAK86079 MHSTPISLLNFDQTQVRDPIIDSPRSIEACRRQGIRPEQLVKKGLKELKREKNLNLDEQG WKIFLQHEEDKRQARVSVVIAEREEIIQQQKDEYEDEKFEMSDEEKERKRMEMNKNRRQK QIQKALNQEMKTQELQQQILKKDLDLKERQEEQRYEMEQRRKDKKIDQQFKKQQIEYQEN QRKQKQFAEQDRLKYETSHQTTPLQRILQEKELKKQKNKRDKQLKKAQLEREEKERVHQQ MLEQLYEAKLLKMEQREARRQVLLEEEKELRQQLIQEKQEEANYRRDENKRRVQHQQNQV YLNFQQKQLVAEEKLIAKQKEIEQLNKELDMKRDFQKLKREEMQKKNIEQQDKRKGDLII KFKAIEDRFRINEMDRELTLHQKRQLQEEREHYRQQLLIKNEQELEKKCEKISMEREAKE LQALKVLKERDEMIKEKHLKEQLKKQEQEEAQERMMRMRDFERAQLKKKVEEKSQRRDQL IKEKIAYEKQKQQYKKAQIMEKEKLKKDLAELLKEQQQQEPNHPGVQQRKRPESAPSKNK GQNDQQHKNKPIVMAPFDIVTKEKQIQELKIKQNHELLNLLQEEDNKELDRQKLLKQVDD PIERMRLEKILEFERAQTQDRLALLDNKHQKELEKFE >CAK86080 pep:novel supercontig:GCA_000165425.1:CT868563:58117:58687:1 gene:GSPATT00019773001 transcript:CAK86080 MRNKQTRPLFIPKPENGDFPFDIKNANTQDIKAIDFYRMYNSMQMFIEQLIPDQTLQQKV QTNVDAIYRVFIEKDLNPPPPQKLVGRRSSPYTYQKQEFWQLVEHLNSLGFSYRQISERL QVHYVQISTHHRSTVDYDDEDSEQESVSVKKVSKEQVKQHLVVQKQQQHDVFYSDDEKGQ K >CAK86081 pep:novel supercontig:GCA_000165425.1:CT868563:58852:60531:-1 gene:GSPATT00019774001 transcript:CAK86081 MSVVELPDIRLYSHKSRTRSKKSDFEKYRNSAVLKSVDKLIKHNSLCSVLGQDSDYYPKQ LEDQKKVDEAQEVHANLTELKKSCDYMKMSGNNKDKDIDKMRKDIDQMSYQIEQYNQRQK ELERQENDYSSKLQEQQKLLSQSNYDKKIFEHMLTRMKTDQVNYQLRANQYERHLRQAFL ACQSSSQKALEIQVLHQKTMLALKEVADGIKQQNRNREKNISRFKNELKQKQDVEHKRDE RIKRQQEIAEVAANDIRDGALKKWRKLLLVHKFLNSFLKSKMQRGIAQYHHLEIAFQKIK ASTGISDANEIVQKFIGREQTYSHLLISISDYEKKINNLKQENQDLRNNFTHLKQEYNDL DKEFSVENNKQRNEQTDQDKMVIEVEEKATISGLLQNKLNTWIARNLKKLAQRKDKGFQG IIDAIKEKLLNLSQTQQQELLNKSIFSSVLELNDQEFLKRNVRIKPKQQNSHLHSNNSQD YSVLPNDDEIFNELPSKDEEQEEQEEDNLLNQLREEVKGKVLKK >CAK86082 pep:novel supercontig:GCA_000165425.1:CT868563:60673:61454:-1 gene:GSPATT00019775001 transcript:CAK86082 MITKMPLRIARTLFPLNLPGSQISLPQSLIEINQLLQLKEKENLFETVTINNNPQLCEQL RWNGFKFKDFEDQLRIELSKNVENVQILICIDFQNRQQSIETDIIDSQIVQIQGSSEISL SSLQRTSSPFSIYLTKGNGIISCYECLALNGKITIEMISIIDDIEEHKQISRECRGLEDY NGSNHLIDESIQQEMLNYLKTFEIDSELAQFVQHIQLQKEQVLNEIYLKSKAVLHD >CAK86083 pep:novel supercontig:GCA_000165425.1:CT868563:61505:61942:1 gene:GSPATT00019776001 transcript:CAK86083 MGVAVCKQENQSTGEEVIQDYVQPYPMASANCQASKLDGSNLTHPSLKKKTIAQVTGKLP DDPISMMNSIVYFLDLSENQSSLLLEEKQEPTTPALPTQQIIHNNQRYYLIEWRYAELPE HLIVYEGSILIGKAEFIIKISFFST >CAK86084 pep:novel supercontig:GCA_000165425.1:CT868563:61970:62226:1 gene:GSPATT00019777001 transcript:CAK86084 MRFRLEFQEQQSQYSKVCNDDNKQNLLKINVVEDNLDDYIFFDWKNTNFQLTSINEQNLL KKASSIRPTSKQVKKNY >CAK86085 pep:novel supercontig:GCA_000165425.1:CT868563:62819:64295:1 gene:GSPATT00019778001 transcript:CAK86085 MNKYGTRFKDRLLEKQYLIHKVKVIQFPVLTYLTIGGCILLLANTIVNLVDVNYTNALIT GGVMTYLLFQYMVLRKYEALKLSYCNLALMMTNFASLVFEIIIYPSYDYYDGYLLGSNQM LVHTILMFACNLELGIFSNMVLTIVRVVIVVEDSDNLSAQQYFYSIIVTLSFMVIQYQIE KQYRQSFLLQFKDNSWEILVPLLLKKPFFIFTFNRDTYMYETIMSSQKEFFNSEKPLSTF LFKSRVKQQTLEQYLIKKTITTEHKKQSSCLFNNQMDIEYQMKKLKVSIVCCKFEQLIYT ITIDSEDPLINQTNIKLNQALQNCRTLLNTQILQINKVLGVYLQDTFSPLLRDLRISLYE VYYKQLSNQKLQLVKMKKLLLKCSKIFENPTTKIKIDCCEQIRFVTIKPTLILFLFELFK LVEKNQQVQICINQKNTTTMFIYGLKQIPKTEQFKECQQTLIDSTHFETQCNIFLRKLRF FI >CAK86086 pep:novel supercontig:GCA_000165425.1:CT868563:64614:65098:1 gene:GSPATT00019779001 transcript:CAK86086 MQYSNTYESVVKTQVVSGQDQKPLNLGLTDVEGAKAVARRLFDTYDRDRNGQIDNVEVVP MIVDVYKSFNRIFSPARGDIDSFYKVLDRNQDGKITYQDLEDLCIRYLTNQTPTNIRASE GPRQSGIQQTSQTVTSQYRRTQF >CAK86087 pep:novel supercontig:GCA_000165425.1:CT868563:65147:67133:-1 gene:GSPATT00019780001 transcript:CAK86087 MLKFQKPQTCTKHLTTQVIERFDFFGILPQFRILKRQKFSTPLGQILTILICFAYLYYIA HELLDLSNRDNPRVVFSELQPSNTSPLYFGNNNFTFVLTIANPQLSSLQTLNKYFTLNVQ NCLRNRYLNAEGVSQIDYNCSNIPLEPCQMEKHFSSQYQQDYFQKFKLQYMYCPSIDYWQ QYPLQLQGYSQEDRFQFLTVTFSICKNTTNYQGCAPLEEINSQMQTGFFVMYLSDTLIQM HSPSKPYEDVISIQYSPFSLTNSKSIHSTFKVTETTTDYGILQTDNLLDTAIMQSTIKED NVPYNQQYLVQNSIFLEQRRNQYQRSYLKLYTLLGQIGGLWQITIIFFNCLFYPVLFSSM NLAMANKIFRFESKESLDLDILSVAKSVPIGNQLNKSDVKDYPGDVQKSENIQIRKSFAE FSKTQQEIKKFLRKKKSSLNFTLIDNLKFLLGFKKDKQRQLKYAMNKIINKLDICQLITK FNELDKLKHILLNKDQLALFNYIPKPMIPYDMFDDNFEKKIKELEQKQEYKFILENEKPD VLRLDDAYEAYSKLIKKQELTQTDEAILQFMDDDIKRLFSRIYSNQLEMLCQNLQSSQIF MKNNTERVDIQDEPHIQLVFGSITSRQY >CAK86088 pep:novel supercontig:GCA_000165425.1:CT868563:67547:68653:1 gene:GSPATT00019781001 transcript:CAK86088 MKSTLTVLLVVLVSVQGFQIDMFSKHHIPNRNIMEIMMQIESKLAAGGPFDTINTMLQDF RTSVTSEQIAHDDLYARQKNECDSESAFRKGQIQDASKILSASTNQLNLCSFQRQKAKAE FGMTEDQLTMNQQHLSLIQEVRKTEQENFNKMAVIFQDALRVIDESVYLAKKFSVGDASL IELADATGQMMQHSVSLKRTGSYAAVLASLARISLAEQVSSADVERLIQLLQTLRNNIED AYNQFTAENNQAIVLYNNQKERIDKNIVRLEKSKTRLEDQITDLNGCVATQTAISQAASN KKQRNQKLLDDATALCTTFNSEYENASAARRQELVLLSQVERLVEKRQNEIK >CAK86089 pep:novel supercontig:GCA_000165425.1:CT868563:68654:69175:-1 gene:GSPATT00019782001 transcript:CAK86089 MNLSREFFTQIARIESKNSISTIDTLIAMYSKCVEFYDQHQDPIKYYFLEKIQYTLSQDH TIEHITRNSNRAATTRVSISKLDPEILNTSIRSKQTIHKINFQSQLNQETQNQQLNTLLE SHHANTVQKDAIIRENIHQQNLQFRDRLKQRQSQSITRSMSQCPSYDAIIYQT >CAK86090 pep:novel supercontig:GCA_000165425.1:CT868563:69184:70707:1 gene:GSPATT00019783001 transcript:CAK86090 MQLKQLGRSKMCYLQEHQEFDMRIKYLCIDSQCTLQFRALCTDCYNKLHQGHRVIDLIEA ERLAYQQHQENLAQFKTHITKLNEILQHSIKTIKAHIKRLQAQFNILIEQELEKLHQHRL KSPVDLNDFAQQLLQNQSIVTTTSMQILTKGVKQLLLKSYFLTSDITVFSFKDYITLSDE SLVQIKRFDLVQKVLLDQITFTTNSTEALQIFNSHPKKIKCSGLVHIYSLDIKQDGTLIA IGGHPDTLLLIDPISELIVKTFKTSFLEIFAVKFSSDGLRLAAAGSCPYEVYVWSCNDFE QSPIILKAQHLNQINRLQFISKYLYTASDDYKLRVWKLKKNGIKNYKEYIGHWDSVYGLA VNNKHVASTGKDKTLIVWFKGKILKQWMAHDNEYGGYAVEYSLDGKLLISTGYDKIIKLW NTENDYKLIKQFSSHDQPIWTLGLLSNTGLLATASWDNTIKIWDIQSGKCVYTLENHFHS SPCINIKLYPLQFLATNEDGIILIWNL >CAK86091 pep:novel supercontig:GCA_000165425.1:CT868563:70943:72004:1 gene:GSPATT00019784001 transcript:CAK86091 MINEQTIQDMVPYKWPEDQDDRLQFSMDLKMEFENFIISCPKLKNQVLQTMQKISEEAPY LDLIELFTIDKEKTHKLYKVLSSLQLNNNTLIDFKQPVSNKTLQKLISDSYRLFNRSILD YQCKLIFYAKYYKTSISIFKKSINQTYIDYNTLNFVCSCATKQCLTLTRLWKDYDDAGEK YKKLLVSLQKLEVMQKPDDLTHYFNRQQLILIYTLFSLMQCEQTIAIQLGEEYLPFQCSP IDPHFEEQTEDFTWNINGFSQIRDYFPKLAHKLNKKMKHLKDLPLFTIQERIKIYQFTQH QLGYKKIDDIHVDVNLQQQIIDILNYRQANCEIELVLLVANAKCYTQILYSLI >CAK86092 pep:novel supercontig:GCA_000165425.1:CT868563:72411:73937:1 gene:GSPATT00019785001 transcript:CAK86092 MSDFERDLGPIQEQSDDQLLKLIPESLPDEIKQALKSCADNLSKQESESEKFEEYIYNVN SDDIVTQYSGLNRCRKLLSNAQIAVPTEQMIEMFLQKRVHVKIFDIAKNTNIPLVKYEAL WIICNIVFGTQKQIQTILDNDGINILFSALESEHDEIIELGVWGLANIAGDNLKFRDMLL QNGVLQPFIHLAQIYKGRQNGNKNPNILKKLVWAFSNLVRGKSAPKKIVIMILKNQFCID LLSILCQILVGTEDEEMLIDACWSLSYLSQDENLIHILIKERVTQKLILLMQTENQKLVI PALRTVGNILTGNEEQTDAVLKLGAIQIFEKLLHNKTKAIQKEVCWSLSNIAAGNSNQVK QIIRNDQLFNSVYLSFDNGTPEIIEQISFLLSNCVVNAELQDIDYLLMQHQYLQKMSSLL DMNQKVVINVTLEGIFELLNRVQYDDGRLEQYKKLIADSLIMKKVDKYLKHPAKEISKKA RQVFEIFQSKNNS >CAK86093 pep:novel supercontig:GCA_000165425.1:CT868563:75169:76675:1 gene:GSPATT00019786001 transcript:CAK86093 MHKYSLRFKDSKIEEQYLIHRSKTLQFPTLTYVTGGGFLLLMINFFVHLINQKYQNAIKN GAIAGYLLLQYIFLRKYLKFRKYTNLALLICNMIFTAFEYQNPATVKTYYDGYILGSNQM LVHNILMFANNLRLGIVANISHTISRILIVQLYQGSMDIQQYVYTTVLSLSFIVIQYEIE KQYRNSFFLSLKDSTWEIMTPLFLKKPYFLFSFNQEENAYQVISSHLKEYFESETPLTTF MYQSKVLGNESLQAYIQRLTIKSIENNHNLCLFNQYLNVEYLNKKIAISMIAYQFEKVIY AIIIESEDPIRKEQKTKYLQQIQIYKEFFHLQIMPINKVLATLLKENHHPLLRDLRISLI EIYYQQSQVCELKLVTIKKLLQKCVQLFQTPKHSINIVCNEDIKFVTMKHALIIFLLEIL KKNNGEFLQIRISQVQNTSIQVIGMNYLPQSELFLKSKEMLVERVLESQNCKFNMIFRFY VHINENTALKFQ >CAK86094 pep:novel supercontig:GCA_000165425.1:CT868563:76944:77783:1 gene:GSPATT00019787001 transcript:CAK86094 MDDSQLDLGALEDIDPSLEGGFKIQFDREIHIETRVQDANTGPQEIGTLELVRVKILVQG EQQAFENLKIELTSETDLFFNYITIINKDNYKRIREEQKLTIEYPQFLQMLIKLLNSCHK EPNHFFCVFFMQQDGGARLDFIENLEYKFMEMLSLEFSSASEETIRQNVSFRYNLMKAKL QFVQNRYRETQSSLIKLKNPSLLVQINKASAGVSQNQSTQNASKYLGQSKKNNTSKFV >CAK86095 pep:novel supercontig:GCA_000165425.1:CT868563:77796:78754:-1 gene:GSPATT00019788001 transcript:CAK86095 MSRHSKNNTANPVFTYAERKMVKDFGTQNSRVGSDSQRPFDFCYLCISRVIEPLTCEKGH LFCRECIIQNMVKQKQENEKLIAAYNQKKQQQEHKQQQQDEAQQKEKEQNFEKLDYQNDV IERRQFKSKVEEKLQGYINLEAEQKKKVLEKMQLKGKEMLTMSKKDLTCKNFWVPESQPE QQEEKLEQPKNICRCPANRDHEIKLKKLYPAKLNDNNGETKEVFCYACNKTLKYQKIGMS KHCGHVMCMSCISSICLPDKKCMVCNKDFEKKDIIQLQEAHSGFASHNQVEVKIFNPVML I >CAK86096 pep:novel supercontig:GCA_000165425.1:CT868563:78754:79559:-1 gene:GSPATT00019789001 transcript:CAK86096 MGFGTNPLQNQNHEDKSQYAITGRPQQNDVHSIYVKGSQPWWRRWWATRDMFQEHHWEVL TCAKYAYKGALTAILFGATYQFKQLSVNALAINKGRVFGSKNGFTGPAFIALRQASRHGA LGAFFGVTYFFWFYQLVCSRGHEHTLSRYIYGHAAYGAALAAFFSIRRYGPGALLGGLIG WIYYNTAHGNLQIGNKAEQGITFEFNGLTPEQREAIRQRDLISHLSRTPEFKLNLFNKV >CAK86097 pep:novel supercontig:GCA_000165425.1:CT868563:79590:81188:-1 gene:GSPATT00019790001 transcript:CAK86097 MDSKQYYDTKMNYESILPKYILEEVKQRILQQYEEIDEKKVNPQLIDVYTEMIQSDDIVK QHYGILAIRKLISVDHNPIDISQSIIMNNLVPTLIQILDQNSNQLFIFEAIWILTNIVSG TTQQTQVVVKYGGIPTILKHLDSKHLSIIEQVCWALGNIAADVEEYRSQIIGQGGLQRCI EISEQLQLRNNKSLIKICSWTISNLCRGNPNPPIEKYKKQLIKYFSNIIDQFDSNDTLTD VCWALHYISNNNNGLDPQQEFINTGMVPKLITLLRSNQGNPIIFPCVRIIGNILRGSDTQ KDYVLNLNILDVFAHLMKSTQLKSLNREICQCISNITAGNYYQIKKVLDNNDIMKTMFTM FQSAVPEVQTEIAWAFSNVTKEIKQQDTMRLVEMGTLEIFATTLNLQRTQTKLKWEILGS LDTIFINCNQINRFQYANFMGQSRSTYPNSLGYMIKYKNVNQKIKTNPNFILNNILIGGN KNAKIEWHFMYNFFKVSNIILIVFVFAVYVLSYTI >CAK86098 pep:novel supercontig:GCA_000165425.1:CT868563:81282:81914:-1 gene:GSPATT00019791001 transcript:CAK86098 MSRKQTRPLLVQKPKNDDFPFDIKDVNIHEIKQIDYFRMYNSLKMVLEKLIEEQPLQKKL QANIDIAFKVFLQKDQTSGQQQKAGKRNQSQTFQKQEVLLVVDSLSQMGFSEKQINERLQ MNSGSSLNGIHQNSEIQEGDQQSGKKQNKEKLKQGQVIDKQKLQYEQQFHSDDESDQN >CAK86099 pep:novel supercontig:GCA_000165425.1:CT868563:82483:83119:-1 gene:GSPATT00019792001 transcript:CAK86099 MDPQTKPDQVLKILLLGSPCVGKSAIFARYTNNDFIENYSPTPGLDFQQRLIELNGLKLK LSILDTAGSEEFLETTSQYLNGAHGVFIVYDVTNRKSFANCSKWIQVVDNYPNKRIVKML VANKSDNEDKREVKYEEGYEFADEKEMPFIETSALSGSNITQAVQNLSTSILVKLGVIKE QAAPQD >CAK86100 pep:novel supercontig:GCA_000165425.1:CT868563:83995:84919:1 gene:GSPATT00019793001 transcript:CAK86100 MINSKVKSEFLQKLACCSEYAYREDTNYPIRNQMEDSHYYKDNCFEDGTTCLFAVFDGHG GTDVVEYITKILPETFLRDFKQFNTLKPNDYFELIFKKVDDQLKLVGAAEIGATCCLTLL RKEDNKRKCYIANLGDTRAVMNIDGKAVRMTVDHKGIDPEEQVRVKREGGTIVRGRVMGQ LAVTRAFGDLDLKTVGVSVKPDLKVQEITPQCKYLIMASDGLWDVVDDQKAIDLTKGLKN SDEMTKELLQFALKNGSRDNISILIVMF >CAK86101 pep:novel supercontig:GCA_000165425.1:CT868563:85528:87039:-1 gene:GSPATT00019794001 transcript:CAK86101 MEIKLPQKLVQFDQNNDQDIFNQLEEKISFDDINLPFPHQCFNDYNYLHNLLEIDDPQLQ YLVLASGCVIEHQYFEIREGFTQIQQQEIKFGYYLRQTEEELLVMVFRSFYHKAFLAHQC KFDGFSNQCIKGNNDHILQLGSSCSTFYSDIFCGEQKFDFYETLQIFMKEYHIIAIFIAF KYYDQLIKLKQDQIYRVQIIVSSIVFLLISNWQFLALVSIMRASQQINMKTKLFQLEKLI LYLTLFQIYTNSFIQLGSFNVERSIAETIIYIYVILFLLQILSIFVFKRISLVIIIYLVQ YYFEYIKAMIKLNTLVDNSFKLGMVFLNILIGNLQELDILTFIQFKDSILHVLLGYKVYY FIYVYAAIVILMLLNNGHQQLKLQNKSQQYKRISYLFQCFAQIQLSFLYLPLAIILALLN KIKNYQYTIICLENFYLFWICFFYFPTDFPFFSLITGLISLLLDSNELFYYLYVEIKNIG KEIKFEDLKMAIIISDFTQLLKV >CAK86102 pep:novel supercontig:GCA_000165425.1:CT868563:87908:88909:1 gene:GSPATT00019795001 transcript:CAK86102 MFRSKKSSTVPIRTKEKSSLLAPCINCEEFIPFDKIDYHAQFCNQVSNKVLKYAETNLFF EENDFKLSKLKANLQNKPYQHMQRLCRICELVSQINTIGCVEEASLKEFEKELLSLSQEP SQSINQSLYIERIHSLVMQRMSIIQNQLNLKVFKPTQSQQQFYPQQNINIISNNFKNTTD SPLSTSNNNLKNLIQNKLESSPQVFNRLNKLDYCQSPDPRMTYITKFSQNSPVSQFGQTQ QHQKSEILTHISEQYAESIDEYSNPKSFAQRCFYSKVLNLKLHYPQSNPAQKIPVSILWR QVEKNKIKPQDWEQFIRNCLDKPFQILDPQKLQ >CAK86103 pep:novel supercontig:GCA_000165425.1:CT868563:88998:89401:1 gene:GSPATT00019796001 transcript:CAK86103 MGSNSAKPPADAKYSQQELNQNQNQPQVQQPYMGSNQDPKNPNINCRSQYYSIKNSNLFK FKAIKKGKHYRNQCRTRKNRLNEVMVILYKQLDTAMGTFTKGLKAKNDIQEEINKAEERR VEQM >CAK86104 pep:novel supercontig:GCA_000165425.1:CT868563:90242:91234:1 gene:GSPATT00019797001 transcript:CAK86104 MKITLIQNLLLSRFSQLDTAASIATQYPLFKMLRGVAKKFMFNELEIIFFLHTIEEQKWR YEDQLISDFQPYFKSDFLSNQENQNVEGFKKLLLFLICCGYTIKCFFNDSNDQEIILITD HIQQYCQRDFKKQLLDLWRQKHLNCALKIVPRVLNKLYNKLMRIQKDGKQEFQQDYNALV DQIIQISPAYNNQEGKQIKQEVKPIQQQQHQYQPPLTFSQQTQQSIQQQAFMNASGLYMQ FSQSQNLPQQQGQQNYLQTPLNNQEFCDSNINENLFLNSTKIKEEPLSIKIKDEIPPPPP LLSLASGRLHQ >CAK86105 pep:novel supercontig:GCA_000165425.1:CT868563:91707:92366:-1 gene:GSPATT00019798001 transcript:CAK86105 MSNLKSLMKMSQSQLASKCLALEELLERYYQFETAYYDLQSKYQILLDKYESICKKGQQA KDIDVSEWQLNKKNQSENEIGDRIEIYALEDIETKHFIQSLQDQNLRMQEQLKQNQIEFE MLKFKFDQTHSSPELNNQEGIISTLKSEISRLNKLVSSLRLDVSNFKTREVDENWLRQQL NNEQLLNQEIILSPQYESNEVAEF >CAK86106 pep:novel supercontig:GCA_000165425.1:CT868563:92889:93806:-1 gene:GSPATT00019799001 transcript:CAK86106 MNPIKIQCAIIPLDKSIKKKKINSKNKDSSQNSIVKPKEFVTSFIFPKNINSRQYFRTKE NIQFALTTFNENTLGELGINIFSIGYSVNQTEVRQEKMEYHFQVRDFELADISTIYQMLK LMKMEKACTNLSKYLQRYEQISSIPFSTQFEINQVEELASKYPYECYTFRHERDESVILK YTHNIKFLHLMGITIDMIEEYLRETKTLPCAIRVNNYLQIWNQVFEAVSNDQQIFEMEVQ NFNGKIFYVKIKQVQIFIEQEGKLYGYLYWIYLTDTNQNLAIQNYEASLEKLYPKPKECL YKQIK >CAK86107 pep:novel supercontig:GCA_000165425.1:CT868563:94070:95531:-1 gene:GSPATT00019800001 transcript:CAK86107 MDQANKLQYQQQVEKYLEGNKVYSIFEDLLKSLIIKKPDDPIEFLINKLQEPETKKIFII GPPGSKLLELSLTLAEYMKFHCVSLGDLIKKELSKKSELSQQIQDSLDKFQYVNDEIAIN IALNQIQHLENEKKSYIFEGFPKTRVQGLAFQKEGIIPDAFLILEMSEEQIYQCCLKKLD TEPFNKLSQKEELARNHSLEYQLNLKQVKEIYKNQYFSVDGEKHYELEDMAQRLKYKLYN NAPTRAGRILVIGPPGSGRSTLAKHLCARYGFVYISTRELISNLVNQKGTTGKEAFEKIN KGDLVDDRIINALIKERINQTDCQLQGYVLDGYPKTQQQLETLNELNIQPTLIVIIDATD DIVLRRLTQRRTDPISGRIYNSIDEADREVKSRLIIAPNEKRDVVQLRLKRWEDLKQLID GTVKYASVIFKTSGDAPINNIIEQVCYHLEKMN >CAK86108 pep:novel supercontig:GCA_000165425.1:CT868563:95646:96170:-1 gene:GSPATT00019801001 transcript:CAK86108 MNYKLADFISNYLNEQKLLTDKYTRLFNQIKQGDSSLNRTSSSLLNLKKRSQNQDFLYNS NKEKSNCQYCGKQYTSKLPLRNHIEKFHLQDNYTSKNEVSTKQSTPYEDYDQRKQEYSRG EESSNEADEELRQKLLRNVQAIERRENGGQQVTGKQDQEFIISGLDSDSSSDEQ >CAK86109 pep:novel supercontig:GCA_000165425.1:CT868563:97106:97462:1 gene:GSPATT00019802001 transcript:CAK86109 MKQSAIGMNKSANLSRQSSFTSQTSNSDKKNKTNSYVSISPRFDPEKGLSFENSVKEVKI KSQDVDTDPQQQNQNKQNYGKLKKQSSFTLKTQKDDQMKKSKFSRNQRADSLSPQKLQ >CAK86110 pep:novel supercontig:GCA_000165425.1:CT868563:97995:98682:1 gene:GSPATT00019803001 transcript:CAK86110 MSMQQEYDYLFKILLIGNSAVGKSSLLLRFADNVFNESFLPTIGVDFKIRTFDLNGKTVK LQIWDTAGQERFKTITNSYYKGAHGIILVYDVTDKQSFKDVENWLAEVEKYANENVVRVL VGNKVDLESKREVTFEEGKELADSLNIRFIETSAKNSSNVEKAFITLANEIKAKVAKGSE AVPIKTGPRITPDQQQNTVKDTGCC >CAK86111 pep:novel supercontig:GCA_000165425.1:CT868563:98711:101354:-1 gene:GSPATT00019804001 transcript:CAK86111 MNYSRSYQAMRQSGQSGAFQTTQYETQQIRYKEETIQVTPQQANWASTQLLGKVDDKDFM VVQRTKTYEKSEAERQHHYEELIQDNLRMREVIEQLKREVTTYKSSSSSDVTILRKELLI TQQELEATQKELMMLRSESELKSRQEIDKLMIEIEQWKRKYSELEQKDKDNAEQLKQLAY YQNKLKLFELEAKKKFEKYQSVDLISQQLSDQESLIEELRLEIQRWREKYDAAIMESQEI RVKLSQNDKVNTLEADIEALIHELGVWKDRCRVLEARHQNSEEAKLLARIDQLNRLLGEK EQDMQRTRLTMSQQMTQQMNMQQSSKMSQQQQQIAEYESQLDLLRRQLEAAQSKIDQQNQ EMEGYRLSANVYNSERFEEIEQEKWKLEIQIEQLEKDCDTFILRIKELEETYSELSIKYN EQNAKYLRYKDIVDSNSSKYKSVENLSKELQNLQQELEVWMNRYFQTEIRLKEYDQLRIE YDALIKQGSKSVTNVTTINIENDIQYITLKRERDTYQRQVQELEMKIRELQTTSIRNSQD DSLRRERDNYYRQISELELRIKELQSQQFSTQQLKSSYDDSKVRELEAKLKEQQNRISVY ETRIREFEIRTKEYETRNTQSLIEKTVVLTDEPKIKELTEIIQQKNQRILELEMTLSSNS SSVNTNTVLVIKEQVRTKELRIRELETQIETLQLEVERLQNIKRDQESRINIMIQKITEY ESQINILQEVKLRQSKRSPTQQQQQQQITTSSTVIQQQQPIVQTTSYVQQSTTSKIYQSS SQNLPSPTPISQNYQYQPIQNSTTTTTKQVIITNDFEKNLYPKEDNVSSQIVDRIGSRDY TNYQAPQIIVTQYKPTN >CAK86112 pep:novel supercontig:GCA_000165425.1:CT868563:101393:101956:1 gene:GSPATT00019805001 transcript:CAK86112 MALLMLVYSTTTSGTEFKTQLQLAEIKTMNQIASTGLAGLMEPVEPGLSCVTQLIQVFFG YDPYTFYRGREAFEIMGSEIDMQTRVIVLNAICLYREFPEWGLALIDALNQRLPFKYKQM MLQNITLDQKQFQMIILVNWQMKSQAPIHSRQSTIEICQNKDIKRKSNQDCRNYQ >CAK86113 pep:novel supercontig:GCA_000165425.1:CT868563:101979:102161:1 gene:GSPATT00019806001 transcript:CAK86113 MLFEHPISIYRQQQGLLTANIELSRGCEFILKKQINFQIQIRRTAGEQCIHYPKFLQSIG >CAK86114 pep:novel supercontig:GCA_000165425.1:CT868563:102776:103141:1 gene:GSPATT00019807001 transcript:CAK86114 MFWKCKKSFETSNIFINVPHYPSQQRRLNENRSMENIQFQTLKSQVINKKVKLLLIVLKK SNFSNQSGRSMVYQRKYGVMYKLLQNLYLRNIIFIIIYKIYCLYYFIIIVLIVLQKQTCN Y >CAK86115 pep:novel supercontig:GCA_000165425.1:CT868563:103845:105163:1 gene:GSPATT00019808001 transcript:CAK86115 MYLDISYLRPKSQQFLNKHSKFYIKSGTEGMIVRNIYEKVDYEEYEIKSIEDFKSKIQVS LPNSWKTSDYLKMLISADFSIQGALQVPQNKYLENLQIHLGWLNLYQNLEPNINQLKSGD FYIFGIDKQSRPVIIIKHLENENFLTFQYLLETVKRQVLIPYFVENWTIIYDCQNQEYNF YEIDNIKELMINFCGNLNNLLIVNSQLNFQQILNYIPNKTQIHSKIKLINNLDDLQQYIL KEQLEEKYNGECSNVNNFWPPQIPQVSELLKHVTYDCYEDYQYGLRRLQDFKGRNLRKFS SLGRLSHQHSKTTSMKKSIESATSSSHLKILVHEENGNQLIQEEIEVLNGQGDSEFENVK KNDLNMYKTESVGLQQLEENNKQRQFQTILENENEIEQKCCSNKFNCMIF >CAK86116 pep:novel supercontig:GCA_000165425.1:CT868563:105461:106738:1 gene:GSPATT00019809001 transcript:CAK86116 MEDELIWYYVDTNEQEPEKQTKGPISVRDIDVMLRTSIITSHTFVYKEGFTDWKPIFLVE ELKFFLDEQEHEMVQITCGKDIKAEEQQGQQIQQQDEKEKEEEVEEQEDDESFQQLNDEQ RVEILKKKIEKNRKKSQKEKEKKKNQWYTPKINTNVYVEGLPQDITMEEMKVFFSKAGII RINPETLQPTIKIYRDQNGNCKGDGLISYKMVESVQTAKEMLDGLHIRPNVIVKVTEAVF EQKGQYRKRENKKVDKLQKALARQKEMTQLAEEGQEDDGKGLKILIFKNLYSPTQAQNPE FMNQLYGELLLKIESLQIYVQKLEFFKDHPQGVAKVKFHSAYDAEICLSNLSGIEFNQRN INIQYWDGRQNFKSNIESKEVEEQRLDEFGQWLEQQEDSDQEDKYNQIE >CAK86117 pep:novel supercontig:GCA_000165425.1:CT868563:106806:108098:1 gene:GSPATT00019810001 transcript:CAK86117 MSRENAIQYRSPQQIPSSKKPNIENFPPQRNTNEFIKQMKKRVDQAPLSVMTQNSQIKDA QSIFIDMSRIEQQSSNSNNSFYHPLKQPVLPQCHRSNQPSNCTTQRTNRYDMQRNSKPYL DQSENMGLLLVQQQMLSLQNENMILKQQLEKQESQRLLFQQQLLQQFQTQQQQQQQQPIV INNNITSSEKQQQQSKSIPPQQYQDETKSPPKRIQGKKQQSQGIQTDKSVQDQSIITINE SFQNESLCNKSKQIISQQSLIRPPQQQKQSMESLTFGTQLSQRNLISQQSQQQLQIQTYN SQSKNQSFQQINQDSIELQRENTQKDCQIQNRNDTSRKHSQFDIINSSAQQDQSYIVHNP EIPKKSQPSVKLEFNFDEGTNNGSNKKCLADYRLIFYRIYCISLKERKQIILKGESQSKP LYKRKIRAGL >CAK86118 pep:novel supercontig:GCA_000165425.1:CT868563:108099:108580:1 gene:GSPATT00019811001 transcript:CAK86118 MLNKEVKKNKSNLRKEMMEYGRNKRQQNRSQTPINEDKNSDRKEQVKKSKSPLMERLSMG QKAKVEEKEMFALTKKNYENIPEVKKKKNQEEIIKQKQQFMKERQNKLKELDDKIKEKMK KP >CAK86119 pep:novel supercontig:GCA_000165425.1:CT868563:109051:110101:1 gene:GSPATT00019812001 transcript:CAK86119 MHKFVTPETFVHKVQNDYFIKKKPSPDQRTGKKKQSELDFELYQNLKDLRNNNQLIRNNQ GSLKIINPLSKQINMMETKKQMQEKKMRETQFQMKKKSMLNENQQKLHSDLKNLDKISVS AFKDFKEQVNLYNKYYSNTIGQEPEIKDIQYEDLNIPYQYVDIGSKYTYREILNHEIPEI NYQNDQAKNLMETILQLKFSDEQLENKLLNSLRQHVVVRELDQMEIDYLNAIKNNDLSTL KLMLISDETIVKAKDIVKSVYIRQIGQTGLHLAAKRNYYDICQELINYNVDLFAIDYASR TARQLAEKYHYYKVAKLIQMEEDQQNKKRNIQS >CAK86120 pep:novel supercontig:GCA_000165425.1:CT868563:110262:111372:1 gene:GSPATT00019813001 transcript:CAK86120 MDIDVDKIIEKLLESKGAKSGKPVNLTENEIRSLCIKSREIFLSQPMLLELEAPIKICGD IHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGRQSLETICLLLAYKVKYPENFFLLRGN HECSQINRIYGFYDECKRRYNIKLWKTFTDCFNCLPVCAVIDEKIICMHGGLSPELTNLE QIHRIMRPIEVPDTGLLCDLLWSDPEKDLQGWQDNERGVSYIFGTDVIANFLKKNDMDLV CRAHQVVEEGYEFFAKRQLVTLFSAPNYCGEFDNSGALMSVDETLMCSFQILKSQDKKNV PQGRPRTPKYVN >CAK86121 pep:novel supercontig:GCA_000165425.1:CT868563:111376:112850:-1 gene:GSPATT00019814001 transcript:CAK86121 MLKKFQYDLFVVGGGAGGLASSKASALLGKKVGIADYATPSPHATTWGTGGTCVNVGCVP TKLMPFSARMGEIRKDQIAAGYRGIESEGKHNWKQLIETVQKHIKELNVRQESSLKDHGI DYYNKFAKFIDRHTIELTDMKGEKETISAKNIIVCVGSRPILYQDPKLVITSEDVFQQTS PPGKTLVIGASYVGLECAGFIHGFGFDTTVLVRTRVMRNFDQEMASKVEGYMTENGIKFV KRALLQSISAVDNGKRRLVKWVRDGVVEEDIYDTVLYGIGRQASTKQLNLESLGVKIDAR NYKIMADEYDRTTVDNIYAIGDCCLNRLEYTPIAVMAGRKLAKRLYGNSNEIMDYADVAT TIYTPIEYGCIGLSEERAKQKYGDDGIKIYRSHFKPLQWGFRQRDDAKYCGGKLIVNKES DRIIGFHYVGPEAAEVTQGFAVAMKMKCTKKDFDNTVPIHPSLAEEMILMK >CAK86122 pep:novel supercontig:GCA_000165425.1:CT868563:114049:114943:1 gene:GSPATT00019815001 transcript:CAK86122 MSAERLLQEEVPYNYSKFQKENLGSGDEHQFEGQNKEKVELLKKIETLESRLRNTIDDKP YSELIRILIWEALGTAFFAYGIVCSRGNDVMLSVYLFGAIFLIGKITGGHVNPAVSMSFY SSNEISAFTMRVYWAAQVGGAIAGALAAFVIVGRVTSPYIETQPIQWMVADFCGEVLGTF VFCLFIHIQVHPQTQLTENNLIGIGIIATALYFGRILTFHTGGCLNPAMGVGLGIFESLQ DGNWDRLINIWIYIFGPLSGASLASEFYRSVYVGLLPKKQ >CAK86123 pep:novel supercontig:GCA_000165425.1:CT868563:114966:119657:1 gene:GSPATT00019816001 transcript:CAK86123 MKTVLGLLTIILGLCYCSTIETTTPCTCQQLTQNDCIKNSGCAWNKTCDVKTITQTKSIN YCSYLNEQQCQSDDVCQWVNSQCTFFTGCTAYKLTSDRECKSINKRCYKSDLKKCIELSE CVDYTDPIICFMDVNQRYCYWDTKINRCSKALDCQSQPSALDTDAKCRSQISTCTAKPGG GCMDAYQGCSDITLEIQCFYNKDQSSQCFWDNSVNPPLCKVKICENAPATLKTDELCKSF LPQCTTKINGGCAQRSTCDAATTRNACIKDQQGNDCFWVDGFCKQKLCNNATKEIVTNVG CQSISQDCITKSGGGCMTNGECSTANIDYACKVNIRGEQCFWDSVAQQCKDKTCTNAPQN LSTYEQCSKFLETCTVNSLKQGCEDRTCENAPVFVTTLDGCQDYYNESCIPKQNGGCVTI NTCEEILLELSCVKDKLKRPCFWNEGKCLLKICTNIPKTSQKPVTLDHSKCNEFMQTCTY SQSSNNCINYTCDNVQDNTICLQNQQCYYRETCYTKTCFTAPLSYATHQQCFLYKNTCTL APSGQGCSIMLQTCSLYKNKTQCVQSVATACQWSDDACVERQCNLADISYNTTLKCQQYL TGCVVNNQQQGCIPEIKKCEDSSIQQNCTLDVCEWKTNKCVTKQCSNQKTNCLSYKTPIQ CINNDGSTSCMDVPNTCTLLPKEYCKPAQSYDLIKKYCFIQNVVNCVELTLETECSSYSD SMYCIKRNNNKGNCVWVDGDCYNDDCTQLPNTLTSSSECQTAIPRCILNNSGEGCTNKNE CSAYNDKNCDQLDKNGYECVYKNSQCQKKSCATSDATNHTDCYKYLSTGTKCTVNSNGNG CIELTSCSNYSKESQCVISNLSKVCYWSNGKCFEKKCSLETTSTTHDQCQVFMSGCTLDT NTNLGCTEFPECQQIQDPSVCNSNPKCVYLSNNCIIKTCENAILTEYNNTSCSSLPFEGC AFDSNFCSTKTCAQYEFSTDEQCSQQNSNCTTNGKTCTERLDCNKAVAEQGCKKDIFGNY CQWNEISKTCTLRNCTTAPTSLTTETQCQQYYDGCTTKLGGGCTTKSTCSAANVQAACNT SKHGEVCSWDDTIQQCRNQSCVDFNGSDYKTCNKQNSKCTTNGLGKCFEIAYCSQYLNAQ SCIMGGDGPCLWVNGQCYLYRDCTSIKFKTHQECQKVSDKCTTDGNKCVSITECSQTNTN GGCLLGIEGKCIMTVNDKDVKVCTTFKKCSDAKLKTHTDCNTANNGCTTDGTQCTELLAC KDYKIQEQCYLDIKGAVSVNNKISSTGICKWDGSCRAQTCEDLTGTTHSICNTQMMTCTS NGTNCYTMDKCQNYSTKELCNTALGSDGKCIYSTSNTKCQLMACSDITNNICNILDNCVT DGTKCIAKTNCASYTSQVSCEISGTDGTCLWNATTKKCALFSACSIAADQNQCNKMNKVC SWDTTSNKCVDLTCQIQFKSTNNCVNISTWIPSQIRTCSLVNGVCQEIDVQAYGPSECYL LTQYTYTYNTSLQKCTQCSTTTTNQTNNTVNDTDDTVDNYQYLIALGFSVLSLFLF >CAK86124 pep:novel supercontig:GCA_000165425.1:CT868563:119932:120916:-1 gene:GSPATT00019817001 transcript:CAK86124 MNFDIRERKINFSQKITEFGDKKEKEKKQNKDISLRVDELFEQIEYNRNKEQESDRVFPI IDNIQRRQFQYSKYRTEVLSNSCNKDNLVLTKVLSSMPLYKQEKIYELKKQGLKEFFEKQ HNKTKNLKLQQQVKKKDSQIEEQTKLINDYKQLDTSQIQSDSELVIRAGLRMRSPDETIT FLKNHFGVIVRSPQKSKLKSRKELDYEERQMEKDRRKLYNVKDKLEIYYTTLEGTNPMIK RKQEEQQQLFNQSQMLDYSKSSDITPMNDKEGRNSIFNRNQYYVDHYNNIKLGNNNMKDV KMRSTFYKSHLANDLND >CAK86125 pep:novel supercontig:GCA_000165425.1:CT868563:120960:122716:-1 gene:GSPATT00019818001 transcript:CAK86125 MDQQHNQDEVQQKEILLKTEILDKGYDPERFINFMELQKDNGGQDIDMWTYQELILAITS FQQSSQPQLDQQFQSFAYDRKSDIEDKKLSYVDQHQESQNSTFLNQQKEQESQPNKDLNI KLDQSQIHLCKVQDKKQILQSNEINVYITKYQRIPGGIFSSSYYSYTVQTDPIGWTVSRR YSDFLWLRDLLCKIYPGKSVNSQNLNQIAPVSKKSVLKNENEMYIKKRMKYLEKFLKSIF KCELLRHDKWFFAFLSSKDEKDFKQIQKLSTQVQKVTKLEQIISMDGKIQLENNENINVY NIEATQLVNSVDICYRKLRKSSKQLLLDFDQLSNTVFNMGSTCAELYQLSNKFNQSIPLG KISKLDILNISMNNMFVQWGDNLTAQIKIVQEELCYFFKFHHHSILILKEFMKQKEQAQQ EYERFKCRLEQKKNKLFTTQDLSRWEIPAIQLKALQDSNLTQNKEISFQIMLPQETEVQE DLRKFLTYYNNQQSVEVTKLLENTVSEFSEHFKTFCSLQKEQLAEQKLIWDQSILNLTNL QFPKELIKKST >CAK86126 pep:novel supercontig:GCA_000165425.1:CT868563:122731:123120:1 gene:GSPATT00019819001 transcript:CAK86126 MEYYTEISNELKNKYNRHYNLYQKQQLEHKVLCYKNSNEDPLKYQQCIDDINTRMNMNST TLRNRYNQIEIDDKDCHGKCYEDPKCMKGCEDQSRKKANQLQEQFYKLMLQENPEYKKLQ >CAK86127 pep:novel supercontig:GCA_000165425.1:CT868563:123149:125839:-1 gene:GSPATT00019820001 transcript:CAK86127 MFEKIGSKLTYRTFVGSSSNKSEACELCQQTFDWKHKQHQCKRCQRYVCYNCGPDKAIIP SHDLKTPHRICKNCKDDSKHQAQQMEVEKCKFGQLSGIGKRWASLAQGDDVVIEKVQEEY QNSLSKILKNASNETKNQIQTNLQRYFKENKKNLNYSLYEWNHKMCVGESESSILLKISN VLHCFFKSNPVRLTRNLVFITTYILYFCDEAICLALLLFLHQKVLPQRFQYHKLDAEENK PIDQEVTFLMDCIRDQSNSQIDSLTKSKIKVFLDTHSSDILTTLMFNYIEFYVGYFLFNQ LLINKDFSIYEKFLANICLELQKSFADAQIDEIPIHIMKHIQLEQLTTFLQFEDQQKRKH RTSLTSKEQLSQQQQIFIQQKQQDQKEQQQQPRQSLNNSSLECVLDSFIGLVKDNSNSKI ESVIQNEKYDVNKNQIKELYQAIKKQKEELLNLRNSIAYAKQDNDVESETYNSRISRSSI KSDNADKQMIQLQEKNNLIIELEEKVQQQQQLIQRYIDQQQQLEMDNQFTVSNLKAQLKS QQNSQLLNQQLEEKQEISQRNFEQKEENYRNEISKLQSDISNLKFEINNLQIQLQQTLKN KTEFESQLKEKISSDYEQEIFRLTEERVSLLKKTSMLQQQNSSMSIKLEEKDQETLELKQ QLAELKKNAEEMSLLCARKNEQTEKLNKHIDDLNVQILGLKRKDFDQNFNQTQVQPNVIK EFQENNIQIRNNLLQKEKELFNLKQKFVEVNQLKIEYFDQMQNYERINKEINQALKQYEG KEIHQLKSFYEEKISLLEQTHEEQMNRLLSQFQQNPPKEQEQKSTTQQQVFRESQPLQEK QIIDEQPENQSIGQTDDCTIF >CAK86128 pep:novel supercontig:GCA_000165425.1:CT868563:125946:127722:1 gene:GSPATT00019821001 transcript:CAK86128 MSQDQCYDQGLSGQSSQSPSHQQVQKRMNQFSIDFFIEKRFITQEDLKQILDNVRCLYNI RAIKLNPQNQIPALDGTAFSVIDPDENKLNARCDAVNKILQLIEQRNKQTLEVIMLIPEG TVSCVIGTQGKYIEHIKLETKVHLVVNQPIYEFQLRTVTIIGESSRIFNAIKMIIKQLQE RGISNEDYTKKAEPLDPRRVMTKAKFAFQSIQRGNTLQYLKGKGNNDIKIKKNKLKKDEG VLQIDGTLFNVQEAIQNIIKKVTQQFKKNEFDIRIVMPANFASKLIGAKGCQIKELANKA KGAQIKVLSDRDDTDANQDCLVQVTGSMENKQEATILILEQIECFKNGGPILENGKYINE NFAQQYKNSVQVQDMKQKRLVWKNQLGRHYSSSSSSERRQRSRSSNKRQKYLRRSRSSSS NKSNRRRSKQQGLKTKIVVKQSLIELIHKQLVRYCHDFNVTIKTYPSVLYEKDKQDVGWE SIIKISGDVRGCITVIQYILNEQCKLSKR >CAK86129 pep:novel supercontig:GCA_000165425.1:CT868563:127779:128270:-1 gene:GSPATT00019822001 transcript:CAK86129 MNTIRTITYYQFSKKNQYKIYIGNDHAGLEYKNIIIDHLKQQEYDIINRGTNTKQSCDYN EIATELCSDVLRDKGLGILICGSGVGMSIMSNKVKGIRCGQINDYNSAKQAAEIGCNVIA LGSRILGIEVAKQVIDAFLNSYQNVHTEINETISQIEQDNFRK >CAK86130 pep:novel supercontig:GCA_000165425.1:CT868563:128406:129421:1 gene:GSPATT00019823001 transcript:CAK86130 MSDFIRWTYENRLQISIDLAAGSVSGIANCLSSHPLDTVKVRMQMSDDGVLSTLKKILQN EGTKGFYKGMSFPILSIPITNAIVFSVYEFWKSFFIGNSNKQLTYSQTAFCGSIAGSSAA FFSCPIELTKCKLQMQSTEKIYKNPIDCIQQIYQKEGFKSLFRGMCATQQREILGYSAQF AVYELIKDLLCTLSQKAEPSTANLLISGGLAGVSCWTIGYPQDTIKTILQCQKPSDQAIY KVRFYDGGFLDCLRKKIISEGIGSIWKGYSVCILRSFYANAIGFYAYELARENLTSFYQL Y >CAK86131 pep:novel supercontig:GCA_000165425.1:CT868563:129478:129714:-1 gene:GSPATT00019824001 transcript:CAK86131 MGCSINKKLSSSKQTTNTKITNDNFEEYLKSVGSLAESHELRKAKVSYQLQKNPIIQRRM NKSREKLDEICQDKIFLN >CAK86132 pep:novel supercontig:GCA_000165425.1:CT868563:129995:131342:-1 gene:GSPATT00019825001 transcript:CAK86132 MDLDFIFFPAPKEISTEHEQGQILWIPKYKKLYPIFKNYVPRTNKKRGVYFKQRELESED DEHSIIEEPRQSSFNQSSECQLQPIPNFPCKPATQRQQIRQTSEINSPSFDSNEGSPDVS YKLQQLNMQSIQIPSKKFELCRKISNFYPKTKAKKSFCFSQPLIKLPKQLLDKKIENFVL EEPTVHRSNSRESNHIFGHIPCMYVDSKIHSPNIVLYFHANCEDITQAYQFLIHLRDNLN VSAIAMEYPGYGKYKNEQPNAEFILKDAEYVYNYLTKRLGYNENRIIIFGRSIGSGPATY IASKYKPACLALMSPFTSLKAAVRDYVGSWAQYLIRQRFDNLDQIKKVKIPTFILHGKAD NIIPYTQAQELYKNCISRECIMHLADDMDHISYKLYKDLINPFTEFLIQIKYYQNCSQAP KLPTVLFYNPTIE >CAK86133 pep:novel supercontig:GCA_000165425.1:CT868563:131969:132822:1 gene:GSPATT00019826001 transcript:CAK86133 MRGLIIRFAFAEQVSIQSQTHLKRTYKEATVEMAINPINPYHQWFIKLDGKSIKTQQRNI LAVPSPQLAACIAQEFNRQKEFLSFKQMPLMMLARNAIDLDYDATNREYTEKTIISHLEN DIILHRRNQNSQLLKIQQQQLDPQLRLFNSRFGMDIQANDSIQIASLSQQNKVKIESLIR GLNNWQLVSLSSKADNLKSCILAIQLSYGLVDLNKALSLYDIENQFNKKLTETENPQESD EEDNKISMNVQAAQLFSSLIYSQSILY >CAK86134 pep:novel supercontig:GCA_000165425.1:CT868563:132851:133653:-1 gene:GSPATT00019827001 transcript:CAK86134 MKGFHLLKYTLPGEQPISLILSEKERGKLFLGNLDCLHNQQILEVHNINSILSICTEEKI LMGPKYQQVYLDIHDNMNSQISNVFEKSYLFIENALKSQQNVLVHCAAGISRSATLVLAY LMKSYQYTLEQALRYLKQKRPYVRPNPGFLLQLLDYETLLYGSITSNLGPELTPFDVQRD AQYKPQPKVELRKSLPLKQIPGPSPAARVERKTHTEILTRVSQSLSTFQPKAFKS >CAK86135 pep:novel supercontig:GCA_000165425.1:CT868563:133759:134201:-1 gene:GSPATT00019828001 transcript:CAK86135 MSQQEEIQQVPQIKPSPDCIEFKLNFYHLHGIQNCPFCNKPQTQTIPKELTNEDIEKYQN EVLQKQKDLLVDIDPEDPDQMMDLYEKYRGCPCCKGFVLNCEGAICEQLGLCYCIQKDLQ DRQN >CAK86136 pep:novel supercontig:GCA_000165425.1:CT868563:134214:136350:1 gene:GSPATT00019829001 transcript:CAK86136 MIKKTFFGISLRDKQKYTFKEQNGLLCYTFTQIVLVGTGEAKIYGLSSDKKIQIASLNGK HNQSKCKFIMDENNFTHLICLGDESISINVLGYKLENKVQEQQENNQQENSIFRNEFRAL QPQEERELETKGKEVIQKNIKSKIIISKVLKNSMCCDILKFISLNIFFDMFRSISKWRMR NQLKLYFLLLSLFSTLFIGIILIVTQNLVYQKVVLTTQQISTKLTENEISNQFETQMQLF FEVINKNYRALDKFAQLFTFVQLELSLITSKSDQCPVNQTQNYRKSNICYNILNLNSGQE LNSIQQQDLQLHLKHQSLLQELLLLFDPEQFIIGNWSIGSGKQFYFSAYQAIGYYSTFNI ATRPFYLNHIKKANTSEYIFSDVFYNFENEYKVTISKNLTNDITEGIVATQFEFQIIKKF FQGNFLILNKDGLILIGNVQMYFQPNRTNVYFFNETLTGFNENDWNSVRVYMDYNISESN CTTSNSLHLCRYNKITLMDVMIFAKFLRFSNLILIILKNIEKDEDLERQLIWIEEQKNLQ ITQLLTYQLIAALVISLTAILVVRYICRYMTYLERLAHSHFQNKPVDFQVYSFLREIKLH QQSHSTNITLNLSDSYYRLISQLNARPFIKNDECKNFESFQFPSFKKKFNLLKWKSPIQE LHDSKGTSRPSCKALILNLLRKSYQRHKY >CAK86137 pep:novel supercontig:GCA_000165425.1:CT868563:136453:139174:1 gene:GSPATT00019830001 transcript:CAK86137 MEKKQGAVKQIVSSNCVLISGPVNNETGVPMTKFLTLQGIQAPEFSLTDKENPKQESFGF LAREFLRKQVLGQQIEFTIEHKIKDQNDKVIGRIFKNGQDIGELQLREGLAQLRQQGKPS QEYENAQNLAKQNGKGIWSKREELVKYNKIDLKDVKPKQYTQCFVEDVQGLFVFYAYIPE LQGLVKCSYGEVFMPTSVSQVLQNRAKWTIQELILQQEVTLTVQGQDEKFQSLRVDIKKK DLDVKKELVSLGYFRLSPNAFQLINDQKRYNELKETQSQAEIKLIGIWKDAMKQQQQQQA VVLQGGKQTYQAKIIEVHSGDQLTVMNVNNRQQSRVLLASVKAPKYSLKETQPFGYEAKE FVRKHAIGKTVKVEVEYEKKIKPKDIEGLADEDDKKKLQQELNMIFVNIILTEDGDQNLA ALVVGAGYATVQPPRGDDGVSRYIDELTGAQESANKAKKGIHGKPVQLPKTTDLSVNPNL QRSRDAFDSLRTLRKLSGVVELVLNGSRLKLKFHEQNFTSIVVLAGVKCLPNEQNLPEFQ KFSNIALQYVKENALQRDIKRVSSHGHVFIGKQRTNLGLTLLELGLAVTFNPVANSHAYQ ALFADAESKAKLKREGLWDIKGLDLTIVKGDDDVPVRSEIKLLNGELKKLILVEIADSNT LYFQDPTDKLLGQIEKSLGSFTAIEANKLVPPFKKGLLCVAKFSVDGNWYRAKITRELKN RYEVLFVDYGNVDIVSQNDIRKLPENLAALPPQAIRCNLAYINGPTISHELGNKVGQFIR DQIFEKEVVVSFEYQDDVSKGVIAYLTKENQPNKSLNILLLSQGFAKLDKAAPPLPQKLE EWLKTSQEAENNSKGLWNYDEETE >CAK86138 pep:novel supercontig:GCA_000165425.1:CT868563:139207:140087:1 gene:GSPATT00019831001 transcript:CAK86138 MQITPKAITALSKLLSQNSSNNKQSQNDAKPKSNQNSKNCRSINNNEFKNFISKPSLSNE PNYSVKNELISVLNSSKEKSVKSNLKPHHSLNYLLLSQQQLSARNSFQKKRPPQINVEES TDIQKHPQSAKNVNQMSPLHYCPNQKSQQSQKSIKYPKDIRVICSKEELLKMQFTILQLE AGTGEGTKLVVCRSARNQEQKQEKQIYLSENKQQQSHSQYFDSQQLNKLYLYAAQILKAY QTKEMIWKQQKQSLRSEIVFLKQLLQQQEQQQNDE >CAK86139 pep:novel supercontig:GCA_000165425.1:CT868563:140270:141613:1 gene:GSPATT00019832001 transcript:CAK86139 MKYILLLLAIGVFQVSAVNWALLVSGSNAFYNYRHQADVCHSYKTLIRNGYSPENVIVFA YDDIAQNRQNIYKGAIYNQPNKDGFSENVYDGCVIDYTKTDVNPANFLNVLKGNYDHLPD GHKFINSTREDNIFVYFSDHGSPGLIAFPTSYLYEQELIETFQYMYENDRYNKLVFYLET CESGSMFVNLPTNHRIYALSAANPFESSWGTYCPPDDVVNGKSLGTCLGDEFSVTFLENV DLGDFSQSLQEHFEFIRDHTLLSNVMQWGDVSFTSDTIKEFFWGRRFQEKRKMCPKDAFF MNEENVSRWDSRDNKLLFYQNRFNQTGDLEDFIELEKEIKSRAYFDTIFGELQQSLKLTG DYHFALNQNCLKSVIEIFEDKCTKLTDYGLKYVKLFGEMCDSTNLLQIQLNMVVSTLCMT E >CAK86140 pep:novel supercontig:GCA_000165425.1:CT868563:141787:145266:1 gene:GSPATT00019833001 transcript:CAK86140 MLKSQEIQNQLSENIIKEEIDEKELLKSRQFSTYKKSNCQEPSGIKKYKSQIIDSDEEDD KKDPDNNDEEVEFKVWTSLKKSTILPQRVQISQKSVEKDVDANNLKPLDFEEVLRQSQIT FSQHRQNFTNDESDARPQFKSVRITQIDSDDDDEELVDKVALNIEAHPDLDTEITADNKV MMSVIQSKLSQSIQQQFKQQQSLNQQQNIDEQEEIFKSKIVFINKTPVIIREDDLYIRKV RRIQNYFRFVKPFKKLKKQHRYRVNIINELIYTEKNYVNDLNSIREQIQKPLFQLIDSQY DLKIMFNLDSICEFNQEFLKVLLSKTQNFKEKPYAKIMDEIVVLLPGFRFYFDYCKEYQA TRKIRDHYSNTNQVYQKFFNDLLERQPNFFNNLDLESYLIKPVQRLPKYILLFKDLLKHT NKEHPDHTNIEQCLKLFQQINDKNNHQIKTYLEQLKLVELQNYFGQHIILVEPGRVFCFE DFCSTYTDKLHNNIILYAFNNLLLFAQNKQNGQQGYFSHLHLTYQSYVKDKENTDYFEHF FEVVNKTESIIMINQDNESKMALMSKIQEIIQQLKEKQTNMLNVKKQASFISEPNNNTQK DQKCDYEIKVIVIGTEIRNSKFNPYTVYVANIFIQEYQTKVFVRYSQIVSLQTIVNKYDS GLKVPVLQTLNWFLSNDEKVIDERKLLIEKFISSVLNSQKCQNTIEYQKQVLELLNLNPD FFQIPLEQNPNSNNKLGDDDMRKMVLQSQFSFGIKPNLLQSMIMKGKSTNIMQAAKASIQ RLNITAIEQGNQIVIGQEASKQPYCIEVSLMDDRKIMVGFKKQTLTLFIKNEVAKFVGLK QWLDFRLFIVDQNKDQRVIDDDETMSSILDAHINENTGLINAFKKLLTVQQKFQFVFRKY FYLQWKQEEADYSQDQQRLLYLINDILWEIRNENFQYNFNQFCLIVALFFYSTGTSQDQL SQLMYKIIPKNSLKKKKDEVWLKEIVYNINALQQELKNLQKDKLQQKNQKCLNNSISGQA QLMLMNYLKTHSLFGMQQFLVECNKQTIQLIQKNFNIKISSHMFIGLNYSGYHILNPETK AILYKCQYQKMTEMKACTTEFSCIIDSTKLSFKTQLSFEIKSLILEFKQLQEFTNQIACG GAH >CAK86141 pep:novel supercontig:GCA_000165425.1:CT868563:145588:147063:-1 gene:GSPATT00019834001 transcript:CAK86141 MKSRYEEYYETKNQLLGQGAFSQVFLGVKKSNQQKVAVKISNRNLAISQQEKRILYRLSQ FKHQNVVQLFDIFDQPEALFIVQEYCNGGTLYEMMNTKQFTEEQIVSIALQIANGLNFLH SKNIVHRDVKPENILRQIDELGVETYKITDFGFSSVKLDRMTTIKVGTAYYVAPEILKEQ EYDKSVDIWALGLIIDELIHKTPFYNGFTEEEVFSKIRTIDYIIRDKQYAEPANFDNKKS LIKSILINSIQKDPIQRKDLNWIIQTLNEYYQQSPHCFIQKDNRKISLDSQTTSCEQPLI SQIQMHSVDQQQVEECPYHSQPVKYKITGGDENSITNGCKICLQCYGIFDHLEFQQYLRQ SNVELISFSIECYFSKNEIIIEALKLLNQFKLLQEKEKLISELRNIVEKHNNILRLEYIQ YKNDVESMNVNAKWLSDALKINQKISKEMPNKIILYLISRCQERKELSLLECKQFIKEQL KSLQQYLLSLL >CAK86142 pep:novel supercontig:GCA_000165425.1:CT868563:147576:149564:-1 gene:GSPATT00019835001 transcript:CAK86142 MNNHAFKYVLIHKVKMKQSNQKPNQQEQLLKQQLQIEALLSKALEYFINPKQQNEQLVDS LLQIQFFKNNCPEGTQLKDFVMQTTKHAKYECFSYGQIIFNYGDFGDKMYIILKGQAGVY IPKSQEQIQEELAQENLKKNRRMLFLDDPLYENRDNPIYYQDGIFRFQKVFQYISGQCFG DVALTSDKPRTASLIVLSDILHCISINRIQYKLICDKAIQEQKKAMSLYSKIFPGIQPFI IQKFVQYLRPIKFTSQSILWQAGDEPQNLLIIVSGRVDIYTKLDDQKQLKNRVIPNNLFN IVLKKVILSQITDGGVVGQEELIEELPKRKYNCQCVDNTSAYYMEAEEFRKIRKNFPQIV NLLKEIQEKNNQYISQRYQQMMQSIQNCQNSIVNTTSNQQTPVPFDELIDVQKKHIDQSK VLRSGNKKLLTLSQSVRRNQKAFQLKYKDKLQKDLLVPNSELQQNIQKEQEKLFYMNNRH LQQKSKQELSLSNEIKNFKLNLSKSQSKFNQTEENFENIISFQHQYQLTDGSSLSPSKIL QFYQSSRRESYKKYQNLLNIQPSNKPNTLNKNISLSLRHFNSLHSQSNIKKRIQTDQSDI NEQQHQLLFITSCRNKYEKSPKSFPKLLLIEDDNN >CAK86143 pep:novel supercontig:GCA_000165425.1:CT868563:150061:152337:1 gene:GSPATT00019836001 transcript:CAK86143 MREGQRLNQSFSYSRSSPDKKGSRHNKIVSDSYRKFLATYQQLSMLGSQYKYSHSTLMPY IYFFKYMAKRYDETNQKCKLKMWIPDTIILNDLDLSAIWLYSSVDGYVYRTDSFASRNAA QKFTEGSNDPNELIAVVKKPHYKDMELIGNDTKPILQKDVQLIFSNAMSVKQEITCLQKY IKCQGPMAYICRTIWRKNGQTTAWVITNKLTFQDEGEYAQRCLASASKYKQANIIQCKGG RFIEETVPYIQNLLLYCRQSMNVEFDELGCDFTKGLDNKWYLLNVRGFKLMNPSHQIFTR HITHDEQIPADFERKQASHSNQQWNFTKTQVCKFCELIYPAKELTHQLTLKMLIECDLHL LSRNIELKHLQIRNRINFTHLDSAMLFHKYYVCDSCYQLFMACDELIQLEFKMAQKLGID VYEKNNIISLTQQDYRVKGPNVIQRQANTIYGNGEYFIKPHDWEMLNEVQIKLMPNLPEI NYGTIPKMKRFRIMVYIKSVSQIPIEAQFNQSKYYIYYSFLNQEIKFQLDMKKSIDHQKD VLNVDHAKLFYLFAADRQDINCILSHLKIGLLCNQEIIGELECELGDFKSSQVTIKEYLK YFSGIQIKQILSWSTDLSIGLVESQQGSINVERIKLQKYGIIYLPNIDYYCSEPLPQEWM NQFEKRRKNNINHIGNNSTSFAISDVSNILDHSRAQQTKYPSRKSCTAATTVPNKLDQSS VLYSELLEYQKDTEKILNFDY >CAK86144 pep:novel supercontig:GCA_000165425.1:CT868563:152973:153826:1 gene:GSPATT00019837001 transcript:CAK86144 MQRKRRCAFDSDNLEEYIQLATIGQNQTNLFYDDKKLNWLNKSKQVDDDEEVLKDIEGFE HNYSSPQVDYIDTQELPPDIVLKSGDDIFSHYIMILGDINEYVKQSLTLFCMQSRKNSSE DFNEELISPIKRYQLIFHTLSKLCLDLAVKRIQEKNGVHIVKFLMHDMTIQNYGPIINVY FLQTSNYILIINIDQNNFQAQKEQDMIETVKQKASTVKNVYVLYFTESDIQDQRIPNQYY CNRWNISVILSQIIDQVINQ >CAK86145 pep:novel supercontig:GCA_000165425.1:CT868563:153904:154637:1 gene:GSPATT00019838001 transcript:CAK86145 MQTADPKTYKPLKQIDKNQRGYGLKQIAQMTLGSGNMLLAVELPNGEDLNEWLAVNTIEF YNEISILYGTLVEFCTPELCPIMSAGPKYEYLWADGQNVKTPLKVSASEYIDYLMTWVEN QLNNDSLFPCQIGIPFPKTFLSVVKVIFKRLFRVYAHIYHSHFQHIMALELEYHLNTCFK HFIYFIDEFKLVEDKELAPLAELIQQFKARKENPTMNQGV >CAK86146 pep:novel supercontig:GCA_000165425.1:CT868563:154644:155405:1 gene:GSPATT00019839001 transcript:CAK86146 MHLIKVYIFFQQCVIITNHNNNYKYKQTQQVLMLKVFRNCILFKYNLSRSFAFRFCVNLD LECCIYHSSSQGSFIGIKSRNYSHDTLYYLTNFPKIMPIEHFTQIICQKLAVQKQMTRLF VVLCPINSFYYSKLKLVRVFCNISTAISYQLYQNVFSTKDKQEDIKLLEISLIQYQHQRI LKIGFNQNLQMLRQGLVQEMGLYNERSYFDQKIDFNQKDRQIQSDRTEKIQIYKQILSLQ EGQYELQLLGYQC >CAK86147 pep:novel supercontig:GCA_000165425.1:CT868563:155689:157483:-1 gene:GSPATT00019840001 transcript:CAK86147 MQTEQYFFDSAITNRKNQWVKIHSIKRPISTIRLFEGTLFIKSKKHDLYKPKFFKLFSDR LNIYKVICPISQFQNCREQKETAALFLTNVYLDLSSQNIVEKDGHSIVLFSGSKKYYFRA KSQEQKQKWIEHFIKTCILNNYRDIFLNLKVIGKGTYAKVLLAQRKVNQSKYAVKTFQKS ALLDKNNKQRQGLLNEIDLLRSCDHPNIIKLYEIYESGDYIYLVMELLEGGELFDLILET QSFQESKVALIMFKIFDALEYLHTKNIMHRDIKPENILLKDKSENFEIKIADFGLASYTE ADLIIARCGTPGYVAPEIFEDKKYNEKVDVFSAGIILYILLSGQAPFFGNSLDEIMEKNR DCQINFKDLKVSEDALDLLKKSLEPNPECRISSLEALSHPFISHLYKRESNHADDIKLNN DGSNEKFSILDNMKKFGQCDLRSRILKCNQNELIQQTPFLGVREYDPIKASSDSWLLEKS SINDSNQLFRSPNIQQQQSDSDCSILSSPDSKKETSRQLQFSALQQITFRQPPNQSLYPP QSQYKSQLQMNLKKANQIRDQLKKLG >CAK86148 pep:novel supercontig:GCA_000165425.1:CT868563:157629:158540:1 gene:GSPATT00019841001 transcript:CAK86148 MMYSKAGKISIELYPWIQQRDAIINGDKKKTYEISIFDDIPHSKRPYKSVKQNPKLSPKE NSQPKIQPFSKQVGRVESKKELDRQGFYNPKYHLVKRRSPLTDDPDHFKQINSDRSLSPK TIKRTIKIQKPSLKHNCETSKSYIDKKKQEEIRKQRAQDYQPDLNRFPLSDGPNEHRFEY QEYPQSQYSRSPNPIIRPRSSSSKMKFKEQQKNGQIYDVDYTVVYPKSDKMIPVLDKLTP RQPFNSDKPVYTYHETLSDDTPKKRSSPQSFKLDQQISREKAISYYSSIRRLYYL >CAK86149 pep:novel supercontig:GCA_000165425.1:CT868563:158568:159382:1 gene:GSPATT00019842001 transcript:CAK86149 MSYPFGNQFQAGMPNAKYKTTLCRHYQATRQCAIGAKCQFAHGHEEMRSINDPIPSALIA VLAVPPPFQEIMKPMMPQQPAFKIPCKYHAQNYCKNGQNCQYSHDVDIQQPMIPNIINPY QPQYPQVEIRQEIKQEDPMTTVFIIILQTMEQIFSKDDIIQKLKIAQDQVKCGNLTVGSE CIKAIMTDPERTDDEIEQYTTIYKNACYYYHQLQQG >CAK86150 pep:novel supercontig:GCA_000165425.1:CT868563:159892:160727:-1 gene:GSPATT00019843001 transcript:CAK86150 MNIKKQDLVQDLIKETQLLKAKLQIAEQNISNLKQVLQLQSRNNSKSPIHQQKTNQLNNY FSQQSTITTVSNENLLDTKSIQLVKQLYNQIDQLKFENVQQKNRIETQNKTIKQQNQDHL KLKELYEEQTQLIIKLSNMNQQLLDEINIHKEQYKQQQNMIEQLNDQLKVQQITLNYHEV SFQERHTLSQLQAIPEEQQKEPQSRYESVKLPMENILASTTFQNKTDQEIQCLIDEELPY TKNNILDLLSKAEQEINQLLQL >CAK86151 pep:novel supercontig:GCA_000165425.1:CT868563:160753:162251:1 gene:GSPATT00019844001 transcript:CAK86151 MNEIEQQEASHYSTINELKSEIQVVSQKEDHSIIRSDSILNFDDTLTQIGFGRFQIMQYL VSITLGVMEGAQITIFTLMVPILKKEWDISESIVSLQTSFIFIGFLVGSMLSGQFTDRYG RKLPFIFSSLFTVLICFATILCTNVYQLLIMRGLMGIFVGFFAPCCVTLLQEITPNNLRG QMTGSVTLSVAIGQLYGFFTASLILDGINGSWRWLTFLGSLPGLVALILSLIYIQESPRY LLLEGKYYEAFQTIKLMIQTNKTTEFNLSIENEHKLVIWSLYMNSIAKKTEHASFKSMLN GDRLYVSLVLWSIWFLLCFAYYGNLMTMPQILYQLKDDQSQLQQLVYACLSDILGAILAT LIIDIKGLGRKNSLILGFLIASVFAFLQLYYYEHHFAILAILQKLFLSMNYIFCYQLTTE LYPTKLRTTGLGTAVAIGRLGVILMPWSCMIISQYSIIAPFLLFSIASFLGSIFTCFIPF DTLGKSLDNNN >CAK86152 pep:novel supercontig:GCA_000165425.1:CT868563:162297:163413:-1 gene:GSPATT00019845001 transcript:CAK86152 MQTDQVERLMRSKGSQYIPRNSQASSPYVRQVPLMNSQAHNYLPAYSIQRVQTQSTHSAI TPSTERNNTQFISKMQSSCQQQSVSPIKVIQLSTERTQQTQDQNDVQRIEKPLLVVSVSD MENRYKQKLLQLEQLINRLQIENQRLRISEQNLKSMDYMTRINELEHMVKNFEVQEDGLK NEIQRLKQELFESRESFTLLQKQLQNKNGNNEEIRQLKKSIQQFEMDNKEFQKHLSNKDA EIMKLRNILNEKENWIDQLNDQISELQMVQENYSRVETTVISLQGEVDVWRRKFKEKNEE ASELSEKLIMAETSLEALKKRQITQIKEVNITKSNSKTSNNMIQSVDTTNRLNRGSQYSQ RQQL >CAK86153 pep:novel supercontig:GCA_000165425.1:CT868563:163699:165274:1 gene:GSPATT00019846001 transcript:CAK86153 MIKKILCNKQLYQFCVEIKQPKSKKGRNILLFLLGLGFVDQMTKYRDYMSNIFLGEQELV DLQKKKQIEVDKKILKYHEVNAKRNQVKQTVVIIGGGIIGLSQALKLLQNGYHVTLIEKE QFVSTKCSAFNGNIYNPYLFNPLISKYSIKTMFDNYLNPTDTATQRFSFNCLLEPGAFVW LFNALRLSTSDQQFDKSIQEMTKLGEIQEQEFEKIKKLGLLDNQLTAKGELSFYKSAEYG KRISQSFKQAGIAFAEVDKTEYNEHELDKQLFNNYPYVIRLDKENNLDTKQLSINTLKYC QKHYPQEFTILYGTEFNEFIINYNRQVFGINTNKGIICGNKFIICAGLHSKQIAKKLGAT LPIMPCRGWVIESELPEFAKGSVLDQMVLKLPTLSITNLNNKLRISGCQLIDKEYKSDDP INEWGAQILIKQMKEQFNVDLDPKSISVRDCCRPLTPDDKIILSKLQLNKNVFVNAGHGS RGMSQCHGCAEIIYQLMKGKQKAEYAAFDLSRFLFV >CAK86154 pep:novel supercontig:GCA_000165425.1:CT868563:165312:166363:1 gene:GSPATT00019847001 transcript:CAK86154 MSQYERIRPIVFQKAPEVKQVRSNSLAVRSSPSKCQLDLSFDLQVNSTTNNTNHPLKDIN NYKKLYVSESCQNNNKENQLSGKHFSFKADENQYLKQRIKQLESQNNNYVSENKKLAHVL DQQIQLNQSLQDQQQSKNQIIRKLEDVQKMSKYQQPNNTDLKQINEQLIMSKKVVNDLEE KVQIVLNENQKLSELNERFQFTENQLKIEVEKYKSKCSILDSKLKQQQDESKCLELQRKI KKQNDQLELLARENYQLKQQLSQNTNQVSQQEDRQFHSDKYKETIQELECENQYLQQMIG IDQQKMNNLEEKLHLLSKENQRLTEIVKNRHKQQ >CAK86155 pep:novel supercontig:GCA_000165425.1:CT868563:166394:167081:-1 gene:GSPATT00019848001 transcript:CAK86155 MYSYAPLGVSYASPLATSIARPVPVGYGAPVSYVQPVSYAAPVTYAPPVSYAPPAYSPVR GGSRVEYVPYQKPVVELEEEVRTVQVPRQKWVTDYYPVEYQKEYIPQVSYEKQIDYVPVE KNVPRVDYLEYEREVRRAPPAPVSYASPLSYSYAAPVAPLRTSYVAPTYGYAPNYSYVAP TFGYGSVYRY >CAK86156 pep:novel supercontig:GCA_000165425.1:CT868563:167421:169359:1 gene:GSPATT00019849001 transcript:CAK86156 MSEYFRKYIKKEHYANHQYQFMNQLQLKPQIQNFFQKLHIGSIQQLLNQAFKRRLWKETD GDDWDIMWAEKEWIHEVMDHTHLQSNQKINHFRNHYELTRKDLMIKNFKRYKKNLEREGK NEEANNYNFFPLTFHLPSEYPIFFEEFKRQSNNGDTKTAWIMKPIGKSQGKGIFIFNKIQ QISQWKNTLRYNQDNPQAEAYIVQKYIADPLLIGGKKFDMRIYLLCTSYQPLTLYLYRTG FARFTHHRYDNEDISNTYVHLTNVAIQKTSDNYDEKLGGKWNLQTLKLYLMTKYGQEKVA ETFYNIQMLMIRSLQAVQKIIINDKHCFELYGFDILLDAQLKPWLLEVNASPSMTSNTPV DFELKCGLLDDVFTIIDLEKVLTGNEEQIGGFDLICKGNPIKLPVNSTFTTYLGAFNNRS QQLKKIAKSTAIRLAQSYQEGQTKAIEVGSKGQKEKEEKDKQMRSSSKGPQVMQNGVNGS IKTTNQSKQNTIIKRNTTNLPALGTAQNKSNIKRYPLQNAGQQSQQIQQSQNNSSKKNNP NEDSMLSANQKLQKLTQESKINQFQPYKQQSQYNDVMGMGSRNLQKNEE >CAK86157 pep:novel supercontig:GCA_000165425.1:CT868563:170232:170654:1 gene:GSPATT00019850001 transcript:CAK86157 MKYAKISNQVRQAFIKRVSEKKCTIRQAAKQFGIKFSTAKAILSIYRHEGRIGKKQRRQR KLLNNTNSERETQNSLKEEHTETKKEFKLDQAPQNTPNDGQTIQPLLQFNYDSTYLQHFW MMTYMNQHLQYQNFQRFIGY >CAK86158 pep:novel supercontig:GCA_000165425.1:CT868563:173239:173734:-1 gene:GSPATT00019851001 transcript:CAK86158 MSEIQQQQLHSSKLDQESATNAKNHLLLVNNITDLSNELLQSYKHEIDQLKQELHLMKQR ITNNNEEIKNTTQPTLDAMLRDLRQAINTQKDENSKLQSQITELKKEKSQIQQLIIAATQ KVAELENQVGNYTSS >CAK86159 pep:novel supercontig:GCA_000165425.1:CT868563:174993:175687:1 gene:GSPATT00019852001 transcript:CAK86159 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSEGNFSWASEGVAKKAKIVEVVYHPSNNELVRTKTLTRGAIVQVDATPFKQWYLKKYN IDLGAKKGQKKDQPAQPEVKKSRSLQKKLDQRIKERVIDNLVAEQFQNQRLLVRVTSRPG QSGRADGYILEGKELEFYVKKIESKKK >CAK86160 pep:novel supercontig:GCA_000165425.1:CT868563:175689:178966:-1 gene:GSPATT00019853001 transcript:CAK86160 MKSKPNLNITVKGAQLLQDLDQQKGKGKQDPQAIIQLGAQKFKTKAATNQGKVPIWNETF QFTYDPKNSNLTVSVVDVDLQKKNPEEIIGKAILDLQNVENSPDWLISVPLYKNQNDMGS LELEFDFIGKQKPVAKKDKSELGSERQLDKSNNNNSISSKQGSKQQQDNNYDFQTVNIET QQAELKKWISQIQEREYINTLKYLEDSRLQAAIERALNQVAEQNPKDQIDYFADLIMTSI SYNAKKLRPFKIQKDALQNDLNKLSQEYQEEEKLNDLYFDEIGRAEKEFDALQKYFDQHQ KQHQDKTSGPITQESQGIDAKLEHEVTQTLKLFLLNISKKETLEFIQEKELQKRLQRIQT IQLTMNQKPEDENAKVEDEELDNGYYITLRNQSNSVQVKVPGTIKTFRELKQIVRSCFMA EDNEIFYTDQMGNLLQFDMNVLNELYPPIYELLRNYEPTVGVQIVKQKKQKDDKTNLSQL EEVLFTEAYPDGLTKIFRQTRRMDNKVNWSLYLGYLNKVKYFFESCLFILLLFLFIFTEI NQIKFVTNTQILVSFQNSYPIQRSYPQPVFNLSTLIHQTLAEENEDQKFYNYPLKSGLLI QKLVQEENIDDCHILNENQKEMFLENNQSCLNFDKVFSEDLDGDYTQTEFDPSKYDSYFG GYVWELNLTDQNSFQNSMEEIQSQQWLKYNIKQSQFILNYFNSPTQRLIQATITTLYLFN DELLNYNTMQTQAFDLNSQSDTEVLAQTLMFYIAILLLVPSFFDFFGFYFIGTQNALILI YIQYMELFNRRKKMQSRKRDLAPQEEREFQQKKLILSEYFIFNLKVLYVVIKIPLIFDII CNLYLHMLDMLSNVSILIRSTISKSYQDQLDLIVVGSNSYQDVSKLITPLYTSRIYDGIQ ILFLMIAINRFLGNWSPYLKCYGLVMIRNPGSYFLVLIFIISICAMSWSITLQGKLVNHD NFFYSFLGLLRCTLKYGMHNDIAEQGFDNNYVKEISYSFDTRYIQYVIVMVLSMIMVPIF ISLMTQQVHNTKEEAKQKMMEYKK >CAK86161 pep:novel supercontig:GCA_000165425.1:CT868563:178977:188770:1 gene:GSPATT00019854001 transcript:CAK86161 MFEKILQRILFTYFGKFITGFDQTNLQLGIWNGNVVIENVALKQEILADLELPLELKFSS IGRLILKIPWNKLSSAPVEVVLENILIVFTPMPKQQWNNDDSIQIKRQFTRLLDKVKSSI NIKRKDQRDNTGYFEKLTLRVIDNLQISLQNIHFRFENQRYSWGIKMDSLTALTTNEEFV VAFVDRSNKDTKLVPKNKLIKLQQLQLYWNSKETTFISDDIAFEQLTNFDQVIQISALCK LIINHKERFDKANYLLDVQLEEININIKHTQLIQIIQFLELISNNEKRVWSHKQDIMLSD INKYQQEFCDVFLLFLKNDWKEIEQLGDVDQILQFKQTIRLVPFKQLESWSLIAQKKHLL DLKVQEYQRQKQKKGWFNFFGGQTQEEVSDTELKQLEEFIDNEETSLVSRPSDSLKLKFI AKLNKAQIQLIKDNNPLQDEGIVKQFEYIEIEWAQCENKDFAFSTIIKSISLQLIRNTQF EVICQPTQQTNEFLKLSITQTTTAEICKLDFRFLMQSLTINYNPGIIRIIREITEVKKGD YVEQTLKTATWNQIEKIQDTTESTFKNVLQSNIQLKIDAKILEPILELSINSNEFWLVKM DSFYIRTTPINPEDLYENFEISISNFKFLYYPERFGNQTLQILNDYNLVVQIQRLQSIHN ELINNDNTPLVIAQAKLNEINVMINEKVYKHLVYLPETIYPKLSEGQILQQLQLEKQLIL KYKVKDGFLKRQGQLIKTWSSQYVVLSNFDLYFYDQEKILMANSQISLFNSTLKQLQVDD QLYSFKIRNKSGEYIFACENQVELRDWIKKIKLQIEQYQSYQEIKQKQNEQIKVKQNDKM QIDILINKLQLKLYKENNYPSVNQEHLLFLFKLNNLKFQTIKLQQIQQIIQIKNLSIQDW IFDYQNTQYQDLIILSNQIESQPALRIDITPKKQKIQVDQLQINWKPDTFLKIKSLLGII SDRKSRKWYFAGLRDFEAEQMNRGNNCDQEQIKKNKLIDGLKREWNKCQRYGQSKLKVKH KFDTLIDHQNFLNDYQWVENEILSSYQMKSSKDTLMELETSINFISVNFFNRTSHCLLFK CEMDQVQFTFKQLQFSQYLDTQLQDFSLYDMTNYPFTINSNREFDQIKPYKLIGKRDIYD DWAIRFRFSGYDEIIIANQERVNTFLLIEINPIFACYQNQPLMRQIDYIVNQIVTLIREP ESLTMNTEYSEQRRMNNKNLKVKRVWGDVDLIQSKFICPTFIDIRIVLTKPLIILKGWPE FQEYFQLDCDVLKIYNKQEVDMSRLIKGGGIELIQSNEQWMPDGRIKEAWNEAYCIEGHN MQIQYYENGVNQVSSLFEFNLSFERVLYYFEIKDLYDNVEMDRSIKIRSCMSPMILKLYR KEYLEICKMIFHNFTHDDFKDKLYIHDFDVVNQFEPAPISFWLDFENLSIFALSKKSEQP LVQINMKQMRLEWLKETDVYLNLFSQWISMYNYDKFNNEFGFLGELGQIPDSQKLFQVNQ LIQGYKPKEQREPFTKYIFDENFNKQQCQFSMIFHIQKPSADKRCHINLNDVRMIVEPTV FTNVGLWSRLEQQCWPIQTEFGEMPSITVIIKILGSSLCFQGLDSQFILGTKGDISYVWN RCRFMNPEQILINLKRDQLDKNQVEIIGDAFEHQVNLKNLEFFKCKFVDYINFDLKTVRK RQIIMPFSLFLSLKNELQVEFNKQFIYYNNKLSFYIDKTICKFSYQDFLLMTSISDKLKS STQNIIREPIYQQEEIINQEQPINDSYDVIISGLQVVVINDAGEVYVPVFDVTLSETQLQ FQKINQKSIYSTIIKLSSSFYNPKIAVWEPILESCNFSVDVSLNPKGEPQCIIYLEMTQE QEILNINLSAQMISVFHKTFISQKQEMEISNIQQQQIFQDDRIDNVDYVSPYTIRNETGF ELEISDTANKNKKYILESSSSINYEIDADFDQIFRREREDLQQQQQRLVTIKVIHDTYEF EPINPLDLDCIKVTRCPIKPSNKYTDMTFMLFMEVVPKDTRKLLLISSEIIIFNQTDRLI DIKLFDMPGQIEQNIFETQIAPYRAFSVPIDRTRQYFNFKFNGHQKYCNYIYLRYLQDNF DQKYEQQHNGCYTIIRCSKRNVNQTLIYLESPFQIKNCLPVPISVELYGDSEQDLSNDAV IIYQQQMEEEQSNLTNITLKHLDKYQYDNLQKKEKGQILKQQQKLIDTISTKGRLAITVK LPYFQISGIHMLYHAKFGPFQVDNIQLCDNFGNTNGYLLIDQIKNVFYIYCQQMIVNELP FKVYSYGMTDIKKGSPTLIAGQHTKEFEQNELQDTHNITLFNDQQYLSFSISERSVQLSE TLNLNYTGNQTVRIQNSQNQIMEVGYNISLMCVDKQKPLVTKVLTISPRFIIVNQTQKTI HVLQESIHQYLEINSMDRKPLVWYSLRYQYISIHYLDDNIEWKPTNPIDPNGNGFQCFTM RAVNNQQITKHFNCITKIDNQIVYLIILECKSIDPLSSMIGQTQINSIHQQQQSQINNIN QVDPPYVIVNNLELVTLICYQYCCSDEKYSHRYQFNEYKLYINNNQQCAFSWEYPCERRE LAIHLQFEGDLSTHYRLNPIYINLEEAEAVQEYIISSLISEQQQYKFYIISLWEGASRKL KFQQKVQDQQNQHDTNILKFDVNLNQVGISLIDQCYTKRVELIFIYFEGLEFNLLQTTQS KRSEFRVKYINIDNNTSSKTMFPVIFTPTAQKEIFEFNKPHLSLVLEQSTQVKSISLIKN FTACLQPTTIRLTSEMLEILYSVYKQITLSFISNRQSEEQLKQFDFEFAISQDEQQIFQQ ANSLTLSNKVQSKYGVGSFGQQNLIEWQELEIQLNDQQATYINKIEISPLNFNLTFYSSA KTNLHYNMLMQIFKTIGIVIGNIDDAPLILGGIFLENSFDTSNAILNKLTTHYKDMLFNL ILKLIGSIEFFGNPVGLVKHLAKGVYDLFDKPLEGFIKGPVEGSIGIVRGAGSLVQNTVS GVSNSTSKIAGSISGSLAYISLDKQYKQQREQIRVVKPNQIISGIYLGGRLLYISLNRAF VGVFDIPVTQARRKGIKGLTIGVLEGTAGFFIKPFAGVFDFVSKTTDGLKATAQYWNDKA NDKRTRDIRVIYQKEQLYKNYNARDAEIMQFLVNINNKLEDYYYYDSFEYESGGAQLVFV LMYQQFFNCDRKKKRFIWQIDPSSVQDIKKINDGVLFLLKEYNEKYKAKQVQLHMTQQRQ MDQVILKSQWLLSNI >CAK86162 pep:novel supercontig:GCA_000165425.1:CT868563:188796:190638:1 gene:GSPATT00019855001 transcript:CAK86162 MPNLQSLSNAFKKFNVYTRPVSLLLLKEQGHRTFLGVFLTTVLVSLVILVYYSGLVSLIV RNNPSVITYTQYQEHQNAFYLTPKNFSIAIAQKGYDLLDSYILEGALGGVDSEIFQEIPL TKCTDFQFSDPSLNAYFDKSDQIWYCLDWSSIRQIVIEGGLESSKDQSLQIIVKQCTTIT QCTYPNILDSDQFIFKMTSANTDISNFKTPASLIGKTFSLTSLTNFAKKLNIILQPQQTQ TDNGYIIQDQYQETILSISNYYETILDKDSDKHIFEINISLDQKTLVTQRSYPRVYQFLG DIGGFWEIIFLCSLLFIMPFNNLSYRVALLNELFNFELDYDNKIHPENRGSTKVKEILSK SVQLEKFNRMSKVADHDEQQKSKLLDQISNDITQFFQEQESKLDLKFFDYFGCCQCKKGG KRDLINFSMGKITHTLDITYIIKKLQEIDKLKLILLNSDQIKLFDYLPKPKLGLHMKPSE NEYCSILKPELSDLDKALEAQKAFQALQDHQDDITPKLVKLLDDDLVSLFKLQLNKGVNR FVKKPAQLADAVQQLMLMNAKEKEKQNEKKKDKKQKKKKQDESSDSQNSASSGSEKE >CAK86163 pep:novel supercontig:GCA_000165425.1:CT868563:190897:191490:1 gene:GSPATT00019856001 transcript:CAK86163 MMKLILQQNQEKEQNNISETNKSQGSNIIAKVTCIGVASASSLVAGYVQVIRPIGPHFSK RNLMQGCVAGGMAGSITGFIFGTLEGCAYKLIGHQETNIFEISKSRSRTGANCWMFGLIG EFIGEVYATLLEVIFDAAIERGKLKYKVPEEKKEIEEKEEFEILQIESNMEDDDDFEILQ IESNNEEEDKNKINQQD >CAK86164 pep:novel supercontig:GCA_000165425.1:CT868563:191528:192487:-1 gene:GSPATT00019857001 transcript:CAK86164 MDNLLNSQKIEAMINNRMKDILQNNSSNKELFNLLTEQQQEISQVILVDDPVYQNSHYYY PIKSGNITVLRRYGDFELLSEYLFKKNPEVIQKLLPPKESGFYYLKSYVQENREVLNLRK EKFQIYLNDIKKQLPKNDIVIKFLETQENFSHIIQTIDPQLILEQGYFQKIKKLYEASWL IQRNKDGELKDFQQLLQAKIMHLDQIQTFLVILYLNLQHKSKSTQVSLQNKEIQDLINNI IDNEQLYQNDIISNKIERLTKKISEVLELFPNVRQDKQQYESYDKLLEECIKLIKNILQE ILQ >CAK86165 pep:novel supercontig:GCA_000165425.1:CT868563:192537:193257:-1 gene:GSPATT00019858001 transcript:CAK86165 MQSEARQKQKLVFLGNSFVGKTSIIERFVQNTFDPKSQPTVGIDFISKNMTIDGKTMRLL LWDTAGQERFHSLIPGYVRDAQCAVIVFDVTSRHSFESLDRWFKEVKQTRGNEALIVILG NKIDAERVVSADEAREYAIKKDILYYEVSAKTGKGIEEAMEQICLALPTEHSLLITQSQV NQSQFEQQTVASNRKPVHLDQNGLKQFQQPAAVKNNQCCAKSQ >CAK86166 pep:novel supercontig:GCA_000165425.1:CT868563:193352:195150:-1 gene:GSPATT00019859001 transcript:CAK86166 MSDNVLDEYESLELNSESHDSKLIPQPQQYVQEDLEDIIRQQPIFFEQEIQSGNFNLLVL HTPENLDELDSTGYALIHHAISFERQDIIKYLLVKGANPLNKSQIEQSCLMMACHFGNQE LVQLFIELGNKINDQDNLQFTPLLYATKCNHHLIALYLIALQANTDVKDNAGCTIMHWAA YTNDVYMLKYFEGDKSYNQQDSKGKTPLIRAISNYSNDAVEYLLRTYPDIMPNKEIDLKT QAIKKIVETVKYQQFIKKHNTRFVQYSFIGVVYFIIGVLVVNNFWRLQNDDVYGYSISLI SLILTLYSLFYCIIFISKTTSRQEEGKKISKGLGHPKISYECHHHGDGEHRHHILEEEIN LGENQDDVEYGNSQNLSELLRAVKLHFEKDEQFLTFEITRTCPKCKIVQKAQVYHCDHCN LCVKGFQFHSIYLNKCINNTNHFFYVILLLSYFITFVINVYTAFYTLSNNNFSITLFYFL PLFSNISIVEQIAYYGMIFGIYITSINLIVQVVCVFCNMTEHELLNTEQYKYLYELILIN GFYYMKLRKVGDIFENVKSYIWNQYSELRIL >CAK86167 pep:novel supercontig:GCA_000165425.1:CT868563:195209:195541:1 gene:GSPATT00019860001 transcript:CAK86167 MRGFLSLAKTIVYSSSIVKPTQQIKMQFRLANQQLASQFRLCSTIQILRLINLQGVCSNS QLEEADEQDLESTISKILSVTLKSNTEKHQSITK >CAK86168 pep:novel supercontig:GCA_000165425.1:CT868563:195673:197073:-1 gene:GSPATT00019861001 transcript:CAK86168 MNSPSSPNRVINNQFRLKKKLSAGSYGVVFEAEDIIKNQMVAVKIEKKEKNSTLDREIHI LTRLQGTQGVPKLIWSGTDNNSNVLVQQLLSKDIGAYLKEFRKFSLKTVLIITDYLLQVI RRIHNKSVVHRDLKPENIMYHSKQIYIVDFGISKLYRDNNLKHVPFKDGRSFVGTTRYAP IAAHKGYEIGRKDDLESIIYIDILMLKGSLPWQNMLGQNNKERQKQVGEKKIKMTPQEIC IDLPIEFAKALEYIRSLQYQSDPDYDYLIQLFRKLAQSRKIDYDDVYDWTIQNNNPNSIS QKQENQIIQVASLQQFEKTPFSKTNKCHSLKVPSTQDILQERKKSFQNISQIQLLKPDDL NKSIENTYQLSQMANECDSKQDYISQDDDAVDTLFTRYNHLKKQNIEIHHPQNEQKASSG FKNV >CAK86169 pep:novel supercontig:GCA_000165425.1:CT868563:198100:198282:-1 gene:GSPATT00019862001 transcript:CAK86169 MNSSKQLRIPKPQIQIKQSDWNLQLERCRLHAQNPMIKAFFLDQTLLESQQIGMPIQSQA >CAK86170 pep:novel supercontig:GCA_000165425.1:CT868563:198661:202948:-1 gene:GSPATT00019863001 transcript:CAK86170 MDDKKRRNEDRRLKLDVIQSYGSLCSAKFKDSISIIEDEQRRLLYPVGKFIAQKFIDKPD TQFIRLSDNLDIVSCMTVAPNKRTLAVAEKMKNETVPQLVIYNLKAHPSKMEAEKKPYKY LDTKSSTFISMAFSHTDSRFLLCLTGPPDYLLIFVDLIRMKHLALSAIGQELTRISISPK DNHMVAVSGKNYFKILRVQENSFISLTDQIKRLAPIQTFTDHAWFEENKVILANDKAEIF IIHDNDVRQYINNAFNDIRGPGVSSLIAFSKGFLVGSDSGHFALWIRGDDHDKQKTEDGI EVVMDLQFLKKWRCERKSQVVSMDITKQEDLVAVAFSNNDICTIEMGQVLPNSTEVEVKF DFLFNGFHNAPITHLDVCLQRPLIVTASKYDSTIRIWNYAYPRCELARKFYLGDDGNQQG LGTKENQSTTQDISPLCSVGFHPTGYYLAAGFQDKLRIFHVLHSELRAYKEMAVKCCTQV KFSQGGHMLAVAYPRAKSNHYYINIYDAYTMEFMHTLKGHSNQITDLIWGIKDTFLASCG LDGSIFEWSPNDWSRKDYVYNTNKYTSLIYDPPIGMLIAAGTESTKDKTEQDKIDTKYIV REQRIVQNGISTGKDHHFHDLGQQKLTQLAYIQSLYNQFGIIGGTENGQIKIYSYIFNQM AFETMPVHQGEITRIKCSPDGRYVFSTGSDGALFIYQVSEISNDGQIYASKTGAEAKEQM DDIDKINPKAGVVDENLADVLLVSRNEIETYIAEMKKLHNDLQDLDQKTDFKVQEEKRKV EKEKLEIEKQMNNEIAAWAARYDQLKAQKSSAEKESANLFKNVENNHLKAVEELENLYER KLAFENEKYLQLEQDLMEERRRNQAQAKEFESKHTSYIDELKEKFYKNFQITAKALENNQ NQADQLKATYEEILAQQEEDHEFEIKDLNDRQKKEIDRLKCLLAEKDSKFKQEQKLVQEL RLENEKLDKRLQEKEQAYQLLEKNLKEAETKIDVQCKDLEDKDDQLKKKDKKISEYKDKI NVLQKSKHVLSFRTTEMKKSLEPKEAQIEKLKEELFRLESEFAKQLKINSELNSKINEES SKTNALTHDLNLQIQTTKKKENIIQNITRDIHNCVHYIDDKEWKKEMTKLYQRYVLQQEI KQSSNDPRSIEEMNRHINHLEKSAIQINSSTEKMMVRREKEIYKRTSENQQLIHELNEIR KQCKDYETEKSNLKIENDKYKKENEKFKQEIKVLQTKLGKNIGEQNVEMMNEDVMQQSQQ PILPQALNINKQGSAQARLQSLPQKNNKMGKILRGPNFDKQKLQPFEFQKNVELQNQLQI ALNQLQANESMIKNFKKILKEKGIEDPYADAEQEHSQFGRPLSSIFGSRV >CAK86171 pep:novel supercontig:GCA_000165425.1:CT868563:202977:203556:1 gene:GSPATT00019864001 transcript:CAK86171 MKSEIILTLLFVSALATVERDANQEALEGVWSYFNKKGPFTVSKCGSDQDHKDAFLFTGY FLRKADIGSTSEINPLIAEAEQFYYSLPLETRNCFESNEEAIELGKFLGLEQYTQDELKD KVSSWVGSHFFIYKRLIKAAGNDWNNWKDYKLTGKDLAELLQRILTDSIE >CAK86172 pep:novel supercontig:GCA_000165425.1:CT868563:203829:213316:-1 gene:GSPATT00019865001 transcript:CAK86172 MTELPPILNLRQQTWLGADNLMAQNYNFTQLPQNGENLKHQKRNFNLKVGDIIYFKSYLS DDFKGVISGDGIASNKLECVQILGKNKVLDTQSQKSVQYKVGSLSFQKCLFQIVTGKKYL YQNQYKQEREKPIDQLRDIAEIETYSRELGKLTSDYDQRLEEYRKKCEEEMNENERLYNR LYGSNFVYGQEIQLLHIYSGCTLSLNSDILAKENCCRELSLEEKPSQTSNFRILSLNSVK NPGEPILYGDQIIVQNSNQSQWKVGIQQPSVKFQKKDGLEVNASEQAYPLKISSYIDNKT EEEINKLQIKGKYLQNGDVVTIKNRYLGGYLCIKRKKRITDELEQKKLIIKDYETNINYS INQFYFDVDKIRNEKINQMYQLYVDTTPKADENLNSLWQFQHVDSLIYSRSTYENVFLIR HVCTGLFLQITNNGVGLTYDGLKLECQFNLKSKKTQIDPISYSEACKIQSTLNHSVDGLV ALEGVVMVCLDENKVAVQRKSQKQNIERATFLLRSTSQDLQKISFRINSLQEYLIQFYIF LQDWGVIKQIEQNQEEKRIYEYYEAFNNQKLLFDEILQLFQTLDNLTMYLTNEGKPQSIE QQSTKQKTLMDNDIINLLFAIQRLCNCMIYGNDKQNIQPLQEKSPQKIAKMKLDPMVTQS QKMNCIKEIYSVLNLCVQSNPETSYYVLSLEMNKESILDFLLQQLKHQREQVSNLIKESV RYTDISDTKSSLKKWVNELQPITEDNIEDQALYIEILSLMMIDPYENPNSMCQDTCRRLL FGTKFKSSQSLPFQKALVALDIYEENQNYYPVVQFSPKRDKETLLFLSHQFGSNNPTFCQ LYLRFVEKQIKSTQRFQKTRPPLVDVTIDFFTTETLKENQLVRQEDYKVVLPIFVKYENY LMNVMDLYSSLCKGRNQKSIKCLMKNCFLNENFLEICLKRQQKIKTELRFERTLIELFCN LYLDIDPLIKISSFDNKCYLNDDLDQFDIQNQSGIYFYEKNASKQLKRNEEYKKFINSKE AKAEHQYLKQFASKMLTRKQISDVRIYYLELFTQIDYPDHFKVLEFNNNIIQPFNSTMKQ ININYQRLANYQLEYFLGILQIVKNSIDFGYNQLDENQRIFAILPNIFVALILQQRPDEK IYKFNDDENFECNLFKLNQSIESNVWVTTYLPTLQQLQEQKLSKHKNQNKSQQQSDQTIK QDIQFQRNWILQFLIWTYQYCNDDLLKMKIYLEGLQILKIFSNLKLNLQILEFLFSKQQQ NESNQSNSNSKILDEFDLNEIPKYDQGYWIQKQKPPLQKAIFNVFKEPDGNKFSALLFSC LLSSEKRNKLNEELLQSLIHNFNAPKNSTYEIKEVEIIDNLMELKYFSIINGNSSAFQII SPKEAQILTKRAIKYIQSTKREKNAQQRITPFEQLKIYQSKIIEQFEQFFKSNSQEANAG VHLVFLQFLIKPQTVCSSPDIVQFYKSLIVFFEYFIKNNETNIEILAQNSYLYKLLDLIQ IDQLGAEDLFYIPIKVTKLIVQLVSTIPKIEHDNFILNVFDRIQKLGDELLKSQEFFAKA ISFKDDQQEMKITPRKQHEDAVAYFSLIQYLRILRCFTKTFETPAQQSPLNKHLILSSIL KTQFLTIVLQPVNYYSKILIPDKIIENQNGNDNLYYHRIKLHAQLIILITECCSYNRLGI QEMQRIILYEQLKSILLNSDAEYIVKRAYLQCLFELYINKAKEGEFSNDTIEIEEVRDIL SRIIIPELDQKQICKLLEGIAKLINFDKNKKVTQRDLREQMVRQKMNYFEKKLSLDSAPD KVLGIVRDCSEFWTYLRKNGIIHFLVFTYDEMKDRIDIENLEISNLSDEFAIIKQSVQKI KEIFNVLERDFRLKKEDLDLDDYRELIISIEEIIPQRKITKFGKNFRIGFIQENNSDKLL FNKYDTLKEDQTGDKKLQEQENVERIENQFKRNFKIYMMRYNVNIVQFLQFIEKDSLELT DYEKSRKILKTCNIYKQYIQLKDIESIYQNDVARIKNQESVNNRNKVFVNWDLFKQAMRE YFERKSNTNHTPSYQIQVYQRQQESLDSLKKDFQLSTNRLIAKYYKKLGGQLELSGNLIS EDVQIELLIKTLEKQQTNVFNIDHLKDFLKKCQEIFLNKEIYLIKLCRIFLQLKRPTQDE IDSLVEAKDEQSKQAVREKKDIYIKFQRAMADGQLDILALDMLNQTDDDQYKIEALSFLI HLLDFGNEYIQRKFYKLLQGENVKQKFLIFLRRFFLTDLDVKIKELELIENDTTEYKLLC LKVLQLLQAMCENVNIEFQKFLVFQYDDDSYQANINIVNEVASLLADLLEKGYKVFYKLQ DIYRQALESLVEFSTGYVENKKELCKNTRLFTLLNSILQKQDLTSFSQLYEENKMILKER QLMNQSLEEEVVIDTNRIGSEEGKKKYGLYQTLQSFIKLLLLLTQGRADIQSLEFILKTV DISILIRICKSIYEERIKSKQKNIILDNICDESETGIHRYCTNSLCHFGLRTDEDNLLIQ TGFNIFTICLKLSEHFPQDKQLDLFKFDEEQEEQEDLLDLDDIQEEEQQRKNILPISKIL PLNQGSQCGYNQEDVDGLLHKDEMKFNDLSFKNIMMDVRKDKNYQFDRTQRFFKFYRQFT GRIEIQNEFNQLEKVYFQKPFVCNFITPNIKQHLIYEINRETDEDRMLGLIEFSEFYQVQ MRHSQYINNKKVMHFGAVYWRLLKDISFLLCLIFVILLIFMHDTVINSKIGSNTEAPEDT DVTTGESFVSYLNNIITIVQLVLNLIIVFFCAIERYPISITYNRGQTNAKRVQILKKEAG FQISWLTMKYYSLIGYFESAFQEDKVNHNPIKQLILVIFFDFDNFYNICIFGLTVYAFFN PYIYAVLLLDIIKRSEDLQNIIRSITSNGRNLAIFSFLGLIGLLVYAIIAFSNFDSMFDD ESGVYGQTFILAVTSTINFGLRNGGGLGESLTKYPDAYDDPTIYWGRYFFDFTFFIIFNI LFIQIIFGIILDTFGELRDERQALVKEIEGKCFICSQEKNDIDTKGTKGWHYHIYLEHNV YHMLYYIIYIKNKDANDCNSLEKYVNKCIQEKETKFFPFGRALQIEEQGGEEDYMDQQ >CAK86173 pep:novel supercontig:GCA_000165425.1:CT868563:213745:214706:1 gene:GSPATT00019866001 transcript:CAK86173 MINNLQIAPLTALHKLLSVNKTIKFNDKLHESQKQKSLQKSIQNLSSSQCEMMNVKMKNK LPTPKNTIDSSLRQSLGKISNNNDSGIKTNKNIKNELISVLRKAQSQSKKTNNLEKLLST SSAPKQFSNLESFKMKRPPLLQYLGEENEKLKIQSAKSATEKNKSPENRYSSGFFTSTNQ RQQLFGSLNEVLKQYIQCKSQQKLELEKASKFVNLHEVRNNQEKYFPKQKSDYSKGKSKV LNENLHNLFLKTQNVLAKYQAKELQWKKQKKQLRDEIRNLKQLLKQQQLQNQIYH >CAK86174 pep:novel supercontig:GCA_000165425.1:CT868563:215165:216511:-1 gene:GSPATT00019867001 transcript:CAK86174 MQINQRQLYIPIGTFDQTLFCKICNDLTIDPVECTQCENLYCKECYELSKSQNDKCPEEN CNGPFQTKQPHRMIRDQLSKITYKCINHNEGCKTEMAQDNVIKHMSQCLYQQITCKCGQK FQRRNLESHKNLCQFHKTQNCFICQQQLTLEDLKSHKCLFELQKMVIQFQEKFQDYKEQS NFSILEMKNQQNQRTTEINLSKQQILALLEENKQLKNELQMKQKMCQENQEKINQAKVQI ENQQKQQQQYQQQQQQQYQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ NQPITKGELVDSIQTFCEKNHKLKFWKKPCGEEKKKICSNCLKQNTTCRYFCQQCKIFMC FKCYYPELKMEKQPTQPQCPALHYLLQITDNFRCSVCDKKGEDMIQPIAFQCTYCDFRIC KQCIKNKKFQEIQ >CAK86175 pep:novel supercontig:GCA_000165425.1:CT868563:216553:217866:-1 gene:GSPATT00019868001 transcript:CAK86175 MLKYIQDQDFGTFETLQVQRQKKVFCMNMPMQQLIKSRSVSQILHGELITLQKSSARKKQ KEESYLDRISSPIKPIEMSQSNQVLPKINLQKTQTEQLNKTKKRIKVLNNISKRQHDFDQ WNQAFYQNRSINGQSPRIQEIKKALQEQMNDGKSQSLNSSILLKLSRTESPKKRPNTEKA LRNLLCEYVLDDKIAFNDLILDNNRQVQDPKYMIEQVVMNYEILKQIFRIEKTITKIILK TQGLSKKIIHTFFKEIKPNCPNDISQFEYHFKLRRNISKSFIISKFIIGVEPELDQTIEK LLNREALSPDMQSILLSPEELLQKALESLKFDYDYLNKLNYFNINLQDQIKQKQVKAIQN KLILNGSIIDQTNLSLDFEQPQSLLAKINDCERRLGKFQKISNQSRFKCLEAEAYLYYR >CAK86176 pep:novel supercontig:GCA_000165425.1:CT868563:219156:219486:1 gene:GSPATT00019869001 transcript:CAK86176 MNMKSQIKRIALLSIDFHLDNNSQAASLNKLHTRVGGVTKQLKIKIFKNQQQQQPNFSDS KKKINFQNEWKNRKQNKIKLYINKCEEQKQFAQMQNLEQITK >CAK86177 pep:novel supercontig:GCA_000165425.1:CT868563:220415:220705:1 gene:GSPATT00019870001 transcript:CAK86177 MSHEKRTSADFFKQVFGRTVNVKLHNRTEYIGVLAALDGNMNLVLEQCEEYLEQKLINKY GQILLRGNNVLYISAKFNQKQQQEEEV >CAK86178 pep:novel supercontig:GCA_000165425.1:CT868563:220732:222541:1 gene:GSPATT00019871001 transcript:CAK86178 MDQEINHFNLPDIRDKDDSDDQEDYNPFIYHHQQEGYHSFEKIFQNHFDVVNNRWITIPR TKIYLLRSAMHKLKKWLLYLRQEHNMIQSIVKQNDDLKTQLKRNQEQNANHYLVEQQRTN QFYEEIRKLRQELKDKVMQMNDQIQQLKDTIAEKDKKIQRQELTIQRLNEVSNGYENQNK NLQQQINNLQRDFTYFNNIKRQGFIDEKALIGGSLTTFELVLASKVKSKILQFLSLKDYQ SLMICNRKLYLSLISHNIIIPHAVTSLSNKFNHQYKLLQSVNEEFKSVIKQSDDPKLREI MIKQFELKVNFSEYIIPTLRECQSLIDGDNFIGLKNNQHNKDLMDLLEGYLSQQAQSYQA ALQSLLQEKFPWNQFFPKPQTTIQQVQFQRKQFPTTSQMFAQQIITRLYDLSTVCAQDSS IFGMFLSQLQRCLASLFVYGQHLTQEVNDLQSLNYYIMTKFFFLLKEKKQIEDQYEDFKT QLGLYSDAKRFLNEKVQDLEKVILDKNEKISSMNQQLYMKESQLKQNQIMVQKNNESIRL YQDKLKILAREVYGGQKKIQKYLCGIQ >CAK86179 pep:novel supercontig:GCA_000165425.1:CT868563:222653:223570:1 gene:GSPATT00019872001 transcript:CAK86179 MSSSSSSRSRSPKKNKKRVYVTGYSLKEDQHDIKKLFKKFGKIEEFAWKGKYCFIEFKDP QDAEKAVKKMNKEEVKGSVLQVEMARGNKPSKNNGLCYSCGRSGHLQNTIYILSYSFTIL LALKTVDIDRRHPVHLALVVSLEKNTRRNIKKRRAHPVRIPHQVVHQAERKGIRRRVQKG NQSPHQEALQKTHNIQSLLPAPLMTKKKKKKTLLKKRIKEMKEVVSNEVPVMLQIKLVSN NILIINKYYQKLFQFIYYLLIYLNSFIT >CAK86180 pep:novel supercontig:GCA_000165425.1:CT868563:223652:225390:-1 gene:GSPATT00019873001 transcript:CAK86180 MDYESLTKILSSNSTYIGVKQYLEAVQQQRMDVMKVCADVIINYLSDQQGPHYPKFLCLK FINELLELQEYEWIEITQKNILPILEDYAMFKKESKDDERGKMLFLQSTTSKKKYEQIKE LEQVGLNFHRYTLESIWVWSKWFPIDTVAGKLSLYKIAQERLSIMNVKFPIISYFTFEKI INYQQIQRPPKEMLEECASVIKEHIQDGQKTDLPLVQSILTKTYYTQTKHNLQTTKTYPQ IWKNALDLMKQGKLGIEISNKLKSTSIVQMERKLLQESKYLGKSRGAFSNQIFNFTQIIS EKEKLLSEINAHIMEKEQINNQLFEQRQKNQELKQEIERLKQQVNQKQILNNQHTTNQFN QTTASSQQESLKEQDKLLLKRDLEIKKLSDINQHYEDVIKQLKNNINHLQQVHDLRDMEV FKLQQHKIDQELKFEEVQNQLITAQQQIKRQGETIKQFQQQLNQLNEQSLVNYEKQSNQI QKAQNDYAALGIINQQLKDENEQHKLEIELHKNEIENLKECLLKLSKQQEQVHQVPALKL SCNLLYLIRNFQQQA >CAK86181 pep:novel supercontig:GCA_000165425.1:CT868563:225494:225847:-1 gene:GSPATT00019874001 transcript:CAK86181 MSNLEKKDSFDYLLKEEVTKISQGILDQEIKIYQYDNLSNAQKKSNTICDTILIKLKDLN NNKQFKFIVSCLILQKADYIINLSASCYWDNNTDGSVAIRQESENTVAIVNIFALGV >CAK86182 pep:novel supercontig:GCA_000165425.1:CT868563:230425:231833:-1 gene:GSPATT00019875001 transcript:CAK86182 MLYDNYKQFSSDEGEDEEQSEQMLCQQFLVFQGDKIILYYKGQQYNFPLSIMALSSLENV FSVDNFTKQEIDSLCCLLPEADLQLFQDVIEGTKNFQFGSPLNIFLTKMKSGYFTRNKEI CDKLEQKISRNHLNEYYSNLYDSFHKDQNRIQNALQRKKRIIFQRSPSSISDDDEISKQM KLDDNNEEFEEEGFSTQTSQSQSSANVQQYSLQPQPQIQQQFIPSAQSLFIITPITPLAP LTSTSIATTAMTLALMQAKKSIKQQNKNQTQNVQESNIPNGQTNREWLEFYWKQERERYQ NPLQPYTFTCIDGSKVTVAPVAKKLISGSSQKPRQHELLKNERPTYVTILSLVRDASARL PKGFGTRADIVNLVRDSQYINENLPEEKMSSIISGALDRLHQSTDPCVKYDQEKKIWIYL HHDRDSSYPEWQVATPAKNNSNKKKGQLKDDQEN >CAK86183 pep:novel supercontig:GCA_000165425.1:CT868563:231981:234072:-1 gene:GSPATT00019876001 transcript:CAK86183 MLEYKFMTNQFRIDYHGLTPQIIKNITSKSNKECLATYLNKKKFLKNRSYQYHSKKRFEH CTLETYSTTIQQKEQIQNYFPADIDVLEVIWEQQPAIMLIFQSQSEKQQLQQIEELKLRD QYKDDLLVSVSHDFKTPINGIVAIVQYLEQIVVDQVEINYLIILKKWAQLLLYMISDILD FSRIQKNNLRLTNTTFYIQAIVQEIIDLVSLQANQKGIIVQKKITFGDRLMYSDPNRIKQ ILLNLLSNSLKFTEKGKIDIVVDYKNSEIENQQVRLITISVSDTGVGIPDNVKPKLFQMY GTFDFTNNGSNKHGIGLGLVICKKLVGLLGPTDNIDLISQVGVGSKFSFDVYINNDQRNL TTITNSKEMTNFYKQDTKQISDDQNSANQLLTSQFTIFNQSLLAPPMQNQKKLNKKGIST LQEIQDRKLSNSIYQLRKVQRKKTQKKCQVKHENQGNFGNLCYICSVEDSDHSKQKNMEQ YLELNSELTHAKLIKKINKQVDINSSLNTPLYQIDSMESPPLQNRQRKPLQITESIDDMM KKLFPEPCTILVVDDSPVNVIAFRLILTKYDFITVEEAYNGEQALQKIKSGITNDQRYQY IFMDLTMPIMNGYEATEQIRLLNNNLVPKSYIIALTGYDDLKEKEKCQQKGFDAFVSKPI KIIDIISVINEVKNSKEP >CAK86184 pep:novel supercontig:GCA_000165425.1:CT868563:234077:234618:-1 gene:GSPATT00019877001 transcript:CAK86184 MIIIIGTLILQSVVLAIYNGVTDQTDNYYLLIDLLLIFGCFGQKILLILLIGSVCLSNEL LDADENILGFYLSMRLLLSAFILLDTQKIIYFVFCFFYILFRSHFSSQPLAYLTIFGYLI MILRSLYLKHKTPSDEKHQIGQIFDFFQESLPISVCIIQDECMI >CAK86185 pep:novel supercontig:GCA_000165425.1:CT868563:235997:236626:-1 gene:GSPATT00019878001 transcript:CAK86185 MWNIISINPICQKKKLVSDQERLLLNCKNVRKTINNGEPWKPSHSLSKVNNKYSHQEENK IMHQNNILLSKMMDIQRQNLRSQSSGQLPLSLSHVSNLNQRKFEKFKINQENQKFLSRLQ SASSIYNKNYWYHDNEKNEIYKQIILKRTKLNQDYNDLARKSLETRSTGRMISCKHQSLF SSPIIQTQANV >CAK86186 pep:novel supercontig:GCA_000165425.1:CT868563:237681:239301:1 gene:GSPATT00019879001 transcript:CAK86186 MLNLQTVLRGFEENGLKQEQYFSQDEILHKLDIMAKREFDRGIGEQIFEQCQPIQENLKL LFRLADVSQTLVDASLILSEKIKKAELQLKLISQNKTICEKQLDETSVYSDTRYLFLTLL CAKNIPLKLKYSNCHIQLTLGVTNQIARPEQQYDRVNPEFNQDFEFQIPPTTTSLSIQFY IQTNAAPATLWGQAYLQIQQLDDSAVRDLELQLKDPQGRELGASIEIEAQIVLNKHQYLQ TQLQKFEQKIYTLENDLNEYRTDLDVVERPFKIKLFKEQSFKDQKNDMFEQFDQQQMMNE MDQQNPNQLQASEHKDQIISALQSEKLLDKFDETPDAPEILQHGVIIFTIYGLITLFVCS AKPSFLDVLVCHGLMFTIFMDRFEPFHLKLVGGGLVASIFYDILWMKQYHLWWESDDQNN PEWGLKAQTLLRFVLVFTYIQFLYKFVVCYYIYQFHRESIDPTKRYIFTIWRIQYKVGKS RGESSTWQSM >CAK86187 pep:novel supercontig:GCA_000165425.1:CT868563:239788:241080:-1 gene:GSPATT00019880001 transcript:CAK86187 MAQAQALAGENYEFKAAPEVVRPKPKYRQQPLQSSDDQKNSSLLGSNIMFDKRVVRGNTY ASVQTQGDKKLMTLKSFKKPQQKAAQQPQTTYLHPSTPRPFQNRVNIDIQTDEYLEILTD KPPEQEMDAQTDYYIDKPPDRLFVPKKNGIDKETQIWEGDLFDFDQEVEPILQVLMNKIL EQSRMEVLEEEEIKLMKHQQKQHEKQKTSVLSEMQRLEAVQQRLDQEEARRKLQYETFLK IQKQSHQKIVCRNLSKSLINPLKQNTIKKLQDLGVFRDPLETALLYEYIPWVYENVLSQL VDENDNASNFNNILNNMEETITIEHSNSLKRRQQQIDDKYEEIARKKREKIEKKRMEEER RLKEIEDQRLAEEEAARQAEQEILDQQQENQQQQQLQS >CAK86188 pep:novel supercontig:GCA_000165425.1:CT868563:241145:242233:-1 gene:GSPATT00019881001 transcript:CAK86188 MDTEKVNIWLDCDVGNDDAMALILALFHPKSNLLGISTCFGNTSLENCTNNTIRLLSSLG RTDVPVYKGAEFSLKSTRATTKMHGTQGLYSVDKLISSFKPIEDMDLYDLIKQTAGDQEF VIVITGPQTNIAKLLRDHEDIIPQIQEIVFMGGTSGFGNVTPSSEYNIYSDPEAAQFVID TCKQHSLKLVMISLDLTYTCQLLEPLQQRIKSINTKFSDWCLEMLQEYQAAYKAQEFDYP PIHDPVAVFYALHPEMYVIKPVYCAVDCESKLCYGRTVIDKQGILGQEPTLQFARKVVVD QFWNQMIEAIQVAAKNSKIE >CAK86189 pep:novel supercontig:GCA_000165425.1:CT868563:243168:243562:-1 gene:GSPATT00019882001 transcript:CAK86189 MNETTARTYLKIKQRKKPLQTLTQEDDQEEQYFQNLEEQKQIDESVCFEFKRMTISDELE DTIEIIGARKKNKQQMGDFYYICDLNNLKIQQTMISFKSTGKSEKSELCLRGILRLFSSS PN >CAK86190 pep:novel supercontig:GCA_000165425.1:CT868563:243761:246656:1 gene:GSPATT00019883001 transcript:CAK86190 MDKILKKYKLKKPTKQQQIYQALSKLYKQRRYIIREIYQTEKNYVEDLKMICELIVDKYI QQYGIEESKKLFSNIKEIVAVNEWFYDKLTERIQLENQKYQKNYKLKNELKDQFGHENSQ LFYFQNQESLLSKFECYYLYCERYSTMKNYILKMKNENENFRQFIMEAEKNPLMKSNQLD DYLIKPIQRLPKYILLLNDLKKNTPTQASNTLFNGLDYIQHPDYENICKLLNVFEKVNDK NNEKIGEFQNLQKMKDVQKQYGSAKLIIDNNKRVFVFQENLEYYVNAYQKKNIQLLCFSD AILLIELEYSLFGPKQKCIAMSEFSLASEFNKINSKQGLLFKITTKQKVFIFIANDNKQL DKIEKLFKITIDKFIQEKKSMLDESGGNEDTTWDQLQIQVRIEGTEEYNQASLQNYTVYI IQIQLKDIGWKIYLRYSQVSAIFSYIKKCDSANNVLKLQKSNWLQSHQNKYLDSLKINIE GFIQSIFQWKNFEQFKKKILIKLGLPTNFNILPRFMGGQNEQKLVRNLSVINENQDSIDT LAEKLNQQFLEELKISHMLKSYINQSDEKTISFSIRVILPRCLQVKEEIINDPNSKVESW IINKITKCGEIIVKIDNLTKAMEMCEIIANAIQLSEFYDFRLFVIDTRGNKRSLENNQIV LQSVPADKNIFPNQSLKIFTLFDEPYRLEFCKYIFFPQTIESYLYKQDETRLKLLVEGFL TEVKHAHLTPKRVINWQGTVIFWIRKLWTPLFYLILENHKQIQLGLQSFVFLNQNLVRKQ MESIYPEIKTLAAQNEELCIQQQLDLKQQQIFTNIQNLARISMINLAYQIPLWGIVTFYV GIKVTEETNKILKSILQNELPNLYLGISYNKFQLLTPGRKKMLCSTKLAQITNITVEPIY IYIQIKNFLQENDNQNIVLKFKTIEGYKISTLIKEYQNLNQQYPQLSQII >CAK86191 pep:novel supercontig:GCA_000165425.1:CT868563:246672:247388:-1 gene:GSPATT00019884001 transcript:CAK86191 MITICNDELMPNRLYLLKQEKLVLRNEQEHGLSFTFQQEESKKLKLGEQHIFDCKPGNHY LICKQLNLKAEVYVFNAQVDRDRFLRYQEEFQATKSEQQYDSDDITNENDEILSEAIGIL PQKQNKYTRWYTADTSSTYYSKIENQSTTSDVSEQLLPEKRGKRKGSDPVIQKSELMMQP KIQRATNQINNLLKIQQFVKTHSNSQQAITQEELNKLKQNWDIQKFINEYLINQYAYQ >CAK86192 pep:novel supercontig:GCA_000165425.1:CT868563:247659:249362:-1 gene:GSPATT00019885001 transcript:CAK86192 MSDEIEPHILKRYEIVRKIGKGAYGVVWKAIDKRFKNTCVALKKIFDAFQNATDAQRTFR EIMFLYELDHQNIIKLYNVHRAENNKDIYLVFEHMETDLHGVIRAGILEEVHKQYIIYQI LKSIKYMHSAELLHRDLKPSNILLNSDCSVKVADFGLVRSVACRQDAPSPILTEYVATRW YRAPEILLGSHTYTKGVDMWSVGCILGELLTGKPIFPGNSTLNQLDRILQLTGKPSTEDV EAIQSPLASTMLEAINPPQVKPIHQLFPTASDDALDLIYRLLKFNPNKRLTAEKALSHPY FSKFHNEVDEPSSEKIITLAIDDNQKFSANEYRDKLYEEIATRKRYSIRNQEDLNSQLHS PPPVSDSNQIRERPQTQEVKSQQQQQDSAKLLDSAKQQDSAKCYDSAKQDPLKQRNSGRS NSKQSKFVQSALTGLINPRKSSKPTKQSIQAQQQPNKTYAPSFPNTSSIAIQKNVSYTQT EPKVISQQLYQQYYKLLNAAQDKLSVNQDSAQSQQKVKKGLSALLGSNQSLIRQSQNKQK K >CAK86193 pep:novel supercontig:GCA_000165425.1:CT868563:250135:250374:1 gene:GSPATT00019886001 transcript:CAK86193 MGCTSSNQTTDQVIQQVSKPQIRENVKLRIGVNFDVQNSEARMYSIKKNPIVQRRILKSI KPKVSLSKQQTDETYGSFY >CAK86194 pep:novel supercontig:GCA_000165425.1:CT868563:251456:251903:1 gene:GSPATT00019887001 transcript:CAK86194 MGNICKPQVQLPEENEVDLNKKVEIEEQRKKANHKQDNVNDQKQDSITDDQKQQQDNQDG QKLRSENNQELKRRENKKMAQIAAVIDQEVIYENIQKQDKVKSPFDYQLLLNAFSNSFIF AQLQGDDKYQKLNIILSKGY >CAK86195 pep:novel supercontig:GCA_000165425.1:CT868563:251908:254072:1 gene:GSPATT00019888001 transcript:CAK86195 MFYCTVKDQEMVFKQGDKGSSYFLIERGQCQIIINNDVKKTLKSGEAFGELALLYNAPRS ASVKAIGDCAFWAIDRNTVRKVIEEISLKDYEQNKEFIQKVQFFESLTDEQRTAITSVLI TLNFKAGEIIVNEGDQADSFFIIKKGEIEISKGGKQLRIMQQGDSLGEQALQSNSVRGAT AKAHKDVILLALSRDDLTRILGDKIQTIMFLNLQRWAFERHPILKQLTKLQVERIVSSME QKQFKQGEVILEKGQQCTHLIVVLTANMQYGQQSIEKGQMFGDKFLEQQNQNLTDSLTMK SDGLISQIQIKVFFEIIGGTLEQIFLKNEKAHDRFIKKEEGQKKDQYDHFKLDELISIKK LGQGQFGNVYLVHNKTDKKNYALKCISKFQIIEQNLEKHLAQEKQTLQTANFPLIMHFVK SFQDKNYIYFLEEYIKGMELFDVIREIGLLNTYDSQFYIGSLILCMEYLHLNNIIYRDIK PENIMVDDKGFMKLIDLGTAKNLKNKNGRTYTIIGTPHYMAPEILSGKGYTYSVDLWSIG ICLYEFMCGNVPYAEDAEDPYDIYEEIQKKALSFPSVLKDRKAKKLIEQLLSKTPELRLG SSYASLKNNQFFEHFDYDSLMNRDLKPPYLPPKSKLQSDKEIQKAIQAGKLITEEIKSDP TTTNNIYKPEKARDPNWDKDY >CAK86196 pep:novel supercontig:GCA_000165425.1:CT868563:255043:255372:1 gene:GSPATT00019889001 transcript:CAK86196 MIKITSPLLNYRFKMLRVQCKPYDNVELIRDQIMDLMNEYLQESDGQECLFINQENYINV KVISNNQIALYFVTGTEMDDTNWEQQISRGPLQKFTILSNSIEIRPSRF >CAK86197 pep:novel supercontig:GCA_000165425.1:CT868563:255422:257249:1 gene:GSPATT00019890001 transcript:CAK86197 MGNNQSQHKNHPKPKSKKKEQFKKLFVIKKDINFSKLSQKTLSLITCFLNVQEFNNLLQV SKTTYQIFSQTSGCFQIECQRLLNVKCELIQSKNWKLILQSVMSIPIRTLPYGEAIKSVR YQINQFPMFIEQKINYSGFQKAILYYENQLNEQKQIIKTADYIEALHFASNNTDILLRVR QGFEIHTQLPELLMLIYNLHDYVKIFCQYLEIRFSKCNLEQFVQIWQHYQGWISSVEKQT FDLIFLFNRIIDESLPQYKLPKYTIRHFMVCEWMKKADKGELIVKLREDFRLQMIESRNK NNKSQLLRQYIQYLVDISTNQNNIQKYGYYNFQYCQELNQLINLTIDLTPKLNVEYQQDE ELLIYIFGQYVYDYYVFELSSAFRVDQFKCEIKQFHSESQQMSKILVKNFDDQNVQNNQF GNEVQNFKYHLQSQYNVQLRIKSIFKQVTVMDDDINNSSENIIAESLGMSGISLTSTNCS SIFSSVKNNDQLYHYIRSYQKDLFNKIQKFCCKQQDVYQYSLEQEPSSEVIYDVPELAEY DYIQLQNLMDLFYNRIQRSNAVYQLERRQTILTSNYQSNQQQIQTQSLNQAEQLLKCLLK >CAK86198 pep:novel supercontig:GCA_000165425.1:CT868563:257503:259514:-1 gene:GSPATT00019891001 transcript:CAK86198 MKQQIKQDESAQPMIILQPTQYKFMIFMDSSLQKDLDKLYNKQTRQIIDKERAEKLQSVK DNVKGLREIINDYQSQQNEIDSLKLQLQNQIKAILSKEQIIKNIEDTYMQQMDEIKNNYE QRQVDLVRECEQLKLRNKELEEINNKYLSQNDLLLKSTTQFQQRVNELEIKLARTEKNNL MTSLELEQMKDIRAGALFIKGAYNILNQQYQDSNIYTLLTQKFKSTILYFLEPKDIFALM LTSKQMYFIIQNNRSLLNYIVNYRTQVLNKEVVYLKKESNYFNDMVFQVPEEVIQIGIAK FLVFKFNVGQYMNEIFNDAFELIEGKFQNQQQIQQPQQISQNDSVYQNEDLLGLGESDEQ QQIKQQASPQLQNTQFTKNKQQTQSNNTQSSSKNINNNSTQSQQNKQNKSLSDLSIQLQL EYKDIDQVILESLSVPFLKDQILQTRRDMVDLVKKSSQINYQLFQTGRKCIPTPTYQPKF TIQDFMQALQMTFSKFVAHGNYLHLESQQLQQVCNYFSKLLLQQNKQIYQLKSIIDDQKV ELDSQKEMKYYIQDRAKRFEERVIKAEEQIFTLNKLNFDQKSQISSLQKKEKELEDLNKE KEHKTQTLFQAVKQIKMEKDQVEFKLNQVLNNFKNMKNALGKIV >CAK86199 pep:novel supercontig:GCA_000165425.1:CT868563:259541:260895:1 gene:GSPATT00019892001 transcript:CAK86199 MKYFQNGLNQICQQIIRPARAQYTLYDLSSFQIQEETQYMREDFDIINPRQEVIKVSQYI GQQKSDVCIIYLHTANGSRMEVSKYVSMIIKNGFGLISFDFTGSGMSDGEIVTYGHREVE DLQTIITHFQSSYKQIILWGRSMGSAIAIQYMQKFNNLIIKGMILDSPFVCLLDVNNKLQ QDQVILQMASSRTKIPNFILKSLSTFVSNELKKQAGFDLDEINCIKKISQIKCPAIFVTS KQDAIVPPEQTEKLFKAYTGIKKIQYINQQHNGIRDHSFIETLIQWFKKRTPIFERLGVG TQIKQKIMHKSKNTLGSIKQVSLDLYKERSSTLEHQRSLNDSISPRQKIIDQKKPTAEEK YNQLLETQRSLYVDRSASAQRIQISNSIDYQMSPMPLAPLSNKIITSSQHNYFSHSKPIT FTKVPHKTKDGTHF >CAK86200 pep:novel supercontig:GCA_000165425.1:CT868563:261042:262041:1 gene:GSPATT00019893001 transcript:CAK86200 MGQACQQCKAFSGKEEFDIKNMNEELGDESPDVEQSFLDEEDEARKHNKPPSLDNFNKRG MFRKEDTYDQNDGKLSATIENKEIALTEELRGEEMILVDGSKYQGNVVDGKANGKGKIWL NNGDIYEGDIVDSIMQGNGVYYFNRGPIYSGQFKQGKANGIGKEMWPDGSIYEGQFKNGK KHGQGIYKWSQGCCYDGEWFENMIQGQGRYEWSDGRCYIGSWIKNQMHGRGKYQWKEGKY YDGEYEFDKKCGFGIFVWPDGKQYQGYWFDGKQHGKGIMINKEGKKKLGDWADGKLISFN EETNVEIIPEGWIDNV >CAK86201 pep:novel supercontig:GCA_000165425.1:CT868563:262182:264108:1 gene:GSPATT00019894001 transcript:CAK86201 MANPHIPVEFNKDDDELKCHPSQSGSTATPTLFENSNNSKVFCAQFFEDQLHQDDDEFDE LNQQLNQVLLNDSFKPSLTQAFTADMSSYLSHEKLTKNSRKMQLEYQNATLREKEFVFNT YIKNDIENFSLDKYKHYILEKIIEVGPPSHKNLILDRIYNQIHKLIKDLYACKVMQKGLE IMTCNPKDSQEQLENYLYFIHQDNAQMKKIYVDKIANQIIQKSLEILEGNNLLKLLQILS KYVKMEQYSQILNNNKEKFELSTDQYGCLIVNKIIDIYPKQFDIQTKTICNDIIVRAIEN CSCLTRRQYANYIIQQILEKGQEVHKRLLMDQYLIKDFISMSMDKYGSNVAEKAIIYAGP QWRLKLWEEEVSISESSFKKLINDQFANYPVQRLFEYLEQQQRNEFIALLNKLHENNQLN NHGQIVMKFALANYSVKRYTQKIVQSELNKQSKNQDKNNNTNNKQQKNQKQQQNQQIPQY QYQQQQQQQQYQQYQQYNQQQMQQMLLKQQQQFKSFDQQSYQAAVMQQMLFFQQQQQHLL AQMPQFYNQDANYMPYPIMSQEQQMMMLRWIQQQQQLCNSNIKFQNEQQK >CAK86202 pep:novel supercontig:GCA_000165425.1:CT868563:264771:266200:1 gene:GSPATT00019895001 transcript:CAK86202 MTQDISKEVLALSIHVAAAASQITIQTQQRMNLVTNSVIESVDQTLKIINSLFMQSQKHS HLDAVIEEFDEDCGKDSKTKEFYKNEQQNAIENEQLDQIRIENLISQENCNPNMSVKSTN SSCLSVYKSKNNSQIIEEYPQNKNHRNDTPIQQKKQSLLSQQLSQIKVDKEKEAQEQKEL MLLIDQVDPGELIPQLKSIEMVDQYQEENSNQLDTFANFEEPFIVLNAEQCQKQKNTSPK RSEWYDSSSVEYKATSYNTNESSNLDHHSYKWKSSSIEDENLLHNCEFQFQSSVFDFPFP EDFCTNSINVQQNFQQLECKNQFTPKKKRLYCNNKKVPHWAENLEKVQQYQSQQDHLSQH QIFGKMKNRVLEIAKQFSESRYHRRGSSAQWEIPNKTFDVMQKQMKKLQQIQDDNIQKLQ QHQINSAQKNQGLIAKTQYFLTSIKKKILNSFEKDSKYKI >CAK86203 pep:novel supercontig:GCA_000165425.1:CT868563:266522:267619:1 gene:GSPATT00019896001 transcript:CAK86203 MQVKDLTTQKVNQTEFGGPNVLKLGESLIPKPNQNQSLIEIHSTSINRADTLQRQGKYPP PKGVTNILGLEFAGYELDTEGNRLRRVMGILSGGGYAQYVAVNKDHLIDVPSNIPLDAAG GISEIYLTAYLQYKLSELKKGNSCLVYAAASGVGQATLQLCNHFGVIGIASCSQLKVQKI QQYTNYIVHRDQSIKDQISQIKQYQPQGVAAVFDCVGQQNYQMTLETLGMDGKWILYGLL TGGSIEKFNLAPLLAKRINLINSTLRSRSDQFKAELAKDFTRTILPVIENGQIAIPIQSV TKVQWNEEGINQIIRLHTEMEQNRNVGKLIVSFQND >CAK86204 pep:novel supercontig:GCA_000165425.1:CT868563:268332:269918:-1 gene:GSPATT00019897001 transcript:CAK86204 MQQQPHDISPWNQNNDDLLTVTEQQVDEFKTNYENFQQQQDQYKKQKVIKVNTTNCRGET RLIRKLIAKNNWKEVFNDGDICLLGLPFKQQNYDEYFSQNVNRYPGMDLLAHKTQSSFYL NKFAQYFPDEYEFFPKTYIIPDELDKFQKEYKNTRTYIAKPDAGSQGDGIYLLKNLKEVK TNESIVIQQYISKPLLIDKKKFDLRLYVLITSLDPYLCYINMEGLARFCTVDYEKPNDKN IRNSFMHLTNYSLNKRSNNFQVFNGKNILDINEGTKRTYSSIKKNLEIQGYNNQDIENEI ESLVVSYLKSLLPFLAFNQKLVFQKKFDEVKCFQVLGFDILIDEKGKPWLLEVNSNPSLQ IEHEVFSANGKSVFEESLIDSYVKELVLGDAIKLAMMPTEDQEELPGFQSYRKLDVDSTE TIFSKMMQLYGFLSGYKFQEYLNSSKFQKLASFPQMTSQTFLKHDYDLLFRKIILRSCNT NQMDFFQFIIAIEQLAGKLNQDLEEMLDRLTQNL >CAK86205 pep:novel supercontig:GCA_000165425.1:CT868563:269941:271868:1 gene:GSPATT00019898001 transcript:CAK86205 MKLFNSRKSIIKERLSVRLVFRFTVVKTLGLGTFGLVKLAIHQITQEKVAIKILEKSKII DVADVERVSREIHILKLIRHKHVIQLYEIIETKKYIFLVMEFCDGGELFDYIVKHQKLSE IEASKFIQELISGIEYIHKLNIVHRDLKPENLLLDYQKSLKIVDFGLSNTYKQGEQLKTA CGSPCYAAPEMIQGNKYNSLLVDIWSCGVILFASICGYLPFEDVNTSALYKKILNGEYKI PNFVSPEGTSFLKGILNINPEKRFNLDQIKSHPWFKLYRRSHPIPPGIIIGYHRIPIDNN IVSQLKERGFNEDYVKICLDANKQNNVTTSYFLLMKRHLMNGGMSTSDINSVHFEPKLLE PIQRTQKAPILGFLDESMLKTLNSNRSQSNQSRKNKKMNTQQNRGHYYLQDEKLNKSIQL KQSHRQDSLDSDEELSKPFSQNVTSNVKKQKVRTESNYNADQSLNQTTMIAGKRQSISPA NANLILQYIKQQKKLTPTSIRNSCQQKPNILSLNYQRNVQTIYDHEGLKIMNSNKQQPNE QKRSQNNQWMPSTILNPYQLLLQLNSRKGSRDLSAPHSTKCANNPKSKSINRQF >CAK86206 pep:novel supercontig:GCA_000165425.1:CT868563:271894:273041:1 gene:GSPATT00019899001 transcript:CAK86206 MNFFMNLFGQGSTGPQPESYEINGQEYHELNLIAEGGYGFIWRAIETKTKKFCVIKKIIC QSKEAIQQAQLELDLHRQLQHPNIVKCYNGVIKFNKKLNQTIAYMVLELCEGGTLIDLLK RYNEKRLSEQQVLLVLKQLVQAIKYLHTQKPPITHRDLKVENVLLHNKVFKICDFGSAST EKIDLNQSNKQQISQYEENFAKQTTEIYRPPEMTDLYSKYEINEKVDIWMLGCILYTMCF YNPPFQESSKLAIVEASYIIPKDNKYSQKMIDLIGIMLQPNPKNRASIFEIEEILKNYET LKQIQSKNEQEDDFGEFQSGDQKSQEQQQQKTMQYDDSLI >CAK86207 pep:novel supercontig:GCA_000165425.1:CT868563:273104:274089:1 gene:GSPATT00019900001 transcript:CAK86207 MNSLFTILKDMQINVPQSQLQHSFVFCGQTQSGKSTIVSKLTSQSIQEQAGLIYTQQKTN IIGYQAVLQYFEVSGQAFKQLLTLPLNKQSYQQYSYILVIDLSDNPHEILNVCNTEIRYI KEETEKKLQQSIDVDTFKQLELNIYDKIMMHHDKNRIIPSYVPIIIVGWKYDLFSKNLDQ ESRKWITRGLRYLAHSNNCSLVFGSNQDYQLVKQTLQYNVKPSAPIYQFDHLKQLCITQL SDSIENINLPPSGNNPLDGFNKIIKDQIVAVVNNQKKKKQSKISEEDWDNYKEEKIDRLI KQFEFQQKQTTKQEETVLI >CAK86208 pep:novel supercontig:GCA_000165425.1:CT868563:274119:274773:1 gene:GSPATT00019901001 transcript:CAK86208 MILELIFLLTGVQSIILKFKVPPGQQQCIKDSVSQNTLIYGNYDTTSLHYSFTLSIINQQ DQESIIIQHANDINQQFHYVMEESGEISMCFEVEDYADVTIFNLFYESGAEIYDQDLLAK KQHVLNLNETLETMEQLQQDISREQLLIVDRENKRKHSFTDIQTKIIGFAGITFGLLIIV GACQVIYVRRFIVYKKLA >CAK86209 pep:novel supercontig:GCA_000165425.1:CT868563:274992:275727:-1 gene:GSPATT00019902001 transcript:CAK86209 MNNLTQLETYYKSYFDQQNGIDFVSTDDDEEQFQIDVQPKKKYFETIEEKKLYIEEYTKK KKTELCKNFQLTGQCKFGNECSFAHGYSELQAKTHLHQKYKTKPCNRYFTQGFCPYGIRC QYLHDEIKDQSRFEKFLQESYLNQGMKPSIARKYLNNSERLDVQRFQQVLQKFINKGFNV ELYNRPKFFIQLENKKQN >CAK86210 pep:novel supercontig:GCA_000165425.1:CT868563:276447:276973:1 gene:GSPATT00019903001 transcript:CAK86210 MNFLNKTLKTASQSEPDLQQYQDLINGHEELCQNQSVNLHDKKKSGKKTKVQYQIFNLSL TKATFASIQTMYQFTKKTIKQINQNEKVTIKQNFQESMKEYNHQLYKISKNLPSILNTQH LQFPSIRISSWYEKVKQACFNNKNEQNQQLILHNPGQRDEDNDFIII >CAK86211 pep:novel supercontig:GCA_000165425.1:CT868563:277642:279416:1 gene:GSPATT00019904001 transcript:CAK86211 MIEFQDNPAQQYLNVKINSEILGKFFFDLSTSWCTHLDIELFHIFGFTLFFAVTKGDKIK QSQFMPLYDIQALPRSFFEDSTNLKITYQKFKKKNLIYYRAWCEWNYLRMPEIGETMFDK LISLFPDDARVEDFYDYFSNIIEIVSKEITSSLQNQNTKDKDVPNKNHENQQSQIQQENT QQQDSKDDEFQKLSLEQDEAMLWYEQQKKELPEEFKEQKSDVLGRCYLQQIQPLPPDLFV LRYNEREKCYVLERKVKIPIIGVQKPIVNTTGNDRIQLQKQYFKQDKDTSLFYTSNPVND VTVIAQQQNQPKQQQLPKVKKQNTQLERVNKSFSQYDKINTQEKGNILLPQFAQEQLVQK QANTPGIRLFEQYSTEINVKKREVKQDLPLQLIKHPRSTVTVDLKEDSHKELGRINLTPI QSFRQASQLHNTNLTFKQIQSIQDSKHLWQSMMTQEEMEVLLQDEKKFYHMLHLLKLKFN FEHRSEYLKQFKLKLDNISKRDEMGGILTNEDRKRFHERITIILEREKKRKKRRRRNVID PYKQRNRSWSIKTKFKNRNCREQFKQIFITPLIQ >CAK86212 pep:novel supercontig:GCA_000165425.1:CT868563:279451:281744:-1 gene:GSPATT00019905001 transcript:CAK86212 MKKLFDSEKGFAQTPRMLKPINNSFRQGSYDKYEQQKYQQLIHQISLRFPSIKEKVQHYF FLLGMFDDIRVDTIIAQFIKIMQGCLKYFDELQCKIQELEDQYSKYKDDKETKLKEYTQL LLEKDQIKQNKLKIQDKNQYVLRNLQLQRTVEILSNNLKEIKDKESVIKPLIKKQIQEQT QIIEEQQVIQTGNDQIVMELKQQLIFAKRQDKMQISIIKELQMQVQVQEEQIKNYQFEVQ NLQEQKQRYFELFYMSKEDLISYKYSILQKLNKITLFEDIIKVLQNRIDHLTVKRSKEIE PPFQSRMDYEEVQIAQIAKPLESEQAFFKYLVSSSLDQNNKNSQVRQDDQFDISLLRFNY PHFSQFLDKSTLDILSHQIESQQMSSNFMGTLRAIMDGMYQEMQKDYSISFQSYVFSWLS TFYLEKHEIQIIPKDNKLLSQEYINRFYIQLMIPSFDKVWEIVQFRHFLNEIYTKEELYF YLHTRFLIKGVWIQQFDAIYELVNYIKIEKAEKIISLFLSKLNKQNQSMILKSLKQASIV VNNNILVQTGYVLQLLLEIFRADRNQRYKQLFTVFPNPPISFKKFYDFVSSNYSLNSGQI AELYREVHMISKGQINVNAFHVATQFFWIKQIKNMNSSQEFTQEDLKQELQQIHGDNYLL LQKKMESTFQEEIIRKMDIYQYFTNFEIFKNKDRLINFINQILIEEVESQKLEKYNKNEQ IQAIMKVQNYFKRKFLHNYLTLYSIISLKLKCVQQIE >CAK86213 pep:novel supercontig:GCA_000165425.1:CT868563:282166:282930:1 gene:GSPATT00019906001 transcript:CAK86213 MSKGDAKTLKEEIQKLYDPLLFYQHGDNPAYKRYVEIMQENAQEGKQIDPRTYFVNKLQR IHVARNIQDFVERDLKCFNFVRIFPVWICTTGFFLQAALTHRQMFLPIGQRGITSIKQTS FFYNFGYVGLAGYGLYLFGASYLWWQVTKMTGTKFYKHCLLGERQWSYERERQNNTYGNY YFKDVPLSCEENFPDLARGEIAKKQRPKPEW >CAK86214 pep:novel supercontig:GCA_000165425.1:CT868563:284278:285314:1 gene:GSPATT00019907001 transcript:CAK86214 MLQQCLKIFRFSFAANTPSLATPWSLKPFKTEVSVPIIRFHDAQPSGQSITLDQEIFNQP IRRDLVQRLVRYTDLYDKKVTKATLALRDAAASGAKNHPQKKTGRARQGHRQRPGRNKGI KAHGRKIRSLRIDLPRKVRLQAYKVGLTAALIEGKFTVIDTEKLNEGKTKHLVQLMKGFE SFTQPLLIVTSVDPDDLFLRASRNLDSLETCTVKEFNIIKILKFKSILITKEAILQLTES LKQRKLALLGDNKIIKKKYSEYPIEEYDPTKPLDLKFKVLREYLEDFEKLKQEGQLDKFI QDMPKTKKVGRINTINHH >CAK86215 pep:novel supercontig:GCA_000165425.1:CT868563:285343:289333:-1 gene:GSPATT00019908001 transcript:CAK86215 MDQERKFLLLKKRLDALHYCQPLGIDSVPLVERLLNDLVKTTEGFQQLKKQLEETKINAA KFEQLLLPLKKENQRIVNENNQLHMTMIQIKEECDTKENKWRSQVRMLESERNDWKFILG QKNNAEKKLQEELFKKNNENYLSSSNQPDKTLKLIDQIQDLEKKLIQVQQDSRENDNSKV LLEEKCKHLEFQIENREKEILRLKSKLDIQGTNIEKLAQEHLLSETQQKAQRTQTQVDLL TEENTKLNDELSNLRKELSRFRKKPSQPQQQSPNKSDKKNELNQIKQRLSVIEEEKEKNQ EQFKKILNQLEQENQQLVEKVQQLYQQNEQLQFKAQNAEQVVQAYQTDKMVFSKAVNNLK NDKENTVQSLKNLEEENEKLKYQLNDMDDQLQIQKSEYQQLQREKELYDRNYKKLNDEYE REKEFVQQLRQDKRDLERELDQEKQTIRELKIEFERMDVSKQNLDSLLQKFKQEQKNQQS HQQNPNQGQQLIIDQLRKENDLLTKEVQTTENLLKSIKKELGEKDRDNYELKQQKRALND QINELAQKNANLDSQIKLKLQDIASLEQEVESFKREQSKMRLNQQSVIEQKQKIENDTVG ELKLLQQQNNSLKLQISDLGIFNSQLEEKLKIAQELLNEQRLENDKLQMNLRQQSDETFK YQQNLLRNKDKEMDYYNLTNEIERLRDQERKMLIEKERFQSENIRLESVISQQTKQMEFL NKLKEEAMKEARELRQMINGLKTNDHEIKNTSIKLSEKVNNYEMTLQDINEQLQKEVQRS NKLYNELTESQSQVSSLKLQKTDQDNQIRQLKLLCESLEKQKEELLQRLQQKNSTKIEDE RQVLQLRELNENLDDRVHQLSEQNVILKRSLEQLDLERDEVQNQLDEVRETNISLQQQIK QMNAEMSKQQSKLSEVSTKGERGYEAAQQLEEKLRDQIYKNQKLQNENDNLKYTLQIKEK EFHEMKSDIQILSKDNQSLNNQLVKSVQEKELQQQQIQFLQQQEIRLKEQIKMFDMEMHD LQLNYSEVCAENQRLTVSIQQISLQHEDVLQRGVELEKQEDFFKVQMQNASKREDQYQIQ LQQYEREIERLNRKSEEYERQIRELLKERDQLGKEYHSQRKISTGFQAAQEDFNRQIAQL EREKQYIDNANRDLQKQVDLKDAQLSWEQKRVNEMEQVIIQERQMQMNTLQEIQQLKDQN EQLLQELEKAYQPQKYSQNYKNESSYVSQQSQQSGIKDNSQLDQSSGWERLKQESENFKK TLSQAAISSQNLKQKMKSLQREYEIQQNPQQQRYI >CAK86216 pep:novel supercontig:GCA_000165425.1:CT868563:289772:292246:1 gene:GSPATT00019909001 transcript:CAK86216 MLYRIIEKVYKQIPLKYQQRLKDIEFENLQSMRIEFTNGLVQKTYNYEILSNKIQLIQAS TVIKELVRILLKMETLPIFPLIYFLSLQELFWSEFFIILYTMMCLIMDMAFSYLILFSNL KQRWKFEENINKQQCRVLADIDQLLQTQNKTPSKKIEWQQLKLGQIVCLQKGEKSPADVL ILESSQEQVLVDFKYKYPCPCTFVNQASKTKGIMTKFLINLSGWIQFQSSKQGTIKLKND PKTTQFTDVNIINRGQILNQTDWIFGIAIQVGHGCFQQRDRYYNWNHYNQNSFYIFLIDV IIFFVLLIPKLISQQQYEFPTYQNSIIMCLLLLPQNYFIINQIWLLIHQFNLNKLEIRQS SDTNSNLTQQQQQQLQEQPDPILQEYDKKVLIQVQKVGSTNNSVLNLGLKKKNPTQCNIY QYNQLSNQNILELMKTDVLVFENPQKILKDNVRVCLIIHDKCRYYFNYEKLQSIIEKTTP TQKINYEKLLLDTNRFQAYDEQKTQDIDLLLAEKQQPTLRIVEEKKTFQKIGFLKEQTKV SQFQKDMRDSKDLKKQPGDPQKLNTYSSFRKQTARNLFQSNTQVQQIKESNQQSTLIQQQ QSNIIISYKNSPKLQRQRSSNHLSQSQLNPNVRSQGGSLKNITPTQQDLSNDQIIGDVFN EQDFINKLLNKSDVISNEILIVLLLCNNIESVYDKKEKKIQNIYYNSFDESILEFVKIFD YKFISSATIDNFQVEYKQDQNSPKGCLYFKELLRFLILQPFCCQPQNRQNTLSIPGQESE TFVLQGRSFFIPQNRNMVTRIIWPKIPLNQ >CAK86217 pep:novel supercontig:GCA_000165425.1:CT868563:292795:295970:1 gene:GSPATT00019910001 transcript:CAK86217 MLKLQKQQQKMIIQNIILLCCVGAYQLDEKQKGKLLKLITITERYIASIGAGLDNQYFFY KSNYSFNLYDKDPQCALSNPNFLISKTDQMLKLLFYYCPATYLNYESVILIQIYRCFLFG FFSYMIDYQNQFYALEELIFFVLVPSNFVTCILNYENFLHQKSFDKNQFEIYRKRLELIK QSNIYVKIIKIIFIALLDSILVQICFNIFDIFQNQELTDYSVFMFINFELMEKSKYIMTQ FNSYYDLGRMLKLIFSYIILLSLLVLIYGLVITDIVYQLSSLNFIFWVFGLIFALSISFI QQEVLILTEFSFPCPNDIQFHIENRNQIEKLSQSLKYLNQQQSNEPKLFIEKLFEGKDFM DEQLLKKIKGDQQMTDQMEKDLKFTDKRTEKDFLNWLKPQNIYIYYIYELTIFGIRIYQQ ITNLQIAYFVISIIQFVVMSLLPLIHISKFKPLVQIGLRFAFFIVLHILLDTQINETLMF LIAITLTHHPLLGIYGYYCVCFITTILDMLMVGIFTYTDPYLILNHGLILIEVCLPLMFQ VYKTEFLQRYQYVLQNKLLDEYKKLNDALGLLMPRFIKERMSKGQIQISEDQGDVAILFC DIYDFDDIIRNEQIKVVEFLDNLYRQFDQFCQANELQKIETVGKTYMAAGGLKDYNISDN LNPTERILDTALQMQESVKTMKYGDNKAVILKIGIHYGRVIAGVIGAHKPQFSLIGDTVN TTSRVCSTSEPGIVTLSQQAYEKVSNKKYQFKLREVEAKGKGTIKTYQFIKGDKKQQQAI LKVADNISKSPNPHLQNQMIKKISENLKNKQQTILKKVSMNEEKQDIAPVTIQNTNMNVN TKLIQLSSPQSIQPMIRAQSRSVFNSSYQMALLVPNEQDQDNNNNNNINNNNINNNNNNN NNNNISNNNNNNNNNNNNNNNNNNNNKQEEKEKFNLNNIQGDGLKSSMPLGGAAAGQYSK RVSNLAFELNPIKSRKSVYRRAGTKTNLPEQDQILGMGTSGQKLAESVRADLPVKRKRTR ILAEKQMDFIDRIESLSVSQNTKGIEAGISYG >CAK86218 pep:novel supercontig:GCA_000165425.1:CT868563:296500:297555:1 gene:GSPATT00019911001 transcript:CAK86218 MLKVLIGLYFLIFSINNILIVNVHSSDMELIFQISHITAVYLNLFYLQIYNRKDRIKLSV LYTILILINLVFNEYILDIILFSICIVISSFIIQEKELKVLAENYQCFSQFESKNAKQTQ ILQYLLPQHIIGRFFSTDITTTDNFTDVFQNCTILFADIAGFTKYSSSVEPEQVVNMLRI LFQQFDEACQKFQVYKLYTIGDCYVCMGIIDANNRDPVGEAINMVLFGLKMIQIIQQINK DPQFQHLNMRIGAHTGRVIGGVVGTDVVRYDIYGEDVTTANKMESKGQEGKIMVSQATKD LIESDEESIGIFDFEFAQEVFLSQNNTTISTYYVHFDQIGDDQ >CAK86219 pep:novel supercontig:GCA_000165425.1:CT868563:297680:298090:-1 gene:GSPATT00019912001 transcript:CAK86219 MLSMMQHNQNIKQVLCSQRTWYISLIIHQIVQNPSFLKRHQVELHFIHHMHLIKWCLQLQ PYFLLQSIGIYSFLLIMNNQMANQYSYKTSLGQVQIIIKFRSISIHDNAFAFHQNFAIKY SPHNLSRTRLMWNEES >CAK86220 pep:novel supercontig:GCA_000165425.1:CT868563:298574:299670:1 gene:GSPATT00019913001 transcript:CAK86220 MSWIKKQDQGIDQRVAFESIQLMFKFVEEELTYETENNEFLELFEQQVFNLCQTKSESEL QTYQAMIKFLNEDLKNDVNFFKNMIKDKEKMLEQDIKEIEKIKKQTKDRSKIEQKLKDIS YLIKEIDKNLEIGTAEKLYGLLEIIESKAVIGLFQYQNEYQEIQQYLKNVDLLFKSRNFQ QDKFEIINLISMVKNVLLDLHLNIIIKLQEDQLDEYEAMSFFENSKVTHQIARDYFQNEL QTFLQTLISKWENIILIQEKTNENSESFRNLIQQLKKQNTKIIETNNHSLQIVNFTIKHL EQDFLEQRKRQ >CAK86221 pep:novel supercontig:GCA_000165425.1:CT868563:300646:302098:1 gene:GSPATT00019914001 transcript:CAK86221 MDDSRSEKHSHKMNIDHIKDENIFCLICEEQDYSEQNQIIFCDGCDVTVHQECYHVVNLG AKWYCQKCLALNDDRDQQISCQFCPEQFQILELVQINGQQQWAHSSCLKWNPFLIQNSQS YNLYTCETDLQTGYKCRYCQKTDGFQLECHYMECQEAFHIGCLKVNGGIMNKEAMECLYT YMKPHLKIQDQKLLFCAEHVQELLTKLDENQKNLIEEKYLKMRSERLNSYYIYNRNNKQV VENKSETKQTRKQRNYEDMLFDEVVQLKQLKQAKIPIKDKKVKLQFKEYMTRKLNDQLHK LRDDFMKKNHNDLNADEDTYIQIDTQLSKKLFDKLVDINNLEEFDKYLVSYFIFNNMIRK PNNPEIYIRDDNNNYSYWKKMLDELGVKNGEQFSRFLNVLRLKKMNIVSRNNEKLQYEQD LQQQFEIQNNELIE >CAK86222 pep:novel supercontig:GCA_000165425.1:CT868563:302114:302496:1 gene:GSPATT00019915001 transcript:CAK86222 MGRTGIAVGLNRGFITSSLTKKQLRRRPSQRKGTLGKRVLSVRKVIQEVAGLSPYEKRII ELFKTNQPKDLKKAGKLAAKRLGTNRRGKRKIELVQNLYRAMRKQQQTKH >CAK86223 pep:novel supercontig:GCA_000165425.1:CT868563:302496:303111:-1 gene:GSPATT00019916001 transcript:CAK86223 MNKEEKQVRVYADGVYDMFHYGHARQLEQCKKLFPNTHLIVGVCSQEDVEKYKGKSVMDG YQRTESVKHCKWADEVIYPAPWIVDEKFLNDHQIDYVAHDDIPYTTADVDDAYALCKKLG KFKATKRTEGISTTDIIGKILKDRHKYLKRNIERGMNRQELGMGLWEYYQLKYDWLFCQG RKRKQD >CAK86224 pep:novel supercontig:GCA_000165425.1:CT868563:303136:303917:1 gene:GSPATT00019917001 transcript:CAK86224 MQINGRLQFDLKLDDKDYKISGNIFMKDNISTDVEKEAETIANRIIEKVRQKSLNKSVNK SVQHKYESQSATKFSQTEHFKSIEQNNSRQETLKNDYKQPYISEYQTEFRSSCKLNNVEQ QLDICELITPQKHKQRNTKSQQCIKKEQPSLKQSATIQLAESQYFIENSSNCNKKDGKQI IQLIDNAHNLIRKNSQILNKVQLQSPLQSVINTKSLNTQNNKKKEDIVTQLLGSLRNAKK IKDEYQKRFF >CAK86225 pep:novel supercontig:GCA_000165425.1:CT868563:303949:305512:-1 gene:GSPATT00019918001 transcript:CAK86225 MHFQRRGIMNYSYSVGDIVGRGFSSIVYKGINDLTQEEVAIKVIQRQISDQIPLIQNEIT VLSKLKGNFILKLHDYFYTKNNIYIITELCKQGDLQSYIKKYGKLNSNIAIQIIIQIIYG IVSMQQENIIHRDLKPQNILISDNTIRIADFGFAKEMSKLSSEMNVGTPLYMSPETLIRN QYHLKSDIWSFGVMAYEILFGIPPWSAQNERDLIYEITRNQVTFPDAPEIPSHVKQLIQN CLVFDVNYRSSIADILNNKLFVKQQNKTTFKTVKVDRLAAPVIPKITKNDSDQFIIVDNT IQGYQNYFSVIKFILSDNYKLISPWLKEKLCILSAKHLTILSAALYNLFTSESNTGDNKI RQQGLEQVCQDNIKIQELFQSQLIKVSSIPEFSNDNVKFDQEFSRLIKNLTKEGLLSYIK MSIEELNHDLYTKIKLQNVIQYTKELQILKTLSQYYELLYKYGLLPSFNQNDLLQYLDQI THNQKYLIEYRHFLELRYEIKQILK >CAK86226 pep:novel supercontig:GCA_000165425.1:CT868563:305553:317446:-1 gene:GSPATT00019919001 transcript:CAK86226 MSQKRMTIAPIFKAQLPKINFSQAKKEQLLVDELLLTQQHFHDSRELVNNIINEKNKEAV NQSFQDQQISYQAIKQKLEPTREIFQQKIITKPVTRQARSNSRNRGQHSMIITIRDDSNF QWMNDLCKKNLKTNLPKPARNPVKIQENFSRLSNRKNMSFHEKANDEEMNFELQGLNTNK TLKNYLTWDDPQTPSDIIQQFKNDRSYHAKCPFYEGNGYVWTEVQIQDFDQKQQKFVVKI LKNDQIKYVSRLSLMLKTENEAEFNMRLQQCRVLQKQAEEELRFFKYVNSIPDQLITTLP VQTKINIKKKLKLNKQQNVEFDQIEYEYKLFMKKFLTLQRMNQSDFITDFITNRIKMRNM NGILQRFPKLKSLKKDFQQVKQQISQSPILNNKQILQTFVKIQTIKESSFELLPTTYPYG QLPFVLSNLEAYNQQMYNVLKLTMFNNWRTQVIQDVQDSLRTQFNFYQTDDVGYQRSQLC LLLKRIDQQFSYLNMKNLVEMNVIKWINHIKSFTDDSEHLSKEPFLQINIVIQQIVRKKT VLHKQSTFGEDRYMIFEPTRQQIIQTLVNPIQLIKETVSQVKKVENDIMPLIDIGQAQSV EMEQISHHFDKAIEQIVTFIDNALKQKNLILDQFNEFSYLYTKSQSHLMKRLFGDSKEKP AITYIELDKIKEKLIYYLQTIFTIERLCIDEKNFSFFQVKTKLAKLTLIQKSQEIINAIL NRLSEVLTDNIQRIGKLYQDMCERILEEPKEEHEMVQLKNFIAETEVNLAKLANEVNMLF QTLDLLHQYQYQFDNKEIENLWFLKQWPAEIRIALVEGQRNVHLKETKFTEKLDQEKELF IRELLVLENQVEEIKFYEDYSQVKTYAQIVMGLKEKINNYQDKVRSFNDRESLFKQPLSD YDDLIKIKQDFEPFYKIWDLAIEFDIDKQEWYQGQFMKLQYSQVERKMIQYYQKETLVLL KFFQDQSNDKALRLLTDLKKDLDKFKEVMWLIEFLNCEAIQKKPKIWDEIYSVCGYVGDD ITLIQLLEHNYLQFKIQIEDISKKATYTYDIEKRLNAVVDKCKEIKIEFVRNTNIMKIDE AQILLDEQLNTILLLKQSPYVVMEKAIGVEKKIILIQDTLENWRTTQRGYIYLQPIFQSE DIRKKLPQEKNKFDFIDRFWRQITENFLKDPLVWENIENERYKQESKHCNDLLDYILKGL SNYLEQKRKVFPRFFFLSDDGLLEILAQTKEPLLINRHIQKCYEGIYELQFDENNENILN IISPEKDIISLYKFCNVIENDKQGNVEKWLQEADQIIVETMKRTMKECAQDTDKQWYLKW PSQCVQTMTQVKWTSSIEQALISQISLENVLHQIKQQIKNLVQILQQKNLNIYQRIQISQ IILLLVHNRTQTENLCKIESLKETDFNWLINLRYYDEKILRVSLLSCNIQYGFEYYGLTQ RLVITPLTDRCYRTLIMAFQNNYGGAPEGPAGTGKTETVKDLAKCLGIQCIVFNCSEGLN VISMSKFFKGLICCGAWCCFDEFNRIDLEVLSVVAQQIILIQQGIKEQKKMIYFETDEYF LNKSCQINITMNPGYVGRYELPDNLKILFRPCAMIQPDYQLITEIFLYSIGFQQANYFSN KIIIALQLSQEQLSTQDHYDFGMRALKSVLLTISQIDNEDEEVKCIQALINVNLGKLINK DVQLFNSIIQDLFPNSNIEQQYETQGFSDVCQSLKLEANPVFIKKCIQMEQLMDVRHGIM IIGETMSGKSKLINALAYKQNYVVHKINPKALQIDQLFGKLDRNTKQFYDGVIPIIFRQQ INQLIVFDGPVDTQWVENLNTVLDDNKKLCLSSGEIIRLFERTQIIFETSDLQQASPATV TRCGMLFMEQLQWKVILDNQNFNDTFQKKALWLFDCTLGFLQGKFYVPCTDAQLVQQSLN MMRTFNYKNEDQQINVLLFCILWVIGGICDENQRKSLNVMIMKLITASNDVIQQFSIKNK YQYEPQALHLRFMEPVNKPNLYDFYFDVNKNCWLFWNVDPQQSQINQTKSFDSLYIKCID TIKTQFWITQNLSQKINLILIGQTGSGKTIQIQQTRQLFQNHAQLQLTFSGQTQINYIQQ LIENKVSQRRCKGHYGPEENKAVCSVFIDDLSMNEQPNELIRQHIDTNGWYDIETKEFKH LEDTMYICATQKQVNQRFMRHFMLLYVPQYSHESLIKIFNALNQWILNQWGQKQLVNNAI IKMSNIIVNSTIQLFNIVKQEYLATPSKCHYIFNLRDVWKVFKGIYLGDIRTIQRDRDLV NLWQNECQRVFSDRMIESEKFNQILLDLISQNMKKNYEFKQLYYTNIIPTTIENQSYSKI YTCVEQKVLREYLNSKLDEFQKIIQENNIVLFEYAINHIVRIIRGLEFGNMLLIGLTGSG RQSLANLSSYIMDGKEMYNYTNDKDELQSILRQAGMELRNTIIYANCNQINDFNLEQICN LINFGEMQNLYTAEDKMKLIEDLNEYHMNYPQFVKQTHQNLHFILSINPNGEQFRNRIRL FPTLINNTTIDWFAEWPQEALIETQQQYDIDVLNVFSIIKKESQQYCKQMKNQQHYLQIY QPYFLEFLKQYKVLYSQKQKETDKFIQRYTHGVDKILQTESDVTLMKATLQELHPKLHKL TLENSHLLINLQKKQKEADLKKQQCEQEEYECTQEKLKADQLKQECQDELDKVLPILAAA TSALEKITNEDMIQLKSFQKPPLAVSLVMEGMCYIFDEQVKWKQKEPGSQEKIQDFWEHA KKNLLNDKLIKRVRDFKEEQIKAITPARIQKIKGLQFDDKVFNASKAAGNLSLWIKAVVD TFEAYLIVDPKQVLLKNAIQQLQVTELALKEKIDALKEILRFLNLLQNDYNQAKLEKDQL QEDVNKCQVQLERAEKLISGLIQEKDSWRHKANQYKQNKQFLQGDCILSSAIITFFGPFP LGLRESILEQLKIELQNVSFSQNFSLLNTLCNQIIVGQWINQMKLPNDQLSIDNAIIIQN STKWILLIDPQNQGSQWLLMWNEKLNSKFNFENCMQIGHPVLLQEPDESQIPFPCGTYAK LNDKKIEMHPDFRLFIQSKNPLYSPEICIHLKFINFEVTQEGLEDFLLNYIVGVEEPQKE EIRQKNIRDYYENKNRQQQTEDSILKLLHGTHGNILEDETLILTLQKSKNEQIEIEDKLK KAENDKETFQKISSQYKTITKKISIIYLVIRDLQKLEFVYVWSLEKFIQLFDQSIKAFKS ASQNQQVEKNKFLFQHFTKLLYSYICQSLLEKDKLTFTTMLFLKILLIENIITQEEISFL LNQHSNYTLIQSSTTCPEYLTQQQWNQLNDYAFQFKNDKIVQQLTNHYYEFLNNNYDLEL KPFHLLIITKIIKPYQFIFQLHQTIEYYVGSHFTIIPQFSIEPLSIQPNTPILFILSSGS DPLNFILREAASEKIKLQSLSLGQGQNQIAELGIKKAIEENQWIILQNLHLAKSFIRSIE QIYENELQQVQRDSKFRLFLTTSPIDTFSIKLLQKSEKFTFEYPKGYKNNLLRIYSSIEE KKYNDKPLQFKNQLFGLAQFHAIVLERRKFGNIGWNFNYDFSQADLEISQKQLLDYDTEG LKYLISELNYGGRVIDNNDRQLLKILLDKYLVGEESEYPMMLNDYMEQINELSYQDDNRL FGLHLNAQINQKINETNELNGKLCNISSVLNINDQEEQLKLLISSISLSVLQYDHLEHQY GTPLNTILIQECCRFNKLIIQIKSDLEFILKSLDGLEVMNQEMEQICYHLLQGVIPTSWL SKSYLTTSNLINFVQNLQERIKYFQKWIENGIPELINISYFFYPQTFLTGVKQDYARKNS IPVDSLNFEFKICNHNFEGYLIEGLLFDQCQWDEEQQNIVEPQINVLYSQVPLISINLTT QIQQYQEYLQIPVYSTKKRNKKIMDIPLKTNESPEFWIIRGVAIICSN >CAK86227 pep:novel supercontig:GCA_000165425.1:CT868563:319099:319650:-1 gene:GSPATT00019920001 transcript:CAK86227 MDPTNKLMIDQPKIFLVFSSKQVRLIQSKKIIAAINVKHRVIKISLHRTIKFMILALNGN FVASNVTVIIQVTTKIVIDKFYQQSNKMIQLVNTTFFNSQNRLKFRLKNAFVYKPLNQLS TQEQFNKQLCLTQILQVLKNIIYENYITYYNKCCVNYLNCTGCLNVLNFQKSIQQKRQQA DGC >CAK86228 pep:novel supercontig:GCA_000165425.1:CT868563:320457:320825:1 gene:GSPATT00019921001 transcript:CAK86228 MSEKLPRLSQKKARSQSLYENQVATQKLKESLYNLQSSRYGQRPQKNPVIESIVKEADVV LTNQHLKENLFKLKEMGLLKNCQPKILTQSGLKKKDYILNDYHNKSTLNGYSRNYGGLFY NR >CAK86229 pep:novel supercontig:GCA_000165425.1:CT868563:320944:321424:1 gene:GSPATT00019922001 transcript:CAK86229 MINIKQIIQAIKGCIQILILKENIQDLRKFNPSPEQECSNQKMRLIHLAASYPSIEILEF FIEKSQNLEITDSIGRTPLHYAVNNGQLKNVELLIKKGAKIEAQTIGGDTPLIKSALLKN HQCYHYLIGEGANKLHQNCIGQSAEQIYQYN >CAK86230 pep:novel supercontig:GCA_000165425.1:CT868563:321590:323053:1 gene:GSPATT00019923001 transcript:CAK86230 MQYIQYHPQIIEELKMNETKSLFSPIEVSLIKSFEDKDLFKELILLYNHKKKKLVQQQVH FGLNSLFVENKYILLCKCYYEMRYTKLKQNEGFYIIILHNSKGKEFLIISNSNQFSKIQA VIKRFCVSKSFFSKYQLFEQKNCIPFAQNCKNQDLFCVLQIDKKQIITESDQEQFYNTII IMNYISEQTRIVKIYEENSMYFLFMKYMKMQSLESLILNDFEFREAPLVCIIYLILQTIQ KYKNLGIYHGNISLKTIFINMSGAFLQIIILFPKYQANNNKGISLDLLNLGQLLYQITFY EKKDKIKQFVDQKLITQQKQLLQNLNTENKQFLFLYRISQLDLLNQLLTQSITIENALKH QWFITVKQNLKAEFLKETKERLDLHTIVESTNEMSMTISIDKRVQSKEFAEYGNSEIEDE FPVKCSILNPFQMNPSKQKHDNSVPKSKSCSNFDLRFDNKEKYFGNEQSLFIQ >CAK86231 pep:novel supercontig:GCA_000165425.1:CT868563:323441:323914:-1 gene:GSPATT00019924001 transcript:CAK86231 MDLQLQEQLNIKKTLQYWIPGATLEQMKQKLNSQTISQFKKEEFLNEIKFGKANIDQQDD KYLSETEKAYLIAYNPEKRVRSDYECMFMSNDEKTSDREEQTNQKCENPNCPGTDTKWIE CSEKKCWYHQSCAGIPLNKTQEEIDQMDWKCKKCRKR >CAK86232 pep:novel supercontig:GCA_000165425.1:CT868563:323955:324207:1 gene:GSPATT00019925001 transcript:CAK86232 MNSIAKTEEDSPKKPIWESLIERGSCKKEEIQRKIEEKKAKDKELDPQCTFKPFLINQAC QLQISYFRTLNQQAFS >CAK86233 pep:novel supercontig:GCA_000165425.1:CT868563:324249:324711:1 gene:GSPATT00019926001 transcript:CAK86233 MKKERVLKIRVQKRPQPQIPEVDIRNTKGMDSFIQRQEKARKLRQEKDLLLNNPYSHLSS EKKAVLTNQSYYSSNHPISKEYESIRKAERIEFSKALETSQEIETQSQTQQQQKMQLGMA MKMLHNQLYNIQFNSEF >CAK86234 pep:novel supercontig:GCA_000165425.1:CT868563:324716:325568:-1 gene:GSPATT00019927001 transcript:CAK86234 MATQSLLESLPKDFHKMPPNYDFSHKGINWKDYEKDFILRTDAVWEKEQLKDWFRLYTKC FYFDTTANKYSLMEPDDVYTILFEGWALEDCLFPFRGTTPTGRTNCFQVGLPPKQKVYVP YPTYQSQQDYFTLCALRFQKWFDCDQAEHFKMDKTEADYLKRAKVYPCYAMYYEAAYACT DDMFDFLMELAYTRRSNRTFEYNHFQHEMRRPPTIYDSPKNAERIKKTY >CAK86235 pep:novel supercontig:GCA_000165425.1:CT868563:325754:327042:1 gene:GSPATT00019928001 transcript:CAK86235 MDPEDDQDIIDSIVNALESDEERSFSPSQTRSDSNRTYSPQIKRLTQPQYSIKKIQSPII GFKLDCWTIQPFSLDDDSYLDGDLILKCKDQTNSRKIQGLFEKGSDEQREFIFQKLLPGI VTLANDIFGNYVVQRILEQGNQQQRELIFEHLSQQILVLSYNTYGCRVAQKLLEISYNTQ KFDQIFKLISMQVRNLVIDTNGNHVIQKIAELVKSQRSDWLIDGVLGQIQKLSNDSHGCR LIQQILEVSSASQLNDIYMELLSIQEELCLSQYGNYIIQILLQRGPTDLIYKIQNAIIKN LEKLSCDKFGSNVVDKSVNISVYMRKQILKVFMHNMNIFYRLSNNCYGNYVIQNFCKQLE YNQLIKLSYDSSQFNTQFGQHVYQALQKLKN >CAK86236 pep:novel supercontig:GCA_000165425.1:CT868563:327176:327974:1 gene:GSPATT00019929001 transcript:CAK86236 MGRDIEKVKEQHASSSKTNKKEKKEKKEKHKKKEKKQKKEGKKQKQQKKNQWGRYGTISI TDMYLKREEFLMWLSEVKMLNIEAITPQEEKKFFEEYVECYNTATFPSKKFYNFKVWFEK EQLKGHLQQAEIEMLTFDDEKEKQKEQQEQKELIKKQKLQREYQHLHEFQNIVINWLFIK AQDMQKQKQLQELLRHHFQMGNHEAAIKIQKQLNPIHTIDMNQIQQQPPIDYDYDYENF >CAK86237 pep:novel supercontig:GCA_000165425.1:CT868563:328088:329951:1 gene:GSPATT00019930001 transcript:CAK86237 MAKIIDNYILIEGIGQGTFGEIHKGRNLISKEAVAVKTIKLDRFLNDSILKDMIINEIQA LKKLEDQHIVRMIKMLKSANNIYLVYEHLNGGSLANYLYEKGRLTEQEGRQIMSNVFKGF KTLNHEKIIHRNINPNNLFFNDGSPASQKLFDPENFIYSAPEILLKTQQPQYEDKCDIFS LGLVFYKMFFGQLPFPENLTQDQLIDLYKNQQFNPIVEDLSENTAYLLNGMLQIDQARRI EWQTLFHEDQVPTMSGLVNSTNTNFASNYQINSQVQQFETGGQNFYISQQSLQNHITTSP NELVKRKTNVSDKENIKANKENDSAQIFAKTTEKEQSISQQQSQQQVLQIQQTNNNNSSQ PSVVKQIQHQLSELRHKFNIIIQGTCKIEQLENWSAKIKTEICMIFLLKKAIKCINEMLD ICQNTDRQWGISKNRSEIIKILQKDYDDLISNYKHVKQMNTCPHEELNTHEMIDEQYVDN VLIMIAKQIAMDLGQIRSSMRSNSQIKSSSFNYEQTSQRNGSFIESKQSTSNQYIFAIFL IDLVKGMNFEDQKPYFKKLEESKLPELLDFKLKSI >CAK86238 pep:novel supercontig:GCA_000165425.1:CT868563:329990:331830:1 gene:GSPATT00019931001 transcript:CAK86238 MIQKTEYSTDDAKEREYRIQRMEKQLIENQFIKQTYPKKYDYTHSKNNYSVPINQLDYKS FYQSYLPLEQQQQMRSQNLQFSSNKTSSFKLSYDNNDKDTTPNKKQQQLQYRDILQKQVE EKQNLLKQQKTKHECTDIFTNNQYSTPNNKKSSLSKAEYQNYLQLQIQEKQSSNKIFITP IKNQQKVEEQNKEKDKDKDKNAKESTEFQIIQKQLKQYQEEKQQKDRKLLLQQKFEESTS IYEKNKDKSFSPEYHNKKKNLVQEDEDEKARTQQQKSVVSKILTEQIQEKQKKRDEEKKQ QETEDKLAEERWKNEVQTMKEEKKREEELKKEQQGKIQQENIRMHQMKLKQQQGRNRSKS NTLQQSKIEEEFKEELEMIQQEIEEEQQSIEQIEQQEEIQFQQQQQQQQEQLNIKPNQVL SYVDQYNLELDKIKQQMISQQQQLERQIHAAFNLAQIGLLEGKNKIEKELILEKNKNNKH FNEDYAMALLNKNQSKYEYELPFLFNQKIQQDQIYRDNLLDKVQLNTQSKLIPLSTQHNK NMSTHLATPQQRQIQARSKSISQHSKQNYHQQLIDFKKSKQNDALSQLLQEYHE >CAK86239 pep:novel supercontig:GCA_000165425.1:CT868563:331920:332761:-1 gene:GSPATT00019932001 transcript:CAK86239 MSDYYVQQSSECDYLFKVLLIGNSGVGKSCMLMRFSENQFTNHFYNTIGVDFKIKVFQID KSTVKLQIWDTAGQDRFRTITSSYYRGAQGIIIVFDVTDRESFNQIRQWMQEIDKFAAES VNKILVGNKIDSSQRRVSTDEAEALAKSYSISYIETSAKTNINIENCFSLITRQIIQRVG KPNQNQKSKVGMKLQQNSPQQQTQNKKGGEQDSQCCMS >CAK86240 pep:novel supercontig:GCA_000165425.1:CT868563:332827:336273:-1 gene:GSPATT00019933001 transcript:CAK86240 MKLNISGTSINTKSSLNTKKEQDIRNLKQNDDHLFFDLTEFQTSDSNTPLSIQLKDTTLT NQQQTKHFFPSKPILSENKLPPLNSRYHLVQEISREQIIKKKLFKENYSVISFPSTQSLQ KSQSIDYQKLGQEIVNAPNSQDYYRFYKHSKKCMDIQSFNETPNNIYVNLNKAMEQQQCM PRKMQIIDNHNKKDISVAGQLSSPQYIKMFAAGLGSSTFNQLERLSLNSNNLNNDDLETI LDQLPKSVQSLDLKNNKISKNGCLFLQKLLIRQHQFVIELNLENNQIGDQGLKHLIDSLQ NNKCLRILNLSHNQIQDQVMEPFGKMMKKNNIIQELYLHYNKITFKGGIFLFKGLSKNAV LKVLDISFNKLGGNKDCTNEICNLIARPHPELIHLDLSHNDFKDNDSQKIANELEFNKII YGFHFEGNSNYQINHKGYLKEQKNKKIVTESQQDDINIEEQDKKQLEIQMQQIEQQTQKK KNEFIRIQSVDIVNLQLENCWICQGWIEVLFQWKPHKSGLLEQDPIFIHLEFEDYQPSLM QKTSDGNFNLYRMCPPNQTIRYFFSNPVQNVQVYAKDQKTIQTQTHSQLRNFGVQMEYSN GKVLQSQPLHTVNMIQTQQSAPIFDKKKHYLANIQCKPREQEKIVEFDVELAKKRKWTLD NSIFKDFDEDTEVHLEQCLEADLENSKIIRHLINHNEIIPLKEQLKKYYKYIISCYKYLA SQQCETDFPRLNIQTIQGFYYQSKNNQLIKQVDWEICLISTIVIKDFKSQFIQERALVRH QFLEKTYVKQGNCSSIAQGFEKMMQAQTGQFGFILDYGCAQQWRDERYWTQIMDMTIRLK LPFLKQLFSYTSNFSNKPYAKEKFISFQDLKVLLQNSDFYPNLINEQQLYFIYLQSIMMQ VDEITQKRHFEMQFIEFIEALARIAEFISPNSNNYQIKQLNAKQRRTLPLYIKFEGLLYI LFYRIKRFDVDINVIEKSVIKSHEIKRLGIFIEDNYSSSSSGEEDNEVSNLRLSFADPQN IINRMLYDDECRMSFKDTISNQSSGKKRKNSLQKQDSITLKRSVLRRNTFQNQLQQIKIA QLENEMAELAEYEESTPLNRLRIQNRKVTRSKYDIVL >CAK86241 pep:novel supercontig:GCA_000165425.1:CT868563:336357:337483:1 gene:GSPATT00019934001 transcript:CAK86241 MNQNKENEYVSDVQGYFTNKCMTSTRSTSLHEENTMKFSRSNNLFEQKQQENEKELKRKW SDIAKDWQRNKRDVKVFQLAEFGIPISARKQIWPLIVGNKLQITKELYNILQTQSKTFKF DQRKPLGRMSSFNHIKQDLGRTFKNESLQKIFHPGGPLSENLQSILEVFCLYRPDIGYVQ GMTYVGSILLTYFDDYQAFVIFSSLITHPQLISIFMLDEQNLQIIFQQFEKLIKLNIPQV HKLFKQYNINCTNYLLDWILTLFAKPLNPDIVGRIWDRMLFNGTHILWKCGIAILKILYP KFKDQEKTLQSLKNPDIKDDELLQEMKSINYPFEVQEVLGNIEL >CAK86242 pep:novel supercontig:GCA_000165425.1:CT868563:337487:338392:-1 gene:GSPATT00019935001 transcript:CAK86242 MQQKQLHIPGKRLKHYEIVKLIGSGGYCKVYHAVNIQTYENVAIKAVAMSTFKNHGGLVG QLHETEKQNMKLVNSNYVVRFIEDFQQNKYSYIVMEYCNQGDIEKPWLDQNKHFSEEQAI VYVKQILRGIQDLQKYSVMHRDIKMKNILLHNDQIKLGDLGFSKSKRKFMHLNPMTLTIG MLGYMAPEVVQSKQYQNTADIFSLGCLFYLMIYGELPFSDQNHQIYLYETKNKKITHHGN TTSQKTQFIINSMLEYDQDKRIGWAELYKLFDQM >CAK86243 pep:novel supercontig:GCA_000165425.1:CT868563:338438:339838:-1 gene:GSPATT00019936001 transcript:CAK86243 MSTDPYQYKSHVINVSYSEISPDIPEFFTHKRHVFIMTNTGRPIYVRYGNEIKSSIFLAT INAIFQKFLLFFFEDKEKQTLFRISHDKCNIYILQRNQITYICTTNSLQDSEFIIYQMLD FLNTQLISIVTDQANVHLTQKPNYDLAFSVGGSRNLLTLAVKNGLYSPCIAFNSICTLPM AVSLRQFIHNNLKEIKLPNIIASLLLTETFVIDIWRQKNMEFKTSDILIIQSMIQGQGQL KKGENWIPICLPGLSAMGFVYAYINFFEKPIGIVMISDDNSLDMFEQCKEAAQVLIQKFN SNNLQQTLLSNLQNNPNTPKCLDKYTQIKHFIVKHNSGQVYLPVFQAFGVNNKTFKFYMQ QYGELYKDFMISQSLGNSDAKQNYFVWDSKGFGITQYHDVVILFCFNELIEFQQMVQNVH ILQRMFRTEELGYYFVLKQS >CAK86244 pep:novel supercontig:GCA_000165425.1:CT868563:339840:341285:-1 gene:GSPATT00019937001 transcript:CAK86244 MQQTIDKGFYQSKVCVIDNGTGFTKMGWAGNTEPQFDIPTVVADHQEKQKVQMSKNTNEN LDYYIGYEAYDYTKSHNIFYPMKSGIVENWDLMEKFWHRSIFDYLRAEPDETTFILTEPP MNPPENRENIAEIFFETFNAKGLHISVQAVLSLFSTGLTGMVLDSGDGVTHCIPVSDGYV IGSCIKHIPLAGRDITQFIQNLLKERGENIPAEDIKKVAREVKEKYGYCIGDGDLIKEFQ AYDRGEKNKFKTYYGKSSVTKEKYTIDIGYERFLGPEMFFHPEFLDGKWRSPIDEIIDKS IQTSPVDCRKKLYQNIILSGGSTLFDGFAQRLEQQVQLRIDSRLQKYEVRSGFKPASIKV NVTQNPFQRYAVWQGGSLMALQPQFEKVYHTRQEYFERGPSIARYNAVFQPQY >CAK86245 pep:novel supercontig:GCA_000165425.1:CT868563:341360:344934:-1 gene:GSPATT00019938001 transcript:CAK86245 MKQFQQYTNWIICLDPDSRQIYSTNGDIIIIETHVDPQYKQKCENALQDMDYILYNHENV AHWLQDSSEVEQYRRRNIPSYLLHPQQQQYRRYLVESNMGFSFSNIYTIKFNIICQCQND LLNGKETKIEFNLMTSADEAIQMILKQLQNQQSNPPQYILQVDGYQEYIYGEQPLLQFTR IRNIIRDSQVLNTILIDYLPSSNFDYPPIYLRAKDNYDLIGDWKKYLEIPVFIWYPKQKI VNQQISILKQNRIVNDLDFIYSGQCDFYFSFKIIKIDHLKNLFESDFDRLGKKRSTYNGT TKLNNITKNQPSKINKNKDILMFHRKETQQDEPYIPIRYLSFGGVENRNYIKQIQSLFNL NFEPFLISIEASLYYGDKLLTNSSVIESQLIPFSFTPTFNASIKFSQIKYSMLPLEVKLC FRVLIYSCHGESQIVGSTSMYLFDQNSKFKQGLQTLNLWPFYDINPKIGCFQDFKGEINL DNIYKRCRPKCYSYLSIKMDCFSSQLKWSLRDQKFQQFHQGSLKDCLNVKHYADLVRSDP QLQKQIETKKNYHYVKDQRIQFDLDKTTGSIMSQNKRKGISILQNIDSQRSSNFSQLARN SLNNFLQYFISNRLTSNYYKENSDRLGLAYPYKDIDQTPKTEDLAYLQTLLSATSLDRVH YSQLDKFLLMICRHHYKTLPYALQLFLKAVNWADPKQVREAHQMIKEWIPLPPQIALILM DSHFPDEIVRLYAVDRISLLSDDELSLYLLQLSQALQFEKNIYNPLGELLLERASANPFQ IGQEFFWLLKSQLNLKPSFLRYFIWLEQLIMICGQFRETIVKEVRLNNLLIESSLKIKSK QVGTEMQQKTDALKKELQYITQNVVQPFTFPIDARMQIASFDIDQCKVLNSKKLPLKLYL KSYQKSINQQINQLQVIFKNGDDLKQDMLTLQIIKIIDTIWLDSGLDFKMKPYKVIATDD QVGMIEVVLDSLNISEIHDKIGAFGAFDEKALINYLHQQNQNAEDLNRSKDIFLRSCAGY CVATYVLGIGDRHSGNIMIKSSGHIFHIDFGHFLGNFKIKFGINRERAKFVLTPEMLTVM GGQDSETFRQFEKHCLDAYNLIRKHGRWLVDLFLMNLTAGIPELQNAEDVRYLQDRLALN LSEQEATMKFRQEIITSINDKWRRVDNFFHTLKRKG >CAK86246 pep:novel supercontig:GCA_000165425.1:CT868563:345222:347677:1 gene:GSPATT00019939001 transcript:CAK86246 MIERGQTVYTNFYQIQINPNSHIYRYQLSFQPFLSPEQQIAKWREIFKVAKAGLQENLKV FITNNQILYSPVRAQTMGLLLGVFEEEGTSHSISIEEKAVLKPGEPEYTGLIGRFFKMLL KQQKLLQIGRKYFNTKNLINFDQFGLKVLPGVSCSLIKQEEQGKYYINIDSSFKMLRSTT MYEELRNSRDFSQLEGAIIMTVYNYKFYKVNKISREMNPKSEFENLKGEKMSYMQYYQDK YKIAIKDVTQPLIEVLEKSRKKQEEKIIYLIPELCVMTGLSNEMRNNFQTMKQLSTVTKP RGVDRVRQADQFIQCFHNKESEELIKKWNIQLEPKCLQIQSSKIKPGNIMMGNNTSINIE TGNLDRDTQTAMLRGVGLENWGILYSDRDSRQAEDFMSCLRESIEYCKFQCKAPRTFVLH SNRIEDWIKQIDQIVQQSQGPQKVTLLLLILNGPKKSAPLYTDIKRYLINDCPIASQVIL SSTLNQPKGKVKTICNKLLVQICAKVGGTPWGVSELPFTDQPTMICGMDVYHSTGKAKKS MLSFVSTEDEFFSKYMTQSVEMETGVEFSFSLCPVLVKSLQSFCGDRNGPLPSRIIIFRD GVSNSQAKTVIETEVAQFRQAIEQVKTEKNSDKPIKLIVLSVNKKVGAKFYAGERNLDNP PQGTLIDTEISNGKDDYYLISQRTTQGTVQPTHYHVLVNDLFDEPNILKKLQALSYKLCY MYYNFSGAIKIPAPIQYAHVCSNFIGDRFDPRKPQSLIKPNPILNQRRSLFFI >CAK86247 pep:novel supercontig:GCA_000165425.1:CT868563:347716:348801:-1 gene:GSPATT00019940001 transcript:CAK86247 MLRIFSKCRFSTQNFYQLLGVRKEATNEEIKKQFHILAKQHHPDSPNAKESDQELFKSIV AAYNTLSDENERKKYDRTLMEQQQQSSGRQQNQETRTQYSQQQSQQQQRPINPNAQYYYD FRRRTQDFEEKDFQRQRNKYIEDNPLFHSMRRSPELYVVSLVLFVIMWRWNSQMIEERTQ SRYQSFPEDPEFIREKERDYKKRYGHSPYPDRQILYKVTETECKNIPIDILDEMDESGRY NLAPLCYERLDRFRSEQGAQVIQAGQSSQDTVNKYLKLSKKAQIKKQIVDEEDDEDEDEE DVSKLYQQAKIFRRVKGVEGNHLNQQNVQKLYEQQQQQQQQQQQQ >CAK86248 pep:novel supercontig:GCA_000165425.1:CT868563:349215:349532:-1 gene:GSPATT00019941001 transcript:CAK86248 MSYFFLLEDKQNEIIVGTLVFISICLFILLWFSKQSAKQRSIYEESYIKQSLLEFESNKQ QLTKYHIEKLCENPKFKEWQSQPQNI >CAK86249 pep:novel supercontig:GCA_000165425.1:CT868563:350818:350982:-1 gene:GSPATT00019942001 transcript:CAK86249 MCPFLNAVRILILWFIIMALFVKKNQGKRMAELTWTCLDKFGTILYNFHQRCII >CAK86250 pep:novel supercontig:GCA_000165425.1:CT868563:351272:353973:1 gene:GSPATT00019943001 transcript:CAK86250 MKQHANNYFVMKIAKPISQVSTNSAVTRSNELKPASYLTFTKSKHRRKNETAIKLPELQP SSVRAKTEQDQTALNEFKRSLVIISRKPSATFGSQRLKTSPSIQIWEPEQISQPPVEQVQ VITTKFYDVLCEELLLNKKQSQIKEKRKILFKTRLNEYDKFQEKSSRMVRKIIQLLKTHR EGNEEKEMKSRTIIETKMAKSSLFFHAQTQCLPEQHQFNQKELLFQQQLSVIPKRHTKYS AQLTLTQQAESLKPMKSLIEEIKEKQQDPIRQASICLVSPKHPINNRKKIKLILECAEEQ KFSKFEFFVPDYMEQDQVVQLQQQIDQVESKDTKKSNIYSLVSKNMFSKKTNQVQNIGES TLEEFNTDKATQFTRYYLQNYTLKCINQKKIKDRKDAESLLNILHQDSKQEQQIFVSKPL LDCSIIKDLQTTQFQEINSLIYRCIEPYDSQDSSMISDNSFLSDKSLIDQSTDQGIKLKF LNSTKLINPNIFKDKFIKFIISTISDESKNSLLHQEIMAFANHIEELIHIDYDLPQQPHL EALNRLFLKINTTDRKKFLKSFFKKFEIICIGLFEDNFKHHLNKPEKVFVSYCFQQQGNS LTDNTSIKYSNMLKSKHHRETTTETVPKQQTNLMILSLPPATIKSRSQSPSNFSEPRTQK KGLQNQQVLLKNVQRKQSQKFLQIQTSSKFQLQQQTSKVQQVSLGQDKEQSQIKKVKLTQ IQNNLKQSSMDPQSLLYRSMMIKKTKNDDRSLYERIFLMVEEHRLLDLKEILKLEASIDV NYQNDEGDTMLISASKCGAVLIVEFLLKNRADASIQNYNGQTAMDVALKNYQFQTADIIF KSLYLDNNNV >CAK86251 pep:novel supercontig:GCA_000165425.1:CT868563:353998:355823:-1 gene:GSPATT00019944001 transcript:CAK86251 MKNKIVSLFIKREHLILMSKMQLNDQNELILEIDQGNQKVENGILKTERWPQSDLDYFQN SFVQIVNLTFAYYKMYFPVNSALQIRDHLKFTQSLKQINFGGITLEEVFKQQRLRLVTSL STRLSEEPLQPKLFNCKLDFDYISLLQSKYFNQRNPDYQQPYQILNLKHFEMKMNTRPFR EISISSIHDVPQFQLINPQLIQPIINYDTLSSTFEYKFNKLMQRNLSIQQTIKTYYQDVQ LFEENYDQNNTLKNLFKLQIQKLRECNFQENQTKTIKQQKLPPNLSQINIESDKISLLNS LNSNDSERNKSFQQQLKRLNKNDSFCEHEKLRAADRQQKLKNRIRNLNTLIYNQVNDIRK TEIIPEQQDDSHNFKHSKSKIVKDQQNLRSLTQYTIEDSFKADINLQQSYHAQKKPILKQ VDNKFKEDEKQSQIVDKLQNSIEIQGQRKNYKLRNSQYAPENRKFEDGSCSNNTEHVKQS NYSIKKLNNKSIERLTLKPIDYFQVCSGDKKLQQNEQFTFPKKQKRYQTQEKEELALNDI LKLKKQSNQSVRNALLLLTCARSEQLLTSLIASGTIKHQQYQSFLQPIKIYSKAKYLTPK >CAK86252 pep:novel supercontig:GCA_000165425.1:CT868563:357230:357566:1 gene:GSPATT00019945001 transcript:CAK86252 MDPRDFLYIKYTKFINEDTIIEVSKSFENQDFQLYVQTTKSATRAILLLSGNLITQVEPN KIEILTFSECNMKLKLKPAMTKKASKNEIKKLIQRYQDHFNYVQ >CAK86253 pep:novel supercontig:GCA_000165425.1:CT868563:357649:358317:1 gene:GSPATT00019946001 transcript:CAK86253 MLRKILYHEIILISKSQIFIFIIITQLNFYSLNELGLSIHIRIGLLQSRFNQSVQASQNK QKITILFNCQTNHVFLSQQKRSQKNYRIKDTSVQQLTQTIQKNMNKENYNSRQCNVALPK HTRNPTDLNLMRFDTSPNSQIEISNNSRGNNSQQDNQRKLSFNTPNQTIRTSLPPVNNSE KYRKLALSMKELIQKQKYSYQIGDYEELEVIFEKLDLLCDQL >CAK86254 pep:novel supercontig:GCA_000165425.1:CT868563:358356:362482:1 gene:GSPATT00019947001 transcript:CAK86254 MSNAQFAQKLLRYEGRLYSQNLEMQIQTLQEVKQEFNGQYNHQSLMNMLAKYFSYTSSLV QRIKIIEIIQQKFPILVNQVEDWKQIVEYLQQECFSSNHQVKYDLIFKFRMLSLHALNSI VPVLKEQYSTFHIGIFDIFINASYQKELYIASLTLLNTVRLHHSYSFMNKIAQLPEIKIF KTKKLTILLKCTLMARAQFCLFEQPISELLAIVRQQIISVPMSKEVLHLLYNYLKIASHF KDQESFILKILTSEETINCIGQCSEKIQELFRLSYKHYKLEFKTVYIIYGDQYSKKNIIE SILQYDNLYNYTQILSTEDLISFQDLLFYSYDFACNEIVKTVSVQTEQKLIQVLIKLDHL IGQLFEKQINLSKKPFSSSQKCLNKLLLLSVQLLSAQITFTEFKGTNSLTFILSLLIKNQ NTYLMLQSIKLLLSINQVEQATYLASQINSQSPYTQVFLSYLKSLQNSEEFTYWNDFQIN STQHLIRQEIYDYYQEFDKCLQEIQEIEIDQAMAMELFQKITYLLNKTTILSAKIEFFSN RAYAEDATFFQFIILDKINLCLQRNYLDLEIESLNYENSNLIIQKLIEYNKSFFIKKFLP NLRKDKLKEFIKQMRNSIYNYPKILLQLIQTPNLEIQCFQEDQQGSHTLLRFSAHLISKE KKANCKLFLIYSINNFQEIQVEMIMKEQSSLLKIVVEGNSTIAYQLICKYQGILVLQTEV QNMTINCNFLFLFLSKVFQNILIIKHLLNKLIEYRASLQYFSIYRSILVATNFKISVNVK LDKYLEFEALQNLVVLFNKLQNQRLMSNAAEKISDLFNVSLTELETNIVASAVKFNAQPQ RLLDELQQSVMDFKSKFYESLHNLLQFIAQFNNGKDILKITQQVETHITKFKQQQLLIQM KVVLNSKLKALLETFCGENQQKFEVQNQIKHLKDSLFKIETTLMEQQQLTSTPQQHFITP YPKKNFITASSQDILTPNLSDEAEMRGRGFFITPENRQQQPRQFDHSQQQFSSNESYSVQ QNKTLNSFDKEKSEDKTDLQSPFFGLQQGNTQQPKLFQNETMNDYLQRVPLDEGPQESQL LDESTQNFHQEQITKTIQQLQQQQQQQQQQQQQQQQQQQQQQQQSQQQQQQLQQQQQLQP QQSNKNQQKVQSQPQKQQTQWQNLQLQQTFQHLDSLQTTRTEQLETQARVSTPKDNQAQT RNQSVEPNIRDISQSPNGKRLIQTSKSKEPFSRCRSSLETTNLLQKLNSVEASKSSNKLN KSKSNKENQSQPVVKCSKPSKPQITVSKQKNQIATKPQLQINLVKKQKSN >CAK86255 pep:novel supercontig:GCA_000165425.1:CT868563:363048:364465:1 gene:GSPATT00019948001 transcript:CAK86255 MLPNLIKPDIKPRSFSLNVDQYWLKHKAKRNNSHYSYKIVEKLHSQPTSNGNINLVPQKE ISGSLIIIIANVEAFLKEIASNHKYQQFLKFKDFLNDKQVTQFFHYISNFLINLQCSIFE HHYCTFSQNNKAIDIVTADSKTVYEVITEFLHRHQKNHENIYIYGGDFQLIHKSEFRQII YISGTRIEKQINKDVKMNDKIFRFKTQIQCQLNDTNSDDVLIDHRVYQIGMHKKNKEIKQ YLQQQNNEKREFVTKQGKSKELQIKKISSSQKEKKIDLAQELPQICDQIYEKFKRLFHIE YIIVDRDVFSQFLLLCELSIYYSKNLTLQQQISRLNDDNEKFRLLINNVLYFEFKILKSM NLNLQKLQNSVTQTLLKFINQDTKCSDALNKIYWNAFLRFKTFYIDQKWTSSDFRYFLLL LNEESPLTLQIYLQLPLRELSKTIFSLNEDLLQQIVWQILYTKQ >CAK86256 pep:novel supercontig:GCA_000165425.1:CT868563:364561:364878:1 gene:GSPATT00019949001 transcript:CAK86256 MISSSPGFKLTAMTPLSVHHKIEIIEDEPYDLELDHSKISFNENIVVCTYKPSQSVTMIK KTILKLKGQPNTDWINPCLGTEEMKRQISILRDSQSSTRISSFFF >CAK86257 pep:novel supercontig:GCA_000165425.1:CT868563:365785:366220:-1 gene:GSPATT00019950001 transcript:CAK86257 MPKFYCEYCGIYLTHSSPSGRKQHSLGKKHISAREDYYRAVMSDLVDQLKASFQKNIIPT FISKDTIKRLLITHSSVFQGLLTPAFVETIDSLGLSGTRTQYMPQPTLILPPQGAYQPMN YNMMPPGMQMRY >CAK86258 pep:novel supercontig:GCA_000165425.1:CT868563:366304:367069:1 gene:GSPATT00019951001 transcript:CAK86258 MQGARFVETNLVQKMLRNQNYDFHKKKLDEIQTDKKGRFAFKDLPVLSKTQQIKAQSSRF QKSEQRKRIDEQNQYLSSHIIEIQEGKNSQFRSIWTVQNLREKAYADTSQWCENALGKRL HSPLRRKQQQKIDEENQELQKRLELTKQILLEKQQEQISKYEKLRDHMTRIKSKGPNSPM MNTHFPSVKSKSTTHLINKPFQSDSLIQNSKMNDLLKSEINISKISKIE >CAK86259 pep:novel supercontig:GCA_000165425.1:CT868563:367132:370468:1 gene:GSPATT00019952001 transcript:CAK86259 MSGFTHYKIETTEQRGDGYPSQRSIQQYGNTQIKNEEYRIQVTSPVTEQIVITDQSSFQK IIEAKEREITNLRESVKSLNNQIQDQLKELEIWKNKCRQLQSDKGFSRITTTGQQSNDWE LLQLKEEVNKLRSENNEYKFKLERNVSVVSNDYEVNQLKLQIKSLEEQLRSKSSVTQYTS FNNNSQEVNQLKDQIRVLTQENEDLIKAKNLLNQQFQQSQIQWQQSAFRSTQNQDSEQSR ALQNERDDLRRKVKVQEERIAELQQEVQRLNFNCDSYIQEIQQSQFRLSSKNQNQEIEGY LKRISALQHEVLVWQDRYKAKEVQELSHAQEQKVAQKDNQIQFLQERIKQYEGQMDQLRF EIDKQRKEFDGQRATYDSRRTDQGEVESLRRKIAEMESDIQILIADLQERDQRISITQNE YEEEIRILKNQGQVQYQTEINKLKEEREKYRLDYERLSNDYTNIQRQSEQYKSNSYEVDS LKQRIIELESQLRNASNQYQSLQGQYSQLQIQYQSSQQSQQSQFQLISIREDYQKLQSQF SQLQQENMSLKQQKQQIESNSYQYKNIQSDYQALQDRYNQSLNEIQQLNEQIRKYRNDYD SLNQNYIQYQRDSEIKFTQTSNKELDIMKSRISQYEQQIQQLRSQLSNQESQLEQQFKER LRVEMYQIEQKASSQSNMELQSLQNKYNQLKTQYDQLQFRYQEQSNQILVVKEKSTRESQ FEIQSLRDQLQQYKQSNMQLNQDISILKEQITKYRMDVEQSNQKYILIQRESEMNKQNIG EVEKLMARIRELDFECQQKNQQISKLQMDMRNQEIGIRNELEQQFISRLMIERQNLESKL STQSSAETQNLQYQLKSLQQELSQYKQRYSQLEQQFNQSKIEITQFRSQQSNVNLEQVKQ LQLRITELEHENQTLNVEIERQRNIRKEQENKIQVLITNITNYESKLHILEQENARLEAK TKKQIVIEKPVDQYIVNQLLGQPSSQIKTNIITTTTTNVQNVQGSGIVTRQSGMNQISQV PSTYNQYYDQHRLQSQNETKQSQFSQRMQSPQPQVSNYLSPDKNFQTQKITTTKSVKQAI MSSANQ >CAK86260 pep:novel supercontig:GCA_000165425.1:CT868563:370513:370980:-1 gene:GSPATT00019953001 transcript:CAK86260 MSSQINDLLKNETIYYRKSHLLKKPHNQYNKLFRRTVIQDSLNQPSHPINSLIRNEEVFA PYFKERNSLGKLLNKPCYQSNREIKNKQLVKPSLKIPTNQKICAYLHIDPPNTNRSQGQK TKTQSTTDSFYSFFTLHKYNSNFLLKHKFSEPLSQ >CAK86261 pep:novel supercontig:GCA_000165425.1:CT868563:370996:371872:-1 gene:GSPATT00019954001 transcript:CAK86261 MNRNFRGYQNMLEVYSASTFQKKNLNQGNKILLPASALQQVLHLKQQGPMIFRLQSTLDD KKYTYVGVLEFTAEEGTCVVPDWMLESMGFFDGCNIIISHEKKLDQGKLIRIQPHETAFI DLPDPRAILENHLRNFICLTEGETISINFHNTNYLIDIVKVEPTNNLKAVCINEADVEID FMKPLDFNDAPPNLVKKSSSLVQQEELQAQKQQTVFTGTGVRIDGKPLTTQMRKPSEDVK PVEPYNPRKHKLVNGLKQTEEAAQFIGSSIKLGNTGTQNKKNA >CAK86262 pep:novel supercontig:GCA_000165425.1:CT868563:371993:372924:-1 gene:GSPATT00019955001 transcript:CAK86262 MLFSQQQDYNPRDIYQPTSQSYGAGIFKPQNQQAYHNQQPRTRAERDYLKEVNSQQAIMQ SRQFDTPQKEDMKALLHSPAPNTTLFQQEQERFLKDFATHDKLQREYQNKQKQLQYESRR LANLEREGMIWQRNELYQQKDDLKRQYHQEQFTQGKRNLNGLAYNPLTLEYAQNEQGQAL KRQDEMTQVRQLVRAQNLDNRANCGYNLLTGENRKGVEDIVPDDLRGHYQNKLQERDNQL NIKHYALQQQLLSKQY >CAK86263 pep:novel supercontig:GCA_000165425.1:CT868563:373556:374329:-1 gene:GSPATT00019956001 transcript:CAK86263 MDQQYRTSLQFLYFYILNQGDSKMKKKQWQQQLDKEKKPVLLVTAHPDDEAMFFLPTITY LNDNNYEAHLICLSNGNANKIGKIREAELEKCCKYLSINKVTIINDEQLQDSMSVMWPIE KIQKIVEEYIAENNIKGVITFDNKGISGHLNHIACYKAISSMKRPEGLKVFALETTNILR KYSSILDFFVSSILNDNLMVNLNILKAWRSMQIHHSQFVWYRKLFVVFSRYAYINTLIKI >CAK86264 pep:novel supercontig:GCA_000165425.1:CT868563:374548:375287:-1 gene:GSPATT00019957001 transcript:CAK86264 MLSFQQQTLQPQNTTQNNLQFIYGEVFQMQQINETLKQHQNTTSAELEALKGKLEQNKTH LTQAIFQKTGQDKSKPNQVQNVGEFQGYVVQLEQSMGGQLKQIRDKNQLIIQELIKAHKL LEKYAISKRKLNKNSAKELGLIQDIEELRDRASIVFNRLEEHENKVQFSEEYTDLSKNPR IIDFNEQSIELIKELKKDYIASKITLDKSQHNIKSMLENLNDTNKQTK >CAK86265 pep:novel supercontig:GCA_000165425.1:CT868563:376060:377283:-1 gene:GSPATT00019958001 transcript:CAK86265 MDLFGLVQTAVDLAKAHEENGEEVKFFRLKLSSLQEQLQKLEQKDFQINVQLQGQIKKEL TESSQLLSKLPERNSYINTILSKLPFGSVYNDIKDKSQALDRLSQQLNNHLITSLALAQT STVEKQKNVTTHVEETQIDDDQIVQSNQSQGKIQLKLIAEDHIKQQFRMNIINTIEVIEL QFTNNESNELEVFKFGREYYRKLQMEVQQVQTISRDHATITCIRKQKQKFDSDNFEVPQK KIKIDKPTEQHQPQQQEIFYTCIDNSQQQEEAKPGDENNNYEYIFKLQNKSPNGIFIYRD EEQTKNNDEIILGTWTRIVNNKTIVLKSKDKIAILMKKPEYKQPLIAYEFIMLL >CAK86266 pep:novel supercontig:GCA_000165425.1:CT868563:377962:378858:1 gene:GSPATT00019959001 transcript:CAK86266 MDQQQFDSELQTYFLHIKHEIKQEHIEYLKKHPELNQILNDFTSQIILEKPDNVYQYAKE YFSFFNQEKDLKTCKPLLFSGASGVGKGTLLQMLFKQYPQQFVFSVSYTTRAPRPGEVHG QHYYFVSKEEFQKEIEKKAFLEYCEVHGNYYGTHLAQVQKVMKQGQVCVIEIDVQGAEKI SKSMPNQCNYIFINAPSNEELRKRLTGRGTETEEVIEKRMKNAEKEIEKAHSLGFYNEIL NDDVQKAFKKLIDLLKTFYADLKL >CAK86267 pep:novel supercontig:GCA_000165425.1:CT868563:379412:380447:-1 gene:GSPATT00019960001 transcript:CAK86267 MADKEATLRELGKKALAETEKLASIQRFGLFSSPVPLGMGDNSYDNKPRPPRNDQGKPIT SPSNIKITAPKQGKIKSSYFSLLGFTTIGDKYLDPERRIRIQELEEKRKYSSDDKKNFIP PSGYKEVIGSSYRHMADYEIPKGPNNHKGPDNRVHIGPKNITTNPSSKALDQFPKHEKDE YNRYQQFERERIFNEQKLLKDKQPFKSTIVTTDNFSSDKQVYGETNLPKPTQVKEFQPII MKHEAPFKPSNPTKSGETGCLGKYPEYKGDPLKPTQRRDFVKGKEPFKPNHLMDIVRPTP SISCFPYNLKRELNNRVF >CAK86268 pep:novel supercontig:GCA_000165425.1:CT868563:381143:381517:-1 gene:GSPATT00019961001 transcript:CAK86268 MAETQKRTAQEETLEKGPFGLLTITVSHNTQVLIELRNNRKLLGRVKAFDRHMNMILENV TEMWTEIPKGNKGKKAHATNKERFIPKMFLRGDSVIYILRNPK >CAK86269 pep:novel supercontig:GCA_000165425.1:CT868563:381667:382961:-1 gene:GSPATT00019962001 transcript:CAK86269 MGNLCDFMKSEKQEFQSSLNQQTTLQPIQEYSEELALKSSKTLDNSTSFMPEQTHKRVGL EDFIMLATVGKGAFGKVYKVKKKDNQKIYAIKCINKKLIFDSKLESNALLEKDVLKQSKH PFIVQLKYSFQTPTKLYLVMEYINGGEFFKILTKTKGLPESIVAFVAAEVVLALEYLNNQ LKVIYRDLKPENILLTTTGHVKLTDFGLATLRKDENVKNYTLAGTPEYLAPEIINKKGHS FEVDLWTLGILIYEMINGYPPFTVPDRNTQKILSLILQNQPAYTPIMSDEAVNLVQRLLK ANPKERIGAEMGYQEIKYHPFFAKIKWSELYNLNVKSPLKTFAEQNASRSEGFRMPQIQI QETPNPPQPNIYGMSYGGGDDTYNSKKLN >CAK86270 pep:novel supercontig:GCA_000165425.1:CT868563:384256:387172:1 gene:GSPATT00019963001 transcript:CAK86270 MKLVCRLRPQPNNTSQSTVNSKKDVRTNIFAGVLSNETPQTLVVSSRNAISQKLIFEYLK NDSDIMKQRQQIIENSDLFQFDQVFGEKANQQLIYDQVLATKVNNLITGSSGSLILLGGP ESGKKYTLKGEERGQEKGLAVFIVENILNLIESSKQLRGKGKLNVSISLVNNLETIDLVG SSRSQTVKFSQIKGASEFKKMLNQSLFQYKQYVKEHPETKSNHIFIKYLIEQDKQEVAQL HLVLFNEFRRVTQDAKDFQGKLKQLLVSQQDFQEKELDSKSLLQLYLSLRETKFNNITVL FCVSQVQQDHITAYMTLQFAEDLRQTIKPQTQRIALSQLENQSIKSKSQQGRENLTQQFG SKGSLEEKFDQPSRIVRPGGSDYLIRFGSDQNLRPGSDQTVLHQSKIYSTIDRQPSRQEA NFSKQFQELKELLKEKEVMEDCKVQRFEKELKNLYEENSRLQSKVYQQEDSIRQRDLLIQ QLEQHMDDNKKQMEVEHQMFTQAKQKENIYEQNQEELNEAVAQLNQQLRYCNDESQLQKQ KIRVLENDIEMLKEQEFKNVKHYEKREDEFMGLIQQEQERNKQLYQELDYFAIELKNREQ QLSASDENIKTLNKVIEEQSKRIEEEKQKNKELCESVNSHKQKQEEAVRDINQIEQRFTR LKAKLETENQQLKQDKEKYLQKYKEKLKKYKSQLNQFEQENGQMKMERVKLQTENDQLYG ISKQLETQLGRVHSETTERIGGSYDAYEQDKIRQQNFQLSSQLKQADYEIKQLSLQLNNA IQQLEQTNVEYDHVLQENQKLQKLLDDKQSEAKKNEFMIEKVVELSDKQLDDLESKFNKL TAQINSLQHEKKSLQSENQNLKNQLEQMDGNDLVLEKRIVKLRKENKDLSNQIKQLNQNM KEMIVEKHSEMRNTSLRQSRYSNKLLSQNQSYRSQRLMDYEDDDVI >CAK86271 pep:novel supercontig:GCA_000165425.1:CT868563:387178:387948:-1 gene:GSPATT00019964001 transcript:CAK86271 MINDNRNPAFFQQPNQNQYNIILPNTNQPVIGQRQAYPMQPPTQPIVQQPLQFQPQFPLP PQVNYVPQTIQTKPNVLPTVYQEKPTQVINMDEIEAPWRLKCATLERQILELQIQLRKGP GPTEPDAIEVIDDTRLRELESKKAQLQQMIQDRDREISELENQIHQQQESIEIQIQERTV HYSNEIETWQKRFQQLERDYSQSQRQLQDLQEEQKRLEKQNQDVRTSQQRVGQSRNY >CAK86272 pep:novel supercontig:GCA_000165425.1:CT868563:388027:388865:-1 gene:GSPATT00019965001 transcript:CAK86272 MFQNNIDENEASLLFKFILIGDIAVGKTSLLKQFVEKRFCDDYNLTIGVEFNIKYIQVND HVIKLQMWDTSGQESFKSVTRLYYRAAAGAIMVYDITRRETFENIRNWHREALDNGNSRM KFLLIGNKTDLQSHREVESYEAAQYAKENECLYTECSALQGSNVENAFMELAHQIYQMAM EDPKLVNEQYGIKYVNNANSSMSTSKIVSQQAITNKYNQQKVIKQQHQQMNNSNSEEFIE YYGEQNQTNQKKAQCNNCCADI >CAK86273 pep:novel supercontig:GCA_000165425.1:CT868563:388909:390911:-1 gene:GSPATT00019966001 transcript:CAK86273 MSTQLEQCQFSESIQKLELFISCRQLDDLDTVSVSDPFVVLYLLNSQKKWLKIGQTELIW NNLNPNFATSFQIEYQFEAQQHLKLEVHHFVSQTQSKIIGIAETTVAEIAGSKDQLLMAD LFNSQKKKSGKIIVKADQVKQCNDEVEITVSGQNLPDTRFWFWHGANPFLRFYRLRKDDT NPVLVYESEFIKDSSNPTWKPISCRAQKLCNGDYQMPIKVELWDYRTSGKHLYLGETSFC IEELRDAFLQNKPFLKEFRNKQKNNSPAGTINFDNFILKQKHTFLDYRQGGQQLNLILAI DFTASNGNPKEKNSLHYMTENGNPSQYLQAITSVVEILINYDYDKKVPVYGFGCKPKFNV LNTNQTLHIFPLNDNPDDPEVYGLDGIVQVYKNAVQKMQLDGPTYIHPVLAKAMEMAQEV KDKGSENYLILMILTDGQTDDLQASIDDVVASSHLPLSIIIVGIGNADFKKMNILDNDDK SMVNSKGFKAIRDLVQFVPFNEFKNDPALLSKEVLAELPDQLVEYMELMKIPPKPPSYQK NNIQMSQPMQNVFPQLQVELTDQQQQQYKSMIQDVQANQQSVKFGIATQAFGQGLAQQGF LNNFVKQAPSSDPNPQQ >CAK86274 pep:novel supercontig:GCA_000165425.1:CT868563:391359:392486:1 gene:GSPATT00019967001 transcript:CAK86274 MAILLTLTILSIAFSQKKTAICDIEQYFEFEQNENILDHTKINQTDIMLTNSNIYLLDEN LMVLNSIRTPDIQDYECNRVTTHPLHLIFLLACQSQQQGVNPILFAYKANGLNNYEQFGD AIYLPSIKEKFEKMTIVGNSLLIPLSDKILTFTTVISNTTWYLKTTSYTIDAQFLNVSTL NITGFDIYSYAKDFQTNFRILISDFESGVYWIEAILKSNNIVPYNSGKFNLKNFYILNTA RFRSVVILNATDSSTLFVLQTYVDGSFMFENLFTNGQIYFYSTLNKYKDWNPISSIRNNQ NAILIPYQNYQKVVVINLFNIRSLKENELMVEPNDLLISASIDDLILYFISENRLVFRSD VDALTRCTLMNYQEE >CAK86275 pep:novel supercontig:GCA_000165425.1:CT868563:392797:395804:1 gene:GSPATT00019968001 transcript:CAK86275 MQILKKFTNTKSTKIGLIVALGLSGIALSYHFYDKNNRCCRSAIQTPSLPQNPIENQNEF GQNRFLTQAGAIQRWRQIKEGSISYKVDLLLKRGESYSGLVALDFEVIDNSKDLYVDFKG SKVVSLYVNGNKINDLDWNGLFIRVPKEFLNTSQKNRVNIQFDQNYAKDGCGLHGFIDKD GKQYLYSQCESYFTNRFFPCMDQPDLKAKLRFTAVCPKEWVVISNENADQKEQFNVAQAT NTITAYHPQEVVQSQLQNFENSDSYNFWVFGETMTLPTYLFALVAGEYWSIKFQGEEGDT PQTIYCRESLKEHMMGLKDFIFEVTKKSMKFYENFFGVKYQFNKYDSVFVNEYNWGAMEN PGCVTFNDVYVFKEKKPATSYTQFANTIAHEMAHHWFGDFVTMKWWNDLWLNESYADFIS HFCLQNIQIESIKLSSIPVMFNQRKGWGYREDQMLTTHPIAGEVINTEVAENIFDGITYS KGASVMKQLLCIMGAAKFGEACGNYFRKFGWKNAVLQDLIDHLQEKFDNPEFTLSYWKQQ WIETAGMNEIEPQWDQTDRTHQAKLTIFQRPALSQFPALRIHKIKIGLFHDNGLDSIDAV LQATEENVITYDGSKGYRAVLLNFEDQSFVKVLLDQESTKYFSQNLHAVQDILTRTLIYR ALFDSVRDGKICSEEYVDFLLAQLPRETSDEILNTQLMFLQGAIGSMTPRKFKKILGKRV FSFLIAELVKISGDAQLENRLILLRNNLVAFAYDNSCVDELVDWFQGQNNNQLQQIECTK DNKWAIVKLVYGSKKYDEQPELREQLLQKMLELDNSDAATRAQLKCQALKANEQERAALW QRFVDPENKESVKLIAESMAGFNNERRYLSLEPYQEKFFEVIVQIFQTRSNDFSKTFFDY LFPITDDLASLCNKIEKLRTTVPENLITLKMQVDKSLDSIKRQVKQFECFCNQAKLATQQ VLENDV >CAK86276 pep:novel supercontig:GCA_000165425.1:CT868563:395842:396734:1 gene:GSPATT00019969001 transcript:CAK86276 MNTSFEQINKSPLNHSVSKQLYSFPKANRFKEFKDTACPNIYTLPSMMSKRGAGIGYGQK SDLISESITPGPNNYQIRTTLNTSNGWTMPVGRDKSNKYEGIFLGLIQKTPGPGQYEFHE SKSPIKYSMRMRTESHKEKDRKPGPGEYNLPEALTENGKYQISKFRDSGAIILSPPKALS SRFSPAHQRTPGPGQYQHKGDIDPQGLYYCSKFADTKSTVFTKAKRELTKIRMESPGPGA YKLPSEFGFYEKSIK >CAK86277 pep:novel supercontig:GCA_000165425.1:CT868563:396972:398581:-1 gene:GSPATT00019970001 transcript:CAK86277 MLDRFNKVISYGIVVIAYIKLIKMKNSIGQRNIIQNYAVDTSFYRVKQNQSILTCRHSKL PSFNNPIPISTPTSPKEQPKMWKTNLCFQLDGSFSQTRKRPDEFSSNKKSASPQLRQLPR KSFQLQLDQQPKLFQAPKSQEKRSFRNSIYSRPNSRLIEDQYSLRLPTTDAEIGRSNFKF HYVLGKGGFGKVWRVEMIKSQKLFAMKEMSKAKVLAKNSANSVMNERNLLSQLKHPFIAN IHYAFQDRENLYLVMDLLTGGDLRFHIGKMRRFSEQHTKFLIASMLLALEYLHRNGIIHR DIKPENIVLDKKGYPRLTDFGIARIVKPENSQETSGTPGYMAPEVMFRQNHSFGVDHFAL GVMAYEFMMGKRPYLGKSRRDIRDAIIAKQVSLKKQDVPPNWSWEAADFINQLLQRKPQA RLGYSGIEEIQTHPWFSGFPWKGLHEKQLPSPFKLMKQSDEDFIREISSDHDSQDELIKE KSLLLRQEITQNQFQNYTYFKEQQVTIKRF >CAK86278 pep:novel supercontig:GCA_000165425.1:CT868563:398998:400356:-1 gene:GSPATT00019971001 transcript:CAK86278 MQNDCLKSNPIDMFTFHVHEMWSQYTNPRKYKVSHFNLNNSQIQNKFRIQCPKCRKLTHT YDIKNLLIENNELYLITDSSEMEKEVLNESQFSLQKNQQDAILGRRQSNAYQFQEVSEPN NKNASKIGEMLSVQANHNNPQNAFNHSQFNKKKPNLGSERISPSKQMSKPTQSNHQKKQS YQIPQQEIAIECKAKTQANTSNIQQNKINDFFKPNSNTPVATPTTNASQLLFQQTENKKP VQPQLVQQQQQQQQQLQYQQQLQQQSKTDKIFENKQYFPEEKKLSTPKYSEIIKEKENQL LKDIAKDSSNTSKEISDNSRHKRSSTGLIQETEEQASVQIIQSYFKMVQKNVLKLEKDVI AAVNNKQVDPQSIYQKLKILTTRIGDNEGELIQSIKVMQKLHQEIIGLSTPSQHRKTSSH HNTSQQIHQFKQLCEKY >CAK86279 pep:novel supercontig:GCA_000165425.1:CT868563:400385:401602:-1 gene:GSPATT00019972001 transcript:CAK86279 MYKQNDQLKGWDIEQSMDSDDGERKQVTQVSSITKTSPSDRLCLKKVYLKSPQLSPLRVH PSIHQTTPLRNSIQQKFVFSNQLINKKKETSKYNIEMEQSQRVFIGNAKVQQPVNIAHLR IKLNGIPGQPQHIKAYTQNQLQAIMHLKRRNLYLKSVLKKVCHESDERYSHHQNQEQQEI FLGYRWIDDDTQKLQSQNNSMNLQYMFGILQIAITQEQQYETYKGEAAIVRLINFFFIYF FDQRYQINYLFEMVKKEKGKPNPLGEVSRDYTINLHKGVHKETFKRKAPRAVSYIVNFAR KNMLTEDVRIDPSLNEAVWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQHVPVDTF DGLKTEITKSQ >CAK86280 pep:novel supercontig:GCA_000165425.1:CT868563:401709:403097:1 gene:GSPATT00019973001 transcript:CAK86280 MTTNKTFSMVLAMTLNGGIGYQNRLPWKLKEDLQRFKKITTGGIVIMGRKTFESMNSKPL PNRVNVVISKNMKSSNEVQVFPRIEDALQFYNTSHQKLYLIGGKRIFEEGLATDKCSDVH LTRIGVETKCDVYLNKNIFSTFQVNKTSQTKSENGINYDYQHLINKNSHEQSYIDEEHQE NQYLDMITKIMKEGVSKDDRTGVGTMSIFGQTMRFNLAQSFPLLTTKKVFFRGVVEELLW FLRGNTNGKLLLDKGVKIWEGNGTREYLDTIGLQHRQEHDLGPVYGFQWRHFGAKYKDCQ TDYSNQGVDQVKEIIQLLKNNPDSRRIILSAWNPIDLKQMALPPCHVMSQFFVANGKLSC MMYQRSCDFGLGIPFNIASYALLTYMLAKECNLNLGEFVHVLGDTHIYSNHVEALKKQIE RVPYPFPLLKIKGNKSLFDYTYEDFELVGYNAHDKIEMKMAV >CAK86281 pep:novel supercontig:GCA_000165425.1:CT868563:403181:404974:1 gene:GSPATT00019974001 transcript:CAK86281 MQINQLPSNCKDRLADLEARILKKPKVEILEAFQRGDSFEVFSSEKERKLPTKQLSLQGT PRKSSSDQTLFRQLQITQFTKNMQRKQNYNNNFDLNVDELQNAEQTLKEKLNAIAIQDIR IKELEARVTELNQELQEQKEQQFKSENAIRQFVIEVDQYERMHMIMEIEKNKEKLGEYSY QREKTQIQEVWVDGKEIKQIKEKIKLIENQKEEIENKKKQFQFQSSSQYLKYVLLSKEQS LLQEQLSRLENERQIFIKQLRRQYDEEHARFKKINEYRTIGERYVLLQLLGRGGFSEVYK GYDLKELKYVACKIHQLNPDWSVNSKSNYVKHATREYKVHRELQHPNIVKLYDSVEIDMN AFCTVLEYCDGCDLSIYIKRYKQFQEKEAKLIIQQVLNAIKYIHQSNIIHYDIKPQNILF HQNEIKLSDFGLCKVVDNDKSKMELTSQGVGTYWYLPPECFHTGDQPPNISNKVDIWSLG VIFYEMLYGVKPFGHGYSQETILKEKIILKSECVNFPAKPVISNECKDFIKGCLNYSQAE RFDIVQACNHQYMQQRK >CAK86282 pep:novel supercontig:GCA_000165425.1:CT868563:404997:406800:-1 gene:GSPATT00019975001 transcript:CAK86282 MNTTLSDSLPSLPNDFQGTENGEGQLSDQQKVKLGNLGMMTPMSNTLTYDFEQITLDTSP KESVVNHKNYENIDTINEQEENKCKLQKYQSFKVAKDQIRKSPKHKTYQPQQTVPQLELG EQNLETHTDQQSPDTSSMNAQTLKFIKELWNSEKSETEYFKEIVDQKIPIVDYKIPTLNI YTPLMDCNSPMYEPDIEMDLYITSLVDSLWGNQIVSRKLQKMLETGSNDQKELIVQKLER VSPQVEKDIFGNYVVQKIFECTNNKLQQRMFTKLKPHFYDLSKNAFGCRVMQKLIEYTYN RNDLQILVLQQLQSNMRSLVYDLNGNYVIFKMLETFDKFKMEFMIPIVEESFNYMGQQIY GCKIIHKIIQQYSQQQITKIIRLSVQNYNILSQTEYGNYVLQHILQYWIPSQEKFHLVQL VLQQFFQLSINKYASNTVERALEAIGKQELVSIMKWLLCRSPNQYTSNFVVLSNHQYANY VIKKFLVLSDHNVLKYISDHLYQNQSEFTAIKSTVHGQRINSFLDKQIQNWP >CAK86283 pep:novel supercontig:GCA_000165425.1:CT868563:407352:408056:1 gene:GSPATT00019976001 transcript:CAK86283 MHYRSQNEYFKFFDIKTQISPRQIKIETLPSTNRKIQNFSLTNRSQQKDQQLIYEIEGNS KINRSTYNHFLNSKSRRPTRHLQKNGLFQHGILKQQQKPLERLHSQSYRQTQSQNKNRSQ RDIFLNTKFFLSPKHLHKKIKQETFHQNYQIEKQIDLPPKEKIPYLQISQTDIMPLVNHN ICYNNKVGMKNKYKIISYAKKDDPQLISSNRDNENIDDDNSEFYLVLFRSKKEL >CAK86284 pep:novel supercontig:GCA_000165425.1:CT868563:408119:409457:1 gene:GSPATT00019977001 transcript:CAK86284 MKLQPKYPNFYKLLYCTTIIMLCYTFQNAGKSISYNIYNSQGLTFLYSMQFTINYFISPI ALLFMDAIISRLSMSTILYLGSFNAFAFLLGAFVVSSCQQADLFYCNVSTLTLAIAIETL LGGVASCLLFVGYPVYINSISNPQNKSYYFGIGYSIYSISSFTGNTIGYFTSTKIEPFYY YSILIVGLAITQLFLFRVEDLRDRTQIVKQSFSNMFKQQIKQLKNAFNNKDYQAFIPFLI FCSMLQGCYFTFLPALLKHVQGGITINEHTFLLYLIGGLGMMLGGIFAGKLSQKANLFIV GWCQVFFAILFVILAMTSYYWKILNTTYTTGFFCGIVFTGTESLAAMITGVLVSDKSYYF VANDILICLGIALTSSISLLLSKSNLQAMVYVVMMILMLNIVSLIYAQRIFKTPNKNSKV QLLN >CAK86285 pep:novel supercontig:GCA_000165425.1:CT868563:409514:414217:1 gene:GSPATT00019978001 transcript:CAK86285 MHTLRTSLLLCMLIYINYAGSEALSLLNQMKPKFKEESDLGTEDLIYKKVTEQLKQQQPH FDTDEEETKNEVSFREFYKNVRSGKHELVDDEEINIIEPEHKEIQIQSYLQELSDGLEPQ TETIAETEIQTDPEKLGKFKKYFIKEDENKQQVNIETNIENSNPIEKKMTLREKLEMKKK QAQQPPVLAAIQIKQEVDNQEQVADQNVGKTTLIKKIEEFKKMKQSKDTKETQQETQQEN QQEQTIKSVPENPLRKLLNKKAEERKEQELNQQIEQNKLQIQTQEAEIELKQIDAEDIPF DQNTDNEQNIDNQSEQKESSENNDETINQQEQDNDDTLNQQEQYNDDTIYQQDQDNVESQ QENKIQDENDNDASIQQQQQIESDINPNIDPEIQEDQTIIDDESQRFQQDSEGITNTDYD QQQQHIANEKQINSNEDQSQVITSENYEQEIVNNNDQDQQMVNDNDYEIVNNNDHKVSNN FDQNIDNNNDQEIVNNNDQEQQQYNNDQEQQYSNDQDYQNNDLTNQEIQQENQEFEAQEQ QQSQYKLQQQEIQTDNQQQNINDDDYNQDQQQNQNVDDYNYEEQQNMDQDQNLENQQESS NINTSKQGENNDIINEEKVENTLSEGFVMENNVENSDYLEDVQDAEIIQKKREVEEMIEE EERIKQKLELKELDDEKKLNELEEQEKLLINEKEQEQKEIEEVQKQIDQDYSQLKDIEKG GKKQQDYQENELKQEDNQEVEPLQEALQEDLNISTDIPLENQKDQQKTQNQLEQNTKQEE KVEPLESKPVDGSIQLQDETLENGFNIDEYQRLQHQSEDKSEQDTTNYSQSSELYHKNEY ITQIKNDQQDQVIQQSEPTLSIDKQTNFKDQDVQYQPKIQITQEISTGDNQDLTQSNQEQ EGLNTTKDNQLIEEKNPNSDQQENTYLDNQIESTNGSDISNESTSLESKIDVSNETHNLS ENQQDQQSLQQQENQIQDDDTPSLIPVDESENLNPSYKFDLKLDNEENEIVQLDDSNSFV DDEIKNAAQEQQIDPTNTQLLPNPDLLAQNQFQDTSNVLSANKLHSNSQIQQSMQIDYKI KIENIDVDIKEEQQIQENILINQNRQQASFLQVEKTDIDQKENQFEILISQKKEQGSEEK KKKRRKQKRRKQKQRKLQEEQFQQILQQKQQQEILKQQQQLIELQNQQLLNKQKYKMATK QNNQVIIESKPIKRDNFIHLQKQQSQIQLINQQIDTEFSFQQIISKVEKEERQGQKQLRS NTKHVKNADLNFFQLTEKQQQNKISLQSQDLFEAIKQEIKECDLNNYTINLCQLCQSDVV YEINDDNWYLIGIAYGLKRHFQNLLNTVQYHFKNSKIYTSFNKEQQVCLTLGDQFEIENL EDILNYYNNQTLPKNSQFLRIPQKIKANFAQMKVDLNQHKFMNFSLNAAIKIQENYNGFT VSEQYIKISNDFDYSQQGNIFYPGQSIPYKLIVQSNGIENMQLDLNPQIYEKVLESFLAK NYLQQIQVTYRDNLNSNFVVVDNEDLLEIEITIQK >CAK86286 pep:novel supercontig:GCA_000165425.1:CT868563:414649:416797:-1 gene:GSPATT00019979001 transcript:CAK86286 MINFGDQVGHKLFCCNSLVYTYSGEDLLILEKDTMKLNSRINVGASIQTFAINGDIFILT HNSHFLVYSVDGKQLQSKHHKGKQFQHACFHNDHFIAYDGNNIVRVGTTCSKIYDAQSFT NINCIQYSAYKEQLIIADDKQIHVIANEDRIIREFGLAVTIMQLDDDQDLLACGLNNGQL ILLQFSTGIIVQQDHWHAHQVNALLFAGASLYSAGQEGVIVQWHLRVARKAFFPRQGGEI VAMCSNDDNLIINIKGINQIKQISLMGQNKLPYFQGIHHVYPNNFITYQNKLITQGANGT LQVIYPTQGSLLNIIPIQQRNYVSNIEDSYKRDRTTINQFAMLDRFLIVVLGSDLKQTLS IFENVNNFEFNLVTTTDYDIEGMDIGHNSIITWGNGEIRIWRQIKQTKGQFNWNCVFRGH GYKGRNVLTAYIKDETTIVIVTSISILHINTKNNNIIKELPLDQTTPEVAHIYQSGALVC NGGTITFYQDTKKQIITQFGKAKSISKLNDHFIAIQYENEQALIVDIKQSKIQHGIASQK LISATINGRTLLYSYINQNNQYKYLTVLSTERKASLMMDEQETAHFEQAEQPEKPIIEDA APLDSNVYRRLQLAKQARQIKELNIKEFLIPQSQMLPSLTFLADRVIDVLKQDLSEQQKH QSYGIDLNQEEQESNQQKTSIQLHQLKKLFK >CAK86287 pep:novel supercontig:GCA_000165425.1:CT868563:416908:418170:-1 gene:GSPATT00019980001 transcript:CAK86287 MKIDATTPRQYILRLNHHPPDYRLQIQPFTAKKQTYQLDLTNKSISDSIKKKVQLRKMSQ VSSSQHYDPTNFAQSNTMSIDTKQFHIFTQKNSRANTCIQQLSYTYDPIINHQKQKKPQQ PQTAFKRNPRILPRHIPLSPSIPFGPPITAQSWCIINGKDGSLLAGFNEKFKCQIASITK IMTCFLSLKFVSLISLDLDNTYFTVPEAAENVGGTSAQLQTGDQLNLRDLLYGLMLPSGN DAAVTLKHNFEQTTGINFIDEMNSCAKEFGLKSTQYMNPHGLYHKFNYSSALDIGILCFQ ALQNEHFANIVKTKIYFSEIIDKFGNIKEILWENTNKLLDNGFQGVKTGQTKEAGPCVVE YYLDNNNSYIIVLLNCKSTDYRWYDAIQLLDWIKQ >CAK86288 pep:novel supercontig:GCA_000165425.1:CT868563:418185:420278:1 gene:GSPATT00019981001 transcript:CAK86288 MLKFEAFKKQQRLIERSPQFIVQQLLQRKIVFIRENFSSKENFMIQKQESQEIIYLKPEC GDQVMNELTRIAMSEIPENEQLEYHIRAQQLLDYFTQNQIQVQYTTVLFHKINYALALRK YGLTEEVINLLLDSDQILKIKGKQLKKQPLDIQLLARIVQNKLMRLRIIFQCTLLFSESR RNKQALELAKNALKQLKAIYEATIKLCQQMNLTNLINKKQRANSEMSLATMTSQQPQKLS YSQIVEMVFKEILALIKCSMPQSQFEDKSTQIIRSYQSRSQSLDKYSEQISFGNTSNQNN KLYPNINDNHPMLQMQILGLIQMTQVDIEELYPISNPEMVVTEEVVLELIMLAGLSFYSI STELRFINSNFDNRSNLEIWLCKSLEIYYTYVPHMSQIFNQIYQVYKKLYGVDKQMIPED QEITHLTKLLRPHQFNNKATLANKVVIVIKVPEGPGSANKHANTQQKTIPSNKTSKKHLL TQVEDQEDTGRSPSRIFLKPTKSQQPLEIKQRVDFLMNHILTQQAKLSKEKMKIIKSRLK PDLSNGNVGMTASTNTTNNTTQNTTQNSAKSPPKQLSRSLSNSRKASQCIVPAQLNIQQA LQQTRTAQLKYRYRNQFNTLPIEESPTQFSSQRKQGTLDSAKKQYQNKYQLVLQQKLQNL N >CAK86289 pep:novel supercontig:GCA_000165425.1:CT868563:420387:421373:-1 gene:GSPATT00019982001 transcript:CAK86289 MQKPTHYTYSQSCWIVFYPYAIITALWIPLTLLVEQYIKNELIVTFVVDLIATSIVFIQS YRYNNTSIYDFYWQIPGFYLIIYWVFRTPYQPNIWVIIVPFAYFIRHNYNFLSFWPGLTY EDFRYPVFRKKLNNEFIYWVFSYLGLHVGPTLMVYFGLFPTYYALFDSDQDYNPFIFYFG VIFSFSALTIETIADLQLFPWRSKKTEEFIDEGLWRYSRHPNYFGECMFWWGIFIMTLSF GFQYWFTIIGAVIMQSLFLFYSIPEMEKHILRKRPKYYIQQKRVSVFIPWFRNENIK >CAK86290 pep:novel supercontig:GCA_000165425.1:CT868563:421429:422374:-1 gene:GSPATT00019983001 transcript:CAK86290 MDQSEYSYLQCPAEAMAIQHEQLYIRKTEKDKQYRKIFGGDVQFDLFEMQHIKQLEEEIK KKGIQLPDGWTQTDTLKILYNGKFKIKDCIERLSLHLGWRANSNMHTLNKQMQEFLDAGF LYTFGRDYQFRPVIYLEAYRVDQKKYPKDFMIQVLSFFLGFVKKYMMMPGKVENWICIID TKDIGVFGLPSGLGDIIKTMALNFVGCLHRMYVLNPSTGVDLSWKAGAAFMDDESKSKNV FLTKKTLEKLKEAIPQDQLEKKYLGTAENLTQFWPPKIAKK >CAK86291 pep:novel supercontig:GCA_000165425.1:CT868563:422407:423810:1 gene:GSPATT00019984001 transcript:CAK86291 MKRSFSHPIKRITTFYKSARLRSESWIPSPIRVSITQFPILRSSLQFPNQNHQQYCHNHI DNCNDLMKNELHSKIEEIDNLKKKIIISLETIEKQDEIIQEWQQKYQEQEKLHNREIAQL KSNLDNSIFDDPQIDIIEQPIDNSHHKVVDDLQIIQEESECPQIKSLNQSVAQNLKFYEI INALNKFNLDESPVREQLEINESYVVQRINTLIDFIHKLETELDDWKCNYWNLEKKLNSQ LKDNFIQQGSREIEIEFDFDKNQLVKAIQSNSRRSLQAETSALQLEIKRLQELNSQLQYQ QKSQAKQVLESLINKQKKTNRKKNLTHIPEPSNKHLAQVSIPHKNSHNNTQKKSHPTQQG SPYQQRNNQQINQYFSHYFQQQRVQPTQHYSPIILTKFQQIATKQQIGINYLKDTSTDHY YNVNIHQTPIVVKQSSLSPYQKSGSKFIQF >CAK86292 pep:novel supercontig:GCA_000165425.1:CT868563:424874:425334:1 gene:GSPATT00019985001 transcript:CAK86292 MTKLMKIDRNFQGDTLKHQHSNQFNDDKAFLFLSFQTNDLLHRKKRKFGQFRDSQYLLDK IHELKQKNKYLSLTLYLGLREAFMISLRDLRDQQMIDILNDELEESRRKNQNEYQVLATV FHDFRTPMNGISTIVVAMEEKFEIDL >CAK61446 pep:novel supercontig:GCA_000165425.1:CT868014:1:2338:-1 gene:GSPATT00031677001 transcript:CAK61446 MGFEIQRQNQIIKELEENKNQLEQQIKTYKDEQKILLLEISTLNQEVNKTQEQEKKYREQ LNSYIRNQPQMDNQVQIDLSGEYEKLKEENQYLESKISYLLSINQLENKQDISSIKKLNL DQSEAQNHTPANIHRLLEQLQNNIQNYKQGNSIQTKYLNSITILRELIKSQISFLIKQDN LNKEVDYQQIEIYLAKINQDIEELKAYETPKAVRRILNNQSPQLGRSCSQGLSSDEVFSK IIGHIEHLQQGGLSIPTILKQISLLNSLVRLKNDDLKLISNNNKLKQSPTRFSIAFTPVK YLSPIRISPLKIHPFVMNMSAPQKVSIIQSNIHDSHQTNQRQNVIDSFPIQQRDEFQNVL NSFRDNQDKINQLEFEKIQLQEQAKLLRQKYDQLIENQIYQPQDQNLMQLESLDAQNLIL KRQNIDLKQQVKELVEERIKLQQQYDIKILNELNQEKQPEDYKKQIVQQQELIHNLENEK INKNDELNIIAEENDTLKKNQISLQDQIIELLKQLNQQNIGQFEEKDIESVDEQQEDKLK QLNILQTENIILKDQLQEVEQQRQEDLKSFKEIIGNGADQQCIADLVTQKQKLQTDQRQL LIQINKLQEELIQQQNENNEMKKMVNQLKNQQQDQIQIQENEVLKIRQNEIDDLKLDQIK LKDELIQTKQKLNEQLNQQIQKPLSSEKQIEFQQDPEKVFQISSLQAECVNLKKQIQDVE QQRKDDLKSFEKMLGEGVNETQVIGLTSDKQQLQSDLRQLQNKCDQ >CAK61447 pep:novel supercontig:GCA_000165425.1:CT868014:4985:6096:-1 gene:GSPATT00031678001 transcript:CAK61447 MIPPTWNEFLKFISDFQDNELDKPLLSQYIRIPNKCMTSFQIIQYFSSDFQKSKIKRKCK WGDTEKYFLIWCVSKLIVKLQIKFHEVYQCKIFETLSIILGLPEEFLLTKWLGLLNYKLK EQPWQPEEDDLLIKLRQQYSKSKDWLIIALEFIKRSQTVRYPKQIRERFNNVINPNINKN EFNQDEILFIFQQAQNKKKNWAGISKSMPHRTDNQIKNVYNSIIRKISNEIRMKNNDNKV DEQFIVNLIIQKKNYDPKFISQFYGEGGRKKPCIKIEPNSDNSYTSEPQIQGIPIQMVCP YINYSPYQFQNIYCCIPQLYLLPHQKPNAT >CAK61448 pep:novel supercontig:GCA_000165425.1:CT868014:7052:8046:-1 gene:GSPATT00031679001 transcript:CAK61448 MQYQAAYQSPQQQYINPGQIDTSFNSGLEAISRCPSIFIKQRPDYLESLGFCEKKNVYYV YQSDTLGNQPDFKHQAPIFKCKEDSSCCQRNFLPGACRAFDLKVKQYDQKQDTNTVFRLS REFKCTFLCLARPIMEVQLQNGGKLGYICYPFMCCDKGVEIRDENNSLLYSVKGSCCQWP FLVKLPCEACQRARFDIIDSQGQKVSELWKESAGFCNALCNVDATNFRLMFPQQSTSRQK ALLLAAALFIDFNYFEESPQDQNKDSL >CAK61449 pep:novel supercontig:GCA_000165425.1:CT868014:8917:10934:1 gene:GSPATT00031680001 transcript:CAK61449 MLSTGSTFYGVDQQAKSNLSEIQELIQKAQYRIGYFIDIDHDILQEWVRKYNECLHQINE SKQMNCEIKRILQNLISTIEIIETEGMLLVGNFKPWMSGVGMIKFPYGYTLYGKIQFGIL NGQGMIEIYKKNTRLIGTFSQNKLQGNGTIIVRNQYQINGIFQKGKIISGPISIKYLNGS ENQVQAELKINGDQIRLGDQEQLRNNISLSNLEFNRPNNVQYKASQFLLGQNKQSSFALY QNDELQLEYRDGKLIYGVGQNHEPNNILLIGKFNQGALFGLGKLIDYNNLFLLEGEFQNS IIIHGKKYHLESNILYEDGQFNKQVQLSGNGKRYFEIAEKKKVCLRMDNQMGKVSDTIIL MVKQENVSDFQQNQIMEEGSFNNGILIKGTKFYQNGLIQEKGEFNQNGLINEGERRHPNG YIQEYGIFLDGQLHSKDDMSGRANDNGVIIEVGYFQKGQLNGEGERRHPSGRKKEVGNFS LGLLDGSGKRYYDNEENTLEEEGVFQDGQLHSLTTISKQYYQDGSLKCFGLLQNGLLTTI DGLLYFNEYEMKGVFQNGQVNGTMRILNSKTQMEFRDHHFQSNETFLRAANKANVYLGSQ FHTKAQSSYKRL >CAK61450 pep:novel supercontig:GCA_000165425.1:CT868014:10949:11905:-1 gene:GSPATT00031681001 transcript:CAK61450 MDIIEWIGIIVLCYFGYLFIKECKVIIFGNPNVQQKYGKGCWALVTGATDGIGKGFCQEF SRQGVNVCIVARNKSKAENLIEELKKINGKPQYKIVIADFQNCLQESFFQKIYQEVKDLD IGLLVNNVGVLTVGEFHKTSDVDQQNQIIINCIPVVFMTKYILPLLKKRQRSGVINLSSL AGRFSNPYYQVYSATKAFNDYFTRSLQIEVENVDFLSLRPGFVQTAMVKNQTDLLTVSTQ QCVTSALFQLGNKEATAGHIIHRIQTLIFTLVEGKLMDIISPIIAKQLYKQKH >CAK61451 pep:novel supercontig:GCA_000165425.1:CT868014:12202:13883:1 gene:GSPATT00031682001 transcript:CAK61451 MLQSFLLSLASGIVLFIVYMYHRANLSEGSANYRYYNEAVKGMSRWLEQTVDLTQSHFQP CKNCGYEIALVHDNSYCLRSDLFKLLHPEVIVQDQMVLRDYGGQDLARKIIQQNMYDALP SFVQTRQIEIGYHLTPLETSIVYPYAKNYLRTHTFGKQAGCIFQKYFHVPGQEEIALKSN QSTHFHNYIDNLKLENKSAECIKDASYEKPTFRMWLKEECDNFFQIINTQQYKDKLENEG IQYIYKTNRHLGNGILLLDYQNEKIIRSWYKDSQGCGRNLSQVIIQEYLKNPYLFKGHKM EFRSYFQIASTNPPILYGYKKALIKQCALKFDLLDFTKEAHVCNTAVTKTHKQTTGQEQD DDLYIDWNLEELQDILLEQGKIKSRNWLNEELYPQIMRKITHLFFSVEKYLLKDSRVGEF FGVDFILDEDLNLWIFECNRNPNFLAVTEGRKEKFGKLIPDMLEIQMQLVRSRFKRVRDF MYNKLLPAIQARQFQQQQEKFKEEFLQLMKDRFEPEYQISKSNLAELAYIGEGQFNGYIK KECLDSSRVLKK >CAK61452 pep:novel supercontig:GCA_000165425.1:CT868014:13919:18718:1 gene:GSPATT00031683001 transcript:CAK61452 MLAQKQIPNKFLLAKLKEAEERNDSQSVPSFMNQTVTINNQHISDQIRKAKKEAVSLHTS CKSTQHSPKTSLDLSEFIKQTKIQQMTQQKLLMEKKFSQLAISKVRDDVSHFYDQIKSPN TTREQRSFQPRRQRATFHKKSQSGQQIHLIDMKECQKLEDGLNKEISSLHTEDPGGRVQS IQLRDWFQKQQGDDLIPILTVTMNELVKSLKKECLERGELVEQIWSKVIQMVNKIKFDSE ILVKKNDVLVMDEQAKVFYIYQEKMSSLQNQIQEVSLKYSQEVTQHNVCKQEYKELEINF KKNRSQLNDLRKIASFLQKKLKQSHQEIEILNRKLQTITQKQLESQQQIHRDINSTLQQV HSQRILINQESMFSLKQSQQLQPPSLNQIQQSQQQKVLQHIINSSQVQTGQRMSYLGNSQ VQKSQQEKSSSSDEDDLMDGLLDDKNIIEMDNMVMNMDKIIKVACVETQVETDILHLYQR TQEIQTNITMMAKDFNLITDSQVKIQNVVEQFKQRSQVEETLKLCEDKTNKSFSGRQLNQ IIESKFLNKEQPSPGVYKQNQIRQDSFISKKGVNQSNEYVTSNDQSMDINSDRYVDFEKV KTLVLMMQILENKNKELQYIIDQMNEKCNKHMQELEDARSRAVSISTFKILKEQQQQQQQ QQLTSQYIDGESSPEQYAKFNSSQKMIKRQKDNKKLNQAKLIFKGPQLGQKVNIIYEFQK VNAGPQLVEKIKLKQLPKIKHFMPLKLLLKQITVIYQDRIQQQKDNQAFKDQDMASFVYS YFLQQFGIKRIAEQKFLILIVSVKHYKQIVRINNFAKFLGLFDDCVNYSIDEMKKYLESF DYVTNISTLGIQIPDQDSEVRYFVPYVRAQQYIGMFADSRMTIEEKEELKKELDLLKEVD TKTSNRQSVIDFDQFMIQMFVKYKILVSRAKEYVINAFAACDLDGNGMCNFEEWYLLLRH IEPDRLTNDEISEIFFTNADLLIKGEQNFSFEKFAVVCVEYGLFSEEAQNSFLQIKGTKT EIMIQFQKLIDGWTGQRKTIEQRFEQVTLLETEKIDSWRAIIETLERKILDLKEHLTQAG VEKKVKPLLIANLLLNKESEMLLELQEDIDDDGSPNAKAFQQNFASNGNDELKLIYMFSS LSAGYDDLWKAIIRPPRDNEYTEQDLGPSQFKIQGVLIKRTDIQIKNKRGLKLECSFFEP MKKPCEQLPCVIYLHGNSSSRLECLSSLDGLLQQYIQVFSFDFAGCGKSEGEYISLGWYE RDDVETIVDWLRQSNKVSTIGLWGRSMGAVTALMHADRDPSIAGLVLDSAFSNLKTLAEE LAKQYAQKVPSFAISAGLSMIRKTIQSKANFDIENINPLKNHVAKAFIPAFFIAADEDTF VLPHHTKKLHEAYAGDKNISIVPGDHNSKRPSYVMNSIAIFFYNTLQVKHLVPEYKPDLE KIDNDFQNDEANFLYKYQNHVGNAFQVQGAMNEFDDDEELRKAIEESLKVTNSESNQQNQ ENAQNRPQVQPYKQPEDLLKFSDDESLL >CAK61453 pep:novel supercontig:GCA_000165425.1:CT868014:18733:19831:-1 gene:GSPATT00031684001 transcript:CAK61453 MSLIYKNHLVLPTFKHSETITAHKSSSLRPIQRFQSVTRIRQGNSSKIPPLNITALPGNE SSINAESSLTSRQKLLPILTPRIQRSDEQNLHDYLDHLHSIRKSKQPTKQAVMKKIRTET EIMKLESQTLIQRFKKVKEQQEQKKQYSILQTIKNPYAQQYINFSKQIFNLIHNLHQLKE YLNNSRKMFQVVKNLIGMKKNLKKILLKQSADDLVDFQDIAKMCCIREIQYINGEQRMID KFDLSLLDDLTYMIEAIESLLLQNKLNAIKRMSDNILIEESEISKLREQLGMREEDQKLS VSTLAMQRFKPHEELENIEQRLGQLSQIPKQFRQTSQVLCEIVDKLNE >CAK61454 pep:novel supercontig:GCA_000165425.1:CT868014:20792:24655:-1 gene:GSPATT00031685001 transcript:CAK61454 MSERQKAIRAQSAKNPSQKVPSNQAYGNSVKGFKIVKKVKVNNLMEERPQSGQNEIRARQ IQQETDFFRNNMEVFDKERLFEHLSQAKTQINHLKTDNYQLRTTILQQEKTIQKYERIIE DFQNNGNLKNVQMKLGVETSLFLQMKRQIKEQQNELREKEITIQNFKKNAKVSKFQELDA EIREVYEELQRLRELLKERDDILQQQQNHAQESTQNNEFVKDLQQQNETYLKDNQELVQI VKLYEERNTQLENQVQQQQKSLNKQGRDLQLLNQKLQAFKKRGANGQYVISQEEIDKDIE ERTKLNYLVEEYKEKIQKLEQQLKKFQSDNRKQLEQKQGRNLQQQQSYSPKDQNNTNSQQ QQIAQFQQQQEQLRQNNSTDSILLDQELAQCYADEIRCQLYLDNIPFAKFKEKLSTLNKG RLNENGVVEFLKTKLDMNAVKAQKFAKALLKSDGYDQPIQKMISANRFKNMILSLIQEYI TYDGSSIYQEVRKTLLKNKEELTRKFKQKFQTQEYINIRQFEQLIIESQISITELQKDQL FIHIYQVYRDLIQLQWEQFFRDDFKENRQMQLEKQQKQNSPPNTQKQDSSNDYIKIQTQN SDTSKQAKMRSNARNSSQIIDNDPNQQPAKVNKKHNEEEENYDDYFERDEDKISQNSGKL EEEQDKQEDAEEEYQEQFDDYQLKPQEDLEDQEDAVMKIQLAYKKKKQKEEGKKILEQQK EKKRQYLEEKEKKQKQELQLKKQQEENKRQEEEQRRILEEQKKKQDQLRRQEEERKRQEE EQKQKQKEEEQRKYEEQLRKEEEEKALLIQNAYKQKKQKEEGKKILEQKKKEKEQYQAEL QAKKEQEELERQRLLKEQEEKEKLLKEEEDAALKIQLAYQKKKQIAEAKALLEQKKREKF VNDQKQKEEDRIRRQKEREEKQKKEEEEKALKNQKASKDKKQADETKQLLESKRNERDSI SKNNKKGSKDKKNNEDDYDFEFEQELDDQLEVKKEIEAKEEEINMAASRIQGAYRHKKNK SEGKKLLEELKEEKRIEDLKKKEEDLKKKEEDLKLKEQQELDDVAVKIQKAYKKRQQKEE GKKILEDKKKERELENQQKNNRPQIKQQVSQEVQRENQAQVGKTMNVDELDGYGDEKFED GTDHDKFTNPALGQSKPMQIQVEEDEKLPDDPVAELMEDKLFNSVGIFQQSINKEEVPDA DQLL >CAK61455 pep:novel supercontig:GCA_000165425.1:CT868014:24754:25429:-1 gene:GSPATT00031686001 transcript:CAK61455 MGSSQCCSVNTIEQASELATGMKDTSHSSKDPNNPSDGNKNRNKHLSPIQKQKGVHGQFR IDDPSTPAFADNKSIHSKQESEQLSNKDIQLVAEIVMQFDDIPQKIEEANEDIMVSQSSL KKKKKNDSPLGDFQPKNSLRSFDSKRLDKLKSISEYQVNKEEMIKEEMESQRSQSADDKS VKSILKQEMKYSQFKKGQPSNDKLSQKKVHFKVNK >CAK61456 pep:novel supercontig:GCA_000165425.1:CT868014:25608:27350:-1 gene:GSPATT00031687001 transcript:CAK61456 MTEEEFINITAIIQKTNNQKIIQTLNQFKSTIEKVNSSDGNKIFVKRCLNIVQNDVLSKI DELSDMEDNRGNQIKLAFELEKIKKVTEMLPYINKKPKNTIFNDEEYMNIPNIEVIKLDE PGNVKQQLRNFYQKVSLGHAYINSGKQYQSQFMQCVVRNLKALYYLSNQEETDLAATEII TNPTLEHALQLWRIIDDSKIVKAGYKLQLEQVKLKKIIYIPRLFNQITLDTLHHCNTNST DIPKNLLYQVAFRTIRKKQNDYDIRVRVMCHKQIFKQKISNQDFVQAVRNLTKSEFQIQR TQHIQQLQQSSNFFGGCCISSRNEIGELGVHTMQNVIIHIHGGGFISMSSFSHQSYTRKW VNQLANTVVFSIDYRLAPENPFPLALDDCWQYYMWIVHFSSAYFNISPKNIILIGDSAGG NLALGIVIRAIETNQRVPDKIVLQYPAVNLNLCDVVPSNLKSMNDQMIPMGLLMLCRQSY LKNQSDLPNDYYASPILTPQEVIQQFPKDFVIFVGSDDVLLDNSVEFVHYCKDNGLENIK LKVFESLPHGFQNMGMRSNGVNAAESANRQIIEQIREFLV >CAK61457 pep:novel supercontig:GCA_000165425.1:CT868014:27537:29109:1 gene:GSPATT00031688001 transcript:CAK61457 MKFSQTPKSTLTENFNPPPGYYIGNDLNDMLNTIKTKPPQQQFSRSDRFGDIQTNILPQS KTVEGFDKIAYQASLKHSFQNQKLKDHNYVYQAQSQQQLKKTQDVDKKMKNLLIKQMENC LNQQLEITPSGQCVLDKSKLLQKLQTIAPLQPPGPGHYNSNETLIKPRVRGAIIKEDSKR TTFQEPFLTADVIYGKQLTNNGQNESESNISQKQKQKKIKFIQGDEYNLEKLFEKDKKKK ERQTAPPPGHYEIESQFGKITELNYQNQCFDSREPRFKYISDENPGPGQYKADNQFNEFG GYMSKLPRQPPKDNMIVPVGNYIVQDLSRPQRKDGLFPSSFGSGSKRMKEVVINSEVPGP GSYDNLVQKKKQFKYVFRKKEKRPTIEKYPESFGLSVAKELVSVNTTKERSKSLQKPQQS SMFSSNVQRFKDKKITEELGPGSYTVHDQFTKTTFNQGNDIFGKGQRIQQQQFQEIGPGA YDGDYKVLKKNFVRDVHF >CAK61458 pep:novel supercontig:GCA_000165425.1:CT868014:29128:29999:-1 gene:GSPATT00031689001 transcript:CAK61458 MAQQSVDLKSKVDESVKGDEVNEPQQEKDSDQNQDNKQENKVTESNEKKNGEGQIQSLFE NHQSNLISNVEAPVNQSGGLFGSTKPSTGLFAALNKQEPNINGIDLTKPGPSLFGIDYKI PKSDESDGGEDAGAEDDQKPEDEEPKQIEMKYDYSAQTEQLLVAEIEKFRKNANDVVEKG TVALEKAKEKETFYFIYRNQIQQILYTGQLFKGISEVKPLGSKQENIIIRAISTKQTQSQ NEKDSIQVDTLKVMFKDKEKVDLFKEIMQNMFK >CAK61459 pep:novel supercontig:GCA_000165425.1:CT868014:30055:30854:-1 gene:GSPATT00031690001 transcript:CAK61459 MNIRVSVKYCGRSLTAQINPKTTLNELIQHTIDGAYAKKQMLLNPGVYLSDRGMLCKGDE LNKALEVYFPMISLQGPPVELLILREEEFIEYDIAIKSQPKLVDSEPPDLGDSQPIQKQP DVSDLFPQSNLMISQQGAMNQGQFTLKFKTTANLSKQGFEFKNLSDNNTINDIKDQLFKY FGFQDRSIVDLDLYYNDIPLQGVKCNRTLAQLSIPPGETIEIKARWTGGSLA >CAK61460 pep:novel supercontig:GCA_000165425.1:CT868014:30940:31788:-1 gene:GSPATT00031691001 transcript:CAK61460 MKTKNIKKLKTHEVQLKKGVGKPVGIHIIKLESPAIWSSFKEERQLIEYFTQIGRHVYLS LAGTKKNIHKDGLSDKAQAKQEKLQAIFQNKNTYSYMEHVARSLFNWKCKSLAVVYFFRH DRFDKQQYQKILFKNEKWNSIIKNIKFLLNEQFYYELTSLLKMFELHYTTEQIGEKLIEQ SQHLDQVPQIVQNFFLLLNKEKRIDQMIQGTSCTQLEILKGVYLNSLENHKDYLYEIIEK IRQIMNANCIPQFSQQYEDVSNEVQRSFLNDDNDLLYQIDQE >CAK61461 pep:novel supercontig:GCA_000165425.1:CT868014:31954:32802:-1 gene:GSPATT00031692001 transcript:CAK61461 MQQQQHPNNEKRPNKIGKPKGMNTQKPLEKTNAWSSDQQSTQAIQALSRLGRFICFQQTG IKKVAIEQQDQNGAAVDQNNKATVEQNGQSKAKPKQKIDAPGIFLNAAQIKIPKLIVEYC QIVEKSNKNCIVFFFKSSNPDFQIIEGVPRLIDNFDNYSNYPSLKKLAPMLNQKFHKEYF TYLQFQNAQLLYDNLQQMYREQHNKTLSTAETILQCLFTKYLIRSFEDIDLISKKDFDEK LQSRYEKFYELDQTLQDYESYINELLACMQVEEDYDQQYVSD >CAK61462 pep:novel supercontig:GCA_000165425.1:CT868014:32879:34677:-1 gene:GSPATT00031693001 transcript:CAK61462 MNQKKMPQVKVTLKKVANGFIVHDADTTVRIPKSIVQLPPPQSTNQIKNKSQGTTLPSQS QITQQQLQINPAMLSPKQAFLYPQMNPQNIQVQQSKQSIISASPPHTYKPILQQPLTNPP IQPQNFQIFPPDPFVTRKRSFEIIVPSCTSWFRIDKIHSIEKENFKEYFNQENKHKTPQL YKKHRNFIINLYYNTPNVYLTTTACRRQLAADACTIVRIHGFLNHWGIINSQVDSDQYQG KIIPQPAIPDNLFNELFQSKNSLEQYQLSEQQIIDSIRALSLKLRPICDSCQMKCNLVWY QQKPIKDIKEIILCIRCYGNNHFPNILCAEDFFKTDIEERLKSTNISIDQAEQSDTQLSD KELSEMLNYIQENPEVGWDKIAEFINENRKVKLDVIQILIYFLIYPFQKQSSIIRSLDGQ EQLQKLTIYDLASRIASEEPQIFSDSSNLYAYHLSIFQKHLNQVEESKQHNGEKLCNGNS NKELTNLQNNLIALDQTQIVNFKEDSINKANAEITKEENKLNQCINAIINVQMEKIQQKI AFLEEYEKIVLNEKNNLEMQQVYCSNKRLIEVNAC >CAK61463 pep:novel supercontig:GCA_000165425.1:CT868014:34716:35219:1 gene:GSPATT00031694001 transcript:CAK61463 MNTKSFEVLIHSQYAFHRCRSEVHKYEDCRQTTSPIPKDPRLCRNTARELVGCYKEAERM HPLCLAPFNDVRECVFKADGNIFNCKKESQQFVDCQMNQEKYQDFLALSTDKQKEALQFD FFNYRGHFDKYS >CAK61464 pep:novel supercontig:GCA_000165425.1:CT868014:35229:36062:1 gene:GSPATT00031695001 transcript:CAK61464 MSEQSYPISREKPELNPQKYSDTDNSYNKQPQNYKQTYQYNYFLNFFSKNQNHDRQSNIN YSHNYNTYRQSNSKYPQKQRDYYYQAQPDPISQSQKPLKQSETEKDSQQTNKDLFQQANQ DAQFQNQIQIGGQSNQNQQLNDIKPSTQVSNDKQELSTQQINGPQSQESASKEIQKPQFN EKVQTIANANSESTATIQHNKQLLGISQQQLIQQKQIPLLVQFLILPNDTTSQPQLIPLT LQNLTQTYEQLKLLIQNDDQQQS >CAK61465 pep:novel supercontig:GCA_000165425.1:CT868014:36105:37709:-1 gene:GSPATT00031696001 transcript:CAK61465 MLKFLILCVIGLSVFGYTFPYDGDVLVLNDNTINAAIKQYDYLLVEFYASWCGHCKQFAP EYSQFATQVKEAGQSFIVAKLNGLIIEFENRYKVSSFPTIILLIKGHAVPYNGDRSASGL MNFVTQALEDKLVRVDEIDDVYKFLSDNTLSVLYFVKDSQQPELQIYSLAAKIFPNLKFG YTTSAYARKLYDVDEGQIVLFRTFEERRKEFTDSITLEKLTNFLYENSTPSFEELDNKSY ASIFNKNTPALILFWSQQSQETKDVLKLIAPNIKKRITVVSVYSDNYMLNQVTGQLFINT PTFPSLYYYKTTNEVYKFDGQITVENVMRFVHGANNGKIARKQKSQPIPTQTSNVLKVVG DTFDELVLNSNKNTLVQFCQTSSSKCYEPEFEDLAKELKGNENLVLAQIDLSYNDLESVK IENYPGFKLYIPKVTSNPVNFDQEFSKENLYAFVKQNVQLTHTEQNKSKSDL >CAK61466 pep:novel supercontig:GCA_000165425.1:CT868014:39047:40683:1 gene:GSPATT00031698001 transcript:CAK61466 MKSLNYRFPHSRQDSGQMNLTRSQLGQAQQVTNVLNNRQNEQNIDRSPAPMVHGLHKLAV PSSQQSSANHSKKSSISKQQQPKQISFLNYLILKDKSQIAQDEEKENINEPVVQKNMFNF QFVIGIGGFGKVWKVEYKKNGQIYAMKEMSKALIIAKKSVNSVMNERNILSNLKHPFLVN IYYAFQDRENLFLVLDYMQGGDLRYHIGKMRRFTEDQTRFFMACIFLGLEYMHSKNSLHR DIKPENLVLDKHGRIHQLYWLGYVRITDLGIARNLRPENSQDTSGTPGYMAPEVMCRQNH SFAVDYFALGVIGYEFMLGKRPYTGRTRKDIRDQILAKQVQIKRSEIPDNWSLESADFIN RLIQRKPANRLGFNGPHELRQHSWFKNFPWSKLMNKELKSPYIPNQNEDNFDARQISMED EENNELIQQHSIMLRRNSIQSQFSGYEMDNFSEKQNTLFNNF >CAK61467 pep:novel supercontig:GCA_000165425.1:CT868014:40836:45780:1 gene:GSPATT00031699001 transcript:CAK61467 MSLSLSDLTNQSLDKIKKTITKKQFELKKAIEETLQLKDYLDANHLIKVYQQCIESKQVK LIELALFDIKNLVEQGLFAGDQMIGDKKAIDVILEIVLSCQQEKEETLQIHMIKAIQTIM TNRKHHIHGQLTTQVFQLLINLHSVSKFVVIVNASKEACQKIVSTYFQRLEDFGILTETE YQQVIQKYENQGQLVLGKCGAIVNAEQYMRSLMTSLVDEVQIYSERRQIYDRQIEDLNQI KVIDLNLQEPNLRNVTVDKNLIQVYVTNEQNIKNGKFGWCVVCRKQASQYCKDSKVPICS KECKVVHLNSMNNFSQCYQHSNNSEFYFKDALEILEMLCQLSQKDSANPQQNQTVTKCKI LSLELIYEALAQSNIILQNKQKFIQVIKEQLLESLLKNSLSSEKQLLISTMNIFILLIWK VRSHLKKELEALIENVYFKFLDSSNSSFDHKQYTLKVFNKIMTKPRIVIEIFVNYDCSLG QNNLLKKILDMQCRIIQGRFSKQEFQASITQNQETYLKSLCQDNYYGFIKCLREFCEQNE DPQNIIQVQQFDDQEDTTIQSQQLSQDPIEKQKQMKLEMNKAVQKFNFKPEHCIKHLIAC QFMAIRDPKLFAQFLWENRDLNKDKLGELFGSSTEFNQQVFQQYIDFMNFKDLQVDEGLR YMLEFFTLPGESQQIDRIMEKFASKYCIDNPGIYKSAEAAYTLSYLLMMLQTDLHNEKNL EKMTVPQFFNLAKGINDGENLPQDLLLGLYQRIQKTPLALHAKEQAKRALEQANQVDQRR KHAMLAKETEESLKRWFKEHPNQDAYFYANSIDHVKSLLQQTWSAIFASISVFLEQAEDK LQIALCFETIQSCIYLMGRFDLDEEKDTFISFLQRYCTGIPNTYRQTVGVQALIRATIQS GQYLRKSWKVALQLVSRLEIMHQAVRKIKVDSPQKESYNQEDIQNIERLFQLISYDQIDK IFNMSINLDSNSILEFIRALCELSKEEIKQNRTFLLSRLIEVADFNMDRIKIVWSRMWEI MREHFLEVGCHQNVDLAIYAIDQLKQLSCKFLQQPELANYHFQKEFLMPFEQIFSHSQAQ SQYKIQLREYLLSCMCMITNVCFNSLKSGWKIIMSIVNQALQEDQQQLVRLCVQITDKIM EDVNNQQVNQEIYMELIQALIKLTKNKEIHIVENAIKQLKTLVDHIVLIKNNDNKFLDSL WIPVLSSLSILYSDERADVQQLSVQTLFDLLQKHGSYQTIEFWKMILRGVIRPLFEEIQF SKLKLKKKQQSKKSIASTCKMTFQLFTDLVISRIQQIYPCINDLIDILVQLVLQTQDYIS ILCLQSLKKIIQNVGQSLTEDNWNVLIEQIQHLLQQCSPTELLEAFNLDEDFQKPLDELL KEEIRPKKFSLKINATECLSKQSIQERCLEILEVQVTQFQNHITQQNKQQILQLFQEQYQ KCRRFNTSLYMRYFLEQWGVQWNFVQGSSQEFDDLENTYTTQNKQLSFINIELLAAKVLI TFSNDPFSFVEQLISRFLDAYNGFSKPLHRQDTLNGNIEQLRHLESQIVISRLQLLFMEN VFPWLKQNLKSKVVNKWLIQLLKAGLNVPGVENKEYNKILVNLLEEIINQENNVF >CAK61468 pep:novel supercontig:GCA_000165425.1:CT868014:45817:46714:-1 gene:GSPATT00031700001 transcript:CAK61468 MENDLQKENQLLVEQIKVLQAQLHNRETLRNECSRINDQSSFFNTFKQSTPRVNISRDQS KRDLQDLTAQVKKLNEEKEQLRKTLKHQIDAYDQKIQQKEMELSQKEKMYRQLQIDYKEL QQTLIQEQSKPAPQPAAPTPVQAKPVGDQIQQFRVQKVGLMNLPCLILIRKNIFEQFVIE IENTKDRVTINVSDITDLVPDKTKEDHFQLTYKLSNQKISEVYQSTEYKSILRAIKYLHS QQQQTRKQENQPAQQEQQSGLIKSLSSFFMKK >CAK61469 pep:novel supercontig:GCA_000165425.1:CT868014:47225:48283:-1 gene:GSPATT00031701001 transcript:CAK61469 MMQADIDQFFLFRLISKGTYAKVYLAKKKDTNKFYAIKKLKKKQIDQKKQAQYVMMEKTI LNQEKHQFIVYPQYQFEQVNHFYFVSEYCVGGDLFSLFKAKGKFKEKHIQFYAILIIHAL QFLHTQKIIYRDLKPKNILIDEKGYIKLTDFGLSKILQQEMTEQVQGTLEYLAPEILNQI GDGYDYKVDCWSLGCLLYELIAECPPFMSEQRDKLISLIKTTQPKFNFPISDELKDLIIR LLQKDPNQRPSLVEIKEFPFFQNVKDWESYLSYKVASPFLPIIQYEDIWRFDPGFTQSEQ SGDAIDGSDKDNKIPGFFGNNSQQQ >CAK61470 pep:novel supercontig:GCA_000165425.1:CT868014:48676:49879:1 gene:GSPATT00031702001 transcript:CAK61470 MQIDDVERDQIIKQLKLVQGNDKCIDCGKKNTKWASVTLGLFLCIDCSGKHREYGVRYTF ARSLTLDSWSRKQITFLQVGGNEKALEYFQSVGLIGPGCSQIDYKSPLVEKYKQELLKQV LQLGVLALCEEKLNIIRPSLIPSPVKIAQTSEKPAQNKEEESPVKEQPKQVFQNNLLQEE ATVTKKSNKIVFADNAKPQAASGKAVQGKKLAHVDFDSLEFDDPFSNPFNQEPSKFESNK SELPQQEEPKVIIKQTQQPTQPIPQTNETLEKLKDKNVKSISSETLFQSQDSEQNKQNIY KFNGQTAISSKQFFGEKEEESEDSSQKMDQLKNMFNFASEKTMETFGTVKEKAGGIWENL KTKFNK >CAK61471 pep:novel supercontig:GCA_000165425.1:CT868014:49890:50429:-1 gene:GSPATT00031703001 transcript:CAK61471 MQQSKLISLTTLRLKFIPYTADVVKTYHTWMQDPEILYLTGSEPLSLEEEYKNQESWLND PLKHTFIIKNDKEMIGDVNLFFHQYLDDDEAEINVMIGNKNARRQGFAEEAIKLMMSFGL HRYKKTKYIAKIKDSNEGSIKLFLKIGYKEIKKLPQFEEVHLQMVIDEALIKYIYTNID >CAK61472 pep:novel supercontig:GCA_000165425.1:CT868014:50494:52083:-1 gene:GSPATT00031704001 transcript:CAK61472 MLQEQTKSLNSFPNLQALCKKDEKQKQSLEKLLQHKPHVVKSLDIYAQNIEEYLKDQRKR IAYLKKREEPYYEQKPIQAYDEALIMQYNRVKAKQHYLQQSQKKISQQPSPEQPRNRTPS KYFDKNYNPLKRNEKFDIPSLQPVNVSPPKELKPIQFRYNYAMQINDPLLRQVLSTRQQW FEVPNNSFAHLQWLTQLLDFSNLSKQDVVSKRQMVNLMEFQHEWSAKSQLYNNLCSISPE HALKVLPPGFVLNFKISQWVEDLEFIQDYLITHHSQKETQQKFPFSVYVPNKGGQTYNKQ ISKLIQDVPKIWICKSAIGSGATILNSVDQLHQFLIQQYKDQKEYVIQKYITNPLLYDGK KFDIQINVLVNQDNQIFVSAEPTGRCCTVNYDPNSEDPFAHTKPKQAISHKGLVEFLDSQ GISLQDLLTQIKTSISLIPCKLNRRQRKYCMQIFGFDYLLDDTKKIHLIGINANPIYTYA ELDGAFKLTLDKIFPINNNYKSDEKSIWTCLF >CAK61473 pep:novel supercontig:GCA_000165425.1:CT868014:52145:53527:-1 gene:GSPATT00031705001 transcript:CAK61473 MNHLRLLKEHSANPLDNYVIEQSIGKGAFGKVYRGLHRPTQQRVAIKILEKSRIEQPADF TRIQREIHILRKLRHPNIVQLYEILESESKIYLIMEYVSGGELFQHIVKNKKLSEQDAAA FFSQIIEAIEYLHSIKVAHRDLKPENLLLEKDILKVVDFGLSNIYTDLLNTPCGSPCYAA PEMVSGQQYQGIKTDIWASGVILYAMICGCVPFEDPNTRKLYEKIKYSDFERPSHLSAQV IDLLNGLLNKNPQNRLSIAKIKMHPFISNYSYSVPLFKTLAINNEIVKQLETVGIDGQKC REMILNNKHNAITTTYHLLNKNQKPQLQQIQRVRTHQSIKSVVDRPHQSTERHPNSSTHH KKTKSQENNYQTAMQINRGFENRISERPKSTERRICTESDRREKNKTHHELKENNVARKS YASKPSKSPVMYLEYKLKTTRMM >CAK61474 pep:novel supercontig:GCA_000165425.1:CT868014:53618:54742:-1 gene:GSPATT00031706001 transcript:CAK61474 MDSIYKTANIKDFYKLDKILGEGSYAIVRKAIRKSDNMEVAVKIIDKASLESDDHLAIQS EVEIMSQIDHPNIVKVLEVFDDKQKLYIVLELMTGGELFDRIVEKELYNEKEAADVIRPV VDAIRYCHSMGVVHRDLKPENILYTTPDPDATVKISDFGVAKVISDELMLTACGTPGYVA PEILTGVGYDMAVDYWSIGVILYVLLCGYPPFYEESNEKLFEQIKSGKIDFSGEQWEKIS KEAKDLVEKLLKVDPKQRYKADQICKHPWITGEKALTKDLSDVTEKLRELNARRKLRRAQ LMVLATTKLQRRIQQHQQKN >CAK61475 pep:novel supercontig:GCA_000165425.1:CT868014:54756:55618:1 gene:GSPATT00031707001 transcript:CAK61475 MLKKEPNRQIDLTLVLNATKQKIKLSVGIHTTLKEVANLLAEELTLDVFRTRISFQETYK TMKLDESKTLKQLGITIDNQQLFANVETIQTKTIGRVTLAKTFQKPIERFRVTDLDLVPF HPGQIQPFEVEGLTLEAVCINSKCINFQREIVFPIGFGTFSFQRIMRDVKCDMCPYRALG INPSVLVRELLFRDCKWTISGLKKGGNGVFDQCLNRWVKVKGRDSLAFPQIVGQDKWKEV WIEIKPIGVGTQKHPFLIQPDCLDDFYYSYF >CAK61476 pep:novel supercontig:GCA_000165425.1:CT868014:55680:56327:1 gene:GSPATT00031708001 transcript:CAK61476 MEVFTLPIFLKLNKLKSCQVEEMEAPLEEPQPQPTCEECKKVFTNNSKLQRHIRETHQNL KQFRCDQCGKEFKRSQHLKRHQLTHSGNRPFECESCPQKFTCKHHLKRHMQLIHEMISYE CQECEQKFTKKRQLKKHQLDHHKKQQQQKELFQCLKCSRVFQRLRSLRKHNLIRHKHIRA FACETCNKRFAHKHTLKIHRQRSHNEN >CAK61477 pep:novel supercontig:GCA_000165425.1:CT868014:56371:60363:1 gene:GSPATT00031709001 transcript:CAK61477 MMFILMSLILVSHQELLLKVDQCTCKQILSSFDCNQLSQCDWNNESGLCEDRKYTDSDSY CSINSTNCPVDGCALYQGICKPFSGCTIYQAKTHDECQKISRLCTGNGENCIQMEWTCDN YQNQIACQIDLEGYPCNWNKESNKCYQILKCQEIPQSYKTHQACYQVGIKYDLKCTAQQG GGCMDIAETCKNLPEVGCVIKLNGDSCFWNVSSCQDYICQNAPASNTTHEQCQSFLSTCT VNNEQKGCMQIASKCDDYQNKAQCVYAGEDLCVWSEMFCKDGDTICESKQTCKSWLCENA SSTYNTDSLCRQFKHQCTVNNTNDGCIQRLDSCSKYEKQQQCVSLLDDSKKCFWNGTKCV DKTCDNATLSKYNESSCSRYMPQCTAGGDNKCVLKTCNTYLTENLCSKDYQNNKCSWSGS CKQKTCENASDDLITHSDCQQWLEGCTIKQDLKGCQNLADSCDAYKIEDQCHLAGTPKFQ CLWINGLCVKKDCSFASLDIDNDEECLSYLGVCMISDKKRGCVNRKKNCPDLLEHQCSIP SQDTLCFWNQASSQCEFRQCTQGTSNSFQACQQFLPTCTVKHDGTNYLGCITKSDKCSAY KNEFMCIDSLIEGLCIWNKRVIPYACEKRSCQNSDQTASDEACNNFLSTCTVNSDQTQCM ERKENCNLYLQEFNCRKTKSGSQCIWLNNVCTSKTCDKADKTYTSHQECQQFSKNCTTNG KGCIQIDQCSKYTTRSGCVIDQNNQLCVFQSSCNLLQCSDAPYSYSTDEQCKTYKSECTT NGNGCVLRTQCSDAYIQQACITDSKGNKCSWINNKCLDYNCSTAPANYVTELECHMHQPG CTVNQSGGCIKKGRCEDAKVQEACTSDKDKNQCVFSKGVCRDVLCSDMPYTNHYECVKFK SSCTSNGITCIPQSNCNKKIQSGCFIGSDGPCLWIKNTCYQYSSCTSLKFQTHQQCYEFS GECTTDGNTCIPIDKCANLNFNSCFQGTDGKCVYITKDQKCQLYTGCGSLQYDDHQNCQS LSDNCTTNGTKCIELGECSSYTQQISCQLNKNRKKCYYDSQAKKCVDLQCSHLQFTTHSQ CNIELETCTSDNIKCIKIDKCETYQQNYCNLAPGLDGKCSYDLKEQKCRQIHCQELLENC SQISTCIDSGLGCVDYSTCDKYQTEKACKYGGSDGHCIWYQDEGKGKCKIMASCSDASSS IEACQSKMQTCQWTQTLTKSTCAQHTCSSKKQESSYCQPILDFSGKYYQICTISNGQCFS EKLSSLGASNCFSGTAYTYTWDSANSVCLQCGTVYNNKSDEKDDDDILPEQGMNSQVLFP MLYLIISIYI >CAK61478 pep:novel supercontig:GCA_000165425.1:CT868014:60368:61316:-1 gene:GSPATT00031710001 transcript:CAK61478 MGAQCCSNSSYLDDVEPCDDIEVSRLDTRKINIKYNYKKKQKELNSCQTTKTQERTKQLA VTLKSGGVYQGDWVGNKREGYGILRWPDGSEYEGEWKNNKAHGQGKFVYPDGDYYEGQWE NDKQNGRGTFQSMSGCKFEGQWKDDLQQGYGMETWEDGSKYEGYFYEGIKQGQGTYVWND GSTYTGLWINNKRHGQGSQVWKNGKEYKGEWFEDFMCGQGQIRWPNGCTYVGQFNKDAPN GYGIFKWSNGKSYEGSFKQSKPNGKGKVTLDNGRTRFGEWNEGQLIKWFDNNQDGQKDNF DVLNLEDL >CAK61479 pep:novel supercontig:GCA_000165425.1:CT868014:61597:62145:-1 gene:GSPATT00031711001 transcript:CAK61479 MQEISNAIIEFFDLVIEKYITHFANPKREWSYFKSLIQSSNIDLNRIQTYLKVLKNSTEK PTYTKPLELMKRETVTKYVSPLRDENYALDNKLNHRLDNGQVNYYFSPRSDNGTINQYLS QPRQDNTVNYQSFKNITQKVVNLMDSNNIQFAITQGRQQNFECRRTSLNYLTLLDKFPII EN >CAK61480 pep:novel supercontig:GCA_000165425.1:CT868014:62413:63442:1 gene:GSPATT00031712001 transcript:CAK61480 MNKLNKPESRVQRNIRIVMSNIKEEQQQKVVKYFSHLKQDAELAKPRYAHSQFPQQKFYQ TVAEPSLIDFSLENLNLKVSRVGTLVEPMIPIEEIPHKPIIKKFKVLKHPVFLTENTINK IEPTERHNELPTSIKRSTKQQMMNNEILHRLGLLSVQAKRELQIEKLSNRALKVSKSKEI PSKVHKQLEAITQQLKENEKPELIQLTQRCTTSASGASRKAYDYQDEYLVDCLTERLNLV YSVSTFKNRPQTRLAKRKIHNFFKTVTMAHLENLDEIKKDDQSIQIQIKSIKEKLQLCKR RLLDYNDEIEIALTEDIKGQVIEYKNIIKNNKK >CAK61481 pep:novel supercontig:GCA_000165425.1:CT868014:63906:66609:1 gene:GSPATT00031713001 transcript:CAK61481 MDLRDENYNASLTQIELSVEALTNILYYQEFFKRISRFLSLKDVLNLGQTCRILNEIVEK HQEFFGEIYYKLFLNEQLEMALELNYPDIQSRQQIRLSNFDKSCIDWKSQLSKMILTKQT LQFPFILCDVVFPKPILTRETIGIYCESEFQIMLAQRLELEQKGFDKLFDYQFIQNEVIL QSYGNLQLMKELKQNKQQILTQMQAKLQQNEKLKSQFLQYRWSLQDEQYQSEFQQLNLQK LDIVEQDHEGKVEPIGDEQICIFNLMEEFYTSVECYLEGLQKYFSVFINVNTINSIDLLC EYVMYWQAYSNAIIDLSTLIYPFENIINELHQNLFPKYPQYPKFSVWRVMTKMWIKYIIR NQQFQTILLECFIRLLSAQRQQLFKKEFEQGVSEDLENAQSFQITYEIYDNFLLKQKNSY KDQFQIDTTHQVYTEVIDLLRSFCRCVQDISISEVSVHWLGHKDCCYEEFYEQLSEKIQY ESSFYYDESRQVFGSNIISFIEFIKFDKEFLQQILPEPLIFKIENLQREHIYTSLYYFLE VSYLQKFVQTNKDQIMLAYQNSKIKSPKLERNSNTSSQNNEHLETQGDSNLNDPQKFFNL CLENSNLDLEELLIKEKSKNQQDNLLEIIKVALSQMNLDSLQNLDNIDQFESQKDLFDLS RAHKIHRSFSNTRLDAEQTEVPDEVIKAAKQFLLKDAQFSKLYLVFTEYSNYYDKMLIQV ITKDRDVELINNDRKVPRILPEYLQNFYYVSNYLTYSVLEENIVEDQEDEFEDLDQPPNL SKDLSKLKKNSVQFEYYYSEGEDDHGFSDGA >CAK61482 pep:novel supercontig:GCA_000165425.1:CT868014:66658:67597:-1 gene:GSPATT00031714001 transcript:CAK61482 MVLRRFTQQYKSVYSFCKVESYTIKPQNTVIDYKTLTYNPQQKIKFDSSNKSLLYYYKPL VIIISLYHTALDLSIIILGVFIPHGISWKCDQAQSLQDLNIQMLVYSTFPAALPMMFTVE FWLFLRWFKKFKYLRYVVSEVYLHKDGQTVEVVFEKKFWRKVKEQNVTQIFYVTNLKTLS GDDKRPLKGNLFPDQWPQKKELFKQWRWSFVKYYLNQNNFLVFPSNPNYVNAEILIAVMN GKIINTSKQYVEDVEGDMVELVEEGKIKSDTLI >CAK61483 pep:novel supercontig:GCA_000165425.1:CT868014:67665:68097:-1 gene:GSPATT00031715001 transcript:CAK61483 MFTQPSGAKSSAQSTPYKTKYHHPEQEETISEDESQINNILKALKQQYLILDNTLNEKEE EKAQILEDLQILNQRLQQLNRSVAVKRQKYEKCDRTLKEAESAFATIADSTKSLLQIVKS NIGDMGKKQKN >CAK61484 pep:novel supercontig:GCA_000165425.1:CT868014:68167:68634:1 gene:GSPATT00031716001 transcript:CAK61484 MNTRQMQNVNQKVILKRIEILTMLEFTINWILCIMQEYWMHSWFFLIIVQQCIIYHRYLF ISFQTYLKFTSWGTLNTQFPSLSSYCNYPFQTHRCMSFLLTLLIITIIVYGIQFKLILLF FQIPIVFLKTRLRSLALTGHSDRQQHIQLDTSRVQ >CAK61485 pep:novel supercontig:GCA_000165425.1:CT868014:68913:71205:-1 gene:GSPATT00031717001 transcript:CAK61485 MISFELSNILILASTTIGLLWAVYNAYKLNRIKIGTPNQYNNFQDDYQDHHSQLLLEIAS HIESGAAAFLAAEYRYIGVVIILLALLIFFIVEPVLGQAWTTVAFLVGALTSIISGFIGM RVATFSNYRCAYCAQTKMTDAFAVAYRAGCVMGFALVSFALLSLTILLGIYINWFIKDYR DFQQLFEAIAGYGLGGSVIALFGRVGGGIYTKAADVGADLVGKVENDFKEDSPNNPATIA DNVGDNVGDIAGMGADLFGSFAEATCAALVVCSVSPSFYYHPTTFYYPLLVSAAGIIVCF IVSIFAFVGEKENFDQVSNALKFQLILSTLLMLPALYYVAYLTLPERIFGLAPVDRQPIH AWLCTVVGLISGCIIGFVTEYYTSHSYRPVQEVAQACGTGAATNIIYGIALGNLSTIIPV FLLAFTAFISYSLLSMFGVALSALGMLSTLTIGLAIDAYGPVSDNAGGIAEMVGYPQDVR HRTDQLDAAGNCTAAIGKGFAIGSAALVAFSLYGAFITRASNSLNKHPLTDLGVNSPLVF LGLLIGAMIPYWFSAFTLKSVGRAAFEMVEEVRRQLAERPGIRDGREKPDYDRCIAISTK SSLQEMFAPGLLVILVPLALGLFLGPTAVAGLLPGILVSGVCMATSSANSGGAWDNAKKY IEADLCEIDDIVKGKGTDEHKAAVIGDTVGDPLKDTSGPSLNILIKLSAIFSLVFAGVYD KSAWLLCAMTTSSSGCPA >CAK61486 pep:novel supercontig:GCA_000165425.1:CT868014:71243:71821:1 gene:GSPATT00031718001 transcript:CAK61486 MQQSKPQHQTQSLRRCGLHVVNNLLQTDKYTSNDFDRVAEEMKRETNNSHYTYFLGNYDL NVIERILLKESYELQWIRQNQAITEELMADESVEGLLINKIKEISLIERLCQWEPRHWVC IRKSRKSDNTLEFYYHDSQLKDAQLYQTKDSISLLQELQKNKDNHLLLVKKMQLH >CAK61487 pep:novel supercontig:GCA_000165425.1:CT868014:71827:72506:-1 gene:GSPATT00031719001 transcript:CAK61487 MLINFKGQEIKVGNIEFNTLIDIIEATFPYELHTMYQLIYKDDDESNPVFIVDEDSLQGA KNLCQTKNIELVLEVQNANIDASIYILKRQISSDKSNIYQESLHQIKEKLKGYKEEQEIQ QSIFEVKDEDLTIPHIMQYYQNQLAKFAANYQKQSNEHKAKNYQLYQECFGTLLGRMKQK LQNQFELIIQKSKGVQQNEEKINDFQQFQ >CAK61488 pep:novel supercontig:GCA_000165425.1:CT868014:72519:73289:1 gene:GSPATT00031720001 transcript:CAK61488 MDDDRPKTSSFSFSRFGRRLDQRKTTGTRFYPQNVVTIPPIKLEKKQTQKGDWLFRRIDR SQGSIYSQQQQATQAERDYKPHFDIIEKHIPTISFEKQTKRRSMSLSSNQQDISNVQIPP PKVKMMIPFDKQEGRKDVQRDTVDKFYQPMDLNKTNNAHSFESYSARKPLVEKDAMPDYE IQKSFNYIKSRRSTAVDFGKSSYQKEHLPITSLLDYDVKLNKKIRTILFNKQIPREKKPQ NEMFSKLFQRLKARVN >CAK61489 pep:novel supercontig:GCA_000165425.1:CT868014:73453:74801:1 gene:GSPATT00031721001 transcript:CAK61489 MSEKKAAGGLQGVVAGQSAISTVGVGGIGLNYRGYDINDLAYKCSFEEVTYLLLKGHLPN QQQLNELKTLIWQKRQIPCKLKQILETLPKESHPMDIMRTVASIAGILEPETKPGQEYEI SIRLISLFGPALLYWHHYSNSGIKINENTGKDSIAENFLKLYHLKSEIDPLVVKTFDVSL ILYAEHDFAASTFAARVTVSTLSDFYSGITTAIGTLRGPLHGGANEAAMQYLEPLRSIPQ AGQFLNSRYQSKQLIMGFGHRVYKKEDPRSPIIKDFSLQLSKTANGDPTLLAVSQHIEKR MIEEKKIYPNLDFYSASAYKQCGVPTPMFTAIFVISRMTGWGGHIIEQRSNNKIDETCFR LHWACQEIICTNRNEKQCQFMIMYYQNKYNNINVQVGQLNCQSIRVFHISLSEKDDRSC >CAK61490 pep:novel supercontig:GCA_000165425.1:CT868014:75085:75844:1 gene:GSPATT00031722001 transcript:CAK61490 MIKIDLIVIGNLGSDSLTDAPFQHNYLPTLGLNLVKKEFDHQGQQIKLCIWDTAGQDKYF SLTKSYFQRADGVIMVFDIADKDSFNRISDYWIKQVQECSKANSQSILVGNKVDLCEKRQ VKFLEAQEFSQKYKLPYFEVSAKTGEGVQEAFNFISRRCTECIETEVNEVQHLQIDSENR PTGFQGCVNTIMSIWKK >CAK61491 pep:novel supercontig:GCA_000165425.1:CT868014:75893:76707:1 gene:GSPATT00031723001 transcript:CAK61491 MSMKKTPNQSSGPKFIKDVTHKSRSEHNQSFDASYSKNYAPTFSNQSRLKSYSQQANFLD DLEEEQYPNQINVAIQKRPFELALQNELQKMLSKIIQGQHLSIDDCQSIIRAIDECIQES LQTLRLKDAEVLNIKQTYELKIHQQENTILALENEVQELKHMITLNPNDSINFKLQQLEQ ENEMLKLQNQQAIKMAVQGRTKKQEKELYDAMNSSKSKQKAQVNNYSILLNQQYNKIYQQ DKKIKSQLF >CAK61492 pep:novel supercontig:GCA_000165425.1:CT868014:76734:77634:-1 gene:GSPATT00031724001 transcript:CAK61492 MSSNDLDVQKQKLREQVIHTETLNMMAKYQLVFLKMEISIRKLGLILKLKGNQQKFHAFR KLKQSNKYSANHFKVIFTQIALKYQQVGEIIQKKQKRNLHFAFIKVQFQFSRNKNDKIRK SFLQVIASKESEIKNLQIKEQEIMENINNQKLKEQEFLQKIKSKDAILTSLENELKKNST IKSFDSKLRNIEIENQEMQERIVGTEDSVNLFIREMNDMLDSHEISTNLGIDSDENQSYE QAAQVIEYQSQRLNQNSRQQKSKNFYSNQLTRTKIN >CAK61493 pep:novel supercontig:GCA_000165425.1:CT868014:78317:78710:1 gene:GSPATT00031725001 transcript:CAK61493 MLIPPKSRRHQTIDQGVYLFQQRKNFDPYIEMMKKQQQVEINSFRKAFANIASSRIHAQH LNERREQIVLTVHKKKRVQPNSEFKLPTLGQGEKTDRVRIKFPPQRIKKNFCLIQQYQQF AT >CAK61494 pep:novel supercontig:GCA_000165425.1:CT868014:79250:79872:1 gene:GSPATT00031726001 transcript:CAK61494 MSAQVLFRVKCSTEFSQMVRIVGNSSQLGNWNPQLGFKLMTNNEMYPIWYSDFALEVELD QLVEFKLIITDGLNSFWECGENRCLQIQGQKMVVILTYNMPSIFIYSIKRIISDNDLATI AQGRIRKVSLQLQDKLYDSDDDSDSDQESDANSIFQDEITSCNSNENISNSSHFEPIFYS EY >CAK61495 pep:novel supercontig:GCA_000165425.1:CT868014:80867:81306:1 gene:GSPATT00031727001 transcript:CAK61495 MSSSLESQVLFVLWALYQENQISMEQKGYIKEDLLIRRDQNFYSAISNCQKLDQLEEKLL DILNCIDLQILEIDAEETICPIIRLSNPSNTPTTIKSFQNNPQKRFKFMSSSNESEMAQD NQKVRSNSFHHQ >CAK61496 pep:novel supercontig:GCA_000165425.1:CT868014:82233:83121:-1 gene:GSPATT00031728001 transcript:CAK61496 MLLAQFLEFLFSVSLCTFIKIGREETKMSGRILKFAYRVEKNLLYNYEFGPNTKDIRLCL IPFLLPTICKKILNIKSPLSFYELLLAFIDLLSLIDCINTTESYQRYCLVYTIRVIRTIM MLFELVQEITKMTILHYEQILQKRLLQMQQKKQIIQSSNNQQQEPLSIQQQQIFDDNSDS DEQTKILNTQKQQQTTIKRLIFPQMRIPCILLNLIINQQQHYIQIIDPWSFDKSFSSINI REQLLIMIKLNYCCQIYQTLKKTKCNQQALHKYRELIAEYLSQLISMN >CAK61497 pep:novel supercontig:GCA_000165425.1:CT868014:83127:83699:-1 gene:GSPATT00031729001 transcript:CAK61497 MQVEELLEYVPESINLILEPTKNKGALYLGNIASLFKSIKKHQIRAAISICEPLDMKSIQ LEKHLQIVLDDYEDSNIKQYFTQTNLFIQENLNKGNLLVHCMAGVSRSAAIVIAYVMWSQ KMTFQNALLFVTQKREQVYPNKGFREQLMQYEEELKTLQ >CAK61498 pep:novel supercontig:GCA_000165425.1:CT868014:83751:84475:1 gene:GSPATT00031730001 transcript:CAK61498 MAANKFWKKKELSSLEQQVGAALTQIEATITEVKNLKLSSVVDFTAKINAKKQVYLVFIP YPCLTIYNKISQKLLPELEKRVKATILVAAKRTIESKWVKNHRSQTRPNSRTLTSVYNEL LEDLISPSVILGRRTRVRVDGTKFYRIFLDESDQKDLESRLDSIKDVYKVLTTRDLEFEF RRDDTFYQKKGAKKVAKK >CAK61499 pep:novel supercontig:GCA_000165425.1:CT868014:84499:85400:1 gene:GSPATT00031731001 transcript:CAK61499 MQRAVRFGCSTINKLESELNKIEKISFKERYLSFQREWNEFKNRAKEMYQFELINLVFRP PRVFNDKLDANQQKKINLLAKHIEYLSENEFQYLNYILQKQVLNKPDYAEFSLGISKDDA QVGPGVWPTAHPQWLQQQEIIAKLWPLGQQGIATLFSEVVGFGGGVGTGGAQTAATTQDQ PKEEKKEQAPKEAPKEAAKANYDVILDSIDAAQKIKIIKDVRAVFNLGLKEAKDLVEKLP ATLSKQMKKDDADELKKKLEAIGCTIKLV >CAK61500 pep:novel supercontig:GCA_000165425.1:CT868014:85459:86007:-1 gene:GSPATT00031732001 transcript:CAK61500 MDQGLDPAFLQTELKKQDEWAKAIIFDEDLNVITQKNCAATKEELAPYLKAYDVRDNTIG AGFVLLGEHYEVHRWHPPLIYGRRGDADVGEGISLARGICKKLNGKRVLLLITYELPIVS ARAVPQQINFYNQFIGELEKFDIKQQ >CAK61501 pep:novel supercontig:GCA_000165425.1:CT868014:86172:87758:1 gene:GSPATT00031733001 transcript:CAK61501 MDQLYSQIEELSKVGFPTQKLKELYQTHQISDLLELLKFTQQNKDQFNKTIAYLDALFQD SDIYKELLIRENSFEFVQTLLSHQNKKVRQLLSECIENNDFEFGQLISKLVQEKSPLLNL VLQVIFFQLSDPESEVGLKALRVIKKLFKFVDESLKTLLSSELFVTYITQGLNNKNEVIQ LRFVEILIYITNLDQAIQQPYNNLFKIVFKLYNTDDILVKLNMIELIAGMGNSKWNSELM VNQSFFKNILNSAFTNNDDFYTQKYEVLLVARMLSQRVIKFTPILEKNLIKLLSVWLSTN SSEQIEGALEIISSFVTFTEGFNTIIKNSDFLILFFQYLYSTKDVIKLKALNCYVSFFVI DSTTPKPPGKVLWVYSLFGNVSKTLSQFHSNDQVSEATIYLIKQLNTPFGEVEKTILELT MNLLEWDEIFTNIIANQQLLVYFSKISQNKEIFDSKNRVKQQIINLCTNKYKAEEYQEYA KTLQNLKLQKDVQYASNVQ >CAK61502 pep:novel supercontig:GCA_000165425.1:CT868014:88489:89880:1 gene:GSPATT00031734001 transcript:CAK61502 MKHFMRFSKISNAFKEVPSQYVYQIDPIKNFEPIKQFRVIDLEGNLIAKEYNNIPKETLN QIFDLMISIEEMDNLLYMSQRQGKISFYMTSFGETATTVGTTAALQPQDFIFPQYREQGS FMWRGFTIEQIVNQCIGNHLDGGKGRQMPVHYGSKDLNIVTVSSPLTTQVPQASGAGYGF RVNGENKIAATWFGEGAASEGDFHSAMNFAQTLKCQTLFLCRNNHYAISTPTDDQFRGDT IAGKAPAYGMRTLKIDGNDLLAVYNGVKYAREQIIKNKEPFFIEFITYRIGDHSTSDHSV LYRSQEEIDSWKSGNNPINRLGLFLKKQGLRQFNDDHDNQIRKDVRNRVIAALKHGSEQQ SPSIQDLFTDVYDEVLPHLQEQYTELRQHLTKYKDQYPINKFKGGL >CAK61503 pep:novel supercontig:GCA_000165425.1:CT868014:90231:90929:-1 gene:GSPATT00031735001 transcript:CAK61503 MENPLLDSNIIDKNNRDYVLLAKVSQNDETLRILIDQMQLKLKFASENKTYLNCSACELL YVLQNHDNLQMSIFYKKQQQKQKENSSNKCQQCQQFLNGVCFKKCYKCNSKQIICFQNEE QRLNCQICFSELCKSCDSNLEIFQQFPDKCTQKNVTNCQRYFYVITMIISIIPLFANIHS PKFQEIQILMLIAGISLVYTHEIQTSHHIILLLSIPYRILGQSLYDINKFCS >CAK61504 pep:novel supercontig:GCA_000165425.1:CT868014:91188:92388:1 gene:GSPATT00031736001 transcript:CAK61504 MLQQQVLLNFDYLRPNQNLVDAIVHDAQYIKRKERSKSQRRIWENVPYDAYEKEKMADFM KVVTKNKVVLPQNWTDSDTLKMVYCGKFKDKNYLKVLQSHLAWRAIPNNFQPTDINIAFL QKGIVYTFGRDKQQRPIIIMNLELVNLKQFSEEVYINALSYYFGIIKKHCFIPGKVENWV FIMDTKKLGLSKFPFKAIQIATKTMQVNFCGCLDKLYLLNPSSSLSFSWKMVSAVADADT MEKVQMLKPNEYAKIQERIAVNQLEEQFGGTSPNLSKFWPPINIDIPGGYTEEILKAVES MKTAEIVNSEGSTVHQRRMK >CAK61505 pep:novel supercontig:GCA_000165425.1:CT868014:92454:95077:1 gene:GSPATT00031737001 transcript:CAK61505 MSFFRSKQMKYYSLVIPRESAWVVMDQLGRLGQLHIIDYDPLLPMMNRPFANYVKRCDES LFKLNGLDAILKQFKKKLIYCEDTQKLLDHFRDIQNSRQKPGHTYFDELEQEIDKKKSNI QEIVDSITEQKLVLEKAKEILGKQMFSQSTPHNLSDYQQLKFGQLIGVIDKEDETRFKRI MFRITKGNAWVNIVDLLPEKQHHQIKTSIDLNRAQQPRCLYVVVYPGMNDQSTLKQKLLK VCDSFSKNRIEYPNSQESMDNKLRELSIQISEAQSLIQMTKKQLDVTLDELVKEQNGCNC SYFEQLRLYVLKEKYLYVNLNYLMMQGSIFTGYFWLPEGLEVQVEDKLRNAMQNSIDRFP TGQIQELKPKPGDLAPTYFNLNEVTMPFQEIVNTYGVPRYQEVNPGLFTVITFPFLFGVM FADIAHGFLLLLCGLYVIVWKNQLKKEADSMFNAMIPFRYLLALMGLFAFYNGLIYNDYL SISLDLFGSCYYPKHEEWEREQNCVYPFGIDPVWLASGSSLNFMNSYKMKLAVILGVIHM LFGILMKGANTLYFRNYLDFFCEFIPQLLFMVCTFGWMDFLIIMKWLNVYPNGKDPSIIE TMINQVLKPTDEAESPVFPNNASLQLSVTQLLTVIAVVSIPWMLFPKPLILGSGQKKHKV QANEQQYQKLISEKQGSELEIDPQQFRKDLQNAASSRSVDHSEQDHDSGEIWVHQMIETI EFVLGGISNTASYLRLWALSLAHGQLAEVFYDMCLAGNLDMGGIMGGLMSGYFYIVFALL TFGVLMMMDVMECFLHALRLHWVEFQSKFYKADGYLFVGFSYNKMLQEHLK >CAK61506 pep:novel supercontig:GCA_000165425.1:CT868014:95145:96585:1 gene:GSPATT00031738001 transcript:CAK61506 MNSYQYDNALFTVQVARKHFFSDKIYQLYLFQDEIVMTDNTLRQPKYTIKLNLTTTINWI VQDNKILAFSFTYKNTIKPFYAPKLQLLKEMIAGRVFYTSINEFYQIQMVVGNGMSGEVY RCVSNTGEYFAVKKCEKMKLASHEGGIPQLIHELSLLQSLQHPNILKLKEVYTDESYYYI VTEFIEGRALSTELQSRPYGLSVAETIKIMLQILDALIYISERGIMHRDINPHNIMKSEP IKLIDFGLARKIKNQLIFPTSGTPGYIAPEIINFNKDKLYDDRADVYSLGCVLYKLLTGE NLFHKQKNIFQENKEGIYELRKNYQHPEVNSIKMDSLFILLPYMLENDPNNRMTAKVCKI VIQEIDNNNQQIEKLIKKLVIFKFFLLSTEETHNENKTPHSMSSHSHKNKKQSIDMLKRS DDTSQKGHKKQQQTMGNLNLSFATKK >CAK61507 pep:novel supercontig:GCA_000165425.1:CT868014:96889:97551:1 gene:GSPATT00031739001 transcript:CAK61507 MDQKKVGNYYLFYNKLLGKGALGEVIVGQRIADNKLVAVKIIKKQYLEGKQNFEKYYILF ESELDQKGAFKEKLSSKSPSIIKISFRCTKQKNLAIIFTCSWNQENVHSRITNKNVHIIF AFQDKLTNEDVKKIMIQLLQALHHMVNFKLTNPLQNNKEYRGICHLDLKPQNILMIGDIP KITDFGMSIQMNNDQPSEISTMTEELSQTHHFYLGKGSLV >CAK61508 pep:novel supercontig:GCA_000165425.1:CT868014:97576:97872:1 gene:GSPATT00031740001 transcript:CAK61508 MSYEQLLGDQIPELEKIDVWSLGVILYEIVYGKHPYINTGGGVNLNQILITQMIEQKEIE FPHTNYDKWVIDLLKGMLQLDYTKRFNLQHCLNHPFLN >CAK61509 pep:novel supercontig:GCA_000165425.1:CT868014:97911:98911:-1 gene:GSPATT00031741001 transcript:CAK61509 MNNRIFRFQNINILAQALTNKIHELEFQSKKGIKYPFGNNEEFVVIGQMILEFYFSDYLI QRGYFITLSRYPNKDSSIKKPSDIVQLRQRLLNEKNLADIALQYSLPNIVQIGNQTALKR NPKILAESLKAILAAQYYDSGFDLEHVREITQPLFKELLDKGQNIPIAELKQNAKSSFLE FINNFTDLKPKVSIEWKKDANSANVFKVQLELDSLLNITRTGINKRQTEQLVYHEALMKL KQKTTNQYNLPLKSEIQKNVQNNESSEQSTIIYELERSILNDSQTFEFSEVYEFSNTDYQ ESLSNKVEQLLEKLAF >CAK61510 pep:novel supercontig:GCA_000165425.1:CT868014:100286:100722:1 gene:GSPATT00031742001 transcript:CAK61510 MSENNKKSVIIVKQNQKEEEEEESESESESSHCFVNFVNSYSRFINEQSDTCLEMGSVLN ELNEQISSSKPPQGTVEELQQILEITPQQINELAQQLKQLPDSKIYQCIQNIEKLNLKLQ LDLNVEMEKAKANGLI >CAK61511 pep:novel supercontig:GCA_000165425.1:CT868014:100811:101518:1 gene:GSPATT00031743001 transcript:CAK61511 MTQVENLEQIIQQQKKEISYLVAAPLNDENNYLKEKMKLLEQENTQQMEYYEEIILQHEL RNQEYVTKVKEEQHSFQLQIDQLEMKIQIILEHNDKLQEQMNQRDNIELQQKFQKLMQDH NQIQSNFEISNKDFQLLKQEKDKQDQELWLLKNQNAQIKTQYIYQQEQSNQKDLECSQLV NELSYLQNQLHTQIQQNKDLKKYNDDKSVIIDQLTKQLQELNQYLIDHKFISQQQ >CAK61512 pep:novel supercontig:GCA_000165425.1:CT868014:101608:103076:1 gene:GSPATT00031744001 transcript:CAK61512 MSPKNNIPLFNLTQIIVGIVAFSQGVQHLADLSIQYLYKDDFHVSPSRMGVYIGLSQLPW IIKPVWGIICDSFPILGSRRKAYIVFCGFLSFIGWQLMAYTGVDNAYVAVALLIMISSSV CICNVVGEALMVEQCANDHAANNVSVFFGFKAAGGLISAYFSGMLLMYLTKRQIFLINSI FPLTMALLSLKLKETEQTQQSQNIKEVLSTFWTFFSNPKIYQPVLLILAFMMVPSSSSIM FYFYTEVLHFTPKFMGYLKFISCLGTLLAILLYRNFLKDIAFQKIFITTTISFFFCYLST IPLVTRMNVQWGIDDRFFCLGDSVILQFIGELNLLPILVYACKICPKNIEATMYAMLMAT MNFGTFAGGQLGNFILYEMGINQQDYSKLYIFIALSSIFFILPLPWIHLINDKAIPIEKE QEPTQIQDVEEQQKLIAEEE >CAK61513 pep:novel supercontig:GCA_000165425.1:CT868014:103697:104941:-1 gene:GSPATT00031745001 transcript:CAK61513 MNQLIQQEEIQQEQIDNNQNTEQLQQQNEDEEQKQTQNENVQQNENEGLDQVEDHQDPQR IEEHEEQHNQKKEGNDETKRIATQGEMETTTQRKDQNRRLETEKQQRVKTTTERTFKTLE QIYNKRNSSSFLHLRTAEQFDTRIIHDQINRSHAKQQYTFSKAQRFSKPKESYCSMQFYD SQIQTQLSKRSAALGFGIKSDFTKRDKYIPGPTDYNVKLQPKPGVKFAYGRDETLSKGLH GRPNTNPAPNLYQVKDIVTTYKYSMGERTSAKHIYLESTTPAPGRYNVGGFSQKGNYFVG KYKSSGAPVISPSTAQSQRVKKIPGPGTYDPPGDIGDPRNYLPSQYSTRSGFRFGYQERQ TQEIKNKQFPGPGTYQIPSEFGDFEFPPAF >CAK61514 pep:novel supercontig:GCA_000165425.1:CT868014:105118:107927:-1 gene:GSPATT00031746001 transcript:CAK61514 MLNQLRKAQFSTITQYQVRGVFKQQLKEGETYNNFKVDEFYRSRDFQTLCYKMHHTTTNT NYIHFATNSIVNQGALIFKTPAIDNSGIPHIVQQILTCGSQRYPVRDAWSHMRGRSLQPP DLVPYVSTDHTVFQVQSALFEDLKQLFNLTFEQVFRPMFREVDFLQQIRVQVLGGNELIL RGIVYDQMIKQLEQPDFIHAEAVRKHLLEGTAYQYTTGGIPKEMTNASYERCQQYYKDYI HLSNAYLVTVGDIDVLQYCQYFNDLIEQHQNTIKPIKQLDYQPAKLFEPRLSLKGQPSFS FAYRGANLTETPEDYFKLGILSFLLHDIQDYGLRPILEKYGQYCLNFGADMTLHNQIIQF GFNNKECEQELYTFMKQITDNIYQVIPQNIINIALDRIRLRRSINVSDIIPYIINNVKPS IYLNQECKSEDFQSLIEKYFTKDKCTVNMEADQYYIEDMTTEIKQHLKQLQVNYQQLSEQ QKIIEEDTDVLSLESLPKLNAANIIPLLKQKNSIKLDLNFNIPCSIFAAKHPQQSNIRWI IDVSQFSQKDYETICILKDILPELFNKQVSQHDLECSVVEDKLIIELICLDKNIEEAFQQ FQLVTDPGLDNLELISQSLSIISANYLSNEDIPQIAVSYSQQEKYPIIQQARKICQLEVD ILKNYSAKQILEQLSQQLIACFYKIMNKNIQSFEVYSNPLLKDKINSQLNLLLTNIKLQF DDYLMNKIDFEQKYEVVPFKQEFQYLKLYVALNTHTNCVTETIQIAHKPANYILSNLLTH IFIPQYCNDSQLGYCQYNTGKLTFYTVNDIHTLRTYRIFENAVHLLLECLDQDNLNSAKL GSFSIEDPEKMQQILAVSEEDVKEQAQYVLKQMADGQTSQVIFGKQVQQLDEYVKRGWTI ERYISEVAV >CAK61515 pep:novel supercontig:GCA_000165425.1:CT868014:108405:109376:1 gene:GSPATT00031747001 transcript:CAK61515 MQKKTPLNRQVVLEWFEQPDELLANALLRNYQFTFSKLPEQEEIQLILCSVEKAYNSKRG AWTPCQDKFLNLAVLGICLRNHVRPVELSPQYWEQISRLFRFHNWKSCRNRWLEECHKKA SWTPQEDRVLKELQQFKPNKWCEIALDLMRICKTPYVRQGKQCRDRWINKLDPNIVNLPW TREEELLLFKEIEQRGKKWAEISLQVFQLKRTENTIKNRYYNLLKQAEAKMKFGRITKEL KNKILVDSVINQLETSINYQPKLEQGEEYQVKNYQIHLFDFDNIDNYEEIVLISKGKLVK LNDQQ >CAK61516 pep:novel supercontig:GCA_000165425.1:CT868014:109951:110441:-1 gene:GSPATT00031748001 transcript:CAK61516 MSQKFKVRKLREQKPEDLLKDLEKLKGELIQLRTVKVSAGNAQKLGRIGLVRKRIAKYLT VINEQRRNQVKSATKSSGKLPVDLRGKKTRAIRQRLTRSEKAQKTQRQWKRLNNFPLRKF ALKE >CAK61517 pep:novel supercontig:GCA_000165425.1:CT868014:111318:112328:1 gene:GSPATT00031749001 transcript:CAK61517 MASQKFMEVLSNNDKLRMNDMKLIEQEQYYISQLKDQREKMELLKIEFLKKDHVILELQG HLEQLQEECEQLREIYQEHVQNQENEFYRLNQLQVEKDNLMKDNEELKDVILHLRNENET LNSLQFKNDDQLRQLQEQLVVWTDENQRLKQEIAKLDELLFTYEPLKEENEKLNEKLKYY KHEKHRLSSELKQGKQEINKQIEEFKQGYVKDLKNEIQRLQEYKEQYQQLKIQVQDFEQQ YIEAIKENNELKLEIKSLYEKEESEIKIKSEIDRVRQDLMSVRYQETLKLNELFNGMINL SSLANSRDHFN >CAK61518 pep:novel supercontig:GCA_000165425.1:CT868014:112601:113048:1 gene:GSPATT00031750001 transcript:CAK61518 MSKKEEIIEINLEEKIQQEKRNKQNVRKGYQEKRQKLQAFTNDRHRNDNRNPGTFVDITN LHYSVTNEELKELAQQFGKVKRAQVEWDKMGRSLEQGYVEFFYVSDAKKAVENLNNTTIE SLPMKAQLRDQTTRRGMFKR >CAK61519 pep:novel supercontig:GCA_000165425.1:CT868014:113049:113489:-1 gene:GSPATT00031751001 transcript:CAK61519 MARAQKDTRPIADQATSEAACTYAALILYEDNQEIAASKLAQVIKAANLRVEPIWTKVFE KALKGKKVGDLLHGNTGNAPAAQTAAQTSAPATQQAKAPEPAKEVKKAEEPEEDVDMAGL FD >CAK61520 pep:novel supercontig:GCA_000165425.1:CT868014:113741:114143:-1 gene:GSPATT00031752001 transcript:CAK61520 MKFICLTLKEIFQRNQIQIRSPLLIRQLEKFMVNCTMFRNFLKQEGTLHLQIKCFWLIMW IEVFIVLKLLHCFPSIRVTMIRGNDETRASILEIDEQDNRYFNIFSESPENMKKIWEPKA >CAK61521 pep:novel supercontig:GCA_000165425.1:CT868014:114678:115088:1 gene:GSPATT00031753001 transcript:CAK61521 MSVLQSSSEPMSMAESIVPYVYEQGPILNIVKNGDELVKSSHFEEAYEVYSSALPLIYQN SRPEVIEPTTEDVLLGLAKCALTLNKLSESKHYCFEVVNSLNFARFQRVIPSIHKPYCIQ DQFTNKRVMKRKVKSI >CAK61522 pep:novel supercontig:GCA_000165425.1:CT868014:115448:117004:-1 gene:GSPATT00031754001 transcript:CAK61522 MCEADTKIIGKYIQNTKRKLGQGLICSVYEAKYLDTNKYVALKKISRKTQDSRIVSNERL QKSYALEIEILKQCVHKNVISLVDNFETQDHFYIILELCDSNLKEYLEKKTGKRLEEEEA MDIFSQIVEGEKCLHKQKYSHRDIKPENILLKDGCVKITDVNLAKNIEDMYKAAAHSIVG TPIYSAPEINSNTNFCPYKADIYSLGIVLSEMLHGLIDRCQVITNYQNIIKIRSDLEELI NRMLEKDPKMRADWSDVSTFICYYKKLNLLDKIISSFSEIQQSIQDENTKNFMYPTGLLI IKQYNKLIDEKTLELQARKKYNYLKDMKQHEELRFYVQNAKKWYENQKTNQTQILCESGE LKDELRHSERSKQFNTHFQSYLAAFYKKLNNITFNSKELNFKIKYFQLKLLIACDLICDF QNVQKLLSQPLMIENVLSLGHCLKDIDQVEKYTKALLPIFEEILK >CAK61523 pep:novel supercontig:GCA_000165425.1:CT868014:117057:117928:-1 gene:GSPATT00031755001 transcript:CAK61523 MISEIIVDGIVKQIIHQARKLIREHQIDAKIPAFLKCESMHQIKSAINLYQIDCQNSSIL LDDQEYNLRPTIDSFQALTLKLIDRPIIDLGELNQNNNLSDYSSTNKSIVRRHSLKKKID VQVTNLKEQKPTTEITQQDHQEIYKKQMNTNNNNRHSLIMKQQIRDQTNKVTQLKIENMF IDNFDPNEFRKKIQQQAENLVKEIKQCDRSFQIKKVSDKSIDTSNYLNQTEKPTTAPHGQ RRPQYIINNKVTDLNATLPQFKRQLTPVEHKRPSKVRNASVV >CAK61524 pep:novel supercontig:GCA_000165425.1:CT868014:117934:118788:-1 gene:GSPATT00031756001 transcript:CAK61524 MHNKTTLLSEGSSSNVFLTEDPNYVIKEYKSFYSIQSRLKEVQILELLKSNHIVKLITYT DNYLVLERLQTHDLFEVLKSQKLNTQIIKEICKTLIKIINYVHQNQVVHRDIKLENILID KEGGLVLCDFGFAELLSNCTAKRTVGTLHYMPPELHQETLLGGHNSENINTQVLIKSDVF SLGVTLFQLILGFMPFKSTKPSASCKLWKLIQQKKWSQYWALVQKLSKQQIDLITQDFLE QLLQPDSTTRTTLDIIYNHPYLSEIKDDAHLI >CAK61525 pep:novel supercontig:GCA_000165425.1:CT868014:118863:120013:1 gene:GSPATT00031757001 transcript:CAK61525 MGQTDSKQEQDFLRKIDTQYEFLKHLNDARYGDGKLFGKRNDNIPDEPSFQAWKNKLQLR QSLKHPNIIELKGKQKTQYQLESIQAEEEQVCSTFYKINLVFEYLPQTLQDAITQRKQAG AYYGEQEVLQMLNGSIEGLAKMQELKIAHQNLRVRTLSYQNGTIKVSDIPLLANITSFAA VLQDYGNASEGNYLSPILTKAVYESNHMPQHNLFKSDVYTLGMIFLQVCLLQPQDNCYDY FEGKINMQQLVTNIEQARTIYTSELVEIIEEMLEQIEKDRPDFIQLRNKRREIIPQYPPQ FVDKNTRQQEDEYQMMYYKNADHQSEVNQSLQPSYLDEGPLPLHDGQLRSITLTIQRTIT SQLQ >CAK61526 pep:novel supercontig:GCA_000165425.1:CT868014:120034:121146:1 gene:GSPATT00031758001 transcript:CAK61526 MQTSPGIPSVRRIIIYKQAPMKQNNSIGQIPKALIQEFQVQPISFAQDNNILNQDIYKIP DQQKSNIKYDSYANPYNHMKQDAYTQSSQLLNNNNQQKSNIHLQSYVTTYQPPPRESLQV NDYLDKFHFTSPNDIKLFEQNNQTDYNQPNRESYQYYPAQPQSRFMSVQQQQQPIKQSNY VPVQAASTYLPKQNLSTYVNETYPNGQRYVGEKINGKKEGRGRLYYKEGGYYDGNWKNDR INGQGVLYYASGRPAYDGEWIDDKFQGQGILYNDTPKIEDINYRNLEDIGFAWTKYVGQF YDDNKNGQGTLYLLNGDRFEGSFQDDQAQGQGRYISIGGKVIQGFWNFNHFVQ >CAK61527 pep:novel supercontig:GCA_000165425.1:CT868014:121191:122290:-1 gene:GSPATT00031759001 transcript:CAK61527 MDLTMSLEQLQCIEDDRQYYQSISKSTQQQSYQSIATLQSDQSIESLEKLVSQEIVKDSN LKLELDQFIGLLQKVKPSNLKNELSVVMSTEQEEYYSFQQKQPLDRKEIMFEGFQKCYVE AIKIISNLVRDSKEQNYIISYLEKMQMIYIMHIQSYQGMEKSMNLLNSLKQTLIDADQEI TLLHNQTAEQQQILQKMQLQLKQSMSELNQERLNSLDLQQEDLAIKTLDFEKLLQTEQEL NEIVSTQSQQIKQLVQTNKNLREAIVFDDKRQDNPKRVNCSPLNSQRNTVNSNQENQYNQ LNNQLTLNINTQRANNIDKGITNTSPQQSQRCQSNKREWNKCTKVFK >CAK61528 pep:novel supercontig:GCA_000165425.1:CT868014:122355:122905:-1 gene:GSPATT00031760001 transcript:CAK61528 MHKHGKRLHMGSQNQQSLTSLNSKNANQETEKQQDQFEIVQQSFENSMQMNVKDHIDKLF NILNKIKDDLKVSRDDMNVIREELHKMKSNQYREEDQITKALLSDINKQNSELNKQKIDT QTVYGSLNMQISSLYCDKDFLNGNTTKVELDTNMVETHVGFRRVYD >CAK61529 pep:novel supercontig:GCA_000165425.1:CT868014:123056:123568:-1 gene:GSPATT00031761001 transcript:CAK61529 MNFDFPFAEFKTPQKPYYVRSNHKHHSSQPQLSNYFTDPYENKQNLSKVGKETLKKWDFF SDPFSLPDKYTSKSQYKLKTESTDFGFDSIDLILGKQTDRHSTTSQVIPQKTQSEKLIGK KVQFSELVQVKNDDGSESEEPIQLLSRKETRKSKFSTQNQRPLDSLKKQC >CAK61530 pep:novel supercontig:GCA_000165425.1:CT868014:123589:124716:-1 gene:GSPATT00031762001 transcript:CAK61530 MQQNQSYTKIKCLFKGYAGKVYLIERRSENDPPNKKHTPLVLKRYYRKPSDPQDHTQLNE YKFLSTLHHPNIVNFLDAFTEKFEYKKYLCLTMEYMPPLHELLHSINDRQLYIFKELCQA LNYLHQQNILHRDIKPSNIFVTTEGQVKLGDFGISTQMRDLMTPQTCTKNYRAPELFFGL KEYDASIDIWSLGCTLVELFTGKILFDGRSEIEIMSQIAELLGSLNDSNWEGVSSLPMYL EFVNDKQPKLPKVLSQLPKNIQPLVDHMLRMNPKERPSIKQVLQHLNEMEIEDCSTQLAI IAQNAMSASNKIKFQQVI >CAK61531 pep:novel supercontig:GCA_000165425.1:CT868014:124946:125582:1 gene:GSPATT00031763001 transcript:CAK61531 MVNLRLQKRLASTQLKVGINRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKVLWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKAQITKKTQNKFAA E >CAK61532 pep:novel supercontig:GCA_000165425.1:CT868014:125591:126308:1 gene:GSPATT00031764001 transcript:CAK61532 MVFQTSNMLNLQLNYIQFNFQTMADPKQQPVQQPKGKKGKKTGEETTQQVVATLGPNVAG NELVFGVAHILSTWNDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYE KLKTLKINALHIKLRARGGVDTRQPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRP GGRRGRRL >CAK61533 pep:novel supercontig:GCA_000165425.1:CT868014:126749:127000:1 gene:GSPATT00031765001 transcript:CAK61533 MEKQSIQQKQKKQTEQKLEIFRKSNLNHKRNYYQLKIKGRIQKLKSLKLAQLKIFDGEQQ QCSQTSEQSIKEEYSNDQLQQKF >CAK61534 pep:novel supercontig:GCA_000165425.1:CT868014:127345:128719:1 gene:GSPATT00031766001 transcript:CAK61534 MIIDNEKKQIRQLFANDQKYVQNEKKIQNDFIVQMQVAKYDQQTKDDPLLIQAGLTQSKQ SVFIIQGKLQNDNNIRSITYYMISQLQNKKNQQSFHNIIQRIFHYLSQYRVENLLEPIEI LLCLQRITLIIIIHGNFLIKSWFLFQNSIQIQILKQKTIQLEKVAQLLNYQYFNKSQFEK LPSYCKDSSYYQLQQAFTGNQQKLVQVDQNQLYNLELGQYELTSKGIPFILDLCITKLLQ KPQNIQLEGIFRLCSAQTHDKEFENYLLQKQYEELLDYENIIVIANFIKRVLDKLRYSVV PYQYYDQLNTIHHYSIEEGQKLIQQFPILNRNLFTLIILILEQVASHSDVNKMNASNLAI VFGITLCRPKEYQQENIQQQYQKIKIVNQFIQFLIENASQIFPNQRINDFILETDIEQKV KQYKE >CAK61535 pep:novel supercontig:GCA_000165425.1:CT868014:129165:131343:-1 gene:GSPATT00031767001 transcript:CAK61535 MECPMCFDLYAQNKVARNLLCGHTYCSVCLEQIYNINKRIECPLCRTKHEPHLKPHLLSK NYVAMDLASRHLEVQQINSIIIRKKFDLCPIHTKLPLQFFCEDDQSNMCTECIADHYGHK FFKYEHSGIELIYSLVSLQQNRLGKIKSKLKFQFDILEKQVKGFDNSKEQLQLENMKLMQ QLDEQFDRLIKKIELRKQELLEQLFKIFTTECEQIDQELAFNQSLLTNMASLTAKLDQKQ EELKGVKALKNNDLIKEIDDLEEQVDRDVAQQKQLKISEIKKLPKLVFDLKLINEISKFG QFKKDISNPQICFFGDKHKILIYNIENNEWTYKQMPNNTLEYNYYAAAVSLPSGDIIITG GGVSRNTMLISPSKGFQSQALKNMYFPRKEHACVYLDGFVYAIGGQYNLLKFRYDGSAKQ MLSCCEKYSLVSDEWKIIDPLQKQKCAFAAAAAINKFIYVFGGFDGRERLNTIERYSVKD NQWKVLEVKFKQGFSNAAAISYDDNKIFILGGGSNQGFSYDLQVYDVKEQVVKTLSKMNE GRDLRNKLVIHDNHLFACGGNNQSVEKYSLSQQTWMNLKSYDSLVQDNLDSWCSALTFEI NSSNTVSNLLKHNQQKQQQYQKYKFEEQVSFENDSFNQDAQSEEFEDLEDYANNEEIFQF >CAK61536 pep:novel supercontig:GCA_000165425.1:CT868014:132115:133385:1 gene:GSPATT00031768001 transcript:CAK61536 MSMCQYWNYVNVNICLEIESLMEYLQQKSHINEEEALDIFSQIYRGLSYLQNQKYYHRDI KPSNIMFKDREIKIIDFGFCKQNIGVDNLKQHTRCGTFGYEAPEVKFGVYDPEKSDIYSL GVVYYEILYGLQNFHSKREFTYPQEKQVSETTKTFVDMMIQEEAGNRISWPQIGQILEAI KNTYNIEFHQKYQLDENLKEKYFILKKLLQDLKKIRKQIKDFPSENLELLLIKKLIFDFE STKLEFQRQKIELEKQLKILQNRHDVDILELQILQNHSLRTKLNKLISDLESERYISLNE NKEVKLKLCNDYFKKHYYHLNEELFNEQSENFQSNLEKGMNEFLSILQKPEFNTSENSLQ MKLLRINIFIIKYSDNSFVKSHYNLVTNQRSFILKQVNNKQRTQYG >CAK61537 pep:novel supercontig:GCA_000165425.1:CT868014:133558:134651:-1 gene:GSPATT00031769001 transcript:CAK61537 MNSEQQDSSNQLAKANLEQFLRKWWLQLLFGTKLVIGISWLFGFIDLLSNSAFYLFSNSP EQTIPFQIQRIFFSQFFTIDYTIDLLLAIICVYSKFEEIEAKYSTVIFIILILAIGSLTQ LVCVLLQWALSSIYSPFYEIKAYGLWNYYIFCVFQECLNAPNGQSLFLIFPMQLKNQYYP IVLIIFFTLIQQSLTFVSTGFVSFAFYLFQNHLNYLHHANIEKIEKSFPLKYFTERNDFK KIQNYQDQLEPSVDARELQSVETPPAKLTSQGNPQQFRSSMVLSELQKEMNSKENECEQP NQDEDEEKKQNESNPSAQFE >CAK61538 pep:novel supercontig:GCA_000165425.1:CT868014:134936:136669:1 gene:GSPATT00031770001 transcript:CAK61538 MLSRLCAQKFTTQIQGVPIKLLEAMKFDISKVSEERYKNIRTLTCYLQDRLHDSQLMDFV RDVELIKDKVHTNTLQLKSSIFRLFNQYQVLDLVPLALRIDLQELQPEHFYDDFDRIETE IKSEYNCFVQNRASLFQPQNKSLTDFIQFKRKYHRLRIEEDERVPAFTQIMDDGLINTLL PKQELESYDTNSKEVVMNQLILARNSRLMNKQIKQNNESELRTPLQLRQVESVEEIKETN QVCLYNLPYILDEKFKQECKEYFESRFGEIESIEYFEYSNFKKQIDQMTTINNQNENKDF YQSLDQLSSTKKLLERVKVNKNKKLYKSYAVINFKNKESKLNALYQDLRIFGIKFKDLQL RIDDADHKKLLYINNLSKFSIVKYLVEFLNMHLGIQFEPLDERIQLQNNIVCLILKDFKE TQLAFNKLNDLTFSRFKMNVFHYPDGLKYMGNRIAEHFNSNIALLILEQNLSKLRFEMDE NWKDVKFETESRDIVTKEPQYPISQEIHSTDMQQCIIEMIEDSYQEEEFQLELFGLVWDE EAQY >CAK61539 pep:novel supercontig:GCA_000165425.1:CT868014:137434:138400:-1 gene:GSPATT00031771001 transcript:CAK61539 MDNNEEHYEVEYVFGKRLDKGNEVDYAVKWLGYDKKHHTWEPISSFSAASLLLIGRFERY LAYKNYYKLIAKKVEKAKQPKKPDEPIRVHIRNRFTQVLIKEAEIINIELDTDEIVQKKE TFQINDDDPDVQIVSVSFKPIKQSESKDLNRFKVQKVSQTLDFNRMMHIRQQQFNPIKIN TYKSKYKVEKDYLNEIIFEQRQKEKEVNMLKFTEVNDKQEQSQPIKLISEYSQTEGFSTQ QNIYRNQQFKHRVFNQKVPFEFILSHHQIQNELWFKCQSDEQEILFADLQTLQQNYSTLL LDYLAAFSVMIQ >CAK61540 pep:novel supercontig:GCA_000165425.1:CT868014:138758:139461:-1 gene:GSPATT00031772001 transcript:CAK61540 MSKADDDYDYLFKIVLSGDSGVGKSNLLLRFTKNQFNADSKTTIGVEFATRSITISGKVV KAQIWDTAGQERYRAITAAYYRGAIGAVLVYDITNKQSFEAVEQWIQEVRENADKDIVIM IIGNKSDLKHLRAIRTESGQDLAQMYKVAFMEASAQDGTNVDQAFIQIIQQIYQNLTKQL QKYDQMSHLQSEKLDLEITNSGNKKQGCC >CAK61541 pep:novel supercontig:GCA_000165425.1:CT868014:139943:140285:1 gene:GSPATT00031773001 transcript:CAK61541 MKLKIVLLFTIICLISISTFYMTLQNDQTLLKLKTNHTFKGTNTKHTGYWNGDLQCTAKC QAQDGISCGQAWQNCCRPSQCISEWYGAKNCKDRIPVPGCSDDEN >CAK61542 pep:novel supercontig:GCA_000165425.1:CT868014:140618:142993:1 gene:GSPATT00031774001 transcript:CAK61542 MSQLSAYNLLEGFAKQNEVEVLSYSVNFLRDVNVIEVDPVQSKFNQNDVLNNKFLYKHLC TCNSFIQLFQKSTVSTEIATMGVPFAPELNEIDFKNQDQVYKNITKNLKDSFTIFEIQHN STTISISFCEELDSFCISYGTQTIICYDEKDIELNKNPKHDQLRQVATSFFNLFNKMPRQ QQNNFIEDLTNRSLVGCYNHKKQIEWFAILEHYAEQRMINPYTVQGFLHHYKLEPIQIYQ TQCTQFQLQKHFSQIYNQLIDQFNDFYKGKTLYFWKGDLFLGCCFIPFKQYIILNELQSI IMNKEKEINKKEPFQYLSNFNLNDQDLEFYKKYTEMMLDNSEDIKNTFQFSKLTTQAFNS IKADLDYLSKKQQGLIPLSYCMQSAIIVVIPIGISGMGYEKLCLSIQKTCLRVQIIKLID QINEKNQLYFIEKICNPKELEQVNKQIKSLPFNIKTVALLPECQSTYEIKEWQCSFPFSF NFIMHCLLSVLDNKNSVKEIINQLKEFQNEKLYNIQTDYKIKCRFIDDKKEKNDLYSEIV EQDFQAALKSQNDQLIESLSQYKDALTNMKDNHLQRESKRLITTIEERTYIILRKSVKFQ FEKDHQLKYGLFIEKPDWVEIDKFVKSCLEIIVQEYPNDSVIKRMYHQFDSQFKAQNAVF MRISQPYLKAKQLQEKPITTKVSIAVIIVDGIVMLQPQELGMDLLQDIPIYTHNIDNLKS NKVSEQVKLEVKKMQKQNIEDNTIKKKQVSFNGKDWDAFIVKFTPINIRLIGRQIN >CAK61543 pep:novel supercontig:GCA_000165425.1:CT868014:143959:144326:-1 gene:GSPATT00031775001 transcript:CAK61543 MNNQTQFTNPVYQPVQTPLMTMYSNIFSSQTPFASSKGINQEPIVTAMDQIIEDRTSAEF GQHSRHISLNYQIVENDLRKVVEKKNYVACQIF >CAK61544 pep:novel supercontig:GCA_000165425.1:CT868014:144343:144798:-1 gene:GSPATT00031776001 transcript:CAK61544 MVLGQVFSFFSQQVMFIWLLVFITPLYVFLASVMAKGLQILYQMSINRQSLIYKYAGDVS WLFPFKQNEQEFKLVHIILLAVLIGLVSLIIIGGVMLQNQFEKQKVIEKVYNKEEKTKKK VATE >CAK61545 pep:novel supercontig:GCA_000165425.1:CT868014:145530:146206:1 gene:GSPATT00031777001 transcript:CAK61545 MGVCAQSQKKSQRQIGEREVCFVLESLTQESQVNDVYKTLIGQTQVVFENLINNKIISRN ISQTEYDFYSRQTEELSILNNYLQNYYNNYYRIVVSNIKSENLYYFQNLNLKFIIQLYLA MKSIKYNRNEWWNSQTFYSLEKLIQNRFNIFPKVVEEGRISPFLNFTLLLMKLSIKLMNL EGMTLQEKEIEYIVDKKGSNLYNQLKLDLQI >CAK61546 pep:novel supercontig:GCA_000165425.1:CT868014:146300:147484:-1 gene:GSPATT00031778001 transcript:CAK61546 MESFIRKFIYNYYQTNQLADQLARDCQYEKIKQDDQIILQEGIYFVVDGQYQLAKRFIKL PNFLVIPLHEKAIVFALSDVHLLSIRTNFSSYLSQLKELRTDIIIEFLRKTLFPNFPRNS VARISKYFCLTTLPFNHVIYKENEDSRFVYLIREGEIKLQKEKKQLKLLVENQIFGEYEV FFNRARFAKAVTNSSVSLLTIKSEIFLTLLKEYPILKQRLLCQSVLRYEFMYQPKSIENQ QDNRQNNSLLRRQKKTLRSLSPQQDCIQMFEMSQQIQKERVRTGYKVMPLFFNYRVSLVE KCATTVQSPQSSTRRKLETTNSEVQFRSQSPVSNQKVSKIISSKHNMFRQQPSREFLLQN FVNQSKPQF >CAK61547 pep:novel supercontig:GCA_000165425.1:CT868014:147677:148453:-1 gene:GSPATT00031779001 transcript:CAK61547 MIQGIFGDVTLANISIADLRKNKQNSANQPIKQQSRQSQIFTQNTFHVHIKRPNFDSIQL NHPLLSMDLNDILNSKYFESNAKKFGEILKKNQYYLEEYQIKQNQQIPIDNRVDQKQIAQ INAPIKNNKQNMVSQYDQARRTTITNYNQDKLLSEYQKQNNSCYPFINPYQVQEVQNTSQ EKSLNEIINFFKQNNFDVHTQELQFYIDAYKTKDPIKLLEMYKADKIR >CAK61548 pep:novel supercontig:GCA_000165425.1:CT868014:148513:149314:1 gene:GSPATT00031780001 transcript:CAK61548 MEPLTILTNIIQPEYLKYYKDWIHIASEKEARGLQLIGAIYKHKGRKLFRQYSDRQSQSK TSNSSITRANRSIRIRVILLQSILNSFKKAEEMHRKNQTSTTYGTEFGYLQKLLKPQNNV FKFKKCSELEFAQPLNDLAKLFLDNWIEMNDELEFQELVLNCLRALFSRFKAQLVPKTEM KQATLQLFIRVKYEYKPDWKLSNPIRVDKAGTDINAYKTNYNAIFKQRLKQEQIKQKIAE LDGTDFAKALTIHKSFKIT >CAK61549 pep:novel supercontig:GCA_000165425.1:CT868014:150547:151615:-1 gene:GSPATT00031781001 transcript:CAK61549 MKLDINCCEDDDIMNQFSENGSLIFQGFRLNEKGIKYHKQCKKDQKQQNITLNDFQLVTK LGQGGFGSVEKVQLKGTQEMYALKKIKFLSDTNQEKLLTRELDALISCESDFIVQCYGAF YSQGYICIWLEYMDLGSLDRLLQKDGLIKEPMMMMITYKILQGLDYLHYKHKIIHRDIKP HNILINSEGNVKIADFGICQTVSTGQYLNTYIGTAIYMSPERLQSMNYGMDADIWSLGIM LIECLSGQHPFKKKDYSQIQQMKQIMEFDVEQYLQEYNWLPETKEIIQKCLHKDPKQRPT VKELLQSKPMQMAKNINEQVFHQWLKLKFKQ >CAK61550 pep:novel supercontig:GCA_000165425.1:CT868014:151640:153296:1 gene:GSPATT00031782001 transcript:CAK61550 MQQQGQAPLQIEHYIIGKTLGVGAFGKVKLAKHNITNTQVAIKIINKRKMKNSRMGAKIR REIRLLRYFNHPNVIKLYEVLDTPGDIFVVMEYAERGELFDLIAQRGKLPESEARNFFLQ ILSGVEYCHNNLVAHRDLKPENILITHNYVVKIADFGLSNLMKDGKYLKTSCGSPNYAAP EVISGKTYCGSDADVWSCGVILYALLAGFLPFDEETTQALFKKIKSADYTIPSSFSPQVR DLINKMLTPDPLKRIKFHEIHLHPYMRSTQVPFYLQIPFKLDEGRRQINEDVFEKLMQLQ TVNFRGMTQTQIQKSIRKREDKSFVVIYDLLLGQLGVESSTPMTLHNLTMHDLIFNPQIP QIEGQSFNNCLLNEIQKPQPYDYGKELPKDIMAIVYPYQARQIVNAIYTCLEKFNTVIKI KSPDYKLKCYHKNLIKMTKYNSSMELFNEFQKEVEETGSKNDLASLTFKDDGKKPKIKEN KYSAKEIIFNIQIYKMPTNNNDHMIDFQLCRGHPVVFMDFCNKVIALLNQHFNQI >CAK61551 pep:novel supercontig:GCA_000165425.1:CT868014:153341:154550:-1 gene:GSPATT00031783001 transcript:CAK61551 MSQQFVGINGEQTGLGFTNRDQGAKVEEDQGLIDFKIITNNGNHERMKMLIDLKNIFARQ LPKMPKEYIVRLVFDRNHESMCIVKDDTKVIGGICYRKYPTQRFAEIAFLAITANLQVKG YGTRLMNKFKEHIQKQDVEYLLTYADNYAIGYFRKQGFYQEIKMHPDRWKGFIKDYDGGT LMECYVHPSIDYGNISDLIREQKQLMIDMIKKLTLNDRVYPGIEKQNYKMETSNGDRPAV KPESVQGIMESGWTIEDYNELKKQKEKTFMISCQQIIDTMRKHKSAWPFLEPVNKDDVPD YYDVITDPIDIKTIEKKLQNNQYTSKDLFIKDVKRIFINCRNYNQPDTIYYKCANELERT IDDYLKKLKDESQIPGVSKKIKKTNNK >CAK61552 pep:novel supercontig:GCA_000165425.1:CT868014:154561:156298:-1 gene:GSPATT00031784001 transcript:CAK61552 MFILSVLEGIVLLFYVGYLVREYSQQQVPFYVKLLTYISWILSFGIVFIIPHDIYYTIND YGDGYDYTVILWKWIYWGNFILCWLILPVCQEYEDAGEFSFKDKLIRSFKNNIIIYAYFL LFGLIFIAYLAIFNKLDFNSILKVLVALAYAFGILLVVILLGHGLVAIPREYWRKAQYQK CLKALYLEAAQINHTIQELYIQLFNMTIELKQNKQLNPNQQCIDYILNEIPYEIIEDASH KLLNIIEVKQLSSFCEINKKAKKRAAEYKRAQTKWDHICTECFLLEDMIDNEFSVHYKIR STLRYPKSGQFGHYVDILQWLWYTKIKKAYLLSLCVIFSILSSIVILSEISCFTEFDFNI LSRIINVNGFMHTQISILIPLMYISFCAFYGLFHINFAGMYGFYNHQQTDAPSLMFGSIN FSRVSFPLTFNFLQMIHIQGTPFEDVVGNMDTSSVLGMSFSYSLPILLIMASIFNFFEVY DKILQVVGLPQFKFSQTQFNSEEGERLICKARVKRERDILNKVGIKFLDQCEMRELDSRM IQFV >CAK61553 pep:novel supercontig:GCA_000165425.1:CT868014:157141:161303:1 gene:GSPATT00031785001 transcript:CAK61553 MQRFKEWLKPMKDYFLNSFSETSQLFLSNFLGDYLEDPQQLNIIALIKGIDNLQLKTKYI NQLLGSSPFNFEDAQIKSLKISNLKFEAEKITFVIVLKDRSDDELKQYLQNLEEFRQRES AKINYLYKLRKEVYQRNCLEQCQNQNYSVESGFFNFLCLADTLLSNLSISIKNIQIIFKL EQGVQYEILTSGINLELHKAESNNILYITTIIDLIELRLKNDQIALMDKAIKLNIILETK DDNQVQLKLNGNVSSLDFLLNKKQIKTILKSYSRYQLKVDQISKALILLQNQSPGLVVAQ NDKKNIKQFEMKGIEALSTELQKSSELNSFSNQQSQEVFQSLQLNVGETDYYVDPKIKNQ QEQFKCYFQGIKLAVCEDAHQFPSLRERFFQELESHFFIEIADIVMEYSEKCLKLNIERM AAYRIKLNSPSFQFNLRETNNSAYNSERFFSCKSIGKQEFLVTPVVLIGQHLLQKVEYDY SIYNFANFQQQSDGKKAIDVNIQLLKCKDKNVFYSVKAEFSQIVASFRYDSIMNLLTYVP KQQIQQIPQKKTDVLYGLDIQIPHIMLSFFINEKTVSLKTKGITVKQKPTKAVELKTGFQ ESCEEAKYFQNPIQLISVSFNQIELEFEGQNIVIIDQDNVNKIKTYPTIYFAFQEIKQMK KERIDQLESVFNYKKQKCNLIISGLIPILKLKLSDNFLKWLISLKQYQQKFIKVKKQNAK KISQRFFYQLKINFASIGIEDNSLKLQTVSIVKAKQILILIQDIIAIDSQSLTPQNTDQK FKNFKSTQMFLYRMKNEVNSIQQDIKKDSFEISNNPLSIDYGKYVIQADFKEKTVIKMKN ICFRLSDFQFKSFLKLRDLIDYYIEVDSQIQEDWFCKAQILTEDKDEEKQIQLNLEEDCF IDFLPLVSVETFSNQNEIENQLAYSNSRILLRVNNAKISSIVELNSIEIFIIGQNEMNNL FPLILNGFFDHKSHILQFGSQLKLKNVKYQDKLISIDQIVGNFKYDTIQNIIDIFNDLLK LIPQADRQVSEEQYKISQDFSQQLINEKIVENVYQFEVGQITIELEQGVTYYEVDVIYDD TEWDDEFDQTQDSNKICFNFSRCLFKVEMYKEGQIGIGYRSNFEIQDRIDKLYHKLILHP DFEEFELQDNSAFKFGLIIENQAYTGFISIVPMKIYISGALLQFIINFMSNRIKNEEKID LDEIEIIKNVPPIIWLKNLQICETRLNITYDSSGLKVDGLLKGLLKISSFCNLQITLKDT QIESKGDIQHVQQQIIESIQESFGSKYQIAGKALQSLDAFVQVKNLGQEILNLFTSPFEK LGGSSGSIDLSKSLSEVFSNLKNGQERIQSFKFLSLSGSLLKRY >CAK61554 pep:novel supercontig:GCA_000165425.1:CT868014:161340:161921:1 gene:GSPATT00031786001 transcript:CAK61554 MYFNNEMQQERNKYIFLMNVVSALLDLNISTNCRLTGLVFTRLAYNYSKVLPSEQEKIKK IYYSQAQELGSQFNQGLIEGQVKIQQFEQNTVINYIDELRQYIDQEVQEQQLKFILACHL NNVIDALKIEEFFRKYIIQEEARFQKQPYFEVIHSLSLTQLLIYIDAKIRTILYNQQ >CAK61555 pep:novel supercontig:GCA_000165425.1:CT868014:161944:163857:-1 gene:GSPATT00031787001 transcript:CAK61555 MLSLPFDKWSLKFFDPEFESQYEDHLNKIRLISFRILNLTISIAAFICLLTFVIQQQSQL LSILVSFVSGGCVFLLMISRRILPYLKMVFSFYYVWAVTTNILIAFAGFSIPNFIFGFNT CALAIGTMQYSDNRLKVAYTIITPFVLLGVFDVYKADNLAFVFQTVSCTTFIGIWGYMNE YTSRLAFSLNLISNKQKDLINEFVNDAMFALSLDERSRQFILEFQNNRFVELMNIKETEQ IKGFLRSTFVMMKSNESQTKLRDKSSTKLINLEEVFFQRIQSFQMFSNSRDQQNGNLEIY QHDLIKNETKKMCLQIRFLNFGKPIIFALIKSEQVPNLINKYESQIKEYQQLIINMSSKI IKKQSLLYEEMKRVKLENSFEQQKLIQIECLNLSIINYIRNFMLFFQKNKIVGMKSILQP FKFENYIATINQYFQAISAHYSIKFKLQNYIERNCLFNINIKYLTQILINIFDELIKNLN ENSMISLRIIEEFQLNRNEKKQKTQGFQNTQINDGSTTKQFKLVQFTFIFSSNEYINLNN LTFQKNFTYNDQKSHIFEDGSIISEITCLLLDNIGPNNNIQLNQYQTPQMSTYQNTISFF IFSDQSQLEPSYLKVREKPLLI >CAK61556 pep:novel supercontig:GCA_000165425.1:CT868014:164766:166448:-1 gene:GSPATT00031788001 transcript:CAK61556 MQQLYQLLLTQQETLPELTYSVVYRHSNGLGGLDNTFTNSTQISNHASIKCMAISKYSIV FGGDFGIEHWGQNKFNIQMRVQAISFSPDYEYIILSAKETILYSTKTQNFVKAFPHDVKF DSTGSLCFCKGIVYETATFQQLKQFKCPEYGDFTISTQRDSLYLSSVTLIKHLEDLKDCR LIIDNYDTNKHFEHSIPPSNEVKQLWSDDGKILLIWSQTLDDHTNQSYFGTHHLNHWTED KLQNIELFEGPIHDVQWVQNGFIVISGYMPAGAILFNKSGEKEYLILQAHINSIFPKQNL VALCGFGNLTGDIYIYELDSLKKLGQTRQDKVVQFEWSPNGEHFLLATTFPRLRVDNQFI ICSKYGDLLRKEKFEELYEIKWVNDNNLNIQINIVEEVKQSKQINLTTGVDFIKQMKEAK VNDQPRKLQKDEKLSTLRSSPQNQQNVQLVQTAVPPKPAPQKQVFMRRQEPIIQQPTAEE LEQQYQQHLQQQQQMKLEKKQKQKHNKQMNHQNHKQKQKHSHQNDFQNDPNQDLGQNYYP MWHE >CAK61557 pep:novel supercontig:GCA_000165425.1:CT868014:166695:167783:1 gene:GSPATT00031789001 transcript:CAK61557 MILKEQQIIENIDPIELQFNSSASGSNTTNDEFLKISQVQFKKELSKYIDYQYPSIKHQQ LFCLLSIFKNESLLNRDHKKQKNVLIDDMLTFGSLEKLVFQDQTIIPNDYILPDCEIIQC LITKQQKRLSYSFIMFLNILKQLETVLPITAIKLIKQFASQKPNCIVDIGRQKMVYIWMS SNDERNLCYKDDEIILGKDLKMIVHSVKCIREELIDRKKEVNLKNVQKFLKGFQKIDIIG ISYSVQQILRKLMDAFEVQEVQQYEQFVLMIQLILVLCHHQLSVTDFRNIKCQVYRHLWT RRRILSIWMHLQFTIHKQNQQ >CAK61558 pep:novel supercontig:GCA_000165425.1:CT868014:168432:168683:-1 gene:GSPATT00031790001 transcript:CAK61558 MTQLFQKDFEIESLSCIQQSSQNMNSDEDIDFYNYQNIANDQDGKSPIKNNPPSNQEKND IDKKDEGYEKIQKQQFQEKQKSD >CAK61559 pep:novel supercontig:GCA_000165425.1:CT868014:168743:169382:-1 gene:GSPATT00031791001 transcript:CAK61559 MLWLLSSHTFLILLASLPHKPASYVQYLECNSSESSPIVCGFVSSVMYSILIRKYHLNHK KIVLFNLIPVLASLGLSYFALMTESLPLVLLCYSVLGFFVIPCIPLQLELACKVLHPINQ TIAVGFLLAGVHIWSFVFGEILSVITHDQNKQGTNIIIRTQAFYGCLLLFLSFLAAAICF SRVKLPKEEEEEENTQVNQSTQEGI >CAK61560 pep:novel supercontig:GCA_000165425.1:CT868014:169395:170321:-1 gene:GSPATT00031792001 transcript:CAK61560 MDQKSNEMEMAMVHLAKPEINDQTEEYKVYKRRYIMASFFAFSTVFQSLNFKICSSMMFS TCNPIASVLSAIYEIPTFIISLAANGFLLMHPLLTFKHLLSIKQIFQQVSKLDVLQHCQA HWLELLPLSQRGCILSLKYRFYTVILGSLVAGMGRPFIINIQANVAKEWFKPEDKTTVMI AFSFIITCSSVFGVIIPGQIFKGYNYETDPDDGKLLTGQLMVIELEIFSAILIPAILFFR PSPPTPPGPINKSRDEFTYLQSLKMTANNKNFVTFKFINLIRSYYSSVTVY >CAK61561 pep:novel supercontig:GCA_000165425.1:CT868014:170406:174797:1 gene:GSPATT00031793001 transcript:CAK61561 MIKQGKCKDTAVLRTLINTQAQNFATKRLLKDLNIIEKESIPTVGVTARPLEHDLFVWHA NIRGPVGTPYEGGIFHFELLIPESYPHQPPKINLFTELPHPNVFGNSICLDLLQARKPED KDKQSGWSSAYTIQSILLQLSAFLFEEQITEKKEKQLAQIKKAVQAANNFKCTTKNCKHG GKLSLWPQFNTKENDEQQFINKASEQELLEQQFLCFHTKLSYRKPQTPQFEDETKIKQES CLGILLNVSKVPRTGSIKQAVPSLDYVSIKAFLNEGLKWDSLNLSYTHWLPLYFGKTDNK ERVLHLLQRSLSMIMTNNTKRFKPEFVLEVFPKITQSIVHGMMAEKTHASIRCLRVLAQV HALWLLCMEEWPQLYQQVDAIIHKFITDEKSRTKEHTPNLGILFNLLYVSKKYTFSDLVQ SYTAEQLDRQVFWLLKEIPELADEKLEGAISATKRVEITFKQQSTSFQMGCFQYIYLSYV VQPLKTQKEILERFDKNYCLLTTGIENGIQEKIFEAFKRVVNYDGFYDFIGQEKKSVEEL NQLLKQAVKNSKEKKYHGTVEEMNQLPPAIDQVKQYQKKYSRIQDFYVITKKEEDKKQIK YDTEVKQNIDWKQELCKRWDWIDEQLKWNSELNATELAQQSNYRVLNGFGLKPEDRLYSK IETFRNQHHSIKYQDDFPKHTWQQLYMKLDFEQFLLQFDSCPDFQSFYEKVTIIKEHLVD LVLVLIHIKTVSSGYYYITAALDQFTKLIRLTLTGQDQLGSIIFPKKAAKSIYKGLNNLS KQQNSLSIIEINKLGFSGNQLEITESIFGVLCQFQNQIRSLTIENTDLLTLSNAAKTVGA IITSFRHLEFLNLKGSIRNANIAKEITDGLMRAKQLVTVDLSANNLATEKGLASIVYNLS FSPKLTFLDISGCGSITSVELVESLYKLLRITASLEHLNLERLSCLNLTKEFFVALGESR TLKTLNLNAIGEFNDQKLEQLGKAIAFNAQKGGQLTIINLNYDGINYQKLKKLVESMAIS NHDHEVWYGDANKASKMTGDDYKKVFKCNLQSLDIKVSAFNSNFDINHYKSQYKAKNELQ NIFEKNPNLLDLNFAEKQFTKKDMDLLSEMVHQKQIKLKVLNLSRNSLNKEGAKVLSAFL ESNTTLEFLDLSGNKVGVSGGKSIALALRKNSTLKKLNLFFNLIGFDGAKEFGTTFKVNT TLEFVDLGNNRIRNKGLLAITDGLNENKQSKVSTLGLRFNFLSEDGILNLIKKTNLQEIF IKNNSITDYGLYCLKKGYDELKSNVRIDLFSKLIQVEEGRLERTAWIQPPFGIPDIIAFF NKFEVGVILDARFRKGQKYENRKVQPNHFNFVEFADPNSLNRSLALASTNQAVINGRAFR IYKAGTGTFIYLKKSAKQKKAESSKTNTLQTKLPAGQAARGRGRGRGRGRGRGRA >CAK61562 pep:novel supercontig:GCA_000165425.1:CT868014:174838:176083:1 gene:GSPATT00031794001 transcript:CAK61562 MNQEGLSDLLKQKPQNLLQYNNQLGSYRPKSQQKYCNQQQSLQRPPSSQLQTVSGAVKHK QFIHKKNNLMRNRQSQTQFQQQQKEDHNCYEITPQLLSEISMDDPIWKRILPENLNLDEK QLIQLLDENTDYFYHNLKLCACFKCICGRCKCDASTQVKLKLNGIFLTNYEKDFVNNHKS KKSVTNPLNQKTYETNFMDQKSINLKSLYQQDFQQQPLEIQPNQKKPTTHQIGSVSNLTS YRSNYNEWGTNYHKFTPYPHISTSPDIKFIGSSQYKDSYISPNRWSINNANNFKTCLQAI PQQYRTPIPTGQFEGQTTHKMSFLPYTTSHKSQIDRQHVYEKIPTFEGQFISTEMHDYIQ KEDPNCPAKQFQKIFRRQMAEKLFKKKQQFLNNQIQQN >CAK61563 pep:novel supercontig:GCA_000165425.1:CT868014:177597:178300:1 gene:GSPATT00031795001 transcript:CAK61563 METESKIQQKFLILSQVSPPVLGSIHYNKSPNLEQLDQLAQISVMRRMKSNDPHKTEPTY RDKYQNISKQKEEIFKIKKTKQPSLPYLPKKQKEFYNKWYIPYDQRYVQKPDFMQQKYED ELHFYKNMHNMYVQYNPFDHNLPEDLMKKIGNKERTEALKEILKGQKQIIEFKRFYCKLK LRSLDKEKQRVPEFIKQLLEAENKKQKQKQKQLLQFQ >CAK61564 pep:novel supercontig:GCA_000165425.1:CT868014:178780:180060:-1 gene:GSPATT00031796001 transcript:CAK61564 MSDEEEEVEEEEEEEEQEEQEEQEPQKQENDELNEFRKYNSHSSYANMHKYPKHNNDHNP LAYYYQRQIPIPYQPSQYWVDRVQYHQQEIDRAKQVLLNVQKPQPNMYNYGRSLNYQPNN SPLPQRVQIESQRSHNYNPLIPPNQQPSYHFESRDFNRQPQPYNPNTQASKYNPYPAPPQ TSYSPPSQSNYYPPPQNEPLNQQFSGNPTMNPYYQPQSPYPNYYPPPPFAYVPPPYDFQQ DLMKEYPKINPQKSKQVQTEAIQDTPQLPTGVSQYQQEKPQAFSQQNIAPTQPLTETELE YIEFLRFKEFNQKQQQQIDDQDFQEFQEFRKLKQLQLFKQTVDREEEKRSLTDLYKRKNR QVYSEQEFKSQLDKKRDYQQFQQAYKKPLQQETNLEIDRAINALMRSQTGTNKEFFK >CAK61565 pep:novel supercontig:GCA_000165425.1:CT868014:180115:181857:-1 gene:GSPATT00031797001 transcript:CAK61565 MNSPGDSIDDIISLLNKHYHPNNRKSASQYQRHKPSIGSAMLPQIKQQLNVLNTIPNSSS NNQDKFKRKATSLNRQQQPKTQTKDTGSFAIPMSRCLTFKPYSGSTDIIINDVGYYYKFG SSPIKLVKGLLEENGFKESNDKNWTLYWSSCAIKSEVYTNLFAYQKVCHFPKSYEMTRKD LMHRNISKMQINHGFINFNFIPKTYILPAEMSYFLEEHEKFKNNNPVYICKPHASSQGKG IFITDKIQDILNKQNSNNSYVVSHYIDKPLLINNLKFDMRIYVAISCINPLRIYVYQDGL ARFATEAYNPDSIKQNRFVHLTNYSVNKDSPNFVANQDPTLDYVGSKWSLLALREYLKLN KINEQQIFERIEDLIIKTIISVESTIFQTCEMNVPFRSNCFSLFGFDVLVDQLLKPWLLE VNFSPSLNIDAPLDLKIKGEMLADLFTLIGIVPLDQRFSQDLSYARNQNNNDFKKHQQEF EKYIIKETEEELKRSRGWKRLYPSDQSYRYTKYFESDRPLNALLRNYYK >CAK61566 pep:novel supercontig:GCA_000165425.1:CT868014:182413:183273:1 gene:GSPATT00031798001 transcript:CAK61566 MDAMMKLEKQNKKHNFELSLLWQQLYQMQFLFQQRNNPSMQSYEDRISYSVFLSILPYLY LHDILKLRLMNRNMKEIITNYLLHNRFDQKKAILRLEVEIQQEKEKLPILYCEILEDLIQ KSKDYVQEIIKINYKGVIQMPTYTPLLVMQVAECEGIELFKIIPEELMQMKNYLIFLDFS NYTDQRRNQLLEFYNGKVQLTNQMEQHMNIIDKSELIFSRMARMWLKQTYYKDTYQQFRL IMTLIKKKEILGQLLRQLKMIKKRKAKQREEPE >CAK61567 pep:novel supercontig:GCA_000165425.1:CT868014:183300:183800:-1 gene:GSPATT00031799001 transcript:CAK61567 MIKIIFFITTLQSLISSQVEYSHFDDPSQQETFHQTNEELQERIKSKIENQEIQSSNQEI HDGESEEDYETLFVNYEIGEEQILDAQYTFDDDDTVGADLLEILRDDELLYSDTHDDKPE NIVIDASQNQQDETPQEISYEQNELKLCSLETQTNCQQQVDEQQQS >CAK61568 pep:novel supercontig:GCA_000165425.1:CT868014:183821:184212:1 gene:GSPATT00031800001 transcript:CAK61568 MFLVAYQKYTDTVDNQSPLNKPSNRIGRKSSLIELHRVESDSIKLRPQRVLQVVKDAKKQ LLSQQNPRNEKKIKPRVETSECQYRGLDFTFAQNFSNKQIILKEFSTNGLRKRFFV >CAK61569 pep:novel supercontig:GCA_000165425.1:CT868014:184237:185254:-1 gene:GSPATT00031801001 transcript:CAK61569 MNMNQLIVERESDNIRRMSRLAIFNQKRFWLKEEEKQYTEEIEIEFNKLKLEEPTLKLEQ QNLRKFCKNFQSFEYYNGEPICVNDYFIRILPVGLRCIVSSHQGVTVLKTINGLKYKFSS SLPGGSSKTMIGRCILDCFLCANTVYIYDIIQWGKMDMSEQVAELRYFWIKGHILSQLRQ DQESEDYIFEWIPVIPSIYELQKTIFPYTKNGLLFIRKDSHYFAGVNPECFIYQDEFTDE NFKEECLKYKFDNSITLTLCHFQNQLETRDGYKIAQYQSSKNTKEIVDAKVTITNNELIL VDIKDPSKFLEDPYSKIMFWKLLPRLKIQ >CAK61570 pep:novel supercontig:GCA_000165425.1:CT868014:185935:187659:1 gene:GSPATT00031802001 transcript:CAK61570 MESKLQNFEILNKLGSGAYSSVYKVQRKSDGNIYALKKVKLVDIGDREKQNALNEVRFIA SIHHENVVSYKECFIEDNNLCIIMEYAEGGDLLQKIQRFVKKQQMIPEQEIWQVAIQVLQ GLRALHHKKILHRDLKCANIFLYENDQVKLGDFNVSKLAKNGLVYTQTGTPYYASPEVWQ DKPYDHKADIWSLGCVIYEACALKPPFRAKDMDGLYKSVLRGQYQPIPVIYSQELVQLIK TMMQVHPQNRPDCDKLLQYQYVQKKAKQYGIPLISEDIEDDLLKTIKWPITRKGLQANKS ELINLNFQLPGSNYLNQHNSNHLRRNQQSNRIKSQDTNDSISLNQPNEALHQLMKQITQL EQKDIEKSPNVKQNLNKSTSKQTIQDNILPPDRRLRVSNSTHEKHEKYESVQPKCISKDR IEHQIQINSNYYAKDVIQSLNKQHYSQKLPIISGTQRQDDEQYILRKNSSNERNPSNHIK RSKHESLKKDLSMQPSAERPTVLMKIIEEHQQLPRIKKKL >CAK61571 pep:novel supercontig:GCA_000165425.1:CT868014:187718:189306:-1 gene:GSPATT00031803001 transcript:CAK61571 MNVLVYAYNPTIYELSLRPWLYELTNKYGRNISKLNDIPMEEFQHLKDIGVDYVWLMGIW QLGQYGLDFDRAHANEYKSNLPDFTIDDVIGSPYAITEFVCNSELGTDQDIINLRQKLNA MGLKLMLDFVPNHSAIDSPYRTSNIDYFIRAPPNTPTPYDSEYYLPDGVSYGGDQWDGFW KDTAQWNYWNNDTRNYLKTVVKKIASMSDGMRCDMAMVILNDLFYNKWKPQLDAWGYKRP STEFWSEAIKETKQQYPDVIFLAEVYWSKEQDLINLGFDYVYEKWLLDQQATLDVGKVRP ILSNLDFNYASHSNHFVENHDEPRAIIKFSGKDYISCVAALMSYSIPGARFVNHGQLEGL SNKLDVHLRRSYKESGSTYVKSFYGKIMDILKRDVFKSGNWTQLNVTGDQNWKFFAFRWT YQQEKIVVVINFSDGSGYGLVKLSDASSGQQTITELISGQTYVRSGDDMRNNGLGVIVDG WNAQIFSYF >CAK61572 pep:novel supercontig:GCA_000165425.1:CT868014:191761:192517:1 gene:GSPATT00031804001 transcript:CAK61572 MNIKPEKKQKYKSVNSEERSKIINYFIEGSLSASQIAQITGHNLSTIKAIFRIYRNEGRI NKKEKRDREVHIQKNVAVFIVDDQTRSLKFISKQHMKQEVILRSHDKFCESQIDILNETL QKSASEVRNNLNSFQSKRNFDQALQRMLTNGKKEDDFINISSNTTFQLDANTRVNVSKIS NLLKNKEDQLSKKAPLLTPQKTWFKQDHQLTDLKRVFELQVQEYLGKSHSDNLK >CAK61573 pep:novel supercontig:GCA_000165425.1:CT868014:193175:193633:-1 gene:GSPATT00031805001 transcript:CAK61573 MINLIEQQIDKQPLLQNVYKLKDFFLNHLNQKQYLFHQEEPHFLQSKTLLDLSKISHFGL RVAASAEYHQEKLNCHLLLQRQLLRLFVRYSNDQALINADQNLINIRNINKMIEISFVKY PMFLKLNIYVMLSKQQKSSKN >CAK61574 pep:novel supercontig:GCA_000165425.1:CT868014:193633:194223:-1 gene:GSPATT00031806001 transcript:CAK61574 MPPKVDPNEVRLINIKVFGGEGGPASTLAPKLGPLGLNPKQVGDKIIAESGKWKGIRVMV NLRCQNRNADVTVIPTSSALLIKEIGGYERDRKKTKNVKHNGNLTLEQVIKVARAVEEKS LAKTFTGTVKQVLGTAQSLGATVDGQPVKAIIGKINSGELKVEK >CAK61575 pep:novel supercontig:GCA_000165425.1:CT868014:194229:195198:-1 gene:GSPATT00031807001 transcript:CAK61575 MDQSYPLIKPNQEILNYEPTADEKKTKIGKHTIRRLFEGELDEQDNAAINKFLEEAGPFQ NPIWTRPQILRFLQANNFKKDQTVSTMQQYEEWVKTLPINYDEQVEQFLKAGIIYIQGRD HSYRPIIVLNAYKVNFNSMSLEQYLRGLTYFLQVVVNDMMVPGKVENWVILIDLDYKGMI GLQINALKQVMSYLQNNYRSRLYRMFIFNTTMMLNVTWNMAKLFLEEITQQKIIFVKGDV KQLFQSVNKEQIEEKFGGTQTNRVEFWPPSIVSANFRKNA >CAK61576 pep:novel supercontig:GCA_000165425.1:CT868014:195333:196391:-1 gene:GSPATT00031808001 transcript:CAK61576 MKECEIQQNDSIEMIRMPLVFLLDLRLECDNLNHQFFYIFLSNQCFKQKKKPYQSNNQFY IQSIKMIMILSKNWAQIKVFMVEFQIANINFLESFNEIEVDQKISPLICACYLGRLEIVK LLLSNTQVDVDLASMDSGQTPLSIAAMTGNYEILKILLDAGAEVNKPNTFNQTAFIMCFA RLEEEKNVFENRKICFKMAELLLHYGADINWIVDKTHGFNLLMQLCSIRMELNQKEADIN YQIIKFLIENGAQKDLQSLKGKKASDLLKKHSNRDKLMELLQSTQQLYFYGKQKNSSRNS NQQQQLLIRNVPRQQKV >CAK61577 pep:novel supercontig:GCA_000165425.1:CT868014:196549:196979:-1 gene:GSPATT00031809001 transcript:CAK61577 MNQQQSDIPPSKKTQFTGSIDSLIYQTNSKKPNMSEFLIQEILEASGFQTNDQNCYKLIA HLTDKWMIEMTDSMVQKLIQDRMRKKEKDPDEKLQLTTNDIVNELDQRGLKLHNPFQYPE IDANNLQKVKNEVQQ >CAK61578 pep:novel supercontig:GCA_000165425.1:CT868014:196989:197701:1 gene:GSPATT00031810001 transcript:CAK61578 MEYQEILDGFQLICNELQGSQNYKIVMELYNRSANLQELFEHGLIIEGTQYDFDLIEHID NTKLALDKFVQNILDSDAVVQGHRKITSAFASLKKRLVQQEEQQKVVMTNSQVIAPNPIQ QQTRNKAKLFDTQPNSENLDSELQEEIFNITKRMKGMAVGLSEQLKFDNKVIDKILHKQN ANQTKLKFEESKLTNLMKSKSLSCSSLFLMLTTSIFLFIFAVIFIRIS >CAK61579 pep:novel supercontig:GCA_000165425.1:CT868014:197784:199021:1 gene:GSPATT00031811001 transcript:CAK61579 MNKENVCQAFRTVKVSNRELETLVNSRVNQLQRQLQEKEQEIAQLQQCNPPAHSRLMEIK VDAIQTENHQLRNQVNHYESMIKTLQNKCNSYQNQLETISNLLQDTQNTLEFERQAKQAL ELQQSNIQLDAESEKSVIKTQIDLLRKEKYQYQSQFQELELQLKQQQLSNMELTQELSTL SHSFSQLQLSYSKIEEKAYELESKNEILVSQLDVYKTQNEQMKSQLQQSNPKNVEKQFQQ NDRIQELEGKLRLSYENTSQFQQLLNEKQELIKKLEKQLQRQQNWNENNNILQDQLEKSQ FALEEMQFENQKIAKYQQQQIEQLKRQLELQSNNNEELIETKQQLQKMKSEFENYKSLNE SKINDINSKSMIDQQNQWNLEKSKLLSRGLIKLNL >CAK61580 pep:novel supercontig:GCA_000165425.1:CT868014:199058:199465:1 gene:GSPATT00031812001 transcript:CAK61580 MALKYAAEKVQLENQLWAVQEQLDGISNSIELYVTKISQLNIENDQLREQLIENQNNSKQ ILILEQQIRNFCVEIEKLKSIIIQKSDQIEFLTSKKIQTEQLVNYVSELERKVNHLSQDN QRLNAIIIQRCKNSW >CAK61581 pep:novel supercontig:GCA_000165425.1:CT868014:199520:200038:-1 gene:GSPATT00031813001 transcript:CAK61581 MFNYYNQKEKNAVETLLNLDFTEDSLHEIDYDKETKKLLRRTQSNSLADARKIILHLTQS NLSAPQNTSTKLNSPGNDSRCRTDLRTMSLYRPQDKILTPLVQQHKFVITQVKTNRIRHS SEYKTAQVQTVQVAQTLQEFNFNFFEKNYNSYSKKSTKSLPRCFLRFKKRQN >CAK61582 pep:novel supercontig:GCA_000165425.1:CT868014:200056:201595:-1 gene:GSPATT00031814001 transcript:CAK61582 MTDLLERSIHLINNFVSQWTKKYLYYTSYIEETSSGHTFMTVFSLPTPSNPIPVATVKVY FYIPDLTDPSERTMSFRFENDSLIHLVNRTIRISQMEKWIETILARKDRTCRIMFLGTEF EQTRIINKRMDEVLYEKQPEQEKENLVVQPELLEHDQELVNQFTITEEEKIREIKMLTEL LYQCFRQIDKDDMGVISYEEGGQLFQLMGLHLGKHQLEDTLNRLDVSRTGILQFKDISSF GIETLHSIYCQNQALKELREKEEESRFEAQWMLSTDLRNVYKKIVEQCKLKDEDEIHSIP SNVFQQILEEQQFFTEDEIKQIMEIIGDKAVEYETSDKVLEETIFENLVKGLLEAQKSKM EVFLLEHFRRQDKDNTGFIKINELMIALKSCEKIKLSKVQLYSLQSYIKRNDKDLVNYKQ ETRKIGAIIKKFFNVDLMEQRSRLPQHTKIDAYIPLKTPEQIQEQIKKVGS >CAK61583 pep:novel supercontig:GCA_000165425.1:CT868014:201606:202778:-1 gene:GSPATT00031815001 transcript:CAK61583 MESSPLNLRQSNMILFQPYSPILFRAHLSPNNMVLQDYYIAQPIQHADDIFPFDQQPLQK MFDLQTSNNQSPQLKQVVIKQSQNKQQGFQIVQINTTQMTPQKRRNEQTRRYYSEKIEKK SINLAFYQDQTPQRSQKGLRNLSVKVRDIVLELKSTSYKDVAQRLIQDLGSDGQIVELDN PKDEQNIKRRVYDALNVMIASKVLRKEGKRVISDVYCKHRMRRNETDMFKEQLISQKNVI RDKKKRLQELFIKVAALKNLVQRNQNNQSENKMMFPILAFQAQQSQIKLKMESKVLKIMS QSKLKLSADLDILVQLKMFKLYKNMDEFMPKSLVEIVQINDLCS >CAK61584 pep:novel supercontig:GCA_000165425.1:CT868014:202811:204869:-1 gene:GSPATT00031816001 transcript:CAK61584 MDTPKITVVIRKRPLGKKELIRGDQDIVSVQDQQTVILSEIKQKVDLTKYIEQHHFNFDK AFDETIDNQGLYHSAVRPIIQAAFNKAKCTCFAYGQTGSGKTYTMLGDPDQGVPGLYVMA SYDIFAMVQKAEYQHLVISISFYEIYCGKLFDLLNDRSQLAAQEDAKGNVQIKGLSEKKI NNVQQLMQIIQYGQSSRITSSNSANSESSRSHAILQITLRNNKQIHGKLSFIDLAGSERG ADVRDQDKTTRVDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLVGNCRTV MIGNISPSSANSEHTLNTLRYADRVKELKKPQEQKNIDNPNRELFLAREDNNVIRREYKN PDSDDQEDCSTNFGQQGSQGVYKVNNSKNVLLPPVIQVNRTQSAHNRLSLNEAPSNKYQN PLNKMSNVRQSNNTLQQLPPKPQPLPQHLSSINKAPLFPPYHNHIPDSLFPPDKTQSLSS QNLNQPYPPTYNFFQNPIPPSEINDGYVGDDDLQDNLLLEDAEPEIEQKNKALTNQFLEL DSRVLQEEDEIIISHRNHIDDMVETCKSDMCLLNSLDQKFVNSKDYFQQLKDKLLIKQNK IEEFINKLNLYDQLFEQRSQIECELQNAQKYNQRNENFNQLIQ >CAK61585 pep:novel supercontig:GCA_000165425.1:CT868014:204901:205548:1 gene:GSPATT00031817001 transcript:CAK61585 MKNQQQQQSGNSNAAQIRKKLLNNQQVLSESQKNEIKKAFDYFDTAGSGTIEATNLKVVL RALGFDPTQEEIGKLIKDLGKGDVKYDAQRIDFQEFLEIMMVKMSQKDSLDDIKKAFNLF KDGNNPKKIITFDSLKKVIQDLDEDMTDQQILQLLKGAQSNTNELKEMDKDGKKEKKQPE DEYKIEVSEDQFIKILTRDLNDDKKKL >CAK61586 pep:novel supercontig:GCA_000165425.1:CT868014:206071:207051:1 gene:GSPATT00031818001 transcript:CAK61586 MSNRKQKKPLKHQEKENQKENNRGKPCDIQNTTLNIPNIWTNDQTCLRLLKYLSDIGRHN CLHQAGKPGSKDKPGKKVKLESENKYDLNKSEIENTRKYFEKSEEFAGELFGHKCETKRC IVFFFRSNADYKINNYDFIKTSPQDCQDVLIKLSALLTHKFLMDLVTILNQSNNIPYLID TFVTQWITPSQYSPIIQNLFIKYMIDTMKEKKSMEDFEKKTREDMEKIFFNFLQSPIFKE ELEKFIREIKLIFNRENDQYQPQDFEDFEGNQGILQEELGEDQRQSEFVPFLLEEF >CAK61587 pep:novel supercontig:GCA_000165425.1:CT868014:207318:208103:1 gene:GSPATT00031819001 transcript:CAK61587 MKKNSKKVHKVENYSKPKTENRGKPSQLKNIQLEAPEIWTNSPPCVRLVKYLSDMGRHNY LHQAGKHSNKVDNNKRQENDLDTKLRYEYAQEIVEKLFSIQCESKRCFVFFFRSVDQEKV IYDFQEYIQNQEFLFIIHLIQPLLSQKFIEDLISILNSQDNSSSAVDLLLQSKDDPSKYS HIVQNFFIQKQLQTGLDKKTNKPNIEEVINEFEEFLKDPQTNQLVYDFVSEILRIFQSST AYLPDINEMCEVVNDFQCIYQ >CAK61588 pep:novel supercontig:GCA_000165425.1:CT868014:208833:210577:1 gene:GSPATT00031820001 transcript:CAK61588 MQNQDLATHNLLQRWGLNNATVYRNPSVPLIYQLSMLQADGSSTRPDSINSTGALVAYSG KKCGRVPKDKRIIKDQGTEKDVWWGDVNIALSPESYGEVEKIALEYLGSRERLFIIDGYA GWDVNHRLRIRVFCTRPYHALFMKNMLIRPTEEELKKDFNGDVDFYIFNAGPQIIEKPIE GVTSEGCVAVNLTERKMVILGTQYAGEMKKGVFGVTHYLFPKQGVLTLHSSANEGENGDV TLLFGLSGTGKTTLSADPKRNLIGDDEHAWSDHGIFNIEGGCYAKCVDLSKEKEPEIFNA VKFGAVLENIEYLSNETREVDFSNISITENTRVSYPLEFIPGAKFPAQGGHPKNIFFLTC DAYGVLPPVSMLTPEQAMYHFISGYTAKVAGTEVGVKEPQATFSACFGEAFLALHPTLYA NMLAEKIKKHDTKVWLINTGWSGGKYGVGQRMSLKYTRAIIDLIHNGELKNAEFENFPIF NFRIPKAAKNIPSEILNPRNTWKNPDEFDKQLLELAQKFQVNFKKYEDKATIEVISAGPK L >CAK61589 pep:novel supercontig:GCA_000165425.1:CT868014:210601:212156:1 gene:GSPATT00031821001 transcript:CAK61589 MQKEIQNYIGDFSLDYTNAAIFGKMQLQVNKYLILVVNSQCVGQLLGHEIYLIKQLVFYP LSESEVIHKNDQVYIDMIKSIVCDDSSYYSLTYNLTHSLQLKKNRNRFAINHKYLEDIEN KTYVINGCVQIYNNATLTFCQIVRRECLRQGRRFTQRGCDQQGNCTNYTEIEDVYQINKS TYSFVQVRGSLPFKWSQVPYLNPKPSIQIDGNSDNNIELCNAHLFTQISYYREMFILNLI DRKGKQQKLGNYFQQILESFQRREIQYFWFDFHQERANLNKLVDEISSVLEQYGYYQIAN QNTKLISEQKGVFRVNCMSCIDRTNVVQYLISKFILEEIIQDAGLEDSLESIHKKIWINS GNQLSKLYSGTNSLKNDYIKYGKQTKCGMIIDATLSTKRYFLNHFNDYHIQNSIDLTLGL WDMNDSRFHNYKFENSLQKCLLIFFLLMLVFNFLNLGILSPALSFLVLNYFPQVYQVRSI LTQ >CAK61590 pep:novel supercontig:GCA_000165425.1:CT868014:212401:214367:-1 gene:GSPATT00031822001 transcript:CAK61590 MNDNPDFSFDLFEFQGFLGQGSFGTVLKALNKETQQLVAVKKKSLLQLEQLLQEAHILQE LQHPNIVKFFGVHETESRILIEMELIQGGSLSSIPKCSEEQIKYIMYQIFNALQYMHNNN IAHRDLKPENILVTHDLSLVKVTDFGLSTGYASLMTKQCGTLIYMAPEQLNNKIYNKAID IWAAGVIMYQLIVGQHPYYKKGCDVSQSQLQMHEQCKTYLNDIQYSLFRRLTEINPTKRY SATQALLHPWFNCGNDEPLTMEEQFQKWKQSQKLHKFILTLMLLNKMGYKKQLNDKEFYN EMSKKINQIKKQEFLLGNYDQLFADYQKSLTEDQKSKISCVSNKMDQNTRIDLHTTIDST KQSHSTILQSSKLLLPFTSKQPIRVVNLNLQNQTPTVIEDIEQEQMMKLSVHRNKEKQEK PIIRQQLIIQSTKLNQTTEDNQQLIQDIKISHQQNSEKQLEQSTTPSPTKSPQTLKKRRV KKQQLKQYLNEDIIQTNYQQMHNVIQVSQQEYNNNNIRQQSPLTKNAFKIQLKPLNHLPS MASSQHNNPNPSLRPRNQKHSVDREFYAPPILDLSNLALECMSPKQRQTRAISLSSQRRV YANEFQPYRVLGVIGRNNQDSFNIK >CAK61591 pep:novel supercontig:GCA_000165425.1:CT868014:214385:215841:1 gene:GSPATT00031823001 transcript:CAK61591 MGCVCEKSKRVQPEPAQIEVHPCETAQPQSQNVKQTSLKKLKIPQKKKKVRETQKLVKST NEDGVKMINDYIFDEFLGEGAFGKVKLAFKRSSGQKYAIKIMRKSKLRRQREYIKDAKGN MVIKDALQDVRREIAIMKKLRHKNLIQLFEVIDNPNNDKLFMVLEYAEGGQVIEWDDDEC KFYQVNESVVLDEPLLNQIFRDCIKGLNYLHKNGVVHRDLKPQNVLLTDNKTAKIADFGV STMVGSKNDVLDNTQGTYYFMPPEACDKDKVKDGYSGKAADIWALGITFFAFVYLDVPFT GSSIPDILHNIAHNQITFPERNDISDGLKEFLQFILQKDPKDRPKIPDIAKHPWLNAQNM NLWDEINKEEQTDMEIAQADIDNAYSLSSIMMIKNWAFKWRTTSNLKKITNIQQTQAQPQ QINSEQIIK >CAK61592 pep:novel supercontig:GCA_000165425.1:CT868014:215872:217142:-1 gene:GSPATT00031824001 transcript:CAK61592 MKVFAKGLNFMGQLGQGNFKSLEQFALVPDLQNVGVKQLEANMTQSFALLQDGQLLHWGW QLDTMTENRTLSFYKRNPTLCQLWQKYSPLGTMLSMRGGIVQPVLLNKPIMDAPIKSFAV GGSFIIIQMNDGVCYGMGENYKGQLGNGELKYQFQFKQLQLPTKDKVIQVACGYQHSLLL TEGGVVYGSGKKNHYQFTETRRNDQEQIYVEFTQHRQLMDIGNQKIQRISSGAHHTLLQV SDNRIYACGQNMYGQCGMNNEIDRIKEPTEVFLDLQPDESIQQIVSGQAHNLVLTSNNRL IFFGCLLHNQMGVKSKFDFEFSGQTEINLPLDADEKIVKIYAKFDRSAVLTSKGDCIIWG GEDLRYMDVPYYETYTRLIPELGLENIKIKDIALGFSHIMVLTD >CAK61593 pep:novel supercontig:GCA_000165425.1:CT868014:217151:217809:1 gene:GSPATT00031825001 transcript:CAK61593 MQDKQKPKLFLEKLFDLLESGEASNIIQWTVDKDAIKIINRVQLIRDILPNIFKQTSYKS FTKQMNLYNFKSTKDESGFTVFINPHFTQSSLNLTQIMAEKRTIKKSKKEDTKKRSELQQ EHEQLKQKLMALFKYQVTLQNEIKTQLEIHKHLQMRVGIIKKCIYHRKENGLKRRRKIYN FLNSFFTHLKSSVICIHLTFTEIRSKISNFES >CAK61594 pep:novel supercontig:GCA_000165425.1:CT868014:217836:218042:1 gene:GSPATT00031826001 transcript:CAK61594 MKNNVQTFRISRSLYQTPYLTPRNLTIFQQNSIRQLQDLFYDEDNKPKSFLQLTPEQQKQ YIETIWDD >CAK61595 pep:novel supercontig:GCA_000165425.1:CT868014:218254:218760:1 gene:GSPATT00031827001 transcript:CAK61595 MISTPINNTQSEIKEYIDKTQQTIHAQKQIVDILDAQIDQLFKLQNIRNQIDQTSNYTQN LIIELKKQEKELEGISSEQDELIRTLEKAVASEEQVEHDAARLHQQEKTLIQRMSNYIQE IKSGQNTSLNLTQTYFQVLDKQAQK >CAK61596 pep:novel supercontig:GCA_000165425.1:CT868014:220283:222154:-1 gene:GSPATT00031828001 transcript:CAK61596 MLSNQTQKKVYISRNQFTGESGQCVCQIIAKPPIIPNILQNFKKIYSVQSRNVIQYLEFV ESENNYYIFMEQLNQGTLDDIMNTSNINEDKAKCYFREILNGLKSLHENKITFVDLSPKN IFKSNDSIKIGQINICSDQLANMQTEEDFKKFGIVKEIIPPEILQNQTIFSMASDLYTAS VLYFKMIFKRFPFNGETKTELIEKIKQNNIDFTCQDQAVSMETIDFLKLTLKYEPSQRLN WSQVYSHPLLKLLNIANDYIVQSTLLSISINKLITRSDLVTKTFDCEKNRQFYQEIEQNN QAVNNNIEEPAQKSDNALNEESEQFSKQLSIVKDLFKSQRTNLLKKQVDYYIEQRNMYSS LSATLSYVRLFDQEFQSFTLQFVILKIIYLISQSLIQQIDEIKNATKFEQCFNQNLQEQY DNFQKKIDEEHKIIDSQFSQMLRLSQTNLERARMKGNVDQKISDIINKTSQYTLFELLRD QTKLFIAKVPTFNIIQNDLKTQQEIIIQVLFSLALIVSYLLKLSPTQILQKSPVDYLEYI RQEPIETILTECKQKQQSIDQLFEKISKGQIRQF >CAK61597 pep:novel supercontig:GCA_000165425.1:CT868014:222271:223168:-1 gene:GSPATT00031829001 transcript:CAK61597 MHQYPKDSNLLRLNNHNTEFVFKSKDKEEPFSYSARCNGTNQQFAAQAKFKYITPRFSLI NTFANDSTYSIFATYKLDETIKLFVKSPNVVDVLQQFNAGFKLTLEKQYQFYCEYNKTQK SSDFNFFARKKYLWNDWKMKTILEMCFKNKQLQFSQFCQFAESGDRKIKFCYQQNESSMM IQSPFKENTQLAFKINRTYKLNKYTTSLNFGLRHKVDENLFLITKFNQNGFGIIGISGKY NRMKYQVSNKLQFSQNTDQLFPLQFKFEVEV >CAK61598 pep:novel supercontig:GCA_000165425.1:CT868014:223199:223629:1 gene:GSPATT00031830001 transcript:CAK61598 MQNNPVLQKFLQNNPFVEGIVLMDKEGIEIIAAFQNENSKLKTGAQSLMYVVAIQQCNEN LRKLSDSETKQITLVYEYQMLCNLSEWILYFEIWTHSILIFYCNIKANIVSLKQLGQELK RILSPYNDLLEKQQK >CAK61599 pep:novel supercontig:GCA_000165425.1:CT868014:223654:224472:1 gene:GSPATT00031831001 transcript:CAK61599 MSLRTFTLLCLLYIGYCKESGEKHLKILTQSIGSMLVSEIGDKTFFLAAILSMKFNRLAV FAGATAALVLMTGISCAFGIIVPTLLPRFYTAIVVTIIFYFFGAKLLFDWYHMENDGDKE ELKQVEMELEELDKKLLSSHKIIDPENPSEGQKSNLSAVVPIQQIIWQAFIMTFLGEWGD RSQITTISLSAVQDTDIVFLGCSLGHLMCTTIAILGGKLLANQISEKTVNLVGGIVFIIF GLMHTYDLMTGHLD >CAK61600 pep:novel supercontig:GCA_000165425.1:CT868014:224660:225757:1 gene:GSPATT00031832001 transcript:CAK61600 MGKKETKDKKPAQFKKIYELLSKYTQVIIVGLANVGSKQVQDIRRILAKRNALLVIGKNT LFKKVLATRVQDLPEGHEYYEELKKFGAAIKELDVLKNQVAGKVGFIFTDTAVFDLKSVI EENKVETPARVGAVAPIDVVIPPGPTGMDPASIQFFHALQIPTKIEKGQIQITKDFVVLK TGQKVGQSQAVLLQKLGKKPFLYGMEVLSCYDNGSILNKQQVSVNLNDVLAKFQKNVTNI SAISLANGWVNEASAPHVLANAFKELAAIGLQSGFIFDQIKQSNAPAPTSAPVAAKVEDK PAQQAPAKAEEPEEDVDMGGLFD >CAK61601 pep:novel supercontig:GCA_000165425.1:CT868014:225760:226940:1 gene:GSPATT00031833001 transcript:CAK61601 MSLLEKFLEIQNNLSSSQLFAVNLKGFEQFSEELFCRDSNFTQIDNYSLCICQEESIQLK GLIANFKIEFNIDPQIIQLNSQEQVLKVDKRYQVHFVCLELWNFLMKHVKGGPCIPLYTI EINEIRKSTIHDFTFLSQHDIQDLPKGLVIPVDMTQMEFLIQNENDSFTYITKVVPLAFT IEQVINKILSPQFNVTNHLCFNQTSRKVILNKTSTLIYELEFRSLWFIKPNQKDNGFSEN FDEITMQQDFQNHIPGSTESTFESREIQSILDSSKSNEFEDDINPNNNSNLMLRDISEFK NEIESILQNHKQQPLILLKEEQAIENINQIILQIEQENCFKKEQQEEGTNDELIDYQDI >CAK61602 pep:novel supercontig:GCA_000165425.1:CT868014:226954:228549:-1 gene:GSPATT00031834001 transcript:CAK61602 MNQNNQLQDEISKELLTIKQDIELKVPEFIRQGCLELKVDQISTQKKQDIIKCLGGNKYK QDKQFIPKLTECLLSHLLRMKKCSLQSTNINPTRPIYLGQLITITMFYYEDKIIKSYSIL EELTKFINKQIHIYYEGIRDKLKLIKDKEQQLLNQMRNSQTNNDKQNETQQDINFLKCYC HSQPGLYVQIKAKLNSSKVLKCKICSQNFHGFCLQINHDIQDFICPYCTLVMLNPQNKVI DQIVQSTFQQFLQFKNEKHFLFHCPVKYKGSQLEIRCLRIDGKDGLNEITWPDYGELQMN GVKLAEFKPLSINYSVKKRKDDSINITNYIKHNEQNRITLIEYKSNEELKKQFRIQHQCI YFIGIFSINQLNAKEFLLDIKQYHKNYLSIEDSFKLFKQECSTNKDVKIKSIRISLLCPI TLQLINIPARGRFCNHLQCFDLENFITAIDDQKDKKIWKCPICKLKCFKFLIDDYQQVIL ELISENSLSNKEVEFNENGEITDLVLRELCNQRLKNQYQINDRIKKHIKTD >CAK61603 pep:novel supercontig:GCA_000165425.1:CT868014:228594:229984:1 gene:GSPATT00031835001 transcript:CAK61603 MKILSKKIIKGVGFIKVVPEEDDDFWHLYNLLSVGDIIKTSTHRKIVKESQTGTKVSEKR RIFVFLKVHEINYWADQYLLLSIKGRNCQESHWLSLGQFHTYEVELNQKIVIYKENWDRF HYAILDQIKQQQTLSEVAAFIMEEGVGHLCLIGSATTRLKQKVEKQITKKRSANEQREKA MEEFFKACLAALEQDSLFEQVKCLIVASPGYVKDDFYQFLRNIFQKEEKYKGKQKMLDKI FLVRSSSGYLNSLMEILQDQQVQQRLENTKQNIRKIFRAMQEVMILEKFFEQLRIDITKV AYGKKDVEFAHSLGAIQTLLISDKVARAKDPKVRRQWLKVIEEIKNKGGEVFIFSSLHPS GKQLNNYTGIAAILYVSVSFDYEDDDEDEDEQEPVGQQIHQQQHQHAQDEFKMDMVVQKK FEEFGMEDGDDVDDDI >CAK61604 pep:novel supercontig:GCA_000165425.1:CT868014:229991:232189:-1 gene:GSPATT00031836001 transcript:CAK61604 MLRLVSRARFCVAQRNFFFVPPLYPEKEDLAYRPKITSYDDSQKQLHAVYKKKLAKIKTR VTQRVRDMQPKQDNKLEMTEKDGQNLLQNVLKHVYIDEETNCPLGLFFDDFVYDIKLKRG QQYEAEYNRKVQGLLSQMRVMSETELLRTSQDLLVKSETPEYIAKARKVVFDRFLEGIKK LPEEDRKTILGDDAQDFDKKINDEAILNKYVDTNSVKAVAEEMARENLQKDLEDFQIYDP KYNDKNQQLTFGKAYLKDLEFYESGCTVEEISDDERLLKMRYIRPSDGQEALQTEQTIID KVNLNFVKRAYLKRLANKQQEPKESRKQINAKDQQNWEFKQIHKYLKNLDTQSLQLQMKA KKVNQRIVDPVKLQDIVDNIDFLLLGAPKFIKDLYNDPKNKIKGYESVFQDVEKNKGQSL FWKDFGSSFQTIFPKVKLNKQQDTFVDIKKQPYNRHVKEFFEEKVDEVKKDEYQFSVELY KEFQQPSNLEFFHHYLTNIFKLNREQINDGLQAFSDNINPYTYEVPSTAMMADDVDPDFE DVVEEEVKIEDFKEKSAIQIDQLIEKDYSESSLLYYVGRGRRKSSICYVQLKKGVGEVTV NGKNLINYFTHPIYRRTALRVLELAHLSCLVDVNVVIRGGSQSAQSQCICSALSRAIVKM CPSIKKIFRKLLLTRTDSRQVERKKLGLYKARKKYPYSRR >CAK61605 pep:novel supercontig:GCA_000165425.1:CT868014:232271:244166:1 gene:GSPATT00031837001 transcript:CAK61605 MRIPQAYYESMVRRYKKSKHVDSTDYSWIETTKKPLVERIQDFAQCKQLQDPMYTRIICE TIDTIFEAAVALFQDQDQTLCIQLLGESDSIQIWDLCLLCCDYILKSTNSKILDMFFSYE HILTLIFHNPDYEIQKIYKLVQCMQYLIESMNASKACKFKIEQFEKVFIRFMYISAFLQR NIYNLSDQEYQELFQYSTDNYNLKITIPNEDMNIYQRYLELSNIRKILKSPPVILDIPFD CTKNPLDQSLQIAAEIKVQKDSILFDQIFIRLLCQYSIDEKLLQKMFLRNFIFHFKCTFS ELQLINPKFMTDITNRLIDQKDFLFLLIRKIASEKDLFLEDTLVESYKFIKSKQIQLYEH NTFLDKLWLFELQGIQDTLNWWKKEFKITEGNFYDSKMELNDKLKQLFFQQLCDQQFTQM FKKQPNYTQWNFIIIQIIRKSLVQDDGTKLPYYILNPILTYSVNLITELPANNQNQQLDY QLESQIIQGLMEILKNCIKKPQIQLQIRAIQLLNQLVTYYKQEFDQVQIQNAQSEFLIPV PERYHKLLTEIWSNKILEGTLLAAQELNETLYTYLITLLAITMGENPARVRQFCENNSLQ LLIDKIFELLKICSRASPLIVTIINLLIAISTNEQAISLTQQSNNSGDKQEKDNLIKRIF IKCYLPSVELINDPTVSDDFAKKIIMLYSQSMLAKKQIEKVIENTFVQISSLVDLSKDKI EEIVSNQDKLQSFYSSSKSLQALLQLFMQLQPERKSSYRSFQGYEELQLEEAQRQKDILF NSLLKNQKFVEALEKIIMSPILIGSKNKDTYVEHYVGILCKKNKLNPYTSLWKELDLISQ NYMVIGDQKIIDIGKLVDYDALSIHMSDKYFFRKIIKHISPQTYRGFYILSRFHLIHYLI KMCVNQGVGQNQDAHLMISQLLEIYFLSSQHAGFHESIMMFMSAKQQVQKHQTSYDEDSQ IRRRISKDNLIPLQSSCLDLISKLQQHAEQKDFVVKKYGEITQLFFQNFSEKCQQLKQAQ GIQIVSIVTYLQDMLKSFKFLQNLFLSLEQQYQPLQFAVICFQNSNFFLSLVEILQHLDK IQRQQQFNGTKEQEIIRKILPGFIEAIHQHIDNIIKLLIFKKISIDKIQLSDQLIQDPQQ LDIVCNEIFVAQASIVNQMIDLLLYNNCEGSLKTLLEQLIQRFYSIKDLQVQDMRKIAGT ETKEQIIGNKDINTILSGTDGRQEQKKSLFDRPEIKAMIDDICDMGFKQQLIKKAIQRIE IPEPTMIIDMLINERISDDEEEQEDPFELVKNAEQNQQVQDQQDQQQQNVETETQKEQKE IQALDQEQFMKILIQNFPLANTQLNITERFLGFIGDFQSKLDQNLSKLLDQNLHNQKSSG NLLEYLFTIAKRSQHINKIIEYIFMILNPLKQDKSSQDLNATMIQYQKALQWLNLLQQIA PQEHEKLYSNLALIDQEPQSKYVLQFQSMITIGFALSNDIFDQFKKIGKLELDQLKQLKI SEMTYTEIYQQAQTITNNLNMLFETKQTTLKLFCKELLEAQKYLTKFFLNLQNKHDQLIE RYWNQILIYLEFLIQVCTNNLELQEYSQQTQNFLDELETIISNNQQLKQQRDQSQNNIQL RQLIQRRFNSDKQLITLLLQCLNNFGLHNQGKLFSMLTKKQTNQKQSLLDTLLQLKFYSS HLEYEESQPVFLENLIYLVAIDSKTTNDLVFQILKQVMLNEHIDWHAQQLKNTEDLSQIQ FPQKFIECPLQYLLQFHPVLINNPIVYNMIIEQQGLSITDKFSELQRIHAPKQSLPQPTG KLTKEQERLLKEREKELQAQPQTDIIIRYEVEQLIEPQLNEHFIDLVNIIAQTTIDRFFE ENCILIEQIFKIQCNQYKDDNRIYLYGWDQLLRIIEMLIIKIPQLVLYLRQYQFVLPSNS KTDQKKITFIEFVIKYLTWVGGDKLANFMHNYISDINLLSIGYGVTIAQEVLQLLLNELQ IDINQEQMIQKYYHILQLLTLFTQTLTMQNCCMILTDQRSQLNIIPQLIDTLNKIKNCEN KQFVKTYQQTIAKALEPFLQSQIYYDHIQYGRYGEVFRLQQSITDNPFKYHYLHCLKNQL ICYSLYPGFKSTIGSAQHFISHQQVQQTLKDEHQQELVWPLYQSRKNYNNQRQNNQKQQE EDLSDSDSDQDILQVDNNQIKYKANYSNINSWGSDYYYYDNNDFMSKYSMNRDDDRVQRE NQQNIFPQKDLNILFCKVDPQTITFNLPPLQITKASIQYNSSDYKQWITSIVGLYYSSNE YFENKKSLISKSYENQLKITVENINQINRILLSSNYYRNRSNDFMQQYLSYYLNGTLNNL QVQASICFYSKFGQPPNQLQQDYQANSLSININESKQQQHRILCQISCNILQSRVVLNGM PNDLQDQNLLKAKLSSLLHPEEHLIIQPIILQIPKRQFAQPDPQQLFTYDNLVLEIFINL LLDANNFPQFPFNLFRQICKCPRLGFKLLIQLLLLFQEQKHNLDLTLRILYLVSQKIPST ILRDLLSEQLQVSPILNRREKANLAKVQEIKEQFVIEEEENVDEQPQDEDPENPLVFLIN QLNTYCEKNISFMKLFHNPESYIPLEKNILLTPLLASQTGMHLSYLLQIIRGSLIVITED PKDKNRKHDEDYLSKIQRRQATIFTNNNQDEPNHKLFDLVSQLNKHEQDVNFEEMIFKTF GDRYSDNQYDQWEPISYFSKQPFSFRQHELQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQYQQQLQQQQQQQQQRFILQNTSIFSMPQPPQPLLPQTLPQNHPPIPSISILQQQQQSL QQFQQQRQNQSQILQQSGAQQVRQFYDKFFKMNENQQDQSQSYQQYLKQFYDNIIQSRQQ QQNQQQQEQQQNQNQQQNLIQEQQPQQAEEKFLNNIEELDESDSKQQKRERKVSAKISHV EIDIITALCKILNNHLLRDCNSTEIINILNTYANDRLKIEHAINELTKYIIQQSKIFNYE LDRKRKQLREITSQLEKVAEHGPERQQQLSQQENEIIRELNQKTPDPSIIQRCFIAITGF LNISLSISDQQKQSANKPSSQQTRQQIQLDKKKYKLHQQGKNVDDSQEVDILSKKDIRKQ FINILQTRQTHHLWLNVVETLLLIFNCKGQRSLELLQKKLYPLLECFLRLYQNVHDDSNN SDSLISGSSDPNFFERNASGSATNSLQFGLLQTQNIHLKRSFSAVRNENEMQMKIDELFT YLCINGKRLINHLISQRLQQVTIDHKQNQNSNLIKLFKETDPLAFVFFKMSQIISFENKK HLFELDLEALKISEKSNTRSRGRQNETIEINVVRETRLQQSLEKVINIDKAKFRKCDIKI RYQGEKGQDEGGIRKEWMTNLVKDILQTDKFELTPKRFYKINPNKKAQEDLNHYKLLGKI VAKSIYDGLLLPVYFISPIFKQILQKKPSFDDLEHYNEGYYDTFIKFLNDETQVQYKDLF EFWIPEEYASLIEQNKKLIFADLLQDYIEKNPIEIKQEQQSEQDSKQEPLQTQEQDQPKQ QSQEQQQQQEQQEQQQQQQQEQQEQQQEQQQQQQQQQQQQQEQQQEQQQEQQQEQQQEQQ QQEQQQKQQQQEKKQQQQQEQQQQQQEQQKQQEQQEQQEQQQQDSQQQESQEEKQQILEW EQQKYEQEILLQQQLQQQYHFQKYLQIQEELKKEQLLIQQQQQQLLDQYLISETQKLQFL TQNALQQKQEDLQQQEASLLELNFEQYEQQQQLLLYLQLQQEHQRQSQKLMEEEQQRLEQ QQKQQAEQAEQNQVESEVMVPLLKAQSEGKQYKIKKESEDITAEDMENREKKRLIRKNKK KQLNDEVVKCIKLQEVFDKQTALVSIKHKRLICEFISKRIMLEEIKNQISALREGSFDIL SKELFSYMDWRDLQKLIIGVPSVDRGRFISKY >CAK61606 pep:novel supercontig:GCA_000165425.1:CT868014:244258:244511:1 gene:GSPATT00031838001 transcript:CAK61606 MFATGSPLVPFGGFKNLRGPNGPRKFSISKDFNNEHFIKAHACFNRIDLPEYSSKDILRE KLLKSLKESGSGFELS >CAK61607 pep:novel supercontig:GCA_000165425.1:CT868014:245477:246092:-1 gene:GSPATT00031839001 transcript:CAK61607 MYQIQDKSAQEYQSYLSKHLEDSRAMTSLVFNCFIGLEICKFFLFILQRNKNVQNQLSQQ PEQNQSKQDDSKMIKIETTLRSTEEQSNLDTSPITQCEDGQNQRIKNKLKSLIGPIQQRS TTKNRQLTLPYFSKNQLNIKPSHFFVTNQDCEINQSLDNNSNINSLIRNKSSMFSREMKR IRIQTEC >CAK61608 pep:novel supercontig:GCA_000165425.1:CT868014:246558:247562:-1 gene:GSPATT00031840001 transcript:CAK61608 MKPQKSIIKELKTYEHNLFKQNKEHKKLNINDFPNLSRKPIEWTDCDQFIKLRLFKCDKR LAIKLCQQYKIHRSDQQFFDTIQNVNIENEEYKKIKNSTSFLLRHIRQNQLNKQKHRLEF QESQFDSKMSSILDNSFSKFQQSLDKHIEIDNTSQHTYNLKQLTKDQLLKKLQSLNQNLK QNVLTENLQSNESIQKVSLPVTFTSIHRSQTERKYSKAYTPSSGLQTQRETKANFTKTLV KLQSNSKSHRSLQQSKKIREDEFNLKPSFSKDLHSLKNIGTFTEIRQTKNKSKRHMTNYS IIKHISGFG >CAK61609 pep:novel supercontig:GCA_000165425.1:CT868014:247692:248652:-1 gene:GSPATT00031841001 transcript:CAK61609 MEQYDKYQLFLRLTNKKDTTSNYEALNSSCKKQHPTVLSGKRNPNNEAYKKLHQSSEIFK ENTTPIKSEITRPKNYATERKSREDSNTKRTLIPSLDKFQSPEKRCSSNIRYNANNESSQ KDSKRILKTCEQRQQDFSHRINLPISAMQVKDYKEDNYGLGKYKSHESTQKLKSYLDLQG HMNNQSFQSQTDRLFKTQVKNQKNLLSSYQICKTQSEIKNGKKRFIQSAMSQQLSPPIVP IKPKDINKIFLKSSKEVWENQQSKAKAIVKMNHANQQKSQIDLR >CAK61610 pep:novel supercontig:GCA_000165425.1:CT868014:249646:249921:-1 gene:GSPATT00031842001 transcript:CAK61610 MTNLMDKIQSLQKGQKLNQLIDKVRQEKDCFQTNQSDEDQNSRNDTASLLFINERDIIVL YIDTNISIDIYFNEIIIQEEELSENKQESEV >CAK61611 pep:novel supercontig:GCA_000165425.1:CT868014:250381:250638:-1 gene:GSPATT00031843001 transcript:CAK61611 MSISLYNTQEKINNLIRPNIIKIVNLSHAQRPIIVFQFFMMLKDRNQLKKLVQPNQNRKE IKAQNPSFFFFKEPPASIKFIILHD >CAK61612 pep:novel supercontig:GCA_000165425.1:CT868014:250907:252014:-1 gene:GSPATT00031844001 transcript:CAK61612 MFGNIPGTNNAPTQQQGNLTSSIFNNPAPTNNLFGQNQNPLGQQQQPQGIFGQPQQTNTF GNNQQSTGIFGQQQQQQQPLLGQQQQTLLTGQQPQTNSLLGQPQQQIPQLGQTQQPNLLG QPQQQQPLGLGQQPQQTPSTAQQQPAQPKNYTLNQINQQTKIHIENLTKAIANKEEIEKN QKNLQLIEKNSLKNIQQQQQPATTLGINSNPQQLQRTLVKGDRRNHTQKVENYYNEWNKV FLNISKKQDETHAQLIALVDQLTQQDTSFEIDEESLIDSIKQLGKELDGLERKINYVVKI QEKILGLEKEESEWDQIVNNLSECLLHLDQQTKDVEDLLLQAKKHPKVQNK >CAK61613 pep:novel supercontig:GCA_000165425.1:CT868014:252031:254255:-1 gene:GSPATT00031845001 transcript:CAK61613 MDLLYQIMPKVLLNTLLKRELRIQNTKYDQYHLFSSNSSISTWIHDVGHFLQRIKTVQPQ FETNFNLEECFHNLNKFRTLNGTDNLYGGRDVQKIESSIVLVFTNREYSGLDFHKLIRWD YRLLVFLIQPPGIEESTPVQIQTNWHNIAQSIGQGVCFEIQDYNILFQILDDPKLISPQI SLQLTMLDQTIRYEEIQSVIEKTKIEVHPPQNSWLVRSIQKINQQFAQARLRCAPHVNIF TKVYLPEQYPLVDFNNYPSVPEYFVDFTVTFQARLPQYIPFQEIVIENATLLKYVQGITT NKSKLLQFPIFYQEQRDEQVTKYLVGLFLFSSSQNTMELRFYFPNFYIYSELLTQLVNTR DDARRSVESKFLQYLQTIPNYYAPCIKKNLAEQNIQISRYLLFLIDETNQQLYMQKVKTY QAKVQKIKKMADEENYKNRQSHISKKSICCAAFSENYQTGFQEQIKCEFRQVTQSTKDIN FNKFLPQFEIQSCLPQMPIVQPTLRNVDLDFDEAEIRLEPQFCGNPWKLQRKTYKDGIEI KNEQDEYQFEDMILTRVDSENQSQVKNRRKGFMRLKDIQEGNHKKSTIEQPQMEIEDFQT ESQPCTNNNKYGCIKYNPCYQAKFKLIVQSKLSNLLSTNNSQSTNN >CAK61614 pep:novel supercontig:GCA_000165425.1:CT868014:255286:257071:1 gene:GSPATT00031846001 transcript:CAK61614 MIQEVNSFFDIQENQGKSSKIWQPVNMEIYNNDIIVFQDDCNKISKKSKQPKSITIQLGN EHIYHIKDSNITQLNITVAVMTTYKHDQFGNIIRISRNGKFVDLQLDDYAVFKNLMSSRC LQTTFHDEFGVTKMIGKGSFAKVYFATKKSTGGNYAVKAFNKEFMQEQFKGRESLENEIK VMRRLNQENLVRLYETYETQNSIYFVLDILKGGELLTRVKQSPLSAPSLQKLMYNLMKAL CHLHSKKCMHRDLKPENLLLKEKDNDTDIVIADFGLASFLNEDILFKRCGTPGFVAPEIL LYKEGDPFYDEKCDVFSAGVIFYVLLTGRQPFQGTDFKGILRANKNCEVNYEIKQIQTAP LQLVDLLKKMLCPEPKTRVSSEECLKHPYFKEIFKEQDLIDVQESLRDYDKDFVYGLGKQ QGPPSQVGSMQLQQRQPALNGRIDTMGSFSNVSNNGSVTRLDQKPQLQQSKFSQFGHINK QQPQSDANSPQMKKNLQSDLRKTALKNSFQQQINQLSKDDDCVNDEAAHLEDAISKLNAQ TPKMGGLKKASSYKIPKSSME >CAK61615 pep:novel supercontig:GCA_000165425.1:CT868014:257084:257799:-1 gene:GSPATT00031847001 transcript:CAK61615 MNDELEKTANFFFPVDNQGMKQKFVHSRRLLKHPVQNNVSNPSTQITTSKRSSDNSGIEG QHTPPQISGMKKRCELQIGSEDRQSKNYRIFSSNVNQRSSYPQQISFANQFQFMNEFLQM KDENQSPPFKQLKKLPEIFQQRNSSQGFRQALRIHSGQSKRETMSNFVQEIECPKKQCMQ QLQLKQSINKQQLRGTQIKLPNIGQPVTFLQTKQQLKMINRIFSGKRDQ >CAK61616 pep:novel supercontig:GCA_000165425.1:CT868014:257998:258414:-1 gene:GSPATT00031848001 transcript:CAK61616 MFRLNKLIKSFGSIQNFTNWENDVVKASKPVIVDFYATWCGPCKRLTPILEQKVKEHPNV TLIKIDIDQHEQLANQNNIEVVPTVMLFQNGKKVNNFTGMLPPDQLTKFFEQIPKQ >CAK61617 pep:novel supercontig:GCA_000165425.1:CT868014:259837:260985:-1 gene:GSPATT00031849001 transcript:CAK61617 MIIIFALLQIAYSLYNLEVKEDGLLIEIAQSLKAYISFQGKFSQISDENDYLYCPYATQG CLIGINNQINQYQLKISEFDIYDQEKHSYNTEIFSFIHFFMEYQDNEDIQNFIALNPFSP IYNSFVYFGYTLCISHDDGYLKTNQNEAIFNHQNKMNGIKSNIKEIDQISDFYSIRIDYL EVEQQIFNISNYSIVLNDQIDYCSIPIELMMKIFKSLSHDFIQGSYIPEYEVYIRETNQQ RIENLNLIKFYNVKHQPLVILPEDYLYYNFENRGLDVLKLFGSNFLSEIVLGHSFLKNKI IHYQSETKEIFIEQLQGERCKPNQIKKETIFEYLMFKIIFIIIILIIIYAIFRKIRAQHL LKKNKNVEQQQLISNNEVEVLK >CAK61618 pep:novel supercontig:GCA_000165425.1:CT868014:262751:267505:1 gene:GSPATT00031850001 transcript:CAK61618 MEEALLALKENSIQLAINKFQRQKVENEGAKVLLSKINEFYQSQREMACNWIIRNEQISI VNKFRAYNILGCTLDDENEKYTNFAMALKMGINQHFDISDTLINFQKVCLRQQKYDYVVK FAKVSNSFQGRHRVVALYNQAKGHLGLNQIKECKECSQQAYQECKSLYGLKDPMTIRLEE YLNLLEQNQDLYISYQTPNNLDLSRLSFQSSQPRTKQRFDRTFTQFDNKNMSNDFKRENL NTQELRAEFFYQNKKTNTLENQSFCCDLIQEFFSFADITIIVKNVQPNSPCQALFQDYQN LLQEILDEGEEQNNQISQLNNISEETTKNNVLIYQLTPQNENNISQLDKMIYIQRAQIQG LLIQSVQKDINLKLDENLFQYQVMKGSKAKQDDINDFFLNLKNTLDSIVILTYKCQSEIE ADRILNILKQKIIYKNISRQLNVEDLDIILSSEINLTDFSIYPTLLRQAQNLKSNTNQII VICKLSNPSNLQQLQNQFQGLVQKSQYLQLIKDQFDIELVFNPDVNDIDQKGRQLQQNEE QFEISVSQPELNVGNKKMFVVNSVIQFWMDSRTQSMNEEKQIFTRITHLILQIYFPSLSI TVKDEKQMKLWQNFLYQFHPNNPKESYIINTQLLIEIQGESTKIEDKYKSIIKELTEFQF KRFSFDVQEEIAKVLAEEQNFENQFKSSLERISQKQGQCLIQIVGVSNNKILVDVYVNDK TKLNNIQSDLQKDFFNELCIHVEKNLDIEDLYAYLGMDKQQFKAEYEVEVHRCNKEYFFI CLNILLDEVKQLIEYTKQEKKENRKNECIECKNTLVFLEIKKQFVNESTYGNEEIHINFA QNNKIIIKASKQELLKTTSETIIQKMKNLENQLISKVCTFQEKQMKFFKKKFESQYKDLE NEKSVAIKFQTNQFSIISRVLFEDKKLQLIQANIISLECDAIVNFHCSQKNNFGVSTLTQ EILDFGGSLYQQNFLELLTNNTPSQNEIDITISQLSSFRNIHYIINLIIPTSSILSNSNP QTIQQIIDSLFDHIQNKYEISRLAIPIFNICGNTHTQNIQTYLNAIIQRLFNNEHPVNQI YLVEIDQQKIQIVDSVLSDISKVSPNSHSQNQWQWRDTINFVNYNEDINKQIDDAYERFE KSKQEIIIDLKFQIESKPSTHTVDLKNLKIKELSSNFEQKIIFERKRNKISYFINDEELE QELTQYFINMYNKQCFLFDIFWKNLQVVFNNQGMYQLNTNTNYKRIIQKIPYLQDKLGAK QLYELNINKIQDCQNQIVIQSFDSDLNDMILREIKEKLSQSLITQRIVLPQLSNNELKNF TGYIESIAQVIQGRMMSGQTINVEIFKNKEKKLFQQLELVKSYAKEYPKNWIAQTENYIK VLLDQNSQEYKQIKNLFQKTEKGSIKAIFRIQNKVLWDNYIVERNKLFEIHKKQSGLLLP EERERYLWHGVYSQHPSVIYKNQTEAFDVTYSRVGLWGQGIYFAENASYSRTYSYKIQIQ DDPKYAGNLVFLCCLVTTGKAENCQQNNNIRKPSDGFDCVTGFTNGSNIFVIYQMHVRRA YPAYEVIYS >CAK90081 pep:novel supercontig:GCA_000165425.1:CT868658:1:3442:-1 gene:GSPATT00023255001 transcript:CAK90081 MQKWLRRNTIGLPQQCFEERSNCVNCQFQCSIQCAVCDFGICQQCNEPNGWYLKTDGTCH SICGDNILVLEEEQCDDDQNILCNQCQITCDINCLECIKGVCVTCAQGYKWKQQFQKCVL MCGDGVLVNYENICEDRNNLLDDGCYLCQFSCQQSCSLCTQNGCLKCDAIGWKLDELQKK CETICGDGIIVQNYEECDDLIDENCYQCRYNCQESCLICQYGNCLHCKEGWLVNLDQKCY SFFGDSKIVGEEQCDDYNSIMYDGCYLSQYQCQQSCLECKFGVCINCELGYYNLDGRCLV ILNDGHTKGNEQCDDMNLVAQDGCFNGMYDCPGNCKYCYRGQCLQCNLKSYQLNTLDNQC ISYCGDGYLSDYEECDDGNIIPYDGCHQCVFQCSQYCQICQFGVCFECSIGYYLDKPKNS CYNICGDGILVHEEQCDNGNLGSNEICLSCNLVCNEQCTTCIDGQCFECTSLGWKLDLLN MNCQPTCGDLLVVGNEQCDDGNQDDDDGCIDCYIQCQDQCTLCELGFCKECNVKGWELID ATCISICGDKLVLGLEECDDGNQLPYDGCYECKFQCSEQCTECQNGVCKACISPGWVLDP QNRCTTLCGDGIFVYPFEQCDDGNDNPNDGCYLCEFQCSKGCIECQQQQCNKCDNSYILD IKTANCVKENLNDYNDLDIEIQQIIWLTNFRCGENHILIDHACVSQCGNGILINQYEECD DGNNYGGDGCSTNCHIEDSYQCINQQGSLSLCAYIVPPKFNLNILSNNVQQTQIVELTFN QQVKLEEGLKFEEVIVLTIIPQTPYRLTVDSISNLTNTLNNPKYQIQIEFIEPVDIQKNT IFNRDLIGLFENQKSVNFGTPIVLLESTKQQLISIVQLNNIMMYLMVGISSFAFLTGNAI MSLNLLDLLQSLSYVKYMQFQFPPHFNDFLNSYTKVSLQPILEISQIDQLFAINTSNHVN SNLQQDPKYIFQQPYLYNVKSCYFSVLISMLTYLTYSLISSDNFQQKIFTLFLNFRNNYK VVKLISIFQQKVQRTCVKQKQEYFSLGIFKVYLAILHQFMFSVMLQFPNYNFSSPFEFFN SINAIFGLMLILISTINLLSITTVQIKDIRRWKHFFTETKTQFWALQFK >CAK90082 pep:novel supercontig:GCA_000165425.1:CT868658:3490:5931:-1 gene:GSPATT00023256001 transcript:CAK90082 MFYHFQICAHLIFLLQHVVANWILIDNSFTNMPIIENNWKTFSGYLEQFETSFISSTCSE NSLEYAILQSSVQQLSKRLSYKGYEAQVIFDVYFDDADSAVESQFKVTYEFKDNFTYSEQ QLYQRDYKQSDLIQSSALTCHSTVSNFDFQTVVSTIAQHNKNQFSIKLCLDPGNDNMKVG IRNLLIYVNTCHPTCFTCNGPTETECLSCFQNEISAGRCTCIPNYQFSQTLIGCLQECRR ENSIARFDKICVEDKRIKQNVTLFSSTNFNSGYFPLIFEKDEFNYKNSDLVDDDCYHHDF IGKLQYNEGMLYQMNLESSVKFIRIRITFYLFNFNETSSIYILQNNQLQSRITKDPNGFS VENLQKISEMKHFCISSDTLLRVEMILQLFNPNPNILIKGQLQQQNESWGFKYFTVDKGY CQENCEICSDFSSCQSCKTDYKLYRNSCVITCPIHSSNCIDYQDILPYSRYLAKGFYNLN MTLSEIQSYYDMTTDPQLSQSSGQKFSFLNNKIVLGGLLVWNDGSYIKTWTISNPHYAAT IYFNLTYGDNYTGNFFYIIGDSSSSQKYGPFSNPGGGQNLIGRKELESTKYFNISLTNFF SNNLYVEFVCDVVTADITKEFCAISEYFIVIHYCPPFCSSCTGFSTCSDTVNNNCNSNQY LVFDSQTESYSCKDCNQPGCITCTRAEECTQCINDQFNLSNGICLCKPFTFLLGNTCVQC NKYCEYCFGDSQYNCLTCVRDYHRGIQRKQCLCLTGYYDDGINLPCLPVCGDEIVVEEED CDDGNNNPFDGCNNCK >CAK90083 pep:novel supercontig:GCA_000165425.1:CT868658:8893:9662:1 gene:GSPATT00023257001 transcript:CAK90083 MIEYLNPLIPDQTLNLNIDFLQLYSIPQERPLGLNLAGQVVAEISPFVQNIQLLFKEKQE QYKLAKIQYSELQNSLQELQENKINEKNKYDLLLQDSNHLLQQKQQEINQLYYTQSKIKK DQEELQKEFKQQNDDFKLENANLIEVIKQKKRYLFKLSNNRHSRFQILLLFLKFIKTLIV KYHNTESYIIIRVYFIHVQVIKQSLIKVLQNLTMVGVGARDIISKSGYSNIQDPGRGQRI TLQIY >CAK90084 pep:novel supercontig:GCA_000165425.1:CT868658:11047:11950:1 gene:GSPATT00023258001 transcript:CAK90084 MRKLDLDFYKLLGQDVYISELSSQIAVMMQKLYQYRVFHYDFPKSHPQIFATKQMSHRLI DPDTWEIKVKWNASLLQMMKEIVNQFATQAPEDDYYMVELSKNNSDDSFQKHIKAIQNVN LRSIFVNCPANQFLETLQHDLNPFIRQLEDYEKISQLIVEKAQKNNSIGESIQQIMCENL KLKSEIEEELKKFSETQVDGFPLDDFCLSLSKKFSDKELARILEKKIQMLEQIREEKYEN KINEEDEKKFEKDIQEYLEKRKEYQISIQKQQYCLRALLKKQQG >CAK90085 pep:novel supercontig:GCA_000165425.1:CT868658:12714:12950:-1 gene:GSPATT00023259001 transcript:CAK90085 MIQIKTVLVQDHVYSSYLHFASANVGNQPSQNDSACTLVYNQEYESILFHGMRFAMPIHI RSVLVFFMGIRTFKYVKT >CAK90086 pep:novel supercontig:GCA_000165425.1:CT868658:13017:14297:1 gene:GSPATT00023260001 transcript:CAK90086 MLEIRDVAKQITSKLTQKFTLVDQSQLNLVLDSFVLGFLQGGSLEKNIFQQHLDILVSLK AFKDCEIINQQRLYKLYSDSLKETKNVMLKYQIQLLIFYKEVQNEIFEKLENNQNVIFES QWEIIQKPSAKPLNNVQQQTVFAIYQKFRQENEDQQKSLEYTIEQIQDNYRLKMEQQKLE NQLNYQEIECKICLQNIPFIEMVLLHCSHYFHQSCLKLHCITQLQQKSIPIQCPSGCKKI IILRDIETVLDKPELQEFQILSLRAYFSSKKEYSCCPTADCAYFFIPDDNPHFDCPVCNK SYCLECKIEYHNGFSCQEYRDKQMTQSNEVKFQSFVKEANYKQCPKCKVWIEKSQGCAHM KCKCNFQFCYNCGGEYKKCKCKNNTIFSAIINIFSGNS >CAK90087 pep:novel supercontig:GCA_000165425.1:CT868658:14379:15091:1 gene:GSPATT00023261001 transcript:CAK90087 MAEEQQPFSFTPEGGSATNSTKDYTGKGTATYPNGDIYEGQYVNGVREGKGKYTYNPSGD KYEGEFQENLKHGIGRLIYSNNKGEYYGQWEQGLRHGEGLYTYANKDVYSGQWSRGKKHG QGTYVFNDTAMRFRGTWNNNEIVDGEWIYPNGTVYRGPFQHNKPNGVGRFEFPNKNQVEG FYAQTIVPNANPEDTTLNIQLEWVTTKHN >CAK90088 pep:novel supercontig:GCA_000165425.1:CT868658:15872:16192:1 gene:GSPATT00023262001 transcript:CAK90088 MEIPDYKFNIQSDKPSLHEQLRAKLNPFQVKIVSCENLQIQAEKSYELCYFFYIFYIGTM INTNMQVQRQDIYFNSTHIFYWEKQILQNILKLNHQNLNCTTKMKQ >CAK90089 pep:novel supercontig:GCA_000165425.1:CT868658:16603:18545:-1 gene:GSPATT00023263001 transcript:CAK90089 MLIEQGEPKIIVAVRKRPLNKKEINKGDIDIVDVLNQQSQVIVKEQRTKVDLTKYIEEHQ FNFDAAFDENTTNEQLYLQIVRPIVEAAFNKAKVTCFAYGQTGSGKTYTMLGDYQERVPG LYLLAAYDIFCLLNNECYGHLQIAISFYEIYCGKLFDLLNERSLLQPREDAKGNVNIVGL QERKVQSVEQLMKVIEQGSSSRITASNSSNSDSSRSHAILQITLKDGSRSHGKLSFIDLA GSERGADVSDTNKQTRFDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSFIG NCKTVMIGNFSPSNSSSEHTLNTLRYADRVKELKKPNDKELKDQVTSLDKLARELMLPRQ QQQVKMQKQSQNSQQLQQQPQFNPFKNNPLQNYQNQNLFQQPQLLNLQNSEPRMMISQQS QQHTYSFQNFVQPPPPQPQPVLPLQQQQQPQFKINDSKNKQSLSKPATQMQEPRSYLNEN LFPGQIERKSKINQSTEDDLMKIGQKHEQLISVILEEEENLISSHRSHIDQMVELVKQEM MLLHNIDKPGSDVDEYVKGLEQILLTKIDEIQTLQSQLSTFKSHLSEEETLQKQFYQQRQ QISQQEIECSDIFK >CAK90090 pep:novel supercontig:GCA_000165425.1:CT868658:18616:18876:1 gene:GSPATT00023264001 transcript:CAK90090 MQSNHKITPNNPFYKGTYPQTILVKDKQQPSKTRYDRQSQPIIRGKGNQAVTFKHDIHQI YTVENWKIYKIENETQPNENKCCFII >CAK90091 pep:novel supercontig:GCA_000165425.1:CT868658:18915:20767:-1 gene:GSPATT00023265001 transcript:CAK90091 MNTALRQPLSQHNSIDKRENKQDHKQDLKSFSFIKSNTLNVQSQQQSQQQILLQSQQQQQ QQQQQQQQQLLQQSQQQSQQINKISSQTTITTTNNNKINNQIQDLETFIKEIDRLQLENQ QLKVKAQEPQIIIQSDPKVAIENQDLKNHVDYQKQKYELQEKTHNETVRSMDLNWKQDVV TMKFQMEQQESKHRQALKQEQAQAKQEIARLQQNLTQISNSENKNQNLVSVLERQLINLK KSNNVLEEKLSQAIQQFDSQSKDQEFTLLKQQAIITSQEQHVCDLKNKLGCQREQNQGLT NELEKVKEVAEQKKQSENMLTNKIKQVESDFDHLQSTYQQHKEQLQQKINELTGMYGKSQ EQICLLQQQQIREQNQIKRLEVNLENEEKNHEQEVKDIHKSKQLLIEFLEQELLGYKEQN QQLKLVNQKLENQHQEQQQYFGKNFRELECKTNMLGEECSRLNNIIKQKDDQIYRLQIQL KDLMSLNGKNQNQEELLESLQIKEEEINILKQQNESLINDLSRQTDNYRNLASQHKQLQK SAEDTKFNSITMNEFMSKCELQEREVERLRMKVLEKSQLLEKVQKENLEYQAKLKSKLR >CAK90092 pep:novel supercontig:GCA_000165425.1:CT868658:20818:21295:-1 gene:GSPATT00023266001 transcript:CAK90092 MEVKNYNGWRFCPRCKNLLKPFHDGADTEILQFKCRNQDCGDNGIIEVKIDKTLNKDALL LKKDYQAAKAIDITDNTLILDPSMPRKRVICNKCNYDEAIYFLKTDKNEKEIIIQYICAN KTPICGNKWTQKEMNEPKLTLQ >CAK90093 pep:novel supercontig:GCA_000165425.1:CT868658:21315:21641:1 gene:GSPATT00023267001 transcript:CAK90093 MDLLQDPKGDRQVDTIPTPPHRPLSEELLFIDEKPNWKLLKEHLFKEGRITKSQLMKLVD MCNYHLKNEGNVIYVDDPLTVVGDIHGQYYDLIKVLEMGGDPEQGKYV >CAK90094 pep:novel supercontig:GCA_000165425.1:CT868658:21677:22825:1 gene:GSPATT00023268001 transcript:CAK90094 MKIYYPTRVFLLRGNHECKQLTSYFNFRDECLYKYDQEVYEAIMASFDNMPLVALINNKF LCLHGGISPDLKSLADVERIDRFREPPKAGLFCDILWADPVENEELYDEIHYRGNDTRGC SWFFGEKAVQPLLDNNKFICLIRAHEAQLEGYRLYKYNEKIKEFPQVLTIFSAPNYCDVY NNKAAILKFENCTLNISQFGYSQHPYLLPHFLDIFSWSIPFVTEKVTEMLNYVLQPRPGE KIDDSDDEIPNAQVIIKQQTLGQSQSNTRPLGKLQQITKKQIDITKSKISFVSKMMKMQT VLRQERENIIKLKGLCPDKRIPRGVLLQGAEGIKDALEHFNTTRAADRINEKWPETK >CAK90095 pep:novel supercontig:GCA_000165425.1:CT868658:23132:23594:1 gene:GSPATT00023269001 transcript:CAK90095 MLFVYYTLESQNNYYIIQEYCKDRDLGIYLKKQQYLTEVGAIRILTDILNGFIELLQQGI IHRDLKPANILVHQNTFKLGDFSFAKCKSNFQRDIMESVVGTPLYMAPQILMRETYTSKC DIWQSDAYSEELHRLRTLYPNYLILY >CAK90096 pep:novel supercontig:GCA_000165425.1:CT868658:23756:24040:1 gene:GSPATT00023270001 transcript:CAK90096 MEAQAEFIINELRQVIVIKQIDLLETCKQLQIQDNQFLTFKELQLLLNEIDLKLNRQQIE FVFNMIDDQLNQFLRVLKLSLITQSQIKFTRNQN >CAK90097 pep:novel supercontig:GCA_000165425.1:CT868658:24049:24416:1 gene:GSPATT00023271001 transcript:CAK90097 MKNSPLIQLPKWKIELKKIKDTIEEQEKSLVKLFKLFDSDLNQVLDLVEFVKFLRTFNSN INNEDASLIFQHFDKNCKRGIDFLELKSTFSNLNYDGSISILLNNIQVNKI >CAK90098 pep:novel supercontig:GCA_000165425.1:CT868658:25037:26509:-1 gene:GSPATT00023272001 transcript:CAK90098 MYTYTFILKVDNGYDLFDSKLFWKYHSSVEIKCELIKSRKNNLALFEIQAKEGSIEYYYE NSKNEKEKSKRSINLHKDMKIEQDRFNCLNLMVKCQINSSDLTQNTQLNYIGKETRKPIA FQEQNLIYIPFQSEEKAQFLIEHQDCKDKLTEIEFHVLLQNLVIQENLLQLNIHQDDLEN LIRKQCKKFKQQNGNVEFNIDSRNQKRFDEVLFDKYQECVSDTKQQSLRIENFSRETQKK FSDQIKKIDNFFSDEKQDTSDSVMQSNIQQSQIIPSKRYKEMNQKFRSTEGGFNKGTEKM KQEHEQKLREQKIGQELNHISEEKRQNDMMEMNIEQTTQLYVSIFDNSIENNDKQELIKI IKQKDEMINQLTTQINDLRVEVQDFAIKLNQEKENANDLAKRYQIKFIQNCRDRRFSPSK KNQINSKSQQWLQKDLMELNPTIVFAKQNYCEDLNQNSSTFYLNSTLTGFRQHNNTKSKT LKTEASRRNQ >CAK90099 pep:novel supercontig:GCA_000165425.1:CT868658:26678:27922:1 gene:GSPATT00023273001 transcript:CAK90099 MKILVLCLIALVIADKFDQFRAFDEDDFGRTLIDTLQMQMSTGEPIARFIEIMRSLEISI EDEQKKMTKLTINIKINAQKTSNVNLERRTVEIQSILDELEPLRSYKQGQADAKNVWKVE TEKKLADLVKKRETEKAEFYKKVEEHDYATFVIETVRRMFSDKSQIFLQMNNESQWQKVR DYFINASEQAKKFEIKKSYSKMFNVLAEIASAAQTEDFQNSPTVSRIINLCDFMLKQIED SKALETKAEQKSLNMFVLEKGNFDKDLPSLNNALAQLTDAIIGLDNRIQDQKRDLADYNA RLAAKNKQNEDRGGECREKAYNYQLTREKREQKRQLVSQIRGAFLCQSKRFCRIYQIKRL SWRFQRKIFQILGNPTED >CAK90100 pep:novel supercontig:GCA_000165425.1:CT868658:28009:28430:1 gene:GSPATT00023274001 transcript:CAK90100 MKNHYNTLGLERDAKQEQIKEAYHKLALECHPDKNMNNRTQAIIQFQEISEAYNTLSKQE SKKIYDYSLDRKEIIRNVTKKQQEQEMELYNQLSKEFNLTGTYLTKDEQDTINKFMNRME KQSKRIRKRQ >CAK90101 pep:novel supercontig:GCA_000165425.1:CT868658:28748:30766:-1 gene:GSPATT00023275001 transcript:CAK90101 MFHEFLQELGTLKNAELPFPFRNQFKIKENFINPNSKHFESIAQKFRNHQYCFRLLSEEK AGIKRKWDKQCKMMFIWILGKFFQLKNKKTINPNKEEWIQLASILQIDETTLKQRWITLI NPVSKSINWDPEEDEIIKSLMHEQDEKHIWTHIALELYNQNNGQFIRTPKQVRERWMNYL NPKLKKTNWSQQEDLQLLNTVVKNGKRWSLISTLLEGRTENQVKNRFKSLIQKIYKDEED DDIEELQAIKEYLNKQNIEEEEEPQKEEPQVRTQYSRQAKLKFNLREKKKELIQEPPLIM PQEPQTKRRKISSQIKDFLNIGPDPIVQHSQQVKQTQKTKHKENKSKQQIQQQQQQQQQQ QQQQQQQQYNTRQQLEQIINRQNDDQLLDQSSFQLDQQQKILSQQYQIPQLSLNENNKRD LSTPNTLSYYFQPQLQQLEELKNDQFRLKQVQMSPVQQQQNQVLYQGFRPYQEEISQQIQ QTPVQMLMGQYFKNYPQNYNLISPFQQINQTPMVYTPAQPMYMYNNNNNAYMGMSPLLIR SPYQDMSPSVQPQQAPLQQQQQQNLWQTPQQNTIEQMQCDQVKQQQFLHIHSNQNTNLEF LKSNNLLNTWIQKRTNEKQNQPFQDSLPQLDQ >CAK90102 pep:novel supercontig:GCA_000165425.1:CT868658:30778:31865:1 gene:GSPATT00023276001 transcript:CAK90102 MVNWTQRFVTGLIGGPIVLYAIQNQLALCVLINVVLYLLHAEFQKLISNVLKHNCSDSDR WYVETMSSSWFIRIPTHYFITMSIMQSNPLSVHLHMVISIFFLLLVRLMNYLKISDFLKQ NEKTISDKFPSQMVEKKIQMLTFFQMSADIVQFMLFVYPLNFVLIVFQFKQAQALNLIWL ISAWQTDNGALFMGSMFGKTLFCPKISPNKTWEGVSGGIFLSVFSSLILSQLNFLSFITL DSLHTKHFLLISLIVSIASIFGDLIESFIKRVADVKDSGSLFPGHGGILDRLDSLCFSAP FVYLYIQMYMHDVLEEV >CAK90103 pep:novel supercontig:GCA_000165425.1:CT868658:32029:32640:1 gene:GSPATT00023277001 transcript:CAK90103 MYNRHSDFKMLDQYSRPQSRIQFLPEIPLYQQFRSLQNIPNTSRAQDAMISGRYSDQLYE SQTQRVIQSLKDKKVSFNQESPNLQRGLLRKMDDKGISTKENSQPKSILKRKGSNSSRHS DIFDFFESVEVEQQQVIRNNKHVTLAPLQCSITLSPKKIETVSPKRVSFNRRIQVKIIGD DEFNIQKSTSRHAFRRQLTDFIN >CAK90104 pep:novel supercontig:GCA_000165425.1:CT868658:32663:33664:1 gene:GSPATT00023278001 transcript:CAK90104 MQNNQIIYSQPQEKRSFLSRLCSCFEYFKKNPKQENQYHPEIDSPKSSFIGQRKIIVLDL DETLVHSQFQHFDSYDLSLDIVVQSQNFKVFVIVRPGIKQFFDQLNQFYDIILWTASLKE YAMPVMDYIDPDRKAVERLFRDSCTPLKNGLTKDLTKLGRDLKDVVIVDNSVFSFIMNPE NGLKIKDFYFDKFDKELETILPFLIWISQLSDVRPVQIQYGEFQKKKRLEKKSDEQQNQY GISKSVTIQRKKLNRKSLIKTLTEHVMERARVGKEDTNESEKETFEMGN >CAK90105 pep:novel supercontig:GCA_000165425.1:CT868658:33678:36625:1 gene:GSPATT00023279001 transcript:CAK90105 MEDIQITTVESETGRKLGKYSMRSMALPKISIAKQLPYLSGLDDVSMPKRYNRQYYKIQQ PTKEKDLINDKEVFASLTYNNEEPYEDRKPKELVGEDAYRSYYHTFKNIKRILEQNQFEN IQNSVQTNLVQRSERLRILPCKMGLIKLKGDRNSLSIQNQKFGDKYVEMLSEGLRILPAI QDFNFNHNRIKEHGASQLMPLISKQARKIEFQTNMIGEKGLDSILKILPIQQCKIQVLNL EDNNLGDQLIIELCKAMSKNLSVETLNISKNKITNNAHQSLKQLIESNDTLLELYLRWNS IKGSGGVEIFKALQANKNIKVLDFSYNLLGCGNVIAPALKDFIIENKSIQHLDLSANSFT FSDCTIISEALKQNHSIYGFHFRGNFGFVDSKGFLIIDSSMKNFNSIHIEQRIKGVMPHP KPYDHASRFEKVKDICWICDRWQMATFEWIPNKSGACSEEPIFIHFDYEGYEPIFLGKPD GHGHFRTHRMIPTGDIEYFYTANSIQVASQSVPIKQHIEKFRTKVSIADQVVNVLIDETN IESYPKSKPVIEDWYPNFDVLPRTQDPIYIPAKRKKQKRIWTYPISIWAPKYKFDTEELL RKCFERDWQCCKISKFVKKQEEQDQVKDMLWQAYKPMRETYRFYASVNPTGDVFSMSVNP TSDFVNQCQLIDQKQLKLADVDLKFIATCSASSIDYKGNYRNPERSLVRYQMMEFLVRVS DDKYIRFNPQINIVQATKMMLEQCMPHMSQFDSHKWRAERYFVEQCDDVCKKYKWVFDYV YMRNSSRKVKPGQAPFMCLDELKDICNRANLYDENFVERDVNLAFNLSTLTQIDELESDR LFQMQWIEFMEAIARIADKYSPIAIGKKEEKEWTYELRSQQPLYYKLEAFMVHLINTLVD EETKKNWKQPTISMFDEVEEDEYY >CAK90106 pep:novel supercontig:GCA_000165425.1:CT868658:36718:38746:1 gene:GSPATT00023280001 transcript:CAK90106 MLEKERKQAQFPQLEMSHLLYGDKEGFDKFIERQTFFDNHPLFKVDFNFFNKSRQDQILL NAQKTIEAVKNLDLANQKYYTPNILCPQGNFISTVHFAMVIPAFQVLASDDQIERWMPSL KNFYAFGCYAQSELGHGSDVQSILTVATFDKTTSEFIIHTPNVEATKFWPGELGLYCEFA LVFAQLIVDGKNHGVHPFWIRIRDKDTHRPMQGVQIGDIGPKMGFAVKDNGYMSFDHFRA PLDSLLNRYIKVSSDGKVERQGNPKVGYASMLYMRNILCDQYTKFAGKALTVAVRYSLYR RQFKDDNKQEILILDYQCQQQKLFPLLAEFYACVFGSIKIKELTNENFNKITQQNDFSML NLTHSILSAAKSNYTYFVANCAEWCRLSCGGHGFAHYSGLPTIFFEMSPNIVLEGENTIL NLQLARYLLKQLQNTVSKPDSVPSYFQFLSTDTVKIQDVTTIQSLATLLGCNCSILTRYA ASKFMAHSDLQDSWDTKSGIALAKAASAFIPYFNMICFIDTIQYKAKDTKEILTVLAQVY GITMLLNNFQGLLLKNQISSEQIKLLQDTREQLYPIIRRNALSLVEAQGLSDNTLQSLIA PKDGDVYENMYRYASQDNSLNKQKVHEGVTYIKKMKEVNAKL >CAK90107 pep:novel supercontig:GCA_000165425.1:CT868658:38759:40043:-1 gene:GSPATT00023281001 transcript:CAK90107 MFTKFGQQLKPIGNRSSSETKKEQANQKQNFQITQKRQKSESKVSEEQKSLFQSISTQRG NVRLPDLNKSQKQIEKSISGGDITKKPPLMKSRIIIDTKQKQQQQQQQSLTQSMTIKNKN DESIQHSQQQKEKVKVSYFSQSRPGYDGISEKTNQDRELCLQLDENNYAFVVMDGHGMEG EQVSGFVKEQLKLNLNKFYKLFDFQKIFLDIHQKMKLQTAFECQFSGTTATVLLLKENNI QCGWVGDSRAILVRKHQDLSVIELSVDHKPHLEGERKRIEQHGGVVDTYHLPGGAPIGPS RVWAKGAQFPGLAMSRSLGDLVAASIGVSQIPELKIVDMVNKEDLFIVLGSDGIWEFLNN KTIAELVYPFYMKNDPQGACQKIIQEAVAAWKMHSQGIDDITAIVIFFQYGL >CAK90108 pep:novel supercontig:GCA_000165425.1:CT868658:41047:43002:1 gene:GSPATT00023282001 transcript:CAK90108 MNEDWTTKKVDKYVVVNKQLGQGAYGTVFRGFLQEDETKQVAVKTIKISTISDTPKMIEL IKREIAILQKINHPNIVRLFDVARTNNYLYMFLEYCADGDLKDYMAKKDEKRLSELEAVI FIKHIVEGFKRLYKQKIIHRDIKPANILLHQGVAKITDFGFARVMDTEMNDPAYLSRLGS PLYMAPQILEGQPFSAKCDVWSVGVMFYELLYGRPPWAAETAFQLLENIKKQPLKFLPKP VRSQKVKELITLMLRVQEKDRISWEGVFEDPTIKIDEEIMKENMRNILREKDEVSKSISL NKLYIDQNLVVGYLAKNPINTLENSNQSTLDASSEARSQSAKFSSQFDSESNGEIIMSNY RNEKKRRDAMLKYNNYFLFERNIAFFFNFVIQKVIKLQTIQMLQLANDNYFRLIYLIAKN QMVHLERVNQQLSRKEHDKFDKETWGRFLVSQEYQKLTQLIQMDMKHSSEFFAEISKKCN QVQQEELQNGKASSQTTNLIKNFLSIHNNNFEANDTFHMLYRDVILENLKIIKNLNSKET EANLLIIYLTICQNPYEEFKDINYDFNTFYEETENLSLSEVQEKFLKKGIK >CAK90109 pep:novel supercontig:GCA_000165425.1:CT868658:43067:43525:-1 gene:GSPATT00023283001 transcript:CAK90109 MIAQKQQNKNQEEIAQGIIDEEEYQILKELKDLKKSLKQNQSNIKTLKSEINELDQNIVQ SKQLLVQKFEEHFLKKYGLTLQDINNPLVNQKEEEYSINDPSEQDDVDQDALAYIRAKNK VTQLQKARKQEKMHK >CAK90110 pep:novel supercontig:GCA_000165425.1:CT868658:43543:45357:-1 gene:GSPATT00023284001 transcript:CAK90110 MVKTPIKVIVRTRPTVEFAYKNININENTGHIAINIPKSAEQGMRFVNHQQEDWGFTFDK VLQNASQEVVFDICGKELIHSALGGYSGTIMAYGQTGAGKTFTMMGSQIDYKYRGMMPRC ISLLFQEIEARYEQQITVGVSYLEIYNEMMYDLLAGGDQNSGLAIQEDNNGYVQVKGLTI KRCQTEEDAIAQLFEGETNRTISEHKLNKASSRSHCVFTVHLEIRSKVESAEKVIISKLN LVDLAGSERTKKTGSEGRTLLEAQFINKSLSFLEQVVVALSEKQRDHIPYRQSKLTNLLK DSIGGNSKTVMIANIWPEKNQLEETISTLKFAQRMMKVTNESSIRVNLDPQVLIKKYEKE IKDLRQELAMHDTLANRGRIQYEPYTPEQQYKQQQIAQQFLNGELEDIDIDSLRQVKELF FQFRNLYRNLVKDLENKNYIPRTEKEPDVSKKKSDQVKTVDPVGYEENKHGFGLGKARKD GKPTTNIENLVNIPKDEFKQLDEKAQQEIRKESQITEANKESTIEKRIVNVDKQQAFTDF KNKEGFFNQPTNIRKYCNIYKIYHLESIERRRRIALSLSQRFQTNSKL >CAK90111 pep:novel supercontig:GCA_000165425.1:CT868658:45426:46769:-1 gene:GSPATT00023285001 transcript:CAK90111 MSAENEIQEERTQGEQFENWKQNVPFMYEICISHQNSWPSLTVTWLNEIEIDQNNNEVHK LIVATQTARQEQEFINVLKLSLPQYTEEDFDASSLNNIWKTQPVGKITQESQIPVQHEIN KIRQQPMSKSILAAQTSVGEISIYDINKHQKVMSLKGQEREGYGLSWNPKNQGHLLSASY DKKIYYWDVTTGQLIKSYNFHSQEVEDVCWHPQDPNLFISCSDDRTFAICDTRSQQGMKI QQEAHSQEINCIQFNQLEPRYFATGSNDAEVKMFDITKPDNQIYSFSNHEDAIYTLQWSP HKKNLLATGSVDNKVILWDYLRVGKSQEREFERDGPPEVVFYHGGHRSKVNDLSWNPNHK NLMASVEADKNMLQVWKIQPQLWMDEDGDEA >CAK90112 pep:novel supercontig:GCA_000165425.1:CT868658:47548:47943:1 gene:GSPATT00023286001 transcript:CAK90112 MQKSNVGPKLLLQLNVKVGPDDDTVSDEAFSQVFNKTSLIKDQQRYDAKGRQIGKGKNYS IEFDNCVTVCVYDPNDEVLQIKETLSQISNLDIMKVNFRNQYYINNQQQLTDQQIRPILK RKGPMYPNVLK >CAK90113 pep:novel supercontig:GCA_000165425.1:CT868658:48137:49018:-1 gene:GSPATT00023287001 transcript:CAK90113 MQQNPIQFDLQMFHKSFEFNNKEIIGKGFDSIVYKVKNRDDKNEYALKLTSFKDLQHKFN FQQEIRLLYHLNHDHIVKFYGNSMDGSCILIEYMPFGHLVKLIDLSLDIRIIKSIVNQIM SAVQYLHSRNIVHGDIKPENVLISKDFVIKICDFGFAIIANQPNAKLRGGSEGYTAPELL INQNYDPKKCDMFSLGVLFFVLFMGYRPFLSTHPQKQDKFWNYIKNKEWDKFWKIFEPKK QDVGFKAYIQKLLCADPNERYTIEEALQDDWFKSNLYTVKDLALQLKMHLPKQ >CAK90114 pep:novel supercontig:GCA_000165425.1:CT868658:49042:49734:1 gene:GSPATT00023288001 transcript:CAK90114 MQLGRVCSLHILYFLTPQDQCQLRQVSSFWDQLLKYAYKLILKTWLDYAKSIDHQISYLE QQKTHSSEQNSTLKQSFYEFNKLNEKDLQVIRKQILNEDLEKNCLHTLIALCGYLNNGYL EDKFNDEQLICLQYLKHPNVLKWALKLEEDFEKITEEQIKILIKCDEIQESVVQEESFAI HRCLHYLQNLRELLTSPYYVYHRQLQRLYLIKQNTELHTMTFQLWMSKIT >CAK90115 pep:novel supercontig:GCA_000165425.1:CT868658:49784:50618:1 gene:GSPATT00023289001 transcript:CAK90115 MYMQINEMDLILPSTKTTGALWLGNIKAAQNIMNLSKENIRTVITVANNVNVSYPKHQKI IHKVFKIHDKENVNIQELIEMTNEEIQQAMKIGSVLVHCMAGISRSATCVIAYLMYQNKW PFEKTLKFVKSKRPCVKPNEGFKKQLISYSNEIQKKLEPQQTYSTENPLAKLRRQLHQSP EDLIKNTQLAKSPRHEIKVLTKDSTEEEKQQYRQQLAQKLFVNTFSTTERNRKQLKTLLA NKSTNVKGCISMYKQVEESKLVGFLSDRFN >CAK90116 pep:novel supercontig:GCA_000165425.1:CT868658:50822:52294:1 gene:GSPATT00023290001 transcript:CAK90116 MSEEEIVACDQCKQVPDNYLSLNCNHCFCLVCMAQNFLKGGRIFKLEGTDNIHYICTVCE KDTPLDDGSVSVVESICHQLIQTSLEKVREEQHITKEEKPLQQSQTIAQPIAQPKCDIHT KEDATLFCFTCENKCFCIKCLLRHDNKTHEIQNVNSCLKRLRSKMNDATHTIGASLELMQ LQLKRVQDQDSTLQEEMDYLTQRVQEQSQSLYQVIQNKEKEIVTQLDNLKEIQEQQTEKM LNDIQMKINSLIQLRSDFENIGLSEQLQPSVSILNYYSGCKQIMQKVIGQMSFSDIFSTV KYFPDNDQFFRYDEFELKMQNLKAQIDRFLTQQRSVSQHEKQSRITQKSAIMDQLYLSQR CHTQMDESTDSFKSHQVSTYSKINTPINNQRNLQAKVEEIKQLYSDRVKTQQKLQKQQEN SLNNIQRSFSELASFKKQTALSALRLQQGLFDSRVTKKVQQSQQQQSQESQQVQK >CAK90117 pep:novel supercontig:GCA_000165425.1:CT868658:52344:53631:-1 gene:GSPATT00023291001 transcript:CAK90117 MIQFDQHKQRTLQTNPEDYFNQEDDQPTETNMYQSLNYQKSHQPLSSQGYLANQHSKLFD LKKKYLKNREDTHRRSVSIHNKMQCNKSPIKTQQTKRQSSPKQENYQPLQKKKTMPVCKN LGNNLRNILKTNYQQQGSFGENPENAVIRKEYSQLIEIPIEYQGIDNYSFIKTLGIGATA EVKMARNKELDIEVAIKIYDRKKMNSMHLKNLEREVDILNLIKHPNIINLYHTYENDKSI FLIMEYSSPINLETFMKGRPFKRINEDEAKILFRQIADAIAYIHMLNISHRDLKFENLLI DYNTRKVKIIDFGYSIKVDGKQTCSCGTPQYMAPELVKKSAYDQSVDVWACGVILYKILT GVFPFRGNSEKDLYRKICLGKLEYPSFVSNQARQLVSSMLRVDPNERACMQEVKLSQWLN >CAK90118 pep:novel supercontig:GCA_000165425.1:CT868658:53952:54924:1 gene:GSPATT00023292001 transcript:CAK90118 MQRLQGATLSLWSSFTPVPQYAFATLNLKQLKQRMKSVGSIRKITKAMKMVAASKMKQDV QRLENGKYFGVRSIQDLFANETYLQKKQLTFKINKTLLVPITSDKGLCGGINSSIIRETR SVVRQNRNAFKLFLIGEKSLGALQRGFPELLTQAITAIHTPINFVNSSSIAHQIQLNVTD DIDQIAIIFNQFKNVVQQVVKRVDLLNRKNFIAQFRLVTKYDTAEPEKEFVQNYMYEYYV ATAFYHAMLNNFASETSSRMNAMENASKNAGEMLDKLTLEYNKVRQAKITVELCEIISGA SAV >CAK90119 pep:novel supercontig:GCA_000165425.1:CT868658:54935:55564:-1 gene:GSPATT00023293001 transcript:CAK90119 MITAQHLGLVEKSPIVANTLSQVSDQHGDGGVDFEEFVRELTTKLGNTFEQKGREQLFTL VDIDGKGTLDKDDLKKISDELHLNFSQKDIDEIIHNVAGYDAVDITAEQFEKYLAKLTNR RKIETEVLRTK >CAK90120 pep:novel supercontig:GCA_000165425.1:CT868658:55636:55956:1 gene:GSPATT00023294001 transcript:CAK90120 MALQLQNKLQGVLMVFEDTFRNSLYMEMDQQELQQRAADMLKYQKELMTLIDQMPDIDDQ VQKTKLQEYFLEKDIQIDRHNQLKKELNDLSEIVDVKIQEYFTQQK >CAK90121 pep:novel supercontig:GCA_000165425.1:CT868658:55972:57018:-1 gene:GSPATT00023295001 transcript:CAK90121 MLKKRGSDQLDIIFEAGEDLMNSSKNQVIILKNNSIRLPITKPRTFLSYSLEFCGITGLN YKQLRFQSLGRDIRVFDQLMIQPETSIMTASLVKVIHTNEFNQMVQNPLKSSLQNLFLGG IFSDVILKINDEIVLPLHKCILSCRSPKFNGMFSSNLMESTQNIIKVEYKKPELFKLMLG WIYSGYWKEFPDNIADACDLMLLADEYMIMDLKQKCEEDIISKLDITNILQVLLFVEKYC DILSPIIVDKAHSLFIDDFDQILRLNPNLEQDITKVPGLMTKLFLNYHQKKIRKARKVHF VVEDFDQQESDSDYNSQDYVRNYTQNFYQ >CAK90122 pep:novel supercontig:GCA_000165425.1:CT868658:57358:57652:1 gene:GSPATT00023296001 transcript:CAK90122 MQDREAIKNKILACFKEIDEQYESSTTKIENSIEQMPEFQQSDQGLDNTPKLQQQSQPQP TQPNVSCITNELSKLSGDIVEALKFKQ >CAK90123 pep:novel supercontig:GCA_000165425.1:CT868658:58004:59331:1 gene:GSPATT00023297001 transcript:CAK90123 MHQQSVPITRLQREYRLKPLEFSSPQKENIQRIVSRYPESADYNSNSGKYQTNLSLPALP PLKKMNKIEQTISIYKGKANRLPSIQFTSSEQQLQRKKQIQEIDGMRKSITPEKRLGKRN SLLFAMRTKAGCQPNKATKINQDAAIVCPKNVESVGYKLFAVCDGHGLNGHMVSNQIKQQ LPKHLGRLLKDAENIENQIQKAFTITNRELWNSEIDTNLSGSTTVSLLITKDIIYSANVG DSRAIMCRFDDGWKVVPLSRDHKPDDSEEKKKILEAGGRVEQQKGLLLIKLIDLYGNPIG PFRVWLSYIQAPGLAMSRSLGDKVGAQAGVTAEPEIKQYTITGQDHFIVVASDGVWEYLS NEEVMSIVIPYLEKDNPEQAAERIIIEATQAWRRNSLARDDITCIVIFLQK >CAK90124 pep:novel supercontig:GCA_000165425.1:CT868658:59724:60853:1 gene:GSPATT00023298001 transcript:CAK90124 MKQSAQPPRSTQFRANSREARLNFAQQAEMNLRGDFKPQVPLEPQRPTQRSNSYSRNNPN RPATQEEIRSALTLLKAKRDKDRKYSEFTQAVPDQDIYQAPSLMKAQSYQPQATAYEDIV AKEDKKPIEIDEYENDDEELIECPEGCGRKFKRSALQKHIKICKKVFQEKRKAFDTKEHR ILNPDHAKLLQKQEQEDKIQQQQQQKKKQAQPKIDDRPLQGQKKPKWKLQSEQFRAAMKI NKGVPLTQQEQVAIEEVDDRVQCEHCGRKFNEQTALKHIPSCKEKAMINSMKKKSQQMLP PQQNTIKSQFNATNNFQKQQQQPQQQTNNIRNNVNLNGTNNTFTSTQTASRRPPPSSSKK Y >CAK90125 pep:novel supercontig:GCA_000165425.1:CT868658:61182:62174:-1 gene:GSPATT00023299001 transcript:CAK90125 MQASPLIKVQSSAFSTGSALRIKQKQSQPQNQVEQISPLKYLEENEQSPSKIINIYADSA FYNSHTAFSEIQNQQISEDDRILKSYTYSQMAPDDAEKAYCERQKTIQDQIKRSKDNAKN KKKEFYESKKRAVKSGTQKQQINSSITQSQLIDISRGSQHFRRTSIDQVPQPKNKLIKNQ VSQSNQSFHLQFQSKKQQLLNDIAKLEKEIVVEQQYIQLASKKIQPRQNLQATKTNYEKR QSTKKFAKSETVIQEKKSIKTKNTLKNLNTSQNSQISPQTSPKSTLEVKSPIRSQSQEAK EIVDLLRQSREDLNCIIFIIIHLREIAQNE >CAK90126 pep:novel supercontig:GCA_000165425.1:CT868658:62241:63532:-1 gene:GSPATT00023300001 transcript:CAK90126 MVLKDWKIINKVELLFEQGGEWHAIKAPKYDYKGQPIQCNGDCSLHLLGRSEAPRNRIVS HSYITIGTGNTGIYLGNEYKTYLSRDGGHTWFEILDGMHIYDIAENTGLIVFVSDEEQAS EILYTWDEGLTFKKIKMNITMDITNVVYKNGKFIFHDDFTSSALKGIVVSLDINQIMVRE CQGFDNPGEQDSDYEFWYPTNYAGDKCLFGKREKYIRRKQRAQCYNKEPNLPVQTESCPC SKADWECDVGFKRDVYSNCVPMREIKPKQCKGTYLKSQGYRKISGDECQDGVYLGPIEVN CEQEESSTTKKENVSPQNQQENETQQSQVPSKKVQITQKKASGGGIKFTYLAIGVILLIL AFFAKKKYLDAGKIKKRTPAHYYPGRQQEKQSLFTEL >CAK90127 pep:novel supercontig:GCA_000165425.1:CT868658:63626:64586:-1 gene:GSPATT00023301001 transcript:CAK90127 MLTLLLLQVVFSQKILDSPLEQVVWCKSETFILSAKGMLYKNNTSEMPKLISNFTIREIL QSEADPQVLYILGDVDTSYVTQNCGKTYQKFKHEKTLHDFRLNPLEAHSLMAFKEFRCNA TTDNLCKEKYKKQYEIFIEFQIVLLNRTKDAIWDKLIELPEIPDSRIVASYLSDQGHVQV SYSDDYFKTTQLLRNNSYGFYQTKEYLFILIDADPFSKGYDLEVIKQGSLTPVELVLPIE DHQKYTFTVLDTVNGSIFISISHLEDMPKIMNIYQSDTSGTKYTLSLLNNVRSLDTGNCY >CAK90128 pep:novel supercontig:GCA_000165425.1:CT868658:64647:66008:1 gene:GSPATT00023302001 transcript:CAK90128 MLSSKAGIDHVLRQFTNKRVLIRVDFNVPIKEGKVKNATRIQGAIPTLKKILEQNPKNVT LMSHMGRPDGKRVEKDSLKIVVPKLEELLGTKINFVNDCVGSEAVEASNAGNGQINLLEN LRFHIQEEGKGLDANGAKIKADKESVKKFRKELSSLGDIYVNDAFGTAHRAHSSMVGIDH KVRVAGYLMKKELDYFARALETPQRPFLVILGGAKVADKIQLIKSMLDKVWPLHSLKKYT MFPLEKSLFDEEGYKIVDEILAKAKERNVKIHLPTDFVCGTGLDASSPVALHDLKSGIPD GWLGLDAGQLTQRENAEAIGRAKTIVWNGPQGAFEIEQFKNGSVSMLNALVKQTQSGATT IVGGGDTVNLVGANKANDKLSHVSTGGGASLELLEGKILPGVEYLTNIKDL >CAK90129 pep:novel supercontig:GCA_000165425.1:CT868658:66686:72000:-1 gene:GSPATT00023303001 transcript:CAK90129 MIIFCLFYLVCNQKTINQPLYLNLGESVEGFVSPLTSSHHVYHFVVPEIINQTNLVVLLK TSDPESDPNLYISKSVKEPISVQDADVQACEAKGMDICIINNEKVKENDLLYISVVSRFP SSYTLRIELDQEQTLTIEKFLTFKMTSEKQSYIIDFEMYDIWDEATEIEIHVQRLNEESS KEPFQVFMNKYENGVPNNDKFDYVGIDTWENKKVIEFTQYTPIEMYKILIQGEQGSVLRV SATRIKILKTIHVYESITQLVKKGEYDFYVSEFNIPDDGSIFSQVIRLIPFKGNAKLYVH YNFQPPLLDNYEWQDHLSTVKCISISKNELLEKNITMNTIYIAVLGQELCTYQLQYTYET EGKLYPEIRYQRYVVLGEVLKLELFDNVNDWHRIFLIFQVLKGDLSIVVTNCTDQSVCPQ DELINNPEKFKNMEEYYIRFYSFIPFLEIDITCNQICNYYVLVTVPPNKWSQSCKFDIEY QLLGGFKKLIQNTPHKSTIYKNSLQFYDFFVSEDDQIQRLSFIVSPIQGEVSINLFIYPS QPDKDTAQYESENSSINFGGEFSNQLLPGYYSITIWGKSSAKYIITVWVVKNSEDSQQLG QYPWHYIHLYQGDWHDHLLSNDEFVLFKIDLQGFEQSDKDPFNVILKKHYGQFLIFGFDH PETNESQAIWQGGQIIKILTNDPNYPQILYLKIKLNKAGGVYGSFRIAYNFYNEQVNLLI GEPFFNFLPANNQQSFRLEISDEEPLMISKRSFDNDQSSLQFGLQKEQAVDIIEFENSNI LQIKKKTNSNCGEENYYCSGYLLFSLNSKLDQFYSILVSKMDNSQIQLAEDQPISKVLPL GEDYFYLFCSNQEVNILVFSYFSELKIYASITKDLKSSYPNAKSYVKMSKKGKAGYQTSL YFSESEIKEQNCVQRCIIQLTVSLAKIHSDIKYSNYDYTIQYNSNLILLRESEIQEGYLT QNGLKFYKIHVPNNNQNLMILLKPEPNNEADLLVSKSKFPNQEQYDWGSFELFSDVLILE PGNIIHPDLSGDYYVGVLCQSICQFSLQYHLGKVEAYEIFPNQPYKFYVGEEYTVFLRIY NYFSLKPMMIFLQSHSETALFYVDEVDQQTLNLGYVKDVTQFKYNNNQNGYSKKLVTEPL QFNTILLIALKTYTSEIVTVQVSNEQEEMFLDSQMLLQYYLKKNKHITFILSPQANSITL QIYVFTGQIACSYSIDNLNQDNNFHTLTLLEVRSDNQENNFVIKNFYTSQVVIYFEAIFN CHFSIQYFNEKEDATYIDSIYSAFAYLKGQSEKLFYYTNYDYFQHSTQNKTFSITVQIQE DLNSVLSNQKHRSLPIINVYSNNTKAQLQPVQHRIVSNLVYHEYIHSEKQYQIVLHNLAQ FPQFYQIQVGNSELRPLIPRIKQLGTNKLHQSSYWAMQQNDAYLFYEMQFCNGIFSVLVG KDLDLLKNGQYDYKIDIKQNKQIFGFFDKIDLVNVIYLKFDFIKSFDKKIVNAQYTIRAY QVEDKSDIPYDQFYPGLSGVLSSKITEEPEENITLHVEFAPLQLVKQSGRETFLVEQIEY FIILNERQGEDNKDFDYCSEPSNEYRIYQNQIDRDTQLNINATLPNFNKQQQRNFVFTIL ATVSIQLYRDDQSVQLDYYYETSQIKWNSQKQVILNYSSENYQWVGFVIAVIIILIIGLV AFFTMKRKKMIMRIPRPITKRLQEQK >CAK90130 pep:novel supercontig:GCA_000165425.1:CT868658:72091:73413:-1 gene:GSPATT00023304001 transcript:CAK90130 MQQRESRLSQRAFSSNSPTMSSAYASAMKSMQDKLRNDTCRKSIDLSPQDILIEQLKQQV NELSCENDRLQIQLMKVSQELEMNNRASQQIELLQVERLQHLKDYQDRVSELIRKNEELK HDKHEIQLSYESLYRQLQAYKNNEKGLLHKVEMKKYEENSVLLNTVQEYKSKIDIKDSVI KKLEKRVEFLESEKLKLEQEFENYKQKHPAFKLHEFEKQISNLKYQLDEKDRLYLKNIDE AQSNRLCREEQMAKRIQELILKSSEYQDIIQQLTMDQKDLSVKYHTIKLKLDQEEKSHKY LKRRISRQEEAEFNQGYREQRTLSKLNLDQISPTLPSPRADQSLRQSQLKQAIRDCLEDM KNSTYSPDKKIESRKRLISPLSPLQHKEIEAKLKTLNEKYENLIRQAQRENDFKNKAVIR KQLLEIAEQIKETAKVDRLN >CAK90131 pep:novel supercontig:GCA_000165425.1:CT868658:73444:74281:-1 gene:GSPATT00023305001 transcript:CAK90131 MSFMNQNIIDKINSFGQTLCIQPVNNEMISLSQLKAKNFLVTTASKHYKVPEIEEPKPRK KYQNLAKIQFKFQIEDEDYHSVLKEIVQMQKNRFPLMPLHLHYKPNPSMQGLSIGRITNE QEMQRPMTLEECRNTIKTQKMSRDTHRDTSQPILEEFNKQLSCTKIKEIQPAKVFTDRSK SSKLHMGSTFQPFQNQTQQFEVVFDNTQQTCQYKRRITQKQFNLYKNRKIATPNLAMQFK QIKEKEQDVEKRIIQERRKEFDREYFEKLP >CAK90132 pep:novel supercontig:GCA_000165425.1:CT868658:74458:79179:1 gene:GSPATT00023306001 transcript:CAK90132 MLDNYIEILQQYKNPKLQYLFSSLPLPNQWQLFQTANKIDVLNSQTDYLIDLYHYREIPK LLLKDQIERLPEEFQHDLNQEEFILMLARVLEFPPSHLPFLINGTRILYDQICWLNQIHK VNFSTFLSFYIICESEAKDMPESFLPYTNDQRAFLKHFQEFEKDDFGFRFSKNQDITHHQ NPIKKVFYCQDSIFSLDQMSDNIQIFDSETIELKKTISCTSTKHKTNFLGSLSKTISQKT INILDIFFADSPKILGACCQDKTISFWTLTNKFQQEYSFSTEKYGLQVQIAYLESIKAWI TINRENQIYQWSFTQLIESNDSKAISFANSSVGTAVLLPYKGAQINYIKEISLLNQLFIC TTEEIQKKSDNTDKIFKTIEKRIHVWNPIKWHAFSDIKLPNKGSSVHTITYSPKLDVLFT VGFNDDVLLWKFDEGNENYNQFHKLTIREQIENQRIENNTVSAIEAFDEYGMLISLDNKG IMRCWDIESWEIIYRFNLECEIINTISQILKLNQGKFIVTTQRLKVLQFPRSQPRKVKVR FKPRLMQCFSQRVYVSSNNQLIGFNWNNAYIEEKVEMANEQEKVDSGIEILFFFTHWDVT IIITNDAILHIIKDRFRNNQKGKKDFLEYPLFENKQIEGCQFHYLYSQLTCWNKSILRIF QSQYISKQLHFTKIREMDARGHIQKVVNCCERDIIGVLMKNNILNFIQYSTMKTTSSVTT EDEIADFFLFNDYRCLAIIHQKRIVFYSHQDYALFQPKYFVRTDSISHILNLNYESLDKI LDIYVPNHFKYKNIQFFKQQEANNQFKYNEDVKKDFSVLQQIKELKQKNEECNFLEVKGT GLVKFKRTVKKILDMIRKNSLDELHRISILERQSIIDSSNQPSQILDLDSTLIRRNGQYI SNIKIDYELQPVDLNQYTYSKVYIYEDFHYQYFLCQNSNPIVLSNIQSKIVEKFQQIKDP YLNKDDNTKIIVSFNSSDEIFLDYKEAEEVILTKVEIDHNSINPQIINFYDHLLLITFGK EDNALKFWDVTCLKSLKQLIVDKEYLNLKPSQVSSILKLKELQEYNQTHEIKSQEQMVSQ KTKDLQSLIKYKTKHLGSTNLGDSPYLQAEYILPLELRLKWNLKDFNSLYRINQMKETFN YMLKMLYNYQEANKYQIYQQQLQINNKMMLQQKIKSGSQLDLYFSDDLHDHPQLPKLYLT QLQIKPDPPPNTPFPKVSKVDKKADINFQVISSILRKSDSDQQDQNNTEKKLRMSVHLSP KGKIKKLNKKKEKDKDSGRENQKEKERDQNKEKEYYDEGLKQKTDDQVQYERFDQFIKGA PKKIVQLNKQLNELYYPPFEKEQKLLEIEKRKQRQKPDLQVTTNKKTGIQLIKFSHRATD EEKRKFLMNYSFEEVAIKQSQSLREISAKHVDKSLTPFKDYLKETRSIKLNNPIKAKVKL SLLKQNDNVSDILDTNQTMEDQVKEEMVGLKLIKRNHRAKIKDELKYILDADLKKFSSQD SFAEAINDSQRNRSLTPLKEYLISKSKSKKQLY >CAK90133 pep:novel supercontig:GCA_000165425.1:CT868658:79232:79961:-1 gene:GSPATT00023307001 transcript:CAK90133 MSYNNNDEKKDQLIKVITLGASGAGKTSLLTRMTRDQFSENYIATIGCDFAIKRLFINNQ PVKLQIWDTAGQERFRTAISKSYYRGANGIFLVFDISNEQSFTEMQLWYQKTQEEIKNMN QDIQFLLIGCKCDLEQQRQISYDVAFSFAQSLGMHYIETSAKRNTNCLEAAECLGKICLQ KINEKLNQEQPVLEIKQDQLKKSSCC >CAK90134 pep:novel supercontig:GCA_000165425.1:CT868658:80199:83459:-1 gene:GSPATT00023308001 transcript:CAK90134 MSLLISNILNQSPNSIYIGALLILVSCIANVSRHQAITKSMEFSAKSRFTLLNMLYQKLL KVQTQAIDTGKLIGLATADISMLEYYFMLIFQIFVMPISLIFGSIILYIRFDGLIGLIPL FIIMLIYPLQIFVQKQSIQYTKLYKQEQDKRIKLQSEFIDNIKVIKMYNVEDRLNQKIQE VRKREQKYLLKSQMIQLIDRSLNFFAQIWASMFFIVILYVFNYELDSASLIATIQQLQYF KVSCVQQVSYGIQSYLNIKMIFQRYIDIFNNLKIKSKQIQVHQSPELIKVNEFSIGWKQE ERLLKSISIQINPKDIILITGKIGSGKTSFLLSLIDDGPLTLGGYMSQKEHLTKSYVEQD PILFEDTIQNNITFGKLYIEELYQKVIEVTCLKEDIQKMQQKDQTIINDRVTTISGGQKT RIALARALYSLSDILILDDPFSSLDAPIQIQIYKNLIEFLQSYHFAQTNKYPAVVLTSHS QAIINQFQKFYLLQDGLLVEQLNDNFNDFKLINLQRKNESVEQQQTVDKNQVVKNQVQIK KNNKFYYYFANWTKNKILSMILLVLVVLMNFLSETLYNCYYNGISLLKAENQDQQIKYII MICILAFVNNYVKYILNSYGCLSANSHIHNNMIRSISQGKMAYFDQTAGSTVLTKFSTDL SLADNMIPVTLYDFWELGSYFLVSLFSLVILQIHFIYIMIVTILLNQYILGNYRNLIIKS QELDQQTKGPLLDLFKKTIAGLRTISVFEQQGYFLKQFNNATNNAILSNTTYYYSQRLFG ISIDFLGLMVQQCGIVMIFLFNKNETFTQALLLLMIFNENQQWFLRQSLSFVTQINCVDR MQDLIGIDQEDSKISEKQVVNLNGNLNFSNVYLKYNQDYALSGLTLNIKQGEKVGIIGRT GAGKSSIISIIFRLYDIEKAEKFEIDGYEIRDLCPSVLRRNISIIPQQPIIFNDTFRFNV DIFNQHSEQDILNILRDVRLYDYVQSLPNGLNSQFGELSQGQKQLVSLSRVLLQDRPIII MDEATSNLDSETDLLIKEILLEKLRNKTVITIAHKTQTIKDYDKIVKIENGRVSKVGAPF EILEDL >CAK90135 pep:novel supercontig:GCA_000165425.1:CT868658:84233:85046:-1 gene:GSPATT00023309001 transcript:CAK90135 MLQFLGKGKYSEVKLACEINTGVHVALKIMKKEQISSIWKSIAQELKIQYLLNHPNIVKL YTFFHTTTEIVLVLEYCCHGQLHKLLRSLPETCFTEQVAAFYIKQVASALNYLHRNGIIH RDIKPENIFLCYNQIKLADFTHSIYSPQQERSTQCGSLAYISPEIVKGENYDKSTDMWSL GVLAYELCTGETPWEDLSYQEMQDMIISGNIRFPNKFSQELRDFIRNLIHVDPKNRMNAR QALSHPWLIDCKQEVSQFTIDI >CAK90136 pep:novel supercontig:GCA_000165425.1:CT868658:85084:85899:1 gene:GSPATT00023310001 transcript:CAK90136 MLLFVIIHMLNSKEHHQPINISINQVLRQESLDIEQLKLRNITRKLREPIQQQRKTIKQQ IFQTRQIVDLVTIYIIQIRYHRFCWRTDEQFWSYESEMSNKLFNQRQKHDFKIINLSSDI QYDDEYYIDNNRIGDQLQNNST >CAK90137 pep:novel supercontig:GCA_000165425.1:CT868658:86244:88028:1 gene:GSPATT00023311001 transcript:CAK90137 MIKVVQSARLPSSPVLHRKKPTELQNPLENLVRVQSPCGSTTNNAFGRFPTVKTLNSDRD PIELTITLMLESQKLIVPIGIHQSTTDLYKKLIGKVNQVNPSIVHQIKHFQTHSSVKNYN IDYYLSIEHQPLEVFIEQRHLKLEPFISRSVNRQLSINDFEILKCIGVGGFSRVYLVRKK DSGYFYAMKLIDKNFILKSNKEIIINNERQVMEQLNSPYLAKLFYSFETKYYLVFVMEHC AGGELFYHLRKLRRLNEEQAKKYFVQVCLAIEELHKYGVVYRDIKPENILLDLDGYIRLS DFGLSKPNMDREETAYSFCGSPEYMSPEMLMRNGHSFMVDIYCLGALLYEFIYGSPPFYS RNIEQIYNSILNDKISFPPFVKIDSNLKDLISKLLIKDPQMRLGSKNGIKEILQHKWFKG FDIEALVKRKLNISYRPKPLSYNFDEVEFGKGDIEFQKRLYENLKKEKDSKYSHYFPNFE YINQKMQDTRQAILNLMLSQKQVQGQQGLAKPQQNTQVSLSPVQMVKGLSNIKALTLSSF KQLSQQKRFNTEVDMSKLIKKM >CAK90138 pep:novel supercontig:GCA_000165425.1:CT868658:88168:89784:1 gene:GSPATT00023312001 transcript:CAK90138 MEQIEQFLASQKCQRHQKQLSKIFCENSEEIKLQQCILCVDCEKEVPNSLTIGLPEFIRV CNKNNQNFVPIEVCFRRFENIFKLLRDIQQKVRSQIDDVEKCIEQEKNALSQKTIENQIK QIVRDENPQSQIIRNEINKININFTTKIIQKLDSLKNFEEQKKCREEIEELKREFNLQQQ IPQQQQQQQSKLQQQLQQQQQQQQQQQLQQQQIPQQRQGFIHLDNSNQQSETCYSISFNH SNSLMISGCNNFIKLWEFKAGQLNLIKHLEGHQEIVTNLLFSSISNNFVSGSIDGHLICW SYLQQSQIKKSQTYEFHEKGISCMILNKKENLLFTSDMDKQIIIWDADLSSNLLSIHQVL DNHTKQVNGLSLNETENILVSCGLDKQIIVWQKVSDQWIFKKNVNQQSYDFGTRIKFINN DRFLWVSGSMNGQDYLFDYQFNIQNDYIQKRNKSFLSEKDSKDSLFFPIFFNKEKNIVIV KHKLYIYLYKKDDQGLLNSLHLKKLITNDTYGAITSDGQFLVSWDQSNQRYEVCKIII >CAK90139 pep:novel supercontig:GCA_000165425.1:CT868658:90085:91690:-1 gene:GSPATT00023313001 transcript:CAK90139 MSDDEIEIIRSEEEFQRQNKLIGSLQIENKRLNLVEKQLQKEIEQLNIKFKNLESDKVIK QVELKNWILEAQLETNFKRSEMLNMQYEITLKEVQKKADKQRAHILQLEQQLQDQKNTKE KEKLIQELKQYTHLLSQQILEKEEMHKENQEQLKIQLEEAKQRIGQEQSISIQIKEQLTQ LENENKLKNEKILNLTNENGIYRKEKENLQQQLEQMQLEIQNLSIQIGLTESEYQETQNK TKKFIEELHQQQQNKLIVQQQKLEEINNEINIKNHEFYDLKIENQQLQNKLREFQDQRLQ LMQIVEENYELQQKLQRYEQANINQKNYATQLEQKDIKQLQEIQNLKKKIFELDKKQMTY CKEKQTFIQTLGEFQTQMDESQIYKKKQDNLIENLQTELQVKEKEKENLLNINQSLQNQI NEIKNSNVQLNNIIQNCGQMNNGILDSNQKLNQQVNYKKEAALYKKQLDEISNWSKTQIN KLQSTLDEIHNCKYLFDIFKKTQKKPFSSLMV >CAK90140 pep:novel supercontig:GCA_000165425.1:CT868658:91868:100013:-1 gene:GSPATT00023314001 transcript:CAK90140 MYITFLTLLTLFYEITCDEAPKVPNCITQDKGNCQRCEKEYFLFQLPEDHIDLNLKAGAN FCVECPYKKFNEDNNYYCGDCLDNSQTWDKSRICSYDYKTKSTSVNSAFHKIERPLKQLF YVIKSGSQNFITEACDGCDHFCKSQNSTCFPVQKKYSYDLNKLYISCAEEYQYSDAIGGC DSCPDNCKSCLIVTNIKTDSGKTVIETKKECLICNKGFSMLTTRNAIDQKETTSTCVACF SGCETCYFGFNQMNLNTKPWDSFNNKPELLTKRFEANENLFFEDLFKLTRIAQRCEDCTS TAQSTSIPSLNRKTCVRCGTNCKRCEYTNNLEFPTRDKEKVVEPENTEATASDIEALELL YLLKCRQCQKYSQIFQPDGVGCADCSIANCKLCTYFDQTDMATISNNMKFPAKMSDSQMK CALCEDKFYLSEDKTQCTQIDSSNNSNVDCLTYQKVPPDGKKCLQCNIGYMLYYNQNTWQ CTLDFSSKDNYLCQSFVKTQKDGKEPVIRCQRCIDGYYVDMEEGNCKPCSQNGKCKTCYT ISLKSIHYPQYWDYEFDEDDEIIGPICEECFPPDLNRGPIKNDDLGQCEMGGDNCKIFSA VGLKGYCDECNFDDTQKSRSASSDGYDCIMCPEDTIGCRERTKEEKDRENPYYDPILPIY DKYSTQSFKCADKFFLDTITGRCIKQDTACPQCINDSVEIVIKADCKQLQTVNVLNINSK FNNITSKTAEIVLEDYYLIDGNKLDIIDWNTNAIRKITIILEFQYNKDNSNYDCEFKKDT YFTTNFRKNIFSAKEVELKIKTTSPYKNERLKWYIQKSIYFVYFTSVSLNGIDLYQASDL PKTSSDQYRPEEPFGLQFLNNTGSKFYLENVKIGNTLAEDHYVSKDYTTPYSEKSITLKK QKPFFTSLLNTYEINFKDVVIQSQNYLLSEEITFQAKPFGLVYDSNVILPYLIINLKNVT FSDIAVESQALFEIQPANFSSQPLWNNKIVLEQVQFVKCYFVNNGAFLSTNTFNQPMGII NIHNLTLRNTEYNNSRGIVDFSTMQQIKVNNFQMQDSKVNSTALFHITTIELSAVYLHNT LFNNSGRLIQTQYQLKTIKLNDPNFSGLKMQFTNLEIDQVICLTPACLILITEIQNDYDI PINITMKGLIIKQISTKGFDETILEAATSASIRVEKSNTLMVSDFNSVQNADLTIFYVEQ VWTTKFININCNQKEGLKIRNNYCLFINNPYKEVKLFNIQLINLIGRDNSFVGISSWSNL VYNTSTPDYQETIIINGAEVTQCTIVTTALAVPSSAILIDSTQMQVVQISFMNFFNNHHL MEIDGSLRPSNPTFLMRSLVGTLLLSNSTWKSNSVQGFGAVLYLEVGTQIISNIQMNNSN FDQLSQSSVPSINEITEGGHIYISAFNLNMSNCIFSNSTSKLGGAMFLRTLKEGTVILKN VSIRYAYTPLNGAVSSSGGCLYIDSMASQLDMKIQSSEFSHCFTRGEGGGIYLMSYDKRQ QFMIEDSELNNCYALSGLAVKTVFYSRTQTEQRMILQQVKISGNQTSSLAYFQQLGSLQQ IEMFLFIKRIAAVEQDYGSIEIYRCESEGLYYYGFISIWQANFIILNNIQSQHGVLSFRP YIEILEPQINPIQADTVQFRNISSISIANLNCSNITNNGLCILLQIRLEFSEFRINPALM LFDLIQETTPLKLQNVAIINVICKECHGGFVQIMRVSNSKLIPLVELISCRCSNSESSYY GCFSISSEQYFRQQVQMDSLINVTLNSNLTAYKIAEYANFNQSSKRILKEETINQTQNNY SFTYVIPNPPYLSNVIVKLLNIYDVKAVHGGGISFYGLTVNASETYCTLAVVTGRGGCFY FESYPKNGSQIKQQLNIEDSFYYKNNASIGGAIAMVESGINNYELMSVSFLQCYAKLFGN DVAQYPTQMGIRVNNQIQKQANLDSRTSWIFYPIIIRSGQKMSEFENQTIVVVFLDRNNH FMGYQYNQYCSLSALYDKTQGEILQPLSGNTNRSFIQNSTQGFDYSDQIINYDPYNNITL DAVFSSNHVNIPIYHNQYPYQCIGFDTQYALQVRIRSVECQIGEKYDNISGTCTPCNVGT YSLIYKDPVCKVIDYYSMNYTYMNRIKVQEQFWRPNYETDNIEKCINQVENCKGGFYVGN DMCQIGQIGALCEECDIYAIHWEESYYNSAKFECKRCSERTNNKSVIVITCIIIILTTFL TIYGHEDRIKNHFRLQILNSFSINYFRGHSNKSAILIKIFMNFFQLISLIEGQRQVISQS SKDIINTIAMPAFTFDNALDCLLVEEIVPDIIYLRLIWSLTLTLICYVAMIIIIEILIFK KFLKKKQKYIKTMLIYMFLYFQPVYLIEFLNLIIRRDISDDSYIQANVSFKYYSNNHSIM LKWFIYPGFFLLLFLPLLLLGKLSKDFYLGKLPKNKYQLLWGYIYIEYIQINKEIKFYWE FIKIYIRAGICLLYCLLAQEVLYMGLSSLLLVLFYVSLSYYFQPYYNRKLNKFDQGSFIM LTISYVITTAVKSNPESEAVQLTFSGQFIINAFMILFFIVFIFMIIEENSRIINPCRNSI NQRFPWLIESRNIFNCCCWNYFSRYNLIILMLKNTDKANARSAVLWKQVSEAVQEAIQVW RLDRSQKLKIKVWHNENLVPQDEYN >CAK90141 pep:novel supercontig:GCA_000165425.1:CT868658:100421:101965:-1 gene:GSPATT00023315001 transcript:CAK90141 MEQIQQFLASQKCQRHQKQLSKIICENSEKITLQSCILCVDCEKEVPNSLTIGLPEFIRV CNRNNQNFVPIEVCFRRFDNILQLLNELQYKFINQIQGVKKCIEQEKIALYQMTLENQIK QIIRDENPQSQIISNEINKININFTTKIIQKLDSLQNLEEQKKCIQEIEKLRRDFDLQQQ NPQQQQQELQQQSQGFIHLYNSNQQSETCYSISFNHSNSLMISGCDNSIKLWEFKAGQLN LIKNLEEHQEVVTNLLFSSISNNFVSGSIDGHLICWSYLQQSQIKKSQTYKSHKKGISCM ILNKKENLLFTSDMDKYIIIWDADLSSNLLSIHQVLDNHTKQVNGLSLNESENILVSCGL DKQIIVWQKVSDQWIFKKNVNQQSYDLGTRIKFINNDRFLWVSGSMNGQDYLFDYQFNIQ NDYIQKRNKSLLQEKDSKDSLFFPIFFNKEKNIVIVKHKLYIYLYKKDDQGLLNSLHLKK LITNDTFGAITSDGQFLVSWDQSNQRYEVCKIII >CAK90142 pep:novel supercontig:GCA_000165425.1:CT868658:102459:103502:-1 gene:GSPATT00023316001 transcript:CAK90142 MIAENRDHYGFPKQNTQQPSKEEMLKINARIEKWRKMIPKIPQLMANNDSKLKSRLRKGI PEGIRMLIWPCLAEIDQMKIQAKRTYKELIESQEISPHDSQITLDVMRTFQSNDLIKMDT ITSQSLFTVLRAISLTFQDMGYCQGLNYLAGSFLLLMNDELVYWHLYSLLTKYGCLDTYI NPTNTLKYFYALDILIKQFLPDVHAKFAKFNIVPFYYAAEWFITLFSSILPMQIFLRVTD IFWYEHHKTTFRASLAILKIRKEEILTAKSMEQVIAILKDQKFFNNFDPEKFIKIAMKDF IFSKKDLRKYYDLFAATQKK >CAK90143 pep:novel supercontig:GCA_000165425.1:CT868658:103590:107189:-1 gene:GSPATT00023317001 transcript:CAK90143 MAIAEFVFFILIILSSDYIQGLYQSEKIGNDYQKFDKVVSVMKPIILIFSILIVVGLTYT IHNFYQMTISSITSRFNIYLLCIAVSLTCWGSVFLLKGMNIYPISAILNLIILGLILLAV ISNTWKIKALQILIIPVLLGFQAEYIRDFQEQQDSNIANCQQQMNNLSESYLSEHSLRFK IALKTFKLKIVQMDKKNAQFILLHVIMGGINNGSCRSSIRMFEFELLQNSQQYLHKRFDN SNNAIFGNSIIFICFDFIWNSTFQEEQDSDKTLGRNIETLFLLLFIGTIVFGVLLLVNNY TPIPLKKEINFDQPAKAVKAKEFAKIQTRMCQSITQLVGNRVELSSECKSTTNCPLNKVE TGKKETNILFGLWVKGVQQFKRKEATTYQTLAAAKFLWPNYDGNELDFFVIIGKLEYVQQ YLDDVQVCYQVGLKDLSINLYQNVVDIPIEEKNSKVYGIIQYTAAKPTEPSKGSPTTTSD KGSTDPKSQATSAQPKCEIPNCQECKDQQCTTCKTGFDKDDERGCKFTGKLGLSESIQVQ LFKEMKSKVSGFVVGEIKKEEDIIYTQYPIQEAKICWSQEGLDKPICDVATPKGEYKLQI STFDVADYSTQIFQPTTGLITVAAIGYDDYVQSQVVQFQEEINFGTILLRSNVTIPKVQT TAPPPKSYLHQAETATTTTKPTTTTTTTEPAKPTSTTTTTTSGTTSKEPAKEQPKEPAKE QPKEPAKEQPKEPAKEQPKEAAKTEGGCKSGEYLDARKKCQPTGCTNVPFCKFCDKNNKC ISCQAEYQLQADKSCLPENGCSKPYSQSQCLKCSKEKEKCDQNYCDAFSTFDNGLCKQAY KICTTKIQNCAICTKENKCAVCEQGYKVVNGECKLGDFSQIFQINYYQTDVYVVNVMDWN NLEAAKVDLRVGSCGSFQVYGTAKTDSNGKVTFTRLVKGSQYNLIVTHPDYSQNCYEFSD KEVTIVPMSKKLKSGQVRIVLEWYNPDINLDLQLSFNPTDTSNCLVGYLDNECTGAKFGK SSDEEYSFEAIEINALVLSKYLIFVQNFGDKENYQEKLISSNAHIKYYVADKDDPAVTFV VPNDKQSEIVGTTEALKTEWLAWLVGCIDANESEIPESLFSQNGVWWTASLNKWYPEIPQ KTSQNYFPSPKICDV >CAK90144 pep:novel supercontig:GCA_000165425.1:CT868658:107276:107539:-1 gene:GSPATT00023318001 transcript:CAK90144 MEFESRQFISQSEFNRSEKLTLTTIQQYVSIIVLLLALGDAIMLFVINGFVLNYITLSYA IINVLIVLICIATLVWNNQQKNYLCLN >CAK90145 pep:novel supercontig:GCA_000165425.1:CT868658:107584:109171:-1 gene:GSPATT00023319001 transcript:CAK90145 MHQRPYEIGADFGIASQPTKKNSAILENLGVSNNELEGVHKIQLQKLLKLDLSFNRITGL ILGSKLIQHLNLENNKLLKIDFLNNLKDLRYLNLGGNLIEKIDFLVFNVQVRFSQLLSQL EELNIRRNKICTLKGSFSNTKKLKILDASNNRISDTQFIDTITELEELNLSYNQISVLKI ENQNENLNILDLSYNQIDDLRVLEFKFPYLTNLYVQSNQIYAENCVDFLKLMSNLIDIQF QGNPFSSREYEDKFIVDCPWLELINGREVGKPGQYIKQEVIALKEKLQELDIDVNNYHMS DLDNEEGIVTEERLKAILRVQGFQDDEDEIVNKISDEDQRDENIMAETEFIKFVTEQNEQ MDNIRAQYLRRLLKTQQSECSTQRGNQEEQFLQDSQLKQQIVEDSNEQAVVEINQGNKET IVKQKLLIRSLPKPQKKQSILSEISQLKQNMKSKEFYQSLGFNQPQNRNRGAQQRMNLSS ENFNKSSEIRSSSSRPQMSNSQLKLPKI >CAK90146 pep:novel supercontig:GCA_000165425.1:CT868658:109543:110465:1 gene:GSPATT00023320001 transcript:CAK90146 MRQRQKTHTYLYKQICKHLNVPCSSGLLNGIQETEIVLILEQIGLQDIEPLQLLLAQCKF KSIILKSMQKNLKLNKSMAHDNYKNELLEILRVITKLFTKSLDVITFVIRDIRMSSEHMQ IISQGIAVVQSLKELRIIQCLMTSNHFSILQPSLIQNSSLQVIDFSHNYLTHQIGVMLGQ LLQEHSKRRDSVDYLYRTKGECPEEDISKIGIGELDLSYNKLNDQFVKDVVPYLERDRWI KSINLKYNAIQKEGFELLIQLLDKNTTIIGLDTRRNLGTTQIIPEGDSQKTNQKQKHQE >CAK90147 pep:novel supercontig:GCA_000165425.1:CT868658:110572:111144:1 gene:GSPATT00023321001 transcript:CAK90147 MSFGVFEPNNLTKQMSISGRQDQLKFKSGYKNNDSGCMDCIRLQIKVKKQQQIIQLLKQE VQYLRFQLQTKQQPQQQQQQQQQQQQQTMNFLNLSDNNNQHPPPHEVEQEDMLNRIEYMM NELTRMMDGLDAQGSVTNNLNAHLSSTLIGQDYTRVICERDDRANDFMNILGENSQNNQS ESSEDEAKSQ >CAK90148 pep:novel supercontig:GCA_000165425.1:CT868658:111176:111522:-1 gene:GSPATT00023322001 transcript:CAK90148 MFISAPGCVFMTYLGFMIAIGSETVYVVPHSRIEGAFALFITAVLYAIFFAISYNSEYSQ VQRQQNVDEWINSQEIREAEMELQNLPKQQPTEQQSEDFQ >CAK90149 pep:novel supercontig:GCA_000165425.1:CT868658:111589:112377:-1 gene:GSPATT00023323001 transcript:CAK90149 MDQNFINTYILPFLQIQPGSPSNKKPCGFIDRTQNRQSRIIHQSVRLEPQIQGSVVDEII KRCEIPSSYILYKRNKDFIPKQLNPISKSVNVRSNHSSLKAYHENQKIQKVHQKSKKQQS FLQRQSQSFEEKEESRNTFNKEYKQKETFNFDMFETKDLFATKLDFGSKCTLESDREKQS SFQTPRISEILYQRAKNDIPHQNMKAIKLSNEWQNQQIHSDMRFYQDQKKFQNVRVQLDI HRYEHQRQQNKIRINRLLLKRL >CAK90150 pep:novel supercontig:GCA_000165425.1:CT868658:112403:113787:-1 gene:GSPATT00023324001 transcript:CAK90150 MGNCNINEKKEDLMENNVVSVQNFQFIDAIGRGGFGKVWKVRQKKNKQFYALKVMSKPKI ITKKSVQSVMNEKALLCGLKHNFLINMQYSFQDREYLYLVMDLLSGGDLRYHIGRHRRFN EEQTKFFSACIMVALEYLHQQGILHRDLKPENLVFDSNGYLRLTDLGIARIWKPENSQDT SGTPGYMAPEVMCRHNHGVAVDYFALGVIVYECMLGRRPYLGRSRQEIREQMLAKQAAIK RQEIPPGWSLEAADFTNRLLQRKPQNRLGNNGPDEVKDHPWFKDFSWEKLMSKEMVASFI PNGNEDNYLPSDSRRDSDDTINEDQQMMLRRNSIQSKRSDSLLDLFNGYDFDNNASVPSS QIAISSTSSSRVTKQPTTTTTPKSAKLAQKLKN >CAK90151 pep:novel supercontig:GCA_000165425.1:CT868658:114677:115812:1 gene:GSPATT00023325001 transcript:CAK90151 MIKIQNKYSYSEDDLIGVGAYSRVYKGLIDKTQEFVAIKVIDWSKVQDKYYVSGFQREVR ILRDLDHQNIVKIIEMFHDASQNREYLIMEYCDSQNLKSFLYQSGGVLSENVAKIVLQQL LTALNELIKKEYLHRDIKPENILIHQRTLKLADFGFSVKADYSGNQLFRENVGTPLYMAP QILENKHYSTKCDIWSIGIMTYQMITGDYPWMGDDPTQLLKSIKSQKLSFPSQIQISNEF KEFIINCLQFEEKNRYNWDDLLDHKIFKTKYVIQKNQIKLEQLQLAIDELRQAQKKRGTP LEQLFTNLDMDGDKKLTFEEFQVLLLYVDKQMEINIQKGIFYKYNVSKNNLLNFNEFSRI FH >CAK90152 pep:novel supercontig:GCA_000165425.1:CT868658:115975:118753:1 gene:GSPATT00023326001 transcript:CAK90152 MQQFFINELQKKPLPDILMIQFIQALQFPEQIEQLNFSQIEQYLQQLSILISEKNDQKCW IYFGLLLRQMLNQRNQMSIEQYNRCAINTFVLIIQILNIHITSEYIENILTAYYEFIDRV DDQFWIFLAWQFRNASNNINQLELLKHLVISQSQRNEFLDILILKIKIEIEKPITNIKIH KVIISSLQVVLERNPYQIISQNLLNYMTTILASDIINKESIKSIYYVIGLIDSFFEHQHL VQCDSKQYDQFTQYLFQYLLNQIEQINWQIYNHQSINKENQKENFKLLTKLCQNHYSLRL FKNNKEKLLHLIYTQLSNYIELYNQVQNEDTPNELIDLISKQESDTYLCSIVRLFVAMCS YVRQFTYIGYLLCAQVLNTIFQVEMQQINIVKNQVAFYYDPQEINNINQIIKVNQTLPIT QLQASLILLTSMKIFIVDQEFTLKKLENLLRIHLNNLTSQMGNSSFKIIFMLFLQKYQKK IFKQEQDEDFNIQYLKYILQQITTENVETATAISVFQMMTKSSSNYTLLENTIQHLNPYI QNIISKTTDIDFFESLINLAKVHKTFFHNYSESYLIVLQQRIIIEINNLNNHAVIKMLQT FRAIYKIWPQEETYNSQLDVWKLMAYLPQSKRNSFDEELVEISKIFLKYYYKCQYEFVIQ FVIYQLKMYLQQAPYIKFLAAFNKEQYQFIADEMPQLLYEIFIICLKCIEMNYLIKKVRK ICRSISLYTDLFFKQEFQVLIQNHIAQTQNQSQTVFLICYLCMSNQQETEKYHDELVNRI LEIQEHNVTLQNQADIFDCCYALIKLYEYTLENFDKSQYQYIQNIFFKLIDLLHSCLLLD DKESEQKNITLNETYDLFQKMIYKIQSKLLPKYLDGQYEYEFKLQQLMQAKAQFGLINTI YKQFKK >CAK90153 pep:novel supercontig:GCA_000165425.1:CT868658:119019:119592:-1 gene:GSPATT00023327001 transcript:CAK90153 MLIDNQLLHNHNHVLIKQKRQAPKTERLSLKLTNVSPVRSFHQTQRDQLEQLIKQSIQNP KNINTLLNEFLSRKQEESRARVFSQPQIQAGECSPYKRIIKQKAASRPNSKEKRIDTTPI SPNNSLPFKKQVTLHIESTYSQKKQTTISKLSTNDLIQYHKQLIQKAQKMLLYAQFKRST K >CAK90154 pep:novel supercontig:GCA_000165425.1:CT868658:119881:121456:1 gene:GSPATT00023328001 transcript:CAK90154 MAGIEQEEGIIIEEKKQDSRMNFADEIIIDEQHILTISAEKMFEEPQKRTRKSRWGGRPD RKCARPEQKHLQFNPLEAMMNRKCILPVGAQSLAPPVPIGMALPWGMQQMPVMPVMSQSY GYNFVRTDTSHTRWGPDYEKTFQPPSLNCIPPDLSIDDLEYIIRLYCLDDINQKLQQPID SKMDDPDLRSPSPEPVYDQHGKRVNTREVRRKENFQRIKCSLTEECIKINKNFVPPHDFK PLKKSQKIYLTDTLNAPDTNYIGLILGPGGNTQKFLEGKTGCKISVRGKGSSNTKKVDWD MDDKLHVLIQADNDEQLQQGVIEIEKILSGNQEDEQARNARLQGQVIATVLRDDFCEYCH EKGHRTYACPTKIPFEKARVKCEICHEFSHPTSDCPQKFEHKESFIQQQYDKYRKDLGLE KNTEILQQDSQNEKRTTEQRVAFITNAQIVKPIQNLQIEDDKFVMERLRREQQERENYEK AQKKALEQYTLQSQENQNK >CAK90155 pep:novel supercontig:GCA_000165425.1:CT868658:122362:125657:1 gene:GSPATT00023329001 transcript:CAK90155 MKVNIFENQFQKQLPNISKTPQAKSQQTLEHIFNTSLKQPRHLNDHSVKSLHNLNNVSFI RNEKHDVKQDVSIDYLTQQYYTSPLLNKTQLLEIERQSKQRRNEMYSQMLSENPFKFNDS QEEITLEHPRISSIHVNLFDLKDKEKLTQLLQETSEFSDKLSQHNPQQKPANVIVQRQDV IKLAQWIDYQMKTIVEDTKLKEDQMLHQVEQVFNQSLKELVREISIDCIEKGVLLEKIWN QYVNFNSIVIQAIQQEKINSENEYLNDLKQIHQTYQMSVKVQEDKIKLLETQLQQLQYKH NETVVDYDNLLQKYDYLVNQYKELKSEDQELRNDYQIAMNDIDQLTQQINALQIENQKTL KQLNDRINYNFQKSKRQSYIFSDTDALYPDHKSTSCYDLYTTMEIHVSQACQTIQLTELR TSEAQTDFKYFKQVGVQCSELIVEEQRPQSNHQNEKNNHAQMEEVRKLKELNFTLEEKIR MEIQAQNEFRKQRQQVQRESDQQKIKITNLENTITQLQIDLDNLQTQIDNKQDSKQKEIT VVSIDQKNTDQQMTLAPQFTSSTMKTQQKQETQHKTQENLPEIKQNNSQQKKQINQDQIK QTQKQQQQQQQQQQQQQQTMKQTNNGFNKVKSVDVKDQKKQVKIIKNSQLIPRKSSQDSV QNINNSQDNDTNANSNEDFLSRALEELTKDGRQLKITQNSNQRLNKLNNKIIFSFNKRNN VNILIKLIEQILQRLLKDKKENIYVDVALAFINQLHQDFQMNPNQVENTLTIQNSLKQIS LFYKEKLDSKYPLYAICYEYYMNQYGLKNVAEQKMTQFCQSLIVYQQNYRIKLFARFLHL FDNISNEDFEFYIQSLSQLDEYQNAQNLIQCTPSHDCVMVLFQKVKQQLQSFNNTGKELI DKFAIYCKNIKGESYLDLDQYYLESLEQFQFVRKVQNDNFHQLFLAIDVDDNQKISEDEF KILYTLIEDNKNMLKYIKLFQIQCQNDNGLNFYQFGLFLRQILPLSLSRTIIPVIKDNLG TLKKNNKLTIERFQQFHLILSRFDQKIR >CAK90156 pep:novel supercontig:GCA_000165425.1:CT868658:125827:128629:-1 gene:GSPATT00023330001 transcript:CAK90156 MEEILEIVPNTIKFDYQSREQTISIQNLTLHYIQVRIVSPNPTLFAIIPNIFSIEPCTTT DVVVKLNLDFKMNFYSLIMNRKLTIFYYDVDDVEELGKNDMDWQKLPFNFLGRSEINVDY NTNSDKYQILKTEKSKKFMESVQIFQALFIKQKNISLNDEYKKILIDKYLELHNKYQTYK IMEDSIIFIGNNLDFENEIYCIENQSQIYYVSSYKPTYLYNAFPQHPIEPLSPQSQRKKS SQQPLQLQEPIKLLKTESEQINQHSLIDLSPVKQFFSVFTSSKQKHDETSEQQAQVEQPI IQPQLIDQQKIQQQQQYEIRDQQQVVRQNDTKTIQEDKQLQQQQQQQQQQQQQQQQQSQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQSSKQQPQQAKVQQKFYNQEASVPESNRINKRLL NDQSTNNGQKTEQKALSIDGRRSLQIIDQKNQQRLNETADLSWDQKAKIQQQQMTAPPVE FKGIDNIQNQQACYKINELAQLKTYQQITDVLLFRDGKYLITVGEKNDIKVWQLSIRGLV GTIKELENKKERKILLIKEWRYVDPSADENYEFELKDPKNEGKSQELFTPYETAYRFVTG SEDQIFRIFNLTTMTLEQSFNSRLQIPTAITFMPDRGLFCIGGQPYKTIFGQIRKYRSIR FFLMKNLQEKPKYKIFCKSEDEYLSQVIYSENPINIQKEQYHARINNILAIQIIRRNSQG KQILQSDRILFVFMDRMNQCTVLLTLECESLKIRGINDLKYLYKEGVFAVIEANDVVSAK NTKVEQNTQRVVLINLKQLQTSQGRESGIECNLIQEQRSKLSVQILVNPILLVIPQPKQI IFQNFNGEDQIIHPLEIKDSKQQLNFNYLNTLNNYVISIVDDIIQVISII >CAK90157 pep:novel supercontig:GCA_000165425.1:CT868658:128673:129413:-1 gene:GSPATT00023331001 transcript:CAK90157 MSCSLSQNYESFSNQETIEKVSQRISKKEQMEMKRQLGVMVNRVKMLEVFRQRLQGKQIQ TELKNEQVNSIRNEYSEYLKQVFYKLSIQQSKHKESREQSLELFREKTKLLKQQQLNLKV QIRQSVQQQKKEQFEIMKKQSLNHDKVIILQKERSKSYNLEKKQRVQQYEQQQQLNLAMY KQQKQDQFKSQHKKAVLNSYKQKAQDFMKIQLLWEKEQDILDQLNDSYHSRLTSSMIKN >CAK90158 pep:novel supercontig:GCA_000165425.1:CT868658:129864:131801:-1 gene:GSPATT00023332001 transcript:CAK90158 MRQRSGQILSPAKVIQNFQMSTKNYTSKNASQSPLKFLQRNLSQIILKDLAKQDSSRKVN HGSITDRVHSQQRSNSRDKVKPQTKQVKVPTETNLPFQQLSKGINLILNQKLTNKNKLIL EKGSMTRRNSAEDLLTNSIQIGGKSSSIDKLPKQEIQIYVHYSSELTQNFKFCATVTTDK VLNALKNKTGNYQVVGFATLDENIGFDYYLTIPFLPLTHMVGKTIRLKPIMGISPPKQLN LSCFQFLHVIGKGGFSTVILSRSLIDGNFIALKLISKTFVVQNEKQDLVQNERDILIETT NKGSLFTSKIEFAFETKNWIIFGIEFCPGGEMFSYMKKVQKMTESQARFYITEVCLALGF LHHQQIIYRDLKPENVLIDITGHIQLADFGLARPNMQPEQNAYSFCGSPEYMAPEMFHND GHNNMVDYYCLGALLYEFVTGLPPFYCEDKNIIYTRLLNEQVQFPKKLSPEVKDLIKLLM IKDPNKRLGSKNGVDDILAHPWFQDIDIAKYIQKQIDPPYIPDLTKLQFKSPTTNDRILF EQLNREQKLINQFKPMFDTAFFYQLKRYQCYEFDKNSSTQVTKDTIDSMVRKRTKNSQNS QQSLLSQQSQSQLSSVLCSNETLRNTKSVTNLKFYQNLLTEPSRK >CAK90159 pep:novel supercontig:GCA_000165425.1:CT868658:131850:132278:1 gene:GSPATT00023333001 transcript:CAK90159 MINNKFDDDLDDLINDINSVMDNPQSKPISQQNYQPQPQPNQGQQQKRKCLSPQIGNQAQ YRICTNLRCLKCDLQVQCHINQKWNKDADYLIFRNYFNNMSILCKYLTQDNQCNAYNCQC TSANALESIAAPQNWVCSGHAI >CAK90160 pep:novel supercontig:GCA_000165425.1:CT868658:132354:133115:-1 gene:GSPATT00023334001 transcript:CAK90160 MESNSLDFKINIQPKSITEHVEPDVDLTVDKYDAVYQNTQQEEEVEAANKDLLIQEYDIT QAAHPYYCVAALLLTSIPGLTFILLQDVIHAYPLVIILQLAQFLALKNYLGLKLIGLRWW IEMDIKGEQKWMFQTQSQEQSNKVDKYFFWACLIYGTLFWCIMCLGDFFGFKIFWLPLPI ICFVLSLTNLQGFYKCRGEHKKKLQQLKREMAKGGMNIVGMIMK >CAK90161 pep:novel supercontig:GCA_000165425.1:CT868658:133320:134735:-1 gene:GSPATT00023335001 transcript:CAK90161 MSSIETQQELNKFFILVVNGMRQRRLEKCLEFIQEITDHKYIPPFFKNAVCRIEQLQVYL QSFGIDTQIYELKDLIGRLGGFEDRLLFSQFQDRYLRYPGNMEKKEAEISFLRLLYEEVQ LERQLDEQRVRIFKRWDYNLDILFSKLSLGSNSVDFNKMKKFFVRIRQNVVDEEIKLLLK RVGNGDGTIMSLDDFRQALKTQKQRAMDKSQIPMMKTSIVFNKQINNTNYMDRLRRRYKP IQAQQSFIQKDGCQTPRAKTPTPCRDTEIKQTRTKTPNQLTEQKVYVNNESKEINTLMSI YLNQQRCLQNVLLQLDEVALLKSLHSTDWHKEWQTFSVNSQGISYEHFINVLTYYDQRKP FKDKVNIIDLPTSALTVADNLFQLLRDQENQIQKSRIQYSKQDQINSLDKYFAKGYMDVL DVLQILQKCTITNVNLQDAELIIARFNQFNGKKQITKEVFFNEIT >CAK90162 pep:novel supercontig:GCA_000165425.1:CT868658:134765:136308:-1 gene:GSPATT00023336001 transcript:CAK90162 MSLPIHSKKPDRLMKTLFISQPIIFQSKGLSKTEMAIDPAQEPIPQKHSGFQTLQSQSLQ KQMYGIGLNTGRFLDNQEQLRQDKNKKRVMKPGQITTLEPLTQKSSTQNIFQEADNEERK KFIDYTGGPRFENEKQDKLIKYSVVGYQQFLKIHQEKLKLDEKKKKKTQYTNQGATDDST IEEQQPLKTSQFSEKMIQQRKTPKKNRGDQKFLNKRDLVQIMRETEKRIQEAQKEIEEQE RKLPQNTRNAITREERAIKKHENVKAQWENVNIQVASNCFRQPEETIMARSDQFRGKNQL VQALELCKGDDEKNNSRYWYLRLRWYDHKDSRPPFTLLTQKNQQQSERKFENRLTNRFVT DTEAERLYQQQQFVLSDIQSNFNAKLIENPFKQVETVISEQKLKSQEKSVSNYSNFQDSP KSKLYSTKLETMYHQKLKEKPKKKFVDCQDFLSLIGESQYQRELKMLKREQMQEFKLAEI PLEEQEVMINTWNSKNLAKSGERILF >CAK90163 pep:novel supercontig:GCA_000165425.1:CT868658:136515:137462:-1 gene:GSPATT00023337001 transcript:CAK90163 MVNHHQPDIMLHQSTATYDALDAEQSMSVYFRLKYLSWISAQAINPSLIRSPKIIAIFGL INQLMFKLRTHTVKQWLLQRFRKSVNSQYITDPQEEEYKQELAELFAKFDHQGKGQIQKY ELLTLLNKYGISINEQELTCYIKQANSKSGRFITTEQFKQCVLSEKSKLFLKKLINKSNE RNPQNYFPTDITKVLDQVHYLSQRSRLLSQIEDKQIPVEQKLQPLSKLMNLSREITITEH RPQQKCPLRLPRARSTCTTNYNSLSTAITVPSTSNSRFGDNRLSFRKHPTQYCE >CAK90164 pep:novel supercontig:GCA_000165425.1:CT868658:137463:138421:1 gene:GSPATT00023338001 transcript:CAK90164 MIDTPKDIHLFWHTFNTKNVWISSFEKILFSFVDMLACVFMQKLVKSTFLLNLWIFNPLT IQVSTRGSSDTIIVLLIYVILYLLKKESYVLAALIYGFTSLQILISQKECKINDILIYLG LISGLFIALLIFFHLIYEEFLYQTYLYHFTRIDNRHNFSTYFYQIYLSFESITRTQTTLT YLPKFLIVILAGLKYYRDLPFAMLIQTLGFVVFNKVQTAQYFVCWIALIPLALQNTKMNN KEILMLSVIWLILEVQSNFGSYYLEIQGKDVFTMIFVQCVIFFLSNTYLMIKMIENRKPS EIYKIKMD >CAK90165 pep:novel supercontig:GCA_000165425.1:CT868658:138469:139212:-1 gene:GSPATT00023339001 transcript:CAK90165 MSLVSVPKNLKTHIFNLIEQNKTQELIQFIENHRDYIQNLVAPNYWTLGMYAVRYSNHDL MKYLHKKDLLIEHPLSQYTLLSNAFQNNDLESFKLLCDELGKDINKPVHQFQEQTFLQYA IKYNFNDLIEILCSRGAYFIIERTSSSLFDCYANNLKVEHDHSGVRFRSYNDNKEVAQNS IIQLKRDKLTPTHYFRFKNILLLQYLLQIKYLQAPEGYGDFLLNFNRILEYTAPSNILD >CAK90166 pep:novel supercontig:GCA_000165425.1:CT868658:139252:144695:1 gene:GSPATT00023340001 transcript:CAK90166 MIFAFFCLAFQGQAYLSLELGQTYNDAIVPWQGETNYKYYQIDIKKAIRDRDLIIVVKQQ STIGNPDIYISSLGQKPNKTYSEFQCNSQGMDICVIPQPENKTYYLAVYCEDYCRYNLKA VYQDELMMTNSDDLEFKLNNTSWTEIIRININELIQYPVKQNQNLEVAVQIKNVEILQES FQSFMNFGMQRPSLQKYDYKGLDTFSGMQKFKINNIKANQTYTLLVEAQQGAIVYIKTRT YGSTRYINVGESIEDVIQENEFAFYILNVTADHELFYSGQLILNIQLISFKGYPTMYVNL DENPVSLEDYYWKLHDGVTDDLIITNEDLSRLKAKGLYVYIAIQAKESVATFELKSQMLN PDLMVMELNKPAISKMSKSKYHQYKFFIKSNKQQSVSVSLKNIRGDADIVLKPCNEFWTC IFSEEEHQFINSQNFKNNITENQYYYSFNPGNDIIIFDYLPVNCRSYENVHMCFYAILIL PGEYNVDDELTYSILITTKQDNIILKENTPIKQFVFHEFYNYYKFTVNDGDYIKRLFIQL TPIQGQPKLFTSRTQMSPTKEENERQGVLNQVLYGDNTDSESVNGTIYIGVYGETASQYI ITAIVYRKQEDWGTIGKYAHQYIQLIEGQPQEIVTVYSTDVQLFKIDLSGYNAHNSASQN QMKVFLRINSGQFKMYGFDHPETDIKTTNLTGSNQLTFTKAAYPQYLFIRVQIHHSYTYP LYSYKINFKASSQPIELVIGDNYQGFIEQSDKQLFFVNFYKKEDLYFNLHPHNSDESILS ATIKIQDDIIIMKDQSMILNANQMKFENCKRTEEIVSCSISIEVESKEDTYYSFLVSKES QIINLYNEEPITKTIANKLDFFTFLITEETEIALYSFTANIRILVNIIQLNPPALEDFPT NDYNSQFQSLNDNIKIESSVFISLDEIEKLNCNNIICYAAVTCTQADPSNKDNHEYTILR SSGAVKLYEGFVYSGKISSKIIKYFKVVDVKESIGLQIFVAKAQINVLNILVGINQMPTE KLYDYTSGFNYGDYLLIPPKDNSDQIVTYYIGVFAFQEQTMSIQVKMGLARFYNILIQKP FSLNLPHNSQTFLSFTVGPHDSFRIMISSNSLIDYIKPKIHIKRYKKEEQSQVMNDIQLE YDWKIEDYFLEVPSVSEQHCEKCLYLMYLENNYQDIQLTITIAKKNSLIQMQDNLEIKSQ LNTQEFDRFLYIPYNYGDFYLNVTVFKGKIYLFEKKTDFDKDYSVHRLSLEENDGTVDYL NNQVASREGIHANRSLFISNVKPKYNLSVYEFKVFSNSSSQTVYQIEIIDQNQAIELKVG QPQLIKINLQQQARLYFVIPNKIDNYSDDYYSIIIEIAAVVYDKIIRAPGVTLKQDRYNN RDIQSDYHDFVDVQISLLQELDTITYIQIPSIPGLYFLTLTPLEMAHYPYSIMLGNKDFN ILTPKSNRLIRAKVGEQSIWEIHLQETSNLFIQAQLCGGIVNIFGSSSRDDLNLGFYQDK IDSIQDKQLIGTIQSVKPNIYYLNAKTIKSTTSKDFISFILRLDIIKNDTEIPTDHFYPG DGGEFKLSYTDNYLYAYFSPIQSSEKSSQNYVLESITYTFIYQNQNLSDNAQLDKCNQNA QYHSLTIKRSKHNPLTTLTQKNYHGSDIQKVLATIQATVNIKTKNYERLKLSYFYKTQIL EQNILTNSYADEITRGMFIVLITVLLAGLFLLIIKYRNLRSMTKYEAQTQVSNPPQEQQI EMHYTNLSL >CAK90167 pep:novel supercontig:GCA_000165425.1:CT868658:145303:146099:-1 gene:GSPATT00023341001 transcript:CAK90167 MRTQDKPSKQGGLYSLNLGQNNGPYLPKDIMLTNQKSLKLLQGDEVSKSVSHVLARAGMG QLYEPPDIYDEKSKQFVNLDEKYKTRMSASDNVAAMSTLYTTSIKQLQDSLAEYKELIKK TEEELKAMNEKINNDNITNIRELQPMLKVFHGKLKEKLHEEKNENYKLMREIEALNREKL QIQQSILFSHKRIMDLEKLVGIQHKTESLYAEKIHEEEDIIDDEDEQHSDKDLDSQMSES QD >CAK90168 pep:novel supercontig:GCA_000165425.1:CT868658:146138:147905:-1 gene:GSPATT00023342001 transcript:CAK90168 MSQLPQYTSQTVESQYIQQPSQMSIKPQPITFYPPTFSQLQNPLMATQNYQMQYQQQQPQ QLLQQQQQPQQLLNQQELGSPQQQQLQPQQQQDDQQIRSRQLQQNLQQQMMQQQWNEQFQ QMLQMHHHNYMLQNQLQNLMINQTAQSEQARQDFALQQQQSLADFTNLQPETITEYIPVE KKIIEYETRIKKIQVPVTHEITEYVPVTTETISPERTVRLSPPKEVHMVPTVVQQPPILQ QTVIPRPPQLQMIPTSAQIARMPTVPAYQQVPLTSVRSQLQSSPPKILNKPTEIPIPPPP PHLPLQPFNYTPKDFPTMTMPPPPPQFQGQISLNSPQPPPPPQFDSPPPAYPHQFGHPLN QLPSHQLPSHQLPQVPQNQFNQPQFNPHQQQHQVNPHQQHQRQYSQQLQQPQQPQQPQHP QQSHQPQYPQYSQQPPLLPHPPQSRPAPFIPEQYYRDQIDDEQDQHYYDRPPRKHPNHPD FQQTKPVSGTDTPNYHIPNYDSENLRDKQSEPIQYPKRAPFIPRSYDPDLHYERAEQFDV PSKDHQKRGIQRSVYEKRPQNGQQTLRSKENYFKDSIFQ >CAK90169 pep:novel supercontig:GCA_000165425.1:CT868658:148055:150064:1 gene:GSPATT00023343001 transcript:CAK90169 MKKERQSLLSNEPFKIGDNPYKAYLVLKQPQLDEPEKAQFVHQLNESTVQAKDQQYQFSG VFANKNSNEDIYKAVFRDLISHFLAGESHMIQILGERHSGKATLGIGNMRNPGLVLLIMQ EIFNFIEEKFLENNITIKMSAFEYDETGFKDLLVLDSQPFMPVFQNGQNQLLGIPEVIID NYLLGMKILRIAMVNLINKEQNILSQKFVDRHKRTNLIIELKAEISPNDDIKEQVIISKL KIVKFSGTFEFGQIYKTMADLTTKRRKHVDVPFKSVELLDYFRDYLLNQKQTVFICINSD SVESVKFGQLIGQIMNKSQFLTFSIYQQNAQLKDIMSNQYESLNLFHKEFCQYNESKKER GKIIFSILIGMANLFKSMLKLFDQASEIKYKLNCLYSQVISFHCSKYNNIINTEDCKEFY LAKIQLYAIEQVQTVESTCQTLAIELLNDLILKNQQLIKLRKEMIQFGKQFNKYQQLSQY DLQRLNNTHQLVTKLLIIFDQRLGYNILNQIDLKYYQMFDNVLDIASLYKEDVQIQNQDA QNQVQTQNLKKQTQLNTTKFFKPTLPSKKEPPQRQRFTDVVQQLFHDENTKEYYSAKSVF GLTKINDQPQMRQTVKSELFDKLQSRQTNNIKAIVLEEQKYRQILVVTCLGSLDQQEWDS SRIHTEVGV >CAK90170 pep:novel supercontig:GCA_000165425.1:CT868658:150232:151078:1 gene:GSPATT00023344001 transcript:CAK90170 MQIIQDATLQIQVVIKSDFELKPNLDDILKILKQQVAILLELVQQQKGVDYDQLERAVQK AEAEIRNHVRVIYQDNLPVRITNEVIFGQFAGEDRLIGEGEVRIIGKGTYQVINSKNKIL TTGQERKISSQNQTRESSPSLFKKSYILGNQVYNRQQGNQQEGQVSVVQNCDSQQTRERR SSGTQHSYMMMKNMQEPNVLSSREKVNMNASQEKVSDKSSTILKSQNSTSLLKMYNIQKL IIKNQQDKQLQQLKNKTINQILDDSQATKKKP >CAK90171 pep:novel supercontig:GCA_000165425.1:CT868658:151143:154684:1 gene:GSPATT00023345001 transcript:CAK90171 MSERVKVAVRLRPLIEEELICKDKTICVETIDPNKKLIIIKKDFEKRQFQFDSVFDPKAT QSQVYNDIARGVVGSVIKGFNGTIFCYGQTGTGKTYTMMGKLDSDEKGITPRTFEQIFNE IQADTNNIYTVQLGYLQIYMEMLLDLIRPDNQDVKIRECPDNGVFVSGLEWMEVESPQEC LSIMNFAEKNKVVAFTNLNAHSSRSHSMLVIKVDKRQSKQHSRSMTISKKPKTDESILQS GNCVGTLYLVDLAGSERIKKSRATGDRLSEARSINYSLTALGKCIHALTGPKSTFVPFRD SKLTRILQDALGGNCKTALIVNVGPAGRHVEETLSSLTFGMRAMKVTNTPQINQNVDYEQ LSLQLKMEIEMKDEIIQKLESQQVKLLQQVRMESSNSNLSSSDHQYKVKLEKAEEEHKAF LEEIDNMMVEQEQENEELKKQLAHVMQELDESKNREQSLEQEIEEFRNVQQQLENELEDA LTNKNEFADTINQLQQKLELKERENQELKQTTVLSTKNNDIIKISKEKQNQMWRSELQQI TSQYANKLEEVKRLEFQQQEKENNNIVEYRQRNEELCLQIKQYKYELSQQKEQEQQLLQS NLQLDECLQQQKECFQIEQSKLLTQIKDLESVNCEITKRLEQYEIKLKKQKDQQQQNEFA YQQELDTKNEHLNKIQGEYFEIQKQIALIKEQYSTIQTINQQKVKQLTNDNQMLINKNAD YQLQFQQLIEKNNELLLQIQQSKSSQYHNYNQGLNNSKVEYQTNQSYVNEQNFDIELKKS ITVSGVNEGQIVFELQMRIQQMEDYIDQLQSQLKLKENITDELQLRCSQQQKEADQLKFQ MESFSKRSQQSLQRMEETMCQEVVRSVLEKMIFQVELNSFESDDISSRAPDSDLKLQMKS FSKQENAQLVESLFINSRQSQHNAIVECDEEEDNSSEIDYQLPKFSQSGKKIQQQLRMSK SRQDSVFSFSEKQQKKDAVSLYDDLSEINQQFDAQSPRSQLDEIQFQIDFNDANAVDKFM SQIKQKQVSLIQNNDLSVGSIQQAHSPQYLDSLSEKKAKINSRIGNEELQEVIKVMAQML VEKSKTEQTVTNNTLETLRASLLDFNNLCNTLTTYFL >CAK90172 pep:novel supercontig:GCA_000165425.1:CT868658:154752:155825:-1 gene:GSPATT00023346001 transcript:CAK90172 MLTEPNIDRSFVEDQIKLLQRDINCIIDQDRNLRKQGLAKLQDFFKVKSNPSERIYIFDA YALKNLLRVFEDQVERNRETAINIVLNYLDLHNQHFNTETLRLIVDTIINRLNQLPFAET SEEIRLALIKLLHQIQVKHVEAYSNNLQRLAHMIGKALQDNFPEVKKDAAIFAAEISKKL PIGEYMGEAVKSLSTNMQHAHTKIRKATVDSIAPILLSRTNGTFLEIVLNNLRNLSLDKS SDVRKGTLIAVAELLMHFSIQNLNAFENNLILILMNSLSDDSKEIQNLSMQLLDQIGMKR QKLDEEANL >CAK90173 pep:novel supercontig:GCA_000165425.1:CT868658:156018:157253:-1 gene:GSPATT00023347001 transcript:CAK90173 MSDTENIDITNLSEGKIDKYIQQLENSILKRTTMYGLDDLTMMRQNQILCKLLNQQGLIA LQKQNYEQCIEYLRKAELATIYVPELKVQTFNNLACYYRKMGKTRTALQYLQQALAIELQ QKQSTSLPDIYLNLCAVLSQLERHEEAIQHIYLSIIMLQHELLISSFQKHEQRQDQPNEQ TFPSRQSSVQYVNSVKSHCNESKKVQERMSILVVAYHNLGVEMEHLKLQFESKKIFQSAL QLSEQCLPQEHHLRHALEDIIKKYNPEQQQQGNEQLTLKPLLPKVHQKNSELRQIYKSVS PKQILKRNTQLQQQKNNHFNNLKFTKKNSYSETYRLERDRIMSINATTEVIIKNLENKDI SNLPYLKTALDTVNQTSIF >CAK90174 pep:novel supercontig:GCA_000165425.1:CT868658:157271:158245:1 gene:GSPATT00023348001 transcript:CAK90174 MSSPDTNSNRHQTKKKTKLDSNKSYSFYDSFVTRKDIIKLASLETQNQQKEFLKTVIIRF EAGHKKGGVFNFPAYELISFQYYQTQTISKATEESQQQCKLAVFSEYLLQMYNYLKNYTF EQKSTFMSLGFYIFVESLERKITQIDSYEIFKKLLRPKILLTNTHHLPIFTQEHIMEFNK FMTSYFYRYYSLYELRMTTYQEVNIFSRLKGENPVQVEKEEDKDSIQEEDEFNFKDVEVH LDDEVQPEQEVKKINEKDEEQIEKLLGQYKLNWNTRLDGSQLLQEAEKILTKKK >CAK90175 pep:novel supercontig:GCA_000165425.1:CT868658:158447:159370:1 gene:GSPATT00023349001 transcript:CAK90175 MQMLQMFQFYIYDECNRYKFQYRIIGILQYQIQDVPINVFNYQYEEVFVCNRITMQIDEI LRVMDRFNSINNMERIVIVDDDIQVVLQKIKQIENLINNNKLKNLKIFYLQETVEEFLLQ YPIFVFKVQNQLNIQDTILPQFPQQLIKNKILLGNSENSRSKSQLQVLVIKTMIDFNFYS NTENQQSIQDEIMYVNQPIEINGTQTLNIRTVVQTINKSPQPVMLCCQDSHSITIVVAIG YLMSIQNLHVLYASLKVFQLRGNTIVDKNFYNQLLLYNSRVLNNKRIQVKQ >CAK90176 pep:novel supercontig:GCA_000165425.1:CT868658:159394:159969:-1 gene:GSPATT00023350001 transcript:CAK90176 MSYDPQYDLLNLEHTKRDSQQMPLKNQFFQKLETEFIAEPWDVPIIVPVKKKNQNTRLIY SKQSKSHMQPRQLSNETPHTPQRPKSCQQKNQFIDLGIPAATPTYAKIIQRMIITAPRKS SKKNSNNQNRQKCIQSMLVAQQQNSQLQNLALSSNDKSNYISQINPVIQKIIPTQEPQFN KCKFKLKKLLN >CAK90177 pep:novel supercontig:GCA_000165425.1:CT868658:160562:162035:-1 gene:GSPATT00023351001 transcript:CAK90177 MGNSKSKQITKHAKVAFTPEQLQQLEKLFAGLNGGKDLVERSFLPRFPENPDFSIKLFNW MVERSPNHSVDYANFVALLELLLKELKDYYLSDYKFRNLEKFELFALISLGCLENDKDSI NRFAVSYSQGSIVIRELLNIYSGGQITNSQRNDLAARSVTNTIFDQKDEMPFNQFVSMAK AQLIYANRLCKYYFNMKFLGEQSQIQIPRLNTSSFILNDQVLALLQLSSPDFSKIKQLQL QFSSSVSEDDLDHIADIVINAQKPLLFIFRNREDESQNDTFQQQVFGAYISIDPSLETHH IRRKLKDPMNILYGDSDPKSLYFGDEKSFLFSLLPKYQLFMSTFDQRSKQCFAYINNKSF RNDQPLGLAFGGDGKGNHRIWLDENLKGNATCRINNQCDLTYEMGYLLEPHIEFLNLTCI EIWSVEEKETNPILQSKIIETGKEDIQPKEAEQQK >CAK90178 pep:novel supercontig:GCA_000165425.1:CT868658:162041:163418:-1 gene:GSPATT00023352001 transcript:CAK90178 MRKCNLLKSLLTKPIKSFASEKFVAFNFKDPLNLESQLTSEEKLIRDQASNYAQSELQPR IQEAYRKEHFDPQIYKEMGKLGFLGCTISEYDLSGVSYTAYGLINKEIERVDSGYRSALS VQSSLVIHPIYQFGSKELKDKYIPKLASGEFVGAFGLTEPDHGSDPGSMKSHAKKKDNYY ILNGTKSWITNSPIADVFVVWAKDEKGDIRGFVLEKGMKGLSTPKIEGKLSLRSSITGQI IMDNVKVPQENMFTTVKGLKGPFSCLNNARFGIAWGTLGAAEFCFHHTRQYALDRKQFDA NLASFQLIQKKFAEMTTDIALAQQAVLQVSRLKENDQLATEQVSLIKRNSCGIALKIARE CRDILGGNGISDEYQVIRHMVNLETVNTYEGASDIHALILGRGITGIQAFSRAL >CAK90179 pep:novel supercontig:GCA_000165425.1:CT868658:163752:164372:1 gene:GSPATT00023353001 transcript:CAK90179 MSVLCLQSINDDDVEIIDYQSNFKICTIQIKNSANYNVWQKQLQYLSHRPFEQKFNFGNQ EEDIDIQIKGKQQHAELIVSDNKLVLKNKEQQHLIYQLMQRQIIQMEKVIRINQYSVNFD KKKKVIQLSNRLNSVHSIQLNQSKQNLMMDGTCLGVVYQTSDGEVQYQANSMQAYCKIQQ NEEVELQNSQNFQLGNTQFYIQYSFS >CAK90180 pep:novel supercontig:GCA_000165425.1:CT868658:165302:167079:-1 gene:GSPATT00023354001 transcript:CAK90180 MKKVLNRYSYSENDELGRGAFGRVYKGVDSKTNKPIAIKFISLDGLFDQQLIENFKQEMS IMKEFNHPNIVKLLDYKMDPQQNIVVIDYCEGGDLSKYLDKNGSMLDEYTATQILIQVVN GFREVIAKGYIHRDVKPANILIQNGLFKLADFGFATKVATNEVLDQQVGTPLYMAPQLLE NTAYSSKCDIWSLGIIAYEMIYGRQPWSCRDMKSYLKNIKCYPLRFPIDKAVSDQYKNFV RQCLKVDENQRIGWKDLFDHPLLDTRQIAQKTFKIIEMDDETKQILRNIQYIAQARQLKI QEQFSKYDLDKEGNLDFNEFLQFIQKIDPSLTSNETSKLFNFLDQQRKQKINLNEFQVLF SGNDFSDLKNFAQRIISDLKEIIANNKLNVDQIFHFYDEDKEGDLKLDEFSNLIRRIAPA LKPKEILIVFTEFDKNNDDSISLDEFKKIICPQGQNYEKLKLQKLQQQLVAEIKRRKLTN ENIFKTFNVSKTNKMNYEEFTTLCRDLVKVITDDEIQQVFKTADKNQDQCIRYEEFMNFF K >CAK90181 pep:novel supercontig:GCA_000165425.1:CT868658:168582:168830:-1 gene:GSPATT00023356001 transcript:CAK90181 MNADVSSIQLTDVSPPLTAQKPRKDAFNNPILKGNNSHKVIFRDQANNGPLFEIHIVENF KEYNLEEPQMNKKTQICDCAII >CAK90182 pep:novel supercontig:GCA_000165425.1:CT868658:168941:172014:-1 gene:GSPATT00023357001 transcript:CAK90182 MINLFQMLQQNSPFFQELQIYDDGKRILDKSLRDKEGMEYYLISKKFIKQWKYYVDYDEE MEEIQLDPRQKPDKINSDLINNEKLFRYFPENHVYNSSIRYLENEWDYEFVLKKVYEYFN EIYQSIEPQIRVGFYNESLKRKQIFPNLARFTLIYQSPIDNKLYTAKAQVDYNSEIKAWT NLLRDTFQEQFKPKKINNVRVWCPRHKTFKADLLIQQMEKIKQVDGDILNENLIIFQMQS QRDNCIIIDLELNDWQFKKFEQTIEYDNALLLEKALYGCGKIVCEFPQCKLNNGYSDLGF AKEDIQGICQVLIENEEVKWELMCSKQKNITDNLLPFSVQIKTDPIQYLLKISQSLELFG ISFVENYNKNQGIYQINPKNAEINSLLVLQIAQGLNQQKDNLMQIIKNLFQRKVEDIEPL TNLYQLRALYLILQFRNCLSKILDEKPFIILTVIRRLSQQQANQLSKWLTKLDKTELQQF QSITKKAIEQQINIQKNAPIRPLLDIDDIQRLKGLFDLYSVIYKSNIKNPRIPASEFIIN SIQQFYKVDADRQEFTQFQIFNAKVWRGYSFTFCQYPWAMPIEFKSRLLSIECKVKQYDT RRRAYGIFPQFVSLTIERDNIIESAIKQLQQTQVSLKNPLKVQFVNEQGVDEGGPKREFF RLIMEKLVTPDYGMFIPKNNDTVFWFNPQSFEMPIYYSLIGKLLGLSLYNSVLLDVRFPT VLFKKLQREKLKEEDLKELDMETYTGFQFLREQTDPKVVESLGLTFNGTYKVWGENYFED LKPNGFQINVTIENREEYIQLYIDWYLNKLVQKQFDLLKDGFKTVVDGDGIKLFSGEELQ QLIIGLPTFDMKDLEASTKYDGYESDSEYIRYFWNCIHKLNVEMQKRFLFFCTGSDRIPV GGLKSIKFVIQKHGQDTEQLPSAHTCFNVLLLPFYKNKETLKEKLKISLDNAEGFGLM >CAK90183 pep:novel supercontig:GCA_000165425.1:CT868658:172308:172778:-1 gene:GSPATT00023358001 transcript:CAK90183 MTKCYKQHMRYEIDKDKHFIKNDQLIIEIKENMYQEYQRIRKRVLFKAYQPYQRICSKTE TRNLAENKVLLMKKMIDLQVMKQLTIEKKGIRLGRERKMKFKNQANQEFYNLKIFVVSLK QQENLNQIGFEHYEF >CAK90184 pep:novel supercontig:GCA_000165425.1:CT868658:172823:174589:1 gene:GSPATT00023359001 transcript:CAK90184 MQQDQNQGMDHQVADKEMTVQEQLLEQQKKFRNLESDRKAYAEETVANIKKQRGIIDKLK NENYILKDLIAKMNSQKLQLNQTTYSKGPNIDTIIDDLKVAINEEKKVQEEIDTHVADFQ KKIIEKRHALGGYNAGAENESALQKQIKILENRLDKTNQKFNEAIAINKQLRQQIDSLRR ERVIFDNLYKKLEKELHEKRKEMADIIETANTAYEERDKANDLIQSLKQQAKRESADFEK DLRELSQIMEKNKKTLDYMKLTEKNREMDAQEVVDPDKFTKPKTNKLTRDKTVNQTIVEQ IMKYEEDFAKIQAATQIKVFDELIKIFIQNEEKNFQMFKYVNELSNEIEDLEKQIGELKD EASLYEGQGSNVDVQRKRHLKDLEEKLSRSEQKSEQYEFKYNESIKLISSLTNWIETLFN TVECDKQMATEIAGSHGVTDTNMMIYLGLIENKTNKLLQYYQQIHQKISENQINSLQQLA QMNEKNLQNKNRAELPQFDEHEDDDIEGDKILSVEEFKKKALEKLEKQQNQTKNKRAGPN KLRKNK >CAK90185 pep:novel supercontig:GCA_000165425.1:CT868658:174615:175151:-1 gene:GSPATT00023360001 transcript:CAK90185 MQSLVENDDIYLEPIKKKPKIQEVIKTKQPESNSIVKKKPAAIPIRYVPDLRAQASLLES LKIIENIQPDTMQSSLKSDYTEWTHQDFMFQLSLQSNQIHPDCVERLNQYFRDFNQKCKI YPYMHYVDLKPDKDHIVTMHPPFQYQKDEFIENCIRPRVIPLVKPPPKPNIKIKIESK >CAK90186 pep:novel supercontig:GCA_000165425.1:CT868658:175692:177744:1 gene:GSPATT00023361001 transcript:CAK90186 MQEIEAKKQLKASEGAHFFYTLIFLSASGIIETQFIEQKCNQNLQLFVHLVFYGLIIWGT YILITLIPRYKNAAINLFFNFLDICFGIYIILLLIYGGRMYQSPSDCQTEAPALFFFLET FLLVFCFRKFIRLTVSFLQFCSWHSFRMFLRDFRNLNKCMMIIKKNFTMLDIIFIIQMNN TIQSIRRPVTSQNYRSSDKLEKQNNEKLPNLSEVMSLKLKQQSEKDLQELIINLVKKVQQ QNSALALKEKQILQYEFMIKSLQIAIDKRDCIISALRVEKQQIVEVVNPLKNVVKSDESS RQQSFRGKKSLRTRTKDTNFDENLSAILDSDYALLSQYVKCYEELSHLSQIKTLVSNEEQ FLANIQKMELSNIVNIFDAIQLVLHEHKLLFKNVIKQNKLFQACLEMHEQIPLNDQLENL QDLLKDCLNCDRVQVYVLDNEQQELWAKLKDRVSMFQEKGIINVHNAYNDPRFKKDNEKG YKTNTILLCPILDKQQNSIGVIMAVNKINGHFNNDDQLYITKTSESTSILLRNHQQSNES ISIQNALRNVIYVLIILCQAQLQLIYMNDLEAILFESENLLKNLFHTQKGLIYVVNNNRL LRVNEKKLLEISQLGVGIIGEAHITKEFLCIQNAYNHQSFNSLSLQIDD >CAK90187 pep:novel supercontig:GCA_000165425.1:CT868658:177932:179431:1 gene:GSPATT00023362001 transcript:CAK90187 MISQVQDLYQLIYNKLVNKESLEPSLLTRLHTQIFLQNVEKNLELEEFYYDILRKHIENH HSISPEQLEILVNIVYYLGVFINQQSLFTKAFQIIKENLIDNQPIIQNSLYLHILQACGS QNICLKPGYQFFNIDINNTYFKSRFLTPYLDSITQRIPKDNIIQFIKDEQQNIKLLHPQS EDYIIYELKLFIIQQQLKTVDFNLNSQELFNLFTFLRIEDKHQYSIKQKLSNIESKDNQI NPFYQLAIIYKTFLSQYLNLDFDQFFEVFKKHLNNIQTFYQNEKHMLIALNETIEEYLIK NNGCLQKLIESYDEDIGYFSQCMSQSIDHFVILMMEKLFTLVSPYKSNFNLSLQIAKISK MSQYHHKQVDKFKLKELEKYLRIAQTSLKPLYLPQPQFISQTAELISDIPYQLVPYLPKE KDKRYQLSAYGYAEVTYKENYTLVVTTKQLVSLFHLINNNIKQVDQNNLIIFKKIGLINP DGNLNQDWNPTHKCLILFN >CAK90188 pep:novel supercontig:GCA_000165425.1:CT868658:179697:187152:1 gene:GSPATT00023363001 transcript:CAK90188 MQPNVYMNEIRKEKEWLANQRQEILQKKEQNKLPNGEFEFKPPKTIEDQLEELQQQCLMG LYDKKITIKGYKGTFKLEHWKMIELRQRGNSSIQQIRFIDTKLEKENLMILPLWFSWVKP QNLVIEFQHNELDDQCVEEFIFQIFERNINLQKVKHRKTIDQMFLISNPGVYKGFLLRKL KHYLVKKYENNMDYYYLLLKNKSKLSFAIIKIYRNWQVQKIREERELAMKKIDQTYYFSF NLSNCFTQINWKGFEHLIKCTYSFDNLEQISSLDISDNYLGTQSSFNNACQNLATAKGLV TLKLKNQPLFHNTTAIQQLIGDRYDSLRINHLDISDNSDIFEKAFQLLADDIFLECKTIN LNNSLTENNSTLYKLSILIQAFERQNNIFEAQEKSQKSRKYKYKSKLQSLDLSKVENFNR YDQIEVLLKTVVFSEYSNVRTHTIQNLDVGRTEIYCKALNQFQSDFVLRKSKIPKYKDFK LNLKHLVLIDTEQEYTMKTDVLKQFFLDYLFTKEKSLLQIESFKFIKSFTKKNRKEAFKL AAAYILEAVHKNPNLQFSINDLKFFSAQLELDLQTFKCLLLIPNIKLRVFKYFKDSMKGD GNIYLNELGGFLYSQPESFVHSLQILKLDTITGISFDVSKLIKLLIYCPKLQIKEFHFYK IKLTKSSLSARELELHLQNSVDPNRIIGLRSLKFGDFQEAGEEEFFKYIVFSKLIRLKEL ILKNIDFNQLIQNLQASIIPKNINPLEELQILEFEDIYVQQKELWNQLSKIFIFQNKRLV SLTYNSINVDINFNLGMTQSAAQYISQAKSSQEKEDFLLPITELKFIECNLNSDFLSYFF PIAKIEKLEIVKCKNVQTAMLKVRENYIDEINQFALKQFTLKNCELTDMNLFEWMVNYLI LNIERQQLDTLNLIHCNLNDDMIIIICKQLQQITSKIFQYKRIFSLRQINFSQNDKIKEL QWINLFNTILNQNQTMQYELVAQDSLRKDEVNVLINENLLFVDQTLLNLKQKLYYNHKIF KPNFPKQLKKLEIDLGLINQFKNQNNDLYQRIITGFIIYPESQLKKLKLSNVDLDLFMLC CNNSIEFSQKYLELSLTSTQKQQFINIEQIHIHQVTTQSRISIEQFFKLFLFNHQVNLKK LSIHGFREQFLQIFLNLSECRTNYVLEEVSFENCEDKLNESQTQRFIEQLIVGKVFPIKK ITIPKGVIFDQIKQFDLNQANDCKIEKIKLSIEDKGRNHMSTIYKIFSLLFCQLSNLKKL EITTQIADSIFQHIYEVCKADKLKLESLIINGQLSVDMEFFHFIFKSVETLTLLKIQKVI YLKQNDANNLLQQIYKKPIQGSVIDLNIIEHEDTYFLYYNLIFNEMFGFTNLVLRDPQSF ANLQLSYSIFKIRYLKLDMGINYNSNVQLDNDALTLISSKMIYSEDSILEELVLMNCDLR VPAIKAIVSPAEKLRDRISYSSRQKSFYMSIKRICILFSFHIGQEGMDILFNNLIYFEYV NIERIELQAIELDDKIVIDMIKHATDWLHFQKRNQRQFGKIFPIRYLDIGKNELFQDKAV WQKFLRTFVFTDKTPYLEILNLHMMALNDSIATHIGHNAQKFLNSKAQQYRFPLKRLNFS KNNFLTEIGWENIFNNFIFHPKVYLIELNLTSTQLDTDEKLNKILLAAQKRAQLSKNKKL PLYTFLCYNVTLKDQIAEYISPKPSQYKPPDDLPIKIDYKGCRLGIFDEIPIHLGDQVEI LNQLIYNRNELIIPNRLDFCECFKFSKFHLNFIEHYLKIINQLLGEDQNSIELTLNLATL NSFSNLFRYTTEKPAKPFPYFMLFQREAYIFLSKSINKIKNINLIKAESQSLEELHQFDV LQIWENIKYYKCNVDQILMEYTLNDDLVERMLQKGYTERDVISLCRLIPPSNVRIQGTLS IQAIKGLYSILYDTYYFQYSVIDYKFDNFLNIGIGYGLRETAYRKVEGSSWSNLYRMIQY NFYDIFVKPTQKYVFDEQVVALNQYLSKQKLNLLLLVFTNFLFFAAAIVAPFFLIEFATK ENEQQCQVTTSYQQYYYYAAFAFISALIEGYLYFSICEIIPEYITQMEHALQFNESEIKI TQYKQSNITLESNRANLTDDKMANSQFKYRYRQKIEKSYKSFLSKINRVGKSKFVTQINR IIQFSMSQLFKFDLFGDVTFILVLQNCNYNELFYLTCIITGFTQGINFIYFLYLITKGLF QSSKSIQQLSSQFINEFYSIGFLGRNSALSNLLDSIAPYNVSVIPNNKLTRAILPNQAGK SMSNLVKGYFFQFIFEDLPQIVIQTYFTVNQAWKQEGELEFLTYLRIAISLLTVVTSFIR FMSIRPTILVQDDFDKLSDRKKFNYKNIRKELLQNEKKQLAIYSEFSSHPSNEQYERNAD ETHPLNLQQSYQIP >CAK90189 pep:novel supercontig:GCA_000165425.1:CT868658:187840:189021:1 gene:GSPATT00023364001 transcript:CAK90189 MKIAILLILAVSLNAVSTDLNRQAQKHVDALMKTNWGQTILQLAELHAHTGGVLQDLVGA IEEMIQQMQDELDEVEYNYGVRTNEHNSLSLQYTQEVQDADIDIQRSADTLENLLYPRRE QLKSKIQQLIDYQEFNRKNVDEETLIREQEHEAFEAQIAEFNDAVGATDDALNLLSTLTN PSLVQIQKFQNNLRKIEARIQPHSQHQTLIKALITLASEQNFSDQGIIKQIVDKLNEFRN AVVDAINAATAQEAQDVQDYEDRIEQLDAEYAEFQRQITKITIDLNATQEKIEQFLSFQA QRQSDRNTAQALLDLENEQYADDTQIYTDLKNKLIRDIQVTEEAFSLVKSVDFSKIKV >CAK90190 pep:novel supercontig:GCA_000165425.1:CT868658:189083:191240:1 gene:GSPATT00023365001 transcript:CAK90190 MHSVARQPKENMSSVQIWEQLTHVYPQWKLVHQKSLKMQKVCVQHPSVVAFTADGQRIVG APAKRQAVTNPENTVYATKRLIGRRFDDPKGSKGYQTFELLCGQSSKRRCLGQFEEWSNL FSIINWSIHAYIGKPQSKAVVTVPAYFNDSQRQATKDAGKIAGLDVLRIINEPTAAALAF GLEKKDNKIIAVYDLGGGTFDISILEINAGVFEVKATNGDTSCGGEDVDSILSNWISSEF KAQSGVDIQKDKMAVQRVREAAEKAKIELSSTTQTDINLPYLTADASGPKHCNLKLTRAK LESLTEDFLKKTIKPTENCIKDSGIDKSKIDEVILVGGMSRMPRVQKLVQDLFNKPPNKS VNPDEAVSIGAAIQGGVLKGDVKELLLLDVTPLSLGIETLGGVFTKMIPRNTTIPTKKSQ TYSTASDNQTVVSIRVFQGEREMAADNKLLGQFDLSGIPPAPRGVPQIDVTFDIDANGIV HVSAKDKATGKDHSITIQSSGGLSESEIQDMINKAEKYKDEDKKRRELVDLKNEADGAIF NTEKSLNEHKSKLQPNEVQEIESAVQNLRVLLTENLTSNDVQRLKDAVEGRTKNAAMKIG QAMYRNTGGASEQQQQHSHEQTGDQQQQQNQEGGENNNKQN >CAK90191 pep:novel supercontig:GCA_000165425.1:CT868658:191691:193014:-1 gene:GSPATT00023366001 transcript:CAK90191 MDYDSLYLLLPKEPKKWQVSDVLIWLQFIGLGQMEDKFVNCSIDGSILEEITEDDLDEEL GINSKLIKKKLMNWISTGLKEYSIYICQMKLNTIKKSPNEDFTLQKNVNACQDKQCQSII NQYDETKSTIRIENQLILQPLESQQNNFYCIKQAGAKIGRHSSNHILILEENISRFHAEV TYQDAKFYIKDIGSTTGTYIKIQKRMNLSQDMLIELGSNLFQVTQLEEVSDGIQLELLVM EGPNCDEQISFHLNQEKNSVTFGRKTNADIAFPEDHHLSNLHAKFYLVDKDVTIEDHSST NGTWLRLSADGQPSQIYPLTSEDEMTIVRIGTVNQYLCQLDKFSINYNEYNFCTLCHENE RDALCLPCKHNSTCFKCSKNLQLCPICKMKISQQIRIYKN >CAK90192 pep:novel supercontig:GCA_000165425.1:CT868658:193071:194532:-1 gene:GSPATT00023367001 transcript:CAK90192 MKQKVSQISPDKLYASLLKRKNEQEQQARLKSPIVTANKYGFSSNRNSGGTSKIEAKKAR PASGKDLKDVSKVLLKKTHARQNSKLVETKENSQNLSTSALSLLLKIKQDKHENKQSQIQ QPKDLYNQETIQKKYQKLMEMTKQQQQLILKPKLFKGPRSISNPDISTMQLQIVKANGQS AAGMLYNGQTKTNQDIYKLIQKFCRRENDWYIQVSDGHGTNGHQVAQFLQEVLPQFVEQG VVHMTSCYERDKQINQVLKNCFLQTSDELMDSGIDITYSGATTVVVLSFDNVLYCANIGD SRAIIGRFDNKLSVIELSKDHKPDCFLEQARILQRGGRVQAYSDEDGNPIGPARVWKLDE DVPGLAMSRSFGDYIASQVGVICEPEIIKHSLLPCDKFIVVASDGIWEFLSNEQVVEIVY EYYKRDDSQGACQKLVQLAREAWQREDEVIDDITIVIAFIK >CAK90193 pep:novel supercontig:GCA_000165425.1:CT868658:194566:195825:1 gene:GSPATT00023368001 transcript:CAK90193 MIQNVIDVVESQTTSQSLFSKQTYYTIKGSDKDGNFEVHRRFKDFVALRNLLAQQWPGCY VPSLPGKKLFNGNDPKTIHDRKKFLNAFCQKLSLLPHLFQTDAVSQVFLRSKDDKIYTKL ESIKSQSTQDLLQKYQTTFADILDTAIDASTLKEIDNFADQVRNYSIKLKSIKKMIKDSV DSGKKYNSCLEEIIKTRLINFENSFVTQFINKDASLLIFNRIKQELADTYQENQVFRLNN IEILLDLIRLESKDCKIVLRQISDRINLENKVKTLENKIIELEKELDKLNQGKVSFKNLI KQKSPEDAKKQVEQEISESVKEIKLLQDLIILINKILALKERNRYENEKNTQFKEIMEIL QQMELDSIKLESSYWGFILNETNQLIAQTQNQSQIEDQINTE >CAK90194 pep:novel supercontig:GCA_000165425.1:CT868658:195873:197229:-1 gene:GSPATT00023369001 transcript:CAK90194 MKEIIKHTNSYQFLDSYLNSFIYYQENYEEFQNSYNKDDEIIVLTKRKQNFIGKYKFIVH LYKDRVIKIQYINNSILQLQNRLIYLLENKNLQAFFTDEIVESLLDIISAMKNDLFIKQY REDTQLEQDFISFLKQKECTQWNKDQFCFSPKGIRTLLCWIEKRVASQRLKLLIANLLEK LDNNWKNKKKNSKKKLDTSESSDSDIEELPLKQKPSIKLNVQNLDLIHEEENDFSVQKQP TIKQIHSNLERIFLIKQTQDYYTEILKLYSSIKQSELIVSFWNCFLKLITRITADNSQKV EYGFKIYSITNEDFIKELILEQIQIRLSEVIYDVIDSKFGVNFMINLLKPFIEQDIKQLQ LFSQIEMNEETQSFFVIIIPKLVFAQNIQTVENIEYLQNFLIANLLLNKDQYSKVMDNIK >CAK90195 pep:novel supercontig:GCA_000165425.1:CT868658:197437:197811:-1 gene:GSPATT00023370001 transcript:CAK90195 MKKHFNYEISFEQLNGRMPPTNTPCDKQPIIHFDSNVHVFWPSDQKFYTALELKNFVKED FFNHPLVQHLKPLIHRKPIQKQYMKRQYEDPLVVMNLFLQSQDGKEKRNPQKPLLVCWSQ KQLQ >CAK90196 pep:novel supercontig:GCA_000165425.1:CT868658:197817:198614:-1 gene:GSPATT00023371001 transcript:CAK90196 MKYVAILLLVLVLTNGANVRKHKQHKGTKHTQDLVQIESENVGASYDLSNNVGVISSSET VTNALTNQDRIEKAEQKERNAIHNAVKKSQKSFVQVTDNENQVYVEETLDQTPQKEINFA DLFTNDGSSPDMGKQALIEDSPSPPMAHIPQDDNLDYVRAIDKLDLLDENGQPLQLLPEE LSLLEMSQFESRFQQTETPQMEQAPSWDLEAAYEKTPGQSELSPEELQRQTDQMVDSLRG ELENEDI >CAK90197 pep:novel supercontig:GCA_000165425.1:CT868658:198888:199835:-1 gene:GSPATT00023372001 transcript:CAK90197 MSDFLFICDSIQLTIKKVKLDLFNSFEDETMSAIIKKNETSFIPFLSLRQKFGIHQKGGF YPLPKKYACLLDLCKRIETNVLRNQCQIYFSVQNNFTEIIQVLQLLNLNPNLYKIKVNSE GIVIQHPFLNISNKNDNKNAIKSQQEYKQMSEIIEKRMTILRDILDYQVKIKHKEHLSII NCEDFEQFGSQFKIYHNDFDLNDSPDIKPIKLTRQIFSKELIQFHLDFLNHLIEHYDKRG VSFMYYDNIVTILSVHFNKVKSEIEKTLKNIINLLPDKMNILMDHINKQRWIVQLDRSSL QQLNKQIILNQFLFY >CAK90198 pep:novel supercontig:GCA_000165425.1:CT868658:200956:202047:-1 gene:GSPATT00023373001 transcript:CAK90198 MSIHQSEQYKKQPQQEEKAKKLFMKIILNGLRKFFNMNELPYHPLITCVSIKPGCINRCA SLQSYPNQSVWKSLVDLPKEMQQEVVEFLIKELDAKSIKFQSKFLIESQERIRNNFDFQS FYKEPTKFEIPSNDKQSEFQKDADKEIKDDGTKIKQKKWFKGEDFVSESKQIATQMKLCN QHPPLVEVSSFHFHKMRCLLEKIKEQKFKQSEEMKIFVEELEKFIQNDPKAEEHKKKRKI KKYNDKKNHQHDDEELIQGQITLQREVKVEDNSAQDFLDQFKLKVKKIEPGIVLYLPQYY KILPKYREYCKSLEDSYFKNLYYLVQVGELILNSQDILKFLRQVFKNLDFWVPYEQKSEI PTK >CAK90199 pep:novel supercontig:GCA_000165425.1:CT868658:203963:205102:-1 gene:GSPATT00023374001 transcript:CAK90199 MSIRLQDMRLFLLKDNSPVKVELKQQDDILFNLVTKADRSPINILVPVIEGNQPITIKVN DYNAELLPDNLEMQSTYKLLLQNGQLTQEPIQPGDVFALSFKVRNGNANTQGVTGNSAMN GSTLSTIQLSQLRHKLVEQGKGTSMYQSASSLRHSIPEVSFSKAQRFDKNLTLRTEFNYS IPDTVGSAARSTGFGYGNKFISPLYVQRNAEQNPPPDAYFKEETKQPSKYRKTNWSQSDR FKMSQTVQVPSPNSYEVTQMIGSNKPSCTIGARIKPLATFQEKVPASNTYEVKSSIIEPS RFNRITLGYGNKYDFTKNDKTPGPGTYEQPSVFKNMSSSILNNGTLRTNVMRK >CAK90200 pep:novel supercontig:GCA_000165425.1:CT868658:205122:206696:-1 gene:GSPATT00023375001 transcript:CAK90200 MHNYNVDHKYKFQTRIGNWFEEWELDETKKKDYLKNRSNGQLASIVKDTKTNFSLRLASL SFPQDNYIHFGFHLMLQNLKTLGFLSIDIQERLKIGEEAYNITTAQTTQPTVRSVFVIIP YTKEPNYYGDDVLHYGQHFRIVANPRISNNKTFYLHSLPQTPTRCAKISRKQEVCAIESD VFNTVWKLEHADPKIRFEMEGQPVRSDDTVLIKHSFTQHWLASDDIVYQNDFGREREVFV HSYQCLNKTQNLIAEKEGRTTIDIPLRNQEPQNLWKFQVARNQSEQFDESVMDDNRNVKN LMIRVKQQITGKGAYGLRGLAKIFLEMDQNNNGVVEYNDFKWGLRNFGLTLSEDETKMIF QTFDKNGNGKIEFTEFLDAFRLQMSDKRLYYVQRAYASIEQKAGKVTLETMGRLINVKEH PDVLKGYKTERQVFQDFVSHWNKANPDRVISFQEFGEFYQDVSSSVQQDETFEAILKKSW NL >CAK90201 pep:novel supercontig:GCA_000165425.1:CT868658:207459:208679:1 gene:GSPATT00023376001 transcript:CAK90201 MYFEQECRKFLRPLRLEYQMFDMGPERLSLANGTLFKHEISVINCQGHQLKCSFFEINPQ SDCCIIYCHGFNGCQVEGVKYAHVAAQYNLNFCTFDFQGCGQSQGDLITFGYLEQNDITC IILDIKKRFQQNQFILWGRSLGATTIQLKKQPYVIGYVLDSCFTDLNKACVSMIQKSTSL PKLIIKSALYLLKGKIESQGNFKFEDIKIQRADSSVPTLFICSDQDTLIKSKNTIGLFQQ HNGLRDLIKIQGEHNDSRSLQLINQICCWCKERFQFNFQQSCQESSPGEIRKKYQHLAGR SSLVHTPSDIISKYEQSRQYVNHCQNQSTSVLKYNNQYVIQTKKLYYQEYPQKIVGQQFK ILQQRMSSEIGNSINFIHKPIFD >CAK90202 pep:novel supercontig:GCA_000165425.1:CT868658:208706:208921:-1 gene:GSPATT00023377001 transcript:CAK90202 MNNQQQKIIVQLFGKWYDLTEFSEMHPGGKEILEKLNGKDGTDSFFEAGHLSNHAVLQHL SRLPIIEKPKL >CAK90203 pep:novel supercontig:GCA_000165425.1:CT868658:209452:210090:-1 gene:GSPATT00023378001 transcript:CAK90203 MSSFDYPQKLSEPSSLTSIQSFKNSYEEDVEEIGIVAEKRLLSQLFEDSLEYTNINIQVI QEIVISKRTRKERHLSDKQLKSQNLHFKCQESEQRLLSDSQNGAIRYPCCRECCTNEQKL NDIVAQDLIQVTQAKEAAKFKQETVTLNLISKQQQIGKNNDLGDKICKKILKIEESQHLY IQPYQRDQRQEKWY >CAK90204 pep:novel supercontig:GCA_000165425.1:CT868658:210157:212389:-1 gene:GSPATT00023379001 transcript:CAK90204 MIRDASSFFNRIDQSLDYIISLLSIGLEVYLQQYLDVLQYFSLGLLGLAGISKMIQKKKV FGIQQDYSPIFFWSLALVRIVFIKNVNKDQTAYWFYILGFSNGLYVSMTPRRFINQVAEL VKAFVQFGFLIGVLIYYFSEQLVQSILLIVLMLFIQYQNYRQNVKLLELNKDELFLQSNA HLNGSLNKFSCKIQENSKSVIQIQEVGSLSRQEASMHLIQNQVDLQAPTQNVIWQNFIEQ SEDYISKFYFNVDDLENNINQAQNNYSMQKFLQDNKSQLINLFKDMKVSSDLNVKLYESN YLDKKQDSLFDWIKANATSSNFQDVNQQRRFEENLVVSSPICQQSIVMLDAFIEKSISGL SAIQLIQGSLEVSNLRNRRIAYGQYNGKKYNYNFYIQISFFLEEVDGVQHQVIAVLIRDL EKQVKQIKSNLKNIQKINSTIKFLQQQADVIQRLHRKIVLQQNAINEIQRSNQNTQLIKR TNSICSYKDSVDDALSDFSEKGQKKFQITQFINQLQFQFLRIIQNHFNYFEVFSVNDIIE FEKKRVDITQTISLLINQFQYDEIVITKKIRFSIQGDLQNKYITSDLRRLKQLLFNIIYN SIKSYEFDQINQKTQRLVQINLNNYEQNIRFEVIDYGCGLNEENINPRRLDDCKLGLAAS QKLIKLLGGYNKQITISRSQSQHQTKVQFELPNDLYVDKADIMGEDFDFDTIQLIFTT >CAK90205 pep:novel supercontig:GCA_000165425.1:CT868658:212962:214550:-1 gene:GSPATT00023380001 transcript:CAK90205 MRLKIIIHYQNNSTENIVLVTKPQQTIEDLCNHLSKTCNIQSPQIWIEGFRALNDQIVEN LVLNSEIIQIVEGVGSSNKVAQKETKVRQKQIIQNQDCSSVEDDKQVQKKQPLTQDQNLK SQHIKSNLIVQPGQFSSKSSLKIKPKIQKIISESESSSEDVPIKKPQTANKMQAVVSTPG DELKKSQEEWRKSFIKSGQKTTEPQKSQQNKFNVNFNPIQSNASQNPVSQTQERKQQQSG QTTQNTKLNEYIKLSISHIKDDPTLIEKNDEIFFKYNYLDIQLCQPTVSNLLQGKVQDNQ QKDKKLIILTKQGDRLSIYYSEIQELQINLATVQSQTRKLAIKVLSEGVDSKQQQQKQQQ QPQQQQQQQEQQQQQQQQQQQQQQKDQSDTNINNTSQQQKEVIDPFAIGQQINYYYSDKN YSKDDFILQHSAQNPEKFMLFKLLLNFPKVKSKIKSEDDLLDIVQLFQRKTQQDLVNFEI KKNDEGQYMIRKKQIQ >CAK90206 pep:novel supercontig:GCA_000165425.1:CT868658:215608:217313:1 gene:GSPATT00023381001 transcript:CAK90206 MLNQHFCEIDDDISDATSFEESIQKRCMTAPPRPLTDLEEFKRSEEYEALEKAYRSQSQL IQRDYQKYDLDNPEGQHSCKKFLYHLENMCKVYKVSAVSREYRDTFSKAYKILYTDGELC YLTEILDSAQEGFPYLWVNSEKYSFSADVLDAGMRLVEAFYKVQHVIRYTYSGTLQESPD FSSSKLKDEIQLLLENFDIIWVNFEKYYVKELMQIEAEARRFILKAIELDKEMISIEVRE KLKGRILVTCENYLQLKAELCKVIAQINSVANVEGKGRDDLGVKILLEAEGITRRVTREQ SQAVRNLADSIKMNFQRFREQMRRYEGNIEMVDPQLKNNQELVDLLVEYETQWEKGLNYL LDPKRYTQLMLFSHIIETSAEKYSQFQEQLECRDSDIFVAIPCLIILKHLEDEDRNICLY FLPMLNDTSSKLYQSFMILKQEFQGWRRQHSKSYEYYNIIEKLLLGIPQQQFSEEESNQI EKIMQKIKFLSIELQRHNAIEWNSFIDAAINNN >CAK90207 pep:novel supercontig:GCA_000165425.1:CT868658:217391:219419:-1 gene:GSPATT00023382001 transcript:CAK90207 MGCSSSNSADVNNPGNAPKAEVIFILGGPGSGKGTQCEKMVKDYCFLHVSTGDLLRAERQ KGGPDAEELENIMREGKLVPSDTLVKLIKKEIESFGNTGRYILDGFPRSQDNWESWTRII GNSVNARFLLMFECSEAVMEQRLLKRGETSGRADDNAETIKKRFATFMNETQPVVATFEK QNMVVKVSAEATPDEVYENVKKQLTNKGVQPQKRPEVLFVLGGPGSGKGTQCARISKDFQ YVHLSTGDLLREEQKKEGPMQAELKAIMEAGKLVPSDLVVKLMKKELLRRQFRGKYLLDG FPRNQDNIDSWNKILAPLVDVNCLLYFECSDAEMTKRLLERAKTSGRADDNEETIKKRLT TFHSETKPVLGVFKDQNKLKVINSEQLVDVVYSNVKKQFKSSGLAVTQNGQRPAKGKYVI GLIGAPGTGKQVQASRISKRFGFQHFSTKLLIRDEIKKNTPDSQTIKDCQKNNQPIPGKI VVKLIIAAVNQSKARKFIIDGFPRNQDNLNAWYAQTSNPIRLKYIMCFACSQEVLDKRIA ADVAKKDQASIQRKVEILNNQTNQIIQMFKKDERLIEINTEPSADEVFTEIEKVFQAKKL DR >CAK90208 pep:novel supercontig:GCA_000165425.1:CT868658:219761:220937:-1 gene:GSPATT00023383001 transcript:CAK90208 MSTSLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLQHPFIVNFEGFCQDPRYIYLVLEFVSGGELFTYLRSIGRLDTQHAGFYA SQVASIFEYLHSKNIIYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKS LVKHLLVADLSKRYGNLKNGAADVKNHRWFGNLDWNLLTQKKLPVPYKPVVKAPNDTSNF SSYPESDTQSPALKPADDPFLEW >CAK90209 pep:novel supercontig:GCA_000165425.1:CT868658:221009:221414:-1 gene:GSPATT00023384001 transcript:CAK90209 MASQFKKLAPLLNRVLIQKYEPVTKTASGILLQTSEEKQAVGKVVETGPGQTDSKGNVIP TLVKPGDVVLLPDYGGQKIKLADQEYFLFRDSDIIGILHQ >CAK90210 pep:novel supercontig:GCA_000165425.1:CT868658:221536:222167:-1 gene:GSPATT00023385001 transcript:CAK90210 MKWKEIYMIVQQLPKISIKIQNYYQEEELARLLEKANKVEGLGQLPYKAVAYALEIIPRT LSANCGADTVRILTELRAKHSETGGLFFGVDGNTGKIAKMNEINVWEPLSVKKQVFKTAI ESACMLLRIDDVVSGIKKKQQQSGRQGEEEPQETFGDQRDG >CAK90211 pep:novel supercontig:GCA_000165425.1:CT868658:222168:223350:-1 gene:GSPATT00023386001 transcript:CAK90211 MQGAPVIVVNANTKREQGRKAQLGNIQAAKAVSDIVLTTLGPRSMLKMLLDPMGGIVMTN DGNAILREIDVQHPAAKSMIELARAQDEEVGDGTTSVIILAGEMMVAARPFIEKNIHPTE IVNGYFRALEDSVKILDEISQQIDTDKKEEVMKALQSCIGTKFAFRWGTLISDLSLQATR IVLRGGNINKLNLEIKRYAKVEKIPGGTLEESSVLEGVMINKDVTHPRMRREIKNPRIIL LDCTLEYKKGESMTNMEMTKESDMTDALQQEINEVALMCNDILKHKPDIVITEKGVSDLA QHFLLKGNVSVIRRVRKTDNTRIARVSGATIVNRPEELQETDVGTLCGTFEVKKIGDDYF AFFVDCQNPTACSIIFEQVHQKMF >CAK90212 pep:novel supercontig:GCA_000165425.1:CT868658:223401:224333:-1 gene:GSPATT00023387001 transcript:CAK90212 MSFQQQQQQQAFFEQQISGHSIFIKLNDGEFVAKSHSIENNNEQNFYEWIQHIDGYQEFF SQYNGVAIIEKNQEISKQETINSQQKWLDTLISKRYNPNNKKYLILENLTQNSQNLRILD LKLGYSVEKQSHIQRYQDSTSSKVGLRICGMKIQENNELVLFKDKHWGRTISVQELIESL KTFFGLKNRNQILKEAIEKIESLKQFIISHCKQVTSWQGTSLLLIYRDDNDFKIKLIDFS NTKVDLESTQIKTGSSRRSFKQSIGYNKANLINY >CAK90213 pep:novel supercontig:GCA_000165425.1:CT868658:225220:225750:1 gene:GSPATT00023388001 transcript:CAK90213 MEYFLTRFTVYGSPFYNLNAGRDHTDWENQSRLRKALSRFQQSEEGQALPKKFTDQQIKG IRWGLPVVGLFLGRFVGDIYCAQSSIYMKGIFAVLGLTIFNKIGMDIRNDNEISYWTTNY PKLPSDIQKALSYGDARYTVRWID >CAK90214 pep:novel supercontig:GCA_000165425.1:CT868658:225750:227779:1 gene:GSPATT00023389001 transcript:CAK90214 MYNILRAKNAFKQNRNKTPQESINQRQPSQSVYDQSNYSLSVTKSKTNNSTTMNTINRTS NSFYIGPRRDKLQTYTIELQKTMDADFGNKLRTGHRESGRQSRKVHTSYGQNDQRLIQHS KSVIYGKKEVENDDEQTQENMTIVQQGLIQEPSKPLINTNEELTKIKEQVNSMYKNLEPD TMEVDQWDYQVDYGKLELKVFSLDELRYLIQEFEKEEYERNYLTMDKILLKNKFFQRFGQ GIRIGIMEKASMEIHDVGEVILQLGANSNNLYVILRGSCQQTLTMDIQLEEYQCLTVQSY FDGQDLSEINLLQLNNSRGYSANKFIDMVEEIKEHPKFKDMLKNERTKLITSHISCAEKT YLLRMDNDQFQKILKQSIEKDKEFKLSILSQIRFFQHTSASQLLHLVAELGIQKYFQGDC VVRKGDQLNRVIIIAQGEFDIVEEIQLQRETRNYYLENKLRPLVHRKIIDRNTKPMIPRD FADQSFDLSLNNNSKQQVIRNSKCYQYCNKQIRGKNEIAYTHLHVLKTLKKCDVICGRSL LILYDNEYDQEESSNAKLTVVVKSVQGQVFFLDEKRYQNLPESLQNQILAGLRSMKEFDD YEIDHIRKQIRTWEKYKQNLYKQFIMEKRQNTFKTY >CAK90215 pep:novel supercontig:GCA_000165425.1:CT868658:227851:231483:-1 gene:GSPATT00023390001 transcript:CAK90215 MSQNAIFLNYINKLTVNLERMKNVRDSNSFSRFKTRQKSRENDQNSQILKLQSQQNVKKL PPKAQQVSFHLLPENNQRPKSKPMVQPVLQVQQHPIIKQKHSKRVLNESHNYLTTKYQAK KLIKQNSFVKNRSRKMHSVSYYDSIQNEDDEFCMHFNNMSNVSFKDYIDVEARANKMPSD KSQEIIEGKKLLSLGQTEEAQKLFGEILQVSNNPEARYLNGLCHLSKSEFSEAIADLSLL IQQQPHYKRNAYILLAIAYKKSNCPNDALNTLTLAIKQFNKYFDAYIYRGKLLLKMKQFD RALKDFTCAVEIQPKKAISYIGQADCYRYMNQPKQSVQACTQAIECQDSSFRQALIKRTL LYIDLKEYDLALSDIKAVLEEDYCDSEALYIKGFICTKKNQIQEAFLAYEQSIKHNNSKK AVSKSLYEIAKIKIEQRDFYEAFYQLSRADYLDVDEKILEKFKIFTDGVTFLMKRKFDEG VEALTTLTTKHQVTDFLKPLIYQYRAYGYFCQSQYQKALNDLNQLTSLEKPSIYNKLIAE GILAAVANQFEQSQGYFLKAQKLVPNKMEPYFYKATTLVKFYSYLIPKDDIEKKNKFLND AIKYMDMAVKINEQSNLLFYRGIVLFAQGRLDDSLIDLDKAIEKSEDHVAKHFYVRGLIQ ACREAFEPAVNDLTIALNLDEKLLDAYLNRAKVFLSLGDRKSAYYDAQKYKECKQTDPQT DILLGNLFFQIGAYEDAIQSYSESISSEKSLQVLYFRAKTYIVIKELNSSMLDLQKIVEQ SNDIHAIVDLNLLQQLKNTSTANNDQNLFQEALQCANQILKKGAEGRIFKKSDILFYKGL FQFYLKQYDSAQQAMRESYKIKEEQQKKEQGSMNDSDQYILDQLNQTHKKLEYKSKPLEE FEFSDRTYNLFEYYFNRSAIHLLLGQTDSALHYLEQLQENIQQLEIQEHLSLFIQLLKED QNPKSEINSELSKLTEFIIFPQHNRLCSIYPMVKLPLKKYKQNLQLRLSFCLPTVEIPEM TTKFDDKLLETISPTVVENKPEAPWIKRSAEGVIFTDNVQIVEDLDLQSTTRPSKKQTEM EDPEFQQFEDQLNHAIEKYDYSEIDQQDDIIDQPNQAIDLQQLKQNLMLDSKIADKLNSI LQKKAQN >CAK90216 pep:novel supercontig:GCA_000165425.1:CT868658:231629:233324:1 gene:GSPATT00023391001 transcript:CAK90216 MGCGSTKPGDVNNPQQINDKSQLRVTSLNLVTEKIGQISQDYHLLKPSLGKGAYGEVRKG IHKLTNQTRAVKIISKEKAKKADIERLKEEVDILKRLDHANIIKIYEFYQDNKNMYIVTE LCTGGELFDKIQESSSFSERKAAETMKQILSAVNYLHKSKIVHRDIKPENILYESSKPNA LLKIVDFGTSRFYDPDVKMDQKLGTPYYIAPEVLERKYDEKCDIWSCGVILYILLSGTAP FNGDDDNLIMEAVKRGFYSFDTEEWRLISVEAKRLISKMLERDPKKRISAEQALQDDWIT TYVKKPEIDLPQLTRVLNNMKNFNVEKKFQEAALTFMVNYLATSQEKQELLTQFQALDLN GDGRLSREELVIGYSKVMSYTDAEIEVDKLMKQIDQDGNGSIDYSEFVLATFNKVKLIED KRLEQAFKLFDKDGSGTISIDEIKQIFGQNSQVSEKVWKDLIQEVDQNGDGQIEFKEFKE IIVKSIQNTNDIDDKK >CAK90217 pep:novel supercontig:GCA_000165425.1:CT868658:233454:240750:-1 gene:GSPATT00023392001 transcript:CAK90217 MNSQNNQAGIFGNPQSNLFGQTSSTSAAIFNSNGQTQNQGAIFNQQSNMGGAQQAASGAQ PSSLNIFGSAQPSGNLFGNNAPNNNNIFSATQAGAQPPQQQQPLFGGAAPQPNQTGLSGL DSLSQQPLGGQPPQANNNSTGLFPSLNPNPLAQPTQPNTAQPIATNPTGLGAFNNVTSTG LGAGTTQSIGQGATSGGLFGLQPNNAQPSLNNNNFIASQNPASAPLNLQNPASAPPTSNP LGTTTLGTNQAQPSQPQASPLGTSNNLFTNPTSSLQNLTQPQQQQTTSSNWMTQPSTKPP AQQPTQLTAQPPTQPSAQPPAQPIAQPLPAQQPTTQQPSTQQPTTTQTTPSIIQIGTQPN QQSTVQQPQQQTSSLLQPTQQQPLVPQTTQLSQQPPAAQQQPASQPPPQQKLQLPLSKNR DFYKIMEVLNCRDDIKIQSLFKEDDNLYKIMIQGSNWFSFSDNKVQLQSDTPFDQEIRTK CFDNKIKSEVYYVLKDSLQASRVKILNDQFQRKQKAPEKSKFFENEIKKFQHIFFNHYAE QRSQLVAGLKLMLVNLIEDDDVGKPSKFLNDMFKRGLIEGLANSFLSVPAALNFYLKQEY FSEEYTFQTLQHFVSEQTQILEIFTILQRYFKIDRFEELYVKPVQKLIENMKTTQFLGLF HKNEHLVFQEYSPLASKLQEEYKKIAFVFVLSLCIRPLYQEIEAEEEEYPLPPLWINKVE AIKQIQPDYIQKIFQIAIILQEGKDVQNQNDYEISLRYFEEVLRVLENASINSHFWSSAC TCIYQWIRCYKNKYQEDEYDDEVELLSKIFSILLQLPSLWDMFYVLVKKIDTTEQIIFDR LNKLKVFRKINQNETLDLLADDHNQFQSLHNMIRDFSEGKLTNNLYKSSDFLGKSNRASM DYYNEQNKLKNKTLSMGIDNLGVSVYKFKHAIKFIKGEVKKQATSIKRIALLCSSNNINR QILKVTSECSRLIDLIIDTHKEEEKAIQLINNLLTIGSEAIQIINFILEDPMLQKEMAEE INKLSTNEQQIQRQQGFSYHPLFELFHIARKCQASYQFKVKQVIRIHLNYAQLCSAIFGQ DNPLQLFEQQNTNHYYQQTQHTFVKNTLETFLRFQLQEWKIFEEDKMEVTIEYQSSMYLA LQKILKKFLFYHTKEYKETQLHSLIKQYMNEINSQLILEFLLTNLDVTLTDSFFEDIGQK QLSNNINMVGIKNKLYEQVRNFDKLKFHVTSLLINLLDCWNTLIDLYSIYDETFSNNLQL VKQLHQFFQEEQYFLQQIVSTYHQDINGYVNNRVKLNFIHSILGLLNTISDPQSNCQDPP DFEDMEQRNFKQIYDDMKFKNYHQILDRNLPQQSTIFTSALRLLSKPLLNYNLEQSITTI ETEENQLLNKRIHYHHLIFQSLHTILNRLDKRCYLIEKQEILEFLIISLENNQSFMQYIL KSQNPNLSSGIKYILELFQNIFGYDDELEQDYRIPMLVLVFFHQVLCVNQSRYQSFAKEC RTTYASLLLKLCKEVQFFLNKKCEKLIKFLSNQNAKLYITRETGTAIEQCVIENCILDSI EELVMLEYLFKLLINEMKQESKETTKAFSEIIKSMFQKNFIREWYLILIQFSDHIEFAKF LRRTPVIKSNLVFNYLSNYIYSYGRDFQIDSNTVNYIGGNAIAIQKSNIMASWFTQLLST FNQLSQFVQFFFSIGLTGSAFSDIYLKDLYEEIELGDSIFSPNHGKDIIEGGVVKLFGQE DNQLSETEYAFNAALYFLQKASQSIFVLDTIKIHEELMIPAIEVLNQICWYTQQVVCKYK SVVSNKDTSLMTYEKLNNMSQHQFYFFKRILELKSVCNNEYKGKYRQVLFTCFHSVLLIL QSVKENELQEPQDLVQVFHYFILQIDFNDPNCKELIGQLSSILSVILNVYPANPELLNKP NEGFYNIINLQLEALKNQNNSKIFQSIIQCWIDIITFNISNKIDVRYLKQLTFIADEQIY NSNENGQYNHKHSMWCHVLVLVRELLAYDQTQCRSILEFLSSHEQRMHSAIIQIDQTQQY QSLRQFTDVTGLTLKHIQTYQQSYMLFFELSQITQFMLELILCKNMRLQFKRQLDKIIYT FMGQYSQQLGYHKKLKQFFQSFKPYTLLETKLNQLFAKAESIKSSDYFSIVQSAQMMRQN QTFSPHRTIQRDQTSNFDGKSTQGGGQRFNLKRDVDNISLFQYICLIEYLRFCRFSIQGI AASMNIFEVDRNFQLEDNVWNSFGNNIQNFIQFNFDAFANLSQSEYINNLIKMHQWLLSN YDFQIQYNGLQQLCVYPTNRDELLQMFIQNIIYGFCAELQYLQLREVHRKINFIKDSYNI EMNLTKELSKYAETLKAIQFVKQMEQQDLLNEVLSFGEYPINEANDSYFNRWKTKFDEKN AEYKKI >CAK90218 pep:novel supercontig:GCA_000165425.1:CT868658:241753:243978:-1 gene:GSPATT00023393001 transcript:CAK90218 MNSHRKLTLSEYQTKQRQIHEHRSPKSNKFLTPQKKMKILIPKIHLTCKQFQPKLFSNRS AKSYQNLNLPFTSKMTKLTKLQSLEEEFKQCEIKNYHLTIEQKSPKQEGIEKLRTQLNEQ FLKESSCNRAGACLQFLEPPLVHNLLNLSPKAKVNSNLRASVSSRDQNGNTALHYAARNG NTHLVQALLYKDIQIDTQNEDKMTPLLLSAYYGKFETLQILINLGANINHQDIYGNSSLH YACKFNFKQIVQFLLQKSHLILLQNQESQYPDYYIEDSEIAQIFADYLEQTNCKNIKIRL EKRMKEVIIQTTQTETILKMFQRKNIKSIKNLIQKCKSSNNLEMIQDSYPKIKQLQTTLQ QLEQFKNQLQKKQQTFSTIYSESCKNIKEDDVSLSQFQILGLIGKGSFGQVYLVKRQNQL YAMKVLDKIMVLKQNLFPYAQTERNVLSMTSHPFIVKLRYAFQTTDKLIMMLDYCPGGDL GQLLEEEQRLPEEQVKNYICEIILALEDLHQRDIIYRDLKPENIVIDADGHAILTDFGLS KEGIFHASQGARSFCGSVAYLAPEMLKRKGHGKAVDWYLLGVVMYELLVGVPPYYDNEKD QLFDNIENATLKIPSFISFEGRNLIKLLLKRNPIKRLGSGVGDSLEIKQHPYFQDVDWEK VKNKELELPKPTRKIKIDTQIGQNLFQNEHQNLSSHIHGWSYVHTDF >CAK90219 pep:novel supercontig:GCA_000165425.1:CT868658:244338:245282:1 gene:GSPATT00023394001 transcript:CAK90219 MKVVQNPTQYFFEQSNNPPTEYLPKINEFVSKLLNQKQCILCKNDYNMKDRLPRILIHCG HTICTACLTNFYRNRRVRCPLCLKLIKHLDSVDRLPINHTIFTRMADDINNKSKQHGGTE VIDPQQYLFTQFQQSALQSQKARQQQQNAYPQVDPDSGLEFCEFHNDRVKHFFCMKHKVT CCRVCSEMIHQKKDCIVVDLYEIEDVPQFLKEAYKLNEDNAQQQNQRREDMGFIQDGEGN DGDNQFNDDDLEDEFEGNSVQSI >CAK90220 pep:novel supercontig:GCA_000165425.1:CT868658:245679:246415:1 gene:GSPATT00023395001 transcript:CAK90220 MAHKLSQNWVFWYAPRGRKAIAGSDHYDVNLKEIGEFNTVEEFYTYYCFLGRPSEIEIDN KIMLFRKDHRPMWEECLEGGTWIIHFKKRENELLNKKWEALLLACIGEEFDDDNVIGVVL SIRERRNLLEIWLKDRKESEKLRIGEKLRVALEMDPNNLTFFFKEHSKSLNDKSTMKGAE SYTFVKTPLETPQTEPKGQHPDLDQFKL >CAK90221 pep:novel supercontig:GCA_000165425.1:CT868658:246589:247097:1 gene:GSPATT00023396001 transcript:CAK90221 MQIHSEYTFNFDWETVVKGFWRKYPCKEFDFIKFNQVVDMILDDNNKMQIKRIVYARKFT VWCLTLEQITVDLENRSMEMQTKLLKSCKLYPNLAGDESIIYKAIDDQKTHYSVSYSDLI QKLLSNFQQTFITKLISQFNSSFRKGIEVVEARCRELQNNQ >CAK90222 pep:novel supercontig:GCA_000165425.1:CT868658:247841:250507:1 gene:GSPATT00023397001 transcript:CAK90222 MNIEMCQFCLIIVHLIEEDTIQTFILSTVFLISLIQEQENNYVTEIPFNLLFFFNVIFLM GLLFMKWKSLKSNEAYLEQTFKQKSLECMYMTTTFIVKESINNRDLVSKSNQQQSVSQDI NAIKMECNEDEIVLQNVAWIQNQSALVYNKKLNIVYQNIFLGKLLKQSNLDEQQTQQQSK PDYEELFLDCQILVGSKELMEINSNNNDQSVNSDGSFLLNRVNDYHKCRMKSSLTIRDLS LMMQNEIDKWRPSMVTLFKLRSEVFDFENIQIKAFVNKVNESSYLIFLFEQQPPQRKKED TAQPIINVFSTFVHESVSYINCILTLILLTQHEHENTLKISLKQHYYMPIRMMSMRYILF VNSMRDYIFYIQNQLFLRISAFKVNDLIEELLIVYEDNLKIREVNLTTSIDLSDNNQVIF SDLDRLKQILACLFSYCLKYTSASQLKLDIKSYTVSGIMVSFKDSMIAKDENMRKSITNL VRLLNSTLKMASFYDVDLNNPLELQICVILCWQLSGTFKRGLEFLYDSQGYGTFTFVIES QTNQQRMQSRQDTGPIKILGQRKYYETSLSLLLAQDSSGYREESKYFSLTQLSKQFSMKP GDPFDVQSAYFSQISRIKQDSSNSKQVQNSGSVPKQSKEQSNSFSGTWKQGFPDTIQQTY KKTRNEKSDSQHDSMKTHSNFDFGAELSNPSIHPPELTPKLLSSVIKYRLRLTCCAKVLI VDNDHYSVFSLQKVLEKYNIKCDKAFNGIEAQKLIQNKQNTPCHCGNRSYLLYFIEFYLP ILSGIDLVRSLKEQMRNSLIDKGYVIIIATFVDLNSKLECFKNGADYFITKPFDLIDIGA AVQYLDF >CAK90223 pep:novel supercontig:GCA_000165425.1:CT868658:250522:251778:-1 gene:GSPATT00023398001 transcript:CAK90223 MIQMQDVQESMILNQISSDEEDDLESPLEFQQTQNKQHREQSVVQTLNELSFNRNMDKRM NETAVLQNHADEITQKSMKNAKEAYQREIIKELVSKQKKRFKVDGFNLDLTYITDNIIAM GFPAESFEAIYRNPMPEVQKFLNSRHANNYMVINLCSERKYKHESFYKVAEFPFDDHQAP PFNMMLEFCQKVHEWLTANSNHVVAIHCKAGKGRTGVMVCCYLLFSGKYTSSQDALAYYG LVRTQNKKGVTIPSQIRYVHYFSYGLKSELVKMPPRKIELVSVRLVGVSHGTMIRVQNND SQLIEKASIASEKEILFAFNDIFLQGDVLIQIFHKSIVTESKILQAWFNTNFVSLSPKEQ VFKAEELDMSKKSMKHSTQIYMELLFDQVILTRERSNCLSKGK >CAK90224 pep:novel supercontig:GCA_000165425.1:CT868658:251845:252641:-1 gene:GSPATT00023399001 transcript:CAK90224 MIKQVPQEIGKYQHKPQNQPNAQAKNKQGLKQFKNTTQQQQQTLHKQQALRYFNQQTFLI MELRLVCRSFNKLFLCCAPNLLDYIDQKDFEQQKNQNQNQQRLVFPKLIDVNITTIRENL ESKAMYAYVEKLLKDNLFSVELIFDIITWDLPPWRKAKFTFPLKVKTILEKYDFNLYNLN DQQIQMINQRQNHKFTPNMLYNDDYEDIVQYVKNLMQVAAFEDYKYFCDWYEIEKENEQM QKLRQLLRSNSLQQK >CAK90225 pep:novel supercontig:GCA_000165425.1:CT868658:252821:253603:-1 gene:GSPATT00023400001 transcript:CAK90225 MNQQCEKDEQFDLIIDEKLSSQEQSTSTQSKQSHANLAMLQDNTKNFKLKRTQSTNKLQF KGSLLQLNHIPPQRRGSQSCIEPIQVIEEECHQIGKPNLYNVFWYDENIDNKYEIIVQAT QDILISDFIALVIKEFNLQHDYIHSPFTEDGSLLYELYVPKKKNGKPNEDYPAFADKTQL SKTNLTQFALKVSKLESHYISSFAKQGQHVQESTTKNNDQNTGDEKSFWQKFFFCCNIDI >CAK90226 pep:novel supercontig:GCA_000165425.1:CT868658:253680:254367:1 gene:GSPATT00023401001 transcript:CAK90226 MDFNCRVKCACVINLSTLSKHGILSLVAENPVFINDLQQEAQLLFQQIQNQQDSSQVPSL PVQKIYSQSGAWYCSLKNNIVIALLVTSSYPMPSAMRLLKQIEELLKRQIQSGKYEFQPL EPNVRNLLTQYDRDPFADDKIEITCKSVDSIQGLMEENLRKFQMNQEKLHVIHHKSEDIS QMANQFQKGATAVNNKQNWAAYVAIGIFGALVIGVIIYLFL >CAK90227 pep:novel supercontig:GCA_000165425.1:CT868658:254401:256084:-1 gene:GSPATT00023402001 transcript:CAK90227 MITNTNGVAYNIYHFLWFKDESLLKESFQIRIPDTLIYKNGVPQVIMMQNQVWYFTSKEG EIMTKKSEARKSENLIKHFCQGDKPNGQVIAYYIYPQKYNHSDPTEEKTFPSQSKRAKPL LDCDEKICIYYLTKQMFPDFVNSQNKPPSGILQKFIEPLGNHESLIQAIWSPSVCILSKK QNNRDLYDMSYDPYERCTTFDGGEAYSRVIPLRGKEISQEIRKQCQMIVQKITNLSYGQT NLSRIVFYFKADQNNKVWFLYSSSIRLQGEAQSQIKLYKPLWGNINVKKNNTPIPFNTNF QKPYSIKNMLTVNTMHPVALIKDIECFECGVLCQQKDLYHLTYEYIIKHFDQDVNQHPLV NNIEIIKPKSFLQCEGHLRDIHSHIPPLILKLYPHLTVQLFEQLKVNDAFLIKSVLICES CFLKYSESDPGISGAKLRVSKQLKRIIKQQPSKSILNRRPDLKEFLIETNLELLKFKHAH SISQTKEIQSSIHVTNSFLHSFQNVTQSTNIGKTPSLKQFRNPTEPGYIRLHTAK >CAK90228 pep:novel supercontig:GCA_000165425.1:CT868658:256550:257377:1 gene:GSPATT00023403001 transcript:CAK90228 MLDARLSKIRQKTAIRFIENENESKVGEDHIHIRTDYSDSHNCQNCQKQQLIINELKAQI LENQKQIGILQIEKDIIQKKEEQTIKLLIQEIEKTKLDQKKYSFELQQSLIQFVNDNVLK QSQTPSSQDKSDQQCVLNSQLKQIKEENANYAKLLHQQYDFSININIKFVQLSNSLNTLI NLIQKYTKIITHIQMQSSPQLDLLLPGPLEDHVKQDHQQQLLFIDLHDMTSKIQQIQIGS LTDVQQKIETLIQLVADYFTQAQRIAINDMSRTMI >CAK90229 pep:novel supercontig:GCA_000165425.1:CT868658:257413:259070:-1 gene:GSPATT00023404001 transcript:CAK90229 MNQSTTGTTPHGLTFSGAFTFGESQRLPWVKKDDVTASKLEVEGCKKFDDQLRRTESRIS SKISRRKSNLPQDDKLKPVKAPNPPVGAYNIHNVQVIDEEQLKVLLEKNQTKEQQEKIKQ MYTIQTSMGKRLSGGELDLHQTWAIHKPEVVKEHPIGPGSYNPSLSNQHSQPVFGFGYKQ ETKWAKEGPSPDQYFNSETFSQFKTATSWATRKGKKTGFGTAKKLQLPKSIDVGPGDYRE VSQELAPKYSIPKAERELPSHKVVPSPDTYTPAMNQFKKAFSFGHKYIPILTTDYFDPGP GAHDPKLPHPSTAKEIKMLKENRSQLVAKESQLKPGPGTHDPQLPATRISHSKSQLSMGT GDRYNPNTEFRLSRDRVPSPGKYDINSSLQGPNYSIRLKYEQRNHNATPGPGQYDPDSQL VSDSFTKPFEHYTKAQTAHASLTKGNRSDPVLSKFKNIGPGSYSLPFNTGPRSSLGKAAR FPPKEKEEIGPGSYYITGTIGIIPKYHFDRNRDKMQSSFSQFDKFSR >CAK90230 pep:novel supercontig:GCA_000165425.1:CT868658:259125:260207:-1 gene:GSPATT00023405001 transcript:CAK90230 MSYFNSLKQKCKTSSHAEEEPSQLLIPCMNCQEYIRFNQINQHSFICTQVAQDIDHIDKT YSLLEENHYKLQKIRMCLMEKQNNTLALRLIRIIELVVQISTIGKVEIACCQTYQAEIIQ LKYIPKSSLNLSLYIERIQVLIEQKIQILNDDLEIKQELEYKQSSQNKFKQLMTQESQSN QYSNKLYCLSADQKDNYGESKEHLFEKLNNKIQECNNLKMESQHYNNGLINKNLQKRKSP KWMNAEQVEVLFPRQQHKIMISQQIPMKLNGKSEILTNMSTSDIGFLEEQSRKCESQGQR LFYSKLLKLKLQISKQSQAQKLSAVILWDEVQKLKLSCEEFDKFLKAAIRNPEKYLNNQF >CAK90231 pep:novel supercontig:GCA_000165425.1:CT868658:260249:261238:1 gene:GSPATT00023406001 transcript:CAK90231 MLQFSSQNTLIRMNAIRYVVLLQNIDIILSFLQSFNQFSRMISQFNNNFTSSTIYQSYLI IKRDFLSTSINFLCYSSVIIYCEFIQLLISRKSINNISCLVYIAILHNKINTNFGQMQNC VLHLRIINYKLLQLRFSFVSNLNFFLYLLTQHYFLQLKIYIAINFRYFYISECFFFEQLH FSLISFLFNSIYTKFKLSCLPLQKFWMIQVVCEYHYLVQLINPQTFIIKWSKQTINKGFF KNIFHQMLQPCNILFKYIQQGFKIKNSLSLILLRRLLKILYKFSKRLTLYFVQINVKMCK FLEQQSSLNAYIKQIDKVWNELLFSQYLY >CAK90232 pep:novel supercontig:GCA_000165425.1:CT868658:261368:262091:1 gene:GSPATT00023407001 transcript:CAK90232 MIIFSFLISIAIACNSLSESESINLENGKKQELCFKVNTNLPTIVQLVKYVDEGSKKKKK KGSKEEEEDDENILIYEEVAVGQCKLKQGQRVCYFEKGKDRLEVDLLCTKGPCKFNVIVM QEEVKQLQIGKQSKQKLKLLNIAQNSNYRVVYSIKCNNCVITNADLWYFGEEPTIMQSDT RFIAVYYDGIESETTLILIGEQEFEIERFEYVKQQKLNVQLNND >CAK90233 pep:novel supercontig:GCA_000165425.1:CT868658:262327:266679:1 gene:GSPATT00023408001 transcript:CAK90233 MEQTDLISIEGNDLAMGQKYGIVNYKLKLLDIKDNHFTVSADSSEDISVYVKSCKGSKCE AIEKIKNGESYQSVEQNCENPDKDNYCWFVIAVDSQGIYSLNIDDNNEAKILQENQMMNS EIDEEEKHYFKFNLANSQNYSSVIFRVTSENVQILVSRSSKCQLVNWKCYEYSGTSEQPI SLSDSQLKDGQYSITIKGMELAKYGLIIETHPKDKINIISISKGQIYKSSINSNQKKQCF KYQQTTKGVLTLLIHGPNQKLVSYANIDTDDEIPTKGDYDAITGNSALQLVSDRFIACVE IQVQNNKDIKNETQYNDIKFSLVLYDAQSVINLEFNSAYYGQVAFTEQQRFSFLSYYDED VVYITKNILSSGDVNKDLQVYVGNMLYEDLDQYEYKFFDNEVSTFSLSSEKMKKLCDIDN NDQVTFNHDRITHLCEIFIVVKSISKTPVRYTLTIHKQDLAIQLTDGLQQSYNLQNIEEF MHFYYQPNSKSADVNLFASTFFGKFVLHLNIWKQDKASHKSDWPFIDKEDDSDISSGSTN QIHRTLKSAALDQCWPDCVLLISVQKIEDPQLKMQNFNDQFHIMINQGMQDVIEGQKYEV SIKSKESVYFTFKNLQKFRDDTYLTFSVLQIIGNVYFTISVNGGESFELPNIFGSYDFHS DGSYYQLSKDKLNEKLKEKNISISNAYLIISISGLQSYYNPIEQSIKLEFTISYMNMDYI QIMHSQPNAIHAKYQHPTIVQFYNYLNQDIFIKLHRFLGYGSMSVFICNQQSNIAECVKD SNDAEFKYLDTVLAAGNENSQILIQANDKTKFCIYCTYIIKIESQEQELHGQLTVVLEND FVKLPAGVQYSDYVEKQSSSQFSIFFTQDSKIEIFIQIFTGDPIVQYSFNQNNLNSSQII KAQPNNKYIHITVPSQMLLNYQEDTDQSSLNKKKNNKQDDQIGLLPSHFVHDELFVKVST KSNTPCNYTIYYTSDFVNGKLQDGRIHLAVIEHNITFLYENYDEQISVLNLAPLNQTSLQ DIEMKVFYIKKQSFDDYHFEFLEEIPIKTRLIHPLSSSYELPKDKGIYEIILKNKKYIEN GEATNPTQFIEISASTNDIRILPIQRHHSGYIRPDDFDYYETYAPSEGYLAVEIYSCSSD INFSFSKSDIQSFIDEDYDESIDIPEKDYHVEMISVEKGTLWIAITGTSTTSSYYHITNH FYQNRKDIPFGRILAGNDGHIKWKLDKQKADSIQIEFEHATCNKCNLEGVQINYHIFIAQ DEKKLQMLGYCGNEQFESHKANDEKIFKKSIQSYRLADHNGSIVYEIEFPNLVTYEQASI GVFATVDNFMNSTSQIKVFYRTIQVPIPNYFAKFLNHNRTFLTFLIIGLIFLIALLTLWL CYYIKRYRNTKYKLDYQLEQGAKIIASSKLPQSDDAEFQNDFKMKYETFEED >CAK90234 pep:novel supercontig:GCA_000165425.1:CT868658:266703:267837:1 gene:GSPATT00023409001 transcript:CAK90234 MILQFKCERLHYLLNTHYVVNVYKDKLTIGKNDPPKYEYQFTLQNVLHWYVDGLKIQAFG IQHHNAIKFFRANHKDLEQLRIFCRNLICFDNLGELYKRIDVDDSSKVLDQFSHQTYTLK CLNSVQLQGEVSLLRSLNHPNILSIRECFQHNNQHYAVTEFLGGISLENYIAKNPTLSHL QCQSIITQLLKALKYLHDHHIIHATIQAKFLLYKSDLIKIIDFSNSIQSQQIDTQDIKNC GSILFQLYTSKLYHNDDFNTVATMLQANSTPNQAQDLILRMLFDQQFTVYQSLEHPYFSK NLNSQEKKQRFQNFQRVQRSTSEMDESEAVSQYIPELQTNKSKSLRQLL >CAK90235 pep:novel supercontig:GCA_000165425.1:CT868658:268270:270219:1 gene:GSPATT00023410001 transcript:CAK90235 MAFVYQAERDLNQSATQVPANVGPGTYTLLKSQPLRQSVAPFNSQTLRSKPIKQNSLPGP GSYNVNFVNAGQKVVLQSTQSEVQILEMPKQHSVFASKCRRFQEGSKNENPGPGSYEPEV IQQQRYQMATQENLVEQLMKLNKYKSIPSIPSNSQIHGYTDNGSLELNKSPQDILTGLKN DSVGPGQYDLKDLFDQNKNKGFNWHKSKQPKLAPVVSKEKQLLVGPGNYDIQEDNQPLYK LMPSGSFQSKTQRLFDMERGQKARSFMRYQFEKKRNQLLSSPQFADLQDEEIEYIEDATP GPGYYHKDSTTASTYSKSATKIETQCFGSKQKRFGDEQVSTSIGPGDYKVDAQLANKSLS YKQPPFMSSNSRFEAKLQEKRPGPQTYNPKQTLEYNLVKKLERAPVGKFGYNQPRFDDNQ FQVPQPGPGSYDFSRKSPEKGAQSVFKSSTKRAGPGSQSKSEIPAPGQYDPKNYTIQYKT KIEEEEDPALKIQKPPFMSSMPRFQNKEEKKIEELEEEEESIRKSPQKESYKLPFQVKKH APPFNIQEKRFQYENSKNQSPGPGEYHDPQRNPWDKKTYNIQFSEI >CAK90236 pep:novel supercontig:GCA_000165425.1:CT868658:270242:271340:-1 gene:GSPATT00023411001 transcript:CAK90236 MANKYNVQRFLEQKYAHSQSYYYAKTLNDFVSSARTSDVIEFYQVMAFRDDSEYLKRFYC LSEVFEKLRLFAEYYKYHNEIPRFFMPYICRIMSNYHDKRRRIEYYRIKRIIEEENRNNP NKPKKAIVGDSPKEDNPTSPKPQQVYSKILSGIQDASTTIETINNKLNALQINVGELNLQ PSNREHEQLQNFIQFMIDKQKLKTVAQHTQLNSPKTYNKLPIGVSQQTIKQIISRTTKNQ QTFHLSPKTGQEAQSQVGAYTQRILKDPLKKMLTPAQIYTINSPLNHNNTAFQLKSKITS SRSKQQSLSISKQFKIGDALHLPLRMHQHTRSDVRFYRK >CAK90237 pep:novel supercontig:GCA_000165425.1:CT868658:271906:272736:1 gene:GSPATT00023412001 transcript:CAK90237 MISSNQTKQLLDVIEKNEDPSAFADALGLLEKLITNIINNPNEDKFKHIKMTVKTLATRL FNIRQMAELLQSLGFIQQDQEFYLPDQEYGTLLENFNTIKWQHILAQGRVEGPQQYQKAQ EIVRQQQEVQRQYEKEQREKEKIQQQIKYDRQERSLVKEKDSKANDLQFGAKVKTCETLE NVGEVERGEGLNTFASQYNDKENNQSAKRCKKLLLK >CAK90238 pep:novel supercontig:GCA_000165425.1:CT868658:272748:273779:-1 gene:GSPATT00023413001 transcript:CAK90238 MSVKNLQIIPYNLIKIKMMKKITKQSDKFNSQLKSQESEYDDIFQDQKTEQQHQPVIQKE SQRKTNIRIVFTRKPTQQQQPQTIPQQRISVAKRSWHKELDNLINPSEMKNGKFSQEERE SIMQIVRDYQVQNNLTDQQLKDYIELKTLGHSKIWLEITKFIPTRTVDSVYKLIRRSLDS KNRHGYWNKEEEAELLKCIQQYGRKWREISKHLNRTPDNIRNKYIQIGEHNHLFRNKSFW TIEEFLTLMNNIHALSGYPILLPNYDQILQQRFGEDFQNVKFRLNYRKMQADDKEIFELL KSIVNIPSNIGVTIKWTEISAEIKTKAKDDLRQMWYKLNGMLL >CAK90239 pep:novel supercontig:GCA_000165425.1:CT868658:273814:275838:1 gene:GSPATT00023414001 transcript:CAK90239 MHPTTQLLQEARDRVTFPVQDLTKLIYGNEEIYETFMKAQKVIASEPSIRNHPDYHNWGR KEQIIKSYEKLRVMHQHLNLANAAHWAPMLSLFQGTTPSAVSFGMTVPALRFLGTDEQFN LWGPKFLTMEIVAAYAQTEIGHGSDVQNLETTATYDSQTNDFVLHTPSVSAVKFWPGELG FLSNYALVYAKLIFNGKNKGVHPFMVQIRDNATHKPLQGVVVGDIGPKLGYSTKDNGFLA FENYRIPLNSLLARYVRIENGQFSKHGNEKISYASMMVSRQLIIFVYPRMAAQSLTVAIR YSITRQQFTNDKREENSVLEYQTQQDKLLPRLATCYGMIFAGLRIMELVDDNFHRVQKKD FSTLQQSHAILSALKAWSSQWVVDTAEWCRLSCGGHGYAHYSGIPAIYFDTAPNVTLEGE NQVMYLQVARYLLQEKVPFYFNFVLHIKETLANQSTDFGQLLKLTLTLQLISVAKKIKDT IGTGKNFQQIWNEVVGVQLLSVAQRYAEYFTYLVFQEYISSANPSVKEVLQQMCDLYSLQ VILDNPNTLIESGRITVDQFKQFNEIKIDLFNKLKPQALGLVEAFNFTDNALRTCIGCHD GKPYEYIYEWATKENSVNIKGVPQAVNDLMGTKIKARL >CAK90240 pep:novel supercontig:GCA_000165425.1:CT868658:275857:276283:1 gene:GSPATT00023415001 transcript:CAK90240 MRKNIQIIARQFTSTKPELFKAQKLDVKTFEYEPFKFGIERQSMIHGYTMEEMYGRFYGI KHSPLIQKELKKDNLIALAILLGGSVITFYYNEWGAQDQANWLQYYYSDLTTKRNNLK >CAK90241 pep:novel supercontig:GCA_000165425.1:CT868658:276328:278884:-1 gene:GSPATT00023416001 transcript:CAK90241 MDQQRSSLCVSLHNVEFAKDYHYFITVQLNVDGEKKRTDVSAQVAAPVFAASTFIIPLSN FKLDINDYLHFEAYVVTDREQGRGPDLDNQGQARLLGECILKLGDFTGPLTDISGTGVRQ HLKFVRRNDKQVTVGRFIVNLKLVGEQIIPINDEKPLESDEIFQPLPASDPFMSFTWRLR VDIRACMDMPLHRDSQSGLPRGFVKLGWSQYDNQPPSEHHMHLTKIRDQNRHPIWNQQFL IPNPQTVTTLDGYLYLSLVDDIGQREIESVYFPISQMRPFQPMNFELQFKYAEYEARPRL YMSITLEMADKQRFLDELIDIIVKMVHYDPLPYASRTNLIMTLNQTKIKEVPYSVIDLKG APTLAQALSNAQSDVFISSIMKIPPHKADKVYNAVAVFTLPKSQLESGIAFYLATRDDTI QSMHSMPNGIVGYSEIIDDWLRKLYYSKEKKQVFFPITWSDESKQRPLFMNSRCQVELTC MEVTENSAISRVSSSKKSQRLIPRTPDMKALAENVSGADRSKWDILSKELSQKQEMIHRL MKEVDDKTESLKITGTEIVDLRRQVKLLQSENSILRKRLAHEESLEIQSIITKEIAVMSM EELRQKIIKVAQAYRNERVRNEEFEKALKTAQKDIASARQLEVELESLQRVHQENAKKML VMQQEIQKVGVYKEAVKKQETVISKLEKLMETSLKDAQKARQAQLEVEQLKSENLNLQKQ LKGIVYGEDIGELERYKQECQRLEKIVANMKEELRNKRPVSNSGADWEQEKMELEVKLHK ANARIEALQDEMTYNAKNYAKEIAQLKLIIAEKQALLDSLTMGGS >CAK90242 pep:novel supercontig:GCA_000165425.1:CT868658:279474:281003:1 gene:GSPATT00023417001 transcript:CAK90242 MKSKFPSATIFIQKVSDFWSDQQLQLRECDFKEDYFLFYSHNKKSYKNKVLKRHGPIIKK VKKNVNGSELWCDVTNATIEILQIQHVGQGLRITKNSYCLEFFGYIDGWFKELRKFCIQI HIKNLFIMSNKLGSGSFADVYRVIRKSDKKEFAVKIYDKQSSKFDSECIIKELEIMRKMD HPNVISVLETFESQKSVFIVTELLISGNLDDILSKTVLSEDDAIKCIFKIIDALTYIHSQ GVIHRDIKPENILFRKPNLEELVISDFGLAEYHNNEGQYKYHRCGTAGNMAPEILKDQSY DYKADCYSAGIILYQMLTQMRSPFLVEDYQETIQRNEQGQIDFSVVQSSPAVVNLVKWML ELDPDKRCSVQQARSNIIFKKFNRQTIIIKRKRFYQDPTVSCQSSRSTSIYQSPMNQPSP PLPIGPSYKYLGSQNLPLRLRSQDRKLLPSPNQRNSGANSAKLQLKQKSTNFKINPIINK TRVYSSIGSNKAI >CAK90243 pep:novel supercontig:GCA_000165425.1:CT868658:281168:281511:-1 gene:GSPATT00023418001 transcript:CAK90243 MKYLQFILILGLTLALTTTEQSGILDPNDMMFQQEDSQSTINVREQQIETKNQQWVAQEF IQDNNSNEVMTEAELEHALITKDDLNNIPDIQMGTQNALFLQKRKN >CAK90244 pep:novel supercontig:GCA_000165425.1:CT868658:282013:282222:-1 gene:GSPATT00023419001 transcript:CAK90244 MCSSQNQEKKVLNKKIIRFLATPSHQTIIALNLSDNCESLNEEIEYQRRRKIKPFLIIRF LEQKTEEPF >CAK90245 pep:novel supercontig:GCA_000165425.1:CT868658:283461:284866:-1 gene:GSPATT00023420001 transcript:CAK90245 MGQVLASDYVELYAIQENPNNQSKHSVHDKSKIDHSQVSHVAPPIQPKPKPNQTKSANID QIKGLRIYLSQEITNEFPDLDPVSYQRHEIADGEYYGRFQNSNREGDGVLALKKKPIQKG QNDQDQLYKFFGTYRNGQAAGNGYAFFHYDYSLWGEVQKNEFKGKAILKTKDNQTYQGIW DERKLKYGIIQTQLYKYVGQFKDGLREGLGECHYSDGTIIKGNWKQDNLDQLCHIQFPDN TFFSGHFFEGMKHGFGLLQQQNQTYFGEFDCNVKHGFGLLIQPEDIQMNQSQHKQKHTEA LYFQGVQKGIYCVYTEKENKQWFQIKDNNEIEKLEHVTEKRGLAELLSPIPEHNQPPVKS LEAQKADLEKAIKEMMGKVNEIQNNSNQFMSLEKQMDSQTIKLGQLATYQDWIDQNKQ >CAK90246 pep:novel supercontig:GCA_000165425.1:CT868658:284972:285982:1 gene:GSPATT00023421001 transcript:CAK90246 MNLDSVLKPILEEEGGTTETLYKKKQLQKQEWNKYFDTMVIVNVQLSKLMQGTPVYSIMK HEPIVLLIHGAGHCAMTFALLCQQLKTFCSCISYDLMQHGQSLKTEPLEMDNLIKECEDV IDYIRLNNPNTNILLLGHSLGAAIACKLQPKSFIRGLIVIDMIESRAIESIQLMEKELRK RPSQFYSYSSAISYHLSNNLIKNPQSAQITVPHYLNDNLEWKVDLIQTKKYWQEWFKGIQ DGFDNFQHSKLLFVAESNRLCSIQFKQQRYKIHLFDQSGHSMHEDEPERMAKLISDFILQ EKIPINSDETEKLNSLGQQNFETKALSYEKQLKHVI >CAK90247 pep:novel supercontig:GCA_000165425.1:CT868658:286539:288250:1 gene:GSPATT00023422001 transcript:CAK90247 MKSDKDKIDQIINQFYGSKVIEELPEEERQFELVIQQPDQTLIQKMLDDSLQKRQEFVQS GECKEYSQMLIISQIRMDFTNDDPFLKHLINVEKAIKKAIRNILLQLQKLESKQQFKDAC DKLIKIFYQDINIGFITSTGQIKSTAYAFISKSIIELINRKKINLQFKKIPLKITLTFFA IDSLQQINPVHKQYDPRTAFIRNNKFFNDYLILSQTNQDELRSGVSIFFVYRPEDDQIYA LKRSKLQATHLDFFSVFSTTASNTPITPDIRKIREAKILSKLTHPNILRLFAWWIESTND GYYLYMQLEYCSFPGYKYQPTDLLTFSYYYLNVMQNQEKINKIKSILNQILDGLEYIHQR GIIHRDLKPENIFVTINVKGDLQVALADFDQGKDVREEKLSIITDERLPEEELNSIKSQN TITTGTIGYQTANYNKDSHYEMADEFYAIGIILLHLVIAFPGEPKNRNLYAKTFVMANSI KDVLSLFDSWANKFVKNKGPDFSFTHYQNVMELAKLLISSRKLTHADVRKMINEL >CAK90248 pep:novel supercontig:GCA_000165425.1:CT868658:288289:288836:1 gene:GSPATT00023423001 transcript:CAK90248 MITTLGIPFTDFQEQAKNLPILVNFESKCFLIDHKYVLPFLRSSPQIKDLFLNSIQPLNL IKSKYKSRPNQYTILISIFLNSISHYKIANVNHPMISLASDYLPIHEYIQDEAEQQNFIK DSKINPATGIAMITMHNCLLSEFIHFVSEGKTFLLTLISYYFSKLHIYIKKSEYQE >CAK90249 pep:novel supercontig:GCA_000165425.1:CT868658:289406:289803:1 gene:GSPATT00023424001 transcript:CAK90249 MKQDKQVNYFENYTNQFIFQQFVYINKNNIKIRKNSLNFWQQRLNNSSQTQANLLLKNKQ RTLVDRVVIRSTKYWKQIADEFSAQSSTNKSVQNLSSQSHNQQISD >CAK90250 pep:novel supercontig:GCA_000165425.1:CT868658:290370:292949:-1 gene:GSPATT00023425001 transcript:CAK90250 MEGKLCHYLEIFKSPQSKFFTVKYKSKAQADSSLNNKHIFIQRKFDKLIDRAMEKSKSVG IFLNCVDEKSFIAFGILQSQSIQFEMLTEDQKINLSNLKGNYESFFKVDWTLKGVLSYEK TQKIKNVLNDLLPVNQGKEVEELSGSNAEEVLTYRNLKLYSLLQKQKPYKPQPLQDIKLP LQFNQLTQQKYPTHSDESKLRKKGNSRSRSRDKEKSYDKRKSSKDPSDDRGKQLEKRKHE QNERKDTYRDSQDRHNKSRRSRDRRSRDRSKRSQDKKSEDRRSRDRKNRNDQNKWQQHKE FVDDKQVQTEVGFKDKDRLEKEKQQREQRERERAKGQREQRDRELLKMKNNINPKQTTDW DRDDRIEDEQEPIKLKDKKEKIKDKDNEKDREKEKQREKDKEREKEKEKEKEREKEKERE REREKEKEREREREKEKEREREREKEKEREKEREREKEKQKEREREKERERLKEKEKERE REREREKEKEKEKEREREKEREKEREREKEKEREKEKEKEKEKERQREKEKEIEREKEKE REKERQRQKEKDKYREKDQDRQNDKDRQNDKEKYRSNRYEKKDERYQQKDKNVHHYEDKR RKRSRDRSREKPNYSNDRRDREKRNDKDRKHKTINQDFKTQFKRKSSSSSQSQKNRQVEI KITQNPNSKNIKHQEDVKPRRQYQYENEFYSQSVSLSPHKEQIETQEPVKIVENIQILES VPVQIVPPQQIQIPPVNNQPQVQPVIQQQIENVIEKKEETPRIVIQENAKRVLVLSQDKP QPSLDTNFQAQTGSMKIIKSASGNTNQPNIIIQKPIISDTKIGQIHQSGQEKIKDRIIIK NK >CAK90251 pep:novel supercontig:GCA_000165425.1:CT868658:292995:294360:-1 gene:GSPATT00023426001 transcript:CAK90251 MFETKNGKSFPMLIHGLLRKNQKLNFEIISLKDRISNNPLSVQHLSKIMFHITNLLRECN GRNPNFLKSLSLDQIYVNKNIEDDVNTFIFEEDQEGDKKKQSLDVILFQLFHVLGFDIMQ ETFSKPNYFDLIINYLYDHIFEERQQDSQTKINEKHILERIFNVNIIKIMYDQPFSRVYL IETPYYINSQRNKIVVKWIKYISEYDMIQYLHREIRLLERVHDLENCTKLFCYTNVLPQQ FIFMKYYDITLDDLNNEIGKNQVNVIDLIHLIKQMLLALKGLHDRQIIHRDLKPQNIMFE YLNDNHSIQNMRCVLIDLDRSDNNQIVKSVREFQSDYIGTPEYQPPEGTQDHHYEESYDI WQLGYIIQCILLRDKNKLYSKQKDRPIEEQVYENIFKSDWEKVKLRFPKFYEVVKQMMDY DPQKRPALVEIEEEINKIN >CAK90252 pep:novel supercontig:GCA_000165425.1:CT868658:294634:295764:-1 gene:GSPATT00023427001 transcript:CAK90252 MQTPDLKKKKPQVAKISLQSEQAQPVLNLSENGSLILKEFRLNGQGLKVTHTHDQWDFSL SESTHNLSLENLVTVGQLGQGASGQVEKVQDQVTGQYFAMKKIPVASDPQYLKQLSDELK LALECSSPYVVKCYGAFYKSGTLHIILEYMDVGSIDSLIKKVKNLNEPVMALLLYQILLG IDYLHNKKKIIHRDIKPQNILVNKKGEIKITDFGISGTIETMQQRKTYVGTAVYMSPERL NGEMYGRDSDIWSIGILTAECLMGKHPIQKTQFIDMVNEISSFNIESVQAKISAEMKNFI SMCVKLKPEERATVDQLLNHKIILRTKKIDKMVFLQWLNQVTQL >CAK90253 pep:novel supercontig:GCA_000165425.1:CT868658:296183:296935:-1 gene:GSPATT00023428001 transcript:CAK90253 MVVAICSKGLSFLKDSCKDILQAFERYKDKITIVINLLDFFDGDEKENMKNNIIQYFQII GIQSFIFALKQDSGERLCKLFDQEIKYSQPQKIKFTETEMLALIKCDDLEQFEEPLVAQI YQSSDTFWQLREMTINNQNQDKFLMVLKQLIKNVIEEFQNKFNKLDILHKKEDQQAILYQ HCKFILIDYLNCKIAHQKEHKIELQNFIENELRPFDKKFEQGLKICIQKIRDEAKKKKIN YL >CAK90254 pep:novel supercontig:GCA_000165425.1:CT868658:298200:299692:1 gene:GSPATT00023429001 transcript:CAK90254 MNQKYCFKSYFSQQCTQFWDNTKIDKTQKYIMEDLYYIQTPFETIPCNLRLVKKNLIMEQ DDRQYSTNVENAGLELITSDFNNDQGVRITKGIYTFEFFGKIKSIFDQLKKTCIQFNFTQ RYSIKKMIAKGTFATIYLAECRFTQNEYAVKCFEKRHISNEKDRKNLTKEMQILRLMNHN QLLTIYEVFENSSYIYFVQELLKGGDLHDYLERSEKLSELKVSQIIYNLLNGIQYMHSQG VLHRDIKPENLILRQKNNLNDIVIGDFGLADFYKFDGNYLYRRCGTPGYVAPEILRGQPY DYKVDIYSIGILLYILLSGKRPFVGKNNQQTVKLNEAGDINYDDIDCSSEALSLMKKMLE TQPENRISIISAKQHPFFMNAQKRDTQKSKVTLKLDVKCVQQQEHFSVSPILTSRPLNRH SMINNFTIHTPRQLPRLSHDVKTIIKTEQNEVHRSTLSRQIQSRANLRLNNQFNNLNIFV >CAK90255 pep:novel supercontig:GCA_000165425.1:CT868658:299947:301236:-1 gene:GSPATT00023430001 transcript:CAK90255 MDYNRNDTITQELKAKFNLNVQIKDPQSRLFLKGYFKSDSEIHSSRFDKDDIMLALGCSN GSVCVYDLTRSEKTAFYTYLSSKSNMKLPCTALRWFSSEKSTKMRQVLISANTDGTIMYK NVKTDSTIYEFKEEDDNEVYCIDALKNQLATCGKDCKVRVYDIEAQTLQATLEAIQWVQP GHNNKLFSVKIVPYEKNLVISGGWDQNLVIWDLRQKCQAGCIVGPKIAGDTIDIREGTIL TGANRMSEQLQIWDLGTQKLIENIKWDEKVDSAGAFIYGSQFGKGKGYCVGGVAHGTNEL KMFDRIKGSYKEAMQLGGFNKGLYTMDFANTSNKCVIGGGDGICLMLAIVPNKDQ >CAK90256 pep:novel supercontig:GCA_000165425.1:CT868658:301248:302584:1 gene:GSPATT00023431001 transcript:CAK90256 MNFFIISTLALISYLTLDIIFDNYLWTRNIDFTIFLQNNTFPGEELMFQFFSYVIQLPII LGGLQFMLSSKKVESILYIFLCVFGFTSNGLLKNAYHQPRPYWVEDEIIGIGCNMEFGKP SGHAQTAVIIYFSYLFIFYPFTFRLNNFQVLDSEDRNAEEEPQLSNRLVIILKVFAFLCI IMTGLSRVFLGVHSIGQVALGWMFGVYIVFNYQIYCHQFLLHYIKNQLQIKFDDDVKFQR ISVTISAIFILIIAIDVTLLELNLKLFNQNEKEVDKWLLKITQCKQAEVGYFTKDHTNVL YNACFLHGTLFSFIFSFILGCFFSQGSFNSIEYYNQMEQKTVNFKICRLLFFMPMLLPLP LLLIQTYNVYLTAFLIVLFHIIQFIPVICIESWYITIMYPILLKKCGYQVKGEFLEQNLG FYEQLSQS >CAK90257 pep:novel supercontig:GCA_000165425.1:CT868658:302693:303564:1 gene:GSPATT00023432001 transcript:CAK90257 MHSKHLLIQASIIYYQIYLKRVQSKQQKQIYISDQSIQQYNQIGNTSDLIEKSYKIIQAI DLFVLIRILKLNQKLKPIANQKVEVSLYQRMSQTKIPSKSSLKYPQECIDASVKKTQTLS CYTFFESQQIKINQQQILSQDIIDLIIYFEQRRQCLEVEMLPTSSFLKNCQFMHYRIMLN NQRTIIRIYSILGKNLLFQQKVHPLISFIKYCTQQFQQIQKWYKQFSIKQQNSSIYGRLG LLQILNDRMDIGYYCSLLEVRFDLQFQKIVLLFQNEESIQI >CAK90258 pep:novel supercontig:GCA_000165425.1:CT868658:303642:304327:-1 gene:GSPATT00023433001 transcript:CAK90258 MNLRPQDHSEGNQAQYNKKYAIHYQKPGEEELKQIVLELPSIFTVGDCIDFSIEQINIQD PCIYIKSKSLLAFKFQGSADRFKLKVAKKNGKPKDDLPALDNEQQLIDTGAIVFALVLNQ NQQQLEKQITFAPTNQSNQTQQSQPNQQDSSIKQRTSYSNSLSNNKKNKNGGNDQKNDAN GFCFFKQCA >CAK90259 pep:novel supercontig:GCA_000165425.1:CT868658:304478:305542:1 gene:GSPATT00023434001 transcript:CAK90259 MGLRQLDFFRKLNTDIGDTSSSLGGFLTMIAFALVTIFTMNECRLFFSTELNYQTVIDND TEQFIKVYLDAIVGAPCMVLSLDQQDEVGVHVMDVSGNLKKIALDKERHVLPTIDNNERP NYRGSDQELVDAIEAINQGEQCQFKGFFSVNKVPGNFHISYHAHHHLIQRIHQRDLSTYR KLKLDHTIYELRFGDNSSSFKMKKYPKSLQKFQSSWNSIAKTAPEGEKQDYEYYINALPV RFYDDKERNYQTLYKYSINEAQMTRSFTEIDSIYFKYQISPVNMVYSIQKKSVYHFIVQL LAIVGGVFAVIGIVNSIIQKAF >CAK90260 pep:novel supercontig:GCA_000165425.1:CT868658:305568:305774:-1 gene:GSPATT00023435001 transcript:CAK90260 MQPIPVMIMKGGSVQDIVKSKNSGKSRKFTKEVTKKYQTTDFENAVAEMIQNNYRPPKPN QLQEMYNE >CAK90261 pep:novel supercontig:GCA_000165425.1:CT868658:305989:307051:-1 gene:GSPATT00023436001 transcript:CAK90261 MESSFKMDCCRHKLLGNKLCILEVASDKIIITNISDLPHKRNELEINYNLFIDFKVKNKQ LQAIGLITNKHHWYYADNQNLINLKQILGARVVFKGVNTLYNPLQQIGQGTFSNVYLLQS KLNSLDYFACKCLDKTQVDAQIGRQGLFEEIQAMVSLKHQNIAELLEVFEGDVSYYMVMQ YYDLEFTDVLKELNLEDIPIIFRQLVAAVNFMHEKGYMHRDLKPENIMFSESIYQLKLID FGLTTKDLGKSKCGTPGYIAPEILNLDTRINEYNEKCDIFSLGVIFYKMLTQNDLFQGSN HDEVLEHNAKCNTNFDLLIANQPKISFKPIEIDATN >CAK90262 pep:novel supercontig:GCA_000165425.1:CT868658:307188:307821:-1 gene:GSPATT00023437001 transcript:CAK90262 MTHHRPPVPKFNDECLTPKMEISLLEEVQHLIFKMNLDFEIEKKATKIIQTTPLQNTLTS AKGVIFYCLKESNRRLPPVEKKVEYVIKYIEQSQNLNFSHVCQKMGFGDQVSRVCETLKN QLVYLIGKLSINLQIAITIKIAADIIFYKQGGLNTKFLAFHTKVNEEQLKCSLNRIKPFS EKIMGDLFNHYNDNPL >CAK90263 pep:novel supercontig:GCA_000165425.1:CT868658:308237:310397:-1 gene:GSPATT00023438001 transcript:CAK90263 MSKKEGDSLDALCFPNAEDYQNDFDTANQVLLGSGSYGQVYKMTFKSNPSQCCAVKIVQC IKQCSLLYSSKKSYDQALKEVQMNRQINIHPNIIYFDKIYAWSETIPVQKYFLVFQMKLA KGSLKDLKDDEIKATRKTFNETSFLNIVNQLLQAFLFLQQKELYHRDIKPENILYDKENE KIIARIADFGVSKALEHFKTNFKNTLVGTPLYLSPKLWEAYINGQYNDVKHNLEKSDVFS LGVTLIQTYLLLQDQDIAGLNDQKQNKIPNLLQQIYHDKIKELLTGMLNYDEKSRFTWQQ AINCLAGIDKMNGSLEQNQGGSLQNREKHYALHIPYEKTIMNKSQSIKLRKINQIYCLEL NEITKGQIADDQGIIVFSENYLIHINFEGQIVHKTQIQNLKSVCLTEQPKKIIGLFENNT IQVLDDEMCQPFSLPSASQQINVICQMKEANILIGLGNGEVQVVQFDKTFKVLNTLKDHT AQINLIYFDKLNQLLITSDCSRILSVRFLFNKKFSYLKLDYVAQHVELLNDTQIVVNGQK LNEILILNISHQQKEQGMKLEIKQTLSLQTGCVLSLINLSEKCLFVSTEKEIIIYDLQSI QQDSGHLFNNEMQQTFYYCNWIRNNGYLITNDGQRIMKWEIDYQKNQLFGTNRIVKTQTK KCCCFESCSIM >CAK90264 pep:novel supercontig:GCA_000165425.1:CT868658:310428:311483:1 gene:GSPATT00023439001 transcript:CAK90264 MNAKVRIGIMSTAEIAHKVCQAINCSDHAEVYCVASRSLEKARQWADAHNIKIAYGSYDE LLEDPNVDGVYIPLPTSLKKEWAIKAANKKKHVLVEKPLPGADSSKCLEEIIKSCEENGV QFLDGTMWLHSLRTQVVKQKKQELGKVSKVIAAFTFKAPNEEWLHGGNGRTNKNQEPQGC LGDQGWYPVGAILFAFDYELPKFVQCLQYKLNKVDTIIEYSGFIEFSDQRYAYFDCGATS AHRSYVDIICENGQIRIDDLVGGFGRTGNFNAYFENFVGSERFFVDDVQGKEEVVKVEAS NHTVKMIDTFANIIQSKKTDDSWHHRSLTTHRVLAALFRATQKVGEKFQIL >CAK90265 pep:novel supercontig:GCA_000165425.1:CT868658:311515:312605:-1 gene:GSPATT00023440001 transcript:CAK90265 MNITFIQTYNYQFQQLIIKFLMISQTISKILNTNNLNLAESAVQLEKAGIQNVFNYLSKS DITKMVFPEQVIKLLCVFHFMIQKNTLDVQVFQECNIYWITNVLNNSFIMERLSTEMSSS STFARSSQFSSLRSSQQSADVNHPHFQTESKQQRLHSKRMDCFQSYSEKQQTERHIIREP LSYQSMLQLYYTYLKRVCHYQQSGIPIIELYVIQNICSMGLRIIEGQKCPKLDFCFQLIL KDLEQFQSQQIDYLKELIIDLRSQNNEEMFDLFEIYKLLCLNGKQIKFFGRLNKLTINQQ KLKNFKKECKEFIIDCKQHLRKVKLQQNQDRLSVSEFKTDNFFEYERKQVYTNY >CAK90266 pep:novel supercontig:GCA_000165425.1:CT868658:312897:313433:1 gene:GSPATT00023441001 transcript:CAK90266 MNSFGETGKNHNNYNSRDFLIHQQKLEEIKRSKSAIGCNRTKSIKLPKSTNIKDEMKIAE IQHKNQLLASNLARIHSRPYQLPQKSQNFQVSMKSSQSKEKLKEHTFKLLDENINLCKRI LDQQSQIDFKQKLQEYKQHKKIKIRLLKVKRQFEQQEKLAVDQRFKSKSFSQVQINKI >CAK90267 pep:novel supercontig:GCA_000165425.1:CT868658:313476:316071:-1 gene:GSPATT00023442001 transcript:CAK90267 MHQFENETNKFLEELKNGSSNILVAIRVRPLNLKERGVSEFETIRILDGKMIVLMDPESE REDELLRKNRLKETNFAFDFVFDQWAPQQKIYENTTEFLLEGVLEGFNTTVFCYGATGSG KTFTMIGTQQDVGLMPRALQSLFNFSQSDRFKDTQFKVSYVEIYNENIRDLLTSEDKNLE IREDKNNGIQIAGVIEIEVKTVTEVLSLLKVGNRNRSKEATDANKESSRSHAILQVQVEC KDKASGLQEQIIQSKFSLVDLAGSERAANTNNRGQRMIEGANINKSLLVLGNCIQSLSEA NEKGIKNPFIPFRNSKLTRLLKDSLGGNCRTVMISNVTPAVSSFEETYNTLVYANRAKNI KTVANRNVLVAQNHISNYALLIQNLRQENEELKQLIQQQQLNSITPQTRLPSLNQKTIPV PPIMTLKQQVNDLESMINQNISDIIEAKNNLYEIEQQQNHFQQNIGFLQYQKGRSLDKFD QIKIMEKIDNAKTQKAILKQSEDDMKQQLLEYDIKKVDIQKQIQQIPDLSQKTYLQGILK QGELKIENVELQIYEKRRRYQESIQDEQVRQLRTQIHQQQQTKHVQSAKSKSSQQNGPKK GNSQLQFIVPSLPGVDSPYYLITGGQTYAIQSKHQKKKSHLKLPPVLQMTQLQKSPKTQN TSLNSKNINLIGNPLKYRMAQRYTTRLNRPPSYRPPSSSRKSAIGKYVNRSLDLESGRES VNKSSGDLQNSVSLRKLKQLHQEYQQQRFERVMSGKKNQKSMPYFGNKILLPGMVHKSPY VKNFQNNQEPIEVKKERLKMLNINLKAQYGDKFSLQN >CAK90268 pep:novel supercontig:GCA_000165425.1:CT868658:316187:317517:1 gene:GSPATT00023443001 transcript:CAK90268 MGNCQQCTNFGLSNQTPELRTKQTKPKQKLNFTNKFTHQNIKGLIKIQAAFKGYLARKQY FNENLFIRQFSLLSTQQKQSSPIHYHDIQEYDASDDSPNQPQYLIMRKSMIQEYLLEEEE VNKKFVEAIQQCQSNCNKDITDNQSQISESSPPSSLIKQFSFDMSALCFIPKSKNDQLLT LTRSQIKLPIILMINGAYYEGQWNNGKANGFGKYTMIDNSSYVGEWFNNKAHGFGTFQLL DGETFRGHWIENVVEGQGKYTFADGTYYEGEWKNDLPNGIGIQTYSNGWSYEGSFLNGIK NGQGILRFPDGSIYEGTFEGDVPQGVGALKFHDGRNYTGDWKNGVKHGKGIFKWPDGSKY DGYYINDEREGYGILYWPNGQKYLGLWKQGLFHGNGQIMKPNGTLIRGKWIKGKRIQSKL NTNASGKTKTSQID >CAK90269 pep:novel supercontig:GCA_000165425.1:CT868658:317822:319026:-1 gene:GSPATT00023444001 transcript:CAK90269 MDNENQLQKYTTFSQSKTQRSTSFNQNSQKKEIAKQLKPQAMLKKLEEILSQRQSKFNQE QLKKKKGVQCKVDYTERIQGKKSVQKLLEDGKCKTDLQNFVTEKKERKSAYPNIKSLQPS AQNLFDIFSHDCNSRNPTSTNRSRKCEISPKKGRANSIIEGQNGSERDKLTLIQLNQHLQ MQNHHLNEQLRFEQSQSTKLSLQIISMNDQITVLTKYSIFLFRKLLDYRDEENDDIMRSL KSQLDMIRPEYYKQQESIVNLESQLIAYQNIEKEMKEIEQMLPQISTFTSLFVQQNAQIL ELQDLMILQNNIIDKVLNRKDIKINNIILAREPKQQKQYTNDLPFESSQLLDNLLRLTRQ QVNILTESFIHELLL >CAK90270 pep:novel supercontig:GCA_000165425.1:CT868658:319102:319657:1 gene:GSPATT00023445001 transcript:CAK90270 MQDSQHQHFQSEMIPTPNDDLFSYIVNPPTRDQSMQEESPNSKVSPPQQTVHHVPFQRQP KLEKPVHNAQQNQIISQIDSKHPSIITCGYCQHQVQTVINYEPGAGTYLIGSLLAIVGLW FGCCLIPCFIDDCKDVVHLCPSCQHKIGKKRFIFD >CAK90271 pep:novel supercontig:GCA_000165425.1:CT868658:320039:323282:-1 gene:GSPATT00023446001 transcript:CAK90271 MQQGISQYFVKNLNFNIDDEMFYVLPHRGEINFKGFAQTEELSQNDNIQQPLKSIANELV QKTTPIRQSWVLSKQDHQFNLPPSYFKKKIVILRDNDLHQIRREINIYDFVPYVQLNENQ LEQIRKEATFDVQSNNNTNTKNKWIISRWLVKGTKNIRNSFYKDMLKAQDCVNGLGNFIY NEENFYKLWNYSIVHGIYIIVKKSLLIPSLFIGYREVIGDSSTKAAQLQVERERQLSLRN KNLNFAIYQFLFHTQETQSATKLKVDFIKNQLKDFEQDCWKIAALLSDMHINKNHQNNYE FRKYQEKYQIIYDSNKEIDELISEQLINAQQRFMKKNSIDLPESAVKQILEILECYQSQK YYEELLKNELANYEGQLIQQYEKDFFFLNKPDHKAIYIKDALRMKQKNLKKQYQNQMIQE LSQKNILDRNIYLEFYENKQKYKKRVKDIKEMTRKQFQKPNIVFNIVRLVVPPYPVFQGG NGYYLLKIIYYEVNSTYYFWKFSSLCLLYFTLIVNSYCVFYRFGIYGHYGLMALFSIEKF YNDQKINTQTGEVTDDELVETICSTLKSIYRGMEKSRREFEESEDSDMFGKGCLRICNLI EVYIFRFLFVGVFCTLILKPMLILFVSTFLFFFFITSFMWAGFVTLIKWMVCLLIYDVDT AERKEYNEIDYIHFFIPLFRAFIDIIIGMVEITFCLFCLLMFPVFAILIIVFGILRYVVR YIYDCFMFIFLYCCGRVPYRSGCLAWRLGGDKIQKKVIYNYHKLTNDELLLLTAGELEKC ILEEYHHRIVMTIQQPEKLINSSLQPFFNRFNAQYTCSDSNQKILLEQLSLKIAKQKSLH PIIEPETKLYIKFTPNDLHEIKQFLITFLVEQINLKNMHSFIWKNLELQIGDYQSLANII ITKIFGNDILIKPEELSKDVQITVEKEKGLGQQIERAMNGEVNLNQPEKIIIEEKKEVPK QITKKAYKQFIPISEVIKSFTYQILDKIWLAQSQNQEWSSLENKDSRFLLNYSLNNQ >CAK90272 pep:novel supercontig:GCA_000165425.1:CT868658:323338:325564:-1 gene:GSPATT00023447001 transcript:CAK90272 MEDGYVQELFKLFRESQYVQPPKKPISAYERFAGNYEQACNDKSLSHNSESAKRQWENLE KELKDEYFRLSKKDDELYEKLLRQYHSISNLIKKKKADGSVLFFQDKGVDRGLTNLQQLW DELSIEEKQIYGQKAQQNCEDTIKASIEEYIADYEHYLTQQNEKPKDLKFIQKIEEEQDE IDQIDIIIGIKRTNGKKEYLVRFKGRTGKDAKWFPKKKLRKVKEMVKEFEETYFKQSFQE VDSSSGFDSTPPEQIFQENEKDDKQINQNDSQEDEKNNAKETTQVQKKKLMSQPKKLQPQ ENKSNSHLPESSKQSKIQARPNKNNVTKPQKQQVKQEKSKNQKQQSSRSQVDVKQTSKQV SLEKSIKSAKEVQKQKDNVQSIQQVLQKRTAKTPNSDVKLEKPISKRVKKQVDEKSADKP TIKTSQQQKSKEDKQSKQKNQEIQELPILRRQGRSKDTLYSEAQKASTTKLNPKTQKQEI KDNKLSKKQQQVVVQQKNLSRSNQKQKQVSQQKQSKNVQNKQQSIQQSVVQAKTRSISVQ SIKSNPSQIQQKKQISNKKNRSQSVDAPIRVTQKSPENKVIMRSRNSTKTNESSKSLKKV STQQNQEVKKSQQKQSTKEVQVQKGKSKAVISNKNLNNTNKQPTTTRRESRNSLIKNPRK INKKISKKSIKNKKQEITKKKQITAEQVSAKNRSTNQKPIPKVAFRQTRQLRSKKSQDVG RRKH >CAK90273 pep:novel supercontig:GCA_000165425.1:CT868658:326018:329860:-1 gene:GSPATT00023448001 transcript:CAK90273 MYNNFGDEQYKLNKWCQFIDHKIAQFFSKHLLKHNSIKDYFYDFINALSIIIIRFTLKTN DNKLPTICLILVIMHLLLTIKQKLRKVQNLLNHLIDVFVLISMLNFSRENDNEFIIRLAL QIGIQTSKSMIFQYDQFSMVFQNIIKISSITIIKWCLIKDYNTFKIIVLLALLIFYQIIQ LLIVTAYSYAYKNIWINNERMKKNNQMIEKLLFELPVGIILFNSNGEAQFQNSISYKYLP KSIDLVAKKYASDGVQTYQDLILMEGDKEKFQKVFVETLESTDPIIVSFAFQGHPMPISC HLRKQVFNKNSVVEAIFIEKSDDQSLIYERISQSLQIMKIQQISEILSKQPEGIKQQLLQ ALFPMLSKTDYQKSDQIVCNLKNYFKFFADCLQIIYKTNPNVRIENDVPDQALIDIVKMH GILTQMISYIYQEHHRKQAMQGISSQRQLIQQSDRQIQRDMNNFSLISFTISKGEELQIT IQIDYHNQIQELEMLFQRFDFEALKSYDFRLQSLQDEDLTYTSFVHILHQIYQMEGRIEL CERLDNIKIQMNIPMRVTTEYQAVLLDHYVSMGKLDTQSKIYSINSELFQAFRIKSTKKI TSTQRIAPQPAPRLNEEAVKVQTSLSEQKPFQICKCFEFTYRPNVVSEEEKLESNKIVSP NLSPINKPSTLKQADVATFDIEDRINTQMHSVEKAVSKVLEQVLSEIMTFKGKRINVHFR NSKQKQKSFETSPSNSSAQQQQNQRNTSVPNLQQFRTTIPEQREINVNQQQMQQLQQFPN QSLFKQNAIQQIPIQSSQNFGGGSTSSHQQQQMNSVQQPKRSQGTQLLRSLRENDSKVQH LEQSDQFNQIKPDENNDDLKNSVKFIPSTQEDPQPQKLQHSQTSLGVIIQPMQSQGSERS PCFKVQKQVTINEIEGQSEEIKQQETVDVKQFYLEKLTYLVVDDSTDFLDFKIKQTHQFD QSIRCTDATKKIKSLFEQNKIYHFIIVRINLPLKNGIQFIKEIRQLENQHNLPKQYFVGI ETNISQTLRQSCLEASFDEVQEKPLKSQYLEQLIENRMNPSPVVIWKPILKSPNIDMQEK INQIHKDLDQFQLPQKISSFKAIPVPQKQPQDRKAFYYPIVTIYILDDSSVQISALSSMK FNFKTKIEFDRNVDAGIKRFDKIFEEKKIFHVVLVKMNFSQKKGIEILQQVRQMEEKYLV PKQYIVAIDSDFTEDQKQDLIKQGFDNALSKLIDKRLLEEILNQRLEDN >CAK90274 pep:novel supercontig:GCA_000165425.1:CT868658:330058:330827:1 gene:GSPATT00023449001 transcript:CAK90274 MFTELAYNASKRINQIQTDLQTREKLKQQSKSTGLIDAEINSTIKYLDNELDQLDLEIKG YTANQNCNLLAKEIQKRRNLYNELAQKRQEIKKRIEQNHLNYLQQQLTQNKSNKKNYESF GQMDALKMHGEITDRQDEYLSKINDKVLNIKYSSQNLSKQIKEQNGMIDQLHEDTDYANQ AMNRLKNKMNYFLDQSSSWKLLIILFSEIFVFIFVVII >CAK90275 pep:novel supercontig:GCA_000165425.1:CT868658:331044:331491:-1 gene:GSPATT00023450001 transcript:CAK90275 MSQQISISRILHSFLNLLPQKKIYLMASLNKSCYDINFVLSNFTNLDALNHLYMVTFLQM LAQKGVEYYEFQQDQQQEYFKYKLQWLMNHTVEIEKKKLLQKIEPDEKNQVQQLITKIQQ DKQNHLKLYCNKSISAYIE >CAK90276 pep:novel supercontig:GCA_000165425.1:CT868658:331606:332799:-1 gene:GSPATT00023451001 transcript:CAK90276 MSQFTFMKKYLQKQTSETKMHCEPLITLTIELDENKYSQINIYEDSNPEILASNFVTDNY LSMAYVEPLKQNIIQQMLMYDQMKKQEKDKQPLSQWETVKKQQQNKENQQSTPTRNLNTK RHTPEKTMPTNLKSERNLSRNKRFQTEDMNTHDRLYQQTKQTNNIKQQKKEHEQISKQKD PNLTFKPKTNNIQTNNKSKSPQSNQNQFYLQPTQQKQVQLKSPRLNQLYQNKSFEFLLPK KEVKISILENEESVTQLPKTQDSPNVSFSEESQFKNDSPYTSAQEENCLYQATKDSDERI VAKLFKMIESNNVVDARNIPYEKFNYQLIVELRLHFQKNDFKIMNCEQFCQSVLRNAKLI KFATDFFKFENVQYQNTCYYH >CAK90277 pep:novel supercontig:GCA_000165425.1:CT868658:333072:334949:1 gene:GSPATT00023452001 transcript:CAK90277 MQNFNNVIDYLKGEVLDREEEWKKERLEYQTAIMELKGQLEEQRNLNKQLIERLEKLEQF KVSFKPIADNLKLQTKKKFHHRVKSDNGTFLKNEMNEQKLITQPNIEKILQKDKKPLLQN FLKELKNEDVQEEQVQNQIQIHSPINLQLLQSAKINQILINQNTYNTCSQRQKHHHQRSN SNGASELLNFALQRKKQTLETSQNEVNSMSMTEREIIVGKEIFSTKGQLRSHLDGVRSII FQNNYIVTSSEDCTIKLWNLNDVQQMNAQTHLEPIQTIRQHDRPIFTMTSYFKSPQIHLY SAGVDGTIRSYIVSTNQYFSWRAHTDVIWSLKHHPHDQRLLSSSADGSVKMWKSLGVCYQ YDLIRKGNLNLEMLQNPLANFTFKKSMTGLSIPTAIEWICKGFNENIICGYSDTLTFIVY DVTTVKAIQQIRFDLDNQFNTFAQPNRILFNNELKYIVSGHDDHKIRFFDLNSSKVCKTL IGHTDAVTDLCLFSKNVYQLASVSHDGSMRTWDIRKFQCLHEIPAHKQKYDEGIYTITSN NQYVATGGADGIVKIFNYQDVI >CAK90278 pep:novel supercontig:GCA_000165425.1:CT868658:335133:336490:1 gene:GSPATT00023453001 transcript:CAK90278 MQQAFLSNSMNVLIKETNNQLKGQLAQYDLRLKTIINQIFRKQRMFQNIYLFVDVNENIL NTQTPFYCPSNISSPDSKSFFCLMAYQYDSTNYTELSTSIQKQFQIVSLFHQTILIFDAS QFIATGIAYTSDDSIPILGTWPALNSTLSYNAHQRSWYVSHLKQMKNGHQYQYSDLFTTI FGSYTIAISQNLTNKNNQFAGVVFNLMDFNVFKKNFNADYILTNSKGQIIIGQFKYPQNT TTPIFFFNESITGFNQVDWDSISLKINDTSNQYDVRSLSNCSQDVSDYLCRYNSLYGQEV LIIAKQLEYPPYPPQIVIIFKNMTELYQQVDQLNQNIYFLFASEFQKDIQILVIVAVGII FLFLIILNQITKSLNLLIKYSKFQQNNLIYLKEQYQMNQQIEAITNFYNFKQNLRMIRFS ENALDQLTLQYFCKT >CAK90279 pep:novel supercontig:GCA_000165425.1:CT868658:336724:337618:1 gene:GSPATT00023454001 transcript:CAK90279 MGNLEHGCLSRSQQITNINNKERPSQLKRQYVSEKIRNSFLYPIPLKEIQIYSKKLGISN YDPHSKTLTKQIIEVSNLNQNLELSLCRFLLVSQSFNLNKISEILKQNQEFRQSIRPIEN ELLKEAIRIIGVDFQNGLVLSIKLDKINVEIIQQYLLFFFEYLFYEIAKINKLSNHIIVV YDLQQEAVNQILLNFLVNLTYKHYFLNIRKVILINLNIEKVSQEAIGMLTSSQYSYLFIP LANTAYLARHVRKSELSNEYGGVYQKQRNPIPITVSDATKYKL >CAK90280 pep:novel supercontig:GCA_000165425.1:CT868658:338309:339396:1 gene:GSPATT00023455001 transcript:CAK90280 MYIRPPDSKQKLAQVGIADDDIEHVKSQHKVEQNLTVEDAINRIDEIPDEVTLIHDFKYK DTCLEKQEVSNFYLPQIGVLTLGGHIRRKCKDSICPKCDCQCLCFSCRCCGESDGSGSGG GGGGSCSDCTCAKCCKFLPCTCGLDKCCQCCNCISPYEANHYYMMPLYILKNDKIRFEHF NYYAKLYIYDTLVLEFMLSGRNYADLAFASCKSNFPHVCFTNPLNQKNEKIYFEPLGNKC PTNPRPCFYCCTLCSLAGICELERKIVATVGENAEARITARREKKYAWISQCGCQDRCYH FIDYPEFEMKFKNLTKIQKLGLIFSTISYTIFGRWEQFSFRGVFNFNKTYLDY >CAK90281 pep:novel supercontig:GCA_000165425.1:CT868658:339488:340495:1 gene:GSPATT00023456001 transcript:CAK90281 MQQEYQTENNQQNESLLPENKIQPPNTIQVSPKPLTQEEALATLPEIPNTMNVVHNLFNT SPKCQSCGGRFYEESIIEIPVLGKFNWKFDFEIPCCCCKYNAFLVGKLAQIFPRRYIRVV PQPKQPEYHGCNNSHGFGGTGYFLENELINFECGQFGRLYIQGVLILEFEQCGKAIEDIA LASEGSTMPQMQVYQPNYKYANKITFKAEKNNCPEHPYSMAGCYSICDCKKLIRKFEISG LSQGDCQIINTRTSKQACYKTCGCKDVCCCDSCRYADYPNYQITFNNVTKLDKLAIIMCL MHFIMYNEWEFTSFQGIQLQSQLKNAMGLTCCSIF >CAK90282 pep:novel supercontig:GCA_000165425.1:CT868658:340662:342271:1 gene:GSPATT00023457001 transcript:CAK90282 MIYPKNKLQSAGQATLSRKNYTSEQREEIKRQIADRLSKKYGLDLTEQIHAKVNSYLNQN QTITAEGIQNLEQEISRQKALPQLQQQQSSNQVKQKDEDDQKSVVSKMSGASNFDMVDQY THKTEKNTEEKKSQKMTGLHTKKIENDLAFLNEENQWGAIYKYNKYLYDKETDIKKQREV ERKKKIKEELDKQVVEKQSKKSKMHSMKISQQEKIDRAKQIKMIEKQARDQQVKEIKKNR RNEEKQEKALDSYMIQKVREELDDEQKFLQFKKEHQYQVMQKLMAENEEKKKLQEKEKED ERQENIRLMDAYCKLLDKQEEERQKAMKERDEKIKQYQEKAMEMQEKELEKKIGQLEKRA DKYEQRKERRLQELEKEAERKKWETKLKTREYLNKQMEEKEVQKQREKLKDQEQATLWKE DTTNYFQFEKDRDIEKKKIYKEYQDTLLDQMKEKSQAKKGIIDEMLKHEQMLSKNTLSEL DQMRQTVQ >CAK90283 pep:novel supercontig:GCA_000165425.1:CT868658:343113:343673:-1 gene:GSPATT00023458001 transcript:CAK90283 MKQFVYFTLKIELSQKATDSQNYLYFQLFQFRYHILKLFLSKQQHLFLFLQLTTFYYFYQ EQFLRYLNPFIDFKEFLGLLQLVYSFQFIFCHMYYLSIYLFQSKHDKYFRGQCHQNFNLK MSIVLGCSIILSYAIVDILVIYCRIVLFRYIVTLMKEDEFNPINRFFYFFIQHNKLSEIF LEYTVI >CAK90284 pep:novel supercontig:GCA_000165425.1:CT868658:343685:352913:-1 gene:GSPATT00023459001 transcript:CAK90284 MTFMYLLIMWLIQVCLGFRSFQAQFKSAIFDKKCFGSSQYFPGIYPHLCASIAKQCIGAT GLTIRFPPNGLETMCHPQVQGYEFGPNPNSHLYFGKYPYTLVYSYDQNGNVIFTTESIPQ FSNNGCLIGQKYNGVYKCQYCSKAGYGENCSQSFAALCINLINSKECGSNCKTCDPTVSF CATCEDGYSQLNSNDQLCTLKCQATHKTCQKVGGVYSFSECKDGYELSSGQCVACPTNCK TCFEGDCQVCTWPYELKDSQCLGDKMCMKYDFIYDSNGIAVDTDCQQCDIGFFKKGTHCV SCQQTPGTENCFLCDKENECKSCFATHYLTPDKKCALIIEECNPICQSCYVTQPDYCTTC FTSQKRSSRIVPGQCVCDQMKGYAELDGECILCTTGYCLTCTLIFGECTSCDPLQNRSLI GDKCPCMQGYYDTGLEDKICQKCYYSCYNCSGPLENNCTDCGDPNIYHKELVDGKCICAP RTIDVVQNDGSTLCKDCHPRCQKCQFPNDNSSNQYCSMCIVVQNRTVSSDLKCVCKKGYG EDGIVDICFKCHYTCQSCNGLLATNCTVCSSQKQRHLTAENKCLCNPGYYDTGRNDTLCY LACHNSCLSCNLYGEDKCTGCPSSRYPDRIGSTFKCLCNDPHYYSDDESLECQECHSSCK TCNGMSETNCLSCDLTYRQLIISKCVCPYGYYDVGTLQCSSNIDCNYQECFYTCMTCYGS NIDNCITCFNDSNRIVKANKCGCLDAYLEQNVGDTKCQKCSYRCALCSGSVDHCDKCPDF SYRDLGTDNSCKCPPKSYDEPGNPKCILCHSTCLTCNGNKSNQCTSCNTLIGRYLTTGGE CLCASKYFDIGQAECKSIIIIKVLIACNKDCLECNISADNCTSCPPEKYLNGSVCICKTK LQGLSLSTYQPLNKLQCLNCHYSCLKCTGSNSNQCSSCQISEKRIQSGQSCNCKDNYFDI GKPLCSLCNYRCYTCVTLETQCLSCPPQSLRVLSNSLCQCPAGYYDDGVNINCQKCHYSC STCIQLSTKCVTCSFISLRSFNSSLDSCPCNDNFYDAEVEICQACHYSCLICDGQLSSQC KTCPDQNISFRVYNNNSGSCQCKIGYYDDGYSVTCKKCKIQCFACQNQEYTCTSCPLTRH LNGNQCDCDKGYYDTGQEKCTVCDSSCINCIHNSITCTECDSTQSKILDSQTHKCVCETG STEINGICQKCDITCKTCVSSPTFCTSCGLLRALKNNKCQCIDGTYETGTNKQCLLCNQT CLTCITQDNYCLSCSADNFRIIKTGNICVCKDGYFEDPLQQCVKCDLSCLTCQGNSQFCL TCDSMLNLQITNQNKCICMPSYYFNTLTLKCEACNKTCKECLSATQCLECEPITRYYDTD TLKCPCKDGFYEANQKMCQQCDFSCKTCANQSTKCLTCQSIYFRNLKNIDQCLCQDGYFD VGIEMCQKCNSLCKTCQSNSTKCLSCFESEQLRILTTNQCICKPGYFDNGQLICEKCSNT CLTCQGKNNYCTSCDVNQNRIDQSVIHKCPCLSNFFQDQNETCQKCHIKCSGCTLKMDKC ISCKPSNTSNRLSISQNCDCKDGYYDDGVQLQCQKCYYQCKTCQQKPSNCLLCLTNLREN PPLCNCKAGYFENEQFTCEPCDNKCNTCEIKSSNCTSCKGSRFNKACDCQDGYFEAGQPN CLQCDFKCLTCQGSQSHCLTCRGDRINYPECNCKEGLYDDNHSTKCKECDLNCKTCDQVG CLGCNGNRILSEDKTCNCPLNSISHEGTPWCSSCEVAVLDIRLSDDLLSIKVLFDFPLNL NYFQSQFKDNICFKFLAAETIKQLGNNPQCVIDEKNNKQLILKLGDNAAILPENFILFKN NSFSHKNCQKKLNTFIFNHVKYPINLLQPEIQYDLPQYLLNPCDDNTILIKSKSQDGFRA FNSITWTYLLEGQNGNGNLKEFVLYQTTFQQLDLMIPIQTLPKLSKITFFLEFQNFIGQK GKYQFQVQTHNGNYPTVLWLGQKKYFTFETINLQFSIQKKNCSSQTDLQNDSSVDNSKYS VSIVEILRNDSMSRSSNVNFSQIINENYYHVTIEKYKLTPLTAYTFQMQTNESKTNFSNS QNIIIEILQGGLLCQFNGTKDIQNYRKDLKVNIQCIDLDYQYDWNEDPDIAIEVSCTDLT QGTVCMDINKKVVKINKTDSTQYIKKMNVLPFTIWVWTVIASKSDRTYKFSQNIVFLEDD FSILDVDYSKGYIMRPINNYETLQFQFNIPFEDRYQLLQYQVAIIYDYELVKILEPLYFQ YKLRLYDQYQEFNKGNQFYLKFFAQFTNNIIPSQQQIKMTLNQPPICIIYLKSQRVQALQ SQKIVANCQFSEDYPFTYQLRYFLNEQDYQEFQNKLSDYSLILNGFQRFNYFEALLPYSK GVALVQIMDSRGSITNIEEHLDVQKIELNCTEFLQSNFTYKKQVIMLLEVIMNHYDKEGC VQFQEQLVNSIKGKIDSDDLFEQLLALQTIKLYKRFKVNLSNSNLSARVLAEINQESCYD NMSQLFLINNNKTQKYSSTDIFNFVLEFQQLKNSASKILSKGTNLDDEIKQNDIFLNEQL FQSKQQISNSLVAIILFVDDLFLKISQTAFSQKEDKEQIFQLSKDLIALIEEMLEFTNTQ VPVNGQDFVLNGQILKYQSAKVTKDVFNQQEGLDKDIMDGLIVYVQKEQMDIYFNYLNVS EKHVQDIKTVLNKSSSEIDQSFYTITKLRNYLYKNQYRDYEKLKINYLIDVAQYSYCVDV LLPAYNFQCFQYDSSETLQQCQLQTTEINNKTVQVSCQCSQLGIIFMTKYKNSSSDELEI VQILNSIQADDTNCNINNQPFLLFHGIYIVFTLFIYYELQKFEIPQNKDAQNIFTERSPK ASDEQKQKYDQLKCSPGNLEIFKFSFKVIFYKL >CAK58665 pep:novel supercontig:GCA_000165425.1:CT867998:147:329:1 gene:GSPATT00029292001 transcript:CAK58665 MKLLRNDDKQLQVTQIIVSTFIFWVDLQGQFKANYSFIKFIQILQCKAFEIYRQSKIPFK >CAK58666 pep:novel supercontig:GCA_000165425.1:CT867998:339:1009:-1 gene:GSPATT00029293001 transcript:CAK58666 MGEFHCRYEDHLEEAILGFCINIGCHQTPQFCFKCFNTNQKQHQFECLPFQKIKEHIQEY IQKENEYYGKLQNFESSFNNFINQMQKKVQNNLKKILKMNEFLSKGEYLNFKSDIKFFRK YHSKVDETENSKLITSINSIVSALENIKQDYIRIDDEVNALTDYNTQQYLNKAKSYFEKG EQLVQRGIISRSINSLKRFNIDGIQS >CAK58667 pep:novel supercontig:GCA_000165425.1:CT867998:1444:1830:-1 gene:GSPATT00029294001 transcript:CAK58667 MFLTSKFQYNKIKKQMRIIFLIFIYFYFYNLKNNRIRIKLQYPIYLYRISTTIKQYLLSK TMLCLYLFLFNQIIQQIQIYTISIIHFIVFSISKQQILIIWINKYETYIAFVFLIKQNHY YQIQIKIK >CAK58668 pep:novel supercontig:GCA_000165425.1:CT867998:3248:3736:1 gene:GSPATT00029295001 transcript:CAK58668 MESPQKISNLFSYRYQTRKYYDSKILQPQLIQIIDFGLSQELYSNSKDAFIGSLNFASRQ SHKGEQLGYKDDLESLLYVLVYLRNCKYFLQPQQLCLGSKNHLGDVGKQTSKSLAGSSHF ILTPPPQLRISLYSFRRSRLTQAHQRTTSCQIMVISKACFWK >CAK58669 pep:novel supercontig:GCA_000165425.1:CT867998:4166:12177:-1 gene:GSPATT00029296001 transcript:CAK58669 MGFLQDYIQMNLRGGGACCAKLIKKERRLMAQNKDNIIFALKENANKLVLLSQKFEEAPQ FQEEAIEVMSTLFSQNKNLQQLYIRTNMCYLITMENGNDIYNIQLDCLTSLQAFIKHQTI KAHEMIQFCLDLFYIIYQFQIRLKNSFLNHQHRNQYATAIENLQKAAKAQNLFIYEISII EIAYKNCRKDESPHQLTRKDLFNSRKTFDVHILFQENQQLLNYNFDSNENEKEKEINHFE LHCFYQIIKWAVILQVQIPNQPTLQIPIKILEYTFSQHLSHEYPLLLTKYWIQIIFDLIA QAQYQPIQGNKNSMLVHNKFQELSKFLIESYLKFYTELKVKDKNRENLIIDPYYEAIKFL SKQSQSLKYVINKINLLIQKFKNQSNQQLLTSLKKQYFKFVYITNEIYQSIALEVQKLKL VNTNQSQDFEKKCFEEYIKEIHQSNQRIFEQIIEIEKQIKNPNGHIKTCEKIKFPQIIYS LIDILRTQKENQYFQFSKKQRQKRLASGGNPPQGKEVINIQVPIKSSISMVISRFSNGFL NQKEISQINNDTSLTLRSDQLISLEDLQKLQINCFKWDLILKNRSKQKLNKKKILDEYRK GMDRLDLPKTQYWKILKDNLNFQEQEIKMNIEDVNVNIQSLIILKEKVNRYIYDVIQEPK QEQLKFILNQAFQDLTKSIKDLNLDGLNRNIEMNNANRIPDINEQITFDELNKLELNYFT LPKIKNKKLDNDDLNFINKKLSYLSEIESIMNKSFIRNSQVILKTEIACEYMPKQFVISQ ILEKDSSSRLFKKNTLPDWKKSIKELTSNTLVSVLKELLEDVQSLQAEVRQLDEETKNHM IDLNDLINNFKNTQELMTFLDRKEDEALKLYQEIQQFVSMMEYQQKQQRKKNNKIVEKRI EPASGYQECIQLYKVEQLSRKMHIDTCQCQINPIYVEQRFKIKKYNEQEKISQELIYVNS LKKFEFECYHEVNEFLQNAQGWCEVLLLFGSQGSGKSRELRIIQNKLWEQYQSQRWIPIF ISLSSDPYSENNKLFVGKLKQIFRNDQYYNDFVKAVNSQQIKVLFLLDDIDEVKIDIVKQ NLIKLNFQHLDINIKLIGKTVKFVASARSELKDLQNYQLYFSYNHNHINLITEIQLLPFN DDQRQQYFQLNHQISIKKILFNHFIQQHQNDEGFENIWIDLEQEIQKFIKQQERELKYLM NPNDNYLDLQQAIIRSKMIENIQHDFFQKIVQDISKLRSTMNYMEIIKNHQFENLTQTPL QLEIFVLQLSTLRTAFKDRYFKEQLIKSYSRLKQKYSNSSTQLQSYRYTQSLNIPSLMQI KMDPEFEGIDENLSKALQILEQQKFFKQYQYFNILKGDNRGIQMDKDVFAIKNDSILLID ALRANNLTMYQIIATFVDELNIKYAKQLEDEIESYEFHQVLQEIDTKCENLAFQMTINNL IATKLSLIQEDDEIEEQQSECEQLHYNPYLVIKQGNICSFKYKIIQEYYFARFLKRLLID ERQINIICNSDLNQINLTGSNFTGALNVLKDDLRKTEKIKEKLIKIIKMSQDSKYMRVSS NCMYLISFLNFNLGGEDLQKIELSDTDISGISFFNCNLSGSVFRNVIINRCNFDFAKLNN VKWYKIQIAETANLFQNEKVEQVVFTPDGNYMISLSVLVLKKWDCRTYELINQVQNPDKE DHFQSVAISNIHFGKQTQYVATCSQKEIILRDFKTLENILYQKPIDFTPVNALQFSPDAE ILGIVDPDGWLRCWRADGQSLQTKYIGIEHLIRHSPQPIFQINNLAFNENNSYFLFKGNK NLRLFELQSSRESLVQSIEADLFNASLSGKTFAIANMEDVIFYNWQGQNLIETQRINFRF QILQFITFNSKLEIGIQFQEDLVLWNTQDNCINRIFKGYQKTQCYNINPEMNVIAQWNDI KENIKMYNMNDINPNKYYQIFDNEVLKAEFSYNGQLIILQLQSKIIFWDCPNDECINILN EQTQFCFSPKGNLMVTKQSCHGIILWDCSNIYDLQRLQIIRDIYSFQNIFFSSKQELLAL ITDDRELMKTWKVPSIIHANNNFNQQIVIDSEFGDIVCIAISTEVHQIAYVGIREPRSIN LYDQEKGVILKKLNHSQQILSIEYSYNGQLLASRSQDDSIKVWYIKQSGQIAIPLRIKYN PNLLDNQHICTWIPNRQLIIASNEGDQIILWEMFYSNYDTLLEKKVQFTQEIGNQNIEFK IYSKTQLVANECKNQECLQVSFSPNGKYIAASYFPLNNISFEEAERNTIINITPRVVIWK LDDQTVVQSFVLTFWQTFNFSPDSSSIVSLEYDQVNETNQLKFDPIIRNADTVPIYIQMG FNHPFNHVVFSPKLPIFLAVREEKGFINHFVLFSINGQQLSLFDYFQIPYGLAIAFSTDG EFLAYSGRDTILVKQIYNVDFRTEVRSLKAEYNWSQVISYKFDNTFFAFCNDKKQFILST NQQTVQYENKILNFQIFSVSFDLNNNTMACGGVGGEQEQGFEKRQGVVKIIDIHNLEDPY VVQNIFFSYNIIHVFFSENGTHLLIQEQDYQMYLLNKQEKNDQYQIVFMLDYAVGILNAS FSSNYNDFIILIKGGNVFYYNFIDSSLRLQNDSDNNSKTLYRLKTIPKYYVMQAMKCEME NAQIFTEKSSLSQLFKYYGAN >CAK58670 pep:novel supercontig:GCA_000165425.1:CT867998:12894:14113:1 gene:GSPATT00029297001 transcript:CAK58670 MLKFCYQSQEVYTFENYDNLMNSFSLDDFQSGKFDSPNNMITFNINHSRCIRLSDLLFYI TLLGLIASFISIYIKKGLEHEYLDINRIWLYFKEDSQYPGLIYQILHYSIHFTGYSCSLK YGFGVKQKASIQIQEIICQIIDSCSNRIKQQKNKDQNKQICKEILQRIQALCKTNSSIPV IILYLENTICFYKEDKYIENKLVQLLNLDDTDIKPERQKVIGEVNNIIKQIIEIGNTYGQ VCVELLLQDAITKITQNLTNLSFNRQSYEINVQIKFKQLKDKEQELQILVEQQIKNQIIY FIQQYEKDFKFEIDEEEIIQLQQCIVNSILQSPQVRHYYNTYQYQKDSEINNYIFSAITK IQQQILKDGVESIFIYKILQLINDLI >CAK58671 pep:novel supercontig:GCA_000165425.1:CT867998:15181:15942:1 gene:GSPATT00029298001 transcript:CAK58671 MKILSKGCEIVAVQNEKVHSIKSEHYLENEDVELYIFQDESVKSIEYIHYKDILKKGVKK ISGLGGLEIVITQCLNPNQLNMEQNDQEFNCHAVRKWLNFKSQNQLHQFNQLYRTLKDLQ NAQATITQVKQNDTIEAFQSCVDLSVLSNRNDSLKELEQQYVKGQMKWAQIDMENAELAT RIRLLQVELQQRKQEINKLKYQYENNAYQLLQSKQQLGDVINQAIEIGDSQILAKLGL >CAK58672 pep:novel supercontig:GCA_000165425.1:CT867998:15970:16845:1 gene:GSPATT00029299001 transcript:CAK58672 MLNITKALKDSVSIAFQKAFARHHDIQILFQNLKYSTSCPATFSEYYKSNYQKEWKETLL PKDVAHEILVHFQSPTWNQFKLVSNIEVTQLGDLQFQINKQYYEHLIKQIDSTPWPNLTP IYKTTNIYGPFINLNGPLNKTDLRGLHIQNRLYCILSKLSENCNKFNKITNQISKNDYLI LPAKIVCQSIQFISLGIQLSLE >CAK58673 pep:novel supercontig:GCA_000165425.1:CT867998:18711:19940:-1 gene:GSPATT00029300001 transcript:CAK58673 MLKFCYQSQEVYTFENYDNLMNSLSLDDFQSGKFDSPNNMITFNINHSRCIRLSDLLFYI QIDFVRIQFGQLLHLILSILKKVELIESQGLEHEYLDINRIWLYFKEESQYPGLIYQILH YSIHFTGYSCPLKYVFGVKQKASIQIQEIICQIINSCSNRIKQQKNKDKNKQICEEILEQ IYALCKTNSSIPVIILYLENTICFYKEDKYIENKLVQLLNLDDTDIEPERQKVIGEVNNI IKQIIEIGNTYGQVCVELLLQDAITKITQNLSNFSFNRQSYETYAQNKFKQLQDQEQDLQ ILVEQQTKNLIIYFIQQYEKDFKFEIDEEEIIQLQQYIVKSILQSPQVRHYYNTYQYQKD SQINNYIFSAIGKIQQQIIKDGVESIFMYKILLLINDLI >CAK58674 pep:novel supercontig:GCA_000165425.1:CT867998:21340:22041:-1 gene:GSPATT00029301001 transcript:CAK58674 MKFVNKMNLSVFKIFQQCQTDIQQIQLDLLLGLYLIQLLTEIIKFKYIAENNLGTIALQL RRRQERFFSSKNQWFLYPSKIQIKQNQDVIYKGFIVFFSLNIPKIRITLRSKDSQPQISV NSAITKCKKDYNNTRKKFSNSFRSPDLIFLHFCLLIIRFGLKKFLHSISFLFCILQLKQQ QPIQKLNTIITPLTLDSVNYHTQIEFLKLIISMSFSFQIQNVLVLGNTFHHLK >CAK58675 pep:novel supercontig:GCA_000165425.1:CT867998:22126:22996:1 gene:GSPATT00029302001 transcript:CAK58675 MNGMAYKIFQYYSYKDLKSLQDILKIDSIGFVNIDEDEQIIEYEIDRSKCLRLSDLILMI NQGFFQIYLGQLLGVFLDLLRKVISLKNQHNIDHQYLDDNRIWLVFQNPNKCINFDYQKI EYKIVFTGYQCQLYEESSEIKIPAEQKVQRIIKDILINFKNKNTFINSSEKDKIIKFIYD PIIQECDKMNIQNTLNLILEIFYKFKFNSEEQTIAIDQTKIKIDKTNLYLVQNSFKDFIE NLKGESSFILETFLFFQLKSFTNMLDRRGSEFYKVGKKEN >CAK58676 pep:novel supercontig:GCA_000165425.1:CT867998:24238:25471:-1 gene:GSPATT00029303001 transcript:CAK58676 MNGMAYKTFQYYSYKDLKSLQDILKIDSIGFVNIDEDEQIIEYEIDRSKCLKLSDLILMI NQGFFEIYLGQLLGLFLDLLRKVISLKNQHSIDHQYLDENRIWLVFQDSNKCINFDYQKI EYKIVFTGYQCQLYEESSEIKIPAEQKVQRIIKDILINFKNKNTFINSSEKDKIIKFIYD PIIQECDKMNIQNTLNLILEILNKFKFNSEEQTIAIDQAKIKIDKTNLYFVQNSFKDFIE NLKGESSFILETFLFFQLKSFTNMLDRKVSGFLKAGKKEIEKGLQMMKQFYEKYQCSFEK NIQSIIQTQFENILKQQLENYKFEISEEEKLELITSISNRILNMKLNKYVYNSPHYFIKA DTKQILEYQINLFSTLSESIIKEEVELLIDLKILQLINELI >CAK58677 pep:novel supercontig:GCA_000165425.1:CT867998:25597:28121:-1 gene:GSPATT00029304001 transcript:CAK58677 MRIVKILLLFCIISSVFTQLSQGASQPGQPVSGSSGPTQGAPTGSQGQQNTAGGQQPGGQ QSGGIPQGGMQQGGQQGGQQGGQQGGQQGGQQGGPQTGGKAKKCQPTQAQQGQQQGQQQQ GQQQQGQQQQPSQQTLPGNQIPGQQVQQTNQQQPAGQFPGGTQQPGGFQQPGGAQQPGGS QQQGGFQQPGGTQQPGGGQQQGGFQNPGGAQQPGSTQQAGSTQQPGGTTQQGSTQQSGGP QQQGGVQQPGGAQQQGGIQKPTGSQQQGGQSPGQQQGQIGQAGQQQGQMGQTGQQQGQMG QGQGQFGQGQTGQGQGQMGQGQGQMGQGQGQMGQGQGQMGQGQGQMGQGQGQMGQGQGQM GQGQGQMGQGQGQMGQGQGQMGQGQGQMGQGQGQGQGQGQGQGQGQGQGQGQGQGQGQGQ GQKPQGPPQSKGQWTQDAEQQQQCVEVEVTCADNEIVFKDRCIAQTCENLDKVQSKQEGG KRMLPMKFDGKADFNDNKDVVVQLAFPDNKDKPLVKSDGNANACIDRQIYRYRPSFLSET LDDSTVTSSYDDDKKLRIWEFTILNADIDNKLFKDEQSDKIVYTGYYSVKLTIQEKKLVQ FFFTFFVAVDLNGSVLTAQATSEAQDDTPACATEDNCVSRAETEVGFCSDQTCSTLVEQP QVYAGQNFYVVQRVKQSGFEKWKVGDADVTITGDGVNKKLKPTSQQKQPGQTIYTLNVDI LANNVEFSVNGKLEDPNSTRRFRFLEDATTTSTDGAEVSSAKAVGSTTLECIKKDANSSC PTPEEAKAYNKPDCGSIGQMSCESSNSLIWSFLIFAIVLLVQ >CAK58678 pep:novel supercontig:GCA_000165425.1:CT867998:29716:30960:1 gene:GSPATT00029305001 transcript:CAK58678 MKVIVQFLKFYRNYKSDESSLINNTNCHYYKMQQMNKIVYFHSRILYILEYYYSESRIII KFTCFQSSFNIFCDVIQFMIGYLQIRIELGLDQIIKLLQLQLILLSSSVITLFYIYDREL NKQKISILFSICNISIFMIETRIIGNSQYFTIAAAFFLIFKYYIKKQDDSHIPQINILNS QVQNNTGKQDQEMEQYPQQLPAIQSIYECNQNITPKQIPNSNEINIGRQVTTERSKQPIK QESLMGFLGDKRQSYAKNSISGFPQRQQTNQLNQVNFNVIKFQDDCGEIKFGLLKTLKSI NFDQLGKEIEIIYQNDKTLTRLLSNIEKKSGPRMQILYESQQAPNPVINENLRGVIIMTQ FKLMNKRRQHGRRFFKYQPVR >CAK58679 pep:novel supercontig:GCA_000165425.1:CT867998:32164:34004:1 gene:GSPATT00029306001 transcript:CAK58679 MFDKSKKILNSLGNQTIDTCDIYGQLPNFRVLEKARYTTLVGCCMTFLIGSATLIYLITE LITLIDQAEPSVVQSEKQIFNSTVLVFVIKQFPLYNDNFTLAITIANQNSEPIEGNLKYY NITVNQCVRMRNVNYKTGSVNVTLKYELLKTSCTSLPIAPCKKEDFNNELQRDFFENTRL GVVQCLDREYLQRNPPVLQGQISGSLYQYLVIQLSVCKNSTEYQGCAPKEEIYKVLSAGH YSVYASDYLMQLNNPGQPYSQLINLDINSFSITTSKMLQWTYRIVETHTDDGLLLSTDRV DLNLVKQDRREYSELYNDNYLVYHYIQLDYKKIIYRRTYIKLQTILSKIGGIWQFFVILT AIILNPLINNLMTISIANELYRFPKTQNSKHSHQKINLNNQTKDDPIFDDPLSMIGQDQL PKKEERLSKNLYESFFILLGCNKKKGEMFTKVKQEILRQLDIVKIIEKLHQIDMLRLVLL NEEQNNLFDLLPKPLLQIGQENPDNLADEFFQEMELQKSFRMIKNKKLQTKLKDYYSSFS SLQQDRHKSIIDDKLLHMIDKNIVQFFEQIQSSQQRNLNLSPVDPLFRSKNEESIMEPQI QKPK >CAK58680 pep:novel supercontig:GCA_000165425.1:CT867998:34493:35158:1 gene:GSPATT00029307001 transcript:CAK58680 MQKQCRICNKKHKLNLKGILSYPKHLLFGTIPNYFRRVTQKLNEKQTQQVSLSDTHICYI INQDICGECWTFMYQGFQCQKCNTYNYHCTQEIKICFLCNVVYCQNCFRRVDLFSQNGLC TFCQFHQSQSANSMRQLLSLFFAFMFPCLAFKYLVSKLVKTFETIQYTQSQKIICVSSFV IMFPLIYCICLIGFAIMLIAKVIKSIILLLKKNYIQKRVAE >CAK58681 pep:novel supercontig:GCA_000165425.1:CT867998:35878:36324:1 gene:GSPATT00029308001 transcript:CAK58681 MSGKEDEYEKLLSEQNLKDRLEAPQTETARQRKWRIVKRKFAEVQVYAKQGFIMGSLVGG GFGLVTGLWAAVQYKKLSMIPISVIVSGGSFGFIMACGSMIRSDGLVAGEYQQHFRLNDQ EVPIQQFWLNRRFE >CAK58682 pep:novel supercontig:GCA_000165425.1:CT867998:36642:37153:1 gene:GSPATT00029309001 transcript:CAK58682 MKRRRAVQNLFLQKSYWWIGSKNLVTKQLKARQGKSNPTNRIITMQAILQQRNSYFQQEQ QMPLEVEKRSKIGLTEIAMLYWSILYEVIDLAFLTSETLLNELPTQFANVFFVVKQDEVI DEYELVVVQCNQFQHYLLDIKIFVLSLQFYRKQEQRLFQGSK >CAK58683 pep:novel supercontig:GCA_000165425.1:CT867998:37232:39111:-1 gene:GSPATT00029310001 transcript:CAK58683 MYVDDDPQNVTKIKSHLVIDMQNHNDIIKEKLSDQPRKVLILNTGGTISMFPSQTGYVTK TGALKNFLQNNPFSCDMDYTYFKATDDFLITPITAFNRRIWFKVEELEKLLDSSNMNSDD WVTIAERIETAYKQYDSFIILHGTDTMAYTASALSFMFQNLSKTVILTGSQVPLSQPRND GFTNFLNALTIAGHFTIPEDKLLRGNRAQKVNSSSLDSFDSPKFTPLGYFGVNISIKWDI ILKNPNEENLQVSKKFCTNISLIRLNPLLNHVTLNEIFKNEKLKGVVIETYGAGNMQTEE KFCNEIAQAAKRGVVIVNISQCHTSQVEMLYETGIIFENMGVISGGDMTSQAAFTKLGYL LGQTDDVDEIEEEFQRDIRGELTESGGAAETEPFLMALSSAIKQQHSKIDLNKDLVFPNI LCQICVQKRKLSSMKLSKIEINYKAQDYDKRSILHVAAREGSLEIAELILRKCSQIVNNV DRWKHSPMYEAVMAKNTQMIQLLLRFQGKLIAPIKELTQLLMQDVANGKEEMLNLFYEAG ERDFTQYRTEDNRTVAHLAVSVGNDAALQFLSNPGVIFDWQVQDKFGRIPKDEKLLQKRL LKR >CAK58684 pep:novel supercontig:GCA_000165425.1:CT867998:39151:41324:-1 gene:GSPATT00029311001 transcript:CAK58684 MMAFQVEDPIINGTKQLIDFKYTEYEQNINDNGTLSKTEKYSIPLEQCTIKHFQEFNEST IYNKILKNQLNGYYCLPLNYTLILQGTYKSNTFQYGRITALLCQTSACYSTTEIQQLQLL GYLNNSFKINTLMLNRIPNLNLESNYISQIYSDFYISAKYGLETKTDIYLEKQAMTVDNS VVPGIQDINNQQVFSIVENKLAQQQVYTSNFTQVASFYLRLSQSETHHSKQYYRIDELIS YVGGITKFLATVLGYFILRYNQTGLQIKMANALYQFDMPEKKKGELVFSFQSLVTKIIDS MKSVDDVVQKFKHSAHRVIHMTRVATALKFPISSTQPNESDNVSVHTNKVQVISSDAHYG NLKSEKSVDQSHENQHQPNLDKDKEKFLDQFIKLILESKKKLSFGVLFIVKQMCDLFKKN STVNYQAKIFEKSRKMILTDMDILVVISKLQEIEKFKHIFLNKTQRKVFNYLPKPVVCIS ENLKEVQKDKVSNNDLANKKNDMLKFKSSSKSLLGGRQIYNTERKFKTLYKAYESLALDV HENNEELEHQQNYHQDHHDHHENNEDMEHHGKNHENHEHSFNNRVNQENSLNQRLLKMVE TTIQYSFNSLVELEKLSKQARDSKNKRKRTNAPVHKNRMAQLDSQNEEEEDEIDCVSLKG SKKDQLTKRSPNSLNGCHIKKTHNTLASSARQHQEIKLTKVLSKQDLIDIEVYSS >CAK58685 pep:novel supercontig:GCA_000165425.1:CT867998:42172:42414:-1 gene:GSPATT00029312001 transcript:CAK58685 MFDRQKSKQLKLVRPFSLPSQIQKLKRIMTAYSTHLRMIKLEYYGSLVLKYYSNIQMELE EVHLLQMMQNACYPNLAAIK >CAK58686 pep:novel supercontig:GCA_000165425.1:CT867998:42481:43319:1 gene:GSPATT00029313001 transcript:CAK58686 MFQSYVIDRKFKTESSKVVQNVRFTKVFQRGNEHKNYSFEIPNDYDQLPKKLRCQSTYSN LCGCRSHKYQFFHDIISEFAEHKKQRLANVIIQNLRFTEKSTLPSQGSARNIFSKGGPSL NHNYSQFMKKVKDFKIAEQNIIAQTPRYSFTFETSSIQECMPLTRQPSFEQVLDAKQTLT PSYSRNQSPKLLFSILLPKISEPQQFILKSKFKTNNALDKILTEARNQITTSQSPRSVQV SQHKKIKSHPAKVYTEHNRLLQRVILKTKLNQ >CAK58687 pep:novel supercontig:GCA_000165425.1:CT867998:43337:44077:-1 gene:GSPATT00029314001 transcript:CAK58687 MSSPKKQSSKRDDPISCIIEPSKVLGGLYLGNMEAASDPYTLSQYQIKAILTVCPQSIPS STKIKLNFYHQCMADDEDDYQISKHFDESFRFIEASRRSTNVLVHCQMGISRSAVIVLAY LIKKDLIGAREALEYVEQRRSIIFPNNGFLRQLGTFERQVFNDVINEANCNRRIASPKQV ERDYKQKQFDDDFEMKLQRLKESNKQQHFHYEQQYTIPKSNVYRKQENTLFSNLYYKHY >CAK58688 pep:novel supercontig:GCA_000165425.1:CT867998:44367:45263:1 gene:GSPATT00029315001 transcript:CAK58688 MNTFKISTIICCSQDNLKQLNYIVIQLYVSGIDNKFIGILKSNTYYNFFIIILNKKVGNG SKDELQQLIDEQNKEEFSDDQDLVSHIDIEDFEQRLQTSQSFVREKPPQHSQRAQSGMTQ IGKQQPQSLKDLNEKMQQNLAKKQVNQPTRVQGQQRSQQQFSQTQQVQKKQDKRKSVEPR ANNNPRQVLEQAQRDNQDLLQKLGTMRRQLQSLEAENAELKQEIQRKGNSSGFLPKIEQA ANSELKLLRMENEELKRIVQKQNVRTVKHFVLGQ >CAK58689 pep:novel supercontig:GCA_000165425.1:CT867998:45386:46742:1 gene:GSPATT00029317001 transcript:CAK58689 MSIQAVNYEVEDMGRYFKSSKKKYKWTFMLEDKQHMLELEFSYLSGKRRLVLDGRVLHES MMLTSSFQYPFTLEGFALNVIQQGDAFELRINNKVFSHLYTQQKTKSEFVYDEKINNTNK TDNNFYSEPPNFNTQFSNNNNFQNNSQKINLNIKFENPKDTNPIQQKTSVVQQTKTSTTF GQPGAFEEFEQFGQVSKTSNNPFGDSFQVSGFSSGQAVQQQQKKVDLLNLDDDVPQQKAV NPPQQEFLFEQQQQQQQQEKTNIPQQTNLFDAFGNGWNQQQQQQQQQIPQQQQNMFQQPT QVPQPFESFNQMGQNTQGFQNQQQQGGFQNSHAFGQDFPQAPQQQQNLNILNLYGNQPPV VGNLAYSAFPQVQQYNQPVPQSQPTPFDLFK >CAK58690 pep:novel supercontig:GCA_000165425.1:CT867998:46786:47612:1 gene:GSPATT00029318001 transcript:CAK58690 MELLNYAATVIQKTFRGFLARQAVKQIRRINYFSSFDHQNEYGFCIQPQKSKKSTRNTQS LIQPTKRMIRSAVYIQKCYRGYLSRKYVCNFYRLKQIVLFLEGHIHNFLRKRIQQRKIHI KQLMAQCYNFQTQCKSIAKGRYNYSKYMNQKQFDEVNGIIFTSFLHLMIPNFEKMNSEQK SHYVDQILGVVQSNPPRKTMPTQISSKTPLKNVSVLSSEVMTSNGSCTSSHKSKSNLTNE EFKSILQRQNKKPK >CAK58691 pep:novel supercontig:GCA_000165425.1:CT867998:48013:48600:-1 gene:GSPATT00029319001 transcript:CAK58691 MGLSFSKLFEQWFGKKEMRILMLGLDAAGKTTILYKLKLGEVVSSVPTIGFNVETVEYKN IKFTVVGCRRLLWRHYYQNTQGLIFVVDSSDKERVDVAREELMRMLGEDELRDAVLLVFA NKQDMGVMTVPEITEKLGLHTLRREWYIQGTCALTGDGLYDGLDWLAKTVGKKK >CAK58692 pep:novel supercontig:GCA_000165425.1:CT867998:48825:50774:1 gene:GSPATT00029320001 transcript:CAK58692 MFEEQVANEIQEADKQHVRVSFPQRSWLSIIQDKIVQQSQMSQSEMNEKHETRLGVTPSL SDRETLCRKQIGQSACFREDLGKSRISDLDTPTTRYRTQPHEVHFRIRVFIKYLFLRVVF TVIGPLILGYSLIRRDWLSFMSNFRMIGNWGEVWYNYFRWISNYILVFTILYDTTDEEKK IMHYEIAFFIIVYITENVTYAIKFAFFHPSKLVLIENFDICVVGDSCEQSINPYHWAQQH QSTISKELEQSLKRSQVENSIFQLYFMVAPNSERLKRIQVPEQDLKHKIQIEETAADGFL MANEIINEFNKRNSYKTAIQLAILLGVIRVGLYILIMELCEESVKSTSVVVQLSLFQFMY FSTILTLMILTYRDLRRKVFSMTQLSHLISAEKVEVYQESKIFPTINLLCTVSIYSWVNL RMILLDYGLQYTRRQTFILSFIMIINTILMGVMTLLFYLELASMNTILQQTMDFAIIATF SIGLVLQGARINAHWSIHRGLLRKNLFLIQQLAYQMFRPLDYSFKAEDPVFHALSRMLDT TYSDVIIREDSLLNYSTFCISSIQYVFDNLGHQEKSQPYTVMGIAMTYQLLLQVCVSALG LIATSGLNFILQVTK >CAK58693 pep:novel supercontig:GCA_000165425.1:CT867998:51623:52918:1 gene:GSPATT00029321001 transcript:CAK58693 MNWEIESHLQSFPSQIKRGLIGEAAKNQAATNPSRTLYDVKRLIGRKFSDSTIQYDKKFL PFEIIDRDGKPYIQVEKGTQSKVFAPEEVSAMVLSKMKEIAEGYLGQNVINAVVTVPAYF NDAQRQATKDAGNIAGLNVVRILNEPTAAAIAYGLDSKQVESNILVFDLGGGTFDVSILT IDNQVFEVISTSGDTHLGGEDFDQRLIDHFIKLVQKKYSKDVSGDKRAIQKLKREVEKAK RRLSAAQEAKVEIEDLVEGLDFSEVLTRAKFEELNSDLFRKTIEPMQTALNDSGLKKSQI DEIVLVGGSSRIPKVRQLVKEFFEGKDPNTGINPDEAICYGAAIQGGLICGREI >CAK58694 pep:novel supercontig:GCA_000165425.1:CT867998:52925:53673:1 gene:GSPATT00029322001 transcript:CAK58694 MNKIIPKGSFIPTKKSQVFTTYVDNQTTVTITVFEGERPLVKDNHKLGQFDLTDIPKMPK GQPQIEVTFEIDEDGILSVSASEQSTGKKNQIQITADNKLTKEEIDRMIKEAEQFAEEDK IAKEKIDAKNSLDSYIYSIKNQIEDEKKLAKHLTEEQEQTLKDAVQKSEDWIKKDEANYD KEDFEGELRDLQEVCDPIVQEAQQKKDENKENEEDADDTKADL >CAK58695 pep:novel supercontig:GCA_000165425.1:CT867998:53675:54652:1 gene:GSPATT00029323001 transcript:CAK58695 MKVVFQICIISLLLTTCDAFNIHQYAAMLPGLKLPPNTKTRVLVIDTFEDFLWANVYVGL FYYFSYLATGAALEFTNPIPKSAFRLKNMKLEISRGVSALFWVLLSATIFMWKVEPLTPY YGYYETHDFGLKEWLIGLLVYSIGFDFYFYVTHIILHQPFFWKYIHKQHHEFIEPTAFAQ DAVHPIEAIVQGPLGHFFPCLFYPIPPVWHHFFGFLTAVYAQAAHDGRWDPFGHINHHFY VTCNYGIWGVCDRIFQTNHSSSRFPVRYVPSWEKDAKKVK >CAK58696 pep:novel supercontig:GCA_000165425.1:CT867998:56004:57440:-1 gene:GSPATT00029324001 transcript:CAK58696 MFCYQCHWQQHREHTPYNIEEVHSIAKMNCNKQIRFIELIIQKNTQEQNKLQDFLESQKV IYLKWNDQQKKSINGLIEKENQKLNIKIKELQSVLNLQNLDLILNNCLNKAEQYEVQYQN ESQQQEIERQLQIKDIEARINFIKDLKFSISDLSDIFFNLPQTTIPKANEKAANQYKICV AHSKPQKYLCTHKDCLTQNSTFPFYCETCLKDAHKEHDFKSFAKKYKAIKQDQDEKTLLI TQEQEKIKQFMQKEFNKNYELIQQQLKEKMNKYEKIKNNMSKIKEQSIDYLNKLNNFIFI ENNINVSLLSKTIEGIKQEYQFQYKSFSQKMSIDLQKESREILTFSAQIDQKIEQEYNNL KLELNSNNEQINKLQSQLNQNQQVISKYSNMHNQLYLLQDNQKTIQQKINELTRNIKEKL NISFFIGYEREYFKSFDNDFKLIQDQISKTDIIIENIISAGEQVFPTEKVIIFLKYYC >CAK58697 pep:novel supercontig:GCA_000165425.1:CT867998:58947:60116:-1 gene:GSPATT00029325001 transcript:CAK58697 MSTARQRLTPSKIKLNDQNTFQNHKLNSCINHQNKKAKYRIVNDFSLQLCSKCAVSMVGK GRKVEEIPGLEDEYRRDQILKFIISVNNSLPQIDKLMNALIAKREDIQKYYDQQRDNLEK FHIQISQILEDEKMRLLQQLFTNFKTLSKLYDDSIACLQISKSETISMKNDVESNLDGIL KQMQNEPFNEIMTSYNKNLQLFIDRTESIQKTPIEVLKVTLNEPINISHLVTVHPLKTCL IKKNLQDHKNIIESNDSICANVETKKQYTQPKQPKIPPSLSKTPRKDHDNISPFEIKQEE IYEEAPSQMIERDDIENTYHMMMIDYQLDSEDQETEKDMVTSFGPLNIRPNRLMPNDQQL IHYNIQRQNENKMDRSPAFQAIHTSLKLV >CAK58698 pep:novel supercontig:GCA_000165425.1:CT867998:60167:60400:-1 gene:GSPATT00029326001 transcript:CAK58698 MPSFIDNNQKNKLNPQSSNPYPIKPCLHIPINSGTPFSTINNRYNLKKADKTKSRFCPDP LISKEYERVLYEKFNEY >CAK58699 pep:novel supercontig:GCA_000165425.1:CT867998:60487:61791:1 gene:GSPATT00029327001 transcript:CAK58699 MIPQVQESDYLYSTPKSFSTRAIHTGQHAEQIHGSVNVPIHLSTTYKQKAPGQPYSSFDY TRCGNPTRAALEECIADLEHSNHCITFSSGCAAMSCIINLCKHGEQIICCDDVYGGTQRY MRNFTVKNHGIEVLFVDITDPENVAKAVNEKTKLIWIETPTNPTLKIVDIAAVCKIAKEN KILTVVDNTFATPYLQTPRDLGADITVNSGTKYMGGHSDVVFGSLTCNDKELYDRLFFSA KSQGGCPSVFDCYLIMRSLKTLELRVRQATKNAYIFAKYLEGNPLVEKVIYPGLPSHPQH ELVKKQQRGGGAMISFYVKGGFEETSKLLKALKIFTLAESLGGVESLIEVPSVMTHGSVP ADVRNQLGITDNLVRVSIGIENIEDLINDMEDALKASH >CAK58700 pep:novel supercontig:GCA_000165425.1:CT867998:62895:63154:1 gene:GSPATT00029328001 transcript:CAK58700 MDQSMFEQFGGDGSISDLIDQFYYKVLFDQSLRSMFLKADMTRVRLQQKTFFAQMFGCIH TKYTGKSNRSAQEFEHN >CAK58701 pep:novel supercontig:GCA_000165425.1:CT867998:63253:64609:-1 gene:GSPATT00029329001 transcript:CAK58701 MWFGFKKQNDTQNVQQAQQFPAYRHKAAHQQGGLNLSFGEENSETQKVAASVFKQLSGKL ITGNFGSLMQISKPIAMSLDMSFLHGVALGHTFGNLLERCSQLSPMEQIKYISAYQISGL HCNGEITKMKSPLDPLVGETIQLVKEDGTQFFAEQTSFDPPICFYYIQGNNYKIYGDDRL EIQVHKTVNSLTGVQNGRQTIIFNNGAVYKCAQRPTMEITGLVMGDRLLNWQGKMVIEGP GVKSVITFNYNAEGMMSKITSFFKSQEQAKIFDIVEVDIFEVQTDENGNKTETLLQQGIG SWLEGLLFGEEKLWQIDEEKKPWISPQEVLESDTTKRDDLIFMKSQKMEDAQKAKLQLEE QNFKDLDNRRKKQ >CAK58702 pep:novel supercontig:GCA_000165425.1:CT867998:65983:66165:1 gene:GSPATT00029330001 transcript:CAK58702 MIFLTLNEETQEIIQNNYKWINNCLERQFNYEFTEIVYSVNQFINTEFEYDVVPFYEEEY >CAK58703 pep:novel supercontig:GCA_000165425.1:CT867998:67027:67494:-1 gene:GSPATT00029331001 transcript:CAK58703 MPPQTQLESTFQVFRASICFLFALLQVELFKIQKRIQLVAKELKLQLNTLYFILKTLLTS KTLGSGIQTSFLKDSLHYQTLMAIEQSCVNLTFSLYILITIWMSFSTNLKISIKKNRDVK GYVDQNQGDKFTNKKQSIQKLRRIAQKREGLPPSI >CAK58704 pep:novel supercontig:GCA_000165425.1:CT867998:69528:70757:-1 gene:GSPATT00029332001 transcript:CAK58704 MNKLFIIETHSPHIICLQEMKGQQVENSVRYTYYYARQNTERSGGIIIGVHKQFYSQNIT NYYYPQNNLPYDILILRIVNQIIELVTINAYFHSRQQFQNNMQNFKQILLKPQNKQDNKR LYQYVDTSIMIKPYSIPQYKIQIELYDHKLITFQNLVLLTKLEIEKKLKRIDSEIIYIDK KKAITESFKIQNLHEDIDSFYKHHLKKIINIKRIRLQQINNFIKPSRHRINSESIFRNQK ILFQIIEENIIKNKEKRNGDSTIAIKRKLRTGRWPQSIFQLFRAIRKVFKINKITQNQIL ELQKQIEFDKAISYDCITYQMIKNLNGTFQEISGDPRLLKLTKSQDGQDSFPQTKFIQIS QKRTISTTSDTKSPFKLIELRFYQQLWII >CAK58705 pep:novel supercontig:GCA_000165425.1:CT867998:71881:74226:1 gene:GSPATT00029333001 transcript:CAK58705 MIKKCESHQKYEEKFICIHKTRLLKEGNKFSCLKCLKWKKSNNYLKSIEELEEEGRENVA KFLVMQNKIFNINNEMKQKYIQQLNDLGQKFDHYFQKLQKSITSIIEQQNQKLTQNINKA NQLLNEQSVLDSQSIDNFIIFTTLNEENQQILENKYTQINNCLERQFKYEFAEIQLKVNQ FTTIEFNFDSVPVYEVEQFEIQTVGKKKDQLPFCKEHQLEKNCICTHEDCLKEQKNEYIC LGCAMNNHEYHYKRNFVRTLKKIKNEQSEKLKLIEEQNKIFKQEVDSRIDQELTANQQKQ QEIFIGSQTIRENLKLLETKTKIDSFNQNPIYIYHSIDQKLLSYDSEMIKLEFQSKLNQL EQLQSNISKIKKEEFNQQYQNIEEQTQNLKQETFRLRQLVLQQETKISELGNELKETSNE SIIAEIQKSQKFFIQESFEYYNNKSIEIKNYIKSQVESIQLNILESKQITSLQQDLKVNI ETINYIKQQMETIPKSVIESEQFMTLSQDLKNTLATINQVNSQIGTVPKSVIESKEFTTF QQDLKNAQTAINQVNSQIGTIPKAVIESKEFTTLQQDLKNTQTAIIQVNSQIGTVPKSVI ESKQFTTLQQDLKNSQMTINQLNSQIGTIPKSVIESKQFTTLQQDLKNSQMTINQINSQI GTVPKAVIESKEFTTLQQDLKNTQTAIIQVNSQIENVPKAIVESKQFTNLQSTIENSFNQ TQMQVQKIENSINEQKNSLYSYQLFESKPQVQIPYSRIDSCKANINNINQNSANNSANKK N >CAK58706 pep:novel supercontig:GCA_000165425.1:CT867998:76165:82996:1 gene:GSPATT00029334001 transcript:CAK58706 MNSQKSQECEGFRLIDVDSSYKKIKEFQESQELINLDDSCIQKMAQNDEWIDCLCILEIE LKAILLDRVNNISILPQYLRQRLQPFIIFIMNQIVFIQNRMKLQCYLNEILFLVQKLAFK IWKQYPIQSLQFFYLVIPSHVTQFYNSRVSELLIYKSLINQFYYERQVYGIQNQAESYIS NSQIQLFYPLQQEILSQRNLAENVLSFSPFLRMQIHYFYKNGGFERINESLSNFTLKHID ALSLLSYFKTNINEKIFTTFFQHINYQQVAFQLCDEDIKNASKETFAKFNAYIANLISFV TSFSEQKAQINLVYMTIYLQCLRCSSLQKRIYGLQSLSNMIDTQGSYIQYSQVTRNFLKF LVDNQVFQDLFGEKTHFELIKRSFPLIKLLYENKSLKREELISILRLARGKHETWINIIS TLLQDLAEILNLEDIQILLQNIQSNQIGLDGLNFIKSLGRNKYLNNRQDAQDSNVTDNKY KKQKLTDEDYKVISVGNCEDSKNQCQSDQQNAQQQTTQYEDIKAQIVEYLLNVVHDQHDT IIGETAFEIATQLICRQFTFLRIQYLMNAIQALNLDQAQLPIQNYFNLIKKIIESSYPIE QFSNPDDVLNWMQQQYNLKINFLNLIKREKLKYLYREEKEYLQVIIQCVNFYKFLHKNSK IKQYELQILWKLLVENARCLEERDLFFDWFYEQLNLDYDAMMWLFIKIIKQIPLSQSMLR CLIKLVLYYNQKYKLFKVKYENYQLNNYDLIGLNILWRIFHTHPDLYNQLSEFFIKLLKM DQYPDVLNHLKQQYLDELFSHIQNPTSIQFVLQLLEEFEGYKMIEQEEKIIVTILNKCAN ALNPKKQEIQLQSNTQVYQAKQFIGKKLNPSMKPEEFDIFCRGSLFKDNKTLKDYKVNEK LTFTVTNKQGFNNDFEPCEQYDLDVHEKVNKILDIVDIQNKNFIISVLKDKNFDVENTIG ELIDRGDQLYNEYQQKNLNRAPPPKKIETNTSFSFLISNQYIDQLFNLLNCNNQQLNTKI WSILQMIPSNQEVYQLIEQSQNDWSKLLVVDNKYRLQYHLQILREQLSCDCVEDEDNYRI LRYNFIQIGGLQLLLNNLDNPLEILLIIFYIFHMYLNIYAANQLTDNSYLMLLKPKTNDQ VMSNFASQDIIQDYQSQSLFKFQIFDIEINWENLLNKLFNWIGIERCYTTILSILFVQPT LLNCQFPINQLLNLLNNPLLEQRKLAANFIVTLNDLGIKFGYNLSVQFLPILIQGQTEYE ELFIVIADLIDQTNDVSFLQTQELGLKIINFILNRPIIESPFMENEDKVLQGNLVLLISL LKKDQDLQNNLIDNQFSKYIYECLFEMHNNYLLYPVYKRSNTRKRAFDLLLELSKVQMHL YQILDLIQINQQTRTSSQELNSEFGIKREHGFVGLCNLGATCYINSLLQQLFMNIQFRKG ILNGQILIKTELGMQLIITNYANQEQIESLNEHTLFQLQLVFIQLQESVKQYINPNQFIK TLKGFDGQQINPLIQEDCNEFFNLLTGKLEQDLKHTVEQNLIQQSFGGTLVNEIKSLESD CDFSKETEEPFLTVSVEIKNKKSLYEALDLFVKSDVLDGENQYFCDEVQRKIDAEKRCYF TKLPKTFIFHLKRFEFDFNTNTRSKINDYWEFPLELNMFKWTRDNIVEHQQLEDFTEYMY VLKGVLVHTGSAEGGHYFSYIRDGKNQWFEFNDKLITPFDTQNLKEKCFGGNQSNEWGIP NSKNAYMLFYEKEKQANQQKINQNKIEIESEDEDINIEQLRKQVIKQNTQYLENKLLNAQ EYVSFVQRLVQVGMESNQIQSLAQLLQLFTIFTFSQFLKNKDIQQFQNSLKILNNSYNQN NSTCVWLLDYFRFNKEMLIHSLIEHQLVEIAQFLNLVIEQAGAYDSSSIQPFFQFYLSEL LPIASKNHKNGVYYFEVISYNIDRHEALLNLLMQDGYFHKFYEILIDKLKSNSPSNYLLK EDSMILICEILGKIIRYCQTVGMLSNQDCPTYLHKGSVMFELDQLWIEELFQQNHFRKYI LSMVKHQKQLIQMIKHICWNDQGVSNKVIQEILIQILDNDNSWSSLEKIREVLKEILLME DGLQQTRIILIFSYDQSGESKTARANTLIEIISKDDLDYTIGIICMLAELGFNVVALAEY LNSNKGDYEFLLYKLKDIMTISQRFFYPIVQIRKAIEQLQSIFQTQVEINVEDDNRRQSD EESKCLSTQVQQDLEDTNQISQ >CAK58707 pep:novel supercontig:GCA_000165425.1:CT867998:83028:84764:1 gene:GSPATT00029335001 transcript:CAK58707 MASAFPKLPGFVPTQDIDKPNFRKVSSTKQEQNREVNHLPNKEYAVPRKQPIQIPPQSGM FNPDYMSTTHAMHLPKNVTNDSEELYQPSWVKMDRHVLRFSGYFKEAVVESALENYRIRK ITICYYLEDHSLSITEPKQENSGVPQGAFLKRQKVLRADDSKTFILPEDFRINQDIIIFG KTIRLYDCDQYTREFYELQGIPQQPSFAPQSDSFETKTMTKFIPQKDTVMKDYLEHKLGG GRVTSQKQFLENDRKVLKFYVFSDIEYILHYYLADDTIEIKEINSANSGRVPFPMMLRRQ KLPRKFSLNQPGQTYAEDFIRPQDIQYGQPLIIYNRKFFINGCDQFTRYYYLEKFNVDFP LGGQEEQVPQERSNIIIPPHNGIGDEQDSLGYIYRLQPKPPKKDFFKWVDNQVNLRFLAM FNTTKPEDKDRVFVITFFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNVNNNNEFFNPN DLIVGNEVVINGWKFQLLDCDEFTQKWYAQNFK >CAK58708 pep:novel supercontig:GCA_000165425.1:CT867998:84799:85866:1 gene:GSPATT00029336001 transcript:CAK58708 MFSSQTPNAFIPNAQSRYVISPRIGQSMQVNQNFQYHHQLTEQENINSNPSEHLHLHLHH LTKLYFMMLLCYFIALFNLIDFESMNAFFNQNGYFLYLFLGALLFISVLSLVIDNSILYI LFVILFYFLFACIKAVNNMNVALMMIFTYGGQVLAQFLSVLSANNEKDLQLHQQSLYILT SGLIVFQLFITCFDIDFFEMIVILITGFVFGFFLVISTMSNESRFNGNALPESISIYTKI LVQILRKAQQIASLYYKDPVEVEIKLIERNKKIDENDYKMMNQSDAKNYQHLLINALNGL DGLIGLSDGTFIYKKGMIQYSNSKFEECTNVAIMKLQ >CAK58709 pep:novel supercontig:GCA_000165425.1:CT867998:85903:87547:-1 gene:GSPATT00029337001 transcript:CAK58709 MSLTFERLKKQDLLSAAVLYEKIPKEELIQHLSQVKGEQFDLIDSWSYEALEAEVKVLVE KKHDEFRRTRTMSIEEEILLKPNVIINDEKNYRETISCKQLPPNRIVLYYVENPQIIIQP RIPEYKIIEGNTFTPNYVNYCVIVGQFGSNVWRRVEHFYWLQESLQQQYPDSLIPPLPAK TLFRKFTPEHISKRCKMLEQFLSAILNNHLLRQSDFIEGFLFIDDDIKFKQLLAASTVLK QPTKYSDYANQEGQVILEFNPMMDKYFMDINNYMLNTNDIYKELTDNSRFLVASMKDFIV KVKNLGGSIGSLKEATKAFNLKNIVGSLPLLEFVYTLLEEYLVDWSTNLNKLANTLNENL YEFFRFQRDMQNQCVELISNRNKAQSRYLKEFQDLMKKKHKYFTTEPIEKWEMVTEMDRI KIKQSQVLSYHFMLPKETQEVEELKMRFAYINRQAYQQITQYFDNKGISYTTRMCNMSIR KKENAAQHTQHVEKIASQFMQIVAMRNGEIPNLKQEWIDQYLSPLRISCIVK >CAK58710 pep:novel supercontig:GCA_000165425.1:CT867998:87700:88772:1 gene:GSPATT00029338001 transcript:CAK58710 MKQSFQIKSDIQLCFKIVFLGSSSVGKTSIIKRFLKNEFAMKSMSTVGVACESKVITINN QQVKVQLWDTAGQERFRSLTKNYYRGCDAVVIVYDIQNMKSFEQVNGWIADFEDKCERPA IKMLLGNKIDLSREVGIELGQLFARKQKLLFHEVSAKENINIENAIIKLIEILIQARQID SGDKVVRRGSLVEGKSNNGDMSNSGISKSTRPVSYKPPEKDASISDTSKLQAIKSGGESS QEESPYTSGPVLQQTSPPTIIVTPPEDTNAVSQDENNMWLQESKKNKLMKKKSHCVSTLA VPLKLVHPRDSEVNRDEYLLRHNVNQGCFCSC >CAK58711 pep:novel supercontig:GCA_000165425.1:CT867998:88838:89375:1 gene:GSPATT00029339001 transcript:CAK58711 MPKGQTTTTQKAQKAAKNARVAKKVIRARTHFQNRFHTAKPLALARKPRFTRLTRQLKPI TKGLDFQNVLKHPLITEKDMKKMEDENTMVFYVNQKATKPQIKRAFSKIYEVKVRKVNIL NTFGGKKKAYIRLGGENDALNLANKIGII >CAK58712 pep:novel supercontig:GCA_000165425.1:CT867998:89394:89918:1 gene:GSPATT00029340001 transcript:CAK58712 MNTTIRKLYTFKKKQKSFDKTFGAKYVQDLLNNIVVQKIDFSATPEICKTEEDLDLDDLI IHDISKTEGPEEDLLPIDDLSQKLNDLVSPRKKLVTFDSDNYRLIYRLKKSKSKLIVVPC KVSKRTFKMTDQDLQFQQLFDQSNFQFQPPNELDFLIPFNDFYQKINEIVLNQL >CAK58713 pep:novel supercontig:GCA_000165425.1:CT867998:90122:91467:-1 gene:GSPATT00029341001 transcript:CAK58713 MNNPYQPYRPYSIVGNTPRQNPNILSYVDSVLQKSRNTYGHRPSDPQARYSQSYANTGII KTDTDVKNLGSSPLLVSQKSMVQQQTLKSKLEYVSPKKSDQIQFPTEQNQITSFVSNNNK VENQNKVQVISEQEKKEMPKYKNFITIKRLGVGKYSEVFLAIQIQTGFLVALKVIKKAQI IKEKMQGQLAWEIKIQYLLEHPNITRLYTFFQTQSEIVLVLEYCSHGQLNTVQQTKPNKK FVENEAAQLVQQITFALMYIHNQDVIHRDIKPDNILLSFGQVKLADFSFCVYSPDEYRQT QCGTLIYASPEILEGDMYDKKIDIWGLGVLTYELCFGKPPWKENQQELMKTACFMIPYTA SRDLRDFIENLVKRLSRERYTAQQAYNHAWLQRSMQVLPFYIQTNEALFN >CAK58714 pep:novel supercontig:GCA_000165425.1:CT867998:92062:92951:-1 gene:GSPATT00029342001 transcript:CAK58714 MINKYILLFITSIFAYSDDIYGVLEQNEIKNFTIEGFYTTQVSFLSFQGPIQFARVSEDG KLVELKPIPGTYFFYDYLNRTDSSPPVTYRAYGSKGGATFPQYKFTTSNRQIDYQTPSLA LYKNQPINHRSEDGYLILDIPMVLQNDGTFIKNALFLFNVGHQEDKELLKLSSSYLYSSK ERPKDYYQSDFNEMTFSNYYTTFYIKMEPTNTYDYFAVILQNYELPLGGSTFTINYNDSK SNTWIWILVTLIVVVLIIFAGWYLNHLKSKREEREDPQNFQQTFQQFS >CAK58715 pep:novel supercontig:GCA_000165425.1:CT867998:93670:94244:-1 gene:GSPATT00029343001 transcript:CAK58715 MPPKKQKDDGAQNNAAKITMLDFEAKRLQMRIVEEQMRADRSKTQEMQLQQKYLSMEKEQ EGEKEKLFNISTDMNRQYKQMQDELLKDINELNATVKEKDEVIKTKEQQITDYRASYEEK LKKKDQDIAELRKKIDDMSLEFADMLKETLNKMQERIELAQWDNNSDNQVMRNFKEASGF GN >CAK58716 pep:novel supercontig:GCA_000165425.1:CT867998:94294:95385:-1 gene:GSPATT00029344001 transcript:CAK58716 MCEDSRALLDELFGKERNVIASKRKNDAHYWNDDVCKNILVSKCFNDLWKHTKYDHEGEC NKRHDQFFINEFNSDRSLKKQQREKKYIEEAIAYIQDKLKEVDKILTKHEEQVEQSSKLQ VADRPKEIQDRLDNMERQINLLTDQSEKMGEQGKIDESERLIMEADNLKKAREDVLLAYE GTNNPFKTYKICEVCGARQSLYETENKVKTHLDGRIHQGFSTIRVELQKLQVRRLVLEKI LEEEARKQEFKEDIAKDKEQEREKQKDKKEKERSRSRENSREKSKKKSSKKKNKDKEKEK ERSKSRKHSKKHHKY >CAK58717 pep:novel supercontig:GCA_000165425.1:CT867998:95473:97573:-1 gene:GSPATT00029345001 transcript:CAK58717 MNPPPGLGDDDDNQIGPPPGIDIPQKKKFHVNKKQSQQFQQTSLESLNLELKVTVQDQQF DQIPISEDYLEPSMNNLIAFNGYLCDTAGSDSLAIWDKEMKLKKEYKGLIENMPYQLVAV HDKIYLIFGDILMMIEPETNKKTILIEQALTAFCVSDHPNPRIIGVQDGELMLWRTSNLG KPNQMEPKYNNFQPKTNKVIELQKVLSDKFDECDDLLMLQNGLVFGKQQKVGEVFIIDFE NDQLEELQTTYGCAVTCWTPISYDSVAFASNGQIVITKFQSNKSAIVQIILNSSKDDIHH GLCYTNSQLFSISKNAVVTSWNIVLGTKTKTFEVKFCPGVYSLIAMNQEIIIAEHKIKKD FILLNTNLEKQFFYKARVKQVLHCCSSPNQQFLAVVQKCGLLYDEEVIFHIEIEIYPFDN KLEFQRRKEIDNLEIKTVMFLNDSTIQCILKISKSVYPLLVKWNFITNEYSEQEMLVEKY KSCQLYQNRSVFSYDFHNPPSQQFNLFAPKRVDDQNQSTGISVYDENIKRLLKVQFQQQF NADVFGMPTPDLLYFLNNKNQIEVWDVVTCQQVQKADCRPSVIKQIKFSSQYLFLNAQSE WIIRDLKLAQICKTKGEIINKCLFLDKKCIINLRSNLYEWDLLSNQCKQIPLFKVYDFCI IQDKILAIGESTIKLI >CAK58718 pep:novel supercontig:GCA_000165425.1:CT867998:97645:99665:-1 gene:GSPATT00029346001 transcript:CAK58718 MDSIEKEGDNERGYWSKHSSANGQTYYYNVKTGQSQWEKPECLQDEESEVEEEWQQYLTE DGKPYWYNRNTRESKWQKPEEEQDTSGEEEDIIPPNPIDQFTQLLKDNKITSSVKWDSVV KQLQSDSRWKCIGSISHKKKIYNQYLEEMKKQEKEENKTKLSMAKEDFMKMLEEHKILSS DIKLWKVQSYLVTDARWKAIPDEKERENLFQDYLDKLYKQEQELMKESRKTTTEDFRKRL QRHIEIGVLSHSSTWDECLKLFSQDRLLQQMLPIDALGVFEEVINPLYEQWRQSIISKYR QNRINFRELLQEHLAEGLLTHKTKWGQFVQTIQQDDRFICMLGQPGSQPHELFQDFISNL KQNHQLYKSELKMHLQQKGVKVLTNVSFEEVEAYFVDNQIWTKMPSHEKKYYYRYFQENI KQSSNIQSKRYKKMCKRYIKLLKSLPDYKSYEEMLPIINQKIQENPKLAGLVEEQKKAQY ESFVATLQQLQQQQQQTQSQQIPIVPIVSQQQQQSQVQQTQQIQQQQQQQQQQQQQQQQP QQQQQQQQQQLQQQSTQIQEEPIQQQQQTSEKDQSERKKKKKKEKKEKKEKKSKEEGSVR QDLSQVEPEKSKNVKQEHEPEPGEIQPNYDFNDQEKSKSKGKKHHKSHHKSKKHHKQ >CAK58719 pep:novel supercontig:GCA_000165425.1:CT867998:99745:100895:1 gene:GSPATT00029347001 transcript:CAK58719 MENSKSEILHWINTLLKTNFTKIEQLGNGVAYCKLLNLIDPTCLNSTKIVLKTKTQIDHI SNLKLFQTAMQKLHMKKQFDIDKVSKCYFHENYDLALFLKKQHSLRFSSINKENTSINNS IIYENHPNILEEQQTSDDNLLTQIASIMQSVDSAETKVLQTINLLKTHNFIKNNPQFIDN KPLYDTTNNIFNMFNNQNVFNKDNLFKTDSKKQQSLEQNNNLLCETPEMLLDPHQNNEDI FDHQSSSKLIMKIQSQSKIQQEIKKETPNFCESPFIQQPQQNSLADFIKSESKQQQQYMQ QYSLQKQLQMQNQINTNPPQEYQSPFREQIIQLSSQKEIFNQITNPQVFSSCQKETSQNN SVFYSCKDKGAIIEE >CAK58720 pep:novel supercontig:GCA_000165425.1:CT867998:101095:102306:1 gene:GSPATT00029348001 transcript:CAK58720 MQEKQQSSYWSKMLENQQQERQQNDYQSKQDQEQRKQRYKQELQTDMMLHQQKKQVQQNQ NQQLESITLETLRQRESKKMKEMEERRIKQIQTSLDNLNNLMIKKKMNLERSYQNQQLDK EFIRQEQMKAMKSIEEKKQKKEEEAKLLKVTYDDMINNKRRMREELQQHTQPSIPTLTNE NNKVKIDTKKYQEELKTQLEQKQKQMEELKMKKVEERQRIEQKIEFEKQRMMENKLKNYQ TYKQYETENYELLQDRKYQQNSNPQELRLLQNSFESKLPRIYQDNYQPSERKRQLALLDR DLLKYQILQQQQQIIDKNHSTINQAKVNYQDEAVSQTSKQTKQSKQLSNNFIQREQPQQA STPSQKSRNSNLIPNNPIDYLNQKQHAPKKRSFNIVTGIVTLN >CAK58721 pep:novel supercontig:GCA_000165425.1:CT867998:102688:106052:-1 gene:GSPATT00029349001 transcript:CAK58721 MRKNGKEDDDDELFEISKKQQRKKSTNRKRSSRRLKKADDEDDDDYTPQAQKESSSSELQ PSSEDEKQNKKRQSHTNVKSKGTRQKKQPKKTEQQPQQQQQESCQEEQQHNKGDEEFYKL AEQTLPIFMQPEHIRDSEGRRPDEPDYNPSTIDIPNDQYQKLPPMFRQYWNAKKNHLDSM IFFRCGRWIAVMYNDAIIVAKMFNRYLGFWGKDRPCVTVYDNQLPIYQRALLEKGHKIIM IEQLEKADVANKEDGEVVRREITQMISRGTLQDLGDAETYEQRNLLVLVCSKAPVNLKGH TYIYGVSIVDCTTNLFTFDQFFDDAQSNHLRSIIYNTKPVEVILCKTPPEIEKIFKNICN PTIIIYKKSFKDCEFIFQQFKIEYMEVVKGKNDQNQKLFDGIVIPSRKEQSEQKIDIDIN QGLYSDSKQEIREEKCVENYQLSQDYPNILIELEKQFYHEKKQQDKEDDESSFYSYYYST QSLYLLLCYLRQLLISDSVYRRGKFNFLDSNFTHNTHLYLDSQALESLEIFNVNLQTKIT TAGSLFDYLNRCATAPAKRLLTKWVQSPLQNYKLIIERQECVQELTNLIPKCAEFQRRIK SLPDIERAIIKCFNTIHSHKLKAVPITGGESIGKTKLKEIKNVLSHIRQAAEAFKIFDQD IKQLKSKKLKEILNYRQNAKILKDSLDELEKCMTMDDNEPKPVKGVSPEYDQTLDRLNEI TDSLQDELEKWKKKLKCPEIVYTHARMKYQLEIPEKYLEGNQKPKELIITSKRQGFQRFQ TTFIEEQLYKLRKVEDELSQKLLPFINEYFTKFYSHRKEFFQLISYLSEADCLISLALVS NEQKVSCFPQIIQDESAKRCELIEAYHPCLLQNKDVEWVPNTIKFTGSIDTLLLTGPNMS GKSTLLRLIGVSIILAQIGCAVPAQSFTLTPFDRIFCRLGATDRLLEGKSTFFIELEETK TILDNCTSRSLVIIDELGRGTSTYDGVALASAVLRFLSDKTKPMTLFATHYHILLDEFAL FKNISQCVMKHYQEKDQVVFKYKLIEGVAEKSFATNVAQIAGVPKEVIKKAKQMEAKITK EESKINKNREILKKFNQIIKQLL >CAK58722 pep:novel supercontig:GCA_000165425.1:CT867998:106105:106588:1 gene:GSPATT00029350001 transcript:CAK58722 MSSESNKKPIVIIPQTTMNIRRLEIEQVDQSDDLEASSEKISEQQSDDPFLNFVASYSLF TNNSEDTQLDLTQVLQQLNDKIQIMKPPSNHLLDFHQQLQIKENQINELADTLKQLPDSK LLSCIQDVEKLYYRLSVDFQEELRTAQKLRIV >CAK58723 pep:novel supercontig:GCA_000165425.1:CT867998:106932:107165:-1 gene:GSPATT00029351001 transcript:CAK58723 MGIIQTCCNNNKNKIKGDKYKQNAKNLDISKTVRMFDYNSNKYVDVPVLEPATLNSLYSK RQTQSSNITPAAYLSQS >CAK58724 pep:novel supercontig:GCA_000165425.1:CT867998:107250:107643:-1 gene:GSPATT00029352001 transcript:CAK58724 MATESEVLFALYQLYQKNLISYEQKGMIKDMLIQNDFVLRASITDCDTQFLLQHRILELL QGFQMVFIYLDQESPRNSTCISPLKRMPSCSTTHSRQRIKSAIQNPNQKKTISRLRSNSL TAI >CAK58725 pep:novel supercontig:GCA_000165425.1:CT867998:108264:108937:1 gene:GSPATT00029353001 transcript:CAK58725 MNPTFKIVKKFNYRRKENRQMRPIQPFSPQRVNDVNIQMDSQVDNNKKNKYDQDEIKDST PLNKKNLIPSFQYSRSTNQPSKNNFYQTPPEIPKKLPQLFLPFVLSFIVNLGLGIAIFAT QGDQTAIIVFEVFMILLNIISGAYYYTKSTEIIVTRPKCFYIFFGTILAEFLCLFIQLLI SWITKASFAIQIPNIISVTIFLLYFYYKRKQYYQDE >CAK58726 pep:novel supercontig:GCA_000165425.1:CT867998:109006:110987:-1 gene:GSPATT00029354001 transcript:CAK58726 MIHNMSQISDEERAKQEYLVIEYGKQEYRDLFNGWVQQKIMFFMLLKKGEKVKYIKDGEI LKIISESIQDLMKRKTIQGNLDQQRYLRWEAVCDSSKTQHKVYWRGELLKAGGFGDQMQQ KEGKWIDLWENFWIKSKVYFIGNYTEGRKTGKWDIFLKEQRVGGGTYNQKGMKHGEWTEI DNNFKKKCQVTYSGEYQDGRKCGNWRITYNGNYLGGGNYNQFGLKNGKWLDLHEDFWEYE MLFQFRHCQVGYYGEYQNGRKINKWNIIYRGYKEIEQNIMYDQSLRLEAMEHTMRMAVKK ENGQNCIQTFQITAKLFTLGNIVVELNKENGKQSSLIKRIFNQNPVLCMFYFHFYRGGGL YNCGLKVGKWVDLHEKYFVSNCGGCNVFYKGEYQKDLRQGRWDIVMNNQNVGGGNYNENG LKHGRWIDFHENYSDFAQIIHYGEYKNGIKQGIWAINFEGQTIGGGEYDQSGLKNGDWVD LHINFTKQLNDLTIRFCQVTYKGEYFDGIKSSMWETCFNNGVIGGGIYNEEGNKDGQWVD LHHNFRDLYQVVIIQNYQNGKKLGTSKEIELQ >CAK58727 pep:novel supercontig:GCA_000165425.1:CT867998:111510:113635:1 gene:GSPATT00029355001 transcript:CAK58727 MIPNQLKFFLDPTSCKQRDDPKEYFFSVVASSLLKETKYYETSDGSRDKLIATMNTIANI DPEFILQVAYYVRNQMYIRSISNFILAFSTLHPKTKPFCSTYMCPTMLIPGDLIEVCQFV QVISKYIRKQLQFPKILQKQIQKKLTQFNVYQLGKQCSDGSRKKNIKKYQEILKPDLKDK RREKRLLKLKLIRDQIESQGPDVKTQFEIKAIQKTNRSKKNKGRHMRNPHINQVQEKLNV FDTNFLNLKDIVTFSHVKEPRSLVMSILGVKYPKTLEEFEKEFKEEKKLQFEPERAGKRM SIPIPVTWDRELSKGLNSKRQIWEDLIQKNQVPYLALLRNLRNILKSGVSDEAHLKVVEK LSNLKQVENSKVFPLQFFTALNEIDKLKVNKQSIQKEVKQQREKKERAKEQVKPKKEKKE VQIEEEVDVPPKVIQSYKDAIEKAMKIAVDKNLETISGVTYVFVDVSGSMRSPISGGKKY GSVNQCQDCAFVLAHLIRIKCEKCEFYLFAAPKNNVPYTKVTFENDTLLEGIQRCQQKSG ELCGSHEMIGQTINDVLLVPKVRADNVVILSDMMVTQGFSETELSMEKVFKDYLQKVNPE AKFFFMDISGYGQQVSFGDDLKSKNCFLINGMSDNVLKYISYAGKINQLEDVVAFSQELK AKQQVQELKNNAQIAKPQQIETE >CAK58728 pep:novel supercontig:GCA_000165425.1:CT867998:113769:114773:1 gene:GSPATT00029356001 transcript:CAK58728 MDFINEGEINLQLINLQDQTTLNNLEQITFNYNSKIILAIQQSIIYAYFFGLNNLKTISS IHVKRKNTEQLKQIEYLQASNSILSIVNLKILVANLNALNTRKFQLKIDYGLYGYCDQLL LNKNQNNLFIGFKNYILFFENKFCVWKYSKQIITGYRDYILSICLNFEEDELQVYANDSY NCSAYIYIYHKQTQNNSIQWILNQTIKFTDGIGYLWGGQVNHLYFMNPFSLKVFKQDKQL SEYQIYSSSNVSNQSVICWVFLQNKFILLIENNNRISVMILNQQQKLELIKSININLSIN KYSYCLQFKSFLQNGEFLIIWNTEKTLIFRMSSN >CAK58729 pep:novel supercontig:GCA_000165425.1:CT867998:115251:119502:-1 gene:GSPATT00029357001 transcript:CAK58729 MSYNLFRINLILINCLLTWCCNQGQYSDNGLCVDCSPNCLACTQANKCDTCKPGFYLLSD VHECDACQNGCQTCSLVQVQGYTPSVQKQCSICFPKYYLSGINCSPCPSQCATCMQNGLC NTCEIGNFLDQGACQPCDPSCKMCMSSTLCFSCQDGRYLSNNLCLPCQYPCLHCMNNSNN CLSCVDSDRKPNSSQQCVCKDEYYTDGSYQCQACTAPCSKCQLIATNCTDCIFPFILSRT QYQCECSPGYVQATPQSCLICIKPCKTCEQYQYHCLSCVDVNQVVNSLFECECKAGWIMD SDGITCIKCQLPCINCVDTKSKCVTCQDSSHQQPDTCQCGLGWGYDSNYFCILCQQPCQT CQISTSQCLTCVDVNQEVNSASQCVCKPGYLQSGLLCLKCQSPCSTCTDTISNCLTCEDP TQIIENQCSCKEGFVQRGDFCCDKYCIDCQGIGNCNSCMKGFYLSTINRCIECIINCGVC FNQVTCDICQDGYFVNQLTLCEACIPYCITCTNQFNCDVCLDGYFLLDQNCEPCNNNCLT CEGLPEKCLTCRLNQEINSNNQCVCKIGYYEQQNQCHRCEYPCKACLNQTICQECFPLSR LYLDLNSKCSCNPGYFWNQNSCSQCYQFCLTCIDNYFNCLSCDDELHRVLKNNKCQCAQN YFESEDALCISCLGELGKTQESCKYQDCKDQIWTYGEGCDDGNDVVRDGCSNCQIDHNYS CKNEILKTSICFQCSINCIECELNTLSQKTQCIRCEIGYFLDKNDCVKCSANCLECIDQA QNCVSCKILQQKNHKCQLCESGYYAEYINGTCLNKCGDYIKVNEEECDDGNNLKGDGCDD QCKLENNYIIVNGVIIVPDYPKPLLQSVGTSQVYSPNRLFKLSYSVPIFIRDGFQIKDYL TFNFSNDLGVQQMDQSFELTQDIAQINSLNQSLLNLMIKINYSRDSQDEILLIKFLNNSM IYSTQGYSQIETEVSCLIPKVIYIDDTTITRVQLATKSNSYILYFILAMCGGSIVFGGIE AFFNLLDTLQMLSYLKYINTQLPYNLQIYFALFEFAQFNFIQKIFDFSGIIELILKTQNF NKLPSKIASDYITSLFMINSATISAVWISLFSIYAIAKVVPKILSYIKLNVNPESQTQDK RILKLGVYLLTIKLVINRLCFVIVQEFFYSGILRAYMATAYEFAFCAVLQLYTLEFNSTN DFIRFNSFLACLASAAHIYSIYFVIKVAQMKKCSVNSKAIQIKYGSIFEGVKINQFSKYF NAILLIKKLIFILLLIFAYDFPAFQSISITILSISFCLFYIRFQPLQDKLEQFKQLFCEI SVSCTLFNITILSCDFELVWFTYEIRQYLGWGCIFCMTSILSVQLAIDGFQQWKFLFQKY KTIRKIAQFILQVLKKKGQVRTSSTIFQ >CAK58730 pep:novel supercontig:GCA_000165425.1:CT867998:121773:122801:1 gene:GSPATT00029358001 transcript:CAK58730 MEQSHSKAFTYNLLPQYSINQNSSPRAIAINKNNTLLVVEAYYFVKFFTFKRGEIRLLQR FEFRNSNHNTLNFQMQKQDIVSSSQKFVCKYSSKLMANPKYIQKCSGQFNANCLILHPLN EDIIIVGSLLGQIDFLFTNQSGSIKPFKQTIKEHSSVLGLSINNDGKRVISCGKDNFILI LEPNSTNSELSTYIWTVKQKLFVEQYGYRICFINNDKFTFQPSHSPYMNLYCEINGFFLL KSQHLLSSDKNDPRCIFQQVYNKQKSLIYNIYGRALQIIRLSKDQSLQTDKSEESFQLEQ LIDFGNNYNPWIFGVISEDAEYIITWDFYSEQIQIRQFQNTE >CAK58731 pep:novel supercontig:GCA_000165425.1:CT867998:123271:123880:1 gene:GSPATT00029359001 transcript:CAK58731 MDNNNCFSLINNSRQTSINLLYQVEQNVTLIKNIEIQEQKNKELYLKSLERIFSLLQKNQ CSCPKLQLEKPLVTIEGYRSIWQNYSKICEQIKINSQVVDYYFSASFCHYVLIRNQQLII RGQRVKTTHILQLLQQFLKQLICYQCKRADTNLVKDRKTKLIFKECNVCKATCTVEGSKF KYFRFP >CAK58732 pep:novel supercontig:GCA_000165425.1:CT867998:124758:125239:1 gene:GSPATT00029360001 transcript:CAK58732 MFCQFNGCFLLRSFIMLYQQNACSVQKKQKLTKKGRNYLAQKPLTQNRNHEGTLFQLFDN EKFQLSFYEQ >CAK58733 pep:novel supercontig:GCA_000165425.1:CT867998:127490:128627:1 gene:GSPATT00029361001 transcript:CAK58733 MLRLIVLSNYNRIKLKNLKQTYTWVNYLNHSLGLIHQVQKQYNIAINYYDEALKVETGKQ QLLYNKALAILEQNINSKVQPNDVSYEKAKSIFQSMNGFLDSQWLLVILNSYQNNLNEAH RLLEIIKTDTASIEKAEKLKAKLYYKQRLFGSSINIYQKFNFSSLTMIEVLQFIMSALLI TNFELAESLIKKSQEQLKSLFYFFKGTTQIYQGFLMELQSKNQESLQYYEEFCSQELSKK AITEYPNIVQAAKLAQRKILIRLQQQQKAIEFENTIKQDYPKLPLDTNYQDLLIKEFEQM RYPAKPNFELIRRYQFDDIDGLQRKVCAYRLI >CAK58734 pep:novel supercontig:GCA_000165425.1:CT867998:129665:130426:1 gene:GSPATT00029362001 transcript:CAK58734 MIQTGLNIVLEGSVKETLEQLNKFKERPYNGILITGKPGTGKTFLCKYFAQINDCCHLFF NCVNEFSNLEASQMIELIKQERKKLVFFDELEYFSFVQQEKYYKLMEIIKICQEQEHKLI FITQSPEKLDLYPKKLPYDRATVLLLPENKFREQLIANNLPSFKFLSGLTDSYSVSDLIV LIKDIQMEPLKTIINCDSFELIDEKYHPTQDVKDSSVLLSYDQKLKFLQEGKIQIKELEE KDVRRILNEKKRM >CAK58735 pep:novel supercontig:GCA_000165425.1:CT867998:132170:134641:1 gene:GSPATT00029363001 transcript:CAK58735 MASKKILILNTFLFILMDLATISILLFESVQEEIGQLLTISLIIGYGSFVIMQQLLVYTE WKLNIKSKLSLVSFFGKIVIVWIGGVKLITNQYLLILFQIYMTSESYRENSLQYLFVFMM VLNLFEFKKFINFGYDQLINSMQIIILIVQHFYQVKKSDDKYHQSYVQVSTGGGQMQQTF AMMQESRRSMPMINSIKLVEDEPWLDHKWLQYEKYLVLGRDLKLKFYSFDLNILGKFQEM TENQNEIVDQLLDQGVKFISKLENSQQSLSCQKGVITLRQVLENQLQTQINYEHYYQTFQ MQGQTLDVSKYLLNVFGLIGRQQMYYVMHFQLVPKLAIEINTNIFDMCKSLSHELGTSLN CIQSLSEIALQKSSVTQDIKDNIIQPILTNSQQLNLIISNIRDYSSIETDQFQLSLSTFN IFEEIKAIADFFQITCQQKGIQLVLPTESFPIFNDRDRFRQVIFQLLSNAVRFTITGTIQ LLIKKQDFFYEIVVSDTGIGMVGQEENNLRRILEQRLMLRVSENSIGSSLGLFISNLLVK KMNADDDCKIQFTSNKAGSSFYFFIKNMSEFKNNIDKLQSVKFHRTIVRFQSINSYYENI NDIQIKFGQHTFKSITNGQSNLDDGNVTVYSKEFEENKQTIQPLQSLIPQLQDTIQAYSL KSTCCSRVLIVDDEYFNIMALQMLMQQYSSICDKAYNGMEAIEQINEKLANPCPKCQNCC YQLIFLDINMPIMGGIEAVKIIKKMMQNRIIKKVYVIANTGFSDLETKEKAYDAGIDYFM TKPLNMMTFRSIASKIFPRG >CAK58736 pep:novel supercontig:GCA_000165425.1:CT867998:135261:136113:-1 gene:GSPATT00029364001 transcript:CAK58736 MLLTFLLALQQVFADCIQNSYLTYPNQEEWVYAVKRISVKLEGEYVIGFGDDYEVKDKSK IQIKQVPGGLTFGPSNFEIYCPAIHQLSNNQQIKCEIQLNMKEINATSTPSKAVFAISVE LDNELKENPVFQIQNNFQMRLMDLAKQLENMAYYLEYENCDQIVYLLPDPLPISSEQISL LQKYSKSLPEGSPTQAVSGSIKGQLFVENFSIDSSSNTHLYGLVAIIIGVIILAAIRAFN KKREQQNLNDSSKKPLNEQELQKLT >CAK58737 pep:novel supercontig:GCA_000165425.1:CT867998:136689:137794:1 gene:GSPATT00029365001 transcript:CAK58737 MSANDLSKPESLDNLSQPSSQEGQQRKQYKVHRLGNRKQLSNQNYYIKEQASSREERKLK SYLQRIEEQQKLEEAALLKRKRSKPNQETKSSETQEAPRQIDEVIMPIQATVLLNPLLVP QQSQLDVTAQTKLRLNPKLKMKEKHHIFLYLSDWYEKCVDNVQKLNSGATQSYSPQKVGK CDVTNIQSINQDVYQDTLILIKNFKHQYQQQNGNDSKELLSKYSDKKFLETSYSPQKVGK CDVTNIQSINQDVYQDTLILIKNFKHQYQQQNGNDSKELLSKYSDKKFLETILRDNKQQI NKKIGFEKVQISNGKFNSKKH >CAK58738 pep:novel supercontig:GCA_000165425.1:CT867998:138255:140450:-1 gene:GSPATT00029366001 transcript:CAK58738 MQHLTRPQQQLQEINVLAIVICSCTSKSNIKLSQSFNPSGLLSQSPTHKTNQSSGFQGID QKQLQKCQGQLLQGKQYKKELLKLMTSHKQLSTQKSNQQNNISDSSNILKTIATTDTRRT NSNQLQKSFIQTIKNQSFVSVANQIVVVVHSLNQQYKFTMDPQKSTGYMMEYLKTEMKKN IVVYSTTGSSSNKNKLQCETGNECLDMEIVSFHTVDKNLPIDFYIQQLNKPLDIFSGQTL NLQPFYGCIQGSQITLKDFIFIKCIGVGGFSRVYLVKKKSDGRFYAMKLIDKEFIIQRKK QGIVQNERDIMTVLDHPFINKLEYAFESKNFIVFVLEFCSGGELFWQLKQVKRMTEDQAR FYFTEICLAIYYLHSISVVYRDIKPENILIDMDGHIRIADFGLSKPNMNEDDYAYSFCGS PEYMAPEMLLKVGHNVQVDHYCLGALLYELVTGLPPYYSRDTEEIYESILSEELTFPDKI NLTDDIKDLLKGLLCKKPQSRLGAQNGLQELMLHPWFKGCDWIQILQKNIEPPFKPNQLQ FHYDQNELLKGELETREKLLGKSGLQKDIKIFKTFYFDVIQQKQMKIEQSKVLKQHFMMI TQIQMQLTQKYNLKKNSPGSNCLSKQQSRGSQLINQKQKNNIDKVIYLNLQVVVFCLTYL DQYSSHSLTIQIDWLEANNFLKQFFHGQIKHISYKYGIQFKGQY >CAK58739 pep:novel supercontig:GCA_000165425.1:CT867998:141636:142277:1 gene:GSPATT00029367001 transcript:CAK58739 MDQGDQLYNEYQQKNLNRAPQQKKNRNQYFVCFSNSNQYIDQLFHLLNCNNQQLNTKIWS ILQMIPSNQEVYQLIEQSQNDWSKLLVVGNKYRLQYHLQILREQLSCDCVEDEDNYRILR YNFIFEMHNNYSLYPVYKRSNTRKRAFDLLLELSKVQMHLYQILDLIQINQQTRTSSQEL NSEFGIKREHGFVCLYIEMVCCYKVLENSKFYY >CAK58740 pep:novel supercontig:GCA_000165425.1:CT867998:142451:142579:-1 gene:GSPATT00029368001 transcript:CAK58740 MINQRKVLNDEQYKILVGIFGVILAFSLSYSALALTQSIFKP >CAK58741 pep:novel supercontig:GCA_000165425.1:CT867998:142579:143156:-1 gene:GSPATT00029369001 transcript:CAK58741 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFRRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCREEFVKRVQENDKKKTEPN KQKKHISTKRQPVLPRNAEVVKPTATVFQHPKAFVEII >CAK58742 pep:novel supercontig:GCA_000165425.1:CT867998:143191:143661:-1 gene:GSPATT00029370001 transcript:CAK58742 MPSKRRNNGRSKKNRGHVKPVTCSNCCRLVGKDKAIKRFTVRDIVDASSKKDILEASAYD TKQQQSIPKLFDKLQYCVSCAIHARIVRVRSSEDRKIRVIERKRPVQQTTQKKDATKVQA PVAAQ >CAK58743 pep:novel supercontig:GCA_000165425.1:CT867998:144685:147318:1 gene:GSPATT00029371001 transcript:CAK58743 MADTFLLTEAQITQKKPVQLSPPKIETEAEKKERHWLQILNKILFNPPNDDYYQKWPIKP GIFFYKALAMGKLPTEQIRVNIPESVMCFEQTYILMYTDPATGQLMRKTDIGYQDFENKV HHYHEEYLKRHVQDDRFDMRTNVFVNQDNPYIISRGQTGQDWNMSKAVYTFRQQKERKVQ LDNNKLEQRFVISRVMKATIVRLVFYTKNSKSSHANYGYRIMNVMELYDPNPKKSITNKT TINTEQQNSFTIQQIKGVGLYEYEKVCEQIVTYLEKNYPVRIKTGVFDFLQDPEGRIWLF NCKQLIMENAISMSEIQGVGQGKKTLDQLTCSVYCKLCGIIFKKDDASKTLTYKLLWELV QHLKKRNKQLTNIKVSHLSTRPCRVCDVCYMLIVGEHELVEIEQKFAIAQNIPLGDAIIR VPMDSKPKHRPALLNEQLYQWRLLFYLEMVDLQGKKLIDLNGNSVGDLKNIVLQYRLHQS KSSFKTHIIKDDNYQTLKSNKQQTSQSQQKQLRFSGIERSDSIDAPDGQGEDQFAQINDE NLKLNVIRVHYYFSETTDIEKFLSETEIKMRLCQGSEWINYVAEGSTKTIHHFKNSQMGG QRHRAQVLLFFANGHYCILNLQVGLFCDGQYNTGKLNLYKYNNVYFPDDNYYNCNPFPPE WMEIFDPQYVNMHNTFEEQVKEIEAYSPKCTKHELNQMIDFNKYKETTKYKTVKPKIDAK KRDIQSAAPQYGSNTQQVLPKLPQSAKKYSNTMRQFDEQQLLEHYTLEEQDIGELLFEEK KQNKKISKEDREFYEQHNLYDEEAEDSQEDQELNLRLNKQIQKSMKQLDNQSQQSNSVRP IRSAQQLPPKGHR >CAK58744 pep:novel supercontig:GCA_000165425.1:CT867998:147346:147881:1 gene:GSPATT00029372001 transcript:CAK58744 MVYQWTNFVWENNAWWLRRIRPFIVLGGFAFTAFYGGRYYFFGKWAYYKQRQFSEAELVA QAEVNKRNWGYGVWYKPTLERSRKKLLQDALKDKYRYAMTWEELFYEDPRPVEEILEEEN SWEEYEI >CAK58745 pep:novel supercontig:GCA_000165425.1:CT867998:147888:148573:-1 gene:GSPATT00029373001 transcript:CAK58745 MRTFNDSNQRRPLDANFDLKSYRSHVKDRLNLSLNNDLNQSVFNSVKKDNRQGVPSFDNL QARIRDLSQSRLTILNYNSNDDFTPQKNLYSPHRAYGMKQIISNNLGQNLMSNSIEKQSQ NSKSQSSQKKIFQRPSDTLQLPLKGSQRKQGRLLSDAVQLNEIVALRNKIESSVVSRSSL TSTYVSELVKLAQAITTSLKQE >CAK58746 pep:novel supercontig:GCA_000165425.1:CT867998:148647:149486:-1 gene:GSPATT00029374001 transcript:CAK58746 MNKSLLQTHIKPQHRKIISQQQSSRPSRFFDKMSLFTKHRIPLVEEIPQLSERQSRKLKK YFSTAIRDSSCDCIDCGKNNSFMKKTFQLFPFESNFNRRRRLYKKFRKYAHCVLFMIRYK IVQKIRDRQRNKMKKAINQNVHIHKPSAVANLLAEGIKQLKLQQHHVEVLAEHDSDEEFY QFKPKINQNRKSFAHFLSSPKELKKLERVYYVKQANRPISQYIANRSKPNSTQCSPKSIL PQLSSLYDFRNSISVRQLKKF >CAK58747 pep:novel supercontig:GCA_000165425.1:CT867998:150171:151533:1 gene:GSPATT00029375001 transcript:CAK58747 MKVSILVFILLSCTFAKQLYDVKKSYVTPVNEINFEKQINKIRQTTKYVTIVHFYKYSDG ESRSFAPKYDEFTNEYKGIFRIASCDCDEAEKICQKESVSKFPTFRVYPPYPVPAIDYEG PLEIDGILKLATKYIHNNVIEITEANINTFINENPTVPKVLLFSEKKGFPLVFKGLSVEF EKKLSFGIVRSSEKALLDRYNIKAFPKLLLVKTNEKKPFPYTGEYKFKPMFDFLNVHSEV FVPGGGSSSDSAATKEWMMQVVPQLHQRSANDICLKVDSAICVILLNNGDKPDNGLVDEL KKLNQQYERHINRGTVFKFMWLDAKTESKWGQTLEFSGEPKIVLLNPTKRKRFAVHDGEM TFEGIQRTLEKLISGDLKFKNLGESLPDFVKIDL >CAK58748 pep:novel supercontig:GCA_000165425.1:CT867998:151625:151982:1 gene:GSPATT00029376001 transcript:CAK58748 MKLLILLILLSSVLCREYRPMAGGRFKPDQNDEGLGQAITYAKQHFETSCDGTSGYEWDT TTDVEQQIVNGSNYYISAQLRNGDKIKKVQIVVYMPASPPDIRITSCSVL >CAK58749 pep:novel supercontig:GCA_000165425.1:CT867998:152002:152743:-1 gene:GSPATT00029377001 transcript:CAK58749 MNKEGPGFLYKVYSILEHQDYDDIVSWNDNGDRFDVKDINIFQNYILPNYFKHSNYSSFV RQLNIYDFHKTEKYSFKHKLFRRNQKELLPQIKRKVNDQIIVLPSIEQINQQLSILTLRN QELESLFKYLIQQSDKLQKENAYLWQELCKLKQKNDHQQETMFDWLTNNITAESLFRILE KSITKKDLIKLVSRVFGQDQQNKKIKTDYII >CAK58750 pep:novel supercontig:GCA_000165425.1:CT867998:152749:153690:1 gene:GSPATT00029378001 transcript:CAK58750 MLLIILLALNAFAYLHKQEQHWMDLYNKMNSIKEFLSQLEYYTALEKLEFKSQENLEFEE ESLTIYKEHYQEIINSFKQQLTFNKNEKEDIKLFLRETFPEVVERIKRIIVDKEKLIEGD IKELAKLKHQQPEDISQRFEEFNHLHKKIQEVLEQNDEQIIYKTVELLESKIMIGSFKQI QSQEVDFFMKSVDKLFREKQNPHKKQDAIQLLSLMSSVISEYLIKIVNDQIESSFEFLDK ELFFTESKKTHFNAKEQYINLLNRLDCNVYLILRISLNSLEITDGITI >CAK58751 pep:novel supercontig:GCA_000165425.1:CT867998:154465:155745:-1 gene:GSPATT00029379001 transcript:CAK58751 MLKITSKSRTTLASTPQSTAEGNRQNLLIWDQMFGNFNNALKSRNQAKRLAASKYQLIND PICTQSPLHQPNPFQFNEKLRQGFREYLCGERSALNLVNECNHISKKEAKLKSRIKLIKR ANMFANQLQVGNKYKSLHQQGLTDEEIEKKVRVFQRFEKIKKLIQRNSQTEPNEDNNLRD VQLFRQLYEVSEENKNKIKQRNLLTERANGQREFDNVKYTTHGYLRVKKVEKQNENTTSC DNKQFIKQKVRDAINDQLKKFVNEKQQKEQDILNKAKRKLLLKNNQYQGFQKDKYVLNDP LSKDVKLYAYMFMRNKEKGKKLDTSERMCQTTRNVDRPKHFIQNFNNDLTSRNISMSNDS SLNSMLEINIDNMYRTSKAIQNQILQSDSEKFVKRIKQFQKVQQLNSQIIKVNQQKLTSE RKSILF >CAK58752 pep:novel supercontig:GCA_000165425.1:CT867998:155968:156733:1 gene:GSPATT00029380001 transcript:CAK58752 MNYQDPTILALKQQNVEQQRQIEQLMGRVNMLIKQQQLLRPPNKKLEIVYKKKKLHDEIV DELKSAKESLYDPLTEKRKKDDNFIKRFQLNKAIKTAKAQVEKEREEQANYMKKISSIHQ KSIEEQKLQMYQEKRTNRNKVQLNEFQSKYNYQLYWDERVKKFEQEQKSVKHQLSKSMMD QENLMNYLEQQETLLLGRLQQSKSSQQFLQQKLEDAQRLKAKDFEQKYGKPKESKFQQVL DIKAK >CAK58753 pep:novel supercontig:GCA_000165425.1:CT867998:157057:160083:-1 gene:GSPATT00029381001 transcript:CAK58753 MSYSSRYSSSISSTVRSSGIGGATTTSSQYSSTNTTEAPQRSSTQRKSVLVKPQQEVVNV PPPSKERKWLGEKPEVVCFLLALEVDRLQRENSDQRAFINELQGNYSKLQSEYTTLQEKY NLEVQQFKTTIDQQNVKIKALEDEINQYKSKLGQVDNTLANKLQQAEQRIKDLERQLKDQ ESKYTTEINNLKSTWNTEKSNFESDIKRLKLEIENYINEIKNLKGNSSSDIDRLNKRIKE LETQISEYQLKIKNYETQISDYQNKLREWEAKGRDYDSKIAQYQSELDNLKNQLRDRDSE IERYKRDLDDWRNKYSALEMQFSNYKSSSGGESERLNGLLRDRENDINRLQSELRNTIDE WTSKYTNLENQYRQAQSEIDRLNGVVRNLDDEINRLRQIIDQMQREIDDWRLKYGDLEGK YNTAIRNYESQINDLNSQLRQYQSDLDTWRNRYGQLENENSNLKNNSSGQDNFIKEQESI IRRLESDLQRAEDIIAQKDQELNRLANDLSNAESKIRELEFLIQQLRDQIEDQRKEIERL QQLIQDRDHSLDMAEKDLEEADRKIHQLENENATLNEELKDYRQNYDQVLKDNELLEKKI GDLESKTVFLAQEIDRLKLILEKRNKEIEDLKAQILKLKAEISTLETQVKDFQQKLDEKL KDYDDLNKKLIERVLEVQQLNSQIIILNQQLVQLQSVNEKCRNQEDQIKDYLRQLDELTR QLNKAQQEINMLQGFKDRLPEADRKAQELSKEVDRLQQLYKDKVTENDVLSQKLSTSEVE LNRIRLIEKQFNDFKKQTQTTEQEFTRIKQTFEQKGNESDKLKQTIAGLEQQLQDKKVLA DKLKVLEQQLAQAQKDLANLTKDRDKKDQELQRLKDQIAVLQSTLKTKEDQFNQLKQQFD QNVNELTKVKQTQGQLEAKVSQIGLMNDKWTSSEKQNKQLQTDCDKLKKDLDQRTKEREQ LKLDKVQLENDLLAFKSQVRK >CAK58754 pep:novel supercontig:GCA_000165425.1:CT867998:160119:160268:1 gene:GSPATT00029382001 transcript:CAK58754 MQNQSLIKGTPVSNQAERQIQKKEKQILIGYYWIIKLEQESLVHSNSVQ >CAK58755 pep:novel supercontig:GCA_000165425.1:CT867998:160863:161800:-1 gene:GSPATT00029383001 transcript:CAK58755 MEEHQLVIEQLESMKNLKQLFHKMKNGNIRIVDGLSIVIYKLIRQFKPSSSEYLVLLQEL FYLSIDMQLINISDQVLQVLLKNFPTAEKVQRLKGYLLEANGEDENAQVVYEKMLSENLM DQNSRKRKIALLRRQNNVEQAIALLNTFLTSFPNDAEAWLELSDIYLEHLNYSKAQFCLE EVLLLNTQDLHLGIKLAEINYSNQNYSQAKNYYCFVLSKNPNEPRCLWGLLQTLRKKKRE GNDKDLGTIVIQALQKIYKQHPIKYPFDKIALEIQQ >CAK58756 pep:novel supercontig:GCA_000165425.1:CT867998:162067:168866:-1 gene:GSPATT00029384001 transcript:CAK58756 MQSGQLLNLQRLERQYLQTLSQNGWESPVVVNAAVDFANTLIRDGRSLLKSGNNPQAEMF FNRAKEITTPISITSGIFYTANFVLARGNAILQIVQLYEQQNQYQKAWMNIKEVVPFMEK FAYTKRVNISEDDQNVLKLYVQLLFHGCEVCRMMHRKDDMLDQCNKYVEILQRLGITFDS LRNLTKDEFHQEFKKWFLMLAKAFYYIGKSQFKVGFEKEALRNLYKSWQISELIYGMDAP ATIKARDKYEKLSQKMEFEMIMGKEKVDFQQFKEDLQKDVGQELLKKVVTLKPNKNFNHH IIQQVKSYQQIVGQLTQHTTKYQMPEYVKKVRIEYEDKLRTISFQQKFDDSNNKQKQYQI KNKKSSSRAVSTYNQSLLPQDRLFSPRLSNATQSQRSSLYQLENHMDQLKQQLQTTELKK DTVDDLLLSRPQIKSEYVVQRKKKNDNKETESAQQIKNISKKKFLSNRLIPRVLNKQSSQ LIHIKSDSQQSEQKIHKLQTIQDLNQQQQMDDLHVPTTESILAQQQQQQIQQMPVIQNVL TEVSEKNDNHFADLLNKYTMDQLNLAATIIQSCYRRYSKGIKKVQSIQTIELTPINLPRP INATQISEKIDSQQTGRNQMKVSIKEMKNSVRRRNFGFDSIVKEIKNTDSDQSSSNKAKT VIWSQLLKSSTINQNRNCTSYLSCSLILKLRFGNSPIFGSEPLVMPTLKIRIRRKKKKYN MTYLLVKYVYICSKLQLENQLQYIMNIFADVQSIKALQLIVYFRLSNDKQQYTLNFKINN FASYLDEYQRWFSKDRLQNFNGLLSIYCKQQTLHQSNKYHAIIFSGAEYAHEIVSKLLYA LKNHYYLIRSVVGFKIISMKDVIQHQLQHDIIDIRSYYKNKQIEKENKRRMIQRQFKIEP IKIIEHKTEELPTISSSSRNRKQQLFSDEIKQSREQSIIIQDSDDNKSDLSKGGVTSQSP TEQKQFSNNFKSIENISEKDFIQEIPQRNPKKQYSVIHNRNTMASPRFDSIISSQALIRN AVQRKTHVSHFLEFSKKQKPDEIKKEEFNFEPPISKQSLDEYSRDRSQSDIQFLNDYLPE FQFANLADFAEYQPQEGPIISFKNQFNYKSTYFPPRGQIIQPEPEQFLPEYPDQFIMLNV TLRQDNSIKTGYILYEDGSTYLVIDNQDGNIIKQLIQIDPGIRLPNRQKKLQILELLKEK KSSSQRLTLAQELKQYNYRHTEIILDRYIKRVNHYDEFIHNEIHQYLRTNQVMPPTEIKC LNYSEKRTSLILMPDLNRTETEEGIQLTIETGVNQSNEEAIDSKSFLTYRSKFHINNNKF YYFEENLNFKVETCFREVKILDTKLLYLSDQQAKQDLLVLKKNMHELIRNQKLLVKITLY NPNQHLYYFRTIQSIQDIEKMLHIFIKPQLIGYQIKSQAKLQRKLIGRALQFNRQFVSLE DRNRDKFSGLDQFLLMYIIDPLQFINNENHRLLKQTILFYYFKQQLKLTLISSSRLRYFM KTYFHRKTFNAQSRVSNIGKVFVECEVYQFNEFYCDQLTNDHTYFYFQITPQESRTKVFK LVLDFADIKSICNKVTSHNCFQDKVLREIIKILTAYLVCYRTNTYHGIKIPLSYIASQSK YDLHNTFTEVTYGSNILEEQQHARSSHPKLSILISDPMLRKKSQVQIMDVQIDNLQMPEE ANKVLKNTTMLTPIFRGQKFIYKTTKIVNNMYVIITISYLSLNKFQIGLYIPQTCRNFSC YISSNDFQNMNPVFLESIFPSCLVTKETIDQFYINRWKFSEMNKIYQMAINHPDEFEDFY SEMRSQARAIPQSELQKLTESKVSINNEDEFLDKPLDDTPKMLDSFSDQSRQNARSSTQP PLFVKKQFSKQFSVQNSIGQTSMRLPLPTSQFQQKTDKSIFYRNSPRVSNQDSIENLYSS DKDLFFNQILVRKNNLDMISTFEIKIWESLIDRVKITKNQQNRFILNLDTFKGVLRENLY TQVVYLGNEVNALFEVFVENIRKPFNMLKPYIPIRLNESQNFQLYQRITLFEQPKIVNFK LMLRKVLYSYYNDERRNLQNLKLNDCSVDQYEFIESDIQKCSVYMLNKLKKQLKLNPSKF IVQDVQGDEKCPQVIKVNANYEQYSSFKSYQYTLLKRMVFTMRPTQIYEKRKRFWLYFES MKNCKNTTVKYNLKEIQHCIPNAIGLLNLGLHQELGNRIYRSFKNRLLVSTHQLLQ >CAK58757 pep:novel supercontig:GCA_000165425.1:CT867998:170622:171270:-1 gene:GSPATT00029385001 transcript:CAK58757 MGGCLFREKEYEELNTQPLQTQYTDELIVDELEDIRDVGKIKNSEILQITLLNQKCLVLR KHHEKNINLRVIEIVGNRNMMYLEIHKQLQYAFMQLRQCQNFFIGAVTMKDKCYMVLRIM QGLAAQVSGQEIQCLGISENLSEIIKKRFDDKFLCVGVIYIEDMVILVFRQIFLKIKCPV LQKYTSPSNNTLCIIQYEGQIYELIKII >CAK58758 pep:novel supercontig:GCA_000165425.1:CT867998:171386:171816:1 gene:GSPATT00029386001 transcript:CAK58758 MKILDDIKQQVEKEMLKFDPRLAEKKYLKITQSIKDLFLKAVVKEKQTIKAAAHSIGINY SSAKAIWAEFRSKNQKKKKKNKEDSDQKNGNQLVKRCSYKILNGCNKIKKFFMEIKSSVS QNLSSTHLINLESHI >CAK58759 pep:novel supercontig:GCA_000165425.1:CT867998:172027:173085:1 gene:GSPATT00029387001 transcript:CAK58759 MSSLHQDKRKDERDNDDSYYCCFYKKRIQVQQLSKNSNSMNYSILNKNIINLESLQEVQF LLETYPERQALLNSLRYKVINLDKYPLILVYKKEQSQPDIQFGEDEVTLILSYPEYEVEY TPLIIHSKIMENIDYKKEIDQSEIYFSGIFQHLNTNICDNVIVIPFSPSLNIIDPVFNEL KLECRQALFNLLIPFLPILDKVTIILPNVVPLNSIMSIVEPLISLRIKMMSHPNYTTLIV NCVQENSRFEDFKQYRITFDLKYYHKQVNQFVRQKLSTDHNIDNLPVQFLAQLLWTNQPL LSQQFLEQTQSFSLRKSDPIQQTSPVTPIYNYESNYKFELEGSSLKKDKDSY >CAK58760 pep:novel supercontig:GCA_000165425.1:CT867998:173774:174374:1 gene:GSPATT00029388001 transcript:CAK58760 MNNINELTTYRSRSHYSPSQLSSSSGFLNQQYDEFVNKIYGKTRQIKIHHLIPKQTNKAF EITTPQYEDAIYKKMDDYIKNEKISQRETRIQERIIEKEVIQQYKAKQHTFKLLIPDQSY IKILQSCQNYMPKFKFSRFNSPNNQANNEKLLHKVSELLQQRKSRHDIYKKQLEYERKRI QKKLKEFQVR >CAK58761 pep:novel supercontig:GCA_000165425.1:CT867998:174516:177133:-1 gene:GSPATT00029389001 transcript:CAK58761 MHSFQQQELIELLQSHSNIAIDRQLISQLYNKCMQDQCTIQQFEKVYKDAIQLLSQKIAN SKQQLIEEQDNLTAIDERLKNNQLISNNNNRTPMRLMLHSASVLNLQVHNKFMVGQYAFY MKIEDYYEFESDFKPSYNLQFQLNQTIDILDVGDSILLSLNTNTQNQMNTTIVIPTYSLQ FNKHVTELLAQKENGELLEMEITYEIKVLDQKLLNLQLNQARSLVVSYIKKFEDELEDYS LQLQDLRQIKIPYNNEIANELNDGQPHHSRQEQSNNTYTIGVPDYYHKPLELQSQDCQLN PQPQERQSSVIPYLFGIILASFNNIFIDTLFEISFLMIVLIVVLKEDKTTVFTKIMILLS WIMFGLHLWLHQSVVRIMYVIIIQNLFNFFMIKQIITTPLFYVNAQPHIGHLYSMIYADA IAKYKSVKLLTGTDEHGLKVYRSAKNQPIQQYCDSISNQFQQLANSFIKYDHFVRTTDPQ HIMKVQKNWNLLQNNGFILKDTYKGYYCQVEESFVNHKTDQYLEEENYFFKFDKDYLETC ITKAPSRYQNELKQLIINSICISRPKQRYYWGIEVPNDANQLIYVWLDALLGYLQEEQHH YMHVIGKDIVRFHALYWPSFLKGINNQSTTELIVHNHWIRNNMKMSKSLGNVIDPFQLLK TYNQNQIRLYFLTQGPQNMDVSFEEQKLEKCWNTYIDQFNNILFRLFRPKIISPNLLLLQ QHLLDDQLLQSKQTFLELHKNTKALLDKNLFIEGYLELQKIFVLINTLIDKYQPWKMQVQ FQKEQFLSFICILISMTQQILDIYVQTPNIISPQFKEFPQNNNVQVTVDLEQDLRLKRFI IN >CAK58762 pep:novel supercontig:GCA_000165425.1:CT867998:178673:179708:-1 gene:GSPATT00029391001 transcript:CAK58762 MNFRTYSQADTSCAGFINQIPLGIKIILLLLISATLVDALFSGIFTYWFQDLPLKIFKGF QLWRLFFTQFIESLFGVMFMIYIFSTSVVNTEKKLGTVVFLLDFFFKNFMIQVVFLILSL IIQVYTIPSRGLWNIYLVYLSLQCYANPEGLVRIWFFPCQLPGKYVPIIYGLIGFAMNQS YDPIAALIFGYIEAKFFNSMMFRPSQSFIKKIENSNFLKRLVTREDFHLMQQNLDLNPTE EKEMSERERSFQGKGIKIGGGDNFNFENIIVDNSEYCDQDGPSTIE >CAK58763 pep:novel supercontig:GCA_000165425.1:CT867998:179786:181099:1 gene:GSPATT00029392001 transcript:CAK58763 MQSIQSNSNQIQPTMGRDRYGQSLSVALPISPVKKLDVTNVTLRKYDRYTGNPETIAWEK HLLCEIGDEDLNFIKDFYLEEKSYKDEEFYFRYIDSIRSKYLAGAKEAYTLAKDICKQLV AEDLIKTQRLRKNHKQVTQRIRQTLGTFKQTKNEDILRWKTGLKKLFHEMRDAIQHILDA GGEKEFFRLEELIKQKQHITEDFLKGLPIRNVQEINKYLQSIRLFISKKTDEFQQHKLEH GDDFHFDEKTIRELSRSSLEDTASCYSDRLTEIRAHSKREKQKEAEKQIEKFYDLNQLKN KWKTTNVDQLKGTIRRVLVKETLSEAEHIRARAYRLEQEKKGIQILKVQKPRKVQQTAQQ RIQERKEKKDKRSVAEQQRKHTLTREEMKTEQLKQEFFTKYNPKSSIGEQFCVAVGYNNL F >CAK58764 pep:novel supercontig:GCA_000165425.1:CT867998:181124:182949:1 gene:GSPATT00029393001 transcript:CAK58764 MPQFLQTTQNLVLKFRDVAIDQLDIFGQPPNFRVLNRQKYNSMIGLITTILIGGVTFIYL ANELITMLGKAEPSVVSSEIQVFDTQVKQSHLNQTFPLLNDNFTLAISATNSAGNQLNGQ GKYYNISIFHCIRSRTKNETTGLIAVSVECSLLPTETCNMSHFVTEQQNDYFSRMRLGAM QCINREYLKINPPPLQGQLNAMVYQYLKIQLTVCHNSTEYQKCASPEEIKSILMSGHYVV YTSDYLTQLNNPSEPYKQIINSEFSGYSVSTSKMIKQYYRIIQTTSDDGWLLQNIHVKEN LKQQEWRETTELYNGEYIVEHYIALDYRQTNYNRNYVKIQTVLSRLGGIWQIFIIIVASI IKPIINTLMNLDIANRVFRFQLMEDEKDLKTKNNSQMTETKTNEEKITIYNWKCQNHKLS SDITSKMLILFGCRNQKKLLFNHAKDQIMQSLDIINMIKKLQEIDLIKQIIFTKEQQLLF NIIPNPLIQLNNEQENNNLKINNIDFIKHINQISQHNNKYYTYLESYHKMINTKDKNPFD DRIIQLLDKQTLTFFDKQIGQLNVSQISKSPAYLESKDEDINEPIPLLPK >CAK58765 pep:novel supercontig:GCA_000165425.1:CT867998:183086:183601:-1 gene:GSPATT00029394001 transcript:CAK58765 MEQMKREKRCSAIKQYGFYANRDYTIKTGQKRIQYNINRQLRKKNKLKDSQHKNHSLSQF TQEEDKRILRLVQEVGPKFVKIALYFPNKSYSMVKNRYYKHLRDKYKDLYQMGCDTRIEQ ESMFCKPNEKVNELNQLIHSINLSPEIFSLTQTFIQHLQKCLT >CAK58766 pep:novel supercontig:GCA_000165425.1:CT867998:185713:187068:-1 gene:GSPATT00029395001 transcript:CAK58766 MSSKSNSINCNKYFSKFGTNESHNIFSGDAVYIQESQEVQINVNYIDALFEDPIYFGLVV EDGKKPETTCLKLKVLYVSKVDHQSSYLISDLPIISSNNSINQSRQYSFKIPVSQLDQNL LLNIINNVYEGCYSIIFESANQEAFQYEFIYNFTITATKDSQKLFDTSFKYKILAEQANS DAPQSELYWCGDFDCISKLNVTPSILINDTFFIKQVILDSEKSKQFLKNTEVWYSGNGFN QKQEPIKINNTIPGQVILQLEATIALSKLNIKVISALSETPSLNNIETTIQIRLKTQFDI NGRIRWCTDLTCQTLFEESPNLHFNDLFSFKIHYILDNKKDYYFTDADVWFNGYGLDKKV KPVQFINATLNQVIVQLKAEVVWKSVRIQVQTLLLNPTQEHDPGICINTMSEEITCIKPE GQEYCADYECEVCGDYGFLAFVNMILLAFII >CAK58767 pep:novel supercontig:GCA_000165425.1:CT867998:187728:188867:-1 gene:GSPATT00029396001 transcript:CAK58767 MKINLFNPKTKHTYFSRSTRATSMISLKKVDESTLGTVGNWAQATLPQVKKKENKIQSSY QEDFNFLRDRDKLFKINDDDNSPYNNRKQQSLKILSQIDLNDANDLEERFTFVLKQSIYY VNPRYLRQSKIGNLYNVAVFTYAYILEKQFLYDKAILIWQKYLVFCNSNRVYRYKIIAYK HLVELSLLIHNFRKALNYSKKLIKYALFFNESNYELNAYDQIGKIFYYIKEQQLAKAFHE KFMVGEPMPVENKIRYAVVRMIEYKIRQKQSIGQIDTDSEDDFELEKLVEPKTLEFHPTR FKDALLKYKHLWGHVQKQSEPLGPMNVLTFDKRLFQREYFTQLSNNNSVKSFILNSNISI KKNNLQKIIDKLINDIQTF >CAK58768 pep:novel supercontig:GCA_000165425.1:CT867998:188891:189816:-1 gene:GSPATT00029397001 transcript:CAK58768 MNNSRSTVRKGTNNLPVQTGDLEEYIQILYDHQKSCEKAGKYLEADQAKKRLGELKKDLD SKNKYEVKDRHTNEKQEIEKAHLEEFNQFNEFWDQKMAEFDQEAQRVKEQVLQRHDEELK QFTDELENSIPVKPKDSAELLSLRKTEESLARQENYQEAHITQQRILSMEREEYERWNQS RSGKIRNLILQLKQKQSTEIGALQQRIISGQEEQRKIRSQELEKLLQKYQNVRKELSSQQ NQEITRLDKTMKNQSIMQQSRMNSSKMQGSTMRKGDEENYYIK >CAK58769 pep:novel supercontig:GCA_000165425.1:CT867998:190017:190811:1 gene:GSPATT00029398001 transcript:CAK58769 MISSNSQTQLYSQFGQTVPKTPLNRQNRDQDQSTISQYQSPTLRQAMSPKVAREYLNSLR SFICQQQQATPKTERMKTIPTQEILYQQPYLYFPVANRFNQDIKLMTPHKIEVRKLNEDG TVHSLRYFYLKQAVLDSSNNIPQSQQIMIVGQKQSGKKKLFQELIKRSMSKLFKLSLQTE SGEKTINLSLNDDIKQTIKSILAGVKHYQLVAQGEQNMIACRGLEGFKRDKKDKGILIMC VKPGKEQKSECIKTFKFLEEICKN >CAK58770 pep:novel supercontig:GCA_000165425.1:CT867998:190841:191796:1 gene:GSPATT00029399001 transcript:CAK58770 MGTCQLKNCRCVCQSDRLACQCGSQNTILLEEQAREIRRRISSDQMKRSNRFSNGKSKTG SPAQSNSSDSQNKTKFSTNRKASNRSPNSTERYLMSEECNIPTESSQQKKIRKPKIRNLK FNRSLTYFSKEVIVLKFQDVIDQPQSQKEVKVESPQFQTLKNTLENKQTLDQIQSFKDPT ITMKTPTTTGAELSNNATQSAPFFIQQPSISRESSPSKQSHPITQVSMEPNINKQSLSVI TEQQQLKNRSHTADLSDDQKSVTPRSVLKLQQKNNDSFRTQQQEKRKVRFDLPNSHYIKE RIKQQQRFFN >CAK58771 pep:novel supercontig:GCA_000165425.1:CT867998:191869:192976:-1 gene:GSPATT00029400001 transcript:CAK58771 MNQFANYMQCQTKRVVPTYQQQCYSRSPQGRKCVVVNQQFSPNEQKYMKQFKCIDQYKYQ QPKAIIYPQKRMSPTNQSPLIATKVRVQDKENNNHYYNANIIFQPCKTPRNPQSQQLFSQ QNSPNIKQPTPQFENRYDYEDKNQEFRLSTSEINFRFVDSEKQTSDEQDLKNIANQFNKI EIGKKEPEMSPKSIKSDEFLVQMDSVKKSDQSNNNTKNIAIQAFESTQFEKPEEQLGRIF GSLKKVKIIKKKQTQPTLQTQVTQRTMEDDSMMKIDTQQDQQAKTIGGLSNIEFQSEKLQ TEFTDLDSHRTRNPQIEEPDQFTNFRQNISTPKQLTQNNISFLMYKKQFEKK >CAK58772 pep:novel supercontig:GCA_000165425.1:CT867998:192981:193805:-1 gene:GSPATT00029401001 transcript:CAK58772 MSILFTTEELKQIEPHPYEYVQTKTKYLEQISSFNYTKTTQLPFISVAKAQHRQLMDKVK LYQFEEKKQLPSHQFNTIFEEQSQPPTLTEDLRQQYYKEFILQPKEEEISKKEKEKNRYL HICGVINAQNQIDKKEYKNHIQELKQLQREVEQIQQQTKKPYYPTKEMCRNTQLINKLAT PMLNMYNVYFKEIFDALIDDILLEEVQFLNEQQDKTLNENEQPEIPELDNEEIQEQLNVD VKDLLLELGNIMDEY >CAK58773 pep:novel supercontig:GCA_000165425.1:CT867998:193857:194522:-1 gene:GSPATT00029402001 transcript:CAK58773 MSSRNGSKERQTKEIGKSLFWEHSKNLPPFFAENVLFLEMEVECNNVTIEVVNQLLELYR VFYHLIQQIGVEYFESIKSNKFLIFKNKTQQLLMRGTVNQCMNVAYEELKHETALKKTSH SENQDKVPPLPPSSKQAIVQKSQKQQEVENQLKYIKEQDQKLQVNQLLEYHGYESQRVTR MHNKALQEQEDSVQQRLQRRRMQSVKIKGQIE >CAK58774 pep:novel supercontig:GCA_000165425.1:CT867998:194708:195268:-1 gene:GSPATT00029403001 transcript:CAK58774 MIKQFKVALIGDSRSGKSSLVRCLKGEEFLFETQATIGIDYYQRIVGVKNVNYIFKLYDT SGCEAYRQILNRIMSQIDAVIICFDASQDKYQEQIESWCHLIENELRTIPTFIVGNKMDL LDLSISSDITSKSPVYFVSAKTGENVQKCFNKIFMSLTPSKHPMIQKKVKDRRSMWGLLG FCCNGE >CAK58775 pep:novel supercontig:GCA_000165425.1:CT867998:195761:197140:1 gene:GSPATT00029404001 transcript:CAK58775 MSFRLQVTDPVKDKISMLEVEQTLTVLDLKALIEVEVTFILKSKFQIAVARQQLIYGGRL MNDNDTLSKYNMQNEDLVLIERKPKQQRTPLELEAIKFIKHFQQNPHLIEAIRIKDPKLA ESIEKKKLAGVMEYIQNQQQKKFQEQQEYIRKMQQLEQDPLNPENQKLIEEMINKKNIEE NREYAEEYIPESFGTVTMLYIELSINRHPVQAFVDSGAQSTIMSKACAERCGIMRLVDTR FQGIAQGVGTQKIIGRIHVVEMQILDQFLPCSLTILDGDGIDFLFGLDMLKRYQCNINLK DNCLIFPNEKLSVQFLPEGQINKRISIQQEEEILKRQNSDSQIQEEQPIKTSNQPQQQQQ PQPQQQQQQQQQQQQQQKQQQPSAIKSNSRYPESSIQAIINLGVTRAEAIVVLDQTNGNI ELAASIIMQQKYGFN >CAK58776 pep:novel supercontig:GCA_000165425.1:CT867998:197783:199645:-1 gene:GSPATT00029405001 transcript:CAK58776 MQEITVQQQIEIARASDQEKWWIQHLKIQIIPQLILKDVITLFQYKESRQILNNLDGFCK GGEVTAILGASGAGKTSLLNILSARISNTKTARLTGKVFVNNIEYNSETFSNFAAYVMQN DVLFETLSPREALEFVANLKYTDPDLKLKRVEDTIKTMKLERCQNAIIGGPNLKGISGGE KKRTSIGFELVTNPSCILLDEPTSGLDSFTAFQIIHELTLLAHDQDRTIVFTIHQPSSDI YLLFDRIMLLVQGKFIYQGPRSNLVDYFQGIGFSCPDHSNPLDYMISIMHQESQTNVDNF QTYFKEYDNQWASKVQKEIESSSKSQIQFKKVETSTLYQINLIAKRALKSYFRDKLLIRQ RFGMALFMGLLIGGTYYGIGSTQGTYVDYSSMAGLLFFLCMNMTFSSLFPVVLQFATERD VFLREENSKLYTTFSYFMGKSFVEIPFCLITPIIQELILYWMVGLNHMNGGIVITHIFIA VLTCLNGNSIGLMAGCAFNDIKAATGFVPLALLPLFAFSGFYANPKQFYNWIGWIQYVSP IKYSFEAIARNEFQSRSYDFGDPNDVLGFNVGQWESVGILIALFLVIRMFAYMFLHSLRQ KQQ >CAK58777 pep:novel supercontig:GCA_000165425.1:CT867998:199967:201867:-1 gene:GSPATT00029406001 transcript:CAK58777 MGSQCCQGQPKSLNYEIVNDSIKNSSLFKQSIHSLPFTNKMPCNNDEVLEMKKENEMGLP PISEIASQVIEVEKEKLQQDLSQVTVAQDPKSSNNAANTSDQQIKEGYIGRSQSTPFKRK TLTSIGTVKLGADVFISLKQGSIGKFYSTGSTLGAGAYGKVWKVTHKTTGLIRAMKQIKK SSLIQEEQQRLFAEMNILKNLDHPHIVKLYELYQDAQNYYLITEYLSGGELFERIKAMTI FNEKKAAEYMRQILSAVMYCHEQKIVHRDLKPENILFVNDSSTSPLKIIDFGTSRKYDPS KKMTKKLGTPYYIAPEVLKQDYNEKCDIWSCGVILYILLCGYPPFIGKTENEIMRKVGEG KFEFDADDWNQISKEAKNLINRMLHVNPNFRISAKQALNDAWIVKHCSQTTTNPNVNLRV LQNLQKFQVSFHIYQAKSIFSQAVLSYIAFQMTNQLEQDELLKTFQSLDKNNDGILSREE LIEGYNKIYQDKEKAEQEVIKILQLIDLNQSGQVDFSEFLMAAMNQEKLVSLQKVKAAFK VFDANDDGKISKQELEQMIGTLDQDLWEQILEECNAKEFITEKEFINILLHQKI >CAK58778 pep:novel supercontig:GCA_000165425.1:CT867998:202250:202615:1 gene:GSPATT00029407001 transcript:CAK58778 MAKGGKSRSQSKSGKKSGSATKKIKKQVPAASPAKVDVATTAAPKTPVASAKKDSAKKST QKSTQKSTQKSVKKSAAKNSTKKGSAQKGKSGKKAQ >CAK58779 pep:novel supercontig:GCA_000165425.1:CT867998:202656:204027:1 gene:GSPATT00029408001 transcript:CAK58779 MIGEGAYSIVYKVKRIEDNSEYALKKVNLTNLSDKEKQNALNEVRILASIKNPYIISYKE AFIDVNSNSLCIVMELADNGDLLQSIQKCAKSNTTMSENDILKITFQIASGLKALHDLKI FHRDLKSANVFLQSNGDVKLGDMNVSKVAKKGLLYTQTGTPYYASPEVWKDLPYDQKSDI WSLGCVTYEMAALKPPFRAEDMEGLYKKVIRGLYPKLPSQYSQDLQNVIRMMLQVQTNLR PTSYALTELPYFNRFKTHIIDDQSKLLNTIIFPKNQISIANMLPKANYNNHKFKTEANQH SDTQETIQRHRQTTLGAGSHQSSPNPTRISQYLAEQEMLLKQQYSVNYATKNKKTHNYSD RNVIAKILLEYQKEVPIYKQIKQRNRRLSQEETPYLYQRRISQLPALEEHSPNQKRHPRN QSMPTSNLPII >CAK58780 pep:novel supercontig:GCA_000165425.1:CT867998:204161:204939:1 gene:GSPATT00029409001 transcript:CAK58780 MQELDFLRIQRESQKFLEIDNIFNRLASSFVEKIFALFKEIFQQEHTYTIQELLKLAIQK EIPLKDFKLAEHYKLNSSIFKANLQMIVNVVIDDIWSSYNKQIVLDEKSIAEPRQLTQID EESAVDQCQSLSETSLIKDKKEVEIQKQVQSARSPLAKLLAGDKSHQFISIGSSPRHSRE NSQNLPIKQQKQVIQNSKKKNSSLIKNKSMDLKNLVAEGILQPKSTNRQQLSLSNLHLLM QKMQGQKENLK >CAK58781 pep:novel supercontig:GCA_000165425.1:CT867998:204987:205387:1 gene:GSPATT00029410001 transcript:CAK58781 MDQDLNENLIKLSELMRLQNVKKPIYNPSKYSNLHLMLARSVSISTESSSRMIQIKSNLN TQNTSKAQLNQQQKYFVSRRMSLAKQLKTCDSYLQKRKIETQFTKTDNLCGLKKVFEIGK KVL >CAK58782 pep:novel supercontig:GCA_000165425.1:CT867998:205435:205971:1 gene:GSPATT00029411001 transcript:CAK58782 MDLIVLPCTLQKRTPKRMNTSNQESFRFGRTTPTKFRNPNFSTTSPKTRYHSQADRKYEI KPRECDIFENDYITKTIQFNALQNENYQQSFLIKDKKVLKKKNGLIVFKPIPKETQLKSI FQSPEIYPIINKARRRELKLKRIMDNEFMKSSLEKEKEFSDRNCRNILKDLGHDFYFQ >CAK58783 pep:novel supercontig:GCA_000165425.1:CT867998:206057:206636:1 gene:GSPATT00029412001 transcript:CAK58783 MQDKKEQSRFENFLIQFKTLVESFQMTTPEPEEYPKPQIAKQKKQKKQKDPLAPKMPKSA FIFYFQDKREKFQQQYPNLQFQEITKLIASEWKDLPKEIQQSYHDQAFKDRSRYSQESEL YCTQTGKKFKGKNQTKTNKSNAKQEQLQQKVDQDDENDSFGADIGQE >CAK58784 pep:novel supercontig:GCA_000165425.1:CT867998:206954:207594:1 gene:GSPATT00029413001 transcript:CAK58784 MQNNQTDEFYLRYYVGHKGVYGHEFLEFEFRSDGRLRYANNSNYKSDVLIRKECYVSESV ISEFKRIIEESEIIKENDSKWPAPDKIGRQELEIKLGNAHISFTTSKLGSIHDVQSSQDP DGLRVFFYLIQDLKCFVFSLIGLHFRIKPVN >CAK58785 pep:novel supercontig:GCA_000165425.1:CT867998:207705:209222:-1 gene:GSPATT00029414001 transcript:CAK58785 MSQSPNKWHQETIESQKSKMEKTVPISPLPSDIATLTLPNGKTIQLPVYKGTKGPPMIDI TQLQSKTGYFTLDPGFSSTGACISTMTYIDGDKGELLYRGYPIETLAKYSSYIEVCYLFI YGHLPSQKELSLFEETMVSEMMINEKLIEFYKGFATDSHPMAIMVGVVGALSAFMHKDFD VNDPRDREQIAIKLVAKMPTLAAYAYRTALGLPIIYPNKKYSFIENFLYMMFSTPMNDFN VDKVIVKALDTIFMLHADHEQNASTSTVRIAGSSLANPFACIAAGITALWGPYHGGANEA VLNMLKEIGNKENIPKYLQKAKSKDNCFRLMGFGHRVYKNYDPRAKVMQEMCYSVLEKTQ RANDILESQLAILLEQAALKDDYFIQRKLYPNVDFYTGIVYEALSIPTSMFTVMFAVQRS IGWICQWMEMMSEKVQRISRPRQLYVGEDQREYIPIQDRKEEEKSRVCKLPMHSSLFGLV KV >CAK58786 pep:novel supercontig:GCA_000165425.1:CT867998:209270:209812:-1 gene:GSPATT00029415001 transcript:CAK58786 MKNFSQFLSETLQEGNEIKTKPDFSKYQFWGLYFSASWCPPCRQFTGMLTNFYDEIRKSK TFEIVLVTHDENERDFIKYYQKMPWLAIPWTEKMAISQLTRICRPQTIPHLCIFDQEGNY VTCGARDDIAMYGMKAWNHWEDIAEERKKYRQK >CAK58787 pep:novel supercontig:GCA_000165425.1:CT867998:210395:211440:-1 gene:GSPATT00029416001 transcript:CAK58787 MKNQTNQSEICQYCNLSDCECSDQEDWDSCDSPKPKTLYSFKEINLQNKSLLAEIRIICK NLIYVIGLAPNIAKEDQLKKLEYFGQYGQIQKLIVIQSNTFNPPSHAAYITYRNEQEASL AILVNIINIYLQVKASFGTTKYCTNFLKGQQCKIKDCVYLHQHPKDKDSTQVLKKEEMNN SKWLFSYSQKLAQANFKKFYTKINYKNALQKSVFPTTQNILDNMLKERVVEPIQQQQQQI NVQWEEIKETQQQQEFPQPIQQKSIDIEKRVEAVILKMDGEGNRNSRFKFTNSENVPQDY EAIQQIKQYLQK >CAK58788 pep:novel supercontig:GCA_000165425.1:CT867998:212105:212879:1 gene:GSPATT00029417001 transcript:CAK58788 MYSDNNNAHSEIRIRQLLYFKQPPQTTLPTNRSTMKLQTLEHKLGRTNKNFTGYSKSQSE TRPFFENFMEKTDQITSFGFKYPFNVDEFQNTNAQIHFLEVFTHSQQLIQNYISDSKRTL AQLKEQSKQIKDEMEQMKEKTEQKIQQLEDQFNEAVQVKQRQLKEDYVAYSTESYRLNKE ISKLTKDKLHMEEQSIHLLQKIIKLEKQMQGVEMELMCENEQELQSQLRGTFHNTKSITH >CAK58789 pep:novel supercontig:GCA_000165425.1:CT867998:212887:214675:-1 gene:GSPATT00029418001 transcript:CAK58789 MHQELNCPRCEISFDIYDRTPQMLPKCGHSMCMQCLREQTKIICPEDGIVQPQDLNLYPV NQAILKIINKSSLKNSQIDSTELGETVRLSKCENFFEVMESTSLCKEHNKKIELVCLEDH NTICVSCALFGVHKHHNVRNIDEVVSEIQHSLEETVDLMQQIQSKIKSLKQPIINKKIRD QIRLCIKQQQALAEEQFKEMITQLMMKQRKVVEEIEYKYKQVEDQLIKREQQYVNQVVEK ADLWMLASQERINQFASLTEKGEIAFFLLQKDTSNSQAKQIIEELEDFMKKFQTRLQEVT QGQITPQVHLSKDLMGNFEYNYPQVQQSFFDDPNILRDVSMIDQSFLKDIDDKPPHNEFL THNLLNPQPLYQSQSALQSQPSSNNISQNAISPNYEKKSSDILVSPKLRRQSSMSPKRPT KKKFNTKVESILLNCNGDTLDLSQQDLGDDGMILLEESIKNKKLKILKLVRNKISDTGAT KLLELHCQILHLQSNVITERFLDTIQQLIQKQTQIHIKTIYLGQNLMNLFRVKKKIEDLK KLGITIQI >CAK58790 pep:novel supercontig:GCA_000165425.1:CT867998:214702:215448:1 gene:GSPATT00029419001 transcript:CAK58790 MANSQEKMQQDYIWIRDQSTGDADVKMRTFGQHYLYYHAPNKRERLEMIWRSMGKAYDWE MEKFRMQKKFIDRGNKRRFFKNFFRLIKNPMGYIYWKTYKIRQPKGRIITTMLGLGVIGT LFKYKMESNQIQKREYYLLTAGKNSEGSGLINTGYNNDKLARQGMPLTQMFYSYLLAKDI VVSRSRDQNYRKYFEMRKKYQIKE >CAK58791 pep:novel supercontig:GCA_000165425.1:CT867998:215473:216705:-1 gene:GSPATT00029420001 transcript:CAK58791 MICIKKNLLFHDSFSVEFLSDSIRLSNSKKMVQHKIKLLEDTTIEWKLSKCQQKLTGFGI LVNGLWEYFDMERSHLELFKQFLDAKISYRNIHKIYQMVSFCGRGTYGHVFKYQNRLTEE YVACKSLKMGSAYKYEDFMREVKALQRLKHPKIVKMKEYYVESKHFYIVMEYLEGRSLKE LLKSRNLKEQEIFIIFKQVLVCINHIHKEGYVYRDIKQENVLFGETDNLQTVKLIDFGLT EKKSDLGVWQNRVCGTPGYLAPEMLKPQNTCNDKIDMFGLGILLWEMIHNCRFFQGGNLD EVLRLNEKYTFCQEYTQNIENPTLKYLVERMLQQNPEDRISSADALSYLSSAERNSCECR KNYDNDSTD >CAK58792 pep:novel supercontig:GCA_000165425.1:CT867998:216991:217344:-1 gene:GSPATT00029421001 transcript:CAK58792 MATTQQELLQQLYDLRNEFYEQLKNVKAGSENQGEIATLQDQNRRFAYRIGHLTKAIDEL IKSENQLRTENAQLQAKVAELEKK >CAK58793 pep:novel supercontig:GCA_000165425.1:CT867998:217945:218374:1 gene:GSPATT00029422001 transcript:CAK58793 MAYNSDFQEQGQYDQEEFAMRMPVKSHLQRLDVNGFINRQMDELDLAKKEKEQLRNNLIE LSQITSDSFDDSNKYLNEEYRRLMQEFHEQNALQLEQHQFLKQQVDQINQDRIKLEQNTI VLENRIQDSEKELGFV >CAK58794 pep:novel supercontig:GCA_000165425.1:CT867998:218385:219318:1 gene:GSPATT00029423001 transcript:CAK58794 MKIKLAIIFNLLILLNASSISIAICKCEQLLSQTDCQHQNNNCLWISDKCVINPSQSDSG AQIITYCTSYTESECASIVGCAWINKACEQFLGCTAYFYSKFHGSVKLMGLIVLRQATVL ITRPSQPVLGTRRMCYVIGMRRNVKILQNVANYQKHYHLTESVEISCRNAQLETKVAVLK VQRIVKIKNQYLNANGINQKHNNVFGRVTSVSPFHVQMHLLHILLIHNVMNSWLVVQLSK MEDVQIQHNVQMQRLRMHVQQITQMDHVFGRIILVMIRFVTKHPPRSRQMNNARQQPIIR YLLH >CAK58795 pep:novel supercontig:GCA_000165425.1:CT867998:219341:224383:1 gene:GSPATT00029424001 transcript:CAK58795 MFKCQQFRLDALIWLNGTKCFWNGSQCVIKGCSSNTSATSQAECEAFSSECIFNVSASIG CVDKFCENIIQQDQCTVDAFNNNCIWKSNCFVKQCVFAPKTFQTHSECETYLMECGLDDS GFGCMNKLLTCSAYVSEKGCNKTKSGGSCAWNNQKCVERQCNMAGNSFTTTGECQLYKSD CIVNNNQNGCMNLTPNCGDRHLKENCEFGTLPFCIWNITNECVQKSCETASVVGSRNYLT FFTQSNCNQYMNDCVLNNSSNGCMTKPSTCNQLGINNCYASIQNDCIWTGGQCLEKFPLG MLQLIQQMYCKQQFKWVHESTEMLILSKCVLCVWTGMYCRESICTDSADSNNFDNYEKCQ SLNPDCTVVSRVDQRGCIKKLSNCIEYKYQYQCYSTLNQVDCIWTHNKCQELQKLDCTLI PLQIYNDANCSSILFRCKSNYLESQCIDKTCTDYSYSTKADCERISGCTLNRDANGCNDK KDFCYEYTPDLSYCNYSKQGKCAVRGIECVYIHIDCNTLPTPTINTDCSNKRDFCIMIVQ GSSYTCSAGQCSGFSGATYSFDVCQAYDYSCTVNRTSTGCALMANKCSSATSDNCVYSKD DHKCIWTGTVCKPVANTSEQNCYLLTDPSSNLTFDLCQQYSNNYCSVNRAGNACINMLEN CTLYTALSDCYQSSRGRCIQNNQENSKAACIDIPNSISCYQILLGENFYYTHQVCQKFNS NCTNYTNLGCIEKTCKNIMINPTTHEECESWLSICTINSSRDACVEKNSTCQQQSVSDCL WTREGQCILNVIFYPLSRLITNALMSIINVLWPIKVVALQNHKIAVVTQQKFNASTTMLI KSVGGIKALSNVQLQYVISLHKTMQFLIVQLQSLAINILPYQNVYSTIRINLVSGILTLI DVNTNNAMPLQSTMKIISNVKILIVNAQSKSNQIIQNNRLHKVVERQASTAVTISLNNNV LSLKTIKNVLGLNLPVLYQPVTLLQKLLTILPIKVAKNTQTPVQSHQICLVVQRFQRNAQ ISALKSHALEMEVAMIVSGMIQHVKSKLVLLLHLSKTVLYSVHFGYLIVQLKTRTNARDN LVKSTNIILISECKAAMQTCTTDGVKCVQRRSCSASLSEAGCTTSINNEQCFWIGTSCTL KECQIINKEKECNVSYNKIKCIWQNGICRNVEDCQDYTGNNHTECQKQNASCTIGDNQKC MKLKSCAEFVSSKSCVQGLDGPCRWVPKLSKCFQFTSCKSIQFTTDQECKQVSPLCTTDG YTCIAITLCAETNTEGGCVSGIDGNCIMTVPALNSTLNPIYAYYLTHQDCQKASKNCTTD GLNGCINLSECEQYVNQVSCNINSIGVQLQNDQIISTGACVWNQSGKCANQICTDLYGTS HQQCTLHLSTCTYDGVTCISKLNCSEYKTQDICSAAYGLEGRCNWNAFQGICQPFTCSSI TNGTTLEYCQSTLSSCITDGFNCVSKDVCSSYTTKIACTLGGTDGICVWNGFSCQLMQSC NSADIDQDACLMGKDRCVFKYAIGITTSSCSAHTCESYEKTNGKCSSFYNWDKSFKKSCQ LVDGKCVEFDLTILDQSRCYTVSEYTYTWNASQNKCQSCNDVVDTTTNQTQNQSNQTTNT STDPESTVNDLARNLELIFMFLIMVY >CAK58796 pep:novel supercontig:GCA_000165425.1:CT867998:224394:226986:-1 gene:GSPATT00029425001 transcript:CAK58796 MAIEALYEKKPYFGVQLPSGQVLLPIQIETHKDKKGIIQTKELNVLQIPITFTPRELVKY DESVIFDINGLQKVEVRFTGEGVPLKLDLLKTEYQFVDFGIYGVGQIGTEVVSLVNNSQK MVTLIFEQDLLKELKQKYFIKVRPKKEFVINPRERKEITLTFKPQQRLHSFKTDLFFKIV ENNEVRKLLTLQGACHGIELKLMEDTIGFGGVVINSRLTKNVQLSNLGDVAAKFQWDTSF CKNYFTITPLSGTLPAHEDLQFQITFHPNAIDNDIRFDKVKCLIQNSDPLYLNLLGKCIE QPKEQIQEVKFETVVRVPPSKKVTVKNPTPKPWKVKASVSALLPQFKDYFEGKEYIEVPA NGQAEYEVVYKPLTMTSNPQIQNLQDQHEGSLFFPLPDGQALLYNLFGKSLPPLPQTVDT TMKAKKNTTQVLQVKNWLKTSQRFEVTWTLEPEDPSIILNGANTFEVSSEGTKEYKLTIY GLKQSQNKVTVYFRNAITQEFVFFKINLTIQPPDQLPRIELTSIVREVATKLITIENPIN QPVEFKKDQLIAEADSISFNPQQFVIPPKSEFGLEIAYRPLVVQDIQSKITIKSVQLGEF VYPLKLQGLQQNVSRSLYFKASLGTEMVLPFKFMNFTKKPTVYTCYATKLGPNGKPLPVN IDPKAKGAPAQTTDFICEQVQFQAPQSESFDGVECQISVKYEPSQSLMKAEVSQLLQSPD GGEYQCLLIGQGITPQPKGPYKLSGAKPPAIEFKNPFFEAQEFTLRIDNPAFTSSVKSPI KVDGKKVLSINITYKAVPNTSNNGRLIISCGDLPQWVFYLQGE >CAK58797 pep:novel supercontig:GCA_000165425.1:CT867998:227224:238700:-1 gene:GSPATT00029426001 transcript:CAK58797 MMQKKLTQTNRQAKTQEFTPSQLIQKQLSGQIFEDAHPKIKIIEFQNLADFSSQPVSSVP IDEPLFDPIPPIIQFTDYEPLQIKEKIFKLRNKDRVARRVKIYKPDSRLFQVVPQGIYFI IIILQVAPGMETNFIIRFSPESKSDYNYDVIVETEREKFVVPIVAVGKRAMIDFPDSLDF GNCPVKYTTEKPVIIRNLGEKTTKWFLKLPLGFDADKREGVLEYGKNEQIVIKFFPTEAR SYKNKAILQYDNMEAYVPIIGVAHNGNVYLSKSQINMTEAFIGLQLQQTLQIINKSNVKV DFEWRAFATEKEEQEKKNRLRNQLEEEEAEERMLIKELVSNEGVHEELELEEDEDSEEEE RDEKAIILKRQKKAELQLARKYRNIRKAIEDDLLLFIDDIFTIEPTQGQIWPNSEMTVTV TFVPRSAFLYQTVAYCNISCSEERLPLNLYGQGMGPRAFLSVYEVNLGDVFVNEIHKTEV VIENRGEIECKFELMPNERAFGKMFKFDVERGVLAVGQRMPFTITFKSSIPGEFKETFRW KLEGSTDQLTILFIGHVIAPTFNFQDEKIQFGTVSYSFEQTKSIRMTNTSTVAFTYELKI PGDGKLAEREFEIEPRRNTIQPKNFDVIKVTFIPKQIKTYEQVMVVDIEGVGQDMLSIPI TADCQAPRVELRPSDRLNFNKGDDQKVFLRNPCKSRVDLINTSELPAKFVVLSQNDEYKV LADYTVEPSSGKINGNSTMSLEVTLTTKKLYDITLPLQINIVGTNNGQPHVITIVAFSEG PKVKTSKTELDFGNVEVLKDYSLKLTLTNDSDIEADFHAFTKNKVSIFKPIQKHGIIKPK ESCEIEVLCSADDAVKVTDVLHFVIKEGDDVEVQLKAKGVGSTIFCKDDLSSVNMGVNYT HRKVTKEIFVENKGRKQQKLQWTQKKPQQKKEEQDQKNNKAPPPPEEESVFSIAPDTIVL PPKHGIMFQFRGYSQKKGKISEIFMLNSTIGTERKANLLFATTIEGDFIQPTLQFSERKL FFKYSWEKNVPFMPISKNLEITCACPLPVNFDLKCQQPFTVNQDKLQLNPGKSAVVRIDF DPAFKSDRKSGDLEGKLQLSHYEHPHKDLIDLIGQVHFPNLIMETNLINFGSILYDTTKK MVMTMKNQSEMALNYEWTFVSEELSLQGQQPNIPINEIFDILPLSGYMEPGSEEQVEFVY NAIGGQRFKTTAVCHVDGGPEYEVTLVGDSSLLSSKMSTTLIELGDVRFCEWVSREFTIE NTGKVTFEFKIDLRHIKKKGFVDVQPQNGKIAGGEKLRITVRVSPVIPAEFKEIILVFFQ PITIIGRGVYPSMVVQLPRSENPLFQQKFDVEIAKKKADLETQTKRAQLIAKTQNQKVQV KTLEQVQLEVERDIDRFVFCEQIKRTVLDKQDERTHSRDQKEKFYDNVILATYICDFGNV VLNSIRQKTITINNPGTLPVEFVLDGKDTNIVPERVLNLPSGANITLNIQYQTKKNMGFG PTKTVVPIELKKGPKFHLELVANITIPQIVIENSADGQIDFGKVLIGQKKIIFLRFVNDK EIDCEWSQSTRQELTADKKEEPRFVLVPNSGIIKPGDKQLVEAHFTPLVERNYSQKFTLN IKENATPYILNLKGTGTSINLQFNPQLINIGPILPYDKFAHSVLEIKNPTEFDTELFSLD FDTQYLQDDEIVNSYPQLEHTDFILMPVRSPGQPIWLDFQKAFTRSKRKDQILNKLQDPN VENKEQLQKELDDIVIQETMIKVEYPKKVSEDVLKNIVIMGPPRCGKTHLANYLEQTHRR KVINMNELVQWNQENQTQAYQQLEQYLQQRQNEIQFVQQEREKLLKKAGKKSKDLEDKWG PIPLHLYEYLSEEIIVKLLKARLAHEDCGAGAIFDNLQSKYWPNELYLMKCIMEIDSHLQ VVVLKEQYDQYGFEIQKLIEWPGMEKLAEEDKPKKEEIVEPIPTSKDKNNKTLTKRGKEQ SQSVTKKQEKPLVSQLQLQQQQQSRQKSRPKSQPKSAGNLVEQSYYDVFYPASFQDEIQK QNFNDLITKVKALIENKYHEKIEIATKIHDPVFDEGKKEEKPQKPAKKGDKPPEPEEPKV EIIDYTYLQKGERSLNEVPFHYNLPQLCQTGLQVIAAPIYPNPNSLPIPDPIYHQIVMKK KDNLNQSIQTGRKKTDTKESSIHQSNATLTQTLKYFNILTPKDMYVKDFKMQSQQKTDHV DDDKINLQEEQNKHLEDINSPSREQLQHPDQLNKPVTPSVKARANVTSRSIANVSKRSQQ QKNPDSSQGNDQKYNYKLEDLTQKQYRWNIPAGRTLILVIQFFTKHTGSFEGRLNFDNFF SIKKSAAEVKGVADYPSLSGLPKQLFWTVKKARPALAPDSYLSKVYVQNEQSFDFGPLLI GKSDKVANRAINSTTFRLSNSGKFDTELQFSLLSSIQENKEIQKGVFTLDLDNSKIQMNS VPLELRVWAFPDKPQKFRDDLIVQIKDNPLPLIIPLVCIGCRPTIDLINPDVKFERLLIS QSDSRTVTIKNTGMINAKWKLTGIEQLEEEFQVINTSGELAPTMEAKIEIRFRAIKERKL NLKITLEVEDVENMNIKQDPKIINVDAEAFQIQVDIKYPTPENILDFGSVKVGDFKDQIL QVKNIGQYLVKIKCLIKKKLFPQLFQLEPMETDLNPGQQKDILIRFCGVKEIKMKTTNDT TDLYLEILEGKTQEIYKPVPINVQFNSVYSKYSINPLKNINFGPIQFNESKVRHLEIKNE GQFEFNFTIFDYANEEFRKQLLDQQTKEAQEKKELMKAMPSSLAPVDPKKGPKKDDKKQE KKQAKPGKNDPPPGQLKIGQWTIMPSIGTIAPDSSCTVEITFAGQGQKNYEQRLAIDIIN RNPEDQPNGIEYEVLSESCIPGISTTSYESIFEEQVVLQSQVNNLSNLINSNVFFIEEKV FSFGTLVPSKVSDGVVERFKLTNPNKIPCSVKLDARRRQNYPNDNFAFEVLPKQVKIAPH ESVYIKVAFKPTIMAQYYGIFEAIVENGEQSAKTHKLLFDLRGEGALPTLKLERPKDWLD ERTPVVKFGRVRLGKTLTLPIVLKNDGQIPATVKWDLTAVNEHFRFLDQNTFTLTPKTTS TFNIEFTPKDVGVKQHYFVMQTLLNPYEVTKVAVTGEAFQEDIVFENLDDEVQFGDCIIN TEKKIQFFLKNNGANTIRFQWNTQGCEDFSFIPRQGHLNTKESKPITLIFKSNRSIIHKS FALQCDTKQINKKTQSEWDDSMATTKYVTLTEYNWLMKRREEEEARRLAEEAANKKGAKK VDKKAVKQEIIQPPQPEPGEEANIPISDPLPEPEYQVIDKSDKSMPMKVNAIADFAKYEI DQRSVYFKETLMYTTRVHQLKLKNTSLIAINYNCKIVSAETGAIDPGYFYVYPKQGKIAS NSDEMFTIKFSPTEVDESNERLLVISIDNLDPNQEKLIVELDGQAERPICHFELPPSNYR DKKPDLEAKYNVIEFESLGCKVKNTKRFYVVNPTSVGYEYEWKRIDDEKNQNANYFKCIT IKGVVLSGKKSEIIFEYSPDTQGQHESYWVFEIPQEHIIQYFLVTGSVVEPNVIFNVGKG QFWSPYWYKGRIKKLLSLRIQRMESFHNPEYGDSLTITPVQGTIKALGDQPIEIQFAPKV EREYNYNLLCNVKRRQRPVTLNVKGIGYILHNNVYLNGVAVTQQNTVVELGDLYINEKSS KQITVENQGDFNFDFSVRKSAQLSNIVIINPREWHCEEE >CAK58798 pep:novel supercontig:GCA_000165425.1:CT867998:238840:240138:-1 gene:GSPATT00029427001 transcript:CAK58798 MQINKTWVVIACISFNIGSISYAFVSNSMNNALKTLYIVFEISKDDQSFFAGALTSSAYI GAFFGAILTTFIKKYHTGILMADVCLFVGSSLLIFSDIYTFFIGRIIAGIGCGMCAVCQP VFIRQISPTSMYSSMGGLLSTVFSFGYFLTMLYGVWFPTTDDITSPDQLNQYFWRVYFFV GGIPSLIRIIVMKTIYNFQTPIFYIQNNQDDKALAVLKKIYQSSNYQELLENIREQSQTQ NKQVELGETIRKYKKQFQFGLFLMFVFQFCGFNAVVQYSTQIFNDSKSQKTAQFLSFLIS VLKWILYSFAGTITLKYYGRRKPLLAGLSLMFVANFGIFIFSYLKNDDLLIVFIFVFVFA NFSSTGPIVPVYMPEFVPQQLISYCYYTFWGYSIIILLVFPVAMYFCVFNQANIWGCKTV SCFSRSSRYQVC >CAK58799 pep:novel supercontig:GCA_000165425.1:CT867998:240287:241239:-1 gene:GSPATT00029428001 transcript:CAK58799 MATRSLVPTFIMKTFEMLEDQSISHIVSWTEEGLSFVVKSQKLLQQQVLPQYFKHRNYSS FLRQLNLYNFKKSKHQDGQEFKHKCFRKGVKQMLQFIKRRNNDDGNQESVNPNQPSAKLK EEQNILRVCASDIKDTNTRLDEDMQILKQKSGVLLEEMWNLKKLLHSQFDQVNHKLERID IIIGAMSQHKKFKNISQYFTTKFLQNELHTLETNLNQPLFESPKQEVDDQEQIKALFEEL QNLSKPIQFD >CAK58800 pep:novel supercontig:GCA_000165425.1:CT867998:241373:242641:-1 gene:GSPATT00029429001 transcript:CAK58800 MIRFLILIFHFQSIFTLQILEIDVRQGQTKFNLTQKRVNSTFQLKILKEESSRYLLIELF VKHRSRSSPNLVLLQSYGQAPFYDLESPKKRILCDHYDINGQQQSKKYHYLQLNLDQNSG SESYLTILTNKTQNYQLVFTASHIMQCPNNCTQNGECINGTCRCNQGFLDEDCSQSAQQF LPFTIQNISILAQQYIYTTFNATSNYSLSLAFETEKTIKSKIKIQMLVSQRYNLPCDINN SYNHTLLDSKRVDIRLLQEPQLESTFGQQPPQNLLIIKLQSEEEINFKLSIDFQIHYHQD AEEMATIILVVTIILILIVALMFIYWYCFKRKNRNSSISYSYQTPSEDDMKLIHECSICL TDFIQTQDSYLQWAKTNCQHIFHKECLSKWQEYQITCPMCRKPLI >CAK58801 pep:novel supercontig:GCA_000165425.1:CT867998:242751:245524:1 gene:GSPATT00029430001 transcript:CAK58801 MRSINNSIDTPSIKVKFNHNEKVDEMRTTKTMKKMHIQDEISIVWEYYMYMIMAILVEII VLIFNNETKILNMIRITILILIFIISLVYARKMQQNLLLCLICLIKILQTSTIGLNDQIY LIVMIPFAYDSITYNSKVAIKLKLFEQILIKIIGVSLICIFYKLPLAITINLCLMTLEIY RNRIIFMKKEGTKSLINYKIPIEYEQVVQSARGDNTQREDIWKKRFYIIPLSILLISKET LKITYKNQTLFKQFCDSFINEEELEDLILNKLHFSLLSDHQEFVQLSSTNIIKLKQKKSN SYNLFPQKSCMAQLNTYDLMQKRTLIEILTSIKSEMYNIQKDVIELFCQQTELSGAKYQL EAKIILSDKDDEFFVTINDTTKQNEIQQFIVKEEFKSKIIESFSHELRTPLNSAINFLEA SISDSTIDDKLKLQTLEPAVNSLKLQSYLINDIIDYQHYNANQLELYVTEFSLQDFLSEL TSLFSIQFDMKKIAFNLDLQKNSLQYLSTDQTRLTQILVNLLQNSLKYTSSGVIQLKFSS VSNDILKIVIQDSGVGIAPNVLEQVRITLKYVEESKDFQTFKAWKGFGLLIVALLHQSLS NQLDSIRETKIKFYILNFNYSSKQNTIKQSLSAKNTLRQSQSVKKSFQSNSLSQILPMNG TIFLAQDIAQVNQHMKRSEKYLNSGSNTLDDFSELSKSPIIQFCSPDANISQLVQIHPVL ITDIKSSQKISIKNINPQYQSQKSQKSQMFSLNQFRKMETQHREDIFKTLATKLNKCNCK IILSVDDEIFNQKSVERLLQQVGFDVKLAFSGDEAINLVLNLKPCSLACNLLTIILMDYL MPRKDGIATTKELKKLMLDGLIPEIPIIGLTAFTGQQDIKNCLIAGMSDVLSKPLKIQEL KDVLASL >CAK58802 pep:novel supercontig:GCA_000165425.1:CT867998:245563:248745:-1 gene:GSPATT00029431001 transcript:CAK58802 MSNHKIQIESSPKIVNLANLERFCGVNDYNIELYLLLLSFSNLAYYLYNHTYSVFMLVKF ILTSSLTIIIIATQKKLNPMIARLIKFVCDIVSITQLITSDEYNSLAILSLIFDKGIRVQ RDENYQYLLNYLILKILGLIFISVYWNNWVFIIIICNTIVLEIYQIITQYVQKSQRSKYK LGNKIDKKSVETNKIITDTKNLVENQIQIDILSSHNGDNENLIKNEDLWIKRMHAIPISI IILNKKSLGIKFKNETAKKHFNTLCYDDNQINDLIMNKLEFTILEDSLEMLKNSSSHIVR KQFFNRPVNQSGSSLFDMETLKKLSITQILLNSIGGVHYNLIKPCANSINLYCHQVLDSQ QFQMNGTIFWSNEDDELTIILSDISKQMQLQQFIVKDEFQKKVIESLSHELKTPLNSSIN FIKSAIANEQLPLQIKKQCLEPASIALQLQSYIINDVIDFSQFYNNTLDVCVREFQIKDV INEITSIFNLQFQEKGLNFVIDLTQNTQTSMSTDYNRLMQILVNVLQNSLKYTYHGGAIL KIKNLEDGAIQFSVSDTGIGIKQDITRKLNTYVNQVDNLRHFSKLKSWDGIGLLISSILL HQLNPQLSETFQIKSKQQKGTKFKFKIKQILIDEISENIDDLVKKKSVRYKRASNDNYQN SLVGTVILVNENLLASQNLGINGMQKGSINKLLKGTCEYDQESVESPDQQLNLSNQYIQL KNQQHNFIINIIENKKQSISFDVQSMKSSSMKSKILSFTKLKLMERQNHDAALNKFKKKL KCKCRRIMSVDDEIFNQNSIKLLIERFGFEVIIVIMHTLFKAYNGQEAVTKIQQLQKCSK ECELLNLILMDYSMPVMGGIECTIQLNRMMQENIIPKINIIGLTAFTSKLDIESCLNAGM VEVLFKPLKLNDFCELLTIL >CAK58803 pep:novel supercontig:GCA_000165425.1:CT867998:249158:249828:-1 gene:GSPATT00029432001 transcript:CAK58803 MSSLVKKAQDPYEQLMKVLLLGNSGVGKTQILLRYCDNNFKTGYMCTIGVDFKIKKLQVD EKNVKMQIWDTAGQEKYQTLTQNFYKGAMGILLVFALNNKDSLRDIDKWMNQIKQHASEN IIKVLIGNKADIKERCISNEEAQSLAEKHGIPYFETSAKDGTNVNEVFLQVAKLINHHHQ DQQNGNANKVLSIHQDEKKVDSSCC >CAK58804 pep:novel supercontig:GCA_000165425.1:CT867998:249896:252662:-1 gene:GSPATT00029433001 transcript:CAK58804 MPKWGIIKKAFLKHLKTLRWDQYQQWRWHNHFQWADTTFRPILILQFIFIIIRLSIIADT LYIQITLATQICVHILLFLSISKAISEESHLYIQLLPLIQQYCMYWICYIINEKDYFMLY VAFTSIYQSMYSTLRMKFLTISLFLIQSIFLADLPSFEGLFLSTVLLVLQILRKEFLYLQ HFQNLQALFMIIDSTPQAICIVHKDKNFLLYSNKIFDNLANKLESTNQSETDQSPEKTSS IHSARNQLSFLQNLQLDELNNDQTLFDFDDEDLEMEDQFKIKNVTYCKEKTQLLSQNRFS VEIQQQPPKNHQQQQKFFQSFKNQEDESIIKKKFTSDVTMKIEFNHSPQMMIHSAGKRSS RKKSQNHIRLNKSSMYMTPSRKISADQLLSKESKEFPSSNHKQSQDYNTPTPKSKPTINH KMKFLVNVLENCRIFDNDDGLQIYFINEINQILLRAKLKKLESIKKNILRSISHELLTNL NAIFGFIKQGQDKQSSSECCSQQLEQALCYTKLQLYKIYDIFDYRDILEEKLIMKSDKFE INSVIWECVDLLKDQIERKLLQIKVELPEVSYIIIGDRQRLCQVLLNLIGNAIRFTLKGE IKIRVSKKQYIDSMQGIDDVQSYNCQDTNLLQVSISDTGIGMSENELCNLRKKLHISDED EKVSKQSVGISLGLSVCKQIIKYLAPSHQNYLSVESDLGEGTQFYFVLSYDEEQVQQEQS NLQNSFIHTIPFLEQQSTQQVRVLNYKKCSKSQMGEQIGNGETNVCNCNKVLIVDDEQFN IHILSHLMKKQGYDSDFAFNGQQAIEKIEVQLANRCNTSTCIGYKCILMDISMPIMSGWE AVQRIRQMEYKIKLTRTIPVIAVTAFCSIQDQQKSIQEGFNCVVTKPISKEKLRDTFHRL NI >CAK58805 pep:novel supercontig:GCA_000165425.1:CT867998:252764:253669:1 gene:GSPATT00029434001 transcript:CAK58805 MKEEDNVIKQEENPFFIPQYIVPINYTPNHNEIENYKIAIHHLTEENHFLRKQLPHNQVS DLLSLNKEQLKDEVFQMIDFLMKNLNYLPKEQIFAYRKTIRQCTQRSALKRIYFLIFTRY LQAEKTKEEMIKFIIRKSVKFQKPTSNIQKQQIKKMNTAFVQQLFLSPQYQQHYQNFLSQ YLQLALDENKHKIQKYVNFIVESIQNDQIDVIFTHFHQDVLNYKRFPWLNEWIQQSVQIA QDLQFTSNPQNLENKKKIKF >CAK58806 pep:novel supercontig:GCA_000165425.1:CT867998:254128:257135:-1 gene:GSPATT00029435001 transcript:CAK58806 MKLDNDFLKIQTYANQLFDSLPVIKEYKNDKDLITQLQYSCVCYGRKLVSLFDKYTILQK KQQEGSNQLLINLASLLNVTPNEQTILNTIKQQSKVNEQLQSKIEYLKQSQQDVVYQKML QHEQKLTQELQEVADRLIKELKQSKDDKQILLDELQVKEEQLKQYQYQSQLQQPSQLRSP TKQQYNSAHKYQSATKYSSSSKQPRFQQQLINTLQALSQQTRTFLSQYVNQLESTYDYDQ LMTDDAQMLSLNISNLVRDITQIIQHKEEIVENLIKGLEIQLEQLRLEQQQSELKQTDTK QLQYQLQQLQTMVKKAKDTRVKELDRAFNSEVPVFIVPDDLMDSELQLTIERHNSKVQQR LNDLAVQLKKKSTQFEQIQSQSTKIKIILEEGLNRLQIILNELQNMADSKEALKLLKFCK NEIDSIHVNLSGIDIEQQVSIEIKQQRQNQLQQLDQLKKFYSEQTERLIQENEQLNEQLL KVQQLTQGLHNDNEQLVRKLKLAQQEQLQQKGEKDNLISELELTISQQEQNIKLIEQQEK KQRQTMEQMEKELETKKRECLNLQQSKQLEQQDVIDKLNDKNSVLEFENKTYKQQLEVIE KDFQKLKSQRQTQDQLAEQDKQTLRKQLDEKKKQLLQLQTENKKQHEEAVTQIEELQDEL QHISSQLQLRETEIKQLHKTLSELEDFSRKQIDVIRTYEDKLTEAHQIKKQLENNNEGSD QMLSQLQLKHQQVQQQFNQSKHENDKLLNDLSVLQKQYTKQTEAMEQEFKDKLSKQSEIN KILKEEARNLKAQLELKINQLRQLEQELQDKNNDLDKAQKICKQLNLQVSEFRQKIEYFE DFKQENLETSEQYAKQLQLLQNENFQFRKELDRQQQQNTQRQKTNNIQDQQMKEEINNLK QEVRKMEQLLNQERQNYEQEIRNYQSQIGEYTKLDLSMRQKSGQSGGSAFYENLIEAKDL EIARLANIVKSLTDLRK >CAK58807 pep:novel supercontig:GCA_000165425.1:CT867998:257267:258871:-1 gene:GSPATT00029436001 transcript:CAK58807 MLPQAQQNLIPKKKIEHYTYLMHEQIGRGYSSKVYKGKDENTGEVVAVKVIDVKAISNEI ERQLINQEINALKLIQSVNVIKLHDYYHTNNNTYIITEYCNQGDLGKLIQQQGIIPEVEA FKILRHIINGFKEQIRKGVIHRDIKPTNILIKNSVPKLADYGFSKMIKAPKEKVFYKVGT PIYMSPESYLENKYSEKTDIWSIGVVYYQMLYGQCPWVINNESEFQESVSQLHFNRNVPI SEESKDFLRRALQVDENQRLSLADIDQHPLFLRRNTTALNKMRGALVSNSFNKSITNMNV VRVNQTQQCTRSGSTSHKHQKSNQIKEKIGTVVQHTYQSFHYESQAEEVICNFLNQCKFI YRTCQLIDHIKYLQSPRLREKIMGYFGKEVMDIMNKLKEAYEKGVNNIKVINFNHQFVVA ILNKYAFREAYLKYKEFYGMSLLGDISALKDVIRELNHQLRINEQNETGILLLDYLTYYY QVIVSNNAQQFLDGKPMNEITPSHYQEIRVKIYNLEI >CAK58808 pep:novel supercontig:GCA_000165425.1:CT867998:259785:261034:1 gene:GSPATT00029437001 transcript:CAK58808 MNFKQDAFQAVDSIFTNVQKSQNDRIIEALVGVREKIEANLEELDKQEEDLKVKEVFLQK IQKLMQFAEKPANLKKVWQYFSSTVYKSQDQFIELLRNIPSLIHFHLQQKVLTISMFIKQ SCAELFSHSLITFQMELQHDEPIQKENIEKEDYVGCITYVSPEVQNERLYKIVMKLQGFI NKIKKLDELINNSNLDSDILKFSIQNNENKERKITLWSLDDQVSYQVTTKSTLEEQPIFE QRIYLQTGMVIQLKQNFNLIVRDCGNSGNIEKKVVNEHLTPYLVGGQQVQIKPILVNDNQ RWICINNGFEDVIYYPADIPIKLSKIQTLPRGIEVLLQNDGVGNYITVVGDCQRFAPRIK SKRSEGQLLQFITFQQNFNLGIIYQENIKLALYSDLTSFWNGKEIFDF >CAK58809 pep:novel supercontig:GCA_000165425.1:CT867998:261043:262169:-1 gene:GSPATT00029438001 transcript:CAK58809 MNESQSKISRNTSSSHFSLPKIQKLTTAPKYLEVKGYKNYKYKSYMMDLMKSDKKLQKLL PFHLQNLTKTPRKRYELNDSTKQELLHFSKLDNIFTEGDLDVMKQATVTQGAMNIQAMYK KTLKSYEQDSQNTRRADESQLCDEITMNNSMISNIIEHQKQLTINPRVIRQAKKEIYKEM NTIKEFKVLVKNTQQYIDIYDIKETGKNPFIYQFILRESYLSFTELSGVVQDRILLAFDI NPHNEQEKVYYSQFRLFKQIVISQHFKEQTLINFFIKFFNPQNQPAITMQDFRSILIVIL QNSENMDQLVKYILKNIQLSQYVEDNQIKDLFGIFKSKILDPINLIYLLLNNN >CAK58810 pep:novel supercontig:GCA_000165425.1:CT867998:262815:263082:-1 gene:GSPATT00029439001 transcript:CAK58810 MNYHRNPSLNHKYKTLIKSQFYFQSLKTEPQTINEEKEKIVNLMKILKQEEILFSENSER DPIEKKKARSQKLSCECTIY >CAK58811 pep:novel supercontig:GCA_000165425.1:CT867998:263150:264404:-1 gene:GSPATT00029440001 transcript:CAK58811 MKKFWNFICCSLDQDEEEFFEEKQITIKKDEKTSLELFGNFETLNYMLVRMTQKNFFVDF SMRKSKLVEKNPLTVFISYIDNDIMYEQDESSYLIPEKVLQYIGRRMREVKPSFIVDGLS RSGISAIQFSSETNTFVLGNNFSMQQIKNAKHNMTKCNLNIRCDFIQASLPKLPIIQNDV FFIQPDYQIIDDNLSMNNIFPSISDILDYFLEWSKNLVLVLPIQLDLQIFCEVFCQKLKE KNQDHLIFEIQRIQIDGELYYYFIYFGEIAAVQTQDQYMLMTEITLEDSNQQNLFDSTYM LLKNIGYTTNPREIMNILLQSKQMSQSETTLQILINQLLMKGLTNEQTIKQLFGDADVLQ SSTPKYNQIQVQENTFGQDSQIKQCYEQQQTQKNKKAKKSQFISFNIPTE >CAK58812 pep:novel supercontig:GCA_000165425.1:CT867998:264865:265160:-1 gene:GSPATT00029441001 transcript:CAK58812 MKVKIKEWNAVASWIWSLDTDRCTICQLAFEQPCPRCKLPGDECPPVTGACNHHFHLHCI VRWTEEQDYCPLDRQKWKVKN >CAK58813 pep:novel supercontig:GCA_000165425.1:CT867998:265311:266313:-1 gene:GSPATT00029442001 transcript:CAK58813 MNNTNKCKIILLTGYLGSGKTTLLQYILKVQNLYQVAVIQNEFSDEMGIEAPLMVDNNGD IFDSFFELPNGCICCSAKGQMMTAIQYFIEKKPNIQFIIIESNGLADPSSLIKELWADQG LNLPAELATIVGLIPSHKFKTLYELEIFRKQIIHADLLLLNFQDLCQEDDIILIEKQLKI INPNGKLLKSVRAQIDIQSIFEAEKADNKIFDQEHIHFEEVGNIAKIFLNIDTPNITQQE WNIKIGQMLWEEDLKIMRIKGLLFCKDEQVMYSLQGVEDIFEITPTNLPISNQQSISKIL IIGQKITEELIKNIILSH >CAK58814 pep:novel supercontig:GCA_000165425.1:CT867998:266430:267615:-1 gene:GSPATT00029443001 transcript:CAK58814 MGQCLNCFKKNEECQQDVVSQQANTNSKPLNEYQTETITFNKQSIVITNDSQKINVTNFK LLKTIGRGNFGKVLLVRKRNSGKIYAMKIVNKQDLQVKKQVEYARTERIILEKINHPFIS KLHYAFQTQQKLYYVIDYCAGGELFFHLRRAYKFKENQVQFYAVEIIIALEYLHDSKILY RDLKPENILLCSDGHIKLIDFGLSKIISNRDKPSFSIVGTPEYLAPEIYSDDKLGHDESC DWWSLGALLYEMLTGAAPFYSQDRTMMFRNRTEKQIEMKPWFSEACSSLLTGLLNNNPKQ RINIQQIKKHPFFSDIDWNQASNRQLIPPIIPELSDELDLRYFNKMFLDEPAIDSPVNKK DQYDNYDYFTYDSEQITES >CAK58815 pep:novel supercontig:GCA_000165425.1:CT867998:269733:271509:1 gene:GSPATT00029444001 transcript:CAK58815 MQSSNLYFVYNSGSEQFWKRKDYQLINELMQGLLQDSREMITLYKTQKILKKFIARRYKT FQQHLFYFRENGELGGFVVLKNVYFKITKKYATQSIPYDSCLTLIRDRSRIDLYFNLSPE IDIFLRELQRFCIIDGFDQQYQLLQNIGTGSTAQVFLAENIQDHQQYAVKQIEKEQATGR HRVLRSKTLLEEIFMMRSLDHPNIMKLHQVYESEKHIQLVISLFEGGQLMDKIKNFNFLI KRELAKVLIETVHYMHTKGIMHRDLKPFNILYKNKDPQDWHFGIGDFGFSTSIKQQQHIL YKCGTPGYVAPEIIEYREKSKMYGQSCDVFSIGVIIYEIFFNTHPFKSKTKDDTLRQNVN AQINFDDRVCIPQSLKSLIISMVRKNPRHRFTLQQCLEHDFFKESLYRFNELSQEIQEHE PKTMEIRNIRCQTLQLLKTQNNRRRQSLPQDSSVTQEPQIQQSNAIFSIKGKSIVIKHRQ SVSSTHESKMKLESQQQFYISQQNQILMPSQVKLPQIEKINEIFLNDLHQIPKEFGNTYL IKKQ >CAK58816 pep:novel supercontig:GCA_000165425.1:CT867998:271825:273104:-1 gene:GSPATT00029445001 transcript:CAK58816 MQNDVKLISAYETAFTTAFNEIQDSHQYLAIYADVSIRSEKGVNEIETDKKLNKIFSLFQ LLYSRDKFFQQHQRLLSSRLLNQQTQNINLEKNLLQKFKGETQTNVLSQLQKMVNDIQQS YRFATDQMIHKNQKFDLTVYLLSQGCWPINNIQEQIIAPQEMLSSLNLYEQIYLIKNNGR ILLWTYNLGQGELNYKIQNDKYYITATTFQMITFFLFNKENELTIQQIQERTQIKIIDLE NSLIPFICLKVLSRQKEDLDEFSDKNEVIKLNLNYNNKQKKLRVLPNPKMQPKRQRKVGE LTQEEREYEEQLIKQRELVVDSQLVRTMKSKKSVTHSDLIAQCAQMITIFKPDIKFVKKR IENLIDREYIKRDERDCNLYHYLN >CAK58817 pep:novel supercontig:GCA_000165425.1:CT867998:273250:274367:-1 gene:GSPATT00029446001 transcript:CAK58817 MLKDFQNRFDILEEKCLKNARLLKNSLFSGAQFQLPKDEIMYLNREILDLSSEWSIHFPR TAKKQSKEESNLGIEFKMINLYKLCINEFVAEMYEELKKTQNQKETTLQLVAKHYHDYKA FSYWLYKIFYHLDTNTLSTLGADLHSLSLETLKTVYFKEAQEKLFRTILDVLLESRQKQL LLDKKIKSLCELFVVMGANTVKLKYEKEQGMYDYICQNFLETEKFYKENFEKRFLEETQK FYKYQISERQNLGVIEFVRWADRVISLEEQMCLESYSKSFDQIKQLFNQLFVKEQAERLS AGGLGELFNWENYTVQYLGRVSVYNFLLKNSKFTIQLLFVK >CAK58818 pep:novel supercontig:GCA_000165425.1:CT867998:274449:274940:-1 gene:GSPATT00029447001 transcript:CAK58818 MSYIDHTQLFQEMYNFLSILLKQDKQLVFLIDILCKVKQYEISFLQITLWVEIENQINSS SKFELFYLVDQKTYITQPKLQLIFYCPLLSQEYAIFKGNIYGSNINETNKGIQYNHLIYL KSHQINNSKPQFLRIISFIINEISAVYSSNSYFLLFVWLEYKF >CAK58819 pep:novel supercontig:GCA_000165425.1:CT867998:275849:276178:1 gene:GSPATT00029448001 transcript:CAK58819 MKCIPGWNLFNFKCVQCHVVDNCLFDQDHDSTQNEVICGDGITQDLEECDDGNSIPFDGC FECLYQFEYNFVECRDGVCILLSELKESMIFNCDFGFHLIDQNCQSICG >CAK58820 pep:novel supercontig:GCA_000165425.1:CT867998:276744:278318:1 gene:GSPATT00029449001 transcript:CAK58820 MKILVLLSILQQVLPTRDAYFETGNKILFLGITDHILHLESYLPRYIEFQFNFQKTFEIA PQVFVSQNHLDWENQLPNGFRERISFVTIKGFRVQVNAVATTKLFGIGIYWFAFDDTRLK IQKLAQGKGKYFSPLIIIFKTHQRVLSPYQVSDIYNLTIFWNQRQQLSTAILGGRNKSKK VVISVRCYLQSQIDYIKFNILLGADQSLWTSPSLAYIVDPNSNHPFVIRPGNVAEVTEYI EFPQEQFNGLTIPIISLITIRGYNCDKQYNQRLYTIVELQSPYIKATLAKWWDTLTTEFY YQVAIYNPNYIIKDQNCAELFSECNYRGDSLIICGIVSDISSYGWSQPIKSISVPTGKTL SLFQLSNFQGTKSSFNQNQQCISSINKLSSKLQPFISFIKILFLNTDMNNSCLTVIFYSQ CNYQGTAFTVKQGYHLQSTNQIPFEFKSIILCPNIIVKFRDPKYDGGGINEFSFSQSCFD NYQFPKYRQPS >CAK58821 pep:novel supercontig:GCA_000165425.1:CT867998:280562:280975:-1 gene:GSPATT00029450001 transcript:CAK58821 MDEMVYRMSRGEISRVRISQGIIRPYLQQIDGEFKFSQESNFINSLLFYCFRQPSKQLNA CDHKKLNISRIRIFFINYSIYAAQSASHYEKLVRTGHPRMLIRHGYNYQNCQHKHQQTRL NLFFVQADRQKEEDDLQ >CAK58822 pep:novel supercontig:GCA_000165425.1:CT867998:281721:282110:1 gene:GSPATT00029451001 transcript:CAK58822 MALIKEYIQQQPKVALNIQYLDGCANMKEEQPAQYFCLEYLQITIKKASPMQKRSHRDRN SNIIKSYNRISNHSLSHQKIGRICIYLGIIKIYRGCNRKIFQPFDVEIINQEAIFARQSS LNESQAYII >CAK58823 pep:novel supercontig:GCA_000165425.1:CT867998:284777:285109:-1 gene:GSPATT00029453001 transcript:CAK58823 MSYQVVYGKSEYGGPDSKNVIHRDPDLKKGRQIQNLKFRWLKVCRDRPYGANKLLKQRMK EIGINTEVNGKYSKYAIMGAALSITPKTWINSHTQNYSGQTYITWMEPIP >CAK58824 pep:novel supercontig:GCA_000165425.1:CT867998:286435:286851:1 gene:GSPATT00029454001 transcript:CAK58824 MFLQKIRRNESRGAKSSLVAHKRHELYQNQKQAALPNQQSKKRQQTILKFQIKKTEIWIK TQDNSDQNQNEDDEQALKRYYQVIMSENMWNQDDIIYHMQELNLQMLGDNQRLGKGSLFI TITFKDVDK >CAK58825 pep:novel supercontig:GCA_000165425.1:CT867998:287634:288083:-1 gene:GSPATT00029455001 transcript:CAK58825 MFEKIQYVDISMLFFKFQDSKISLRFIQTFSHYLNYEAVIHYYDLSVNAIIKAQNTIQSK TQLKSAKIVQKIKITSPKQLEENQNLETKLKQLQKEETESLIRFNLSQFLIPKKKVGGLF RCYKNQNENFFNYPLPQEIVRKTKHLIIY >CAK58826 pep:novel supercontig:GCA_000165425.1:CT867998:291685:292791:-1 gene:GSPATT00029456001 transcript:CAK58826 MYINSKLNNTWKKSQNSLLLVQFRNNTNFAFTLDKPNEKIHQSEGVVVEISRIDQKLIIS QPHHHSQELISRCVSIFIYFFQRRKLTLLLSQYCSLRNKSAHGQKLFMLFLNLLCVSLAE TSCGLLLVSQRRCKASSTFCTINIFLSLRSNTICNQQNQTNISPEKLESRYKKEQKKTLK QLLTLKTISKISFMVESLVLYLIVVLRYDLIYTKIFNLSKPSISIAYKCCDANQKIEPIP MQQSGQLICFYQNVPLLTMSNYMSREISSTVQTHQTLTTMNVEKFLNHKIFFYYYDYQGS VYKIFILYLCAVSGNSENICEQTETFFNCIIVPV >CAK58827 pep:novel supercontig:GCA_000165425.1:CT867998:294202:294764:-1 gene:GSPATT00029457001 transcript:CAK58827 MNQHNKKRFNIYALFLRIYTICYPLLKIFCFFWVSRLSQTSNPTDGKTQSYFKDLDTHND YQWDMNASNLFLKIEIFLIVRPKERTRVKWSDEDDLKLRISAYEIRTSGCCQQEEQQEAI QEESKVQNQIAKGKEQQYKHDTLINQSSNNIVRKFDQISTFYSYFQQYESNSNQDTR >CAK58828 pep:novel supercontig:GCA_000165425.1:CT867998:297020:297346:-1 gene:GSPATT00029458001 transcript:CAK58828 MNLLAAESSPCLCRWMKNIQTLLNQVIIRFSCFHKWSAFNISKNLSYSAYCASKSDKNFN NKSLAYNSDPKQSIITTINTFCGTVANIFQKLLVSQQSPNEHNAQRQK >CAK58829 pep:novel supercontig:GCA_000165425.1:CT867998:298600:299448:1 gene:GSPATT00029459001 transcript:CAK58829 MNKENAHNCNTIFKRTTGLSIFGEQQRILVRLMKQFHQSICRLMQPIIDSIDPTNLLASQ NEIAQYNSKQLLEFTIQNNNIKPINIRCQGIIWIGVSFYYLNVTLYITIVLWLGSLEKMQ KRRLEFDRHWHWRWRPRIYIGSTNLKKKIQSKIIIQRVTLRQQNPNPFDIELVPHPNILY LIEMEDKFYVNFIQNKVNPTELSNTDLEQLVSIIRKNQMIRMFREQGFENIRMRDISLIG RNLVSCILNESNLIMLIKVECTCLIVKLQIEGQDT >CAK58830 pep:novel supercontig:GCA_000165425.1:CT867998:300048:300288:-1 gene:GSPATT00029460001 transcript:CAK58830 MVFIHQYLVNLDRTILRICYNPQLEESGTLILQGQLINHQGIDLKPLLKSKGSCYLEYLK QK >CAK58831 pep:novel supercontig:GCA_000165425.1:CT867998:300831:301241:-1 gene:GSPATT00029461001 transcript:CAK58831 MAFIKAQNFALNLETFSQITSAAVWIQIQRFHSTFNLKVENQLGVDKEQKITLISDFNSN KIDLSSLTHQVEVQSSSVFFLKTQVTIKNAFQIYNGGNWINQALSNLLRRIQSSNFNFVG QYFFNQVEN >CAK81651 pep:novel supercontig:GCA_000165425.1:CT868414:975:3048:-1 gene:GSPATT00039470001 transcript:CAK81651 FFIENMCISDQQINENFVEQIEQGFFENRINYLEKNDLKSEFLVEQLETGFFNNWSNYLV NNGLQCYQSLFEKLETGFLNNWTNYLEKNGFQCENLLKQIKTGFFDNWINYLGKIEFQSE DLVKQLQNDFFNNWTNYLEKNGFQCQPNFQQQSILQQYQQYSLLQIDYYGLDIISSISIC YFSNYLIIQNYQQDSQTECEQDYKFSPNKRQCAQSCNCQDLASLQNNNCYNCMQNCSLEC LMCIQDKCQACLEGWQLVDNKCQQICGDNQIALYSNEQCDDGNQIIDDGCNECQFLCGSF CQFCDKELNCIICEPNFKLLNSQCQPICGDKIAISGLEECDDGNDIQYDGCFECQFQCSY GCKVCEFGKCQDKCKVEEEFINGQCIQKVQTINQTQDYQTESQQYEQKIVFCDGDLCLEC QSDYILENNKCFSCGNGIQNQDEECDDGNRINSDGCSNQCKIEENWNCINSFSFFSLCFP TTKISVVFLNSTLNIQYVKLSYTKEILILIQLILNLLIIISVFFLQLKQSQMRLGISIMN QKFKLTNNLSQNPILEVNVDLILLDENDLPVQPSSQQIELSAPLVLNQAQVEVSQNFQKF GYNIMLALGSFAIFAFLLGSPQQFLEILDTLQFYSYLKFINVEYPENLQIYFQSSELISV DPILQFLEVKEQF >CAK80492 pep:novel supercontig:GCA_000165425.1:CT868365:1720:4339:1 gene:GSPATT00039388001 transcript:CAK80492 MSSRTKNNTDLLKEIPEIEVSKWDKLQQKRIKIKIEIIINSDDNLILYSYKGAILKKQQT KPNTFYNIEQIVCLDWQGEYGENNLKSGKWKAIWKGKQLKVDGGYYENGMKQGLWNELFK NYFCHSQVYEQGEYLNNKKVGKWQYFNLNDEIGGGSYDEGGIGIKVGKWIDLDEEFYNGK QVIFGGEYKNGKKVGLWSTWFNNGNGYKQMQKYDINLIMQNFLVVEDHMMKEVMEQRLVN GLIWMKSFILVNKQFGEENIKMVKKKVYGVFGSIMEMVTNKCKKYEIKCLIMRNFLVVED HMMNSMVKKIGKWREMNENCTSLINSGEYKNNKQIGRWDIWFNYSENNKQIVLVVVDHTM KKVEELRLGNGLKQMKKHLVFQNNQVTLVNMQMVKNKVFGKVSGVKYICTLAIFYDQMGR MKNKSMSKNGTTLIGETKLGKKVGKWEIFSVKNQYFGGGFYAQGFKKGKWIETDQPNQIT QIGEYKNGKKVGRWDMWKNYFNDSQPNLKIGDICFSGGGEYDEEGLGMKIGKWTLQEMKW RHDIRTYIGEYKNGNKVGVWRIYSEGNRLMFCVLYDLDGIEIDVSQQKDRVFERGQVQKG KKVGKWEIFGENWEQIGGGSYHEEGDGTKTGKWIEVNQTIIVSKKQNIFGEQKERNYLIY VGVYKNGRKVDRWESWLKEDEDILIGGGNYDEEGNGNKIGKWIDLDDQFLEGKYITQRGL YKSGIKVGRWDILFSDIHKNKDVQMQKNLINRYIF >CAK72484 pep:novel supercontig:GCA_000165425.1:CT868122:289:885:1 gene:GSPATT00038753001 transcript:CAK72484 MQNQMLQLGKYFIRELEFQYSKTVLSLSPYTKVCENGGNHMKSFKQKYLIFVILLRIPPQ YKTRAILMNNFQRLYSHFLKEEFQVLKLRKPSLHQFVGLFIAILNQFDQESKKPYYKNWI RNANLQFIVQNHVITFLKPQCNMFSKDNRYKSVIAFLFDDIQKAILQSLAIIDINNTRIR CNEHIRSDI >CAK72485 pep:novel supercontig:GCA_000165425.1:CT868122:1093:1559:1 gene:GSPATT00038754001 transcript:CAK72485 MAQRSYQQYIQVWLKYRSTQQYFIHIPPKQQQFTLKQHAGMINFRSKTKHQLLQFNCNQH LIIRSLNKCIQLQIQRRNSLQTKYAIEKSQQVLKKGDEICQLGILHNFKYKQRQLHQSHN AQAETEVMLDNTIDLIKYDYIASIEVTS >CAK72486 pep:novel supercontig:GCA_000165425.1:CT868122:1635:1868:1 gene:GSPATT00038755001 transcript:CAK72486 MSAQLGFNNQHIQLAKKILFSQIPYDLSSSMFSTLSARSALSGLINFAIPTRVSEGITNY SSQKCTCTRNIVKLHTL >CAK72487 pep:novel supercontig:GCA_000165425.1:CT868122:1970:2807:-1 gene:GSPATT00038756001 transcript:CAK72487 MSVIQHFSLLQLCPQCISSYFFQSTSFKSSKSGVAMLTQLLVVFYVPSYYHQEFSLKAPT CTLNSRGQIKSIAFINIQSTLEQFYNHYQLFQFQGQTTKYTKIEYSSFVFGIGTVNTDAI GSSERNILQFLRQNQFLQLCWVHSTINIMLLGLNIIFFAQFAFLLNLTHVSCWSQIMLCN KSIPFYPQLNTIYCRVCGRLLYASISDLKKFPKNKQTLASYLNLFILPLIFQVSNVLKVM HSTSAALENLELFFVYKILALRISKL >CAK72104 pep:novel supercontig:GCA_000165425.1:CT868112:25:177:1 gene:GSPATT00038721001 transcript:CAK72104 NIMIQTTSAMCLTCACLANLRAINEYVPWLVRIIVSHQEVICNLRIWQAY >CAK72105 pep:novel supercontig:GCA_000165425.1:CT868112:213:2601:-1 gene:GSPATT00038722001 transcript:CAK72105 MKIQILXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLIYYFYEYLSQSGNLLN LDKITFTLWINIYKQSKLTGKQILFAFVDDNTNNPCINLMLNQETAAGNYKMTLLNQRQS PEIVNIVYILYIEGKMIFILAVDQSTSNTFINLKFFSPIDQKMNSIQETLVNQKLKYNFG VHSRITNEQLFNTTTDYKACVNIANFYYFNGWTTMDSEIYLDYDLELKYFLKPYQLKGLN VTDQFTNLALRQQSNPIFYSDFVGLLLFKNTRIDTILWRIQVPQQLLSLFQFISLTDEAL QQTSLGSGVNFDYQLQFHQNYSNSPLGQLENSAWSHMTAGVLELSYNTDFILMNQKKLFR VYINSDQVSQKIIQNVLAYKRLIFGPIFTDDLGTEMIDIQDIRILKGYGIKQGQGDCLLF VGAYCAICSPKTHYCKEQDPYDDKNIHNCTAGFKETQNGCSPITTQNSLILQGLACINCA DNYKLQNGQCIQINSLVSPYACSDPNAIFCKRNISNSINEWSKLCQPYFSIQDSAAYCKQ NSSNTCFQAQFFSACFKCKDGQYLQSNQICLKKLQLFEYRITPYIPMQNEKDIGHYCLSD KEQTQEYQKCDWRGAGWNDWEKCNSPCKYCFCANDIQCLGCDKNYFFSSYDTTYVKDCNN LKYLSIMIEIQWFVKQNAHHHIQHRNQNV >CAK72106 pep:novel supercontig:GCA_000165425.1:CT868112:4033:6648:-1 gene:GSPATT00038723001 transcript:CAK72106 MRPVNNYENLEFIINIPFQDRQYLLEYQVAIIYNFELVKILQSEYFQFQFNIFDYYQKFS EGTKINLKFLAQFTNEIIPCQEDLQIKINLPPSCLVSLSEQIVQALKPLKIITNCYFSNT APFTYQLRYFLHNQDFIDFLNRKNDYSLILSSYSSSYIIECSLPFSDGILLIQAMDSKGS YSNIQKQLNITKTMLNCSQINIQHYTLRYQISLLLEILLNHQQQQNCIDLSKQIYSNIKT FLDAEENDDQLLVYQTVKLYKRIIQDHDNLNSQKRLLNQNSESCFQNSTKSFYVQSTLFN TSSIVTASSLQAELQYMNATVQKMITKLIDIKDQINQNDVLLNEKLYQSKVAFLDSLVAA SLLMEDVFLKIPMATINSNLDKKQIINVAEGLISLIDEISMHVNVQAKVNGSPLINDGQI IKWQLSKITKGKFNTQFNIERDQLDGLIDFVQKEQIELNYNYLNLSKELQTQLQTFFNIT TLQINENTQKKLYLQNHLYNNRSLHYQDPLTTYIIDMIQIPYCQEQVTLEKPYSYDCVNI NMQGQLFKCDFITEEINNTTVQVLCRCQKLGSIFLIQYPNNSTIQQYNGTQDSQENRIDN SNVKLDEQPILLFHGIFIVFSFFIYYELLQIEMRSKQLQIESRLETENSIDETLKQGKTQ QIIFYPGNFALFKKYFKVNSVINIQFIHEVLSCFYMEDPILPKSYRFLQLSIKLSIFILF TFLQVNLIDMYPLFIILFVNCGIYLLIRMILKIVQSIYRFGGKCSNSIVIFYILIHILCY VGVVLQLKEWQICIYLFSQIDIQIINFEVSLIILGSLFYFYVIIEPIMIFSRIFIFRLIG MQSRHQKITPLNQLIYFFVQHNTLDQHLNNY >CAK72107 pep:novel supercontig:GCA_000165425.1:CT868112:6963:14398:-1 gene:GSPATT00038724001 transcript:CAK72107 MVYFDQDLVYSVPVAASLGISMCGNDQVGDSCFISESIQDHSGPTVVILLMTTLTADGSV QSWGIRDVYIYVEKCPDGCLICKATDLAIQCLTWQPFYSSWTQLNVNEILSDGWNVQYGI AEATECGSTAILGGSQKLDKGTVVGRSFFNIPKHDKLKIQFLWAKIGDWNNYEADLTVAG ASVWKKQFGSSDGYDWQICGEIQQPQSEYKTQFYRVEVELDHTSSQLDVSKHSSKYKTTP SSFGIRDFERIGLGLSISFVNIAINHVTNCDGSNSEDCTDCGDPMIYQKQLVAGQCTCLA RTIEQYNIDGTTSCQTCHPKCERCYKPFDNTVNQYCTMCLEGQNRVVSDQFMCVCRTGYG DDGISEVCFKCHYTCEHCNGFLANNCTSCSSSSNRILTSDNQCLCNLGYQDTGINDIICK KICHNTCSSCTFVGIDQCTSCPVTRKPDKIGTTFQCLCGNSHQYSDDTKLECQECHFTCL TCNGGYDSNCLTCDIAYRQLSMQKCICPNGYYDQGQLICSPCHYKCMTCFGPAENNCLTC ANSNNRVLRTNLCLCPDTYMEKQADPMCYKCSYRCSSCSIEIENCTACPPQSYRDLGTDN SCSCQANMYEQPNNPICIPCHYTCLTCNGPESNQCTSCYTQIMRQLDPSGSCLCMNSYYD PGQAGCLACNPRCLKCVNSADNCISCKPDRYLQGNTCICPNKINGALISKYEKSGKVDCC HYTCLECYGSEFNQCSKCLDSEGRILSNSTCICASPNVDIGEPQCQKCQYSCETCESMLT NCLTCAPNTFRNLSISRCVCQQGYFDDGSNPICQKCHYSCQYCSSFSTKCDTCSSTFNRV LNPLIFTCNCMESYYDIGVEACQKCHYSCLACNSFGNEFCESCKDKSISFRVFNKGVCVC LPGYFDDGMSPNCQKCQISCLTCQNTATYCTSCESTRHFEGNSCLCNTGYFEIGQVLCDK CDQNCLELLNQSEDVIINKITKTCICKPGTIEIDEQCQDCDITCNTCENSLTNCTSCKSL RLLFNNQCNCIDGTYESGNDKQCLYCSKTCETCINQENYCTTCSQDNFRILSLGNICVCK DGYYEDTVSLDCKSCDSSCLTCNLFSTYCLTCNALYNLSLDASNKCVCSPGFYFNSSNSK CEACPIQCQECRSQLECTECEDFTRYLDPNNLECPCKDGYYEVNAKNCQLCDYSCKTCQT SPTNCLSCESLYYRLLKSNQCICQDGYYDIGIEMCQLCDPICFTCQQSSTQCTSCNYTQH FRSLNLNQCICQSGYYDIGQLICQKCSNQCLTCQGQKDFCTSCDIYQYRIDQSFINKCPC QQGFYQDISKICQRCHYKCQTCVDQKDNCLSCVQSQTSNRLSISKNCICKDGYYDNNMQS DCLKCSPQCRLCQNSSNNCLNCYGDLREAPPTCNCKLGYFETFSGICEPCENQCQSCEKT PSNCLSCQEGRITQLCICQEGYFESGQPHCDQCSFQCKTCKNSPKNCLSCKGDRINIPIC SCPDGQYDDYLNDSCQGCNWLCQTCNLNGCLTCKANRILSPEMSCDQPLGSVSYPDTPWC STCQVAVLKIRFSDDLLSIQVKFDFSLNPNFFITQFQENICKKILEDQTYQLLGRNPNCY IDPNDDTIIILEVGQQPKILPGDLILFQGNHLGHQGCDQPLTVFIFNEIKSPINPVSPIL IYDEPTQLINPCDDNIIPLKSILNDGFRSLVEIKWTYFVIGPNGNGELDNFIASQTKYQI LELVIPFETLPKQSNITFEIEFQNFVAKLGVQQIRLQTNSGRFPTIFWVSKPTYYTFEPI VLEFKIKKKACSDMNATQVDNSQFSLSLVEVHRNDSNSRSSRVNYSEITSQHSFIVTIER YTLTPMVAYTFEQTTSDIIVNFSTKRNITIEVSSGGIICQFNGTKKIQNHRKDTQIFISC KDLDTQYKWNQDPNIEIDVQCVDFNMNSLCVDIQNKIIQINKTDTFQAIPKYTIYPYTIQ SWTVVATKQSRQYKFEQKLVYIDENFELLNVTYNQGYLMRPVNNYENLEFIINIPFHDRQ YLLEYQVAIIYNFELIKILQSEYFQFQFNIFDYYQKFSDGNTINLKFLAQFTNEIIPCQE DLQIMINLPPSCFVSLSEQIVQALKPLKIITNCHFSNAAPYTYQLRYFLHNQDLIDFLDR TNDYSLILSSYSNSYIIQGSFPFSDGILLIQAMDSKGSYSNIQKQLNITQTVLNCSLINI QQYTLRYQISLLLEIIMNHKAQQSCIDLSKQLYSNIKTFLDAEENDDQLLVYQTVKLYKR IIQDHDNSNSKKRLLN >CAK87954 pep:novel supercontig:GCA_000165425.1:CT868645:3:1032:-1 gene:GSPATT00039836001 transcript:CAK87954 MIQSSLAIILYLNCMIRVFGIQETISTSFTESTFSDADGWVVIGAEPQITQCQGKTLFGG YNAFGFQTSVTKTIALPPHYQLTMVLEFCNIDTLEFESFHVYFDQNLQPDLLLVKNQNHL MCGEETVGDKCTILNYTQVHSSPTVVIVLTTSGGKPVSQQSWGIRDVYIYVEKCPDGCLL CKATDYLTIQCLVWSVLYRSWTQLNINQISSDGWNVNLGIAEATQCGSTALFGGYLKTGA GTVISQSFINIPQHDKLKIQFLWMKTDFWQSNQAQMLVDDDLVWQKAFSKGDGYDWLICG NQFKTQFYRVE >CAK73221 pep:novel supercontig:GCA_000165425.1:CT868151:3:3691:-1 gene:GSPATT00038877001 transcript:CAK73221 MTEIYYKIYKEYQVHQLVLLSGVSKGIVFLSNLIASINRTIKGCLRELPVCLSVCDGLDS NFEFLLLSWFWFASTNAHVFNRQQYQQQMNCAVCGLNFERKDKGCQQMECQQCKLKYHRF CYGYNNLDGVCDPCQDIAKKPLCCICGQKGLLKRLSDQSGVYVHVSCAIFAPHIQVINYH TMTFATKSQIDKKNQGEMLPIVEKVEQISNAQIVKLMPILIAFLQNKWRRPKKTQKQNSG SSIQNPNQLDVESGEIKCELKEIQDAFCNALDKFQDKSKKRGNQTQQYKEHVKNILESIF DNYTLQQCNQQWSAQNKIESYCQVHMESHRLFCICRKSLNNKQMVQCDHCYEWYHFGCIK RKNVKDDSYICEACKGWSAKRTKIDLEDPKLLNFEDLVVPKSVYILHLIDLLPLLLYIES IMKRLPSIPLDENDYRNIKFYKLFLASLPIKPSTVIQLDKILLKEKLQEELKQKMHSIIP TQLEQSEQFAEELVKFFKFKGYYFELGENKLIKSFVLSRKDIKAQIQKGISEGYLMEDTF KILNENVTDNQKIFKSPNDNLGQLINRYKVAQQIKTTLRSLFEAERMQIEYPVIDNQLDL TVYKQQILMKNQKNKPNKLKLMDLKRMANHNNVTLGCLKIIDKLLEELNALEQENVQSSY KKIIEFPVNSDSLINQLQSYFDQEFIDEFKVELELKIESFCRLTEKEYTGVYTYDNIQKA AKDSIVIRSVYNQLQEIHQKCQTQKKVTIQFCHEILDMMSKCLLTSTYMETQKAKFLKFR ELYKRLNESITLEQLEEIEHECFQLGFDMDVEQRRSQLVQAQEIIQNIQPSLGDSLEEFK KLKSLQLDTYIKQTEQQIDFVKQLYYLVYNSYDTLPKMIQKVKDISDLDFNNELIYKVAI PEVVYDEMKSVVLNFRQIKWRCECQLIPRSTKSNHDPRGQQKIQYQPQQFNCQMLIPQIQ DPFYTQQLQKIKKEYESWIINLQEFENQLAGQVAPHFNQLIKLINKNQYQENNLQTKLWQ YYIQMLWMQKAEEFIEAKANPQAYKTLISCATYANIDSNNVLLLKLKDHIFIMDDLGRKL KEYQEQRFLWVKSREQINNLQSIWKTSQMQTKEYPIFKVKDLAEDLQEVRQVLQQYNDLV QKQPDNNLYIQQLQKIRTYYCCCFLKVKNFCVQLMYNMIKQQSLKCLKQLNPTEQILQRL EEINLLVA >CAK73222 pep:novel supercontig:GCA_000165425.1:CT868151:8074:10001:-1 gene:GSPATT00038879001 transcript:CAK73222 MKVWKKVFFLQWTSCIQRRIELIRRNSQNSLTLIHNNRRLNKINSLLKIKNTIKKWGCYR KYRAMIRIFKEFEQSCLYVIFCQSKYFEGSAQQFSKRILQYKLIDVKVQYFFKQGCIVFM QNVQCVGLQSQKIFKDFRINNNSNELCMIFSLYLKKNSVCRMSVASNSQHDGELKETPIE TKFTWTMKSIEKLKELHVLQQGNWKSISSLLNGPTPLECMIKWQQLHPDQSTSRQLWSPE EDEQLQELVQKFGKKWSKICTVMNWRTGKQVRERYLNQLQGTINQEKWTEEEDKLILKLY KKFGTKWSYISSFLNGRPENMVKNRFYSNLKRRYQCDLGDSDDEDLQEESLNSFEEDQSR LQKRRRPQKNTKEPQKIKKVQVAEDNIENFQRMTRSKNQKQNEDSSKQYGLNEDQNNENN SGLQNIASTPSYCTPQANQINIKEENQLKCDHFNYNSSNVQQSIPAINMFPQTVSPFHVQ QLIHSILSEFVSISIKYDANLTRQIGNIVSQIDIV >CAK73223 pep:novel supercontig:GCA_000165425.1:CT868151:10280:11092:-1 gene:GSPATT00038880001 transcript:CAK73223 MINQYLYEECNEFSIKFIIALLTLKLFIKSLDFIDYIQIQLIILMQLCFKTQSSNLSSNI ITCYFLVQDNLQRFQQSNLQYLFSLNYTKRIYDFLNCYYVSYFSHNNSIFQWHFLFFQQL NNLQLRAKKKNSTNNDINLLIQFFNLTQNIKNKKHCHQISFIYSKIKKNSENYNFKRCQQ DLVHYLYLDNQYNSTYEQRQNIILQRFQLLPILQMYHQIWVSILIMQLSKFLNCQEYTKS SELQLFNFTFNLKISLHFSAILQVDSLQKE >CAK73224 pep:novel supercontig:GCA_000165425.1:CT868151:11563:11945:1 gene:GSPATT00038882001 transcript:CAK73224 MGCGAQKNSTGLNEKLRARALEIFRRIDINNSGSIDKDETQKFWKTNFAKVNTQALFNAV DFDKSGQISEDEWMAFWEIVKKSGYTDKEISEELDNLMEGKAWVQFKKVDEFVKRDQLR >CAK72130 pep:novel supercontig:GCA_000165425.1:CT868118:11501:12313:-1 gene:GSPATT00038748001 transcript:CAK72130 MVLFKVKKNVMILIQYLIILVINANIHAKAFAKLVYLEFVLNVFLDLILMLILIVLLLVE MVMQFLIPLNNATCRIIGNGRIVRIVDFSQQLIVNVNCFQCAWCVKQDINCQKIHVFLIA EINLFSNSMKIVMMEICYLMMVALNVSFNALKIASYAIQENAFLYVKMDIGLLIIGAFLF VVIRLSQRKKIVMMAIQSNLTVALIASILIHVHKIVMNAIKVFVQSAMISINYKFLINAN DNYNVEMDCFSNKRNAMMEIWKYQMDARIA >CAK72526 pep:novel supercontig:GCA_000165425.1:CT868128:3584:13352:1 gene:GSPATT00038795001 transcript:CAK72526 MIRKDIQFFLWILQLVNGFRSLYSNYDKAGYLIECRGGHSHAPGMHPHPCGYFHSGCSSV TEPKMIILNGNEALCHPRLQQYTVWPLQYFEQVLFCQNKAIIYEYSENTDLVLSCLFVFD NCLIAQRSGDILKCQYCSAYKTGERCLPSLGTLNPYLGCGNNCASCVSDDCGTCKEGYSP SSNTDLKCSLACQATHLSCSVDNGVYSFQGCRKGYELVDDQCVACPFKCTTCVTGVCTGC EFHYFLKDNQCFGDINCTRFDYNYDPNTGLAVGITCQICDFGYFYNPIQQKCTLCKEQPG LEKCLICFNATECKVCLGTHIITDDKKCTPFLGCSSNCQTCLYTDPNYCTTCNLKEKFLT SAIKPGQCICDYPNGYIDKDGVCAKCTDGQCSSCRYNYYYCVSCRAITNRMLFFTQCICK QGYYETGLSNQICLKCYADCYNCKGPYNNDCTECGDPSIYYKYFENGSCFCLERTLLQIQ SDGNSICQPCHPRCQKCSQPYDTSNNQYCTMCIPEQRRVLSSDYKCVCQNGYGSDGIQDI CTSNRFKSQSQRPLETDCLQCSSVAHRYLTIDNTCSCTQAYYDPGFQDQICYLACHHSCT SCNVYGKDQCTSCPSTRYADQVGTTFQCLCKDSHYYSDPLFLQCQPCHLTCKTCNGIYQT NCLTCDTTYRQLVLSKCDCYPGYYSLGSLQCSQCHNTCLSCFSSDEDGCITCASDKNRVM KANKCVCMNNTLQTSNTDPICQNCSYRCSSCTINPENCTTCPDSSERDLGTDNSCQCPAY YYDQPGNPICIKCHGTCQTCQGSQSNQCTSCNTLSKRELNSNGECKCPNSYFDLGIQECQ TCSSDCLECAITPTNCTSCNPDRYLLGNSCLCKTKLQGSYLTTYLVPLKNRCQNCHYSCL SCSGPQANQCLSCLNSESRILVDKSCVCGENTLDISVPNCQKCDYRCQGCTTLSTLCKAC PSLSLRIFNSSSSSCNCPSQYYDDGVNTVCQKCDYSCLTCKITSTRCDSCQVNSNRTYNI LLFSCLCNDQYYDSGITICQQCHYSCQLCNSFGADQCLSCQPQTTSFRILNGKVCECLLG YYDDGLSSNCQKCFYKCQSCITSQSYCTSCVQTRHLSQNQCLCDTGFYDNGLSNCSKCDS NCYNCNYNSKLCTECDSNTLRILNTNNNTCQCQPGTTEIDGLCQYCDINCQTCSNTITNC ISCGPSKMLINSKCVCIDGTYLLNVDNKCYNCNSTCETCGGQDSFCLSCSSDKNRVLNIT NHFCICMDGYYEDIVNNSCLQCDKTCLACFGNSSNCTQCDSSLNLTLNQQNKCVCKSGYF FNLIAQQCQVCHFSCTECQTQNQCLSCELITRYFDSDTSKCICKDGFFEANQKQCIQCHS SCKTCQIQSNKCLSCDSSNLRYFQINSCPCLDGYYDVGVEICQKCSDICKTCQISSTKCQ SCYPNHLRTVNQNDCTCIPGYFDNGSLICEKCSNSCQTCKSQKDQCTSCDVNQNRLDQSI IHKCPCISDFYQDSNEICQKCHVKCSGCVNDRNNCLSCKYLQGSNRQTISNQCNCKDGYY DDDVQIICNKCDSRCKTCEKDAKNCLKCFSNLRINPPDCSCMNGYFETSQLTCEACEFQC NTCQTIPSNCLTCKEGRINKSCDCEEGYFEDGQPLCIQCDVQCQRCEHYADNCLACRGDR SEIPLCRCQDGYYDDFQSLNCLKCDYTCKTCTLYECLSCNGNRILSDQMTCDPPPNSASS LLTPWCSNCDVAVMNITLSDDLTAIIVQFDFPLNPNFFSTQLDSNVCFNILNQTTLSKLG INPTCIIDPDNQQQLILNLGRNPTIIPGDLIQFLPGSLGHKDCSSKLLSFFLNRLQKPSN PLAPIIKYDVPTYLFNPCDENIILLEQKLYDGLRSFISLQWSFVVQGQNGNGDLANFVTE LTNLQLLDLTIPQRTLPIQSNVTLYVEVQNFVQKKNVFQIFIQTHAGQFPSIVSKFKLQY YPFESINLAFTLVSKSCIENSKISNDNSQYQVEFYEIYRNNSKSRPSNINYTKSINSNLL EFNIQSYSLSAWTAYTFQLTISDSSIQYYSQQNVTLQIKSAGIICQFNGTKKLQKYLDVT NIYILCKDLDVQYNWNEDPELSIIVSCLDLTAQEECKDSQQKKLQINSTSTSQVFPKATF QPFTIQAWIVVATKNSLSYTYKIIIVYLEYDFKILDVDYNNGYLVRPVNNYEDLQFTFNI PFKNRQYLLDYQIAIIYDYQLISILSPQYYKYSFQLYDYYQQFNKGNKFNLKFLAQYTND IIPDQADLSLSLNQPPICKFQMLEQNIKALESHKIAINCEQSEDKPYLYQMKVFLFKDDF EEFQNKSSDNSLLFYSFQQSNNLIGYFPNLEINVIFQIIDQRGSITNIQKNLNMSQNQVV CSNQTIDQLILREKIAWIFEIMINRQDDQNCIKMKDELLKYIELGINSKDIYEKLLDHQI INLQKKLIIKQQAQNTSIRLLEQNEQYECYNNETFLFINTNQEHFYKNITNISSLVIYSQ KVEQQITSLIKLKVNMEKQNEQNTLIVDTQSNMLIKSVIQMLQISVQLIDYQFVIISQNE TSAEHQEQVAKISEKFISLIDNITMHISDTVQVNGQALLIQGMILKLQFQKLTKSKHNID FQMPNDYLDNLITFIQKQQLIVNYNYYNQSQTYRTMLQIYLNRSDFEIDQKHFVKTMLTN FLYTNSQINQLELNNYYRIDMAELKFCDTSNQFSLVVEYNYYCINHIKENKFEKCDLEME QIDDQRTQLFCKCKTIGNLFLIKIANKSITQNNSTVVNQFQFDFSSIKLFEQAFLFVQGG VILSSFFVYCFLFYKEHQSQKESDLEQSQSERQDTLDIGQKVLGRKFYPGHVYIFKASFK YIHSILQFFQDEEKHFKNVKKSFRFLQFSNQISILILISTWEVLSQNFVIMNIQVNLLIL LSVRTISKIFQAIYQFGGKAALAVVLLYLCLPLVYLFLTIFVLNQIAIHKTDIDIQVAFN LLSTLFLVFFIFEPIAIYLRIVFYKTFFDSVKNNEYIPINHFIYFFIHHSRINRIYDQLN IR >CAK73574 pep:novel supercontig:GCA_000165425.1:CT868161:8483:10483:1 gene:GSPATT00038925001 transcript:CAK73574 MNCLIEQVDDFYGVLAKSREVDDVVFQVLLKIFKREKIKDCLVFLSQDQNLRQVAQEILQ LENSSLLGKEQLQVRKNNIKRITDILNNVKDHDINQQTYSLKDKEEIQKELIIKIQWEKK IIIFLRFLVHLTAFDERYIQCGSNSFHLLVYMKNSNTSLIGANLIRCDLSGSEFENIIIS GMNLNQAKLFNCKWRNIGIDEEIMLYGHGDQVNKVCFSPNGKSLASCSSDNSIKLWDFKT GKIKSFLFGESELKSVSFSQNSTTLASCSGTFVYLRNLKTGKQISKLIGHIDIINSVCFS PNGTTLASGSDDNCIRLWDVKRGEQKARLDGHSDGILAVCFSHDGNTLASGSNDNSICLW NVKTAQKMLELEGHEDCVNTVCFSPDGTTLASGSYDKSIRLWDVKTGQLILKFKGLEDSV NTVCFSPDGTTLTSGSSDHSIRLWDVKTGQQKFELEGHEDCINSVCFSPDGTTLASGSYD KSIYVYGMLRQDYKKQNQMVIRVVLIRSVTPLMVLHQHPVVMITLSVSGILRQDKNKPNQ KVMQAGQIQFASPLMVLHQHLVVMINLSVYGMQSQANIKPNLIVNLFYKMLILIIQYSEY VKIQLWKLQGALILKGEFVNYSGVNLKQLFKSKGSLILNNYKEQKQN >CAK71775 pep:novel supercontig:GCA_000165425.1:CT868107:81:2411:1 gene:GSPATT00038700001 transcript:CAK71775 MKKALKIEPQPPAPPGEEQKPEIPDEKGPEEKHEEGEPPVEPPVEPSPEESPEEEGEIPD EPPVNPNHEESPEESTEEWVEEIEEEFEEFENPPIVAPPIEPPSAVEKACLNSVNEIVYT SSVDEATPLVNKQLEGDDLSCYGFGFYARWLQAYPTFLVHGRQSERYFVARVSESEHTTG EVTDSALSVYLTKEGFEFQSYDVYGLSTTVISVGDIEGKWIYVYYSYCNEKAVAFVKDGE SIQLTEVIVIHQRPSQLYFQLAGKQGDVPSFQGQFRLVQANVGQNVFIGTLTEANDFVFA CNQLPEEKCERQISNLHDFEFHGADSQFDASAVVENADPIYAQEYSVSGWFKWNPVQTSE SWYSAFRLTLNNLATNQNSKELGDRDLALFVGSEKKDSVLAFTTYTYTDLYGNGNPTYWQ AIPYEKDLVHWHYIYFGYSRHISKAYGYVEFFTRKGEVHFENVKHFDAPHKYLYVGQDQF YKSYSGKIFNLHYNLCDGSYRELKYDEHWGYTPKPTDPVPPPPAPVPETPSEPASEEEFV EPPLPTIPSEPDDDVPYEPEPEDKPLPPPSESEEETPIEPPVEPEEETPSHEEEPEIPDE PVPDPAHEEDVEVPEEPPVPSEPEESVEPPVEPPVEPPVEPEEEEPTPSEEEEEPQPPAP VPQPKCPPTIEVNKDNAADILCELSHYLGEFAQGHVPVAGPSTKTVCFCMTYNEDYAPPT LLQLASRIPGHLQMNEPKVAVPLLKKFIKQRQ >CAK71776 pep:novel supercontig:GCA_000165425.1:CT868107:2430:3119:1 gene:GSPATT00038701001 transcript:CAK71776 MANQLDTISFSTQQRLHQYTPSIDSHKSNKQHQHPQNLDAHQINKEIQFIADYALKLIKT TQPTSDKYKYIIRIAEISMKAKEVDNNKKLLDDIVEELSIILAHSLKSKTVQILLTRLIQ LYKKKQVCFINSEKNIKKYAQYFNNKPKNPHTIITNQIDSPRLNIQIQKSYSPTSLYQNS KITQTREHKFQIQPPHLEDPYNLNSEHLKALLSPSMKFQRQRNYYLSKY >CAK71777 pep:novel supercontig:GCA_000165425.1:CT868107:3192:5105:-1 gene:GSPATT00038702001 transcript:CAK71777 MLLQIILLGFEVVLGQYLQTPTFFNFNEHISTFITSKNESDVQSTHNCLIVPCTAFGRPQ NCMVITKLTFGGDCFTRPIQSSLNFTSEEDVATLEFAYYASSHPSSKSPNTGIVIGWTND EDEFYWVAAEDISVITSGVNQTNKYAQFVTINSLAENTWTKVRVHIRPNSTKPFRIIFEA YSDATDFAGKFLVTNISVFDRSTCSEGCSTCLSYSECTSCEKGRLYRGACISDYCYYDAG SITANKISVGWISEQNSLYGIVLKNIKINECHQVKFTMNKINSNYIDGSNPVLDIYQYGF KIQQSSLSSAGCLYQLILPISGKPGYSCLFEFLLQLKNTTVDLVNMTWTQEFFYQTNNSE ILVTGEISSNQAEFQVLTSSIYLGEASTKVEGKVLLCESSTCRSFYTEPQVLYLNDPFYI LVMLDNKYIDFGADFKFQLVSSIALGNGTYINLKSQAESEKNMTAIIYKFTVPFAVQNCT IQITAQLVERDMDDNSGTDNRRRMLLRRLLQTDSQTTSNANSGYTVSGSIKVESIEILPY WKVYPKDAPYIIIGVSAGLVVTAIILYCACLTFSQKQVQSQRESNYNIDNLKLITNPQDQ NKIRERFRNANLSQN >CAK71778 pep:novel supercontig:GCA_000165425.1:CT868107:6204:6839:1 gene:GSPATT00038703001 transcript:CAK71778 MLTKVFSPKQFMLVSETKLYQQKCQINQFTFKHHLSIHCNQKTCLNYNSRYQFWKFSSNQ NIQSTQQSNQELQNVSKSDTKLSKISIFNIFNKSQSIIEEKQKNIKSKNYLEQMKNSQLN FLFQEQVSKLKDVGKASYKYFLIGIFVLGFAYSIPKSISTFLATKMAISTQLSIENLKKE NEMLKSKLELVKNKIEAESTKVNDLRQKNIQ >CAK71779 pep:novel supercontig:GCA_000165425.1:CT868107:6876:8975:-1 gene:GSPATT00038704001 transcript:CAK71779 MDQIQYEIIPNANLSNIYFDSVELMKSGVDLVQQPTITKDCVHVQCHDLLNFSDAIQQIN TRGFAQCSCGKKAYTKQDLRKDIRGFVREKFHSFTQIVQVIEGIWTHKYQRKKIYTDTIC NTQQNNEHKHFIRELYNKYHNMNSISTLIKNLGFSGSYYDFWAYCLLDKIKITIPTRIIN CQHPACYELTSLLWYQKNCEQNRQDVEMVRNINDQSIIFHCYYPGCENKIDISTLPHMLD RLFIDQELLEILNSAPSIQFKFQYDLKKNEFKKDFQNKDLYIQDISKNFDDATYKSIMIQ IQSIIQLNLSEQIQNKLNQQKYQVRKINLCDPLNLKQVEFPVRCIKCPDFNRCADIRSYV AYFFKEKKTQNRSTSIQCPICKNILQTSTGQLNSLIYFDQNIISRMFKDSSINDNKLFDY DGKQYMLQEFLSKQKFSKKSYTQILKQKKDSNGEFIKEVKFSSLKCTVNPNRRLQYPLII KNCKKNSYIDFESFYEKLVECQFQIPADGLQLCRCQEYCSINPVKKFTTSVFYHEALGEA LQQLRKDNVFPEKFKYNFEEGKFILVYQKQQNSETDSGIDRTIINEGRFNVGFIDLLTDQ EYQEAFQPRIVMGMQQQLISMSQNIVGNLHAVHTAEGLEINVDEEVERINKDANQDLKNY QFKVVSMMVDMRDEEIRINRGQVRSLNYQQQ >CAK71780 pep:novel supercontig:GCA_000165425.1:CT868107:9257:10267:-1 gene:GSPATT00038705001 transcript:CAK71780 MTLLSLSGILGLSATLSKVLHSLNMLKDKYKSYQLVKQFTPTQLINHLNQEKKTNIKVFV SGELISQKPLASKFPLIWSQKRIYDLYNNNIKKLKKITSKGVTQISIADSKFQVDILRST NFNCHASLTHIQDIFYPKQLSIFQRITNFILRTVNQTRSEKMPFRGFSIGQLEREYGILA GDSYVIYGEIFLDKYLNKLFLQNPKHILRDKRQLLRFIETQIRFKNFQIIILLMAILFLF YWFTKNSKTVIQKLVSYRQIYKLQKLRGLKHIVINNFECQNCFQQPKNIINLPCKHMVLC QSCKQVLNISKCPICKQKIEEFVEIFIT >CAK71781 pep:novel supercontig:GCA_000165425.1:CT868107:11030:11243:-1 gene:GSPATT00038706001 transcript:CAK71781 MNTRMPPNSMFHVQRTLKRGSNPNQQDGRDYFIQLSGDNDVHKEWKKVEYHNPKDLSWSQ F >CAK71782 pep:novel supercontig:GCA_000165425.1:CT868107:12069:12575:-1 gene:GSPATT00038707001 transcript:CAK71782 MKGVYSPKQVGQIVFSNNENLQQIILITYRQLNNAIQGSYKQKVLFRVLEVSQKIEKLVN KHISLKILNDLKAEIQILLEKEKNLSSEHILLQRLLIELQNKIIMVQKCPIKWVGKNIKD QLGDHQVEIYHSTKLQMYDLLKREKNQHKKLRNQKSKTQE >CAK71783 pep:novel supercontig:GCA_000165425.1:CT868107:13159:14414:-1 gene:GSPATT00038708001 transcript:CAK71783 MSYVPTFVSTEQPLYLKLQISQIPAAWDELKLKHFLSSQGYTVSDVQMIKKDDRINLVQY QAKSKGIAIVKFNKMQEGEKATKQLKEVAVDGMQPLVLKWAEGEKERLGVAEETSPKIQI DGLQKDCEEAMIKEIFMKYGQVTDVQIQNQGQSSISAIVSYTFKESCLLAIKNNHNKNQL GDRPLDVRFLGQPATIAKSQYPEIPANEEGDPIRDITKSYTRWLDQKKKPIYIHWETGEI VRSVSFGSIIYSPDGSKEIITKEKYLNDLATFNSKKTGPPGANLFVFHLPNEHKDSDLMD LFSSYGNVISARVMTDPKTGKSKGFGFVSFDKQESAQKAKEAMDGHLIDKKKLSVTFKQG DGTPCQPLQYQWLPSIQQVQFDPFDL >CAK71784 pep:novel supercontig:GCA_000165425.1:CT868107:15983:17079:1 gene:GSPATT00038709001 transcript:CAK71784 MDQQQDLKELIQLYNSAAQLKRKAEKKLIRFTDCLTEEDKITCGIDLIDEINTIRQKIKQ ERREKADNSVRNSYISLQNSMSENNLTLRKQLNEKSMMLEQEKINFREQIEASQFQLNKL KQELEILRDSNLDEINQLILKNQQLDEIINQLNKELIQTQNKLQIYKDEEERKKQFYYLI ENLKTAIINNYDNIIPTPLKDAAKPNEMSNKCEQQEEDKPLNEQNLIQNKMKQLYQIFSD KQKSLHLQAEEQFIQIESGITNMEEFLQRIIDQEETLKEKNKFRSLGTSQDLTHHQYFED NEHQDSKYKILNKIYQN >CAK87752 pep:novel supercontig:GCA_000165425.1:CT868636:347:1997:-1 gene:GSPATT00039826001 transcript:CAK87752 MQPSLYSKDDYFNQYVNKLLKNTSYIHMNTCEVKIPTRRNSMIDSYYLTNDTNGIQKLQI DKNRSCSNLQNYYQESSTSVKQLQNSQLSVINKNSQQIMLRVEDKLMNFKFAKENDQNIS TSTIAKMKYFGQDYQFFDFYSCTDLLLLKYLIYLLLEEIYSFNQNQTEQQPIHSQKKSNT VDELKNNKHRNSIETLGKQINKMQKLIGEKLTLPNYEMFATPQSNIKKSEFMIQPSSSTQ KLESDYTQTSYNSQKMIQFQIDELKIKNQTITKQTSRINSLELELKLLNNQISESDLNLQ ILQKTLTVKDQELKDLIKKNEKLIEASNQAFREKDEFQEHYRAAKDDYELLRTKFNDLQI KLNELQKSNQSILKESSQQKKQLTDSVQSSQQQMKKEEQKLQKELLDKNKLIRQLSEDGK ILGQYLKDISYKIQQIPANQIPQNLQTIQRELCLNECLINTKLNNMHLAQIDLVKFNKSN NQEKKPRKYMNCHNQLIDQMKSFQVHSDLMAMMLIQSEIIEKFIT >CAK83942 pep:novel supercontig:GCA_000165425.1:CT868492:570:4340:1 gene:GSPATT00039574001 transcript:CAK83942 MTQNFVILISNDKQVEIDQTFINCQSQTIAIFDFGFSQTANKLDFNYPDGITQNTCNQNK VTEIKIVNNTIYTLSISQTGGQLISLDSFGIVQQAYAIDIPNIEKVKFEINKETIIFIYK TYDAQNWSLRICNKILIIIFIKIDFIPGNSDVQLAIVANNLFIQWTQNDNLKSSSFQFID LQGNELSTGVISSDAQISSTQIVVLMKGYVGFTWTVQNENGQWEISYAVMNQSGQFVDQM EIQCSENCKECLTERYCTQCQPRYIIQEISQNENYCLKQCDPNCKSCDDNKNCQLCKDTY YLENDSCILDEVQVKAIRINQEVSLGLKNQEGVKFSDGSIIMFWSSYLQDSNGWGVFGQL IDKTGVKIGNNIQINAKSQGQCHSPKVALLQDDTVVIIYVDGDPQISEAIIMAQKFDKQL QRIGDEFQVGTFQYFSFNLRGDTQWSIVSLKNGGFVIEYLSQNTYYHYTVCLNFYDSLSN LVAKQQIVGQSEILLYSPLLAATDTTVAYLCNQLFMGFTVYLYSLDGSLLQQKQLNIFNQ FRLARVFFEHTNDFYAVGYIKQQEKYCVQVQFFNKQFVEFGDPITIKQLDSYSEIQMYLC DEGLLFLLHLRVKQQDVQELYLLKDKQYSLINTFHIDASYFPTINEYKNFKIIPSLNQQY FILWVKENRFSVQDKYHLYLQFLSYDQQIIVKDQIICQLNCQTCQDPTICDQCLENYYQN ENRCDAVCEIGCAYCNFPHYCTLCQEGYYLNEEGKCSKLPIYQPQVLIEYEGGEVFAKVQ TLNEGLKAYFSCFLSYVNVKIMDGQQIVNSFSINVQNPAYYTQRTMFYEDENIIFVFYLQ NGEIQVQRFDVNSNLLSQVILDISQFSGIQISNYAIFLEPIQGFQYILTFYEQKVIENSI YNFNYINFYYAKLDLGLQKVEDIQKIFTLEQQIGIGLIRGFDYITLFDQYGQRYKIQKDK IEEKFCDNARLYDGGSCSLPSTETWNVIKLFEAFGFNQLIDGDNNIQIQYKIEDASGQTQ FKNTNVLNKSMNRQNIVKVFKYINSFVVFIQVENLDQQYEQRYLIAQFIYNTGRKIGDQI YICSMPQNVLEVIQYQNGFKILMQKDECNDVTCSCRLLQTIFDRENDLPDPDQPQQITKD PIKDGKGIEIVDKCIQEPIKDLMKYSNNDYAILYICQNVLRIRKFNSSGEEIKFVEITIE NIHLFDATIVNDLIYMIIVYDSDKKISKVYKLDEITNQLIPQLDQSCELDTQDIKN >CAK83943 pep:novel supercontig:GCA_000165425.1:CT868492:4594:10278:1 gene:GSPATT00039575001 transcript:CAK83943 MVLSDIGFQQSSANMNIPFITEVKLEMSQEVVVLMYKLKGKKEWILSICRDIYLCFFNSG RYDQRFTDFSIAIFQNQIALTYCEKLNQSLVYKVLNSYGETIIQQQIQIDSQISRPLLVT FSNQQMGFAWEYRNNDSQWGLQLSILSNTGDLDKILINVCSPNCAQCISNTECKKCMDGY EIWYDNLKSGWFCKIQNKSSCLYLQGDTCMICPSQLYPYEADCIVDDFQLKSLVVNQFTY FTQTKPSIAGFSDGSVMAIWNTNYQDGFYCGVYGQVFDSQREKIGTNFQVNQITQGNQHS AQISILNDDTAIILYVDGNPEDGAILKVARFTKNQQRLGDDIDIDVIRYYRFDITNDNVA KIIKLKNGGYVIGYLTLSGEPLQKVLKLKFYNSDNQQIGNQITTVEQFISIDGIFLISST DQNVAIIHAFQVYIYSFDGSLLIQKDFILQFFLNQACLSSISQYFVFVFYRYENTNISVY AQFYDQNFVPSGNQILIKSIPQLVNGKYMQISYIQVDQYKGGLSVIIEFVKNGQSTQEQY YLNSDMEIQFMNQYKIKNNWNALTIMKIAESIDQSFFVIWAQLNIFDFYDNSNIRIQKMT ATGEHSTQTIIQCNQGCTKCVSQYECIQCMGDYLLTESYCNPVCSQNCKSCIIPSVCIQC KDGYYEEIQGLCSPLKLGYHQPQPLTAQEFQGETTALVSSSDGTSCFFTQYRSENSEIYE WNAYFIFESQITKKLTIQKKLNYFSNLEIQKIEQDYVGVSYQQDQIEVFRIGQNQQVTIH KVIQLKQIDLDSSTNKNLALLVAQINNQYSLICISNAWDKGQQGKIYKITLDSELLPIGQ SQVILQQENLSSNERIDSTTFSFYSTNGIILWTGEQLIQRNCPYSQILNSYSCNIHELQN GNLIQIFTQMFFDMNFFAKGGQLSYYRLLNKEFTPITQEKKITENKLQQEEFVKFVSFQN TFVIVLKEYPSYSQFKFQLVLQYFENSGTKLGSQIYVGLFQSDIFTKFVQFENSFKIFWQ EKKCLDVICQKNQNMLLFKEYDELGNEITNGQNIKCMQYCDECINESYCTKCGTNYNLDT NSQCVLQCPSNCNKCSVVAKCEICYTGYELINDQCIKFKCPNNCDKCYKESNNFVCYQCQ DNYYLNQLECLPQCPSSCRTCDLPFNCTSCPEGFVLTADAKCKFEDQTVVDQVKNTSVST PIRYTFPDGSYVLVWYQNGEKAGVYFQLYSADNSKLGAEIIVSDQTRRLLVDSSIKKQYY AHLAAVDYNFYVVWADSTSDQTNFLLQEFDSTGTKLTSAQSIGVSNNNVVSSLTQPCQLL TLSNKNMVVSFMTQSPEDQSNYNFKYQLIDPNLQGINSVQEIPNVSYQTIPSITEGDQGM IYISYTSNGYVFVQQITQFGNPVNQPQAIIDDGIFTLKTAYLQSGMFVFLWENKNIQTYK VMFDLNYQLVSKDLSQKSEVKVIGSSQIKEQTPDLKLFKDGFIVAWQTTDSQYQPNGIQF QIFDSLGVQLTQIIKVAISGKYPQNPNIQIMNDDRFAITYISKSLDVDGSILGDSIQIQY YNKQGQEQFITTPQLCGKECNICQSPLNCYRCSYGYYLSLDNQCIMDCGLYCNQCEVPLV CQSCVNGYELNQSNSCTEVSCPLGYQRNQKTKLCDPECPSECVCTLPNICSSCIAGYYLK DSQCNLTSNLYPESPISNPYVFYALIVVCVMLLISWIFCYKCYIHHRKNDKVHLVRVPSS IEEINEQQPVVEHQKAFQDILLKISPQVQEDEIKQDFLKKEDFMKKEDYIQKEDSFKNED SLKKEDYIQKEDSVKKEDFMKKEDFMKREDQNRKKDSLKKGEFMFKEEKPFENKLQIILI DNDDDSQSEVYQFQQKDKQASQNQFLQIYSLDEN >CAK83944 pep:novel supercontig:GCA_000165425.1:CT868492:10316:11829:-1 gene:GSPATT00039576001 transcript:CAK83944 MRLNILKESFSTFLKSGDKSYFKYGLVDCKLKDISFQFKTPQFKDIAQQYYEAYEKKGDE YEKLNEELQQWIRPEYLQDVSQIMNHFNMLDLSAWQNLVVQICNSLETIPLQEMSITLKQ IFLFMNRKFRMQESTVEQYHYSEYDLPLVFTEKQLFTILNQMKFDQTQVNDYLDALTTLL NIFFKFSRIQPISFYDVYEVVRNKLQIVLDKHLNELDLNNSITLYQSYGSEPKIIQQIIH KVQQLDSVIPHRELDRILEIWKTKGSIDQDLLLILKSEILYQINLTNKYQQNKVFQRIFA LIYANVNDAEILNVIEKKLLKSTQLLETRNYSFQNGYLTCIKILKLRHPELTLPDIKMKP KSVSKQMQKSLQENILINFFRQYVKSLSEKVKIQVDAPCWIYLIDVALLFQDAKIAFELS GSVYFRGNNLTGKKQAKKEIMISEGWKPIFLGNQEKILFNNFSFNQQQLPEQIEKLFDEL FEKALGRKVQKKQD >CAK83945 pep:novel supercontig:GCA_000165425.1:CT868492:11859:13649:-1 gene:GSPATT00039577001 transcript:CAK83945 MDNQFYNQDSLAFGSPQPTSQLQPSKAIKFDNVPTKQNKQHSNVKFKEASKKVSQQKIDS VQKVRPSSAQKQEKFKPSWNDKVEAKPKQFDNLKKNEIFKIETKLQKEKIEREKENKKRQ LENPPPAAVKSYATIKDIETQYKKDVSEMKKQKRELEQLQWMIDQEKKLKAEQNKQFEEK LRQMKTQQHPDMAQDIMNAKKKGMENKESPYKTKEQKKQDKKKQLEKNVDDIYVEPQQNN YPIWKDGIQLIHYEAKKPLLPGEYDQNDLDLIPQKQPQQQPQQVRIDDNKLKNELIEIQK RELQRQHELDALIDKHQQLLRSEAQNPNKHYKEINEKLDKIKGKVDPLVKKIDSVQIEYP FTGHLLARGVSKMIQVHSERLTELLIDDLLFEMVDILDTLEMKKKEEERQRYEQMALCDY IEAVKDIALDQDKVEQNFQQTRQQFMRDTRLDQYVPVEQLGRDQQFYINPMDRFRSIELS SGFIIKIMEDRKRRIQYVTQDKQYYPKNLEQFDLITEAILEEIIWERAAALYQSSDEMAE QIFINEFK >CAK83946 pep:novel supercontig:GCA_000165425.1:CT868492:13682:15151:-1 gene:GSPATT00039578001 transcript:CAK83946 MDPQQLIDNLNDILLTDYFRYIEVLGRGSFGIVVAAYSQQLDKVVAIKITPYFEQENESS LLQESSHPNIVKLYKVLVAYNFIYLIMEKLVGTTLDVVLKEQTLDELQIRNIMLQVLNAL AFIHRKGIIHRDLKPENIFISNGNHVKLIDLGLGYQIVCRGIIGQQVGTPYYIAPELING CEQTQALDIFSLGVIFYQMLCNNKHPIWTQGQTKKDYYRTLSREFHITYPSHLSEMAQDF IKNTLTRSPIDRMTAEQCLEHPWLLGEDRKSHPITNKEIIQRYNFIQKFQLIVKALQMVK IFKQQCHEEMYYLKSQNSDEINEIFLNDDAPKTQRVYTDTHINIRHHQKTYYKPQSLKTI QLTKMTSSQDLVSTKNKMLNSNRCYNCPSRYKTSLDIQLPKVNSQKRIHLQLPSISPYQT RQSSFIFRQS >CAK83947 pep:novel supercontig:GCA_000165425.1:CT868492:15179:16422:-1 gene:GSPATT00039579001 transcript:CAK83947 MDPKQKKDRSTSRDKKKTNKKQTQYSYGQLKFGEVQSKSKKISLKTFFGNPEFEIFCLRF DFEDQLIAAGCSDGTVKVFNIVFGKLAFNLQGSSEGASPTTAIRWRRDVANKAKSVFLSA NSDGSLMMWQAQTGKQLFRTVEQGNQLLALDIRSDGDQFATAGKDFKIRVYDDEKKEVIH TFDKADYNQPGHQNRIFALKYLEETPNILLSGGWDGNLLIWDLRDHKSIGTIYGPNLSGD SLDFRNGQILTGSYRTNNQMQLWDFGTRQLIQEIPWDKNNTNSDFYVYSCQFSKINGDTI LAGSSGRQELKLFDINNQYQTCGYIQDLQEGVYCVDYGNKSNKFAFGGGEGVVYICSLAN SK >CAK83948 pep:novel supercontig:GCA_000165425.1:CT868492:16438:17461:1 gene:GSPATT00039580001 transcript:CAK83948 MKFQNPKGILSVIFVYGIILSEYYVFVFHYLPYRYSNNSGSTIFIGIEFTLIYFMIHWAY IQAQIQSPGHPSPDLAKNYQEHTLEEIKSRTKKHSEIIQKKRKQKLMKKYELAIDEEDEL FDIIQAMQLDSYCFKCKNVKQPRTHHCKECNKCILRMDHHCPWVNNCIGQKNHRFFCQFI IYALLCLSQCVIFITIEMFGDTQLKGDSKFLCQMCALTSLLLCLSMGTLLGFHLYHIAKN VTTVEFHIEEMKTDNPFSKSKVIDNFKELFGSEYIHWILPLTQSESKVRIKHDSFEL >CAK83949 pep:novel supercontig:GCA_000165425.1:CT868492:18197:18759:1 gene:GSPATT00039581001 transcript:CAK83949 MAQKRQLKQPDPGQVVNKDIVFSENIRNEMKFFDKNRTEHFQLNPNRVVILAEKPNHIKP QSHQSSRKEKDNDIFGNPYTDDPVEEAFSNQKLEKTLELRNQIPRQKYNFPMTQNQEIGW FANQLNVYKPTKNFANQQCEITKFVDNYFEMTHENPFKKKRS >CAK83950 pep:novel supercontig:GCA_000165425.1:CT868492:19852:22591:-1 gene:GSPATT00039582001 transcript:CAK83950 MQEKIIQESYSYLNDLNSKVNNSNKLVGIYKGFIRNIHQSFCTLSMQLTEYSEQFYLELA QTQEENELNLFYYNQLSNAIINFAHNLDELTSEMKQGILEPYEEFTQNYNQINNELVTKG QEFLKQVEKQREGLFLKQHDYLKTAYDLEKKKKSKTLKLKADELFVDYRQELANVNQLWD VFNDKFKKSFDEYDSNELGRTSITEATSEKILQHISKITNTLNARLLQQLQQSNDKLHLI QNKYQIEKLPILKTIMKNRKFNIFKVTKQDNFITYDDWKANMDSHDKFDPANLQKFKLSQ QLVQQINQLTDVIQSICNNTYSTALGQYDYETLFQHADTRIKLLDNIVDIIDKAPTDTIT INQQANDLLVLIARNLIINLQKDQAFNVMEFNALLNFITQFGMREKNQFITVGSQASEKT SLFYVKNNWQQLLLYLNEKENLENNSNKQQNNKKKNKPKKNEILNFLYQKKRIIKEQMTQ EQKLNYLKVLLNLQKICSMMLKLDIKIDYASETIILLAKMCNIKVQDIVLLLEQFESIKI YMEQIQPQSPKTQQMILEKKRLFYIFKKCLKYLALQDQLNITLVNKEFSTLNTKVKQHYL CFNNLSERLSMKRKLLWTSLLQPDSVQLDYYKLKEKYSKSAGSKEASLEQQIAQDVQRSF NSVGLQSKINHLTLHNLLKLYAYYNNTVSYTQGMNFVMGFIFMIMVDEELTFRCFSALIN QLLKDVLLYDLKYIRVFFYKLDRLLAIFMPKIHLHLKEEKIEAGHYSAPWFITLFTGSFM KNEFSTVLFDIWDLLLSRGWCGYYQILLGIFETYEEKILSMKFDNLLQFLNNLTKAEFFT QNTDEIASLKTLKYRALKFKVTNKLIAELDKEYYLVRQKINNLMNS >CAK83951 pep:novel supercontig:GCA_000165425.1:CT868492:22623:24455:-1 gene:GSPATT00039583001 transcript:CAK83951 MNIDNINLGYFQGDHSSTCSYCHKGKSYSQGFSTNKLYPSTYQSMMDRGWRRCGTYYYKY NFAQNCCLSYTIRANALLSQQKKGQRKIAKTFLKVVQSDLLKNKVESLKPAIQQISKNLK QQQEQKQLNKQPQQLKQKVEPKQKIVVEEKQKPHKVSEEIVQSLKLQFQQFQELIAQNIP EVIQLFKEYFDSKGFKIDEVQSIFQVNLNIDTVQIFPSKVNSKGDYYSNFMMLIYGLNKK LLFQDFPVNKFALLIIPFVQKYFISNQFLFVQEPTGFLSVFTKENQQKADVHLMQIEKQL VQEKQKIIIEQNLVNQQKQNIKLIDTETFKSNQFQIGNMKVLLKPAECTEENFKLYQSYC KEIHGSTKESKDGYSSFLCEQGLDQKKFIQSQIDKSKILFMGCFHMRYYLGDVLVAIGVV DITETAMSSVYFFYDPIFEEFNFGTFGALVEIEYVKLMNQHFPDFKYYYLGFYLQNTNKM SYKGDFEPCELLCPETYTWVELTKELRAEIDRRQALAVNQRQLRISKQNVGVIDDMKIND KSFYGSVQVSMKGKVMKLSALNFNMNKLNNYLDNAYSRFGRQLMEKLIFEL >CAK83952 pep:novel supercontig:GCA_000165425.1:CT868492:24484:24885:-1 gene:GSPATT00039584001 transcript:CAK83952 MSSGGKGKAGKGGKAAGAHKSVSRSHKAGLQFPVGRVSRYLKQGRYTERVGAGAPVYLSA VLEYLAAEVLELAGNAAKDNKKNRINPRHILLAIRNDDELNKLMANTTIADGGVLPNIHP HLYSAKEDPSQAV >CAK83953 pep:novel supercontig:GCA_000165425.1:CT868492:25162:25847:-1 gene:GSPATT00039585001 transcript:CAK83953 MKFADKLIQQQNPPAQVHRILRIGDKDYQKITQQTQPIQMMSQRMKQIIQCLKMTAAQEN AVSLSCPQIGYNYQIFVVLKHMKKNQWCYNNLSSSDYMTLINPQKLKQSRFTQVEWEECP SFPFLMGKVERPYKIEYQFINEKFKLIKQTLSGFEARVVQHEMDHLEGITLDSPDKMLLE SKRESFKCDDINFEGLQEQLADLELASMKMKLDQFKGNK >CAK83954 pep:novel supercontig:GCA_000165425.1:CT868492:25929:27464:-1 gene:GSPATT00039586001 transcript:CAK83954 MFDFGFHDWYNPKQLKCRGLIHFGNRLEKLENLYFIPITNPDGDQLRILCEKCSKTESTN RINVQQTYTFAISWFKQFELHYLGYLTNNTLLTKKSENQIQQREFQEKLLLLSSCINDLN HNKVESFLQTVWNSKMIQNKIIDQSYEQQAFLAYVKQQSNQLFALASRHFYNIFLKADAK LKSQDDNDIVQIEVSKDFVFLLEKESSFLVSKQLIISVYLYPSLEYVDSFNHEIQNIKDF VMKSSVQSNLLVFQHKNQLKVSEIFVKTGKKDDYKLKFLLNYQYRHNCEIIDSILEENRL VFIDQTYKLFVVNPQKKEVQFLTKKLQALQRTNQKLVALFHFYKELLIIELCNKVLTMSL QNCKFKKSSQIAEEKTLAVSYLCKPNKIKYSVLQKYQNTEGIIKNEIVAYSFQCNKQLRR VNLRNSTELGNIKLSLKKDLKTLILLSNEYVSVYDMQKGVLVFCLPFAQKFNANNYDFQG YCLVQNQESRALIWKQEKLFERDIRLFFKRL >CAK83955 pep:novel supercontig:GCA_000165425.1:CT868492:27766:28898:1 gene:GSPATT00039587001 transcript:CAK83955 MFNKLKNSFPFRSGPNFLLCFLGFSGVGYFGTSYLHKQKYDHPIVQEALRILQHNEQIIQ LAGYPLSLQINLGSNATQNNDVCQFSFQVVGPKGAAKVELMGQSRELQEVQNKAQYYIPS SKQMTDVMKYNKDVEALQNWKLTPDVRLWKIDHLVADIGLQGDFRIVLVDSNQVLKKDVA QAMTPPLVTDRRTLYDLKLEIDARKPKVPTTEQEIEEARRYRQQELYRKVGGVRNITIIS TIIGAMAIYNYVKTNRRLSVLNTQIHRQSLIIIQTNPQIKKLFGQNIQFNQQLRGGQIRD LAEFETDMYGQKAGICYVKGRKNKKTNDWEIDSIDVAIKDAQGIINQKIRILG >CAK83956 pep:novel supercontig:GCA_000165425.1:CT868492:30039:33442:1 gene:GSPATT00039588001 transcript:CAK83956 MGICQGRAKTQEDQVHKLNQPITTSGELQIKVLLKNITLKMSGVRQCSIQFDFGQCKYTS PVHIDNNGDHYWRASFDGILKMTEQLMRESQMKISVMDTQVIGSATINLFEAAVGPFHFQ LPIRGQHTGSVTFDLKMNQIVQATLQSKYIIWELNQSLSDVRYNYNLRLVTSQFSFISEH STTFVNPNYRKQNEKQRSIMSSSRKSILDQDALRDSIISLPKTPSSSQIFNHSLDSIIAA TPQNKGQVPASQPYHRIEWDHSGDELTLIVELPISEFQGSAIQLCLWSISSNKSSMGNNK STNKTTIKKTQNKHDSEYMDLEIQDHHLVAETYINLQHLENNLVADLGAKFIVINSQQAK SIWYHGVEVGKIDYEINVKLPNYLQQSSFGVQTDKGIVSTASVVGNVENISVTEIKMIQI EFQKLSSSIFKIEHKTLNVEDKLKVRQDMDQQLNKLAQLLGQSHGTNIKTFQYKSEDDLM KAQQLLIQLSIHLVDYSKTLASFNSYFECLNLVLNRGELMLQQLGFFKALGKKQSEFKTE VGLEYQSFLVNTLRFTLQKLNQKDPSQQARQLFIKFLVISYFRIPEFRAKFLELITKADD PQLLELRGTEFIQEDDPTNIDKSTKTNIQIFDWQNYFHTYLSDKSKGIQNQSALNQILDD ESWKEHIRHRSINFSFFVEEWAKYVRNILQVKILPWQDIPGYRILVKSFMCELKQQESVP DAMKMALKSLLQNQNLLGIVVSLLFNKTNLYNAEQVIETFEILDACFATLTTMPAQFDYP FFLKGIKQIIMDSEHAINIAKCVWLIYNIYPLFPIDFKKDICEFLFEKAVFKLFLHWSRT VRLVFHYFLLYRVSHQHKTSKVGGLDEEQIIQQYTLINRPKKNQSYFENRQPQQQLISDY IYMKYLRFLSKLEQAKINENQREYQQDHQSYYKKLVHKKLRREQELKHKEQEEPKMMEQL KLERMASEQDESLQKQNQLKPVIVPRPVMHKKLSYKHEVEIDEMQQQYLRLSIREFEEQL KNYNNWRQQNLKKMIGKYNEEGMRLLIIVDKHTIHLSFEVPKVDVLRRIDEKEGA >CAK83957 pep:novel supercontig:GCA_000165425.1:CT868492:33474:33898:-1 gene:GSPATT00039589001 transcript:CAK83957 MQKQKTQTYKESHNLEERKKRVQEQLAKYPEMIPIIVEKIPGCKLPQLQKVKFLVNSSFC FNEFKNTIKKKLNLDEKTSTLFMYCGKTLMNEHEKLKKIYDQYKDPEDGFLYLQYADAET FGF >CAK83958 pep:novel supercontig:GCA_000165425.1:CT868492:34012:36037:1 gene:GSPATT00039590001 transcript:CAK83958 MMAMCSKKAYLKRRSVDNKYDVDTNIFQVKFDCLKDNIVKIHQGDPVMCQQCETVLNKHS KIQEDVQNQQFGKQLWICEFCNHKNYVQIEKEEIPTNEDTIYLIQSAQEQQMQIEDADNS IIFCIDNSGSMSSTVEIKGKINFKHGISAEEYEMLKQFIEPGDEHQIWPQFNTKHVTHVS RKQCVMAAIEQQIGELKKKNPNKIVGLVTFNNEVVVYGDGSEVPITIAGDRLFKQDEIEN LLDGTAKQLMKYPVKAQAETLLKKFEKLQEKGQTALGPALISALQLAKIGKPGSMIIICT DGLANLGLGSLDNDANKQFYEDLGAFASQCGVVISVVTIKGEGCKVDILGALSEKTNGTV TRVRPEDIEKDFANILKDELVGTQVQLFVNLHRALKFRGEDDPTLSNKLKRDIGNATIAT TQTFEYQLKNDQELQKEQIDIKDLKNVPFQIKVNYTNLHGDRLMRVVTQLVSTTENVKEA EEEAQVEVIHKRMAQKTAQIAKKGNYQEAQSYNDQWDGYVQKNAVINNNVSNKEKNFSFQ AHNLKLQTAVQKQEVRKEKVQKPPPQQQSQQQQPSFFEKVASFIKGDEKKQQQAQIPEPS QQKASIQQQDSPQLQKRVNPVKQNVEKCKAQNMQLEKEMNRKRSLSCSDDEDAEMADLFQ FEQGKY >CAK83959 pep:novel supercontig:GCA_000165425.1:CT868492:36086:38676:-1 gene:GSPATT00039591001 transcript:CAK83959 MRICIIIFCTLVLLNNAQFVETSRQYDGSKLTVNFKNLNPGEYYKNVTSPIYPNFTVEVT EDGKNQLTLRILNPLDTFEIPHKFPFPYTKSDSSHGEYDYSVNEDGNFFSVSRYDGSPVF NITKATVLSLLYSELHTELLSEDIFGFGERRLNSFRIPKGEFSIWNHDFWEFDTQVGYSL YGTHPVILQKYNSQYHLVLLRSSRPMTLERTDDELIYRVTGGQLEFKIFIGKQNPKELIK QYHQYLNGWELHPFWASGWHQSRWGYENSTVLKNVIRKYKESKIPLEVIWTDLDYMEERK DFTLNEDTYPREDLQKITDRTKPDGVHWVPIVDPGIAVDSDCGRDLVKSGAYIKSNRQSK TPYLGAVWPGDVYFTDFNHPKAYDLWLKCHKDWFTNYQIAPSGIWIDMNELANFRDGEAY KGPKLNDKIFELKDLPWDAMGTVTLEGHTIAIDALHEGKGVYFNGSTTSIPELDLHNFNG FLEQIEQRKLLQEIQNKTLIFQLSRSSIFGSGRFSAIWFGDNGSTWAWLRSSVYQMFNFN LFGIPFVGDDICGFNQDTTPQLCARWIQLGAFYPFARDHNALGQKDQEPYLYEITKISAQ KSIQLRYEFLKYYYYLFISQRDSTLQAGSGTIVDPLWFKYQSDPQTFNIETQFQIGNIIV NPVVEEQTDESLDYTELQFYVPLGAYWVSFENGQRIPNGWNTINRTFTDNAYLALEAGSV IQHSNATGVMRLREMESDIDLIVVLNELGQASGSYMSINNYDDEETILKRCWNDQYTCIR NISVRTFKDSLQFTNTKAKNDNSEEINIKNIIIYRNQQIFRVEINVSSFTQSWQINF >CAK83960 pep:novel supercontig:GCA_000165425.1:CT868492:39625:40351:1 gene:GSPATT00039592001 transcript:CAK83960 MINYVDTYEDQKLVQFEEELELFGFLSSPNTLILSDQGSSSCVDQDSNLSTPQQQGIQKI KLPIQRRRPPKRIQYTYHKKKKRPAPKPIIHIKENGLKVCLVMEKPKSNAHPFSNIEDKR ILELVLKIGPKFYKISKSFPGKSVSMVKNRYYKYLRYRWDEVMGSEYRHMNSLPEDQPCE GQNEFRLDDMSDELESEC >CAK83961 pep:novel supercontig:GCA_000165425.1:CT868492:40654:41361:-1 gene:GSPATT00039593001 transcript:CAK83961 MIEYNYLRFLSLPNFFGFQQLKQNSIVISNRDLLLQAKESTDVAIIFENSLSYFIIIIIL ISQCSCHLLIINYENLSKMKNRISSNTLKNCFLVIHHNQFSDFNWITCTKQNNLLSTYRL NKLLIFIRQHLLQTSLNILIFLFCYLKLYFLVSIVVLLFQFFYKLIGLLLFFNNQKLFEL LKLFYFILQFLDSHHFCICFGCSLKLIIEQNINQWLTRLFLNLLLIFLPILFFIL >CAK83962 pep:novel supercontig:GCA_000165425.1:CT868492:41445:42654:1 gene:GSPATT00039594001 transcript:CAK83962 MIIRALMQRTSLDGKVELDEKQFETILLILDVSIPKPICFVQALSEASSKILRQILLIYK CDCSKDFLISQQKRLVYLLQVEVNQQIIKELTSILEQLRSIKLKLLIERIIEFHQKMEKD ISLEYLEFEVFLPQDQEVLSKHTQFANQQRNYYQQPQQQIPQQFQNQKFQQAQPQQQQQQ QQVRFISNKISISIIYQFLNIISMASKLDSKQIDAKQYDELSSLISDEVGSFGTTYDPTL FPIRQKIRDALVYLLQLQKLELKSFENLWYLSEQLKLYLERATNDIQINSQRKQTFPFLN SIISTYKNPIKESIEYTQLKTEILKEQYWNVRDTLHIEFHNMMKIIENYDGTNQQNFLQI ARIHYQVEFKQYLEKLKNVGSNQVKSAVNLIIQTQ >CAK83963 pep:novel supercontig:GCA_000165425.1:CT868492:43036:45666:1 gene:GSPATT00039595001 transcript:CAK83963 MLKINVEVNQLKTYHSIEVQTNQKGTNILQLLFNKLDLDQEIRKSIQCVLKVENTKVDPN LTISALEIHTYSQVQVVFSKLITIECDHQHLGLIKFDTEAFDSINQFRSHLITNLVKIKS CEILVFNLQNGTKFENEAWAKYGVFNNLKVGLQITNVQKFKFNDTIHQLKLDVFLPVAKI IVYFKNEFKINDDIITFKQNDLWITPDKIYYQLKPDGENIWFAEVQKEFNFKINEEPQEA KQIKIHKDKGVFDLIRIVREIFKIEKTIPIKLEYKMNNNFLDINNLLKQEWIQTFSHLHL TRDTSDNKINIMLLDKSTHKKQIERVDVNSTLADLDKFISPNENISYYVGDDKKPRTRTL SLNTLDLQSESVIHYQITINQDNRQHSFGFDVQKNSQYIEQYSQIHQPSEETLNIKFTNK NTKISVDVPVDSSTTVGEALQKIIMNETFSELRFYKVLFNNEKVEMNTKFESLNISTNYL LLYFTEFIKVQAYVEQIVQTITIDQNSSINQLEQQFRTELKIDNSLYLELSSSENKNQKL KDFLIKNPNYKFEFKKKQEVIAQTKPQIKQLEFSVFIVSLNTQKQIMVQQNSVCQSLFDK IKYQYNLPKNQVIKLMVGDKLIDPKETIVNISNLIIQTKKQLTAEFLTYLELDFENLTTK HTQKVQANLEDTLEQVACQNKMIGWTFTCQQNKLDVSNQIKDQPIENNSKIQYQEKLITF ESSRSGQKYEVKVQPEDTLNILIDRLDVQIHKIYHRDDALELTTKIKNIDLSSGDKLIFD DKEDLNQPSGNFSDVYEKYSVKVQVGERELTYLSHPNLIIGILKQTIYNQMIKDQGIEIS KYCLMQNGLVLDDQQIISNLCKNNLVELVFALNQTQ >CAK83964 pep:novel supercontig:GCA_000165425.1:CT868492:45755:47168:1 gene:GSPATT00039596001 transcript:CAK83964 MFQGEDVDFLEEMYKIKEIGKIGEGSFGKVYKAIDLTDNTECAVKVVSKTLFKDAQVESD IYQQLNHPHIVQCKRITENKNQFFLIMELMKGGTLAQRMKQEHTDEEFAIIMKGILMAVH YLHEKRIIHRDLKPENIMFASTDIRTVKIADFGLSFKFASEGMFYSLLNKKCGTIIYMAP EQFKEKFYSKQIDSWSCGVIMYMLLNSGQHPFYNKNETREQVIKKIMNPVWHFSDGMNPL AKDLIQKLTTIEPIERYSVGQALIHPWITRNFQDKIPLTYNEQIHQFIKDQQIRNQFKLL FFLQYLMKNCPIQQPYPDDEILQKLSDKKKLQQQYQSLPNPQSQKQRVLSNNQKIKNLRS TSPKDQKSRQKSKSKPKTSFENLNTLEDQRYTIASFKKCFQQKATVRPKTIEEALNENSA KSRQFIKQKLELPPLKKK >CAK83965 pep:novel supercontig:GCA_000165425.1:CT868492:47289:50187:-1 gene:GSPATT00039597001 transcript:CAK83965 MEEQLKKLQIIVDHLSKKSKQPNSKILMECYEVFSECILIVQREINLEIGNVMDKLFMII REMVENSQLKVQPIEPQQVVVATKIPEFVNALQLGSVSVLDQLGNGEQVKNIHQIPWCDY KCKEQKPRNLKGFQDVGTSTVIDYYENCQKSSSQFLKNEEEIREWLEYTNDTIAMLGEAI ASKKQLDIEYQGKPGDVDLIISDIQFRRLNLEQVLKSVNPDNFGVQNYDNTVQFLQQHIH QIQQQTAIAVIQKMKHKQEEKDKQQQIINEMKGKIKKKVMHKEDCRCAQYVNDILSLKNK LEQIQTDLAKKEHYIMELEIKNDQKDIQYRMLQQQFYQMESDRMEMKSKLDNYVRLAKKN SDRGYISQSSNDEIVVNGMTAHPRTTSRDQSQQQSSKQQILLTPKENFIIQKKTQQMERL VKLVNALDTNNQTRRLTQMQFILEQKATSQINDLADLIKDIEENDLLQNEAIDNSTVTKE IEPISSRFLDKQSKQFTTQRNSLQNSFEIEMNIDKPTIKVSIPAEQITYQRQVPSNRIMS SVGGSQKKQDLSNTNYNQRQMDTLKIKNSSSNQLSPNSPNGRMKSSQNMSLNRQNSIKQQ QQQQQQQQSSQTITDKSKKQANSKNIKDQYQQTDYLHKIDISTQTDRVLNKQTTEQIDNI RIMGTYNKNNSQQLTTSSTFHFNSLGTSGNIVTPIQSNSNSNIQSPRNQISQHHLNSTIT GSFPLPSPSPSSALIKNKLQSVKSESENSQLLGRQLSLHTLDNQQPKQSQSLKQRIFERQ EEKHQKINHRKMYTSTANQFQSLTPRENTSFKIKSNDDLRLQQFQQNQEDEQLMSDQVLH DLPEQHEHEIIQKAINRTPGYELASELTENILLTMFDNLQNVPEFIELIKKLNKNNSVTF TEFKRFVNRMQAFHKKCGRECNHLQRFYLRLGFVSTKYLNKRKQLILQKPKVLPGFK >CAK83966 pep:novel supercontig:GCA_000165425.1:CT868492:50203:50815:1 gene:GSPATT00039598001 transcript:CAK83966 MRLIIQRCLSGSVSVGDQLVSQIGKGLVVLLGIHERDTKEVAKKLAHKLSKIRLWEKENK AWNGSCVDFNYEILIVSQFTLYAYMKGNKPDFHYAMDADKARDLYEYFVDECGKAYKPEK IKKGAFQQYMAVNIVNDGPVTIEIDEMEVEKQQKQQKQQIQQQQQQQQQQQQQPQEEEDN KIQKIN >CAK83967 pep:novel supercontig:GCA_000165425.1:CT868492:50855:51157:-1 gene:GSPATT00039599001 transcript:CAK83967 MSESEISFDFNEDEPVEEVKSSQMLTRPEKLNMPFSKSDKKDKKEKLKQMQFAMQGQLSS KQQMTKDLLFLLKFEQHMLSSINSLHQRIMRSLESEAPGN >CAK83968 pep:novel supercontig:GCA_000165425.1:CT868492:51331:53600:1 gene:GSPATT00039600001 transcript:CAK83968 MGTCQQCKQQTTPEIINVVPTIPRESKSANIRNIIQLMNEFDNYIIIKTTQYSFLFDDDI GLGRQENTKTITTQGTVKPINLSKLRAANEDLKQLKNQSNEQDKCMIDKKMVFQSGLELG DTLPSIKFQLLFNDHDQVLNLQEGKYTLIKSWSQGEQMVNFEHAIDLIDSLKQTDLQLIG LARGNIEQKSVYEELVRSNHRWENFQHIYICTEGQENLDNHILDIYFQENTNEVEEGFQV GALLFYGTKLIWKRYNHEWDIFSDSEEVAQEVTTLINKGVKEYSGKQQTNLVSSITKEQY CQIKKFVLDQQTQQPFTRKINVSVTKRTFFGKNNKTIVYDNPIIDVNCSPKSQQITESFI RPLGSKSVVWDGQLLKKALTQKIQSKNLNIEAALERQIKIQINYEKNIISIPQWESSALV PYYKSTLYISQVKQWIHARDEVLSECESSDDPVTARINKMIKDFFTHIPTVERIQNQQYG KIPYAEKRKPPYEEMSISKSLGNEEITRKQDKILLILLIDYENDDTLELLKSLIKYKKEN QCPLQIAVLGHIRAESWTKLFQAFMEKHKLNNDAEEGVIETWFPVEDKIGSITFSAILSK MYNLVLEKRDCMLVDLKNQIRVLESSRRVIPKIHTYINDLQNYSQNPEREISNYRNQYSQ FKKCIRKEHNLKEITTKIKQQCKEDDTLIMYEQKKSKVWDFENGKISETRKYSQPTKILR LPPKSTELDNLNSIISKYIESQDILQMK >CAK83969 pep:novel supercontig:GCA_000165425.1:CT868492:53839:54828:-1 gene:GSPATT00039601001 transcript:CAK83969 MLVQQYRQLPPPSRELPPNLNQAHFDQKMRFQQQHIQARVIEERPNFQEMQGGQPPMKPW LGEDVYMVAFLLSVENDRLREENGRIGEYVNQNELKFKGVDLIEGELIQLRNKIGDYEKK IAQLQYESEQWRVKCVNREKESEDQRYQKELQRRISIDREIRELTARFISDRNQLEKENR QLRTELDSLKLSKSSVEDIMRQADIVQKENHRLKQELDNLRKGFDELEYVLKTAADLEAE NSHLKQQIEALQVQFQHHQFKGLLSIQQIYVRIKIYYLENSNNLESEIKRLRDENDRLKV MLNLGQATRPQPFM >CAK83970 pep:novel supercontig:GCA_000165425.1:CT868492:55274:55648:1 gene:GSPATT00039602001 transcript:CAK83970 MGVCCSNKNQRSRQHDYGVLSPTNRQAEQDEYQELSVEIKEILEMYLNYEKNSPQNKNLG ESNQLKHSLEQPNTPYFKNSHDSDDKFLQLKQAKQVEELVNEIKIQEAFFSQEQRKVTSC MGRK >CAK83971 pep:novel supercontig:GCA_000165425.1:CT868492:55706:55890:1 gene:GSPATT00039603001 transcript:CAK83971 MSSGSRLDSLLQFKVGYVRNANSNKKLLNTSLFVKRHNKSLRFTTEQIKDLEF >CAK83972 pep:novel supercontig:GCA_000165425.1:CT868492:55934:56617:1 gene:GSPATT00039604001 transcript:CAK83972 MIKQKSTGRSYKVVFLGENKVGKTSIITRYFYNTFSEKTEVTVCDFCKYKTIQTKNGSIE LAVWDTAGQEKYHALAPIYYRKADAAIIVYNITVQQTFQNVRLWIQELQQFSQNANIIPV IVGNKDDLHMHRAVEQSQVDELCNQYNAKHFQVSAKSDKGITEIFDYIADGKQTYVRLEL IKSVKQPNETTNFQEGNKKQTQKEGGRYC >CAK83973 pep:novel supercontig:GCA_000165425.1:CT868492:56830:58284:-1 gene:GSPATT00039605001 transcript:CAK83973 MKYFILTLFVLNAFSFSLNDDWDGMEEVIKVQQDAILVQRIIKQDLVDQLDVYSETPITE QIENQVQQLMNPPLEADQTKQSDEQSEVHSLEYWLERLGDEYSDETILLQQSQTITEQVT TVLDDLILKVEEDQQMDQQTETDSTLIIDGIRQFQQDQNNQQPQTIQLQSQDDEQKLIDL NQIQDQFDEIDSTNTEVSVQQQLNQSSEDTLQVQIDSTNEDTYNQSASEFSPIEDTLNQV QDNNNRVFTFLNHVQEDSYIQSQQKIKDTYNFKEYSFNKEVEDQRSIYKENDDSTVEVVE REEEKEITNNPHEDVELNKAKQSTLNSQSEEISGLKKYLLYTDQPEVISTGTTEKEIEDD RRLITAFRSMNRDEYWEKTNKKQKINSDVRDELLKLSNVSEDLKQKEPQFQEITENKVEN PHKFISFKADPRVEYAENTQKGETVKSKYDELPETKLQSKEEMKRDKEQKICINNN >CAK83974 pep:novel supercontig:GCA_000165425.1:CT868492:58305:59998:-1 gene:GSPATT00039606001 transcript:CAK83974 MMEVTRNKQIDKVKLSLDSDKFNYFLAQSLNKVTSHRSLQQLAKTLADHFIQNQIEIRNK SNQQRQRQNALPQIVRRSQQSIKVLPKPPRIEPRQSQIPLYKVPFTILEPQYSYLLGGGN NHELIKRIMDTRREWHQCKSTTRVHFRWQQSNIGYKYYKMNAYNPHRSLVNHFEFHQEIS NKKNLLLNMTTFCESIHRNVFDILPLTFVIDFNDSQIESQLLAFLNYYNQYSPNPISQDE LLLKKQEIKKKLRIPPSKDRKFIDYQMKDTFLGADYLWLLKPTGLNRGRGINVFNNLDQL TDLLIEYTSGVSEKHIETPNEKIYQGIIIKSPSFVVQKYIEKPLLINNRKFDIRVWVLVD QELNCYFFKEGYIRTASEDFVTNDVNNLFIHLTNNAIQKYSQKYGDQEAGNQLSFDQIQK IFKNKIDFRQTIVKKMKEIAFLAMSSVGNKINRNNRRNCMEIFGFDYFLDEKFNLYLIEV NTNPCIEESSPLLAQLIPRMLDDAYILTLDLIFNISREKVSPFPVKGYQNNENMWQLIGS LKDQAIYQ >CAK83975 pep:novel supercontig:GCA_000165425.1:CT868492:60047:61555:1 gene:GSPATT00039607001 transcript:CAK83975 MQKAEEQYQTLYKLQPEPVTTPEIKGYDFNQGVDFDALLKSYANFGLQATQLSTAIDLIN KMIHWRMGPNEDNEDPNTRCTIFLGYTSNMVSSGNRDIIRYLAQHKMIDAIVTTAGAIEE DLMKCISTFHKGDWQANDKEIRLKAICRIGNIYVPAANYGKLEDWLLPVFQEMYKEQKEK GTIWSPSSMIKRFGEKINDERSIYYWCAKNNIPVYCPALTDGAIGDMMFYFNYKEEGFIC DILQDVVALNKKAMFAKKSGLIILGGGVVKHHIMNANIWRNGADWAVFVNTGIQYDGSDA GAKPSEGITWGKLRIDAEYVKVFSEATLVFPLIVAQTFAKHFQEAKRV >CAK83976 pep:novel supercontig:GCA_000165425.1:CT868492:61556:62320:1 gene:GSPATT00039608001 transcript:CAK83976 MNFPLPENRIANYAKVSTFLSHLVCQSCKSLSLQQLICLKCHENFCFKCIPYAEDQIPEP IEITVQTILINKNTKYVQDINYRGFCPNCEVVTVLTSQLPKMFHKLYTSVKFSCQNRVNG CPEELCYPDLRIHEQKCGFNTITCPKQECGQQTYKKDFITHVSICNPSTDCICGSTNQHD QQKCLRLQCETLKSQLNTQTKELLLLKDVQTTLSYSSPQKQINRVVINQSPYVATSPFSL QGLLQQSAQILNDG >CAK83977 pep:novel supercontig:GCA_000165425.1:CT868492:62699:63013:1 gene:GSPATT00039609001 transcript:CAK83977 MCSYKVIINQKMMRGPKYQQQNIQELCRSKFDDDDDPEIDQNVRVDRFGNPINKKLKFRI CFRDEILPSQQVFDIRVVENWKLYNLMEEKEEADACCKQFCQII >CAK83978 pep:novel supercontig:GCA_000165425.1:CT868492:63020:64430:-1 gene:GSPATT00039610001 transcript:CAK83978 MDDNFKKLIESTQPESVSTIRLCRRLGYRPEDLTYRSIEEFQSVNNELRQVKYEHYKARV LKIVNEINNVRSRNKTTGLSELPTQTNVQFSSIVDTVEEHSQNVSAQERVLQNLIYQELL RQKKFAQYYQQKNKILERKSKLPTQPSQPITIQSKPSVQLLKTEQSLKRCETQREYENKE KFFITSTEKFLVKRQQILQQEEKQQEEQFQKLKDKEKKATERIQQFKTEVKLHFQKSNLE EVKNKKEQLDNELYQMLITKQCEKLQKLRLKQSQLSKPFKQKISIRNKTQSLVFDSDLQQ KIEDKIEKVVNLKSQLLSERSKIIGERLKINQDDVNFNKYHHEQLRMKEEIKRIGKIQKN QKQHSCQIDLKPQLLEKQKKESVWEQVNRVSHLFSSPVEYDGYRTQMKLLSNKQRETLDP KYQNRVDILYKLLPSQMAEKVLQ >CAK83979 pep:novel supercontig:GCA_000165425.1:CT868492:64440:68354:-1 gene:GSPATT00039611001 transcript:CAK83979 MSDQELNLEPDSESKGSSDNENAQQQEQNPNPPLTIFSKGFHVEKQQAEQQQQQTQSDQQ NAFQDQPIQEDEDEGDESSKYMSFDKQPEEGEIQFNQFNNNNQDIQEPQSEQIKEPDKEE KEVDDLQKLYEAIGKDKQELENEKEIQEVEKQEVEKQDKEQEEVSSAEDSPDQKTERQNT IILKTQRLYMLEVQERNDEAIRIELIEQLKTQFAFKQAHPFDLFIMYDDALVEFQIRMDE LDHALKSISIDLQQDQKRIVQKYYRVPKLDTISTIKLCCSLVEDLGELVMMAINYIVNKY RLLLDIFFEEVTGPDKLISVETLKLLNREWMWGLDEGKLQQIYNLMTDYGNNIYNLQVFK QKLSKIAEQVDKKFNFNAYQNVVKSIIKNGSIEQIFRLLRDEDQGVTDEIQIQKFLSIIT KFIDRALSKQELLALRKYLAKDKDEDIIIYSKLMNDALKVIEDERIQKQQEQEKQKLLQK DIILEYLKSVVEKSVTLPDQYFKQEQLFKMDKETFIEQTIILTGFVIDKDKALQIYEMIR EEKIDKEEEDINVIEFTQFINKKKYEISQPESEKIQQSKEIQEKIDQSKGASQQMLQPPS LIKQGQSQQGQSLRQQLQLPLSAISQPQSALRDKQPVLQQVSSRQQEKSSERQQEKASEK QIEKDNKESKDNKDNKDNKDNKDNKDNKDNKDNKEKDNKEKEKEKEKEKEKERLQQLQSI SLRNDIYCLFNELDEQKVKILTQQQMFSGLLRIGVVINGQKLLNQKSYDYFSFEKVIMQQ LKYFFNSAKLIYNLKKELDQYKEEETDIVNIPLLKTLFEKIRLNVKFEDIQYLFSILDKE EKGNIKLSNLFYLIHVHPILDYKLNELLFRMKGYYDNSLKMHKVLYSKLPLNYCRPFTSK ANLPSYTFRYKLDLLGNIQVHPPCSGNNSCKIKLLEAQGIPSPKDKSTCFKREIVVAIYD NFYKQFSENSLVLKAKYLPENEDIWYFPVKNRNNGFYLNCENQKEKLNLILVFEFISYFK ENNQVHKISCCFAEIPVAHIMRLGTFILPLKGGTIEQIVSIAKDDIRCKRGGISGLITRL SGDVQPQFKIISMNKSNRPQLQKELQMLPPLCLCHRNMTQIIQTYRIFCEDKLKQDESVF IQSIDTFLFCLDCPYICSKMCKLWNRIIQGKMIGQELASFQILNWIIDFNFNPYSPQEVF TPEISKPKRNVVKSIFEYAEYLIDSSFFNKLGLDGDEILKEQMDLYGQPLIQGIFDGGHN PFDKYYNGLNLKAYETYVQQL >CAK83980 pep:novel supercontig:GCA_000165425.1:CT868492:68465:69954:-1 gene:GSPATT00039612001 transcript:CAK83980 MNKNSPSPSSPTPVILVLVTNKQGKVLEHSQFFRTFSVFGQVKKILIFERKIIWKVFIEY ENAQQAQAALVLDGTLFDYQLKMRVHLSQRDSLVFQNNNNCGVDYTTLQSKQQFFQQLKE DSGSLNQQLQQNLDMLNKLIVQVSQTADLKEQMSLIQQIQEFRNQTQQLTVLYQQQLRNY ASVLQREGKKQKQIVSAVTTPSPSPFLHSTLDSIRHQIPEESSEDDKEEFSDGESISSQS SEKSQKSTHSEDNYNEVFCNDSTNPFSCGASPFTPYPQKRIGSPFIMTPTTFPTYLMVQH PNINLRVVYNIFSTFTRVDAIYQSNYGAFLQIPSKEEAIRMKNLLGKAMLFGMPMNLVVS DQLSHDARQVSLPPNDRKPVLQQSLSNSILITGLSGVTIEQIYQYFGCITPILNMKFINQ SSCKILYSDIGCSLSVLGYIQDAKINGRSVQLSFTAF >CAK83981 pep:novel supercontig:GCA_000165425.1:CT868492:70822:72323:1 gene:GSPATT00039613001 transcript:CAK83981 MKSPVVTDNCRRAKTNPDQSSLLSYSVAKSCASSERKTQVKVACKVLCEMREDESSKLAM KFTQLRIVLATQSLLHKLQKYKSSKLQTYFWILQQERKTTQPNGFLDLNQTISLPPVMDD LSNNQIREQIQQDQSQQIPVASAIVLSHILRRLVNQQQRLTLKRIRAQSNFIKGIKQIKN LIKKCQKRSCYLSILSIMRCNQNRKVFKTSFLDQDHEILPQDQMEEQIIQQPEEMSMKEQ LAIKFASITILTSILNEKIKKQQFQLFFNIIKGHFQLNKQLSFSQMNEITQIYEQSFLDQ NHIVTGSQRLSRIIYLRLKDYFNEIRACQTTGELKNGYSINTILQNKNSEQSMNNSYEDL YTQRVLPSQSKQDEENNEEEIQTLANSDQFKMPCSLQHITDIEDSYQKQSEDHIELPKER AIVQKNKHADLIKNAVKSYCTQQQQAKHSVQGTPRTQQHEQKIEENKKQLRQLYIPHMIG ICMIVLIILILQ >CAK83982 pep:novel supercontig:GCA_000165425.1:CT868492:72352:73196:1 gene:GSPATT00039614001 transcript:CAK83982 MSGDSSYSEGAGWIQWFCNMEDHQFLCEVDSDFIRDNFNLYGLKSKFNFYNEALDLILSS ETPDDEDLEDERFLEVYQEATDLYGLIHARFIITAKAGLALMKDKFLSGKFGACPRVLCD RSNVLPVGMSEELRTSRVKVFCPRCEEVYIPKKKCPDVDGAYFGCSFPSIFIMNFVQEIQ IAPNSQQYVPRIFGFRVYKKKGSRYQDTLQKPAEITHYSEEQLKKLRGKNEQQSKEDYVQ K >CAK83983 pep:novel supercontig:GCA_000165425.1:CT868492:73202:73591:1 gene:GSPATT00039615001 transcript:CAK83983 MPKEIQDVKEFLSLMKGSDAQAKDQNKEPKKNLYIKESKKITKFKLRGKKYLFTFKTADK NKAQKIQQTLPQNVNKIVIGAGGKKQQNKKKQKK >CAK83984 pep:novel supercontig:GCA_000165425.1:CT868492:73605:75374:1 gene:GSPATT00039616001 transcript:CAK83984 MSSFHNSHNSEVNSPRIKPKLTEEQKFHLIDQFFVKKLKFPTLTNAIIDEAISQDFMPFD DKDKILRDPNKLSIFFLKLDPQSPRTKEALDSLELAESACCIFTFQQFQIPELHPIQNLI NYMNYLTEKYKELLRIVSTRNLIKKQEKLQKSKNNLNKSHTRQNTSSFLTDGGALIIPTK LTTIMSKSFNTRSLDFLQISFEEKLEMQAKKSQEYLQTLSRYKQLEEEKLKSLQDYYQQK VPIMAKKVETVKQINKIKQQERKKIFQDRQRRTLEKIHELEIKDREQRVKIQECLNKKQE ITQQNKIQFNSQYNQELREQQERNQRRDEERREKKKLANYMENQQIEQIMEKQMEKEYVT EQQLQKLKDEINQKRQLSLQKQEYAQQKVMQTQIEYQQNKLEQYIKYADLSNKMVEEQMQ IKSEQLDQVKTKLATQFRTVKKNKEKLNHILEQKIESIKKKENDKDISGRLMSIQSSIDI KIKEQTEKNRMKYQRALNNTRAFAEEREREQQKLIEKMVEKSQKQRHLKNQKEQYSQAIL KQHLLQRQSVDKIVFQ >CAK83985 pep:novel supercontig:GCA_000165425.1:CT868492:75390:76329:-1 gene:GSPATT00039617001 transcript:CAK83985 MFTPEQFAANYQMNFSKLIGKGHDSTVFLTMNKSTKQQFALKCLAQTNEQNAINLFVIII SYFQENEIQILRHIQHPHIITMIGYCSDCSCMLLELMSNGSLYKILLQGPLPLAIANGII LQIAQALQYLHAKGITHGDIKLDNLLISGDFIVKLCDFGFAKINGQAPIPKTTVSGSEGY TAPEIWNTPSDLKKCDMFSLGVVYFIMVTGHPPFESNNPQTEDAWWKLIQKEEWNVFWKE LKLTILPEYVRTIIQKLLCVKFQMRYSADELIQLLADKSATSDQIVDEIKKRLTQQK >CAK83986 pep:novel supercontig:GCA_000165425.1:CT868492:76329:77400:-1 gene:GSPATT00039618001 transcript:CAK83986 MDIQNLIQQFGAGQAVNPEASIPDTAEQVTISALALIKMLKHARAGIPFEVMGLLLGDIV DDYHIRVYDVFSMPQTASECFRGICGAQFFNKKMVELLNLTGRMENCIGWYHSHPSYGCW LSSVDINTQQSYEQLNKKSIAVVIDPIQSVRGKVVIDAFRLIPQQNMLSQQEPRQTTSNT GHLQKPGLEALLRGLNRYYYSINIKFKCNDLEQKMLQNLYKNSWTEGLKCNSASENSKRN ESCVEDMSKLALDYQKLIEDESKKGEQETKIKNTGKKDPKRHLGLKVDELLDENLNAILG RMMATKGF >CAK83987 pep:novel supercontig:GCA_000165425.1:CT868492:78408:79971:1 gene:GSPATT00039619001 transcript:CAK83987 MKDVQEQQQRIPDAYRVFVLISITNLLVSLDHGIIPAASVQVQSALKLSNQELGVLGSLV YAGTVVMGFIASFIFLKYNPLRVIEISMITMILSLFVFTLQFDVAWPYYLSRFITGAAQA PLIVYFPVWVDTFGQDNKTVWLTILQGGVPFGVFVGYVLASVIAAQWNWRWAFYLQIGVL VPSIALLSRQPHQNIDVRPYVKDSSNTYEKMPYFELIKLTLKSRPYVVMTVVLGMLYFSV TGIQFWISNYLVTVLCFNQGLVNTLFSLDSITGPTLGCVLGGLITQHYGGYDSKNAYYIT CIAAIFGSLASICVTLTTNLWAITGFIWLLLFFGGALVPIMTGITHKQLLGIVLSSVNQG MRSFANSNTTTYVNLFGYLPAPIVYGYLSTISPNLGYYCLMYCPSVGCLMIIWTTYHERR KDCLVGDKSMDSMEMHPPSARSTSVMQIIQNFHNQSDDFVKEVLEFHSTPINNITTTLYY EKQL >CAK83988 pep:novel supercontig:GCA_000165425.1:CT868492:80044:85168:1 gene:GSPATT00039620001 transcript:CAK83988 MSENTLFNECLACKMKFETRQQLENHVRKVIIQDLTEQFCVSSDYGSQNKLEEKYQRELL NLKKSNVPGAREIIEPGRPPPGQQQKNQLSLEALKDQMKQQDDEFKRLNRMAQRRREDEM EDELNKLKNDRQQIKMKRDDDRQAFEELLHEVEQKKEKEIRARIEKDEIRRALMDLEKAK LTAIEQERKRELEKLIAEREALRMKENELIKDIESMEQNTKMLDQMRQEEVSKINNVIEG MQLKQKNNTKLNEDLIHERSDNVAKLKLKREQLEGERLRIMDNLDRLRNGDLKAAQRGGT MNLVANAKNILGDMKQMENFNAKLHDGRFAEQQQRINQLKQQKPEFMQFDGDEAYGQRAT VGQQAVDMYKSRNPQTHGELQAFRQGIEQHANSMMDQSMSQSVNMSQVRRQPSSQVNRAP ATRLQPQQTIQSFPDVNQQLQQQQQQQQQIEVLKNAWGVPIPQFQNNQFQMLPQQAQQPQ FINNPMMNNPMMQYNAFAQPQMMPAYNPVMQQQQQQQGSTAFGQFALNQLALNQEGKKMK DPWSIFNRKNEFFLMNEVRGVDLTEEERVLMSLQAQEIDSLRVISRIPVGTELYRFKVEQ YKELSTMRAEIEKIVQEQRLQDARREFEMERREDDRQWENEKWVDDNKKFIIENRLRKDN TQKRQQMQAYNQSEGFVVHWDYTLGLPRRSNYSQVVFGVYNGQQVICQPRLVEPRESETE NQNHNRCIFGDSHQVFEVPAHPDTLMIMEVQIPFSKRVEDNVGRTETYGWTQVDLFDHNK QLKRGKFKCPVYYGPTYKSRDHCRRDLELRAYPQLLDYGEVKTIYPEQTQHEYIVPYIHL RGLFGKREKVKNMKGMEESYESRYGGRGYKMIEEIIEESQLVGGAGGKSNMEEYEITGQP PPMQKYGIREEEPPVQDDGKQKGLRLIIYRVDNHQARSHLRVMAGLFEEGNLVLDANGLP VAFNTSIHNPLDQKNRQVLANEYIIPLHKPNVEYDGMNNKASGQDIIFQEEYRVFRNLYA MIKKNKKDLYIGLQVVEKPEPVELQESILNETQKNYAGLEFDLKGWQFLKLTQDDGSLLT GRFKMKLFKPPLRRPPIDPTKVQEMDEVIDFALHEFAYTEKDIEEFKKQMKHKHKKPKLE EIKDIPLDNSPYIPNFQQQWINEQFERRHGIDFYIDGLRFLPDKVTACKMYMEVYNRRYE KLFDAETAAPDLNSMAYNPTFYFRRELRKEKFDPTAVALITVVTVDKSTNDNRIVGYAAI NLFLNPGTKSQPEDQNEDNYVVYSGAYQIPLFSQQLDRTPPFDMKKIYSHERSPCCTVLV RMFKAPLSEDGKRALSIKEFPSLKDQIARNIMRPRPQYGAGAYNTDLAPITESENELFAQ RTIRPDISVREAAYLLIKGEQINRQYKDNEIINYLDNRISLTHDTFMIDMMYFAKYRPQA GFKLTVDGLHNVVNQSHMYVVFYSLSQPGTFYLEPRDITQGHMCSNYDFDSKINTPEFDD AWFKFKENANRYQNIILDIKSVPFSKPDGAISDVGWTILPVFSPDNYVMSNCYQLPVFRG SVPRAVIEDIKKQDAWDYLRDQVTNNKVFYLKNMSIIVRLVDAQREGHFNKRMDCDRLQY KYLPQGERIKQWSYNPAVVLDQMSMKTIKSLIPFKDNPAAYNRKVTEYFANSYGLTQYLG S >CAK83989 pep:novel supercontig:GCA_000165425.1:CT868492:85185:85621:1 gene:GSPATT00039621001 transcript:CAK83989 MQHIQKSNQDVLEFHITNNAQFYQQNIIQEKSTNCKLPLPKLTTLEDIKLVSSTQVSGDG HSNSVLIICSGCQNKVQTVLTHHSGISTIFVGFLLLLCSFGFFCVACIPCCLDDCKDIRH NCPKCQRTLGKTSFKILK >CAK83990 pep:novel supercontig:GCA_000165425.1:CT868492:85640:86163:-1 gene:GSPATT00039622001 transcript:CAK83990 MNQPQRSLSNLGMSKSHYIPEQNRTSSAFYKREDQSRLDQQLARYEEFKRNYKRNELQYS YYQQQPRDLNQSLVEERYQNSYNYRPNYNNKHLQTQQYEYDRDVYKKEIKQDSPIKVELS YTAPSGVQRGVISDSAAYLREREKIMNSFMTQQEIERIKRIYLRK >CAK83991 pep:novel supercontig:GCA_000165425.1:CT868492:86207:87373:-1 gene:GSPATT00039623001 transcript:CAK83991 MQSNDSTRRRLNLRSLATEEPQKMQNISANGSLVLSQFRLNSKGLTFTKNITYMESKHFK DLKLEDFEIVCKLGQGNYGSVEKVLHKPTQDYYALKKIHYVSNDVQESLLKKELKALIDC NSQYGEIYIVMEYMDMGSLQIILEKTKKIPESITMLIIKEVLQGLDYLHTNKHIIHRDIK PHNILINKRGEVKIGDFGICSVSENSDQKFDTFIGTIQYMSPERLNGEEYGYDCDIWSVG MMTMQCITGLLPFEFDAKKMSMIEYIQMGKNFKIDDYFQQHKHAISENTIYFISRCLQQE PKDRNKAQELLQTKAIKYTSSLKVDVFKQWLQLSIEEI >CAK83992 pep:novel supercontig:GCA_000165425.1:CT868492:87526:88935:1 gene:GSPATT00039624001 transcript:CAK83992 MYQGSNLSRTGQMAVSNEQVSNQLKLLKAKMSNRDTWQQGTNQQLDGYPHTQPTKPSQSP LYPKSDYDFYTMKQQQQQQMQSSSQPKIADPRALNYQQLQQYKQQQVPPPQNANRNDPYL GMNAINRLNYYDQLQKYKEDPYKKSNNLNNYNAPQSYYEQQLNKRQQQQQLVQQPPKYYD DVLTKKQQSYGYQQNNNYDFTQQPVSLQNKYDYMNYNNNYSNNGPYNNNTQWQNQNQNNI YNNNNNNNNNYNYQQQTSQTNQYNLYGNQNLNINQNINSQQQQSSYNQYNYNQNPQSLSP LKQQQPQPTQQQFKYSQHNSQPQFEKRERPIERQQDPIPEQQYKQSQQSYQPQEQQYNKP QPRKQQQPQPQSFNANNNKAYNPIDEMPAVAKKNQTNAIPPEEDDDGDLQECPEGCGRSF KENALDKHIKVCKKVFQSKRKEFNSKAHRQVNLGVSKIGEARAGQGQDN >CAK83993 pep:novel supercontig:GCA_000165425.1:CT868492:88949:89149:1 gene:GSPATT00039625001 transcript:CAK83993 MAQNGDPKWKKQSEAFRQMISAAKSGGTADIQPQDDLVECPACGRKFSEQAAERHIPGCK KRNFKR >CAK83994 pep:novel supercontig:GCA_000165425.1:CT868492:89174:91306:1 gene:GSPATT00039626001 transcript:CAK83994 MSKRLPSLSVDSSRVVQFVHYGTLNCVIQARHLRKWEWDLRLFNNMIMRWNLTPRVLLFF IKKVISILHPIGLLYFQKEQYIHALHCYEQALLQANQPNIYFDKGNAHLALNQIDKAIEC YNIAQQYFNDRKEVYYQLGIAHYQKNSIVTALKNLIQAIKLDPKYILAIQKLGDIYYDLK MYKKALKTYDKILRIDGLYHKAIYSKGLIFFEINEKEKAMVCFEKCILLQPNNSMPYFNK GVILLQNHEFREAQKNFKLSLKRNPSNYQAKFNLGLSYQKLGIFDKALLQYSKCQPTHEV LFNSGLIQQQFKLYYKSLDLLKKAIKLKQDKLEYWYHLGINYYFIDNITETANCFEKVVA LGGLWIIEDNNISHPAVIQYRQQFIKKPTAYINFYHQGRIYCLLHQFHSAIKSFQKSSEL NCTFLQSKLELAKVYESLKQYKESILIYKAINNQQSYQQLSLLLSHLQLHNGAIYTLNKI LETDPKNVEALYNKGLSLSQLHQFVPALQCFEDILRIQPRYIEAIFQKAITLKQLNENEK AHSQFKKILTFTPENELIHLLQGHTYFQLQQYNEARSAYEKAIEINNSFNEAYFGLGFIH YTTKEYQLSIKVYKQYLMQCPKSKQFLLALANSFHKLGKHKTALKKLDEILIQDKYSSEA INCRAIIYYDLKNFKQSFINFYKAIHYKPRQNELLKFVYNS >CAK83995 pep:novel supercontig:GCA_000165425.1:CT868492:91439:92146:-1 gene:GSPATT00039627001 transcript:CAK83995 MQDQVVKPIFLSVNQLTELFNNPQQETSILDDHNNLLFLTQDSFVDFPLEFSFQALHMMN SQKTIKDNKNNEKPINNVLVSKDVYQQIMSKMEHNRNLSEKYQQMMRENQEQIQKRKKLE DQNAAIMDQNFQIKREIQEMKQKLQICQSAIPLRKDQQIIELRNQSKELQAKLAEKRDTQ QSAVLTENSTVGSKKSNSYYCNTNRLVKLDQRSSQPQSKKPYRSRNNSFVKIVQN >CAK83996 pep:novel supercontig:GCA_000165425.1:CT868492:92611:95254:1 gene:GSPATT00039628001 transcript:CAK83996 MQQNQENVQNLILNYCLVGLGEKYSPMMKNQRRFLTSIELHENKCMTLYNEQFIIQQESL DILGNGKLFLNCVYNEQNKDPITNIAFVDVQVRESQIQFNYKYSLCRIMKQANVMKSIQI TDWGLIKDKEHFDISPYLTEQNTCYIIVQQQTWFWKTMIHSIIYRTLCNLVHLARSNCKS QDVSNPYFTSFIEKPPLEQTYTPEILDLYPFCYKESQKQKHIQNLFNDNPAISNYCIPEG IQIVQKSQTQFQNPNPVTYYQLMQSPKGDLIYIYCLRYYEQLNKKQAQKLKLENYSNFLI PKVMAFISYQNFISQFSQLLTYLYSIREDSQIEQHIERVIQYLKYAINLEKISLKLIIND QQFLFQKHMRYPTCQLKSIDYVMNNINLDNIIQLYTAVLTERKIRLCSSSIVNPGCVIEA LMTFIYPLQYQKLLICYLNYDNSQIIETPEPYIIGLLETLPQPACESEGYKFSLDCNVAF HVSPPPEFPLIDKLRRKLEPFTKQEINEANAKKIKEIFLKINLKILDHAFDYDIKQRNWS ALFQKKKQSQYPIKSKFWEIFSRTQLLCSFIDNNQSNRQLASYFTYFNASFQQVNENNNS KIFADIKTININDQPNPLLPAKKKQQFFPYLTPYYYIRNKQTALQTQVYRIWLQIIHMTQ LDSKEFYELAIYLKKEIPYIEDFVQQKEHVQLHQYDDLNDQQFLFQNECPHCKRQISIEE ILHKIPPTYLRQTIRCSKRKGCGKQFTPKMTIFNIGEVDILNIHQLHFQLDSLKVFEPKF FKSTEFWNLIFYFRLFKLPIPFINESEEASYCFKQKESRLKGNNNIGLSENLKALQTKKV VQTKIIQYGECSSRK >CAK83997 pep:novel supercontig:GCA_000165425.1:CT868492:95284:95935:-1 gene:GSPATT00039629001 transcript:CAK83997 MLDQCQYFTQEQSHQFLGQRIEKRMYPRQYRAKNRLLSQLLGKIEIKPMPFEIMSITNMS NASKDCAESDNIQDNLKLFDDQEKTEVPHTELQEKARQCCNLLECSKEDIQDVGELSQLF GQIIEILQQSKQKNLYFITPYNFEVENKQRRLMYNSEALTNLIKRLKAGRLQTQTKSLFR SRIQTQSIGKQIQKTELNEQLSVQALNLLNN >CAK83998 pep:novel supercontig:GCA_000165425.1:CT868492:96194:100353:1 gene:GSPATT00039630001 transcript:CAK83998 MILSLLILQQYFRYTNTKCTTLNDEHHFELSIGERFDLNMNDNFIGSNLRFSLVGSHSRQ FTVQQRLHFVAIQPLMEDYVIIKQWGELLALLRGTQQQYYIQYGMCDFQQAPAFENIIQL LPRGNCVDLIQDTLSSFVVLCVEQTLYYLQYVQVDGQIQEFQYQMKQKPKKFMINMVNGV VIVIQVADECIIDTYYQQKAGMQLNAQTLSQLTMQQVENFSINDITVKADQIIIAHQISH MIYLTIQIQNDQPIFTLVKLGRFQFDLLKISAYLDNYLNLIVVALIPDNRLYFIETNKQY QLDSQINLKKANLQASSTYCSIHDGKSLIIYKFSDGLKTLMFQEDLDLIQYIFNPDLNDL IIVQERQISRFILSDGYLILIPPKEIIKTPVEFSVVASSDLMECEARVSYIVTSSVNSQL LKPYSYPNPYPNIIFFPKFPSIYSLNQVSISGPNQKMLVTVKENDWQLINIITDYKKVIP ISGLNEKRLKLASINVEINKLIPTKIHFILQYYQNQQLYTEFLSCEFNKQQLKINCDKEY IIDLDNVITQDQIFYSYDLEDNEVFIYVVNKNEVIIYKWFVNQLILQNRVQLANESKQHI QSSISQIIQVNNLLVILRGEVVYLIQIFGDDQFRIDEAFLQQKNYLTEFKPSQVKVSQIW NLIFIVNGGDILVIQCYEICDLVNKIVIQNAMSLQIQIQMEKVLIVLAEIESELSLMEYL IKDPHYIVYQKKLQTYHFWLQKQMLNNYQDDEFYVFAYSPVLKQRVILIYKVFVELRDSL LTMINVGEDNQESLCSVSGINQKLMYIHMDKSDLIYLLTNDLRSTINVDNKSDSLFIHQL QVESSFFNIDYPLQQKYHQTIKFVDLKTIIYKNVDQIEFKITEDIQIPIDNSFFTGLITN LRAENAHLDIQKSVQLTENKRSFKSDVINTCQIDEITVILTHKTIEFMYSNFQDYFESLD ITDLKAELGNVFCSSHITKLIAFRYLNITGQYIQFIQCTQQKCSKQGNPQLMKEDEYIFS LMIINSTLITLSKDTKYNQALLNVYDIKRNEFQISLYQTEKIDKQRANQDFNIKYISMQS YQVNGIMIYKLFLTEMSNGLLYVDMAQEKYMQIKSNVINLNLQTLLQSNGLFEVDDQIYI STLSTQDPKDFHIEGYTEFYLLIITANSLHYGLIVQFKGSKLSETSIAYLLHTHQLWNVV TFSQIKQSYLALGYIVPDKQELMVAIYKIPANISTNLKSSPVLVHGAINIQLDRNLNQLI FLLENEGQDVLLYFKDKDPNIIYQAKIYNSSYLIINSSVKNHEFTLVASNYYYENSIQIV ILNQVKKGGFGVMVLLILVGCIIVLGLLAYLFFRIRSKDQVVNTITLLE >CAK83999 pep:novel supercontig:GCA_000165425.1:CT868492:100753:104568:1 gene:GSPATT00039631001 transcript:CAK83999 MKNGFYHENSRALLFKKYSIYQVRYPTIDQVFYSTIQKCQFVRVIRNNVILLASDTRLWI AKNNEYYFVDGQILDIEVENGFILVTTSKKVVILYYDYTLKDTGTVIDSRLLNSLLDTYN NYSIIQTKYALNDLLLLDSTKGVIALRVKSINVFEFDSIYSSPLEANYYSFSVLKDLNSY ILAISFNTNQLIVYNRHQIDLQQWSIQDQALQKTRMEFIGEFGRQQFLCLFNSTSLLIHQ INPSYIIKTITFESPMIVTFNAYKSEIYLVQETQQQSFFISTGLLIMKLNNQANYELQSL LLIATELNQCAVTINYVIYNYLDNKLYKKNNLQHQIKQLINYPTDPQVPIFQEIGVSGSN IKAQIELQDESISLKLGYMEDVKIKGIVWSDLNDQPNDSVYVDICEVDQYVYYLVQSKTL KATLYQCSISNPYNELNCQKVHQFYPNRILSKDSFQWIHDNYVIFGYISKFQHTLELYKL SQSIVQDMFTVATSLKDEQSEITSFTLSKTHVFVVQSRKQQIDIISIVSQQVIDTITTFD VPSYHFNPKTVQIQSNLLIITGNQNIIIGYFFNSFRLLDCITFSDSESLAVGVTNYSFFV AIDYGYKQEIREYSTRMWKINLLKIIPLFHYILHNPFQILSQMNLVLLKAYTPVLQQTVL LIYQPEVELRECLVTSAPLGWYAENELNLGVTAFHQSGLLIHAGSQKYFKNFFVAKDFQA VITSKYDSNYIHRKELNISYLTIDSPGVIEQVQNVTFLNLMTNIEAVQIRGELYLESKIV QIPNDWFKGLVIDFKIECQQCNDQIILTPKVHQISNVHHYEDILGYCQLNETLQVILTDT SLIFVDHQHYVKHQRFFFDFENSYTPQNIWCRDTIILITGSADVFWTSFIAMVIREGDSF RLVDQPVVIKIFKKILQLEILEHFNFILLQANDEDDQSNLCTWHINYASPNFLSFSKMSC IDKSKHPNFLVRSFITYSFGNLVRVFMNELNFGIYVQDFQYQNDFIRSLIILNDVIRISP KSNLEALLGEKINEGITYHKILKCGDEANKNYQIFNVILLTREIAHLMMIVQFEDELFKE IIITRLIQRYSDFKLMFQSSVAKDYLALAYKKESTHQYSICLYKLDTKSDIILQFGGIEI VESHNQFFSLLYDENDQLLLQLQLSNKNLSLYKVEEFATLTFLEEAHEQQLVLTASNLVS SASMIVQAYEIVQTSSMTQEFMLCIRYWILLILLLLAITILSLMFFVIYWKNRQKKQRKK MSLQQQSLILK >CAK84000 pep:novel supercontig:GCA_000165425.1:CT868492:104579:108212:-1 gene:GSPATT00039632001 transcript:CAK84000 MQQEIEVQSPDHLNKSQSNSKSKLNSQGSSQNLNSPKDNKSNEILSKEHSRNQSLEKGHQ DGEQNEELIEHQEMQQEQDVVSQQVVQPGQEQEQDQNKKDLAKKKRQRISILNNAHRAFK GKEQKVSQTSFTSFSPDSTPDPISNNRQSKFQLSTQKQLEMEEQEKKDENLRVKLIEWLK TEFMFKQIHPFDLFTALDDPNAEFLLRQSELEQAFKYVNIEIGEDNYRIINKYYKVKDGL STLKLCYHIVDDQPELMFMALNHIIIKYRILVDIFFDEITQSEKLFTADSLKLLNKEWEW GFEEKQMSVVHNLMTDYGNSSMNFQLFKQKLQQSPQAVDSSLNLEMYQSLVNLIIQDDSV MTFSLLLQDQDQASSGEVALYIFIDILSMYIDRALSKIEMNAIRIFLQVEKDEEVVAYNK LLTDALKIIENQKTLRLQQTAKIQKFQLQLMLLYFNSIDNLSQLQEQYFKEKNKISKDHF IKQTIQVTNGKVDKESANAIFQMIQESKLDQKSFNITDLEFDQFIKKKKHELSISDKTDQ INSPLNQEQFKELYELQRNLNKKSLNRLSAQIIMSPKSSDQSNQNSPDKLDLKQVQGLLS KQSQQKEVVQEQQIKQEEKAQISTQQLKMDIYQYFEDLDTIQLKYLTQQQMFFGLLKLGI LIKGQTLMQQKSYDYGGFEKFVLLQLKTFYNSQKLIYLLKQEFDQYKEAETEVVNMQQLK QLFEKVNLNVKFEDVIFIYQTLDRERSGYINLEDFFYLIHQHPLIEYRLNELLFRMKGYY ENSLRAQRIFYQKMPFNYIRPFSIKANPPSSSFRFKPDVLGKFQVEQKGKAWNVYKVKIL SGEGIPSPEDKKSCFRREVVVGIYDKFYKEFVENTVIVKAKYNEENEDIWEFPSRNRSNG FFLNCEKKHNDLHLILLFELISYYLENGVVQKVSCGFVEIPFSHIIQQGTFDLPLKGGII EQEIAINRGDVRCKRGGFASLAAKIKGEIIPHLTISSAKRSRRPALLIELETLPPLCLCH RNMTQIVYAYKRFARDILEEEGEVYIQSLNMFLFSLDCPYINNRICRLWINTIKGKMIGK EKSVFSILIGQLQKLMKMKAFSFNPYSPQEIFPPEVGKQKKDIVKSVLLNTEFLIDSSFE NKLNLPGDKILEEQIQKFGEMQEQGIFDNGQNPFDPYKNGLNLKGYEPYVEQII >CAK84001 pep:novel supercontig:GCA_000165425.1:CT868492:108242:112207:-1 gene:GSPATT00039633001 transcript:CAK84001 MKKIYCFLSLITILVNACSYLQNEAMQIISTPNEVINLQLGSIFKLKQYNKITLYSDDDK FAIIKPIEQTEKLKANTLQDSKVVSYKLYKTKNSRDPLHSMAILVQLKESYQVEFNSNIY NTLPSINSVTERVDQPNSICYDLVQVDSIFIVDCQKGDQDYFSIGQDTYIPIQKAKNQQR KLDQMDNYILRSTVNELELYIYEENTVQLLKILNQATLIQLLKKDTFKLEIRDFKTHSNG QISILNSQGELIIIEYHRQEDQWVLINNIDTKTQNAIAYDFDIESNQLVVIQEQNLYFKS KTSQEYMASITLEEKNSVYLTQNYILLSRSDSLVLYNQKLEKLYSMLLDQSKYKLISNPN LDSILALDDTDIYRYTINSDYYLRFRSDQLQEEYSQVQIAEEYNLPKCYVTIYYRVVPID TLKMYLTQSSSALFAYGVNLDEQTAKFQPACTGPNLKFEFYNSDILKIEVKNKKDDIQTN LDSTDVIYRKAISDLDSKYAYLIQQKQNLEIEGYKCENHPEQGFGCNILFQKINFPKLED SQKQIWWISKDGLYFANLNDKQVKVNFLPFDQKQFQEFQIIELQTQGKTISTDGSNLFIL IEKDVEIYSINTQGWKKLSVGLKDVNQIFTSPYKQDLLFAYDNVKLSLYSIQYQKLTLIW FIQLESQAPISVAITNGHFSILKKQQDLYGILTYNIQNIGDIYSQRSHSLYHHAQNDITL FDSNYFNEQLYVIGTHEKTAKKAILIYKANETSLNSQFMILDTQSAQISSSNNFVFITET EANSQKFYIYFIDGNYFVRTSINTQLKQQVYNKGIKLKGKISNTDYYSTIEEVPIQLINR GVDLFSTEKEMNFTYVKDGDNAHCFNIGQSWYSGQAFDIDLKQPSGDVKLQKTLVKQEDS LQNSESIMQFDDQNLIQLFKNKIVLVSKADFKTTEFELDNQYSFINILYIQKDLIYVQAT QNQQYHVKIIQYKDSKFSLLTGSITFNYYIKKAFQNQDRFFIWILNYVIAYDTKDDPTNF NEFKLILRVFPFQYPQSIEIQHIKHYVYYFYCIGESASFELIAYDVAQTTQKNFFQTFNL AASIKGQGMFIPLDFKCTGLVMNENLIVITFNNSISYAYEYKVDCSTNELCSLSIFKYKN SYQQYGSWSLNNEYQSQLLRENILALVYQSEKNHELLFYDLNDDTNKTGPLSAIAYVKSQ NLQDQKTVQSFVYQHKGQLHVLSSAENKDKLEHHILRRSTQLCIEMDSVQEDVQTLLKNS QQEAITNLRLNIELIEPEPSHFKVWIILVIVVVFVILGISVIIYCQKKRRRQGKQKLLTE V >CAK84002 pep:novel supercontig:GCA_000165425.1:CT868492:112774:116718:-1 gene:GSPATT00039634001 transcript:CAK84002 MSYGCSVLANNTFYITATPGERIDIKLGDIFRDKNKKQFTLSPLSEFFSISAPLSLIQQS QYNFQEPREVIAQKLYKSSGYLDITNQITILSKAQNKYFIEYNSVMFENTPEIDVVQQVN LKDASICYDALQVNQLYVIECNNVEGDYFALLNKDQVKFMTIINSQRQQRKLDQLDNLVL RNLYYSLELYQLQDNELVLLSTLDKEQVKKITKDENIDLLILDFKFHTNQQITILNQNGQ FICLKYMIQQKQWELYEFLQTPTFLPYAYDYSWKYQQLVIISEKDIYLRQISAWTQQEDL NFKQTSRVSLSQNNIIIQNQKSLQILNLNLKERQSVDIKNQGFLNSYPAADQFLLFDLQN FYAYTINHEGDQILRFQSNQLINEDQLLNIYQHTSYNLCLVWCYYKVVEKASKDIYQQLT VTNSFFKGGFVLDQQSQLQFTQPFAGQNIKIEFTPNEFGTVSFSRSKKVEILNAGDPKDV IYRKIIPEAGQTSFIEQDKDLKITGYLCSLADKQFQCRTFIKTHEFVKLQDSPLQTWFAK FYTYLAIGKEKNVELYCNCNDLFQISLLTTLTLNSNIKEIKQSLSYLLIMVDQEVLVYQI IIGKQRLVFRHSAEKIFTSANSDRIWIYEKKTLNLFDISDNSKLLWFTSLKDYEDVEIGV SENHFLLLTKRDTQYQAFVYDYQSLKHAYITKELDLSGYTQLKLCLGCNSNSNFIYLEAQ KNEDRVLLVYRLDQIAINSQFIEYSILASSQISSNEQTLFITNANFQMLSQYIIQKDSSS ISIEVDKKYKQIKNCEIIKLNAKVFNSEKTQEIKELPISVINRGIDLFVVENEFNFKYEK AGENGHCFDLGQSWYSGQALDIDLKQPSGDVQLQKTLVKQENSIEYSESIMQFDDQNLIQ LFKNKIVLVSKADFKTTEFELDNKYSFINVLYIQKDLIYVQATQNQQYHLKIIQYKDSKF SLLTGSITFNAQIKKVSQLNKYFFIWVDLKVQIYNTNDELSNLQKYAFVQNISIPPYDGS MEILYVQSNDVYQILFLNESCLLLLQSFQITQTQNGPYQSLIDIRNVLSEKLLYDPQNQI CRNSFFANNELVVVISGTPSYKFGLVTKCQEQNICDIIELNLISEYQQYGDSVIDTKYPS SYLNENILSIIYQLDDGYDVLLYDLKSQNNKIGPKLAIAHFLSKSKQRPTISFVYSSNEQ LHLLTSVDNEVKLQHYILRRSPQICTEKDSVTQNVIFLLKNYYQESTINVLVKISPNMPP NPPGPDPDSDVKKGFPLWATLTIIGCVILLGGIGITIWYCKKKKVSDDQYETIP >CAK84003 pep:novel supercontig:GCA_000165425.1:CT868492:117904:122116:-1 gene:GSPATT00039635001 transcript:CAK84003 MLLLISIILRHIQSCSNYFRNPLDILKSELEISISTYENMYWEIKDELIEGEMTKFRLEG DRSGTYFKLQQPNDIYDQKNFPYFVEIQETIKARSYRKGDFWLNEYSLLGRDSSGLHIYI INDEGFGQLPLYHVCTDFDYLDQNNFVVVCQDNDEILLQIINKSGTILHAHSKLTEQRST YNLAIYRDKSITYLLIYTPAHTEETMSIQSIINVFLIDSYQIIETPYYLDKKKLSELFQN ELKYFSVIDVQVYSNNVFVLDYKMGPIKLIQDNKGNFTQAKLITTSLYITEYYSFSIRKQ EELIVFGFVSKNQMSLRVLKNGRYDNVVRTFEKVDFTLGICNVQTTPKYYLINSDTELII LNDDELVDKFQIQKEIFIANPNFDLYILFHRNKAEFYEISSGILMFQKQIQKQENQQYFK LVDNQCTSCYVNIVLTIYDKLDAKIHTNFQSTFYKQSIFYYPPYPKSYYTWPRITNGPNV QQQITVSNDQKKKKIDMKFLSLSPMTITGISQFDQKNVIFSLINNNLGLNQYLVQIENLS AFIYVCQMNELEQKCSQKITFYPLIKLVEDNIAWTEVGMYDSYIGIKTQNNHVITIYRVG DTKIIQIFQIKTSLQNEKTQITDFEISSQFVFVLISGMNEIQVYSLSKKTQIDTISNLNN AQKVYISNTFNKNLIFIKCNNIIIIGHYINEFNVISQIQVTDQQNVQLLLSIFRSTLVVV FKTVTEQGILQYLIHNLNSVTLLRKLPLYYYQLPKQLQLASNPQKNLILVTAYSVQTQTT VILVYQINEYQRNSLLTVIDTFDYFEDELNYCVAGIYDTVIQFIYKRQVYSYLYFEQPRL YINYLTDPTHFQSVLILSQQEWNDYYSLKRVYSKEITVVDMQLEMQWIKENIDFEFEECQ EVSMIKIKDNWIQGQRIQYKLSCILCTTDNDSGQIYLMNQFQKSNKKIQSKSIQQFINFN ENFLLILSELTGTKQYLQFINNFGEIENQIELQSEQNNYVATKLFLLTDYILVVLYENVA AQKPILKYYYCWKDQEKQNCKFMGKMEFYDRRIIKEMSFLNNVQIILTESLTEFPTLYFY SLEINNKDFSIKPYDLIINKDYFHQSFNVTSFDVMKISDNEGCLILAEFHFGIILLKMIL TKDQASISKYEYLELPFRQEKLVEPLENRFIKIQIFKEGINSCKLILVDQINESYQIFLE YQRGNFRIQIIQVFLKLGNILKMRSISVVDDYLLQLFKYENKNYFCLYEIDQNSDIRNNA GICKAGLKQSDYDLLVTSNFVLRKSQFGKYQLLINAYDERSLQIYTIDSDARIVVKNASE LKNNTVSLIAVNPYKQVQLGINLTLIPCPPPDQEMKLFFILLIVVLGVLLAGIIICVSIH ICINKHRKRRRSYKAIQ >CAK84004 pep:novel supercontig:GCA_000165425.1:CT868492:122602:126986:-1 gene:GSPATT00039636001 transcript:CAK84004 MTSKILILIVIAVAASEQLKLQPCDTKLSTLKVFPSTGETLEWDLKDTFFEGAYLTYSLS PSQSLFQIQKPFAQLSSPKPHSETINRVTASRALTEKGQRVWMNHFVFIEVELDSIDLFY SEGAQGDNTNPPQFKQKISVSTGSSYLSCLGVDYLSKDKFILDCIDSQKLPFTNKFFVID KNGNSKIHQNGNYNNYRVNTKRISQTIVFTNKLGHDHTFLFRSTPAYATQSTGLDVSSLL EVFYIDSEGTPRLQSVLDAPTLGVITEVSNPEKMSFSLIDFKVFPNGLVYILTARDGVYI LEFLGNGEFGFIDRIVTSKDQAYEFDVDYLLQEDGTVKEVIAIMYNDYIQIVEGKVPVNT YILEFTAAYPATLVISQQFLIIQQKGVTYLFSTEHEDFIHKEVIEGAKGYIINPYETELI SITQVDSRRYSLTHGLLRFPSTSTTTSSLQSFTLVATDSFSTQCKVTINFKVFNALDYTV QPTEELQLPSLLYDIPAPFNVDPLASGPNLNYLQLLSQKDATTVTAKIVQLQEMLLESIS LPNPSSVIYSDVLVLDAKDERYALLLQSTNKTTTIYQCYIRDKFKARSECKQYQNFDVST QLNMNNFIWWYQGFNIYHLVLDNDYSATIRVSGINGTSVVDSISYPAETLNKITAVTVLK DKFYFILGNKKQIDIRSTYSPFELEYSVTEVMLRYRGFTGSWNPQRIFGNRVHNSYLLFI TNDDNVIVADYHAEFTIVKVITLSKGSIDVAVGLESFFIILKSATQNLIQEYDLQNLNSI HLMKTVPLYWYTIQTPLQTDFCSDTGVLFVRAYDSKSAQTVVLVYGPGKLLRDSLLKAIP LGSKIADGTSIMMSTAGSSQMVFYVNSGTLQKVYSYFDQPVLTIQPNYDQSTYFSVYTIK FQINNYNDITPITYYQVINLINTQITIRIEQNVLDYRPLNATQTEQNIDLVSDWFDGQAV SLDIDCDQCDSYVKPIQTVQQMDSGSFNGYHIIDAAPYKGQRTIYLANQQTLRVLIADSE NKEETSYSISLSTTQSHSCDHIVATEDGVYIFATCQLNNQKVFHIAKCSTTGQLECHQFG TIQTIDGIFTVAQLAISGNYVFVLNSNPQRPRNYEGTLNVYKWTASSQQVTFDLQQIFDK AFFGNQSSYFSSFYIAPFKVGITNYLKVLIVDAKQNLYSVDASISTFGVITWQTKYTSLN LYTFINQGQFVSSSSSFYQVLELSAPVYSSTEQSLKLRVLVTTDTSAHYGILLTFDAKSV STGLPLTYQNVIYLLNQYSTWTALNKATVGNDYAIIAYTDNSQLLLASYLLPKEPILGVP LIKLVGGTQSKYGYPQVNDFLAYIPKNIGFPRLYANIIRNVDINENLLQTYYLNPTYQLY VYNVGGDLNQQFVSLTLVNAFTQDTGLVLLRQFKAEEIEEEKSFLDNVLDVFW >CAK84005 pep:novel supercontig:GCA_000165425.1:CT868492:127102:128483:1 gene:GSPATT00039637001 transcript:CAK84005 MIQAHMYILALLPIMVLSTCTTVELQDHVYPTVKETFKWDIRRFIQGDNLKYQLDVTTTL FQLEQPLHKLDEKNHFAGNKNIHHLAQIDIIAIRAFDIPETGAWTNDFAFMGQDPEDYSW WIYYSEGKQTQMNVAPKFDKEIQFINDNRLIKCLDLEYIDRDTFLVDCIEPQPQTEEGRV PGKNYVYIIKKGDPPKIISESTYSRKYLTVNDRKVQYHVYNAQNIGDGLNDEEPLRILLR GQYAYGTPGSSPTNLDGDCIIDLLKNNDKNEIVETAQHLDRGLLIQDLAIKAEDQEKFKF TLIDFKVMPNGDIYILDAYAGIYIYYINSKSEFKYKGKIDIGDGATNPAFAFDVNNKIDY EGTNHIHIAVVHQKSVVEYIDGVQKGGWLNAFEAKHPSFIFASQQFLIVNPGGNTFYIYN SDHQFLIHTETLLTKIYLAQSL >CAK84006 pep:novel supercontig:GCA_000165425.1:CT868492:128707:131749:1 gene:GSPATT00039638001 transcript:CAK84006 MQYPSDAIEVQEFAAGPNLIYTNGDDSQKDHVVVDIHTVWELNVTGLTFPTVTDVAYADI LIDPHWVNEHKFWFLYQLQSSKQVEIFECTTQTKLSRDVHCEEHAKFKIPNLLNPSTSQF DWDAEEGDVLTLQFLENDYQITIFESTHDSSAPLFSLPQPPLDQIPENKISSFTVLRKAI YIVMQNKKEVDAWFGFFPTPTKHVISSKTIKDQGSDRIFEPKKVFGNPALKSEFVLIQSK DCIFFGDMRNTFTLIYVFDIIPDAEIRAYLGMRTIFIVQKSETQGFKIQEYNYEKLNNIY LMKELPLYDYVIQSPLTTDFCYQTGFLFVRALDPASQETVILVYESNVLYQLSLHKVVKT HLKINDGQVMNMAAAGHDQMYMYVNNQQNVQKMVGFLRDALVNLQPSHLSNQYITNLVAT VNITNQAVTKPMSIKYPVKYINTQTYIQVNQEELAKQNAEFVFTNQAADQYLQINTTGWQ AGQVIKYEALCDQCESHLIEMVNPVYHINDGGDYQFHFVDGIAAGNQMIFQTADSIIIQD ASGAWERHKLPIGVGTDCISITATDDGKAVFSGCEERGVVNIYLSICNGKTCTAATPALQ QVAQGAKHPSKLLYKDGILFILDNYNDRPTLYDGTVRVHKVSLNVEAKTWDLDAGKVINS QFLSTEPTIPFQASDFDVIKYEVGNVNYYKLLLSSALKRLWFVDLYWDNGTIKFGQHDKF EIYNLIGKNLAIQEVTRFYQIRAIKSTKKDKKLLTTALVSTTNMATYGVTFTFDISDPVK GAPVESDGTIPFLLANYGTWKQLNKLTLFEDHVAIAYTNDKSILVAVYVLPTVDHYALQE GEPKVITLIGGEEDEQTTQISTQFVMTLSKDAALARPVLHTNLVYNIAQKENMLVKYGVT DFPRLHIQKGDQIKEQVVSIFAKNDYGQAKGVIRLTKKDPPNPNPPKPDDDSKGSSNWWW ITLIIVFGVAILAVGGYFVYAKYFKSSNTPLHVQSY >CAK84007 pep:novel supercontig:GCA_000165425.1:CT868492:132642:133415:-1 gene:GSPATT00039639001 transcript:CAK84007 MDMLRLSASQVDFEYNKLDNMHSSITLYNDTHQPVAYKFKATNLNIFLVKPPLGIVQPRQ SQLVTIILNSKVLETNSIKDFNEKLQLITTILPQGVQDAGPIFLDKMRKFEKQKINIAIL TQDRKIVAQSQGNQSQMFHSVNKQFGQVEPTRVIATPTADKAKIEAEYFCNKFEVLQQEV TKKEQELQDLQKFNNGLSEKANIKSKSGKLDKLIFLLSLLVSFFLSFHYQNHILQAINL >CAK84008 pep:novel supercontig:GCA_000165425.1:CT868492:133562:139112:-1 gene:GSPATT00039640001 transcript:CAK84008 MAQQKKDEEEIKTYKMIEGDYSVQIRVLEANDLIPTISGFAGIGLLSSKGTACNAFVELT VCGQVKRTKVVKNQLSPIFNQLISFKLNDMKKAQLSSATITVAAIDRKNPLLGNKIIGSY ELDLSSVYFSLHHELYRTYLALSDPTDEREGTMGYVLCNIMVLGPNDEPFVHDVATEKKA DATKGATLTPKKIQQWPHCIRINLLKGEHMVPLDMTAAEIDAYVVVKYGGSKIKSKVVTS RNPEWYQQLNLACMLPNQSKFVDISVYDHDLLGDDDLVGTFQLPFKSIPEFESQPMWVNL YGAPLRGESKKADMMNLFGMTMGSHYRGRICFTICRFDQRDAKTFKEDLIYRFPENPMPV PEQKGYILRVDLLEGHEFPIRKQSIIQVKMGPYLLVSQPATVQDGSCMFYENLPDKKVLF PKTVTEIPDIIIYMADDNIEDRRHSFIRLKPKDYLDIPLRPQIFKMTEDRSLDLVKDDEF PGFLFANIQLMSNMPGKREPYKPNAQLKLDYQLRVYLYLGRNIPPADATGTSDPLVKIRC AGQIVQSKACTQTLNPGWYETLKLDVKLYPFDQEVIVPSGMFVMVFDQDLDEDNKASYDL IGRIWITLEPQKMQFQTDSEIVDMFYKKPKWYNIRYDATDEVQGQILLAYSIIPKEKAHL IPDESIYPNCAKQDLNLFCIGLRNFEDMVSNITPSNVSVSFDISGDDYDPIYTDYKKVKE KGVNINRLIPISVDVPKNQHFSPVIDCYVWNQELPDKPKLLGVQTIQLSRILAEYYTKLK LKPGEQMPVEFDESDEEENELMKKLEEKQKPLGLAQIKEANANEEDEPFDLDKIDLNIPQ ELPFKKRVVDDYEYQEYVRKQEEDYQRIKEEEEDLLKQSQIGESNVQDLMNLQDDVPVYR PTTFGGGGLQIGQEAPNLQQSHFSNQSNIIIEQFPKEEHAPEEQIPVRVTRPMDNDNIGE NNPVQSNNNIGENNQIQSRNNLQSHQSLRQSQSQNERVQNNDQASQNQQQQQRDQRSISQ QQVGQQPVSQSKRGSQQQEVIEQRNQSISQQQRRESQQQANVVNEQQPQQSRRSSQQQQL LQKQQSQQQSQQQIEQQQRQQSQQQIEQQQEIQLQKQQSRRQSEQQQPRSKQQSIQRNDD LQQRQQSQQQQLEKQQSNKLGQSQDFQQRSPSIPISPIQNRNGYSQGSQQQIEDFNFQPN LEESRIVPSEPKSKTMNDQQQMKIGQRVIQPPIQGSQRSIRMLSQVDQRVVFEAEQHVKN QVELSRRQSQQIQEVQAVQPQANLSDQLQQLSQQQQPLKTLQVPTDLSFSKASKVSSKAL SVNSGPKDNMDAVKKPKQKKKMMSKGSKKAKLFGFAALLPKKKKTTDIETYEDFDTDDGE DLDVTPEWLKGRKFLPDDLEDKMKTSKKFLKYTIMTGQSRGKDTQFLTDKNGPSRVCDFK CLFLEVKPGQDIEKKKEMYIKMMRPKQYVCRLYILSGIDIQSGGDEPPDLYIKIRTATKE HDLKMLSLRQSTNNPQFYFPFELQMEVPGSAIIEVEIWSAQSIGDELVGTSLIDLEDRYF SVMWHQFEKKPIENRNIKDDFGSSVGRLQLWIDLVEKAYAKKDPMIKITAPPRYQFELRV IIFETRNCVFKDELEKCNDLYCKAGIANQELQETDIHWRCRAKGSFNWRIKQKVTYPALP DEFGTDKFKIQLWDKDIVGSDELIGETTFNLNTHKMIDKAVKRLQATSLTQRIREKGGVI TDRLWLDVYHPDAVDEEGQKISQGQVLCSFELMPLTEAEKKPNGFGRDPPNVFPPLNEPT GRLSFDITNPLGFIKEIMGSILI >CAK84009 pep:novel supercontig:GCA_000165425.1:CT868492:139148:140338:-1 gene:GSPATT00039641001 transcript:CAK84009 MNFIEQFQKIKCTKHPQAPISNICLNQECKEEQYFCQNCIKLHLTHSTHIIYMKQIDKLL KRHTNVNEIIQDKQGLEAFNVFRSFKTAIEDRLNQLEDELRLLILKLVNDQKMNDQGYKF LQSLNQFNEQDIKELRLFLINQQQNIQNNQINLKEKESKQQLVQLTSYLQDKIPNIQKSM IDQLDCVNSTFYTKTERVERLRKVWIADDGQSKPSIFDKSTIRANVFQVREKQLYLIGIF QPILYKGSYNSTNYDSQVKTPKLIYKLHEDTNLTKYIFKQHKVLEHDKLQVVDGHLYFIE FRNPIKLLPNKTYTISISTKEAKLFQTYHYSIPVIDHPLIKWQTEDLTDSDIFTKGPYVQ HIYSYANIDQIPSLVVKT >CAK84010 pep:novel supercontig:GCA_000165425.1:CT868492:140363:140945:-1 gene:GSPATT00039642001 transcript:CAK84010 MDEEFKLKYGFAALQKQVSEKEKDKDKAIFKLWNGMNLDELGMSVQDNKLPGQPTKIISP FIDDNATQPDPLSKPHDWIKQKLMPRQNSVNRKKEMFSQFSEETNFYIFYNVMDEEQQIW AVENLYTRGWRYNMRKEQWFKDLQLINKNLYSGKYFKFINRYARVRFVYD >CAK84011 pep:novel supercontig:GCA_000165425.1:CT868492:141391:141870:-1 gene:GSPATT00039643001 transcript:CAK84011 MYPQLQKEELVEFRNYIREVTSAKINKTTDKTPKRNNAQKQLEFILKSTQLRQTVQKPEN TQQLSRLNYESSSYIPRSSSQPFVQFDSNCWKETQNSFSKQQTAYVSVSDMLRITNGFNQ MNKKDISALNSNYLHSLHELDLTITALLKRID >CAK84012 pep:novel supercontig:GCA_000165425.1:CT868492:141914:143314:-1 gene:GSPATT00039644001 transcript:CAK84012 MLQNKTNMKPVLPKLKIQSQSKQLLSSPQRSKFNDERKLEAIVEKRNFQKQLIDLVTTTL QDDAQQSIQNSDFSRNDLLKHYTQLPSKPTLLFEKALKGLHPLQQSEYRCKELKKLEKKV QVMNERNPLQDSWRSPFLSQPRLYKSSRTDEATISQEIEQNAQNVVLINENLKGFGPRLR TYLDYDKNTRFERQKGNPKYLYRENLVRLIKNNTTKELDLVKEWNILIGYDQLVFSAGFK RAEMRLQQFGTISSISHYQSIQDFHKKKLKQTNNQPTPNSQISPQFVVKNSRQSNSTDPI DFGKSNQVLLDVSEVEKVQDDISIKKDTFLTYCQVFWDLIRKEDEIVERVVWAFCKSDSM TFTSFKHFYKLIVFQEGTFEEYMKFTFDFFMGSERNEIPFTEIQGLLRLLAQRIDGKDHA LSDQILMDIVSQIHRPQAISKETLQQLIFEDKIPPTVIVKMVYEQA >CAK84013 pep:novel supercontig:GCA_000165425.1:CT868492:143567:156546:-1 gene:GSPATT00039645001 transcript:CAK84013 MGCINQKNKTIKLDRQQAYITIWNPNINVQQEISTDRIPAVDQSHSKFQGSNPNNIFLNR SKKSHLKKATQILNINKDNQLKKKIETFKQYYLRINQFWQSHQKELDEIMLHIQQKTITL KFLLIKNEQLQMAPLDILFVNTNEGKSIIELILNKVDNYDIITQLLLDFNLLEAHLQQIA IPLFLKLKYLNTQIKVEKAIEFLKATQKTKCIEVILTSSQEQRKIKIIQNFTNIFSYIDQ QNKWHLKFFKILCQVIKGVKTFEKKYEEYLQASAEFKLKNLIISKKIKKGHFQEQLKMHS TLGELQFNIFHQVAQNKKWDIFVQYSNSLIQNPNNAKLTPFMIFFQRAPVQIILDYIQQF PQQLAKGIEYSTPQGLNLLHCFCLNKNLPTKIDKTCQEILNLIKKSKDFKNLLLQPYQDQ IPLVFYINSHKIVDQTILNFLSESLRKDFDFYRLDTLAQILGEQLKFRKIKQRKPIKELK RMKKQPFCQVQNELNIELSQELQEQYHLYYLHYIQLMKAVIKQKFSIQFSHLNEFFTFTS HFPHDLGTAHHSFLQIILQTQNDDFILQQLKTFKFINYLQAQKKELDKFKIQLPEDYSLD AQLLAKLIYLKQDIKDESSLLQKEIDQIILEQFRRDPKMLWAKIYGNSKSKQEGLTTTLY QLIKQLFDAVFVKEVLQICIYNNLQNPSFDVMKEFVFQELLLRNVTKQEVELRNFCDSFP RSIEFNETIKKMASNYTIKLYSWKLGIEKHQNQLQIGEFYLFIQHFKPKYIQNTIQQSND KNQGGVNQQITFQFLQALLQSEITIPLINRIIILNPELFIPKIWWLTQDSVPNKALLKFI STQRIYPIYITQPNINQLSLLFDWQMSGIQFQVMKFPKKQYLFHDLSIKSQLICYQQINR LPFVIALAIDDQFNADLTRFLQTQEVQKTKEVISQIQLHQMLQNSAKKNIQLFMDYFNLD AYSIIQILSLNHFSTTKLFDYTPSEIQEVNKFNFNHQVQQLMKYNFKYSNQVCPIFIFEN VNEKKIAKSKRKVTPYFVSVINYLDYESLNMIGKNLRIQIYERLSFQINLDLIHDEKVIE ILIEDMIELKRKEFGVEKIAGIFTLTFTKSINKNKNIAEILCRYLTKEELQYSKIFSVNL LYQLSLISNEICIQYLNKLKIQNYQFLQVYYYALNNQNIELLNHLINYYYENRLSTTYVY TYVHLSHNVKNYHIALFKKYYLVFSVLQYQLFYNTIQLSSQTISKHIQMFILFGYVEQLK FLIQQPNIQKEIQQSYFYYVLAKKMCIENEFEVEVNRFPEHLNNQQLQVQLLFMRKFKRT FQQHCILSLSEQKCSFNFHSQNINYLETFEILKQLMSTSKEKTAELEAYDELYSSIIDPN PKQIKLKNELVKYFCQLFMRTKSINQYVKNRYERYISLFNANSKSIEIIFDFRKPKQFSL NMIQEIMIEYIQQILKKKNINLEIYEKLAEYIFKYQFTNQKLVECLNLGLQNYNLTKFNN TTYYYAQRQVNLLPKAYYNFSQNKHFINRYNIIKSIMNIDALSLDKMTPNQVLLSKGRID HINLIKYSDANLMAALISNHFMTITKVLDISYNPKQLCLDYQLLHIILRFQNEKNIITFF EKYIYNYIKDIDKIMLCQDESILLSIVQKKQYQVFDIIIVQYLQKLINSKNLQQIVQQHL QMKVKQSQLMIYQLGICQKSYFIFDFLDGIIKANEIKQLVTTLDLNLAPMLFNQKQGDQK LNLFAKYYLNNLQILLIPTYRQELRPQKNQKRSYLKKKIKDKQIEQQIIDKDIELEDIKV DDIEDEEVEDEVKKDEVKNVEYKKQQDKQVQVLKKKDGILDKLKKAVKKVQIIGVWSQDK NKVKRTVQLDNFLDIEHACIYKLFGFNQMILKYLKNNFRFFSLFQSQPQDILQAIDTSKG HPKLLEYYLNSLPLTDLKANLDQIVRKCQQYYLIQNPIFYKSMLNFPEEFIKLLKPSDFN LEISLLLSVKLKQTQTLQKFIVNHLELQSSEVQNFRGIETLQQNYLFMMSLFLNNFSFPI LKDGIQNINIELLLKCQKFIEQYFEKQQTKISNDGLDYILGLLKKEITIGNKAKFEKLLN SQQTGQIIILGIIWIQQIEELVRFFFSKLPKWGIFAKEIEISFDNVPISFINEHYVLPLQ YNTDRFELDEILITDFIPVLKQLKYERVMEKETLRFQEFQEKWPQFNFKLLFKKIDFSQI PQYCFEHLDDFELNKDEQNCKPLVTEEISNKAQENKDNENQNDNNDKADSDDNGKVNSDD NDKAKNEDNKNNKIDNDNDQDNKKENDNYIDNEEDEDEDEDEVEDEDKVIEEDKKDNGKI KMKGMKLKMPGNKNPNPITNNRNKEKNQRQKSRYSKSIQKQIKIIPCGIYESIIFFKHLL EPLGDNQENYKISDLQVPHKNLDNDDKAVEQSTVIPKKEKGKNTVGKKQFKQNLKIVKAF LPMNKYKSRYTSEQTTIFIEFYHFNYPQSTDLFLQQLSRQEYLEQYNPKASLDFLIYKFK QYHDNLQELIKSEKYEKWTITIPYYIENEKFFFQEIQFTPYAIQFIFQKLLKFIHTVRSF SDFEIDSIQWRINSISVLQVLARGILNTYFADNLNIDIFTIIDFICQWKLLQMFLAQIIY RNIMSLPTITNLFSHIYVEFQEKPVSQYETQSDSNYFLDQIFQFQETEYQIYQKILIITL NVFIRKDKQLKKSIQYSKQFQRSQFNKSSIIKAAEIKFHLYNVINESDFFFDLFQPADLV GYLFNRLDIDTYTQLFSKKLGYFVNKDVSIIVNHTQLKQLYEEKIIEIKAITNRQIKVER QNQLQNELLRLKEFFMEKVSKSLFEQLKSQSFEQLFEVQLSILLQSIRQNNYLQQSTQQN YRRAIRSSKSKVLQKISKFSTYKIVSFQFGFQLTPTYFQQIAKPGDMCMVLFQQHGSMKL EPCQLISCQDSQLLYFYSLKDQTTALSVDDIDGKFRMNIQKGDQNDEAAKQQSPKKMNGS GKQGELLNLLFEDILSIIWVRSKWPIEQNFNNEILNILFIDQNGNILTCHEKKFQQKIAM IQQIENEQQKQQLLDNLFGQNLVSTYDKFALTQIIPIIPSQINYNFRFEDQQQSNHVQES NDIYITISNDDFKLEKLSIGNFNTACYFDSNQRLQKYIKTLNKFTQRIKEMSKIVIKIYF DDKLNKNPSFYLEQFFAHNNLFEIFELGFKEFCEEKQFPQIDNSLSYLFDVSSLTQVKER IFCQIVKQIEFHFELDQKDQNTQFSNGILKIYKFLDQKQPLKSDISFFISNLIVQYEKFG ITSLIIKDEQYEIQLQDNDSTLRMNSLASQNNKEEQHDILYQQDDSPYLMNNIANTILLT KYLFQLMQIKQLLRNDKKLTFLLQENYQEKYLYQIQRQEKQIVISYNLLKDFSLDEFLLD YLNNCVYQGQIKYEKLSVDSHLLNCQILQNLMILKENQYYPQLKKEEKNLFISKDFSKLQ VSEWRLEPKEQERGLLFFQCKGVFQAMITFKSQQKYIPLYQYFKQNINRQFFGQSFYFLV SGLNERIDLDTQLNAIQVKMQINKKNTKRSLNYYKRYINKLKVNTRLITTNIALVELIAQ GVDSVKIRSTTPELLISSLSNQNYTNSIIIQFDPEKTMQQNQNKLCHIGILIESNKENEI YKPISFSQKKLVSLKEENRKIIDFLQKGIDQRPQIFKKSNLLQARYDLLDLQNNNTINTL TFNQISVASFCGQMRTDFIEFQDITSAQIACENALFVHSQYQQIQIFPKVYKETLGIKFK WQPIIKGIYNLFLGDQKIDGHFIVLANKVDYRRCEIILPEKIQTLTLYQTIKYIIKLRDH FNNIYGNIESKLNKMQPIVTTKSQFSESIVEINGPGKEGTITINIKFVQIDFIQHQPEDV TIKISLLDQLESECTFHLEGLTLEMRIIKFYQKLKFPQVFKELKIERVNFAKMVQKLADQ QLKCPLKITYIRSGEQGSDDGGLRREFYNKVGELMKSQSNGFFRLNAKSQTYFFSPKFQP DKEKEQYAIAFGKLIANSILNKEIIGVPFAHQFWKLIFNKPIVFEDLEGIMDDVEFKNIK SLRQMTKEDIDSLCITFTHSQGTHIINLIPNGYKIQVTIHNTERYLQKLADYLIVGKFQA ITQNIIEGFDTVLNRQLLMDCFDATEMHTLTVGSSEIKPEKVLELMIYINGSDQLIQFFQ KFINQLEDEQLQDFLQFLTGSPYLPWNAKPTIQIEFLETMNETHLPRSQTCFYKLTLPYY KTYEDLKKKMEKAIEYGQEGFGSY >CAK84014 pep:novel supercontig:GCA_000165425.1:CT868492:157117:161514:1 gene:GSPATT00039646001 transcript:CAK84014 MSKKSQIFEYKDDINGRVPVIFQWSFDFNFLVCGGDKSVIYVLDKRGKRLKDIQLPTNNK LLQLEWDKDGEYVCIVQDGLNHVNLWAPFTNNSQVIQVELDNPKAKVSYAKWSKSHGILA IGSDKGGLLFYTKKQAKKLPTMGKHSKKIISGDWNNEGYLITSGEDKFLTVSNYNSETMF ESIPVKAEAKNVVWSRSKTDSREQNQRTVTAIIQQKTIIMFELGKNHKMPDELMFENKYG KIVDYTIFGDGYLVIGFSEGYVAHISTHRKEIKDEVQSEKLLKSVDAMCTNDSLYKLAVA GDGCIKMKYQLRKLNYPMIVVVVWSQNGQILVVSTEKGCLFGFQTSIPTLTASYASMIAL QQSFTEISIYSTQEQSLNEISTVTLENEPNQISMSMQYFAAGLNNVVFYYKYLELKNKKA FKPAIQAIKMDYLGYVNQIILNDESAAILSNNVCTFHKIEQTGEYVKQQFPDNDQEKPIL HIGLTKNFLFLLDSQSKIRVYNVFEKQFIIEFKNDYSLTKIFPNISGTRSICINNKGQGF LFEASLELLTKISEFPEKTERIIWDQKDPNLFVTQVANDLTTYIVNKNNLQQEMVHPVLE YLSMEDLQKSSPPNPSVTEIEKGVQALSLTNGTLKCFTMSRNVMGQPLISHSYLKSYKGA DDNNEGHFRYFLQNLALFKFANAHKAALNLKNLKLFDTFGRKCLENLEFDVAQKAFQAAN NISMVMMIQSFKNETEKNLLFAYVAMILGQHDLAQDLFLKSSYRLGALELRSDIQDYVNA LSLAKKIAPEQEPFICKRLAIQIETQGNNPEAVKMYEMAMLNEKLHDSKTVETHNQQCVA GIARCSIKMGDILRGSSLSRQISDPLILQEIALVCENMKFQVEAAELYEQAGCIEKAATI YITQKMFKQAAPLMNKVKSPKLLILYAKAKESEGAFQEAENVYEKAEDWENVVRLNLNQL NNIERAKFILRNKCKTVTLASMVAQYCERRGSKAEAVEFLILAEKKEQAFALAQNYNEMD SYVEHMKAFSLEERLQVAQYFEGKNQLDKAAFHFEKAQDPMKALRLYQKAGEEFINDMID LVARNKQDSLIQHLSDYINGDTDDIPKDPIFSLKLQKAIGNLQAVGRIAITIAASEQECG KYKEAHQFLYETCDDLKSSGNQIPFDLYQKLMTLHSYTLVKKITKLEEHEDLAKLLDRVC KSISQFPNGATNILTMAVIEATKANFKSMAYQWAITLMKQEYRSQINEKFKTRIENIARK PVKEEVPDKKTPCPFCGEFVSEFTLDCPKCSNNIPFCIASAKHIVAEQCCFCPECKFPAN IQYFKRILEIEPICPLCQKQIRSQDLKEVSIMVRPQFQVSKEEVLKLKRKAAVDEKKQ >CAK84015 pep:novel supercontig:GCA_000165425.1:CT868492:161543:163332:1 gene:GSPATT00039647001 transcript:CAK84015 MSDSKTSPRNHGRSKTKTSENLQILFKKSSVANERLVVNKLGMKFDPVCWKKNHEKGRIQ FFCIVNLWHLKQESNCKADRRLACAHCIIEEHQEHISNKITVEQYCDSFESNFKSYLFQI AKLEEYYKKCKMLDLINQIDKDINTVLEIVKQQLRVLRDFYVQEIQIHAEQNNSSLISFY NMEKQTLSKIKDYAQKNLFEMTPPEMDHSMLLLGQNHLDNITLKIQQFGDQNSIVYSTLK SQWKLIYEQLEESLIDAIALISQNNLDFNQPTIKETSQEHSKSTNQQQQQDFQKLNLQDF KIQNKQMNQQYTQNYQQLPPQHSQDFQQIKKEVSKHSGNTIQQQQSNPPINQTNNQLQSN SPKASNEVQSYKQNHSISQHQVTSPLKSGVNIATFGNLGNANQKSFKKHMSQPKTNEVYA QSKTDQNNNSNAKSSSLVRQASANGVNYYYQSDGKHLEGRKNGYHIQTLNSSSQQKQQYQ FERRLSAPKIQNTHFNCNYNNMKCIHNDIVKTSPVFSCCNWAFPCYECHDMISTHKAHIT VPSQRFCLNCQEIFSVNLLSTVDVKCYKCQ >CAK84016 pep:novel supercontig:GCA_000165425.1:CT868492:163476:164097:1 gene:GSPATT00039648001 transcript:CAK84016 MQLVDNTSQEDAREKFAQIHEAYNILKQKKFYEQIDEEFKKNYYQGQSEEECFKRVFGFY FYEKPQEYYKPENAQKRADYYELLRKFKNQQQNTQEMQNSGFHDVKINRTFNSDSIYRYS DHTLLKVLIMFTLVVSITSAYVFFYKRQTTYAKNTITDSQIVRMRALAQLREQRQAKEKQ E >CAK84017 pep:novel supercontig:GCA_000165425.1:CT868492:164129:167832:-1 gene:GSPATT00039649001 transcript:CAK84017 MDRQLQTSQRIFTKAQVDEKVKYLLCVDMDSHQIFTINLKNHEICIEYEVPQVYRVKAKK DIMDIQKLVQLPDSSWNMDTLRNQRYRRREISEYLLHKIVNQEPTYLAESSMSDAFSKVT SLKLIVDLSDYIRLEDQAYQDINPITIELHVDLVTCSDEVIKKILRVVYNNFQVRLKTKS PENQFVLQISGFREYLAGNYSILSYDRVRMNLRGIQYLQVNLTEVSKVRRMYDNFPPIFE RNADESVPWEQFMDIPCFLFYAPFPLRDIQNEEGKKRKKISRQDSNFSPLRPRLFLKERC LEFVEMRYQMLQERYFPLKKSIIYSGECDYRFKIQILCLSNVHRLFILDDKDLDEFKKQN GHKRRATYNGQCPPRFITKASAKQEEQHNTSKKKVKVEDEEKLQKKISFLKLCKTKEQDA AQIKQLSKGTFNPHFFNHGPQGTANMECLMQKYELDIQPYLISVQVLLMYGQTPLTKFSI VNSQKVPFRQNTWFYQDVSFQGLKISQLPMELRLCFNIVLHSSNGEEQIIGSTITELFDE NGKLKQGRLHLNVWPFYKCDTRIACMNEYYGAALPNQERTPYYTQLVIGMPTFIEDVYWS LRDEKYMKQVGFPSTPLNIQQISLQYMNGILERRGTLNSSVTVRPQRDWKATPNTNELAK LDRLLKFDPLQRHLYTDEDKFILLKCRNHYKNQPSAFPIFLCAINWSDPEEIREVYQMMK VWTSLPSDDALPLLDANISDELVRYYATKRVGLYKDDELVLFMLELTQALIFEQCHFSPL GEMLIERSIMNPWVVGHELFWQLRSQLHIQPTYERYSLILEQLMMLFGNYRYELFGEVQV NDEIMQIADFIKTEKDSGKRLKEMKNKLQDARQKFSKTFQFAIDPRMSASELIYEKCKIL DSKKLPLWLVMKSAETRDVTQIPKQIIMLSSKNLKDTDCLENLRREKELRKITINDKFLI IFKCGDDIRQDLLTLQLIKIMDKIWLDFGLDYRMKPYKVISTLDQVGMIEVVLNSDTTSS IHENAGKFGALNKSSIMEYLKKHNKHSMENAVENFLRSCAGYCVATYLLGIGDRHSGNIM LTESGHLFHIDFGHFLGNKLTIILGNFKTKYGINRERTPFVFTQEMAYVMGGVQGHNFQR FEDFCTKAYNQIRQHGHLLINIFLMNLSAGMPELQEIADIEYLREKLQLDIGEQQATELF RKEITTSLNDMWRKIDNLIHNFTRD >CAK84018 pep:novel supercontig:GCA_000165425.1:CT868492:168238:178098:-1 gene:GSPATT00039650001 transcript:CAK84018 MTILIVNDEDYLKSIEIKETDQAQEVAIRSDWIGGQIINTELSLQAMNDDITLLPFVSQD MGVLMDYYIYDVAKPFAVTFLQASNTVLSFKGNDLKNAQPLLPKLSDQYKCYRLEADITR LYSLCNNGVEDQIQVASCNDKQECKRENAFISAPFATQIIALNQDVVAVLHTDSLNPENY DGYITIHKLQSIDGIWSSTLLFTIDYQFIQSKLGQQAPKQFRPSSFVHSPTGNNASLFYL QLIITDSVNGLLFVDLTLSKTTSEVKYQFLEYQLLKDWLNVEQYASDDTHYYQAQIITQQ IDYPIKKTVKLVLVTDISSSYVFELTFAIQANVSNKLSQIRTIFNLNRYGNFKTLNYVSA SSSSIAIPYVKNLEVVIGVYKIPTDQENAYVQTIQGSHTFATFKKQITPQDFLIYNSDEL FLTSTSTVGVYQNQVRYQQILKFGEPKKLHTQKLTLTFQNDFRRVVRTINLSIIPVDQDE CQSKVTDLKIYPSQGEVLQWQLTQNLFDGVSLKYSVDTTDFSVVQAISQIGESINHKQGA TQIVSAKALVTQNRAWSNQFGFLNKDGSKYSIFYTDTATINKAPSFNQIVNIKKTDANLN CLDFVQLSTNTFVVDCQIQNNKFLLLIKGTEEIQTPTITNSQLQRAIGTFQVKTNQFVIR VTLSITNEGSLANDSFIELFQYVNGQLQAKQTLDKSTLSKISGKQINQLNIVDFQIGLNG YFYLLDAKLGIISGDIKSGQHLNLVKHFHLQEPLLKNEKGKHSVVQGFNYYVQDLQFNSI TYPQSIQASSNYIYVKNSISLFVYHSDDNNSGLIDIIDLTNGALIVNPFIQEIVTVSLKA SARWNVSLGSLKFSGVSPATQEFKDLTITATSQHNLQCSTKIQYQVIESSSKVPIRKNKS NQPFPQVFDELFEQFQMGNILSGPNLEYGLTLPQMPLDFSAQVAQNRQIQVIDWPKSPIY STELVANDRETLFQIFQTQDKKLTIQLCVLTDHVKYVCKDYGNVQLQEPISEQLFSIWQD NDNVVRFITISSLYKVTAYSDAEGVIIEETSVSFTQQEGQQILSILNQGDYLFILQKNGV LSSYWSSQFSFVNSITGNDLIGYGGQWKPLKLYGNRMIKSNIVFVQNENNIAIINYINTE FIFIQSMDYTQNNQIYISAAKNSFFLVNNKEILEYNYQNLLNIFKAKTVQLFEYSIASPL VVTSQLSTGNLVIKTTKAQDVYLNIYRPSLTQHDTFYLSFKLNGIKSDDQFQLSLGGSLY IITFANGQKIQQLNFILLNPELVIIPIKKLQKFVSNFLIVMDIMNGDDKTQKVHYEQNVK VANTMTNLIVNDEDYLKSIEINETDQAQEVAIRSDWIGGQIINTELSLQAMNDDITLLPF VSQDMGVLMDYYIYDVAKPFAVTFLQASNTVLSFKGNDLKNAQPLLPKLSDQYKCYRLEA DITRLYSLCNNGVEDQIQVASCNDKQECKRENAFISAPFATQIIALNQDVVAVLHTDSLN PENYDGYITIHKLQSIDGIWSSTLLFTIDYQFIQSKLGQQAPKQFRPSSFVHSPTGNNAS LFYLQLIITDSVNGLLFVDLTLSKTTSEVKYQFLEYQLLKDWLNVEQYASDDTHYYQAQI ITQQIDYPIKKTVKLVLVTDISSSYVFELTFAIQANVSNKLSQIKTIFNLNRYGNFKTLN YVSASSSSIAIPYVKNLEVVIGVYKIPTDQENAYVQTIQGSHTFATFKKQITPQDFLIYN SDELFLTSTSTVGVYQNQVRYQQILKFGEPKKLHTQKLTLTFQNDFRRVVRTINLSIIPV DKDECRTKVTDLKIYPSQGEVLQWSLTQNLFDGTQLIFQYFKQYNKQENLQIHKQGATQI VSAKALVTQNRAWSNQFGFLNKDGSKYSIFYTDTASINKAPSFNQIVNIKKTDANLSCLD FVQLSTTTFVVDCQIQNNKLLLLIKGTEETQTVLVDNNSLNRVLGTYKLKDDHYVVRVSL SINAEGQLANNSFVELFALTNDGLVSKTTLDKTKLSNISGKQINQLNIVDFQIGLNGQIY LLDAKLGIFVVFFDTDWKFSRFIGFNFGQSFAFDRTVFSNSEEMFVIKGYNYYGIINYEG KVQKVQDLPFASLTYPQYIKSSEKYVFLHNQGSLYIYHFDDENSSLIDIVNVSNGAGIVN PNSQDIVTLSLTSSSAWTFGLGLLRFNGNAQASANFKAVTIQAKSQHNQVCYSRIYYQVL AGNSETIYKKVDTETPFPKVLDENDEQITLGSLVSGPNLIYEIVQPKSPQNSNNFLNLSA EPDLDVFAQIAHQRTIKVTNWPKKPLYQTSLVDINQETLYQVFQQEDKKVVIQDCELTDH VRYECKDQGNVQVKEQLSDQLFSIWQDSEKTVHFILLETPFIIKFFKIKNRVTVLYGTIK LNENEEKDELKAVAFQNQGNFLFVIQQNGDLSSYSTEKFTLINKVTGATLIGFQGDWKPI KLYGNRVLRQNVIFVQNENNVAIINYSNQEFIYGSKVDYTKGNQIYITSSTNSFFFVNNK ELSEYNYEKINNVFKQKTVELFGYQIVNPLGVSSHLQTGILVIRTTKNDNVYLNIYKPGL LQHDTFYLAVKINAKVDDTFAVSASGSDPIGSAYILTLANGETVQQLDFIVLDPQLILIP NKQKQKYLTDYEISINVKNADSLNNLLPFKQTVKVINTFTNLIVDDKKSTQSIDIEPLQN STTIKLDNDWISGQEPYCTLLQQKTNNIFLQSYLHQTKNYVLQNYYFKDTSAFQNNVVLQ ATKTVLLMKDNKLEESKPILDALGEEYDCFRITSYEKSLYSLCNNGVEDQILVANCNDKQ ECKRENAFISAPSATQIIALAQDVVAVLHTDSLNPENYDGYITIHKLQSMDGIWSSTLLF TIDYQFIQSKLGQQTPKQFRPSSFVHSVTHSEENSFAVQFIISDSVNGLLFVDVSITKAT SETKFIYIEYLLLNKWLNENKQYANDQTHYYSNKIIDESIKDKTKSVTIVVVTDNASSYV FTLQFAISDNHSNKLTDTKVKVALNRYGTWKALNTVAANKESVIVSFINKSKVVVGVYHI TDSAVSVIRGSFSFTIGTQKLEPSDFPLHLQGSTLISSKISKGLNQYEIRKDISLYFGES ANLQNQTLTITFSNDYRSVSKSIQLNIKPDNGGDDDNGSSSKAWWIILIIVGSVVFLGLI GFLFFRKKRDQDDDSDDQESGLMHVN >CAK84019 pep:novel supercontig:GCA_000165425.1:CT868492:178158:185134:-1 gene:GSPATT00039651001 transcript:CAK84019 MKQKITSSLIVFSLCLLALNAKTTGCFVASKVEQLQLFPTKGEKLQINLRDYLFEGEDLT YELQDTYFKIVNPVANTGQSSSHISPASNIRAVKPYHTKKMQSWLNSFVFLDQSATEVSI YFSEGTALNKIPTPEFKTKVKIATVADSLQCYDVEYIDNDKFIVDCQREVTEKQMTKQID VFYIYSKSTKKVTSFDDAASVKIYNQRRIGLYYSTSALGKETTFVVRVTPTYSLDPTQQL SGNSIVQVYALNDNYQPVARNYLLDNVTMAFLLGRAEMELTIVDFEISYNGFIFLQDAQL GLFVVKFQPTGAWELVAQADLQLGRVYSFDIDYEHKKDGSELGVIAILGYNSFVVLDEEK SYVQNLPFFQLDYPASIKISQDNIIVRNDKLTYFYKLDVDNDRIYLNYKVEIPANDILLV NPQEPDLIQISTKNSFRHTISNGYLQFLGSDKVQQKKVVNIKAKSPSKQECIVQLAYQII EDNENWIYQLFDKPMPFPKTIAEGQTQSILQKLASGPNLQYRQLNPTQLGGVEIATTIRS RVELSLNSEQPKNVIYAEIISTQDDTVFYQALQTQDLKIQILSCVHNSIYVDATTCEVYV ADLGIKTKVSKQNFSIWAKHGILYFMYVDAIYNVVIKSIHNGEVTPVKTIQLDSTVTTNK IQNILNCGEYLLVHTANNDIHTFLIYRPELQVLSTLNKNSFSQYGYNEDWEPKALFTNKK LHPNILFIQHKNHILILDIDFGYFYFIKTIQITPNLDYSIAIGKETFFIVQGKSADTAKD AQIYEYNFADINNVYLQKKLYLYWYDISAPLNVDFSEETGHLFIRGVCLYCSKSFVLVFK PNAPQHEALVQAWDIATTLIPDSKQILIAANGINQTYLYLNVEGEQSLFAVYDQATIITN SIIELPSYQDKFSLNLQIKNYNFQDKPEKFNTVEINQQVTTISSLTKIMLNQNDFPLSKT GAQIYSTDETKNFDLGQNWYSGQIGNFEIDCDQCKTNIELQNPLYQLNSKIFNGYEIRDH IKYNENYNIMQATTGLIFVNPDNTLASVYDFDLPNKEYQCYQAAVSEDKMIIYSLCHDGT EFTIYATGCFSITGCSPLGEKFKLGTASKIQLISNQLLVVLHSDTSNPELYQDGKIVLYK IIMGVQKWRLDIVEVIDLHYLNSKLTTPLNDFRPTDFSVVTQEYLKENKYSYKLFISDSE SGFVFLDFSFSGLLEYVFLSVETLNLHDFLNKQVGQYTLPTTKFLSFQFIWQPTYEWSSN LLRAYLIILTTDASQYGFKLDFTGQPNFNTLLSAKSLSAVVVRYGDWVPMNKLAIHHNYL QLAIPYRKENEVAVVIYDIDIVTANSVSEIVPTISFGTYRVLYQQSSWISPLNLAVFFDQ KNLIFFVSQFSGPLQSYGISRSPILLVKNQDRALKSQEITLIAKNNFSEDKLKFKLIVQE VDNNECTAKITNLQIYPSTGEQLLWNLSQNIFEGVSLKYNQDGTTDFSIVQAISQIGESI NHKQSATSIVSAKALVTQNRAWSNQFGFLNKDGSKYSIFYTDTATINKAPSFNQIVNIKK TDANLNCLDFVQLSTNTFVVDCQIQNNKFLLLIKGTEEIQTPTITNSQLNRAMGTFQVKT NQFVFRVTLSITNEGSLANDSFIELFQYVNGQLQAKQTLDKSTLSKISGKQINQLNIVDF QIGLNGYFYLLDAKLGIIVVSFDTEWRYQKWITFKFGQAFSFARTLLKNQMEAFVVQGYN YYVIIDSDGETKKVQDLQFNSITYPQSIQASSNYIYVKNSISLFVYHSDDNNSGLIDIID LTNGALIVNPFIQEIVTVSLKASARWNVSLGSLKFNGVSPATQEFKDLTITATSQHNLQC STKIQYQVIESSSKVPIRKNKSDQPFPQVFDELFEQFQMGNILSGPNLEYGLTLPQMPLD FSAQVAQNRQIQVIDWPKSPIYSTELVANDRETLFQIFQTQDKKLTIQLCVLTDHVKYVC KDYGNVQLQEPISEQLFSIWQDNDNVVRFITISSLYKVTAYSDAEGVIIEETSVSFTQQE GQQILSILNQGDYLFILQKNGVLSSYWSSQFSFVNSITGNDLIGYGGQWKPLKLYGNRMI KSNIVFVQNENNIAIINYINTEFIFIQSMDYTQNNQIYISAAKNSFFLVNNKEIIEYNYQ NLLNIFKAKTVQLFEYSIASPLVVTSQLSTGNLVIKTTKAQDVYLNIYRPSLTQHDTFYL SFKLNGIKSDDQFQLSLGGSLYIITFANGQKIQQLNFILLNPELVIIPIKKLQKFVSNSL LSLWIS >CAK84020 pep:novel supercontig:GCA_000165425.1:CT868492:186108:186627:-1 gene:GSPATT00039652001 transcript:CAK84020 MIRGLRLLQMRPIFYFAADKTPATTQGKQQQAKPIQVYKDGQLITKNYLQLKKSQDIEGY VLTLVKNYYRTTNKLALTLDSELEQHGLDSLDSIELSMQIEEDLGYVISAETLPVLNKVR HYVNYIKQVEQYKVENNAAPLA >CAK84021 pep:novel supercontig:GCA_000165425.1:CT868492:186638:187121:-1 gene:GSPATT00039653001 transcript:CAK84021 MLRLATQAIKSMVHIVPIIRPIQFCQKPMQFFGLARLFKQTRISGQARSKTKSSSSSWKK RIRSQNRLYKIGNHKGLMKRVKIVGPRRARRLKFKSPGSRHLNRNCSKANLRRKRRTRYI SDVDMPRMRKLLPLMKRQKCKRGS >CAK84022 pep:novel supercontig:GCA_000165425.1:CT868492:187156:192870:-1 gene:GSPATT00039654001 transcript:CAK84022 MSLPFINQAIQTIQQFPITQQTNELRLIYQTCQQSSKQLGKFKIDNTFWNQDITKVEIEI SKILHEFGYRVKPNPIHQFNFDTFLQKKARLNITTEDYREALLQFYENLQANLWIRRKYL LRQRIALLHNPTEDVLFYDPLVKVKSDVIPIEMYSTVNLERVHPDENKTLVDEEIKMIDE KLVEYAEISEKLSNERIFDPSRFDQDIPQIQISTDDDLIFERMNNKIYQSMPFITQKHLK EYYNFRQNLMVNKLDDLDTQIFIESLESTTQERASTEYCNMYLIPVTMPQLQQELQQLAY VQGVDQDITVDNGALFLFAIDKHFVQKIQDQSNKYLLFLPYNLDQSEQKTKSFQQQTMNI LNELKEDKIRKNQYRIRSSAHWLDNIQIIQEKEETEMNLIFNQYEDSAINSWMKFLLYHE SDKIQDKINKDVSLVQEKVQSTHFPEIYFQFFLRYQKLLYLESRESLIQIMHCYNVMRTM QKNLNIYFIDLHQLSSQLTKERIQKKQQNTLNAFITSLDSKDTIPQEDTSAYFCEEKIQR NHNLYEVMDGNGHKIIHEIVFKDVAQLLDKISHISAFTLQFTNKIGEKNSHSDSQQLNRF LAVKDLLQAELDYNNAKCKILQIVFPLLSKARVSELANAVSILARLIQQQPQFRYDQNVL IHYSEATRRLNLLSDIFTNTKSLKLILKLFSIKDINILEQTLKYIKRDRLIDKEFPYKSF YKDSILDSQNNLSQILKQLPEDGNFNIFSLLEFLLLRRRFYKLSLRIYYLSNLYGQQIKE RGLTNKMIQKLEVIPNRVIDDKNFDVFTIVMDEYLKIGKECQQIELWTTFAADNYKMIDM LMPLKSLLLLDFYLFNAIKINRKQTHHFVDIIGLKEKLALQAQSIQRKANIMQSSTAASD QNNQDKLKQTAISMISTQFRGSLLVKNFVNKIQQNQSTALQEFNKLLTQQCQSLVFTLQA LGQRDQMIQLNQQLPFVKPLFTEEPFLNEMKQIQNPFIIHSIPSIMNIINFKDFDSKPSN PEVDNLGDEDWVTTTRLKLEKNVSPLSANRKQPKFKSSGNPFSNNTKFQEPISGSQQLAL QLVQLLIGVQSCNCNVQDLIYLHQGMLGMEELKRNQLRLRRDLESVYQQVQKYKDNIAKI LLSGNIQNMNLTIMTYLEKLIKRFHLLITITMISSVHQFRKQIQDNSEIYPQIISTLRNA LITGCFRNMSHVERLMEQAPKVYPSIQTKKIISDKMMLLKYAFGSQFVQAHQTNPNNVHM PLQEFRFEDFYTQLSPYMIPSQQILFRTQKINYNVESFLSSVVDLFPIAMANLFTLFPQS KLIYYSTIQDLIISESESILRDKCIFEVDDLFKYERAYRKLLVLQFRYLLLSEFFTVQKR QILPFSSDTSDPCTQWLENCVELCDKNMHKQQLKAGLLLSALPGVNKQVNEFKKGSKTTR MNYEPSQEKFSILPRLRLESFENQVFQLISMMIGCQAIFQEEGKQYYQQLNNQLNKMDQP YQVAKQLNVFYSNLERDSLKIMTLNKGYAHVIADSDLDKHLNDFNRRMLDFNKANYPSHV IIENESLQRMYNQKSIELRFAMKKIKFYESNINHIVESLVAQRTNDLIFENDALKQQIEQ MMKSFDIEKQKQRDKIKEEFSEQIRTQEVLIQQMQNRFQDYKRGISMEMTNFIQEYKTDG LKRVRDKASEALFGQVNKLNIDLDVEYQRVGVYDKFKEDSEQVNSLFSSLKKLRTFYLWK EMCIRERFEKQIQIIVAANSSNEELQQKIQQLTENVEILKDELIQNRKIITIMDNQNQIL RRDLQKLKIERFQAMREKGQLGAIATYQDQEQQLEEQRKQVIEAKKEQQFKNSRPITASS ITRSIKSAQKVQKLSTTQSVPKLGTSQMMSNQKGTLTMKYKITS >CAK84023 pep:novel supercontig:GCA_000165425.1:CT868492:193428:194072:1 gene:GSPATT00039656001 transcript:CAK84023 MSEPKKKLWIGNLAYKVQKDDLEELFKQYGQITEIKVLDKGPHVYAFVEFEKVEKAIDAF NSLQGRELKGQAMKIEYASGRKRTTNDRDKDRGRGGNDKYRKRDSNYKRRSRSRSIDRHK KKSKSHKKHSSSSESSRRDKQYNSKKQPQRSPSDDLSN >CAK84024 pep:novel supercontig:GCA_000165425.1:CT868492:194607:195259:1 gene:GSPATT00039657001 transcript:CAK84024 MSLSWLVSPQLHKTTNGYFINHDNIMLAGPQDKRRLIFYYIASQTPEKITNEDHSKFIKE KYIDVDMRSGIFSFGGIFLALATIREQQLITSRITSRPILNGIFVLGVGLVSAAFSYNLS QLLFEPLFVQRNKVISDLAEKYNFSVFDFALAKKEARLKQLRAELTSDSSNAAHF >CAK84025 pep:novel supercontig:GCA_000165425.1:CT868492:197047:198220:1 gene:GSPATT00039658001 transcript:CAK84025 MNNTIMSSNGTTYALQTQLGSGSFGTVYKVLDLRTNKYYACKIISKGLLYKYNAEQMIRQ EIQIQSTLNHKNILKVYHSFEDSKNIYIISEFCSRGSLQIPKTPYQEKEVFNICISMLGA LDCLHQNKIIHRDLKLENVFLHDDGTYKLGDFGWATYIDKVEPILCGTTEYMPPEVVLKK QHDYKVDCYSLGTLIYILLHTHYPFQANSQSELIAKITSQEVIVNSHIDEDLQILIQALL TKDPNDRPTVQQLYLSRWIKNQMKLNNIFNKYENEQLKNKFRNKNIAIKTLEVNGSIKGS LSDSQKSSLTHSSSTQISSSNNACSPVSNKGLIDSIFNFKEFDNINSVPQPKLY >CAK84026 pep:novel supercontig:GCA_000165425.1:CT868492:198499:199515:1 gene:GSPATT00039659001 transcript:CAK84026 MTEQYIIDSDIIEDEGYRPVSVYNLNVCFKEDIDFRLQDCQLNEPDFQDLEQKMNKLNYN SFGFKSGMVKHQKQPQHESGTNSIHNKIKKEQLSFKKPIQPVQQLSIVSNGEQSSLFSRK ETVPKINFVSEMQDSQNQNTTIDLRKNLKLHTHGPFDITKLKDGLSPAVNNRFSSKKQSQ FSQRSHETYNSFLDLSYNNTQQIPSQNSIHYQDTRKDRSKIAKTTIKLTQNKQNQKLRIS QQANSQRTSQLSLLEVDGVRGRSQSSVSTPKSILKNPSLQNSQLDIISRLSFQSRLSFSS LNITGNSPQKQQSNSQSPAKRVKFCLTKKQARREHIPC >CAK84027 pep:novel supercontig:GCA_000165425.1:CT868492:199610:201111:-1 gene:GSPATT00039660001 transcript:CAK84027 MNQGGSNELLTLKREKFRVQIRHQKLTEEFKKKRRFYNYEQTKNDFLHKVCDELAKYQFK EQLVEEDLNNIKLLLKVLQKALEDEQNIDYWVTENIVKKFRFLINLSKADSEFQEINATL IQSVFYYLNREDYQRFAELDDIQLLEYLKNNIKEEILEIFPQTLNCIYFLAQEKQTYRDF IIQNDFEFLAQLMVLDPFRQFSEVILALIGSAFTFCQDINIENIKSIKEYVIKSYFDENQ AIKRLALEILEEIPSKLSFETNYSFADEIISSPMFLKIYDAALKTSYDFLALKVVIIYFG ISDKFFDKELTIYKKVVNSNLQSQDLNKALRIQLFWAISNKIHNNEFLVKLLDSKLLEEI FEQCFELSDNEALEFSYVILNLSILSNEEQLSKLIKIGLFNICNHFFSMEARSIKIEEHT LKALINILHKLSDCQDINNELVLPFSSVIKMLEMTGIVNKVKESKGDDKLIQDFDVYF >CAK84028 pep:novel supercontig:GCA_000165425.1:CT868492:201143:203454:-1 gene:GSPATT00039661001 transcript:CAK84028 MLQIKVSALPQNPHLINQIEQFLVDSNTLIRNGYYRKCITHLDGQYKKLLPIESPLLLRI KVLRRLCYCTLMYFKLKINKNEVNQGKNQFILWSRFQLYLRDFHDSISLLKIQFKKKFCT QELVSLMIKAILFRSQYYQKNQMVARGLLYLHHLSGTIIEQALIDKIRFFLCLQGQESVQ IFIRKYNLITGNSYFQLQNYQTALKYYLKGLDLYQRNLIIVYKEITLTSLEEIKMTLNYY TKEIILSLFLIALVYEQMNDFLKYQETIKMMTWIDSNYQPENSIGKLYFQQYVDNTRYIE YALEKAEILKVLKQALPEEETSQVHGEEDQLNYYTNMLYEFSEFTKIKRQYYYYDKSQLP YTSEITESDTQLEKSRALKTNASVQMVYKLQPTENESTALCRSALLKTKNSDNGLSTRFQ TESEGLSQTMIHVQKQKKYQKQVNKEDVTLQVFYGKAIEKSVKLQELESLAEQHNQDLVQ QQADTYSFDKKLQQSKQQICKHFQHILEFKRIFGCIDLRKDPFEFETRESRKRQKKQQAK NINQLQSLLSIQIRIKTKTEQSQLLEQYNKQRQGNFSSAPNIFQQLYNKHRLNKDVRVEE KSAIVNKEICKEMSSHHKTQDEEQLIREININTKETIKKMMDEKESLISSKPFLARRSSQ NNNIKNNFLSERLISKNDSLAHTTDQISNSKKLNSLKSLLEVPRNNPNQKRMTAILSSIT PRPTSKMSSHTILPIC >CAK84029 pep:novel supercontig:GCA_000165425.1:CT868492:203541:204962:-1 gene:GSPATT00039662001 transcript:CAK84029 MSEKPNQQNPKKGEPWKPKEKFDKKANKEKQKQEQEQGEGKQETNQPPQQQNKQPIQQQT VDQQPTQQQVPTNDKQVAQTNASKEQNKRKDFLSHLPIFEDYTSVSIKTVKQIDPLTLHH AFIELCIQYQNGQCIGSTHRCVEFLNALKQFIRDYKLSRQSNYFAMAFLDELKKIFNLMK NFRTVNEGMSTSYLFIRECLMILRDTRLDENESKVWLCNQIDQFIQSKIISASELIVKNA TQLIQEGTTILVYARSYLIENFIINYFKQGKQLTIFVVDNPQFGEGSQLVNRLQQQGIPC YQILLSHVSYILSKVDKILVGASSMLCNGALVSRVGTALLACLASTHKIPFLVFCESYKF SEKSQIDSLSWNEIAQLEQGNENQQYTSLSLRYDITQSNYINMIVTEVGLIPATSVKAVI GEFKKYNYVDAIEVPRKEQ >CAK84030 pep:novel supercontig:GCA_000165425.1:CT868492:206448:206890:-1 gene:GSPATT00039663001 transcript:CAK84030 MLSYSGELYNKEGLINGNQTVLSDKFLDNSQVTHSGEYKMVNKSVLGYFCNRQFSWVIIF VDFNLNQFIGGGESYYDEGSMKNVTYYNHYKYGGRWKFCKGKMVVTLLNISKFKNSQYIS NGGLCDEREQIKIGKI >CAK84031 pep:novel supercontig:GCA_000165425.1:CT868492:207675:208995:1 gene:GSPATT00039664001 transcript:CAK84031 MIFFTFFLISYGFITYDEGKFRAFQIVTGVFLCFDNKERSRDITFRDSFENIPQIILIPE LFDIPGTKVDYNLEITQITLTKFTLRIKCFGGVVQGVHYKWLAIDDARVQVINKFNIRSF EQITLDHPNPNALKCLISVIAFSFTGAVNFEVKVSELNTKSLTIQITGPQNQLQYLTSLS YQIVLGINEIFEQYQQQAISLPYISPQFTLIQWSWFLLPFQGFQLNGINATLFKKEYALG SNSLAYQFNGGNFQSDQTTCCCLQTSKHIPQWMKFYDITDATFLEIGSVLIKQFFDSKVD YIQSFKVIIEGDYQIATDLGKTRLIIDETDTQPILNVRAKCTNQETLKINLNFQNPNENS ITNPILHNCQDSYQEIIISFSLIPTQVAYQDLIVDIQETECQISQVLFNQRQIRRLLFFI QKQ >CAK84032 pep:novel supercontig:GCA_000165425.1:CT868492:209902:213616:-1 gene:GSPATT00039665001 transcript:CAK84032 MFGAKIKYQNSFSDTDTATDICKAATYDEVKDISFTFQHNTPSLMVILKGDGDRWGISNI ALAIDECPSGCDSCSSSLCLDQKQVPISITWTTVESTLTASCGGYSYQYTTGNFMEIHLT LDPHNTINSAMKSHIANADNPTLTIKVDNQIVSATQSLSVRLSNAAFFCFQNQILEVVIN DLDHTNPTITFRIEVVMVRYDAGSIMPLIGIKESQLFIKTVIKQIVSLELPFEGCLMSFS ELFEGCSFCVRSLCLHCNEGWEYIQQDQRCQPICGDGLIVNNEECDDENLTPYDGCQNCK FSCPQFCQQCVRGKCIKCELPWKLMNGQCLYVCDQILNYSDQLFSGCFFQIENFVMNGYY YEYNSKMSLQHTLLNNANQKEFRYEFLECEISDYGMMGYQYNQCIVRQISYCKKQLWNKC LECQDGYQLAHNKQYCETKCKDNYKLDFETCDDGNNIQFDGCFQCESSCQLECLYCINQE CLYCKEGWYLIENQCLPICGDGITVPTEQCDDNNQESGDGCFECKAECSNCKICNYNNKC QVCEEHFELVSQLCQPICGDKYIEPGLEECDDGNEIQDDGCYNCQLECYSGCKRCYFGLC TDICSFDELYVDGMCVKEEINVSQCSDGCQDCIKGQCVKCKQNFQLLSGVCQVFACGNGI REIYEECDDGNILSNDGCSKNCTVENNWTCLSKDSQSNTCYQLTEVNLEYLNVTRQNQFV QLSYSKKVRLNLNSDPNNFLNQYSLKLLGLQEDQYQITCLPKIPIAQDEHRSILYEFQII LFQQILNQLNFNVFINDTVLDENDIAVTSSNVSIQLKVPKILTASQSTFSQTFRKVGYSM MISLSCSSVIMLLLGEFAQTVALLDILQYQQYLKYLNVDYPYNVYIYFESSNFITLQPLL NQLNFIEFYQNIVQENFQQSIGKFKEYELNADLLTNIDSLLFQLICGMIFLLILCIYEKL ISKHIPIVQVATYVQKWQCKLIQSIFKYLYKYKRKYLEISKVVNINTFIQFFYANSWDLI FKVFLFLISNTQSQNRQKASLLICISYLGIMIKIFLFDIRKNMSKTRVTLIKAAQLEKLI LFKKFSFHFLLTWMQYYPITQCILIPLFISSYVVLILGFKLKTQKIEILTTLSLEIPIIL CILMNIPYCEDFQSYFSINSQIYIGFAQIGLLILSLIGPLVQTGFEFYCKLKKYLNSRKQ TRLKEQQNKTTTNIFIEAL >CAK79920 pep:novel supercontig:GCA_000165425.1:CT868350:3507:4482:-1 gene:GSPATT00039370001 transcript:CAK79920 MQQVKYPDLSNSNQETLSQQLFENTNQNIKFIPVVTQNQDQFSQSIQVQPQVSLNQVAPY QEFANYQVTQQPIQTSNLIKDQEFQKQKKYFMQILGIFILWTIVAFLIYIFFCFTLFRIN RLEIVFFAFLFISVIGVPILIKIGIGENYRNVTQNHLQQKPASIFILFGLILNHTMLYFG ILGVIIYIINDYWAGKNIIRYKAWLYFSFSHQIQVQTSLFEQNRIKMIYVFVAQLFVIAI CGIFLQQITLFLVFTLPYPFCVLNVLKQIQKGRFKLQNDQVITASITAFYGMIICCED >CAK92391 pep:novel supercontig:GCA_000165425.1:CT868668:3:2003:-1 gene:GSPATT00025125001 transcript:CAK92391 MKIQIIGLLIILQLAKSQVVLSFKNQCQCSQIAVDTECNLLYPYCYWSVNQQNVGLCKTT NCYGLTSADCVLVKNCIWNGDYCLSSKTDVKCNQLEGQPGLTCQQQNLLCYGTIGLCTTI DELPNCNTYQTQEQCTMGKEGKCQWTTQNACSFYQNCQQIPLNMCNNYKPYESIYSQGQY CHINNNGLCVQMTCSDITTESNCKFVQTVFNQDQYYLCSWNAFSKTCGNASNTSNLTEET CFSNTIGTYHWIAENKQQVQGICFPCTFRIVKKQDLCLCEQLVAQMDCISAKICTWQSNK CVSSECNLFNDQQSLCAQSPGCMYLFGKGCFPFTKCSDIKGSNQFQCISQSFQCPSSNGQ YCTALPIDNSIFCKQQGNAYNCGNAIIGQGQCLWNYSKNQCQYSSSCYSFGMQDCLRLKH SCYYSGYYCYPINCSYFTSASDCTYYFDYYDASYKYCYWNSQEGYCDDEKPYQLDFSSCY TSSQGQYRWSSLDQKVGVCTQCAAVIIPNKRKWCSCDQLIYQKDCATAYDSCLWNPEKSQ CVVLDCSMLKSRNLCVQNYNCHWIQYQDVMQCLPFTSCDKLPGSNSYTCLLYSQRCTQTD GQFCKELSYADNKQKCSSIADYTNCYLTVAADGVCRQNSQTQKCYALSECYEINEMALCG INQLCCT >CAK92392 pep:novel supercontig:GCA_000165425.1:CT868668:2383:6753:1 gene:GSPATT00025126001 transcript:CAK92392 MKIFWAIIVVLPIVLSQTIVVSPSTTCTCQQFLNQSGCNNNTLGQCQWNQKNQVCQQASC GNIQLQSECLISKINCYWNGQSCVDFISCSKLTSKIGLICPQQNVSCYGSNINQCQSISQ LPKCSTYKLGTCGQQGGYGGYDGLCAWNNTLQQCVVATSCSQLSDQQVCNTYIQNACIWD YQNLVCTQATCAQLPTQQSCKFVVGNLDPQQVYPCFYNGNQCLDASFELFQNQDQCQTNT LLNYRWVGTQTQGICVSCAQQLFEPANKCACEQFMLQSACNQSQYCQWNQNSCQDIPCSN ITNQLQCSNNKKCFWSLQQQNCQVFYSCYQITGLNSLACLAQSINCPNYQQQSCNSSFNS CNQIPQSSCDGYVSNQGVCYWEQSTQACKNLQSCSNIVDEKICNQLTRLCQYSVLLAKCQ QLTCQNIKSQKQCTFVFNQLNGTDIQVCEWTNNSCSNAKNILTLNEAQCSYNSQNTYLYT GSKCVKCIQGSLILPEQCTCSQLYLAQDCQANPLCTWNGSCQLANCLSIPNQDVCVQSTH CQWTEFGCSEFQSCILLVGSNPKECISQSINCPYSDGAKCQNKFYYSPCQSYTQPVFCTN QLGTDGLCIWNATSGSCQVLSKCNQILKSTYCGIYQYVCNWSNDSCNQSTCSTYTTPEQC KYYYQSLNSFTPIGCRWNITTSTCEQVGSTLTSYNSANCYTNTAKTARWSQASNQKGVCI SCGVKYLPNPLQCNCQQLISQLNCGQNQLCYWDQVSKSCKEYECSVLAENLCITSMKCQW SNKGCITFTSCSQIKGETIDECLSYSLYCPYSPALKSCSTLKNMPKCEEHKTQGVCNSVK RQGKEICFWNQQFDKCTVLKSCYGLSQMDCAYYSLYCAWISTPNYGYCEERKCLNYSTKY SCTYVLSITDANLVQPCQWLNGNCQPLIIAQAKDANTCYVNSNGTSRWTSDSPISGFCVP CNLPSYAVQYQNKCSCSQILNQSECQYPYCSWSNNQCTQNSCSKIYNSLECSQQRQCYWN LNQNQCNTISISVNFCTTLKGSSSLECFAQSINCPGSLYGVCQDSASLQTCSSITTQAAC ANSIGQEGYCTYDDQACHVISSCLAIKTYIYCVAMQKFCVWNEATNQCFTTTCSNITEKQ ECTYIYESLNSSSIQLCEWNQATSICQNASSLTLSNKNIESCHIETGGTYHWSNAQPNGY CISCSLDLIKLPNTCSCDQLTSIECLTAKPKCKLVDSTSCVNSQCIDIFDQGNCSTLPYC MWYNQTCVQFTSCSSLSGTTALDCLAQSTNCPAISNGSCKDKKSLGSCSSYLTANECTLG IPSSNGFCYWNNNGCQEMINCDAISQITQCDALYRICSWSAAFNKCVPFKCQNYKNQDQC TFVVVNFKDSYIELCQWQNKVCQQYTEKYYRFDEQTCYQNSGHTYRWVEDEKRGSRCQEC YSLLITITMVIYLIAF >CAK92393 pep:novel supercontig:GCA_000165425.1:CT868668:6808:7981:-1 gene:GSPATT00025127001 transcript:CAK92393 MLPKMLDASFLYGEKRFLKKLNRQQDENNEINQNQEMTFEEVLSSNIDCRDKIEKLVSDP NLQNDFLIKAHNINKDLKEFYYLPLVALTLDPPSYYPVDTIDIETIFQHFGQILKVINKD KIAYILMRSIVECWIAVKLLNNTPIELPGRFGTNQIHTLKVQLCFEDSSVHHIYQFNHRS LTEEGLTIQKTPDLFDNLEKDYKYISIFEIDVDAFQKDFNIKQRILGLKGSNIIRILYLV EKAFAFQSKENTSSKQELDVKLLVDEQSENQLILLGNQWNKFVLAQKYANELFAQIKEEF KFFQDFQLQGLKESRVRRIDKFVQPVEVQYSYDADL >CAK92394 pep:novel supercontig:GCA_000165425.1:CT868668:8532:10416:-1 gene:GSPATT00025128001 transcript:CAK92394 MMKRQTSSIQQVIKTGTQIVEYAWFQQHEVFVLPQQNTWKQIIMNNFELFVILMVLVYCV TFFFMYKIFSKKNNKIIVHVRKESVTSDELQNSIRLGTEQNEKQKKDQTSSTDSSPRYIQ NEPEDNVIALPDNNFKLVGLQISETKEQVVEWERNQEDVFITQTDTKTVKMKVSDEHLQF VTSQFVDLNQKNAKGVHMIEQENAFGQSERILNLLTDWSRYCENGKFQKLYTSPFLIGKG TFGEVYKCQKIIDLKEYAVKRIYFKVKNEKTLRDHPIFREVGSLQEINHKNIVRYYTSWI QELTNENIADIAKLNQIVAEQQQAKQNESQNSYYPQLMDDMSSMNDYVQFVGGNDAENEQ QIQTITKQSKTVQSFHFSDYSSQIQSKMRRYHFNPNLKDEQFQLFTLYIEMELCDYTLKD FIDKVDRKKDYLLIKSIFIQILEGIIYMHNNQYIHRDLKPQNIFINSKNEVKIGDLGLCN SLIIKIDDELTSNSGEYTNNVGTPMYMAPEVKDDLYGQAADIYPLGIILFEMMWKIKTHY EKTRLITALTKDSILPIDLFKDHPIEAELILKMINKNPQKRPSAQQVLDTLIKQ >CAK92395 pep:novel supercontig:GCA_000165425.1:CT868668:11826:12517:-1 gene:GSPATT00025129001 transcript:CAK92395 MNLIGQKVLLDGQVIDTLSNQRFKLPDAKVYAWSFHKQVIVALGITNTVQLWTERKPNDW QQAIAFSSHQHPIIHISWAPYDVTFASVDQTGLALIHTKKQDTWDQPIPLNQEKYSVIAF AQNKSTIAAGGNCLDFYENFKLVHRVNLPVQQLAYSFTDRLLAVSDNSISIFERNIFKQH ILLEQIPTSVSWSLSGSKIYVQILNETFQYVENLYNEFVLQD >CAK92396 pep:novel supercontig:GCA_000165425.1:CT868668:12532:13753:1 gene:GSPATT00025130001 transcript:CAK92396 MGACCNTQQTIQSLMPSFEFSFLSVYTKNAVIIQRAYRCIQFLIKIEYQKRKRRSIIPIS MTNDSQRSHQEHVENTIIPAQPPQESAKIEQIEEVAVTVDHSPANGQKLDRKQSDYPASI HQIIFRIGNFNYKEFLKQESQYYDEDDLNVPFLDPYQLPDETIYIGQWKLGKRHGKGRAI FKDKSVYEGFWKDDQMNGQGRLIFENGDYYQGEFKGNKANGYGKQITSKGYQYEGNWIND KQQGEGIERFPDGTNFKGRFIDGMKTGFGEFNFSDGSKYKGSIVNNKFNGKGTFYFSDGR KYDGQWKENLMDGYGTFTWPDGRSYDGYYVKDKKHGFGDFTYTDGSMYKGNWVDGKEHGQ GTFYSKSGLFREGEWENGKRIKWTSPYQTQ >CAK92397 pep:novel supercontig:GCA_000165425.1:CT868668:14032:14832:1 gene:GSPATT00025131001 transcript:CAK92397 MKKFIPAIALISVTYAELTFNANNRCTCDDLTTQQDCSKSYPQCIWDEQGSSCSTVTCSS LIDQTNCALNEKCMWSGKGCVEFTFCNQLKGYSQRDCFKQSINCPQSDGKICAGSQALKS CSSYSDQETCDKTISSSGICDWSDSGCSSITSCGQLNSKNCFRGGDACIWNITSNTCTED SCNQFQSKSTCKYYQTQLDELVFQLCEWDATNSKCNDANDTSALTENTCYNQTLYTHRWS SSSNSCQACEGKIIYMVIMAILIIMI >CAK92398 pep:novel supercontig:GCA_000165425.1:CT868668:14917:16087:1 gene:GSPATT00025132001 transcript:CAK92398 MESMMMPYKKRILKATTLFLTCTFILILDMFFKEPLQAFEVSALITIQDGMGLKGHIIES IPFFLLANIQEQYVFLLITMHVLSAIYFLHDRFIAIKCMFVLYFGWYFLMLLQMIYNLPQ PFWVDSDIDTYFCDQKYGGPGDSIYLPALFIFTLLYYNECQLKAYIIASASLLFYCFAVY ISAQVFLMDLVLGFVYFMLFYAFVLNYDKKITTLIKSKDTDKLFGMKVLGAVSFLFIIAL IYYTLKDYTDSIEWITNYFTCYMRQFTVGDYQHYRFNTILGLEPTFCQITILICLVVMGF IPLPKNKATQKQFIIIQLAFIPGWLIMFLQGFVTEIDVLHRMGLSQLIIESFIFGLMHWW IFGFVGVKATKSIVTDEYLLLE >CAK92399 pep:novel supercontig:GCA_000165425.1:CT868668:16204:17419:1 gene:GSPATT00025133001 transcript:CAK92399 MTQEFTFQRISTSQMQTEDLKKKYILQKILGYGRYGTVYKGVNQRTQETVAIKELRHSID DQGINAQALREIEILKSIQCQQIVSFKDLAHGQNKIYIIMEYMEEDLLTALKRNTFSEQQ AKMIMFQVLQGLAYLHNKGIIHRDIKPNNILNRNLEIKICDLGMAQNLKKLKPQTIRIQN HSYRAPEVFLGLLNDQYQVDVWSAGVLFIQLLFKDNLFEGSSDYASFQQILKYCGTPQEG NFVKDNIENWNGVTSLKNYSTFIKEDPQPRTLKAILEKKMSPSLINLVDSMLTLDPSQRI TAQDALQHPYFCGMNIQRCLHTIQASRKKVKTEQNTKTDVFNYPNGNKIIVVSQIFQKSQ NCF >CAK92400 pep:novel supercontig:GCA_000165425.1:CT868668:18480:19250:-1 gene:GSPATT00025134001 transcript:CAK92400 MNMTVKLIRKSKRFNICSLMDESESNREQGRIQQQPYQSIQLNSNFENQVYDTQSSSLSR LDNNSIGDITENLDSVYSHKLKNNNYKSITKASDDSKNRLIRYTVKREKDMRRKKQKNRG KQFTLEEDQRLLNYILRKGPKFHKFARYFPGKSTNMLKNRYYKSLRFRWDQVLGIQYQYL NPSSEELIPIMTQESSPFMFDELIQLKLFPEAEDLLSNFIGNLSQTFSDIHASFPSDYN >CAK92401 pep:novel supercontig:GCA_000165425.1:CT868668:20238:20686:-1 gene:GSPATT00025135001 transcript:CAK92401 MKYIAAYALLVLGGNNAPTEDDITKLLKEAGVESVAADVKNVVSTLKGKNLNDVIKEGQK QLTSLSVGGGAQQSSAPAPQATTAAQTAPAAKVEPPKKAEEPEEDVDMGGLFD >CAK92402 pep:novel supercontig:GCA_000165425.1:CT868668:21038:22051:1 gene:GSPATT00025136001 transcript:CAK92402 MDHSFYIILHTRNQFQLLAIIFQRSQDKIYSYFVQNFLEFFLIGSLLKQSLSYSLAYIMI VISFLSQTILFLLLCSSTVIIHCQYDQEQRKRQFKKRYLKLDDIINELFHWILVIYPVIY LYIAVLSFSSLSCNLLSLIPFKESETISLVLISLFNGQIQIYTMRNHSFNEINSLKRRSQ VCYSLTIQQQWLLYFFTIIMKLQEIQLDFSDSIIFSNYPYRDPNQITSNDKYLHKILDFS SLDNLQITSQIEEQQLFFLLANISINFELELRKPDQISINYKDSQIPLQEQKCYSKDLKF RQANLDQKEGLHSPSLSIIKCIFKTAQSWLFRLNIYI >CAK92403 pep:novel supercontig:GCA_000165425.1:CT868668:22331:22899:-1 gene:GSPATT00025137001 transcript:CAK92403 MCLPFDNKKPNTFQGKRSVFQLQLIAFIQLALLFARQVALFQLGELFEIFFIILLWSAWS SLGSCQCLIYIVITLYYILLKGLYFATRFQNEIPILGETGREKILSILHLTTLLFYIVAQ YIVFLAYREFMALEVEKDYTVEDPESRPLQQNERNQQTPAIKNSAFTGKGITIG >CAK92404 pep:novel supercontig:GCA_000165425.1:CT868668:22939:25747:-1 gene:GSPATT00025138001 transcript:CAK92404 MAKASEEGLSLVTQTKEIDQYSTDPQVIQQIEKHFQDVIRDFSSDPDLELFKIKYETLYN SLKESYENEVRWTKKCKEHNSEIMQYSSRVQTQLKLINDDNQQSESFQKELEEKWRRIED LKIKEKELKERIVQAKIEVENLKKQCDRVVEDIEEQSQIQLNDKKRLIDEIKKNFQSAKS KFEYLEQQNKNLKHDLDNEEKQYSDQIQKISDLEDKIAKIDADSKEQEKRKKKKEVKMAD IKQNIDLAKKEIEEKQSRIHDIKKQIVVTNNTILIKIKEKESQHTKQKEAEDNNAAALKD RQNQEEQLKSYKEQIKIQLEQLEKLQIEIQKEKKEVKKTHKEQEITAKEKNRIIKEKEEQ LIEKDTKEMLIETEKIELQQKKDVLDKINEMNSQRIKSRTQQQKTLKKQEDVQHQTDEEI VNKVNKLKKVENQVMGYEASNERINKMILQLQREQEKYGIEASQAHAKFYQTVEEVKIKN NQIAEQQKQIAEAEARLKHQQQLYETVRSDRNLYSKNLLESHNEIQELTKKYTRMKHQVD QLKEEIKTKDQQLVKEDLEFHKVEEENAKIEQDKAKVEKNIKADEDLIKNQESHISRLKN IIQGAQTEKQRQQKDYEMVVNERDILGTQLIKRNQELQVLYEKIKLNQSSLSKGEINFRE REIELKSLKDELTNLRNELKSTQDQTACIDELRKEINNIEKELLNEKNKVKALSDELENP MNVHRWRKLEATDQENFERILKIQTLQRRLIAKTEEVNEKENLIKEKEKLFMELKNILSR QPGVEIHQQLAQYKESLKENAAKMKTTLSQLKQSQDYVDMLKFEIDRMKGDLQEMKKTYF QMRKAKDIDLLQNDQNGEQEAPQYNGGANQNDLQQYALFGVNANRIGN >CAK92405 pep:novel supercontig:GCA_000165425.1:CT868668:25852:34750:-1 gene:GSPATT00025139001 transcript:CAK92405 MSSLDTNQRRDKLVKKPSNTIPKKSRFEKKSEVLQKPLGIYQAYIKTLLGFELEQEEDFN YNCILKNFKKDLSEDIEIFPDCVFSLINPDYKYKNENHDQCMVNSSIELFELLQSGLRIN QKSLDDLLLLKAIESIYFNLPYYDACYHQKKDPTILLTEENQEQKHIREKDLQQQNLLYE KSDIRYHIDKNEIIKNYVSQLEKQFHNLLLKDIHANIMDCLTLNYKELKQTLLKKWRGGQ YLENQEDMWIRSGTPTQDVSTLIRNALIIKLNKGGFNIQSFLSGEGHEIFVMLNMQDSNL KIVADQGHVLKQLNFWFTDLFSLEPVDKSFRPLRINNRIWKSSDFEVSDLFLYLKPQMIR LIQQINFKRIARETNQSSINSQLFEYGKLDFSEKDDGPTDEEWIAYHKYLTHLEKCVRQF RQSQLIDNELACLLNKQITSLQIYQKRNSSNKEIQNLNYQNLQDHRNYEHLEMINQIQMQ ADRVMDEFSNFQFSLQIPPVKALKLLKKESVSLNYLYSFQEALRVANGEQIKLYNLWERS EIPPFDMYHPYQMPNKENTKTQQAKQELSWRKYVKNENNQISLFSSQERLKLVYQKVSQE LDLSIMHQLGIIKQIFCLNDHYELFGQCSNVQAQITLDTRFFKKKPFQLIDEWKLDYLRP WISPCDLICGYYGEKIGLYFYFMSYFTEMTTPLAFSGLACSLIQWIIWDNESDFYILVTI IFAFVQIHWSNVFTDLWKQKQIYFNLKYGQNDQDQQQVQRSKFKGKHIRSLVNDQLNSIE VLYHEYLKRTLVSSMLLFFFILFYIGIIVSLFVFTVQLHNKYQEELKQFDIATIEVTSAA AMNFIAQLIADNIFDKIACQLTEYENYKTVESYETSFVLKKFIFQFFSYIAPLLFLDYLN QPLNLYCARTNCERHVKYYFSAIVILILFKQIVNFCIFLFKLTKIKIKSYDYNEIDIMEF VEDQSSRQPYSQDFERYGTMQDYMELFVLISFLSIFGYTFPFSFFILWISNIMQIQVKKN TFLYCLQRPWPKNESSLGIWNFFLEIISLICLLTNTGVVTIQYNKQFGYELIMVFLGVLI FNCFGKFFIGAIFGQIPDALGDLMKRHKYLIKATIQNKIKEGKSQENKDALKRFPILKVY GTLNSAESGKFETVSSDDELHDHYEIPEIKQKLNVIKVEQYTKNLDKQYLGEFQFTKILE YFSQRDQNWAFKCVFKNQKEKQKLRLLLKIYTLLYKSQLLTKYRQLWTDRRVSQRFVHMR RKIIQLRNLDYRRYLIISSKLNQMRAYYDEKAQQRFRKDFQLIGQQEDDNGEENIEYTNL VKKYQRYVEKHAWLNTRKVILLKIKALTFKGFRKQVVKKPSLQLIQEFYKITQIIENLEP ENPLQPELDSQIDYSALEKIQFSDFMDIFNNIDLKQKVEWFLPLSSQRNNSSNYFIEDIK SIEFKNIVDQCKDSSIFQKTTTVLEEIILSYFQIEQFPIPQIKFIKHMHDNIWIVKMGQS ETPYLLQFIQIKHGEQIQLQKYADNHEGILYAESKNYFRIVNTVDQIDDFYIKGYCICIY EAFEPKSFLQVLKYRKTYGIPYTEAEIKQFLHAALNLLKLADCKSLTSRNIFLIRGEYYI LNSMTKVNSFFELGKIVLEMLYLEEIEDIKQYFEKLTHPLKWLINELLFLEDNLQKLQDF IQKQYCFTDIKFEIEHHQKQQFHHAVSYQAFTWSMLHKINLNFRMKQFHDALHLTQEYED YIKKEVYQINESPFSYFCIQLIEDLNSYLLSSHSLKKNLDIILIYYYQIAAKFQIKYDVT RQLNYFIDILKKLTFELRLIIKQLISNINVANLNQTEQCIILKTIQNDSEQSSQLLSKQR QFLLAQLRKNLKLIDNILLFEQQVNRFLNQFYALFALQQYFSGHYEFAKLTISSIIETQQ KIMHKQKLPEILSEAQELSPSQFNFDQLSPGMEREEQEIVKEEVWVFNINSEIKTNPLYA IQYIYYLYLQVIILHDCKNELYHMKQKEFLNYNFKDIPAYTYFQQLISSLQFNFKITEKN EQFFKQESQCELGRYSILWLKTNMENKQYIQSELEESTQMEFNWRQLLSYNNLKCSLKNK TALLYILKQTSTNEQMKILLNIRIIHFLLKLYDYCPINFKQRVNILNKDLLGQIDLESTS KILQLQQLHKLYTFGVKIDYFSNINNETASWIFHQGLIQLRIFIYQSYCYSFSKRHFENI AAYINYQEEIYTQISKLMIQGDSTFSKAKNYQILQQPVENMLINTQSDYFGYHQYFLTQT RIMLLQKKKLSDIYQCFVQYLNEYFINSIFILGLIQIYYEFEEIDMANLIVYFTKKLLDN NAVIFNDSHEGFKKDIQIIEAVLKQKRQQLIKPPYNRLYHRNELIEYFEDETLNARYFLF NLPYYFNMQFSQSIMEDFITVSLVKLTLPLQQILKTAFCSIKAECGDEEILTLLKIVSEY KFLEGSLTWAFHSHFLCRYYISMVDMENAYEYSLLVLSYLYQLDLQQGFYIKENKNGFKN HFICFQSEKNIIKQICQPKSIPITESIEDALFDNVNDDFFVIECILNHLEILSRSQFKMP TLTILLQFQLKLQNQRHRIYFWKVIAYVLYRIATENLIPEALLQIQDNKKDRLQELKEIR RLNKKRRNAKVLLREITQQEFEEFDYQNNLINFQMNCYKLDENSNQKIFILYSCQAAEKA ISGYSNQCKDKTKINKHQISELKLLLVQNYIQLNQNGNATQALSDAEDAIVEWFGKIKHP IKGLYFYNLGLIKISFYQQILKILKVFIEESAFNIMEMKIIIQGLAMMKPELWKQMDLFN IGQISNLIPFFKYYLVEAGDNSIFDCPKSQYTENDAKTILDEVLQNNMAFDLSGITEFID AENSFKSFEADNFLTNELSSLIFKIQNDKLKNQVE >CAK92406 pep:novel supercontig:GCA_000165425.1:CT868668:36174:36890:1 gene:GSPATT00025140001 transcript:CAK92406 MQNIKQQFDVGELERRVNSNLYQNQIDNEQSFGAFYNLSAQSEQLSGFSYLNEVEIQQND KIDLKQKKRQEPKNRKKKKMRYKISNKRKTKKIKGFQIDEDIRLLESVGQIISKKDCQYN LRYRWEELLGIVYYEENEEHFIENGSVNQSIDQIDHSSLIKMLPESYSCPKIRDMLECLI TRVNVILNV >CAK92407 pep:novel supercontig:GCA_000165425.1:CT868668:36942:39290:-1 gene:GSPATT00025141001 transcript:CAK92407 MLLLVAICTVGNAYFVPNVQCACQQIITEQECNNWNCQWAVVSPATATTKATGYCQQVPC GDLNQTQCLKESYRCYYQESTQQAAIVCFTLAKCEDIQLAAGATCQSSNPSCVPSNLQKP QCIAAGLSCKNLTTIEQCQPAKGQTLADEGLCYWNGSCKVVSQCGDIATQNQCQLRQFQF ACYWTGTKCSPTTCQQLSQENCKYVLQSPLTGAGVQPCNYIGTTCVDAPVGSLTQSTCSA NTNSHYRWVPIESGGICAQCNPFKYVYRNQCECQQLLQQNECQSSGNCKWAVTTGTTYAC QSLACADYLVQQQCAQVKGCYWNAAGTPQCTAYSSCSEIAGKNGQACVTLSIFCPGSNGT TCLSQVSLNSCSSITTPNICYNSIGKDGYCTYEPGSKACVNITSCSNLMTRQLCDQFSNS CIWKTGTSASCQVKTCSEYLTESSCTYIQNNINNNVLNICTWNPDTKKCNSFDNSFSYDV NSCFSSTGRTHHWSEPSNAQKGLCLPCHYSSVLNVKSNCSCKELTNYTDCALSSPKCYWN AGNSQCENQKCSQLTSQTQCILSKYCYWSNSLGCQATGSSTVTCSQLSANTQQECLSQSI ICAGISGGKCSSSLNNCSDFSTFYQCFGSVGTDGICQWNQASSKCQGISNCNMINTQAQC ELVLNTCYWDASANGTCSSYNCTSLYTQTKSCNFYLSTPNQNYKVNSCYLTNGTCTSAPN LESLTSSTCYASTGGTARWDSGEKNGTCVMCYSQIISVLFIILSYMF >CAK92408 pep:novel supercontig:GCA_000165425.1:CT868668:40648:41584:1 gene:GSPATT00025142001 transcript:CAK92408 MADFLRDFLIGGFSAAVLQTVFSPFYMIKLYTSNDQKYKGIFDHIISFHRNQGFAMWRGN IIRIFPAQGLNFAFKDEYRKLFCHFGIEYPKKEKFLFFLGNIASGSAAGATSLIIFHPLD LGRTRLSADIEKNNARQFIDLTDCLSKVYKSEGFIGLYRYFGVSVMQVSLYRGLYFGAYD TAKETIFQQWLMGNIFVKCFVAFYIHQISDFILRPLDTIRQRMMMQFKRADTLYKNTLDC AVKIAKKEGTQAFFQGKNYSRGFQSFALVLYDESKQIIAYGSKSALDQ >CAK92409 pep:novel supercontig:GCA_000165425.1:CT868668:42547:43134:1 gene:GSPATT00025143001 transcript:CAK92409 MKKIKSYDEISFSQFQEEFGETSYENQPILVHLDDPLPSQTDSLELVLQSVPKKQKKVAR KKKCDFQTKKRKRVNKKKNLGFEKHTPFTFQEDEKILNLVKEHGPRFQIISKYFLDRSQN AVKNRYYKFLRYNWNLIFGEQAFLLMSSQQQHSINQFEEEGAQQELLTNFFDNMNFNPNL TTIVFNRLTSVDYPS >CAK92410 pep:novel supercontig:GCA_000165425.1:CT868668:43624:44834:-1 gene:GSPATT00025144001 transcript:CAK92410 MGGCEFKFRGSNDDSCKKRIYVEMTLQNFELISVVGQGGFGKVYKARLNKTRNIVVALKV MSKVKVIQKKSVSSVMNELQILSTLRHEFIINIISAFQDRCSLYLAMDFLAGGDLRFHLC KYRKFSEAITQHIVICIIIGLDYIHSNGIIHRDIKPENLVFDSQGYLRITDFGIARIWKP QNSHETSGTPGYMAPEVMCRQNHGVAVDYFALGIIMHECILGKRPYNGKSRQEIRDQIIA KQAAIAEVPQGWSTAAIAFANALMQRKPQLRLGCNGPEEVKSHPWFKDIKWANYEKKLIT SPFIPDGKLENYLKSNQLDSLEDQSILHSEQVQWYEHLPQLNGQPACISSIVSPRTPNLR IQLKKEQ >CAK92411 pep:novel supercontig:GCA_000165425.1:CT868668:45170:45851:1 gene:GSPATT00025145001 transcript:CAK92411 MHAQENQIIQESIGTWTKMINVGKQNIIIFILIENWKNNEYKQKVDRVMFKNEKRKNERS SKDSDSDIEREREIDYASENISLYQANVILLEPIIIDKNYLEKDIVAVLGNLVIELTDFT LFEYNSRLPIESNCIVNQSYSTKLSEELGERINNKNFGIFLKFSCSTKNQNKLKKQIKIG NDVIKLLKEFINRQTISSSNNLIDQFIQQLKNIKSSK >CAK92412 pep:novel supercontig:GCA_000165425.1:CT868668:45909:46709:-1 gene:GSPATT00025146001 transcript:CAK92412 MNRHLSKLLKKSFSLSTKPNQNYTMPHPIWNKPELEKVSLEHKTAITFGDHFAYYFIQSM RLGFDVMSGYKKTLPFQSELVSEKKWINRVLFLETVAGVPGFVAGMHRHLRSLRGMKRDQ GWIHTLLEEAENERIHLLTFLNIKKPSLIFRTGVVLAQAWYVALFGVAYIFWPRVCHRIV GYLEEEAVKTYTHMIHEIEREGSPIHSWTTRKANQNSIEYWGLDENATLLDVVKAIRKDE EHHKDVNHYFADDYTQSKPNPFPPGK >CAK92413 pep:novel supercontig:GCA_000165425.1:CT868668:46946:47964:-1 gene:GSPATT00025147001 transcript:CAK92413 MRNDKDKYLLFYLMKNIQLVLLIALFGFAAADITIYKSKRCECSSFIKSECDKWYDCKWN ESSCLEKECSDYTTEDKCTGECQWKGGKCIDEKKECEDMPNEESCSNMAECGWKDNKCIE FTQCSDFTVTKAERCSVLKGENGERCQAKGVSVTTLFYKHLAVAAGFQCENKVYVDCSKF VTEATCKGDATATAKCQWKSDGKCYAFELKTCRDADGFNQMCDPKYCKKDGQLCVNRSCS DITTQAQCTSLPKIDSNKSILCKWGTDNKCATATDATHLNEQTCNDVTFGSYHWVTNTCQ QCSSNWILSIMSLIVLVALI >CAK92414 pep:novel supercontig:GCA_000165425.1:CT868668:48200:49528:1 gene:GSPATT00025148001 transcript:CAK92414 MKALTPKVIQENYYTKYSQLSEECNNKPEKLRALLKQLNDEVGIYLSKKKPVKSTFQIHL EQIQFPNKDVLQKEQMNNVEILKRLKFQIHQQQKRLTILEQKTMKIDLENKISQCEDNIK TLAQQIETQKKITQRQGKEITQIEEQQGVSALQNEIRQIEKSIQEYQLKNYQLYELIDNE QKKFQQFSEYQSKVRDKVIFKEQQSAAILEQDPQIEQQYLKIQLQIQNQERHKKIIDEKY QADLKLFKQDINHKSKQLVELENCISKIHLEIIQINEQCQRFKEFQMKRSSQIRQRIKKS QYLNQQSYQGQEDDQSEHNLQILSKSFTSPQQIDNSVQLNQETDCEQKNQKKFSTILEDL NDGKQDQNQPNNENSNEDTNQLKDETKNDETKNEETNNQVQTQDQEILEKQQESQKIEQQ SQSG >CAK92415 pep:novel supercontig:GCA_000165425.1:CT868668:50312:50740:1 gene:GSPATT00025149001 transcript:CAK92415 MGQICKSVKLDQQLSQIETIQCSVPNDEGDFCKPDNLHKFNKFLEQRHRNSNSTIDPLIN GNISDFTIDQENQQFRIEEQVKLNEKKEYRYQIPENSKSCIKSNNKDLKSNLKSKKKVSF NDFEFYNFSINPKFSSSSQEMI >CAK92416 pep:novel supercontig:GCA_000165425.1:CT868668:51097:53493:-1 gene:GSPATT00025150001 transcript:CAK92416 MEKNKFQELWQTYICPERKLKRFKQAKDKKRYIKKAYPLEFGPDGKTLLQRGPWTQQEKE IYLQICQNHQKECLSNQTKELFQEILQLIPTRNLTQLKGYHNNHNPFQTIIIKESKRIRR TKFQMSQEKLKEFALKNKKKRQIKQLEKLSNLNNQIITQETQIIQFKLDDDKQIEIKVFI NTDLLLNLNYTEDVKLEKDEIKTENLESGIQEGKEQLCQQKTQKDKIVVKLRNQQEIKVE NVEDEPSLINKAKQIVFKELICFIELENKQNKRLFRELKQFQPREKHYRQLVNFKQPHKD DVPQIEQKQNNQKDEQQKKDKKVQQQITQPINTQNLQEVRLSPEKQQKAQIQTNRIKNSV DQKLIIVENQEQTNQNPNYIQIIDNNHNQFDQQQIKQSNSLIKSKKRSLSWQEIQVIEIP QDVQNGQEKPNKDEINQQFQENQEVDDQSENDNKKSRQKLQQLKQIQKQIKREKKVELEK QKSQELERQREIVLEKEIQIELEKERLRQIERDKQQEIQRYKKLEQQKEKEKSREKKKEK RLQFCNEHDRKERNRTKNRELKSLQQLSQQQNKTNNKEPKKAKPQNNQSQKSQGQIHHIK KQSGEQMAENQNQQQQELNLPSYIPHPYQIPMLDPIQQQQQYYMKQLQGSQFFAVKDCYQ QLQFTEGQYQNQQELKNQNKDLSKPDNNPQFSQMIPIQIQNYPQQDQAIQQSQAQVPFQP HSPYIGNPYFYPQFMPVRQVQQQLQDGQQNSNKEQYQVEMMYVFQEVPQNFDPNCFFQFS PQSFVSIPGGSKLGDALK >CAK92417 pep:novel supercontig:GCA_000165425.1:CT868668:53669:55315:-1 gene:GSPATT00025151001 transcript:CAK92417 MNNKAASSKQTPAVRQQPQATTTTSQNRTPQPMIQNMARVTDPKHVPKEIRDQFLKKIHF CSQPYDFNDDTKNVKEKQERSQYLQELFDLLKEPNFVVNLVVPHLDLIIEMIEKNIFRPL PILKKTATNGEIGMEDDDQLIDPAWTHLQPVYEYFLQLIVNEQPDVKSLKIFITHSFIQE FLELFDSEEPREREYLKNILHRLYAKLVPRRKMIRKAINDCFYTLIHETYKFNGAAELLD ILASIISGFAVPLREEHVIFFKTVIIPLHKVQTCQFYHEQLLRCSMLFLSKDPTLATFLV EGLLRYWPFANSAKEVMFLNELLEVLEVCEISKLEPLIPKLFKRLIKCIAGPHLQVADRA MCFFENDYFLTILKSYKPFTFPLLVPVIAQIADTHWHKVLQESLNALKTILKEIDYQAFD KALNNKDPKYLYIIQDAKNQKKDRQKIEEKWKNLTKQAIQKNPNFKEPIVPYSDTHIVGE HNGLNNGNITIL >CAK92418 pep:novel supercontig:GCA_000165425.1:CT868668:56333:57043:1 gene:GSPATT00025152001 transcript:CAK92418 MAHSTLLILGMILVTLNGFSVDISGSDCSCDTFTTQLDCNAASACEWTNSECVDVDCSTK TTIVQCNVANSVCAFTPSSQCATFTSCSDYKYSDEATCLTIGCLADTKGSDGLYPCKAIT SLKKCSEHTTETECTTHQCFWNSQAACVAPTCAQQTTALDCTAIRSDVVTTWQICSWTAG TSTCADATGLTQSNCAVLTRGSYYWNTDSSACEVCQGSSSYAQLITLGLALLMLII >CAK92419 pep:novel supercontig:GCA_000165425.1:CT868668:57352:58889:1 gene:GSPATT00025153001 transcript:CAK92419 MSGLEDIPEFYDDPQQPTKQEIQKYDNYNVSQFKNFGLKEELLRAVKEAGFEHPTRVQAE SLTNALQGEQLICQAKAGTGKTAVFVLTVLNTINTESNKVECLVITHTRELAQQARDEFL RLGKFMKNIKVECFYGGGEPVTVNISTIETVKPQIIVGTPGRLKDLICERKALKVDRLKY FILDEADTMIEDLNMRKDIQDIFLRSPQEKQFMAFSATFTESSRTSLKKFIADNKHIYEI TIKPEQLFLDKLKQYYMKVPETLKFHYLRQILNTCKLNQCIIFVKSSEKADALVAELKKK GEDSVRQLYGGNRLGPDHQKLRQKTYEQFRNGHFRLLVATNLMGRGIDIDKVNYVINFDM PDSLETYLHRVGRAGRQETNGVAISFVKFEEEASDVKKHTDDEVLQQILKQYPDKLQQLP QDLSTLDKF >CAK92420 pep:novel supercontig:GCA_000165425.1:CT868668:58894:59573:1 gene:GSPATT00025154001 transcript:CAK92420 MQKPIQTKIVTLGDYAVGKSSLIQRFTKNDFTDTRTQTVGAEFSPKQLLRDGRLIELQIW DTAGQEVYRSIANLYYKDAHIAIIVYDVTKPKSFEVLKFWMEKLIEEGLADITKFIIANK IDLESQVPMEEVRQYANSLDPKIEVFETSCKQNIGVFELFNHIADIVNEKEKLQQLNKRE EKSNIKIGGSENKNDIVNSCC >CAK92421 pep:novel supercontig:GCA_000165425.1:CT868668:59856:61061:-1 gene:GSPATT00025155001 transcript:CAK92421 MKTTLLLVLVACAFATNTAMFDRIESSDLGRTLLNTIAIQMTTGEPLERIFQTLYDLEDR YIADQKEDDANNQAFQQVCDADLSGLNQELANLEQRNTELQAVLDDLVPIRDQKIGQKKA KELQKAELQKVIDETTAKRQEQADDFEAQRQEYTFVSSVLAEARRLFTDNLQAPSFLQKG EEKVHVTPQIMAQVASHMSQGAHKASTMKHVRTFGKAIKLLANLANRTQQFANQDLTGRV IKLIDDLQNQLSQAFDLARKAEDDRTRAFQAYISLLNKDMNKYNSSIANLTAEIQSLQDR IDATTASQNDVLQRIQAKTQQRDDRRGECQEAAYDYQQRRAARDKDRQTVSDLIGILNTN MRDLKEYIALRIAAGDKDLE >CAK92422 pep:novel supercontig:GCA_000165425.1:CT868668:61120:61435:-1 gene:GSPATT00025156001 transcript:CAK92422 MVDLWPKQNGVCPYDGNYRKAATHIWYARNLNNYFPGMLRNYASRQFLPMYVTLWWADSF GLFTYWKIDMFSGAGSNTWEDSFC >CAK92423 pep:novel supercontig:GCA_000165425.1:CT868668:61439:62215:-1 gene:GSPATT00025157001 transcript:CAK92423 MAQFLRELEIIVTIVRITKYCEKNWYNFSKATINLENFKRSMGTRMQFAFASGGIDWALR LAAFRAVNHGWQRTWGTFEYGFLRKVPGTMFISLLTAPIGIPFEVARMAYYADKTFPKEL QKGYTSFFNALWRIPFEEGPYYFFKNSFPLFARNFFQTLTLFYSFDWMKDKLSVLTRVAE IPYFPVKVLNCFLVYILGNLDKLLPYLK >CAK92424 pep:novel supercontig:GCA_000165425.1:CT868668:62356:62799:-1 gene:GSPATT00025158001 transcript:CAK92424 MIYDQPEHFGLFEKTFTAKEKEEVKQFLKYDRHVPLKLTEQVFHAEADASRFERLGQVGG AVYSFSFFFFPIIKGLPIKQRLFWAAVPGGIVAWLGWRIKEELEWNRVYNCYQKYQVAHS MHKKVFI >CAK92425 pep:novel supercontig:GCA_000165425.1:CT868668:63060:66814:-1 gene:GSPATT00025159001 transcript:CAK92425 MNKILALSLWLSIAFSQMTFQLRSLGNDLEQYYILIENMPITGFCFMSINLLEQDEDFPI EQGDGFLLNFIQGYQGLQTNVIFPGMYSYQYNSCPNKMEVEIGPIKTLHLKANILVKAFF ESTTKQQAYTQLVPYNQYSNTNLNLITDSQITSRLCNLKITYQPIFQLDLEDQIIFTFPF RDEYATVPNQGYFSYQTPDCRVEYDGIVDDSIMCYFLQNQLKITKISSQSLLTIIVQGFI NSQNVKEITNIQFELQRFITSKYYLLESSILNYQINAINQDIFVIQMQSDNHINSQNQLQ LQVKYQDFLYFDSIMIITFPVDFLIDQPIVTLSDNMNSNFISNFIDQTITLSNFVKTEGK QSIISLSVQIQNPLIQKLYSGLKVKLIWQNYGIAQSIPQNILLRPLSFKSFKMENYNKNL IEQTQYCYTIEFQKNFYANSYVEIQFFSKISFDSVLSIKDSQNINSIAQLERDGQKVRIK NIFTNTQFDKEVYFCLNGIYNPSYIDMENESYTFTIMFDNFPQVLNDEKLYINLQHGYLE LQSMAQSEELTNQKQNSINISFKTQTGLLENDLIYFYFDFNNYFFDNLLSDNNEIKCEIN QQQAFCSLQENSIKIQTSTMLIPKSSINIKINNFISNRSLNPINNISIKTFHQIFIIDVL PYAPNLSISKPNQLSYYFVEVIKHTKQNSFKIYMQFKIPLKDTDSIIISLPSNFNCENCI CQYHDCQISKSKITLTNLYDINQIDSIEILQDQNSVQQTQLTETIIQVKDQLGYLIFEDS SSLNTQINQFKDFRISPLNDSIGIHSAYTLQFISNINFNKNDTIILDFDPDFNINSMQCV ENVQCLQNQNQIKMNFQFQIQKNQNISLMIQSIVNPNTISSIYYYTLSQYNENNQLVQQS FIKSNYFKCQFENCIVCYSEYCQQCQQGYLRISGKCLYDCPEGYIIINESCKKCETTNYC QTCSSNNLSQCLQCQDGYFLQSNLCIKKDIIITNNTNTTSPNQNNNSSQNSTSQNDSVND SKNKLVNKNIRNKYYLGILNYLEAGCFCTLILYKLANKNFQMFLTSSIILGFSIPIFRIF SLVFFTIEEQYVFLSFTLILLLFSQLQSFHFQAQIEVPLLTDVQYKLNVQKQNYFYLNDL LFKFLDFRIICILKSKLAKSNLFNFSFKYNEEINAALLLNYKRLITLQNPLQITLLLTAI IINNTNNYQFEFLIYSSVEWVANAIIFYQLRKNKF >CAK92426 pep:novel supercontig:GCA_000165425.1:CT868668:67128:71566:-1 gene:GSPATT00025160001 transcript:CAK92426 MMKKEELQSNKMKLSSGLHKLHEANSIISDLKVKLTEMQPILKQKTIDQEQLLQKLQIDS TEANRVKQLVSEEERQVNEQASRIKETKAEADKILNEAIPTLNAATEALNTLNRNDISEI KSNNNPQPIVRFTLECVSILFEEKLDWDSIKKLLADPNFLSKMKGLDVGKIKQTTQNKIK AKIASNPEFIPNLVQKVSVAAKSICEWVRAVSEFTDVNNDVEKKKSQVEAMNQQLDKANK VLLQKQSELAQVVRKVTELEIQFNQNKQEKDRLDQDIQTTEQRLIRAEELTVGLADEQER WKIKVESLAEEIQLLLGNVFLGGSTVAYMGPFTGTFRNQLIQNWMEKATELTIPLSEKYN FESVLGDALEIQQWAANGLPNDTVSKSNGIIQKYSRSYPMFIDPQLQANTWIKNSYRDHN LKVLKITQEGLIKHIEMAVQTGIPLLLEDVWNNWITFLEPLLLKQFNVINRRKMIKIGDR EVEFDPNFKLFFSTKLANPQFLPEIFIRVTVINFTVTEQGLEEQLLGDVVQIEKPEMEEE KKDLIKRISTGNMNLRKNEEKILNLLANSKGMILDNVDLIENLKISKQDAIQVKESLVTQ EQKSAEIEAARQQYLPVATRGSLLYFVIADFTLVDPMYQFSLNYFKRLYQNVIRNSEKND DIKIRINTLIDGITETIYSNVCRGLFNQHKRIFSFLMTVKIQLNAKQISFGEWNLFVRGA SLSVQPPAMPNTVKLSPKIWNELYQLTTVHQNFIQIYNQTLTNFKETEQLIQSENPWSLL SEQLTPFQKLMIVKVLREEESLYAMTYYVDAILGKKYTSNNQASIEDIFNDTDHKTPFIF ILSQGADPLSSLMRLANQKKISSEKLRIISLGQGQGIIAEKAIESGVKSGDWVILQNCHL GKSFMPTLEKRLEWFEDPELQSSFNTGFRLMLTMFFRIVLNQLLNLLNGLKSNMFKSYTD LSSEQVENCEKKEPWKKLLYSLAFFHAVVQERRKFGPLGWNIRYEFNDSDLETSYTLLRN FLDLPQDIPWDAIVYVIGEITYGGRVTDDWDRRCLLTILTKFINEDALNDGYSFSDSGIY KQPGEMNIDGYRNLINKFPDFEKPEVFGMNENANITFKLTESKMALSTILSIQPRESAQT SDSDQKAKTPDENVLELCDILAQKLPFQIKEQEKKKKQNAPQSNSSEIDSLKVCLNQEVQ RFNKLLSVIGNSIKNLQAAIKGEVVMSAELDKMYSSLLNNQVPQIWANKAYPSLKPLASF YDDMIKRVNFFRDWFNLEFGYPKGYWISAFFFPQGFLTSVLQTFARKNQIAIDVLGFSFK FFNYVDSEMITSTPENGAYIYGLYVEGCRFDMNKGILEDQLPGQTIFQAPIIHFIPTQDY KPNPNEYSMPLYKTSLRAGVLSTTGHSTNFIRAIECPTKKNPDYWILNGAAFTTQLND >CAK92427 pep:novel supercontig:GCA_000165425.1:CT868668:71595:79469:-1 gene:GSPATT00025161001 transcript:CAK92427 MDSDNNKRFNFEQLSQGQLKGRLYKNSNRYDPNERKYTQQLSVISLPQLVPKVSKQRLEP TTQTHSALGVTIQNGRILLDRSGHQSTKNLKSDILIQDQLKKPKNLSPLGHRLLSDHGMS ILEDVQNPNSTINDSIIGLLQQPEKKKEAQMIKKALRKPKKKQQQQSYLSPMDFIYLIRT DPEMADEFCYLNKRDHAYDYQIVEFEDRNQKEYMTISAKGITYFQNDDATFLTIEEWQRE AKLYQDLQKIDFFRKYKLWKNFFLWKRLMRKNILAKNQDLMISNMFSTDKQLRITLLEVR RICQQMAQDIRFLDTSTTVPQTHENFKQLQDKHLMSIMDIKFDAYEQQIKQIIVECCQKS LVNFKEIHRIPLHEDDNEERAPLLVGDESGKDMPYTTEATIRTHYKRLRKFVKYVDYIII DAKLQMMQNSVEHIVKQIREFNEQYKENSGVKRKGYYGKGQPQCWIIIEAVGKQEDIVFN PVREQLFRIFESVVTNSVIRITTRHREMLSMPELQQYIQDESNQQSEKVDVKAIINGSEN FQILCGQMRKELEIAFDYLEQYAEKLKPFMKWYVENTGVNIEKQYADKEVEEYRNAINQY KDQDQQFQDIEPTQEIGMILFDNQKLKAKIKSSAMNCIQELQKFIPEYIYKKAVLFTQKT TQLYSTIAISPITVEQFVNYMEAVNVINNQFEDLSNVSQEVTAMALLMDELRIKIQDKHK QKFAECNQQVSQLRKKVDDAMANYDQNLNKFRKDMERMIPQVDSTVKDLNERISEQPLSS LAADLSDMVTFVQGVRKQVDELKVHAKKLNDYQIALNMEYTPFEKLETFNSEFTLLERLW CGRNEWISNYSNWLKQHYTDINLDDMNNLMEKLQKAANLCAKELDKNEVARVFKSDIEGF RGVYQVLQALKDPAISEKQWNQIRAMILESQQLFKEPILEPFTPINDPKYNVLWITQAGL DQVKDKLSEIALRAAKEIELVKMLEQVESIWKSAVITVQPYRESKDVFILGNNEDLISKI DDTLLTVNNILASRFVEGIRPEVERQQSLLRYFQELFDEWMLHQRNWLYLEPILNSPYSA KNLAKESKIFQQADTQWKKLMRNARDSSIARRWADDYQNRLYFNQLRQNNNNFDLIQKAL DEFLEKKRDVFQRFYFLSNDELLEILSNAKNIQAIQPYLRKCFENLVKIQFDSQENAIGM ISAEGEIAVLKGYSARGEVEDWLKALEDKMKSSLSGVMRQSLIKYQLEDTQRKDWVFEFP LQIIITIDSIFWTKITEENYLQADAEGDLDDWYDANVAMLDELTLLIRGNLTELQRRTLV ALVTQDVHFRDIVDNMRNESVEGIMDFKWQQQLRFYHDEESVHAKQVNAKLMYGYEFLGS TTRLVITPLTDRSLGIKLGAAPQGPAGTGKTESCKDLAKALGRYCIVFNCSDQITAKMME KLFAGLAYCGAWVCLDEFNRIYIEVLSVIAQQVQTIREALLEYKMNFYFFGKNVQLNPDL GIFITMNPGYAGRTELPDNLKVLFRPVAMMVPDYRLIAEIMLFAEGFSNAKDLSRKMVKL YQLSSEQLSQQDHYDFGMRAVKSVLVMAGSLKRAEPNINEDIVLIRAMRESNLPKFLSHD IPLFNAIVSDLFPGMQIPTVQNKELETAIKNVIELNKLQEQDTFIEKIIQFHETLKVRFG VMLVGVTMGGKSQVQNVLRESYIKLFEQYSQKGIKNHAIYQNVEHQILNPKAISMEELYG QFDMMTQQWTDGLASNIMRGYASSETLEKKWVVFDGPVDALWIENMNTVLDDSMTLCLSN GERIKLKTQMRMIFEVLDLAVASPATVSRCGMVYLDDKVLGYEPIVITEAMTLLDILSRD IIDHLLIQIKVSFNKSINQITKTCKQLIPVQETQMAVGLIKILRMMKYQKNTLKKIYVWV FAWAVGATLISDDYPKFERIVADTFPVEVLPRGSLFACLVKITKTDGLVDINYTQWNDII PQFEYIKGMSYFDMVVQTKETVAHGWFLEQAVNTNCPMFITGVTGTGKTIMVNSTVEKLR DDGLIALMQITFSAKTASFTTQLSLEQKLQTQRKKGRTILMPPPGKKFVVFVDDVNMPSL EQYGAQPPIELLRQFIDYRGVYDRKSFNWKDVDNTILICACGPPGGGRSPITVRFTRHFA LLSVPNSSDETLSWIFSTILKAFLKNNHFKSEIVDLSENYSIVNATLQMYSEIQKALLPT PEKSHYVFNLRDVSKIFQGILQAKPMIYQKSEQMVRLWAHETCRVLMDRLINSQDQDWFK ENLVKNIFLFFKIEYKVNELFDSQPPLIFADFQKRAELSDRIYEEVRDYNQLIKVINEYM MEYTKMNLVLFKDAIEHLTRISRVLRQQRGHYMLVGVGGSGKKSLTQLGAVLAGCKIDTI ESKKNYGKKEFKEDLFRMMCAVGIENRLVAFSFSDTQILQEGFLEDVNNLLNSGEVPNML TKDDLEIINQGLQAEARELKINDIYPYFVQKIRSNLHVVLGLSPIGGQLRVRLRMFPSLV NCCTIEWLHKWPQEALMSVAEMFLESLEFDGLTKDMRQNLYQMCVHVHQSVERKCDEFYG CSQKKCVCYTQILS >CAK92428 pep:novel supercontig:GCA_000165425.1:CT868668:79514:81039:1 gene:GSPATT00025162001 transcript:CAK92428 MLILIETPAGFALFQVANTKALNKIDNIYDYLQNEKQAKKLVTPFAFQQFKDTQEALVAT SKLINGKIPKKLSKFLEKNVISQEVQDQIAVQDKKLAKQLQEQLGLNCIQTPVTEQLFRG IRSQLTNLIEGLSESELKNMTLGLAHGLSRYKLKFSTEKVDTMIIQAIALLDDLDKEINN YMMRLREWFGWHFPELGKIITDNLIYAKVVKAIGMRIKTSSTDLSGILPENLEADVKQAA EVSFGTEITKEDEKFILCLADQVIELTDYRSQLSEYLKNRMQAIAPNLTTMVGELVGARL ISHAGSLVNLAKYPASTIQILGAEKALFKAIRTKHNTPKYGLIFQASLVGSAPAKLKGKV SRTLAAKTALCIRYDALGEGQDAEFGVTNKSFLEKRVHQLEEGVNYRDVKAPQRGKAKPV QSQTQYQEEADFQPQGATWMQKFQKGEDKRQASQDIVQRTQQKKVKQQ >CAK92429 pep:novel supercontig:GCA_000165425.1:CT868668:81051:82538:-1 gene:GSPATT00025163001 transcript:CAK92429 MQQSDQVEVEYRIEMLTSELERCSQTLQQKSIECEEWRLKSLGLEQKIKEHQAHIGSQKQ QFEDLAENLKSKAIEVEDLRSKYNRVQFITIKGYEERMEQLVGEIEKLNQLLMQKAQDCE SSRQQVMTKIQELQEERQKCFHLDSFIKQIKSEKLEALHFLNEKNKELEELKERFREADQ YRQKSEILENDIETIRVNYMNIFNQKLEEIEALQAQIDTQRLINENTIRISEEKYAQQIQ IERVNLQRQIKETVATSIVQMENQVATLNNLLEAKQNEIDKLKLQRHNIETEKMNSMLID KNIKLQQLERQLYERTNTLDQLTQQIQNGQLIPATSQSLLERSYKQLQDDVHKQNIEMTM LHQRCLELQEDVQKKANMKANYEGQINTLLIEIANLKRDVYNKSRINSQHSENQRLIQIE NEKRAIKQKYEQDLKIAEDKIRYLLSIVDPRVLEQQLQYRGNSNPNPLKQNCLEQHLLP >CAK92430 pep:novel supercontig:GCA_000165425.1:CT868668:82594:84311:-1 gene:GSPATT00025164001 transcript:CAK92430 MRKNQTTIKTRPARAISQAKDPRESQFPIIEQKQAKPSSVIRSSKKSLDFNRLIKNPRLN DSRLEEALEADLSFKKMELKDNRLQKGSPQKPNLQLISETSQAENEQRASTPPRKNRKEG QDFNARSESFATLEPMHHAEETLAENLRIFIKIYEIMNYLLNSMKKNTTLELINQINQYM ELTEDTGFQYLEELLQEHAKQVRQIFLILRVGIVVLVNCFFDLHFYLSSMSNIKNILLYN IQNLSHLSDILLEKLKEKTRISSIFIVVFLIVIQHQQENHCHQKARLLDLHQVEHRIITK SLQIPYKKVRRDLYQFLIIFLRSLQNYTIKESLRNLQEFISFYFTIQQSYQGLLGIPLIC VAPQPYLPYTNPKTYTLVLDMDETLIHFTDQTGHFLIRPFTHQFLQEMSQFYELVVFTAG LPDYANWVLDQVDKNKNISYRLFRQHALQYSNQFIKDLSRLGRDLSKCIIVDNVPDNFQN QPENGIFIKTWYSDQNDTALAELGPILKSIVMKKAEDVRLALKEVRKMLTQSIQLPPLQG >CAK92431 pep:novel supercontig:GCA_000165425.1:CT868668:84334:85891:-1 gene:GSPATT00025165001 transcript:CAK92431 MNKDGLETKLHFLQQEVRQLQEENKELRQLLQLNKEVIKIQKECSFSSVLMKLYYKQPST SNSRKEDNSIKKGEHNSERGFQSLFSQLYDENNQLYALNEVIAKQRDEARSQALIFEQIC EDSNHRNMELQLERQNQLLDLQRKLNEKDQQIISLTEKLFELQSKKKIKQKIMVSPTEDV FAVLNQIEQMRMLIGQMIKENKQLREEKNKIQILIDVLQKQALSKQDYTPPILSPNRSHD ESPRNHNLKEHKQVSGAKVDDSSYVEILPAKVNIQFQKNSNIPVPKLDLAKAQKLQQLNI QKQEEIEEKEEIELKAFKLSQSVKDNQQKAQTPSAGNPLNMFASPNKLCVQLNQLSDQNK ALQKVLAQCRQKLQNEILLSKTLEDQVSELERKIIDLENVNEILINSQIKYEQKWQKIHL QYIAYKEYFEANDQIHESARDNKCTLQTMMSLPLSAKHRKSNVSQNLREIAYTLYNKCKI EERLNNVEFKNLTNMKRSSSL >CAK92432 pep:novel supercontig:GCA_000165425.1:CT868668:85908:86465:-1 gene:GSPATT00025166001 transcript:CAK92432 MNRQTSQISHNSSTQLFYSQYLKQQQVSPIAERFSGDEVHELRKKVDQLTKQLEFKEKII SEMRAHQKQLMNRLPEQKQFQYENLAERLKEFEQKMKEKEVQFQNQLIESSLLIKENQSL KQEITRLESCIKDPSIPKFVNSIVDLVIQCHPINHFPSSKPELRQCWRWLKKILNDYIQL KQREH >CAK92433 pep:novel supercontig:GCA_000165425.1:CT868668:86951:88189:1 gene:GSPATT00025167001 transcript:CAK92433 MQQNNQSYLVKKNHYLIEKSYTQRVNNELRLSKSNPQINCTNRTHTLLNINTQNEEDDLS VILKSSNQNKLQAYKYKSKNPYLVKLEKIQDLVFQKKLQNVCKYNKSSKIHNVALPVPKG TCSIMLNKNKNKNNAFNISTLNKKLDPKVKYKMKWKTIQWLIQFRKDAINQLFKNYQYIV KFAKLKHKGLTKQDFHELMVAVGLGTDINLLEKLFYVFDEDSNGTVDYKELIIGLEIFKE DSIEEKIKVFFDICDADGNGSISEKELYDVLKTNIVAFHDRIKLKKTIHQIFIECDQNGD GVLDKEEILEASKTNVTLRQLLQQSINDVKQIDKMIENDLKEPFNEWVPASANFINYKEG IFYPYNDKIIQAFKEIEEIKTINSNFSIF >CAK92434 pep:novel supercontig:GCA_000165425.1:CT868668:88275:90122:-1 gene:GSPATT00025168001 transcript:CAK92434 MENNQEGNNHNETEEEHQQNEQQENEKNGQQQNSNPKQQENFPSKQNREQQNNRQQQEDN PEREEEFDEEDIDANSFTPRMKDACKREGFKKEDLIQKGKDLIRHMLKQKDPSGQLANED VIQMVFDHFEEKRRLKIQVVRQMRQKILQEESQGLWPNQLSNSSVFRSTSSLAEKREKLL SSIRKRQELEIEKIINSEQKMEELARNNAEKEKRIQEKEKQRELKIQWQKEQAEKKRLQL EEERRKKEEDEKRIQLEREEQYKIKDQKRKQREEELERKRKEENKIKEEQRIEKQKKIQQ DREQFLNRQQEIQDIRLKELQEKDLRRSQKFEDERLKRLEKAEQERLEQEAKLEQVRRLN EEQLNKKRIEFEEKQKHVEQQRLFFENQLQEKKKQKEKEGEMKQEEINKVIKQNEEQMRK KVIDYEKKQQELQLKKRKLEEEQKLYQFEKQKQFQEKDRKIQDVLIRNEYQAEQHKKELL KKLDQAKFKCERIEKEKQEELTRKLAQAFIKELDKQDNLQRREQLDELRKEQLEQRIKQD LLKSQQIQMEKEHLIKMSEQLRKEAEEKRQQQLLEIEKEKKKKHSINSHRIITDMSKSKL SNRAGEE >CAK92435 pep:novel supercontig:GCA_000165425.1:CT868668:90364:90998:1 gene:GSPATT00025169001 transcript:CAK92435 MNQNQNFQSNLNLFPEIGTHGARGKQYGGNNITMRGTEIFEGLTTGRPSKNIIQAHFKVL RFYRKVCRLIPFLLRIHDMEVTCNSQQAMVNVANVIRKRAYLRDPDAVDRWVYRGYELLY QAEWHMLNRDHLFQYFSNQNRSDAGYSYLENQKLKGKSEFLKDFYIGNKTYEY >CAK92436 pep:novel supercontig:GCA_000165425.1:CT868668:91035:92524:-1 gene:GSPATT00025170001 transcript:CAK92436 MSEIEQLIKQKEEIETCQTTLKIWCSLKKNLSSKFKNPSEAFKALKKEGKETLSIEDFAD YSKGLDLTNVFKDTTLNEDNFVKCWEQWEYQAKQNEHKLQMIEEKLELLSILEQKDKEGI KQLEAQKNKKILNIIQNCDNLEQLQEKLDTLVQGTQQQQKEKTDVIQNESECFNKILQKK SEIKAPELQINKLQQKQSAEGSENSQISNKKKQVSYLDGSTNALQKSKININVSSSQLQT SRLTAANQNKQSFINESQVQISPTKGQQFLKNPPVTQQQQFKSSPNEERESERRSHKSNR MRGDLQSYISDLFQNEREQSLRQEPRHTRDYDRPSYSSDQRGLSGFPNYRKYFKNQQSQR EPQQLEPESTNISKRLQNIRYKLDDFQNQKLTQYRSKPQTKGLQDWSPEKPIRLSQQKPT QGSRKSSPQYTPGNTHRLNLDKLRQEKQGSGKQQQQNESESGFLSMEQLNQTLKGDSQAN A >CAK92437 pep:novel supercontig:GCA_000165425.1:CT868668:92878:93530:1 gene:GSPATT00025171001 transcript:CAK92437 MKVKIKISTSDQIEPELTNMYHKNNKLIDILQKLLAEFKLNYPYSQNSDAKDPAKIELEK RILKYTNKMEKDWKDVKAVGDELIKEYISVQDQRVKLKQLKSCIAELNDKLTNEIKCMSQ FQADYSQEEVNEDNILKILVLDQAEDQICNLQANIHGIRDTYKYTQNRMKTSSNVTLEWV CSIVKQLAEQEFNDIQLLKKCNGYFYNQ >CAK92438 pep:novel supercontig:GCA_000165425.1:CT868668:93785:94714:1 gene:GSPATT00025172001 transcript:CAK92438 MFSKSDRVCFQPTYNPPVGYYEVNHQHQAKGADFSKSISRNLESRQSVSPQNYNNNLPKM SLASPQLSAYAKPGERLKIEQKYKKPKERSYSYSQNPDISINNFDRLPIKQKGKRLKIVY QKHTTDVENSMEEPNQLQVQGYYNSRSQTPFTGQSKRRKPKTHRNIFSLFPSDSLELAQQ REKLKDKPIPPPGAYYNEFNDSSIKIEKIPWRFQCFSSTVRRFSQQQQDTGPSVGAYELK TKSKAIGVIQLDKYSKRQDKQLNFPGVGTYDIEQPFTQSKPKQKQQQDFPCPFGSSGKRF >CAK92439 pep:novel supercontig:GCA_000165425.1:CT868668:94743:96307:1 gene:GSPATT00025173001 transcript:CAK92439 MSKSQVPPEVKAYIDEYKMEDVIKDAVNTILSQKPADPYTTFSQYFGKLSTPVIELEDIS GLEMLNNELKTSIQLIFTVQFKNQKLQYKSPLQFSQSYPEQEIFYDDEQKNMKQAIQEIE KVSHQLKGMKSKEQKEVDEILLKYLSEHPNRINVIQQISFSLLPLHAHFMNQRLTQLLRQ QFNTQEIKTPKLFVNLLQGSKVVASKCKIYKFLLICEGEGALQKIQNVVNNVKKTIISGK KGEAGLQFYQDGTFICPGDTIPDNLKIIEQAIEAAQLKDHVQLGLVWLAELFYVPEEKKY DLDNPKKLLDADQLIDYYFKLCQEKPIIVYLEDPIHHTDIVGWTKITNKFKDSKVKLGSR RLYSNIDKAKKHCEILSQQTNPELSEQQITQMNNERIHLDYMYKPLYEYNTFTELYNHLS YLISKKSSLQIIIGDSLVDTEDSYFVDVAFSLPNSNINIGPPLKYEKIIKYNKFLKLCHE SD >CAK92440 pep:novel supercontig:GCA_000165425.1:CT868668:96349:97128:-1 gene:GSPATT00025174001 transcript:CAK92440 MNIKRRTIAPPVPKFNLNEFETNPIEILPNEKQVEVTNCYSTMLDNNSDTSSDDNQEQNV DRKKLSAIVEEMKNQIFLLGLKEDLLKIALQLLQPDLLSELKQKKYTAIAIAIAGLIAAI KQTSIPLTQREILAKFSVPEKLIKKILLKIHNNYKLESVVQAFIKSISTNLGLNDRFINY CIQVFNKIQQKNLIQGEHENVIAAAIVKYSGDIIFASRGGILSTVIAQQAKCSIGTFKLF HKKIMENQYFTMQTLRSLS >CAK92441 pep:novel supercontig:GCA_000165425.1:CT868668:97619:98622:1 gene:GSPATT00025175001 transcript:CAK92441 MSVQLNDRHITQLEELESLKGKLQCPSYRSRNLSSDRQYTPSLTNDFRSTRNSDQQKDSQ SVEKFMNLKQQNQNVDYLSQLWKQQKQSISLTQSLQSQNAICTFVIFIKLAEKQEIDQQI PKYTHQVIEEEENLVTEQDANKIKVVQAVNNVRVNGKFPTTTKQGGQKKSQQQAQNLQTS NNQGQNKKTNSNINNNNIRPQTTKSQVQVPQTPQTQKRTVQKSNTKSFQDQTLDIPQTPS QKLKQSSLLEKTRQGKVNQAIGKVMTKKESEEDDKNLVFQLQRLKESVTKLYSGRFENKM AHSSQFIEQQLLSLTQKF >CAK92442 pep:novel supercontig:GCA_000165425.1:CT868668:98851:99261:1 gene:GSPATT00025176001 transcript:CAK92442 MKSLRINNQYIMSRKIASGAFGFVMLGFDQKTGQQVAIKIEKPENEHLRSLEKEADIIRR LEGVQGVPKILYFGKQDDFNVLVLQLLSKDLSSLIKKQKKFSLKNLFYRLGLNQLKYWMT FIKREYCTEIQNQKIL >CAK92443 pep:novel supercontig:GCA_000165425.1:CT868668:99265:100320:1 gene:GSPATT00025177001 transcript:CAK92443 MKIIDSTLLILALVRHLCVKMEPIYRQPFIGTSRYASIAAHKGNELGRKDDLESLIYILL YFIIGNLPWQNMKQIPGDQKVLQVGELKQKLTLELFKNLPEELKKIYEYLRKLTYATEPD YKSIVKLFQQAAKNGKIVIDSMYDWDIQNTAQTEMFSRYGTIQFDDNFLIDQYLSNQQIN VKQHHINPNKRKTFCPSLIKQTFNENIIQMVKDSSRQSNGSNSAMGNIEEMYQLSKDEIS EDSQQIIPEEGQQDLSLRVKTLPDYLKKPKIKQRQNQTLVFDDDWVIDSGTHLVDNYKKL QSLSNQMTAVFHHKQK >CAK92444 pep:novel supercontig:GCA_000165425.1:CT868668:100814:101360:1 gene:GSPATT00025178001 transcript:CAK92444 MQRLSSLLIGFGLGIFGMSLLYDPWGQERFERTVRETARLENSLLNDVDIYLQMKMFLGY MLVACSFVSMNRIGLLYVLAIPALLVYAALNYNHVLYKSERLGGEQIIQGALFYVAFCFA LIDGLIKPKKLPQPKQSEKNVQKKKNE >CAK92445 pep:novel supercontig:GCA_000165425.1:CT868668:102427:102962:1 gene:GSPATT00025179001 transcript:CAK92445 MRSIHQYNQGLYKIWKIMKKVYTVPTPREQKPKGQQKSQNDVIKQTQFAYIPDQPTQKEQ SSKMARPYLMFKPKLVEEIDENNMKKMKLSFHNSITDLQTFEELAKESQQRESTPNLNLT PQEKTKTFVRKSMRHLTQL >CAK92446 pep:novel supercontig:GCA_000165425.1:CT868668:102974:103724:1 gene:GSPATT00025180001 transcript:CAK92446 MKHNQQLPNAHMRKHWTRFVKTFYNQPAAKRRRQLRRRTLALSSSPRPVELLRPVVRGQT IKYNSVQKLGRGFSLIELKEAGLNAAFARTIGISVDHRRRNLNQEELNNNVKRLKAYLSK LVLFPRVAGKPKNGVVKDSTNEVVAQPVAQNTNPEVITFQRTPKREKATVISKELRAKNV YRRLRQEWYNAKFVGVKEKRKQAKETKK >CAK92447 pep:novel supercontig:GCA_000165425.1:CT868668:103748:105807:1 gene:GSPATT00025181001 transcript:CAK92447 MSTKKQVHGGKTSRSNSKQSTSNPMQVRKRFSSLCSPPNNSGTSPCVFCQKFKNQKVESY ASLLNKALFAKYSSSQNYYYSKDINDIIDEESTPAVVFYRDLECIVEEEEYLKRSYVKKE ATSKIKALLEYYKYHKDIPRLFMQKVYITINKFHEKKRRIEYANIKRKLNIPDEDLLQQP AKIKEKKKQKHSDEDVSVAQLKHLLKDLKLDTNSYLYKKVDISSSVQLREFVQQIGQKYD YLGQISGLLSIDQSNSFLLRSQDLSTINKNLKQNYLINHHKQEPNQNSKAQILDSKTTHQ ISYQGSFDKINFNKLHFNNIGEVSSLKAENSIKAGEQTKRSSQDQSPLRNSQQSQMIQKV YSQIEGFANFVQKQQQSLQKQQKQNYAQKSNSQRKVSANFNSTDCQFRLPSRQSSNQKQY AELQSQQVNFLRTRVASQLQKYEDDFKSIKHQPLATDRIQRSSVYNVGDKSQQKSSSKNI QKIPQKFTQYALATLRNLQVKNSEVKKKQTVNKNNVQGPTLNSQLLHYRTKSQDTIGTYK ASSSKVVCETRQFKRSIKNYKQHQFLRVIQKGRWCIQYQLKQYNLKSSAKIKQGGSSTAR INSPQKKQSPKAFSKSITLKDLTQVPQSKK >CAK92448 pep:novel supercontig:GCA_000165425.1:CT868668:105820:108149:-1 gene:GSPATT00025182001 transcript:CAK92448 MIRFSLQKGQQILRKQLSAFSTQQSLIEIFIDDVPHKVDPSATIFQACYSAGVIIPRFCY HERLAVAGNCRMCLVEVEKSPKPVAACAAQVAPGMRILTKSEKTRIARGGVMEFMLANHP LDCPICDQGGECDLQDISEQYGYGDSRHNEYKRAVEDKNFGPLVATSMNRCIHCTRCIRF SDEYAGVTELGTSGRGRNTEIGTYIDKMITSELQGNLVDVCPVGALTNGPYAFTSRPWEL KSYDSVDVFDTILPLIQIDTRGAEIMRVLPRIHEEVNEEWLNDKSRQAFDGLKKQRLTLP LARDAQGNFTDLYWPDAIQQAAKKLQSVKGEEIVGVIGEFADCESIVALKDLLNKFDSDN FEIRGVGVPQLDADFRANYLLNSRITGIEEADVLLLVGTNPKVESPLLNSRILRATRKNN LKVFVIGPANDLTYNYVHLGNNASILDEIANGTHPFAARLKNAKLPMILTGAGVLERVDG NAIHNALKKIAQNSPVINPQLGWNGFNLLHKDVGRINALELGITARKSSVPAKVVILLGV DNNLRAHDIPKDAYVIYIGSHGDEGAYYADLILPGATYTEKNGTYVSTEGRVQTTKLVAL PPSGAKSDWEILRALSEECGCALPYDTLEEVRYRMAELAPHLLKYDYIESSVLGQVALKP ESSKQTISATPFKDLIDVSNFYMTDAISRQSVTMAKCSTAFNPHKFSTFKQL >CAK92449 pep:novel supercontig:GCA_000165425.1:CT868668:108257:108926:1 gene:GSPATT00025183001 transcript:CAK92449 MAQEKEIEIKEEKEQTQEHHHDHNHEHDHDHDHDHSDDGHDHQGDDKDKKANRGEKKFKK AMLKLGMKPVTGITRVTIKRGKQFLLYIDNPEVLKSANVDNSFIVFGEAKVNDPTSQIGK KEADNLASQVTKQVEEKQEQQQAQNPEQVSDEGIPPESIKMVMEHCKCDRSKAVEALRKS DNDTVQAILSLTG >CAK92450 pep:novel supercontig:GCA_000165425.1:CT868668:109016:110706:1 gene:GSPATT00025184001 transcript:CAK92450 MAAVSQKIFNNQFVIQKKISSGSFGVVYQGYDLRSSEHVAIKVEKQEIDDLFSLDREIQI LRNLHGLPQVPKLKWAGKDQGNNVMVIQLLGRDLTHYLRQRKRFSLACVLGVADQMLTIL EAIHSKGIIHRDIKPENILAGKDRDQNTIYLVDYGIAKQFKDKDDKHIPYIENKPFLGTS RYASIAAHKGQELSRKDDLESLGYMLIFLLKGSLPWQNIGYKNEDEKVKLVGLMKMRVTA QELCQDLPIEFMRFIDLVRKMSYREKPDYRYFQQLFQRVSIQQQVEYRFDWYDESNFEKK SGSTSPKKQQSIKILDQSYLIQQIYSPNKKKASEEFDDTGPSKRESNGRPSIINNNSNNL LMCDSRMNLKNKSVSSFNESNLQYSDIQPDLSCLIAYQRNLRYGSFHNEADPQKQPDRSA STQDKLKVKHKLSLDVKPNCYKSLVEFQLGLINNPSIMDFTVNSQNQLNEDESPCLDDKF NILKVSSVDNKFKNPIQLFRLNFKERSSIKNN >CAK92451 pep:novel supercontig:GCA_000165425.1:CT868668:110706:112411:-1 gene:GSPATT00025185001 transcript:CAK92451 MFRNRLNSLRKLAQFCTAESLSGKKAPTTQAQQTKVERNFQLQFSKSALVDFGELPTGEI PEALKYDRPSALTQLDNGLRVVSELYNSPLASITVAVKAGSRFETLESSGVSNFISKLNL RGTTTKSREQVEAEIDYLGGSLKVKQGRELQTYTLTFLPNELERAVSFLGDILTNSLYSP AQIEAEREGIYRESVSINDQYKVVAEAAHYTNYRDHYLGQPTAGIRDNIPNVTEEQIRQF HKANFVAPNVIVSAAGNVNHEDLVSAVNKAFKGLGTSAPTEVPNSEKPYATPSIMLMKDD ELTNLNVGVFFDAPGWNHPDVFALHHFQRLIGDHRADKHTGFHLNSPSRQYNTMHSLLGG LPDVTYQRCVYYAYSDTGLFGNYFIGNEVFATQMAYISQMVLSDYASSVGQVEVFRARAK VFNELLSQESSAKQSREIAQQVFYWGRRVPRSEFARRISALDAGHLTRVATRHFWDKDIS VVVWGPTHLLDAVAHYNRSWKRSTLGGYAQPYYEG >CAK92452 pep:novel supercontig:GCA_000165425.1:CT868668:112629:112991:-1 gene:GSPATT00025186001 transcript:CAK92452 MIYDLNKNQESIELDTTEEEFDNLCHFLAQMKEIFKDKEDNIGSMEDLKNSEQFKELFKE MIDEKKVLELLTTAEYLDIPNLIILLAAQYSALIKDQRAFITTD >CAK92453 pep:novel supercontig:GCA_000165425.1:CT868668:113945:115933:-1 gene:GSPATT00025187001 transcript:CAK92453 MHVPPKDIQVRINDFKEQLNQFRKILFILLEDPSSSRLAGLIQFIICFTILLSQVQILCD SLFDNNQTYNDLSNNCEILIFVVFAIEYLLRLSTYTVYGYPLKAFLYQHMNIIDLLSIAP LSIISLMYGKTALNGFRILKLFKVIRILKIKRYLKGVDILYKSVADCISQFYFLIIAFLQ ITLAYAIVLYYSEHTENDLEIENAVWLGIVTMTTVGYGDYVPKSIIGIIVTCIMALMANT VIFSLPVAILNIEFQELYGSKKEAEQISLLKKSMRSGRRDSIKNKEFSFFNQRLQIIEER NKEIQDLLNKSNKMAKELTKDLKRLFLSVNDDADQLLDNLNSPRLTKQNVLLVKANLYDK LMRAKKKIQITNIFRNLIQDSDQEKNESPLNQTVSVKANKQKCIFRILADRKKNKKKIGL KSHSCENINQLIILKNEEYGELPFDFLNEVILQIHDDMFQDIDEHPMNQQQRSIFIPESS EIINKESSFRFNSLYGFDSPMVRLNQSNSGSPNQNQRKISDFTSLADKKSIINLKSAYIP PLFLHESGFRSITEKSNSKFKNSYTKQEIDEMHQKTKPRIPHQFVNYNNNLKDIDSGIKK NEFRKKQFKPSIVDFYSPHQIYLNIQDQCSCNECQKLQY >CAK92454 pep:novel supercontig:GCA_000165425.1:CT868668:116785:117164:1 gene:GSPATT00025188001 transcript:CAK92454 MMTSTQSQFNFIRKQIPKAPNSRQFSREKSQPMLNPITPTAKFRIHHNNQFLSREKMQKT SNNFFNNTAESTKITKAQNQSLLRKPEADYKQLIFDKEDQEEEQQFQVLCQTLDKIL >CAK92455 pep:novel supercontig:GCA_000165425.1:CT868668:117263:123895:1 gene:GSPATT00025189001 transcript:CAK92455 MYRNYDPTASPALSHQSQDDYEQKIKQLLRANDKLNVVVREALAENEQLKGNIDVLYKEN KDLNQVNIELCDQLEKIKRNTRQHSMHDKPINDYEQEITQLKLLLQEKQKEVNSFKIRYN EDLQIKLDIVDKGINSNKLKQLEEQNQQLIQELDLITKRLLERDNAQGNVDYEELLRERD QEIEILTQQIIQISNNKQVKQFDPSSEKKQITETEEYYGQIIKELQEKIEQLRIIVIEKD DQLNQGQNQNVLIEMETLQVQLVQYKIEIEGYKTRMQYIQNELSEKDHLIEDLQNIIKDL TKKLQNKYPNDNQNPNQRNPGEATKNAADIYQEDEDNQDNPDQKDQNEEKEKKKKKHGLE GAKKRDDEDSTKELQKIIANLKAENQKLQQELNQAMFKINQQEALLKEQDKQLNILELRE KEIRQLKDQLNKQYKLEQENKQLEKKVGELEQRVQDLMLELENHDSDNKLNQKSQQKKEA EYQKALQKQKDELLANQKKIDQINKQMQDEINFFEDQMKDLQESLKVKDQEVKKLQEQIK ELTKSLEKSNTQSDQIEKLHQEANSQAQQLEELEQKVQSQEYDIKTKEQEIKRLKEKNRD LQLYQLKLKDYEENINKLKEEIDKLNQIDKQQQEDIYKLEQSNKTKEYQLTKYQEQTREM TNKVKEINEEKTVEMRKYLIQNEELQEQIRILEIEVKKLQSNIQGNQRTPERTTKLQQEL DELYDKLNQQIGENGDLKIQIQHLQTQIKLKDQDVKKLQEKLQEVQQDQNKENDLIKEIQ ELHQQINKLEQSTKQLQDQINKLENLIKQKDQQLKNHENEKESWKDNLTKLENKIDELET QQIRELQQQDKLNRETIKKLENQLKQKEHELKKLQDENRLQQEKISSLEAMIEQINDQYH TSQQQLNEIQLKFQLTIREKEFEITKLKQQLGSQKSPEIQAEIDQLHQQIIEKETEIIKA REDTSELQQKIRNYELDFKKLQETIKDYQKKQERISQLEILNSELKIKDETNQVKIDDQN QTINNLEAIIQSKDQTIKKLQEQQREFTKKGDQLINIQKKLIETEQQLQEHIENDHLNQE RIKSLEQQISSKDQELKKLKDQIKEIQKENEKLQSKQTEMEQLQQKLTEKQSKIEDLENQ IEELLKEIKKKSQENQLLEEKAQQLKKLEEKYKKQSNLVEEHKQSNDQLENKVKSLEEQI QINDDEKSSLEREIEQLRKKLEDEKKQFENKLNQQAKQKDEIIAKLKEKIAELEKLEAEH FEFTQEVEDLKEEQKSRKNIESKLQTENNVYQKQIKQLEQQIKQLQDKLKEEEEANKQLQ NEMDQTMLNIKVKDDIIYKLQEQIKKLEFSVKEKKEEIKKFKQDISERTSQISQMDLIDR EKEELNDQIRLKEKAEESLKQTITTLQQQITKLTKQVQQLTQDKIELQSQIDILIDVENQ IKLKEIEIQRLMQIENDYNRQKEKIKTLDKTIADQIQKIKIYQEYEKQTIEQIKNYEQDL DEKEQTIQNLEQEISKLKQQIEDYQKQIAKISKEKENVSQKVKSTEVNQQKKLDQLEDEK QELLNDLQQQNSLIENLQNQLKVLQENYDKLKKVEKEKEDIKKTNDNIEKKYKDSIKELE KEIQRLKDEMIRKEHNNSKEIEQQIDKAQKLKQQNTQLEQTIKNLQNNEKKLKQLEEQCN QINERSQEKLNKKDQIIDDLQKQIKDLNEQINKLNKILGNNSQDEGDVIEDFAEDVDVDD NKKTKKKYEKESKNDKNDQKNEQKTEQKTYRQLEKEIEKLTQENQNKTQKIKELEEQLKK SQEQNQKDTQEKQQKNQKENEQNQTIKKQETDIKKKDEQIKKLQEEIQKTEKNNKEKEYL EQIKVLKQDIDKKTDQITKLQEQIQKLQKDANSQKQKDEKYNKTEQELKKKDEEISKLKE KIEKDQKDNNDKKQNEKNQNELIKKHQEEIKKKDEENQKLKEQSNDNNKLKDQISKLEKE KSQTDDKIKKQEDKIKELQKQIDDQKQKTPTKNREDPQGQSDKKPGQSNDAKLKEQISKL EKQIDELKIHIIYLESLLKQHGYDPNKLKPGKTDPDSKNQTPKKDENNNDYPDLQQVNVV NIRRLMAEYKYEKDRYENKVVQYTDELIKQNNLEKDAEEKIKCLEDDQFVYNDPDNQEPK VYVVKNEAGSNKKVK >CAK92456 pep:novel supercontig:GCA_000165425.1:CT868668:123961:124511:1 gene:GSPATT00025190001 transcript:CAK92456 MQQQAEVLQISMVQEEITIIEFQTLDGPDYQIRINENTKRKFGQVKLLNNEERFVKIDDE AQKFKTTVYKNLQKFIDAHSSDDDNQNHEKLPQLSNKLQDIIEERDYLIFKSLKRKDLFD LACLAEFLVYKILADLVMKIILFHLAGKNKVEIDEWLNKE >CAK92457 pep:novel supercontig:GCA_000165425.1:CT868668:126159:128672:1 gene:GSPATT00025191001 transcript:CAK92457 MNQPKNTRFITFTIPYAQSQDPQFPPSNLLEISSTPLGWQSCRFCQYPQELIFQFQSAIT VYKMQILSHEKKIPTKIEVFIGRLQGRMDLENASFKKIGYFTFHSKEQSNWQARELKTVS IDESNCNYLKLLVHRNHENKFNPFNQVGIVAIRIYGEKAELPPPKKANKVQDKVLDELYN PQQDKLIDTKLLAHIIALEHSKDYAIKQENYQEAKKLKNRITQLRSLGVQLRDLEERKKE VLQNEDYDQAEAIKEQIHKLKIENGLVDEQGNEYKPNIDKIRQQELGEQIDNQEDRRNSK PSIKQIDNNKIIEDSFDRMPQDEQTYLMEQLNNNNNNITHITNNQNENANTSYQQPVSFD QQLKNDKLLNYDEMVIPALKNKQNNNTQILEEYSEVDKSKQQIEELSSENQKQVEAIKPY YGIDFCKNYFSKNWARREEGIRWLIEQFNNPTQINLSNIDGAFQATLLLIYKGIQDKADK VVYASLQLMQQTLLKLKPNKLNDESPIILDNIVLILMEKMGDINERHKEECKKILLTLAE TQIMGSAGVINHLVKGITTKPNLQLSSVRHIQARLMLIYNLIQKYKINNERVPYNPVMDV ALKYLDHSAEQVRTCAIYIITEIYKNQGDKVRESIKGIRPAQQQILEELFYKIDNGGNVQ TSFEQEKKPQPKKKQQQNNPDQVFQQITQACEFCGIENKEFIQSQKLDMHLWKECVMLTT CLSCAQVVEVSQLTNHHFEECEFAKNYRQCETCGCAVLESQLAEHQNKKICNSSPGDTCP LCYKAIGLNWKIHLAICEQQERNKQQPAPQEKK >CAK92458 pep:novel supercontig:GCA_000165425.1:CT868668:129287:129760:-1 gene:GSPATT00025192001 transcript:CAK92458 MGNLCKAQQLTLTTEVCDEYIGHQTIMSTKNRAIFNETYKQEQNESIYKLQSQPLITQLP FISLDLIEPNQFIPQIKVSRRTLSFFQEKSPKDDNRSRTTSQKIKIENFSNSNQPIKSSL KSLNSKQGSSQKSQKSVRWGSDLSVIVKLIHYQNQLA >CAK92459 pep:novel supercontig:GCA_000165425.1:CT868668:129780:131696:-1 gene:GSPATT00025193001 transcript:CAK92459 MIIKNNEQRASINKSHLSIVSPVRKSSSTFLLTSNQNIHSFKEISPKQQELNRVLNYNIN QSQSANSSMYSIECTTTQSKNRNRINLGDISSKLMQLDEEIIEVYSEFEKNYRSINQLEK LEPISYQTNQYLEVKRKSKNQLIQTHDSLIQSDLMHGDQNRIKITRFKFNYFRMKLRGKV SPIHVFFNVPDRVQTSSLKIFLSTKAEFPTKFNAEYIIHSRFAKIFSEKNQHYFSEEYLF ITLYSEVDFEFSINFSFGNSQIIKSPVKQQLEPSELIQDNFPQTLKPQPKDKILGNLSVA HHQVSHRLNKILSVQSERLQKQKMAKSMRQSLIEDKQLDKISKLLVKDQIIQFREIEKQI KQKKAMIEFAQKNWIQIFSLFVISEYVYACLQEQRRKQKIVAKGKLLVWAIKTKALIDVK EYGDNAKERTIFKCRCVINKFASIIRSKTKQKAEFVITKFMDRILLFLTILNKHQSTLNK VIFIQRKFRLLKTKKRLFRDKFWKLIKENIADIIYDLKRQRENQFFFEKQQVVIDVPIMN QVIDEYNKKQRVLWMEYISHTYLEKDQKRKIHETALNLKEPKIYDLPNRNELSLLIEQYA KLKKLL >CAK92460 pep:novel supercontig:GCA_000165425.1:CT868668:132078:133922:1 gene:GSPATT00025194001 transcript:CAK92460 MKKRDLKLVLIGDSGVGKSSFVSALINQIQNKASVLDKHPPINLPPDMLNHPECITTLID TKCAPHQLPQEIQIADVILLMYAIDDDGSSERLKRFWLKELRDKEYKQPVIIVGNKLDLL GLEEDRDYHRIFKVIKQLVKDFNSVEMGIECSSIKQQGIYDVINCAQRSFLYPLAPIYSI ADKALTEGFKKALTRIFRICDRDGDGVWSDTELEKFQKKVFKRQLDYSDIAGIKDMIEEE LHDNSNKTVITLEGFIALQKRGIELMKIQICWTILRFFRYKDDLTLDENIFTNELIFDYD AGQTVELSEIALSKLKQIFEIRCNSRFQQGNTLTQQQFDDIFYPVMCKTNFPHLCQYYPQ EQNVITLAQWLAMWNAFSFFNYKEAYKLLCYIGIEMKLSDTFKEQNRKDSWVSVQKNIDR KVFHIAIVTRKKGQFEQWFCNQPLIITSIQSKTYAISLYDELEAEQQIEKRQLSIIDFLL IEKNCHFQTAQLIPNLLYDDQQNFWTQIVKVTEILHKNPFGYSNSQMQQLKKQNSLSIVE LASVITLLTFILTGGYFLGKKVLQKNK >CAK92461 pep:novel supercontig:GCA_000165425.1:CT868668:134109:136507:1 gene:GSPATT00025195001 transcript:CAK92461 MKPRDKEGSNENLRVVIRVRPPMAREIKDGKFISTVSQSLIQPKVQAAPDNQQLCIFDYH AIELVPDEDLEAFVQNPANYTIHQFTFDYVYDQESTQVEVYETTAALSVDSTLQGYNSTI IAYGQTGTGKTYTMHGFSFTPNSDQLGIIPRSLHSIFTHIQMKSNSSTTFMVRASYLQIY NESISDLLRPDHQQLNIREDKKRGVFVENLSEWAVRSPPEIYQLMRRGNSKRVTASTRMN DTSSRSHAVFIITVEQIEETPDGKRAKVGKLNLVDLAGSERVRVTGATGIRLEESKKINQ SLSALGNVIAALTELKQPKSHIPYRDSKITRLLEDSLGGNCKTTFMAMISPAIDAFSESL STLKFANRAKNIKNTPMVNQDQDQGALLRKYQLEIQKLKQELDERSNFPLDNMVSELERE RQKALEDKQEVQSAYEQKNKDLDQERQLRKQLEEKISALNSQMLVGGQKIEETPQFQNAL EKQQKLIRQQYQEKLQELEKERQSIEEDKAQTDKYKQLLLKQRDIMIALTNRLNERDDTI LQLQEELDAYEKLQKELEDIIQTKQSRVEQLEDIINKNNLEVPSNFIVNSNQNTINNKQQ LLLAEMPSSKMLLIADPSSNSYIQTLPQQSTLHHQSDAVSQYELKNQIEINTKLQLDLKI SRMEQERYKKEVSSLQNQIQKYENDNTIDQAKKSVDTIVLQLSKPANGNSLSLVAQELQK LQNILTEKEGSPKKPVQKVQQESPNPKNQEIINKLISRPSKSSNLLIPQQKKIKQRSDDE LWN >CAK92462 pep:novel supercontig:GCA_000165425.1:CT868668:136565:138843:-1 gene:GSPATT00025196001 transcript:CAK92462 MNQIMQVQGEVGQHKGYKAIKIVSNAHELMRKSKSLTNCIGELAIQDICKKLLQDQFNSI KSYDIPKGEDKPGIQFKSVHSMYDLQALKITKLYKKNERNEIERQVRSQLAKEPDKQLLL SEIYEQLEDNSDGEVLKRKQKVKEESLATQSKEYDESQDQLTDLKQWTKKMKEQKKKLQN NKVETEALISLPRSKERAQTEIKKPLLRQQGTRHLKIDKSSSPTNSQNTPKSYKDALKNA VSKAKQLKGLNGDLSVERSVEQYLQKASTVALNELKEIGELNVDEEKGLTTNFDYTNEDI QRMYNTLVKVQISQFKSEAEKAKFYKKQSQLIANKLIQKIRQNETIIDARVTAQEKLRLE THDFHDKVIQIKQLSQQLQQEIQDMKDPKLPTSMQMSKSRMSLVNQFMSQQNKEKELQQD LNVLRESRIAYKHKILFNKKTLEQIDSDIQIKKKENKNLQRCLINFYFQVLKNGQDVRNT GIAWVVSRLNSLNEKSSYSCFPEYLDQKSKEFLLQKALMLQEIDQLEKELSESFKQYKQE QSLDNSLAIIQQSLSHNDSLEVSSLPSIFPYDSHKLSAIDLTQARLEATKSSRNTYQLDK LGPITLGLTNEDVENLENMLTRLNQKNLPVTNGTLIYRETQKRIKQHIKQQGSMDVSQIN DSSTVLKEQAIHSYDKCNSQLNKLKIKLQHLDDEQVTRIVKEFDYKNYSKRFSIDPITVI SCLVGGPRCDREIVKQGMKKVHYD >CAK92463 pep:novel supercontig:GCA_000165425.1:CT868668:138881:139972:1 gene:GSPATT00025197001 transcript:CAK92463 MEQAFRHKISIAPMIDITYEHFRVFFRLLTKNCVLYTEMIHENAINLSRVLKPFPLVLDY SQVEHPVVCQLGGNDPEKLAKAAQIAEQAGFDEINLNVGCPSERVQDGAFGACLMKEPHL VAQCMKKMKEAVKIPCTLKCRLGVDNLDSYEFVRNFVEIVYKEGEVNHFIMHARKAFLKG LNPHENRTVPPLKYDWVYQLKKEFPEIKFTLNGGIKCTEHIDQVLPNVDGIMIGRSAHEN PWMFSDFDRLYYGGENQNYNRKEILEQYAEFVEEKRATGCKVSTQMCVKPIINLFNGESN NKRYRQFLSNTDNHKLGFRGMINQVIEHMHEWNQEALLVRPNDYKF >CAK92464 pep:novel supercontig:GCA_000165425.1:CT868668:139998:141759:1 gene:GSPATT00025198001 transcript:CAK92464 MDIVEEVCKDKTVRQYVKGKFLGKGGFAKCYEFQHGEQTYASKIISKASLTRSRARQKLM SEIKIHRSLQHQNIVGFNRYFEDDENVYILLELCTNQTMNELLKRRKRLTELEVQCYLMQ ILIALQYLHKHKVIHRDLKLGNLFLSDKMEVKLGDFGLATLIEFEGERKRTVCGTPNYIA PEILESKNGHSFEVDIWSFGVIAYTLLVGKPPFETTDVKTTYRRIKMNAYQFPESCIISN GARQLISKILVTEPSKRLTLQEIQDHEFFHGLIPQLLPLSTLACPPSSQYTRQYQKQQST SIPKFENTEKRPLDLKQRPHYASMDRIPLRSNIESCLNQNYVIQEPQVYVKKWVDYSSKY GLGYVFNNLQCGVFYNDCSKMLMLNDDKYIYIDRNGEEKEGTLREQTPEMQKKSTLMIHF KGYLIENEIVSETDQQFWQKVYVKKWMKAKHAIIFRLSDKTVQVIFHDNTQIILTQQLKV VTYIDKELVKSTYMLNTALESENNQMVKRLKYTKEILSQMLSGMNNHGTAPQVEAQQPKP LQERANTLSSLNQQNLYSSTSRLKNSLHNK >CAK92465 pep:novel supercontig:GCA_000165425.1:CT868668:142144:144121:1 gene:GSPATT00025199001 transcript:CAK92465 MRSHAKQLTIIQENHFQNQILEITKLIRINSFKTKGQGKYKTGFALINKEFERSLIMQTG WRIRIQLLRRGIVCLIKILKQYSRDGNKQESQLNLVRKLQQFLLFYVEMLQNQAQEYKKF YLKDILYRFSQIQMLTFQLSKQHEDVRCVLYWKPTCYYKDSRFNKLKFQYNLMVGHLHFQ FKLFEPAISYYYEAITECQFLLMDIINQDYHLKTLEDEYFKVISWIVLTLYIVGFIYELQ SDYNKLLETYKIALWLSQITNNTGLSTFIDEQYYQYSNKYRKFMLEIKEVNQILAPIFPQ SNHQNTEDTSNDYWTKINDGFYRKYNKEINVNLYSILQQPEDSVYNKKLFRLTEQETTIQ SNIHTPRQYEKCRTFNSLDDVKISKQTTNNPSPQHSHRTPIITHQKSKSFKFTSDIDQIL KRLPQREIETFSSLKAKKELDLYYQQKVLTSFESANQIKSLKSVKQQISSQEEIDKVCQS DLIVGKKLLKFQKYTHQRVATHSNIMKIISDISKEQEHLEGINTARLALQGTQDIEDKMR FQIQQIIKKQSIFNEGELMNLKSLVSDYEQNLSKAHTIHELPIEQSQISKRLREKNNLIV KSIDQTIKRIPLEQLKTRKSFLSRVQESMLKK >CAK92466 pep:novel supercontig:GCA_000165425.1:CT868668:144405:144816:-1 gene:GSPATT00025200001 transcript:CAK92466 MIISISIQEMGVLKVNLKFFNFYPIQQISCKVVMCSTFSEARLQVARRIFKMLDSENNGF ITEKHFILLLMETYNNMGMKIEPTRENVEMWMEIADQDRDGKVYLIDCEALVLKSLRSQG IEID >CAK92467 pep:novel supercontig:GCA_000165425.1:CT868668:144862:145270:-1 gene:GSPATT00025201001 transcript:CAK92467 MNKSIFQQIFKEICFIGLINRDQCQELIQKVIKHYDSDKNDLMEIKDAGNLQSDCYKSIN IDFSPSQTDVKKKDMLKYLIGKGKISVDDIELLCFKYFGGEISTTEIADFKRQNSTVY >CAK92468 pep:novel supercontig:GCA_000165425.1:CT868668:146144:148408:-1 gene:GSPATT00025202001 transcript:CAK92468 MKVNLREQIFENIKIRDTSLVGGNFVGCNLNESEFDNVDISGMNLNQAQLLNCKWMKIKI HELNRLDGHTNCVNSVCFSPDGTTLASGSDDNSIRLWDVKTGQQKAKLDGHSASVTSVNF SPDGSTLASGSDDKSIRLWDVKTGQQKAQLDGHTKTVYSVCFSPDGTNLASGSDKSIRLW DAKTGQQKAKLKGHSTSVSSINFSPDGTTLASGSYDNSIRLWDVKTGQQKAELDGHSDYV RSVNFSPDGTTLASGSDDKSIRLWDVKTGQQKAKFDGHSNWVKSVQFSTDGLTLASGSDD NSIRLWDVKTGQQKAKLDGHSTSVSSINFSPDGTTLASGSYDNSIRLWDVKTGQQNANLD GHSNSVNSVCFSPDGTTLASGSLDNSIRLWDVKTGQQKAKLDGHSETVYSVNFSPDGTTL ASGSEDNSIRFWDVKTGQQKAKLDGHSNWVKSVQFSTDGLTLASGSSDKSIHLWDVKTGQ QLAKLDGHTDQVKSVQFCPDGTILASGSSDKSIRFWDIKTEQQLAKLDGHTNEVNSVCFS PDGILLVSGSQDKSIRIWDAKTGQQKAKLYGYKMIVYSVYFSPDGTTLASGSNDKSIRLW DVKTGKQFAKLDGHSNCFNSVCFSPDGTTVASGSDDSSIRLWDIRTVKEIQPKYIFQNEI ISQFTISNQNPSIRNKANIDRTILRICQNPILEVKGALILKGQFVNYLGVDLQQLFQTKG SFILESQIAQQNKQY >CAK92469 pep:novel supercontig:GCA_000165425.1:CT868668:148462:149355:-1 gene:GSPATT00025203001 transcript:CAK92469 MSCAYHIGNQIQLICLAPHKCKFQRKLCGECLFEHEVDVKLYIAPIKKFQELVTQKLELS KPNNELELTAQILNFKTILSSTQNMLKQIWDQLTESIKQIFDMIEMEDKSYQKIISNNVN PTELSNTDLEKLVQIVEGKSLDFWKEKKNSYLKRLEFTKACLDQETRAFCERLNKERKSI MQLITMVGNSQENTQSITLIEQVYKRKKDLYEVLIQTKNIDGSFLNEIIAMLKKEKITNC LEFFSKQIKDQTQLKFIANVILNINEIDFNKKNYSLKENEQISKNVMKKNIFRKTNH >CAK92470 pep:novel supercontig:GCA_000165425.1:CT868668:150448:151638:1 gene:GSPATT00025204001 transcript:CAK92470 MFVEDYYRRLIKQECNITPKEIKTDRPWYPRKFQNESKRDQYKFFMLPPNKMVTLLKKNK LSAPNEKIKEFLHNHSLKKMVDFGETLQSTLDVKVQRPSIQILTPKNNTVGRQLFPVKRR PLCLPSTRVITEPSKKSSSQCKSVHKLIRLKQSDHYQEELYGIIKKLNYSPLRVDASFLK KQIQPPKVVQPQPDIEPEIPKSVLPYPPRTKKQLRSFLLRALKKIKALGLTIKYVMEHKI FSKKPYEKQYSKEFIHAAKQNKIEEIENYLQINPYLVFDYDFYNMTALHWACKKGYLQIV EMLLKYHSDIDGVDILYRTPLILSIQENHLDVTHFLLMNGAYPWSTAITDLKSILEQNER AKLLLTRVRRLQIMAKWTQSGEYLNLI >CAK92471 pep:novel supercontig:GCA_000165425.1:CT868668:151770:152276:1 gene:GSPATT00025205001 transcript:CAK92471 MYADCEDYLSSTSTQDDYGTLNVKLKTEFCKYWTEGKICPYGNKCYFAHGEEQLLSKDVP KNYRTKECKNFQEFFCKYGQRCQFSHMLTKYQMPQLKYWTSVEKIEVGSIKKKHRLKIFK SITSGVYHKQQKQQ >CAK92472 pep:novel supercontig:GCA_000165425.1:CT868668:152297:153380:-1 gene:GSPATT00025206001 transcript:CAK92472 MEFNKQVTLIIQSENHFIYKSEALELYSIKYSPNFDPNDFFAIARFLMQQQQKFQEDLTI ELYADLLLTQEFKELLGIVNQGVILKATSQEKVILLNYNVNFGFKSEIIPDILKQYTPKD IKKRYALLITEPLYYKEYVNIMEAMHFGIYKEENEDWEVYLVIENKFPDLQGLDGIIITG SSSAAYDLSEEWKEPLFKFLREADKMKIKLFGICFGHQVLAHSLGGEAQKMNHVDRMQVG RTALSTQFKWKDQLINNLNVYQIHGDYVAQLPKNTEVVMSARHCENYAFQGDHILGVQFH PEFNALMLLYIFRNSSDPLRDIYIQDCQESFKEGFDHQQIIWEFTNNFLKNK >CAK92473 pep:novel supercontig:GCA_000165425.1:CT868668:153652:155483:-1 gene:GSPATT00025207001 transcript:CAK92473 MNKRIVYQGEKATVKYEGPLVHENKGDEIWLGVEWDQADRGRHNGTVQGYTYFKTTDGAN SGSLLKKEKVSFGNKLWEALFLKYFKEIPPQLLQEMEVQEQVKDEFLQEHSDLANHQDEK SKVITLINQKQVKIEFDDTAFFETMKKRKKMVEFYGFDEIYKKLNNLETLQELSLESQNV STMGPFGFVGSIFKNIKILGLENNLFHSWHQIFVLVSQLPTLKELSISSNKLSKLETFGQ QQFQNQIFTQTQDYMIYDYEQGKHLEIPVDGCGKHVEILVLIGMQLTWQDISLIIPAFPM VQQLLLCRNILVDYENLQYRNTDLQALKVLNLEQTDLSQFECIQKSFGHLPNLDRLILNK NNLKDLPLVTDFKALKHLALDHNHFAQAQFLNKIGNLQLNSLSIKHNPLVDKFGKLYVRQ RAIAEVSSVQIINGSEITKFERKDSEIFYLKSSFEEYFLLKNVKHYYYDYDDFINYAKQN HPKVIELIKKYGNPYEIDPTVKGVYTQAKQQVQQPQYCTIKIIDHVGKLKDKPTPKKLMG NTGLQPVKMMISKLVNIPIKQIALSIQVTEEAEVVQMEGKDMTLNDFGVQDNSLIHVNVI PE >CAK92474 pep:novel supercontig:GCA_000165425.1:CT868668:155928:157477:1 gene:GSPATT00025208001 transcript:CAK92474 MTKQRKQYYRRQASQMSTICKLLKVCVGTVVLAFPEGFKKVYLTGGILVLFICGLLQYYS WTLLIRVIEEKAEIKKKEEQEKQQAQAQNNSQVMEIEMVTQTLPQVSSQRSLSQNGKQEE EFEEIIGDDIIDDIEDEDNKKIRQEEEEYRKQANNPLAKKAIKFEKMNMMGVMLVIDPTS RVLVIISKIMTILLFYGQALSLLIFCKQTFDQIIPNFADNPLNTLYLAICTIAFIMAFSF ITRMETLKQTTNIGSYLVFIVMYFFTCVCLYQIKNSGSSENNPTIRYGIKFSELPFFFGV TLYSYDINGILTEIREEMKHPEKFRKNLASSMLICCIIYTSFGVCGYLAFGDSTQELITS NLLNVVSDIGLGIQNAFYALQMTYVLSMIQTILLQNVVCIRLMEELPFDFQKSDVKPILS IWSKFAIRILYISGCVFGGYYLTNFSTIISLLGCIPSVYLGFVMPYYLYKKVFGRQKMYL EIINGTVLFFGVAGAILGIIQIFGALY >CAK92475 pep:novel supercontig:GCA_000165425.1:CT868668:158050:158553:-1 gene:GSPATT00025209001 transcript:CAK92475 MDKVNAEEEGLINRKQNKEFSACKNYIPVVVEPYPQTKLKKIDDNRWLFNKDSTLYPVMY KIHESLNGAKNMYFYVKRQNGRYALLKHGMINLLNLENKLQLVFKKYKSNDGFLHVYYHN DMINRSKLLDYCFYFAQIVIAFYLVLFIYGYLKMHEYI >CAK92476 pep:novel supercontig:GCA_000165425.1:CT868668:158700:162583:-1 gene:GSPATT00025210001 transcript:CAK92476 MRVAVAPLQISRSLPPVPLPVMATPVMVDRVVNIHHSQVFHTESPQKQHSEQKLKQLESR VQNLESQSPNKGKVEKLLEENEKLLQLVREKTEHASKYKGELLTAKKEITKLKKVLDLKE EALTDMRLKSQSRSLSRASERKAEQIMQEKQILEQQYVRDKQYMQNQINQLKEVIESQSS QSQSLQNIDYQQQYYSTPNQQIELFGQQPSQSGQIIKGSQKSIKKNQSSNQIQYEVPEYT NAQQRNPQAQDPIRKHSSAFQDKDQKQISPIRNDQAYDQLQGSAQHSKRQSQVQSDYQRQ NIVPYEVEGNAPDQRAIRKDSQRQQSYKQPEQIQRKSISPTFEQQQQQQDQLNSFRQNQR QQQQKQGDAQRKSISPSQEEPMIELRGGMYEDHSRQPSYKQQIPISEQQRNTHRKQSSTV EQFQVQIIQLNDELLQARHQEDELKSQINSLNQQLQEHKLMVDNLQQDKKKQQEQIAKYK QDLQNQSQELQKQLSSNKDLQLQLQKLQRNIESSNKLQDQKLQEHLRNQQFLEKQLQESH QIIEKLEQENQNITHKFDQEKDDLIKEYEEQLEQKQQELIELNQSALLEKTSKVEFEIQL NQLKQKEANFNSEIDKIRKQKDLMKSQLEETQKSLNQAKVQISQIESEHTAQVELREQLE TNYAILQKEYGEFKENSISQFNSKMNSYQQKAQLEYDKLKKQSLQQQAELEKVYLEKSEL EQELNNTQQDLGHQYQEVTQQLQTAKQTINEQKKQIKQLGQQNQQLSQDHQELSVHYQQQ DSELNQLRQELQTQQDQCQSLRFDISRIQIDKAQLESANKQQRQMDQLMLENDSILHTNE QLQTDRNQLSDALQQKESEIARQKKKIDQLKQLNQQNVEQIKKLQVELSEKEIVAEKCQS EFTNFQRELQILRKENDRLSADAQKYVEQLEQEKMSQGKKLDHLQSQLQQQILQRDQEYE DLDKSSRSAFVELQSRKQEAALQQRRLEQQNDLIKQLSEQLEKVSSQNKNLLNDQQLNKQ QHEQQQQEFEFTIKDLQANLQKQQYVQDELQKEIQKQKLQEAKQKEANDVEKEKMNQMML KLEEKLEQHKTDTAADLQEYEIFKQTNSQLTGELERVRGENADLHEIVIQLQKENVSLRD HHQQMISQIEDNHQTTERLKNLCQQYEQEVILLRRQPDHGVYEQPKAGFIKTKNSKRMEE MERENINQQKEIQRLKSVIDEIQNNQNYNSTPTPERKQLNQQQSQSQKKHLFEQKPKSID NTIRKQLQ >CAK92477 pep:novel supercontig:GCA_000165425.1:CT868668:162608:163110:-1 gene:GSPATT00025211001 transcript:CAK92477 MIQKPFLYVTNPETFTIYKYQYQDGKYKKTGPHIPQEFELMSVREQQQYRQWKALKFMMW SIFNKNKIQNPIDYRVILCRLMDLNTNVFLAIVSTIGLRYFLLKLQSPFMDYYFEDRLIT FPKLKKGLVYSYFGFALYYGVKSVINQEHIFDLSLEYE >CAK92478 pep:novel supercontig:GCA_000165425.1:CT868668:163130:164358:-1 gene:GSPATT00025212001 transcript:CAK92478 MSTLAISRCPIVVRHDFPDEMMNYIDEKMDEGQYKKRFRYDRPDKKKNKQTKSILNMNSQ EIFQKIVSGKKEPKEERFRIKPKQKINTTALHAALLKVKKQKEELDICLAIADKAKQCVN ENDICMLANEGAVRFIMSQNLDIDEAALLRTKKGNTFHPKPKKSQSVKYVVMDEPENLLS VEGRSYNMAVSTSLCKHRPTSAFSTMRPVSSTKNYSEKGISEHLLQQYADFRNQLFEKLV DNNENQNEEVQINVGYPQYLTPSVGLKGSRVPPGFLPKGLKRIHSAAATQNIEFRPFINP GEILFNEQQQHAQAQRPQTAMISKIQSTSRLNDHLKVIEEVRHQEDLSQLKMSLDQSFRE QQQTLNVTGKKRIQSAYPKTRI >CAK92479 pep:novel supercontig:GCA_000165425.1:CT868668:165171:167013:1 gene:GSPATT00025213001 transcript:CAK92479 MFCCGSKKKKSKVPSQRSHPRIPTHILLRRKRNPKKCMFHHLPLQNQLTKFFIKINSNQE MLRQIQNINDLRNRGLYEKSVECEHDYITQLINKENIQQQFALEFKWAVNYTNHLKGKKA EIGQINNKNLLGPNQYIRSGLQQDKDYVVLNESAWNFVMQMYGGGPEVKIMPSHKQESQA QPPSLSSTYSKPPIQQQSMRQAQTNSRFIQQKPMTQTVSVITTPKSVDRQTSQIIEPPQR NDSAQNYRKGYEEQKRPSISQGQLPIVGLRNPRYYCYLNSALQVLLSIDSLSEGILAVQP KQGQKYLVAYQELLRTIKRSGSFSAIAAQSVWENCSGKFQFNQQQDSHEFLLFFLGKIQE ELVGKYKNKQEFNSAEQAWSDYTSKNYDIVDTIFAGQSTSQSFCKSCNQVCEGYDPIWDL SLPISKSYTGVDLMDCLKSYYREEVINDTWKCDKCKKTNKSVKRRMFISQTPKYLIIQFK RFTTFPTSQKINDSISYPEKLDIQEFCSKGLQTKYKLKALITHMGSINGGHYKAYAERYD NWYLFDDETVSKINGKQLSDRSAYVLLYQKY >CAK92480 pep:novel supercontig:GCA_000165425.1:CT868668:167086:168729:1 gene:GSPATT00025214001 transcript:CAK92480 MEFSQKFITLYFILLYLPCGILSDTIPEKILSSFLNQELFKGEWQSNDPRLMQFYYLTLD SGMIKVRVENEHLYFLALNPRYGEDRFVSGAIALANYSETTQSWGGESKIYLEGGEQHSY LWYEGSCNGTYQVKLNQPIENLDIDTLQIDVYLSSNTENGYHCYSNMSFSVKRVYNYDFI NCLIFSLVATIILLVQYLAGSKLLRQMKDQQIQLQQLSRLCSLLSWINGLNTFYFFVDLL FQNFEYYYLFLLPTLILFVGLFKDSNIFNFHFYSTQQNRDRRRRAELVRFIGLLIILQLF VFANFVIFQLFGYTFYLMLFQAFILYPQIIHNLRLGINQFNKLQIFGWLSPRLFFYVYIR SCPSNVKDTKPNYLFVVVFFAVYLFSLLILVLQTKYNIRCFKPKIEKPKTFSYLQKIKVK DSIECPICMGPLHSNPNEIDEQPLDQSLLCEIMVTPCQHMYHQQCLRDWMEVQKRCPVCR GDLHLEENVEQ >CAK92481 pep:novel supercontig:GCA_000165425.1:CT868668:168754:169809:-1 gene:GSPATT00025215001 transcript:CAK92481 MTSQAVLLTIGFLMVLGGATFMNYEGNVSTIDHGFTADFASFIKSFDTKYDFIRSDITWS TYGGAETRPTTAPSKYPIVFIHGNSDIGAGNGGTVGWQTGFTTLIEYLQNYGGYTKADLY VTTWGPANPNLASQNSHSEKYVMTTRRFIEGVLAYTKASKVIVIGHSMGVTLARAAIIGG TYSESLFAKFTVGDPITNSIAAFFGLAGANYGLVDCTYATGLPTCSTYNGFSPSSQMLTA LNSKTHREGAKVYSFWSPNDDIIKYNCIVNQKNTCIVPGSDGSYQQSGYTHFDVRDKFAA DILSLIQKL >CAK92482 pep:novel supercontig:GCA_000165425.1:CT868668:169931:173124:-1 gene:GSPATT00025216001 transcript:CAK92482 MQTSSVNTSHQQQQQQQSKEESKLKSLLQGSYDYIHRETGKMIQKKLYKRAFQLLEKFYN QRKDLELGEMVMLNRRIINCINKILKQGLLKPDIEQDRPETMLNIFHRGSQALFELYKYI NLYIQKVIPNEMKGVVLEEVSHLTSSDEEGEERIKEHEVTVLFYKINQYDKPKKERSKKQ AKELNPYEQEISESSRIANMIVKTMKPLNIQFELDQYFYNLIELQLMANLIFKKANKPKV AYYYLVEAQRIAKHILDTPNPNLVNACARVKIYFANYLYEIGEYKESLRYAEEAIVILCG EMRIRINQEKFVQKKNKQKERRRMKRCVITTLSALITMMCSYEAENNYHRIVETLTTASW LADKYIQGIDEFKKHIVKLASEGKHRLEQNLKDLADLSYIAESILDSELHKIRNTQRDQR EQSESDYLKKFNSDMFNLFQIKSLNQQLYFKTQIKEEQKTKQQFDNKIVEQSQPHGTDAS INIFDSDSNSQDSFFDGSFFAPSIDNLQFDSKLFEDKRPLSTSRKPNNRKIVKPKRKQGK SVGLIKIGIERPQDYKSRILTTTQYFNEYQKPKVQEEKKNVSNIGALLKRQLENLEYREH PDRDEHHKQDLDVYVNKMVNGKIQSNEIRDYNDILGQLFTLRKKEDFDKKEFQFGKRMLN LKAKEEIMFYKESFKTPPVKVEIDTKVKDQAHIIDGKVTAEKELKDLKRRKIDKMVAGKK IVQTNVNIDLKDPEETNYLKKVLEKSKKKKLKDLIYKHREALGLAKFFANQMREMREKER ILKLKSALEKEISVDVPDNQSQQQLQTKQKKNVKIVVQQGDKQQQTQQVSLQPSQVQQSY AGTEDPKNLGQVSLIQQKSNSQTTLPKTILKRHKDISSVKESPQESQTLIPMDAQGTDLI LRQEKLQKAKRVVKDQIEKQQKKSHQVIGMIIDAVERKMDVENKELKKMLFKQKGGSKQY VDDDERTYLKFMPKFADMSRSTYLMYIKKELADKQTITLEDVVRANMTRKNQLADMAAQM FKDIGQHI >CAK92483 pep:novel supercontig:GCA_000165425.1:CT868668:173148:174382:1 gene:GSPATT00025217001 transcript:CAK92483 MQFSLIDLNRQTYVDLPSMLQLSFQPNQQCANLKLTQITYQFNKPINFYILRDYILQDIK LKRIQNQFNIQAQHIIPIGEDFYLENQELIHNLFELFLSFLYVGKIQYKNRDYFLPLLKL AIYFQCEALINSLLNQEKPVVMRSFFLNFLCDIYNDQAHLQTFKQTQNSEKFLQYLIQEN SPNIKIHIDWHNHRKKDAQDKIKKAFAQVNPKLFEEFAIVYATKSPEDISYFTQLLFYIE QYENPQVQLLEDIYIGRIFELVDEEFFSTYQDYFTNRCKVKLEQIINKIRNPPYHMEHSL VCDLFNRSFKAGCNHEICLNCLFQYMIHRQKYVTENQKVPQKIRCLSRFLNKDICDYVFQ NEDYLQLKEFQIDDLLNKYLQKKD >CAK92484 pep:novel supercontig:GCA_000165425.1:CT868668:174674:175069:1 gene:GSPATT00025218001 transcript:CAK92484 MSALQNLFKASKQGGKQMLIPQQMNKILNITQRKQGHLNSCMQTEIQDSPYLYNVQEKIE GDQNQNNLYSEEEVKQESPKKKLKTETMESIDFGGLSLNTCLSQQCVEFGTSDSSQYQPN DQYFILPEQSS >CAK92485 pep:novel supercontig:GCA_000165425.1:CT868668:175359:175978:-1 gene:GSPATT00025219001 transcript:CAK92485 MMDPNIVGEKHYTVTNHSKISLLFWVWMNCLKMINLLLPELEKYKDSFLNHSSCLKSSQE ERVNSFHLMTLFLDSEHYLMVKVMNTQKMHSICKVHLRMSLKKLKELQLKQQNEIQFNKI QHIKQEIQYKQQFGKSISFSLFVQYDYFEFVFFQENQITLQK >CAK92486 pep:novel supercontig:GCA_000165425.1:CT868668:175983:177233:-1 gene:GSPATT00025220001 transcript:CAK92486 MSISVDYGYRMSIFYYKINFLQLRLLYKVIKKFESETYPQLLKLSMLTKALARQMRVAFS AAKKEASTSTKQATATTGQIAQVIGAVVDVQFDGPLPPILNALQVQGTSHKLILEVAQHL GDSRVRTIAMDSTEGLIRGQPVSDLGGPITIPVGPETLGQAQSKLKSDIQFYREAPSFVD QGSGAEILITGIKVVDLLAPYARGGKIGLFGGAGVGKTVLIQELINNVAKAHGGYSVFAG VGERTREGNDLYHEMVASGVINLSGGSRCALIYGQMNEPPGARARVGLTGLTVAEYFRDE EGKDVLLFIDNIFRFTQACSEVSALLGRIPSAVGYQPTLATDLGQLQERITTTKKGSITS VQAIYVPADDLTDPAPATTFAHLDATTVLSKSID >CAK92487 pep:novel supercontig:GCA_000165425.1:CT868668:177706:179112:1 gene:GSPATT00025221001 transcript:CAK92487 METFFTEVDSKSDQSHLREINQLLMRLNLESPRTQLAMKELNLTKYDCQLKQNSAQIIIQ ELCPISYIIIMNREPCTQTIYKILKVANNSMIYLENLSNLVNRRREIIGPSIKIALRTPK QFKQYNFVKKVFDHDLKQLYQSELQIKAAQQKNTDKIYRQVEEKLKSISHKAKQHNNKVE RKLSLLRERRKHELDDRLSKSLDFDSKFEPIQKTLQESKMKFQIMMQSKTQQTLDYHRQK KKNITDQEELRRTKLQYSMETSQRSTFIRQGIASYDRIQQAQLIELDQQNKVIQKIGNQV EKTNQMTNKNQQDIQKSLQKLKEEQDRKFTKVHKNLNSKTQMQSLRESMIHQEIQDKIMF SNAKAKKRLDEIQSKIKQVAQQKQQKQQDLLNKYIIKMERRNDRIMEKHVKLRQNLKKGS NSHNLTQQQHQLVIEKDELNSDITKVQRLVVSKSTKNIQELLNNLRFN >CAK92488 pep:novel supercontig:GCA_000165425.1:CT868668:179191:180818:-1 gene:GSPATT00025222001 transcript:CAK92488 MDSSQEQSNPKVSDQDQNNNTQEEVEHLKIEKNVKHANDNQNKEPQHESQVQHHSEKDKQ NQVKLETEQPIQILNISKDEDNSKHLDSVPQHQNNKQSNESHHENLEHDNANSQFVESLY EAIPQSQEKLEQQERVENSVVDQSQFEILDKSHTQQLNQQEIIQNEQQLDNQLHQALDVS KDEQNQQEPELIQPTLHFNQKDNTQRQVIQEEEKQVEEGEIIDETNSSLPTNNLQGSQVQ SSPKIVEIDQKSQLVLQFQKSLDEATFLKNQGNQWFQLKNYARAVEQYNLSIGLCDPYYL IQCPEEQLQEFKKLRINLLSNLSACFLNLGDSNSCITHANIAIQLDPSNQKVWYRRALAY QQKQDYEEAWRDIDQAWNLVKNTTQNQEIFDKRKEIRELLKQANKERASLYQTMLSNNQD QNEAKNSTNQTKTNDSKLAASEIHQKSQINENNQLSFRFSDIIWKTTASAVLASSMTKYI LEEKLDTKMGIIETLVLGSTTASCFIAEKQWQKLSFATVTAGFLAFVLYRKSTK >CAK92489 pep:novel supercontig:GCA_000165425.1:CT868668:181173:181856:-1 gene:GSPATT00025223001 transcript:CAK92489 MLNSTASNQDEIILKSSRRFVLADQAKDQESAPIYRPKRSSKKVKLTLVTNQPYTQSKIC YICRRSCLVKLCKCDDVQYHPDCVIAEMKKPSSSISTLQCLFCLCFFKTEIKNKKFHQRK RYRNFTVLLAISIAVLFIILGVLWNQLSSQLIIILFIINIFLSLIALYTYKKIYYLEVDW KLLTMEDELMDPQAYRNAKIIFQAVDTNTAHYIFDTEIQ >CAK92490 pep:novel supercontig:GCA_000165425.1:CT868668:182102:184107:-1 gene:GSPATT00025224001 transcript:CAK92490 MIRGDSHEFKQNAYSQILVSKSSKEIQDGQSSRRDHLFSKLPSLDCTQPNNFWQIKKGGS ARCIVDSERLSTASKPLTPQAQKKQKNQIIQIEENNQFDLGSSRHFQRLFTVKNKVKSSN GSVKTSRDENTSIVSNNVVLKKSQYHQTQLIQQSQQSQSSVQQQQCPFSSGKSINDILSQ FKEKKSKTSITRHSEFEKMEGLDDYAKEFAQILAQDEQIGPVIGTAHEMKGVVKALIDLS NGNKLAYHFESLKQLHQDLNITDEMFNRFKYLYIKKLIDMKIGMEVIFKCAQKVEYYRGA IICNSRSVQNVKDSIRTIAKNMYAQIFEDFSLSPLFKGTKQEEQAIKFSRIFGFILGSSE STNYVMDSMRDFHKAFGINSVQYSVFKYYLSGAMSKHAEKEVVYYILEQTDSYKAAVIDQ DSIKDLVYKQFGIDNFCAEFIKLCQTDPYINKNFIHKIGYDQFVQHTKYFLHYAFNKQNN CFTLEDLSQLHCQHQINIQLYQSIKDKLFNLLARLNPQRVIFQDFEEEFDIILPYITNKK LPCDIVGETRICEFVPLIADELQSNVEIKNVFDSNDANVQKTILKKFEYLLSGRKYFKRS DIQAIHSRLKISEAVFEEFIYIIEKVIQQYDTSLLWMIDAIKEWKYIIITV >CAK92491 pep:novel supercontig:GCA_000165425.1:CT868668:184161:185380:-1 gene:GSPATT00025225001 transcript:CAK92491 MDRFIPKSKSKLLYQSHTQSNYNDLLYHINEEKVLNFGNQKQQQNYPISFLDQLHNQYRL PTQQTVRQISSVPEKILDAPDIADDFYLNILEWGNNNVLSVGLQNKVYLWNASNQHIEQL LQATSNVTAVHWINDHILGVGFDDASIKIVDVCSQQTITQLYYHNERVSTMSSSFELLST SGRDNVIFNHDLREKNNNVVGVFQKHTQEVCGLKWNSSGTTLSSGANDNQLLLWDKRQMS LRLSCEGHCAAVKAMAWCPWFPNILVSGGGSNDKNIKFWNSDTGLCFKSIDTGSQVCALQ FLPRYRELISSHGFSKFQISIWNAEVIQQAKLVQELQAHKSRVLHLGISPDQSMLCSAAG DETLIFWRLGTEQNNQDKQEMCSSKNLFLR >CAK92492 pep:novel supercontig:GCA_000165425.1:CT868668:185602:186285:1 gene:GSPATT00025226001 transcript:CAK92492 MSNAKSDGENQKFIMGDEPLRFQNYVAGQDEQQVPQQDQNLEYYNEYLRLYIANVVLTNQ LKELLNEKNELVNKLNKLERRNQELNSNVEETGEERKKRLRRPAQEIERHFTCPVENCQK KYGAEGSLNQHIKLKHPELVKDRAFYKSNEQSQQQGEPESLSDLKQEQIQ >CAK92493 pep:novel supercontig:GCA_000165425.1:CT868668:186479:187681:-1 gene:GSPATT00025227001 transcript:CAK92493 MSNTTDILKIQITSLTECYQEQSHKQIILDFSTFNDETQLNYLKSCLHQPLIGQENEYYE THKEKLENLSNFEQQILEVFYNDLVMKDYTSAMILAKEQFSRKQILDDKKTPLEFFQTTQ SILKRACVIKDEAPLKLAEELKQHGYQNKPSIQFVCLKVDYELGKQQNENLEMICKKVRD CQSSNLAPILDVQFKTENIQNLFADAQIAYSTINAALLDKEVETEYMILCTNIVDLAIQF LGDVELNGESQYRSYSDKLIYFVIKILFNSIPPSYGGIFFTFDRGFVQSKKILHFINNLK KYDIYIAWPLGIKLNARVFDEVVRSYSIVQRQQSATITINQILKVFQNCFAGKYKDEEEK EVLHPIKLGSTSASS >CAK92494 pep:novel supercontig:GCA_000165425.1:CT868668:187758:190260:1 gene:GSPATT00025228001 transcript:CAK92494 MQRIYNSLIRNLTNEDISSIINLQLINNTMNLSPTKYDSPSKLQSKIDLKMRKSGIPVAL KRSPQFQLLDCFMLTYFHLPSFAEQILQYDDSIINEEGVTLFLQKLFVQLIFCKKKDTSA IEVYQYMKDDYNTRYKNVEEFLVRFFEKVDESISKYRTMLNEFVEEHPIRDLFIGKFNKG NDEITFNLIKMDLDDESFMEGLQKKFDSEDCSIKELPKILQFEITRKANLVLMPIIYLDQ FMYSNKGTIKQHQSDIKELTMKREEIVQKIKNIENYQQTSQSIPQLLLMTINIIQDQRTE LVDGDPAIEGFLQLIIDANKELDVLKEELQKMDQQIKLIMGNFNKIEYNLHAVIIYDGEY ECVYINNNEQCFFFEDGFVEVRKKFEIEMRYSPETVVYLVYKDKNLQSEYSFTGGQGDLL DMDDRKPYESLIRKELQQYAVQFNQKVDQELLFLKQQQQAEEVFQQYLTRTNIVIQLVQK KHTIQVPHLNNFATFLCYSQKKIDDYVKWQILDCSIRDVTGQSISDIKNMNAFAQKLSVL LQNQPQKAPTQLTVNKKDMQIMQIRLTDYVQYQQSTKVLTYSLECILQEKKLLALNAIRF IQENGQNNIVAQLSQEVFKAFMIHLAILTFKFHQQQNYCLLWEHIQWIMAYWPYMNQQDH VHQQVFIMIKDLKKKANKKALNSDQEKIIKKFISSVDDKKFTDIGSNILLRQDPVLEAIA IIDWNQDHYYWLPVKSEDISKQLLMNTALIQQYLQLEFQFVKTIMQQQCTFTYDERIKND APQLIMIDNSNKYILSYISVLHIYK >CAK92495 pep:novel supercontig:GCA_000165425.1:CT868668:191241:191640:-1 gene:GSPATT00025229001 transcript:CAK92495 MTDIPTSYQRINADMIIKFKGQYVTLVGKLLQSKGDYVEFMVDGSIVKVTEIEEVPESNE DILLEIRGKLNDDGFLEAKEFTELDQTFDFELYKKAINMVQGQFRELFYD >CAK92496 pep:novel supercontig:GCA_000165425.1:CT868668:191871:193310:-1 gene:GSPATT00025230001 transcript:CAK92496 MIKLRYFFSNIHKTKAYQNLVKQQKAQVDLVQNYMNQMKQAKSEDELIKLKYQSINDLQR IKEEQSEIIEQIKLEKKKNFEKHLISMEVDPEDIQKLYKGKQKKNKDEIVIDDEKQNTNQ EQNTKQTQEETKINVPNNLEIRNLASPIRTQGHLILFGVQRHNAQHSSYIYDALFNLKPQ VILTDLPVDDPFFIKTEGNYTSTWKSFIENKLTPTFLVNPQPITLSDIHLHKNKIKWIFE NNILKNSQFIINPTNISEITKPMFDKEIEIIDILKSPFLYSYHHSTPIVINGYPEINYRY FLAENCELDNLKQLLNEKKIAKQEKQRNFDPCLLQPDLFILPKIKFMIETIKMTLTSYSN GIIITESNKINQIEEEWISSAHRFISTDLRTQLHPIKPIKESEEFIKKLIILDELISPYI YNNFVRYNSFPYQFNSVFKISLQQYWDNYKNQLSR >CAK92497 pep:novel supercontig:GCA_000165425.1:CT868668:193313:193616:-1 gene:GSPATT00025231001 transcript:CAK92497 MVLAKIAVGTAGAVTLGYLFVPPFNRKLERNVLRPIRNYRRHLQQEDSFKTEEEFYQFLG SVALGTLRMFTIESD >CAK92498 pep:novel supercontig:GCA_000165425.1:CT868668:193804:194235:-1 gene:GSPATT00025232001 transcript:CAK92498 MNRSSKNLKVQSNFEFDTIISQLDSGSPLTQIQTKKFSGIKNLDLFEIQDDQYETPKESF NSLMEEDLAQYQRVEQERMNYYFLKLSNQKKYEISKSSFQIQILQLRHGSQGFSLNIKIL YYSLQIKFKFLDQYSLEINNQFR >CAK92499 pep:novel supercontig:GCA_000165425.1:CT868668:194599:195459:-1 gene:GSPATT00025233001 transcript:CAK92499 MNKQFEQQRVASVVKRNLQKFKILVGDKEKKFNDLLTPFTKLDTFIDAKSILKSIVKPSN KILDPLTTCEIQKQQWQRSTFVNGDYNSDNFYEDVDQLINHLEKEQSEMITVGTKKISKS EILELKSKHPNYELVSKQFAEKSKRMIANLNRQSTRIFNKKYSLIHLSPRQSISNQTIAL GSERDPSSSKYSHSKQLQGLELRNQKSQFKIKTEPTSQRNYNQKIQQLINDIEGVHKQMI DETGQLQKKTSRFILNQSKSNSKIPQFDYLATDTNFAFTKIKKRII >CAK92500 pep:novel supercontig:GCA_000165425.1:CT868668:196052:197153:-1 gene:GSPATT00025234001 transcript:CAK92500 MIKTTYKKQPLIPKLSNLTSAIEIQQPLSCRQQKQTYVSFIQPSIKTTRHKENSYKYAKT PTKSDHTNLNVSKHSRSPNSSQIQSSQAILNVLNQNIRLRQQQQSYQQQNYKSNNVTPQK QIQQEEQIKIDKIQTQELYSQIIKQRQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXSVLFKKHMKLIPKKKSQRSWTLKYDEPITKNKTRNYNNQMNSQIQPDNYMILQKQKK KFHQKIENISQDIINNAQNIIQNVESKSFQMILQNYVNRLQQFDIIINKQNCVNKLPLKK QVLISFQNKDSFVNPDQMESTDSLMLRESETQHFLDDCNDVTLYSPNF >CAK92501 pep:novel supercontig:GCA_000165425.1:CT868668:198012:202434:-1 gene:GSPATT00025235001 transcript:CAK92501 MDTIKQKWKNYTLQKRLKDLWEDYKKVSNLSEDPQTLKKFQQFLEQANITIKNFLKSNDQ QLEVILGDANQVLVVILDYLNKFPEIQKRNADQGQLVQDIMEQCIQLAEQILREPKYRKS VENSHNVLSDFLNLIEKAQKTESKVLIIKLIVLFSENLNQRIEFFEQQGFHKLLSILMNK DPQLNRVISKALLHFLLIEDINQVVICNVEDSQEQTIKQKFSKIAGSLRSFAFQELSKVF LPTQNNKQQSIKQFSVEKKEILTLQQAIEQQISLQSIFEVDLSFYMNSQSISRSTSDQDQ NKNEIYEKIISISSTPPERLALSLTQSERSQSEDILKEFQVLQGGFKAILETLEKATSEV QVDLLQTVQQILQNNARNKIEFKRVNGYQILSDFISHKDLQDEQFQQQFYNFLKQISTTE TEIDDLDAFTLMLELLPIQQDKILNIIVEVLNMNWKNVIIAKRLKLYYYLAIIQIRQYLS SDQISEKFCNIDNEYMFTNFKNILVKVKFQQSDEICQQLFQLYEQLNSLEGFYSLEFEAI QSQLAILFIDDIEKFKQIVGFAFKMIEIKMSKKCRYMNSFDHQSELAFRNFSIIFDKIYH TFLQAKKNNMQDAKDQSNYVFFIQIVEIINKFLGCEHNVQKQEVDIFKNMVSQNRCEQFM NLIKPNLKLIQSKQILELFDFEYNEKSNLAIAHLQFRLNQVMFNSLAGIMRHNDLKIEPQ MVIYLIYSQYEMWNALEQQPLNPITPLLEFLMDISKLNPQYLQCISHFFFKIKLLDENTL VIENLIQFLKQNDFKNQELIVNMLISICKNLPYYFDMVNLYPVLIQYIEIYLNKVDLEQW FDFVFSGETIKKLNEQNYGATMSDILSLLSIHSMFQDKVLDKMINGEYLTNSFATLQYET TKEQSIKLSNILYLLNAKSNKIKNPIYGNKQKFFQLIQKLINAEEFSNQLCNTVYKLVFW NFDSYHLLYTENSSNVSSVMGRSEFAEIIQKTQKQYLENKQPIQHITNLESLELFFLMLG KNNSQSYIIEVLKIFESILDNQNLATLLDSNIIQSLCMLLQNTDKRILQQIYKIIRTIIQ YDLSKSTKLKIIDILKRHDDASHILIDYLKDLLQNPVIKNDYMTYLKNFDFLVKNFEELV GFNEEIDILLFQIINLMASKNSPEIRSVLKQLNLFEFRDNLALQIIKYKMEPQQLMDILS GVPFAQLCNSRNFKESHCMAYLLQRLIQHKDHFGLTLLIIKILKYDISSIEDNRRYVSKL LQNDQLISFFYPQMLRKSSKSLKCFDQDDQKNQRSNSPETDAAFSQNMTVDQFLTLFFSD KLQDERQQIKLTLERALIPVESEYKKQIMKYNMKKQNRLAKLSEQEQSDNLRIQTSNNDW EFKIQSRLKKSNERSKMRSQKQQEQFEQLLADGENQWFKLCLGQ >CAK92502 pep:novel supercontig:GCA_000165425.1:CT868668:202576:203813:1 gene:GSPATT00025236001 transcript:CAK92502 MASYRDPVYGINNKPAFDQIRYPSNTDVNTQYTQKPLGTEQGPGLPMQFGQASARAYAQE FQLSPEIQALNKQQQFQSPNQGPPIVQNIVQPQPIYQEIQHYDKPVKVVPRIDIEEPWRN KCTFLERQIYDLQQENFRLKSQNIASEKISYFEDTGRVNQLMQEVDRLNKTIIDLNSEID QWGLRYSSLETQLKTRSNVEMEVERMKRAVQDNDNIVQVEMNRLREQLDQWQRRCQSLES QSFEAQNFMAKSRTKDQNIFNLQSDLKKAELNLKLKQDDLDTVQQKLNRLEKIVRETEYQ NQEITNLRKIIDDRNKEIEILRRQSQSQYSSQNLKDLESKVSLFQNECNRLNELLNHKEQ ELQLYRDQLKRQSHYSNKQ >CAK92503 pep:novel supercontig:GCA_000165425.1:CT868668:204105:205435:-1 gene:GSPATT00025237001 transcript:CAK92503 MIALLLLLGVQGQYLPTSTGCLGTDYLYKIQDLSFCFTCQKGCKSCCDPQLCNECEDRYR FNSTTSTCIRCPVNCEVCEPDGLFSKCSKCETGYIKQEDGSCKKCGDDCVSCSLADYCSQ CADAFYVEKGICKDCPYGCKSCTQVEESLFVCDTCIDRYYLVGEQCTKCPTGCLKCDDDF TCTSCEDAYVLKETKLCEFCTPNCKKCSENTCTDCQTGYVLTTDNQCLGCPDINCESCHQ DDRTKCTKCASKFYLLNQTCIACDINCKQCDTPGHCVECKPGYFIKNGVCTANSIKNCQV QNETACTTCESGYYIKNGACSPCGDNCLQCSGPSFCNQCATAYFFNGFSCQGCGTGCERC VDSNICLKCTYGFYISKGVCERCIDGVCQCSIKENIVENQSHQIIVLMLLLLLILS >CAK92504 pep:novel supercontig:GCA_000165425.1:CT868668:206344:206784:1 gene:GSPATT00025238001 transcript:CAK92504 MNQIHKILFQVLSQIALTAVVKKRRVFQKKQRVRVILIYQIQNRIDNKANTPEMIRTLNT NFSPPIKYTDILKTIKQSSHNQPSKIQIIYDVDFDQAKNRVSSQFKSPKEVTPTKKHTQT IFGNHRD >CAK92505 pep:novel supercontig:GCA_000165425.1:CT868668:206964:207485:1 gene:GSPATT00025239001 transcript:CAK92505 MEIITKPYFDKNLQVALTKQIPSRQSFSPTIQLTKTEYNALGHSSSTHISEVQNVNLAAV LVILNQILIWPIFKEAKYSQTSVIDFLREVFFSRHLHINYNIKLNFKSKTTILKLNSVIT QIQLRILQKLFTSDLKNPLTQKLLNPPKWPPLSSDKIKTLIFELDETLILQII >CAK92506 pep:novel supercontig:GCA_000165425.1:CT868668:207751:209176:1 gene:GSPATT00025240001 transcript:CAK92506 MDLFLNKPAEVPQRQYIRLFVNTGQKQFIATVDRTQQNLEVQIANSFVYTQRENPDNEEI QQFQVKNIKQNGYLVVIPKQMQIGHVLDDQTFITCEIIEPKKKSQVKIEQTDNQKRRNKH QKEQNKLVENLPDISPPRTLPQQQEEKQTNKQPQNNQNQKQQQQQQQQQQPQQKEQLTKK QLKQQNKNKIEEEKTKQQQAQNIQEQIQNENIKENKDIKNKDHQANNDQKNQIQVEVQQH EPQNLKEHQEQTEALGKKETKETTNQNREKSKEQKENLQTSEIQIGQQQKDGSKKEKQQH QAQPQASQKPQENQQTKAVHQQKQDLDEENNFGIKKLPKLTQSNEDHINNTQQQNVDKVK QDKQTQNTQQQKQIENDKQKQTKQNKQQHQQQQQQQNQKQQQQAAQQKQNQQTKKVNKVI KTGYDSDENSDDQQQVKVKVVQNQRQVKKNDKKQSIDFDNNLFENC >CAK92507 pep:novel supercontig:GCA_000165425.1:CT868668:209252:209976:-1 gene:GSPATT00025241001 transcript:CAK92507 MNKGEINPQVKELKRENMHGLGKLNETGVEKKNEDALVSQENQRIANLEKECLTHIKNQE DEVKKDEEQLRSQDLRLEKTLHDKARERYKETLKKSEEEKQREQADKDYLYPYLEKRKLL GKEVLNYNEALDIQKDVMTKLKERLLSRAAIIQKKLEEERAKLDQAEQMQQKKADPDDNE YINIQFRIDILEQRAIRFESQALLKYEEMDRKLKDDKRLSELKKK >CAK92508 pep:novel supercontig:GCA_000165425.1:CT868668:210090:211847:-1 gene:GSPATT00025242001 transcript:CAK92508 MSNNPVSDVEIQKQAQQQQNNILAILKSGNATVVNEKLQRCRDFKEGKDIPVPFCYKENS PKEELVLEHVQQFQKQFQLHYDERRNLFLYPKNECDLYKFICTTLRPQKIGYLELYNYEQ CARYMSFFIAYEQLDPPDQFPKVIPSPTNVARWQKGDCFDLSMLLCSVLIGVGYDAYCVY GTAPRSITSKNESDLDYIFINNGIKEDDEDRDKDNDELKDNEFAILPKEEIVSKYDTKIQ KQKEEFEKEQKRIALTIDDDEPIKWERLLCRQRIHCWILLKAGKRGVERNLFIEPSTGRI YQINESPFLTVDAVFNNKNFWINMKLESKVADLNFDEMDTSMNWEYVMLDTMVEQSQQDD EDYNYASRSLIITIIRYCLNLRHATSLATQDVHRQRVFSQGNTFWESLLSSIRNVKIDSY APYSQEKDGLVQRFTIYQDYKRLKIHEIRYFYKHRSDKLVLKRRFPYEFKTIEEYEPGNK PQRKTITTIDRQLRIILYYPTRNHDGLIKRIEKIGEKTIEEYENRDDRVIYRSVRFDAKD KKFEQRDLIHNDRYMGISQNYQNDLKI >CAK92509 pep:novel supercontig:GCA_000165425.1:CT868668:211966:213224:1 gene:GSPATT00025243001 transcript:CAK92509 MLKKPQQQQPTKPSTRVATLANDENQQQQRSEQAQKYALNQIVGNGTFGMVYLATNSVTG EKVAIKKVFQDKRYKNREHLIIQELNHPCVIKLKEAFFTQGDKGDDVYLNLVMDYIPDTL SKVVRYYRKAKQQFPNTLLKVYGYQMFRALAYMEGIGICHRDIKPQNILVDPATHVLKIC DFGSAKKLQKGEPNVAYICSRYYRAPELIYGATEYSTAIDVWSIGCVIAEMLIGEPLFPG ESATDQLVEIIKILGTPTQEQVKMMNPQHKDFKFPLIKCHPWQKVFAKFKPDALFIDLIS KLMVYPPKERLRPLEALAHPFFNDIRQAGFGIPNQTLPDFFDFTKEELSIQPEIAPKIYP TWYQKKQ >CAK92510 pep:novel supercontig:GCA_000165425.1:CT868668:213258:214962:1 gene:GSPATT00025244001 transcript:CAK92510 MNPVRVFPLKFNESQNTQSTSSSPQLKKKPTQKLIEKPFLLNMSPILNGQSPIKGKFNVK RLTVIPKQEEKFQFAQQQRPSQSTSSSPKKRVISGANYAEVYRIFKQSQSPMKLPNLRRA DTQLNNNIQIKDETLQEMKSTKRKDTNADGTPSQQVRGSIIKLISESAPDSRPSVSRAKL ASQTIEQEVKPKVSSQMVMLHGRSTSQATLVNTFILDNEMQDYVNMYHSKYCFIIIRSQA GQNGSGQTKTNQDSIIITNNLGGIKNRYIFSVCDGHGVYGHYVSQLVKKLLPNIIDQQLK SNIGMQEKDISENHYASITKAMTQSFQKMQKDLSNCGIDVTFSGTTCSLVLISGPHLWCA NIGDSRSILIQQQNNQKWKTIELSNDHKPDLPEEYKRIISNKGRVEPYISENGEMIGPPR VWLLHDQIPGLAMSRSFGDYVASTVGVSCEPEIIHYRMNGNCAFLVVASDGVWEFFSNEE IQKIVISHWQPNMTAKKLSEICDQIVKLSTQRWNQEDEVVDDISIVITYLQKT >CAK92511 pep:novel supercontig:GCA_000165425.1:CT868668:215219:216306:-1 gene:GSPATT00025245001 transcript:CAK92511 MSTNNNNRQLERYEKLEKIGEGTYGVVYKARDSVTKELVALKKIKLENEDEGVPSTAMRE ILNFERTSTSSKHCGFKRIFEYVEMDFKKFLDQNKHNLTLSQIKHFTFQILNGLNYCHSR RIIHRDLKPQNILIDKSTGIIKLADFGLARAFGVPIKTLTHEVETLWYRAPEILLSQKQY SLGVDIWSVGCILTEMVEKHGLFCGDSEIDQIFKIFQYHGTPTVQDWPNLADLPDFKPTF PRFRPTPPEQFFKNFDKVGLDLVTKMIALDPAKRIYVKEAMKHPFFDDLNKEDLAKYFPP GQQNLAMQYGK >CAK92512 pep:novel supercontig:GCA_000165425.1:CT868668:216488:217729:-1 gene:GSPATT00025246001 transcript:CAK92512 MNLLKKATTVVCSVQEHQEVDQKIIHLCLDSKCQISKKALCGLCLGEEHYGHKSINLSTL DSLIKNEWTREIKQYNQKNKDLTDSIQMSINAILNKARLLQDQIAQFVNQDMQESKVGIL KRKYIDQPNLTEKDYEQLAKDVCDIIHYNNGVPDFKPIDYEPNDKFQSLAQRLIFDATLF CKDISLITKSNIVHQSFAEQIQDLLFQINSITNLIEKPLSYLMQSRRLFPNDKSETQSMQ RIDFDQQSVFGTITQSPFCIQKQVKFKTLEMKGFTKIYEELFNKPFTQTHIELIKQRCTP QSQICIGGVSVNDPDSFILCATDYASEFYTETQDLKLARKSRNGEIFWYYVRNRCIGFSP MSKVDLKYPDVDNEEGDLRFSLWLFHGQGGYRIGRIESLEQSVEYKCVIYLKK >CAK92513 pep:novel supercontig:GCA_000165425.1:CT868668:217748:219800:-1 gene:GSPATT00025247001 transcript:CAK92513 MDRCQKPYEEFRDKYPIVVKYPFSSQRKRMSMVLDVGGQQRLVCKGASEMVLAACTQYHS KSNGVTTINSKVVEDAIENMAKKALRTICLAYKNISNSADLTSKDDKGVYNIEQNDLILL AVLGIKDIIRQEVPRAIQLCKQAGIKVRMVTGDNITTARAIANECGIITNPEDSIVMEGP EFVRRIGGVVCKNCHPENCSCVRDSQTAQKEGKKLRIDTIANPDEFDKIYPYLDVLARSR PEDKYALVTGLIERNHVVAVTGDGTNDAPALKKADVGFAMGVSGTEVAREAAAIILLDDN FNSIVKAVMWGRNVYDNIKKFLRFQLTANLVSVSLTLIGAAVLRQEILRPIQLLWVNLIM DTLGSLALATEPPSEKLLNRKPHDRNEYIISKKMFKFIVGTALIQVGVVLVIVFVGDKFL PEYQDAYDTTVFSGPKIRFKYSNHNCVTDFESLKSLGSTRLNETSSTDNPDDSDKCLKTK CVCQNDECYEIQCPPCLYNEDSCSIVASGRLMTVQGDYDYYIMYNIEHEGSRHFTYVFNV FIMLQLFNFLNSRRITDEINFLDNITNHSAFLIIVPFIFCIQVLMVTFGSAAIGLYGCYG LQIKQWLIGIGFGSISLLGCLILKLIPEDNFCGQMGSQKIVPLQQEVKVSSQKVEQTPNA LAKHYNSIQRHPEH >CAK92514 pep:novel supercontig:GCA_000165425.1:CT868668:219876:221299:-1 gene:GSPATT00025248001 transcript:CAK92514 MILDVTQRTEPYTTNFRVSKEQLCSVAYACQERTFTEEIDQLEELGGQEFLEMALCSNYK DGLLLNDVGLRELNFGHNRKPLILPKSYFQLLWGALEDFTMRILCLAALVSIAVDVATAS SDYRAYAWIEGFAILVAVIISTNANAINDYQKEKQFQKLNAVADERKRVTVIRNGQKCDI HMSEVMVGDIVMVFEGMEIPADGLVLEASDLTTDESAMTGETDPIKKNTLSYCIAKRNQT DSATAGHHEVPSPIMMSGTRVLTGEGKMIILVVGDLSCAGKISALLRQDEPEATPLQVKL AAIAEDIGKFGLYSAIIIVVVMCIRFAVEKSQVEWENKYIVEIVNFFIIGITVIVVAIPE GLPLAVTLSLAYSTKQMLRDQNLVRKMAACETMGGASMICSDKTGTLTQNKMTLVNIWND NLIELETYQTCSLTDYLPQQLADIFIQ >CAK92515 pep:novel supercontig:GCA_000165425.1:CT868668:221635:222496:1 gene:GSPATT00025249001 transcript:CAK92515 MNYKPNLKMDSTSGSFKKQEQTLSLESTQKSDFSAINSKPNFASKPSFNIRNRSTQPQEQ EPQLTSTKEDFFKTGSFQEKVPLKDRIQRSDEKKNTAPLNQGLYLPGQEELFRKQIQVEK KEVQQAQVQQVKQTQSDSYMPSIGNQNKREAALLGSKNAIENKVAVADQTKVQQQLEEAE PIEDLELVLCPEGCGRQFKQDVLEKHTKVCKQVFQQKRQEFNSKQARIVSNDQQKLMRQG QIKEKQQQKKQGKAPLDPNWKKQSEELRNIIKEQKQQQ >CAK92516 pep:novel supercontig:GCA_000165425.1:CT868668:222504:223345:1 gene:GSPATT00025250001 transcript:CAK92516 MINNTIKSLFHSSKTAQSSNQFHNRCVCEICKCGKHHCPIHTDNMHGDFQSTFQHDYQPW KSNKQRPFNYDRQPQQHSYDPSTLKSQYQSEYIQRPLPERETRQLPELQPSQPFSSLSDY QTNYQKFPLPMKRGLIKQPYKSAPRQVPWNTTYGVDFIQKPVGGSEQFKPQVKDGPNVNT DFGSTTYKTSYVPKPISKQERCRDPVQRNINGDPGQIGNSTYQMDYIEKQRDENYCPILA LPRRPNQLGGGKSHLNYDAVRNRWI >CAK92517 pep:novel supercontig:GCA_000165425.1:CT868668:223457:223978:1 gene:GSPATT00025251001 transcript:CAK92517 MIFQVLILTLSLSDCCTINQLQKKTRILRGNYKDVLNFHQINLISTKNTYFNGGQMNSQL NRDVIYYVNGGIGYTFCYLNDSIEISLFKKYELNTIVIQIWDRVVDVFYNFQIYVSFLDE EKLVYENTSFAGVVRIRFADQLVDKVRIYNRGGSNYNGYLSIIKAQAFYHRYN >CAK92518 pep:novel supercontig:GCA_000165425.1:CT868668:224094:224609:1 gene:GSPATT00025252001 transcript:CAK92518 MIFQILLFTFTLSNCCTINSIDKKIIRGNYQDVLNFRQINLISTRNTYFDGGQMNSQLNR DAIYYVTNQLGYTYCSPYNSIEIRFFKKYEINTIVIQIWDRYVDVHFDFQVYVSFLDEEK LVYENTSFAGVVRIRFADQFVDKIRFYNRGASNYNIYLTIIKAEAFYNLDH >CAK92519 pep:novel supercontig:GCA_000165425.1:CT868668:225093:225742:1 gene:GSPATT00025253001 transcript:CAK92519 MNQKEQINPFKAYGTKEVWEKINESGKNKEYVRFPGIGIEQTPFYQMQRQHAEKNQSKKQ DFLSKLNKFEYFPSGNQKKLDIQGMFSQIDDVKKYQARMERIDPINKNLLQHKLHKALET ASFLWGEQFRHDGSLGTLDSINNLLNQSQKKLEQRNQRSSQGKAWTRNMNINQYVFLSAL VPTK >CAK92520 pep:novel supercontig:GCA_000165425.1:CT868668:225831:227700:-1 gene:GSPATT00025254001 transcript:CAK92520 MQSFNEGESHSTTLEPSKQIIVVSRSFKSRSIFDTMEASTKQFYMFDNDTGDIIDLRTSQ TVEYDQIQFQKENAWQDFWKESQLKTLELIKACKLGQFAIVESILQSSNFIDINAKDSND QTCLHFACSSGNYQIAYHLIQKGSQVNEQDCDGCSPLMVSVKNNHLDLVTLLLYNKANVD RQDFSMNTPLHIALDNNCLEIIKLLLNYKADPYIQNGENVNALQLISNTEQQQIFKQFGY EKQYVKERLASSNNENKESNSSYRKSISRIMKFLGQSVPTNSLQKQTYQARQSVVPIGGQ NIGPDSFTYHKELGKGSFGIVYLVKKKDEQNSLYAMKVLRKEKISQKLLPYIQTEKSILS VIDHPFIVKLHYAFQTQFKLFLVMDFCPGGDLTKLLDLKSKLAEQVAKMYTAEIILAIEA LHQNKIMYRDLKPQNIIIDNNGHCMLTDFGLAKTDIETEDTKSFCGTPAYMAPEVVNKKL YNRSVDWYQLGTITHEMLFGMPPYYSHNREELFENIKNKQLRFPQNNLSKEALSFMQQLL ERNPSKRLGAKKDSEEVKAHPWFSGFNWDDVMNKKLPVPMPSIINQANQTLQVNFDEDSQ IQQRKAVDNWTFISD >CAK92521 pep:novel supercontig:GCA_000165425.1:CT868668:228167:228991:-1 gene:GSPATT00025255001 transcript:CAK92521 MNSQQQGFKLYNSTQNNEIINKRRKLSIGSEGSDSTGEKLNESFNRLTLSAGNPQSSFQP FVKIPITQVRPPERPLTHPSLMMGIQKYLKLGEDLEAEDLLTKPYNDLQQIQNLQQLPQQ RLHFIPFGMPANYEKLMSEAKNVLQSEIKVEEERQKRNIDDYLIEQKLLNPGQKLSKEER AAKVKAYLEKKHNRLWKQVRYPVRKNLAEFRERVQGRFTKSEKPRFNQSLILLDKEERKM ETLTNSYA >CAK92522 pep:novel supercontig:GCA_000165425.1:CT868668:229386:230371:-1 gene:GSPATT00025256001 transcript:CAK92522 MLLSINRINRYQNQLTGSMIISISILVFKPRFLKGENKNNLQILNKNKKQTFRSSNQLVS SCINDSDVLVINEVESEVSFLELNLDYDLQRQKIHIQDDITIQELIEFIYGTFSISLDIK LEIIYENLILSNQKRIKKLADLQVPNGANIKIIPIYKLDNNLINLQIICKDSGSSQRRSF QKSDTLNAVINSAQGYLGLDENTVGFKVFIKSKNYKGDANKDRNKTLSYLKLEDDDIVEI KILYSGGR >CAK92523 pep:novel supercontig:GCA_000165425.1:CT868668:230668:233715:1 gene:GSPATT00025257001 transcript:CAK92523 MQGSNQQYGQDQSLSNQASDQNQHNYIPFQFAGQGQFSGQQQQYIAQPQHLQYSQSYQQQ NGQQFQNGTPNPQAQPQMISNQNQMSNIQTQQFNIPQMMAIPGQFPSPFPFPIHNQPLAI QNNNNDKIKQLQNKIKEKEQEIDKLNDDLYDLTRVKNNEIKKLENKILNLNNQIDELSRE EQNKDREILKLRNELDQALQKLKNLEQDFKTQKDDLTNKIDEIQGLSFSYKKLEKQLQIL TQQDDQKKLQQLLDQQQGQSEEEKKRYKQLNDQKKNIEEQMSQKDQTIRELQAKIKELEY LNSKEHQYFLDSQAEVANWKKKQIMFDKQLQEKDQSIDNITTQLREQKQKNNDLHDKLKG EEENINLLKQQLKDMEALFNQYQQNASNNKSNDQNKKQFEQQLKKLKDEAEYNIIKNNEE VEKNRKLKELQMEESKKKKAMMDQKRMEDKKNENRMIKEIMMKKQQGSLEEYGKLDICYV IDCTKSMEPYVEQARSCVQESLKVIKQQTNRDTIISSVAYYDIEQRPAKGYYQFEFSNNI QAFQKFILEVPIEGGRDIPEDVRGALEQMITKLKWKNKFKIAILITDSPCHGRKYHQFPG DFHPNDDITEVLHRLIEQQIILIGFNLNDKTIKMYDEFKKIYEAKNASDFFVYVDVAGLK VAQLAEKMAGSLGSASVAATQVKSSGTKSKKPSQDRPKNKDGAIEALCKQGDFQNFEKQT KVVDTVFTVLNVKINDQVFADNLSTINNIGKNPQKDYIIKVEGQWNCIRTEFPFAFGMMK DVFLMKKKNGGSDELYVIKTPLGSKPYPSQLEAVQECRSHLICQQLMKKFQTDIVEALEQ QNIQRNYPKVVYSDFLILEESKDKYWIAERFFKGEFVKYNNNYGFINEDTSELNKFAQAF SYYTFFVSRGLYMINDVQGVGIYFTDPAVNTATGDFDDTDLGQEGQDMFLVNFQSKQDLA SEILNLLNLLKQN >CAK92524 pep:novel supercontig:GCA_000165425.1:CT868668:234096:234563:1 gene:GSPATT00025258001 transcript:CAK92524 MDQDSDNSEVYIIVFVIIILVFAGIALFIYIRKKQQTRNKKLEEEINKLQQYVNSCDRKQ ESRTDNVNTQPQILSQTNSFTKTNRMYQQEQAEQNKQTGKMFLDYEKSEREIINQLSPSQ KSPPDEEEKKQTIESGRKKVIGIKKKNLQIEIPQD >CAK92525 pep:novel supercontig:GCA_000165425.1:CT868668:234565:235735:-1 gene:GSPATT00025259001 transcript:CAK92525 MNSKKNDVFSLTRPQLCQYHNKKLQLVCSDPGCEDHGLICIDCLVQNHQDCKGYIKKYDE FLQSLYKEIERVQCIKEKRFQDAQSILLSLGKLQQKFNDIILTLQLNIETFLQEFNQEDD NQIMIKKLFQPNFEGENESIKNIIQNLGKLSFKEQEFPWVSQGIQIVEDLSKILQGMKNL EFVEQKQPIVSSKYQKVKGIDDLKGITNNIPKLRIVLQENNWAGIRNTFPKFVALNNLQI TAVKSPLKAQVLEEIISAMQYLPRLEKVKINLIGSNANDSTLRLILKIITSKSLSEFGIS FGYSQILSDEFIRQINQFKEQLKGIQKIYISNQLHEFTEKQQKLLKSYISQIEFIM >CAK92526 pep:novel supercontig:GCA_000165425.1:CT868668:237104:238872:-1 gene:GSPATT00025260001 transcript:CAK92526 MIKNPKSEGDFRLQQYSASNSCTTIPDRINQTNIQESIPEESYPQAQQQEITYTFYNVRQ ILQKEHGLNIELPYQQLFTQQEFDLLLEKYKPDQPIEKFDEGNGNKEQKLVDLFMDRLKK KFYFDASDIVNIEFSDDDPMKIYIDIFKYLMKQKDKQYNRSSINSDNRIQFESKEQPKEQ SDSCQMWHRKVYYNKYRKNQLKLNGFNTVYDYHEKLVEPLIINQQRSTNYIRNVAFLVCT FQFTKITDFAKLDQDIIPILKVAINIQSDISKDRSDCKNFYSSDNNLSQINMISSQNYEK INRSNNFAKSGTSQISNILETPLRQAYYKQNFQQIQQMCKDIKHTDPHMQLILNFFGMDD KQFQEYLLKDDNRLHFEDRMILTLLYCDDKQLNEFSLKKKPLDQIINYGALDKRSVDCLR FYLEETRDIQLVGVLSAHLYLLENKDNQLLTWFQEYRNFLNSKQLYKERMIIENQIKDFQ NKNPPLFIEDLLLSKQQQIIQYCQCNSIANPECLSCLTLYPKCSICYNPIQNQLYVVCLI CRHGGHEKHIQEWFNIYDQCPYKKCQCYCKYE >CAK92527 pep:novel supercontig:GCA_000165425.1:CT868668:239062:239995:1 gene:GSPATT00025261001 transcript:CAK92527 MRAFIKYSYFNQPKQTKQPKKPDPQKIRKGLEKLNNYYLRNLASAYQPLMINMIEQMKRE FQILEQFSDKVNKRNLKEIFLAWRIQSQQPQPYKLQLPYSPPKKDLSRKSAGKKSVQSKT PRNEIKFYTPGRESSKSVISTITKEDDQQSNKIADLFRLRKYWNKFKLFSNFQKHLAFKS KHFRNFCIIRKYFYKFQTLVYLKKLRLEEYQKSKNFYTLRISFSKWYEFGQKKKRHQFIL AKQSQKQNLLLMKKGLKGLHLNCQQKQQLQSTQLSHNSQIQQQQQKKIKLIPKKN >CAK92528 pep:novel supercontig:GCA_000165425.1:CT868668:240527:242041:-1 gene:GSPATT00025262001 transcript:CAK92528 MSIANAKGQQGQNDMTTQRRKNEANLRVALQYYSSCGKLQDDHSLEQVTPGLATLEFMKR NCPEGTKLEDFCIQSGQFFEFEQYKYGDIIFHYGDVGDKVYVILKGEVAVLVPRSESEIE AEREEISQAKQPFEFEAFWRNKKPLKQIYIEVMLSQLNSSVYFQENVCMFKKVFQFYSGQ SFGDVALISDKPRTASILVTSDQIYLISMCKKDFKILCEKSVLEMNQTLDYFVKLFPSVG RMQITKFIQFFHKIDLPPQQWLWREGEQVKFFLLILKGRVEVKQQQLPLYQVSDNSFIGH EEILECMPFRQYTCKTLDNTTAYYMECEDFNSARKTSPDIVKLLIEKAKLMTSYLEQRKE EVKKGQVYVEPICLPVERRLVKDMFVIKSPRKNEVRSNQPKCLSYFDITKFNKQKAKPNN TNQQQSISEDLFFSKEKNIKTTRSPSYLTQKLSPINKQMKEILMKKFKLNFKFNALQSFQ ISSCKSVHEFGSKSRFKTEPSIDN >CAK92529 pep:novel supercontig:GCA_000165425.1:CT868668:242399:244352:1 gene:GSPATT00025263001 transcript:CAK92529 MQQPLFLQIRQFILQFDMFGQPPKFRILGKYKYNSTLGALSTLGTIFFALAYLIVGIQEL IAKNSPNVISSERQVVETSPFFLERNNFTIAITMADLNSQPLTTINKYFTISLKNCQTTR IYNETSQSSNVTRNCTMIPLEACTKEHFLSETQIDYFSRIRLGSVQCIQKDYWDDHPPVL QGIKTSPVYSSLTITVSVCKNSTNKSDCAPIEDIKKQLSSGFYAVHLSDSLLQMNRAVNM SLDVINIQYYTFSITTSKNIFQQFKVVDTQTDSGMVFSDLSEQQVLIQDSLRESTDLYNE EYLVLHSINLSSKYTEYQRSYVKIQAILSRVGGLYQMIFLLLSAFIQPLAQLMLDIDMAN ELFKFSDNKKDKLNLSDDNKVIDGLAGIVRSRRKLLSPRDTGRLNSDNNQEVMINESLNI ILQKKNQISRNIKTIIWIFIGCDKKKKKMLEMAKEKYMEKLDVKLIIQKLYELDQLRDII LTEEQKKLFKYLPKPDIAQDLEQAKCLDQSKQNQQLQSIAIQEAFQAYEKVVSLGWKSGV NQKLIQSLDPEIQQLFQSLQKSQANQNQFLNEIPLNQSKVRFDVGDLEDCQSIYDDCNAN PQRIYTQVPKKMNKWHETQ >CAK92530 pep:novel supercontig:GCA_000165425.1:CT868668:244426:249061:-1 gene:GSPATT00025264001 transcript:CAK92530 MKRAILILAVMSSAILAQKCDTINQHYNVYATVNETKEWDMRKFFFTGENLKFKINNDCP YFETVDPLSEIGDPVPHGDPSIGTIQNLKAYRSFDNGAWLNDFVFLEQNKTDIDVFYALG DPQKMDQVPRFHAQVNVRNTTVSFVCHDLDFLTNYLIVIDCQQPDLDDPANLGWHNGFIV VDLQDSQHYDLSPNEHPKGYLYNYTEDRKIAFHNFSFSTSEQDMDGGLEADKISLLFRAE PAWATDFADLGNDKLDDDCELEVYKYSNKKFSQTPVAYLTKIELAGLLGTDVTKQKFSIM DFQLEPNGDIYVLDGESGVYVLQFTSQSLEWKLKEHISFPYITKSYGFDFNYLINNDGSI TRHMVLVFKDKANFYENGVMKFGIKLPENASYGRVQVQMSQYFLILKLDTVVHVYHSDDG SKLYTYTNDLFDQLIINPYEPDLLGVNKNSAHRFLVSNGYLRLKKQDSTNDGTKTYTLDA ISLDDTSQKCSVTLNVRVLKKDDSQIYEQSNHPFPQTLKVPTDPIGIDLIASGPNLQYSS LATLEHPELGASKIIVTIKSAWNLQLNGIRLPDAKEVIYSDILVTDSSEQFYLLVQIPTK IIYVYKCTHSLADHENAHCSDFDTFSLGVQIDKKKHSFYWWIFINQISYMYQDTDYSIQI YTSTGGQVKKVGEVVVDSTDPLNKFTSVTMVRSAIYCVQEDRKTVQIYDATIPFRKIYEI NSSVLSEYKIEGYFTPKRVFSNKKARAQLVFIQSLNHLYVGEFTNQKSFSTFILFKQISI IAASEVEVAIGQHHFFVVQQLEDQELIEEYNYQHIQRIYKTKNLPFFNYKMQKPLTVDYS SQTGWLFVRATDGKETVVLVYEPGVVSHICLHKVLETKAMDFDKKTFDMAVDGGKQMFSY FNNNTIHRVVSILADAELYITPELETQQYVNDLTTGVQVSNYPGNTPLNLVSKVTVLNTQ SDIIIKQSVLTSKKKVFKFTKQAQQQIIDMGSDWYYGQQTQIIVRCETCKGDLKVRNNIY RVLDGADMPFDISDGVQFGTAGQVYQTRDALIFQDAAGKFKQKKEIQDRSVNCELVTVSA DNSFILSSYTTIKNEAGIFINQCTNLENCQQFKQGSQAIGGAKKISKVLMPDNKNIIILN TDPENFGSTDSFIIVTALDYDQSKFTISNKFTINDQYVGSKLLQIGDFDVIKYQINSVNY NTIVFTDINNGLYFAHFAYDAQGVYSKTINEQVKLRTFTNDQYHIADDALFLQVKVLSSQ ISGTTLQINLLVTTSNSAHYSFGFDLDASKPTSGLPVIKTNTVLNYVLTPYGTWKAIDKS AYVNGFLAIPYSNDKEVVIGVYSIPSDRPGIVKTISFTHGISADYHKALPIDFALLLTKD ASAKYPNLYTNINYDALSDEYLIEKYEMRADPQLILTNSAAYTEQVEFKLYLHNNFADVS SMAYLSTTEPNPPPNPDDGDGGSSSWWWITLIVIFGVAILGVGGWFAYQKFGRRGVDPLL G >CAK92531 pep:novel supercontig:GCA_000165425.1:CT868668:249810:251020:1 gene:GSPATT00025265001 transcript:CAK92531 MKIFHTLLLSFVVYVAIAAETATTCTDDQVLDAKNCKTQIGFFKQTQANGKKHIAKRPQF TTNYKDGNLQFSLTFTNAKDFQTSAETGLSTTCIQVNLRRFGAAFTNEVADTSVITSTQQ DTSRIWNFSVNKDQFDSLFLKDESNDEIKYTGFYAISVTLLLPNDNGVKPLAFFAFKFSA IFSKDLATLKSSEIKPKVQAEQVCADDTGEKCVNTAQSTLTLCADETCETPLATNELVVG DTIYVKQTITQDGFKGGKWKPMDAEITVVADGVSKTVKPKKLDKGQNGEQMYQLKLNFLG NKVTIASDATLGDTTAARILQETADDPSVVGSTSVSCIKETSSSTCPSQDQVLTYNSENC AGVSCDDEDQSSNAMMFKVVIALLGLLIL >CAK92532 pep:novel supercontig:GCA_000165425.1:CT868668:251064:251852:-1 gene:GSPATT00025266001 transcript:CAK92532 MNDIQPAPSLVKYSNPILISNSQKKQIKQQPPSNTEDILNAILLPREFNHQKNQLQMQCV SPAPSTKQDVLELQDKLDKWLQQRQARETGLCPIREELYSQCFDELIRQITINCAERGML LVTVRNEVRMVIQTYQTLYASSIAFGMRKFLSEEEKKAEYRLRIKQLDSECSELQRQVES LEQKLLDTKQQDEIKRQQTKEAHADIVSQLKADIKAKVNGDLEKILTGQKKLPDKKQ >CAK92533 pep:novel supercontig:GCA_000165425.1:CT868668:251868:252768:-1 gene:GSPATT00025267001 transcript:CAK92533 MQKSHSPQPRRTNSKYSSFPFKLPINNLALPSTTNNVQSDQGRKVLIHVGQQSSKKIKQL LQVNTRPVINPSKVQIDIEKLQIIMNGKYEKNISNTSYSQQVENTLKELRTKIDEQDNLI RLQEFKINRLQQELVFSKQQYQRMMSKFTQLDGKQYNYPQSTKLTKSQISIPQIEQMPSV NKVLQVDNKKKRNIIEKIQGLALENKKFKDEVQKKFKGVLQLVNEFAMSVVSQASSLYIQ QIQSIESVFEMHNNFTKNDYQGMRAQYDILNREIQLLEQQREILYDKVISLL >CAK92534 pep:novel supercontig:GCA_000165425.1:CT868668:253022:253785:1 gene:GSPATT00025268001 transcript:CAK92534 MQQLQQLPQMAAMRIPMMPQQSQMQTLPQPVMPLQNQPQNPPQQKIDHDIAVSVKGTKVK LNLKMIKDLPLEENSILDYDEIQKQSGDRKYINFKRKRRVIDQQEAPLMQTRRRSEQSQY LEIRELLKVDDRDMSLILEAYPDTKILLQGLRKGNLKKEIEKLLLK >CAK92535 pep:novel supercontig:GCA_000165425.1:CT868668:253988:254346:1 gene:GSPATT00025269001 transcript:CAK92535 MQKIYDDYKRFQMDLEFVQLLANPQYIYQLATKQYFEDDQFVNYLQYLLYFKRPEFLKYI KYPVCIKMLDCLQNEEFRLQMKDRNFADKISKQIEVTFQILQSK >CAK92536 pep:novel supercontig:GCA_000165425.1:CT868668:254872:256147:-1 gene:GSPATT00025270001 transcript:CAK92536 MDPQNIPAAGSRPQKQTIDQAPSVRQNQQQRSDIFGVNSGPVVQTPSRQQNRAKTDIFGV EQSATQSLAQSRVETRQTKTYQSNIFQVDPSANNTHTAKETIKTQNKGKNTEARHQDHGK DFLFGKSDFDEYKSIKRTQTLKEFKPKEEYDPANRRVKELYGNEIGKEHLPTQKVSLEEK KETVKKQQKQDVDPSQRKQLENSSNVFGQNEKKVYQQQGKQEKLTSQNQKWSTSTKAVDN SGKNYDPDTFAKNKKEQELQSSVFGGEQKQTQSTAAKQKLIPTNQTWQQTDTVKNMKDFD PSQSQINEIDPSQKKIDTLKSTLDTHDYKAQPVKHNEKLSVKQQKYKKDVFTRGGDKDQA PSQQKQKQPAQQQQKEVHEQSDMI >CAK92537 pep:novel supercontig:GCA_000165425.1:CT868668:256360:258438:-1 gene:GSPATT00025271001 transcript:CAK92537 MKATLFQQLRKIRAESAKVGGNRNKQMLDTSELIGRQSFSRKRRLEDANYSLLEQPSSFR YHDKEKYEQEINYLKNHLNSLENENLKLKTKIHQLEDNQLKQEKMLQELDRIGPVKTQLL RSMNYATALNALKKELNNMKLELQKKDQELINMKKNQRFTQMNELQIERTAFQEETIRLR QQIEQLFQASLYNLQQNNVEQAIQERLFQLIAKIQENAIQKSELEKIIERLKKECLKYRS QQIEQEFRSKKEIKKLIIQLKDETNNSQKENSKETQQFTKPDELQLLTDLMFAKQENQAK QYEIDRLNQYIFDLETQIKELTMLQKLQKSDTQEIQPQSQRVDVSTKSKITKIQSPVFAT EIMQEIALPVKKSIVIQEEIQKLVKQPQRRKIVTVKFEEIKNIGETLKYRLMAMDISISQ LDEYLFEGDSMTLKELKDKLRHYPFNLTTEEAHVVSRYIMEGENDVYELLETASNYNPYI RSVLRRILSNYKLEIVKNQLLHSDKIKDVLTKFKPFIQSNIKQLYGKDCIRVSKQQFNES LMSLNIELNQFELDYLIVQGILESKGVESLNYEEMLKYEVKEVQDQQLSFLLTELNNQQN QKAEMSVIEECPEKLSELDQLEQIDHKQVQIVKDNQKNGGDQYVTFDKYVSEQFESESEQ SKQEIMTSAFNLEQ >CAK92538 pep:novel supercontig:GCA_000165425.1:CT868668:258453:258793:1 gene:GSPATT00025272001 transcript:CAK92538 MSDIEETINRIKTHKSVQGIVICNNDGQIIRSTYGTESKEGENIARTIPTLAAKAKSTVR DLDPMNELTFLRIKSKNNEIMVAPDKEFLLIVVQGPKEEKKEEE >CAK92539 pep:novel supercontig:GCA_000165425.1:CT868668:258826:259833:1 gene:GSPATT00025273001 transcript:CAK92539 MQQINQIADNLYLIQQGNNSYIHRRVGPSETVVIKSVFHGQLFIEDDHTCNSVHQRECQD LQNEWKILSQLNHPNIIKPQQFIPHHQLDPVGGNLQCCSLLLENATCDLHTLCKTNETSL KIIIKYFTQISIALEYLHSQYIAHNDIKLENMLVFNRNCVKLADFGFSYRPSFEDLLSKW LPRTLTPYSSPEIVNFRLNLEDGELQEFCLFSADVYALTTALFLAIYKRPPIMGQFPTKD DQFYQLLINQPDLFWQLDFIQKIDQQLIRENTPKELLENLKDLIEGGLAEEYNRITATEF VNHEFFKYAQQIQGNQF >CAK92540 pep:novel supercontig:GCA_000165425.1:CT868668:260609:261238:1 gene:GSPATT00025274001 transcript:CAK92540 MNSRKSSTSTLDSEKTSPRLQNIRLKPIKFIGPDFMNNLFKKPKEQEIVPIEVNSDSDSS GSEHDVFDNDEILLKGIHLGDYDKLSPTDQLQMLYKIRFETYPKRREYISEEELALETQK LVNKIKLHFYKFKKILPLQNLPDFTQKYDINQDQNYDQFWDYYRNRNNHRKHKQIICHQK KKSEPNNPVLRSNSRIRTDCRIRTLAHKR >CAK92541 pep:novel supercontig:GCA_000165425.1:CT868668:261321:261875:1 gene:GSPATT00025275001 transcript:CAK92541 MAMREQPCPYRIIDDFGGAFSMGCFAGCIFYFLKGMSFAPKEGEIFRRAPILGGSFALWG GLFSITDCTLMHLRNQQDFINPIVAGAFTGGFLAIRAGTRIAVRNAIFGGIILGFIQLAE IGMLKIQMREEMKRMQQQQQQQMQEMQEMMAMQVQQPSKKQQPKVEKY >CAK92542 pep:novel supercontig:GCA_000165425.1:CT868668:261995:263206:-1 gene:GSPATT00025276001 transcript:CAK92542 MLFKKTLQYFNNQVARCKLGQLQYQGIMMKVPSEMQKEENSEEDRLVKAFFTKNHEQIKV YGHSQKELNEKSSSPRNSWYKVVLPLKSNPELSFKFSRFYTNQVRIGRLLELMDYIASTV SYNYILPSSGATIVTAMVDNINFFGKISADQDLEIQGYVTFVGNSSIEVYIDVIQEGAVK VSANFLMVARDGKDHSKAYKVPILDLNGESDKETAEMRCYLGKHMQDKRKQQKDRGLDRK APSQEEINELHKFFMNPPSDITIDETQIEKTLLMHIQDRNLHGKVFGGFVMREAFELGWL NAYLHVKGQGFPQIIHIDDIQFLAPVDIGSALQVQSRITAVKDPIMHVEVNCYKIGPNQQ KIRSNDLHLTLNVPGIDIPQVQPKTYNEGMQWLNACRRMNYTI >CAK92543 pep:novel supercontig:GCA_000165425.1:CT868668:263224:264060:1 gene:GSPATT00025277001 transcript:CAK92543 MINDVPVQERKNFTLSSKPDNTEDTYSKAPVSQFAQNLLSKMGFQEGQPIGKNSQKSSAL LKPIEFLSRGDREGLGVNPMKTYRYAGEKEKQESDKFQDVVILSGKYKKMKGVKLEVIKT QGQSDTYLIELKSNGEKIKIAADQVVEAKFYKEEKSRSRSKSKSQKQLKWITSGIVVKVK NKHYQGGKFYNKNISISDVQDEYTFSALGSHGELIEDLKEKDVKVTLPKKGGRVCIVKGE FKGELGQFVEKKEKKIVLVKLENGRLFEFEKKSVCRLI >CAK92544 pep:novel supercontig:GCA_000165425.1:CT868668:264163:264942:-1 gene:GSPATT00025278001 transcript:CAK92544 MFNPFRYIADFLHLVSFLIPHFKNTAKPEIAQDYHSEPKKSILQCSVSDTLIYSLYNTSM KLLYISSTVFIIYLMKFKKPYCLSYDSASDDFPHYKFIYTGAAVLALLVHTDLAPFELGW SYSIWLEALAIIPQLHMLQKIKDVENITSNYVGALGVYRFFYIVSWIYKYSLTGDVCWTS LLGGIVQTILYADFLYYYIISLKSGKGMSLGV >CAK92545 pep:novel supercontig:GCA_000165425.1:CT868668:264950:267151:1 gene:GSPATT00025279001 transcript:CAK92545 MQMDEENQGLVRQEVKKPKDPETRGILSTLCYIIQLIILILLVYLLFKYALANQRKSSSI PQIQQLKVNEIENIDDIDNTLVLDKEDQDIMQFLTSPEDVTQFDNIMPEPEEEQFEELIF EAEPWNEGQLQGWQYKNGLTLIVQEKLVEIATLQFVIYHGYHDDDYNTLFMHLLLKQQCS QAQLIERPYMSTVTIHIKNELMETCIRKFADSLAREYTQDDLDNINSEEIEINDVDLISK IDRVLDAISLNNEIMTEPMQIQDLQNYIELILVSNPLRLTIESSLSNQEIYMIVSDTQLL QKEFGTSIIDSWDLEPILYNQIRINSNLTSTILIYPTKQDEFFKHLLLLKTQNSLQTILK CQFEVLDFDFLAINIEECNTNSITLANAIYNFGSFLKRQNEKTLLNCHKDFYNLQILYQK YVDDKEFSKKDFNAIIDNIQSGVIIIEQGQFIYQELTTLNKEEFLNSNFVNKKVQSIEYS NILLDYTTVSNIDEQLISQLKLPNLSQFIPIEQPNYNFYTTKNVVPTNITLPEPKLQNDS PNDIEPIYQPITPYVPLDLDQSQKNYQIPILTPLQISQELWWQYDNRTNRVFLGILFYKP INGYSIAKSKAILKVAQMYIEQSNLEAISAGFNVQVESSLKGISLMLSGWSLTFEAVKNQ VLQNLKQIKDESKFKNIKEDLRSQFQEYYFQKTQFYLVQYWLPKLMLRPVNDPADIIKEF QDLKFNEFLLILK >CAK92546 pep:novel supercontig:GCA_000165425.1:CT868668:267208:267857:1 gene:GSPATT00025280001 transcript:CAK92546 MQNSQCKSFILMKLKLLRKLYKLKKIWFIQSNCQPNRNKMQFQNIFYLDKGLSNISSSII VVSFKIFGCIDGLLFYNQGTDSVLKLNEQIQLLIEQQRFNVDDLKEQLILHYQNNDEFLW DKIFNRNYAFNKQEIIEIKNQIENVQISDFHGILQSETLSIFGVAESSKIPNLPSGQHDY SKEKEKSYFECAYQYQSQ >CAK92547 pep:novel supercontig:GCA_000165425.1:CT868668:268131:268776:-1 gene:GSPATT00025281001 transcript:CAK92547 MKNNHHRRTKSSGNYFPIQSEFLVQDDDDDTNETRAIIKKIQQQPILHHQIVEKLDDQNY GLFNTMFNFGQMKYKPKQSLDLSTITECSCKEFIYSQLHPQLKMLNLNIENGGSQEQQVN KQPIRHNHSQSLQIHRLEQELEQMKVQQAEIQNVNVMLIIEIHKSRQELQISQQKNELIL QRIRKLEKMLKDDQKLSCTTNDSLEFS >CAK92548 pep:novel supercontig:GCA_000165425.1:CT868668:268912:269820:1 gene:GSPATT00025282001 transcript:CAK92548 MGGNKLNNFKHGTTTLGFVFKEGILLAVDSRASMGSFLSSEQVRKVIEINEYLLGTMAGG AADCQYWLAYLANHCRTYELKNGSKLSVAAASKFLQGILIGYKNSGLSMGCMIAGTDLSG QHLYYIDNDGMRLKGDIFSVGSGSTYAYGVLDNHYRYDLSLNEAVELGVRAIYHATHRDT ASGGVVRVYHIHQNGWTKVHDGIDVVDLHYKFAQQKGLVGDGDEAKQRLF >CAK92549 pep:novel supercontig:GCA_000165425.1:CT868668:269823:270849:1 gene:GSPATT00025283001 transcript:CAK92549 MSKKEPKKQQKQQEESKSKINFEEIIMNNLSGFKMVQDNSGYHFTILNLNQKNVDTLTQT FGNYKYIESIDLSGNNITEIVQLSQLPYLIRLNVEGNNIKDLKSLANEEAFKSLKYLNAA SNKLVELGPIKVPLIQLNLNDNKIEKMDTFDGNPKLRQLYLKRNKIAALTQFQNLPELKE LKLSENKIKAIQGVELLTSISILQLRKNLIEGFDETFPVLENIVHFDIRENKIDKFDEIT KLQTLPNLKRLLYKGNPFESKSPNYLLDTINIMVRLEKINNIFVTKQLKEKAFSFAKDKY DREVEQKRLQEEEERAKEAALAAQQEQ >CAK92550 pep:novel supercontig:GCA_000165425.1:CT868668:270886:272158:-1 gene:GSPATT00025284001 transcript:CAK92550 MGKKTVLILTFISYAQMHAARTLWSYMQKYLTIEDYNKNKMGQAYLTFLLTYGIGMNTIG PMCCKNSLKHSIGISCMAVGMLFAILGILQEFQLLTFPLVIVLMAINGLIQSACYPACVN VLGNWFKNHKVGLMMGLWSGCVNAGDIYGLIIGDVVIQRLEMPVYWGFYFMSCCLILVSV VTLSFLQSEPRNQIQGMNESESAPLILKQKNKNLNILSAWMVPGVAIYAVGYACLKGTFY GFLDWLPFYFQQKGEKLENHSSYISQMFDVGCYVGGIFLGYLGDKYKRRACYFVPSLLLA MCSMLVIRFWLDLIVWPYYLCILAIGIFQGGPYNNISGVISIELTKQFENDKAAISTVSS LIESTGTLTAAMFQIIIPRIGDEHLFTFLCLITLLAEFVCCVLLFRELKSKKRSK >CAK92551 pep:novel supercontig:GCA_000165425.1:CT868668:272328:273937:1 gene:GSPATT00025285001 transcript:CAK92551 MIYLILGVIFSLAIGCFISAKPLKQKIKSKIRKEKPEGKESESLEHSYAESKSSGLLFCN VCKKLLFSLWGTQYHECLICGIYVHKKCRRNQIQCKIIKSSQNELGHQWLSGNLPVNSIC CHCGLTCGYFFDLEGKSCLWCQKVVHEECKDKVNQQCDFGEFKDAIILPNGNFTKPIIVV INQKSGGQVGVDFYKSFLRFLNPIQVLNIQEMDKLKNFAHIKTAKLITAGGDGTVASVIN HIKEFDWNPPIAILPLGTGNDLSRALGWGGTYEQLDASHVLSKIMNNENVTLLDRWNVKI GNKNYKLFNYFGIGLDAKFCYDFHNLRQTSPQLFKSRLGNKLIYTQMGLNDLIKNEKSGL GKRIKVICDDQVVDIPDQVENVIILNINSWSGGVTGLWDQDGDFKQQKMNDGLLEIIGVT SILHLGRIQVGLDKPYQLGQGRKIQIIYPSNSYVQIDGEPLSIGPSIIDISLVDKVQVLT NYELTWENRFLKTMDWAEEQNHITKQAKNEIVNRMLHYYQ >CAK92552 pep:novel supercontig:GCA_000165425.1:CT868668:273958:274992:1 gene:GSPATT00025286001 transcript:CAK92552 MGITEQDLLPLKKIKVPPEYENDSQFYQETIMKQYEKRRQRLLSEYNDLLNKKSEETQVY TKSLPPEEKQEDLTTSRINDKLLKMKQFQQNYREQQLVQYSYKEQLIETRRKQISSEREL RAYQKEVQDTSRLEQVQRNRDMIQKLITDKQVRRRMSYDAKLQKWELLKQAQYLIPRSTR VDETLARYQKCLEDKKQQDMQRLKRLEESLEKACSKKTQNIVEIKRRGLLEQLKIEEALF NRARSQNAKNSNVLEKTPQKTVLIRPSMSEIPNQKPCPQPKFLFSKQQVKLHSQTISHFS QEIISLVDSINIHDIEEKLLQVFATQDKTQRELFAKTNYLFLYH >CAK92553 pep:novel supercontig:GCA_000165425.1:CT868668:275519:277311:1 gene:GSPATT00025287001 transcript:CAK92553 MFGKVIVLIMSILSQLLIYNFIRTSLVYKNKQEVEQILTYLNKYLTTTYYAPLANHSAVQ IYLPFQYNSILVKPEYDYCKRSDIYKFYHPDVIMTDTYTFREARGVIRDALRSSYYDCIP KMYYKIQNTESNHIHSNKYLVLSKYVLPEQLTLPFTYYDQLIHKFKVGQASLCLFQKYNH LPSRHVLSHKDNLMNNQYKYIDSLREKGVDQECINNATFIPKTYRLFQKQDCKEFFDYLN SDEYKNKIAEEGPQFITKVGLEVHRGRGIKVLFPAETKKLQETYRYGKRCGVDHSLLVAQ QYIGNPMLYNNHKIEFRIYWVLASTNPIIAYAYDKTLIRRCIAPFDKFSLNKEAHVCNTA IVKSTLKQRESDLDMDDENADESELYIDWKLDYLQELLLKQGKIKDKKWLKNHLYPTVDR MIIHAIKSVEGQITKDSRLAEFFAADFILTDDLKIHIMEINYNPQTLKTTPARQKQHTKM TQDMIDIQNAYLRSKYLRFKKIILKIVAKMKKEKKPLDQTLTPKIIEEINEAYKDKLDQG IKLQDDNLFRLVMDENLAGTKQYKDLIQTKCL >CAK92554 pep:novel supercontig:GCA_000165425.1:CT868668:277746:278483:-1 gene:GSPATT00025288001 transcript:CAK92554 MQRSSTNPIFLSPDQFATLFNQGIRESLYLDEMQDQRELSFSAPKLDEMNQSNQLNQSSN FLPEFPKDDVVISIIPQSLYLVSRQLYEQSQNIEQHNKQLSESYQRILKQNKELLNKRKE LEEENSALLEENFDLKRQAHELQQKLTLAQSQMLIKRDQEIQTLKQQIKELQSQSTSRDS ATKTEGSTATSHKSCFGSNTHRVQSNEQSKNPSRFTSPVPKQQTSEFSVFNQYNSNTVFG KQPQL >CAK92555 pep:novel supercontig:GCA_000165425.1:CT868668:278510:280921:-1 gene:GSPATT00025289001 transcript:CAK92555 MIILLLIQTCYSSSLFDNSTNNNITDLFTRCKIKGENKDNLLFQCSQMNAIISKSSLNDE FIYAYSFNKTEDVQLSNMLLSELLIDPETQQYHIKLQVLYNSYYGDLLQMITSLSVHKYS FYNYQATVIDGILNMIGLLVSHINEQYFTCYFIPIHPSRVNSFPLKALNFTCDTTCDIKG FSQYYNKQRNSLIIVIAETNILNLLEYSFLDWQLRYVSKYSVSDFLDRNETIMELKSFED YLLVELQTCQALFSISSIVFEVQLLKLFSKSPLHLDHNTNLIFQKINNQTQVYYIENNKN WLTQQQVIQKQILDAPFTDSIFVLNERQFVFIYDNMEILTIQMDIQQNIIQEMECLNEFT QFPYPNYQSILYQLQFLGEDLEQNSSKIAPLEELQNYTSCLKPCDLLYYNYEISLVPYEQ QCISSELYQQFTDYCSFQSSCSKCNTQIGCNWQNDSCQKETQMYEIDLSSNEQSSKWYYG KIWQCEEIQSQSSSAFCDYNQTEFSLNKQFTYQGKIRKGQICSWFYDAEEIQQFNIFFKA DLVKIPSYVQISICLGLNKNEICTQMNFVPWDLNQTTTYPFKAYYFRYTIIFVEDNLANN ISFSFYNSPPISMAERLKSSLLQMLVWLLCGIFLFCIMGYMAKRRLQYLVAQTIDNDLIY IAPYLQNQVPLSNERLKNVMQNLIDQEIITKYPSDPSLLLYGEDQCSFCFDQFDVSQFVA QLFCGHVYHYNCFEDWMRIKGFFDKCPICNQKADYFLANKEEYAIIKSQILDRLNESDNH TSHLIK >CAK92556 pep:novel supercontig:GCA_000165425.1:CT868668:281758:282517:1 gene:GSPATT00025290001 transcript:CAK92556 MQSSNPQIIYAVVVRGSNVVLSEYSIAKGNYIAFAKTIISKVNQSNAKKSFNYEQYEFHI LVEDGFSFLIMAERGLKMRIAFACLEDMKQKFFQMFQPQQRDQAISYGLNSQFSIEQKNK IEYYNSPQADKLRMVSDNIQQTKEVMMENLDKLLERGEKIDILVEKTNVMVNISTSMKEN ATTLRRQMWWRNKKMTIILVLVGLLAIYIIMVIACGGFAMHRCFGSSD >CAK92557 pep:novel supercontig:GCA_000165425.1:CT868668:282698:283795:-1 gene:GSPATT00025291001 transcript:CAK92557 MKVAITLLVVLALANAGMVQKFPLTYGGKRSIMNIMVEVENKIKAHSPLDTIKGVLDNFK SAVASEQGTHDEVYGAQKAECDSEIAYRRLEVEDATGTLKIANGILKTSNILLKKTQATL GETENILNTVSQHIGLINDARKEDTQSYNRGAVTFNDAINSIDDSLDLAAALAKGGASLI QVAEMTTKLMKSSVATKMNKAFMAPLAALAQITQEEDSVSAAERLAQLLQTLRTNVEEAW AAYTAENTNALGLFTQQKDLYLASQARLDASKGRLANKAENLQGVISVQTAVAQAATNKK QRNQTLWDDAADLCHSFDVEYQAVTTGRRQELVLVQELERLAERRAAEQQ >CAK92558 pep:novel supercontig:GCA_000165425.1:CT868668:284426:287275:-1 gene:GSPATT00025292001 transcript:CAK92558 MQNIDPATKRRKQTRVRRQLVNKSLHEESEEHQQVEVQQKVTKKYQNYLHAIQKMGEFDW TDVENTNNPKVIYPIQFLQASTLQFMIGAMYFNCDHDYLQHNLQDVQKLLKKTEQPNGTL QQDDCKKQKNKKGYDVNDDIFILEMRQLKIQDLDDIIANQELKLEKIQKENEEAIQHFLT AEIPDLKPSIKTDQSNSLLRDIFGLTEFEKQYIEKIELKIQTDGQSDITQETGKKTQGGR IHLQPILDDALCQVCNDGDYTDDNLIVFCSKCNISVHQKCYLIEVIPNEDWICDVCQTFG PNGQYLRCALCPKLGGAMKATQIDASCFENLNQTYFESQGETMMKNDLVSKLFQQIDDDE ELYYDFSKLPNRDIRDLENNKDVKEPQPQKVWIHLSCFYWSPECFLDEKQDILRGVDNIN QKRFTLSCSLCNIKKAGMCIQCARSSCQTGFHVECARRSGIFLTQIIVNTKSDYQIFCQK HVPLKVKRILESKQRLYEREIIDFFRAYEKCKSVHKKSQQRVKKAKSSKESQQQLELQDH QENEFDLIDFAIKLKEFIDQLLDQKFIVNLKLEQGKYVFESLTEPQFKQQKQYYLTKLPE VYQSKVDQNGQIITQFYKSTITATDELWKFFKYRDFEKDIIYKMYEKLRRRASKFMQELN PQKKQAKLIDMLMLKKFKKSKKSDLKKEIIIEQVGDEYYQRDDNLYCVCRGKFKDGDPMI CCESCEEWFHFDCLEIIIPNEEISKMTYYCFLCLDELPRERQIEIYNSYTNVFKDTSFKL QRELADKCALDESRKARMNEQKEAKLIEENNTIEQDNQQQEQIQVEQQNNNFGGLEMSNL YVNNQLQKELNSGEDNDIQIEMQNDGIEKNKKGDEHVKTPSKTQSVEDPDNKLDVPETQE KLNGKLKQKSIHNYIPKQ >CAK92559 pep:novel supercontig:GCA_000165425.1:CT868668:288774:290169:1 gene:GSPATT00025293001 transcript:CAK92559 MSQLPQTGQQSKPQSNMPQQNIPQGQNQFIPQQGIPTQVLPQGQPQYVVPSQNLGRAPIS GFPTQQLPYLQQQGFQPQLPSQVIGQNGVPYQTGPVQLSSQLMTEQAIKGESRIEYVPFE RVITEYEEVRRQVQVPVTRQITDYYAVQYEVEYIPQVIQEKQIEYVPVERIQERTEYYTV QKQNILPAQPALQPQTLAQSQTIQTQRVQQQIVTQQPQQYLTIAQQPQVTTYQAPPVQTT TVIPQPPVQQPITSTFIQPPITTTIQAPTVVQNTIMPQTQYTSAYVQQPPAVVTTQLQSV PQTIQTTSVVPQTIQTTNVFPQTASVIPQTRTLTKPPTTIVQPQASVPLATTTVLPPQLA QTTTGIPSSIYKDAQRLPVQGNSLYRKTLPPIQPGQLAQTAPPQQIPTQPQLPQKQAKPD KDKTFLERLFE >CAK92560 pep:novel supercontig:GCA_000165425.1:CT868668:290418:291069:-1 gene:GSPATT00025294001 transcript:CAK92560 MIKRDYLSFHQVFEQRVQFKSHQRRIAQVRGSSYNDLDYSKLINQITGFQQRMRKRNHQI QDQQIQAKNSLISQRIRSIQNTEPKLHLNDLKLFSTRKQFQLQFDREVSKQNSRLRERIQ SMYPQINLKSMNQDFEKHQITLERMQRFKKKNNRCVLKSLERIENCESQQQNKYLKYYTQ TIKTEPDQEYSAWRSNTNKGMSVINLID >CAK92561 pep:novel supercontig:GCA_000165425.1:CT868668:291639:292364:-1 gene:GSPATT00025295001 transcript:CAK92561 MDSQYEEGEIMTQKSDKLERINSESSLRSLSDTNGDERVRKSQQEDLSSFSYVCAIYNFL GHCTQVGCKKRHILLQDGLDQFITENMHLLNQLISGGEKVRVPFEKVLNKENHNRKHQVI KPKVMTNYNQELCEKYKRALTHKLKKDIDKMQDKLKTICYLKKWVRHNFVDARDFSELYY SLNSTQQIHFMNFFQVLELQPPSFQ >CAK92562 pep:novel supercontig:GCA_000165425.1:CT868668:292822:293697:-1 gene:GSPATT00025296001 transcript:CAK92562 MSDKPNIPAPNSLVKYASATLVSTSGKPIKDKKKGRDAAPQSITNAQTEDILNSILPPRE YTMEKQQLWIQCVSSTPAKREDVILLQENLDKKLQQRQARETGICPIREELYAQCFDELI RQITINCAERGLLLVRVRDEIRQTIQAYQTLYESSIAFGMRKALQAEQRKTDYNNKIKQL ETECQDLSKQVEKIESTIEDMQRQDQEQQEHEEAKHRDQVNFLKNANKVYKEELEKFLTG ANVKK >CAK92563 pep:novel supercontig:GCA_000165425.1:CT868668:293768:295310:-1 gene:GSPATT00025297001 transcript:CAK92563 MKINSASQQKLTMNRPQTTPQKAGQSPIKKNKYLVQSGVSSLAKTDNKVSKSTAFSHDLI SLIKNGGNGHHNSQQLDRKQNSRSKQFLDINEANLKKIRPNSRQQIQHLVKSKKPDSNLK YHDDLIQKQYQKLLDLQKQHQQTHKSPPQIHNRAMSNGDLKPTSDIANKLIEYIKKQSAK KIKGYRSISNPDIIVTDRRLISKYAVKTQAGMLHTKQEKVNQDSYAIHEGIGNIDNSYLI QVSDGHGVNGHEVAQFVQERLPIIIDQLLKSHKIGKNDQDMMIQVILRQAFERTTKELYT SGIDITFSGATTVCLLIIEHVGWCANIGDSRAILGRQKDGLHVVELSHDQKPDLPKEEKR IIQNGGRVQAYSDEEGNPIGPARVWLLDENIPGLAMSRSFGDYVAAQVGVISIPEIIKHT FQNDKFLIMASDGIWEFLDNKWIVEVVYGYYLKNDAEGAVERLVHEATEAWKKEDEVIDD ITCIVAFLN >CAK92564 pep:novel supercontig:GCA_000165425.1:CT868668:296803:297481:1 gene:GSPATT00025298001 transcript:CAK92564 MSESRAFANAMAALQKKSAILEEENKILHSQLKSCQYELQKLVEELSNKEGIFETLEKRL KITVSQLEEELYKKDEEILQKDAQIESLSQELHQSLIDQSRIMDQQLSDRQQLSEQQEQI QKLKQVIHKLKEPRKDDLRFTQSEKKTSFVSKLDNAVEQYFNNENQGYEQLIRRISKLEK EIKDMENEQSNKILLDQKIQLLKELQEQEESLLQYI >CAK92565 pep:novel supercontig:GCA_000165425.1:CT868668:297486:298069:-1 gene:GSPATT00025299001 transcript:CAK92565 MGPTKSPKAEAKKTVKTVKTKKSTDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAMN IMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVTK YTSG >CAK92566 pep:novel supercontig:GCA_000165425.1:CT868668:298180:298543:1 gene:GSPATT00025300001 transcript:CAK92566 MSNEYESKILFAIYRLYKSEQINEDQRGELKDLLITKNNQIMSLAEPYEEALLAIFENHS DATSQCSQKSYKNLRPSKLNIRPAYRKSLQDISQNINHQSLSTMFKKKKIVN >CAK92567 pep:novel supercontig:GCA_000165425.1:CT868668:299052:299644:1 gene:GSPATT00025301001 transcript:CAK92567 MWNLISINPICQRKKIVNDQEKLLSKLTNTRSRIDTSAPWRPSHSLSKWNNKYAHAEENK IVYENNILLNKMVNIQKQGNKNISSMPQIPKSQQITKRVEKEKLQMENLQLLDRLQTAQA TYNKQFWVPDDQKNQAYKQLHRPKIQQHDYNELARKQLGSRSAARFNEQQDS >CAK92568 pep:novel supercontig:GCA_000165425.1:CT868668:299809:301644:-1 gene:GSPATT00025302001 transcript:CAK92568 MEYEQSDYYLLLGSSIFINITLIAITIFLWKVIWLQYPIFIEEFQIKSLSDAYNIIQTAN INLIISQCGFDGLTLLLFEQKLFVGLSYYTIFYYLIMGICKISWNYLNDYQKLFVQLNIL PNQDFIISSMLLLVIFAILIQLRKELQIIYIQMFTTDQFTNYTIRTLHIRGMDYDDYDGV MMMLEILKYLNDVGDLGTIMGISIIPEYSKLLELEKNRSLFKYQLGILELQKPLFYPLPN LSLIEEQVDQQLQKPFKLSGHCFICVDRLQTQLKLCNQNALTQYQIQLAPDLYDINWVNF TIESNQIRIWRTIILNLLIMTLLIFVTSPQALYQYLSKFPGLEFLSFKWTILIPEPFGRI IKNNIPPIILISINQIILYLLDVITQAEKHERWSQYHISFFHKMFFYLILNVLVIPAFLL QSSETLFNITYNGFNIQLQKAFDNQTNYGLYYITFLFYSGTGAFLVELIRPSELYFNYLS SYMAYYMRLYENDAQHYQKTNEFCVQYGYISAQMLLNLTIICIFNTTSPFILIAGLWFFG FRYIGDFFMLMVSKQEMVSNVALLWDSGWLSKLLVATSNQII >CAK92569 pep:novel supercontig:GCA_000165425.1:CT868668:301822:302115:1 gene:GSPATT00025303001 transcript:CAK92569 MAEESVPLTISQGQKNYKTSLNNERESSQFFCNKCSKVQESMIDHKVGTWTLICCGIWCI LTFIGGLIPFCCKPCKDVVQYCPECNQKVGEAPFTPC >CAK92570 pep:novel supercontig:GCA_000165425.1:CT868668:302154:302540:-1 gene:GSPATT00025304001 transcript:CAK92570 MNYCFRTIDLALFLLIIQLLGHLFIHWNQYNCDMYGNIQACFWIFNVLLRSILQHQQFNK WALFITFLIAGETVSMNVIAVQEKECSQKPTFIFYCILGYILTISSFSKMLRVNKKAEIT KIDDSSSL >CAK92571 pep:novel supercontig:GCA_000165425.1:CT868668:303416:305273:1 gene:GSPATT00025305001 transcript:CAK92571 MYLAQKQMYSQHPSYTNGSSQNVKKMIENFSYGLHQQIGKGFSSKVYKGQNEVTGETVAI KVIDKALLKTPLHHALLQSEIEALSTLDSQYIMKLYKVFQTQNNTYLITEFCENGDLGSK LTKIGKFPEQQAQNVIFGMVKAYKLLKQNGIIHRDIKPANVLLSACGTPKLADFGFATTP NSPPLLPNVNVGSPLYMSPQALKNNKYSDKSDIWAIGVSAFEIIFGQVPWQATSEKELAQ KMVSVPLSFPSSTKVSQECKEFIKRCLVVGEQERASIDELEKHPWIRGPELAFQVNKQPA LMKQKTLEQPKEGKENSAKKLPGDSIHRSGKKHTTQITKPLGQQSKQTETSCFEIKSNST NIEYTIMSQINFCKYLFRVANLIESTNLFRTDLLRDKLLFFAIKNIMIKMYKLKDIDSNS LDIQGFDAYKASNQFQSIQITIQQNFTKYQSYFKNIWSSLQQNKNELSIDKKFDAVFDEN FTEFESFYIIFNSVLRQAIKELQKEIDRKLGSDDLSKSLPIDMEKDVVLLDYLVTYFQLS QLTLETFNKPYEFAQKSKIEHIAEGSPVRLTYGHFIEIKNKMSDLEV >CAK92572 pep:novel supercontig:GCA_000165425.1:CT868668:305575:305945:-1 gene:GSPATT00025306001 transcript:CAK92572 MNLNSAQPEMRCVICLNLMSNQVFMDQCNHSFCFECIRKWSEKSHQCPQCRTKYTSFHEK NVDSKMMQILFNHNSIKRFEASAIVNNQEDKRLMALADLDNTYYDLILQQIDSI >CAK92573 pep:novel supercontig:GCA_000165425.1:CT868668:306010:307881:-1 gene:GSPATT00025307001 transcript:CAK92573 MDSEDLLEKLLEAFYDEKIEPKKEAAKKILGLAARQENLELLISHEQFLSTISRTLRDEH KKSTELCLYLLCVFYIVSNYLEFHQILSEHQIGDITMKIIESQIQRFDLRYAELMEKQGQ PQQLQELRKLNLMIAKQEKLFYVGFTILMNMAEDPIIENKMRKRKIITFLLRMLERNNFY LLIVTLLFLKKLSIVNECKLQMVEENCIRKLKRFFSADNNVLLQLSLGVLKNLSFDTEAR LQIEQNGFIPDIVKMLKIPNYRFVSIVLLYLLTLDDKIRLTFGFTECMTLVVKLMLHFPE PVIGKELIALAVNLSTSSRNVDHITEQEFQQIVQRAITNSDILLFRFVKGVIENSTNPEV QTCAKGFVRHFMKLLVTQGKEEDIKFEIIGIMSALDLQENWIKLLNEPFIEFLHNNLAVG MAEDDIVLETMMLVSSITSQQNAAEKLFKSRILNALSQVFVEKGDDDEFVVQYLYCLHQF LFHKIGISQILEQDEILIQIIQQLNDKNKKVQQMSEEVLDILREYDQELCEKIKEIKFTE YNQLWIEQLNEQELMLQDGGDMAFEDEYGGNELDPKMWDSDND >CAK92574 pep:novel supercontig:GCA_000165425.1:CT868668:307923:308615:-1 gene:GSPATT00025308001 transcript:CAK92574 MAEPLTIKLLVVGDGSVGKTCILLSYTTDKFPTDYVPTVFENYQSKVSVNGQQVNLSLWD TAGQEGYNQVRQLSYDGTDVFLIVYSVTENTTFQNALDKWYPELNKDKLAQVPKLIVGNK IDMRDEGNSRHIKKSAAEQLVKGINAQLFEVSALTQEGLKPLFDTAIKIAYDKKQKEIMD SKKAQQPAQSNSCCQLI >CAK92575 pep:novel supercontig:GCA_000165425.1:CT868668:308787:309222:-1 gene:GSPATT00025309001 transcript:CAK92575 MINSQFINFGEKNSLYQFIKLFVIYTNKKMQISNQLQLSSTLQQLLKQFYIPQLLQFQLS IYSNTSQQLRFTNQSANQNDQLIIKEEYQEEAQTNTKSTLSMKTKFQTKKQGNSSVLHKL EHSQKYNDQVKSKKVIK >CAK92576 pep:novel supercontig:GCA_000165425.1:CT868668:310541:310963:1 gene:GSPATT00025310001 transcript:CAK92576 MVNVKSVRIGINNRNKQKHIKESTDKLRKIYQQRLSAVVQDKNKDVNLLSPQLLHAFQFP SSSILVNLVTILRMQQEFFSAQEQSSLSQGASLQNAVAEEKKSKIDRMRVQYVKNLNKSD FQIFYIS >CAK92577 pep:novel supercontig:GCA_000165425.1:CT868668:311461:311880:1 gene:GSPATT00025311001 transcript:CAK92577 MRLQQPPPISQQTFSFGQANSFIQRVQQTQPIIRVNQPCFRVYTQPMQNKRQSKSPFQRG YDMQEENRTLKIYILQMEQTLANLQKNNKRLLLSQQLKENSHLRDEIQKLKTEISTELAE RAYLEKVCQKL >CAK92578 pep:novel supercontig:GCA_000165425.1:CT868668:312371:313680:-1 gene:GSPATT00025312001 transcript:CAK92578 MIKHPIKEIDLNKQSWTSPKDKSDRFIPRNVQSNLYQLFMSEENCQGNTYNNLLQSSILG KSPAINQKLFNYKTENKQNEMNKIINNGLNFSNTPTKVEPEKPPRKINKRPYKVLEAENL QDDFYLNLLDWSPFNALAVGLENSVLIWSGHTSKVSRLCTLEDPDMVCSVAWSQRNQHLS VGNTMGDVDVWDVVKQKVIRKWNGHQGRIGSLAWNNYLLATGSRDRNILVRDVRCPNESI QKYVGHKQEICGLKWSFDEQLLASGGNDNKLFIWSLKNQGELTHFSQHQAAVKAIGWSPH QHNIVASGGGTADRCIRFFNTQTIEQVECIDTGSQVCNLMFSKNSNELVSTHGYSLNQII VWNYANMSKVATLTGHTQRVLYLSGSPCGQNIVTGAGDESLRFWSVFPSSNSKNNHGITR AETIDLR >CAK92579 pep:novel supercontig:GCA_000165425.1:CT868668:313813:314703:1 gene:GSPATT00025313001 transcript:CAK92579 MNEISIVSLSQLLNNIHLSQSHIDEAARFYIRHSNDQKSQQSLCEEWCNHFHFAKGNVDG DKVIISLLHMAQRVIESVIRFEGAYATMRDAFKKQIIKAFTLLKDHNSSQDLKQQIKDLL KQWEEKQIFSKSDISIMVETIDPNRVSKDKIKTQFAPPHYLINYAKNYKDLQIRLQKMQE YETKLDDLINNGAQDKVNLYDQQLEQYTKSVESVQKYRQLVIKDIIDELKELDKIHSKSI IDLKYIAQRVNNLKAKKEKRIQNEYYNDQ >CAK92580 pep:novel supercontig:GCA_000165425.1:CT868668:314744:315292:1 gene:GSPATT00025314001 transcript:CAK92580 MKQNIKSPAPFAITEEKNTIESILNLVKHQTSQLKEQQLQQSNEIKLLSKAFRDQNQSIL QSQNQEYFSLTSKLIEEIESDNYFQLKLNQIQTYNKQLYNLIKDVQKEIPSQYQQLTTIN RIINFYKCIFKISIKQLNKDEMAIIFHQTLGNSDFGHPVIIQLKDTKQQQRQQFWNQFTS LI >CAK92581 pep:novel supercontig:GCA_000165425.1:CT868668:315558:316109:-1 gene:GSPATT00025315001 transcript:CAK92581 MEPFKICLVGHSASGKSSILAALQNKAFNPYIPQTIQSNCWKYTHQNRSFKIYDTSGLDK FQKSTINCLKNSNLIIICFDSSSKRAQIHVEQWLNIVRTYKSVTPIVFIGTKTDKALKQQ NEYMQRLRERYPFYLTSASNPQGVKDVFRNIEQNLIIPNLVVERVQIRRREDEEVKSGWF CFC >CAK92582 pep:novel supercontig:GCA_000165425.1:CT868668:316160:317024:1 gene:GSPATT00025316001 transcript:CAK92582 MNYIKQFFQPPNSLSYFAFENDGWKIENCKNYDQKLNNKQISFLTYNVWFEKHNFNERVI EILKIIQQNNCDFVCLQEVTRDFQIMMSNDKFIQSTYFITGNVIKGYGILILSKFKPTFI IELPFNSQFGRTFLYLECQINGHSLVVGTSHLESMVYNEQARYDQLQTTYKELEKYKNVI IMGDFNLETQKDEQSISPQYADLWKQLYPDNPGYTFIIDDFKRRFDRILLKKGGSYQASN IEILGTKEIPLYKDNKPSMKGEVKTPSDHYALKLHLQYLL >CAK92583 pep:novel supercontig:GCA_000165425.1:CT868668:318402:318880:-1 gene:GSPATT00025317001 transcript:CAK92583 MSFSHEQLMKILNNESFQLSEPTTPQVQPKEDETQLLQKQLLELVQQNQQFNSQLTQIKD QITKQDLIYQNLTKTQQRLENQLQEQMQKKKKLQEECDLKDQEEKELIKQVSTTRPKKRL FTQQSVGKVINYNVTYNNNQKKNSVIVSIKK >CAK92584 pep:novel supercontig:GCA_000165425.1:CT868668:318944:319459:-1 gene:GSPATT00025318001 transcript:CAK92584 MSFSIQQLQLMFEDKDKSEPHTPMFNGKAGSLDKFDFLISQIEELRLQNIEFQNQIQVHQ NELNLKIKNNDVIQTQIKEIEKQIQNEIIENKLLTFNYIKKHEDCFEIKKQIINTNKQQQ IVSIPDLNNPNRPSDCSTAPSPGMKVQIKRAYKKGSSVIFSDF >CAK92585 pep:novel supercontig:GCA_000165425.1:CT868668:320364:320735:-1 gene:GSPATT00025319001 transcript:CAK92585 MNEKVIADTANSQQEELLSFKEFEKIKFTFTGIDKYIIMELKTSTPLEDLKFRFSSILRT DFFAKRLEYQVIKGFKEKVLSSQKSLLNQGIPSAFKVQGQGLLLNIQVKIIEEGA >CAK92586 pep:novel supercontig:GCA_000165425.1:CT868668:321065:321959:1 gene:GSPATT00025320001 transcript:CAK92586 MPSPERKKNKHKKKDKDSSHRSSPKHKSKKKKSSRTPSRGREKKKDYKKEIKESPHNTQT LSDKQLTDMFGSLPGFNLEILKKIQEQMKDGRRPSNDDQQQLMGLSAYGFLPIVNQQIPI VLPTPGTKKSSRQQKNMKNTFKQRMLVPFTYDTLMVILEDQFYQDQLPEELITQIKRRII DLIKKRVQDQKQEEFNEKIEKLEQDLKKPMILDEQQQQQQQQQQLNLIKSIFTVLQNNKE DGHQQSQLSTDIKDLLQPMEIETPNIVEKDLYEEAKLLFR >CAK92587 pep:novel supercontig:GCA_000165425.1:CT868668:322024:322816:1 gene:GSPATT00025321001 transcript:CAK92587 MPTPERKKNKKKEKASSHRSSQKRKSKKKKSSRTSSRNRDRKTYKRDNKASNLGMQIFGQ DIMKKLQEFNNGKRTEDLMAMSLLPYMKTSSINSEANVVEQKQQKDKKSMQKQRLLIPIN YDTLMIIIDEPFYKEHLSEEMINFMKKKLISSMKRQVIDQKSDNFLSKIDKLEQDLTKKG DVEELENQIQLGVVNSIFSVLNNNKELGDNLDPAIKDIFQMMEIEKPDIIQDDIYFEAKQ MFS >CAK92588 pep:novel supercontig:GCA_000165425.1:CT868668:323443:324819:-1 gene:GSPATT00025322001 transcript:CAK92588 MKNTFALALLLLITFEVSCLSVSTTEEKPSFKLSFPKTTNSSTTFYMDFSNTFEYYAVEL NQTSPGNAEIVFLYMESKPPSVQDGELIYDDMDYDSYAQKKSEHFLLVSNPLEKIYFTIF TNISISYDIIVSGSHEKLCPKGCNQNGQCINGECKCNKPFIGRDCSVLAIEIEKDKMFGI GAPEEQFYFFYEQDGSQQLRLEISTEQLDSVYVYLLVPDLIYIPTPSVYNQGANITIGQP FSVMINQRNSRRDDDDGEDSIPDKLVLLVQGKSFEINLETISDDRSKKSRLIIIIVCSIA GSLLLCSIGFCSRRAFLKRQREKQTPATPNIDLEIKEKELEIPAYQEQTQKLYNDALEII SNKDLQDGQDNCGICLESLKTAKVICKIQCSHVFHGSCIETWLKKNSYCPFCRFDLKIKA IKENNDEELIPEL >CAK92589 pep:novel supercontig:GCA_000165425.1:CT868668:325457:327382:-1 gene:GSPATT00025323001 transcript:CAK92589 MQTKNSFDIAPAETMLLKDSQQKKQVRFRQALVAGLILAAVLSLTIVFVPKGESVPTYTL NQRSSYYSQDQLCVYQVSTGSTNCTQIAANTTTVTVDSNQQNTTHMIILEGVQVTQNTTY SNGTVVHDNLFDANLNISSELSRNLRLLQLQADGCYGPCYDEMPVVTFVTDNQNGQVIDI GVPQSLNGLMLQTLIATVQHLGPNVQGTEVDQDSIVNPYIVGKYEFVATRDVETSWFGNT KVTKQVTQDDCLNENFLIGDQFSQNQTTTLSRQNQIVSSSISVNIVMDHSVQPEGADVEY SYKTIVSDESNLVLSNSQIDVQFTQLLQEIRSKQNIQTYSLTTIQDRLIKNKQKRSLNMY EMKQKADKHRLLISESLPNNSLHCPSNGLINFQKTVLTFSVKGTKLQLDLALKGTAGNGI ADLNVKFCVAMEGMCLFEIINSNLNYTGQPFRDIELNGMQNISLFETELVVQGFTVGVGA DFSYGFLVQQNVEQNATVFAVQDTFIVPVLLTVYGESSIPDLVAVRTAVVADIFTGNVTS NVAFGYNQKIQSINTNAYTLNLNLDMEEFRAIVIAQYQHSELSTKQKCKRHLGFFKVCYP VPIAGLLGDWQNLYYNNWGFPVLVQNFTLYGVQSDCFMKNE >CAK92590 pep:novel supercontig:GCA_000165425.1:CT868668:329597:340075:-1 gene:GSPATT00025324001 transcript:CAK92590 MRLFSNKFGGFESSILKQLIQDCFYENLYNKQRNGQLKMCNLSSKNEVEFNFNNQVSSLR GGGCISTTLVSPETKTRLSNQESNFATNLKNYTKVIVEKASQFHDKYHKDDVLCALLWFQ NQKEHFQKLCMNKEQNLQNYDLIERQFENLLKQLVTYLKLSGYVFHVLLQICNDLLRVIF YFQQVNEDRYMKEDLKQSLKECILELEEQIGIESAKLWSTGTEFELLMIKTCLAHLRTNS KESANLMKAVLMGIASSLAQLKPSDELIDALMEAGKYLLLNFYDKQIKHPLKVYELYFFF ENLKWSIIFQLKSGYSVQQTINQLTDGYNKYIGASTNWLVHFFWINLISSLMSYRPIISK PEMEQRLLQKYNTNWNQLVLQNQIVTVPYDKTKGRIKYNGRGNFVIKEFSNLKLFQDYLF GEQIGSMKLLPQYLNFDFGSQQQGRINLQDLNITLFTNCDDLEVLSSLNNVLKNCLNLIQ ENFNQIDGQVQSDSLTQTEKQTEFKICKQDIQYLINQQKEQFLQILYIFYEIDIIRFKEI EIMNNIETVMKKLMQQMQNFNQIRILSEKEIESKFQQIPRFQYQFSQILISYEDFIQNDV ILTEVSSLIPLKQSLKKYQQELENFIKSFSDDINSFITQMKQLKQIITKVNCQSQLKITQ DMISQKDISNQLVQIYGSKLIKNLCDQIMKVYSEDWIDKNWEFSILNDFRKSIRRCGEMT FAFKFLKKLLKIQQQKVNAILNEDNIAELYFISNVQSTNLFESDSMAFDQIQTLALERKA MIQGYFEKYPNLIMNKQEISELNQLENQIREDIMLLQQKKWNQQYKISSILIFQDALNKL SQLGLNKVNKLAIKEEINTNFTKSLQELNKKILGDNQKEEQIKQIQMQNKIEQDSQIFFI FEYYITQTTTIIINTKRLKRIIKQNFNLFSWSMEIWESDKKEEFQNNIKALITDLLESIS DCFSSFQKEEQIVFNQEVFEFLQDNKCLDKQKANLVLLNSHSIFKNSSSNEGIEEKCCKI YKELIENLKNSSAIDAQKPNILSQIFSNSSHKVREALAFSIIKMDTLIQEPKMKDFCQNL LKMLWIYEKHSDVRIILKNTEMIEIQKQLFSNDLTTMSNQIKAEMKSMLNRIEQLENSVL TDNTIQAKEQLQQALDDFETYFDNITDMSQKLDISMIFLKELSKDVKHIKSSIDSVLKSV SFIANDVRKLRGKNYLELLQIRKEQVLRQKDENEIDQIHIELLTQEYDPISGSKKQSDIK EETTFLLKQNYNDFEGEINEFLWDENERIKDVMLLKGRAGSGKSRAAKNIEELLWTCDQV DPKWVPIYVSLPSLKEPKYNLVDQALESENYNFDNIQIREFKEAIRQKNLNVVLILESYD EMKQDCLEQNLYLTNRFAQEFNLGESGENVKIIITSRQEILNSIDYQTWFYGKSIQTLKE VELLPFTSNQSNDYLIQYSKVSVKRTIKRFYEFLKQLKAQSFSFKEFKQIWSNIKETVKK ILIKNKDELLIFSQEDTEKLIQKLYSIQFFQLFKPEQMISLNKDLLELWGYEKFSQTIHK VKIKHLLNTPFMLEIIVYVLPKMSTFFSQSSFMRLVLKQNYHKLKKESIRSNHLLKMYSQ TNEIVSNEEQLKKLNNFQVNATEDETQLYKLSDLILAELDTQNFFEQNSITQQFIYTDNQ IINEKKIIYTLKFDAKFVVAAFQLHQFTAFDFYKQFVDFYHAQQMQKWKELGKNINQQSF LIDLQFFCTSLAVEMTLRELTQVNYKQKGKLKLLSEKEDLQDVSWEDHYFSENEADSKYK TQLRKCMLINAKGSLFSFNHKSIQEFFVADYILNLFDKLFADDNEIDEKLLRKSAFNNRN KFNLSLEQFSGCLELLKPKLKQISNIEEKLIQIIKLQTNQPDCQKDLLRSTSNVIFLLSY LGVYLGGVDLSGIQLSNTKMVGLSFFKSNLNNTVFDNVSIDSCNFTQAKIQNSNWKNLIC KEIPSVLGNSKITSILFKGDEAQLISGSDDGTIKILDVDQIQKPVERQFQDQRIKSLSYC KTENTLACLTNNKLHILNAKDLSDSNYDTLINDNYNGVFLSQNNKYVALKNQKSNLIILE TQNLLIKNKPQKFSFQSSSSIICMAKSYNSKFLATGGQEVILWEFHSVSQIKMITTLQTN LKDFTCMAFSYDCNFIVIGNQNGIIEIWKMNDLQKIHLLQSIKLDEEIEKIEFSKNNKFL IVKTLTEVFQYNSFGLYNDQEKTVLFMEKYDTKTLIQKQYLLTQDLDACVKKITISSLLL IACVEEKNNKCKLSIWNITKQKSISLVTTLIEDQDEINQLRFSSDEQFLLSSSFNVIFVW DLKEFKLIHKIETQHDVIIDFAFSKDKSCFASCSQHRIELYKNFLEPKNLEINLLRISKN LICQGIQFVEKQLAINGEIGNQSVLQFWNVENLRQELDQKFKEKIQYFKFLYKGECMITV GKLGTFWSKNALNFSEKQIEPLFERFEWKQIGYLNDQIYIFDGENIFRIIYCFGGDEDSV ENRHKKIFSAKSLMCATFSDDSKLFAVGLNNGFQLIYLDQILELDENNNYYANDFSISDD SSLLVLATNKGLRIKNLLANEEIFFTLNNKYLSACFMDQGQTILAITNKTLCIFNLKDLN NPINLENLVLSGSNPQRILDIGISEQIMIQYEKCIAVHQLKELGSAQLIQLQNNVTLSKN CLLLEDSNYIGIGIDDTIKVIPISNSIKITLNFNYQPLIGKLLYFQFSLDSQTFLIFGSK KEGQLQSAQIAIDKQQLEIKQSEIKHQNLCYITLNKQQDKLIFMKETVNYKGRVEKLELI DFETFKTIQCIEEFYIDENSKFENASFSENNSYFITSYANSTLKLWDAKTCKLLSKMKSN TKKIDLISISNKEIMAQTSHDLIKFWNLKALRSQSLELDGHSDSIQQIAISPDGLQLISG SRSRIIRWELTKFQKLDVLIKGKALPSVFCFSQDANYIAAADNPPYQIKVWKLNTLYLIE NQIRISCYSIPNNLKFNDLGNQLISLYENRITKFQIILDLKQNPLYETQRIMCNKGIPPI NYYFSSDKQLLIKTNPLQIIDLQKKYESNQFPIDHSKSNSAEKCVAMSHNKLKLAIQNEN EIILWSFKTQKVDHVFVNSIGCAQALVFSNNDQLLFTNYDATIKCFDVTNQYQVRKEFQI VNPVEVNKLQFQQLECFPVKNDDFLFVYSFTQPIKRSLIALALTSDHEQSYTLFENEQGI SNFLTAYCEEREILAVQLQDIFQLYDLRSKTKIATLNDNMYTIFNPNFLTFSKNGNYLLS LGTDQDINLWDLSCLEKIKLKLNNLNKINYQEIISISFLDDQDSIQLVQKDENVQFDSIS NYNDFYQIFLEQVYYEQLIKKTQHHIMAEDEKYILYIKSVVNEKLIYSFDKFRNQIYCST FTPCGQNLIVGMNDGSILFFRLNNDLQPICFKSIAISSPILSDQCSIRQSTFVNQENISL KNLFLEKGAQDQ >CAK92591 pep:novel supercontig:GCA_000165425.1:CT868668:341972:342908:1 gene:GSPATT00025325001 transcript:CAK92591 MQYNFLGRDIWKRLRDLKIFNDIFWSDKEEYKRLPYKFKVSNSFWKIQIQVADPEYYKQI LHNPHYYEKANNIADKHLLQKGLVFETRDQWKAQRNILADQFQYQQLTSRLPMINKIILD GITKIGSANNLFEQLELMTGKVVIQSFFGDSIDGLKVNNKDLQVEVTELINDMGESRFKS KYVFIKRLLLGTWAWNIFPTQEEMMIQQRVFQLKTMIEKVIRKRLIELRQRNKQNDQKDS NLFLDILLNDYIKNDHKKQESQAIDEVIQQFITLLFTGTDTTAVLSYHCLYYLSIHPEVQ QEIRD >CAK59644 pep:novel supercontig:GCA_000165425.1:CT868004:11:349:-1 gene:GSPATT00030278001 transcript:CAK59644 MFKFNVGSHVHHDTLHLLNMHWMYYIFFLLCSMFFSFKNLKPSTFKLQELQYCFVLNALF IIAPQFLPNLQQQGPNVYQYLLYPSMKWTFKYYSQIVLCQHYLLIKQKYYIF >CAK59645 pep:novel supercontig:GCA_000165425.1:CT868004:396:1202:-1 gene:GSPATT00030279001 transcript:CAK59645 MTKINFVYLDSTKCTDPCYNLFTEQIMQTLIISFYSPSNQFILHILEKRMIQVFVQFHNV NMQLACLHYLLKQQYQSLYQCQTSNSTCVFRAYLYYDSYSICHIYITLNNSSILQLRSLH NFIAILELNHGLSSQNFAPNCIFCSSCVQLKNSTPILLKTHHLLPVQSSTITCQSCSLFY LNSGFLQCILNYLMRIYSQCQHTSCIQNSLDNICSSYIQKMSLPLFLLAHYLIFLTSYFQ YQQYKGCKFLCYCQIVLIFRSQAFCIQN >CAK59646 pep:novel supercontig:GCA_000165425.1:CT868004:1228:1644:-1 gene:GSPATT00030280001 transcript:CAK59646 MLYYQNFVSRLTNALLLKNSFLMSFLIIMTIFFTQNIFIFASFCYGRIQIEKLTQPKYVH DIGAADLQMDSYKLCQEQQYISKSIPKHLRIYSVSIPDTFDKSSQIFSLLIMNWWLCCSI TFFYLLSSLQQILKQSLK >CAK59647 pep:novel supercontig:GCA_000165425.1:CT868004:1656:1947:-1 gene:GSPATT00030281001 transcript:CAK59647 MASKLSYISRNLHHLIEKYSSIPQKLIEYSIFKSVYCPSKAAFPEIYLEFFNLNLQDWKM ELGISMINYDDDKSSNVQIAACLNNLCQVC >CAK59648 pep:novel supercontig:GCA_000165425.1:CT868004:2613:3434:-1 gene:GSPATT00030282001 transcript:CAK59648 MNDNYINQLLENTFKLKTFIPTSIIKKNDYQNHIQIIAMHIDHSMILNFSSYSTQIKRYF QNSFSFAYYYSNNENCSQWVICFQLQNLIKLQNLQQQSLQGLILDPHFKDTTHFWNQLIY HSRCFSRQTSVNLSLRLLYSIFVQIVQINTLQIVRIPIKVRKLLIMVLAIYLWSYFSTQI HIQIKILQFKISQEFKNSYDTFFLTWQFRLLMKRDKGLNLSLLHFFPHHRFISHQKQNSN ISSQGLLSMILLIQCSIRIQDFSD >CAK59649 pep:novel supercontig:GCA_000165425.1:CT868004:3725:4190:-1 gene:GSPATT00030283001 transcript:CAK59649 MQNLDFNSRFKITSLIFAIFLSNMSYFAKIENLFIECEKLILFNQTTSQDNNNKRCKNNV VFHKLQTGSFNILSNKQNIQAFLIRNNSVIFISILTKFIDLLMVALIIEKPPKMWFIIEI VPNVLYCATFYILAPSFLVIYFYCCYS >CAK59650 pep:novel supercontig:GCA_000165425.1:CT868004:4240:7476:1 gene:GSPATT00030284001 transcript:CAK59650 MISIISFELQGIQTFLYILPCTSYIHFNYNHFILSRDIVFIIEIVNLQVLIMMTILIEFA NFYQHFEFDNLNLCHYYICLSLQIIFCRVMLIFSERLFIRAILLSQIARCLDNQLLVTKF VLNYNYLGVTFKYLEENCQFIKLVLMVMLAQDLEQNTVKLQLKLLHLTFCWKKISNYELV IFGMQIDELLVILSFHSNSSVVDFYNLLYQQIKPQCLKCILLLDIYKFDVTSAPSAFIQC LNNYENLSYCICIQQQQIQMTSKLFKSKINYLLGQQECNHNFYHLQRPCCFKSQQQLLSV GLCLTFFINLQQYWLISCLLLPLQYLTQQERVLIFLQLQNLDSKNYLLMLFYNCTIRYYM FFNVSVLNCSNELKLKYFLLKYYQSTFIAITKIFLSTLMVIKIISCEVRQLDTAYNGGQI LNCKIQQLISVYILIKSKGQCGSFFLTDRKSIRVNTNKIFIKCINLSLSIPAKLLLPIQI DQKTSKTQQILVVLNLLSTTLTYYFTHHFRNGINNPSIQILHMIIKYSSSGAILSTFSTK LYHILKNTFERILIIFMLGKAKYIFNNQNLIDQLIAGTQFENYNIARGKKFTARFYRYPN STTQSYQQTHSFFKSYASSISYQYKSQKHKRFFLQRLIVSRKSHQYSYLIQDICQATTES GLYQQILNATSDTFTDCYMFTQQYEQHCQDIAKYDSYTVQNQMQKLLHENDQQFSMYIIC KIYTSRQVKGNFSERKIIAITDKQTFCQHQRFEPLETKRLFKIINPLSNANFSQHFMCIK CKVQCYRMEFYFQKTNCGSFKKSRQREMKTPRTRNTLFRIINRNLGNAKDLSTLSQYFEE LFEHQLLLGVDVQFKQNQSQRTTFVPSNIILIRLQFLHNTIQWLAIFIQQLLASNETRLS IHHRLRITIFYQSHQCNLCVNKRINKLAMLLGLSVQISVPWFLFDNIKQTLKYCLMCQDR VALILRDFFQFRSIGLELVRQILAYFIPFQYEFLRIIFSMTR >CAK59651 pep:novel supercontig:GCA_000165425.1:CT868004:7505:8334:-1 gene:GSPATT00030285001 transcript:CAK59651 MHYLIKQLCKLSSISPSDKYSLFRYSNRLRHDSNQKLDNRKLTQIQYKSQEQLFYGRVLD TQMATCLKIVMLSQNSKDLRLLSLATEILVVRRCGTQIFVQLEESFHQNDINSIGFLYSC INIPSPAQDIKCCNLFSCIPSNFYHHPHAQHVQIVEQFLHSPQLLNQVHLSFMLILLIFA FLSCKILICTANQIILHYLYYFFCLKFDKGDDSIKKKYIKIFSVQFKSMIIIFLQSKFYI QTQFNLVQKLLTQKDKIVEQFSIPVFP >CAK59652 pep:novel supercontig:GCA_000165425.1:CT868004:8423:9065:-1 gene:GSPATT00030286001 transcript:CAK59652 MAIFTLIYFLILERVKFSFLQDYNYIELESMLKQFFNKLQDLYSQSLFSMYKILCYGNSF MMLLVTYFSDKIHKEGEYVLLITRALNNHQFTIETPMSNDTNFIQVQFGNSVNLYYSYWN LKSAILLSQPTIIYQVHNTWLEIDAELIWSKTLTLREEYVKTKHYKLFLLNMSCEDYIRT DIIIHQTLFWHTLSDVLMFKLLTKLD >CAK59653 pep:novel supercontig:GCA_000165425.1:CT868004:9803:10209:1 gene:GSPATT00030287001 transcript:CAK59653 MVDFLPFHTKIYIKRQKYQTRTQVYPHLFSLGIKICITLLVINLQICRNMQNKQILYLIR SMKETKVSRLIDVAAQNTIMLIQVSDNSHYVMLSQLKKRWDSTDVQFLVITKQEMHPFVI YMGVN >CAK59654 pep:novel supercontig:GCA_000165425.1:CT868004:12784:13009:1 gene:GSPATT00030289001 transcript:CAK59654 MFNFCQSNLPYNQINIHVRDMIDGTVLFATTLLHVLRCYVTQLQR >CAK59655 pep:novel supercontig:GCA_000165425.1:CT868004:17218:19033:1 gene:GSPATT00030291001 transcript:CAK59655 MGCIINYKESLNVTIPRQPLQEEPYKNNHFRNAKLIYNEQEYTDEVFQRININLSKDPCR FEALKNYQWKRIAKSNINQNLCREFQYLQIIKGELKNDQFLNALRILSFYDVTIRKIFLI KQIQSNCQYRIVLNQQGFWQEIVIDDYLPFNMSGKPLFSFYDGEDYWVQLIEKAFSKIYG CYEKLEQVDMANEIIRDITGAPYLVLDEQEFNHQVSNYVNLQNVFYLMDEKKDIFLMQRH EESNYFLDLQRKVKLIINDFSNYKLFFIPFDEYYIQITQQIEIPIKNQQVKQVIQMTIQQ QCHAFITVSQQDLKVCNQNYYAQLHITIVEDNKNRKNFKFIHSKYQQVRDLTIECNLAIG SYLIYIEAFWQSQTNYKLNIQSYGNCQVYFTQLSKMRENEKLDLLNEIIYSHSKSQNKRI YGNDQLYSVQSQIGRYKYILFENNTLNQTLKVFFKLMKHLVIVNFPYNDQSNQLIQVLPN ERKLVSFQILLENEDKHNFSVEEENFEILGPLTDYQLIEKAINEPTSIIQRNEYMKIYNL RLDDEYALVYVNNSEQNQINKFKLQREPKPSTIQCRGITKTTKVVTILQT >CAK59656 pep:novel supercontig:GCA_000165425.1:CT868004:19600:20252:-1 gene:GSPATT00030292001 transcript:CAK59656 MAIRNRLFSAFNSIHRIFNVEAKFLPYTCTTRNEALVQNHTKHQSYLHYAKKDQNLQNIT RSTLDEFQKMEFNVISKFQRNGLNNTQVDPHQIIYQLDRIEVLRKLKVLEKSAGAFPQDE AECLKLIAKVHLEEQNAQENLDKFIEANPQCSRLLYLGQI >CAK59657 pep:novel supercontig:GCA_000165425.1:CT868004:21017:25435:-1 gene:GSPATT00030293001 transcript:CAK59657 MDIQKLIDLILNTANSQQKQQDFEIVQQVLNLTNQEFEDRIIKLKGTGCKSVCSAPLPKN ALFYKCFDCSKEPTHVYCQQCYTPQRHQNHVVTYHYSNGGCCDCGDTLVMKKRSFCNQHS QSQIETPIPEQLLGENLVERFRYFIMVSFALLFEQTQKIADYNNKTMNQIQDAIQILKIE NSVNIMNENMSEFLLHEKALKEGQKLYTLIFKVLKYLTLNNIPWSYTTSKFIQIPIDQKI QQFIKLYHAHSKDPFANFKLEKQQQICQCTILNLFVKHNVFFSRFLHDETNFISELFYEL HVDENFKPNLCKEILIHYNHLYNPTQVYRLITADNQKIKFEINTINYSAHSKLNEILPKV LQDQKILKIFCKNKVERASLFGYFYQFMGHVVISLLGLYKEFKSPQVYSLFDIFSNQLLQ LPLCNQETQIFCSQFDDMILTQFYDDVVKRLFEQISEILTYEEERFNFTPIYPNHLKFKE LQNNSLLIHTLNKIYPCRQTETSTFHFLKPQEQSKFQISEIIQIFLLQGYRRLLSVIVET WKDSNRQNMVGLQNIFRLSINYFLNNYVTSFQLKAESTLKNYLQNIVICDRNFITLLSLF LMDFNDPQEAYEDLLKTSNLTPEKFRQILLSLLKRTLLNHIILLKNGNPQLYKGQVKLYK EQMKLKEVSLEQVDVAYIQLYAFLFGPLGINDIVSSYKEIANFFDYKSDPQFLICRIAQT DYDLIQCVGDMFGNELPKEFQKGLNKLFQTIFFAQSFYQENDLRELLKKFSYDSSLDLQN IILSSCELNQDNEQLQLKRQLLPVQYEPIFASLVLELKEQITDKLKTQNDKQSELFGTSL ANELAQLNEHKSTLTYKRLAILKAIVLDQEQKVLQNIIEQIQGQQELGLVKNISQLLEDY SVYINLVYLCNKHFKNQNKNLQDYLITISSICFQILQDVNLTQTSNSIYEIFARQLDETL SQYPLQVESDAQRACRSQISKKKFQKKFENMGSAFSNQILETPTQMSSDNCSLCQLQFEK EEIQYRAILISYNNVNKHIKTMPQQMQQNQQIDIFQIVASSCQHTFHKQCLFQNEQKYTI GEFQYLRCPICLSPYNFPFVSPIHITSATDKILNENLDFFLCTLTDKKMMEYYKRFEVEG GGLEIPKLLDEIFSQVLCNLLFQLLSDLNKFTLKTTHLLLQDVLALLRILFQNNQTTVLE EVVQNDQQILFRILNIIVQYMIKQNNINDFKSGLRQIFDKNTNQSAIIQALTENCVISQI DQQSLPYDLERISKDQKQFYINQFQLNFKDFLLRYYLSPCQKKQCQFLPLWRMENQEQNQ YLCLICLKKMCAHYCGRPQKKKIGNLSRHCYKRHLGKTLYLNLNNSQIIIMQSPYITINV NPLYKNLIGEIPYIGYYQSNHYERFKLNLKAIDQIIEIILTDKFVHKLFQNLKKVKRTNL >CAK59658 pep:novel supercontig:GCA_000165425.1:CT868004:26709:29550:1 gene:GSPATT00030294001 transcript:CAK59658 MDLRSTVYLPSKQISEGEIQVKGKTLGRWKTKKFIIDEDQRIFALKSSQAKKKLKPFYLQ YYKLEVREKKKDKISFDLISKAGGKSVTLGIQNEQQANLIINLLQKLISKEDSIQKQAQE EQLLKSQIEQPVENTQNLAYEKIDYEIPSFFDTSEIRENISQIRRGQQRLEKFQVVQKEQ AFTIYKNVDNHHQFVTFIEFMGDCCDLIVQNLSDNNKINQWNPYVSQAESMVVKELAEDK SFQICEIRQLTNLFVFKREFQYLRHHLKIDNIDFIVQKQIDQRPKTKCYQGSLKYGLWSI QYKNNVTKVCYVTEQSVQGLSYPDEDSYLIQVFLQQIQNINKQYIQKTIKQDPPQNSVEI PQINKAIIQEQQLQGGEPQIQKQQPDQQQLPSQIKVVQQSEKQNQEEDDQFFDCEEEDAI DEMNDKLFIVETVDNKQLIQDEVVKDVYEQNARRQSILPVQIDTQDNNEQEWVDKKMKLV LSGGYCSLSINVKHQLLPKEKGQNRQFLTQQEGGHYIFRKDFIREDKNGGLKVINEEKLA AQKAVIKFLITRIGASLLMGKSITGISMPVSIFEARSNTERVCSSLGFAPIYLEDAAQSQ DIYYRIKQCAAFQFGFIFMYISCEKPFNPILGETFQGFYDNCPIYCEQISHHPPICAIQM YGRSYKIDGQLELVANFHSNSVVGRNVGTFKITFENPHQEILLTFAPGSLNGTTYGDKVL NYLEKQFIIDLKNKIILETTFNPDKKYCQYFEVEYVNQLDYLCGAICDVNDSAILRYQKE GFRKYKGLDLKNDIKQVKHKIKGIWINELKVDNQKLISIQNDYPVKIQLAQFPIPSDATF RMDLLWWKLRNFDQSQQWKEKLEILQRQDRKLREQKSKKKK >CAK59659 pep:novel supercontig:GCA_000165425.1:CT868004:29701:40934:-1 gene:GSPATT00030295001 transcript:CAK59659 MTAKDQYYKLEEIEEEGNQSKSSDDSSESDNLFSSQRQVKTVRAQKKKTLYAEKLMATYN SYLHFLGGQQLSDKEQDDLKMFLGNLTQTRLNQLSDKYQYLTEYDYHMGDVVIAFPNPDS PKSEDLGTMTQLEAIQLCLNCFASNKYATEFEVMDNLILIKAIEQNLYHLQSDDEFLLKQ YEEEDRMREEQEQILSDESSHRKNVQEQSNRLDTEEKNEGKKNVKRERFQNLKRFGGVQK LFSDEIEKLELKLLKIYNLDRKTRQTAIKEAFNLDNYKLDRFIRIKLSGGKFLEYNEKDK CWVRTENQTKDLSTLIRLAVILQMSKLGFLMRQFISKDGSTIFLVLYQADSNLKNLANKY EITKQLNFQFQDIFSYEPVDDNLRPLRLNNRLWKSNNDYKGELTKMFIYLKPKIVELLEK INFKQVSREINQSMINQQIFSQGDEEVIDDYAPSDEVWKAYYAYLMYLEEKITHLRSQSV IQDEFAQFFNKNTKSKKPYEIYMEKNPDKLQFQDQINSQDIQILEQIQKESEIEQQIDLN GRNIQLIEVFHGIFQIIQEHRKQQKYYDQIKGICNINSNKFISSKDVKVLKKEQLAKSYL DLFQDALYITNLGSIKQLKTIWDLAEIAPFEPFITYKITSDKLAKSQQCKLNAIWKQYCV TETGKISLFSNMERIKINNLALDEKINFTILISDKFVSAKYCLHDNYEIQGKSKRKFFVP IFKSDYNIEILKNKSLFLYFYKEMAERNYLGVIGQNKYQNQEYQFAERKLENLSFQWRFQ WNHPWFVPTKQIREYYGEKLAIYFHFVGYNASMLFPIGILGLTISIIQFIFSDTKSSLYV YLYIIFGFIQIQWSNLIHDMWQTKEKVFAMQFGQFNQSGEDTTQQRSQFYGYYSRSIETD NLNVLFFSDLQRVMKKIVSFAFLFLMVILYVGIIVSIFIISDALQDVDTFTEPGYSTALF EVTVPALINLILVLLLDTVFDRLAIALTDYENHKTIKMYEANFIYKKFFLCFFALCVPIL EIQFLHTKFGLHCAHSDCLKHSCYHFATMFILQFLINVFLKTRIFVFNFLKDLYLKIKNP KRSDTKYTHLNLNVNSHQSKSQNTHTNKASGSNKISLKPSIDPLKSNQMKKQFSHSSNPS NQFKSSEKSPQVESISQPPEKKLQFFQDNQINLQIQDQILDDQDSEQAKKQEDPFHLIND FIEEFFRQRILLDDFMEIAIQHSMLVLFGTTFPLSYLIAFLRSLLELQCDKLKLLNEMQR PIPISESTIGVWNRVLDGLAYLSLVVNSGQITAKRDDITSADALIQMSGFFLICLLANFF LRFVEMTLFSELPFQIQDLLQRQAYLIKNTVEKFRGSAERSSNKAINPRSLKRYPILKVY GTKVKDVKIKEIVELSSDSDVEDYVKRMEEVKGVKHEKKKVKPKVEKKAKSKNQQENEDV EENEEQEENQNLKLLQDASNKESSIEELQYYFNARISNWAMKFKMKDTQDKEQQLLQKIF FQKTLSYLKMKDQLNPHQKQWTLDRYTYRKFFLPRSLVLIRNLDWRRYLIFQEGDKRLKK SLKQQQNKKYEKQLDFLNKHQDIQQNVVEKMEILKKKKEFVKKHVWIDGRSTVFLRSGGV WFGQFRKSTSKVSGVKVAESYYRILEKYKKVCENENKAMEENIQDQQKEHAEDSNKTKKD TKFKPIDEQFFNLTCNLSQTQLKKFSSTSLISLLDSMQIRHKEHYIYMLSSKGVSQVEYQ LDNYITNELRKQNRIMNFSYIPFRVQAPFLKLNQMQIWELFVEEQIRQTQPKINLLYRID KKKDQFSSNIHTNIFGLQPQIKRWLMSYVDVPQRDDIMLYYTTDIAYNRNIFKDFINRVY RFYDIVEGPDYSSLNLPIGIAKLSYMKIQKQPQFFNVRDPLVKGYLCRAYNPPKNFKFCN GKTLKDVIKERKTKYTDNEISNFITSTIKLLDFLETNMGMFHGQLNLDSFILIERTDKSK SLQYVVLDFGMFFWYDLNTERTYFIRSIQQNDELWYMSPELLTNYLKPNNQLPINPQKCD IYSLGMVSLQMVTLGQMKLDAEKSLQEYDGDVGFTVRKWMMNNKNQLIHDYPNSSQLIMQ LLHPDYKLRLYPSVMAAKFQGRSLFHENGLSNQNFDEELELLKQKRFCPTFYENILDELN GGQSTTQVFICIKSFLKQAIFSFEQYLILGQLEKFDEMSQDFEEILIQKYFLTSIEQSQE EMSDFYSHFQVYFKSNIVKLIQNNLDEFKYFIFYFQYKIMFFVYMNRLDFGMKQCTLLTN LLNDFEEIINEVVQLSQSEKNPVIQEKFKNDQNHILNLLQLQKEDYKSSKFMKSFSHHIR VYIFQMQILSSLICNLHSVYQIDIESTTKLVADQWNEIKYDLKYLEKDGNYFLLTNSIYI KLLNRLYIQFIQLQLQFDSFDNNALNTANELLIDIQLMQQFISNEKITEKQSVIKSIVKC SKHKQLNEDLMKSSLAYLNYYENQVKFILLLIYNRNKEFSQTEIIAYQLVRQIMQSNCAL EQLNFIPMIGWIGEHYSETDQQENMQNVYRFIIQLMEEQFMIYESFQKESTIYFNHLKVA ITQTIFYCSQLLYYNVLAMSNGIYQGFMQLIQSHNLYGNDNLLSHIIQIKLVHVLIENQQ HQYPYYFRQNEDQLDYNYDVPNSVFSQSLISCLLKLYKFTEKYLIDNEEQETIEITWFQD EKISQLKLELLYGYTFILSKQNVESENHHHFTDLQMAIKKYILIIQEKLQNQNIFVIIEN KVLYTRVLQLTYDYQEAFDHIKIVELLQQIQLKEEEISDQQTASLQQIFFSILDVHVNNH FFSNNQQSYKQMMLLDEMQFIKNHKVFYIRFLLIKLMDFYLKCQQLELNNELIKFLFTLY QNKAKMLKKEKYTLKLAMEISRLRTYVLSEELRQLVEKQDKKDNIKIQDLFEKIQTAYNV LVESKEKKQKKKKGKQPRLNTNSDINRYLLAQQLEQQDMDKIQTGFIHIDDKDQGFDNQI ALCQLGVKLIVYQLHVGQFRDADSMAYNIKRKLFDLIYYEICRMALNWQSEKIFLKSNAT EISVNQLFKALNIHNLNFENYEHNLLHNIEPIKQIALNVRNFLVLKQVLFMRLLYSYVEG LQALCIIMKGDFKLSKQNLNFVQTFGESNIDEISALITDVLMLFEITTADYTSASSKAIK LLKLLQKRIKQTNIQLQTHWIYSEFDDTRVQKQRIYHPIQVEQIISFEESDELQQFAETK FSYEETLTKTALRPYFEIAKLTTEAYFAYIESLIQQPKILIQSVDPIFYAQKYVQQQYGL QHIFNGHLQRLIATMYSEFLINKRVKDLEVALQQDSDKKIKFLKQEITAKTRVYLESRVK NKCTQQMEKKFQETKQFLKSKIKTLKTIRDGISYDVVCRWSLQAANESLAIYQKYCIEFK YESNPYLGSLYSTFANIFFVQNKIVSTQQYLEKVKNTLEYCYTSISNPDYCRYFYQLGYL RLHVFSIIKMYIEALIGLARLNPSEKFEVLLAIITENEKFLYHFDQYCSHGFFLFLEINI LKQLAQERVIRDRLTQSYRKDEQDLIRYLQIEGDKLAFQKTIDANSKPYVHVVENVYKDA VHLIEKQTHKFNPDYTGLDLFIKAFQICKLLLTSDNTIYQKILTLVNKLSNRKNSLI >CAK59660 pep:novel supercontig:GCA_000165425.1:CT868004:41328:41958:1 gene:GSPATT00030296001 transcript:CAK59660 MYRYLSNEIGFKTTTTLMSSLKIVARDLTDIPAISVSKLNQDEVSHAIDIHQLTWSQSVD TSKLIREYKFNSFKETFVFMGSVSQIADQIKHFPKWTQKGSVLRVEMTTPDCQGVTIKDL FLAYTMDKIAHNISNQPVENVCDIVKIQSTQLLNTWNSNYSRQEEVKTQEFQKNILQL >CAK59661 pep:novel supercontig:GCA_000165425.1:CT868004:42081:43241:1 gene:GSPATT00030297001 transcript:CAK59661 MLSSILTSGKQTKNQINDLFSKQIEIVEKQVESKSKNKVDQEEDNEQGVQQTQEDKEQMD ADRIKRTLFVGNVSINAKKNDMRKLFTQYGEIEKVWFRSIPVDRKTKKENVKLPVRAAVL MGKIQEGAQSQNCYILFKDVKSAKSATQQDGQLFMNLHLRCTLEGQKKKDHIKTAFVGNL PFDIEEEEVRKAFEEAFGEINYVRVIKDPQRHVGKGFGYVCFNEFQSLKKALQAQSIEIK GREVRIKKAAETTKREAKELNNAKNAFQRIQKKQQISQKGRKPRSNTQNKEQTQNKQEKQ QFRVQIHKKIKKLKKKRYNPMEIKEQISNIKTQRKTQVKDTNIFNQY >CAK59662 pep:novel supercontig:GCA_000165425.1:CT868004:43373:43743:1 gene:GSPATT00030298001 transcript:CAK59662 MGCSIQKHQSIQLQTKKLKEKSLFNQTFDDDLSKQQITKSPPAFSKPQSPFTNSKQLSQK QQIKIQEIIFNKKITSKQTALLNSDEQYQYQQTLIGLIRK >CAK59663 pep:novel supercontig:GCA_000165425.1:CT868004:43883:44155:1 gene:GSPATT00030299001 transcript:CAK59663 MGNSVVKPSRSLLGTIHINSIENQIVILNSENIDSDKLTMSPTEYFTNQSQRFSSNNTGV YTRRNQKRRHGIIVAKTSQIDDEMEIQDEY >CAK59664 pep:novel supercontig:GCA_000165425.1:CT868004:44798:47116:1 gene:GSPATT00030300001 transcript:CAK59664 MTELGNYLIGKTIGEGTFGKVCHAKHQILGHEVAVKILEKNRIHDELDVERVKREIKILQ MLHHPNVVQLYEMIETETHIYLFMEYAEGGELFDYIDLKKRINEVEACKFLHEIISAIQY IHQLRIVHRDLKPENLLLTAKKNILVVDFGLSNIYEDTLKTACGSPCYAAPEMIQGKPYH GLQTDLWSCGVILYAMVCGYLPFEDNNTQVLYKKILTADFHIPRYVSLDGKDLIKNILTV DPNKRYNIDQIKAHKWWQLWKSDYVQVSPFKASFNKISYTLLPNSIYKPSLSENVTEDEK TCCDTVQESNQTPFNEKQDSSNQLKIQEDSQQEQEIDYNDYLVNDLDKQQAVSEQQEQQD IYDYQQSVRSLTRQKSSRSIQSITRLQTQIESPQKPIILQPNKQPTQTSQNKGTKPIAKK PLLTQQQQQAAIPQKKPIAKTGPSKSLHEPPSFENKFMKQTNTSNMRSTNTTNSNNNSFH ISHQMKTEIPKKNSNPPPKLSAIQEQFKENKEKSHSLKTQPNSYKQQLEQQQSLNKTQTQ IKSSTKQGTNQISSIKKNHNQRQTVSIQIEGQQSLLMQQSSIEENNMVQFEDQKTIIDNF TLRLNSYKGQAITKQSQKQGYNDSNGIESISGPYNVSFITAKHPKLFIKGLQKYIQERYQ LQFSAIQSQQYGFNFNLSEFSFQIKLFRIENLDIFYCQINCNYLRNVKNDFEYQNVIQDL QTNFEF >CAK59665 pep:novel supercontig:GCA_000165425.1:CT868004:47405:48232:-1 gene:GSPATT00030301001 transcript:CAK59665 MLTKLVSLGKVNSMCFRSVYQYNVFGAPFEGLDPAKHIYMNKGLQNQYDDLFRLGDYPYV NDYPIMIDSQQYVPQIAQLVESHVKQQFQNATFTAGEYNNGDVWAATWEELGVNNFKVLV PNLLLRLSETIVVKESKWTWTKEQRYRLHVTQTVLGPQNYTQMPTYYFINDYNTVLWMLA RQSNHWTSPAIRGVQTPLEKQLAQDLQPKPKIQSLFRDRPENNTFLNKLLKAVHQ >CAK59666 pep:novel supercontig:GCA_000165425.1:CT868004:48467:52318:1 gene:GSPATT00030302001 transcript:CAK59666 MQITQTQLLEWVKDGNKVTLKKHFEDELKFSQNKLHDFFAMVDDQRRNVVHWAAYLGQYK LLKWWCKKYKLMIDLNKGDMHSYTPLELASIKGYSGEYNPEQQENTIRLLLDHGAQIPQS NTSKPNPLHWAFYYGNKELVDFLISKKFQLQLETDQQGNYPIDYLFLENRPDQYKKDVSD IFENVIINYAQVTTKQTLNRKLKKKKVRQPNRKKNRQFKEEKPHQGTIVMSQLPFIQTQG KDDESSPESEPSKNGIPYSNISNYHRSQTSNSFISKNTLPKSSQKSTQNQQILNKFQQRN CGQKIQSKNLDEIADVPLYDDSRIEMKEPEEEKIPEIKIFNQNPRNPNSQKLIPEKNQES QFKADQCNIIISQPPTILFNQETSPQKNFDHKKRHKSNKFKSQNEEDMEKIHLKDQDKYF IYHSNANLTKNETYECRLQYWSARQGNTEFLIYFLKRRCNPFLNVYQGFNCLHIAAFKGK LKILKIILQAEYEYYDYSGESNHKNKKQLKDQIFNKKECINILTDQNPSNALHLAIEQEK YKCMKTLILHGVSVDTVNSRCLKPFELTFNQDIMEYYKENIQTKQQMSSLTELGYQYVIQ TKGTLSVEQDIVYLQLQNIRQTFRDRGWSFEFMIFHAPDLDKLEMYNDSAQAKKVKSLYH YYVLKLPPDSIYKLADLYQISCYNFQTKYICQFDYDNRGFFEFPKDLQVQMLILNTLNDE FDVDKFVLEKLIIAHYPLEDIQKCEKITQFWDEQQNNCIQDSIRYETQQIALRPLHAIAS YFGPVVAWYIALNVQIIGWLMIPSVFGAALGIYIIITKEVNSSIVPFYALLMTLWSTLFM EKWKNRESELKFCWDMHKFRQTQPQRVMYTGQYIINEATNKIQIYDYFTTFKRRLIAEGP VILIGIAIIVVSFYAFNLWLRLWKGTTIMPIVINSLNGVSMTVFCDLYKRLCTSLVTWEN HMYESEQEYSYILKVFLFEFLISYVSVVYVAIFESDASQLSVSVASIIITRGVISNVTSN FLPYFFFKQEKKMFSDKFFEFKRIFQLKSAEKKQVHNICNSKFSTDRLNSEVQLRFLQEL EVGRIKQPQKVLYDEYTSIAIQFGYTTMFAPTFPAAPLFFMINCYINLRWSIYNYQHILK RERAQAADSIGIWLQIFEIMNYCATFMNCIVIGTVNKEQFKGIIGNQNALVSAFFLAAIE HVLLLIKYILDVSIPDCPYWVEKELRRYAYLEEKYSKNNN >CAK59667 pep:novel supercontig:GCA_000165425.1:CT868004:52378:53883:1 gene:GSPATT00030303001 transcript:CAK59667 MEEQEKCYEELCISIVESQTITKSIIKQILHGSQTIIVNKHINSILPLLGYNWFREQVIV LMDEYNLRNDDFEENVIEDSEPIAVNTEHWRRCQGQVKQVTSRENSKSPTIKRSDTKRMS KLVTMKTSRQSECFNSQLIKMGELEEDQEFDPNIESIRQAKLRQISIQQQKEQNDKIKIL EVQEQVKQLKRLNVDSKSKYTFDYEGKVVVQKPPDIDRYPKSFQNISEKRSLVEVRDLIP NHKMQSELIQLTSKNNQNIGQNNQFAIKTSVPQIDLIIMKEGVTFYDGKQEKKKDRVLPL MDIKDPLQLQQTLQKQNVQMTKLEYQQITSTSSNNNTFQNKTMSLSSYQQQQQHQLTNRS QQQNDNLLNMNDSLLSQRVPKNLTTMNHSISSSILQRLNGSISILSEQQIEELLVPQTEG NKDQKEHPLFLKRKKTELDVKPSKSVPTLTFDLPQIPPNILSNTLSKLPKSFQSQGTFPK LIPKFPRQRISKQAIQLKIET >CAK59668 pep:novel supercontig:GCA_000165425.1:CT868004:53909:55454:1 gene:GSPATT00030304001 transcript:CAK59668 MIIQQNEEQEMFQQQESNDTEQKLKSSYVSNEYLEAGGGSPQNSVDLKQFKAPQINQLPK VMVNASSQQSIPMSSQFRYQEDQQSQKIKTGPSDQSKITPGYTKYMIEDSNQQYDYVRGM FTEDEIKEAFNFLDMNKDGGITSEDLSFFLDFIGEKATIEEIEEMIRMCDKDGSGEVKFE DFKNLAGGWSLPILGQAHPPTKELVEKRSQINQTMMEKEVQEVARKGKITPQMVEHIRKN ELTSPRFGQDEQSKLMFQSINNIASQKKQRQVIGQNLKVIRSDEGQITQQTKQKSSLSQR EIMLQRKNNAIRFVKEKKIDQAYILDVFEKINKLDIMESCTYEDFIHYLDILDNHQSKLL FNSMNENPVREEYVKSSDNVIVDQTVTLKNTLLTLLGQTNCRKHDKLEIAYLLQDSNQQG YIFLDDLISLLINLYCISEVAIIEQKILKMTAKKGLKNRKDTIKKDIYISMVNEYGQVFE PI >CAK59669 pep:novel supercontig:GCA_000165425.1:CT868004:55827:56350:-1 gene:GSPATT00030305001 transcript:CAK59669 MQESSLNFEDHSYNAPSETLPQVNSFQELKPKQKNPSEAKNIPKNIGGLLKNHFANRCSP QVKENKVIKHFISLQAKKKNYSREDIKKVLENKEAAAIAKKYFASFEIIEDILHSEKVPE ITTLLKYIRKFFIASHFPETLSTLKLPE >CAK59670 pep:novel supercontig:GCA_000165425.1:CT868004:57630:58898:-1 gene:GSPATT00030306001 transcript:CAK59670 MPDILYLIECFEGFGPQCKGIQLTGKEYLQQFFRVITNKHLEGFIAIKIILTIHLILYEF QLGDSIAEQMIKEGSALHVQQNQEFSLFVQNYLMYLLKLAQNLKLFYACKIGQYPIFDQD QISTDGQGSTRDQQYQQFKIPKRNSNFRGTQLQYRDYQQRKLELNKQKQMGSITIEQKIL YLFKLHNLLNQCIQVLDLCINSLKQIENKDSKNIFIETSCVLWNDCMVMYKFSTQEICQL IDSFRTLPPQLLLQIQQIYLVTINSSTQLKQLYNNRNYFDSQNIIKQPYWFEENKNLSQE IQNRIIDNKMLRIPDSTKSLNYAQTPQTQQNQKSPTNIPQPFTSRIGNSYNSTTTTIPSQ PYFKANQKLQQQQTKYFETQQSISTRTKSHFGSIFSDEQLTISSKESSKLQQQF >CAK59671 pep:novel supercontig:GCA_000165425.1:CT868004:60022:61139:-1 gene:GSPATT00030307001 transcript:CAK59671 MIKKRISKDQNNCKKYQNSNKIRKYWTDEEDNILKSTVSVHGSDWKLIAEYLNGRNASQC AQRWKRVKPNTEEKNQKWTPEEDEQVKRLTKEYKFDWKVIARFLPNRTGRQIRERYINHL DPNISTKAWSQQEDLKIWNLFKKIGSRWSEMAKKLKGRPENMIKNRFYGYIRKNYAKQEN PYYIVPNQKRQLIKDDDQVEILNNQNTLETGREDIEPQIMEQIQQNQSIQQQEEQAQSGI SSILQSLQSDKLNVSIISNHQDIQQLQLFHKMFIESSDNDFLKVDHHLVNFLSNDSNIHS YANSHNLLTFTSQKEEENDNFINQFLQFDGSSVPVRMRADIFEEFSKFSQMEY >CAK59672 pep:novel supercontig:GCA_000165425.1:CT868004:62849:63079:1 gene:GSPATT00030308001 transcript:CAK59672 MGVELCSQIPTECFAIIIIQSGAIIILMLLILLMYRYQIVHKLHPIMIVDVEPIKLNKLQ NIANCDMSYDSTMHQQ >CAK59673 pep:novel supercontig:GCA_000165425.1:CT868004:63192:68286:-1 gene:GSPATT00030309001 transcript:CAK59673 MKQFKQLKNFNYYVASYHILLEIHFIKFSKLWLYLIHLISEFQIFGVVVLNLNQELLQLP LMNIDYGLNFLSMISRPYKLIFEDNFFYYSYIIPLALISLYIFHQLITFFLFSNQSSKSI MEYVMKSNNIKLIFHSFSLVFLSIFDKLLCIPCIQLACYSIQTNISKGFFGATEFINTLF SLLTLILILWIQLLYLMFVKEAITLHLFNFKVLIFQGLDYFYTFLIYFMVIIDCLTLEKE VKNYLIVILFVFQSVFKLNQLLKKYQYVSNIQDYLINLNVAFISISTILIGNNFIEEKQN LIIIIIIIPSLTIALSQSIIKKKDFHLLVRLPENQTTQTQQYLITVFSSKNQLNRVQQFT LSLFLFHHKNNCIDKSCACKKQGSENEIKLKQLYLRQLILDFGKSINKLTDQGLKGFFCL FYIQSLIAINQSVKAYQQTNILLLKQSVHSMSDQQNIFSEQTTYSLTVEENQNVYKKKSN SDMIHRLEKISLNHISYINQIKISIILEIAKQNLISSFSFGNVIQKTQLSQSVQLFMKVE EQHQQLKQNITNIINRKKDIFMIMSSTKRLNPERFLHQCLDLIRRINFMENELQHLFQEF PSKKMQSIYSFYCAEILNNFMQAYRIINYNAISDNALIKIQRNYQVDLLTTQLHYMILIM DHQGTGLQIVERSHQMHKIFDYDQQELKEIKSILSLLPRGFQSIHKHLIEDFLISGRSKF FREQNVNLILQRDSFLCPVDFFFDFDLTKLQELTFQVFFSENNGVNSYLILNNKHLILGV TREFCKQLKLQEIEQSKLPDLLYLTDIQLIIPEFFNLIDNNKIGKSQSFSNVQIVYNDKN QTVQKSLQNESAQIKKNNDQIRFYYANIVVTNKLTHSIIEIKNVVESKKSIQQESNDFDN FEQEHYEFIEEFHIQQPNLYNNDLFPKLKKEIEVQNDCYIQEEINENTDQIDTNRIVSLQ QRQLISESIQQYDLISPGRSNSFATHQMNLSCQQRFFQNQNEEKEKEQSQSNQSHVERGF AKYNNEQNQLNAEIRENLRQQLNEENQTSLQAKKINLDNAASSTLGTFSQNFQLFKKYDL LQQIVSSVKFSIMFDLMIFSLLIVSLISIIFAIILINNSSTDIYSALSQMQMLEFYTSFM NPCYLFLSSFASTYNYMNGSFEHNQQQSISSLIQYHQFTINQSFVNIKQSYSLQSKGNLL QQDLSTTLMDFKYIEGNEFTSEQISLREAIFQIIQYQYNFQSIFTQENGLDQMIQQLISY LVNLDDVNKEILNLNTEIVTYISDNNLLLQEKWILLCLRCTYLILLFQLSAWLLYIKHIR KYSKVLKLFQKVDVVWVLRDLERCKELLGLLNKDSNLMFRYKFNIFLKERFFRSELSKKH IIQDKIKRTGFMKLDSKQKLLLSRLGSFILYSTLFCVFFIYSFIMNFQGIQFMDYYQEKS LQYNQIGELGLSIPKAYSLREILYFKSNNFNGYEYISQNLTDQYLQIIQGSLDSLSNFLQ TYTIADSNYNDQNLLDLNNQTLCEVESIQKTFDLKQQFLCKQLYDNVMDRGLSITINKVR NILLTEMNNSQLFTQRASTPFNEIEIGIYLSVIIMGVLDTIKTGLSEEADQLNMTIQIVS IFYLVFTCLKILFVLIFVRNQYFIEYQNIKKLTILLPQAALFIDDLFERQLRQLIAKENL V >CAK59674 pep:novel supercontig:GCA_000165425.1:CT868004:69596:72867:1 gene:GSPATT00030310001 transcript:CAK59674 MSNQLQIDSKVKQLPQDVQDSTYQSAIALSQYLKIWDVDHQQIDYFYPFQLPPSSFIGNC QIFPHRPDLLQNEYHQVQDLSNTQISQIFQSGTFEIQSMQFQIPYVWQISSFIVKGRQNI TKSYFSDIGMAYHIYKFEKNSIYNERDSKGRECTIRCSIYNIFSKLTLYLSLLIGFRETY IDIDIPQLKNQIEAKRQIELKQSDYYKRQIYEYLYFENNEQSKAAADNQLTKLMLIQTEF RDHQQGLKLSIQNQFDYLVEQFGHNQKFDDHEEQKEYLENINEQIKLLERDYLKEYEIQV DIQQDVNEQINNATSLAQLKFWQSKLGNELPLVSNINELNECGRALRYYQQLLDVSSFIY GQNELQQQMDEFEKKLIQDHPIRIKSYHFLAEQRAQYRKQMKTQLKEKYRILLIEDLKKQ NIKEVELYLNYLQQKAQLKQELQLSIEKIEKESKRINISIDVTRRCFPPYNIIKTGDYYS LERTRTYFVDSSFPLWKFYLMIVRYFAWTANVTFWLFANGISGPLGIRALVQCNVFYPDV QINERTGVVSRSNYSVTPVVVHMGNVCKGMSRSRREFEGSPDTGFFGKNCARICNYVEVY FFRFLIVGIVGVLIVIPALIIANFVISLVLALTAWAWIPFVLIISYLFQLLIFDFDCSER QDWNLLSTPIWFPLFLNTFDFIVKGVLNLIWNLLICFIILPISSIFMVLFGHLRYIFRSL YDCLMIIFVKCLGRVPATENWLAWKVSGPGISRQYYFTVKINELCQIKNEEALILVAGEL EKCVLKEYQRRVEEEINGPQKQVQSVMNNFFSVFGVFQILFEIQASYSFHDRGCDKLIQS LNQQISSRISILPQISNNIRFNESELIVIRMLIKQLIKNQIKLKDMKNYIWKHYQVQKGD YNELTSELLKKCFGHQVLSPLEDIDLRFSLQTDENYSISKKISKALEGQVNLNQPTNFVV KSNSVSKKSVIVKQFLEFSGTIQEVWGCQSIYQNWSTLSDKSKRFFINYCLRVNQEA >CAK59675 pep:novel supercontig:GCA_000165425.1:CT868004:73098:74107:-1 gene:GSPATT00030311001 transcript:CAK59675 MQIFETIVKYCEKKDIKLIESGWLLYCHVLGVIGIIWSFFLESELFYKYYLAFLIWHCLT GLGITGGAHRLWAHKSYKASWPIRVFLMFMNSNCFQGSIWHWSRDHRLHHKFSDTPLDPH NSQSGIFFSHCGWLLKNKSKELVDEGMKLDLSDLKADSVVMFQKRHYYKMAFLWAFIIPT LTGLIISDKWFFSLLFLGFGKYIFTLNATWCVNSICHFYGSRKWNPRIEPRDNIFVSLIT LGEGWHNWHHEYPRDWRASKNEWWMINPTCSFIQMCEYIGLVNTKRAKLEEKVKYDY >CAK59676 pep:novel supercontig:GCA_000165425.1:CT868004:74772:76123:-1 gene:GSPATT00030312001 transcript:CAK59676 MKRLSSQNKTTRSSQVISNGIYFGQFQNPQPLLTAISNTQQKPSQDLRRRNFIPKKRLQS PKILSPRQQNTKPLKSFFQTKPSPKASYKPPTIIVTQPTNRSTVIRIRSPIKRNQQPLIS QEMDNKSIELLQELANSQLNRTSRKKRRPQTAGGYTIPSQHFSNNIQNYLIQEQIGQGSY GIVKMGLNLLNGQQVAIKIYERIKIISKKEYIRKEIQILQSLQHPNIVQLLDVIYTDTQV QLVMEYVGPLSLRAYLKQQPNKLIPETEAKNIFLQVVKAIDYCHSKNIIHRDIKLENILI KDNKIKLIDFGFSSFIEHKTTSFCGTPSYMAPEIILKIDYGKPADIWSLGILLYVMLHGK FPFQGKEQKELFSKIKTGIFSSNGISQQAQRLINNMLRVRSYERCNTKEIIKDQWFQQ >CAK59677 pep:novel supercontig:GCA_000165425.1:CT868004:76418:77179:-1 gene:GSPATT00030313001 transcript:CAK59677 MFAQILAWLCQFIDKTFTLIRKRLRPKTRLELRRYHQIPRSNSFYTGKLRLLIGLEGTLV CTSYTAIPGWDKINIKYLSGLTQDFYIKHRPYLDQFLLSVSQIYDVSIYTTQIQDFAVPI IDRFCIKLKHNFYRQKQILFFTQSCVLSRNKITKEINMTTSNPHNVIFVDSDEDQCLANS ENAYPIALYTGQDNDTELLKLKDFLIQAEAQMKVRKEQDPGVTIQDILQEMTAED >CAK59678 pep:novel supercontig:GCA_000165425.1:CT868004:77193:77558:1 gene:GSPATT00030314001 transcript:CAK59678 MSQTELMELHESTKVQNKLKPILKHNKNTYLTTHNRTDAAGNQIIKGGNHKISFLPTSNE QSPNVVTSSNIRIQSESSESDQDINESNSTLHKKPFLNLKGKNCQQTSPLTVNESNCCII F >CAK59679 pep:novel supercontig:GCA_000165425.1:CT868004:77574:79098:-1 gene:GSPATT00030315001 transcript:CAK59679 MLRQLCLRFRFCQVINKESVQIYNTISQKIEQQPFKASIVDIWKLSSINLMDNQLWEKTE VQFSKWLNHIDNEDLTKMGFLISKHHQLLSLRAKLQYENRIRKLSKTLTEKQISSLIEKI FHICTENTKTLLIQLFLNQQNLDNLNQMKSLLIILENSKIMLQPILERLKSLSSITEFNN YDEIIVYLQLFYSNLIHNWIQQISHRQETKTKLNEIIYGNKSNASSSKIDPQLNYLNLDD IYKEINRQSTEESLEFKRFLAFIQFIRACKYKNVSVKNKLDISQLYQKIILKLTPLEIIQ MISELDQLIPIDIQINCLKQYVKSNELQMNNNYYIMFQTANLKYLQSQELSELFISNIKY TIESFNINNLSELYSLLLKTESTFKDLQPMVLEMRQKTKDITIKTIQINNSIKINCALQI TKLYNLSNEALQILWEKVIIEDLPQVQQILVVLRSYVSHNKMSEDQLNLIQRILRNKFQI TQK >CAK59680 pep:novel supercontig:GCA_000165425.1:CT868004:79117:79572:1 gene:GSPATT00030316001 transcript:CAK59680 MNTNKLRISKSLTRQRQSPIPPVEPKLYVGSPVSQSPPQKALHQMTFYQRLRLYYKSQNT LTPKPWNSPLDEQRTILRLISVHDVIKPKKKELQTRVSKNVQIQPSTHKRFVTDMPKIQP DALTQKIRLNFKSPIHVLNKTNSFGPWDSPL >CAK59681 pep:novel supercontig:GCA_000165425.1:CT868004:79643:79939:-1 gene:GSPATT00030317001 transcript:CAK59681 MGCSQSLTADDDQSVILIKPKTLKFKSHNSNMESILKKQYTDGSGQAFYIVPTERASFSK VPVLKGPDTNQLVQRRRQSKLSSSPSNIEKAQTLPIYF >CAK59682 pep:novel supercontig:GCA_000165425.1:CT868004:82308:82541:1 gene:GSPATT00030318001 transcript:CAK59682 MQQSVTLLATLTKIHHAPSKNDIKTPHFQCSYNGCFTNFYVQKGLLFKSNETKGECGTKK GQQQLYCCRQCYLKKFM >CAK59683 pep:novel supercontig:GCA_000165425.1:CT868004:83634:85339:-1 gene:GSPATT00030319001 transcript:CAK59683 MQFNRRSKDDYLNVYANKLLAKASNIHMKPSEFQAPARRKSLIDSYVHSLNNETDQGTDR IKKSIIEKNRSCSNLQDYQDEYNGSPQQLQSSQQFTIMNQNSKLMMHKIEKKLKNFKFAK ENNHNSCTSTVAKMKCFCLDNQCFDFYRCTDLLLLKYLIYVLLQEINSKNENSSSFQDQI LPSQKNEIKDTIAAMQNTVDVLKNQKLFDKNNGNPIEDLSRQIKKIQNSIGSQQISSSNY DVFTTPQSSIKQREFVFQSSSATQKREQQYQNSPSISSQKIIQFQIDELQMKNEAITKLT SKNNDLELELKMVNNQLTEALMNLSISQKQLSNKDQELKGLIRKTEKLLETTSIAVKEKD QLQDYYKSVRNDHEQLKTKFDDLQKSNQSVLNECTILKKSLNDSVESSQQKIKNEEQKLQ QDLIQKNKQIRQLSEDGKILGQYLKDISSKIQQVPSDQIPQNLQILQRELQQKESFINSK LNNMHLAQIDLAKSNNANNKDKKSRKFMSSHNQLIDQLQSFQVNSDMIAMMIVQSEVIEK FIN >CAK59684 pep:novel supercontig:GCA_000165425.1:CT868004:85448:85854:1 gene:GSPATT00030320001 transcript:CAK59684 MATALGLGYGTIAILAGFVLALLITFFFGRSTRSPELVCLLTMLIPIILIIIFTASPKKS QILTDSDQVDSYLPVDIFAMVFGIVSALSALLCILGHYYFQGLRAIRISSQLEMERDKQK LERKKPA >CAK59685 pep:novel supercontig:GCA_000165425.1:CT868004:85892:88231:1 gene:GSPATT00030321001 transcript:CAK59685 MPPFKQPKKQVTSIEKPVVEEQRPSTAYQVVYSRDIRKKKKQMFDGVLVIENQRMKLFDM ESKQLFAGSDQIYDNISQYYMGQFYVELMNQIPIDEFKSGKIFMAQPEPEQKVIVKPKKP FKSKQQPDVEPKFTILEGFDVDQFLYKQLRAHQIEGVRFMLECVTGKKGKSIRGCILADS MGLGKTLQAITLMWILIQSHEISKIVITCPVSLIGNWEKEIKKWLGPMRLQPLSAIGTKD EVNKQVKYFLYSPYNLLLTSYETFRNICNEIDKVIDLLICDEGHRLKNSNIKTVQAMNQL KCKRRIVLSGTPIQNNMKEFYACCDFVNPGIFSSYKTFKLVFQDPIEMSMEKGSSAETVE LGKLRSQELSSLTSQFILRRKPEILSKFLPSKFEYLIFCTMTPQQQVLYKRSLQLCPNSV MMQLNLLRKVTTHPKLIEDDESQAAEKLVVQDYQSVKFNCLKILVDQCKEQNEKVVINSY YRQTLDQIEHNLIQWNLKFLRLDGKVVQKQRLTLVDEFNKDKDITVFLLNGKSGGTGLNL VGANKMICVEVDWNPANDSQVMGRIWRDGQQKQVHIYRLITCGTYEEKIMQRQLTKENLS QNIVDEKSLQNQFTTEELKDLLTYKDSQKCYIFDQSDKLEAEHDYPTDLNKFVVLVKIHK TQSCIPNEFDNQNTFLEKVIEDPQNIQYLDNDENPESEGDNSQQEQQEQEQQQEPQEQEV FKDITNQFQNVNLQEQQEKNDQQQADFPLANINICDLSFLD >CAK59686 pep:novel supercontig:GCA_000165425.1:CT868004:88409:88972:-1 gene:GSPATT00030322001 transcript:CAK59686 MSQLRAFESKFQKFYVIRIIQICESKTLKVGFKEIRAYSNKQSTITKYRNRKSMEQVYQG ETYISTKQYLQILAQFPKLTQNIKLSDHFKKKLGSNPQIQATVKETVSLIMEKQRQQEQE IRTKQVIQNFLNHRSIRGIEQQTNKSASSIVIKQSNTDMKFSINMVLTILLVLFFLYQAS GCESFLL >CAK59687 pep:novel supercontig:GCA_000165425.1:CT868004:89384:89946:-1 gene:GSPATT00030323001 transcript:CAK59687 MGVCSGKQPQKKQVSQPKQIVQVQSSKQNILAIWNDLNQCLNLVDKNVDNEKTIAKIKTL LQTKGTIEEMIVSQSKRGGRNLSYDPLQDTAFQYNLYLQVDKINRILSDYLLIDRAFEDK NSQFFDNLQQSIDNIEQYRQSIKTKQGIWKQNQSTNFSNTNVY >CAK59688 pep:novel supercontig:GCA_000165425.1:CT868004:89979:90713:1 gene:GSPATT00030324001 transcript:CAK59688 MNEDKEFQKKVQVAVDSYLGRKDKQSLMAEQIQNVLEREQLIDQVQRLIVELITKLTERF ITNMKKQVENEILKLRETIRSTHQRTYSAVDKEKVEDQVQRTLNKHDVQINMLLEHQQFD SILYCRAQLKQMRSEATGNDMKERVGKYYQEGLRSLEVRIGGAAKILLEELQLYLYYVQW VLEKQQTIMQILNDLVVKIEKIELSQSQQQMPIVSDKKKR >CAK59689 pep:novel supercontig:GCA_000165425.1:CT868004:90745:91827:1 gene:GSPATT00030325001 transcript:CAK59689 MGSTLSKKQDKAIIIGGLGTVALIGAMLYLKSKPTEVQVHTNTNSKQEEVQNITINAINP QGHIVQGYDQLFQQVVRSIQVEKQGSLISIATITQILDKSLDLAKDEYAVITTENRQRRR AIRRSRQGEYQKMVLDYNEQVENLLEKKQMEICEFLQIREDVFQESVMSLMERGFYQQFF MIQASIRQKIKDSISSTKEITYEQLKKIINYQIQVLNQQPQELREIINNLSGNQETQQLI PLAINTILGDLVYEKFQIEEEDMMKMFQNQALFNDQGLQQAMAQLEEAMYSLMSSLEGHQ M >CAK59690 pep:novel supercontig:GCA_000165425.1:CT868004:91879:92965:-1 gene:GSPATT00030326001 transcript:CAK59690 MIKKSKSKQSISLEESIDEDRRKDNIIQSLLQQSTNLKINQLPTIKFDLNENQIQANDII YNSKKKPQIEYITPQIVQNNLEMVKENLKIVIQEKFEESKCLNWLQLVVEFIKVMAYCNV LISDGCSNQKTLWFFLMFIHDIAQIAIIIKIVNTIPAKFDSKLKTKRLTKIKRESKYPMA GRISYYGQLMSDIDQVESQMDYYNDQASRSIKYHSLQQFNQIFYLILVIWGILQLGQLCQ SFIVVYTFQGEIIQNLTKQTKSNYEFEICYLCSMQIKHLTQYIDLPCNQLHRFHEKCIQK WLSSHLCCPVCLEPIDLMNLQMKVLA >CAK59691 pep:novel supercontig:GCA_000165425.1:CT868004:93071:94579:1 gene:GSPATT00030327001 transcript:CAK59691 MSQHRLREMRENFHSEMRKKSLEQTFKLKRLVTTSVKHYPIEQLIDIVDKLKRYEELNDE EHQIMISILDDIQQTAINDPALFCEWAEHLRIIKTLVDSMCLGSNPKYSIMATKAANCFR QLVKQKKPRFYVNEHFDKVCGIYKSWMDSDSSLLKENGMRGLNQLVELHSPKFSKYDIVE TVLKSLQVNRNNDQALRILATITNSIDDDNQVIAILRIASKLIENADINLKEKGLMIVEN ASKNIQNIQFVLTMRIMQSIMNLIYHKDRNIQKLSLSILLNLSFTSELDECAKLQDLGIV ETLYKLLKNTQYSHYRMYGSMIFNNLMASCQLNLDSIISNKQVMVAVFDLMEKDVVDVRR ELYQAFKNFLVISSKKQLLMVMDSGLLDYEVLGLDASDNKIVVLSLETLTIIMKQFQQTE YECIILQYLENTRAKRKIEVLSQDSKGERVGYLAYEFLIEFYDSEQ >CAK59692 pep:novel supercontig:GCA_000165425.1:CT868004:94579:95797:1 gene:GSPATT00030328001 transcript:CAK59692 MNRNVLFKFFDPVTLAGDQIRGECFIRITEEIPKAQIVLTFQTKMYSKIIEKRQIPYDQS NPQMIPEKLVEKTQRIQVTMDSPLRQPKQTQKKYELNGEIMYRVIRNYGTLESFVYTQEL YTGQVKPGDYKFQFSIPTQYNMSSSFSYKSEDGLKQAKCGYKVTLRIDLPDEARTLMMES TDVFINGRVTSEGEQFRQCEGNIVQYLCLNRGTVELSLKLNKNNFIPGEQMQIEYTLDNT RSQRSISRVEARLINKLTFIDDDEIERVVENVKVFQQNLGGVNSGQKLERQNASLEIPKS LRATIKTSVIRNQYFLQMEAIADAFLTWLSVPVVCQIPINIQESQLPHKINLEGWNFLPI MNVSVNAFSNLTVQQSFVPT >CAK59693 pep:novel supercontig:GCA_000165425.1:CT868004:95825:96658:1 gene:GSPATT00030329001 transcript:CAK59693 MDKIKSLNIDIAQYQTDYLRPIPNIVTSDTLWNDNILLMNVQWNYTLEINYDKLSQVKEI IQKTCTTEISDEEQRVNAINTFKQLLFDYLSSEKELLKNCGFTCQRLPIIIEKNKEIAHF LLIQICNLDGFEEFLEVFIQTDVTQNTLELFAQLFGELKLPQEYITQYINYCIDFCNNIK EKQQQNKLVRFVSVFIQQMLKQKAFITKDILTDVRINNIQLLAFCIEFSKVGEVSKLFKL VKGQEPASQ >CAK59694 pep:novel supercontig:GCA_000165425.1:CT868004:97210:97499:1 gene:GSPATT00030330001 transcript:CAK59694 MKKEQEYPKLLNKTEEKEQIIEEPHTVLINPPFNILQTQNIFVLEFKPNAMSQHNLLRFK HALAKKIIKKSNK >CAK59695 pep:novel supercontig:GCA_000165425.1:CT868004:98513:98816:1 gene:GSPATT00030331001 transcript:CAK59695 MDSSLIARFQNSAVVYYAQRGWYWTNRIGKLNILTVAAIWYVCAESQIAGKQRMTLYRHN WIQNPKPSRVIFEERF >CAK59696 pep:novel supercontig:GCA_000165425.1:CT868004:98832:99847:-1 gene:GSPATT00030332001 transcript:CAK59696 MERDIRDEKQKFTRQNLNSIIPNVCYSCSYSDQAQTQITCGYCLILTIKMIKVHVNYVPF NKLLKCSYNSQNNPSVICSSCSTNFKLINDSLKCVSPLANCLDYRFNKYSSNKADCKIGT NPIVTCQPNPTCLLGFYLNGQSCLPCKQDAGNITGSETCCGLGLIFTAGTPNSCVTQTGA TGKQQILASNGNGETSKFCEACISNCDNCTDATTCKTCSLDYALLKTKSGVECVLIKCLV VDSTKGCTSCQYGFYLSTINGIGYCLQCLSPLTNVISTIYFLLFQAYSNQAPNVTVSPAA PFLCSNPVDNLFTSATTTQQQ >CAK59697 pep:novel supercontig:GCA_000165425.1:CT868004:100515:102199:1 gene:GSPATT00030333001 transcript:CAK59697 MAFLFEEDRGLTQVKDPYPHLGPGVYQVPEQQTKTAYAPFLSTGKRQVEMTNKQKTQFPG PGQYEVQQNMGDSGVQAYMNKSTIIVKVQGNGSSSFKSGIERFQQDKKVKEIPGPGHYDP VKNNSQNKQAPSIQNQKLDDIRNQNLRRRINSIPEPKSQIGMVYEDIETLAQHQKVLQEQ KKQKVVGPTTYEMPQTKQAKGISWDKSTKPRFQQEQSTDLGPGKYSVPDEKKKKNKSPAF LSETVRSYYDKLIYKTNREINAGLRKQINYKDQYSPGPGQYNDGRVSIKVQKKAKEFQFF GSSLERFRQNNEQSVGPGDYRIEDSSFDQLVKKKNYTNATFLSSTGKGDLVNSDEQLPGP GAYYVQNDLYTDLIRKQDRGISGYLGGKEKRFYDKPPLCKAGPGSYEINKGEKKRAVSSC FKSSSKRDAVNKSFGPEIGQYKLDQETIGYRMQKQIKFLKNLQKIDVQKPGFDCAEPRFR ENQEMVYNISFTEANQQPVGSAKQVTAPFKSQQPRLAYIRRQFTPGVGKYQVDSSNWKIN SFNTHYNKLLSDQ >CAK59698 pep:novel supercontig:GCA_000165425.1:CT868004:102409:104044:1 gene:GSPATT00030334001 transcript:CAK59698 MSEKLPQIHKVNKSDIQSPFRYPSDEEVFLFREQDRKKQEAQNNGEMHIWDKKTATNRTQ LKHFKSYETQIDDKFKSKTAYNDKDKKVINEALQIIQERKKQRAKGVSKEPIVTLLEQKK EMFLVSKAHGIIEEEIDKLKLMSKEKKEALEQSLKMLETDNENFQKYLDTNKQQKLDAEQ KADQEVKEKKAKESEIKSINIKITSLRAEKTRNEEAVNNYMDHKKFLDKLAPKEWHDAKE KKRQQLVNRLKEELIKIKGLSDKQFQQMVDNKKLDELEEFEDEYEMYFKQPSQLVEIFND LEERNLFLIQTTQDAEQNLEELKTKFNKIKKSLDDKVFTLQQNKEQLKKNYENVCQQIKT LQLKGTEKNAKKDHKELRKQIIEVCENFPNEIQGVHDLQNKETLDILVQIELHLERQLKQ IRSFRPDRVESFRQECERDWKVKQRDSNNLKLKQEEERRIKANQEKIMQPSKKKTGRQPM FRSKPFDRVIVQQEESVNNEELEDQKYFME >CAK59699 pep:novel supercontig:GCA_000165425.1:CT868004:104296:106348:1 gene:GSPATT00030335001 transcript:CAK59699 MIQKCKEGLRQIDIFGQTINLSFRQEEQYKTSIGGFLSICMIATIISFFYSNIINFFAKL NKFTFANDPDNLKLDKDHFMFAVQIEQDNFTTNPFFNITVEQRHYHRYPNGTQYRYPNIY IDLVPCTIDHFSYLFELYSVNFTDQFEQQNLKNFLCPNLNFIHSQNLTVGGVWASTDYYF LKFSVTNCINSSNNNFTWKPTCKTSDEIASTLNYQGSFRFQVFTTNFLINPNRPKDFVQP FLATDQFYTFVPDKMFVQSDIFFRTKKVTTDQGILMYPDKLYDAFAFRDYGDQREQFEIS RITPNYYGAFYFQRSPYSYQINRKFLRLDELLSYLGGFTQFMMVVVGILVRFYNRQHLIV SIANDLYEYDMSLNRTTTQMNFNTLLEKKERRETQKQKTKSNHQALPKIEVMTTFQNKPD MLQNTTPAKDDSERFEQKHSLGYNQPAQTRLSILKVKAFEYFDDFREFIKKKYVIGLGFR VILSSIIPLESIKNNDCVVLQSAIDQVNKELDIEYIIKQLHELAKLKKVLFTEEQITLFN FSRKPKIALIQEGKKRRSTKYIANESVSAEEQGLLRQFNNLVTSYYKIMGEDYENQTQEQ VRFNQRLIILLGSELMNVLEKEISQQQHLDSENNEVKEDENLISDKEVQNEKKD >CAK59700 pep:novel supercontig:GCA_000165425.1:CT868004:106468:109568:1 gene:GSPATT00030336001 transcript:CAK59700 MLEEILLSGIAVKEIACHSNITLIGNQVEEHYKCTDSSITQLIEDIIYVVKVTNGQTVSI HKYEMKDLFCKQISSTSEYLNFSHLITQNGCVIMKENDIPYLLVVTQTGQIFYKNLISNA SSIIKNLMVNNQRIVCLAKSVTNNNNQLKFYVLTETNQLYSIIIDVRKSEIMKNKQQLSF GTKFLSKFFGTQTNDWKTAYQINDKQIIYYSFQTQFHLLEIKGTDFSARQLQVEDNVKVK QIEVFQHRPNGQTTLCYLHQNQLKVYSLIGNQLRINCVISQLDFLQNITFSISSVSNTII LIQGCEIYQLVIESNSFELVNSNKTPVLGFINQDDQLLLFYRNHISFINQVQDQISKRQY QLRQEIKKFKKDVENQYKLKDEELILQSISIDSFVENKLDQFQTLIKFMHRNLDQRRMIQ LMRQQYNNFCIEDFMNEVMDQLEDIYYYLKPTIQMLDLDFNQTYNLLSQQLMCLDQFLMH VFYDELQNNQEARQEQPVKLSLLRSLVINQLKDYYNMYLEIYIGLAVLANISNFQLDLQN DHKLFYDTMLLLTLLQDKVYVSFQDLVKRKFQQVSNDISLQKLNGIQNVVYKFINIIYKE MYRDFAIPEELLCEAFVDNQKRSLIQQNNAKELIRHYKISEQIGLQQHKITEFINENEDH YQELKLKISFYLQEQQDSYRDDKQEVLLKKLISFAIKQEQYQLLFQILNMNETNQINEQL SLMIESNQLFKCQLNARTTDQIAELLLQYSREKCLNLNKGEKILQYFSFLLRQESKIKAF TLLFEYIVNLEMLLFTLKFKNVDRAVKMQLDYIKILLHQMELQSRQDQLPYSKQLICKLS DQNYEQFKNPSTINDRSEIDSASPTNIRIVNQEQIIKFKKYKEIQHYVCVHYTPYAQLNK VVEQLIFRGNLDQLINVFELSFDPNFERNIVFYYFSNEGQRLELLNKVKPFLSQKEFAII LAQICVVCNLNFEKCKELFKMVQCQEYFLQYLINVNKEAIAYMIV >CAK59701 pep:novel supercontig:GCA_000165425.1:CT868004:109676:112204:1 gene:GSPATT00030337001 transcript:CAK59701 MIDNKNALISCSAFKESGVLKGWCERLLIYDLLKQVKFMIDREVLRYIDVKGQTQKQLLI ENYIIHPVGFHQGKWSIKFKTQQEIEPRVARFGWTNQSEALQWYDYFNGKYLTQKIKKEN MERNLQEWDKFMPESERVVNQIVPTMDIKPSQISRMRQLIPLLPQFLQNDIEIILENTFE NLQGFSDIHSFKVLHQSQNKVLYQDSKDPLHMRFFLKSEMSPTKIFDELSTHNFIDNWSP QVSIYQVYPTKKDCAIIYTEFDLSRNTQEKIDEIEFAGTYNFQQKQKQNIQNEKIRLIYT QKHFQIDESAYCILKKYIGNEIHHNQFDKDRIDGTDEYKITRSCVLIQAQQDGDYKTQII EDMYLQCQNGEKGEEVMKRFLLSFSNIDDQILEADHYIKQKTEHVLIKNEDDIENFQISF IQIEEQIHRITSEEHQQQSIQEYQEILNLIQSGYYIQLSINRQFNQNILPGLDKQLETSK EDGHFLLTKYYELDPKKGGLIYTNKKLVSDQRSVLLDIIKRMGSNLLSGKSLMSVSLPIQ VFESRSFLERMARAQGHAPIFLEKAAQTMDVMEQMKLTVAFQMSSFMMGIQQEKPFNPII GETFEGRIKGCPIYLEQTSHHPPISNYIMYGRGYKLFGAFCPVVNMGTNSLAGEQQGHSQ ILYQNTNLKFYYLAQPFMLYGVLLGQRSVNCHKRSFCFQPEHQLLMEIIFNPKDKSSSFF SSSQQKIDALVGKVCKVTPGCIEKCLKAHKTKFRNQVENSTIRDSRHLQLLVSKVDGHLI YNLIMLNIGILIYTDLMFSSWSRLHCHPIVSIDWICYL >CAK59702 pep:novel supercontig:GCA_000165425.1:CT868004:112332:113654:-1 gene:GSPATT00030338001 transcript:CAK59702 MNKIVIKKKEDMNQKVFQQASDHGPAQNKNELYYIGRVIRLCYIDSQWSQGNNNQKKSEK YKIINFQRDFQIIEQCLGSGAYGSVSLVRDINSRIYYARKTISKSKLKGQESIENLKREI LIQKKLCHPNILKLCYCYEDQMNVFLILEYAEQGSLFQLIKRKQRLQEKEAQQYFSQLLA GLEYMHKMKIVHRDLKPENILITKSGDLKIGDFGWATQMPNYHKAFCGTTEYMSPEMIQS QTTDYKSDLWSLGVLLYEMVQGKTPFQGMTFLEKSQKILSRRQLEYEYDVSDECKSLINS LLQYRITCRPSIDQIKNHQWMLSQGQDRKGSIRSSSMISLKSTHYTSEVLECQSKIFDGH FVQKSSIQEWEAMKFRSLQFQFQRQQDQKQSQGSCCQNEGFLTKALIALGCINR >CAK59703 pep:novel supercontig:GCA_000165425.1:CT868004:114509:115973:1 gene:GSPATT00030339001 transcript:CAK59703 MSQLKKLNQQVKQVKCITLSANQSHHSHQSSVKTLPKPIASRPVTAITRNTNRVEAVKID LVKQKKQITNISVTRSTSSARTRSASNGPKEEKIPRILGDLLGPEVDLADCQDFLLQLNE PQFKMDEDLMKERVILANRIANTIKVKKRIPTTTADYYKLCSVIGKGAFAKVCLGIQILT GVKVAMKIIEKSSLKNESAKKRLMQEITLMKLLQPYKCCIRLYEVFETKRQIYLIMEYVE GGDLIKFTKEKPLSEQMAKNIFGQLVLALQTLQNHNILHRDIKLDNILLQGEQIKLCDFG VSRQIVKGQKILEQCGTPAYLAPEIMTQKTGYEGFASDIWSSGVLLYILLVGKTPFKGNN MNELNQQIQSGLLNFMEIKKANLSNEAVDLMKSILNINPKLRFTLMEIMKHTWMKEIDFK QIKQQPNTNLDLRIICQIEQYGYQREVIIKTLQSKTLSHISALYWALYQ >CAK59704 pep:novel supercontig:GCA_000165425.1:CT868004:116103:117488:-1 gene:GSPATT00030340001 transcript:CAK59704 MIDIEFIDSDDEQQKPAQNQQPINNQVMQQEETPSPNIFAVVIINQYSNKIQHIISRRDV ITVIEHIVINMLKGSMKQIRPTDVKIEPILSTSVQKACCLLEVNENMVQQLLDSQLNLSC NDKDPQKGLIVSYEFKFFRFNEFNQCNLLSNGKFVKEFCVIRPYQETQRLTSIILAINKM DQQIYAVKKVRLTGEFSWQRLFYNQITQIREVKAMLRLQHPNVIRLYSWWVEQEINEKNQ KFFYLYLQQEYDSYLGCNDLLQFSVNYLSIVPVEQKRKTLKSLISQLINGLEYIHGQGFF HRDLKLENVLVTKDNSGEVALRICDFDWSKTHLNDDGQKINWLILSKECGTVEINNVMQR RGIVYDAKAELFQVGIIILDLCDPICGKEERIQNHKNAKNNQFRPHISEQYKPELQLIQA LFNMEFKSVQEFKNSPLYNKYMQG >CAK59705 pep:novel supercontig:GCA_000165425.1:CT868004:117680:118164:1 gene:GSPATT00030341001 transcript:CAK59705 MIREKVWLKMEQLQKRQREDKFQLKRKFQYPIQQVLDEIIQDKSLIIDLLYSNQKLTEKT LNYGIIDCLLSNCNSNKACLQLLALIILRDKNNLIQEYLQDKEITCQTIKQLISLNRH >CAK59706 pep:novel supercontig:GCA_000165425.1:CT868004:118659:120063:-1 gene:GSPATT00030342001 transcript:CAK59706 MTTPYIYWLKESAVIQEYSVVGIIDGSGSMCEAWGDLCKAWNYFVTGFKSIYCIQYDDTA ILQESPQLSIQKGEGTNIELGFRELMKLIKSGNLLQNILIVFVTDGIEENDGIGQYLEEM TKDFEQLHSQGYQFKFHTLAVGDKFSHTVAAELKMIIHNSGILSSQIDLIQNTKIDFTKI LQDIKDELFYQMIRVDPQCQLTTFTGPVHRVTPNQVVYTTSNSIRVGGKQINLDKEGTTP EALDKFIRQIMSKLMEYNSIGKDVKQEAIQALQIIEKLKAKVTFKEDDDEIQKFIDQNIQ KIEEFASGNLNLKEFEPLKAAKFMHDVQDLIIRDKKKMQRIKRKSAVHAQLTMHPQQPKQ QVKDYYKELALKRLEQYEMQLNNQELFEDLKEFAKQNKVKTLDQIVYLTKTHDFQLKNIK EFIQSDEDTAIDMIDLFLISLD >CAK59707 pep:novel supercontig:GCA_000165425.1:CT868004:120645:121899:-1 gene:GSPATT00030343001 transcript:CAK59707 MGNNQIHQLDNIYDIYKNHQNHWYLGVEREVQKLGYHINIRSDRFHKNNFLSLYQTVKPQ YEQNNTVSTNESLFATELENIRRSKNTFHPSQEFQVTTLLQDCDPCFSDYEKQVINLLST LSGSLLDIFQAFRRTKLPKTSHDLDTLLIKFRDSYVQILIHYYNLKQYATINQCQFLNFK SLQCLVTNMIFDDEISTYVYQIKKQEQLQQNQKIHFKLIQSQKKTLSDFGISSKFCLDYT TRDYIQIKRSTKINTNTSISNKITQSAYQTLIIEDVDITQLPSRPQTRLLSGTFFHQQSP FEKAIEALQLIQFRQTPHHKIKQLVICFQCIYSTIMDYYQQFAQQPSNLSTDELISVFNY VLCKSKLQNPYTHFDIMQKYIGNLDGVEGIYLTIMEATFYID >CAK59708 pep:novel supercontig:GCA_000165425.1:CT868004:121922:122603:-1 gene:GSPATT00030344001 transcript:CAK59708 MNTNSNMEVSSGIVPLNVKMLNQITLEGNQVYYDNVNLTIVCVHGRFQKVVKEQNQVRYS ISINDDTGILKVTYFANKQNEDPILKLIDDGKDDPSFYYKFTIQIKVFKDAPYFVLQHYL ASNVSDWMYHTMKVIEVEVQSRPPIDWKSLKDEPLEIQIEGYIRHNKRANIGQLLKKFNC SLQQLKVLIDQLKKRSAIAECLNKETFRIVN >CAK59709 pep:novel supercontig:GCA_000165425.1:CT868004:122840:123334:1 gene:GSPATT00030345001 transcript:CAK59709 MNTRQRLLQNISCIAGNARIKQQQNQSNVRIRSQKSRKENASFIVTKLTQQPKTNINLYQ TQIHSPIKNRSMNQNKENNINNAYVAIKNSDELIINSAESHSLNLSYSPSSKKKPQPSCF VLPSRSIKPKLKLTRKTLEIRNIYLDKPKQNNFINIKCTQKMIR >CAK59710 pep:novel supercontig:GCA_000165425.1:CT868004:123481:124191:1 gene:GSPATT00030346001 transcript:CAK59710 MGFCVQCSNFIPIAQADLHCLNCMENTNKFNSQIDQISSQLQHIKTIAYKGQEKLDESSE KYKFMIRIQELRSQIDSIRCYNSHSIKKLKDIESEVNVLISYPQTSMTIMMLLSRLLCLI KEKLVVQNQKRLVSQNSFPKGADTRIKTESQYQQGSEAEYSQKEFQSESNISKVSEFKRT FYSKFLELQLKLSKNDPAQQILVQDLYRIVVRQNYNQEEALRFVRKCLRVGKINQV >CAK59711 pep:novel supercontig:GCA_000165425.1:CT868004:124661:125228:-1 gene:GSPATT00030347001 transcript:CAK59711 MITAFQDKRRFMPGDFRFHFEKNQKFSEKESKFFSEGIIIALEYLHYQGDIHRDLKSENF MFDSEGYFILKVFTITSIWSPNNSSDTSGTAKQVQIKRSEIPVSWSLEPADLENQLIQRK PSQRFGSNNPEAIKNHPRLRGFEWNKLFHKELQPPYIHLSSSN >CAK59712 pep:novel supercontig:GCA_000165425.1:CT868004:125344:125529:-1 gene:GSPATT00030348001 transcript:CAK59712 MGNYNITDKSDFPDSSSTQNSIKVLSVHNFEFIEVLWYRTYGKVLESEVQKKQRLFALKV M >CAK59713 pep:novel supercontig:GCA_000165425.1:CT868004:125611:126595:-1 gene:GSPATT00030349001 transcript:CAK59713 MQDHLQQSKTLFLMHKIKSYKLKPQLSNNIFEEHNPYYGPQYDINNKIIARSVVGKPDVI EKIKKKIEESKLSNSPKMKNSVMYQKMKQSYSNLSNMKKSQSSNKIPLFHDPIPSNILSR QVSHNKSTMATLVNHNANVNVEQYLQETKYRIQRNEMEELQLEKQLDLFERNANTRQQRV ITSFQEREQSWELVNMTIQSKCQARSRDKLTLLQKSSAYRLKKQLLNELPSPPKNWYQQL RGSSYMVEKEEDQSNNSLRVTLNSKTLLLKQADLESSLKVRGESKLKKEFEKPKCSLITM VGQDKVEESEILPKLKY >CAK59714 pep:novel supercontig:GCA_000165425.1:CT868004:126611:127573:-1 gene:GSPATT00030350001 transcript:CAK59714 MAAYQIMSIQNIKVLFVAQPCKDMQRSKSQNTSIFQESQINGIIQGPRYDKRGDVIKRSI VGSVDQFQREGKVQQDSRVTDDDSSMLRSFVITSFNKKKNLKKKREPVHLSKISKEDIQL HIDKIQKNIKLTEISLRSKEETLPIIERNLLTRQRRILESCEEKKEKWNQLEQELASRCE RSFSNTLLNKSQGYREKKQLLDTLNAVQREPNVKEWYGNLRKYEQTNDPTIEIIKSGNTV KICSDKFKNMINQRLSKKYYDSDYIIVNGNSKLTLESKEARDLMILPQDLVQQPTKDFNY SNYNKRDLSRRSSYRIVQLQ >CAK59715 pep:novel supercontig:GCA_000165425.1:CT868004:127577:128581:-1 gene:GSPATT00030351001 transcript:CAK59715 MESEQMCKNHPKQSLSWICLERNCQQRVMCSTCAVKLHDRNHKVEELYHIYENGCLATYF SNKKNSTAGLLQLVNGEQSNTFENEQYDDYLQEGIERCLRNVSKKIEETKAQLIQQHEQW REGKENERINFIAQLSDVDAQLKASQNLEADIENAFQQIELFAQKRELEMANNKESAILL KKIRLLELMKDNFLKMIKSYFHNQFTAEFCHLFDMQIETLNAREVDDVQGFLEYVDSKWK QDYRNIQLSIEKFVSRLNEGLKYCIGMPLENQKKQLVVRSPMRQFPMNIQSQTYLQFTSP KQLEFTKSQVIYEEPSQRKNIKIEPVSQSLQQYQ >CAK59716 pep:novel supercontig:GCA_000165425.1:CT868004:128727:130484:1 gene:GSPATT00030352001 transcript:CAK59716 MSYRFGEWQKRIIQIKTKAKTNLSSMARSQIIKNEDDSYDAKSNRNNQKHEQPIQSHPDD YANDKKKVGRWTPQEDDKLQKLIEEYGEKSWRLISNVMEGRSAIQCLHRWTKILRPGLKK GPWQDNEDERLLEWVKNNGPNKWSLCAENITGRSGKQCRERWFNNLNPNVKKGGWTSDED HEIFKGYLAYSSSWSKIAKNLSGRTENSVKNRFYSTVRKLLADQEKNGISLQMLEVKQEN GTSALQTFVKAHLQKFEQQLSIQMEEEKPGQDQKLEELAIKSEDDSVSKRKIYTDSYQEQ NLLYRLLQSQGGPIKRTSCMKDYSTIYKKYKKRYNQRKKDKQQSEKVERLRKIIMKKDIQ KSLAEQSELNLKQNLEQYLLNFQECKLEQQNQNQDSDKLNQFQDKLLGFFNSQLDQIMTK VQTEMDNTIQQKVIEDDQQQQITKQLKTQNSKREISKLIEVKEEEVQLNQQVMNTFLNRF IQFESNDDKNDIANFIIQNHKIDSKMMFLISQLHTLENMLGDTKKEFSRLESSLYDKIHG TSFQSSHSSQNI >CAK59717 pep:novel supercontig:GCA_000165425.1:CT868004:130588:131653:-1 gene:GSPATT00030353001 transcript:CAK59717 MFRFLGKLIKQTPKECLLHDHQKFPNILANEYCQSCEQKFCYMCGNEHIRKHHPVRVSLH SHANMNMEHNEYLTEKDKLNLETLRAFNTGSLIQLDINKLQCICGKPADENTAICAACGS ATCSQKCHQELEHQDKCKFHHNFTEKAELVSLRSILLKNAYFLFDRGYAQGTVFGRTSQN FISAMLTNQRSSIFLQRGFRQYGNPEIQTLNAMDIVKGADLDKEVHLMRACQCKCSTCKS LGYHPMHVCQLVCKSRDLFIYRSYDEENPIEKKETCECQCKFCSSGQTVLSHKKIDCCLK CEFKQNVDF >CAK59718 pep:novel supercontig:GCA_000165425.1:CT868004:132341:133227:-1 gene:GSPATT00030354001 transcript:CAK59718 MSFAVQLFFLICTAMFSFAELRDLMTRDKPKTFNKHNPYYSYDSVSPSRRDILIQWIIAQ SSQFQHSIKTLELAVLIIDTYLNYFNISQEYLQLLGISAYSIASKFYETEISCQIKLYDQ EGQPLYKDEEYNEMEEQIVKAMGYQLNLTTSSDYLLAMNVEIDEKVQNLLMFMLIDYEIY QYSHFELALAILHYLNDTRLVVSKKILIVSQLIHKKLIKAQEISCDKYEEDTKSVGRSPS VQKRISKKRDFKRQRLIKQQN >CAK59719 pep:novel supercontig:GCA_000165425.1:CT868004:134306:135438:1 gene:GSPATT00030355001 transcript:CAK59719 MQTTNGISILQIKHTQVSVCNNKKKEELIQFDQFDEDLIPKSKLFSPVNINLNLKCVETF GNNKIYDINETRTNDQQNKSDSLLDTLNSKQKIETSLQQVNVMTERLNNQNLIFYAPQYI PDIQQFLASPIPIGKIVQCTFIKNKKGFCNFYPKFELYFSESLKFLCAAKRQSTKKKSNY IISSKIDIFNSNNKPVSLGNLKHGTQENQFNLYDNGNDPNKTNQLETTRKEYGIYSFKKS SLGLKQINVLIPSVINGQQQNFKPITQSEGIQKAFSQQKNENVLQLISRPPVFCEEKNAY QLKFTQRVRSASNKNFILISSNQQNDEEFLMQFGKCGDKYFNLDICHPLSILQAFALCIT QFEVKLK >CAK59720 pep:novel supercontig:GCA_000165425.1:CT868004:135815:137903:1 gene:GSPATT00030356001 transcript:CAK59720 MIQANLDLESFYQQLPENDVLKTIAYEGDLKITNTSNKQFKYPTVSNLCLHPKTCYELEF ILGEIAEKSFWKCEECRKSFVKEDLCKDFRYKVQNEFNEFVTNIMIIEGKLFNKSSRKAR YLNHFTNPQVKIHMRGLYQRYVATEGSINQIIINELKKSQKIKTGTNQRIQYWAFCLQDK VKITIPVRIIGCNHPECYELTSLLQVQSQKQEQFYVCSYPGCDNPRKKIDISTIENLFSS ITIDEDLLKIIKQSSLSSMKFVFNYQTQKFEEDVYREQGQIIDPVIQKYFLKNSKEIKVA FAEFQKQVLSLVAQVCQNDLFKDQPNLEKLLAQHKFRNLEINMKDKQTDMIIEQPVRCKN CTNFSTCLDLKSYACEFIKRKLIKQTDLFKCPICQTPFQGNMVQSMLQNYIYLDQNLLFR MFKDLSYVNTTKFQYLGRQYMIQEFQERQVLTKTEYINALTPKNPSQKVEFKSIFCSFNK NQKLDIPLVLFNCPISTVIDFDTFYLQLEKCNFDLSSGLILCKCQTCNTTPIKSLSGSIF YHEAFDYALKKYYSSNSKSMSFSYDFQKDTLTNSGALADTAALKNAQVPKKMGFLDMLNE EEYQKIFGEKKLEGKTFQILSITQTDVFDGIAITYNKDGIDSNIVERKEQMNANLKNDQT LKQYNFVIKEMKLELNNNPIVQNLDLKG >CAK59721 pep:novel supercontig:GCA_000165425.1:CT868004:138951:140945:-1 gene:GSPATT00030357001 transcript:CAK59721 MMSDTNIVSQINYSRKEKEIRKSPEYSELLAQLQPGGKVIKYKSDELDICLTSGEKKIRQ IKVPICIEDCNHEIFDLYDIFLNKGEITCKKCHKKGTKKNIKKEEYYTFLIKNMSEKSFS CSIVGQLCFHSFSQEIQPIARELLKKNQYLQLFTAVVQNSRSKADALKENLLNLIEQKSR ELNQNNPIHTNRILEFPFQCLINYQKIIIPVQLSECKHLDCYEYTNILKLIDDEKKLPEQ FQRPFVRCYYQGCETLIPLDLNQLKQKLIFPYDFGRAISKNYPFSLNLIWDFQKSGFEYQ KIKIQEFLKNIDLRSCFSKENGLDYVILSQLKKIINKELSSQLQNKLHFSKYKVNLEYIQ FPSRCNYCELQNVKDIEDFLLSYYIQDKHEKKNYFICPACNYKHDNFKTLEQIIYFDGYL YNALQKANNRQQFEYNKSEDKFTKFFQSHQIIDFKQIKTLYQQTRIYPYAKQFCTLSNKK LKLPLILIYCEAKRAVELKGLKKFWRKIILVLVNFLNAIANFARPNHNYQLQTFTGILSF ILYHLLAQKQVHLNISGINQLIITSKILKLTKFQIFKEYCKGIMIINNAKIKNSNNKDNN NNNNNYNNYNNYNNNNINNNNNNNNYNNNRNNQWINNQKYFNQDSNYNNSNINRKQ >CAK59722 pep:novel supercontig:GCA_000165425.1:CT868004:141103:142537:1 gene:GSPATT00030358001 transcript:CAK59722 MKKIIFALGFILAINIIFCQILNAFPSFSITLVDWKIIGSTINDQYANYFSALAFSVWLL LNYYLEGFRFNSILIIFALFFFYLDKALQLSSILSNISAIFAILYLWSLIKWKYYSLPPP KGNFRTCYKEIHMKDKYQTSVSVYYPCHDQKQKDVDIKWLPNLKYFKQIYERIQLQLKWV PHQLIFDFGLNFLNYITFKASVEQPLLDSKLDVIIFSHGFTQHRNAYTFLCQELASEGYI VFSPQHTEMVYPWDQKQTKILHSGNKAEVMEKYQSLRATHLDWRCDQVKFLIQQLQNGQL QDTFKNLKPLSISLIGHSFGGATVLKIAQEIKLDNVISYDPWLFPFNQEEFKKQLKGRIL ILSKDINRIKQEVFQPKLLEDFLENRKDVQHHRIKGLDHAYPNDLTYLMATELTIFGEIR SIKNIQQVNELLITLTKKYLKNYQFIQKETNQFY >CAK59723 pep:novel supercontig:GCA_000165425.1:CT868004:142585:147900:-1 gene:GSPATT00030359001 transcript:CAK59723 MLSDNEYIYQKPSQPNMKPINNSLRYDNRIQSAEPVTRFTNQQIPISYQSHQELTNYLKD NLQLVNKAVRSFDSSIQQLLTTFGNNVINGFRVDEFIRKFFCVLERRLNKFFDHLDYTLF QHKNQQQFTIPEDYNVSELRNYIKMKANQIPTDLLNHFQQKLNNEEIDKEILRKVDQILR VYELFRSDQGWNFLINITKFNLEQLLETTCNNQLQDIQSIDELMESQFIISIQLIQSAIL KNNTNFLNIFNKEDIISKFNQILNDGDDNQITIDDICYICQIVCQRDRRIIYNDFHQQIT HNLNELAPEYFQMLPNKKSYHQLKKDELQTKLVNVQKQFELIKLDENYYLILENRINEAN LNINQKVPNSQQIFQLQRLSVFFNKVQNLQSDQISLQKDLNNHLDQTKKDVQGKLTDALV KARQFYSKAIPGKNPSNQIKTFVDTLYEELIDLLEKSQQQSNQFNSSFSHTQKSQLGKNS LGSSFQKSSNLERSLQNSTLSRPFSNIQYNGLNNPQAKLDNMLNWQEKTQDQKQKLDRDV YALPKTEYYNDSFQGKIKYPEYQRINQNSQNKSTLSNEKDFDNSKVFNQNQSIKLDVQYQ QNQSIQNNDYRNKNIQNQNSTQKQEFSQDRQKQQLQSQERQKQTEPAKISEIQKQQNYSQ EPPKRPQNTNQQEAQKKPEPPKQTKQPEQPKKTELPTPQQKQPDPPKKPEPPKEPLKQLE PPKKPEQPKQADPPKKPDHPKEQPKQPEPPKKTEPPKEQPKQPEPQKKPESLKEQSKQPE PPKKPETPKQQPKLPDPPKKQEPPKQPEPPKVQPKQPEPPKKPELPKEQPKQPEPPKQPE LPKQQPKQPEPPKQPEPLKEQPKQPGPPKNPEPPKEQPKQPEPPKEQPKQPQPPKQPEPP KEYPKQPEPPKQPEPSKVQPKQPEPPKYPEAPKEQPKQPEPPKQPEPPKDQPKQPEPPKQ PETHKEQPKQAEPPKQPESLMEQPKQPEPPKQPEQLKEQPKQPEPPKQPEPPKEQPKQPE PLKEQPKQPEPPKEQPKQPEPPKEQPKQPEPLKEQPKQPEPPKEQPKQPEPPKEQPKQPE PPKEQPKQPEPPKEQPKQPEPPKEQPKQPEPPKEQPKQPEPPKEQPKQPEPPKEQPKQPE PPKEQPKQPEPPKEQPKQPEPPKEQPKQPEPPKEQPKQPEPPKEQPKQQEPPKQPEQPKE QPKQPESPKQPLPSKEQPKQPEPTKEPLKKPEQEIKKPDHLIDQKSKQQQQDQSKKQMHL DEFDINSDDIDDEDEDGLNFMTSYVPSNNNNKNQVQQKQNEQDKKINQMKQPELSEGEDA EIQINKIITDKTLPLINKFAKSFRYGSEFQVKDFIYEFNSFEYLIQLFQSFILQDELYFF DFDETEIILLIFDKMLADEDPKQELEQFQAITMQNFQNRTQKQTFSENQRLFYLFDKTEM FPFDFFLIEKDPTQNLLRIYFEEEPTEESMSEQFEQFLGMFQQILDCEEYQIDQIVLQPK ALKTLKSLNKKDNQYLKTLLTLFAHLYEQDDQEVINFDEDTIARLVWALHQNNEFFTLFE EQEEDVKQLFLDILNQGQQQNPAVEFGVIEQPFNEEGLENLQQEIQMVYSEFLQNKKITQ NLLSVNAILQEQQIRISIYCHLQKINNKNILKIFTLNHYSDIGAQIIELIRADELFEQHI YSDFARHNIFHECNEITLGAWYFMQTQSKMQPEEAMANLLFSLIPYNLIMTQEE >CAK59724 pep:novel supercontig:GCA_000165425.1:CT868004:148013:149430:-1 gene:GSPATT00030360001 transcript:CAK59724 MIFQFQCIRKHLIFDKQYLLTYDGSSIFIGETKAKPKYQLEVSLRSTITFHIDEDFYGFS IPYQGQQKLFRANANKIRELKKLIDAKIVYLDINQFYLPQQVLGVGAFSQVQMVQNLQTK QLVAAKFLNKIREGKSNTERMNDIINEIQILYRLNHPNIVRIKEIYDKTNQIVIIHEYVD GQTLERFINEHGTQLHQTEIQSIMRQILLAIVYIHEQGLLHRDIKPDNIMIDKNLNIKLI DFGLATKQGSPLCVLKCGTPGYIAPEIINSQKPQYNNKSDIFSLGVVFYKLQSIHFTLEL LNRIYHLQYCYNENILSFEQLVEYNISDSCFDLLTNMLCYDKNKRISAKECLQHNYFKES LRPSAKKTQVLLQQSTQFVQQQSTMFQSQLSEGSRKQSRKVSDKTNNRSLSHKKSIKSDS SI >CAK59725 pep:novel supercontig:GCA_000165425.1:CT868004:150188:150433:1 gene:GSPATT00030361001 transcript:CAK59725 MNNNIQKQRIFHFLFFQILIKQNNCFYKDGYLLQKEQQLLRISYTNELQVINFQQKLIFD QIVLIIFSNYGQRDVNLQFRN >CAK59726 pep:novel supercontig:GCA_000165425.1:CT868004:151500:151975:1 gene:GSPATT00030362001 transcript:CAK59726 MSYQQKGLNAIRLSQINQTILRARKSQEYPPEWSQDHQSRNNSLKQHRAITQHNSILIME EEFQKMRRPQTSQGSRRKKMTEHQVKQGIQNSNTKFEILECENEDDKDEQKLQFNYLITC NPSNTTRSNQNMVQFQTTLDQDFLSLFAID >CAK59727 pep:novel supercontig:GCA_000165425.1:CT868004:152006:152665:1 gene:GSPATT00030363001 transcript:CAK59727 MGNGACHQQVPSIDEVNLVARNFKQQFEPCDGQCMLPLLNSQSQSPSKPCIESKNPTIAR LEEEEFEILMTNEDKPDEDQSPVRESKFTRAQSPCKIIKSKRRYKQEEIQFLQQLKDDKS KIARRKSTEKQLSPVKVIHSCHQKKHNTALLIHSPDQRSNQLIKMNRLSCQLDEAVILSQ EPKSILKSIGSEKSITNSQKSKTNSQKRVRFGLVYRQYK >CAK59728 pep:novel supercontig:GCA_000165425.1:CT868004:153085:154613:1 gene:GSPATT00030364001 transcript:CAK59728 MNQFQDVFNEFSQLHNQKYNLGEILSFLDSKCANGYFDRDVFQQLIDQIPQAQSQQQCSI NQLINVFKKAQDVLNDKISKSQQIIDQKNIEIRAYNDKIRQSQTSSVNSSSKLNVEILDA EIMYQGNGQLQVSLECMSSVVYTQLAKRQQPVWNETFDFNVNEQAVLKFILLDTELQQKR GNGGVAYIDINTFGDQMLHDFTVNLTDESNSIVRAKLHLKIQWIYSKNKYLQDLINENMI QINQLEQEINDHIVDLDIINSPFKQAFKFQNNLTISTYQTQPNQQQQQASPLLISENQID RLVQISLLLIILYLVFGLLNSMYRTLNFDFLVIFYCFLFYQKNYKLQSLLHIKIIMVMLG VALLMDIIWLAIYSTPYLGEFNAHFDHFEYGLQKYQIILSWLLLFVKIVVLMFYVHIYAT YPDKSTQVYDQQWNAIFGWRDGKQINVYRNYN >CAK59729 pep:novel supercontig:GCA_000165425.1:CT868004:154822:155828:1 gene:GSPATT00030365001 transcript:CAK59729 MNNSYAYDPKFSYQKSEFNPYMDQSSSTYGIAQSQIQQGSNIRQHTYSTHARNLSQPLLS NQSSQRIQVIQQSIPQSIRQPNIVRASSPLPQQKVYYTQSVQPLYRLYNEPIQVIAQQPP PQVNIVREIEEVPIIHEVHHVNRPINVISMDEIEGPWRSKQILLEKQLIELQLMLKHGPQ RKVETKQIIVEDEARIRELEQQIEQRKNILNEDEEEIQHLESLVDQAQYNLENAEEQASS QVEEQNRELAKWKKKFQDLNKKYHDIEEDITMTEAQIESIQKRKMITQTSSTTKTTSKVN QMRDSGFRRSSVTKNY >CAK59730 pep:novel supercontig:GCA_000165425.1:CT868004:157158:158005:-1 gene:GSPATT00030366001 transcript:CAK59730 MPYVQYLYYQQKLPQNFEQVRHHEDPISAQGTRRSIAEQQDVVPQIKSEALQGYIMMIVN EDETFLDKITNLKYSNQTTLFNLLDGLVHKQKCQQKSREELVKYCLRKALKFIFKRVQEK YDKTKTNLKSAQRIFMNIVEKETSAIIMLPFRKNSINKTMNSDFLKQIFSSKTFVTYYKE FLNSLEQEIQKDSNKKIAILCNKIMNHIQDGKNFEFDIKRLPWSRSNVEKVKQAAQEMLL YSNFEIQ >CAK59731 pep:novel supercontig:GCA_000165425.1:CT868004:158509:159247:1 gene:GSPATT00030367001 transcript:CAK59731 MDNSQDFKLSRLLDQISIIKGDLEKLNEFNTFVLMCRELTKVFETYHQVQIFMEMGGILK KAFEDITSKCRLLERNWNMHKHGSLIDFILFEKQKDIAKLNGQNTEKFGYKEGDFYFDYE SSARTLLRLMWFFDFICNMTNQLITDQKKSFSSICSEAYNESLAPHHSFTIRTAVKAGLY TVGSRDNFYKVVFKENEDKDEKLALLRDTLMKLKTRLWTLYKIENLDDLP >CAK59732 pep:novel supercontig:GCA_000165425.1:CT868004:160028:160415:-1 gene:GSPATT00030368001 transcript:CAK59732 MQISENHYLLKRQDTQDKQFNSQFSSPETDFRQTQKEIIPFKTQEEFEKETQQFQFFTPK KTRYQDNEIYYTPEIKRKQENQSTQQHRKFKQIGNILFAIQVLIIILLELMN >CAK59733 pep:novel supercontig:GCA_000165425.1:CT868004:160773:161408:1 gene:GSPATT00030369001 transcript:CAK59733 MQSRMAKRLQKDLEQMQKSYVDQFNVRMPNNDIKHWIVAFEGAKGTLYQGEKFELQFKFS NEYPIESPEVIFIGKPPEHEHIYSNGFICLSILFDEWSAALTVSSVCLSIQSMLSSATKK MKPPNDAEFVKRAAGRGPKSFLWSYHDEKC >CAK59734 pep:novel supercontig:GCA_000165425.1:CT868004:161742:162620:-1 gene:GSPATT00030370001 transcript:CAK59734 MNEQKLREMMKIYTVSKQVNKKAEKNFLKFSHQFYKMHKADMAPELLNEINSLRQQIKVE KQNFSKQTSPIISPRHSENPKSILPNQTPISDLVNENKQKLDSIVLEAAHAQIFKLYIEE IKDLKQQLNKANQMMETQKKQYDIRLETINNQLVDQKRINEDLKKDVKVLKQMLMEKLHT RQITEISNKTQNESLEKYQSINTSTSNSCHTRKKSLEDFKSEIEQALNIYRLDKQTTQCQ ESRTQK >CAK59735 pep:novel supercontig:GCA_000165425.1:CT868004:163772:164681:1 gene:GSPATT00030371001 transcript:CAK59735 MLVKRYRVQLNHLLQNDNKVYYQITVTKVNNENQTKTTINRYSELKNFHEQLHKNVTLLK LQMQLPQFPGRCLFNKTNQNEEKIEKRKYDLESYFNELFSIDKIISLTPVQQYLPIESIQ NEQVNISVKIESYVIYDGVVVYVLRFKNNFSNDEWIYKKRYSEIKSIHDALLDQGFKNKL PQFPTRKLFGQTNVNPETIIKRKGDLETYLNALFCTQELQESQIIKFLISDSKKYHEKNL KLEQLKKCSTLKSKGLDSNQRVEEDLQKSN >CAK59736 pep:novel supercontig:GCA_000165425.1:CT868004:164771:165267:1 gene:GSPATT00030372001 transcript:CAK59736 MEQRSFGFINIDNSNKKGIFLNPIYKYNRSAKKLKGLLNDDFSHLQHSNFRQIDQSSRVG FSPHNLQEMVNKAKLRFIETSPNYIIRGQEIQTVPFYVKQRDDQLKKVKSFKSSFRKQTQ KEDDVNQKVCIYLAQAFFVILLVLIATELVLRKQLY >CAK59737 pep:novel supercontig:GCA_000165425.1:CT868004:165774:167385:1 gene:GSPATT00030373001 transcript:CAK59737 MKADSFHSALSDKHAKYNQFICSQLFAGYKVRNKDQLKKQHILSNTSDEQKMVNNLTASQ SYLNAMKALQEKIKQLEFENLSLQTLVSSNDYKSILKSNERKIKESSVHLKTSENIQIKE LESKLMQQEFTSKLRFEDYECKIEQLQHNLLSITQQADQRNKECMEEIQNLQFFCFKLRN DQLSNQEENNINFRNKVNSYNQFLFQEKQNYSNLQFLFEQEKNEKRFLFENNEKLQQEIL NLKEKLFEAEPYIQQYTAYYNDKKLRKLENENNKLKYQIEHLKTENEQLQKSIQNLNSNL DLTKKELEESEYTKVKKSSESNVRIEQLKQQLITLSSKSNLSTLSPRITQKKNIKQVSSK EMSIKAQKIRDLRQIKSRSLNKLNDPQFLTQSQSMQNNNFQNQKQQGYDSQSSGVSRLIV KTLEENYPSQEYKNAVIICDEITQTDQQFCRKQQKYLRAIQRIKFLDEQLAALNLQYQDI EEHEYQKRKEIETTRDNRLNVRCQQRIK >CAK59738 pep:novel supercontig:GCA_000165425.1:CT868004:167411:169165:-1 gene:GSPATT00030374001 transcript:CAK59738 MYLIPRLSKTHKSQEDIAAIQDYMSQLPQFHAPYEILKELCYSMNYKQFKHGEHVSNKSE YAYFILKGQILADNGDSYSVDTWYDLDYSFYVVVDTHCIIVPINTYKNFNHTHGSNFQYR KNILLQNITSIDKINSSKIQEKLIRTFTETTLSAGAIIYKQNEPAQSLYVLSEGVLQISR LYEKKMTHYEKQVLPKKYWQTEVNICQLTNSGVIGEELLKQEFAFYNVKVISKIAVLQMI SVKQLKNFLNINVVIQSLQDLFNDKHAQREEIFKQQCEEMEKNWQTTSKQQIINSEIKKT ISFKVLKSTSEKQQQTNNTTMNVTKINISNTPNCQDEELGDFQRTKSPFKKIPNFIQQYF KQKQLESTKKTNEITLQQFIQRQQHYNNPYQLFEKVHDPLNYIQKGSNYKRQQFSVLIQH GIVKIKNPQIPKQPKRPITSYLGDESSNNNNTNNNNNNNNNNNNDNSQQQPTRLLIKSVH TPKPIVSSLKKFYRSQSAHKNKGFSLLGKSYQQQSSPRDNMFYLRSNSTHNFKLSLNKSS NQSQQLQVCYTDKKIQCEESLL >CAK59739 pep:novel supercontig:GCA_000165425.1:CT868004:169214:174259:-1 gene:GSPATT00030375001 transcript:CAK59739 MFTQKEKSKFEVKEQFINSILNLYHSIKVKKFVLSGFYFLGLLQPMLFQNFHNNQNPQFN EQIQTPINQFWYLEVIARPDLIISTNTPEFEYLIPLTLTIMFNVIPLILLVEQKRSDDSK RKRSKLLPYINLSSMVFQLQPIYLQIPFCSLCFKSLAFNFDQSLNIESNYINIIFAIITL ILLCSLNFLTFLLCRESIDFDLNSFLTLKLSFIDFVIWITTLFQIIVYYHIPKFNESIQA ILLLLISLMILINMFSLITCLNNIIFISITFASYQFIQSILILTNLQVNISLYINVLLYI LVPLLNYLLVKVHHSYDFKISTNATQFQNCSLQIQFSSFPQKIIIYAKIIQLYQQKRNLE PIAQLPLQSVNQEFMPKELMNFYYKTLIKKYLKELQRTNSKSRNANHYIHYAAILFKIGL NNLSLKQINVLLFNTNFNKHSRSFAKDNQSDQMKSLNTLHPGNKSSFSRSSKSQEVQEAG NHQINKTNKMLATTGNHTLTFYQNVRVIILREKVRDRLKQSFQYREINSNSFGLQNAIEM FLKSEKRNQSLKDQVLNLVNSKLQFFIQLQQVKHIKLSQLFKVSKELCLKVEILESKLLK LYKYFPSQRIQSLYTYCQGEIFDNYLDAHKITCNTSISDDKLNNLHNNTDAEYTLFNKGL IYLNIRLFEENQELLIQNITPRVCQFFQKTYDDFKLNSSIDYLIPSAIKNEHSLLVQRFL QTSVSRFYLKKNMNFYRVQNEIIKPCEFFFEVNFSDMTQISFLAFFQDNFQTSAFLMVDV NYQLGGITQNLLDKLGYTNYYLENIQSQYLNQVSIEFLIPNFKQLVNQKEQLHVTEFKFL NQSILVNPLIAEEQRNNAKSNFNEWNNPDNLIHCEILISINSRELFGFSYYIIEIKEIKT DFVYKQQILDQNCNSFDQAFSYVSDQEAIINSPVALKIDQTKFEAFNRDVNQFIVQGDND KWQQFYQPDMAHYEINLMSPMDISMNPIALNSANPLLKVRQQEFFSQVGEQQVGANSFSS KNNFGQAFDMQQEEQIHKPQLRVKGEDTNSSSYAGAKKSRFFKKYQMYQQVIAPHNPKQL KLLIILLICQFIIGSIHFIIIVTKNQNDLNQFISEIDMIELHSSFMAPHDIFVSMRIAII SYNSYVNEGAINQSQANTLTKPFYDNIQIGYQEIKEIFIEQLTNQNLQPFFENKSIDMVF MDADTSDIYTKALNIREAFQQIIQNLYQYKYRYENRMSTSGSAIQVFGVANQFYLHDWLE ILTLEILEYSKYRSEQITKDWSIIWIIFIIITILLTLSEIYYYRQYNQRYDKYLGMFKFC NQNKLQYEIDRYKIIQRSLQKNPDQIFLYNFDLNQKELQLITQAKIQDALSRKNKDQQRS IQFQYESISIILGTSLIIGIWMIFFGLSIIIFQGQMNYLNKYSGTVDIYKLIQDMTYSSA TLYQNRDYHFIFGNFTYLTSKDEEIIFNLIYSGIDNIEKFNILCTTFDQNEYQVNDDFVS FFNIIQKESVCDILTPEQLSFLEDFCTISIQGNFLKGVIAALNFMKNQIRTQIIVNNFTK RLEHPFYDNEAGIIMVRVFQLMTQKLKSSMLGVTTDLQNISSVLSTIYLIFAVLSILVLI FGFKRYLEIEYNIVKRFIQLLPTSITLLEDQFERYMRVLLVEESE >CAK59740 pep:novel supercontig:GCA_000165425.1:CT868004:176143:177910:1 gene:GSPATT00030376001 transcript:CAK59740 MNFFQGNQLSPFINQKVVKKPTVLMQTNQLKKSHIDAKPIRFLQWDDDAKQIKLCLDLKK YNSLFRTGPASFIVYIATRSEINQISKLNLDKSLPLIDTTLQKGIYIWNHVIYNEHKNIQ LYQLLCILSEQFNEKQLGRQGLNMIENSSVFINADTVLMTVASILASEIVFLINNNDDEI LENFQQIINNDQQPYYKLQVLNFVESQGTSIDQFKWYQVYDNIKITLIEENHYVIASNTS LNDNPADTINNWALELEMSLEKKTYQCYEIDFLTFFSIAEIITKSSISELEKNNVKENIF FKAIQKQIKYEKDYIESIYCKEVREFCSISEEVTVDSLTYKLNQMREQAMSLYFIQLGQF YDNPEFEKCLQVIQQRIDQLELECIRYNFELMDIELSKQFDKIEKKYKDNFPDSYAQFLN EILKFREFRGLKYQNFKSHLQSNYKSKLYSYVTEFDKEQKLLYDKRMQTQNEKQYQFLKK RDKEVMRGLEILQNYTDLMRDEIGTLKGLLDFNNQINVVVKHKQEIRKLDDEIRQLQLQA DKIQIKKSNREF >CAK59741 pep:novel supercontig:GCA_000165425.1:CT868004:178140:179956:1 gene:GSPATT00030377001 transcript:CAK59741 MDKLNLHLNHFFLDKNNKSNTFWDKDFRKLIQNESNFTLNNDNCICLYKKGKYFNKFIPR YYTIQGTYLFYGDKDLKGFRKLENVYMSTSTEKTSYPYQIELMHNHNQITLYSNSEQDFT YIKLKLEQFCILIGFHNQYTIINQIGFGSTAQVFISKSLNDGSHYAIKRIRKNSKLNPQF LMEEILVMNDLCHPNIIKLHRVFETNRHINMVLQLVEGGELLKNQRYSIRDARIIFKQLA ECVDYMHQKGVMHRDLKPQNILCKTNSLDVVLADFGLATYIKAKSYIYYRCGTTGYVAPE ILQYKEGTKMYTEKCDIFSLGVILYQLVYNQHPFKDVQKEKMLKNNLLVEYKFDDSIKIP QSCKDLISLMLKQNPKQRPSASEILKHEFFDEQIFEHQNQTITLLEPQSDQKRSGPSLSS YNVDMKFSTLNNGFKLFEDEIDNDEEQQNGDFVETSPLWSKRIELQRGQSYRSQASSIII QRQQSQDFKIKKLSIFRSELKQQSLQVLQPQMDKSIYESQEFHQIPYIPQNNMLLVHKKQ YY >CAK59742 pep:novel supercontig:GCA_000165425.1:CT868004:182624:183171:-1 gene:GSPATT00030378001 transcript:CAK59742 MQFYHPDQGYITINGVDITQYDIRTGVFKGTITENIQLNLPSEQIENASKLAKAYDFIIS NQFEKTQITQKGTDKQRGQGFQRQVGPKGTQISGGQKQRIILARAILRNHNILLLDEAKS TLDTTSEHLVQDSINKLMQSKTTIAIAHRISTIQVFCFESKYRIQMLFMF >CAK59743 pep:novel supercontig:GCA_000165425.1:CT868004:183332:184813:-1 gene:GSPATT00030379001 transcript:CAK59743 MGAPYTVGNVMIIFFSVVTGGFQIEQAGPCVQHFAKGRQAAVKIFAILNRIPKILNPQNS KKHGDFNGTILLKNVHFSYPNRPDQQVLKSQTQLFHQEMKLKEALQIEIFIINIFNSILT VALQFQIGESGCSKSTVMQLIERFYDCHQGEDGIKVKGLNVLELTSRIGLVGQEPELFAT SIKENLLQGKIDATEEEMIDALKKANAWDFGSKMKQGLNTYFGIGGSQLNRGQIYNCQSY FKKPQILLLDKATSALDRTNERIIQQTVNQISKGITTIVIAHIISTIQNTDLIYVIDNGQ VVESGTIEELINQIGKFEELAKNLIQTQMKEELEGNAQEYLKDPFRSKMNLAGQQIKQQQ QRRNEKFKKLEVPTLIKKVSGKVAQGKGIETQQDQDSHMSLKENKLKQANECIFPIFSLL LGDMITVLVESNPSFADYQSHMTYDNPRKDLCDMLKKDLQDQVIVRMNFKEKKD >CAK59744 pep:novel supercontig:GCA_000165425.1:CT868004:184847:185435:-1 gene:GSPATT00030380001 transcript:CAK59744 MQNRESTEYQILKNQVPTNNQDQKVPYFQLLRFASKKDKLLMIIGGLIVFLNVAAFPSLF YHFRRYNRLIFRGRSKHLSYCQVFQVFLLQQQSLPLLFKLQRMQPKKSIRKHEHQLNKQI NAIKTIIKMLDGEDFEIEKQQKKPSTSNCNNYYILIWCQYSLRFSMGIIHEGLLTLILPN QYLNKQ >CAK59745 pep:novel supercontig:GCA_000165425.1:CT868004:185702:187686:1 gene:GSPATT00030381001 transcript:CAK59745 MQQRPIINRDLQEKKDKAAKSLHDYKKSVELNYQEYVSQTSEQQLNDLESISRPSVKSMP VKTRDLLNKYKAQLEIIPKFQLVSKPNPQLLKKYLEPEEHLQSVSSQQSKPPKNPFQDSQ RTKQDEQHMSFSRQKEVELLDNQIQENIIQTDQEGQKNPFESSSVMHYTQTQMLDPYAKT VSERNQASHYTSQESSNIQNLSQTQEKSLDSEQFYETIEEQGQFQQSGRVSTGTQLQKLD QKSSRIQNSIKEEIQDESSSMTHSSHQEEKNHVNQSPIYESFDQDSQLVEKAQRWNQYQS IPQNTAEFNPNVQSTTAEVKYEQNNSQQIKQDISNNNISYGQSFDQAQQQSSYLNQQINS KLIDKDSTIDGIIINNRIISKKLSQELVIDMQKFEENSHLLNSINRTQLLFITEKYFDLM ILQNNKNLLQDFRLLKKLQYHIFKKDRVILKKCMKQFQVNRDRQLEKYEKDRKSRQFLFS KQKLLQEYVFQAIRQNKIAQQQFLQNVIRLMNNHKQKVLLIRWKTQVYKQKMKRQMDLQY KQFLFNGWLNVVKREKKAKDIKSLTKYYFSTIQKYYQTWKCFTYQQQRLKSKFLNAQRNI NLMKKKFIMSQWINFIQEVKREKEFEAYVKQANEYVPVKVSLKPQNIKIYNIK >CAK59746 pep:novel supercontig:GCA_000165425.1:CT868004:187769:188455:1 gene:GSPATT00030382001 transcript:CAK59746 MLYNFDFPQQQSPVLQINQSQQNNKTQKNVSTQKSLSQISNFEFQQTSDMKNKLLPLLNN KLKQRNSIKHGAQSPRQTQLKSENSLDDQSKITICRQLPSIYFKQNSRIMKPTHSQDKLS LSPQKQDLYQQSKRILTDGADIQQSDRLSLRKLNELLNSHSLKMPQPTTAQHFPEVQELK DIPKQIEQIFKKNNINPIKMKASLQFYSPRDQKNKIQSIDSLIKLLKK >CAK59747 pep:novel supercontig:GCA_000165425.1:CT868004:188951:190197:-1 gene:GSPATT00030383001 transcript:CAK59747 MINNTTLSLQKKRELFALNVKRIDRETLFQNNRKKMIQSNNNEVEVDKTLHLLNGAITIY NKKDIKNYFKQINDFNHFNQEQEVRLVQLIETLLKLKVEDDNSALHNECLNVLVKLSLFQ NSSQELFNMLTILCKLMDKYNNHINQALSYQILDKILAFIGNISCNNGFISAFSANLIDI LQYQIIYGQKRLLFQAIWALNNILYSIHNESALLNQILVKIGQSEIPQYLIQYVKKNENK LDKNQLIQIYWLYAELSQAIPFDFAQLQVKETDSQELQLIILFIYSNLTGQQIIPANCNV NQLFNMFPASNALIQRQLLVIIANLVLLTEIELEALKNLINYAFPICPIDTMFVLHNCQM KYNFQLSPNEKENILRIIDELQQDSLIQDLVKQLKLNN >CAK59748 pep:novel supercontig:GCA_000165425.1:CT868004:190672:191865:1 gene:GSPATT00030384001 transcript:CAK59748 MLQRHTETIEYMRECFRQKIWRDQREAIFKNKRRPQEWNKQGIARNDSLNNQFNYLYLGE TQNTNEIQVNTSKKKEQEITIQQQIHQILNVEFINNFKLINLEKNLLISLKQFPAQCNDE QLTLWFQLIESLIMQSDFQSIIVNISILEQIIIQAIQYKKFDSPFRTLNCLLKLVQLNDI SILKYFECEEMYNYLIYALQNQQLNAIVICEKILEISQYGTLFLLKQGLLDYIYNFLLEN FEYKNFFITFVSKINCLNVDEAVTMLIRSKIFNEIINLHGTEIDAQGYRCIGVLISNLIQ KVSNKDQLKYLIYNSNLMCMLQQILSLYHHEDLILMGIQILQMIFNQQSYESVQQIKKVD NKCYLKDIPSVLIQLYFLKKCCVEDSMSDKINQLIEY >CAK59749 pep:novel supercontig:GCA_000165425.1:CT868004:191919:192440:1 gene:GSPATT00030385001 transcript:CAK59749 MCLWGDNFELNLRRVSFSINLNNVWSVAIEIVFLFCNRSYPTESTEFLECKANNRMYAQV LATTRESKESALLSKLVTLREQQMEIISTIGSPRATVKTSRGVSDHVGAISPRSFGRRLD EKLPMKELGEIANYFNTLRAEQAKTLSRNYVNEMVRLQQCIEKKLKR >CAK59750 pep:novel supercontig:GCA_000165425.1:CT868004:192465:195728:1 gene:GSPATT00030386001 transcript:CAK59750 MNQKPLKSIHFRVETHIDLSSKDKISSVESNSKRYGSPDNLPSLQNICRTIQGEDGTVFA MRSLMSRANNVSSNRTTRTKWALELNERKDLKQLEQWTDLMVQQLQQQQYGNIRDFYEKM ELVYTGSITQLGQQLAVKCIDYQTMLNKIWSSYTHAVKEIIERQSETNRKLEKDCLSETV KLHQMYQKTLNDKGTKLQEAERYLKQNSDQNDKMIKEGRYLRKKCQKLEQELLNLRREGD FLKLQNTDLTREIDAMKVFINHQVDPSIYIHQNLVNAQQIEEKFADELQHAKKEMFDDFK HQFEQRTMIYEEMYQKKLDELERREIQNQNQEDEQILQDYQQTIFKDECVGSSLTYKTQE TDTLDLLQFQDATVQTIQFKKKVFDWSTQTPTVVTFNQSVEANFSMIKRECIPRNKEEQT YLEMSRYPIQVFIDDYHEINITYNKSTFNQDLNNLVEQLGQRATQLFNILTMKEEFHLDD FQCVSQYVINSYNAFINLVRNLEYLIVESKSNLVENKICLYETQIDSKQSYRKKIHAEKK LDLLIAKHQLTLKQVTFLQKQIIRVHKYLPHFQIETIKRQAIKRKIFLEFPKIQSPGPNL LLPPEKSNTIFFSSQPQLLIPPQINQSTSSQVQRSALDIAQSESTEPQQLRRDQSVDLYR EPRETPPISPAKKHHQIQIFFQDAIAPPDDSSSESDQDVDIEAQLSPIKNLLSIEKKLFV SKCPSKNTQTATQLLLQEIQQFRRDRIDNIVTRQTLQRYLSSFVCWCVKYGKYNYPLHIQ LYEFYQIENFQQPPQVWLQKYQRIIKSIIYYKKKTTYAKLFHQMLIGDQSFNIYLSILNG IQNKEFTENGIIVQQLQLSDAIGQYVKNTIPKYIQLVDYDQEISPYMQGMLDFKTNEITQ KYQLILTLFDQDRFTALQFRLLLLELDSQRTEQQIINLFISECDLEQNSIQYMSHKRFSQ MCEEHNLLANLSEYLNRNNAQYFSDLQLWKVREIELKLMLIRSQKYDTTEREVFYRMNEL NSNEQRILLGRYLERRAKELLLEQYAYECLPQFMQILLNSQ >CAK59751 pep:novel supercontig:GCA_000165425.1:CT868004:195790:196947:1 gene:GSPATT00030387001 transcript:CAK59751 MASKLNTIEATNLDHQIQHLYQCKPLPEQDVKLICDKAKEILIEESNVQPVRAPVIICGD IHGQFHDLMELFKIGGKAPDTNYLFMGDYVDRGHHSVECVTLLVLLKIRHRDRITILRGN HESRQITQVYGFYDECSRKYGNSNVWKCFTELFDYLPLTAVVESQFFCLHGGISPSIDTL DHIRQLDRVQEVPHEGPMCDLLWSDPDDRSGLGYFSKRIFQNNSITITNQKMIARAHQLV MDGYSLAHERNVVTIFSAPNYCYRCGNQAGIMEVDENLRQTFIQFDPAPRSENESVPKRV PDYFL >CAK59752 pep:novel supercontig:GCA_000165425.1:CT868004:197013:198069:1 gene:GSPATT00030388001 transcript:CAK59752 MNLDDIYNWILQHPQNYPGKQQESQPRTVQSYKPLEPKAQFHIIIKATAEYIKEKMLSGN GVNMKELGAFTMEVISDYVKPLQHSGFNMTQDLAIQRADRKHVHQIRPCFVPDNAFKYFL ARYPGKEEITKPLSQHSIYQKGFGMNFCNAGPIAASSYLGKDVVQSVHTSLIKAIHDLTR LGHDLHIDFGFHQDISIKQRLKNINTINHSFRDSTKQTMNQKCVNLIQEHHNIGQPPIKK SGPRAPQIIFQENYEKSMALKIMSLDLNTAEQTNYSKKQKVQLPTLNK >CAK59753 pep:novel supercontig:GCA_000165425.1:CT868004:198695:199397:-1 gene:GSPATT00030389001 transcript:CAK59753 MNHSLYAVHPTYAPINLRLAEEYFNTLPSGDISRQFFDGLQYRAVKGLFASYALNRFSQY GKLDSLYRFYPYQRIVKETKEELCEDWTSNIQLAAIVTGASWTFLYQYAKRGQVLSILRE YGSVLKTHRLFRQYLYTLVLPFAIANEYTFVHYHDHIEQLWQVHANRLNKKDLSDPEGTQ YPEELRAPRRDVFINKWH >CAK59754 pep:novel supercontig:GCA_000165425.1:CT868004:199413:200294:-1 gene:GSPATT00030390001 transcript:CAK59754 MLGIFYSSQQTYQQSRILNEIGERGGNGGKGGNGGNGGKGGNGGKGGQNGYEHNNGSNHN DDNDKNSHHQQQNQPSTQQQPQQPYQPQHPYQPQHPQQPQQPQQPQQPQQPQQPQQPQQP QQPQQPQQPQQPQQPSQPQQPSQPQQPQQSQQPQKPEVPQEPQFVDSSEEWNENNNQESS TQNSNNPQQNDNNTKDPQYVPNENDTNSENASLNNVMIEKFIEEDIRNKIETSEVEKQIE IRNSGADLILSFALMIIWI >CAK59755 pep:novel supercontig:GCA_000165425.1:CT868004:200530:201240:-1 gene:GSPATT00030391001 transcript:CAK59755 MKTILYTILLFKLVHSACHLRSVQTCLQDEQCQLVKQECQSRPSKCQDIDATNPNNCQGI QCYYDFELKICQVKSDLNLQPYEDEWDMQSRRLLDKDDGRNQNTEDSEDDHQNEQQLNQD QNQQQNQQQNQQQNQQQNGQYPNQPQDIPTYNITTNGSQPIPPETVTNSTINSNNTAPAA PPTNTSSTEILAFQMIQFDFHQNEILNSNLDQKDLVINTSSSIRLLATVLLAKLFI >CAK59756 pep:novel supercontig:GCA_000165425.1:CT868004:201716:202900:1 gene:GSPATT00030392001 transcript:CAK59756 MKAATWQKDSHGLFDYETQLLTIEKYIILKERKVYRLGQEISTHSPETQQQECQQYLTAV QEKNDEFYINPSGCDENENENFLIVRSLKNSQGGQKGYKMEPGDIIKLGRIEYMIIETRN QDNLVNKAKGEMLKDLVEITASYVTNNQAICRFCLMETQSKEDPFISPCNCKGSCEFVHF SCMRLWIESRCQIKQLNSAQSYRWKQLQCELCESLLPLKIYMEDRELSLDLVQRPSLPYL IMQSRHKNEKKHGKAVYVIQFQNCEPIKIGRGHQCDIQISDISVSRLHAYIKYQDGDFII LDNNSKFGTLVRLFQPYKIEQEKVAVQIGRTVLTFVAKQQSIEDSN >CAK59757 pep:novel supercontig:GCA_000165425.1:CT868004:203053:203427:1 gene:GSPATT00030393001 transcript:CAK59757 MINDEKQVVDIYVPRKCQYTNMILNSSDYSSVQINVGQVDENGVYNKKNNTVILAGYLRQ KGQSAAALEAILRQRGVLPFAQ >CAK59758 pep:novel supercontig:GCA_000165425.1:CT868004:203428:205376:-1 gene:GSPATT00030394001 transcript:CAK59758 MNRQFQLNCPFRQTQTGQYDFLTKSESNFCKGKVKLIQQSPSFADYVNALKEGGQKKNHR HNTETIQKCRILPKIDVVMPDLQTMKSHFVLSLNKTTTHSYHSQSYIQHSTSHTPRSRIG IPVSITRRSLPPQKQTKLSTITPKSSLYIKSNFEEDDGIFLTKIGGEEVIQEIARLFHQH SQIHPIIQKIDDPGMYESKFGTFLEYIMGKPVFFNIEALKQKHIPLKIDHALYNQFKSYL ISSFIQSNKGPPELIFEFSALIEQYKYCIITSEQTFAQIYNQRTENKKDETPQSIVHLAD LSYQKILEDQTLCEYFVGIQMDEQAKKLGIILHQMMGWNCGVDYVLNYLRKSHQRMNLTN VHFTLFKQHLVEAMKELGLKERQIELITKRMDGYRSCIVNQDCLLDFYFQSPTLFKVQVK KYEVFLQRDPRFRNFPNVPTLLRHAHFLLKFITHQHQPLLTKIDLTTLHKNCVIQSEWMD GFRDNFFLLIKNYNLDRLILQDYADLWFQLRFIVMNQQSIESFIGAQGLDQVQFKVQLNL QDNEIYSDHFRNAEYQLKSHVKKIIGFIFRNSTIYKSNDLRVIHNPLKISEQTFNLFVQL IKQVMQEEKLAPNLILFADQICQYYRSSICNL >CAK59759 pep:novel supercontig:GCA_000165425.1:CT868004:205405:207002:-1 gene:GSPATT00030395001 transcript:CAK59759 MDPQIRIKKAHFINSSIKTNIKKDYKFLREIGAGGFGVVFETEQKSTGLKRAIKAIAKDR VVDKENFKNELSILRKIDHPNILKMYEVYETEKTLYLVTEMCEGGELFYYITKTQHLTEL QAAKIMRQIFTAIAYLHEHKIVHRDLKPENFLLKNKEDDSSIKLIDFGLAKTFRDDEVMT QPNGSLFYMAPEIIKGQYGYEVDYWSLGVILYVMMSGQPPFPGRNPQETLKNIQKGIFTF SKQGFKGASEEVRDLIQKLLVMDPKRRFSAKQAYNHPWIQLQVSNEVMNLKLHDEAIKGI DRMISAQQMKKTMLLYLATFIPESEITSLRQLFVSLDKDGNGMISLEEMIEGLSEFKKLK HKNMDKNYLIQLFKAMDIDQSGQVDYSEFIAAFLACPQFQNERFIEESFKRIDQDNSGRI SKNELMDIFHTDTISIKDIDIEELIKQADLNKDGEIDYQEFMILLRDRFADQLKQ >CAK59760 pep:novel supercontig:GCA_000165425.1:CT868004:207145:211963:-1 gene:GSPATT00030396001 transcript:CAK59760 MRDISIHQFKTYYQNLKFDSLIIEILSMIAQLLESICIQVGYFEIQIKLPLLPFFFTVGR LFSLLFVIYPHSQVDDLQRTTNPSKDNEFYSLLQLQIELLNEGLGNNKIQHYFSLIINCA ITILVGISIILNYDQKERADEKVKQQNINTFFMKVICLVNSFIKVTIIQPLLIIGLYNIK NSLQNQLYLWTVNDILFLCYIHFTQILYFLLTEGALIIEMRSYKRLQLSLVDYIEQLLIM SQPYIFTFCSPSITNYAQSIIYLLITLCEIHSIFISKVYSQQAQIYLLIMIHSCGIFISL CIFTNIDNPLIYIFLLTPFLIYIGFFEYNSTQFEIENAEPSHLSQIDLNNLICKKLSNQK FSFHQTCVLNSIKLGRHLIKCSKVECFCHNQQHYSTESEQLGIIPDIIEEEIKFNLIKSL KKSIQQQSQSKDNIYIFCHVLFAQQFHGEVYEIYSKIENKGIVENLSRVQRIKWKVFAMI IKQNLYNSFTAKVENYSKDQSNLQVKINQFVQSESFNQSIKDGLINIIQRKLEFSKILLE QQRQSDFLTQYFDLIKSIDQQEKSLRMIYERFPSQKNQSQLMFFYGEIKYDWQKAFDQLQ INALDNQLLDQSNDININRLTNKMSYVIFSFEERKLKIRSFSKKAPQIFGYKNKAFDNIV NSDLLIPRIIRDNHNGYIEQFLCDGKGSFFRKKAEIICQLKSGLLQNLEFFYDLYFDSNQ CFRFIAFFNSIEQTDPTILIDQNNRIQGVNKELFKQINFNPKTIETLQIEKLFYNISATN FFPNNVLSSKSNTATFQFSFPKESFFLQLVQQKVSLQKIDANLNTYDVNCDILFRSNYKI VKFKKMQEIGQFRQLVSLDAQSINDKLFIINDEESILYPYDGNMVSPKESCINNIIFDTQ RKTDMKIFSDTEDRNQMIKYSKHEIMHEYSDSCFTEQEDKTVKVLGNVYENDIRNQENMI AGGSQASSMAGLRKSVFYRKYSLINILNQNTGKSPMLLKLVGLLFIILISQFIFLLINII YSKQDFNSLNYYYESIQINHYFIEPMQKFFLTRYMLQDYQITSYYGEMNSTELYFYTQFI MPLLIDSFEEFKQNFQDHFQDESFSTFIKDQYVVVKQQTYHYSPIKLKEYNVTLFNAFSI LLDAFYKQQQIYVNSSPTRGTNPHNTYQYINYILFVTIFDQISDQMYEESIVQIDLIVTR WLILVIPISIIISAIILLLAYYYNLFNHLLEKFFALNIFVEQSAIESDNTRQLFILQTLK QGSDLIHRYKFNLIGKEEMLRKTHAKEKNKIQNEMRRTKSSVKITKIPLIILIVFFQLQY SLIAGPLTYVGAKYMRKFPDTIHFFKSFSDIGVYVPAAFSQKEMLYYFSYFSYFTKDDRA FFIQQIEKAVLKIDTFLSLKIDGEDLQFSPQFLDNYEFQEKNNLCLLLNSSKYFDFDYFC YNSQNGILKLGLITTLNSFSNILKDQLEIDFPDSREIPPKEELEAVYFCSDIVSTITKQM ASDIQDQSIELEKTYNVINGIALAFTLLLILVAYFGIYQSFKFRLCKTKAISLVFPLQTI FLNDHYERELRRLVTAEQLI >CAK59761 pep:novel supercontig:GCA_000165425.1:CT868004:213178:213682:1 gene:GSPATT00030397001 transcript:CAK59761 MLPKSQSLYFHPPLQVLSTRNIQTEPEISKQQHTTEPHKKYSVITDEKRNFLIKQVLEEG VSVKQAAQTSEIKLSTAKAILKTYKTQGRVGKKKERQKRPKLNRKTVEKQLDQATLIKKQ LPKLGQNLIYYPYSYQPPPLFYKIEYSNEVKTEI >CAK59762 pep:novel supercontig:GCA_000165425.1:CT868004:214538:215742:1 gene:GSPATT00030398001 transcript:CAK59762 MLRIVHKLRSGFAKMTVREAINSAMAQEIERDPNVFLIGEEVGQYQGAYKVSKGLYDRFG KNRIWDTPITEAGFTGLSVGASMYGLKPIVEFMTFNFAMQAIDHVINSAAKLHYMSAGGL RTSIVFRGINGAAAAVAAQHSQCFAAWYSQVPGLIVLSPFDCDDARGLLKSAVRDPNPVV FLENEIMYNEAFEVPDNVMDKDYLIPIGKAKIMREGKDVTIVAFSKMVKFSMLAAAELER EGISCEVINLRTLKPLDRNTIIESIKKTHRVVTVEEGWGQCGIGAEICSVINETNAFFHL DAPVVRVTGADIPTPYAFNLEELSFPKTHNIVEAVKLALK >CAK59763 pep:novel supercontig:GCA_000165425.1:CT868004:216839:222007:1 gene:GSPATT00030399001 transcript:CAK59763 MNFIPSSQLSLERFSSQQLYQKQVKDMKRKNLAGTLWEIVNKMSRKKEKKDKWNENYVSE FSQNINRGALSCFTELCTELACNNKITEVNLSRCNINIYYAIELANLVQKNTNIQVLQLA GCNLNSFSLSCIFKSMIDHTGLTHLNLSNNKGFTVTSVEDFCKYVLKGKNPLLEINLSFC NLDNASLSLILPNLKHLRSLKRFIISLVNFNSSESILALSSAIINYTGNKSLDYLDVSWN WITNQGLELLSVALFMSVRQINIRSLNLEYNQLTQECIESLETILLKLNIEEINLSKNKI SEFQNQQVLNKKMSRVDLSYNRFEEIPTNFFLNVLSLNLSNNSIKTQGAYQISQVVSSKK VMWMELNLNNNEICTQGFVSLIYALIENQRLISLSVANNNISGEGILVYIFNHEQLNLQY LDLSYNYLRYDLVYALISMMKECKLRTLVLSKLRQDENDICSGREELIEIKCTNLRQLDF SENSCMMSSILNSLSKQFNRIEYLNLNGCEINQTPLVDALTSFLSNTQTLQTLLLARNNL GKMDEKNLKTLNDGFFKNNSITYLDISSNKLKIKILSLIPGLSNCRYLKYLNISNNLIYE TKNLINDFPKLLSNINLQFLDISRNQIGGQTLQLARKKLLKQYRQFPQIKMQKQQFTADD LHVITQIISESHSIKRLELQDNDSLDYMNNVMKYGNDVKVESLSINKILFRYDSFRNLIK TIEENYKHIRFLEISNTLLFQEQLIELFSSIKLIKNLVVIILDYQSFKEKDEKVLDTLYS CLNCFRNVKHFSVRKSTLSYSFYVFVSELLRISSKLMELDLSGNVININDFQVLCDGLFS NSSVTTLNLKNCKLTDESILQLMPCLHQHSSIKNIDISQNELSLSTLNQIEKVLGGHRSS IQTLKLQNLQTFWDVDTIKHQHQVNNLLRNLKHMDLSMNSKQNENIIQLLDHFIQMKTIE NIQILTLNYCGIMDDQCETLAKLIEDNKIIKEVSLIENRFTWKGFKLIFDPILNNKSSLI KLNISKNFIDEQYFKDLTPAKIIPLTNFRLLIIDGNKFKEHAQGITLLLQKNPRLYIYNN WMNVTEAFAQQIINQYVLFASEINLTKEVPQYLTQLIIRNTKLSDQFFIWFGCQYFKFPY LEVIDFRGSTKHMTSLSKMHMYIDIINENFVNYNIIEVCFDQNQAELPNQFDDGLFKYWL LNLKVFLQNKNHISLQKMGYIVNETEQVRWGKIGKWLVHLINKTLNLIQGLSYDFRFSSS LHSYTRKKLTKIKVYMAIGSALEFIKIMLGIGALSMRTPIKASFFNCENTTNQCFQNDLH QGFFGEVCVFFILILSQTFASLLLAINIRLKATPDYCIQTTEMVRFQRFKFAHKNEVWLC ILQFIISFNYFLECRIIGSNLEVISYITDNKQDNLIGLKNLIIIVLSFMATFLLLKTIFQ MYITVKFLFLFLQTPNADQANILQQCMLKVQADSMLNIEQVLSNFCPQSGLYIKERLWNY KQIFELIHGFLEFAILVDVLILAKYRKNFFIEQQVSNMFTSTDIVTIIYEIVTIIKILIH FYQALLSRPPQLKVSDLNEVLLLRRYEKLGNSLQIIQQIPKKERKQSSLQSITKKSGQDF KFGSIMKTKSDRSIILQKNNIQQFDEEIDYIQENIQLPMGTIKYKSMKSIQLNT >CAK59764 pep:novel supercontig:GCA_000165425.1:CT868004:222383:222715:1 gene:GSPATT00030400001 transcript:CAK59764 MLFFDTINISYFLQLSRGYSTDLVLDLKRIFCDNLVDINPYIINCQNQTGQQQFNLQDLY TLLPYINDKVSFILIKFLEHTFTVQFCEDLHFRTQKKSNFSISYTLLIKK >CAK59765 pep:novel supercontig:GCA_000165425.1:CT868004:223369:226217:1 gene:GSPATT00030401001 transcript:CAK59765 MDNNNENDENQELVNEEEDDDFPEYANEANKELNEKIKLKRRIIRDINSKIEEKSDKLKV LSEHLKNIQQELLHTQALIDTKNKEIETEDHLKQITERQIGRLQNEMTKQQKIMIEQQER LNDVQNSIYRGNERLDKYKIEMNWNQEELEQWVLAARQKEEDSLTMEKYKRGDEAKIKEL NLQIEKLTVEVSRRQNELDREITETQTAQIELDKTAEEFRRQHDERHKLFQQWQEVTDNI ARRDQAIRDESERYADIKAQIKANQQDLENRKSQLMNEKQENKSVEVQNQQLERTIIQQR QSNKDIELAIDNLKADVEIQKNQLSAFATDLSNKRNRLAQMSQELLIKKQRLNNAQKKYA SEKQKLKLEDIQHQEFEKQRSMASDRYKNLEKQRIDLDKEIKLQKEVLFKATQDLFKLRE NEANLYGEIQANVSACRNYQAHINKLIQEFQRQQELLYNAEYQIQLMERRVARAKGERTL EEKKDLENEIKEIEEKVNLQKKEYKTLLQSLKRLDDDLRGTDRQLTGTKGSQTKLKQAID ELTLENDMTYQDLSKIVKSKEEVLVQHDFMKLEIQKIQKVVTTAVDKVFNLENRKYQLEM AMQEREQEIQVHKDVLVAEHKAAEEERHKIAVELAERQNRVKNLRIKYESLVQKNKSSNG EQDTQNIEEHSQAYYIIKASQEREELQRKGDELNAEIIKRDQELKALDNTLSHLKNRNSK YRDSFLNKGISQKEVSHRDGLEEQCRAASENLFKKKKELQRLQQEIDEDMRRLTEIQNRI EILDNQEREMEMQFQKLEKELQDQQEKINRAENIMSSKLAKVQQKRIVLDESNAHISALE LDIQNNLNKTLKTCILQHFYSINLFSNLVQEFPEMQAIIDAVFQEKNVTVPSKQPSSVGV PSVRSSVRSASSQGRR >CAK59766 pep:novel supercontig:GCA_000165425.1:CT868004:226281:226789:1 gene:GSPATT00030402001 transcript:CAK59766 MQSQIDALKQHLEYEKQIHDKQLKQVKLDGQKEIAVLQDELDQLKVMIENVDFQQYIKMK SDNKNLIQENAILREMLRASQITGSTKEIELKRLKQKMHRYESHSLQLKKDFQVVQWKQQ QSQFLEARNLSHHRNQTQPSLLDSTAETSTKLLPIKFKINQ >CAK59767 pep:novel supercontig:GCA_000165425.1:CT868004:226801:228142:-1 gene:GSPATT00030403001 transcript:CAK59767 MKKKAPTRKRMNYEDALKVAAKEEVAFFGQCSKFKDRPSDPAPIDRAIHFLFLKENNVDR KTALQFGMRRLCKIDSTRKFDGPADYRIMKTNKLLSGAINGWYNGAAEAKFDLGSGFTID IANKQDNSFHLKNNNPVDLRVQTSERDKLTMQRFEFSKLNSERLTQELSKLKEETQQKSN QPKLYVEVAGQKLFHLLDQDNSKPSLLQEFKAFPSIEDIVRLHQVKSLDKIESMSNLQES STSRLRQRAMTNLHHQKQTIFQKKRLSAQPDEDPQKIYKKLLKQLRSDDQFTVSSQQPKR MLYGQSNHANTSRRNSQQSFFFNLTNKQTVPSNTSISNSQQNLESNCIKKQFTQRSSQLE QGTCFEQKVETFIKQKLMIQNSKSLSPEIDCTNLQQKQDLFKQLKLKEIQRIQKGRINSQ R >CAK59768 pep:novel supercontig:GCA_000165425.1:CT868004:229682:230149:1 gene:GSPATT00030404001 transcript:CAK59768 MKSECLHNSNRDSMIKSLLHKKRRQTFTSCITNSETKEEFLYRQTIYEKLYKSYQYNESI PKDFKKQNPSSDGNGIKCQILQKRESYANYFIFKDDQLEQQQRSIETIAEFLRNQKKLTM DKQVPIECKQKQYNLLMILVIVLPILCILTVLAEL >CAK59769 pep:novel supercontig:GCA_000165425.1:CT868004:230632:232480:-1 gene:GSPATT00030405001 transcript:CAK59769 MLIKKLSNIIAKYRFSKETLDFAKSTPPKQQLASQPVNCMVLHPVFYPNKGPELELYMAE EAIGLSKSLNWTLEQGPLWKQEYTQDIRRSQGKIDEDEENQGDQSKEQNKVQDFQMNEDD LGHEWRNKIIRNSIAKSSLVKIPRIHSTTFFTKGKLAMLGQHIQSKKINAVFINHELTPL QTRNLEKLWTQYSRGEITSAIKEDINENNESTENIPDEIDSDSEFEGLSVKVFDRFTMIL QIFAKRSTQGVSRLQIELSFLRFAKTKLVRSGSAFVSLSSIFKGDLMMAKEVYVEVVSAK QRRALGKMSGSGESELQLQRRLIDDRIAKVKKLIEEECQQRSKIKRKKLIHTVPRIALIG YTNAGKSQLLNCILQKDVVQSKDLLFQTLDTTSKSIRLASGQKAIMLDTIGFITDLPHDL VESFKCTLEEVEDADIVLHIRDISHPCTEQQKQVVLDVLKELGFNQDFYNKKMIEVWNKI DLMSYPIDYEFIESQDYPIVPVSALMNINIKKLLQVMEEKSNQILNKKLYRIRYNIEQHF ERLRWLYDNGNISGVKNEMQIPPIKKGDPTIMEYDVILDEVTYNRYIATFQPEMRVKKNK GMPPSNWK >CAK59770 pep:novel supercontig:GCA_000165425.1:CT868004:232491:233201:1 gene:GSPATT00030406001 transcript:CAK59770 MNKLKFPTDNENNEFFDEDAISKSTIQAVNNSFDKEQLDEQIERPFTPVDAQIEMIPKEQ CPHCKRQFFEGRLTLHLRSCTAQHPFVKKSKKQKTQELHTQPTLLPCPYCHKKYRNLKAH TCQARTKALDKYKVVAQINEEEEADETLIQELSSSQPSFLPKIKQRVESILSRSVPIAKC PQCQKTFEQKAYEKHITLCIRMNSGNKFQRSIFCTNCGSKFANNHKYCGSCGKKRL >CAK59771 pep:novel supercontig:GCA_000165425.1:CT868004:233202:234177:-1 gene:GSPATT00030407001 transcript:CAK59771 MGNQQQILKLTKTKQFKPNSVIVITGASSGIGRELALQYATRGVKLMLAARSEEELKEVC ALCEQLGSRAHYKITDVSKEEDCKQLIEETVRIFNRIDILVLNAGVNAHSFFEEFKDLSI FKKIMDINFYGYVYCTKYALPHIRRSSGQFVVMSSISGEIGLPYRVPYCSSKFAVTGFFE ALRTELEDFNVAITIVCPPSVRTPMRDHDLLKKHSPKDESEDRITISECVSMILDAADRR ARKIFFPFKAYLSVYVRPIFPDFVDKRLKQKAKL >CAK59772 pep:novel supercontig:GCA_000165425.1:CT868004:235716:238403:1 gene:GSPATT00030408001 transcript:CAK59772 MSSYRKNCSKSEISVNDTANNVPVLQQINSLNSQPIMQVRRPSDLNSSFTPIDSQHSIRT SITIEQKSPLCTSGAQDGRATPLNLINEESSVNEHSDNKAFESLIYKKVPPQINEFIFKE PVHWSQIFTHQGTCRDDDSCNKYGQYLGDNSFPLENGKPELSTNIKPIVIIIQVLLYIYY MYQFPLLLYGVAYSDYNLTYQLQTQLPLLFLNFLLFSLNRQKTAKFIDYLPLGAFIPFIC TLIDFKQQVIFMQIIHIVTFTRFYQIFKQLFQLSICFKQYSIYFFTLRISQIHLFQIICG FLIVITQVNQNPESLNDDTNHQSLNEFYQNQCSLFNILIIANNKSILIYPIKIMVLIFLV YQFTIISNQINHLYQNQSTLISGLVELSKIIQQLPFDLRYESLLISNKQIQSRLKTRNLI DYDRLPNSLSTKLLHEQYSKIVRKIPLIDKCLSKASIASILKNATKERILEPNEVLIEAQ QENKFLYFILSGKVRCYQQRQNKQFPIAINENGIYNQNGFFLEQQSEIGVQCETCCKILE INPDEFWKEVRRSITELEKIKMCLDRVQFNQEYKLIGVSCQICRGNHKIDSCKKVHYVPP RQMLMDYIYFDEINKRQTFQRRNHTRKFSCMKNTSMIEQVVCNVRHLMLLSSETFKRPKT ITSLGMQLDQQHSDHSEEEDHHKMSQYVQNLSPVQYAPQVGSSASSYMLKELKQAQQLKS PKDSFMVHQKRYQEKRQSHVTKVERDLSPSIIKYATIQDKRSQKSKSIISEDHGNGILQS SDHRQFSKYGIQSSKFTNETAKQFQNNPFDMPLYDLNQSIDNNHSYSDYYPRNNIDQALF SYKQYQDSIISNKSKQNS >CAK59773 pep:novel supercontig:GCA_000165425.1:CT868004:238520:240036:1 gene:GSPATT00030409001 transcript:CAK59773 MYHYDGNGQSPNYCQQEKLVAKVVRKNAQQCPAIKNHTSVHYKNQIIIFGGYDSKKNHHD IHIYRDGQWTKCKANGKIPESRNGHSATVVDNKMFVIGGWLGSGTYASGEVYVLDLDTLT WTLVNTIGEVIAIIKQIPGPCNMHSADLIGQLIYIFRGGDGKDYLNDLHSFDANTNIWKF IQTPDKDKPPPRANHSSTVWENKLFIFGGWDGKKRLNDLYSYDTSSNKWSELNAAYSPSA RAGMCMTTINNNIYLFGGSGPQTTCFGDLQCYDPIKNQWTIVELQDEEHFDKARAGHSMT AMGNLIYIFGGSCGTQYFRDFFIIDTITPPNIHILDFNNIQVNNYFKQFYNSPKYSDLIF FVEGQQFYAHKLLLSRYQNFSKLFESEQQNEEQKIYIKDTSAAVFEQLLLYIYTGEQPSD QFSTCLQQVKSLLSTADYYMLEDLKSLCEKILCNFIDQNSVSQLKSFAELSNATQLYKYC DWYQTHHL >CAK59774 pep:novel supercontig:GCA_000165425.1:CT868004:241091:241440:1 gene:GSPATT00030410001 transcript:CAK59774 MINWAANDVCQNDHKLQWVKKHISKCTQCGPVDTMSRYGCVQCNIYYCVKCRQPPVMGEF CGGGHELKYVPNLKYHSCDVCRASIAGGAYRCQECDYDVCEKCWIVKED >CAK59775 pep:novel supercontig:GCA_000165425.1:CT868004:241459:241807:-1 gene:GSPATT00030411001 transcript:CAK59775 MLKERMKRLIWLNNQWTTPKIQKRIFQQGEINQFGQPQMRIHKEVLTFEKEEIEIVNNRE KLICYRKQEDFNNIVNRLKEDRYKYKVNSNENLLDKSLLQLGVK >CAK59776 pep:novel supercontig:GCA_000165425.1:CT868004:242611:244971:-1 gene:GSPATT00030412001 transcript:CAK59776 MEEQLINVIFDPNFQSFDQELANLSMDKMMSIQFSEELLQKNFAVLISILKQLHKGMVLL NSRVQDNSDWVQKLKEVSETPETKQQSKDTIDQITSKLQELQEATQKDQAAKEEIQKDYA TKEELDQTAQRFNRIVKQQEQSLLDLESEQTAQAKKIEDLNNTQTQQSQLINQLNQEIEN IKRQMNGFQDELHEVEERKPEQIIVEKEIIKETPVQPMALPIQYNGNDEQINKLNQIVQE LKQKQEQQSQELVDLKEALSKIKIPEENPPVDLSDINKKLDGHEEEIQSLFEIVDSVRQK LKDQNNNQQSDVSSEELNQIKSDIKKLQSQIEALQTQLNLATFGQGQDMQENQVALILVE INKLRDQLQNYCTTTDFQNENTKKEMKMKSVQDHADEELEKARREFKSKNDKKAEQTDIA KLEKDISQLREQLGKSQQRQSSMQIETPLRHSNNDKELLHQIKELQQSIKEIENDLLIHK TQMNQNNQQTHVKLAELEKKIQGIQTDKIYIELQNQREQMDLIKRDQDQNKIKINNFGKK IESIVTKDELFQTFDPKIKQVRDDIQRIGDETKLSIDRKADAQEVKDLNQAFLDQLQKVV TELIKTLANKQETKKALIYLEQKINQIFMILEGDGSKDQDSLFVKKPLSYSCASCDKELD KYKGQLGDFKNWAVFPPKETSPERMGKFGIGYKNMQEKLKSNREKLEKDRSQNEKESSQH QQSMTLSQSQTQLPKIKQ >CAK59777 pep:novel supercontig:GCA_000165425.1:CT868004:245227:246956:-1 gene:GSPATT00030413001 transcript:CAK59777 MQQFDIDNHHQNYQLELQYARARQRQRRRRIILIVFAFMSVFYVFIQPNQYADKSNQIPY SQNNGTDGAINITETNQTLAQIQQHFQILEKLLISQFQGKWTDSQNLSNNLAEATFFKTS YQNRFQIQLQLKLIEGQFLEDYYNQFSFYLNSSQLVYPFEDKKLVFENVRGSYRRSSVFE KDQQIIEIYANLTMNLDYFDSESETIDDITLDLNAHYKLYSDTFSFSSSLGVINIDNKAI IYSTIVSVLSVIQFISCLRLMRDLINQNRIATQFSLFIFVFLMIFDGYLGIVHFFLAIKS EYFLTPAFLELVLFFFLELKLAKHIWQERFINLEDNLNLRRELYRFHLKFYMLAIILVLL LYYFVYYNVFLIAMNLYLLPQIIHVAYQGQYVEFDKIFVFGLLSTRLFIPIYFRGCPYNI LHAQVSYLSVTIILLLFVAQVVLYYYQCKIGPRFFIPKCLRPKTHEYFVEQPIEGDCAIC ITQLTDIPSESQSENKFIAQIISQNSHKLMETPCGHHFHSICLTKWMNVKLNCPTCRSVL PPLI >CAK59778 pep:novel supercontig:GCA_000165425.1:CT868004:247025:247229:-1 gene:GSPATT00030414001 transcript:CAK59778 MKVEVYQSNLNIIQDSYLCSTKMLFHALIAILVHNQKEQSSKLSSKLEEPKQMLIQRRF >CAK59779 pep:novel supercontig:GCA_000165425.1:CT868004:248349:248852:-1 gene:GSPATT00030415001 transcript:CAK59779 MNSKSHQKTTIAEDQMENHQQSFKSMERISICQPFSSKEFFNRQKLYDDLYNTNQIKCES LNSIRLEKGMKQSKYKDIVESAKLKHAQSPNNISNSIMKLQQNSITDIVEQLRNQKKANN VKLQSSVNNLKKQQQLNLNLILIIAIPLLCCLVVIFEMARIKEQNTN >CAK59780 pep:novel supercontig:GCA_000165425.1:CT868004:249683:253460:1 gene:GSPATT00030416001 transcript:CAK59780 MKSANKTASMIVTMFTQQNQELQLAQARKEFDQLTREIAERRKKLLSELNKGKAQEKEKV DANKAEKFKNMDEHRIPLEELEQRFQTSSNDGLTKDVANQRLQEVGKNELTQKEKVPWYM KLIHELTSMFSMLLWVGSILCFIAYGLAPEDPSNLYLGIVIVVVNTLTGVITFFQNAKSE AIMDSFKNFIPPETLVIRDGQQQKLPASNLVPGDVVIVENGKRIPADIRILESNEMKVDN SSLTGESLLLMRSLECTNPANPLETKNLAFFGTLCKEGNGKGLVIFTGDNTVIGQIAGLV DSSGGDDTTLRKELNNFIKNISVISTIFGVIFFVLGFAIGYPPITNLVFGIGIIVANVPE GLLATVTVALTLTAKKLAYKKVLVKNLEGVETLGSTSCVCSDKTGTLTQNKMTVENLWYN NKKHKGANLEKMGQKHQYEYDVNELGFKILQETAVLCSEAVFDNSLPQDQKIRIQNAIGL NQQQKDQKLKEANEKWELNFNKMLCQEKPTIGDASETALIKFFQPINDIQKMRQSRTPAK DSENKLAKMPFNSNNKYAFIIVEYETEDSHYCLLSKGAPERIWKLCNQVYKDGQIESKDE EWEKSFEQINEQFGRQGERVLGFAKLHLPKEQFPFGYQFNMDKMNFPFNNQVFVGLISLI DPPKDNVPYAVIKCKTAGIQVIMVTGDQPVTATAIARQCNIITEKTVDEIMIEKGISFEE AFHQSNALVIHGDKLTKMAIDDEGLPEDEKGRQLQEWLSKPQLVFARTSPAQKLIIVDGC QKRGHIVAVTGDGVNDSPAIKKADIGIAMGITGSDVAKDAADMILLNDDFSNIVIGIEEG RKIFDNLKKSMAYCLTSNISELVPFIGFVIFRLPLPLTTVLILCIDLGTDVFPCTTFVFE DAEIDIMTRKPRSKSDHLVGGKMFIYAYLQNGFLSTYCGFFQWFASFYDFGFYPSNLLFI GIRKTILPKINDVYDPQDPWFGNTNLKEIFQDGTCTEFPESEVKEVDWIFANHSKLDLRM AYLECKDGKIQQLFEWAQCTVNLVSPYTNRPYCYTTEASNYAQTTFLYGIVVGQIANYQG LRSLINAGSFQGFSNYYMFFAFWVEFMLTVCLSYVQVFNAVFGTRDVLFIHYGTGALPFA LVMLIWAEGRKYLIRRFKSKTSFPSWWERCVQF >CAK59781 pep:novel supercontig:GCA_000165425.1:CT868004:253482:254512:-1 gene:GSPATT00030417001 transcript:CAK59781 MKYLLLSTLVMLSYQWWDVGHMMTAQIAKNHLRENRPDVLAWADSLIQDLNPLTDGKSNT FAEAAVWLDDIKETGTNFMNDWHYTNRPMNPDGLQIKIEDQARNINSIYAINSATSVLTS TKTAKFRHTVFKAEMIRVLLHVIGDLHQPLHDTAFWNVTYPNGDQGGNLMKLQIENGTFV NLHSFWDAGAFAFVSNSTFLSRPLSQKDQEYLDNWAKNLIRSYPYSNYKDYDMTNPSVWT YVGYRQALQFVYPMIQSSNNFNNNYVEQAKQFCESNIAVGGYRLANKLIEIFDIILLNES MVKFDQ >CAK59782 pep:novel supercontig:GCA_000165425.1:CT868004:254612:255473:-1 gene:GSPATT00030418001 transcript:CAK59782 MQQPFTINPKCFGQFVRPKHNNEQQEQKQKNEQQQCLSKNINDNPQMWVKNRSITICSNT STLPETLSTSQQIQELNQSIQEVKQEEIFYKTMPTLFQQFQQKNNRKYEPQVQITQFQID LAKICDDDRTTLMIRNIPNKYTQPMLLENMDINHKDTYDFFYLPIDFTNKCNVGYAFINF LHTKFIPKFFLEFQGKKWKLFNSEKICEITYARIQGVEQLQGHFQYSTIMQEKDNRLKPI FKKNRSDQQFIKWR >CAK59783 pep:novel supercontig:GCA_000165425.1:CT868004:256338:256739:-1 gene:GSPATT00030419001 transcript:CAK59783 MADLDCNNINFIDDQKSTENQVKISGILKKSIQKERGCLCQKLRQKKKYKIQSPEESSNE CDGWEQEDIYDRKSAKNSKQKEIVDLVQYASKLEFMINVARQQLRELEETMQPNHAQN >CAK59784 pep:novel supercontig:GCA_000165425.1:CT868004:257013:258214:-1 gene:GSPATT00030420001 transcript:CAK59784 MDNSKENLILQQQSSIPSFLTKTYDILEVQCCSNIQNSNYSDIIQWNEEGQAFIIKKPYE FAKKILPKYFKHNNYTSFIRQLNIYDFHKIKNELGKHVFRHNFFQKEKKHLLCEIKRKSI EQQEQLEENNQNQTNNSQLSMSKLKNDYQQFHNEILRVKAQQQVFQQTIAKFMTQNDYLI NQNSLLWQEIYKIRDIDDKKIENFSYLLTTLIVTINQYSQSSLLPSQQSSYYQTSSSDIS ISQQNYQSNYLDQSISLSQQSNQRLMQQTGLRQPQLLFSNKQDISIFDQGFTKKNQNSVK FDAYSNGLAFYQKLMDLQQQQKLQEMQEGQNKFLMKQRK >CAK59785 pep:novel supercontig:GCA_000165425.1:CT868004:258965:259980:1 gene:GSPATT00030421001 transcript:CAK59785 MSSNSQKLQKQNSQSDNEIDAHQNKAIKQDCVQIQAKSKNIQKKNPEQIRAKQVQIDQIS QFKFDSSLYYKMNLREQTIYDFDFLKIDKDLLSNQTQILIEDKKSKKKFLIKPIQTESEI IQKLFQLNSKFILTPQNIFSHHVQTQKIDNLAKEIKIIHLKFQLLLFQYCSKSILHFSHE LSNAKLKIILGDLLQALMSAKENQLVLDEITLDSILYCEENNNFKLNDYANATIGDNQSN VTDLGMCFAQLIQQKNSRRRYQNLQINLNEWKLNNKDDPFTSITLQMIMNEKSLDEINYQ LQLIDC >CAK59786 pep:novel supercontig:GCA_000165425.1:CT868004:260198:265635:-1 gene:GSPATT00030422001 transcript:CAK59786 MKFIIVDQLSCLAHIKHTTQPTHFWLKLSIFVMYSQYIALLLPMHGWNLWDYSDGHFKLF QMIAQSQLIIPIFADSQIDFRMTAFIITILLLIVIILIIFTLLLFGIYDNRSIIEHQSIR KSIFYFLQITGTVFQLPILFLLVSQILNGPETLNFQNTLLGIQSIINFLTLILFTIIIFF SQYFLRAYTFIPSNYIQSKFNGFQPLHYVLQVIMAVFYFVDNQDTVQYAQIAIIHLSLIF KIIESLIFKPYLPFMNETHFKLSLVAEFINIIISFSVFTDGKIIAQEDILYLLLFTALFS YYLGEVFHERKSIQAYDLDINCFSCISLAQELFLQCVAMNKLIYQQQFFSLYFYYKAHKV NCAKNWNKKHRKNDNHIQLTNQTIYCMLQTELEKAKSDTKTMYEELELFFINYVAEYINR PLLAYVELKKYETLTNQQSYFFMVVKYQMSQFLQQLVRKQQNLIKLNKKQLSIQSIHETL KFQESFIPKVKEIILEKISFWTNQIKGFNSIYDIERMAISQSAKIQILHEELQRFCKFDF HNLDKLSQIYNVQTIKFISMFFSAIMNDYYSTQICEQVIAEIFNIEHTQQDDLITNILLV EERAALVQISMVRNRGKIVNSDKRLLAKYFDFEEQEFQQIDNISALMPSFFAEKHDRLLQ DYLQSAKSIFFDDYKLVFGISREGFLVPQQLKIDNDFSLLDDYILMGCMSKVKHSSDFVL FGEDGYLIGCTQNFYETFFQFQQSITKFTSDMIYQISICMLFPNIFDLLIDLRNKLKNDL QYGSPDNYCKIWKFFNQKDILEDGYKLQQSLKQFSTQNYEKSQSIQKSRTSQTSLDLNKT RLVQLQLMENYEMQNSMKSIQYLHQLPLFNVVVQTQMVDNIKRFEEKQKQSFISKVQLQF RIMGKKDQRKAYFILEILDIRKTDDNKTNESIANNQYSNNAFSTNYNQQTNMENSQSYIQ PSENGLEAPSVAVEEIRPDVSKINEVSLLIKKYGSRLPELSNYEGQLIAIESARDSMSYA PISQRIQFVSPSSKQQQLQGLIEKDFTELQNQLEYGNGDPIINDIDVPESSQVKGISSNN KKENSHNLLQQLQENQLQQKKNDFEVSAKKSRSSNTSGTSGISAIQVIKKFQSKRDLTQS LQALLAINILIVLIIAIYIIIHLINVSSYHDELLQTLINIQAPLLFNRYFFKTFCYIWSL VFNSLDIINSSEYIVIQTLEEIQVLADTMLGSLRSMYDTFIGIEYEGMLSKINLDFLYQD NEVVTYTYFINFISNIADLLFQAQYHSFDDLEDLIDQNYLDNLLTLRYNLKNVNYMNVEL LDSLNILFFDLQQSKLTEYQLQISIEIIVLSLVMFGQIYYWNQLEKYCQAIILLAGRLQE NQAQEQIIRFSYVNETLQTFIGKQSWKQQNYYKLLYSSLNQLGLESVYTQSRILKEYQLQ LSNKNPQKSDINNDEGQKKIRKNQNVVLNSRITNSGISIIKEVFIITITFLIILGFIIGG YFLYNSQASDLYPTQQLTLSFIRFTSQQDITISCALVIKSQQILYNKLIEKKYYTDAQIQ SFQGAAEIMTIFLEYYDSYFENLTNIYEFILESNRINGDDEAVLFNLYSEDFCILLADLI PFCEQDASSFDAKYGTPNPDDDNREFLSSGIQGVVSRIDTLFKQQFIYEIENKDYRDELD IVLEQLNTKEFNNAIFEHFLDTTDGTNLFIDNIMIILKNVMAEDLDVIYSYYLAAGISLI VIYIMVFGIWMYSTNQRLILLRLVLTNLPIETLTEPHTLTLLKKLK >CAK59787 pep:novel supercontig:GCA_000165425.1:CT868004:265707:274759:-1 gene:GSPATT00030423001 transcript:CAK59787 MNISFVAQQSCKKGVEHIKIAALKIAFRILKQNNPHQMDLNRSPTLIQPISIYSGKQSSQ EAQIQQREDFEQESKKSKQLTFLQTKVRSSTTPQQDILRQQENDEILDNDVSVNQSEQKE VTKLKKKSFIQNRDPQQELQSHRQSEHPFLKFGDAFVIKTNVTMSGKQSDVLFHELILIG NLKYSGSINCVNMSNQVINNPYSHQQKCFFTIRNPRTIKNDQSHICYGQRIVLVNIKSKL SVMINLDMPSQERGSFSVNLSSQIGHQNILRIMPSSSAKKVGDKIQYNDQLFFQQDSNRQ YYLKLEKDESRYSSNKFIDINASDCPDSFQLHKVKHYQNNIVQIIHQQSQIAVGTSEKQL QSFIANKTALITSGTNDQQQSQQESFALRTLDLNKYLVQDYEALSSNLLNSYTYFEIQPI YPFTEQLEQPNACKLKSLFTQQYLTVDPNDEKRLKLTSGYVQDDYSVFYINPEQTGQQES NEQIVSIQSALGTYLCLTQNAKFQFQQVQTDQSPQLFSVKSIDVQSSNILEDFNEIQMRI LNFHVYLQQWSIVSDKRKQNDDIVLFDYLKSLSQKSQLEQEVYHLQLQLDNLNSLLTIKP NEHEINNNNQINYAQKQKVMREQKILELLFSLLKLIDNIVFTSQKVFREKDRSRLYKTDR PLPSQQSASYVAFKVLASMPIQIYRIILLSIKNNQINRRIALDNDEFLCQQIRSYGPQVA AILREAVRDQTNIDLADWVKLLEPLNEIGNNIYDMTIYLKIISIAMVDSNNQGIIRYQKK VCKELFSQGKSGKTKFLCLFNITQSKPTFTLFREEALADFLIKNPSLSQLHLIRPSENNE IELFSLEELGEKILYKKSLLQFLSYLTACVNLLAHLCKGRNKKCMKKVKQLGISSNHIQQ VIKSTLIPLSMKNSYLKLYEVLYIDIDPFLPIDSQTCFIWGQLQMEKDKKIAQIFNHQVE PTEVERVIKEFEDKMLPLLFELLTIGQSFQEGLIQVKKKKMYVRLPSMSSNQVSTEKVEQ KSKVSQKIKFLTTLYHLIYKIIKFGYLTEEQICNIVKPMLQIFVPFLSLKEKLALEVKES WLNELISSALSLQQQGLVDLIDELFFNAGLLFKQYFIIQLNVQIQEFIKHFQRSHQTYCL QSLDKLIFEIKDIISRMQLGENKNLILGSLLGFYFSNFLFQSKGFKICYEALNQGVNQQW IQKLKQVEIILEGEETELYQQLTGNSSESIFSPTKLELLIQQLEDQQKKNQEGVVQQKED KNQEIENLLNKLINRIKEFKMDQFQLKKTQNILRNLGVHKQILRLLKVFKEFNPTQQICI NLLCLFLINNKLNCEEIQDDIFIIIKLGANNIKVSKLVGVFCQSMVEKQSLIQQLVKCIF STMYEYKSSCQQFYYAMLSILQNKQISPERLTIIKREILSNLFKVPNFINELQYYKQFNE IKNQNDEQSFYGLDYQIFSASNSLTAQVIKDYPLGVQQIQGILFTEQINQMIHNPGTSYL MKSELIKLVYATQIQTNHTFSMQDMRELLLLILNDLLAFPKYLDGLVKTFESNSPEDKEN MRQDIFQIEYMKDKLFQDTEEKKKNLLNSTLGLTNQNTAAQLQQQQINEQKRIVNDYTEY WKYVLAISNWQNIQFGVLTLLQQLFNEIQIRKWNISENQHNQQAFQSEQMNQVVEDSLYE PLALIKLILESMRQTLVILEIQLLTPKFRVYLAQIQFFLSKCLTQCPLDSNLKHFKTIKY AQEHKTQRAIKMQIKEYKQRMNQKFSQEDLKKHLYQFYEKLRRYMMDNHLTISEMMDKIR GEFSFHQDLQIQEGEYSVDNGDKLVSRDKFCSLFNYILDEHFGVLIKLKQLKVLPDLDQY LTFQDAVIYYETHMQTKESSKSLLDLNKFYQQLTNYFESQKGKLNKQDQKEAQRKQTLQQ TYYQQKSIFIQQTFNQFIYQFDLLINPDNVYNLYRLDKLLFEDLQEQFLLKLQSDEEVIY TFSRLLKEEASQDKFLALHILNEILQKEQSIIEKEKLIHFALKQIPFLMIYLEENDNEYA LHCIKKVQLTLSIFEQIQKMDEGQLGNMFSNESCLSFHKFISRAFNQVDRLLSLEQHSKQ VTKSNQQGFFISRVDIQNERNYLLGQFFKIIISILRFIGKLILYIDRCCQFCDSNNQQVL IEEVLYFTSNFYNYINRSQLTHICTELDILTLYSECLKCLNNFCEGNPQNQYLVFSHKPL ISIIQFIITTQKYDILADNLQDNTFRVLFKSAIQLLLQVKLEVHYSYTDLNFQMLFEKLL KIYEQFAPRLNIVMQGQKCNHVDNINCTNLKCQSNFIAVGEVEVLGICFDLIILFNILSE NIESIGNFDKKKDYWQTLRDRVIGDQPNELSLNQKQNLNFLRIFISMKNFVKQQEFNQKR VELLKEYLNSNDSIIASLDIKDLRTQQKINVKKKSTPLKTPPLVNKQYTMFGDNSSPKSK FKESIYKITNKKQFVKQSLDEAIPFKKFDEQDQVIDPAEKRESKIEQKLEVIAINWLEGA QYNNQRDIIKKNMCMKMAEAINFFSKYVGQIQISQHDENKLLYFILPFQSFFLLQQTTKK AKMLRMKRGRYEQLKTMSEYFQKEVAFRQNISKYPKLNWFSINIHLLKILNYLIVLTLNL CYLGDLQRKVNSFFFKSQTIEIIVIVLTIILILSSAFVLMIEIINQQPYIAFRVDYYRDQ NQEDVNLGLKGTESLNQIYPDPQKQKKTNLKRKMKMHQYLNQNRILAQISNVTFLYHLTY LILAALSFLASPFISLLLFDIVSRVNSLRKVLSSVLVNFWKILLILYLTLIVIHCYSFLA FVVEDAEVFHEEDTARFSFISKFWKFFGNGFRLQNSFNSEDETHDWGNYIFVVTLFMLIF ILCFALIISIISGQYSHSNSLSKNQKSKSCKICGISYDQCREKNISWQEHIEKEHKLSSY LFFLICLQQKRELNYLEREIAKKIQQKDMSWLPKK >CAK59788 pep:novel supercontig:GCA_000165425.1:CT868004:276042:276419:-1 gene:GSPATT00030424001 transcript:CAK59788 MNSQSFDKPKKPGLLDNIKNGSLITVIVAGTSTALTKAGLALYGFSSIGPVAGSFAAAAQ AGIGSVASGSAFSIAQGLAMSGIGSIALPAIGVGALVGGCYVGYKEFKK >CAK59789 pep:novel supercontig:GCA_000165425.1:CT868004:277610:278722:1 gene:GSPATT00030425001 transcript:CAK59789 MDNLDQMNELLNLFLQQNQDKFVSIILKVQQELLSVLKNYSDNLNEIQINSFQSNLGKQD VKYQLIQNIKESPIYSFSFNCTSSMLAAGYSTGQIAIFELGTEQIRKQQILKEHKGSVYS IEFFYQKDCFISGGQDRMILIWSFENKLWICQQRIDAHSDWVSHLIINKNNTLIISSSGD KTIQFWNKDELWKRCQTINAHLNTVNSLSLNDSEDQLISCSCDHTIKIFEFNNSNWIILQ TIKLDKLGFRLCFINNFTFVFHSENSINLSVYKLSQSDGQYHHIKNVLIENGDHCGNLFP SKYIGSKNIILTKNGSKVNLIKISSDGEFLLEEVIDFGTRNLFGNISYDGEYIATWDKIT KQVQIRKFVQ >CAK59790 pep:novel supercontig:GCA_000165425.1:CT868004:279622:282021:1 gene:GSPATT00030426001 transcript:CAK59790 MIQNIGLQDFEICQTHREKIVYIDKEQSDSAKRALCYQCVAEQEKNRNLKMIDNIKSQLS NLMEYLIQNRESRTQQNIDLLKELHNFLQQQQGKFCYQIEKCQQNIYNQISLLQKANLQY KNKISQITIHDLNSPDKLKSQIKKEVIDCKGVKEFLIKQINGFEVFSIKQQFEERIQKID FGDQNLELEQLCNLELVNNENEQQQKNIWKCDLHKEEIMFIDLGHQQTIPNRLACRKCVP KYNIQFTNIEDLQMQWQKYDQDTQKSAQAYLNFQKKINNQILKTIQEFKTEVNQDIDGLC QLIQKSLQFNVYDSFYKPFTLLQKDWLTISRDEIIIIADSISQKNRDQIIKANVRNEFKN NEQFIKNTIASNFQKIQSLINTLLSEIQETIPQENNLYLQTKKHYQIRKPKNYSFLGPTT TRNEQFLTENSPTIKREFNIESNRDSLEIDTIDRDSPQLNKISRMKSLFRQNWAKNIQRP FQYEVVCEINENSQTFTFAINSQCSILVLGQYRGQIKVFSFSNGSLKLIQVLSEHNFNVK CLYFMKQSSSFLSGSNDRSIIIWEEDYNKRWYSCQKLVGHQGYVQCLIMNTQENLIISGS QDKTIIFWIKEIDWKCHQILRAHENSVRSISLNAVEDQLISCAEEDFKVLVIQLQNDHKT WAIVHEIKVNYWGSSVLFINESMFAFQPHGIDQLYLFKQQRNQKNQYIFKEQIAIKSGQN CTALFPLVFIKQKSILMTKNGLFINIIRKDKNDEYVIEQSFENSVNCCGIQGTLSSNGEF LIIWDGQVNRIIVRRFEQY >CAK59791 pep:novel supercontig:GCA_000165425.1:CT868004:283811:284314:-1 gene:GSPATT00030427001 transcript:CAK59791 MFNVDFQLSKIYFEIQFYIVNFIQHTQFKLRSILNNYTSMNVNDTQFTIALFEFDVLFLD TAQILLNLSISINQGLIDNNNMPVDLPTQTILLQKPKVLTQEQINVAKKFKNFGNALMIG LGAVSVLMLFVGDSQSSVEIFDTLQQQIFRVRYPNLA >CAK59792 pep:novel supercontig:GCA_000165425.1:CT868004:284730:285228:-1 gene:GSPATT00030428001 transcript:CAK59792 MLVVMCKHMRDLDIIITSQFDKCLECETFYKLSWNKKECIPKCDDGITIEYEFCDDQSNV QFDGCYKCQASFQLECILCIELQCYACIEGWQIIDNKCYQQCGDGQLAVSSQEQWDDGNY NSYDDCNDCKFAYDQNCFSCATSKLCFYVMNILNWM >CAK84300 pep:novel supercontig:GCA_000165425.1:CT868506:2032:2397:-1 gene:GSPATT00039682001 transcript:CAK84300 LKLTCFHLLSILVIVRTTEKQMKEQNDNPCLHIINQNQFHPKIIVVVLKRNDKLQTFDFP LLENLLILIYYKRSITRHLKLFSNKRTFYEILGQMDLARMILMAIQFNKFHSSQVTPYQM N >CAK82626 pep:novel supercontig:GCA_000165425.1:CT868436:181:1267:1 gene:GSPATT00039502001 transcript:CAK82626 MGLQEINSSSQKRPLLNLTSTVIMVIQDSTQATSELQTQKIQQKFRHNFIFHYTIGIGGF GNVWKVESKKTRMIYAMKELKKTKILAKKSVKSVMNEKQLLQKLKNPFIINMVGSFQDKD HLYLILDYLSGGDLRYHLLLNKTFREEQIKFFVACMILGLEYTNSYQVIHRDLKPENLVL DCKGYLKITDFGIARYYKNDNSNETSGTPGYMAPEIILKQNYNYCVDYYAIGVICYEMIT GKRPYLGRTKKEIRDEMLGKQAQLNAKEYLQYSFNLIEFTNKLLLRKQQNRLGLLEWDQG TKESQTILVISMGQISEQNNDCSISTQIRRQDQGLLKNQ >CAK82627 pep:novel supercontig:GCA_000165425.1:CT868436:1413:2849:-1 gene:GSPATT00039503001 transcript:CAK82627 MKYIKMTDIFGVQYRQQISLDEKVQKSALSGICSLIVLGASFGYFLYIMNLWWSSKILPN STSIMKVENYSQILYNEDDLFEFSYWKYTNGQIDPFRLQRNILTPIGIYFINGIPQKPFS LLDEKPTISPYNTSLQRVDNLSLIQNSGYDTTLNQTTELMILITACNSTLLKSQYECASD SEIQDFFEQSVNYISFWLNLKQYDPHSQKFQVVKKQYYLTLDSQISHQGQLILTQTQATI DTGILFGNYEQKSYIYNAQLITSATNIHFFSTLLVESAYLSLLIRLDPISNDTQIVYPKL GAILAQVGSIMSILMTIQYVLRYYNEQLLDSDFIDKVLGFYFQDYSEVKQSKDQADSKIC KDLIEKAKKKLVYTNVIYELSRIQLFLLYHYGRNQLFQAHQYAINVKEEMKSSKCCLAFD NFITGPRGDGNREQIEQHFERDDFNLLCRGKININKNNEDAVRVYQDVGNASLKSSKN >CAK79593 pep:novel supercontig:GCA_000165425.1:CT868334:3:5008:1 gene:GSPATT00039334001 transcript:CAK79593 AQMNRYEEALKNYDSAIQKNPENSDFYYGKGYNLLAIILSKMNRFEEALKNYDSAIYKNP ENSDFYYGKAITLDQMNRFEEALENYDSAIQKNPEKSDFYYGKAITLSKMNRFEEALENY DSAIQKNLEKYDFYYGKAITLDEMNRFEEALENYDSAIQLNPENSDIYKCKAITLSKMNR FEEALENYDSAIQKNPENSDYYYGKAITLSKMNRFEEALKNYDSAIQKNLENSDFYYGKA ITLSKMNRFEEALENYDSAIQKNPEKSDFYYGKAITLSKMNRFEEALEYYDSAIQKNPEN SEFYYGKAITLDEMNRFEEALENYDSAIQNNPDNSDFYYGKAITLSKMNRFEEALENYDS AIQKNPENSDYYYGKAITLDEMNRFEEALENYDSAIQKNPENSDFYYGKAITLSKMNRFE EALENYDSAIQKNPENSDFYYGKAITLDEMNRFEEALENYDFAIYKNLENSDFYYGKAIT LSKMNRFEEALEYYDQAISKNPEKSDIYKCKATTLSKMNRFEEALKNYDQAISKNPEKSD LYKCKDFRANTLSKMNKFEEALEYYDSAIQKNPENSDIYYGKAITLDEMNRFEEALENYD SAIQKNPENQYFYYGKATTLSKMNRFEEALENYDSAIQKNPEKYDFYYGKAITLDEMNRF EEALQNYDQAIQKNPEDSRYQFNKGIIQNEQFQAIALTQINRFEEALENYDLAIQKNPEI SEYFNGKGKIQIRCFQAITLNIMDRLEEALENYDSAIQRNSDDSRYYFNKANTLNKMNRL EEALENYDSAIKKNPENSDYYNGKAYTLQKLNRLETALENYDSAIQKNPENSDYYNRKAY TLYALNRLDEALENYNSSIQQNPEESSYYFNKAITLHKMNRLVESLEYFDEAIKKNPEDS EYYNGKAFTLRKMNRVREALQNFDSAIQKFPEDSRYYFNKAITLNTMNRFEEALENYDSA IQKNPADSRYYLNKASTLVKMNRFEEALTNLVSVILKNSEGIILSGQFLGYTLEKLTRFE ETLDNQDSVILNNLQDSRYCFYKGMVRMNNFIAITLDKANKFEEDLENYESAIQRNPKFT DYYFKKGIINSLDDFLADTLKKMNRFEEALENYDLVIQKNSQNSMYYFNKAITLQKMSRL EEALENYDKAIQQNPEDSRYYYNKATTLNNMNRFEEALENYDSAIQKNPEDSRYYFNKAI TLNTMNRLEKALENYDSAIQKNPEDSRYYFNKAITLNKMNRYEEALKNYDQAIQKDPENS YYYNGKADTLQKMNSLDEALENYDLAIQKNPENSYYYNGKADTLQKMNRFEEALENYDSA IQKNPENSDYYNGKADTLQKMNRFEEALENYDSAIQKNPEEV >CAK86539 pep:novel supercontig:GCA_000165425.1:CT868578:636:1064:-1 gene:GSPATT00039765001 transcript:CAK86539 MQSLHILWIDSQSFIKILNAFQVSPIVNILKRQNRHSSNFSSPILFVINFAFSPSLSPFF HYYFITFYFIPYFIICISSNISQTILQLIQVIQLNKHDIKQEMLLGMDSEIQLGKLKRWN VIQNIILKKSLEKIGDEIGGQI >CAK86540 pep:novel supercontig:GCA_000165425.1:CT868578:1077:1559:1 gene:GSPATT00039766001 transcript:CAK86540 MESFLKGRILQDTDQFEQAIKYYDTVFSINPQFQNSLYNKGVFKSIFSRLLNISTRLWPS VVKILMSNISKVHQNIFLKVNAYQIQVIDLNNKKIKCCDIAQPLTCSCHIHQRYVQINLL KVYYQVNRVNMKRRFNALKTL >CAK86541 pep:novel supercontig:GCA_000165425.1:CT868578:1636:2150:1 gene:GSPATT00039767001 transcript:CAK86541 MNDESPNRSKSIEINLTKMLDRMKNNSFHMQKVCVGDLINKSQTHVIAKPIRQQMTPTPE EKENKELTFKPQINKKYPNYLNQTSFLERNEQWQKNKLQKQQETSKTYLEEKEKIINKEC SFKPKITPHQSARLPRQQKQQPQPSTNSINLVI >CAK70857 pep:novel supercontig:GCA_000165425.1:CT868096:1072:8359:-1 gene:GSPATT00000566001 transcript:CAK70857 MSGLLDKVTSTLRGGGCGSTKTIPYTDVDLKSDIYDTDIFITRFDSIVQIISSKATVAAN QSEAQEIMIAIQWFIFQEENIYKLIKNEEKVMKSYNLIIDGIQKLLKSCLIYIRTDSFKS LFILRISASLSKVIFSFHMRKAQRFINWDTQNQILDICDQLRQEMSIEKNDLIQIQLELY LSLTQTSFQMAPNNGKEREDILHGCLEGIINSIFDMKPSVDLFKSLYKGAVLLYDDYTVQ KNRKQYESYFQIDILQWEIINNLKNDSQNLEEILLHMNKIHENLVKNQSNWKNHFLWIQM IGKIITYHPLITKKKLNQLTSPFNFQAKSNFMWKEYQNKGFLMQLNHSNDQALVLLNQFK NKELSQIDKLILEDTFIEWENLMLLKDFLVNQKTDNIYFTFGSYLKLKLGSIEPQKDEQK TICKIQQFLDFIISNKLLALIQENYERLGIIVKDFLNFIKQNMYFDAKIEQAKHNSQLKK INLNFKEFFQNALKIIKIIRLSKRKQNFQLEQFDQKKNNEFQIFQQIKYILRLLEQILSQ AIQDLNSKKNEPNESKVMQQQLEEIQKQLQNLNLTEENFSFLNKFQQKLIALISATPNLR SFLKEAQCMLKLIEQTVKQVSELEILLLQKKSLIQYFQCLLNNLNSYYEHQIQELQNQFN ELNLRLQTFLILQYEIDTVSSPEQLKEIQKKYSQQVSFQFLHQQRLNILKLRSQLITFKE CFQNIFSIPSQEFLKYLGEINLDGFPLNVIQSYPKQIICCDSQIYQQAIADLMKLEITQQ DYQNNLIILKGSLDLLIFQLTLEEKMIDLERIHLELIEKEFGELFEETNSLTMTLIRNFS IDQSINIIENKNLNQDQLKIERQKYEILLQQLRKINYQENCKEGEQIQLLVENVVKLLKA SINQELEIFRMPIIKRINDLKVKFNELKTKYDKIKEEDKDESNNQNRKNLSIIINILQLL QIKARQTRQKLAQFFENVKNFTKSRDVLQECYEEMYIGFLSCFQNQLNQLKEYQFTTANL KQYETESSKEYFSRISINLYDENQSDQKNTQIINIVHFLYQLMAETKEKLMKSKWKFIEI QFDQEYLIQLSKILYLQENYEEQEVKTNQQDQFSKDQYNNDEWKIKQGLVLTIIQISLHS FAEKITQFCQKELIELWVQEKDLRVRNLLKNEKLISMQMQILSKDWQTQQDRIAGEMQEM LSRIDELQEQISHEANLNKRDLCLKELDETTEQLDQYIKNISEMGQQLRLLTDFVNHIRK GLIRVEGKINEMKEQLKNIGNDIKFLRGKSVEQLFEIRKWKVLKEAALKNTKSIYVPLET KEIYHKAIVDEKKEESILINLEQINDTKGEVNEFLLEEKETVLLIHGVAGSGKSTTAKKI EEFIWKLHNNNKKIRNRILIPIYISLPSLKNPVFQAVEETLHQDEYGFDDLQLKECKEML EKNEFRLLLIMDSYDEMKLENIQKNLYMNNKVKQNWSDPLVIFTTRSEIFTSVNYALWFA PEKKENLKEVQLEKFNLKKIKQYLKKFAIQSIKMLIYELYEWQSQISNRGEQDINNFEVN WEKLFEQCLSLEATKANSEALLNQKEIDNILSFLKINQFFNLKSVEALRNLMVKLQKLWS VEKYEKMMNQINLYRLIETPYMMEIIVQVLPKMMEKASEIINLRLNFLKNFPIMLYEFYK SKRKSIEHRIIMKQNKLMKQDNRIIMKKNNRIIMKKEKQDNNEKEQQVTQTDVENLDKIN YFEIAVEVWNKMEESSIAIHFQELNLNKNLFFEHSLKHFNNSFQKISILKDRMIEVVRNA LSELNLTSFDFYDEFINQYHHQQIEKQRNLGKSLHIDRFLHDLKKYSINLAKVMSAKQTT QVQYQQQGFLYQEEREDEKWQNEFFNDDDHQFGSYKKDLRSCSLIKQKGNNFQFVHKSIQ EFYIAADLYSVLVVSKELNKQTFNWILEQLSKENNYDKNWLEYSPHLINQEKIIKFHVLI RDLKVNAFKKNIESTLSLLKTLNKHEFFLDNYSIETYVESRKYLIQKIKNEVSIIEFLKF LVQLTAIESSFIQGGSNSLNLLVEMQIDLTNHNFEKIRIKNTSIVGGNFFQSNLNQSELT DVNINAINLNRAQMFGCKWKRIKINDIYSLDGHSRYVNTVNFSPDGNMLASCSLDKSIRL WDVKTGQQKAKLDGHDDAVSSVKFSPDGTTLVSVSSDSSIRLWDVKTGQQFAKLDGHSDA VYSVNFSPDGTTLASGSQDNSIRLWDVKTGQQKAKLDGHSHFVYSVHFSPDGTTLASGSR DFSIRFWDVRTGQQKAKLDGHSSTVTSVNFSPDGTTLASGSEDNSIRLWDVKTGQQIAKL DGHENGILSVHFSPDGTTLASGSGDNSIRLWDVKTGQQKAKLNGHSSTVTSVNFSPAIRY YRIKLWSVHKIFIVFNFMD >CAK70858 pep:novel supercontig:GCA_000165425.1:CT868096:10926:11767:-1 gene:GSPATT00000567001 transcript:CAK70858 MEAQLFFIRVVFKTVNIHYVAFYGQVILVERRIMSKDMFNKQPTKNNIVTFFNNLFTSFY LIREVWWANQSNYSDIFLFILLLKQKILISSTALSIFFNLVSFRIIFLIYQEFAINSQSV SSSCWLQAKFTTHQQYSSQCMMFLIDFYTAISFNYFNVKILHQMSENEVQYNLVGVSDLN LLINHIRSFHQFNFFSVFVMLTLGDIKQPKASKFIQGIMIQILLYIQLGCQDLL >CAK70859 pep:novel supercontig:GCA_000165425.1:CT868096:13175:13905:1 gene:GSPATT00000568001 transcript:CAK70859 MLKKYIKILLQRFDDEQAQLICDIVGTLITQVISHHQNISNIKKMERVLQIDIVKMFLYK WQLLIQKSYLQENLNTNNDVQHQLVFFSVSQKKQFR >CAK70860 pep:novel supercontig:GCA_000165425.1:CT868096:15057:16316:1 gene:GSPATT00000569001 transcript:CAK70860 MQLDLLKTIYLQHLMRFQKLYNQNKKHDSATKYFKVQHKLVKIKQIQEVMHLYKSRINVN SKLIMNCTKSNRNFHCCFTTCDKEVSSNQYRFFIQKILKLQMFLQNQILIWLNEPINEAA LTWCLIHILEATFHFILQIQVALYFIMQFCSTTRYMLKHKSGYYLIYKQLNTETSIFLSI QFYCMKLFLYYKIFEQIFVYISGSPFRLIQEQWSNIRLSQQLHKWQMQKCIQICLQLEQA NKKVLLFEKLCKCCPFYWQHSQKFVNTSSTRRLYAYYVKAHNVFIYASSNEAVLLLRSSP SQVVVIIISQRIYEKQRILCRKLFNMVLYCIHDMQTSPFKTVPSITVATKSLSQNHLERY QSFNHNLKCSHMQILYFIGQTTVLRWLVSRNSTAKLNLYYRDQIGTTIPTCVLVSQVFL >CAK70861 pep:novel supercontig:GCA_000165425.1:CT868096:16552:16800:-1 gene:GSPATT00000571001 transcript:CAK70861 MLNPCISQLGQLNSTLQESTRNTISCLMATFSINIMSMSQTVDTYVEKIQFDVMCKTITQ PLLLLYNVGDSVQTFFRDICSK >CAK70862 pep:novel supercontig:GCA_000165425.1:CT868096:16809:17333:1 gene:GSPATT00000572001 transcript:CAK70862 MPLIKNEQGEGVLQQFITNLQQHQQGFSLKHFFRSQLHHYLTHILIFKLHRLSTRYVLHE YLVLHGCCSQVCQKEILNIDQDFYKQLQRKDGYILRFSYIDRRQIVYSKCFADNLKFKKL EKLTLHLLEVQDIKYLMYGNGFLTEDFNKPYKHLNKIMTS >CAK70863 pep:novel supercontig:GCA_000165425.1:CT868096:19292:20012:1 gene:GSPATT00000573001 transcript:CAK70863 MDKPPQQEEKHLFKIVLIGDSSVGKSNILSRFTKRQFNFDSQPTIGVEFATRSLTENGKI IQAQIWDTCGQERYKSITSAYYRGAVGAMLIYDITKQKTFENIEKWIQELKEYAETNVVA MLIGNKADLKAQRKVPSDKAAQFAENHTMAFMEVSAFDGTNVDLAFSRLINEIYQLINKQ SLAENQDQSIQQQMQSRNNMQIQQSQCNQSQDTCCK >CAK70864 pep:novel supercontig:GCA_000165425.1:CT868096:20248:21903:-1 gene:GSPATT00000574001 transcript:CAK70864 MNESISIEYPFRLGKRRTISSQLSNQKSKTKTLFTTKLEDVSIKNDKLKVILKQRIKSDF EQLKEQIDQVTKKEENKQIKVLALINGFTIASQKKGVFRYLESLKKLKMQKVVYYQISVL PIDINLRAIAYFPQILNVNAVEELNIFINPKQHQNLSYGKKNRMIPSETCNFSNLKSFCM TIQEQKFYEVEISLLSYILNYCSKSQKLEILKLNFGKCYFENSEIFQQIVSKCFACSKNL KILQLDVSSVSNFNQINFSIHEFAYKIEQLSLNFSNISLTTKFFQSILTVLESLKQLQNL NLNFSKSNQDIRKLQYLLNTVMTIPLLDTFSLDLSSNIFCQSFNTKIQEENIINKKSLRY LNLFLADTVCLSDQHTIPSPDFSILVHFTYKKLFLNLNNTIQTYNTLDIFGCELEQSNCS DITIKLNNARLNYDHIVFLQKALQNCRTILRLQLHVKNNFIETSQMSLILAAFVQMKLMT MNIYFNEEIKSKLINDFAMNNTYLLRSNLVTKRMEQLLNLKKLYVSVILKLEKYNFEPEV IQFLNDLYQFQ >CAK70865 pep:novel supercontig:GCA_000165425.1:CT868096:22250:23560:-1 gene:GSPATT00000575001 transcript:CAK70865 MFLNNISDSDEDKKCAPKICLDTLGLSQEIDKNSTKVITKNKNYKKNLGVGGASYDACDI DLNTFTFEKNIFNQEKKQSYDSIGEGVGEFKLLEIPQGASQDILDPLILSNQVSQSQQCQ RDAIETSNNWVLEEIQKQQQYLEQLEKLEQIEKQEQEECQIEICDFLEAAISDLIPMPPV CPYSVNITQINLPDQLLSFLINPIPTEGMFQCKIVRDKSGLNRFMPKYKMYWCHNNQFLL AAKKSLNKNKFIITQDSEFKHKDQGQILGKVEQSKQSKADYYLFDSGSKKKESNSIKKMR VQLGSVDQEGWLQFQEKVKKKLSNLLVVNLKLQIHNNKLYTLDFFGRVKKASVKNFQLVL KGEERDLIYVQFGKIDKDQFHLDFMSPLSPLVAMQLALCNFNFKGKA >CAK70866 pep:novel supercontig:GCA_000165425.1:CT868096:23594:24576:-1 gene:GSPATT00000576001 transcript:CAK70866 MDEYITNYGELERMIDRIPVPDITVSSLSQQSEQVTPQSKKQQSLTREQVQKIMRRVTEQ SHFPTTKQKIYTLQTFNCKESSNDEQLFKQIQQRDHNKNEELLKMQQQVCDQQKVIQQQI QQIAQMNNQIEFLTNELQDYQEKSQSDVNTLQQLYALNDQQNKTIEGIRIELQVAKRVSS QQLNKEQDSIHQITKLKSKINSLQSEINKTRTNLTLTSPTNETIQTGILTNRIDPELLSE DETLNMLLCLLSRVSKSQRMTYLLKKNADFKYLIKLKRSQPSINKISFIQNSKSVCDRKL SYNQENFKQIVKNVGIKNH >CAK70867 pep:novel supercontig:GCA_000165425.1:CT868096:24760:25362:1 gene:GSPATT00000577001 transcript:CAK70867 MTQLRFLLSNAKISSQQFSQIKEILKLNFKVIYKDDLINNNKLFNDSLEKQELVGEDIFF MNSNVSNYFEDNIGNQACNLSTFQEKTENPNESFHSSHSEFFDYISQIDHQIQDSSVIVK KEIFTISELLQNLSFKEEYSSRSPKLLDMNHSNNKINCQMCKKQFKNTKTLKKHQKNIHK HTIMISSNLKQQETQPEAAF >CAK70868 pep:novel supercontig:GCA_000165425.1:CT868096:25582:26263:-1 gene:GSPATT00000578001 transcript:CAK70868 MFEDVKSESIESNQKNDELNQELQQYWRKIITNLGENFLHLQALSKECDSLEIIQSLFLL EQAKGDQNCKNEQKDITKQLEWLKSESINNNEEMKYGLDGMLLLIEKQQQIEKKNNEMII KLIKKQDDNSKKLTDLQIDLQRDNNNKCSINIPTLRGSMIDKAKSTIPYSAYNSPNNREI RQQSMYNNLLSNIKLTHVSGTHNENNSHLRKVNKINPLF >CAK70869 pep:novel supercontig:GCA_000165425.1:CT868096:26478:28932:-1 gene:GSPATT00000580001 transcript:CAK70869 MISRKETSLSQNKEKDEKHGKLMKQPSSFFKSFNSSKKGVKDGEDFQFFVRNQDCSFYTI FYMLVNFSKVEEDQKKKGFSLLTNSKPLMNMETYNDISVLHPELIYFFSVISVRDLHDFY IDFYASFDANKNFTLERKELTKMFTIVLDFLGHKKFKVFSDRTLIEADAFEGKLTQKQID DISENFLLPEDQSKVDYLLIFPYILSFYLEYSYQEIKNKQKRLEIIKGIETIKGKEVEIK NITSLISILKEMGIDYFKEKNTYKLSYRDVENILLKFKNIQKWMTFDVIQQILQSLSKIM QVDAKTQEETKKIKVHKIIDFLALKLCVHQNCLKIKQQKNNVNQSVFLEILILWNCAQYS QWASEKYFTQKFQKYLNSHYYGQQSFSRTELQRCLEGFFKKFFQLMPKQQIYKIIQCHQL FTDENIVRNQKIEREDLIQSLYSMVCQEGFKKLKQYVKIKFDGIIKSTGNTVQYSNYGKI IDQESVQGGISSQRQLLEQSQQQNQNTTNKVQQKEKKEEESEKSESSEEETKNVKVTQKF KTAVRAIQSGNAFIQEKKEGKDILKACLIMDSFLKRQTQRIKSHCKETKSLNSSFIKQDL SRIETQQNQLENNDQSLIFDPSAQINQKRKEQKQSEFCGTKKEKEKKGWEISNNQVQKTE NTEVIEILPSQDKAKVEQNQDLVFTESKNKHKDRNQISNELQMILGKSNDEFGPNNFKKA IRLQSTVEASQSARPFIKQSEQQDNLVIIDETALEQMIKSNQNKTKVKSKTQNRKSTRDD CQCRVF >CAK70870 pep:novel supercontig:GCA_000165425.1:CT868096:29029:31453:-1 gene:GSPATT00000581001 transcript:CAK70870 MSKQNTYERQDSNLSKGSLARQNTSKNLNGQKSFFSKFSNTLFKPQEDEIQFLTKGQDHC VRILMYLLLNFDGMEQYLKKQSVLTPLNSYAPITKQVEDIRYIRTELVYYFQIISIRDFH DFYVDFYATFDKNKNFSLEPAEFKQLLDIAVNKCVGAKKFKVITDLFLSDPSLYDNQLDD KVYNEMIDNFRDLDKESKMDYLLLFPYILGFFLEFTYSQIKYRQGRLDLMRGTEILKGKE VEIRNITSLVTILKEMGIDYIRDKRTTCLTYRDVENVLIKLKNIQKWITFDIIKQIINQL AKLMEVDPKTQNEGKPLKLYKIVNFLALKLCIHQNCLNISKQDKDKSKPPSAYLEILVLW NTAMFGQIMKEATIKQQFSKFLIKHYKDQTQLSRPEAIKILEAFFKKKFQLMPKDLIKVI LEQHPEVQGKKIIQTPITTESLVNELFNMMCKDGYRKLKEYIRISYDGVNKSNGDRVSQY QKQGKDFYEDSQIHSPPSSEKQQKSPKFDEKSKKSQISSQQNQHNQRNNSESEESDHERE LTAKGKLKRVVKVISSEQAFAQKAESKKDVLKACLIMDSFLKRQTQMHQKMQQSKYNQND ITASKIDEQEVLLETCSKNEEVSSVKTNVVKKVQMEESKKLTAPEAALPEQEKEQGEEKK DKKLFQQQRPQIVIKDIRKNSESQSNDLFTVAGKEGKNYGPQNFKNAYYGNMPSVQDASK VMFQTSQSKQQLFQLTDDDFRDDEGKFQVVIDDVQLQTQILYQQNLAKGKKPKDRSSTCY YCSIQ >CAK70871 pep:novel supercontig:GCA_000165425.1:CT868096:32037:40241:1 gene:GSPATT00000582001 transcript:CAK70871 MNLSQNLRYGSFIRIAGKGNGGTKGIITSKGFFDHAVYFQTYEDMDDINNFRDGIFQILP RGSFEIHDEYLKGMDKSPSLQQRMKSEKEQYFNMIDNKMNEEVYFSSEVIFQHVESGQYL ACSEECSDSRSDSFGLKLQQFLSSRIIFKMEPSKSYQQTGRPIISKQSVKIQSDITKFWI DKNMRQPVQLDHKSTQAGLKIQLKGLQEDSHRYELIVSHYSDSEWKIQLYDNYEKHQQNK LKLKTNEVVTFKCPKNEAYLGADLQNNTIVLKPTLHNQQIPVECLWELQFQEKPNSELPT ITLQPRTLAAIRHSFLGQNNLFVPNVNQQYNQKNATENQQQNQSKFKKNIQKQLRLRHVM TGKLLFCQDLFDADDCFPAILSDDSCTIGLQLANRYEREFMDLSYVNLVRDDNVLTSIST KQSTKTLSDVRIKKSDKIQGTNGFFKQLIQEKDSIKCGFRDRAFSKPFIIQRVKQSFFKI VLQPFSAYGQMLYFVQQLQTNPLNFPYVIPPERMYQIQFLLNRLHEYLMDKIDISDENAD DLPIFERQIILKELYFIEILVELLYHLCYKKGTKKLQVWRKQKENIQTIFSSTYQLMTKL IQNNMVTKLYASQWLEMFLHQYMSLNQPAIQTFLSEMLDNNKEAIQKFLNENIIKKLIEL ITQQSPHDKYLKILNAICVSSNQAIRENQRLVLENFFKVVAPNFKFDFKIKQGHIYIQSA EELTYSRWQNLEQFYKSSLMNDNLEYWNYFISYFDLLGDVAMNRFAQAKEFIDQNYSLEI FCMILHEAQSYHMFNLMKPFLKLIRYAYIDTPPYQKFKKLRVIQFKDLQGDFIDHSTTLP KTSPLLDKIQINYTIILNILKTYNQFYNYLNVQQKCNFGLIWIRQKQYQLQCYNICSSIK NFKMIKDQMESPNVQLSSKSIIQLSKLYQSEEKETHIHKKIKSTRLNETNNIFMTCKQLA CEIINTIFDLENNIRVFTCSKLFKEQLLQLDLVDPNLANINNNQKSLKTSIDVQKMSKKS NAFIKVIQQKFKNQNIAEEKNLYDITNWINKFRQIVSDRSLSNFENFELIFAELSFYENL YLPKYSLEILSRAYGQRKELIQNFEKVIIVVSGKTQELSLLTKQIMKKLNILYQTEFYTM YKETSNNIRTSLIWYHSNEHQKAGLMQNLFQLGLYLKKDFDKGRSLNPLNFEDYKPENNS TIAFCEREQNYKLHQAILIAEEIHIPLLEYIYKFKADQSTIYWKFLHSIYDFITILIWNN QENKQIIINNKQLMQSIEQHLKFNIGAIDFLKALYANNKSLLLSEREMTLILNSVVPVCN EEPINSYFKSKTLDFIKALLLFNSNYITSNQTVVLQKLQEKQFNKIILQFNIYDDQTPIS PGENNFSDVTSSLDSEIDQETMISELVIKNWINQYEKSYENIGYGFHELYISPELTYLYT FFSLFSQLIEEKHMINIKKCLKIHKFNDLILLLHLAQDCWPLKRHLRAYINRLYYSKVSD NFDLIVKVDLVIMIDDLQKIIDYLILPQHSYMAMTIIKAPIRYKYLMSYIYLNLEEILIS LNSLFLNEQFLEYLEELILFNQQNSIYRLHFQLFEICQKLLIIEKIYVKENLGHLCQLLL DVFQSIISGFETKILLMREGLYISMIEEMLLSQYIKGSNQQDLTKTQVSKLQQIQRNREI YKQLQQNSHDSSVKQYLVHQVFCLDQQFVKESKLQSTLEFWKTQSKQRYKSFKQDMNDLI NHKLRRIMNNWSFVPQFSQFLDEEFYEVCNKLSSIGRQSQDAYQSKYEVTTLQDYIQNII SICGNTREQQFSDDIRIFFLRLLSRLITEKNLSNKQKMVEVDLWTSEFWIDYKQEINHAQ NLLAKCGAQDLILLILSESFLEINLSLLNECLLFSIAFLLGGNTQAQNAILLKLKQDSQN QILTNIKIIITKLTKVINNNFSIVTYNNTKGCDFIQTVDNFDFYNTNTKVMQRVNVVDPD DFKETNFKKQCLDVLCRFFRVMQLLCENNNSEMKEFFRRQTDDQNIARINSINFIEFTSV QLRAYLKILSRAIIIIPASILDFINEVIQLPCIENQITLCHTTFFEDVSNMAHFFANKSN QLQRLFDTEDDLQELQELFNKILQTVLLVLEGNEEKIYKDIQNKLEASFLISFLSLSFEQ LNIENINDLEIYLLQQDKIFNSDMLRILNVCIIEQKMASYQQSRWIQQFHEQLSVNPIIK DIYDNLIVLIHNIEIVYEGKSMTVFFPYHPLFNLLSESSKQDLLFQINRETQRDKLLGLL SATNILFYELEHNYKLNHYRIPITQNNLNVMQKLSSVLALLINLSMIFFYTVVVKGNTYL LTSTLYNSLIIKMLSVSQLFSQIILFIMVSFQRIPIYLQKNSKGNDKLSLLLVIIQEDTC LLLFLLVLLSFFGAFINSTVFVIHLVEIFSRVTILKNVFQAISYNAKQLLVVAFLGVLFV FAFSVISFSVYFDDIYQEEQTETCDSLITCMITLITSGVIGNSMINWDPLKFFFDMLFTV FFGLLFTNIVQGIMIDTFAELRDQRQKIEDDIKNRCFICAAQRGELENKNQSFEQHIQEK HLIWNYVFYVKCLWLKEWTEYTGLEYWIYEKIKQDDTSWFPESVIDGKNIAERLDQLENL LSQVIVYQENNSGG >CAK70872 pep:novel supercontig:GCA_000165425.1:CT868096:41447:42438:1 gene:GSPATT00000583001 transcript:CAK70872 MLLRRSIMLFSPCLKSNARFLIQRPRYYFGDQRKENPLSDLEQKPPLTKDEVKETLKGQE PEEEEDISKRSNVLLYSFGAIGLMLGYVFMQITQMGYQNKSKPVEMTVKHKGKAQIGGPW KLLTTDGRVMTDQDLKGSYYMIYFGFCNCPDICPASLLKLSKALQRIRQLPEGKLFNLKT IFVSVDPDRDSGERVDRFLSHFDKSIIGLRGRSNDDPELKEAMRNFKIYASKIKFQQEDE KTKQTTDQYTIDHTIITYLMDSENNYVTHLGSNLGEFDLARIIVEKILENEREKMQN >CAK70873 pep:novel supercontig:GCA_000165425.1:CT868096:42564:42992:-1 gene:GSPATT00000584001 transcript:CAK70873 MFKSSTSLSLKSDTIIAERSIYTMQTIRTRESSIQLRKLENNEKQQQAREYQNSSCYYDK KELDFNKNMQQLNNKTYKNGIIGTESTQKKKEARLITKTMYSNQQEQKQKEFIKLITIGL CLVMISVIIFHKKEPQQIKSLF >CAK70874 pep:novel supercontig:GCA_000165425.1:CT868096:43423:44651:1 gene:GSPATT00000585001 transcript:CAK70874 MSEEELVNRFIDNISNELHYKNQEEVVQNVYQLIDLTCNKQFTIVEILLEKIRILNIEKH DHQQELEAQNDTLRAEIAFNLQQQMQMQRIQDELLQKQDTLYEKLLILEEELKQQQILNA IYKNQLESKQNNGENQNVEQFVFELKELQIENEYQKQQIQSLKSIISENKQDYQSQLMSN SSLIQHQEAIIKNMKDELSTQRQQIRQLKSENKQINDQIYEKQQSALKEITNSPFLQNAH SINTKQKTIVNEQKDSKKNQFSSQKRYLQADDLYDVNQFTLLECELQDTNYNTSLNTCCR NSYNFKKVQINEQPTPVQRNIYKDFFNLTSQCVKLNLNEEKILDSRVSHLFDEYVDQKIP YHQWYNEIKKYLLTLI >CAK70875 pep:novel supercontig:GCA_000165425.1:CT868096:44752:45855:-1 gene:GSPATT00000586001 transcript:CAK70875 MNYTKILTTSIALLLLLVLCIQNDNINSKFLKLYHKDQNLITGKVVSQYGQGIPAKLEIK IENNKQSIESNKEGIFTFSYAEGKLNQQYSVSISITELSLGYVYSNPIAQLNVVLKDKVQ LPNVILNEGGYGALVIKGSFNEKLSDTHLSLIIEEEKQQLQTNAITTSTGQFEFHIFGIK SLPKSALLSVLDNNEQFEPIQSLPIKLEGPASIIQLKQIELQSSKCNKLKEYLACRLKGC KSCVPNNQKCQECFFGYKLDNNQLCKFGNFKGVGIANFQFQNFGPMTKIIGTLLNQEFKP VTNTLVCIKTDSLCVATGGSNRFGNDLIISKVILKQKQQHQNTDNYNINLVQVVSKKRQY YMEMNTF >CAK70876 pep:novel supercontig:GCA_000165425.1:CT868096:47249:47988:1 gene:GSPATT00000587001 transcript:CAK70876 MQFEKLNRKLYILPKVESCVKLDLDFRLNTPMHSMANKHYQGCYPKTTRSVKSDELMNTP TMTTITISSGKRIKLYAPMQESKRAQRLKNVPYELQYFQTKLPTKNFKSSHLMSEDLLNQ PGEQIDNQQKWQMRSHINKMNKATTSYQMKRGEKNVVKQTQSTFDLSFIKQQNEETVENE KLKRYHSYKRATQNLIRQFSNKHNVKQLCCDLQDKQE >CAK70877 pep:novel supercontig:GCA_000165425.1:CT868096:48306:50965:1 gene:GSPATT00000588001 transcript:CAK70877 MKSLCCYFAVSFTISIMQSITYVETIQIIRLVCCILNLFALKMNKYVSQGILVHKVILFL CGLLSQQNESILQFAMDDYFSQMMLKTKHFITFWRLFSSLGAVLLAYLKYQSQDSTQLSL TILIPLMYLIPQLPQIYRQYWQTREEEQSYSLSPKQTTRDYMQIRSKFEEINLIATNLDQ NNKIDIDQLIRKIAYSQDKQFVLQEIKIFNEQVWRLRMNAMPIGICIIGNQIKPKFMNNT LTNLIKKNCIDDKGQSLEEIYELFMKTLQFKMVDFAGSYDLPQSAILKHEKYQQVKMQQN EQFFEEEHYCLFDLIRKLEEEQFDRYFNNQDQIIELISTFESPVNQKQIFFVCHVLFQDR QNIDYMIIIQDFTKQNELSKLEEQNKFKTKVVQSFSHELRTPLNSATIFLRSAIGDSNLN KVIKEQYLQPCLSALKLQNHLINDIIDFSQINAKLLDLKFSQFELSKIIKEISEQFKFQF EFKLIGLAFEITKPMSLLTLIKTDYQRLLQLLTNIIQNALTFSEKGYVLVSINSFERNEI KFSIKDEGIGLTKKQLYSIREIITQEQQTTIKTQEWQGFGLIICQMLLRYLSPINRNSIQ IDSNGQDSGTTVSFTISNHIAAQTQEQVYNQSSKKILPRMRSMPHLRAEYGLLICIKAKS PSKILLSKTTDPEECDLSSSSIREVGDKVSRYHLKHCSIEILNSRSPSYLSQHKNLSVSQ SRKAGSYQLSANETGLSNVQQGLSCCNTILSVDDEVFNQKSLQLLLRQQGFNVDIVFNGR QAIEKVIHPSKCCPTCSGYRFILMDCQMPILDGWMTTKRLRLMMQNEQIPTIPIIGLTAF TSNEDVEKCKEAGMLNILHKPLDLSKFQQILAELHII >CAK70878 pep:novel supercontig:GCA_000165425.1:CT868096:50984:51608:1 gene:GSPATT00000589001 transcript:CAK70878 MITGNLFRKVYPLKFGFSTSYAELIASNKANRVQDVGSLKELLDSIYQTKPYLHCIIFNQ VGHPYYSKVNEMYNEISNQFVGFKFYRINVDNLDKTAQFFGVKNPPTSLIYGLGSPLAKH VGLGVKNLQIKLETSQALTHNTDFIPGTEQWEGPQDLWRANYQDEQEPFDYFAR >CAK70879 pep:novel supercontig:GCA_000165425.1:CT868096:51765:52764:-1 gene:GSPATT00000590001 transcript:CAK70879 MNIEYLQKLWDSFSHKYTAAQKNPSVFCFTLLNMLRIEDSDSIIDAGCGGGHLHQYIVEK KNPYARFVAFDFSNQMVKITAARMYKYLTQQQKGGIDQLTQEEIDNVNLNSEIFNQINYS VQQANIQELIQFQDNTFETYLSNLVFHLIPNPQQAMAEAYRILRPGGRLGITVWGSKNNS KALTIYQEALIRSGILEQPQGPSKFLESTQPVIEMAQLQGFTNIYCWTQQGPFDNFGLED LAKFSNPEVLELLKNAPLEKVQQFENIVSEILNEEKLKYQPLFLECILLVATKPK >CAK70880 pep:novel supercontig:GCA_000165425.1:CT868096:52944:54354:1 gene:GSPATT00000591001 transcript:CAK70880 MKLVLGLIALVALGSCASFLEEQQPMENKIYKIKLDRTESQARKSLFDFVTTSQQYRKSP DVLGEADLEMTQTKQKESIKLYNFKNTQYTGEIGLGGQDNKFKVIFDTGSANIWLNSARC NDYGCKNHKQYDGSKSPTYEHLGYDLDVEFGTGELMGEINADTAYVGGVSIAKQEFAEIV RENGDVFAQAGFDGIVGLAYPEMAAYNFNPLFDNIIKQKLLDRNVFSFYFSRQEGSRSSE LTLGGWDTDHFTGDLHFHNVANKYYWLLDADNILVNGKDMGLCKHGCKVVADTGTSLLTG PSDDLYDLLDTLNIDENCSNIKELPKLTFVLDGVNYDLDANDYVMKIDSEGNEVAYDTFA STDSFVEMGANCQCVGSFMPLDIPTPQGPAWILGDTFLSKFYSVYDRDNDRVGFARAK >CAK70881 pep:novel supercontig:GCA_000165425.1:CT868096:54353:55425:-1 gene:GSPATT00000592001 transcript:CAK70881 MNNPRPSQLPAQKATPSQLPPTAQQQVPEAYLPQQNWVPASSQIQPGVYGQPIPLQQTGL ITNPQYLQQPVYAQQGLVQSSGPVVTQGNAIKGESRIEYVPYTKEVTEYVMQEVVEYVPR ERKITDYYAVEYVTEHIPQVIQEKYIEYVPVETIKERTEYQAVTKQSVIQAPVDYQQIQT TQQYQVAAPIQYAQTYTQPIQYAQTTVQPVQYAQATTQFVPTTQSQYVTAPVTTSQYVPQ PYSTTTYGAPITTYGQVPQNQGYLPATAYAQPGVGQVTTTTKQYSTGWQQVYPASTGNVQ PQLQQQQLGQLQKPGQSPKYA >CAK70882 pep:novel supercontig:GCA_000165425.1:CT868096:56633:57782:-1 gene:GSPATT00000593001 transcript:CAK70882 MYYPYSRPQYYPRSPYPPQTQPYRPQYYPPYVPQSYPQQPLYSSYNGFQIPRANIPPPAH PMASQAIQSPNKNFQSPVRGFASPARNHPMQSQQQQPQNKPPQQQQLQSPTRPGYLTYEQ VMERIQKANVQQSYQPQQKSTSLPQPQQKPQPGQIQNHQQQQPKQQQIPQQQPNQLQVQP NITPNKQVPKQIESQMKQPTPPKDQEQYEEGEGDGELEELALQYEDGYIYRGQGFPPQTR SGFGILTDSDGQEVYAGYWKENFYEGEGKLKNLQVENISGSYDYSNMTNIGNGWEFYEGK FEGGKMHGSGTLVLSNQEKYVGQFDDGMIHGEGEFITNNDTVVKAIWNQGILEQYIE >CAK70883 pep:novel supercontig:GCA_000165425.1:CT868096:58017:59929:1 gene:GSPATT00000594001 transcript:CAK70883 MYRRQNITRKQIDHYSYLLNEEIGRGFSSKVYKGKDDVSQELVAVKVIDMKMIKQSIHSQ LLKNEINALRSFNSKHIMKLHDVFQTQNNTYIITEYCDSGDLNNYIRKRGRLEEQEAIKI LQCVVSAMVEMNKKGFIHRDIKPANILIDHSIPKLADFGFAVPVHEARVQGRNFNVGTPL YMSPQALRQQGHTEQGDVWAIGVVFYEMLFGRTPFNGQSEAALISNIMNQPLHLPSHPQI SSAAKDFIRQCLTVDDGRRMRVRDMANHQLMRQSTAPSQPQQQQQHPRQLQQRQAITPPP VEQQQQRVKRSLSQGGKQDIRYRENKENYYQQQNQQQPQKPTQVDNNRQPLQPQQQNVQR STSQQQVQKHYIKQKTQPAEDIKPLGISMECKVNNDILFTQVNFCRFLYKFSSSLLQCKV ISTELKDKLLFLMGKNIAIKITKLNLITDKENNQPNVLQLEDFPIYKKTDSYHKFSQAII EYNDKYMKYFDKLLKLCNSKSELQKDTTFVCLLNQDFTETETFYRITQQYIKQSLTEIKN YFRSQNLQNINLDSPITDEIQLPGLILQGLSNYYLLLQKAIEYLKDYKGFVRSTHTELMT DKSSEALTYGILEQLMVRLL >CAK70884 pep:novel supercontig:GCA_000165425.1:CT868096:60139:61109:-1 gene:GSPATT00000595001 transcript:CAK70884 MKEPVYSMELGELPGTRPRVLKKERNVGADKYIQAYLSNEKLKTTSSDIKMRNPLFSDFQ YAQQQQFGNGMQQQFKSSPQLLQSKSVNPEKVRHSQRNENLVEDSIDQFQRSSLLQHQQQ QNQIIKKSEVDQNYQQDQPQLSSKHNSRKSQNYEIHSNRADANEYYQKLQNNLANKLNTE REQQRKLYVRNNVLGSKEYYIPQYNMDVKFSLYDRPKFKDYTYSDASYKEVVELKNRYQL NEMMNNEFVTTSKDYGYGLKGYTKVPIYPSSKPQNIDKE >CAK70885 pep:novel supercontig:GCA_000165425.1:CT868096:61307:62895:1 gene:GSPATT00000596001 transcript:CAK70885 MGNQVYFAKTIDASIFNQQAPLNAQIQHLGGQFYQHIERVELYKLKNEVTKFPTIFEKRI ANSTSAEQEGKLLNLHNQAQSLYHPNLIKYYGFCIDPQITTNLIISKWYYQALYKTSKNI CAHHFQYKSLIPENNLWKLLYQIVQGLQFLESKQFWHLQIQPDAIYLDDNMQVRLIPMGV LRMMSGYSLVLNKQGQALLSPELIEQLRLKNINPVHDPSKSDVFSLGMTMLELMTLKSSF DCYSFDSNPPQLHDQTIEERLQESIMNGYSEDLIRLTKNMLHRDMNYRIGIRDVLNSKEL NQNQHNQSDHFFPLKPTINMNPRQQNVIQQEQKPLIQPSIPAIVEQQIPPQNHTACFNPH LQQDSISHFQQSYSFREKTDEELLLREQQINRQLEQQLKQQQILLQQQYQQQQKQQQIIE EQQRQIFSLQQQIKQIANQLEESQIKPTEILSSQNQQDNLNYQINLLPTPPITYASPIKH TLNDFTENIEIQNRVNQVLAQSRQALSRYQN >CAK70886 pep:novel supercontig:GCA_000165425.1:CT868096:64121:65316:1 gene:GSPATT00000597001 transcript:CAK70886 MKSIVLLVLVATAFATNLAAFEKLEQSKLGKTLLNTIAIQMQTGEPLERIFSTLYDLEER YQNDQREDDAENKAFQGVCDADLAGLNQEIANLEQRDTELQAKLDELQPVHDQKVGQRVA KLAEKQLLQKVIDEAQAKRQEQNDEFEAQREELSFVSGVLAEARRLFTDNLQAPAFLQKG QSEAVHYTPQVFAQVATHLNAAAHKAGQMKHIRTFGKAIKLMATLAVKSQQYANQELTGR IIQLIDDLQNQLNQAFDLARKTEDSRRQAYEAYSALLNRDMNKLNSAIANLEAEIQSLAD QLAATHSSQDDNAARLEAKTQQRDDRRAECQQAAYEYQQRRTARDGDRQTVSDLIGHLNT NMRDLKEYIAIESCCW >CAK70887 pep:novel supercontig:GCA_000165425.1:CT868096:65317:65902:-1 gene:GSPATT00000598001 transcript:CAK70887 MQAFKSPKQLQSAIYQYIVNQFSSQEDQSELLKTFKALDTNNDGQLSRQELLIGLSKVMS EQQAIDEVDRIMSEIDQNNSGSIDYSEFVAATINRSKLLSQDRLEKTFKAIDKDGNGSIS IDELKLIFGNGLVSDEIWKQIMHEVNDKEEITFQEFSGLMMNLTF >CAK70888 pep:novel supercontig:GCA_000165425.1:CT868096:65950:66993:-1 gene:GSPATT00000599001 transcript:CAK70888 MGQLCVEKRKQKQSEDNISELNQQTQNQPQTQESQFKNPNQTVQVQLLDSVNTHQNQSIH DVYKFLSPPLGLGKVILIAGAFAELRKGVHKVLGTTRAIKIISKSEVTNEEVSRVLHEVE ILKQLDHPNIVKILEIYQDSQKIFIVTELYTGGELFDAIVEFQHFSEKDAANLIKQLLLG LNYCHQHNIVHRDIKPENIMFESQDKRGTIKIIDFGSSRMFEPHKKMSFKIGTPYYIAPE VLQRKYNEKCDIWSCGVIAYILLCGNPPFDGDTSDIVLEKIKQGKYEFKSEEWDIITEEA KDFISKLMEMDPNKRYTAEQALNHPLDFLRIE >CAK70889 pep:novel supercontig:GCA_000165425.1:CT868096:67062:67430:1 gene:GSPATT00000600001 transcript:CAK70889 MFIYIVQFRLIQYIAVCQFIQFLNIKFLLSIQLNLQFKLYLDTFSKSFLSQCSAENEIYF LNMIIFGKLGKIINSRHLNHRLRYIQELTKYILSFQQIQRCSTVSLKKDQFSEFFTTFNF LE >CAK70890 pep:novel supercontig:GCA_000165425.1:CT868096:67716:68248:1 gene:GSPATT00000601001 transcript:CAK70890 MLNQEVIKQNCSLILKGETQEKGNLYLGNLNSIEEENLKSKSIKAVITAARGVNTTIKNV NHYVIEADDDENFQIIQHFQKAIKFIEQNLKSTNVLVHCFAGVSRSATIVCAYLMKIEKK DSDTILEKMKAIRHQVYPNEGFRNQLKLFEKKIL >CAK70891 pep:novel supercontig:GCA_000165425.1:CT868096:68423:69313:1 gene:GSPATT00000602001 transcript:CAK70891 MNKYYEFSKSKDESRLSIDSQELLRQVENKINQEQSPPFISPQKYQQLQNADHFDQKEQF DYLSFKEQAIVKRLFNLRDDYKQFLKNVQSNQEQLIQSIREVMKKVIEKGNRHLQDQEQE LRLQLQEIQKNKELINSYSNCQIIQSPLKQKNHFFSEITNKISELNSQILEFFDQDNSIQ SSRYQKKLNFSNYKELSSKKKQKGSPSPFKEIDRILQLKTSSSKTQLQGKTSPQDLNQFI EVLKLKINNTKNYNFIQSRTNISSNFLQSKLNSNSKRISIEEQLQSQYKTFFQSVF >CAK70892 pep:novel supercontig:GCA_000165425.1:CT868096:69681:72096:1 gene:GSPATT00000603001 transcript:CAK70892 MQYSLQKNFCIRQMSQFETNSQTQIPFQERGKPNSNRQLGVPNFSNGQNLKTFDQNEFIP TRQSQTNNYGQKQTSPQVFQYPQFSYPAMGQQTMKEQEHEEQIQRLMKENETLRFQWRTL EQENQKLKNDNNQFSNRDKSINSEIQGLQDQIQQLKTENKRLMNTIQEDQYQLLDLQKLK KQVELNKGLENEISDLEQQLSIANKQLQEQKRLNSFSEGEKQTQKEAMEKLKQQVKDLTQ NNEGQSSKNESLLNDNRKMKQALDMINKDLTNEQEQNKLLIQKINQLNQGSKDQINNLQT NLTNLNYQNEQLKNQVGLLQQKEKELQNAQNQNLQNEGKLRQMQQQISDQQRQIEQFTMT VQAGKNEYSKLATKCQSYEQDIWKMKEQINQLTNQNQMLQNKCYQLERTIDDSLSKSQIQ SADLKGSLEKWKNYGLQLEQEIKKREMALVQLENQYEHIQSQLKGSQDIEVQFRNERVAT IQKMKEMHDDLLLYEKKCDQLEREIQLLQEQNKCSQQNQDKLEQDKQQLNFEVKNLMEEL LRTQNQTDLKYRDFDNYQMKHDSSKNLKDREYQNLQQQPNMLFQDKNNQFGNDSPRKKEQ LYQMEPRLRNLESDIPNPQFNQTVKQQFQWNQEAPINNGNQLEFTENSQIYSGINQPYTN HSGYLSPQQNNYGLQQTQQQKPTEVQNSPSPVIQKPEHKRNNSQQLIKSKNYDLRFQNQP QQQQY >CAK70893 pep:novel supercontig:GCA_000165425.1:CT868096:72213:73689:1 gene:GSPATT00000604001 transcript:CAK70893 MFNLLLLFQISFGQEIILSKKTQKNANISETVLSQSLLKCPLELENQEFTINNSKVICEQ SDLLYTMKINLTKGNENFEMFIDLVSPWSWYKSSECEFYDQPLVNQTIQKNSCFDKNYIK SQKLEQESSTESQIIAHNFSLKGLLVVSNVQIDSKQFELQMLQVDNIFAKRTYLSDGAIS LSHFKGSGQQNFILQYSSNNKSILQFDLYTQKQTFTIRNDVPLRPNYQLFWINNSDINAP QWKFKIDQISLLDKPLSEKDQSYYAILTLNSQFISLPLGLSNKLIDVLASVKNISCAYAD SELFVIVCKNMNQSLTKTLSVTLNISDLQIKLTNLVENCKKDEEQKYKCQLKIKLSSSNT IFLGEPFFIDKKILLNYETGQIGVYKEVLDEKFQNKDALSFENLGIWIVILTVILVLIFC LMNLKHALKWFMRAIKYRKTQNFDDEQQLRSKEIEFNEMEKAEQMTQSIEENSI >CAK70894 pep:novel supercontig:GCA_000165425.1:CT868096:73735:75564:1 gene:GSPATT00000605001 transcript:CAK70894 MPKISSDKKLFFKLLGKELNEEELEELVFRFGIEVEEREEEPDLLYFEIAANRPDLLCIE NVVHALRIYMGLEKKRVYTFTPAKETIYVKAATQQIRPFVVGAILRDVTLTEDSFKSFLS FQDKIHQNYARKRTLVSIGTHDLDKIEGPFFYDAKAPYDIVFQALKQTEQMNCIDLFNKL REDQYLKGYLKIIENSPVYPVIYDNQKRVCSLPPIINGEHSKMSAETRNILIEVTAIDEQ RALHTLNCLISGFAIYNQKLNIEKVNIVYELSQKQVVTPIVDERTLTTNIQYINKILGIN ITTQQACDLLLTMGIDSVAKDENILDCQVPFYRSDILQQCDIAEEIGIAYDYNKIEFKVP ETATTGSEYRLNKLSDMVREEVALCGFVECLNFVLMSIDEQTKMLNRPNLQNYVSLNNSK TPQFQSVRSTLIPGILHTLQANSDSKLPIKLFEVSDVCVKGLKSEKDGFQKGEGQVGAHN QRNLVAIHSSSKKTELEILHGLLDQLMIKLRVKKTDYHLKQSNDPLFFHQLQAQIIYKDQ PIGGLGVVHPEVLERFDWKYPVTLLEMNIQVILNDF >CAK70895 pep:novel supercontig:GCA_000165425.1:CT868096:75600:78658:-1 gene:GSPATT00000606001 transcript:CAK70895 MISSQNFESDPYTLISTKRQIFGSENESNLHKINKQQSLADQGDNLSQEKQLISLYENSR NINFIELIQSRSSMIPTVKVLSPRNDQILQNEDGFYMQSSMHFNESLNKTWKKGGLKIIK YVARFIYQLKTKADKLKIKLMNQEIFLKLGDKSGDFENFKQGNQKYILNGLICQIFNKII FQTLSFWNFISQYLNKIGIIYPESTFKIFWDTVVVCFIVINIFYIPMSLSFELDKSSQIS TLLFETIPSYIFIVEILLNFNTAFYSQGIIHTNRSDIFFHYISNNFIWDLLIAIPFILAQ FNIPYIQFILLLRIARVRSMIQNVEDLLNAKEEVQAVIELGKLVYFLVLVAHMCSCGWHL LGRIEYEVYQDENSWLIYYGHYHQEWYDRYIVSLYWSVITTLTVGYGDIVPQTSIERLYV VVVAMVLCGVFGYIISMIGEILKTLGEKKALLKKSMKKVNQYIKQKQLNLQLSLKVRKYF EFKHQIDEQLQEQDDSVLNKLSGSLKQEVLIDIYKPILMKSKFLKENVPENLINNLCQRI KQATFAPGYEIVNVYDPANKLIFILDGQVNSYFISKGFDALQKNEKEVNSAMMQRNYQRG DIIGELEFIINSSYQFYFKSQTFLSIVYIERNDFLQVISEDEDCRQLFHQLREKLTYQKT YGRTCDVCKWTHRYKDCPFVFYQTNIYKIARNANASQNQQRLKYDRLRQVKRKSNLSDHQ NILNQGIDFIINFGYLNEDQLNESYLVQLGFDIQEVFHEKSNQSQDSQSQDSHQPDTHSI APKKVSSQFEKMRTSFMNQKLKTGLGQNVNILRNQNQDDDSNGTLQNPYVSYQRNTENEF DPRDYSQSNATFNQYNLTNNTIKQGSSPYTQNNLRTSVVRTDTNMPLKGNHQQQHNHPSV KIANGNYYVENENDKNQFQGQLQTNGTILYAPEIDIDKMKNYELYFPKFNVIAVLEDLKK HCHNQFNENKRRNIKEKLRSIRLNKSINKCCNSSFKNMDESI >CAK70896 pep:novel supercontig:GCA_000165425.1:CT868096:78739:80419:1 gene:GSPATT00000607001 transcript:CAK70896 MEIEKWKTQCRYLQNELDSMKQQLSDAKDLIRLNKEQLKICYNPQSFDQSSECKAALVLL KYVQEENEVLLKKIDQLSDERNLAFDKAFISDQINESNQRMESSLITSLNKTITELKKKL NEQVQMSNEMMGTIYLEKHLTQPDQLTLNFNNVIQQMNSMLLKQKLQMEELVEQKNDLAQ LNFSLSNEILKIRTQRFTPRNQTKTFFSQHEDPSKFINNLVQLQKRLFFDDDSQDEKMHM QQSAVFSPLFSPSLPQKVKRQQKQQIPKDFKQEPEKYIPKLDLTKAQQIQQFNIKRMQLL ANKLANEGVEQKIFMLQDELLRTKNKYHAQLVLNQQLDLQLTELNLQIMELQNVNETLIK SNQIYEEKWSSIFQQFSFYKEFYLKHKDQIQHNLQSYATSDDQQQFSVFEFEKALNSSKI YKKNTTQKNPSNNNPALSIINYQDDTIQQSSYRLPTDRQEEANKFLTQQYKCCLMMMAKE VISLDCQHVKPVQTEHNKVKIKRSYSNTIEYFPVF >CAK70897 pep:novel supercontig:GCA_000165425.1:CT868096:80941:81992:1 gene:GSPATT00000608001 transcript:CAK70897 MAICCISIGLLEAYTQFDQNIFQQNDSEYNIQRIMPRMAFVYISQLILILAIFFMRKVNK FQQFDYWIAFAIELIQFLIKIVLRNKFNFIDCSPSHKIPLYYYIDCFKLSLMLLCSTILP LFFQHKSITELPFEMECSTFRLFLAQPQFIELFYEYLCYFDRTKTQKLIMEESQHTQTNL RINNNYTSLFENETQFNILKSSLSKCFISYINFSLWLEKEQNESNADNDNEHLLSSEFQF IQDLISEQNVPKMPSTQKYNKQLANQGINDSGRLDFTQDQININKVEKIWLYKEILYEIL KEVFEQHFKYTNSYQTLYEHCERNRIIWIRLGQIGLTGNSN >CAK70898 pep:novel supercontig:GCA_000165425.1:CT868096:82302:82953:-1 gene:GSPATT00000609001 transcript:CAK70898 METLLEKKNPKMKKLLPIVFPKTQQVDSIEYAIPKNQLMSLHTLHMKHRIYNWQFLQKRS QFLSQLSMCRTNQYVSRTLQKKNSSSVQDATINAPNKYVNALKVPKQITKPILKFDMMAS TKSRANGEIKWLIPNCIGQIKVKLAQKILSQNHILSYKCCMLCSSLQSKTNNRAYRKNNN KLAYLCVQTFFVYLSQFIQAFLFYSNLTQN >CAK70899 pep:novel supercontig:GCA_000165425.1:CT868096:83262:83766:1 gene:GSPATT00000610001 transcript:CAK70899 MFFFGGVGSLLGGFVSGRIGHWTSLFNVAWFQTFLVGLFCVQSFFSYADKILIMTYSSGF NLGLSYSGLEALHAMMIAKLINKENYYYVANSAVSSLASTILSLVFIFLSKTTFMYFLYF MLLLIFLNVAFLVISQRRFSNIKQVSLINTEQSDSQTKE >CAK70900 pep:novel supercontig:GCA_000165425.1:CT868096:84044:87703:-1 gene:GSPATT00000611001 transcript:CAK70900 MLSIQQSQAEHQSLQKLQQYLQGLNKSIVKQGTLANLQTVANNLDAAANEIGFQLQSRDL NEFSHIVNKLSSVWKELYKAVYNSKMVNEFDNEPSDYQQKAELISQTKKQMKDGIGEIGQ FGGVRVSSYQTPQNKNMMRQMSSQQTGLPSISGQPQTEYKHKMNYEIDDNQRDILQEVLK QSNKLNMQIVMKRYDPKITIMLSEGTDTNLDIQEAIRGFNKDYLEIKLSEIIKDNQKNIE EIQKVMQEKHEFTQMGESSATEKLKQIYKTIYTKSTEMDQLMVEASKQEPQGARDVLEEF IHHVTHNYKDIQSETFKIVAKLFQQVQQKQKQDELLKSRTLQVQQPQHKKDKLSSSKRGN QSSADESQVSEKIRQELIEKKMEVDKKIQEIFELQNKVRQTENQYIQTALELESMKLNVS KSEQDKAQLNYEFKKLEKQLSSLNSKSFQDQTTQCSDIGQQNLISKLQKDNQQFQQKVYQ LKGELNKITPNSGRELKYQNTEFQDKSDDQNIQNSQNGSPRLTSRYKSVYEFDNQFNEDG YSIDQRLDSSQQMYKTSEISNRERLDDMGFERSPTHSNTVKANQKVKSKNQTLLETRQTD SPKSNSERSVKLSLQLSQTNFNPKKKKQKSILKIEEEDSTLNQSAELKKQKIKKQAFSNK VTRRQTTLEVASKDFFKNQNSIKDQDDTQAGTNLETQRTSSGQPMNQSKRIKSVDKKMNA TGRRIQTEGQEYYQESEDSQSENGNAKVKFSRSTTQKEHIKKGQQKSQSRHMALKKTVDA QVIETVEEIPSNRTSQQSLDKFTLKQSNSIEDADEQFKHKKEQLKFNGSSAIKRSSTSVP QQLQMIIKLNDEDQQQFTTYWHQRHIDQETQTENYLLMQLLQTTITQLDLPLNIKQTIAQ QLPKKLETAVAIALNQSQVIYQTANEGSEYFRQFEVASPRSSRLSQESFRQQQLRNQRSL DSQGKQKINGPQEFNQFEPPQTKTSLDSKVGQLTQRNSLDIHDQGNSEKSSILMKSKGEL EKQLLLQQEQALKMEGLIKPEEEDLGYEELAKQIMANENGGQSLEQFKQNDLQSDNFMKK LHNSMNNQKTKGAAAVQDMLKRFYKKNPKDQITFQEFKGFYQKVFAAHKQCGFNIVCSHL QRFIMKLGFTCSLFSKRKLLKTSLSILKPFNPLYETKQKFANQSNTNQNSSRFQTNQHFS IDQ >CAK70901 pep:novel supercontig:GCA_000165425.1:CT868096:88187:95636:-1 gene:GSPATT00000612001 transcript:CAK70901 MISLKISFLILAFFSASFGLDLCKQYQTYQQCIGGDSDKCMWDTIRHLCYKTNDYLQGCS QLLNKKACLRQIGDVFGELAKCRFTSVCEEIPDLKTEKCFNSLSKSGCLSVQNPEFVCIW KDDECTSLRASQWNQIQDDFESVLYSASACPHVQNYLVMHHTILWNLISYSPDLLTEADN LYKMELGIEVDNSKSYNDPATTSMQNNYQTSDGKFQWYTIRESKSITQSNLQKSDRYREG CIALEIESDSDFTTIFSLTGEVRGVNHVYCKYLNNNPSITDQYVFSKGSCQIYEQKELYD QNKIDAYVLDCHSINYTQCVYFNSLKQKCKLRGKDYEYPCVNIDEYPGQQVADAFTSSQC QDKLNYYIDTSSNQCINTCLAIDNNTACPTSCKDLGEKAISSQIVCGPPSLCDQIGLSKS TCMMLKIECNWNKDLNRCYTLTSNEILIMKCEDAFNLFVCTKVSLSDQLCYWQQDIGKCI NILDQPLLITHDIFIDGDSKTLCNINLCKHNAIATEYNQQQRTCSFLKDNFSNDLQQINK YHCIKNISGYCAWDQYLQICNCFSESEVKLKNCLDLVDVNEKLCQKAKLSVPTLTCVYNQ DTNSCIEKSSVQVSQIECSGNGLSEDACVQKSVNGEYCQYSAGLCRLISVEQINSIRCTS LQKVNNQVCKMQSIYQVLCIYDIVTHSCFSPTFQNSYAFDQNINRYACQAIQTAYTYFDV KFNQCVQFLQTDSLLLSTLECESNFVSQLACVSITTKGQNCFWDSNLNRCRNYSENFNNC DEYATAPSRVCKALIANMSRQFMKENYCTYTSNTCSILTTTLSDCGESEQMNIHRCGGMT GIDSSNNPVTMCAFINQVCRTLTQSKMDTNILLNTIQCKQANLAACTKVTTPGQFCQVIN TTDSSNIIINKKCSTVSLQSDCATLKSMAGTNPNICAFAIDNCLFNSSSGCQAPPDTNQE CDTNGLSLKSCIFQTLNRRCAFINYKCQYIPEYQITSTSNCSLLNSFSCRSTHHCNLNAD SVCEEIQPNNLPTTLNSLYCSAILEQNFYIKQGSICQKIDDVTQYDLYSCDSDGLNGFGC LNLPTQFCQYFNNKCQFSSDILCQDTPLNCESNNSNNQKCVLKNGSCFSLPNTSYQCDSF EKTNYLFCLQYPQCIYFNSNCQSIMQPYSVNSCSDLSTIQLCTAQNKQHKCSYDSDNNIC FDMNSGGSLCPSLDGYHSYQVCYNYKNCTHGYTKSGRGFCYLDSNFSSLTCEKLPEFLCT ENLSHLNSNLKCYWDGQCISVDNTITQCSQLITFQLNYNACLGISNNECMYSHSDHKCKF TAEYQGTVCQGTTLKECNKVKQTCYFDGTSCLASGSSLNKYGCTQQSGLWKYNINTCQQL VSTDYQISCSNLSKEACLSDVTKELHCKWSNLKCSHVLEFENKQTTLCDDLNKRACRDIR LSNLQCKWDTTSKSCKPVTLASADCSITSGYDLASLSLCSGQTSKTCSLNQNKNGCAEIS NIVELSSCNQYGLNKNSCINLTTIPCVWQVNPSGGGYCENANLYLVTCSDILNSYACQQV KTMNQFCSWNSTTKTCSNVSLTNCSSASHLNGNLGCKAVEAEPCFFNDLTQTCTKLEVTP TTCENYYNKKACELSQSICSWDKNTCVNKVQIECTRFLNKSACLQSNEISCQWLNNSCQD FKQIVDKLFCKDLPSDINSPACYTNAKDPCYYNSQFGKCSPSQGIKDQLADYELISNLIK KQTQEYQSPLLTSVCENLQTKEDCINSRKQDQACIWISSCQTLTSFDSQLCTNTLNVWGC LGIRTPNQYCIWQGKCMIWKSDYQLMTNVNINVCIQNSVNSIYLQNSCVGVSLDTIGCLS QGISKKTCLSIPNQGCYWNSTSNSCSEYQIANQRKCSDFNEVSPFVCQIQTLFACTYDST TSSCKDSTANSLETGISKLACLRNTEMNTFWNETTCEELTSNINCDQTLQVNSLACQSIN DKACIYNIKTNQCTSKFNPYTLKCDTVGLNLLGCTEVLTEPCIFKENKCQLFTDTTSPCM TISQVNAKTCASLQDQNCMYDAINKKCQSPLLSVDLCNVEGINKNICIENNLCQWNSDNL DCKCKTDQEKEYCQIESPKDCANNPNCVYSKNQCIKKQCYHLNKEQCKGTLDDKTCYLND SNGCSPAKICEDIIDASQPCQSIFINQVPCIQGHEQCISSNNFELFCPYSDCSNSNCFYE FGICRKKVCTDIKADECQQTEGCYLDINKQCQQLQSCSHISQKDFGDQALSICNKLTFNG FKCNWQKFALLDETEICTNQPCELYGPSTTICQGNEMNGYSCVLTSELICRQCEQITERC FCNKQTNVCTFINGRCQSIPCSSFLSKEQCSQASDRCYWSTQIKENNETLEGCVKECVKI IEADECNSRIDECYYESLIGQCKKGKKQIPDLSVDITIEQFFSPILSIVYLIIIIAQ >CAK70902 pep:novel supercontig:GCA_000165425.1:CT868096:95815:98906:-1 gene:GSPATT00000613001 transcript:CAK70902 MSGYQRTYQSSYTSQRFASDSIQKELNVPGYETRVRYKNDLGKRQNQELDIACFLMAVEV ERLVRENTQLKQEIQNFIDSGLDRVNYEMQIRDLMEKLKNQTFEVNSYSEERERLVIIIR ELEDEIRRLEEQLKDYDPNWRKSQRDLELQLQLQNKLFGGKAPEEISKELEELRKKAKIL DDLQKKIGAKGPDELLKELENLKKKAQQFDDINKKLNGKNFSDLEKELEKLRQKADKFDE ISKQFSNPSDIQKELDQLKKKAAELDKLKTQLNNQNPDQLLKSLDENKKQLQSKDREIGD LKRLLSELQQNQGSYDDQIRLLQQKIDELEEKVVGLAQELSRYKMLLKAKDDELNKLQLL FRDSETRLAQMNNELQRSKNDLQRAQGDLQRAQGDLQKAQGDLRKAQTDLSRSQQENQNL KQQSDDLRRQNQELAQENNNLQQDLENQTQNLGQLDEIKDQLNELQDEKNQLNDKVSDLQ NNLKEKQRLFDQKQKELEDALKRVKDLEAKLLEMDHYIDTLEDDLQKFEKDNQQLNREAG QKQLADRELERLRGLLDQMKNQYDQQQKELGKLKNNLDQMRDLQDELAQAKSELDRANSV IAQQQDELAQKENEISQLVREVQNLEESNNQLQDQNNNLQQTLQEQQAVTNGNQEELTKL RRIAEDYKEKIRQLELKFNEYSNMEERLKQSDHRIAVLMTEIERLNSLVKQITSETEDWK QKHSRIELALLEYRQIEKTNRDAVNKNQQFINEIERLKKLLEAKHIELLQTIERCELLES QQQTLMNQVQDALKKADTGDEILLQYLLIAAENERLSGILQPMQEKFNQLTTQVKEMTVK YTELQNKTLGIDLDEYERLKKLIIEYENKIAMLSLEIQRLKAKTNILDKNGQSGNFGSNN NINIQYNSSMFQSNEDLSNKITDLLCLVAMMSAELDVLRSNNEKQVQQQLVNQYRQNTEV TNIQTVQSVETTSGFSYQRISQNRKY >CAK70903 pep:novel supercontig:GCA_000165425.1:CT868096:99689:100231:1 gene:GSPATT00000614001 transcript:CAK70903 MGSIILVAIYVVYQIFDYRSSIKRAEENTQQGQTEEKSLSPPNPEKLEIASLKVMTLKDV QHNNGLCGKRVFVAIKNFVFDVTNSDAYKPDGPYGVFAGHDISISLGKMNISEEFLDMYG TVTQPEDEIANMNSWFSYFYTKYPVIGRLDDKKQD >CAK70904 pep:novel supercontig:GCA_000165425.1:CT868096:100352:101855:-1 gene:GSPATT00000615001 transcript:CAK70904 MNKKQEIINYPPDKRISSYIFSLKAIIGKGSYGTVYLGKHETTQKLVAIKVIDRRNVDFD IQSQEISIMKQLNHPNIVQLIDFVISVNNLYIITEYCNGTDLKTYLNQHNPLSEESALKL IKSIIKGLKCIIQNNFIHRDIKPANILFDNDQLKIADFGFSRRINNTMTSIVGTPMYMAP QILFKQEYTSKCDIWSLGVVFFELLFGKLPWMTNDIIDLLDKLQNQSLIIPKQPKISLKS TKFLQGCLEKQEKNRFNWSAIFDFFASKENLQIQFNSFNENNQSFDNKTQRFYYFNKTFR ERTNSSKFHYPKSSDSIENQPISQTKRREPSQTTLKKQNLQNIRRTQSKFEDLKQNQNST LIIRKHNVSFAQILTKVQLNTFQDCLKLQINKSNSKRKTQNLFQIIEFINTLSIEALKKG YHTLFTILLNQKSKLLLNQINLEKEINFIKDWVKLSVESSLKKKMELYLKWLKVHALSKE NQNNKLGNFHSS >CAK70905 pep:novel supercontig:GCA_000165425.1:CT868096:101879:106199:-1 gene:GSPATT00000616001 transcript:CAK70905 MIKTYVKRALLQRYLPTTGCFYEFSEDQDTEKKKTRLRYTYEEQQEVNREKQSNTIIPIK ATFYQEGYKPNRAEMIKKINQYINPKKQKVEEAIPETQEGEEGQEGQKPIVKFSRISRTS KQKIFREFLHEKKIFKYKRDYIFTNKIRPYKPTKENIEQQIRRELAGQKYINNPNLFDKV KRRQRDSENYILTDLIMTHDSFQASNVYEHMKSHFTSGLYAFMKEEQFNTLIFSRPQFQI YLNKLPEQFYLHYQKYLKSEHITQIIQEIEYNNNKFLPILRFCELKSKGQYFENQENFDK FLKKVPEQDQLLIQYFFFNENSTKLLNYESFLKGILNIQWGGQVNQETLKQQLATLLNVK FKINLNRELQLYLADLFFGSETFDKAVVCIALQYLLLQRLAHAFPIKDILECFKQTMGEE RYTFYLENELPQILQNLNVKTYYSQYQKGLIEYQQQKSQKSMTIQNYTNLDGIFLKGKCI EVSQFQVRYNPSSTNLIYSNQTVAYDFKQNAIKEAVLQNSPLLLEKELSSLFNYKDYKPN IVDVAHRQVYRKQLQTKNFLKYNLTKQFIYRKRKLRKYRPRQDIPKELLDLYTELRKNQK IQPLLQTICPVQTQTEKAPAEGGQEQPQESQEAASEEQPQEKTLEEEIFSFSEEKRQYNQ LRLARVKTFTKISKMIHNLIIENRPLIKEYRQKQFVQKPRSHFCTQKYEEFPRDEGTGFM NYLKSVESIDLEVKQQEELQQKKNYQSRKHGKIFLERGEAQQLKSIFSEDSENLIRIRQE QKKQSDMFQKVEQPQIFRRTKVSDTNEVVHKFNFEKFEKEKPIIPQLQEQYVIDNQLYNT DLRAKAHFQISQLFKEDQTRQQLVEDVQTFLSGIKQSQDGYNFYLISELISALEAKRPLE SKIIFAQIICQVKNKIEVNEKIRVKYTTQYGDIEKNYGKYINQTCTQFSIGSKGYNYLLD FILQEGNYNRLLYKRILAHYCLYETVLYDSTFEKITQITKNNKIPQTCAEFFYAFLSTKI TINFTQMRKIVHLLYQFKHFEAEAEQLIIGFYFAYQWQLKFEELQPIFQRLINQNKRDRY MSLYESLKDQLMGRKLRQIPTQDPVEVNKIMTEYKQQQKDQLKEFVRKFSQLLLDSKLPD DARLVINDAKRFRYQYEIFDYRLWLLSHVNYFEDALKIWADYGVKFPDVYDITMFKAFLN LLQQQETAHESDDTKALFQDVIRIYIFEKKIALDHDLIFEVTHTYKRLKEFTSYQNFMKF LLEIRYKCIPSHKFLFYKMMNFAEVDSVKETIKGIIDILFKEENHRRKDGKQKQNKKEEE KNYDLGNKGPNPLHIQDLTRENNLNNKKKRGVLVRGGKSKFSQIETDGIADKNKEEDGKT TKDGKTTKDAKATKE >CAK70906 pep:novel supercontig:GCA_000165425.1:CT868096:106244:108021:-1 gene:GSPATT00000617001 transcript:CAK70906 MSEYDKERIEYKHLMNQYRSRIVKDFWEEQTKIENQYIDDYLAKEKAKQERLDIYRRNQT VIQTFNATQQFNKLQDIRRVRNERVKKYLVEQDIKKMNRQQIIRVLNEESKNWISDKNSY EKFVGNLLIPDTVLDEISYYHDLQEKAILFETAQYEEIDKLNDPNIQLEWKNRLGMPLYQ RIVTLIKFLKQDNVLGNLKFEWDTCQAIILTDKKLSEKEMAEQLDKVNGAFQTVIAQKIE ELEQNPVKRLEEMNKQLIRLYNLLELWDKYITIVKMDNSTAQQIMQAAKAQINLFETEGG KDYMVTSDNSLIEKFNGKEIKSKEEKDDKYISADETHTDSEDIDLENLQKKKETKDIYGG RTTKSYNFDTERIKDKDKEFSKLVEELFAKAEAKAKDSNLEFESNYRKNPHQKVFYNDNL NAPNVPDARSVFEGVDLEQLFPSEVLNDETKTPYLDFKNVEDIENHYYELKAIYSLRKQG EQNILLNPDVQKFNTTITAQTYKHILNNLDVPQEYSKMKQDTQKLVDAVGEIKVTDADFL LKIWNYYN >CAK70907 pep:novel supercontig:GCA_000165425.1:CT868096:108133:109556:-1 gene:GSPATT00000618001 transcript:CAK70907 MEETSIKHRNASKSSSVLSMLAIQRPDKTQSSLQTVVGIVNSMVGSLCLVLPLVFLNYGV ISCTVIMIILGFVQYNTCSLLILHLKDDEIDTEHMIKRILGKPWMQAFRFCSGTLLFIVG IIYFQLINLTLYPIITYILSYNNIEFADASEKFVFNMYSIQWQALIVFLPLSTLLLIKDI TTIVKIAHYGVIALFAYGIFIIYIFIVNLTSEDISQKFDQITLWSWDFSQPAGQFALAFM IHNAVGQLMKNNEKRDNNSRDLAIAYMIAGIIYGIVGIFGSIGILGLENIGEAQTILNCF KKSDVEIIIIESLFLVHLVTAFPIFNNISKYQILEVLYHKREPPKVVYWGFSILFMVLCL TIQLLNIPVGLVISFDGAVCGFLLVYIVPISLHLKCYQTQNKVTLIGDEEDEFDTQVKCV NHKDKNSIPMFARIAFYALIMGIGIYNLIVQFYDIFKS >CAK70908 pep:novel supercontig:GCA_000165425.1:CT868096:110165:111933:1 gene:GSPATT00000619001 transcript:CAK70908 MVETNCSLSPKNKQIVKVIFMIELNIINNNINLLQMLINSTSQRKLKQNSLSQFRSPIII PTSQRISPVTSGLNSLKNLHSHKGSQIDINNLTRIVKPTKLSESVNNLQIQVWLAKAKEN IKVTADTKLQLPKKHIKFPSQQFMNFKEIVHTSISPKLNREILFSKSAFNFEFVIGIGGF GKVWKVQHKKTSLTFAMKEMSKALIVTKKSVHSVMNERQLLSQLKNSFIVNMNYAYQDRE NLYLVMDYMKGGDLRYHIGKMRRFNEQSTKFFIACILLGLEYIHSNKIIHRDIKPENLVL DEKGYVHITDFGIARIAKTENNTDTSGTPGYMAPEVMCRQNHTYAVDYFALGVIAYEFML GRRPYLGRSRQEIRDQILAKQVQIRKSEVPDDWSLDAVDFINQLLQRKPQNRLGYSGSQE IKDHPWFAQFPWDKLQNKTLTPPFIPNATEDNFDQKQIILEDEENNELIQSNIVLLRDQS IQDQFQGYEFYYHANSSSTEQSSGSSTKHSRNLSERIQFADKRKII >CAK70909 pep:novel supercontig:GCA_000165425.1:CT868096:113747:114340:1 gene:GSPATT00000620001 transcript:CAK70909 MNFSDKLKQPDPQTWELLQLYYKLFNYLEQCPDSPKRNQERTNQENQSNLQNTPSMLFLS PSEDQLSNPTQKQKHQWSKKVEGKGTKKGNVIIEDSNQGTRVYVCGMCGEEFEKSQQLGK HYQDMNKLLKIKDQNISNIHKIKHDKMCLTEEFDIQNSCYSQDEGTIQRDIQNFCNSQDE GIYQREDQSMFLNLQDQ >CAK70910 pep:novel supercontig:GCA_000165425.1:CT868096:115456:115998:-1 gene:GSPATT00000621001 transcript:CAK70910 MIDRSPKFKIEYMAPLSQHQKVLIEEEPNIDIIECDQTKISFNEKLIVCNYKPWQTVIEI TKTIEKLKGHSNVYWINPTLDSRLKSNFKSILKNSPKVQSNLF >CAK70911 pep:novel supercontig:GCA_000165425.1:CT868096:117364:117786:-1 gene:GSPATT00000622001 transcript:CAK70911 MRSNSTAYTPKNPEIFHKGHIHFFDLLSSFHGLEDQPDYPVQQQTIQDKLRHQYLHDNNW EEKLCYRTCFKIQEKDYVQFCLDKKCSGAPYLKAAQVLGYVKTGNPAPKAHGHHHH >CAK70912 pep:novel supercontig:GCA_000165425.1:CT868096:117965:119929:1 gene:GSPATT00000623001 transcript:CAK70912 MKTKIVSLFLKREHLILLSKMLQITDNTTDQDTQIQKIDSQNQKSENRILKTERWPQQDL DYFQNSFLQIANLTFSFFKFHFPANSALQIKEHIKFIHVVKQINFGGVTIEEVFKQQRVN YYFVKQTRLNLEVAKITKSVKLIDEPIMPKLMNSKIDLAYIQTIQDKYFFPKNNDFMTPY LILNLKPHIPKTTVRYQNKELQQSITSLEDIPPFQFMNTNLIQPILNYESLKNGFEYKFN KLLQRNSFVQCTIKRYSHKIKQFEIKSEDAHIEDSLREIKKLFYSQIKQLCDNKATSTLL DSNLKFLQHKNCEDSSCKFTPIQTIKDFESLLFNQIKEEEESQEIVPHSNFNEQPSDRKP LDFRQTPKLLSLNISQKFLQDSTMKQFESQQQSENESINRLEIRLIKPSLKSLDLKQSEI LNQSTNRHQRKLHNSKLQEQNDKSQSNYKFFNIPLQTIEPPNPSTESQVTEISKSIFTNR NLQQKSLDRITLKPIAYQTERMKEIRDSNKDKKETSFPYIKESLPIIGLSVNESEQQRLK EYESKFSLQGNLQKRIQTNEKEENSVKEILLFQKKNCNLKNQLQHQLILLTRSEQILTTL MSNGQIRGTELYQQLLQPIKVNSSAKFLTPKSNNLISRTKFKKIQQETKMFSLK >CAK70913 pep:novel supercontig:GCA_000165425.1:CT868096:123358:128033:-1 gene:GSPATT00000624001 transcript:CAK70913 MKKVQINQYREINSIAQIVKANQKIIQAELNQLLQQNSQILQSTSPNELVKLWFELNAEE RSKYILSGQHELSNFVQQELKSNFLNVNQILKAANFFDGVPWHYKITNKFAQNFCRLSQH YMKVLAYLKTILQGYFSMIDQVMISTVIDIEPLSNFQAYFQTKTEEGFTLFWEVAIDKVP KLVFQNKLNIKQIVGFNFYHTITFVDIKQENILDKDVKLIISNYHNDTKGSYYRKGIDYS KLKQEEFENEINKCYLFMRSTNNNNQYWELNYNLMKNDIIKWLGHKFISIFLNKQEQAKY QFDTSSQKWISKVDEYIQQNESQIQQEKLFKKDLVQKFADFTISSKLVEFLQKIPNFSIK LTDEQKQIIGMEGKIIFGGRSGTGKTTCLILRLLAMKHIFKLRIEMHKKKNPSIEIDDLY FNCLFISNNNILSIQAKKYYEKLNNQVQAELNKNKQKRNYQNSQNLSWAQGASQDSYRSN LLTQGYQNVQQDYPLFLTIQQLIFMIDSFLNKPYFPQNQSQQNLNMCNFVAGKNNEDMPF QVPNQYFKQDIDQEGEINLNGLIQQQLFKDNKDVENNTQNYYWKEEQKEKLINHQEVDFA FFLNNFWLKKCSNVQLNPHFVWTYIYSYIKGCSNAHEYPGHYISKSTFLRMVSDNKEFNI LYDTFVQYEKWRAEKGYYDLLDVVNHILVQFKQDEICMPQIHYLIIDEIQDLPEAMILLF EKIALFGTIYSGDTAQNISKGVGFRFLTIQELSKRIDQKDEYQYYQSEQFLKTLNQNFRS NSQILKLANSIVSLIQMYFPKTIDDFIKESSNFNGIKPIIVNGSLDLLFYLFEGLDSTLI NEEIQKQPIELGFRSVIIVKNKEQKKNIPKLLQHIQVLSIEEVKGLEFENVILYNFFTDS IIKEFEWKLLQTCEVIDEEMNKQAYLTSLTRKSTIDYYTSYFTGYDDKNGNILIKRMIPY IKYYDEFSYNNSGLCNELKQLYIATTRSRQCLYIFDEQPQQRRWIEQIWKSLLLVEIFEQ INFDHQNLQPNLQIKSKFQWKEQGKIMFQKQLYEQAEKCFQFSDEDIMCKRAKGFRLATE GCQLILSFQQQSQQYLKLKDKNQKISELKQQYQVRLQEAAYLFQDTLNFRQAAQCFYFCE QYDQAFQIYASLELFQEAGDAAYKSEKYIEAAKFFLKCKDITKTIDSYEKANEFEQILQV LYQQKDKINDAIRTAFINKYFPIFLKKLTIEFENKQQYYCQQNTVEDLARKFILSLKNIE EHRYLNDNIMESKKQFESFQQQFNFEEDSILDFQFNLNKFKECQNENETEDIDFRDYSII NPQRQCAIKSNIQCINSNLQDIKVQDSAITKLFKYIAMFSNEFQKSLLKNTNYQTEQQST YQIQIEQLDQSDDQLINLDSIDQSQILYVLDVLNQFQNYKLCIIICNRYSLQDHLIKYLS KIAISLSPLLQQQPGLNQNKLEITEQQVKKGKAASLTLHQIFQMIDSKFLIQQISQEKGL IPSKYLGNDFYTLLILLGFWKKIFNILYQFAHYLWTLEVGKVSIG >CAK70914 pep:novel supercontig:GCA_000165425.1:CT868096:128280:128920:1 gene:GSPATT00000625001 transcript:CAK70914 MLFIIAFLLQGVFSVQFTFSLKQKELKCFGENIGQNSLVVGAIQGNSSEYSLKIHMPTKK VDNILQYTHSQELHKFSFTTNNTQLNYQFCIHNLANDWTSYNFTLVTGMDAQDFSLLAQK EDLKPLEIHLKKLNGLVNAVELDQKEIEERQSNRFQNVSKISYKIILFSVITLLLMMLTN FVQARKLKQFFRTKKLI >CAK70915 pep:novel supercontig:GCA_000165425.1:CT868096:129012:131127:-1 gene:GSPATT00000626001 transcript:CAK70915 MKSILKEESMINITLKPLEQEVFLAEDPQTALLKLKCFQELINKERLAYAEHLAEVFELI GDDAYRNLGFILKQFVHDSYSIQLSIIRQLPILVEQIKKRQSGYAELKDHVLPFMNIFLE HQDQDIKKEVLTQLLKIAPFLIESDKVDIILAHLIEMAHDEKSNQNRMLAAETFGKCASL FSMKYCESYICGELLSLGIDLNEFVREKAIEQLPNLSVSLSQKLISYLQIFLRSCEDRLL QIKNACIRSISGICKYARHDLKTSKLIPKYLEFFCDQNKDVRKTAYEYLGEFISTIKFTE KDQQQLETLLAYYQNQLDKNINMMGVDLVYKCAFYFPGVLQAIGRNRWLMIQPLYGFLLK YPSDSRKSKFPQLIVFMKWLNCWVPDLSKEHLITVLETLAQDKLQEVRNGIVLNLSKFIS IFPIEERDYVIDLLLNFQKDQHKWRTRQIICTSIFPITRCFNNDTIFFHIAPILFKFCSD TVAKVRRKASKNVVHLVETFEEEGEQRTVILEQIRAFSHSKRFNQRQSYCWMCYKLFYRP DFEDLFFERLFELCRDPVQCVRLSAIIIIDKFIQKVGFSKERKYLKYLLIQFIDDQCQEI QLILTKYNFVIQKTKKKTLSCRSRNSGSSNGHLEDIPEFDEKIEQIRKSSGKV >CAK70916 pep:novel supercontig:GCA_000165425.1:CT868096:131354:133225:-1 gene:GSPATT00000627001 transcript:CAK70916 MQQVIPAPRVQVTQPYAGQKPGTSGLRKKVTEATQPHYLENFVQSIFNTLRKDELKPKNV LFVGGDGRYFNRQAIFSIIRLAYANDISEVHVGQAGLMSTPASSHYIRKVNEEVGNCIGG IILTASHNPGGKEHGDFGIKFNVRTGAPAPEDFTDQIYTHTTKIKEYLTVDYEFEKHINL DQIGVYKFEGTRLEKSHFEVKVVDTVQDYTSLMQKLFDFDLLKGLFSNKDFTFSFDGMHG VAGPYAKHIFGTLLGCSKESLLNCDPSEDFGGGHPDPNLTYAHDLVELLDIHKKKDVGAV PQFGAACDGDADRNMILGRQFFVTPSDSLAVIAANANLIFKNGLLGAARSMPTSGALDKV AAKNGIKLFETPTGWKFFGNLMDAGLINLCGEESFGTGSNHIREKDGIWAVLAWLTILAH KNKNTDHFVTVEEIVTQYWQQFGRNYYSRYDYEQVDSAGANKMMEHLKTKFQYFEQLKQG NKADIYDYVDPVDQSVSKNQGVRFVFGDGSRIIFRLSGTGSVGATIRIYFEQFEQQEIQH ETATALANIIKLGLEISDIAQFTGRNEPTVIT >CAK70917 pep:novel supercontig:GCA_000165425.1:CT868096:133233:134811:1 gene:GSPATT00000628001 transcript:CAK70917 MFILQLIGALIVLIIETFNRLELKQSLIYFYYRYGTSLREPTNDQIQIENQHSLIYQIKA HLQQTRQFDKECNYLNYLQDEATLFQYQIDCAIDTHLHNNQIYIIDYNNFLSVYQIDAEE IFDTEFYGFNKWISIKLIFQTIYLGEYYKSQVMDQILYMTTIHSLNMIHYVYKDGSINKF ESIQQDRIINFYSSNKQLLIISNTYDIQYISVMNYIKQQDVPFFTTFHLFHKGQYVVNIP FESSQNKSRDIINLIIIILDEFQQQIKISIIHYQMSVFSGFGSSSTIYQDEITNIYDSSF KSNWKEFIKYDTIEDHLYIIYGTQHYFIRPYQFSYERYEVIKLNCLTKDMGVKNRIVKLK LQGYNLLVQAYNYLSDSNQFYYLNLSIVQNNREQQQSICILLPQILEFLKVEDIRQCIHK NAILDFNILNQKFHSEIIFLLQSGDIVIGQLHYPIYQQIIEWITGSESEFDDISSIRTSK MWIFITIGLIIVKLGHHLYNFI >CAK70918 pep:novel supercontig:GCA_000165425.1:CT868096:135516:137258:1 gene:GSPATT00000629001 transcript:CAK70918 MDIFYLQMQKQPIQWNIQSKSTCSSKEENSKEFDWNDIEFLYQQCQQQDQASNVTNIIRS SQESEFNLKIDRLVRTNNQSSLSEVNDNEATLEINEDQKENSNELPQKPVIKRRNTSYRG HTKSFSQVIYEQEKFKRYRNNKRSARTLSMVSLKRSLMTPLFKISQQKNLKEISINYLNS EYSKKLIVDKNILACELIVFAIQEYKKTSGFDQSLLKYSNFTLAYQLTSIEEYCDFSASP SFKDSIIDNYRARQDSIQQFQYIFDDIEMNPNKGFFNLELNQKVDFKNVTLSIADTSNFG IDLFTVEKTHMTYPSQIILLLEDAENEIFYNLKFEQNATIQEIYNELKKKGNFKYNQNEC FFLLKFPCINMNNSEALDIKFPISLLPIHWLIIQFKIPSKKNNDQIRISGFGVKSLKSIS PSMIQKEEFIDQKNLQMFNYEEYKVIKIDSNGQQNEVIIGIDYFDLYYYYTTEKQQKYSF SKIAKLVVQFLLENHQSGQTKDYKRIQISSIIWVRLKNSQQLMIKYAKNEDSIKKIQFML PTNNNENDKMKLQEQQIQFKSIVEKLQFIIQERRKNNHSQ >CAK70919 pep:novel supercontig:GCA_000165425.1:CT868096:137464:139300:1 gene:GSPATT00000630001 transcript:CAK70919 MTQWLATTGQQYRPQEKQNVPFSVTLPVQTHNQMQNGTPRRSQVQSLQNPLLNRQQSNQP GSNHHIPHLQSNQQLSHQHSSQPINSQHEINSQQLLHQNNSKQGLEQSDLQQKSFEGSQH EAEHHFDQEPKFGSGDQLSPSPIVADPKKQAHPFQKQQSVLTQKFQPQSNNNKSFKKSST QANPMYLQPVTMKQVQPQPQIMFNNTPREQSIQQMKSSQVNSVQQSQQGQMHSRDEQDIQ DHQLIKRSSLTESKEQLIKQQQQSQQQQQQQQQQQQQQQQQQQQQQQQQQNLSQIHQAQQ QDQNHQSQFNSQKNHKVIPKNKDQKSRVQKKINTCYLFKHLNDQQKEIVANAMEEKKYKA TETIIKQGDDGDQLFLVDQGLLDCQKRGKQIEDKIIKSYQPGDFFGEMALLYNEKRAATI IAFTECVLWALDKETFDTFIKQPVLEKRQKYDGILQRFPILQQIDPYLRQQIADALYVVS FKADEVVFKQKDKGDYFYLIQEGQLKALKKGDEDEDEEQCVFEFGEFDYFGELAMLKEIN RQATIVCETDCILLGLDKQSFTKLLAPIHDVIKQGTGRYLNFSFN >CAK70920 pep:novel supercontig:GCA_000165425.1:CT868096:139658:141326:-1 gene:GSPATT00000631001 transcript:CAK70920 MLLVNESINVTIPSYQQESSNVQYVIDIKARNKQQWQISKRYSQFEDLHKKLIPLFQELP ELPKKAFITFLVGKSKEQLDDRRAGLEKYLQLLIVRREIYHSQLLRDFLQLEQCEEILPP NLLQSFKTIMGIRDISLSDQGVMFLLQADMSVLNRVDAYVNNMKMPWDAEQKEFKTIPVG QVECYIKQEEGELCYKKLWTKEYNTQAICMFYEPISCSLLVGLDSGNINFIKVSERDSFK KYEQSIEIEIHMSRIMGLFYRNGQIHSVSKDTHYKVIDIEQGGLKSDFSIGKHELTSLTY NEQRQISVVGNRNGQIYILNIKPTQPIVMLSVDTNTSFIRGLVLDYQKNYLISVSYDDGV ICVLDVGKCLQDNVGKIKTKIQAKIKTREVQWSSRRGELFVGNDDGTVTIYNALDLQPQF VLKAHDKAITKLIWQESTQILITGGKDELIKWWHFPKKWKAGDQDEQAKSKQLIEAKMDN ILKLQNQNQKVDSDEEDQTGLGNWHK >CAK70921 pep:novel supercontig:GCA_000165425.1:CT868096:141358:141883:1 gene:GSPATT00000632001 transcript:CAK70921 MIQCLFLVSRQGKTRLTKWYNQSLTTKEKQRFLKEINSLVLTRGQKMCNFLEYVEYKIVY KRYASLYFIAICDKEDNELLILEIIHHFVEVLDKYFGNVCELDLIFNFHKAYYILDELLL AGFIQEPSKKIILKAITSQEALIEEGNDDQSK >CAK70922 pep:novel supercontig:GCA_000165425.1:CT868096:141903:142563:-1 gene:GSPATT00000633001 transcript:CAK70922 MSLGCPREQFNTEYYNLLTGLEQSFFKEEVDQRDLMQLILSIAKLVEYFDLKKDPIKDYF LEKMQFILSRPFTICNLKKKEEESKHQEMRKSQHSHFSQNVQKLEMQSQLQSNSEENEET IKLLQEDYEQQLKDQTLLVQIDLNNQMGKFKNKLLHRKNKSLFSPCRANVEEEKIKRQNR LKTYQSSNSQNPYKEHIYLDDAFSFETLQNQ >CAK70923 pep:novel supercontig:GCA_000165425.1:CT868096:142759:143317:-1 gene:GSPATT00000634001 transcript:CAK70923 MTSPSRLQIQQALELEKRFEDGDTEYEQITELALIYRQFIEYYDLNNNPMKQYFIEKMKR LMQSPCTMQSLIVSAPSTRRNSPNGQENLKHYYQNYQYETMKNQTITPRGIKQLQNDFLY QKENIEKIINNDYAKQLQQVTEKLQQRKQKKCPGKKSPSRTFTKIYKPPTLEMLDL >CAK70924 pep:novel supercontig:GCA_000165425.1:CT868096:143346:145124:1 gene:GSPATT00000635001 transcript:CAK70924 MQFQIIGKNGVGKRTLIELLQQHFQLELVTSRPDVAIYLFDISDQNSLEYLKNRYNPETQ NKSTYLIGNKLDLIDRRKVSSENALKFSAKNGMSYHEISCITKQNVSHLIYTLQLQMQQS GNMSYRNQKSSTISVLKFNRISLKNCNVSQTESEVSPNSNRMDNSLGNNNNQKVNQSFRK SELNVNQSQIHLRRVQTYIQVEQDSPLKKKIDNQSNSALDDSQNSPSIKQDQIMSFSNKN TNTIQQTQQKQPEVNSTKLNQLSIDNTPKNSRISRWIENDTNKNQNQNSQVIFQRSPSKL QSQVFTSRSAFNEMSNSQDKFTTIQEAMKSGLEQFKQFQAEIEEKGLSENQNYIQVQCEK VFDSFNQDLFQQQEQKLKPEKVPLKRESINIYEISQFIKQENESTNKIKYFQNNYYHHQT KSTTLTTEQNPQSSQDYISKMATNKSLNQPGKNTNRNNSHRNKSQNNIVVQLREQVNTQF NATPERQLNYKSITPNKQLIKYVDLNDNKNEKLFTVQFKLKTGKVINIVMKKNDNLIKIA QEYAQKYKLEHNAYLQLLKLLKDKYRTILENHHM >CAK70925 pep:novel supercontig:GCA_000165425.1:CT868096:145169:145567:1 gene:GSPATT00000636001 transcript:CAK70925 MSNRSKQNASKGPDKGAFPLDHFHECDNEAKQYNQCIQKHENMPKRCRKYQVDYLQCRMN NGLMEKEDLSKLGLGPETSWETEEQEKQFLFDKINKMKSKAMEEVKRKSEETNKQE >CAK70926 pep:novel supercontig:GCA_000165425.1:CT868096:146595:146905:1 gene:GSPATT00000637001 transcript:CAK70926 MAKHWFTTLPSNYFMRQSRKGGRREILFTTLALGLAHFGLFEPLRNSYYQRGVLPVWERS VVNGQPWTI >CAK70927 pep:novel supercontig:GCA_000165425.1:CT868096:146971:147442:1 gene:GSPATT00000638001 transcript:CAK70927 MASLSSEGSTKDSETLKELLKQRPRIQKKQQAAKISKCNSNPCWNQEDLKKLEKCVSILG TDFDLIQLLFKNRTRKQIMNKYKKAKIQYDRKHKEKFLRIQDALKKEQEVQRHRLGSIDS IDLNIALDIHELSTKKE >CAK70928 pep:novel supercontig:GCA_000165425.1:CT868096:147479:148794:1 gene:GSPATT00000639001 transcript:CAK70928 MKGAHKQFAKTMETSLGENRVKQQQRSLQEGHQEIIKNLMSTIIGKPTIVEAQRVLRVLD QLIQNLEYCLYLDTEFIGRFQTGKFLKDAKQPLTEETMKLLQSQAEIEQKYRPYANLDTA LNQGEEIDETKKMESERLENLLQENFKNLLRRLQHCPKDYDIIKGMKTNINTEMSDLLHC VKCIKIVMLKKLSTAQEEQNSHVKQLEDLKSKISGQEKTKSQLEQELQKIRQERTANMNK MKEEIEKLKQSIAEVKANKQKRQDQLAKEIQTKYEGLEKDHKTKEDKLAAELQAQRARFI KMKDENTQEEATLKRRKQVQDQSLSEAIQIYDQMMEEYMKNLTDLQSECASIEKQLKDRQ EYFKAVDSEMGRERQLEEEFRRLKELHQIELEKKSEAAKHIQAFLQQVKKPSKKPKKAAK K >CAK70929 pep:novel supercontig:GCA_000165425.1:CT868096:148919:151114:-1 gene:GSPATT00000640001 transcript:CAK70929 MSVYIWGTTPSQEETATPKQITINFQITKVSCGEEHMLFLSNTNQLYALGTNNYGVLGIG SDDYSERSLTPQLIQSNIIDMDCGWNHNISLNINNNAFVWGKVLNDITQPKQIGQSIRYI KCGARHTMMINNKNQLYAIGANDSGQCGIQNTKRISEVTHVMDNVLTVACGVSHSLIKIN QQLLACGSNNLGQLGIQGIKNTFKPLLVDIPKVDQIAAGNHSAAISSGNLYIWGSGCFGT LTKPTLFLPDIVDVKINGTLGLGVDTQGQLYSWGSNVNGELGVGDTQTRTEAVKVLKNIQ HFGVGINYAIAISRPPQPVTTEKEYLAKTLQIESDERSALEKINRELKSKFVELEQCNSD LRKLLDVKSEDINTLQAQVENYSGTLANQESQIKDLKQQCQRHQTFQKDAISKLEKYEEF IDELENQLKIKNEEIDGLTNQLQNFKDSLKQYQDDETSQLNQFKNQLTELTEQLNYEQQV NEQLVEQYSKERESFQQRGVLIQQYEFEIGNLQSQNKTLASQTSSSLQQHQKLSEGLTIA NHRIESLQTQNAKLMQELSLLRTSIYSDLQKPQALEVQTIIGLNKELTELNNQLQNKLRE KDAEILKLKSQLGNLGTLKESRQSLSSQMKTSQCQNQLSQQNLNIPRKQKQESPNGKTIQ ELLESAHKHAKYMKKILKSSENFESDTPSPKKKLEFSKENSFIKNDSLSEVRERLNKLQQ NRQLYEQKLRI >CAK70930 pep:novel supercontig:GCA_000165425.1:CT868096:151650:152856:-1 gene:GSPATT00000641001 transcript:CAK70930 MGTVCVKKQRPSRSLNLKTQTSQNKENYPQELEQPFKQTNVIKVRENQSKQLFLSEFTTP RTDVNEKSIQSKSELTKVEPLKFHTLRKETDQNCYQNDDAPDLDITVQVPEPLISNNRVL KILKSLSPFPYDSDYDKNFTDCISLPPQVKFIARFQKFLNTGIIYVGQWKNKQKQGRGRQ FWPDGTYYEGYWLNHGANGLGRLIHPDGSYYEGYWMDDLQYGFGKFVDKEGNYYEGEWKD DEKDGYAIEYWISGDQYQGQYLCGMKNGKGVYLWANGNSYEGTYVKDQIQGVGTYKWPDG QEYNGEWSENQMQGKGTFKWANGNKYVGEYKEDKKDGQGMFYFSDGRTFKGTWVQGKQHG IGILTELDGKEAIGIWDKGKLVSKE >CAK70931 pep:novel supercontig:GCA_000165425.1:CT868096:152901:153856:-1 gene:GSPATT00000642001 transcript:CAK70931 MSTFQRPTSSRKNTNTLPPQQGDLEEYIQILFDHQKSCEKAGKYLEADQAKKRLAELKKE LDQKNKVDVKDRHCSEKQEIEKAHLDEFNQFNTFWDEKMIEFDQEAQKVKEQTLQRHEDE LRQFSEELENSIPVKPKDSAELLALRKTEEQLAKQENYMEAHLIQQKILNQERDELEKWT VGRQQKIRNLITQLRQKQINELNALTQRILSGQEEQRKIRSQELEKLLQKYQNVRKELES QQVQEITRLDKSCKTQSIMQQSRMNQSRMQQSYAKDENQYIR >CAK70932 pep:novel supercontig:GCA_000165425.1:CT868096:153940:155545:-1 gene:GSPATT00000643001 transcript:CAK70932 MSEGDLNKRQLEEKTEDSISKLLINLLACTFQTVFGYICLDVCVSISLHYIGQEISPTAN AGYGFALTCVFILLTPFGFGLNQSLNMHTSQALGDQKVQLAKRFFNINLYVMLFILVPLG GLLLILKYPLSLTIREDQREATSDYSQEFLYYLIPAIILALEFESAKCFMVAHKVTYPFT IIHFCTLAVHWFLCWLFIVEFQWGVSGAGVVIIITEVLNIVGLVLFVQFTNLKREIFQGT KIIMDVPRFKKLGISYIKTSIPIVLHIYSEFFVFFLLSFIALSLGVSEMIAHIGLQNMCG IYFRIPISLSIAMMSFVGSEMGKGNIKRAKFYVAAGLVIFAVACVICSILIWFFRNQLAT FYASDQEENELAARAKEIFTDTVPWLIGGMLIVDGLQGTLSGALKGINKINLVFYSTIIA YYLICIPLVSFFTYSWGLDQGVLGIWQGFGISNLILAILDLIVLFTTDWDKQSRNIVRRV KQENELQFSTLVQLEDDKANI >CAK70933 pep:novel supercontig:GCA_000165425.1:CT868096:155898:160924:1 gene:GSPATT00000644001 transcript:CAK70933 MYMASKQKLIKLSAMLEQWIKTTYLLNQQLKCPNVVQYLVFSLALFQPYYFRKQKEVEEN IIYKLLQIFIRPDIIIIHNGYFHGEFYIILLIIWIIQKLLFILICLLNSYYETYFKKLLQ QDLFLYGLQIIAYYQCFLGIYLILPISRIFLFAFYQSVQEIQEGIPSSTLKSFLCLLGQL MYTIDIIYFMKIDHHPTTYKTIKCKQFQISNFDYYILKFRLLQVIIADQNEALYLQQIEQ ITIILIAVLQIINQIRNCGFIYEAQKKIFLSCQAFLIMSGIVVQINRIFSYCFLQQFHQQ YIFYISFKTIFLHKSKDFKQMVDLNINQILYQLVRILNNCDCGPNYKNFKQSLIYFHHKK KCKTASCVCELDYFITESTQINATTSLMINNFMKSQYKQLIKKLFLVKMKNQVKQDLLIS TAILLHDFNQMMVSLQILSQLKKSKENKIFNVSFLYHFMNQKDYKENEQTKIRIMLSTIE QIQINLVLQQSRIKFEANLGTSLRAASQTLIRQYLNEFLISQTNQQELILGMKECVRLKI QFYEILDSDSGFTFNQLYKKVKETSKYLLKIEKQFNSLFTLSPDLQLQQAYCFFEAQIMN NLLAAFNIKQSEVNLKESQIKYRGIKGLNLTADVNSYILLSVDENFRTFSIIQSSHNFFR LFGMYFDLTTYGFNDIIPQFYIKQHENSVQNFFHSGQNKFYRNFNYTFIKTHNGLLKQVS LCIDNTQIFKLDKFIFVCLLQSVNTELPILVVDAQTQIVYFTPKTLQAFGINEKQQKQFI EDGVLHQIGIQTIIPYYNMMIKAEVNTDIITTFCFFLKPQYNQESILTQSSIRKDSNFFI WKNKENINCFSIEIKVEKVNLQLACYYQLEFISLKQILSHDERKKVLELYKPCLGESTTS KGSKWKGKHLKILSVLSFRQERKKNTLKLQDSNLKQSIMVNQNQGQSKNLNQSSFIQQNQ SQSNLLSSKARNEQEFYKSFNKSFVESDIQNSQLDREEHSPEKKKNKDGKASKANNQQLE RIGQQSSSVAGFYKPFFYNRYYLIEKMSGKKNPKKIDSFLFFFIGQSLIFFIFSFLVMIN MTSDMTLIIDNIEMGSLHASIMGPHDLFFSMRITVSSYQQMQREGFLTQNQMVQLTEPFY TNIRSGYLELKDSFYKQLRNVYLQEFFSDIVLNLRFMNENEKELQNMSTSFRESLLTILQ YQYAHMRIIEQRQSTSGKPCQIFLMANYFLFHEKVELITQEIQGWLIDTKDTIDNKGKIF WIILQLLLIVFGFIGLFNFNLYIKYYDQFLLIFNEFNKQLIYDQLNRYDLIHQQLQSNSD DIMRYNFELELYEHEKTQSQYGRGKVHHFKLLNRNRQHLSRFHVYSIIVFITVVYLVYTS VIYYKQETFLSKYNDTITLYKIIQDLKLKSGKISLRWDNFTFLTQGERDILYQYIDQSHK IIAQYIILSSTFDSSQYLVGSKFEDKFMNIQKNNICLEILDQLEEYMLLYCDKSFEGTLS KGLIEALNYITNSIKTQQQINNFTKRVEIQLYEQEGSQIVTRSFFGLSNLFLQSVKDTCN ELNNFLIYFSLIYMLVSAFLIIFIKQLYRQYLINEYHQIRQSLFLIPKESILYDESLERY LREIALHQGLI >CAK70934 pep:novel supercontig:GCA_000165425.1:CT868096:160950:166095:1 gene:GSPATT00000645001 transcript:CAK70934 MNQYSNIKNYMLQNKLNQNSSLYDLWVMTAIFVRGQFACSYAIEICLLVISSLQTLIMAI HPTFTQIKDVQVNSSLDLIYTFLIRTDIYILINQQLQEELIYLFILVVVLISKIGFVFLM YMIKHHFHSDKFVQQIQHHMPLQIFVRMQSFYQQLLCPIFITPFNTIILLSIKRSVTLIK SDQPIQYVNLTFGIITFILLQIDQILICSINRQPITFKMRPMERLKFTKSNLIIYVFSTI SIILFTLIDNTKTIRIIQYILVFVIQIIAIRNQFTSSIYIYRYQEIILYSGYLFQLLFCV LSFVDSFSPSTNYIFLLLVITPLMLVYILSNINYRKTQEFLLFFQSTNTPNIKIVMNVLI NMLNKCDINENYIVIRSSLINYFHSKQCQQKQCSCTTTFYIAEPRQANAITGQIFRSFIF EKISLIKKVINSKNSEFYLNQELLIQYAIFLNDFGWTMLAAKAFNQILINDTVYPSANKN LNNEFDKTTIQKFTKELTIKSTTQQYKKFKSLDSEKDKQNANDMKLGFKICLGIIDRART IQLFNQTKWNMKASFGSSLQAAQQTFISDSINQYLHYEFIVSKIKEMIINLINSKVSFFS ELIKEQQQFDLNKTLKKTNKLCNDLHSTESYIKNQFEKYPSIRLQKALTFFLAELYSNYI EANKVYNQSINVDLKFIQNNHSALNLTQQQSAYVILSLNEDLKHLEVQTISNHMLNLIGK PNQQNTCFREILPLFFYQYHPLMVNTFLSTGKSRYYRNFSPNFVNVHDCLVKGIQLCYDT TAIFHHSQLVFVAFIQELVYEKCYIIVDGFDKSFVSFSFNFLQKIGYDDNLIVKMVKHKY LNEISIYNIFPQFDEALLRQQQDNRLLVEKLFFFDYLKIRKSTVVENREKRMSNLHPNIW KQKEKVLSFIAKLNIIERTHQDFSYYIIEIQDIQQLSGQNNNLIDEINEQESLISETSNI SYSQSCILSQVDEDHANQIYVQDLNNQVSIFSPLTTFFNNNGDQSTRQIQTQIKDTNQNQ PAENLINESETKKSLYKVRKQSQQAFYNCKLSHIYSFKDKSSQSSQEKIDNKQSQQKQQD QQQQYAQSQSSVASINQSVNYKKYELVGKITSQKPPQKVNQFIGFLLIQNFVQMIYFIII LSLMPSDLDNSITEINMIGLHSDVMAPHDLYFSMRVTLSSYQQAQREGFINLTQFTQLTD PYYDNIYLGYQELKNSLYKQLTNPYLQLFYNDYNMNIRFMKDNDSSVVSEQMTFREVLLV ILQYQFAYAKKYGRRESPSGSTYQVFLYANYFDLHDLLTQITEEIFVYSKERSVSVNQKW TIFWLISILVISCTSFISFFYYNQYLVQYDKFLYLLDYFSLDRMENEIQKLKSILSQILH DEKYIFDYQFNFENCQIKIKNSVTNNQMNKKSKKLKKIFKVSRIRPALILFLICVIFVSY STLVDQSNQNFFEKYQSTIDFFKMISDLKLRSGSIVMQKELFYRWVNFTYLTEYDKQRLY ILVNQALQTMNQYVLYANSFNFDKLIVSDTFIQYYNNVETENLCDILTDDFKNFMYKQCN LAFEGTLKQGTIQSLNYITNLVKAEQGVNNFTSRLSYNLYEQEGSQVITRIFIQLNDQLS YGIEEITKAQLSFSKSLSIVYFVIAFFGCILIAKLLRQYLIYQYYLIKRIVNITPLQILI EDESYERQLRLLLQQQETG >CAK70935 pep:novel supercontig:GCA_000165425.1:CT868096:166663:167849:1 gene:GSPATT00000646001 transcript:CAK70935 MGQTCSVSTCCSKEELYTVRNSSKSIEIQSKKSKVSHKKEQEQDKVEGTILKIKSDTVSD VMVSSQHSKISIKKIEKNLTTQIQSQQIHPLILQTIGMKERSQLPALQLSNGATYEGEWL NGKRDGFGKQQWPDGSVYEGDWKEDRSCGKGKLIHADGDVYDGDWLDDAANGLGTYIHLN GAKYSGEWLNDYQHGKGTEIWPDGARYEGDYYYGKKHGTGKLNFADGSCYQGDFRDNEIQ GFGQYLWPDSRFYVGQWSKNKMHGFGEIKWADGRRYKGQYKEDKKHGKGTFYWDDGKKYI GTWVKGKQSGVGIYYQSDNSFKIGEWNDGKRIKWYNQSEIEELQSQGILDDLRNQ >CAK70936 pep:novel supercontig:GCA_000165425.1:CT868096:167919:169507:-1 gene:GSPATT00000647001 transcript:CAK70936 MKQYKNNLEKEEEQALQSTIQKHQVENFIQENKEEVPNQEYQKSYRLNQIREKKQNSIKQ QLDALSTATSNFYKESNENQIKDQQTIVQFYKKMDQSQINLIKQQRVANRIISAKPLKHL VIKKGQESSQFQQFESHEQKRSLLIFQGQGDKQLQQQDEEMISVNQQISQDQHSQIFKRP SRLRKISQSNGAYLAQTSQQQEPQIESQLLESSKEQIIGNQSQKSNKDELYDSLEIETIQ NISKMKESQFDSITKIEFRFNAKEGSLYMLGELLTNLQELTLDNSNIESLRLLGTKLTFL QILSIRKSNLVDLSGILSMPNLVELNCSFNSINDVSPLAFHSRIASLDLEGNLLTDEYQL GHLESLNLQNLNLKKNPICCNQKLYEIICHRFAEIKLEIDSNSKITENPTDEQKQTSKIQ SQEQKINQEEQKIKQLQTLIDDLEQNKSLCQSLKGKQQEKHKLRRPQTAQAQQVKPASPL QSQKPDYYDLLIDENCKDPNKKSKQIYSLKKPIF >CAK70937 pep:novel supercontig:GCA_000165425.1:CT868096:169806:173671:1 gene:GSPATT00000648001 transcript:CAK70937 MNSQKISNQENQFKGEINDEDNKNEQTNQEDTNNSENYKRISESEKQMIEGEENQMHAIQ KQQNTDNHEENEENVISNSELCDESKDNNKRENMNLEQNNSQINQANCKTQEEENRNTKQ LEGMFNEEQHQQRENEKKGKIQEQNEQVEYNDDKQKEFQVLNEYKKNEELNEQTENQDQN QNEQKQNEELNEQNSKNLKDQNQNEQKQNEESNEQVENQDQHQNEQKQNEELNEQTENQD QNQNEQRNDEESNEQVENQDQHQNEYKQNEESNEQVENQDQHQNEQKQNEESNEQVENQD QNQNEQKQNEELKEYTENQDQHQNEQKQNEELNEQTENQDQHQNEQNQKIKIKIKMNKKQ NEELNEQTENQDQHQNEQRNDEESNEQVENQDQHQNEQKQHEESNEQVENQDQHQNEQKQ NEELKEYTENQDQHQNEQKQNEELNEQTENQDQNQNELKQNEESNEQVENQDQHQNEQKQ NEELNEQTENQDQNQNEQKQNEELNEQTENQDQHQNEQKQNEELNEQTENQDQNQNEQRN DEESNEQVENQDQHQNEQKQHEESNEQAENQDQHQNEQKQNEELNEQTENQDQNQNEQKQ NEESNEQVENQNQHQNEQKQNEELNEQTENQDQHQNEQKQNEESNEQVENKDQHQNEQKQ NEELNEQTENQDQNQNELKQNEESNEQVENKDQHQNEQKQNEELNEQTENQDQNQNEQKQ NEESNEQVENQDQHQNEQKQHEESIEQVENQDQHQNEQKQHEESNEQVENQDQHQNEQKQ NEELNEQKQIQNQNFNFNEYNQVQESYEQLENQYDHQSESKQNEKSNEIVEIQHQQINED QNDQVINSELQKIENQNVLEINQDQQQQAQSNAQFEYQDQPSFQIVSNDSPQISAFSQNL ENTQSNLIGTFSQYNQSNIEQNLNVEQLFFLFLNTHVQDSILKEKIIQQYSVLHQERQYE HQSALKSNKEQIQSETLIQQIQYLEQEQVNNKQLQEEMGNKIQSLEKFFKEQLDVKDAEI SNLQTDLSDLKQELDMKKQDINELEQFISEMSLEIFEEKYLNRESIDSPNQLLTEVLRKF QQLLNQYQTSLYDLINKFNFDQYESVEQNNLSKILYEKMLIQQEQRFNSKIVEQDKSIDE INIIIKELVQQTKTLKDQLQKNCSLLIKVSCEIFNGLQSLSKSKNQIDKIKAITESVKSE TSLFQNIVQKQFDIEESNLGDQNKVLLLELFKELIQKQEQAEKFLNNFKKSMSLRISLIK ESEQKVLNYMAQTQQSNNF >CAK70938 pep:novel supercontig:GCA_000165425.1:CT868096:174400:174833:1 gene:GSPATT00000649001 transcript:CAK70938 MQYGKRGSDLTKSKIELLFSEQLEKQILPTESVESLDSFLPQFHCSNQEQLESEHRIVLY LRQLGVQFKTDPRNKELEYYEKSKKKGIVFQESISESPQLGPQKNNEIFQCKQMNNGEFT PWLNQLIVRKKQSLES >CAK70939 pep:novel supercontig:GCA_000165425.1:CT868096:176331:177645:1 gene:GSPATT00000650001 transcript:CAK70939 MNNKQQELFKLLNNANFIICASMALIAPFFPPFAFEQGLSKTLVGIIVALHPLGGIFGSI KIGEVLNDKNRQIMLTGSMIVQAICLFLFILIYLIKTYWYITIISLVSRVAYSVFITSFY SYIPFLFPEEHEQKIALAEAASALGYMLGPMIGSLLYSIGGFTFPFITFIIFSILCGYYI HNYEFEIKKKECEIEMIEINLHSQHSEPQMEQPLQYLEVLQQYDVYINALMCVMVTTSIT INFPLMAQYMQDHYQIQESTVGFYMGLQPMCYTVATILITKIQNPNKPYYMVAFQLLNGL ALFFYGPDPAFTGIDRNLLICCISLAVTGVAQSFPLIYSLPQLNESLVELYPNSVKQCNN FASAIFSASISLGEFSGPFIGGFLSNFCDLDRIASITGLLALAMCISYIPFLQKHMKK >CAK70940 pep:novel supercontig:GCA_000165425.1:CT868096:177725:180973:1 gene:GSPATT00000651001 transcript:CAK70940 MSEEEGERLLKDQGSMEKQGYANQKNGDQNKNKTFDDQSGPSKFDAIVILILVFMVGLSG FGLLKHYNGKLALLIDLVIIPSQPIDNDVRGDQLNIHQKDSGVQQVLNKINDGLQNVSDN KAPKEDELAIDSVLDSYISKIEQYTDVQTDWVDDDDQIIKKIEEQLEDQQNVVQDLEELI ISQESEIQILKPVFSKNIYTYKELQNGIKVLFIQTTTEGIHLTITLNIGKQNDPQDKPGL TELLFRCLQTNFYIEIYNQYTTLTAQLSLFEEVNTKLQELYNILTKPQFIDIEKNAKDLF DDLLHDSKSGDLEYVSDFLSQQTELKELKILEVDQEQLYQQYIQHVSADTLSLIFKTQDE YESILDKLFQSDITKLKNLHKFQQPIFQNRHPIGRHVLKFKSDTEVLTILSLSDQFSCQR FLAYLLPKPFYGAMWQNHLLITLDIELGNILISINKLIQFLDYLKHADETQLQHLYSQML ATEELLFNTKYEENLVQIGYNLFSDPIYVLRGQESEPIFDRNQFNQYLSNLANNFVVLVG SENFQYNQTYESQKDDSIFILDAVINKIHQGFTYDLKPLVKLFELNNQYQFSLPSISPFL PENLAIISVCEPVYELQGFGFQQVDDISLTVKDGKFDGSREPKFETVEFVCEYPLPDFSV NCQEKEKSAQVQLTPFQIASNVWWKPSRLGAMVFTGLFIEKPESTLAQGKTMLKLLQKYY SELAKRLFQQEFLFGYELSFKETINGLNVQMLSYSEKQLEFQDKLFDLMSIDDEQLFYYV KDLLNKDIKRFHDQKLSLLTQQYYLPKIMLRPINTPQEILNVLDEVDYQKFLEFQGSLLS SKIEILHVGNILPNDSLFEDSQETYLTRTLNLKGQNLKYIVQRESNSDMTSSVLNYYQTG LKNIETTSKLYFYADFLQSYSNNYFKMGQQVLIKRKPLGCADGLQVYMQGVLPSEGNEEI EKFLNQANLHLSNLQDEDILEQKLYTINKLYNEIKFKTLQEESQFIWDKIVNKNYAFTEI QDVIRYLDDAFPQKLRECANLVMQGKMSVQVFAVDQSIENTEGIQSLEQLIDQDVYECFF TL >CAK70941 pep:novel supercontig:GCA_000165425.1:CT868096:180997:182736:1 gene:GSPATT00000652001 transcript:CAK70941 MLLKTKGDVDDNNLDRMNDDETTNLVVPQFPEFKHPGQGKQVLMRPASKQFLNSPSKLED SLSGSTKITQVNSAGHAYDYIVNRFLQTIKKHLTSPQRSEVEGQIIRTLISQQTKLQYML HIDWAKYYTSYLQHINTKVPGPINNQPFFADQCSTEAIYKPNLKQDVDFVLLNRNTWVFI HCLYGGGPEVSVQDYSKGEGFENSTHKPTLSRVSSLQSGLVDTVSYMSITLPFQKSQFEL PPIGFYNESNYCFMHAAIQTLLSVEQINCWMMNEGKNIANQQSDKYRWLNAYLEIITIAV NKKAGAFIKIQLLKQLIKSKFDPRQQHDSQEFLRYFIEQLTVEIQGQFKYDQPITEIVFQ GQMMSLIKCDQCNQQSTKFESFLDLSLPMNKLQTLEKCLKLFFAQEILSDHYQCENCYNE TRAVKKYVIGTPPLYLMIHLKRFQVYPNRIKLNGHVRFPISLDVQEFCSIKAKYKLRSII VHQGTPERGHYVTFSSRQDQWYYFDDHKIYLVTEQDVLQQQAYVLIYEKVEEYLIDMQ >CAK70942 pep:novel supercontig:GCA_000165425.1:CT868096:182767:183489:1 gene:GSPATT00000653001 transcript:CAK70942 MFYLLLIIKVQMFQGINNFVNSFATPKVQDFYSKGWLTPEQFVEAGDQLTMTGWQWKKAQ VKKGVDPPHPEKMYLIANATSQTRIKEFLSFDFQNNQGQDGFLCVDMSKKQQQALNEQET RVYTISITYDRKYHCPRLWLQGVALNSGLPLKHQEIYEDIMSVYQNETVTVEEHPYLHYQ QVTIHPCNHSTTMKAFLDKAKQNGAEIKPMQALFIFLKFMQSVMPTVVYDTTIDICLGVD >CAK70943 pep:novel supercontig:GCA_000165425.1:CT868096:183509:184768:-1 gene:GSPATT00000654001 transcript:CAK70943 MIRAVILLGGPSRKASYGAYEQASPLFPVSGIEIIGHLLNSIHKMPNLKDFVLMGYYDKK CFQQFQEQYQKLYGKHIYYVQEQREMGTAGGLAQNLDVLFEEVEDLLVVHSDICCDLQAQ KFYEYHKNKSGICSIMTVRVSKEESTRYGCLIKDPNTDQLIHHAEKPEQYISNLVNCGVY LFNQSFQTTILNVKAKKEANLSEELQDQPFSYLSLENDVLKLTERDRVFVYEHTGFWQSI KSTTDLLNANRLLLQYYRQNPFLFKNPEFEINGEGVLIHKSAKIHPTAKLGSNVVIGAGC DIGEGVRIKNSILLDGVEVKNFSFISNSIICYNTIIGYWCRIEGEVQFLGPCVIIDNELF LRDVICLQNCRVSESKDGGCLM >CAK70944 pep:novel supercontig:GCA_000165425.1:CT868096:184844:186941:1 gene:GSPATT00000655001 transcript:CAK70944 MDCLKFRKEKLYQQTLLNTNETRFSDKELNVLRKRFIQMTNGCKNINQEQFKSNLDVLGL ESISCLGDALFQAMDINLDGHIEFEEFVAYFDKITYGTQEEKAEISFRIIDQRRNGYITY KDFSFCMQQLIKSYTIMKGTDLTDDILDHMEKRQQQIFNLIDINKDQKISLQEYIIALTR NPQVLDIFEFLRKGVTQTIKESQHKRDQKMLNQFDQLRAQTALILNSVHGQQDRSLHLSK HLSQNSKYGVDVLFDEAEISESVSEIDEMSMIDQMSHDQLKLKFKEMYSQLQKLHQDTEN SLNKMESLYHEKLECDEIQEQILIKQVSINNNKYKKSSVQIGNEIWDLVINMMVGIQMAV KSSNAVVETFISTADFDIKQCFELISHQNKDKCKFYDYAPKVFNQIRRLQMIDNDNYLNS IGPENLLFSFMQGDLSTLTELTSTGKSGSFFYFSQDGLYTIKTISEKEFLLFRKILSNYF YYLKNCPNSLIIKLYGLHKISINGRKIFFIVMGNVFKTEFQIHKKYDLKGSTYKRTTQQN LDPDVARKDLDFMANNEIISIDPKKQQELLEQIEKDSEFLSDNNLLDYSLLIGIHIIEDK KDIQMIANANDIKIIKSNDQTKIFFFGIIDILTEFDTSKQMESFFKKIFQGPQISAIPPK DYSQRFKNFMSQILTQQNFY >CAK70945 pep:novel supercontig:GCA_000165425.1:CT868096:187227:187780:-1 gene:GSPATT00000656001 transcript:CAK70945 MNKEIQQFLNNFFPDLSKPPKPDLEFMDEDELYEWLHQRLLLFIVTKDIQIGAVAIQQWC QRGYCKSGIPLVHQFLTIMAGQQLKKNPKMADEIINLMQDDEEKSKLIYQLQQTQQQQVS LQVSDSPKVLKAQPDKKDPSIFQNILTALRCWDKKQK >CAK70946 pep:novel supercontig:GCA_000165425.1:CT868096:187860:188717:-1 gene:GSPATT00000657001 transcript:CAK70946 MNACRIGQKWIQQKSVVFKIGITKSLKILLQIFKVYSYIDYKKSNPRPKNNISQFLKEMR CLNQEFFMQIIIDAKFLLGIGVGFFVASFLMLPKIQNHQGNGSNPKLKLSSKSIPSTLDL KQVYLKKSDKSKQFKERGSTFQLQKTGLKNNKNDFLEEDEIRKRVKMEYHQFEPKFDETF IEVKSINTSSDFESPQQLRIECTTLLPINENFVSQQDDHYFTQNDKGSKEIEKDIQALAN IQESPVVNNNCFKNDELNVKQVFQNRNQDLIRND >CAK70947 pep:novel supercontig:GCA_000165425.1:CT868096:188993:189541:-1 gene:GSPATT00000658001 transcript:CAK70947 MALKRIQKELADLSKDPPSNCSAGPIDDKDQFHWQATIMGPEGSPYQGGLFSLNIHFPTD YPFKPPKINFVTRIYHPNINQNGAICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPL VPEIANIYKTDKQRYEATAREWTRKYAS >CAK70948 pep:novel supercontig:GCA_000165425.1:CT868096:190494:191586:1 gene:GSPATT00000659001 transcript:CAK70948 MYQCIVFPSDYTPFKQELILTGCVVAYELLTYPIDFIGNRSIQRETYLSKSLIQESSLIY SEVNHFLIFYDCWFKVQIDLSLSKIVLRYYIFNYLYFKYAFKNYISPRFKDEDENKGFDI TPENSTVYPLLRYAKMTDPLVLLIAATSNLIGMIASHPISILKVRLQNEILKQHETKNYS QKMTEMSRSCGNQMWIKTTFGSRFLYTYLQFIAEMGLFQFCLNKLGYEFLNVFRPNQYFN GNIITVGFSVIGSSLISTLLLQPIYIYQQRSAYQVITKQPKKSIKSFYVQLYQKEKAQGF LKGYIHNALRSISRNGIAVGTLWGLATKANKERFRPSED >CAK70949 pep:novel supercontig:GCA_000165425.1:CT868096:191655:192498:-1 gene:GSPATT00000660001 transcript:CAK70949 MNIYNYLFKFIIVGDTNVGKSCLLLQFTDSRFRNEHDATIGVEFGSRNLKINDKQIKLQI WDTAGQESFKSITRSYYRGSIGGILVFDVTSRQSFEDLQKWYQEIQGYACDKIEMVIVGN KIDLEDRREVQTEEARKYAQKQGFAYFETSAKTGENVDNVFETMANQVLKKIDSGEIDPT QEVYGIKIGSIGIKKKNDVVQPREQPKAQLVTTSQTQQQEKNGGCC >CAK70950 pep:novel supercontig:GCA_000165425.1:CT868096:192807:193784:1 gene:GSPATT00000661001 transcript:CAK70950 MRATQDKYEHVRGEQAQAPINEIRVAAKGLDGSYIKRAIELLVGTQEIPKQDSVVIKGID NAIPKVLVISEIVRRRVAGLSQINQIGNTQIVDKYVPTEEGLVEVNITKQLSILTVKLTT KPTEEEKKSVGYQEPLPESEVVPQKQRQQGEKRERNTRQNRGAPRGENRGQDRRDNRDNR DNRDNRDNRDNRDRRDNRDNRDNRENRERRDNRDNRDNRDNRDNRDRRDNRENRDNREQR DNRENRDNREHRDNRDNRDNRDRRDNRDNRDNNRQNNTRNTNAREQEKKQ >CAK70951 pep:novel supercontig:GCA_000165425.1:CT868096:193814:194861:1 gene:GSPATT00000662001 transcript:CAK70951 MQNDLNSSLISAQNKQTIMVIGLQQAGKTTLVQKFKAINKKLFQESKISDYEIELIDTPT YDSFFTLLPFRDPKVTGYIIIFCDQNTDILKSIKQIRKKLFTNNGQHKRTIIILNEYIKD NGSSQIQEEIMNIKYWCKQQDIIFCQLDVSRASPKEILRVFERMYMKRIRTTLKQKIFII LQFAIAMYVFLFAIWLSIKDPSLIISNISLEIYCLALLCLGISHIFIPYFLRKGIKDSNT QCINRVNNIQLVSPKFSVVQLLLSQQQP >CAK70952 pep:novel supercontig:GCA_000165425.1:CT868096:195514:196519:1 gene:GSPATT00000663001 transcript:CAK70952 MFKNEILQNNIFLIMSLQENCSICFEDFEDPVKLPCNHIFCRDCIVVALEQRQSCPICRR LCCNYINSPFLSITQPEESLHEQEGDPCFIYRITIHHNAQAQFNFFEKRYVEMITEALKK NLTFIIDPQYNDCCMRVKLIECVPVHQHSIYVCTVQNIQRLKIVGFSERNIKDSDSKLKY ATTQSIIDNFDQETLILYQKLHTCIEEIIQILSLNENAKSQLLALAGKKEETSPEKISKH SLEMLQTIQMCENGLLKWYYSTDINGRLGVILKHYESYLNYCQNQ >CAK70953 pep:novel supercontig:GCA_000165425.1:CT868096:196532:198891:1 gene:GSPATT00000664001 transcript:CAK70953 MQARIQQLSKHIPDKRIHNYAFSTQAVIGRGSYGVVYIGRNIDSGQIVAIKAIAFQQYSS ENQTLLQKEIDIMKDLDCPNIVRLIDVITTANNCYIISELCTGGDLKEYMKRSGPIEESA ATKILIQILRGILQSFKRGIIHRDLKPANILVANNNIFKIADFGFAKRFDKLDEDLMTSL VGTPLYMSPQVLLRRQYTSKCDVWSIGLIFYEMIEGKTPWNVRDILDLVNKQRNQKIAFS KKISKSAQQFITGCLAYEENNRFGWEQVFTHPLFENAFERQPKKETQQQSQPQTQNPQPQ PQVQQLYQQTQQPAQNHPQNQTQQVSQNKNTSKQQQASMHQQQIQQVFSQTQPLQQLQQQ IPNQQQKPIIQPTQPQLQQQFANTSNLTPQEAKQNKSMQIIPNVSPTDDKIKRNTISPLQ LHVDNRQVQLIKGKHYLKTHASPQNDEENKNNISNQKIQNCQTQPNTQKKDRTVSVVHHK VESQQTTSRYSDNKQCREDQFKSERNYCPPKHLYQNSEPKFLFHRQSSENDEFTINRMLI QNQIEFLLFLKKLREQLLEFYGNNSIQASTVEKLSFILLKNVMIKTTDIKTNLVDKQINI LDLRDFEHFRMTESFRNLEKCILEIHFETFNQFQETFLHLNENLNLVMQDSDFLEIFNNN FEYSIHFVKYAYNLTAQFIKSFLYDQVLECSNVKIVNFTFLLGQLAQYLQQMSFSPNDVN KLLSNCRSFKEDFRLKRVECLNLMEKLIVKYN >CAK70954 pep:novel supercontig:GCA_000165425.1:CT868096:199056:199806:-1 gene:GSPATT00000665001 transcript:CAK70954 MKPYQFERIKTEINDVYAGNQSASKRYYLNSNSKSTERTKQIKKSNENVLQLINMWHEHR QSLSITPLMPADTQKHLTHNSVHALQDNLIEQINTTNNIKLTPKKNDQSDVYFSTSDHNY QIPICKPHLTLDNLIKQNISEIYNLLDPSNKVLQSQKENKRTISKSKQSDNKLKKTKEYL TTITLLSNAQKAVQQLYKNQDAAKAAEIDKKIQQVMFQIDKDFR >CAK70955 pep:novel supercontig:GCA_000165425.1:CT868096:200024:201257:-1 gene:GSPATT00000666001 transcript:CAK70955 MKQESGTACLFCLKYKQDGSFSLVLTKALYCKYAQSQNFYFQRDINDILETKRTKQRIRH YDNNLYDLENEYLRRFYKSSESDTRIQSLLEYYKYHINIPRNFHSTLINCRMEKIRNIQY RKIKKELGVYNDVQHSPTKQKDSSEDCSVENMKYLLKDLKLVTTQADYSKITNSTLLKDL IIQIGNCNEIVDPIDFLEPKNQIRINHFPTKSQTPSNTIKMHIEKQIKANQNLKAPFISR YPKFTTTSNKPPTVKIVISQHQHNSQKPQQQQPPINKGSMTTRVKSRQVSMGNIDQAKSG LLTSRSNQNHQLPQQNDGVNEGIMDFAIKLFSNQSTKVPRKTPFSQNNNFFVKGSNSPHL HQLPNKDELKLQFRSLRSKIISPTRQSKSNVGSPQHRKKQQQ >CAK70956 pep:novel supercontig:GCA_000165425.1:CT868096:201750:202936:-1 gene:GSPATT00000667001 transcript:CAK70956 MLQFRGFIIFDLKRSVFFFEMRRDIELDSEWFNLQKEIRKKLIDQDVLEDKVLEMSSAYG KVRGKFDDFQKRFLILIASNQVENIYLAELLNEIFAILIIQEHYNKFFKEELESQAFFDV EKKISEKEYYLNQTCYSKHAVPSLFQQIQLYKELSSSNDLDQLLDEKQYQDSQSQKQIIF KGFTIFDTNRQCFYMLIKRGFANDNQWRMQRMQIQQFLLQRSKTPLQHFFWNSEMGQFLF EYDIQASKQINYVENYFILITNSTAAQHPQQLLLQKLKTLVQRIPNYQKLNKPELENNLK QQLIGVMEAEERIYYQAYYPNCIEKEKKINRKIIKQRGLTEISFKNDGVMGGDEFSLTDY LSQRDKFVTDLF >CAK70957 pep:novel supercontig:GCA_000165425.1:CT868096:203441:205667:-1 gene:GSPATT00000668001 transcript:CAK70957 MQISSLAQALQRNELYDPNIHYDSLLLRYLYANKFDIQSSLDQLAQTSNILFQPGYLDLS NDVEALYYKGAIYIEGRTRNHIPCIVISTKLVDDLALFERAAVILCAIVEDYMFYPGKVE NWIAVIQTKDQSAYKMPLDKIFQIIKVLQTCFPNTCESIYILNTTLSINLLWSQIEEYID PVTLNKIRFLKDKELGILSNQFDPNHLEYSLGGQKVLQSYWPPDPNDYFYDLPQQQQQPT YEEEPQVFFSQPTNNLQYLNQAPPLEIPPPPINNQASQPNIIKQPIIAQQPQQSQQPQQP QQPQQPQQPQQPGQPQQPEQPPPPEKKKKCICVKCSRDLEEVASQPRQPKQLETSNGGQK QPSQQKIQVQATQGQKQLDDTYIPYERKYDSVLNDSYLTNPQNEKLLQENSQTNKPLFGG NSFNANQDQQQQQPNQISNKPSFNNPFYGSDSMKGSQQLEYKQIPQQQDKVNQEIQANID DLILNDKPDYQPKYVSKHSRQYQTSQSSRFSNTGPNPYNNIYNPQNPSLYSQVPATQLNP SSQYIPTIPFEPQSQQQIPKPIQNDGVLQQPVQVQEYDYNFINNQSYYAPQISSDPKKKY DFSQWDKYNDTNDPFPVQSYVSPSNDYVNPYLSSVPYKNNIGSVEDYNYLYDNSGDYKPG EFRPSTYNFTPYDFKQSDQQYQPRVESTTKSNGAPQLYQQRPGQQACEIF >CAK70958 pep:novel supercontig:GCA_000165425.1:CT868096:205860:206887:-1 gene:GSPATT00000669001 transcript:CAK70958 MQQAIEYENESQQLNQCPICQEYKGDNFDLECKHRFCRNCLEQYLNVKIDEGIVMHIRCP SCSYTISYEEVVQIIQKLKLAKFEKFRTQYKGENNPSMRHCPNKSCDLYVLLDTDRCICG QEICKDCGNESHGFSSCNKLMDEIFVLDSRQEKIQRCPKCKIIVQKEGGCNHMTCKRCQY QFCWICRRQYTSKHYNNYNYFFGCPNKQYTNTMPWKYPKLYQILPFVLLFIISPLLIALG ILLLILHPFSGSYYLFNRSDNLAAVGRLSRIQMLLISFFVYFIGGIVLYPFVVLYECILL LVFLLSLLIKFIKSRRNF >CAK70959 pep:novel supercontig:GCA_000165425.1:CT868096:207181:209395:-1 gene:GSPATT00000670001 transcript:CAK70959 MYSPNYPHGEFNGQIGAIPQQPGQLIQNAKFTAKKDYATWAQEVPPLRPKSREMELMDLL LVNNIAKKQTSLPYINQNRSPKPENVQTSRLLVPMHPQYHHLSPYPIPPGYPLPNMNQVQ QFQYFPAPYAQNQIAHMPQQQIIHSNRNSESTLEYMKEMIKKQNEFQARQEKLEKQLIKL RKKPYKLNEQTEMSFKQPKLFDIPPPIYPFEQPRIITNRQSDNKKVKKLNYQINMLKELQ QIKNISNGVLESESQSSESSVEYVQKPRKQRLKPVPIPPYYDLTQDEQRLKNLIKQNSNY KIKRIFIMVTYLMFQKKQFMKVGPIKNQISKDKTPQLENEYKTELMRFSPTVSDPLVQKM AQMKVNLRVVATPEQKVTPKALIQNSNALKQYISDVFQAVSNYSLKKNSLEYLSKITRDF EFLQQNYHSKFELNRLQFGYSGCLKNMQPATQNLMIIINFWIRFFIPLSFPETQKNIKDI NEVMQTNLKTIVSALYQIALQACKNLAQPIPNNTKEIPTENITKEKNPFLIRLDQFRETQ EEMNLMTRMQTDKLDEPLLYPVYTLREMDKFFDNERSFITEKIQYLINWCSGVMESVNNF KQQERQEMRKQKKQQLDILNQNVIEPKKNKVAQIIKDQKTLYQQNSSEIKQLKQKIQEEK EKNEKMKKDYDEAVKKHEEKQEKLILLGVK >CAK70960 pep:novel supercontig:GCA_000165425.1:CT868096:209495:215419:-1 gene:GSPATT00000671001 transcript:CAK70960 MTLTILLCCLAQVTILQAISFLCECVHIIHRESCENSTKCFWNQSEERCLQASDFLNQNL ITSHCAQFAEDDCIQEKRCAFYLGKCIEFVRCENFMQERCDQASLQCISDGNKCMQKGLC SDYLTQIACQKLNSMEKYCKWVRRDGQYFCEDVQECNELPVHLKLDRDCRKQMEKCTISL NGGCEISKQLCNQYTNKEQCYFNLYQAECFWDGNLQKCLENICINRQSSNFEECQKIDPD CTTNGYRCIKKLECEQYDNSYSCVEDSKGKKCIFYKGQCLKKNCQSAPSFINTMIECSVF QNSDIVCVPKVRGGCQETPQQCDELETEDSCSSVKQLQGKYCFWQDKQNVCKIKQCSDAP LLYSHTDCIGWLDDYACIGGLGNGCIENVDDCSKILNLKSCVKDKLNRKCMIENGQCLEE ICQNLQFPFYQSILDCENRLSICTFSVYNKTCINKECSHLEEIQCNFDYSFNKCIQLPGC IHKICESASVYFGTHEECENWNVRCTINAGKINGVPYRNGCITKDFDCTYFKYQIQCVNT IQGIPCFWNSQGNQCEFRNCNNAPSTFQTIAQCQQWVQYHDIKCIPKENGGCIQQHQNCQ GLSDKVQCLIGSEQGLCYWDITTKQCQNRTCENASNATSNVFCKNWLHSCIFKPPNLCQS DNAASTLCRDAPRKIDFTSHEECQAWNPNCTLKLGQACFSEDNCSDYITLDECKHNQKIY VCQWDISTNPATCIKKPCTALNSQNSVCVAHSLICTSITTSDDLGNYYHTCDIKLRTCSL ITDQAQCNQHSVTEKCQWATVGTVSSCSAVPCNHFEANLTETICQNRQFNCFFNSITSSC ETLESYCPLMTDISYSGCQSSQKQCILDEPSNKCVLIKHCSDTTTYQDQTCSDFYGYCQS KNFTSGCQFLAPNCSDYKIIENCKINFKKEQCYWSSSLGKCIDLSCSLIEETLTTHTECQ QLSSDCTLNFHTTHSCMDLGRCDLYQKKEQCYLDSNKQKCSWIKNNCILDNCKAAPKGIY TLQQCQEQFGVVCTINEDRSGCINKLDNCSQYNKFQCLTPNQANNSEVACFWDQINLDCK EHLCHKANQLIKQLIECEQFNHNCQTKQCKISLCDDYKYDLDVPCSLALKNHKCTTDGFQ CVERRLCSDANMAGCTFSINYQDCIWLDDENRCADKTCSVASRSLKTHQQCQDYLEQCTN KIGGGCVIITTCQSISSSEACIYDQNNELCVWDESNKKCIYLSCSSICGDGVVGNEEFCD DGNILPYDGCYKCRIQCQYGCNSCIKHNCLDCNQGFELSQNAQCLEICGDGLKVGQEECD DMNTIPNDGCYECRFQCHQDCLDCNFGVCNKCAFGWEEYNTQCRSVCGNGLLVESLEQCD DGNTDDGDGCNSVCQVEEDWECVQSQFTKISECKMISHPKIILQNLSQKRDSQQIIRLKF NQQVQLKQYLRFEDFIKVNVTKDVDFQLLIQPILEASLSLQFVEYNFQITILEKVEYPQV SIQFITSILVNSEKYELTKLMDTISLGTPLILSLEQYSRLNSTIAFNEIMIYIFIGSSSV SVLIGNLDLFFNMLTLLQQLSYVRYLSVPFPSHLDEYLKVFKVVSFQPLYDKLNIDSLFQ KLNVGKAPFIKSKNVEKQHTELNNAFFLVNAKSFYLTMFLSIFCYLLANLFIRQTQSLCQ KLLKIQKLNYLKFFNKIFNSIQQFALKSSNHFIYSGLIKVFISSQYQLMYSAYSQFPEYQ FKFEVESLFETFNSFNALICMIIPHIFLFKSVVVLRKQYNSENVNQYSIFYENIKPDYWS RFFIPFSMIKVSIYMAIICFLFNSAVIQSISLIILCITFCSYVIVVKPVTQKIELRRLII REITFLSIITSFFPYCLNLDDEIINILGWVHIGLFTIILGSNIIIDIVKYSIQLISSYKR RQIKVRQSEQRQYFINGLQQFINVDNTSQNICNGQLSIGFEAQSNYQSQILSLR >CAK70961 pep:novel supercontig:GCA_000165425.1:CT868096:215752:217380:-1 gene:GSPATT00000672001 transcript:CAK70961 MYSNRSQQQKKEDTFILGSVSPTDSQTQETQYKYLPLVTKAIQGQLDPKWQECKIKGKNL TPRSNCAITIHQNHLYLYGGYQSVDGILKDFYKLNLGAEYFYWQNIKCDYEPGPRCRHTF CAFKDSLYLFGGQTGDSITTNEIFVHDVNLGLWTKLSINDSYPQPLDNYCATIYNDQLII FGGFYTADTFKHSNDLYSFSFTLNKWVKLNKSKGKQPSPRDGSSIAIHNQILYMFGGKNG DLRYNDLWQFDFSKQEWHFIPVNNLFDIPMSRSGHSLKGYQDELILFGGIHDVTWELDDL YKFQINLLEWKMINKDTSRRKDLEVPSPTKSNRNTHNKRRSIKLPSLLRPLSLRRSPCTS PKKVRSSSQSQHSCFYNNQNGQQSCDYQNNSSSINTTINYVQERIQLQKLKKKAAMLKLF EVEMNKRAVFQDDCNVTEKLKTSIILIGNPKQDLKLTKGALTEFGQQIVSKFLLPLSNGQ NTIYGKKPCARDGHAVAIIDDQMVLFGGDRHTMSFNDLYLLNLKQF >CAK70962 pep:novel supercontig:GCA_000165425.1:CT868096:217572:218442:1 gene:GSPATT00000673001 transcript:CAK70962 MISLYYILNFLLISIYPISRIFRLFNFFILDVPDELGTTRENSIFYTIMAFAVIKYLRSY STIQYLSSLFFTIKIALITSYLFVDAKISLLYGGACLAIFVLCSEPKFDGKTKIQDIEEI KEFEELVGVKFRDNEDGDIIEELNERFTKKQRGKEKNKKIQNYKMTEMVFAEFYVDWADT CNYTKEIWANFSCKYTTNGLKFISINLAKIPRLAECYRINTSAMSRQLPTVILFEDGEEA QRFPPIDEKTNKIPKVLKYGKKELQSYFDLEKRYLATRDL >CAK70963 pep:novel supercontig:GCA_000165425.1:CT868096:220084:221597:1 gene:GSPATT00000675001 transcript:CAK70963 MQPPSSEEDILNFMAVTGCQDYQKAQSYIQMAENKLDNAIQLYMDFEGGQGQSAQQKTQQ DQQQQRSTIQETPKGNVQRSNQGNSRQPQDVALIEKYRKYQEEKRAKDEGIMKKTLQMGA NLFSYFFNNPPNYGNEFLQYLKQHQIQTEIAFQSGNFKDKMQKANEETRPLLVYLHNHQS LLILQKMSSCKPLTTILNRNYNIVGFLNSPQAYEQMPNKPDPPAILIYKLDIVDEVVLME QFSLSIDTNFEEVAQKIKTLRAAFNKEYIYIENIKKEVNSPNQPNLNHSQYYQQSFHQVQ QQQQSQVQRQKEDQRERDLLIQQQKEAYRFAEQQAIEKKRKDEELRLQEQAKQLEQQQKE EQRLLKKAQLLSNLPEEPQNSEGISILFRFINATRTRRFHFNDKIQVLFDFVESQEDDCF NDPHSKIDLIQNYPRLSLKDKTESIISEVFVDSEMEQLIVDEQE >CAK70964 pep:novel supercontig:GCA_000165425.1:CT868096:221629:222315:1 gene:GSPATT00000676001 transcript:CAK70964 MSESLNFNLKLYRERLADKYSKSPVETRFDSSINGMESQRKTPIKKDKTNTPSLNNLVNK LQEYSNKKGKQNDSFLFKSGKSNQNSSPSKINGLHQKIQSISSLSPPPQQNNLQFPAKGH QYSITQFSGIVSPQKQSFISPTHFSQITDRYEHQEPTTDISSLIPLHEIVNMRTKLENAN INVANITSTYLTEFIKLANTIHQQLRVNKKQG >CAK70965 pep:novel supercontig:GCA_000165425.1:CT868096:222570:223751:1 gene:GSPATT00000677001 transcript:CAK70965 MNKQNPMDILPIIWPQKLSARQEEVFRVTQELMQLKEDQSNLAESINLTIKYLAQHAPYI DVVVSFQNLVDVKHPIQDLLHYLSTFSENALTQVVRKVNLNDLNSDSDETDFTYSDPYEE MKTQFNLRMMKRVSFPKLQKLISDIYRLEMLTKVYSWVNQITYVDYVKVSVSTIKKALQT EYLDELTINTVIQCAKGQCQCANQYQQDTPKFQKLKKALHKIALFQDVDLQVLLTLQQSD QQPIKECVWTRQQVIQCILLFCLVQCELHIHDFQYQLLLFKPFCSFEISWNESGFSQVRD YFPKLAKKLNKRYKILKDIPFYDAKTNNQIFIYTQSLVGYYHHHINYDNFYLQDDLKQTI KEILENKNQEKNLALQIIVSNAIMFAQLLKALK >CAK70966 pep:novel supercontig:GCA_000165425.1:CT868096:224138:224605:-1 gene:GSPATT00000678001 transcript:CAK70966 MILSERNKPNILLQRKYKPISLSQVLKKPLIVKSLPPIYASPSQRSILKKPQKPENCLSQ RPSQPQIFNKFEGLGAIGLSFNPIEKEPLQQQYALMSSKKLAIQVSKKYTTHRKINIQPS QNQSQKFYTEAQMDKVNKWSQTTFDEDQLLEYLNT >CAK70967 pep:novel supercontig:GCA_000165425.1:CT868096:224784:225737:1 gene:GSPATT00000679001 transcript:CAK70967 MLIKEVQKFCEQRKIVLDLKKMVTEYSLKQGLIRNKNDSQHWFGIKFNKYVEMNEELKAK TERQESFLQEIQLIIERGYRPDKEAKDLKRRNKGQNKSEKQTKNLTQQIKEAELELMLYW LQFNNFISPIHKDFGELPSYNPKKIQPFRVGIPYTEKIEIKGNTINLTPQTPIDKIIITE NYQLHDIIDLEQKDNQILINDKVVVSVCSTNQIAMDQQFIQLEQIRRQLKKNSKYVITPS LGLKYYEASKIELIGEDDDGELKRIASSSNLIDHVYTRYQNRNQQFLIVTELE >CAK70968 pep:novel supercontig:GCA_000165425.1:CT868096:225760:226269:1 gene:GSPATT00000680001 transcript:CAK70968 MMMEMYVYWTAKCEFIFESWRIYDDQPFLLFCGCFLSCLYSIIHIMYMLQGDKVQIFMKQ FMSRRIGFSIYQFFLTLSSMITMMLLMTMNAWVNIAVGVGYTIGYGITQVTKPNSKYTQV DSI >CAK70969 pep:novel supercontig:GCA_000165425.1:CT868096:226367:227775:1 gene:GSPATT00000681001 transcript:CAK70969 MWEKTAYDLEELEIIKIQDYRSDQRKSRNQKLPILLSILKEINNSSNLFDLAIRYDKYKS KLQTSSQKEEQYNEIMCSISFSQTKSNINPYQDAQRKQTQIVTDQKNGQFKNIINLLEAT ELNIKSDLNNIQQENKHLKKKISTYFDSLWTSTSNRNPQVNELNYGLKDRQEHYQEIQLS TLPILEKERSQLVCYKENQEKQIQNQTRDLENFNSTQEKYQDQSNYISELKKGYELLEDQ VASIKERVEKLKQAQEVMHLETKIEQFCSQILVRQNEGRKQILESLNDFLEKAKFQLMRK YKEKTAKSFLCEDMIEYEEQSLRQEMQEFCLNKNEQETKTKAQQIKQIFSEKIVQQKIKQ QRLIEENKDLCKKIQILSTNQFQERCKDLQEQKEKLYEENNYKESQIQEILQINQAGQLM VEDLEKKKQIIEQQKQYYQLSKFARIKSKLMS >CAK70970 pep:novel supercontig:GCA_000165425.1:CT868096:228211:229979:-1 gene:GSPATT00000682001 transcript:CAK70970 MKNLSIETDKSDKSPQIMRRNNEHFVSYSVDDKYTCQCNLCISSLKKKIPKPLDEPQQVK GKQMSNDRDFIFIVTRLVQESFGRTLNIQIQDTVYFEKGEPQSILQSIKDGIDRPYQLRV QQWKGSLQKLMQYLNNRRKKIANTNMQLDLIQLNEKQQSALSNVNKEQINNISEKSKEAV LVKFDDDSAQIMTESEFFLLMSKRKGDKFWHKIFYIQNYIKQRAFLTHRIHFNRKRDVNH KLFDRSAMETRIIRGEVISASTEYISQTIEDEFLRQLKERSTFEYLRYITFKIVQFLDIL DDTIINDGIFEWNQDDRGDFQFFNAFQLSYEKRAKEERLVLLKPHGSSNNNNGIDTDSAE EEKEIDPRKMFNRKYQLRQMARNAQNKLKLKQQHLLYKDTPKVSQWQTYMQDDYLALLKS VGIDKNQFNLKEDNQSELTFKQLHPEIQIDFQKIMKDQSLFNKLKKQLFTDNNKSKPISQ SKMLNSFFLTPEKKKQRSRTVSKQPRQDLISTLNQSSIKKSIRSVDRTVSEFRYDFSRNG KRSAKTLNKILGLANS >CAK70971 pep:novel supercontig:GCA_000165425.1:CT868096:230893:233442:1 gene:GSPATT00000683001 transcript:CAK70971 MNDDIPKGCLCYTKKVKGEIPKTFKKANKILSKYKNNNLNILDDDILDVIIRLYVAENKS NAIAMLQRCRINPNFGTNLLRKDLEYFIPQIVNFMVFHQHLSDDNLIQFVLRASSLDFFF AHQVFFQLKSMSQIITQNEPVTFTIVKRFTDNFFLKMSQNYAGNLLIASHILQIDFEEIN FNKNNLNTSVETSSPTKSSLQQVYQGGLRVESMKFNNNISTYGTKDWNNNDNQKSVETAI LQSYKEIHLQDYNSIFGKRQNNFDTAFFSNISFWDDIMRICDDLSKHKKKTEFLHSCLQK MNENLPAAVYVPFVGNSIRNHAVLKVVYKESRVFSTKMRSPFSLVLELYRPEIEEKANSQ IVEEQISFPITANSNIKKKPQERTQSHLLQEDLKLDEIQRKYSSVDIQKSIQNEFMQLKF NQSKLPIDQKQLNGTSQFYCLNDESLTSDVEQQDQNELPKYSTTYSLQENVQAFSIEGNS NIPQVEKEEKDVLLISKMHRNSFLQAEKGITLNRDEYNELKRTIFGESSQQQEDRIKSQS IFQGLKSWRLAHLIVKTGDNLKQEQFALQLISQFDQIFKKSGLPLKLRCYEVLSLGPDCG IIEMIKNATTIDSLQKNLRKKYQQFINFSDFFRSFFRNNIDQALQNYVQSLVAYGLVCYF LQVKDRHNGNILLDSEGHLIHIDFGFFLSNAPGKGMEFEGKVPFKLLSDYIQVLGGAKGV LFKENFRKLFYKGFKACQQHQKEILLLVEMMYTGHGTTLPCFQKGEQALKELYARFNPRV KSDAELFVHVQELINHSLDNWRARWYDKFQYFAQGVFY >CAK70972 pep:novel supercontig:GCA_000165425.1:CT868096:233504:234785:-1 gene:GSPATT00000684001 transcript:CAK70972 MYKSKRTQYGGSTASTLDLLHTQDCLFCQQSQKFCSLITRALYIKYSASQNYYYQKDINI ILLQQRSKQYTTYKEWTYYDSNEYLKRFYSTNDTRQKTIVLTEYYKFHRDIARLFMLPIA TTLSKYHDKRRRIEYIRISRMLGGHVEQPTATQNSIQTSLQNLLGQLNFTKQEQSNTLIE IQKNLKEAINTSKNTLREFDSRKYNLPLQRKDSDYYNKSKQDHKSDTNSRLEFKENFNEL FQRKKPLKNSAIQTQREVSQPKVYSTEKICKLQVQQIPLTQRNINNTKQSISPRPTTQRS IKPQIMQLLKPCQNNSNKLKATNIILECLMRKLNLDQKTLTKQKSKSPNNPSNQQAKRKR RVMSTNQETSKIDLTQLKQKQSQLLQSRFDQNNINKGYKTGRASAMA >CAK70973 pep:novel supercontig:GCA_000165425.1:CT868096:234795:238826:1 gene:GSPATT00000685001 transcript:CAK70973 MNKIQFHSLQVIPTKIEFTIFKPQLNYIVKQVHRLKCVMLSRKDIELIIDQIIFIVELLI AGQFGTPSLAYLDELHKTLVTTFNSFKQDQLGYVIANMETLKKQTNQDYLKGFKKGSSRN LKIANQLQKIQKRILSVIRIVYAQLFLFWLDEHQPDQSTALKSKRVFVETKTLLQSKLKE LDLEKHSKIVCRICEQLIEVEIMTAHCITCEKKAEQSKKLLQLNLQLADASQLAYKLKHD VQIKLGKLSLQEQKQNRLKQKKQEEEKKPLRSLRRTHTIHLEDKKIQQEEEDKNKSKKQL ALINSVMTIIVNYTEKVLNSNANNEDNKLNRITFDELTDAKCNIEADDINQEILDIIDKA RSCIYDRMEYFKILQNLETQQIQESQKIKPNIEIDLKQKYKNSNSSSFRFSKFNNSTGTS QQKLKRNETIYEEECDNPECQTPRVNTVNKKNNMMIMSLINKDKFNVNNTGSANEIKRRD SLTMMTMTRSQGLGSNQMRRTQVNKGNLDEPMQFQSNPGSKILDRIGNLSNSSDALMSPT SNKPSLFRKAQSPTAVDSVIESPVQTPLGRMASSEQDESPNNQKICSFADLPQCDSDQEF PLKKQQKVPSIGLGRQVDSIEEIQKDKDQNIINSFENINQCESDQENKSNQAKNSTPNSN QNSNQEMKNSQQNSMKNSGRNIMMNIMMKGSRKLPIPPQQQEISRALHIDLGLSQSEQIL EESPNTASPPKKDKNDLSPSSSSSSNNVDIVKQDEINIEADFDRIMRKPKKSIFHPENSK NIMSKNSMKQSVAVQPQKSNQSFDFEVITVDRGYNSDSELVSINAEKTLQSQEIGLKDFE FVKPLGQGAFGWVFLVKKKTSGDLYAVKIIDCSQKQLETQLDTLKAERNIFEILSGDFVV KAYYSFSHEQYLCFVQEYMVGGDFSHILKMYTALDEEYVRHYIAEVVLALEYLRSKKIVH RDLKPDNILLDKQGHAKLADFGLSEVGFNNRLKLKLRQQDIESNTIPEFADHNDPQYNTV FDLKLPQAQQAIKTSIQDHSSKSKRIVGTPDYIAPEVLKGESLTNSSLDYWSLGVIMYEM LCGIPPFNDDSVEKIFDNILNYRIEWPNVSDVEEESISQNAYDLMCRLMEPDYTKRIGHS DIDEIKQHPFFNGIDWNTILQMPGLIVPKMVLKEGENEGKNCEKVQQFLNNLEKKEVKNQ TLASKLKSQLSNLERLDLLVKLSLEEANDKLSKIRNNENKLKRILNKIDHYEQEHQTQML LLYEDVF >CAK70974 pep:novel supercontig:GCA_000165425.1:CT868096:239051:239621:1 gene:GSPATT00000686001 transcript:CAK70974 MNKDQKPQKPLPKSDMEVLKQYHQFVRDDEEDIDPKLSTNDDYGRMIARKYYDKLYKEYA IIDLSQYKTGKIGMRWRTEPEVLSGKGETICGNKVCTKDKELSTWELNFQYKENQEVKNT LVKVKCCNSCSQQLNYKKLHKQVKEYTEEEIRHLLAKLEEKKKKLKQEEDS >CAK70975 pep:novel supercontig:GCA_000165425.1:CT868096:239647:240900:1 gene:GSPATT00000687001 transcript:CAK70975 MQSNYKIEGRKSPKTIVGLQQKINQYFSNKIQEKQIVADIISRPQLEEIIPCSIKVNISQ MKYSNAHNPLHQKQLYNTEKTEKNKQIKYHTSRSNTSNQCNRDQKSQKANKKNECCSCFC FGCSKRNSTRIKKPRTPQVKGEQYYVNYTNKTSESNQAIKQYSIAKSQSRCVQHQIRHHQ SEQRKQNIHPNCCKINHLANPKLSQAISQYSESAKQYYTQREYIQIQKQLQNYCDAKKRY SSTKEQKQKEALKTHIQKIEEGKFGQPRVQKLCQFYKDITKKQMIKFRSSSNQNKIYVQQ EQKHMNYKTIEKGKQITKLGQSEKDKKKFKQVLDIFKKNKMQNNIIKNNNDILQNNPEQN QIEKKVSCQQIKFESKQKEKTFQNNEPYQLVELK >CAK70976 pep:novel supercontig:GCA_000165425.1:CT868096:240972:243827:1 gene:GSPATT00000688001 transcript:CAK70976 MLLLIVSVAGIIFNNVLALQQQQAGVHDWNKRLFGELKYIQVQDQTIFYQNTNNQNGIID KSTGQVKSRNTYTMCDSYNYVTYEPNQQIIQLFNEGYSVLAEQEISGNIDHCLIGLNGEF IIIYGQTIFSTFNNKFNEKVDHQIIHAGYIFDVPVVLLQKQNEICIYQIRESITQSYCVE SLNNGKIHEFGNTLIYQDAKFAYQLKEKSAKLLNFEIIKKVDKFVGFSQTLVITEKSKKQ IVNLDGQVEYELSQDEFVIQNLENQKYYYILKQKNNELKIVSYDRDTKQTHSEVIELQTN SLILNAFLIDQNKRQFLIQYQDLQTVLVDNKGIRWTTEQSLISIDTVFYEKYENQEQTHK NSYYESLEKHGTSNPLYLIQNVISRVLNEVKDLQEVVTHFIDNLGKEKVQTREMEQTYGL KQKVYFLTTYGTLLCYDTQELSLLIKLQINNQDNSFRLVAHHQIDSNLITHFDNNDAQPT HVLFYYSKEKSRLNTFILDLQHGIIQQVASQSSKNIVWTLPYRVEGGPGQHHNIVILIDE ENNIFTYPKNDSINTKEIILYRNDNGVLRGYKLFNNELVNLWTINMKDEILIIRSSYHVG EENPRVAIWDDRKVIYKLIDHSNFAILTSDGDKLKLLIINAKSGKIIYQSVQSEADFNQP INLVFDEHQVFVTYYNKAQMMFEIWTVEIYHAKIEVSFIKMLENYYFTKTPIITNYYKAD FEAFFLQQVYGYPLGIKYLGMSRTQKSLTKKNLLIITTSGQLHSLDRNLVSTRRREKADQ PILEYSLQSAELPPYQYQLPINFLNMLSYHQTFDIEKFSIESTNLESSALLLVYGSDIFF TRIAPDKTYDMLLDNFNYNALIVTTVLIVVATKVLSKLVKSSKQVKQFYLN >CAK70977 pep:novel supercontig:GCA_000165425.1:CT868096:243957:244469:1 gene:GSPATT00000689001 transcript:CAK70977 MGRKKIPIAKIEDRQQRNITFHKRKIGLLKKAYELASLCGVQIYMAFNDLQGNAIHFRTE ELNQIENNDKKKYLLTPKDYPKFITRSKTKVNAQLKDLPITPLKLITDIMISEEPLYSRY SVLSRQFFPIPQPKSNKPKNENNT >CAK70978 pep:novel supercontig:GCA_000165425.1:CT868096:244499:245020:1 gene:GSPATT00000690001 transcript:CAK70978 MNRTQCKCDENLKKDFENCSQSLEWLKIHYDIKKLDNMRNDYQQLCKIEIADQQQWITKQ RKQIERDLMRTFPNEPFFQQKQGKEALQRLLYTIAIYDMGVGYVQGMNFLAGALLYHSEE CVAFWNYVTLYERLQLRDIYLESSLQSQDRPSWVIKAHINSVIVMYEPTKKTL >CAK70979 pep:novel supercontig:GCA_000165425.1:CT868096:245930:247487:1 gene:GSPATT00000691001 transcript:CAK70979 MQSEQLSEQKLYAWGSSECDQFLAKDEDDEELYEVKRPYHLKELSNLSIVQVACGGMHAL ILTSQGKVYSFGCDDKGVLGRPQVEGQDARLPDLITDLPPVDMIACGSSHSIAANSYGLV YFWGFYANTHGPIGDAVSRPKKVDNITNGIKKILCGQNHTMVLLDNFKLLTWGDAETLVI GRKSETRRSVIQNLNPQPIKMKRPIIDIFTGASHAFVKVLLKDNKPGIYGWGLNNYGQLG IGNQENQQLPCLIEYFENMDIVDMVGGEHHTIALDFKGNVYSFGRNDDGQLGLGEQLNEN LRIEAEKQRVLEEELYQQQQVNRKKTSKKNKQGDLFEVQKSQKVIGYEFITTPQMIGNIP KMQSIFASMHYNYAISQQKQIFSWGNGQSYVLGTRNENSQYQPKEVTAIFKNEKLLQISL GASHVIALTSPDQTFQLSMVDQQLIQINFPKSKLKKGQKSNSREMSREKSISMKRSVQKF DDSLIPVKDLRID >CAK70980 pep:novel supercontig:GCA_000165425.1:CT868096:247511:248443:-1 gene:GSPATT00000692001 transcript:CAK70980 MLQVERILRPRKSISKSLILQQQEPLEQDNTGKAKEHFNHIRQKLKVIHQAGSPSPSPKE ENKKQQPSRRDKKGKKLKQQKPLYQLDVSDINSSITDESFDDDAKNQFLIAKENLKKLSK QSNQNKNASSFINSPLQQQQGKNDSLPIESQQGIENQFDQEKININFVKSLEHNIDSKNI QESYEDGYLNIHILSDDLQTFLRYEHEVQEMIHRSQQFVNNKQMHQGLQDEIENYEFCLN RQKLEREQKQKEFVQKIILQEQQKQNVLQRAKTKKIDDIANKFIDHRHIDSKNDPLNQAH LSRRKKEEQQ >CAK70981 pep:novel supercontig:GCA_000165425.1:CT868096:248452:249369:1 gene:GSPATT00000693001 transcript:CAK70981 MSRKNSYERAFQSANSCRNQGRQLNIIDFIMKQNFQEKGPSTMEFQEETNNQKSCDLCLQ LQDELSKFVKIDRFQQVIDKLWQKMTKMDMDNQRVLLKIENLEKALLDQKGYLDELYDQQ QYKQQDQSQIIQQVTLDKGQLEKLEKRISKENLAGIKNIEDNLYKSLNKEVQSKFMVMQE KMEEINQQFGGVNEQIELFNHKHGVTREECEERINQIAAIVNENNQLLRANNNSVGEMQQ HVIILYFTQYSELDVDVMRIFQHIKTLLQNDYKITEMEQNITDLNSQLIQLRNAVNEIGD FVSKI >CAK70982 pep:novel supercontig:GCA_000165425.1:CT868096:249471:249965:1 gene:GSPATT00000694001 transcript:CAK70982 MDRQSQQIHPLPAVQNKPKQMKLQTRQQSETSIPPKKQEKKMQRQMSNFEKGNFLYFLYA QKNGQVDLQIMQKNEKEFGEFLRKTYNFEPPQKTEGPSKKGLLYLSKREENDDYIKKLTN SVLSPGNKSTLGGKEVPLDQVISQMFEKQLERFPRVKQKIERTQ >CAK70983 pep:novel supercontig:GCA_000165425.1:CT868096:249998:250406:-1 gene:GSPATT00000695001 transcript:CAK70983 MVDPYLREYLQELGEQQLFDLESQRQELKGEKQGKIEQLRQLQQAKNQIKLFFTQTEISS HLQISYKNMLENLNQELFQYMQERADLEDEQFEFDKRLKSLRSQIREVTEKNQKIIQQIE NSKQIE >CAK70984 pep:novel supercontig:GCA_000165425.1:CT868096:250438:250653:-1 gene:GSPATT00000696001 transcript:CAK70984 MYEEADGDYVEQTPSDDGLEDVEVIVNKEKQYQQELLQVLKQKEGEHKELRQELGDIKSK IQQIQLKCIRE >CAK70985 pep:novel supercontig:GCA_000165425.1:CT868096:250678:251646:1 gene:GSPATT00000697001 transcript:CAK70985 MSWINQNQVTFNKPNNLLSLFSASQDQLHHEPIEQFTKLPPHLYTKYKGKKPSQILYEEI YKEIIETPNINRFLQPPPQQELKDQNFKCWQCQKEISNGFLTIGANCDQCFFHGRYFCND CMSVVRMPIPWKALDNFDLRHYKVSKLAQSEIDKLYALPILEITTDSKLLQANKTLFEFL VLKRQIHLLYDMICDPKLVQTLLDQRMNLCLKRNCFSLKDLYEIYNGSLTKVIQGYYIIL FKHIDVCKSCQKRGHICIICKHMVPIHAFDIKNVTYCDTCLKVYHRECVESKSCPNCLQQ R >CAK70986 pep:novel supercontig:GCA_000165425.1:CT868096:251703:253125:-1 gene:GSPATT00000698001 transcript:CAK70986 MIQFLLLHIIAIKGQKLLKTLELYGNNEISGIIKVEKNDENLLIAFYFENVNYPIALVSR EEIHDTDFNTTVKPIFIESQKVMFFDYESIQIHYHTHLIELNYMDNIYYYARDRFGPFKL KIEVYSKPRSTCTNNCQGFIISKTMQNSKCNQNCECETGYFGSYCQFELLRIDQDVNYKI HLSPHKAVYLSFQFDKEAILIADDVIDPITASFGLLGYKGFEIPDQTSYTAILHSQLSLT KLIQNFKIKFKDANTLIVGLQSQTDQTINIIMKPEQTATILSGNCMFLAFASFNIIMIIL CFGVTNLCKQKEIIVKKRRRIKVRPPKIENNPKNFSSQFFKKYFESYDYEDFVQINPSYS QTTQCVVCMDDLNLKEISITPCGHVFHHQCLMKWLMKILNCPSCRFQIIYQQVIDGGWLG SKLSSNSLSQLQNSKSQPTIQGSSFLIENTENADIVQMMEKVDEN >CAK70987 pep:novel supercontig:GCA_000165425.1:CT868096:253335:254429:1 gene:GSPATT00000699001 transcript:CAK70987 MLQFQSCVNNIILKQAYEEINETADMPQVLKHSSLTPMPIEMTASLLRIKQQLLKENENE SQRQILLKAGSLEIIRFISQLADMYTTQSKDKNKSVNQILKQENLGLEFSDILSEMRHAL THKDIPDKKLIISTINYIISYLEKNYWNIKLKEQSPILQIRANIKHFLDSLNEEDYIAQF EIYDQYSQQQQQIIVIFCLDYLIGLSDFHIVHKSTEQRLQDRRVGDQRIKIISKFTISLI QFLYNNKNTNHVKRQLARRSYICNDEAFLKLYNKLNVIGYIKSNIREFIKTLEQELPELQ SKKIHSSLELNQKVENIDEIIEQKVKKPKLIGSLYCDYVNNINNQDDL >CAK70988 pep:novel supercontig:GCA_000165425.1:CT868096:254522:255433:1 gene:GSPATT00000700001 transcript:CAK70988 MNQHNLKATLAIPNSGFDYCLSQDEKLFYIVSADLLMTYEISTGKKNIIAKLDEMNLSHP KICVSSDNSICCVSAQAIIKGQVTFVLLKFDLEKKLLSETYINYHLMQVEMLEFLQNSQM LISLSIDTTIKIFDFAHQKPKTILTRCKYRALYCKLMQNQNRLIIIDNLSKVQLLDVNTE KLIKSFQLRSGNFRKAILEKNGIMALIAKSQKCFLYNLPKQKLIRKYEISDDFKQLIFLT DHLILQDDGLIILVNIATCKQKQIHLKNTQDLNNLILYQNLNKLIICDKEQIYFLDIIYL QEQ >CAK70989 pep:novel supercontig:GCA_000165425.1:CT868096:255651:256268:-1 gene:GSPATT00000701001 transcript:CAK70989 MKDILQSQNSSIPLQGLFCYPYGIYVYPVPPPYIQACQLFQEAGEQIIKQEVKEEYESES IQEVRKKKIVKFSPYIYPGETKNYYKNIGKKLSSFIINRPIYNLICKNPKPKLQPDAFLE IIQIKAGQEDCQKIFWKFFVVFQIHSTKQN >CAK70990 pep:novel supercontig:GCA_000165425.1:CT868096:257163:258894:1 gene:GSPATT00000702001 transcript:CAK70990 MDFQICQNTQSPEKFLCLNEECYSAEKKHIQCYQCLTKQHLSKNKVVRHVDDFIELEQFI NEIKKKQTRRQTYIQMIFQQAIDFQKSKIQEVQLSRNPDLIKNATEKIEGDTTKFLRSLS TLYLDQKFTFPYQNSFHVQYVKFYFENENKYQEDSKSKLSILFSQTEKYMQTLDLDIRTT MKRSYQKLELLEKQVTQFSKSSFYNKLQLLILLLILPYLVYLQINQLEIIKYQRQYEQTS QIQEYLIQELVSIKDKYNMLDKKMEYLMMNETEDVLALNKTITEAVDGVQKLKLRFDAFK QSSTSNLEKFRINIQNSIETLQNNITYLQTQENNFGNQISQISSKINVLFLKKDDMKQLL ELQKKEKSRRLKEIINQLEEKQVMRRIIQLKNYLYSLLHYRHLIKIHLNLSKSELKDFQL IYEELFDKPVLVYTMISIQQKVFKNEGDNPLVCLGGLSINSLDSIDLIACDFANDIFNPT FESDKAVKSSHGDIYWYQVQESSFGFAPNENIKLLYCDDYDEENEYRLSYWYNLRSSSGG RRLGKFTLLENSQEHMLQIYLLKAPFQ >CAK70991 pep:novel supercontig:GCA_000165425.1:CT868096:258928:259989:-1 gene:GSPATT00000703001 transcript:CAK70991 MQQFEIPKTFKAAQLAEYGKELQIAEIKTPELKEGEVLIKVEAAPVNPSDLSLNDGHYPS GKVLPAVPGIEGSGVVVQIGPNVENVKVGTKVAFTAYSNYGSYGQYSLTTSQQIIPLDDD ISFEAGASSIVNPITVLLMLIETQELGAKAIVHTAASSALGRMLVKYFQDSGIDVINVVR RQEQVELLQKEGAKYVLNQTSETFQKDLNALAHKLNATVFFDCIGGNITGEILSQLPNKS TALLYGLLSGQPVSDISAISLIFQGKTIKGFWLTTLIHKYNPFVDENAKKNLNALLKTTL KTEYAKQYPLDKINEGIQFYKKNQTSGKILVRPHQ >CAK70992 pep:novel supercontig:GCA_000165425.1:CT868096:260228:262183:1 gene:GSPATT00000704001 transcript:CAK70992 MLNGKQIGDYVLMEELGRGSFGCVYKCQNIIDQSFHAIKIIQFQSLSNCEGVVGELLKDE ISVLTKIDSPNVLKLEHYFQSKSNCYIVMEYCNGGDLERYWTREGKRIKEQKALEIVIQI LNGLSELHKQNVIHRDIKLANILMHNDQIKIADLGFCKQLQNQEMEVSLCLGTPGTMAPE VASFESYGLQSDIFSIGCIFYQLLYGELPFDYSILQYHNHSMLEQIDFNKNNVVIQDELK EIISKMLKDEPKKRLTFPQLYQYPLFRNTQKMSQVSKIAIQNMTNPETTNYYEEIYKNQQ DIQIGQQGMTALKQNGDIFKTQTEKQNQQSQFMSNLQNANNSNRNLFVQDQVSKLTYEIM AAQQLRPNQQQINNALQELQDNEQIFKTDRFKHNYSMTANSQQNQKLCQQFRFLLDALQY CDRTYIEIDQIQFLDESQTLIGKFMIQKRIRSESKKFFQVQQENPFYDELNQMGEAFKPY VQNQLFEKLHQQIISSSSLLSRLTQPFINELKDEETGIFHLHYWEALNELHQLIKKEINR EADNKRKKILVIALLHLQGCMHFQDLCTLKIDFEEEFLHIKKKNLIELIKKVQY >CAK70993 pep:novel supercontig:GCA_000165425.1:CT868096:262223:268542:1 gene:GSPATT00000705001 transcript:CAK70993 MLPIILLLLYQVSCGRVRPYGPRSLTMSNVNPNGWSEYNFTMIFDTTLPSSGILVIEFPN ANYPDRLGLRPDAVLYAPYPKTRTFVQNDRSLEIQLGEWPIETPLTVTISGIINSQKQGG TGNFKVYTKLNSHIVDINRNFAVIGLAGETIQLLSAQMSINNNYAGEVTDYLASLQPSQD LNSRIDFIITFPDLYDLSQLKKCSCNVFTPSCTVHKNFKNQILVGGNQNSIPKGTSVQIT FNDIVNPSRKMKTPLFNFRVIERNTNNTLQESSAVNGLEILAGQMKLVMLEILYYQPYIY YIRDFRLQFRPKNGFQYAKISTDFYQVMSCQITRGLLDPSKFQDSICSPLGRDMFLSNIQ SYVESDYSYEFVEVRFRARIGDRVILTNPVEIYTYKDSDYLYLADQDSLSVNSQLQIVLW PIVTPTMVFAITFDDPLVQTNVYPQTNAQITIVYTAKVGISLTTKTVFGLEIPQDFQGVP ECTFKDPNDVMVDVTCEFGLGFLNITNVFDYYDSTIKGAVITIKGLLTPETAGNFPIGTF VQDQNNVYYNNDTFVISPPTFKKTTGQPELTTAVSQLSIHQNQQTAIQIQLQLDCDISAG VKNMNDPYVPFTTIEVYFYQYYITNNVFDYDLGSGLPDLSQYGCQFQDGMVASSGNKLSC VLFHGINTATPTFANYAMVKIQGYAAITATNTSPVTISFKIPVKYPSTIGAIPRIRVDLV KYNQKVKSTLRSQSFNIGAVKNAVGNSLVVFSGPVLENPKLQVETTLTYKFKTVISLAKS KLNGIYFEIPSGFTFNSDKLLVSIDGVYQDPEVIQYFPTFIFIMTKFSQLSNGITHNLIF ERVDLPVSLPSSSVTHNIKTASGGQFADTATFTIPTLTKGSLLDISLTLSSDSQMHPYAN YSISFTTSMKSYDGSMIIVDFPLGYDIQASNAYLDNIPYTNLKNTTTNTISYDLPTIRKF RVLNFAPLIKGQRVSIGIFSVKNPMSGTFTIGISTVDKNGNIIEDGSKSVTIGSTIFSTQ ILTVTSVESTPNNKKALALYTWYVNVDFTIPKLSQIQLFLPGMLSIYSISAAIVSCRIVQ DSVIPLKDCLANELGSPSYVQMITDYPIKGKAFKIEYYGYLQLENALSGLTISITYNSQV IATGLCPTVAVTDQLTPSQVTLDFYPKNEGEIAYYNFYLEIGSAFTITSNHYILIRFPFD YDYIIGIEKMQVKSNTLQGALKMAIIPYHIKISGFYELSNFKGTLDISLLGVINPNRQNN KVTGTFLFGIMDGNKMVLGNTAISGVIPQLAPLLIQLNKITSSVSLSRYASTFTFEIYPL QKVLSTVYGGQLYADFPNNFIIDSFNGGCETTSQFSFFASCKWDYFRFLFQTNNQDWYAD RSGQLDLTITNVLTPDDDGETNNFIIYNYDSINKIVLGRTYANLHSASLIYNYDGLQIDV NLKQPFTLEVGSYSDEIIMNITDTADFTLTLSPNVLDSQIIITPNPVQIVAGSKQAKFRV AAPRTILLQTYYIQWSKTGDTLPVTYAPLRLTPLQMVKGTLKRKLTIEKMEYMPRNGTSY PLYITTNNPPYQQLLIRIGFKMNVTADVPLRQKWFITDKRLEQLKLTYAELVDSGVDITE HSIANLSSTQLEMAKLQYSVNYDLNISINYPSKEDTLTLYYRLRGYDADSFELDVQEMII PIRDQEFRKPKILETKVLEVTRSTATFQVTTDIKGFIFYVYADQHMPNPIFEETKEPNLD NVTLSHSNPLYGVDYVRTTTLDVNFTISKLSPNHNYEIFIFVMNLNKVDNPTFMKVFFQT LKSQRIALLTLKINQATIDNEVKMDYIQKVAEMIGITKARLLERTTECKQTTLDVSTSNS YLFWNLIILPDPSNMNEYTKPLILAQTLNSRRAEIQKFITRLDDEPFFTLEEISDNIPSF VINPEMIDRTTSSISVRFKVSYCGKAYLTVRKRENSQSLSIVNETIFAQELQSVQFPSAY QIKNALNESNQVINQTKITIQDTDAFYQYNYTDLDQKTVYDIYISLENDFIANPELFSND SVARFTAKTQKIIIPLNIYSINYQGLLPFLMIINLLLMI >CAK70994 pep:novel supercontig:GCA_000165425.1:CT868096:268668:270469:1 gene:GSPATT00000706001 transcript:CAK70994 MNNYFQPFSEIKGYQIQALIGIGGFGQVYKAIQKDLKKEVAIKVIEKERYQENDGLFGKL VESEIKALQLVKSDHIIEFYEAFEDAKYRFIVLEYCDSGDLDNQLKNPKFKITEQDAIGI FKQILKGLRDLHAKHIIHRDLKTQNIMVHNNSIYKIGDLGFSKILDAADQKSNLQLGSLY TMAPEIFNQKPYGLASDMFSLGVIFYFILYNRLPFKQKDYLQDDQPNFNFEKNQIEVSNS TQDLLIQMLQFDPQKRITFQNVINHPAFEKQKFSMMSRIQLQANRIPFEDYQEFYVEKTA EIEQHFLIDFGYQQNIPQVDKAKQQPQRYIKISEVVDIQEYKANKLGVEVDKITQKINQM YYFSNTIQEMVQIFHSPNLIALLCLKLSQLCEEILKMIFENKDEYQNQQQYKDEFVNLEL QEREMLILDDGIKFQLRDLREQLINFDDAKFAQSLSDNLPKQQFNAMFFQILQKFLESEN YQITIASSHLVNCYLFCITENSQFLDFNEMTFNLNKSNEIHKDSENLLNKIQLFSEKINS QEY >CAK70995 pep:novel supercontig:GCA_000165425.1:CT868096:270980:276083:1 gene:GSPATT00000707001 transcript:CAK70995 MINLCEFLFDNAYSNHSQKYRNKVIMSFMDDAMEVEQNDGKVQEIHQQNRFLHSKRMIIV DEKCQAIVPDKPEKTLEKFIKHVNLNYYVKRQSLIFQTEKIEKLQNIDDYLLFAQSLILS QVDEQTAEDPKKKSKGFSGDGLILSGLSITQEQVNERALMFLKSMDYNIIKAKFYLLFPS LLVYNQFKHRHALQFTNEYMNEKIELYISKLNEDKMMQQNKWKQDLMDMINSKQKVHLAQ LQTLLEQGQQLKYEVPESIKQIHSTSIQIQKQINKLLKEKQTLEKLRQHLEKFEEQIPII TPEIQQLREQVQKSETFYQKLLKLPIPVEDSDNFDEIFENLISNSQSQKVQLKILANYNQ EYKLLPIEIKAFESLHKRLYEFVLDLVEKISKFTKIQQTKTRLGQYISNQGEKTEKMTLS QAGIFNHQILKLIVTCDDFDYFNELYQQALELNEKVKQQIDDPEMQQKLLGEVLDCPFYL DVQERLQSMIDYTQILDSIKLKMSERQHQVANQQIQMLLSRGIINDELKLLQTQTLRVLE WNQLADEIISYHQNISLIDVYDENFELQQYPSTLLNPTSDIINQLDPEKVQEIESISERI QMWKEQLISLIDCKQVQQTRKNNQNKTFYKLWELFKEGLRYRQELTLMTKVRDIIIQILD WHAQVYMIKQTLRVNDDSSQQIDGIDTICRVYIQRNGNQHTQDQIDKLQKFQSPFLSGSK DLQIIQALIQHTEKWKKDAKDAIQTQNQEQIKSLLDESLKLPVDELLVKELQSIHASHQK VNWILSTIATSDPLFDSILSALKNDESVKQLKDEMLTIYADNPQNKKKKEGMKLSKMAQN FFLNFQNTINSYLAFLTQLDENKLKKLQPIRIQRLKEYQREVQNDDFNKQIEDWIQQYQQ WQMQITNLNDQQQQKKKKKGKDSESQPETYSLTGDLLYYFKVPELLCLVIQGIEKNYISQ ELISSIEKLVIINRQAQIALSVSSVKEVSYYSEVFMPQFYVMAKKFRVINNWMQMASDMI NDIPRLKNIIMIQKLKPFLEYLEQLQPQEFKMSKSAQQQQQQQQQQQLQQQQTNQLFNQQ QNQILQTQSNIKKKAQKSINKLKNKSQEESQPTYDQESQSLKHSKRVKQVSKLMRDDNIA FYDANVPLGKAFRSSPNECINSDPVMQALKKPIIQKRGYKKGFNRKASPNLEVECNSSNF LDQVKNSSQELLNLYSQDEIYCTCRQGGESAITEFQILKSLHEKNVEQFFNYHTKLQQQV KFMIFCDNCEEWYHYECMGIKKQREQNQDKYNCRICLKKNNIPFLILNENLWEQYIDLGS DLYEDYQKHKLQFEKNILPNSGEYIYGQFLLPTTEEFKEFVRIGQKLPAQLVELELLELL EQRVDEWTIRYEDHKNLDLPQLIALYSESEWLPIQLPQSKNLLSLISQREFLNTANELLQ SKATFKTKQLKKQQQEKTEQLYLYIKDKYPEQLSEIDHQIVNTIQMLRVQAELNNQLQQQ LKQQLTFEQYEQLANQFEKEVKHHILQTDIKFSLPKLNELRQQITKLQQWNAEILAIFKD IDDIYTSNLNSQYQDTKPNLSEIQVKLKEYEMISIKVPNQCHEFVKALQAKAEELIYNIE QNLSQLSQDEQFELVKTVYLLKCRIPQFKELLLNKIHKDVQMRVVQWLPPQKPGLY >CAK70996 pep:novel supercontig:GCA_000165425.1:CT868096:276631:277242:1 gene:GSPATT00000708001 transcript:CAK70996 MMQEINLSQNNSNDQKVLLIIDKKNLEPVLNFIEKLNEISSQKSDAATLKRRVVPFFMNQ FYHWSVNRKLTQVVIYLKYLKTKKQSQQKKFELGDLKELFNNQDFSNPDLELYRNHARES WKVFLKDFAALSVQRNQKIKCGVTKQNYLNYIPILIEELDQMFPYDKLLSKYKLPSANPE MINTDDMIQLDSDPLYSTIKEIV >CAK70997 pep:novel supercontig:GCA_000165425.1:CT868096:278017:279387:1 gene:GSPATT00000709001 transcript:CAK70997 MWNSIKQAINGDKKQKNKSRSPQKIQKIQKQGPQISQIDPKLQIQNAYKMIELGMKYYQN QQYSMAKVVLVNSSQVLLPVIKEKKQNQEDHAAEYQELIKAINTAEICTQKIDQIQKNLA SQDPYYKQIIETAMIRKCDVSFDQIIGLESIKNQLEEVIVLPNLRPDIFTGIRAPPKGIL FYGPPGNGKTLLAKAVANQIKCCFFNVSASTLVQKHLGEGEKLMKTLFKVAFLFQPAVIF IDEIDSILSSRSSEEHEASRRLKTEFLVSFDGMQTTDQDRIFLIAATNRPQDIDGAVLRR FVMNKINQTVKILIDQPDQQARLGLVRSLMSKVNHSIQDPALDKICEKLAGYSASDIKAV VKEACMQPLREDKNAIVAMSAQNIRPVRKEDFEFAINKVKPSLNQKQYQEYISFNKSEQ >CAK70998 pep:novel supercontig:GCA_000165425.1:CT868096:279674:281494:1 gene:GSPATT00000710001 transcript:CAK70998 MGNFSAQCFADEPEERNEMQIRLNQQKSDYSQNVSVQNIKKDYETNLFQQDQNEIGGIKK ANQDCLFCRNHYSQLVLEENSEQLVYPSNRWQEMRKHSSQIYQVKESNVFTDPLALLQND PISQYTSEWFQLALSLPKYIKSREHFDGLPEQQQFDFLNSTLDQHDLHSLICLKWCVVDF FRQKNSLFPTNHFLESCCVIFYNIAHLCQFYNELFMENYRENQYTHNIRLYIKLYHQFQR LMNTFQNSYDLQFDIMQMKYEKQFNKQKDGSNFYPHFKLIGYMIRFWCQITLTIDVQNIL KLSYRNLNIEDDKDLIQQYVIAALDVDIDEYNVHWIGHREHFSIEKTLLDYNIIEQLVEK QSITNQDFYQQIDEKLDQLRLLYPQWFFEVEFECLGIQHKIARLVSDVKSQNSSEIAKLK KTDDTENLENYGNCQFEIILNSANTLKQENSEDKEVELMALYIQESNLMPRSKFRQSCSY QSTVTSFGFKQKGFNYSTQSILKASQEALQISKEIGMQYKQKLTEFNDRLQRVQDNISSR EQILEERADQFKIPRDVNVEWLNFFKKTDFNKILVDKKPFKKLVKNILQELVSSNLNYES QLIQFQ >CAK70999 pep:novel supercontig:GCA_000165425.1:CT868096:281626:283032:1 gene:GSPATT00000711001 transcript:CAK70999 MFGWFKKKKPESNSAQQEEKDESDFVDDETQVVTNEDKELEAKGFDPIAQVQEQDKENEG IADPDKLEYVGYHDIPSDEILQLVLNFKYFPNDEDIRHPEGYEKGGRICADKNVVTKARS VGKEMVKQIGKKILSGSLNLTKVSFPIRVMIPKTALETSVHGTSVFPLYITKATMTPDFL ERFKLVITATLSSFFWTNTFLKPLNPILGETLQANYNDGTQVYCEQIMHHPPVSYFLVLG PNKKYKYYGYYLVEGRAGLNSVTIINKGKRTIEFNDGQKIDFDFPNELYSGTFFGQLRQE SINKITFTDKANGLSCIIDIGKVKKRTSDFFQADVNCKGQKISTVFGTYIGFINFDNVRY WDYRYVVPHKIKMDKQPLESDHKNRSDLQALRVGDIPLAQKNKEILENIQRNDRKQRETF EKQRKSKK >CAK71000 pep:novel supercontig:GCA_000165425.1:CT868096:283841:285152:1 gene:GSPATT00000712001 transcript:CAK71000 MNLPSDDQSSKSMKSRKSIAQIGKTVSGEYSPEKKKQIQQKIYLILNDYECNRLAFFLSI LSIFIISLDIILLILETEKELGIIDIWVAANSGVSGYFVVEYAFRAATYNAFEESLIEFF KSNFVTNKEPFNLVDILALVYSIIEQILYLIDVQVDPRIKVLRMLIVLRLIRIFKFSTFS LGVKQILIRGLSESMQALSLLLFFTMICVILISSFMYFAEKEFVDDSQIQNIPQAIWWAI ITITTVGYGDYVPKSLLGKFIGVLSLIFGVLLLSLPVAIIGNKFQEIYLQNKKEETKKAR KNAKTHYNQIQNQNEKEIYRIILKLNELEQVNERIEQCLKDNQFLYRSISRDAQSMIDKI EINRENGKSKSKQKERMSTQERIIKIREEILNRRKNQ >CAK71001 pep:novel supercontig:GCA_000165425.1:CT868096:285199:286553:-1 gene:GSPATT00000713001 transcript:CAK71001 MSSRYHKQDQKFDKEFLAIVDAFGGKNCTSWTQVARKFELQTGEKVQKANTLRYRWEQLT GYSENFTKEQQIQLIESDIKSRGVKRQGLNDFYQLTGIKLYKGRFCRISSQHIKQAIQSI DEVFAKERKHRRCGKKDAEFRLKLSHLSIYILLRAKDTLNSEGFKQKNKGLDPFEQYLCQ QLIEASEICERLYLMHARVFQADRVDRYQSYMKVINRNQYRKLMFYVEYLDILRKISVEQ CTNDTMVSHPLVLRNIKKNKETLVYKNLILENDWSQKYQWFNECTLTQIQEEEFSLLLKS STRKSKPKSSQCSVDPSEVDDQRQNKRIELNNLQFDFIPKHCQKVYAKINSGKANNKNEK EYRKFRGHFIKPGDTRTDTRYTKIFTCDDDSEYDADQNEQEAQIQASSRQEKLQILYNEA FISVPNKKKQNQNSQDTPQNNP >CAK71002 pep:novel supercontig:GCA_000165425.1:CT868096:288108:290495:-1 gene:GSPATT00000714001 transcript:CAK71002 MFCCGRNGNQQNQRNRMTRFRFSAEEVQELQRRFQRMANGSNYLTKNQFRENMGLLGLET VQVLSDCLFQIIDEDQDGKIQFNEFLAYFDKITYGSQDEKAEISYKLIDQNRKGYFTLRD FQLTMQALIDSWVVMTGTAITNEIRDHLEKRVAYIFTQMDKNNDEKVSFTEYKGILTSDP TLLDIFEFLRKGITISIKEATLKQDQIVLSEFYLIKDQAVSLFETMIGLRQKRCSVTLQP KPNLIQSLILCQKKMKTNINSQFGIQYLMGDEVDALSLRMSSTMNANKGRQQGVQTSEII QGNSQLGPYIHRGDQEIPIKIDYIIKSKESEETLDPELTDGLEKINYMAEDQIRDNYKEA LIKIHELKQQTQQSLDRLEKMYQKKLEEFSINKKRDQEIAEKRRATIANINKKRKTALSV QFGHQNWNLVLNMMIGIQMAVKSVNALSDYEVALKDFKLKYYFELMPKRTGNEKTTFKVC KFFDYAPQVFNHIRKMFFIDNDNYLSSIGPETLLSSILKGDLSTLSELTSTGKSGSFFYY SQDGIYTLKTISKTEFTFMRHILYNYYKHLKDHRQSLIIKLFGMHKIILDDKKIHFVIMS NVFKTSHEINVRYDIKGSLHQRKTPNNADYTVARKDLNFLESHEKINIKIDKQSELLKQL CKDADFFAQNNIIDYSLLLGIHEINFLQTTARTEISQELESEDISIIQSKTGDKIYFFGI IDILTNFNTKKKIEYCCKRCFQGPDISAIPPHQYAERFKRFVTNMFRNNV >CAK71003 pep:novel supercontig:GCA_000165425.1:CT868096:290789:294632:-1 gene:GSPATT00000715001 transcript:CAK71003 MNQFQKAETSSFVQNYYMTRESLLNSKQTNFNSILQKFQLNNEDQGQLKIIIDQTNQKLE LPNSLDLKFASSIPTKIDEQIQYLIINETIETDSQNVEQLQSQCIPEYNMIYTFLWKENM ERVDQIYEYLLKYIHEEQDVLIYCKNVNEIQSFANALELLGQIQVRLTPEFNDFYQKLDQ RKTQQFNHVIYLLIEPQYLESECITLIIHTQYKEIRKPYIQNSVIYQDHLLSRQELIIRE IPKSNQCGVQIIYNFPKETYVSLSPKEVQLEFSQQDHHIEEFDKSKNSAIIKGALNTSGM LFQNKPNFNLTIRTSLLWSNKGDAYSWIEIIDQLGTFINSILLNVEFDKNQSIFEFTQLE KWCDENQINLYKGILILLYVQQYTQEYNCPQFNILNIGGLSMAPIRRSSSMQVVQEDKPQ VEEQLKLNFPVAELREDRLYHIQEQSYKLNQGCIQKQKGRLQQYIYILALKENSILYLHN KNRKQSLTQKEIILTNVGNVILEELWKNQGIEITELENKYNCSIEPNLNLNLISIYSDDK VDMGELYARIDQIKENLKTQILEIKHISGKKLIFQSGAVISEWIKQHESKEFKLVGLPPK VTEGDIKELFEDFTVITHLKLNYLENETQAQIVIEDKDDMPYIIQGYDQSEYQDRIISVV TEQTQIQLNKINQQKYILSLIWYTKLCSGVCTVVFNETAQAKGCLDQFNNQLLEGKQIKI TQIDEFTLKFQNLSPFTTEIDILMLCGGKQHVKYIDLQSKTLSESKEDYSQIIIHLINRN IERNEKKDVDFHHTHLIRKTRGKRKAKIYITSIHTMQKLLEILNGQKIQFGANLVKIHSK GQYYQYHKIPIQLKPHILSLLKEYEKFNFKVTFEQGNHQKNRELEVIICTYNILVNQQLD QVITNFLQGYKIELKNTSDFLFTPFGQNKLKDFEKADGSIHFMIDQYKKVIRVCCQKEKL DEITNYVNQIAQIIVSHKLIIPPGAIKQLVGLKGQGLDSLKKQFNLTQIKYKQQSKELFL EGSGLNIQDAVSCIESAVSQVNFDEETIISDAKQEDCPICFDKIIHSYLLQGCGHKCCLE CISLHCNSVLQDVKLFPVRCPICNEKMILNDILQIIGKENKETLINLALNKFVQENNQSL TFCYTPGCNNFEQIQIEDKAIYCSMCLKQYCFLCKALRHPGLTCEENKLGDQGLLLKLMK EQDIRKCPSCQALIQRIDGCYRVTCSVCNKSICWKDNKKGVPCMQVFKTASECYDHLSKY HGGYW >CAK71004 pep:novel supercontig:GCA_000165425.1:CT868096:294761:295954:1 gene:GSPATT00000716001 transcript:CAK71004 MSQLSSTKKSILLAALVIFGSLAIITFNLQNSQIVFVDQEDTYGVFNHPFMQTLSMFVAE FLCLLAFFYVKTTKSYKSQYEEACNDGLKRIKVLLILIPTCCDSIGALCIYISLNFLPPS VNSILTCGIIATSAILSRLMLKRRYTTKEIQGCSLVLVGVAIVGISGFTFPEEQNQEDIK KQVVSIFLMLFSIFLYGFQYTIEERFYKTYFIHPLELIGFEGMWGLIIYTLICISLTFTK CPEALYNVCIPHDGEYYFERADYYFQQFSKSALLQFAVIFGILSISVYNTMCQTVTKYLS CVTRALVDVAKIMMIWIISLIISLSTSSSNYHWENVRLGAIIIEVIGFSFVVAGEIIYNF NATQVEGTEELTQNLSLQQN >CAK71005 pep:novel supercontig:GCA_000165425.1:CT868096:301094:302395:1 gene:GSPATT00000717001 transcript:CAK71005 MSGYSQIGLFENRTNDLDSLSYDVHLIQQTLHGWTSIFNQLMEISIQTHKQNQSNTALLQ QLMIQLQPKSKQTQPKDQIKLSPIRPTKTEPDEYIKPENFSRIFNNHKKISIMQLQGTPF DSAFESINKELEEPIRDDLYLSVPKSMQLSNDNNMQKKNHQLDFHPQSVKNTYENKQFAF NKPIRSQDIKSNKENTQNQYTIHRQDSKRNQDYPQLSTVLEENPSLLMTSNENNYRHSIT QSHRQYDQQIIQKHSQNSQRPPNYYQQQQHQSSENNRSSSKSCYGAANNLQQQILTNNIN IVNSQQHLQLMQQQQSTQNHSQNNLISQSTKNIKQNQFQNNNASIKLPIDTINQISSQRA KSYSEQTCKKQVSKRILDIDIFDKKYETSQELIWKAQKNKS >CAK71006 pep:novel supercontig:GCA_000165425.1:CT868096:303508:303995:-1 gene:GSPATT00000718001 transcript:CAK71006 MIFNSKSSFLKQNKNSLQQLELLQQHYLIIVGSYSNRYTFCYGSTSLFKCTSKKYIQKNV ENICTIVEGHAIRIKRRIFVFLISSERISKSRSPLQYDDRVHPSPKTMPSQNIEQKINNT YLESNFVTTSFTSYFYKAIIRVKIELLKRLNK >CAK71007 pep:novel supercontig:GCA_000165425.1:CT868096:304211:304894:-1 gene:GSPATT00000719001 transcript:CAK71007 MTSVVQLDQEYRMLFEQIMGMLDQLSSISLEFVSSKLTFLEKYSQSLKTTQMDARQHRNN QIKNLIEYAKMHRKSKVRSVINSPSNRTFHHHNSLDMQDVQLNENISVMPNSCASQKTQS DTKLIHTKTIKNLLQKMVSKKNESPQKSSADPLIKLLENAMSLLDTHQQLYQEDYNALKK EYYELQQNNPQIMAKVSLQNKSSKIKENLNSLIETQKSFKSYLNSIM >CAK71008 pep:novel supercontig:GCA_000165425.1:CT868096:304930:306610:1 gene:GSPATT00000720001 transcript:CAK71008 MDHDPLTQFLHLLKQKLAITEKQFWELSNEEGHSAEQEIELAKELMMQERHIQLIDQTIR LRNQDKTLNFAFPMKYAMNLIQNPEIIEEFKQYNIIDVSEEFEKINHNTITEEQVKGFLI MKFDDTASQKSDAWEDDLGEVVEVDNQELEALEKEVDQQEEKIIEEEEQMIKQQPELLEQ IEDNHIDPCWKIDESKAQLQIHNKVICTFAQNPVSKDLIASGSEDDHLKIIKLSTQKVIF EKKYDETVGLVDFNYDGKYLAAGILTGKIYIYLLNDMSEKMLDGSQTEPSVLKWHPKGNV ILAGFQDSTLWMWNGVTGEVMSVFAGHEAEITCGGFTLDGKLIVSGSADQSFRVWKPSTS EQVYKISSVSHKHNYHKDDVVCFVQHYKQPIIATGSSDSTIAFINFEDGKVIGKSDPLGD SIVELCFIGTNQPIIVAGDMSGSVYIYNWNSMKLIEKLCLCKNSITKILFYNQQFHISSL DGKIVTFDVRQMHNYRVLQAKSGIYEMIVEEGWILVGCENGFVYVY >CAK71009 pep:novel supercontig:GCA_000165425.1:CT868096:306785:307470:-1 gene:GSPATT00000721001 transcript:CAK71009 MQHLKINNMKENQNRDLSKVEQRIQLLMEENQRLNQSLKTKMEEIQQLKNENNAQQMELN KFRGLDCEQKILTEMILEKTGDCDKHKRKLQKAEKIIIELEQEISKLKLEKDQHTKQMLE AQTQNIYLDQERLKQIDELKTKVRKCNQGSNDQVDQLKFEINKSQLENDSLKVQLKQLQD ENTSIKRHLGCLSGYIIENEQLRKENESLNQNYQALLSKQ >CAK71010 pep:novel supercontig:GCA_000165425.1:CT868096:308899:316205:1 gene:GSPATT00000722001 transcript:CAK71010 MFHLVFFLTICTYVYAQTKFLLQGPLPLNIDCKIQSPIENGFIGNQYFYNSLSQNGTLLN LDKITFSLWMQVHSDPQLKEKQLIFAFYDGNQTATINVMLYYQTEKDGTYLYFINEKFSQ QIIKLKITIINKVWNFLFISIDQSTDNCFINLKFFSIGDTSNNPQFFQAIETLVSQKLPY IFNERSRITNEMFNGFSSRDQKACINIANFYYINGWISMDKEFYVDYDSELKFQLKPFAS DGIVVSNTYTDIILRKFTIPIEYTGSIGMNFYKNTQIVYNFFEQLPSVTIMFWVKPQSLV SSFQFLSFTDDVLQETSIGFGVNKLYNLLFYQGFSKTPVCSLSSNVWNHVTVGILDISIN DDFKPLNQRKLLRIFIGNVERNLTIITNVKPYQKLVLGPVFMDDQGSEIVEIQDIKIFKG FGIRQTYNQDCQIFVGSYCAFCKPSTHFCKEQDPNDDSKFYICPAGYKYENYLCKKIMIP NCLRMSSSSPSCEICDQNYNLISGLCQLKNLAASSPFTCSDTNAQLCSFNITQDVILQSS NTKQVSKLCSNNKYSNAQSTCALITVPNCDKAQYQSGCSQCSPSYIMTDKKTCATSCLNA LRFIGQSNICVGKCDRYLFKAVCQGLKYKSIQYNCSLQKNCTTAEMDIGYYCLPIEFSVP GKTQNCLKLTANCNSICKHCFGTDITHCLACYSDMYYNPYMTTCMPNCNNAAKGNIFLFN NKIKWLCEKECPTNLYTQNSDCVQICSAGYKLYDRKCILTTQNATYGVLQDFPNNAPPTQ MVVLKYCPQFCKQCTSEAVCTSCLNQYPMDQNMCVESCHPQYLEVIDNIGSCRMSCDPHD LIYDNEDINGYKIKQCFKIKCGSIRVNKDYQTFLHQSDSHRCMYPCDDGYYGNTLLLQCL PCNPICATCSQSAIYCTSCPYFKFLDQSGCYDSCQGKYQNYLNSKCEGSCSLGFQVMDVA KSIFACVEYCGANYPTYLYSWNNRCYEEAPTIGAYCVDKNCYNCNYKCKTCFGPDQNQCL SCKAKSYLLNNECVKQCYPLYNDNIKWECVDKCTDSIQTYGPQVVNGSTQTVTYCSVTCL FNQYQFKEKCYNSKPAETYCLQRTNYLFCDSCASVCKECQNAYSTSCSRCAPGAYIYGTT CYTDCPDNAPYKDTGRLQCVTNCDYYAENGICVYSCSSSYYQYPEMRTCYQMGCPMGTYN IKPYKICYKCYTGCATCTSGYQNGCLTCQVGYFMNGGTTCINKCIVEPDLVQDWVNSKCV QQCPFGTFIRTLDNGALACTEDCPKYYYSNICVSECPSQTYLDKKICKSCAGPCSECFGV KVFECTKCDIGYYRDETTCYAVCPDPKPYANLADSTCVTTCPDYLYLKKKYCFSPCPGFL YQYELNGKKECVDQCYSNSYLWLGKCYQCDPICKECFGPNNGNCLECQLPYFLDEQTCGN TCPQFYDLTDHVCKDACPVNLVIQGMNCQNECDLGYLVYNQVCVNTCPNFAYQVGDHCYD CNLYCSTCFGPTADECYSCIDNYFLYQQSCKSVCPHFYNKENKTCMENCSNMYEIAEKKE CVTTCPSQYILCESKCILVLEDGYYLNGNQCVKCDPKCSKCTSATVCQACAKNFYLEVTS CVNFCSNEYLFMDPISSSCVTKCPSTLYHLESFGKRFCVSDCPYKLYDQCVSACPSGMYP KDTICTQCPKYCNECESATKCSSCLFGYYLQDEQCQLSCTIGKTDRKNIKCVDECDPTLF EYQNECLENCPTDPIVYKNKQICALSCPIATYQDAQECRDCDSSCTSCIGPSYQDCITCS NGYYLDNQICTQTCSNLYDEVNKQCVLTCPTNLYLDLDKCVAICSIYEYNSVCVSTCPPL TYFLNKKCHDCSENCQECNSIGCIKCAVGTYLDDGLCNNYCPYYYNDVNNECAQLCPKDT YLYIDHCYSQCPLSTFQYKKDCLLACPSLTVLQNTQCVQCPERCLTCKNEYECSKCESPY FLFNGSCVIHCPLQLPFEDITNGQCVSVCPPEAYERGYECIKECNLIIYQKQCLQACPTG YYGNDYCKPCRLECKACSTFEVCTECNDNFFLEYNQCDTQCTKIKDLKSKSCVDTCNSLL YKNVCYQTCPQNTYENTNECVLSCNDGYYGSQDFKCIKCPQQCGTCTSVLQCTKCNIGYF LYNKQCLDQCPGSFFSNPILNECSNKCPLTTFIFQNSCLFECPSEYLNDMENYKCVNSCG SQQYLSKKNCLSCAIECDSCTGRGNNNCIKCASGFTLTEDGICLGSCKDGYYKSDNSCQQ CLHKCQTCENGTDCKKCRGTNRNLEDCSCQKGYYDDEYQDDCKRCPCDECTAADNCLICK NNLQVPKCSCDRVLNQDWCITCQVAKVNIYYTDDLNEIIVHFGYLISVDLINPFQPFKLF IVV >CAK71011 pep:novel supercontig:GCA_000165425.1:CT868096:316259:316783:1 gene:GSPATT00000723001 transcript:CAK71011 MQFIQPLKPYASVNIGDKLSFKNSFYRDVDQGICDGQFIEQFIENKVGEPKSLQKPYILF DIPSFVSTCKTIYIKQILLDGTGQKIQNVLSWTLKEMKDEEQYLQMDAFLANQLNEITIP IGTLQPNLTYTITAKYVNFLQRVNFTTFTFTTLPMQAPYVFLSYDTSNSKSLYI >CAK71012 pep:novel supercontig:GCA_000165425.1:CT868096:317120:319839:1 gene:GSPATT00000724001 transcript:CAK71012 MNGNVITYLIYNLAKQMKTKLCNFLLEELSTIFADSQNTTLVFFAKASKGNRWTVKEQLI KNFISTIMIHKILLTQMMKQQSQLKNNKSMLLLFKQHKILQSLKISSLSLKFRLAGLTTD YINPVYIYLVPGNESIGFKLNDPPEQIYFKIEPLIGESLDYFNYTLSNLKPGNSISFYYY FENSVLENDVNIQSIINGIPLIKDSKETFGQFQLPNGITNNSISVLCEISSSYGAKTYLK QEVYVMRKNYELQQLYQSFTNKTNLENLQSLHTMIALIQLEEHQVCLKQCSGVGTCVNKK CQCPPGYYFADCSGNQEQQTKFNDFMFNAMQSLIKAPIKNNDDMKLFSQTLYFLTQFSSN NTLSLEDCSIVLQQYIKNTNSRLEQINQFSINLQYQSTEDFNYSQIDIRSLVNKNDLHTA LKSTVFMWEKTLFTSGSNVYSLQNQLNNLINNIIELTIFSLSPNDKVDFSIDTASITVQK SQSLPYNSSRVLEEINSQKSAIYDIVYAIYIRNFYAFDGYFPYPQQIYPLYDYQIRQSSR KENIQLDQPISYRFGIQNDTTNLVCLQRNAKTYEWFDQNCTISEINSTYYCNCTTLSPTT ICNDYDFLYQGSSGFRISLPNIFYIVYIIQLIILGIFVSKSKTQNGEQKTEDTKFGRVFK LAKHNKVNVIGNQVYPVDHEKDTRELIIKPEVANKDNKNDKFHFNYFWKYHCLAQLIFKQ LAYLSPFMRSLLILLRWNSAIIVGEFLSLIYFDYQISIWIIFASIVIMRLSENFLKKQIK YFFVMKQIILVILIKGFIFLLLVFTILCGIYFYLLIDDQTSLILSYSAAIIIDILLLDII IFGSKRFLGENKKKNIRKKINELKQIAKVHKQND >CAK71013 pep:novel supercontig:GCA_000165425.1:CT868096:321016:321950:-1 gene:GSPATT00000725001 transcript:CAK71013 MATRQNTNPHDSKKKHKENKINSTKTSKKKVKSKSLANQEQVLNLRSNFETLVKNKEDLE MIIINQESKIKDLKLNEKNLLEELKRQGDIAKEALNKLYYYQQENNNEREKLRKYYEDYY KTKEQEMIQKYEGLMQQSNITMSDYQKKFKDFVVKEQNYQEEIGLLKKASRNIYSPRDRN FQEQLNEMEVKLNQANKNNEQVKFLQNENSNLHVKIGQYEKQLSKKNEIEQSLKDKFSKQ IIELQQELKYWKTEMDKVIRQNKVVISKLTPQKLRY >CAK71014 pep:novel supercontig:GCA_000165425.1:CT868096:322588:333531:1 gene:GSPATT00000726001 transcript:CAK71014 MCFFIKAFLFLLPFLNQAQRFKLQGSKPLYMNCIDINESDNGFVGNQYFYDQLSQSGSLV NLDKITFTLWINVYQKYKLNGKQILFAFVDGNTNNPYLNLILYYTISSGQYTMTLSNERF SPEIVLLPLSQQNDLYIGSWCHIVLSIDQSTSNTFINLKFFSTYDNSLNQIQDVLVNQKL KYKFGVHSRITNEQLFKSSTDYKACVNIANFYYINGWTTMDSETYMDYDLELKFFLKPYR AKGFNVNDQFMNVALRQYSNPVFLGSPIGLYLYKNTQIVYTFMEDLGSLTMMFWIKPMNI VSLFQFISLTDDALQQTSIGFGVSSDYYLQFHQNYGKSPLGKLEESTWAHVTVGVLELSY NSDFIPTSSVKLLRVFINKSQVEYKKIYSVIAYKRLILGPIFTDDYGDECIDIQDIKIYK GYGIQKSQGDCRLFVSAYCAFCRPDTHYCKEQDPTDDDNIYTCAAGYKETPNGCSPITIQ NCLRQSGPQCAICADNYNLSSGSCTQINPLVSPYECDDPNAIFCRRTIYRDNSKSTFEQS KLCKLDYGQQSNQFYCENKYSNNCVQAQFQQKCYKCNNNYYLTEQNTCQVTCTQLNKFQS NGVCLKKCPFKYYYTFNCYSTSSLPQYYCSSQKCDQQKELDLDYYCLNYFYSNGKYKYCT LRGQTQENEKWIDCHSDCKYCFGWQQNQCLGCYSNKFFSPYDTKCVDDCNDLKYFKYNNR DKMVCELECPSDYFTQDLECVRSCKIGYALYNKKICQPDTQITDNFVSILMFKSVFVDCP QVCQTCTSQTICTSCLNNYILTQNSCPVTCYPKYLYIDEDDVSHCLTSCDPTDLVYDNSN IDGYKIRQCFKLKCGFIQLGRTQQTYLHQTKPLTCVYPCDPDHYPNQNNYSCVKCDPICL NCQNSATFCTKCQPGTYLQDNSCFTSCKSKFKNYYNNQCEGTCSSGYAVIDKALDIQACV QQCGMMFSMFLYTLNTQCYQAPPVIGAYCVGYQCYNCYYKCKTCTGPNYYSCSSCYDKTF LLDNKCGTNCDDKYYDLLNWKCVDVCPSNVYTTSGYQYVNGNLTFVTSCSSTCLYDQFQF QGKCTDIQPEGTYYTQKSNYKLCDKCTAVCLTCFDSYSTTCTECNVGSYLYDTTCSSECP DDAPYKDTLQNLCVVTCTSYQENGYCVAGCSDNYYTYDAQKQCYELGCPEGTFNLANTLE CYACALGCATCTDGSSSSCITCIEGYFLLGTSTCTNVCNVSPDLIQDWINGKCAKQCPAG TYLQTLASGQLACKDTCPVLYYSNICVAACPAQTYADGIICTPCAGPCSVCFGELVNQCT KCDSGYYLADTSCVDVCPNSKPYANLADQTCVSTCPDYLYLAKKICFSPCPGFLAIYQLN GKKECVDQCYSKSYLSSGNCLQCNAICKECYGPINGNCLQCEAPNYLYQQKCSPSCPSQL YTDLIDRVCRESCPSNTVIQGQKCQAQCDATYLLYGQFCVATCPAFTYKSNTKCLLCNPL CRSCSGPLITTCSSCIENYLLDGTTCTQTCPILYDYEAQKCVSTCNTKFELTDLKSCVTT CPTGYIKCNKKCLKTAPDGYYSDGISCLQCNSKCTKCTSQNVCQACSKNNFLSLQTCGFL CTNKYLYMDSTTGTCVTKCPPQLYHQESYDRRTCVEDCLLGYKLNDQCVSSCPKGMYTKD NFCTNCPQTCEECTSATNCTVCIKDHFLENGLCYISCLVGKTDYKNNSCVSQCDPSLFEY QNQCLVSCPTDPVFYYHSNICMDACPESTYQNKQECLDCDVSCLSCIGPSNNDCLVCKDT YYLHDQQCILTCPNLYNEVDKTCVLSCPPNLLLDGNKCVLICSQYMYDNTCLSSCPIGAY DANYICFDCSENCLECNSFGCTKCGNGTFLNDGSCSSFCPYFYNIINYQCEQQCPEGTYL YIDQCYATCPANTYTYLQTCLLECPLKTILLNSVCYQCPERCSVCKSQYECGTCDAPYYQ FKGECVVACPTVLPYQNKIYYVCQSECSPDTYEKGYDCVRECDLIIYQNKCLTNCPYGYY GNAICKPCKLECKACNDFNICTECSDNFYLEYNQCETQCTKIKDLKQKKCVDSCSQFLYQ NVCYETCPINTYQYTNTCLQKCLEGYFGSADFKCEKCPSQCITCSTFNQCNSCKIGYYLY QKQCLDSCPDKLFSNPLTSQCTQSCPDKTFIFTNSCLYECPSDYFNDTESYKCVTSCGKQ QYLNKNSCYPCSFECDQCTAYGNKNCVACATNFVLTEEGHCFGKCKAGYYQTTNSCEQCL HKCLTCQNGTECLQCRGNNRNQLDCSCPKGYYDDPFYDNCQKCPCEECISESECLVCKNN LQVPNCSCNRRLNDDWCITCQIASVNIYYSDDLNQIIVYFGYLISVNLINPFQPSSCSFW FNDSEIFGEDAQCYLSWDRYAVHIILEPYASVNVGDQLSFQQSFYRDVNQGLCDGQFIET FIDSTVKGPSALTKPYVHFDVPSVVSTCKTIEIKQILYDGTGKKIQEVLSWTLKEMDNDN YYLQMDAFLADQKNEFTIPIGALATNVTYTITAKYINFLKRVNFTTFTFTTLPDLVPYVF LQYNPLMARVYVFDCKVTYSDMKNEFNLAIQVSDSDNKTYISIQQAINPIYDVPLNESLL PKETPLLFMASTGSSVIHEKIYLKSKKIEIQFLQKNRFIGLDNQINARAFDRNIQDEVLS TLKIEYQWQCNNLFNLQPCKTEENKIMEFPSRRIADIFADSQNTTFVFFVKASKGNRWTV KEQLIVVTDFEIEEEFVLNQEVPQNSVNLNDEITVLIRNNQKYAFIIQEFKILASIKTKG QTLKFRLSGLTANYNSPVYIYLVPGIESISFKLNVPPSEVYFNVDPLIGESLDYFNYSIQ NLQPGNTFSIYYYFDKLLLQDDVNLQSINHGFPLVISSQELTGSFQLPNGIIDNAISVIC QIESAKGSKSYLVKEIQVKRNNYQINKLYESLNNQTNFSNLQSIHTMTKLMEIEQQQVCL KQCSGVGTCVDNKCKCPPEYYFDDCSGTREEYDNFSSLISNALQQLIKNPITNDDEFRLF SQSLLYLSTLKDLNSSITNSDCQQILEQYIQNLNTRLEKINQYSINLQYQSTAYLNYSQI DIRSLENQNDLGTALKSTVFMWAKTLFTEDSAVYQLQSRLRSFLSAIIELSLFGIEPNES IDYSFDTAFLKMQRINNISNITKGRLLVESTEVNSSNSEYYDVVQAIYIRNYFAFDGYYP YPLQLYPLYDYQIRQENRKQNIQLSSYISYKFKVLNDTTNLVCLMRNSLSYEWSNENCTL DQQNTSYFCNCTNLAPTTICNDYDYLFQNSPKFQLKIPNLLYIIYFAQLLILGIFFFKSR KSQYEKSVDNNKFGQVLKLAKHNKIGVFGNKIVPIDDEKQVVQDESKQNQNQQQPTDKDK FSFNNFWKYHFLTSMIYKKIFYFSSFQRSVLILLRWNQAIIVGEVLTFYGFNYDVAMWII LSSIIFSRIFEYIFKTQVKYFFYMKQIIVLILTKLFLFLIMLGTFLFGIYVYLIIYNQTN LIISYTFAIIIDFLFLDIILFSANKFFGQEKKKLIRKKLKEFQFIAKAQNVN >CAK71015 pep:novel supercontig:GCA_000165425.1:CT868096:334577:334994:1 gene:GSPATT00000727001 transcript:CAK71015 MDELYQSEIRQRYYSSMTYQEYLDTHDQPPPTPKIKAKQSQQIVEPLTLEPHKGPKIQQQ KLAIYTPDEVILEESENDEKQRSNQNQRVPCQKALIEHDIRMRSQSENLNFSVFRFLQQK KKA >CAK71016 pep:novel supercontig:GCA_000165425.1:CT868096:335538:336903:-1 gene:GSPATT00000728001 transcript:CAK71016 MHYVYFILLVVLASCKMTNITNLEKWVQNQNYTMIFFTRDDCNHCQRLDEELEKASQLIN VGTPGSIGIPVARIKNYELNRYPVLRLYVKGLYTEHSGEWSAKQLEEWADLRALSYISDS DSEPTIRWIHEAHNISVLVFNEKFKQELKWLKTLKHHIHFTYTTLPNARSILNVGEETTL VMFTEKGINRYDYDGEITYEKVFKFVEDHEEKYYQEFTQDAIETAFYQPKKPILFIFDEK DYRDLAKIHQKEINTILVKLDQVTDRLLNYLGIKGIQRPLAVIYDQNHSQKKYRTQFADL GDLRKFVNNFLNNKLEPYYKSQPTVKNENWEKQILTVVGDDLPKHDNIFIYFYSSWCKVC QEFTPKFEQLFKKYRGQKAFGMFDASENEVKDQFIKEVPMVRWYNAQTRQVVTFDGPLTL EALSEFIDKQGKKQVSDDL >CAK71017 pep:novel supercontig:GCA_000165425.1:CT868096:336915:337428:1 gene:GSPATT00000729001 transcript:CAK71017 MGNQSEKKIASFKQKVIGYYFYPTTGICTLFLLANLYCGYALTIWGAIVISIITFIQYFC YNQISKSLEFGLDTDSYSIYIDILVLNGVIQLGSIIFDVFWYGYWIIPLILLYKGSLIIW GWLQKPQYAEEDKKDKKKKENKPKVKYVK >CAK71018 pep:novel supercontig:GCA_000165425.1:CT868096:337992:338449:-1 gene:GSPATT00000730001 transcript:CAK71018 MIKETYKQNLSDFITNDLEKAFAIIILMMNTIMILWQASNFHKLIRFFLKIMDPTLLKIN TLVFILSLIRIQAYSYAVFGCFLKESEQQLFQPSVFRTLQSSSFIFYDLVLGVIGAKWLK NLFIQGFQLCRNFFIIFKVFLILK >CAK71019 pep:novel supercontig:GCA_000165425.1:CT868096:339251:345696:1 gene:GSPATT00000731001 transcript:CAK71019 MMYLVFAILSYVNSQTKINLQGALPLHMDCSNVDEIENGFVGLQYFYDQLSQQGQINNLD QLTFSIWIMVHSQMKLGGRQIIFAFVDGYTKNPYLNLMLYYQIESGDYYMYLVNSKFEPE ILKLKIDQQNFPFINRVNDLYTSYWNHILLSIDSRTENAFINLKFFSTYNYQLSQKLETL VTQKLIYRFGSHSRITNEQLYDMTSDYMACIDIANFDLIYGWTTMDSEIYVDYDVQLKFL LKPFQVNSINVESQFQDIKLRVSLNQASYSGPIGLYVYRDKQIVYSFEEQMSSFTLMFWV QPRNIAKVFQFFSFTDDLVQETSIGFGVNSNYKLVQYQAYTVQNQLGSLSANIWNHVMIA LLDISINENFIPQNSKKVMRTFIDTSQTSLIIVQNIKAYKRLVIGPVFKDARGYDFLDIQ DIRIFKGYGILQSSGDCQYFVGPYCAFCRGGTHYCKEQDPNDDVFYYNCPAGYIEQSNTC VSTTIPNCLRQQGNNCKACESNYWLDNSTGRCILSSSSPKSPFYCQDSQAIFCQKTILYS ISTSQKQEVSKLCQVNVAQYSSSQLTCQGWSVDSCNQAQYQSQCYNCGYNTVLTEKKVCR WQCTSNYRFNNNKICQKSCKSKYLYNYSCTYSSPYNYVTYYCNPNSYCQSNEIDLGYYCL KPEQQREGRTRGCIITGRNQMRDSTIYCHNSCKYCFGPGDQNCLGCYSDKFFNPYLTACV TNCSNKGLFKYNNQQTKVCELECPTYLYIKNYDCVTDCGLGYALYKNTCLPNTYLTENYL TTSVDSSSQIIDVMLDCPQLCQTCTSPTICTSCLNTYPIDQGMCVLSCFPKFLLRQDDGV SVCLSNCNPSDLVYQNDNFNGYTIKQCFPKKCGSIKVNKIYQTYLHQSDTHRCMQPCDDG YYGHPATFECTPCDSNCFTCQDFANFCTSCNFMEFLLDNVCFNTCGTKFQNYINWRCEGT CSTGYSIINKDLSIYACVEQCGQIYAQYLYSFQGQCLVTIPLIGAYCIGSNCYECNESCK TCSGPSQNECLSCYSKTYLLNNTCGINCGSQMYDMANWLCADDCPYSTFKTNNGSTYYCS LTCLYNQYQYKTKCYNSQPFGTFCQSRTNYVFCDGCADVCKTCTTSYSTSCSQCNPGAYL YGTTCYSDCPDVAPYKDTISNKCVTSCSNYLEDGFCVNTCQPTSYIYTQQMKCFIMGCPE GTYQQTNTNYCYACNTGCSTCTGGGITQCLSCISNYFYNSDGSCSSNCLTNPYIIQDWVN SLCVQQCPFGTYMQSLSGGALACKDTCPQYYYSNICVPNCPNETYMDGKFCISCAGPCSV CYGAAVSQCSQCDIGFYLYDTTCVETCPNLKPFANLADQTCVTNCPQYLYSPKNLCFNPC PGFLYYYELNGKKECVDQCYSKSYLWLGKCYACNSICKECFGPNNGNCLECELPYFLNEQ TCGNTCPQFYDLTDHVCKDACPVHLVIQGINCQNTCNSGYLVYNQVCVNACPNFAYQVGD RCYDCNLFCSTCFGPTANECYSCIDNYFLDQNSCKSTCPQFSDVDDKLCVSDCSGKYEHK DKMQCVSTCPTDYLYCLSKCVKTLDDGYYKDGNHCQQCDPKCIKCTSATVCQACANNFYL EVNSCVNFCSNQYLFMDPISRSCNHLVKDSVFQSAPINFMINVFQLAQVELFPKRYRLYQ LSINFVMNANRLQNAQYVNYGYYSENDQCQLSCTTGKTDRKNIKCVDECDPTLFEYQNEC LENCPTDPIVYKNKQICALSCPISTYQDAQECLDCDSSCTSCIGPSYQDCITCSNGYYLD NQICTQTCSNLYDEVNKQCVLTCPTNLYLDLDKCVAICSTFEYNSICVNTCPPLTYFLNK KCHDCSENCQECNSFGCTKCSVGTYLDDGLCNNYCPYYYNDVNNECAQLCPKDTYLYIDH CYSQCPLSTFQYKKDCLLACPSLTVLQNTQCVQCPERCLTCKTEYECSKCKSPYFLFNGS CVVHCPLQLPFEDITNGQCVSACPPEAYERGYECIKECNLIIYQKQCLQACPTGYYGNDY CKPCRLECKECSTFEVCTECNDNFFLEYNQCDTQCTKIKDLKSKSCVDTCNSLLYKNVCY QTCPQKHLRKYE >CAK71020 pep:novel supercontig:GCA_000165425.1:CT868096:345714:350180:1 gene:GSPATT00000732001 transcript:CAK71020 MMAIMVLQTINVQSVLNNVEPVLVYYNVLNAILDIFFIISNVWTNAQDHFFLTLYLMNAQ INVLKQHLYFKNSCLFECPSEYLNDMENYKCVKNCGSQQYLSKKNCLSCAIECDSCTGRG NNNCIKCASGFTLTEDGICLGSCKDGYYKSDNSCQQCLHKCQTCENGTDCNKCRGTNRNH EDCSCQKGYYDDEYQDDCKRCPCDECTAADNCLICKNNLQVPKCSCDRVLNQDWCITCQV AKVNIYYTDDLNEIIVHFGYLISIDLINPFQPSNCSLWFDNSEIFGQNAQCYLSWNRYAV HITLKPYASVNIGDKLSFKNSFYRDVDQGICDGQFIEQFIENKVREPKSLYKPYILFDIP SFVSTCKTIYIKQILLDGTGQKIQNVLSWTLKEMKDEEQYLQMDAFLDNKLNEITIPIRT LQPNVTYTITAKYVNFLQRVNFTTFTFTTLPMQAPYVFLSYEPLIARVYIFDCHVSYSDM KNEFNVAIEITDGKNKTYISLTQQINPIYEIPLNESLLPKETPLRFIASTGSSIIIETIN LKAKSIDIKFLQKDRFIGLDNQINARGFDRNIQDEVLSTKGINYEWQCNNLFNLQPCKTD ENQIMQFPSRRVVNIFADSQNTTLVFFAKAYKGDRWTVKEQLIVITDFNIEEEFYINNKD PQNYINANDEVTVLIKKQQKYAFIIQKHKIIQSLKISSLSLKFRLAGLTTDYINPIYIYL VPGNESIGFKLNDPPKQVDFQIEPLIGESLEYFNYTLQNLQSGNQISIYYYFENSVLEND VNSQSIINGIPLITDSNETFGEFQLPSGITNNSIQVLCEISSSYGSKSYLKQEVHVIRKN YELQQLYQSFTNKTNLENLQSLHTMIALIQLEEQQVCLKQCSGVGTCVNKKCQCPPGYYF ADCSGNQEQQIKFNDFMTNTIQSLVKIPIKYNDDMKLFSQSLQFLTQFSSNNTLSLEDCS IVLQQYIKNTNSRLEQINQYSINLQYQATDDFNYSQIDIRSLVNKNDLHTALKSTVFMWE KTLFTSGSNVYQLQNHLNNLINNIIELSIFSLSPNDKIDYSIDTAIITIQKSQSLPYNSS RVLEEINSQKSASYDIVYALYIRNFYAFDGYFPYPQQIYPLYDYQIRQSSRKENIELDQP ISYRFGIHNDTTNLVCLQRNAKTYEWFDQNCTISEINSTYYCNCTTLSPTTICNDYDFLY RGSSGFRINLPNIFYIIYIAQLITLGIFVSKSKTQNTEQQSEKTKFGHVMKLTRKSKVNI VGNKVQQVEQEKQPGQLIIQPQLNKQDQKNDKFHFNHFWKYHCLTQLIYKQLTYISPFMR SLIILLRWNSAVIIGEILGFFEFDFQVSIWIIFASIILMRISENFLKKQVKYFFVMKQII PMILIKGFIVLLLMFTILFGISLYLMIANQTNLILSYFIAILIDILLLDIIIFSSQRFLG ENKKKNIRRTIKELKQIAKIQKQFN >CAK71021 pep:novel supercontig:GCA_000165425.1:CT868096:351094:352491:-1 gene:GSPATT00000733001 transcript:CAK71021 MNKQSDFIPIFPEHNPLKFQYYSEVKNGFYLQNPNEIYILDKAEGWADFNGCYYQRDGHP AGWVFVNQGEYTRYGMNKRIMETGRNIYYPETIPQQGMQSERIKQPKDQKSYQKSSQQDL KNPQTYQKQDDYYQTNKFNQPSATLKEHQQVFQRFVQENNMQLHQIYIKKRNYQTGNQEE QYTSRQRVQSENFRRSNYQENKNEGKFYNKKPKDNHGYNENYLNVGSNGRQNYHQHYSNG NFNNSDPRIRLNQSTKSNYNRYQETNLNNFNNNDHNTKRMLNRSNEDNQRRVQQFQNQKY HNLIKNPFIEQSFQQQNYQNQKTNYYDKRNERNQIDYYTESDKFKRQQSAHDQKNKNYNG NSNHSNYQNKNYNINKDYYNYRQDNKGFQNETARTINQRNLQKRDFQHKKQQSQQLLHHS NSYFQQEQNQQDEEKIYYFGQKDDEIPRKNGIPERRERKSRLNQP >CAK71022 pep:novel supercontig:GCA_000165425.1:CT868096:353300:354278:-1 gene:GSPATT00000734001 transcript:CAK71022 MKIVLIALFIGLAFSQNQALNEIQENPIPTLVYETKKEESQQIEQHQQLQGYIDIDGHFV SNQADNTQNFKSEPLVQNNQDQNSNSQLNVSDDSNNLQVSTQEGAQQLVSTDAEIDPMLD MENSLPYEFPEDTQVQEESKDQDNDNHYLSASLELPIRNENQVYDSLGNQIDSYDGMRTY LYSRIGWQLSKYKHCIVIYSKCDFQGESLPICESLKEVEDFQYDIKSIYLPEGYQVTIYS EENYQGQEVTYQESQKCLTQAISLAQLRGRQTKQIQNQDPNQSILTSNLRIRQ >CAK71023 pep:novel supercontig:GCA_000165425.1:CT868096:354318:355342:-1 gene:GSPATT00000735001 transcript:CAK71023 MKTNREIQDWLNRCRCGKLELRKHLNTIEQIKLDMMMNNQKVQTLKSVSSSKINPDCQTA ESIYEDKRQSSLIQHGNYSDDQDYRVFISKNNYYDTHQIQNQRSIKKPFLQEFSGKQDSD TVDDIFKLSLTDQSLSQQQFYSNKDFYESIKQKQQHGIYNKDEMIYQNHQYSTQNFEDYQ EISLKASLCQIDKRIKQIQKEEFQNSCQNENKTFNQYYQEYVTDEISNIQHEIQEMQKFL KRIQTAKDKLTSSVQDLSYQNSQRSMQKLNQDLDIIQTLRNKMQNQLQNNVDGDKLLRLR SMKQQIQECFNDIEEEIIKLSK >CAK71024 pep:novel supercontig:GCA_000165425.1:CT868096:355764:356473:1 gene:GSPATT00000736001 transcript:CAK71024 MQKLYILALLVVIGTAQESFLEKQKHVKQQKDLSTEIYTIKKQGLPTFLGRSSDESIKEQ LSSLLNYEEALLLTPSEETDHTIKFIESMYIIDQPNPNAVRATEKPNYSFDEPKPQKKVY NNDKVTSFKEGCIYVFSECNFKGEHAKSCPGDNVTFFGLPFEVLSIHVPDGGSLSLIQEN GTTAYTVSNKCMRSRPIEFLFVEGAIQQSFGNGEIFIDQ >CAK71025 pep:novel supercontig:GCA_000165425.1:CT868096:356807:357893:-1 gene:GSPATT00000737001 transcript:CAK71025 MDIDRHIATLLSGGCLPERDLKLICDRAKEIFLEESNVQPVRAPVNVCGDIHGQFYDLQA LMKEGGDIPSSNYIFIGDFVDRGYNSVETMEYLLCLKVKYPGNIMLLRGNHESRQCTQVY GFYEELLRKYGNSSPWRLFMEVFDCLPLGALIEGQILCVHGGLSPDMRTIDQIRTIDRKI EIPHEGPFCDLMWSDPEEVEYWAVNSRGAGYLFGAKVTKEFCRLNDLTLICRAHQLVMEG YKYWFPDQNLVTVWSAPNYCYRCGNIASILCLDENLNQTWKTFKEVPESAKSVNPKSVLP YFL >CAK71026 pep:novel supercontig:GCA_000165425.1:CT868096:358197:358941:1 gene:GSPATT00000738001 transcript:CAK71026 MEENRDLKILVVGNSSVGKTSLIVKYKTNQFSPQTSGTMGVDMSIDKNVKINNNLYDVAI WDTAGQERFQSIVKISSQNAHASLICFDLSDPLSLDSVASWIQFLKSEGPQNLQIIIVGT KKDLQGQYTQDQLKSISTAWKANFQDEFPIHLTSSKTGEGIQDAFRQAFELGAKAKYDLN AQQQQQQSNNKSFKISTSQLVRSQQPSNQKTEAIISNTTTLRESQMNFNDNKTTQQGCC >CAK71027 pep:novel supercontig:GCA_000165425.1:CT868096:358965:359613:1 gene:GSPATT00000739001 transcript:CAK71027 MRNTQISSSGVSHRQQEFRAHNAPTVAVDFAGVKNVQVNGRLYDISIWDTAGQEKFRSIT RMSLQNADVAILCFDLSDPDSYRHIQGWIDFLQVNCVSEMGIIIVGTKMDLPTFYNQEDL QKFLQTLNSQQQQLKLFLTSAKTREGIEETFQYAYEQGAKIKMKASQMEKSILLVPPQST KKHKSSNRKYFEGCYCC >CAK71028 pep:novel supercontig:GCA_000165425.1:CT868096:359769:361329:1 gene:GSPATT00000740001 transcript:CAK71028 MTDRKAWNQKEDAAILQLVKQYGIKKWTIVAEKMKEIYGLFGRSGKQCRERYHNHLDPTI NKDPWSENEERVIFIAHKEHGNKWAEIAKLLPGRTDNAIKNHFYSTLRRSLRRINKLIGD KNIKKRVLNRQKIQNLDPSELKDDHMKKLCQACKGLQDSILEFAQSKQKSQINQFNEDKF KQLIDKIMDFNALYTKQRESRLKLKKKNHKKRKSRIDDDDDDDDYTSDYKYEEISHKFPL KRSSRLNAKKKVHIDKEDYIDICIRTKKGPLYTILRDELEVQQVDQEEQSSDYQQQYAYE YQGTNSPNNHQFAPAFHVQTPRQILFQRPTNQYQDDGIHGEEAAISKSNFVPIVITKQYT QYKDKTLENLAQQLQQKINANAEKYIHNHQQDSDLEINIGDAFEIPKDYKSPSSKFGIGG YSPSAFRKYRKNQESGLVNFMITPRHYK >CAK71029 pep:novel supercontig:GCA_000165425.1:CT868096:361378:363204:1 gene:GSPATT00000741001 transcript:CAK71029 MEDQDQMLLKSLQLQGIQISTQSLPQLTCEDLINIFLQFFQLSGTQAFEISSIKSIKSSF RKAGKIQEMLFPIGIRIDIQSIVNPNPQESRKIIVSLLAKLSQSNKKETGAKHLTFEERL QEEKATFRTNQIQNFFQEWVNPSLCSFPEIEQRFLRLHVLNILKKEPLIKQVANKGVRKH LYHSIVRVMDHHLQKKDLDQPLQERLQLIKKIRRSDDPDSVLGQFKGQFQAEAEKNDWED IQKGNRQLKRAIKLLKEEDKEEEQARKQKEDENQGLFNLEIQFQEEVKEIQQEIQDTQEA KSEISALLEDIQTKLIEQQKIYDKLQKRRQKLEDVNQKSQDTYSQLQSENKDKQQELESA HSILQQIESKKGNDPEIQQLEQEIENIRIEWEKEKVLQQNEADDLAQQVQDRKLKLEQIQ DKMRRLQEENQMIRNQGRVNLEYKEKLLVDLQNQPKEIARNQYIKKIVDLKSQLEKQKAE YLKQAKELSQLEDSLQFQDNMINRYCIEIENLINQDPKKSETVVKQIQKQYSDYKIVYKQ CAESMRNIGEKRIQIYDTELKMEDILLKGYKKNVEKLRQDLTDIQAENKNLEKQRR >CAK71030 pep:novel supercontig:GCA_000165425.1:CT868096:363249:363744:1 gene:GSPATT00000742001 transcript:CAK71030 MTEKYWQRYKETHDKDPLNTNELWVRVKQDLKRREKPKHHLELYSYCRTENPYIKQIKSS PNITMETVAELICRQSACELQYCMSLQKLAVENSRSKIEQHLQGCRDQYKTMTTCIMNEK TRIKQKEEELRNLVLGLEQPEIKQEPQKQHLLKEEPVM >CAK71031 pep:novel supercontig:GCA_000165425.1:CT868096:364050:365359:-1 gene:GSPATT00000743001 transcript:CAK71031 MGNYFNQQANPNQGLLQFLRQLNLPEIQKVSKYVQQNYPATNLLSLGEFFDVYHDILKQH CGEMFETLENNHSTDGQTDIYESQAVLIIFSDTDFATKVKYISQVFDLDKSQKLEKIELI MIFQTAIRALCKIVKMKPPGFTDIEYYVDAIFIEIDKNLDRTISYEELYYWLDNNYQLQD FLLKYALTQTYQNAKRRTREIFDSKALFKRDQEKWIQDYINQAEESYSQLLQRKKLVSDR VKDEINYAWSAFKATDINDDGTISVRELPYLIYGFEGIIIKDAKKRFAQLDKDKSGSISL QEWFNFLSLAQGVDICKSIVKRYFLKRDTNNVGSLNNQQSCQVLRDTLSNDGFELYFKGF ESYINEHSTLDKMSIQQLQDFVEGPMRRIIQDKQELMEKEKERKQIQNEKII >CAK71032 pep:novel supercontig:GCA_000165425.1:CT868096:365519:367802:1 gene:GSPATT00000744001 transcript:CAK71032 MQLLNLDQLVYPIMMQIRALREVKQQDLMIQSILVSIQLRQECQNKVECLVLCLMKFALD YVIDGPNKVQIQYHYERSIIKQELNCKLQRLQEFLSKYEFSKLKRQIDEEIQNPEGFSET SSDNEQESFQIKLTGHLKEFSPEIQKLNAIIQDLKSQLQSYEAKEMRRRNSIDQLTTAHF KEVQALKQQIRIQSQEEELNEYIEVIYFDRTSTLEPEICEMMNEKIRNIKQQYERYVQQF MQRKKSQIIEPIQAKQKDESNRSVENLTEKELTKLLLNKQSNPYIIWKLIEEQRSCRFLL CVLTNQEKQYGISYKEINEILRCNKQDLRKLQEIKNQMQESEILLSAQFAIQIQSYKRQV VNLTSQIQEQSAYYSQQIKIQSDKNLNENSLQLQLQIDFFNKKIQQLLNDNTNCKLEIDK LQITIKSQQQQIQFMHDNTKLLYTIIDSKAQLYSQLDKFDWSKDQFKIISTIQQQIANID PFLCVLFQSQQSLLLFQHQAYKIASNVDITPLSKCTQTEEKDQMQCIILNQPTSDRENKF KKVNKHTQTNQVNQYSTRKINKTENLLDSKHLQINQMKFKQRIENDVFDRLFENSQKVNQ KMQQLRPFIERMNEKEFSDILQIFNSLQYDHSNDKFQLDLSSPNNQIIELPQQKPPSLDL TQRSLKFMNTLKQNKLNIQKKPSRSVDQSQAYQQANQLFTDLDKQRAQAQFQFQQSRTGS LNRRISRFIPTSLDEKIKQKRLFSIN >CAK71033 pep:novel supercontig:GCA_000165425.1:CT868096:367812:368187:-1 gene:GSPATT00000745001 transcript:CAK71033 MSQSRFDVNEQVQIPNGDAKRGQQMFEFKCGACHNFEDDKQELAAPTLKKIMGKRIGSTL FHYSKSMRNCNETWTEKNMFLFLKDPKAYFRDTKMAQTKIANPQERADIIQFLKSL >CAK71034 pep:novel supercontig:GCA_000165425.1:CT868096:368546:368991:-1 gene:GSPATT00000746001 transcript:CAK71034 MYQFVSYLVLKSFSFYLHLMKYIDTYKHLLILIHISSFQKSPYILLEDFNYYYFPFQVQL NVAFYFYFPISISEEIIKQHYRITQQKMQISNCIICLEKITDKYIVLQCDHSYHKECIDN WVKQKPICPMCRSSIK >CAK71035 pep:novel supercontig:GCA_000165425.1:CT868096:369885:371029:-1 gene:GSPATT00000747001 transcript:CAK71035 MFKVKILQLFDSFVLNTVGPYYRRLGKSLLTQGNDILGSETSDDRLVQCLRQVEAKGHKP QIGDAIFTAPNSVLIGNVILKQNSSIWYGATLRADNNSITVGKNSLIQDNVYIKATKAIT VGNNSLVGPNSNLQGCTIGDDAFIGMGSTIKEGANIQGIVAAGSLVPEGTEVKQGEVWAG SPAKYLRDITPQELQILREYKQELLELAQVHGEETSKNFRQIVIDTDERFIKQQRGTEEE ALQKIAELNFPLEYEDEEFIEQRVFMKQQPPMFMDSENLKSQQDQYEQDLSQFSENMQKY SEDYQIYEEAKKYFEQNPQAKANQFASKSEIPDDKPWSRKY >CAK71036 pep:novel supercontig:GCA_000165425.1:CT868096:371037:372541:1 gene:GSPATT00000748001 transcript:CAK71036 MQFQSILTQKREEFRVSIRKQEIEAIFKSRRLMLVEQSSLTQSLSQIFVQIKQHLLAQDQ SQLLGLLKQLYTKILVEKTISIQLNEFNFIFEILENYAQYSDDVLETILLIIYGLTFSST IDEFEAFLQSSQLLSQLNGLSYNCSQFSLDFVDLLLLILENIARKSNACLNQLIQNNFLI VIKWMLETVEIQNNEKCVISLLGFLSVFVEQSFNKEYKDLQIILLNINILCKILLKINYF SIEQLLNDSDNLEKYEIKINLITKLLNVIKYYTDKLQQQANIYEYKYVWKVVILINFSDC QLIIFQKITSYILSIQTQSNEFSIKYILKENQQILENLISTLSKQHNPSGDIEKFRMHNA NTFRNIYQHLDQTNLKIYEQSLTDLIEIEQSCKVLSILLECLYILIQRFADLKYLPLIEI KLKQCYECSCWQSLKYLMLSIALISEQDAMKSLKESKIGYYLELLSQSGSKQIQTLACKL LEQI >CAK71037 pep:novel supercontig:GCA_000165425.1:CT868096:372717:373895:1 gene:GSPATT00000749001 transcript:CAK71037 MLPKIQGQTVGRKENLASQQIVEDHLKNILKKQENQNYKAWKTPYAPKNPHSPFGDFPRE YLPKEKANQQKVIEPVHETSQNALITNKYQGTHQGTGGRTSSQLQKKEEYRPAPPKAGAY DQRTISVSDFRRYYDRGDLPIKVDHQGSVNRIIWKISPEQLDYHHYLPIFFDGLREKMDP YRFLAILGTYGLLENGGNKILPVIPQLIIPIKTNLNTRDPSIMEVQLKVLQKLVLSGEMV GEALVPYYRQLLPIMNLYKNRNSNLGDFIEYNQRKRVNVGDLIQETLELFEQTGGEDAYI NIKYMIPTYESCILN >CAK71038 pep:novel supercontig:GCA_000165425.1:CT868096:374039:374843:-1 gene:GSPATT00000750001 transcript:CAK71038 MGNLCKKEQNANCFDQSSIYFEPRSGIYIKENIIDKYLFNRQQSLLMPSVSRLIIKEELG KETEIQKIRESGHKNSRFTQCTSNQNLGESSINHNTIQLINVSSERYLHSHRIRHQNSRL NEVLCCQDCHNEYDTWVFENINENVVKLYHPLTKYYLKAQKRSNNGQIEVGGSDEGDEWS IEKISDNIKIKHQNTGYYLQTHVNQCNLEGQLKVSLQQIDCGKPNDNQTLWKIIEI >CAK71039 pep:novel supercontig:GCA_000165425.1:CT868096:375263:375914:-1 gene:GSPATT00000751001 transcript:CAK71039 MNQPRSKSLRVDTSSEQSYLNKEMVTKLQSLNPSSFVTYEVLDLFFTQLQSVLREIQVKQ QDFEKIFSYFKTFNEFENKLNDLEQVVNRIAQVVIPLQEELIEKVQKSQIQQQALDKYFS TFPQQLDLILNDRFNFLENQSNHLAKQSKSIKEDLDEIQNHIKKQDNDMLILLKSYKELL SIDPQYKSLKQQLNSISEGLNDIKQQFFL >CAK71040 pep:novel supercontig:GCA_000165425.1:CT868096:376271:376759:1 gene:GSPATT00000752001 transcript:CAK71040 MGTACSSDKFKISPTASTCELLNCEHPLILVAHQCNQNIDLIGQLEAAPIIVQQYCKKLK DSSQVLKPALERLENEEENFLKVWNLIVSILKNVSSLIKKALQEPQFIEYLPIISYNLQE QQNDLEMLINQKKGQQQIRRAQSHIIQSNVIRINKRHQTDKI >CAK71041 pep:novel supercontig:GCA_000165425.1:CT868096:376790:377314:-1 gene:GSPATT00000753001 transcript:CAK71041 MKIKFLFNKILDALNKNLIKPQKKIEIRLDRLSMDPHHYWIAVGKGMERPFTGEFCNHEQ QGVYQCYHCKITLFQSDTKYQAQTGYASFFQHHKNSVKIIETKEKFRYSALQCMNCQSYL GQISKDGPPPTFLRYSINSGALKFYQPKSIKQLSQQSQQ >CAK71042 pep:novel supercontig:GCA_000165425.1:CT868096:378095:380555:-1 gene:GSPATT00000754001 transcript:CAK71042 MNSSALNKSRRQQSSYDSNSQLRTILLASLAKLHSQSTAQTGFSELRQLIAQLSQNQFVI LTNLLDSNDLSKQAKKDYIKVFGLLSEIRGNDIQEQIPRVVQIINKRISEGDSSFLQVIS DTFANINEFTIQTSPNQYDLFFQITELLQSNFIHNNKVAQLISAASLCRLIKTSKSQLLE LVCKQYTQRTLEILKSPHCQTQQGLLESLLCIILSVEEIFEPCLEDCLNTICNCLQSSEW NSRKFAVDILYTLSVIFPHYFRANQQYSNKISELRFDKIKHVRDAASIAISSLKESSILQ QQSQREHKSVFKQNANKSFFEKKYDIQIVENKPKDVEIQKQQNLGYEPQLKRERFYSNEK RQSPFQILTESNVLASPHQEQIQQMDSNLKINSFGSSSKKRQVNLSQEIQTSNQLISSTP KKQQQQTDLIKLCDTAKAKQLAYYEQIIAKRIEESEQTTKQEFTKINNRIDKIELLLEKL NETIEKKLQQQQQQQQQQQQQYQNQQQQQQQQQQQQQQQQQQQQQQQQQQQLLCLKKKVQ PVEIYEDQMIPCLLHQENQQQKDQHIYDSLNVANQEQQENSKMKDLFFFGQKCELISDSK LGESNFEFNSRKMSEADCKERENHQRSTSEASPQRNRDQSILTNDSKYTKEQSSPQPQLK DPKIKEQQKIMQRVEEQLKKENINEAYCTALTSLDDQIIISTMMKTGPCTERLDSTQVEF LLHKLRTLDWIENALQHHLARMPAILLKSISTQLNNIQTTDQVKRIQDLIDKKQTTSSK >CAK71043 pep:novel supercontig:GCA_000165425.1:CT868096:380601:381090:-1 gene:GSPATT00000755001 transcript:CAK71043 MDQQLTEDQIANYKEAFSLFDKDGDNKIKVDDLGLLIRSLNQNPTEAEISEMKNDVDPDS TGMVDFPEFLSLMARKHRDVDPEEELMDAFRILDKSNKGTINANELRHMVKSMGERLTEE EANQLIKEANPDKDLEIRYEDFVKLITTKYIL >CAK71044 pep:novel supercontig:GCA_000165425.1:CT868096:381117:381442:1 gene:GSPATT00000756001 transcript:CAK71044 MTAALDLLMESPQARAKVKYYKIKQLALLTVTDDKKHCIFKSRNVDEIEQFIKLTSKLML NVDPTEVKEDPQDAKTDKKQAKKKGKGNKK >CAK71045 pep:novel supercontig:GCA_000165425.1:CT868096:381827:383883:1 gene:GSPATT00000757001 transcript:CAK71045 MTDKLQSIANREEQLVNTDNRAEFSLGKFTPIELAWDKLIINATIKVGKTTTEKCLLNNL QGVMKPAHFTAILGPSGSGKTTLLNFLSGRLISDNLKISGELSLNGKRINDIDKFNDQMA YVMQDDILLATFSPREAFYFSANMRLTISAEEKAQRVEALIRELGITKCADTRVGNTQIR GVSGGERKRASIGVELLTNPSLIFLDEPTTGLDSSTALQVIDLLKKLAKNGRTIVSTIHQ PSSEIFNNFDRLMLLVRGNIIYQGDAEQAIDYFATMGYQCPNFSNPSDYFMKLMNEEGLL VEKIQAGESEDFDDAQIKAEFEQRLEGFIENYKNSNMIKELDTHETALIKENDVGFHIGF VQQFLLIYQRSFLNEIRNPMDVKLKIFQSIVNAIMLMLVYSDLGKYNEGLQNRFGALFFI CTGNAFGGIQGALHTFSMERPLFLRERINKTYSVHSFFWARSLAEFPFQIIYPSLCVIIV YYVIGLSDENVGKFFMLILIQFLTYQYAVSYGLLLSTIIPKIEVATALVPALVIPFMILG GFFVNQDNIPYIFYPFTYLSMFKYGFEAAVINEFDNISYECMPGQPCQPVEMLSISLTKW ECCYILIGLAIGIRIFAYLALHLISSPEKPKLQPPESMQINNKPK >CAK71046 pep:novel supercontig:GCA_000165425.1:CT868096:383918:384600:1 gene:GSPATT00000758001 transcript:CAK71046 MIKKKQLESFLQQVPDFDGKPNWNLEQHMTPPSFASEIIQLILNEESLENLVCADFGCGT GMLTAGLLCCNVAHVFAYEFDENVAQDTLQTLQEMHDGAFDLIITNIKHHKFPSQKVDLI LMNPPFGTKEANIDTVFLLQAFQHANGNVYSIHKSSTRQYLEKLAIENKRTFKVLKEFEF PLPKKFSKYHKKDLAFTQVDFIKFGYVKQQEEKQDIQLN >CAK71047 pep:novel supercontig:GCA_000165425.1:CT868096:384977:385305:1 gene:GSPATT00000759001 transcript:CAK71047 MQTSVTYFELQTLIFLIIIGMILISLKYFTDPNQKESKLKLWNRMIVNYEGIQLSIDEEQ LLKQTFKNTLKNRRVVINPSIPRQIKTTKRVSFSNLDDQL >CAK71048 pep:novel supercontig:GCA_000165425.1:CT868096:385418:386952:-1 gene:GSPATT00000760001 transcript:CAK71048 MGSCSSQEMKKTMKAKLQEAKQKQSQTTTPNRSTTQPLVVRPNTRCNTQNIPQTVVEQGL TSISLQNINQTLVYRSTNFSTYYSLLRKEDKDFPFTTIQHNNTGIVRIIKSYKLTDEKYV ASLLNYQLHHPNLIQLYEIYEEQQKYHVAEENSKEIVILNSKMFITEQDVAFVFNQIVEA IDYIHHQQLTHGHLTIDSFALFGDKYIKLYNLFHLFMKKKPSFEESHYLPPEYFENQQYT EERDIWSLGIILYQLLYHTSPYESKSFNKLRVEILISEMQFEQSISNEAISLLQQLLDKN PKKRIKLKDITKHPWLLKQEVQSREEQVRETLLRLQKSKKLNMLQAYILKFIINNYPPDK LRDIYSVFRSLDLDNDGFFSLSELLASYTDFVQDDDKTKSVCVNIFKKVDMDKDSKITFA EFILYAIKRKTLIQEEVLDTSFKLLKNKKNFITAESLATQFSLEKEYFMEILMELNQKDY LTYRNFKELMADIV >CAK71049 pep:novel supercontig:GCA_000165425.1:CT868096:387226:389087:-1 gene:GSPATT00000761001 transcript:CAK71049 MLSNDRQNFVKLVRNPQINAIQQLTQLVTQASRTKSTIQPSTKRVAEVQKCEKTDKQEKI IYTTREINSQQSLRNYFDNSTCKNNKENQPSKKHLYQENRSQTPMAQKSPIVINTRGRGS VSPLRCVQQNQTSKSQNKSAFKQPEDPQIMDMVDNLKQNILRDLQEVTKRYQSNTPKPVE MLQKQNQKIKHILEYKETQIKVPYFDYSSQKSIKHPEIFYSEENSQIKSQFLEQQSQILQ QQLEIIKQQQEALRQQQVSVLNSKTNLNSFYNQMKKQQNESIEDIYGKSQFTISNLSSII PVEVSANQNKKINVSFESSLEQNPINLQFEMVQGNDCRTTFGPSQLESLIKSPKQVEPEL FSEQQVMKETVKYVQLDNSQGKVSSAKRYSNDEQELVQQQELIEQVPPPSAFSNKSNRYD QLMQNFQSLRKLSREPSFVIETKKSVQMNELNHISDFAIMGSQRESIALCQTKLITFQEV NNSQEECVQSPTSLLGKQQVLTFEQELNQKSLYSNQSQFDQRSIQQLLQKSSLSQQQASS FQKQSISIQQSTEQTNRILESIRSNESFKQRTASFTEFLKVKDELPIKITNVQKISLRPG ISFLQYKQQNKY >CAK71050 pep:novel supercontig:GCA_000165425.1:CT868096:389110:390203:1 gene:GSPATT00000762001 transcript:CAK71050 MKLVQQEYYFSRPKINSLYSPDSRFKSISHYSDKSLVQNIDTQIQINQVIQNIQYLKGKI NYEKSQLQQLSFLNSDTNFSFTSVPLSKLDPESYTMKQKIVQLKEQLKQLENEEKRIQMM NYQRIDQNEEYAFKNYTRIMMMNKSKQQLIQHKTGLINQIKQLKHEIYIDQMEINVQDHQ ILDLETKNKQYKIRSSKVSEQLKQIKKNLAKYQQFDLKKSRQIKDFMSKLGVGDSKADDM DEVLENYNRKILNNQKSIDEFLLIAQQRSYYALSQMQDLEYQIKNQKEVKSEIFSQIREL KLLISKFSKTRSTSLENNYNRDNLKEEEKVDWIFNSRDLDEFPLTQSKIIDDVEFDY >CAK71051 pep:novel supercontig:GCA_000165425.1:CT868096:390738:390902:1 gene:GSPATT00000763001 transcript:CAK71051 MNSGEEILKQFDTLLKQVDNFEDQSPDDEKLMNLIEKFQLTQQAIIKLSHFFSK >CAK71052 pep:novel supercontig:GCA_000165425.1:CT868096:390911:391837:1 gene:GSPATT00000764001 transcript:CAK71052 MKQKQNISNFFFGHIMLVGHQHKQQNVETSNYYAMEFLKLLKHYEILKGNQLKQFKSMLE NDTFQINRDCKIANQKMYFWQQRLQNLQKQDDNKLSREIIKLQLNQKIISSLDILNNNQQ ELEILNFKSQLKKDKQLQQQYQEQQEKPIPKMKVWNIQKPTMQPQFFDPHCQNCQTEAEF RQNHVAEVWQPNAARLPNMTLEEFADSEMKFAKDQEVKMKKAQEEQLKLEQDKDDDKDYW ADQQTSKDRNWDDWKDDNEKELVIKWVDDIQYIIILIL >CAK71053 pep:novel supercontig:GCA_000165425.1:CT868096:391865:392856:-1 gene:GSPATT00000765001 transcript:CAK71053 MQSILVTGGLGYIGSITITELYKAIGKQYKIIILDNLSNSNIQVLDTITSIIGEKLDLHI VDIQNYNELNEVFKKYKETNPINYIIHFAALKAVGESVENPIKYYQNNVVGTLNLLNCAE EHKCQNFLFSSSATVYAPGEFVDEEAPFKPSNPYGETKVVIEYLIRSLSKKGGRYLCLRY FNPVGATKDGKLGEMPNKPNNLFPYIEQVAIGNLQQLYVFGNDYNTHDGTGIRDYIHILD LAEAHVVALQELIKKDEKKENYYDYFNIGTGKGFSVLDIVNEYSKLVPIKYQITDKRVGD VAILKNQDGQLRED >CAK71054 pep:novel supercontig:GCA_000165425.1:CT868096:392884:394479:-1 gene:GSPATT00000766001 transcript:CAK71054 MNQQHKCQLKTLGYVRNYQSESAPSNIINHTLYHKYAASQNYYFTREINDILGKNRTPAT IQFYDDTQFQELGERMKRYYYINEYIAKIKLLTEFYKYHNDLPRFTVHKNLIQILNLYYD KKRKLEYYKIQRQIEYENRNNPNRPPKGIVGDKPIETQTTPQSESSNDSTINNNVENILQ DIKQQEELNQEEISKISKIQEDQQSEIIQMLKAFNPQQINNQKNVQKYQVFKKQNPLFKK SLKLDEFALSQSSRTQSKCCNDIRVPLSTRFTTDSVSKVLKTSQERTKENNVQKESLISL FNKYSKLSRARLENKVDQTKETKNSIPEMKQLELKIMKSIKDMGKNTPKSQRNKCVQNNK GLHQILSKVNKADSFKEINRTSLLKSCSNRSIKVTNQLDCYKNQTNFNSVLNPISSSNNP YEQKRSKDKQQSKLKANDLKKLMIYKLEKNSNKEKTQKNIPKLNLQTINNFNNLQTSLTF RTQTSSAQQLTPKPLTVRCRINNLIHWQVKALQQNVNNNSQQK >CAK71055 pep:novel supercontig:GCA_000165425.1:CT868096:394498:394809:1 gene:GSPATT00000767001 transcript:CAK71055 MSDELNSSLDSLELDLYHKPNPRIRMILNYLRAQNIKFCTDPKKKEEDYYFKGASPEINP DLDFGMRKNRFLKRGPQRNGITLVSNTS >CAK71056 pep:novel supercontig:GCA_000165425.1:CT868096:395105:397978:1 gene:GSPATT00000768001 transcript:CAK71056 MFIILLFQTIYSLGPANFLCGLRLYYAQESYFLLDQQNENTMPYILTSKSPHFALDVQQN YQSAHGMNNNSYFLINFPDAYIFAGNASDLQWLQIQEFKVKQNQTKADVLIYNDYYGYYV DKANQGGHLLISKQNLIREFTFGKTDAVDKYLKPGFIYIIAWSSQGDVSMIFKRFIQFIT QSEGINYCELKTITNSLDQIKCNLDFNFDQLTFHTPDLYHPPVHYYLGNQTINGSLTNQY FTKNYPGLQVFVAQNLSDTLEIRLPIKNMETHQNAVESPLSGLIITIQQENRGFIHILIF ESDEGIIINYKEDENLAYVTIGKTDSKVFVFSFVTSSFTKFPQIELIQHVFQDCVTIIQQ RNNICEKPNKECQSLSYDYFHQFDFNLNFDQQVTFKINGESFQAPYIELYDDLSTIQNEF TNFISSTINNREMNHGGYIGSFLRITNKNQSINSLIINEQNEKLEVYYNINDNIYSHSVQ NIIGRTYVLVFVSQNELTDMECLQIFREFIHHIQKIFIIEQLEQRCESQINNFDVKPIQY KDIHKLVKQPTELLQKTHQQDFDKQNDFEYSTNEDPDEDLEYLDEDEQMYAMDYENQAQF MPDNQEQFMPQNQDQFMPQNQAQFMPQNQGQFMPQNQGQFMPQDQGQFIPQDQGQFMPQM QGQFMPQMQGQFNPNEDPSMEMSQEQFMEYQRMLDMEQQQQFQQQYQQEQQQQQSRKVEE IHFNNPGNQKKQQQQIHKVEQKVQKKQQNQKTVQKKQNVKPPEKVQQNLRQNKQKNLQQQ QQQQQQQQQQQQQQQYQNQLPSHNEDSKYQQTFHQKEEYQDKNDRYRRRDYRERGDYPGR RDEYYGRREDYGRRDDYGRRDDYGRSDDYYGRRDDHYGRRDDYYGNDYDREDGRRGPALT GQTIMVPKNPSDQELGRFFREVIGRLQNKGKN >CAK71057 pep:novel supercontig:GCA_000165425.1:CT868096:398158:398538:1 gene:GSPATT00000769001 transcript:CAK71057 MQASQEKIPNLDLSNRRSQSMVTSDPAIKKLKQTLQVDNETSTRQLKRKQGDILDSILED ANLILRNKLLEKNIYQLKELGLLKQHDPTIAVQQGFKKSNYVMNDYHNKSTTNGYARNYG GLFYNR >CAK71058 pep:novel supercontig:GCA_000165425.1:CT868096:398620:400827:-1 gene:GSPATT00000770001 transcript:CAK71058 MKQEVIINSTTVVTSLPQTQQISEKVRKYNCLWKQEVTLSDCEEYCTQPRSDDEREYNSQ INLERTFKIIEAIIPTSSDILDYSQYHAKKIVQLQTPQNVYDHFFPTSLIVTLTDLVNQY LFSILEEDLKQQPSKIASQYNKKKYKETEIQLYFGLQILFGIFRFPYIDDYWNAEPWLRC GIEYMMPIGRFKFLDTHLFMYLNQNAKSKLLNEVLQFQKQVKSICQPEQELILIEQIYKA YTAYYLFDFSRLIIIDLIVVSDRIQQEDRINRLMRMLFKYSNHNHILYVMFELSLERIIQ LTDQDIYPVITYEKRLHQTIQNLLPGEYQIEQLYLIKNQYQVHLFPQKQSISNQSFLKVY KDVQQQYYEHSIMNLLQYRSNFYLCSQLNSVEGFNQLRIEFEEYFEVMIYNTSLLIEDML QSNFRLSLAKIFTFEKAQQIESNLQKAKKKMNSTFQECTTNTLPLEFGLSKQYSESYHTP IPQKQEESFKYCVVCMKFNQLTKPFYRCRLCEKLLNENKIFICAFPCFELFHRNPSQFIE CDNKIIEQLSDGVYFSNDLNSQNHYHSQDDEYALKKKKQEKQNLRNYSSYNKEIYRQSNL EDFSNYEFQELSRKYQSMIPSPNLLQPIITKDQFVQQQPMLGHRNDLNEIENRLDEQKKK FKIQLIKHLNRYGQSGLQISDNQTLFDMEETKKNKKILKQMQKNKQLELNAKTISPLEKF MENLNKQPKLEDQEQ >CAK71059 pep:novel supercontig:GCA_000165425.1:CT868096:401031:402824:1 gene:GSPATT00000771001 transcript:CAK71059 MIIKVEAEVAVSLAKGTINITNPKIRNLKKKEIVTKMIIKNIYLTILVQLDYKKNIILAM NILKSKEEYKILDQNQKINLAPNNQFQIQCENLDLGNRHYTTIPLPQKDLNSNIKESLDK QVYEMITINDSSQEDNRDAGSIGDKTGHHHDIDQVENSKMDSTRNGQLGQKIPKIQKTKI KRESQQQTKQTKQSFDCIDLTKDDTILSFFGLNHPMLQSSLEYNKNELFELVEPYEYQCG NFMISLGIQGHYTAIVTLPSLSQKKAVYYLFMKFQFDQKNVYCMIPEDDQTILLVNSNIQ TLYYYCNSNTQQKGNHKTTFQESILKYKFPYIFPTLIRKFVVSYQKNDQQIRYQINYDSH AIQLAIEDYYQDIPGHLITGTSHPILKILFIKTQNNQIPQFYYKFLSVPLYEGQFSLKAS LLQNSNLIVVERRFLSPFCYGIHSIKIEVNRITNVIIMKQSGKDQEIQSKNIQQFWDEVH QKYLQLYPSKLLYNYFVELINQDRKVLEKYKKWNQLNEYLSKSQQFKPNKQNEKPIILNN KEFFCFSIEDIKNGNRIKYQ >CAK71060 pep:novel supercontig:GCA_000165425.1:CT868096:403403:403780:1 gene:GSPATT00000772001 transcript:CAK71060 MKFKHSINLRSLWDRIKGTIIIDFQGEPKEKLFDAVQKRLLSLDRNQSKRLNLKIKLQIN LFRAFLHQFKLSNIQKNSNSIMLRYLKSIVPFILKRSIKIHMYSYHQLCLKQQKMRFLNK DYESQ >CAK71061 pep:novel supercontig:GCA_000165425.1:CT868096:403811:406544:1 gene:GSPATT00000773001 transcript:CAK71061 MKKSYSQNNSGPFDFPEVNKPRFEPQLHKISASTQQKVVIQKLTNSLNNNKIVTQSTELP QTIRSQIQKPLINSERFMFDLDASMVYIHREKISQFEELEQQRILQLLKDVQSKVPQVND KVNHFIGLAGQFENEKVNPLVNQFIKIVEQLLQILDEKTKEMKEYQFALKQSREQVQNNS RLIEDQSQMIKYMESQNQNAKNVTRTFQRSNELAVINVQLEKKVELLNQNLQFLMNQNKD SLATQLQQKIDQLNKTIEEQQNKLYDDNNKEIQLSQQIQALKSTEFKHQIQLKKLEDKIQ DYEIKLKELTFQASYSKEQKDQYRELYYMTYEDLQMNKLNTQMERKQMQRSFEKIRMLQD RIDEMTVKRSKEVDAPFQSRVEGDMVQLQAITKILDEDHVFQRYMMSSFLKNVQEYDSKL VDAYVDVKRLVVGRDEEAELQTLRYNYPPFTLFLEKRIVDQETQYLQIRPISNNFMGLLR AILDGMHIEFQKNTCISFQSYVISWLSTFTIENHQVIIFPDDTKRVKIEEQLHTFYLDLM VPRLDKVWEVVQFRHFLNDIYSLEELYFYLHARFLLRRGPWMETFDAIYGVVHYMKVQQA ESIILRFLEQFDKINQTLVIRAVNERIVEGKKDRKLIQTGFVLQLLLEIFRIDRMNRYKI LSFAFPAQPITFKQFHKFVRGNFSKCTEGETADLWREVYMISNGIPNLNSFFTAAQSLFI KSLILPAIYTLPLLDSNKKLAFDELNFTTMKIQEQMKELADSELDVLKTLIPTIYQEEML RIIKQLLPKNQIQFVNPLEYIFKFMKLILTLKYNTILADGLEEDGVNRKGFITETFTQFI TQEINDLNKITYKKQSFMSDQEIRISRLQKFAKKKVKKIYVIMSSVLQSRIKQ >CAK71062 pep:novel supercontig:GCA_000165425.1:CT868096:406552:411167:-1 gene:GSPATT00000774001 transcript:CAK71062 MSQNQEKLESELFQQYQQAIDLLENGNEAKGIQILKDLMQNQSIINQDDKKILYGIYMSL AEIQAQSDCVENKCEALYYYYQSTLISDNCWQTQRKMALIFRQLGMMPRALNVILKALQL CNSPNLIQAILYQVCSICFLMDDQKQFQIYFDKMADNNNLKHKIAELNKYFIDKNNITDF VNELLKEQSQIQQQLEKIPFESNQKLEYFNQTFQFEIKLDTYDLKKFFKKIQNILQINVN FEPKKFDFIQNEKCFMSNTKIIVISKDQQQNSKDVSKELPKESQPVKQSERQKSKKSKQQ QDQQFVLDQIIQSNHNKLIELMKQFDGNEIFQLNNNNNKISSEQNEKQECPVAKFLLEQL NKKQFLTIIELLQSLITLICQDYQLYENPPQQQDMVSEQQKSPQSKNSNSSSYHEALRGL INCIVWAQYSTNKFTDNPKIKLRLLEVAYEELTLRFKEKKPKSPEQQNKIKNFITFINNM KLELLQTNIAQVINDQAEIQSYLSKYYRVMAQIHSDINFFQGRIAKLCVLELNNPEITQE IRDKIKSAQFQISSNSPHQSQQIQQILTQWEQKEQRLEDNEKIRTQINYVQDYLQQNQSY DLVKRLAIICLNQFYFVQQKEELKVIYRFVQPLIQQFLNINQPDLLIGFIFLKFSIIYSL MEYDSSEFLAKFIEIFLTQTNGYNKHLILMEILRFHHLNINKLFTYVNIDLILQEFIKAK IQMKDRYNQLIDQYKNSVQNDLELRYYLIHLLDLKDQYTPQFMVQQNELQIEIEKFESKY PQPESFSSASEDEVESDDIFQKSYQNLQLTKEQTENAFKIGKYNYQFPTKSVEFLQNHTI KINMGDPKIIEHIKRFAKEKIRVEFGLSTKELSKNFQYIFLKSYYLLQEFTRDLSEIELQ FMGYFLTHFSKLFQDQRQLNQFILDVIKKSLQKFNDTKIQEFAEWLYSSIFTKQKQKVQS IKEFQECIHQNNLQCDYKSNLYYQIQKSEELNFNESQQKIDFKNICYALAYKESPFLWNY LYQGTFEQAQKQFKQKNQTEIDWFLSQKKIQALVNKNPQLNHLNEYRYIMDLIKLMQRQV EYQHSSNEQLYKKTEQGYQALYQKQFNLPKDAFEIENNFRVLQLYEKKVMRKYRNIPIER ISQNLDNICSLVDLIKQMKEYEIEYFYQSNDGEQEMKQDLYINIYKFIRRFLRHRLYNQL NQLVQIIKEKYSYLLNQNQSDLQFQFQYDNRKFKISNFTQEQLEQEENTEYNTQEVNLIL NNLLTIFIENTQKLVRKKAQRYVIESFYYATHLKFNSQAPIDQVFAQISSIYQPNSRDLV YYYLQIDKYKCEKDEVLSHYYSQDLIFNYQKSKIIKLIIKILLKMQKFKDIYQLFEKLHK TYDIRLFQACIGLLDECKRIDDKILIRDIILKSESYRRTIELFKQDSLIPLLNNMYIRLY EKDQQEQNEGDFINETSETKLEKGKKIVEDYRATKRKPAKPKDPQQQTQTSQAQGQPQQT QLIQQVVDDVGQYINQGAMIQSFNDFDNM >CAK71063 pep:novel supercontig:GCA_000165425.1:CT868096:411203:418987:-1 gene:GSPATT00000775001 transcript:CAK71063 MKLVDKILRTENLIILIILIIALAGNTYTQDSTGQIIVLFTLICYLLDKLQYLINLLLIS YQRWKQQSSINNNKCRIFQKFPNISDEEIQKMEENNQDYIEFKSYLTIKWCELQVGQIVC LKHGKQSPADLLILDSSQEQVLADYELRIPCPCTFVNVNHNSKGNIMDFITKLNGSISFT VQESITGSIKLKNDPKATSFNKKNMIMRGEIMDRADWIFGLAIRVGDDCRYVQTNLNKQS FQSQSWLRDFHTQIVCLCGVLFSICFIPNIIYSSLTQTDYFFDSLIYCLLIFPQALLLVE KIWYFCILLYNNQLFEKHQIQQALKDSKQTDQKIKTEYNHVTITSQNERKILMPIKKKFS LNLIPASSQLRLMKHNSNKQNISGFLALAPQNILDLIQTDIIVFENPQHLFKESPKVVQL VQNFKNYQFNYDKLKDLVTKASPQHKTNCDKMLIDTNRQQTQDEMKTLDIEMLIQEKRVP DLRSSQESFVSTKQIKKLQFMRKDTLSDFKQQEPKLANGIGKKKSSRFIFDQSFAAKSNH QSNTHDSSKDISNNNGSQSFQSPPILKQKSQFNRQGTQFKNNSSSFQNSQSKLNEANQDR LIGDILNEQDFIDVLYSKDDTIHNEILIMMLITNSVMTLYNEKLQKLEFNFENKYDQSIL QFTELFDYCLVCATEIENSRPEFNMKTIIKKVITISNISKIFDVLTFLEPTENRKNTLSV LVRDPESFLLDEGALLYSRIETSNYSQQENKYNEFLSEMSWDGQKTFLYTKKQLDQTQTD EFLKKLSAIYETYGNRSHEIEKLFIELEQQSEPMFSIGIKSHNSNCLVLSSQELNYDILD QDKIFQHLYNFNLKTCFITQYSYDELLIFLRSFQIIRSREQIVEFKEKDKQQLQFKVKQH IQALLENQNANQNEEQFIIVSSQAFNTIIKDDYLKYHFIFIVQFSFGLGAYQLNSVQKGK LIKLLKMADKKILTVGNSLDNGYMFSKSDVSVSLLRNKSLVSTLHPKFVTSNMKQLFRML FIYCPKQMLNYLAIIEVQLYRCTLIGLTIFAGHFELNDINMFYLLLFYLIPSNLLSSVQY YYLFVLHTKQQLKNANNYARLLQNLKNKILLKSALKIILIAIIDFFILILIHNSILNFVS LNGRVNQTQQIILLYLALEILEKSKIIFYIFSLSTQLQQKIIQICLNIVMVTILIIIYNI IQTIEDDQMIIEEFDLENFVAFIFIAVFVLGLSYIFYEILQIFSIQFVLPSDLYSFDQNY QEIKSLRDNISSQNSLSEFEDEKLRVFNQKLKQLTDQLFDNKDIIEECIIKQIKGDQSLI DEMDKLHGFQDKKTESSFQDFFREQNNHRFNIVYAFIFYDICIINMYLYQIFTEDIFQFS ILITTVIQFVIQIFIAFLQFRVINDKKNKQNLQILSYILRFLFQIIIDILYFESEQTFVG YIFNYSFILAFSMTTQPKIPILLYVTLQLINYVINLLSDGFTMTYSDYTVLIFCIAKYSL LLLEVSYPVFQMVQKTHFLQRSFYIYQNRLNNEKKKINNILGLLMPRFIQERMNKGQIQI SQDQGDVTVLFCDIYQFDKVIKFQQENILDFLDTLYRAFDQLCQTYDLQKIETVGKTYMA AGGLKDYDAVINQKNSNSTTRALETAIAMMETVKTMKYGDNQDVKLKIGIHYGRVIAGVI GFHKPQFSLIGDTVNTTSRVCSTSDAGFITLSESAYNHIKDTTKHQFEQKSVAAKGKGTL ETFRLKICIKEKDPSKSITPKACLDKNDKNFELDCKVQIMPLKNSDNSKTPLKGGLRRTS LMNQTGIPNDTKAPLVLFKQISKDIKNKQQPSVIIKKKSSIEHDCKDLDLKRLNSQKSKS GSNLDNDNQSQIHQKAKTPALISTMRNSINTHRRLIRQSEDGTNNNNNNGTLQQISMTHF SQIDLKTQPQLIQSHIQTNQSPNNNQQPIINSFQQASQNQLLLIAEHPSKESLQQEKNED EVQIPQQQVQQQIQRPQLKKKGTIIMVDLVQQKKSCLMKSNTRIMIPEEKDKDRVVKIAQ IDNDQQAEQVGRRQIIKQKSIKPIQQEPSDSAIKKYDSILEKFEELEVNKRQGISMLQKK LDLMKLKNIKKFKLDFDKDYDSEQFKSYYDSKDQIQYYQIYEEYKDQELIKFRSTFSFLL VLMIFKSLLYFLLENLSSKSQIELLITVLCQISISLGLAIPIYKYREQSDILKIKTLGFI YFITTNLLNLLLIQFSEATNYQVILQTCQITSIYVNLFYLQMLIVPDKYKLLITYIILIV LVTVYEQFILEILFFGLSIIGITFYSQHQINQILVKNYKVSQQLQIQIAKYENMLQYLMP PHALKRLLQPDQDNTETFIDVLENATVLFADIAGFTKYSSSVEPETVVEMLRNLFQTFDE FCQMTQVYKLFTIGDCYVCMGVMDLNQRDPAEEAQNVLVFGLKMIQIINDCNKDPQYQHL NMRIGVHTGKVLGGVVGTDVVRYDIYGEDVTIANLMESSGSEGKMLVSEHTKNLVESVYE DFKFEYAKDVYIPSKDITLPTFFVQLNDLVFSQEE >CAK71064 pep:novel supercontig:GCA_000165425.1:CT868096:419018:419239:-1 gene:GSPATT00000776001 transcript:CAK71064 MKIIYRLISYCYLWLPKKLQKTLQKTLFQQQENEQIFKVLFIIQSRLNFRMEKSRSLQIL RSKIINQIQSAQR >CAK71065 pep:novel supercontig:GCA_000165425.1:CT868096:419269:420244:1 gene:GSPATT00000777001 transcript:CAK71065 MQQNQEGDKPLKEQYLQDQVEKGLDADLCAQFLVSLKPEGGDDIDNWSLDELKSAIEQFK ESQKQAEEQQPNNSEDQGNLQQAQPQEQPQQNQQIIQQSNTPVLVNCYQILPNELSGDNV AVKILTYKKESAGFFSSSYIVFQIETQPQGWIVFRRYSDFEWLRDSLQKFYPAFVVPPIH KKRSRSFEDSYLNKRVIFLQRFLNSIFKSYELKSNVIVKNFLSLTQNNEFKKFQEVQIYL SARVLLNLLHLKQYKECSQRMAKSIALLPLKYINMPIKLRITSMKQMESIKKLEFQAKVQ KKFNYKIH >CAK71066 pep:novel supercontig:GCA_000165425.1:CT868096:420512:421108:1 gene:GSPATT00000778001 transcript:CAK71066 MITWGNIIGKQIEQTEEYLNSFFKYQQHYNSSIKQIYETRAVIQQDLEKFKSKLHYKKER LFQLGDVSKWDLSKDIKLNPQELLQNKKVAFQHMCDQETKQEMGMEMLVGYYTNQIFSQT QQFFKQQQQELVGHFIKFCQVQAFNITDHHQLWADAITNLQNNLLIQQRQTQRVVVESIG >CAK71067 pep:novel supercontig:GCA_000165425.1:CT868096:421748:423040:1 gene:GSPATT00000779001 transcript:CAK71067 MQEIYIGVDNPNYLKFDDGEVLGAYPFPHIISKIDVEFNQSHVIGVNVTYKVGNGQFVSG QSSLKFKNTPAYVKTFIVPEGDFLSEINGCFENVINSIGFVTYKGYKETFGNPIGVSFRH FSPQNTFTAAKGSFDKWLNFIAFRVVPLPPYAMQQFYPGTNQNQQMNQVPPQQPLQQQPP PQYPYPSNNYPPQQQQQQYPPQPPNQQGYVPPPPPMQQGYVPPPPPPMQQGYVPPPPPMQ QGYVPPPPPPGQQPYTPYPSTYTPPYTGYPGQQPYPYPTYQPYPQQNSTTIVEVIDTNPY GGGYNSSYNNYYNQRPGMGVGAAMGLGMLTGMAVSDLTHHNHHGGIYDVNIFGGHHHHHH RY >CAK71068 pep:novel supercontig:GCA_000165425.1:CT868096:423300:423682:1 gene:GSPATT00000780001 transcript:CAK71068 MSKGFNLNLKNSNVMTFEQENELFAGQSIKLNFKLGDKQFDLEFKVGQDVEWAKKCVAEQ LDCGRNDFDLIFEEKVMPEFFSLNDIPNLKDQSSIQIRMKI >CAK71069 pep:novel supercontig:GCA_000165425.1:CT868096:424551:425273:-1 gene:GSPATT00000781001 transcript:CAK71069 MDKLDKHKNCGKCIEQFEINKQKHDGTYKELKTKILGSRPMAKFIMYDGEGNYQKKLNQE HQNHKVQIDMTWKNADQEGCKDQESDQQLIKDSQSLVLPRIYHHKQVTDFKPIQPIPTQG IVIFNLAHINRYGKYSQRYLLRSDPMNLSDNKLDDYLPSSQKYGRFYLSEEPKAKTIVSE AQPYEGYHPISPQYNVFPVEKPILKYHSMKSQLFKYK >CAK71070 pep:novel supercontig:GCA_000165425.1:CT868096:425517:426722:-1 gene:GSPATT00000782001 transcript:CAK71070 MLLTSENIEFYTQRRKQYSQDFLKLTNQFKPKLEQLQRRLIAQKQIVDGPIKILNTFQKG KKFSRVLLSLSKSKEVQNKIDQEMNRCSYQYELDESLNCKFNYSQTCKKIQQSMIPLIEN IKLQIKEKNQELSINEQNSNQYMQREELEKIKMKSNLYSKIIEKLQTMEIKKQQQEINQK QSFKNLDRFDKIDENEEILGYSEKPITSRRTLCSSIQNDEDKKMQQNQSSSQNRKEIQKN PKFQDLDQIIRKHYKKMGLLNRRQESMMEFKNYLTDKRSSTQQDINLQSDLRLQYSQNKF KSNKRFSVTILSTQINSSNITPIFDEQVGIVTCNAAKTKTNSSLDPKAFQTYKKSSNKQQ DFQERVQKNKIFQNKADA >CAK71071 pep:novel supercontig:GCA_000165425.1:CT868096:428123:429575:-1 gene:GSPATT00000783001 transcript:CAK71071 MQMFEIIICYRKHLIADQIYEIRFTDNALTLTNVNDHLKSLQPKRPDTPKYIVPLNWSSQ ISWQLTPKENAFGFWTSPKKFKWFHVKQSDLLILKKILSKFVSFTKVQDFYEATEHLATG SNSQVYQVVRKSDKSDNYVTKCITKEAIQNSVEKMNGIFDELNILKQLNHPNLPRFEEFY VGDGTYYIVLEYCRGQSLNSYIKELKHQLNVRIIQNILWELLQGVAYLHSLNIIHRDIKP ENIILNIQEKKIDLKIVDFGLSVKLEPNKELKKCGTPGYVAPEIINLKNGKYGLESDIFS VGCVFYKLLLRKDLFYGETQNEILSANRRCLCNIQNLSLIHIPITAQNLLSEMLQEDPRL RIKATSALQHHFFRENFRISTRNIPLLLSQVRASFHTQTSNTKQLLSDDDIHVEYFNNEL PPINQIPVFLDYHKNGQKITQYQENLNSQSTDSKFLFRNVSKSTG >CAK71072 pep:novel supercontig:GCA_000165425.1:CT868096:429594:430066:-1 gene:GSPATT00000784001 transcript:CAK71072 MLLTEKEPFFPVLVENRNIFRKFKRRYNSTQPMERRIEQSADSFYEKSLFVQRKELQLRT LEPKKQYVAKPPKTIILKENIPEVLSFDKMLLAFVQLNNQDKNQKNMQKKTLKIITQHQE LVVLEEEHKSLQSRFFTEVQSYLNECYRF >CAK71073 pep:novel supercontig:GCA_000165425.1:CT868096:430112:434109:-1 gene:GSPATT00000785001 transcript:CAK71073 MSTEDINSIKKFLKFQKMHKSLPSSIPIQMGLDSKAFKLIVSRIGGLRRPQNPVLNLQFS VAANFFIQNSQGEKCFFGRTYNSPRLNVEFGPDGVNYEHPIIPIYYHTRILNNKDMPLLI ILEIQAFESQQDLVLKRMHLGWCELAALTITTQSTKLNFRKGSPRILLLGQQVNFESSGI NVTCDIVQSEEFQISMHLAPENALCGLGDELPGLKKSFVAGDFELSRSKIQVLIPDTMEA DIYRQVQRYRTYKYRTPDDYKGDIQIINKRLIVAFHNGWTFINTRGTNNFVPLGETRMLV NEFVDQKQIQYKQLAYHGVIDINNVCSTDPTVNSGMLVAQLEYEAMFLVSNLTKETMQLT LGWIPIYINNKFGADELIEEDFIIGPGKTLDGRPLVSFQNPDSSIKLKGSLNLSGGGFQF QDLYMGAAQQQQQAPQQYGYQQIPQQGFQQQTNLNQHQAVQQQHQNKQEQVRGSDIDIRT QVKLKDFEQQLLRLQEENERLRRLAIQQTSDPQKQQEYLQAIEKLREENRLLQFTQARQF EGLEKLIKDQKTVERTAAPPVVLAEAKPQNTVIKPIDSYAYPVPSATLKPITRTDVTALV DDPTSMDQENRLMALEMQDERKDQIIVIQPVSMKQVLGPYPICKSVQIGIIFYDLQTFKS PFLNLEYYNDERNFKYNLENGNPLRLLYNNEIFSCKFNIETSLQRYANLDQDFARYLFNK NLILDIWDSESLFLFGSVRIPLRGIMRGGKQNAQIMADVEIFDPQSKKAKGTLQIVIKNI GKIGQQDMSKTVPLENTFNGLQKKKLVSEKPVNRMDVPAQAFDGNSIQDRIKKIKQQNLQ EMIKNKQSQQRSLNEVLKYKEIKKPSLVKTIMQGFLQNEKVVHSFYGKCEIVPLYFKNTH PKPEQFTVNIEDPESRMLEYQEFFLIGNPAEWKYWVTNNKYPEPENYDLIRMKENQGKTQ YYFILDPNQEVTLLFKFISFRQYDYRVDDHDRTPEYIKKYMQSRHITILLQQDGKMMDGL RIIVEPHQQPIDHVLRFYERENRQTRLIIPRLFNQPLQQQPHVHITDPDVMIEWSSDFNS IRLNLRIGEPQSVKIFNILLYQDQYQHKIIANWKIEVYSLSTIDIEVPLGQKVCTKITFP CDITRIIKMTSSNKMISFVKPFDSEITMLPGKINLVPINILALQDGKHLIKLNGVDIHSQ ELIYSWLVNVHAEPQPPSATYDLRCIDGRESRHQVNYKNQVNYTTQYNIVSSSEFVKIID QNISTGPNEIVQFKIRVLPGSEELVRIFITDIDERIFDVIALNIKYVY >CAK71074 pep:novel supercontig:GCA_000165425.1:CT868096:434284:436011:-1 gene:GSPATT00000786001 transcript:CAK71074 MLQKSYSEGVGAGFPLTQNIRYQLAKTRVDDLFIKWLTLPQTQKLVHQLIKDVREGKQNN LITQPNAFFTNKMTMSGMSQSALLSSPNKKSQGPSTPPRADSFHEIKQRIPDDLSQSLTQ SQIIQKKLHVEEVQNYDLVPQFYFPTQEINLQLQQEQNKIIYEIFGKAEQIDASHFEQIT TNLCGLCKYLTRILMTAVEGTGNKISKTAFIKYWNQQLAQKEPKQRCFHILKKPKNDFIQ FDDFKPFMKILLEQHPGLEFLQATPEFQERYADTVIHRIFFHLCRKDNNKITWRDFKSSN LFDILDILGKEDDINKIRQYFSYEHFYVIYCKFWELDGDHDFHISKEDFSLVKLSIEYLI RFLRRFRSQIDQKMSYEDFIYFILCEEDKTTIQSIEYWFKIIDLDDNGIITGFEMDYFYE ELKQRMDYLNHEPILFHDFVCQMVDLLHPENDILFKLSHFKQNLTVCGVFFNFLTNLNKL IAYENRDPFQVRNDIVDHPDFTDWDRFAYQEYVRLAMEEENQEQGEVFEGDNIWDNEDSK Q >CAK71075 pep:novel supercontig:GCA_000165425.1:CT868096:436040:437231:1 gene:GSPATT00000787001 transcript:CAK71075 MFAITKQALFRQQAMKLMNRFAVVANNKPEKGPSQGHLTDKYTVIDHTYDAVVVGAGGAG LRAAFGLVELGFKTACISKLFPTRSHTVAAQGGINAALGNMTEDDWRWHAYDTIKGSDWL GDQDAITYMCKEAPKAVYELESYGLPFSRTPEGKIYQRAFGGQSLKFGTGGQAYRCCAVA DRTGHAMLHTLFGRALGYDCIFFVEYFALDLMMDEQGACRGVVCMSMADGSIHRIRAGYT VIATGGYGRAFQSCTSAHTCTGDGGGMTIRAGLPMEDLEFVQFHPTGIYGSGCLMTEGCR GEGGILRNSLGERFMERYAPTAKDLASRDVVSRAMTKEILEGRGVGPEKDHIYFAFKSFT C >CAK71076 pep:novel supercontig:GCA_000165425.1:CT868096:437240:438158:1 gene:GSPATT00000788001 transcript:CAK71076 MKDYQVSVKQLRSLAGKLMLPKEPAPVLPTVHYNMGGVPTNFKTEVLNQVNGKDQIVPGL LAAGEAACASEPIHYQILLSLEDRLPIQQVKNGSQDRNNQIFLRMLVRLPLRELIDQDIM KDHKLLPKLERIYREPCRNMQLYSELKRLYKKVWKKLRKSIQEKMMLESKTRDQIRIRKL IIIRKNDYRRSSQQKGIPEVLMQEMIYPDRDDKNWMKHTLARIQDTKSGDVQLTYRDVIT KTQDPKEFDTVPPKKRVY >CAK71077 pep:novel supercontig:GCA_000165425.1:CT868096:438716:439479:1 gene:GSPATT00000789001 transcript:CAK71077 MKINERLQFQSKQEEINLDKEVSEIAQRIMEKVQQRSTAKSGQKELPSQYLRASDYQARK LFQSYQIEIRRQSEKVTKGPSQEMRRKEEALLSDFKVNKYEKPQELIRFENQLRMGDLKT PLKSPVQPNDQRHNDIHQKTVKLNNYENIGFQEQKSEKMKQIQIMNEHNQQLNYQMHQLS SRRISSPKRDQLKFDSNLQTKSSLSFKTTQLQTPVSVSFKQQDIVTQLLGSLKNQKRNQG DYSTKR >CAK71078 pep:novel supercontig:GCA_000165425.1:CT868096:440875:441625:-1 gene:GSPATT00000790001 transcript:CAK71078 MEHNFKNIKLEIDHYIQIQFDQCSTDEEEKEFEIGVKPNKKKFNNSLEKKQFIEEYTKKK KTELCKNFTLTGSCKFGSNCSYAHGQSELLPKAHLHQNYKTKPCKNFLNYGWCNYGSRCQ YIHPENSLKKLKHSSKNSKHNNYDSDLQKLANKCNSQGEGQQTLTIQMLYSELLQKLNSS SQICLKNLTRLSYFKRLGQVQSLLLSDDSDP >CAK71079 pep:novel supercontig:GCA_000165425.1:CT868096:441721:443796:-1 gene:GSPATT00000791001 transcript:CAK71079 MGQAQSLIYAVPVGEKKEGESNVYRNPTHVAKLLDNFEGEKTVQGMFLRACRLYPENRCI GKQITTGQNSKHYKYMTYKEVKQDAEYLGSGIINLNLIPKPEVFEDQQLKMIGVFSKNRE EWLILDIANTLYGNTMIPLYETLGFESLPYIFEQTQLNTLFISESNAQTILKVSNYHALK NIICFDELSQEIIEKFNQKGLKVMPYEQVIQAGKAKVHAYFEVTENNIFTFSYTSGTTGL PKGVMLRHKNFVSVSGGVVFQGIVVSQKDVYLSYLPLPHVLERFVVITLLGYGSTICMYG GDVQKLNQDLQMVKPTLFMSVPRLYFRIYTTIKQKLESLQGAKKKLCEQALSSKQYYLKN GGHVEHRFWDNMVFNKTKEALGGRVRYMLSGSAPMSAEVIDFLKCVICAPFIEGYGQTEG CGGSFITKAEDSISGHVGGVFPNIEFKVIDVPEMNYHSTDVNENNQITPRGEICLRGNAI FAGYYKEEEKTKEMIDKDGWIHSGDVGVIRPNGALQIIDRVKNIFKLSQGEYIAPEKIEG IYQRVNGVTEAFVYGDSSKSYCIGFIVPDKQFVLNLGNQFGLNQTFEELCKNKDIVKYFL DQVTRQGKLEKLNGLENVKQLYLEPISFIVHGLTSNTLKLMRHKAKAFFANQINELYSIS E >CAK71080 pep:novel supercontig:GCA_000165425.1:CT868096:443924:447944:-1 gene:GSPATT00000792001 transcript:CAK71080 MSVQASKELVKENQIINSKHYCEILNAIDEYLQIIKLENGMFGIKTSDSTYQISVNSTNQ IHDFKDVLIIQDEKEIDINVYFNFADYQIKSNFVDGVLYKQIKFIINTENQIYLNIITIS QTQFKEFTIKNFGKINFRQKSEDPKSIFLSMIFITLQNIELQIESIIGNFNQLNKICKIN ECKTDLKLQSLIENIDVYQIYPQNILKAQFSKNKFLQDFNLLNNMFFANVLSDYEILQQV NTNNCSLIMQDQILINNSTYLWHQEFCSNSQSYIYIIQTKISYDLKKWQNYIFSIESKEQ YQPEILITKLAILIQKSNCKSLERIKKLLKINGILLQQEQISIESESTYYKYQASINTGE VEQKDINLIIEPTQNFQISDNSDSESNNIPSFELSHNLDQKPVYKTLNGIYTPQDPAIFL RDDDLQNQKEINREKNEQTQSSEEQKQNLIQKEDEKEQNQVFIVYENPIGWVNQDSQDID FFEFNENQRTIRNQTPIESREQVKTQNSDQPQQNLQRDANSQQESNQILQLQQISSQNHN NQQDGMIIEQQATFEEIILDDQDNSQVQNLNSQLQQQQQQQQQQQQYQQQQQQQYQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQSETQSTQYNQEDIHINSDNKRYRQQSEDIYENPDKY DQYQDYTSFYSDYDSEYDFHPRRHLTHHHRSPKIKHFHHHHKISQNERDYENQNLNGQSY SGQKLNLRGNRKNNDYGTSQDDTIPIIADRIIIEYNFVNTKQEDIKLHGDEDEGKPKNKK GEEDEEESKKEKTNKSNSNKSQQEAEDDAQEGDNQNEESQQKTSKSQNAAKSKTQNKSQD KNKESSKNKVQDKSKQKENDKEKQKPKDKEKEKSKAKTQSKADDEDKEKEQEQDQGDGEQ QNEDQENQEEKNEDQENAEEGGQDQEQENNEEKENAEDNENGEDKGEEMDEDDQWRGFSS KDKEKKKGKSKGNGNKEEEGEEDEELYDGNGRYIRNHPYYQHRKNKKSHYPYNYPGRYPG DELFDEDLLWNYRCMNRQNRFQNFGNPFNGYPYRHHQSVTSNPQYSGNPYLRLNPYTRQT SSTSCVPWMVRQNYPPYPSYGRYSNFYRGKYQDPDYQLKEMWLRQQQQQHSKQQVQKYRD QVGYFNCYGYDDMRPNYYEAYQGIVEEDPRYQVYNRNIDDPNVFSPFDETVHYGHSYVSE KHFNPKQGSKPLSHRMVSKKVDFQQQENNSCLQVFDQCYYKGRSVNLCGEYPVIPQGLNG FKIFSYIVPDNLEIRFAQSDQNEDNVSDISGSEECLQIPYELIPQSQ >CAK71081 pep:novel supercontig:GCA_000165425.1:CT868096:448303:449022:-1 gene:GSPATT00000793001 transcript:CAK71081 MCINQEVHAILQTKSSQVEQMIENLISYGAPITKVVRSFCLYNQIQNGMKTKLYDFYRIE ILHMYGTEHIITLENCEKIGLIGKKLNDTHIWEKIEKPLRLINEDVDHVLQRIFHMFFLH MHQQFRIFEEIITKNTWGAHQFCKPQKIYLANLQCIEKLNQLQGEEVKEGQNQIDVIYIV GGITYGEVAAIRWLGLRYKKDIKICTTHIINGDRLIQEMIQNQ >CAK71082 pep:novel supercontig:GCA_000165425.1:CT868096:449132:450262:-1 gene:GSPATT00000794001 transcript:CAK71082 MFQFIKDSFEQVASKVKQSGIPSNSGKVNLSQFEDQQSSFKTAIYQKYLRKKLINKLNQF VGKKVLVIDQFIADMLNLVVESMQILKENDVDQIYYIDSDQLNVEVNQIIFFVNPDRQYM KRIVRIIRNNQLENLNKKYLLIFCPRMNIVCKDYLEKEAVLGDLVITNFNFDLIPIANDL LSLEINNCLWPLYIGQDMKILQTVAESIQRMELVHGKFKNIYAKGNYSKYIIDILKQKKQ QGELIEDEVSFKESKMHTLLIIDRRVDFITPMLTPFTYETLIDEVFSIKHNSINLDIIKN DQALKDRPKTMKLNDSYYNRIKIMNIKQCQRVLEERRQNNKQMIQSMKQQDNIMNMSDMN QYLTKL >CAK71083 pep:novel supercontig:GCA_000165425.1:CT868096:450304:452367:1 gene:GSPATT00000795001 transcript:CAK71083 MLQKKDSDKENTSYNNKDSQKQRVIGDYLLVKTLGVGTFGLVKLGVHQITGEKVAIKILE KERIVEVADVERVSREIHILKLIRHRHVIQLYEIIETKKHIFLVMEFCDNGELFDYIVKN EKLEEVEACRIFQELISGIEYIHKLNIVHRDLKPENLLLDHSNQIKIVDFGLSNTYKEGE LLKTACGSPCYAAPEMIAGHRYQSILVDIWSCGVILFATICGQLPFEDKHTSELYKKILN GQYTIPSHVSQDGQSFIKGLLNTDPKKRFDIDQIKSHPWFKLYKRVHSIPQGIIIGYSRI PIDEDIVEQLAQKGYSADYIKKCLDANKHNNLTTAYFLLLKKHLIKGGQSTADINSQNFN EKLLEPATRPSKPPISNLLDSQLMKTLKHNRSGSCQTNQKSHTQGRGMSVPQVEDIRSIY NGSKNYQNSSLSIEDQSFLFERNKSLSFQQNNHKKIPTDIQINDVLNKTTYVNTSKRSSN ASTVSPGHQNKLLQYLKSSTKNTTPTAVRGNSQSKMPYNTKTTKNQKVSYTNFDNNNNSM LEKKKENKPINLADSTNIESKKRSLHIEGDLSMPASTKPQSMPYQLWLKMNSRKGSRDYS SGGSKTKKSNGSGKTNMNQSFNFDKRA >CAK71084 pep:novel supercontig:GCA_000165425.1:CT868096:452449:452970:-1 gene:GSPATT00000796001 transcript:CAK71084 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLLALWLGKVEK >CAK71085 pep:novel supercontig:GCA_000165425.1:CT868096:453063:454551:-1 gene:GSPATT00000797001 transcript:CAK71085 MSKQSINTGPQAIYQLENVILNVNYRDILRFHFIHIYIQVKQIELSTVTSNRSQNTITKI KAIQCSEVQKKKKAAFLYKIKNVINIKLTYFRLDLTRQINCHKLKNLKLFCTMFLVFITI KHDVFIIICQNYDNLLNQTLREMLLHQYLFNNSQFIMDQAGYNHSQIQSVLNQSSNLIKK PRIGQQGSISQTETFYYKENHFFIMQSLAKVALNIIVFISKHWNRVYYHYYFGSPFSTRQ IATTSVVSQSITQSQPLLITPSVRIAPTQPNNAEVHHQERPLQVVTMDDMDSRWKTKCSI QKSNSKNQGADDEIRLLKKKINSLTNDLKNSQEQNEFKDHEIHKLKLLMNDKDNELESLY QRIRALELIVNYFYVRGGRIMEKEINSDISEKLTMAETQLEALRNSKVTVTKESEIKRTG PSGTALTTQFETSSIKGATIAPEGYSTYGTNNSGRNSVVRNSGYNDRKQL >CAK71086 pep:novel supercontig:GCA_000165425.1:CT868096:454960:456081:-1 gene:GSPATT00000798001 transcript:CAK71086 MEYLEIVETIMPYIINKIMTRVEDKIFESLESQSSASFAANLSFNMMHQKCFDPFFQNYN EMEDFEAHSTQKERFRAYDIKDIAPISLRMTPKVELPPKHKFMLPTQLWEDYEPQLVRQK TIEFVEEYPNERRIMEQKCIEKSNKEQMIRERSKEKRMMKEQYNRLIMQIGSKEYTYDYN CDPIARSNNQTKKDVITTLPFEVPQTDNNAVISKPKLVEQIRQKRRQAENEETIIEFIES QAQDFKLQPGVRLSFQSVQQKETIQKQLSQQSKQTEQMLTQNKQLKGLRNIIKLNDIQLF GYLQQYDLENNYETLIQNTNKTQLVTPTNQSLLDQSTIKRDVLKLPKLRSHSINARTKTK SRQQTYSIVTYRD >CAK71087 pep:novel supercontig:GCA_000165425.1:CT868096:457365:464301:-1 gene:GSPATT00000799001 transcript:CAK71087 MCIELNTQSKQRIQGIFGCQSNIERKIQNDFKKVIDLAELLYQSEKGNLIVIKFSDLLLF CLILSNSFFTKIPIQEQSIEIVLFRILQDFTDNIIICLDELVFSNWYNGNAIPFSSEQLV RQDFDFKELNKQEIQRYQCQYSRLENDIKNWQIIKSYSIIPQIYIIKRLEQIKIEDLQNT FKSFTQTLLIQIISKNNHSIVPLINILKKEHQTDKIRTLINELSIQLNEEVQQQRKKLIQ KICNQLQPWYSKFHYDDTKLPIAEEIVSSIFQSVEFIENQQLTFKFQNSQDNKKYEKYFN EHKKIINLKFKEIQGEFIKKIDELYQELCQQVLYSVKKINFRCYRNKSQNIDNIKPELDE IYDYYLDGSFLQDRKQDYHIKEIFQTKNKSSIFIVYTQKMAYILFLLFQAQKAILYTIST ITLDHLFIFNHKEKYVQQITISSRGIVQNDQFYCYQLDNQGNPFLVDQVAYIYTCKKLIV LSKNNKIYTQSEKEQQFRLIKCLQEIGNEVTQTEFTPSTNPTQNYKQLLACPSGKYFYLA NFHCCDRYDLTGKKLQTIPINGHVKIMYEFQNEGKKYVLKIQSNLSQQRQEQKSKLLGNP ALDIVKGSESKFGPNAEFLYQNKLSYITLYVKENEQLKMMDYFKKLDLKFTNLSMNDSQY SECDRNQIIDIIFSRVPLQFCTIEYGTLIPLNDGFRQFSLNQKSISIDCRVKQLHLGFLE DYLLVEEKKIFVVGIIGRQSSGKSYLLNRVFGTRFSVSSARCTDGVWGSLAIVDNQKFLI LDCEGLFDGSRTEKEEVKMLSFIIAISDATILNSDTSFDRHQKELFNNVFEESQQLKDER LFKGFLYKIIRDVNSFNALDNEQIRDFPFLKELFSNYMSVEQLVNNEKELFDHQLKKIRA YLLQKSIECIRWKNGKELISMVKVVLCQLELSDTKDATLIDFEIRLEQIYSESKSQWYKF TLDQQQQGEELRLNQRKYQFEMDDFDYIKYNHVLLKSLYNDLQLKDNINDHKSNLLEANN QLKKLMEQRKKQIIQKTQSEIENINGMELQAKIEVENSKLEDFFKIQFDRYQFCQNKCNE CFLPCKYFNNHIEHFESLKKKLENEIDMLEQQRNNSSIQNQKEEMMERNSLISISQKIEE IGINLFLLSNLIKLATMQEQKEQLMNIVQEFSFFNVQRVQQNGMNLESENENMQIAFDIK FINDEQRKTKNELKTQGDKLIKIIQEKEEVQQKYSDLKYQCNQIELQIQIQIDKNNQQIG SLNSEKSKISEEIIKIENEILQLGGYYKENEKNQNDNKIKELKEKLHDLREGIKFFQQSK NENQRLLEQYTNMPQQLFLQVYQQKLDKNKELYDNLRKIKEKKSYLNKNKTKITELNEEI EELSLARYRNRIMIEDLRQELSQPQFQNIENQLEYCNKEELQLKEQIDALYFSKINLFEQ CMEKQVGQEEQVESFNIKDNFLLSIQSEITYYENEIKRLSQEIEIVNQEISESQIIVDRQ TKLNYLQEKKLIKVNQHINLETQINQLKKSSIDQSENLIALKDQSLKEQQELNSLKEYEN NLINKEQEKQEQITKIDKYLKELRDLEQHLKEKQVLDQEMQKFETLLKGWEGRDNYTNLK LKDLQQEYQKMEEKKQVLLIQQQNKKRILECYQKVETSAEKLDSLKYNLLELVELNSHVH SCLSDHKCDQICKVCPNKKCENKAGHNESQYHLCSLKNHKCNIKCSITQCQVKCNLELNH TEQHNCTNVHLCGETCQYCSKKCKTDRTTKHQNHICEESYCIQNCVLCERRCKNNHEHSQ YRNSQNQNHFCGDQHECQEFCQEKGICQITYTQVEIMWQSRFSYIKYIPQSNEKKKCCRN IKPQQLNHNQIHSCMIETEKQFHLCDQKCPECNKICDLKYGHSGHHSSQIHINKEFQIFT IKQGEEFKLIIQDQNNNVARQYQIGEQSTPETCDQSCRRRGKSHYHLIECKGESQCLEKQ IGIRARHSKEKYEGFQHLNFDEVLCIDYWDYKGWAHPIPKETQNIGLCNYYCPICKKING EYQFCCQQAWHSQDNKISSHKFPCSSTHKVQFIQGINIAFVIDTTGSMSQYIDNCKEVIK SIMQKTKENHNIEMKFAIVSYKDHQNPYDELQNVVNICQFTSYSLAISFLNSLSAEGGDD EPEAVLDGLDASLELNWNGNYQNLLYLIAEAPPHGKQYHNFGDSFPDGCPCKKTQGQIFY YIRNKKIQVKVMKLNQKIEGMITQFKEDYPDLMVIAPDDDQDITFQNLIVADVCEYLKPN EITYQML >CAK71088 pep:novel supercontig:GCA_000165425.1:CT868096:468124:468354:1 gene:GSPATT00000800001 transcript:CAK71088 MFTQFYNFATSFTCVQDYYQEKIQKPCHHSAVLTPQFIKQGDNNKLYYSHNLIDFQKLKL FLLPIDPNTTIVSVLL >CAK71089 pep:novel supercontig:GCA_000165425.1:CT868096:468552:469223:1 gene:GSPATT00000801001 transcript:CAK71089 MVRQQMLVNLKTTYKASYLFLIIVLYTSKKSSRIIHLFTTIHTQFNPIERLRNTFKQFIR KKSKTHILMDKLINPQCITEEQLNNCVQLILRFALIQLFFIHFLTYIVLFVSLNRLYLYQ QLKFVPSAFKKKLIAEMLSFLYSSFFKINLQLNIIFLFCLKLWMQFKQKVKKYQLNKIYS FIFKMVCGFLKQFYNDILDILIPHTLIQKSVYNLRIKVQQNQF >CAK71090 pep:novel supercontig:GCA_000165425.1:CT868096:470051:472133:-1 gene:GSPATT00000802001 transcript:CAK71090 MNCQRNQSKLQYFLQISENEYQCQVCQQKLIFPTKLPIEQALNDHLLSNGHLNCQYEILF QLGCNNRTFGEFDPIDQQVKKQKLFHLQKAKDLKQQSKEYLNNYFGKCLQKITCVINKRR TTQKTYIIILKRFYNYIMDQASELLQENFILQFLNNEQFKQNSIQAIKFQTKSLCWPLSA ELLEKVKQLREDQLKIDKEIALESFNFCKDLIFLLFRCHQQRLALIIVILFELDFDLQVI PELKYEDILNERLMMSKNNSKKPKQSSSFINEKIQQLIDLFVRKFNFEKTSFILPEIRTM RDLQTLLHSVKHDFESHKQEMNERQAQFIQSFTSSSIKYISRILKLFQKIQQFENQILVQ IYQNIKQNINGNYTKLLVIYFIGFNHLNSIFFLYFQQCNNEFSYVRYPCQSCQLQTTINI LEVIYFVSIIKRIICCILQQHLILIYLFLKIKIQKIIQFHHQYIVSTHTSLTFGKLRSFI YSDLNVIFDISQQLAFVSYTINEALKPFLASINYQSGFSYRNISSQEQKHWINFCFFDIL FLSIPVNNVLVVLSKRIDNCFQIKDITDEIFTYTINSYVLMVGYLINQFRHLHVYLNVKP IICYIIHYRVIHKQTNKQITLNCQPILILLNMLDSYKYFSNKSQFFNNIQQNQMKFEFQN FLRSGVHL >CAK71091 pep:novel supercontig:GCA_000165425.1:CT868096:473758:474179:-1 gene:GSPATT00000803001 transcript:CAK71091 MNLYLLQQSQNYRQKIHNKFKSKQFMAQTIFKLASQQNKKNNINLATQKQVLGKQNPNRE HQFQVMLDKFTLDFQGSPLKSIVVLNQSPNVEMRNEFNSSKFISTMRSMSQFRQSMQQQN FNPKKKIMVL >CAK71092 pep:novel supercontig:GCA_000165425.1:CT868096:474385:475395:1 gene:GSPATT00000804001 transcript:CAK71092 MSIIGVLGGICSGKQLFCQLMKEMYDYQIVYFDQEIDLNKTAMQIYNNWNTPTIITAITT KEQIRVLKKRNYFHLIYLDSPSFTRYRRYCEKHEIIKFEDFVRLDDKQKYDLDFLELSKN CKVYLKNNTNTFEEFRNYLKQQMAKIIRPFRPDWDVYFMKIAYTVKQRSNCMKKSVGAVL INNNKRILSTSYNGTPKVMKNCNEGGCDVCKESQTLDLILYKCMCIHAEEGCILELGITQ TRNTTLYTTLFPCVWCCKALLQAEVSRIVYCEQGNWDLSLKIIQNLIKYDQIDIEQYIY >CAK71093 pep:novel supercontig:GCA_000165425.1:CT868096:476294:476606:1 gene:GSPATT00000805001 transcript:CAK71093 MQLFWGDEFEGNVINLYDDQKLALLSPNTSGLFQSLGEEQQKKLPFVILPEFVAWMIELT PNKPYQCMCFNFDQVSINMRKRIQTLQSYLPHGLS >CAK71094 pep:novel supercontig:GCA_000165425.1:CT868096:476612:477159:1 gene:GSPATT00000806001 transcript:CAK71094 MIVRLFFTNYIPVNFYMPLSLVDENKRRSKEKGAILNQKFYFRTNFQEFGPATVEELTLQ EIFFGKQDGSFIGIVGLIHQNRNVVKKQQCAQKEEQIYLKNKVLQDEVMQFTLASWMRDF VTSHPNYNQDPIVTHEINFDLIRTLTAIKDRQKEDPHFPFIFIM >CAK71095 pep:novel supercontig:GCA_000165425.1:CT868096:477646:478416:-1 gene:GSPATT00000807001 transcript:CAK71095 MQYEENYRKAREFVNQRQNKINFKPYKLNKPQITTMHQILDVIRSKKRSNSQFKYLKPAA QTKQEDNHLQKKFITSNLEPKDHTQILAAKILRLNKITRNKTQVTPISYTCMDQIIEPLR QQTEISYEQNSPLRIRKNIPCVEYQLPIELQLQDNQKYVNNITERKFYIQKSRPKENYNS QQTKNKSMQSCLSHHQIIYKEQVSLKLKRLNQTIYLSEQVKIINSIKNEKIEEQIQQIRK VSGWTIKSQQSFQNLL >CAK71096 pep:novel supercontig:GCA_000165425.1:CT868096:478471:478869:-1 gene:GSPATT00000808001 transcript:CAK71096 MQNMIPKRAKISSLYEESRTISLDDMETPQFNNTRRRVLTQIEDDQPKLVLPKIKLGNNH KNPQCINNNEKKFKLTGAKDAFFRPRQINKLPQIFQKQGLKKNENNLSHPEIRELYSYNN NKASAYTLSIHD >CAK71097 pep:novel supercontig:GCA_000165425.1:CT868096:479102:479594:-1 gene:GSPATT00000809001 transcript:CAK71097 MYQDKAILLTELQQLKQRNLKLEFELKELFQSYNTMRSKSSRDNIHQLEEELDDLKIQHQ NMKDGFDYKLELLRIRLEKVNQENNQLTLENSQLIQQQSDQIQQTQSMIQMAKQSKALQN SQIVNFKNQVHKIKTVVEQKIVKKSNKLQMNVKN >CAK71098 pep:novel supercontig:GCA_000165425.1:CT868096:479627:482048:1 gene:GSPATT00000810001 transcript:CAK71098 MSIKQLATNFDVLYRRVSDRSKVTEILLGQLFERSEAEEKYHKALEKISGQMQNSGGDID DLLKGMKINLNQRAQYYKQFNQSYKHDVELAINDLKQIQCQFKPLIQEVLKTDKDIKVAF DKYDRQKDKTFRASKDYEEAAITLEAQCWNKECNPESRSKAQIRLNQQLVYKQENESALR HAATTYNNFVQSYNTLLQNGIQQLISYHNQIFSISKDILMKILVYEISKTRNLQYDSEQF FKEAEKYLDPQDDPIAPGPSSQIGITKDNYLKVTSQQNSSFLKTIADQISLSHRLNVNRE TVSLQQLEVEDEFDLFLANQQFIDDLKEVSINLINKVSKKNKSKNNEEKQLAGVQAMVNK YKNQYGEQQLIKIFSYIKHIIQSTQEQQIKGWKNIPQIENNVLEDELESKFFRELGCIIL ESFRQAGRSQLSQFGFKNIITFTQKLLEISQREGELILVKRLITMISTIYTIDDKEKRFF LQDSLISMSIWKQIDLWEGVIYTTIEAEIDKSATKDSAQFTKEQIFKDKNVIYSNLLSLT LNMVNFKIDKQEIKNVLNKYARAFQLFELQTQELLKFAENDGKIEPNSKVNSLSILQSLY YQPQTTSTIQGPLGQKKVVIFDKKNPLSSNLTVQKPGGNQVYFSQDTPTRETISPQISPY QNNSSWQQQQQQHQQEQLQQQEQQQQQQQQQQQPQQQYTTIQTQSQQQSILQKVFTPQNA NNQSLTILQNQQNMTIPQQQANQVQQIQETEQTKIRYSSHPLEVPRPNNQKKQG >CAK71099 pep:novel supercontig:GCA_000165425.1:CT868096:482105:483954:-1 gene:GSPATT00000811001 transcript:CAK71099 MIIAILLFTTVFAFPKYKQNIFVTKPDSLKKLAYQLSIASDLQVDVDQDQVCTWLKEYTL TTAQDAYYAKLASSFLDECQADYNIDFPKIDSDDFESIYYNSLFNGLCPKLDQFITAGGA AKPQLKKQDDSYRTAAQAYHLATFCNHSKVHQAIQEAIFNFQEIYEGVSAVLDRDNSATA TAQILFSFFTFNITNLHPKLQDLLQNQIYQQTIINFLYQRTQVLGSIEEQYWITKLFQLD QVNSIIYPIFQDHYQLNKGKTQINVKFVNFRGEKIQPTEVYALINNQKEHYTYTPIKSKE FSGYFEISAVGSVPFELHFPHYILKYTIKVLQEVEIEEVIFDIVDSKTSKPNFHHSVENG EVYPVILSANEKCFLHVIIKVRILFQQTKNQIKQQVAIRLIHPQYSSASTQVFAEYDKKK KIYYGIIDFGDPDHITPLNAIYSVELLIADSNVVPKRLSFVKIDTKFQAQTTFQNDSTYK LPQEIVHQFNQEQPEVPFFFVSFFVMIILIAFLFFLSIISNLNLSFERLPKDNSTIVYLF LALIIGLFFVLTLFWIKLNLIETIAVLGILSVPQVVVGNYALLALRKSEKLD >CAK71100 pep:novel supercontig:GCA_000165425.1:CT868096:484925:485920:1 gene:GSPATT00000812001 transcript:CAK71100 MQYLDNYLQSKGGYDYTNVKRNELMKAQGFKEMPFTKTGTTIVGVIFEGGVVMAADTRAT AGSIVADKNCEKLHPLAPNIWAAGAGTAADLHHQCAHFNAKLKLQRLNLNRQSRVNEVIT KLTTKLFPYRGHIGVALIIGGIDSNGPQLASVSPHGNYVYHPFQSMGSGSLAALGILEAK FQDGLTKQQAIDLAIEAIEAGIFHDMGSGSNVDVVAITKEGVDYRRNIRQYNAKSHQKQI PYHFPANNTPALRKYEFNFEKQELREIGKEMEIIE >CAK71101 pep:novel supercontig:GCA_000165425.1:CT868096:485932:486748:1 gene:GSPATT00000813001 transcript:CAK71101 MDKQDYYQVLGVERNATTDEIKSAYRKLALKWHPDKNPDNQEVAKKQFQLILQAYTVLCD SQKRANYDKFGTVDGEEQMNFDFEDFFAQDFESMMNFMMGDAFVKMFTNIGRAGRGKHKF KIPSQFVFKQAQPQKKPQKKEEEDEWETEEEIDEDDDWKDVDDDEDDDNNDNDDEFQSDS DDDLTQTENLFMMPMFIDDSIKDTDSKKFKCKFDGQVLKEQTLVQHFEKNHKKEFAAWSK KQHFK >CAK71102 pep:novel supercontig:GCA_000165425.1:CT868096:486774:486923:1 gene:GSPATT00000814001 transcript:CAK71102 MKNRFLQLKQKLNNWKWIECQVTLHSLTNQQESYRLLETNYKIMQEQNC >CAK71103 pep:novel supercontig:GCA_000165425.1:CT868096:487091:487463:1 gene:GSPATT00000815001 transcript:CAK71103 MLKMYLTIRQVELEGNTEKLYTENIQGFQFENIKFSSTSYKLEGVHFHVIIEIYRQDDIF QTEPIKSVIFPPIFVDSRKAARNTKRFDYQKNNFFDRKSRKYQKQKILLKELITI >CAK71104 pep:novel supercontig:GCA_000165425.1:CT868096:487726:487995:1 gene:GSPATT00000816001 transcript:CAK71104 MSFKLLLVLKQISIGQKSMQDDLNNIYAEKYSMFPRVSSSDQMQIKQYFDISFDESDSEV CCQNDVILSLNYNNRNKLIGQITESTGVF >CAK71105 pep:novel supercontig:GCA_000165425.1:CT868096:488327:490678:1 gene:GSPATT00000817001 transcript:CAK71105 MGGCGGKPQKRNKKPYVIPKSLEQQCITIPNLVNAIEIDLLYLIYQDLASRNVENLVNKT AFTDFFTMIGLWGELVFEYFNQENHSLLNFEQFLKGVVHYIKCDEDQKIQHLFKLYDLEK HGLIRKGEFLQMIQNYPREDLIKLLDDPMFLEDLKILKYYETKEMINQKKLQPKRADEQE FTESVQAIQRRSSLSGEIQSIQGAKSGESIHGSQTNLPAFNEQSVVMMFPNESMIGPTGV PMGQFGQNITFNINGKLVELKRVNINYLVHKYVNMIYKHKAKNDQGLSLEDFKSFVKLHP KIFEGLYKAFNFDVWGVDANSSVPRSMMTPKDLEGEVKKISKKNPKISNPRYFKLMQRFC LSFKKKESPLPSKIFCLDGLTIQEKVNNQEQQYGFEVSHKDKLYQTRTYMCQDFEDYKRW TNSLQMFQKASVNDYYSILQKIGEGKFSIVYLCECKKDRQTLAIKIIEKFKLSKSEKLML AHEVEIMKLLNHSCIVRFHEIIETKTHLNIITEVVRDGDLFDYIIKNENINEQEASLIMS QLFDTLNYVHSVGIVHRDLKPENIMIVLDTTKKNVKQVKIIDFGFANFLTNIQTKEGEAL CGTTNYLAPESLEQKKIDFKVDNFALGVILYFLLSGYLPFDSEFPEDIIKNIIECKYDLQ EEFWQQISEDAKDLIKKLLIKEPEERITLQTALEHPWIKNRNSLPIKKAQRVNKRLGLF >CAK71106 pep:novel supercontig:GCA_000165425.1:CT868096:490946:491470:-1 gene:GSPATT00000818001 transcript:CAK71106 MQIKLRNYQYNGSIFDCKVPQFKVRKSNQVQKKLKTSPQKHYYEKSAESSFIKANENEKI KIINLSLDVQNQNFSYKKPIQQSCNINEEVFINPQKPLKIINRFFPQQFKDPEKILLLDR IMIGQQFRRFSERLNDSHRSKVNTFQKQQQSKPISQDLDIKPKGWTNSSSQSLL >CAK71107 pep:novel supercontig:GCA_000165425.1:CT868096:491850:492391:-1 gene:GSPATT00000819001 transcript:CAK71107 MSITKQKLESLFDLSIIIEKIKILDEQQSNIFHLTKRPNYQLQKQSNTQFIPHIINDFEI KRKFKEIQNDSFQSWKTPIIRTNQSVCQKRPQYLSKVSPSPKPIELQTEKKINSTTIMIQ QNKNMRTRSTEYRMKLRKTETKDIEAQFLETRNLINRFNRIKKKAVRFNILT >CAK71108 pep:novel supercontig:GCA_000165425.1:CT868096:492494:493489:1 gene:GSPATT00000820001 transcript:CAK71108 MKPSGKKDSKQMNQRSPEKILQRRNNNNQLQYLVKWKGNEEPTWEFEENIRNIIQNLPVN EQELYSNQNDKQVKQGIPIRHQQQNFKHPNCSEELLFNKQKEMTQKYCNAYPQMGDEIDS VHLILTQEDCLFEIKWKSRPDGLQPNSDFYQYDQFKVVAPMLFMDFLEICILGYEKHTDI KFIAPGKDNIERTQLIKRILLQNPKYLDTNKSQSDKSAEEVKVNDQAAQLKEKNSQLKKN TIITFMQSEQKQKQSIESSNQKLIQKSVVVSEQQRNDRSSQQDDFQEDKLSQQDDKVVQQ QPGVQDQKNGSNQNDEEQIDQESISLEQQQE >CAK71109 pep:novel supercontig:GCA_000165425.1:CT868096:494094:494592:-1 gene:GSPATT00000821001 transcript:CAK71109 MHSGSFIANTPISELDDFRKEVRGKINSKFDQPKQILYQAKKSNLEQLQQILRSSISPKS PKNNALHQSQNPSPQIRKSPGRLSFQRSSLDLSKPTQNILYPKKQLQDILPLNKIIELQR AIKNYNHPTNSRQMLLFYISYFLELKKLAKVVLRETQG >CAK71110 pep:novel supercontig:GCA_000165425.1:CT868096:494603:495949:1 gene:GSPATT00000822001 transcript:CAK71110 MKKLPSSYLEPKIFDNKYIIKQKLSSGSFGIVYLAIHKVTREEVAVKLEKGEQETLDREV YLLTKLQGVQGITKLYWFGSEQNYNVMVIEILGKDLGYYIKQYKQLSLKTGLQLLEQLIT IFSFVHNKGIVHRDLKPENIMMGKINSAQAFLVDFGVSKQIFDQGKHMQIPFRDKKSFIG TTRYASIAAHRGYEIGRKDDLESLMYVIIYLILGKLPWQNLQNIGDKDRTIVVGEVKMQT TISQLCKDLPTGFSEYLNYLKGLKYQDKPDYDLLKKIMRQCSDANSYDNQFEWTDKIPEK PGETKQQSSFLAVPSGLNDQQRQGTKKQSYMGSQSSNIVKYIPSYQEAIPIKSQPQKIIV SQPHIDVLKQIDTVDFDDIEENMKNYPTLEQKFLRLKEFDAKFKDQCISHSAIHVFDH >CAK71111 pep:novel supercontig:GCA_000165425.1:CT868096:496098:498049:-1 gene:GSPATT00000823001 transcript:CAK71111 MEPLIECISKCQEYKDQFLDNSIYYLISIKWLNKSKIIAQTQDINKLNTISILNLDLVDD YYSNILSYDDPLYNYFLNEKSKLNIDYLCIPQDLWESLVTTLQNLNKIPLEYYTFKTLFK DGTFINKNLKIQLIPLYEGVTNIIEGVQCFSRDWTIQDINQNFEKLLNQQLTIQVPPKNF IKFYALKNVPQDFKQLVKWFHENQLVVEEFKFDTHQLNNGQFLILDIQVLNRNFYFKQDN NHLDQRIHISLEETEISNKGFSVYESQQSLLSCLFKNYESSNKIRNFIKNNFKDYFNLNE IIEESYYGSQAVLCYESKDIELLKEHSVTITESRHDTVYVNGQPQKVFFDHKMTVKQLAE KFLPESDFLFECSNNNYTETINPDTPLCQLPKDYIYNLNKRQQSEEELEITINRCFVEKV GILKSYRNFDAIRKIYINKQSKFFDLHVEIANIFEETNLTDYKEKIFNKKYELIFQTNQQ GNERCSFCDQKLCNNCIVKFIDQKLILKMNKVVVYAIYNEPIQNEREKPEIIKNYNLEDY FDFQKTEEEFLILDINQDQIHTHQMSYPLSIHKYQLCGLIENIDRSNYQCYCKIQEKWTL FNNEGFKQADEIVQNLKVVKLFYEKIN >CAK71112 pep:novel supercontig:GCA_000165425.1:CT868096:498141:499311:-1 gene:GSPATT00000824001 transcript:CAK71112 MKQNKFGGIEFNCGERYQIIKLIGSGTYGAVVLAYDIKHKSRKVAIKKLNQIEDVIDGKR ILREILIQRQMDHPNILQIYDILFDSQNFDIYIVSEYYPTDLGKVVAGHQELGVEHVQFI MYQLCKGLHYLHSSNSIHRDIKPRNILANERCEVCYCDFGFARKFDENEDQQGGENMTEY VVTRFYRAPEIMLSSSHYSKPVDVWALGCTFAELMSRRILFHAPNYLQMIKLIFDILGKP SDKELKAFVTNANALSFIEKLPPKTPQPASFSVPYPDAKARDLLDKMLQLNPSNRITIQQ CLDHPFFDTIRNKEEETTFNGLLECDFEKDDKITLTQIYCLIFEEINKVKVMNMEQTINQ NQEIQKLRLKKNIK >CAK71113 pep:novel supercontig:GCA_000165425.1:CT868096:500454:500904:-1 gene:GSPATT00000825001 transcript:CAK71113 MEEKKETQDLAQLKKEMLGTAIIKDTDMSPDMLSEVQDSIVSGIENNSSPVLSIENACKT IKEALEKKYGPTWQVIIGEGYAYDVTVQNNTRLFMFYNGNLACLVFKS >CAK71114 pep:novel supercontig:GCA_000165425.1:CT868096:500946:501819:-1 gene:GSPATT00000826001 transcript:CAK71114 MNKTLQIKVLIGQINNNYDFKANINRINISLQKYSSKDEIDILVLPEMALIGYYYPDKNA IKPFLEQYGKGPTYEFCKQIAQRLKCYVSCGYAEVDGDKLYNSAVVVNREGEAILNVRKK HLFETDKTWADEGQEFKCLELKTIKGQTIKFAFGICMDINPWEFKDNSKFELADFCLQNN VDGLIFMSAWNDHEPDNNDNNGILDYWLWRLKPIRDGKSNNYHKQFLFVCSDKVGKDEKT QYMGSSCLIKLNPAKLIQDLDKKQESYLICNVQL >CAK71115 pep:novel supercontig:GCA_000165425.1:CT868096:502092:503021:-1 gene:GSPATT00000827001 transcript:CAK71115 MQVENQSTERKMKTNSANDSQKSVSSKNNLYNQAFFNVENQNQKLIGSYLINSKGMEVIM PQFKQIKEFEDLRPKAIKKQLSDPFEQRMTLLKNELEDDQPQDQLASIIHQHSNISESTF MHQLLIQEQLKEVSCQFQNDYFVQFLEKPDKDDYKKRAEILAKVYMKNNLQQERHKVEEE LKINQQFTEENDEQDRSMNIIVHKNLTGFYQRQDSIEQDNNEQTQEIISRIDQLYFQLCQ SIEQRIYELYQNDQIDECIIWKERKENLETDISNVKDNGQLLQIIELFKFHYNL >CAK71116 pep:novel supercontig:GCA_000165425.1:CT868096:503059:504327:1 gene:GSPATT00000828001 transcript:CAK71116 MQYYKSLSSSITQEQKFGELVQSIKCTKDDICDEQSQKFYSLINESIQIQYELIQNSEAS KLSNIQLLKISNFQFIKCHECYFCIIFNLSNDTLQQRLKNEIMKYSFQTFFFDFALENVN DHDPNAELEAFDNRTNQNFRECRVMIFSKDFDQKIIDKVNIFPYIYFCGNPTKVNETYLE NLQQHQIFFSIDLQYKRILQLLQTGLSMLNQQIYTNIKITGLIEDINEQRYYIKHPSLKF EKSQYNITLLCSIPYMNVDEYLYIPLSLAIHKIEISDIIQKNENLQRAKEFNNEVYDYVY KFKILNQIDDQIKLSELEFSIILQDQFFSIDQLMFRNQIRQIQEFQRENEQKEEELNEIL KILEDLCKCNKPSFQKLITYYQMKQSMLYRMKINSFIFQL >CAK71117 pep:novel supercontig:GCA_000165425.1:CT868096:504362:504913:1 gene:GSPATT00000829001 transcript:CAK71117 MNEQLYRMEKEKNNRCRLLHKVYAQKQKPPFNNMSFQIRVQNMLKQIQYAQNERVRCQSE FVNKFPSILKLNPLSRPQNMVPLNLRLNTIRTSSRQSNLIIDGTTKKSQEKRFQQASLES NLRQTSSPLRSDIKNKTSIQTLVLKDIIPVKEQHTKLDQQTQVEYNLIENISSWSRKSSE SIF >CAK71118 pep:novel supercontig:GCA_000165425.1:CT868096:505243:505588:-1 gene:GSPATT00000830001 transcript:CAK71118 MGKLHGTLAKAGKVRKQTPKIEKQVRRHKIPKGRAYKRICFNRRFGTAVAGTGPQQKKKG PNWHAGRKDLIEEERKKQVEQRRSRKKDAPK >CAK71119 pep:novel supercontig:GCA_000165425.1:CT868096:506672:507529:1 gene:GSPATT00000831001 transcript:CAK71119 MIIQILHIYINKFIRKLKDQMEEKQLTFSQRHAQKFNGALIEMISMALFAYGIVCSEGNG GKIALAFFGSLALCAPISGGHINPAVTLAMWLTRQISTGTCLLYWIAQLIGAFGGGFFCY MVLGQINSPMVTDLNFHWIIADLCGEALGSFSFILMILIQCNPKTTFSDKPIASITLITL SLHLSRCFTSHSGGCLNPGMAVALELFQVLKTNELERLDSMWVFIAGPFAGAIIAVIFFK LIYQPAFNRYYKNA >CAK71120 pep:novel supercontig:GCA_000165425.1:CT868096:508370:510298:-1 gene:GSPATT00000832001 transcript:CAK71120 MCGILAILNIRGTEVEVRAKAYELSRRLRHRGPDRSKIIEISPGVYHVISHERLGLVDLS DKGRQPFQLLDDQNIIFMQNGELYDYWDLRPELEKKYRFSSNSDTEIVGMLYKEYGAGNF WNHLNGMFATVLIDLSKKTFQAGRDHIGIIPLYYGYDKDGSLFLSSELKGIHDQVIDVKQ FPPGHYIDETYEIKRWYNPTWHNFDHIPTGEIILEELRDKFVEVVRREVQGDAPFGLFIS GGVDSSIVAGIVAKLIKKGDIDLSKRGMRKVHSFCIGLEGSPDLHFAKKVAEFHGFEHHS FTYTVDEGLDYIPEVIYHTETFNNTTIRASTPMYMMCRRIKALGIKICLTGEGSDELFGG YLYFHKAPNRVEFHQELIRKLHDLHKYDLLRANKACLAWGIETRPPFMNKQWVEYVMQID PKYKMINAFEPQMEKYILRKAFEDLEHPFVPQEILWRQKEQFSDGVGYSWRDGIIKRANQ LISDQEFSQASIKYPVSTPRDKEQYWFRQIYSSYFPSESSVLTVPYARSIACSTEKALEW DEAFKKNTDESGRAVLAVHNDAIKELIQPEEDRSTEDISKVQEHFQL >CAK71121 pep:novel supercontig:GCA_000165425.1:CT868096:512500:513167:-1 gene:GSPATT00000833001 transcript:CAK71121 MKSQGNKENVIAKQNKIPSSQNILQEYKNNNGKGTQRQNTTPSKLKSYYNQFEVKLQKRS ISFIEDKLQKVNKEQNIASTIQIGKDQNQSDSDQSKDSINIWQEECNYEDLFDLFQFRFN NSYKTTVQNNAAQLMKGYKKLFGYSIFRTNIGKHPFYHMNRNINSLKSLNEEKQNTRYSK VSIKIKLQDSKIPFGKISYLNNQITVYNNKEKKR >CAK71122 pep:novel supercontig:GCA_000165425.1:CT868096:513277:513711:-1 gene:GSPATT00000834001 transcript:CAK71122 MNIFDTKANSEGFFSRYGRLTSQKFYILRKPKVIIKQKVHQEENSLFVEEFPQESPKNKQ TIDKNLFPIYQLKKTNLKNLSRSFQFQTPLRSRSLQFAKKQSFQNSETLSFSKTSKKLRE SFDSKKKILNYSRVSEELEQLQYF >CAK71123 pep:novel supercontig:GCA_000165425.1:CT868096:514050:515303:-1 gene:GSPATT00000835001 transcript:CAK71123 MNKFIIVGLLALVCTQAFEIDFSLLLQTGSESNDAVQAVYDLLNDLKTSNIEAQGVADEK NISDEEIGQARIAALSKVNELNQKAWASAKARREQIGIEYREATDYIAWATQRLADIDRR SVELQELRCFSNGLFVRAIKQHNDALGVIRVLKNDLSGYLTGQPSSLVEVNVQNVSDKLK QYSQLFNQDAMTKFAQLAAEQASGNAELHALGQEGGSSSSSDRQPGLNVGQLVYNALSDL EDQLKSSLANLEANEIAAYYQLADWLADTESEVAHLNDEIQRKTLLQDKLVVQEQAALAV QAKANSVLKDSQNAINAATASLQELREIYETELNRRNEENAIIDEVIHIFKQQVLEMANQ TSYGKK >CAK71124 pep:novel supercontig:GCA_000165425.1:CT868096:515371:519408:-1 gene:GSPATT00000836001 transcript:CAK71124 MQIDELPVGQSKKNFMLEEFPPDFDPQQQEKVDLPLKQRIKSKVWKVRLQAYDELANDEE MDSECVIQIIQDIHIQCQEKALQIASKYFEKQNQIECSYQKEIIKVLLEKVLTQPKLKQL GLQVSTQLYPNCKSAFSEIIIQYLIHKNPKVVQATIALLIELLQQFGLKKLDNLKPFFPV MSKLTEAQQSTVKADAIAFYREVTKWYGKNIEAFFGGLNEKFQLELKKIAETITEVQKAL NQEEEAETNNQELYDLVDAVEVFSKYTETWCEKVFQLEKWQEKKEQLDNLQKSCSVPKIQ PSPNIYSVVQLLKKLLNEQQMIISTQCIKIIGCMANGLRKNFNQYAKIIMLPVLTKLKDK KQNIVDETIFTIKKLFYSCSLDELFEELKALLEDKAPGPKINVFIIIEHYLDETPKDKLN KLLCIKQLVPICKKFTEDGNADVRTKSIMLMAKISAKLYNGALSIDLKGDKYTKYQNQVN IYMEGIIASSGKEVLQQQNQQQQQQLQQPQQQQQQLLQKQLIPNKENLQLQVTNTSNNSQ KQSIQSKLNKSLSINQQNQNDVQQLQYSTTMTSLQVDAFLKQYKSIKNKDQSELGNILLQ ITQQSNYILSVTMEFIIDKMSEQKPLCYQLFDKIAQAYQPNHLTQLITTFKNASTQSQLL EVLNILLKYIPQSEKNIDQQLISEFLKTYQHQSNLKTRTLVQECQNALKLLFEVKKVQTE EKKMIPFPLNTFSDQHFERIKTQLKVPQIPQALYEKMFSYNIQQNQQAAAYIKAKITQPG EFSEIFFKWAYMISWMKENIPLQQEISQLFQILITQHTPTFLEQQIIFSYIKMLILIYLR AGVPKLAQRTLPLLMNLLSEFKKYYVQQPISIVFEDFDELSNQQANKVIQIMADNANDWN ICNTLQQKQLIALLISYLWKEESVIIPKQTVQATSPQFQKSQIIQTSQNNEIPYKDQIQN CQRFNPLFVAEQSKTEQTNSEKKNIQPKSTPYNVQVNSQINSGNIQQPQNSMEIELDQNI KIFQQLYFKYKETNNFENPQLLADQIIVLLNNFMKEQSCDKLNQLLETLLYILSTGQFLK LISYDKFYIIFDTLIFKMVEESTKVGNKDGAQRNCYSLINQSLIKILNNQELPILYLGFL DCLIKVKETQKQTKQFYTLITKCLSKSVGQVKSQFQWQQLQAILEKINRYLLICEKQEPN QEFQESQDFLLKALKSTVIYLLHFNDGVKVCQYITQLTNEQSALRQWLLEFQENNVAKQK RSRDTHINQIIELLKIDFDKTVEQFVSIVRRQNIDWKPMVEFLSEQQIRFIELRLEQTNH MNLLEKKVGELEKMLNQ >CAK71125 pep:novel supercontig:GCA_000165425.1:CT868096:520361:520693:1 gene:GSPATT00000837001 transcript:CAK71125 MNDSMGSLSTNDSSEGQERKKKKNNAISKKTTRPLNISYNEVVQLLNPQNLFINKNLEES FNVIQMYHQTYLHRIHQSSYFPNFKFIYDENLVCSEIKWDRLPTLVKLTS >CAK71126 pep:novel supercontig:GCA_000165425.1:CT868096:521395:521907:1 gene:GSPATT00000838001 transcript:CAK71126 MAKITVQINFIYNHRLFYLNKMINRDLELSLYKKKIDLDYSKIQHKFGSINKISPDKLQY HQNNLCCKLFKNANKRKSIQVRIQTIDPLVEKYIIENSGKFFNNVTKQSLTHNQGKTLCY VTWNGTSFLSIENSNLKDLQLLINQQSVLLRTKDSKSQIFSCLFRIKHLY >CAK71127 pep:novel supercontig:GCA_000165425.1:CT868096:522092:523050:-1 gene:GSPATT00000839001 transcript:CAK71127 MEDQKARKIQKAWRSFTNRKIFQYYKDIILFKCKGNPARLLKAINPNEAQLLDAACKSHI RFRLGGEQFPPIIYYKIYSHGGIVDLNSFAPRDYSSIQRDPVPHEKVAYSEYKKNQQKYD NQGWYLRLDNNGWRPISNKQMIKADFVELYTANKIRYYHHKKDKREEKVNKKTRLNKLKW AQSIQKQKEGTQQNKQVQQSQDFFTQTKQYLEMDDDEFDKEVQNLIDWSENLDYDKYMTE WFQLSTSNASENYVVQQIHQTKIDSVFKGT >CAK71128 pep:novel supercontig:GCA_000165425.1:CT868096:523090:525363:1 gene:GSPATT00000840001 transcript:CAK71128 MSSKITIYGIINHEDSDLFESAGSLTLRTPRNVPNFNETTSLTIPGYVKQICAGQSHILA LTQDGNVYSYGSNQFGQRGSSGPGFQLVMKNVLQIAVGLYHSAACTKDNKIYVWGRGSEG QLGMGDTENVEKPTELSIQGQEVCCGSFHTLVRNGETCYVMGENQNGQLGVLGQHTSPVK LSIPIDQMAAGLDHSLFLYKGQVFVTGNNLFGQCGVSEQVVREPIKLSLNFEVQQVIASM GTFSCVISKQGKVYFWGTGSWGYARKCTPLEELCKLDEEQRVSQVISGENFAMLKCLDGW YVFGMNKMPENYSQQQTHRITLNKVNLVDGQISAGLNIVFVYSHNHEVQKPTQQMPVDQG SYVSQSSEQEYKQRPSYKQNNEVRQSYQMQTSSALKTSQIPPSALRQSQQVTNISQFSNQ ISSSTQFQQEYQSNKIESKQGKDYKLSDLLYRSDHKQDIRQDLKLDLRQSEQKVRTESRT PDNRNDQKQNDKKSDYKLPIKEDELKVELKQELLKLEKRQEQFKQEIINQQQQLDRKNEN LKEITFGFKSDVKPLQERNMTQQSQKCYDCLHFSQKNDYYQKHIKFQEEEISNLKKELEI LKNQIKQKDNYVNELENRILKYQETSFKQVCLQQSQQQQQPPIYKPTMEVRLKSEEKQPV TREEAQLNYQKIIDYSPKIYRQPIIDQSPKERTFNTSIIDKIQTYQQSAKAARPVHQNLM NRYSAHEVQPQQIYQPNTYVIPQYFKARN >CAK71129 pep:novel supercontig:GCA_000165425.1:CT868096:525711:527557:-1 gene:GSPATT00000841001 transcript:CAK71129 MYAILIPILFLKVFIQLNVQQILFNFLINKSRIRIQRKSNTCSEKGIYSLFFASMRNFHI EKQLYMIFYSIYYFRSQPYFIVILLSCCFIVIFIIFSKFLIDKYPSVINIILPFLQIIMA LIQSVDYIFRQSNPTNSYHSNYDWYYGFCACYFHMAIFIQGYLLLSQALIVIGLLLAYIL WAYNYSSIYVSLLLSFISVIVGMIAIKYSIENNKRQQFLHNRERKKWFAIIDTVLEQSIL VIKLDKQQDQLRIHQMNNITKEILQVNNDNDLRTVLRNLTYSRGSKLTNKENKCTLEKHI RMMLQSNSSSGSAFELRKRGSQDKLINSLICHSNLLGNQFKVQIVNYWTDEQQMVIMATE SINNYSQNHEKLELNLKNRLITSLAQHCLSVYFKEKDDRFAKLQCMNYFVSLNILQNPNI INGTPNKKYHKDKLIQLIKSVFGSQLTIINKLKIENLHIDLNSVLLIFISLFQFREKNEG HIKISSKINEDKVESIHFTLMQFTQLIIPQIRAYFSKPLFFFNDQDKFAITSHLQSNLLH LDKKLNYQDPLKATTLIIMKFILWHYGCNTQIKVKQKNNLTYFSFSLLNQ >CAK71130 pep:novel supercontig:GCA_000165425.1:CT868096:528041:531494:1 gene:GSPATT00000842001 transcript:CAK71130 MESSLSKINNVAEKAMLELQKQPLITWNSGCLNTIRYILDKLKSCLEEVSFNLMTKDLNN EASLLQKLSANYAVVFDKVIEINSRKIVNAPELPIEYCQRQPNSTRSMLGGTEVQNFKIY SQKNSEFIDAEQTFKMNKQKIFRKMENKGTSTSDDAMIKLLQGNIQYETEKELLRIQEEN EANLIDLRKQLKLKGLWSEICSSHATQKLNDLNKHMERETFKNDLYITDQIKQANEIKDE EEFDIRKEVKDKILLVQRKTADRIMRAAERKIRLEYDAFMIKRTAGILVKKSKTQNFQRI EELEKLIKDKNDQIVEKEAKMNQLFQKFEEQEKKFTSLLKQIDTYKVTQKVEVCNTIVSK NGKKSDVEVQVSMVDPRVELFELRLSEMIDENDEMKEELKLLHEKALEDKIQSLTGPKQI EEVLLLLLQSDLSPDNKIISLNTLLQYIKDNSAEDIARLAPNELYRIVRSKLKFNCESPL EKLDELSGDEDDSYEQQSQTKKRVKNNKKRVGHIEKPFEPSIQEQLDLDKIATQRRQAQS ETEDESTKIQKKQLLQLNQIDDITKKTSVHKLIEQVSSPKSTNRSNITFKQKQGYLKTNR NNSAVNNDRNKKDQNSSSGQFGIQNSRPTLTQLETKSNKQSFSNFSSKELNIQKGEKQHK KPSIMDQSDIQCEFYKYNKTKDIGIQVSLKQESKIPPQQQHIETFIQQSQQQLKEKEKEK IEEQTKKLNDSQIYRAKAESISQKTTQTDDFVLWNLFQQMAGDLGLSEDQLKKLEAVFLN EQQFIHFYDKNSTQKQLSRNSSNQIDTMGDYRRDSYRNLDKSTGIRNSIKLRTGSQQNIF TNNDLVDNYVQQKNELGDDEQYKNQNTQPTSLYSPGVSRQQSQIIFSGNQNNYNGSINQP FSSNGIGNGQRTNSPINHNSSQGLRSVSQLINTGDNVHIITYGPVSPSEQMIRNKHRTSS SSQKLLEDMLKSKSQIAKSPEQNQREQKEQQLFFSVFGDEPQNDEEFDLEILRQNMGRPL EIIQDHLKEESIKKVFTQLAQREKNEWKDKIFLIISKYCNKSVQTITYFDFKKYYENYMR VHKRCGDECTHMKRFLARIGFGFVSKRKVLNMSKQSVSPFEQLPKLK >CAK71131 pep:novel supercontig:GCA_000165425.1:CT868096:531540:532151:1 gene:GSPATT00000843001 transcript:CAK71131 MGRKNRDLSYLKPFCFYCDKTFKNEVYLHQHQKAKHFTCQRCFKKFSSCDSLKNHVESAH HEVLTKIPNATGDRSDIKNKIFGMQGVPRVEIEKRIRKGAEEYWTKILSAQYQQRRKENK QRMKQNREISKKENQQDVKIDNEKEEIEQHEYNPEAKPISFDLDYEQLQKQ >CAK71132 pep:novel supercontig:GCA_000165425.1:CT868096:532181:533308:-1 gene:GSPATT00000844001 transcript:CAK71132 MNSLLYQKRECFSLRIRRNEIEKIFKEKRIPQLSKEMMLDLINNKDQESINRLTDATAGS KEQTLDLIQIGGIQFFYELLQSKEKTNAFIGLGNLCSEKSVQDEVLELKIIDYMIEEVHR YKNLEDLSLRLWFLSVLAKFKRDSQNGETEIIQREQLFNIFWMYQKKYPDVLKIQQECFH GFYALTKVTFIQNELMELCCNNLNKGIDNIILKIMYYLINDYVKFVQILHKSQFIEFCAK YLQISSRQKYTLRILYYYAQYYVETIIQNKEIVNQIIIIQNSQKHQKQIILLHYAMLFKC QSSQFMEIIKEFEIINNLKVLLENFQYEQQTLCLLALLKQTNKDTIKELIPDLERVYSNK KDNEKTLKKLQLILD >CAK71133 pep:novel supercontig:GCA_000165425.1:CT868096:534062:535247:1 gene:GSPATT00000845001 transcript:CAK71133 MKIFQILNLLSYVLCATFSSSSIGDALHENGSIYGWREEEQKQFKNWVQENQKTYNNEFE MIYRMEVFVKNYRTMKHHNEQLPKDVWGLNIFSDETSEELMDKIFMKKDFDEHYEIFNED DINAIKSDSLSHNSFLQADKTVKVVKKVVKKASATTKTEKATPKNPPSLDWLKQVTEVQQ QGRCGSCWAFAVQDVVISRLAIANKNKLDQLSKTHLIDCADGNTEGCDGGSVSDAFDFIN KYGTVYEKDYREYDQKEGQCSKPKGSIGYKQFKSVVGLTKFTNNDIETAMQTGPVTALMY ADESWLRYTSGIINTCGYPKVSNYQHVVSFIAYDTQTWFAKNSWGSKWGMSGYFQIQKNG DAKCLDKIKKITYPII >CAK71134 pep:novel supercontig:GCA_000165425.1:CT868096:535350:536415:-1 gene:GSPATT00000846001 transcript:CAK71134 MNSNENKLKKMLLMGQHKVGKTSMHSIIFANTPPNQVMQIGLTVDVNQNSFKFMGNLKIN LWDCGGQDKLLQEYFTTQKSTIFSNVEVLIYVFDVDKEGDLFIKELNDFKTTVTSLSECS PGAIVFVLIHKFDKIKESERKIVFERKYKEIIQRADGLNIEIKDVFSTSIWDETLYKAWS QIVQNLIPNINIIKESLKAFCQTCSCEEVVLFEKSTFLIIDFQETNEKKDIQKYERLSNI IKQFKLTCMKTQASIQAITVKSEKFTVYIDEFTENTFIMLAYTDPSIYPAAISHNVHHAQ KLFENIQGSDTDKLKYLTQRKS >CAK71135 pep:novel supercontig:GCA_000165425.1:CT868096:537157:538113:1 gene:GSPATT00000847001 transcript:CAK71135 MSQASFTGFITIEDIKKPKYDVNHLLDPNYDSFVRDILPIKIQNFEIRRLEWDDFTGEPN KESPWIAHSYWNIHYEIPKREISRASSNQKQSPTVTNQKNSNANITQQSEKINLSPKNQI QITQQSQEKVNVKQQSPKLPPKIPLQQNNIQNRTNSRLQKQVHTPSLRIASIQGVQRQSQ QKNQTPKKSSRSNSNSTLMKQQSPRQQLNVLVCCKLLEKSWTKSDQYDDLLEHETGHYLI GCLCALEFKRKAEQMELSNSENQTQEIKQLFQANFRTFLSIEKDYDKETNHYCNWRMQMK WNRKIKEQLLLYEMYFNN >CAK71136 pep:novel supercontig:GCA_000165425.1:CT868096:538385:539372:1 gene:GSPATT00000848001 transcript:CAK71136 MYKGYPTEVKSSTGQRITRPYSQQKEYIELKSSLKQQSQSCDKSNQSTIQKGKVSSKQQV KNKMKPTQTRFQFQQPQSLQTTHRYNDSPYVKPHQQQQLFELQKTIMADSNRMGNIVNQM KFHSQTKQNPQQPQRHHKSNYTNCQEKQPQSLNGSFELKKRIFTTNQEVNSKLNIITKSN RAQSVMDEYKTTAIELQQTMKIPEPILPQRIIPYDSETEFEGYRKGDFLTFQSDDESENE DFLRKAGIENFLKNLTDKEILLLEKYIYLRKYDMMAKNPKTSTRNEQYLNNNNTSRTSQQ YQQFCKISRQTKK >CAK71137 pep:novel supercontig:GCA_000165425.1:CT868096:539374:540613:-1 gene:GSPATT00000849001 transcript:CAK71137 MSLIRFNILALTIGVCLSAMTPQASLLMKSFHQFGQSPYSDQLKELVQIKLETGGQVDEV LKLIQELLDSLKQDQVDDDVAHSRQMAVFDQNIGELEDDLSKLNTDLANANVLIQTLAEL LVILRETIITYEKQLAILNEQEQFIRNARAADVKAYNRRVEQANKVINALTLIIEKLSRA VDQQTSEQDRQTILTQIHAECHEQFGPNHPITILIKLTTRFDVPTVQRILEKLEQIRDGA KKSLNEDIAAEEVASNNFDTSMNEIETLRKRLSTDLENLNQQFNDKFNQQKIVLAQKEQL LIDIPITEELLQLTKEQQEQYHQAYLSRQTQRQSEIEVVQKAYNLVFDHVDSARKSEDLT AKLNSGAI >CAK71138 pep:novel supercontig:GCA_000165425.1:CT868096:540675:541868:-1 gene:GSPATT00000850001 transcript:CAK71138 MKTLLLLLVIGVMCQNEVDTVEKLLADLKGASAVELTALNTDYTVSKNLKENIIFNLGKA YQEQNFLCASRDKSVSDRESDIDKTNKYIAYLQKRLVDNSNRIQTLDANRCTHSSNYIER VKNDNMTLRLIIFLRNSLQNLDNNQLNRYGSYVEKFLTMYKTAKMSELVELAHEFAETMF IDWNQVTPSSQGNLNTVKGQLIEMLDDMERYIREQIKNAQNLEITTGVTLADFKGAIDIE NEQINYDLSSEQKNLIKLDEQLVQARFAAVSCREKGVALQQQQQRAIEDLKNEEIQYNKN KARLQEELNLFTDVYRIYSTQVGTSEDKFKQRVDDYVNDRKVDSYERSQYSLSDAVRKQV QNE >CAK71139 pep:novel supercontig:GCA_000165425.1:CT868096:541900:542803:1 gene:GSPATT00000851001 transcript:CAK71139 MNFTQEQNKETSIFYKSKLCTHWSTKGHCAKQEKCNYAHGETELRHIPQEYLTHPHTNNS SAFSINNEGQTNMAVIQQNSIQGQLLNPFWKTKICNFYLQGKCRNIIDCNYAHVEDELRD PNSQACQSIQNILKLQDPVYRLQLLNYNAQFQKNLLERAKTIFDQIRKMELIHKNNNDIQ DMLEEAKLLIFQKEIEQATLKIIEIMSLPDLTLDEREQHQEIKSKIKESFEIPQVQFPTT SKYPPEDIEKMKQLMEQEQGQRLPIPMIPTIKPFPNHQQVYQDRCKNQKLT >CAK71140 pep:novel supercontig:GCA_000165425.1:CT868096:542821:543710:-1 gene:GSPATT00000852001 transcript:CAK71140 MIQKVVQLVQRRSIHRFSNVFVAHRNRDDNSDSVPFDFTDENYKKIEVILAKFPSNEKKS GTIPLLMLAQKQNNNFLSLTAMKKIAKILEIPEMDVFETASFYSMFNRERVGKFHLQVCG TTPCQLCGSRDIMKAIEQKLNIKNGETSADGLFTLQEVECLGACANAPMIQVNNEWVYED LTPENTLKLLEDLKNGTDKKGPQNGRKNAEGPQGRTTLQNIANQQDIKYDRNFEAAKKEW LDQKEKERLEAEKKKQAAQQAKK >CAK71141 pep:novel supercontig:GCA_000165425.1:CT868096:543843:544657:-1 gene:GSPATT00000853001 transcript:CAK71141 MYNNQEFGKQSRRQSLNSDLMQMHKLSQNNKTFTDSFSVSLHQSKSTFNKKNISKLDLQH NNQIKNRDIPFRDLKHKAINSKPKTEVTRKRFSFNQKGKIKNFIDAIDDYIEQLNESSDS YTITSDSQSKLENMLFLKFNIIQKKQEQLKIKYKKLQQSNKIERYENQTLKNQLFGLHHQ LEEEKRKNYLQQLDLEKQEQKNKELKLRYQYLSNSYLREKLKQENQKLKILKQHCTIILL QLALRYKLIQLNFG >CAK71142 pep:novel supercontig:GCA_000165425.1:CT868096:544771:545903:1 gene:GSPATT00000854001 transcript:CAK71142 MKKLIIFTVFIVVICGQQDLAEAFTQLSSSDPDRTKLNWPNLSQAISDLLCDVQHVRQIE SDTNQQKSALLQKLIILHDRTSGQIKVDLAKHKTKLNDQLTPYKNELDEALRYRAGILDQ QKQDLKINDADFTKMKESAKQEMDDVSIALQSVDSMRKTVKELLQGGSGGNSFVEIKTSL EEFHAKITSLAKSDSPLLTLAGSLQELLQLDFKDRKVLKDLEQLLDQFWMNTIDYRIELI SQANRNQQLYEDRRQAILQDKDTTIELYNSKIEEYNTVFDEIQNIQTYIENRQKDLDDSA SSQDFFKNIWGLNEGITSSVDKSLISKASAIQDALKQIGNGVSFQEK >CAK71143 pep:novel supercontig:GCA_000165425.1:CT868096:545927:547664:1 gene:GSPATT00000855001 transcript:CAK71143 MSSVQFVNSKAEVLRKYQALAMNINAAAGLMEVMKSNLGPKGTLKMLVGGAGQIKLTKDG AVLLSEMQIQHPTAAMIARSATAQDDIIGDGTTSNVLLIGALMKQAERLLAEGIHPRVIT EGFELARKEALSFLDTFKYQQIDKAVLINVARTSLNSKLTPDVANQIIEIVVDAVQIVQV PEKPIDLFMVEIMHMQHKMGAETELIRGLVLDHGARHPDMPKFVKKCYILNLNVSLEYEK TEVHSGFFYNTADDREKLARSERKLTDDKCQQIIDFKRKVCEKNGYGFAVINQKGIDPVC LEMFAKEGIVGIRRAKKRNMERIAKACGGNSVNAVEDLTESDLGYCEVLREYTLGEEKYT FIEGVQNPTSCTILIRGPNEHTIAQIKDAIRDGLRAVKNAVEDKCVIPGAGAFEIATSVH LQKFKDSVAGKAKLGVQAFAESLLVIPKALAENCGYDVQETLIQVTDEFIKNNIPVGVSV NEQGFIAPIANGIFDNYCSKRSWLNIAPTLAQQLLLVDEIMRAGKQAGGAPQ >CAK71144 pep:novel supercontig:GCA_000165425.1:CT868096:547669:548622:-1 gene:GSPATT00000856001 transcript:CAK71144 MQSNQNIVDTQIIGLSKSSKIAKQKQKEQRKYCAIKKEFQIIVNIPKTLNMREYLRTEID IIFSTDYQIDSNLGELCTNIFTVGYKILQTDYFSDKQAFTLSPRDLQCVNIEVIFNLLEK MNLQKSKDDIQNFMIEHKKRSIQVSQCTDVYYLDMYASQFPYESYLIRFDSAGSKVLKYI NNMKYLHLMGITREMMEWNLIQTQMLPCAIRVESYLDVWSKMFEAVSRKSNFFTAELQNY NGQRTFVKMEQRLIYIVQENDSSLYCYLYWIYHTNPNPAIADENYQKELDSQNPKPKQCT YKQICD >CAK71145 pep:novel supercontig:GCA_000165425.1:CT868096:549946:551948:1 gene:GSPATT00000857001 transcript:CAK71145 MILFRLAIALLTIQSCSSQSTQWVVTHHEGWDSGFNQFQQDVGLVTDCQRPNYQIIRSNP YLQKTISFRNFKPFAHSLVVIDYLRPQGMDVQIQIQNKTYDPKEIDQIYERQIIDDCLVG DIQYIEQTFVQEIFGNQIQELNIVLIGGQSKKGIFTAASDQKIAIKQIHILQLQCPEFCE RCVYQDNAAVCTQCNEGFETHGSPRCTCSGFNQNGICVEKCFDGFSPDFYDICQNREIIK TIKAQQNLRKNYRKDEPLELEFDLESYRAFEIDMEVQIYNFDYLQIFQFTMNDGLYAYYL NIDGDNNSINFQEISYRDCESEQFCQIYHFKSKILQLEQSKLNLQVQLSEDQYPIQFENE QQKKINTYWKLVNLNINVLMIQNTISHFEHCSEIAKFGFSEKCIKCQAPFIALDGQCVEK CPFQSPLGEDLCFDSDIQNTQIWQLDVFKNQNQYQNEKYWFYNEEPLISISQPQTYRYQY LKPHYGISIQTKILFINENSKFVIVLEDQQIVVTPSNKDQYNQGNQNKSDSFVYLNQFIP HNDIELSFQIQSSQMVGQSYITNTVLLVHQCTRYCKSCSGPKKSDCLEFETELHEFNAIT KQCNAGYFETKGEYCIPCAIADCLVCSDGIGCQQCQLGCKQTKYGRCDCN >CAK71146 pep:novel supercontig:GCA_000165425.1:CT868096:551950:552832:-1 gene:GSPATT00000858001 transcript:CAK71146 MYQQNLNTFDIEQGDTQIGYKSLLNDENVRIQFIRKVYLILSFQLLFTTIFCTFSYFSTG FAVYQLQNTWLFYVLLIVGLICEISLICCKNVSRKVPNNYIILGVFTFCESWIVSYSCSI AYLIYPENGGQLVLIAAVLTLAITISLTLYAFTTKSDITMAGGSLFIFSAVLLVLGLLCL IFNSKIIHMIYIGGLAILYGFYLIYDTQLLMGNKEYSYSIDDYIVAALQLYIDIIMLFLQ LLQLLLELFGKKD >CAK71147 pep:novel supercontig:GCA_000165425.1:CT868096:553277:553903:-1 gene:GSPATT00000859001 transcript:CAK71147 MWSQSFPTAYIQTQQPIIYPIYYVPVVQGYLGQQNSCFNNQYYPLGQCQQEKSIVADVSI QPTEHSQVQQQEKQQAENVDSIKMTNKLKSKQYVSALNLSLKSTNISKNYAKAIILFMIR QRIEILKYLGEKQGIEFLKILTSLKNNIKNIRHIKKYIKEDSHLRLFRIIANRFLRKEAV GYVYNSNIKSTSHHLKQRNEIRLNLYQC >CAK71148 pep:novel supercontig:GCA_000165425.1:CT868096:554396:554878:-1 gene:GSPATT00000860001 transcript:CAK71148 MKGQCNQELKKIESMLESRIHLEQAGQQVCSQNSNTIFLLKNFLVKIGDSFQKYFNLIQI LESKFTLQMITLSFKANYLITCVTLKMKNINRRLTIMMSHSDDKQYAELFIQRLIKILSK LLLKYSFFVNKCQSPNLKCEYAIIKKMDY >CAK71149 pep:novel supercontig:GCA_000165425.1:CT868096:555417:556438:-1 gene:GSPATT00000861001 transcript:CAK71149 MRSNSHQIIGELPQVNADPNPDMQTIEIKKEESSKQSVFMLAYRFSNTNICNIEQLILHS IYEGNIDQLKSMKIHLRDLNYLEEFSEDLIDKQIFPLALAVATGQLEIVKLLLQNEAIEV NMVSKPQELSALKLACSNGYYEIAELLVQQDANVNQADSKGSVPLFYCFSRLEEETNYFE NKQLCFKLAELLIDNGANIDAVVNAEKGYTLLMLFCAVKDKLNPRDLRVNLDVIRFLLSR GASKDKLSTKGKTALQLSKHHCAKDEVQKMLKDIKPTQYKIFSHRPQIIQQQVIKQEGVK ASGNNNGMCCGLLSSSRNRPQSEKSWWQIF >CAK71150 pep:novel supercontig:GCA_000165425.1:CT868096:556854:557241:1 gene:GSPATT00000862001 transcript:CAK71150 MEVDIVEKESHQRAKEEIKRKGISSDPHPKDRESGTGRGKEMRKNGTGKNNWGTYQDDMK DYGVDEDEQEDIKFRAAHQGIVVDDHNFPKLS >CAK71151 pep:novel supercontig:GCA_000165425.1:CT868096:557260:558158:-1 gene:GSPATT00000863001 transcript:CAK71151 MCGRIFQIHNIAQLLQFAQTRRVRNVANFNPTYNMGPTNYIPAIRHVEDDDNFQEYEEPN NVEQEMNQSSDIEQQIEEQSQEVIEKQKNKRGLDFFKWGFQTKFNLIINARIEEMHEKHT FKPFLDKKRCVIIADGYYEWNKKKEPFKFSTNKPLYLAAMYTDDDEIFVLTRDAFGEYAK VHDRMPVLLEDDEIDLWINPKVGFFDIIDKKILNSQKKIWGQVQVTKVAPYVNNIKEKSE KCLITLEEYKDQQFKNGIGKFFQKKQTQTTQETQEPQDKTKEKITKSLDD >CAK71152 pep:novel supercontig:GCA_000165425.1:CT868096:558265:560879:1 gene:GSPATT00000864001 transcript:CAK71152 MALQPCKILSILSPNQFMIQTQQQKIAIVGDGIPNFLTKDNLIVKQKSLQRFLEQLSYVN KDALYLSKSNQIQLNGIDLIQNIEDSEEIQLNLVGVKNQAPKESSVVNEFIREKRSKTLK AILVSFEQNSLNFLYQNDTIISVVPNAITIDDFGIKDQEYTKLIQTEWLNKEFNITFKGT SIMSVLQNENVINIPVLRAELHFTNQPNIELSNEFYSSLVQKGFASISDWGKLNLSQNSF QLLYQCQEEAKRQNLGMWKAGELDRGMLNSVTSQLQGQVVEIIEANQFYIKTNTEKLNIK LDRIFIEGQEAKEFTRKLLIGKQVYVLQVGDNTPLYSIQLIENNLDIEEELISNGWATPK ESYPGLSKFKYQQFIQINQIAKQKKLGQYSQELTWRVEDQTDSKSGKCVNEIIWSNIQRD KQSAKQSGVSTGISIKEDYLLEVLIDKIHLNGSLQMTIIKYHSMVNFQINGITNLHEFET SFPSIAKYQEQRYNYTYNLLMQRNVWVYFEHFNIQENHFYGRIYLKKNNKDSDFTINLLS EGLTFIKSNTDYYDRYEEAQKQAEEDKKGFWVESYAQFILDFIHNKQSLKKQVNAIENIQ EHDNQLIEQVIVTVVNDSNEFYIRRQNNPEFEELEIQIENAELIPLKKPVKKGTLCLARF SEDNRVYRAQVIQAFKNDRFLVKFIDYGNNDEVGYQEMGALPSQFTSIPQQTRMCSLAYL RFPPQTHEFSEEAADILRELILEQSFDCKVKYTEKSANRHFVTLQHQGQLDELQFTINKI ALDRGLGRIDHRQPYNPLKQFQNCELDAKANGIGIWGFDDCLEDEKQFEDEYDFYQ >CAK71153 pep:novel supercontig:GCA_000165425.1:CT868096:560892:561269:-1 gene:GSPATT00000865001 transcript:CAK71153 MIQSRLQTLILITLFIHAFQKGESLSDKFISKLEKICENQQCLHLPKGFNDNCINWCVSS LCFLNTYEEIPEDGETDHKKNMYFKNCVKNILKDCQTSKIPFDNCRDNLHIDFTKQQ >CAK71154 pep:novel supercontig:GCA_000165425.1:CT868096:561967:562449:1 gene:GSPATT00000867001 transcript:CAK71154 MCHCQVLDERVHSGDASGVVPSSFRIQRLLLDRIDNPNTGEVVEDFQVNIPREDIYKLKR QLKIQCPIKLTISGLTHLKCFLIVLQEKCINLSSIPLMNTLKQQYPKAQFIVTGILGPNS NAHGLNEFLHIPFTKSLISCIRNFVTRLQIHLKK >CAK71155 pep:novel supercontig:GCA_000165425.1:CT868096:562621:563125:1 gene:GSPATT00000868001 transcript:CAK71155 MATWRALLSPNKNISFSVSHKESLDNRIVTQIKARHAKTITQSTNLTQNKPTIRREHSES IDGDQAFIQSSSKDFFANFNVNKDKTQLTEIKQVLMQNSMNLKQLHKNIDKFDYNQRQIQ ALQKQILHQRSKYSLSINTKINQCQKKIQDIQLLLLSKK >CAK71156 pep:novel supercontig:GCA_000165425.1:CT868096:563163:563579:1 gene:GSPATT00000869001 transcript:CAK71156 MGAVCCTELFQRGGELDLKMVKSEENNQQIQNGTPTISKELYTSKDKLQGQMGICYSCQV LKIHCECKSVQKLLGDMDDEQIYIHSSRSLDSSSSESRPDDTNTKKTILKHQLHYMSNTQ QQQIQIKKKVRFDLTKKQ >CAK71157 pep:novel supercontig:GCA_000165425.1:CT868096:563626:564419:-1 gene:GSPATT00000870001 transcript:CAK71157 MLILIVLAFAYAQIQDEKQLTNAACVIFSRYYLQSNQELAGRAIGEMVHLQQLSKEEAVN SAIASLVESCLDSISTQETMEIIQQLQSQKINLEAHQHLVEKADIKKYGSKKKSAKKNEI LKIIKSIDEMANQQKRDNEGGDDGNDQQDSGRKRQRNKGKQQELPDMSIFEVTELIILSS FALVGILLSYIICCIPEKKKNQKKEKTKVKSKEQDDNKSSPKEEEENLEEEVSSSKEVAD NKEKKKAE >CAK71158 pep:novel supercontig:GCA_000165425.1:CT868096:564877:567537:-1 gene:GSPATT00000871001 transcript:CAK71158 MNYLQLEAYQKGQEIDVSSPSEISQNNANESKTQQFDLLKAGFLNKGSKSFSRRLPNQTK NHQKTDSVSIKRQEKSTLLKNFFMNTLVQKFKNNLLQQSYVLSSSMKHLLQSEQYLLEDA SKKKRKINSQSSNRLLFLPGDKTIIIWDKLSLIINLFSLWLCPILFAFNENSSIFESFEI IIILFLCFDIPINLNRAIIDQGEIIEERRTILKTYIINHIYKNISSIILWILCYNQFYQI NVAREFIFILQIAILIIQISNNFQKYTEQLYLKEIASNVKDIISLIILIYYFAHYMACIW HYIGVNTDSIGTTWLIKRNLLDEGFYIRYNQSFYWASMTMVTVGYGDIVPQNYVEMAFVN IMMLISSVMYAYSLNSIGIILKSYNDQKQKQTKSLIVINNYMRKYQLDETIQSRVRNYIK YQIDKEFQENSEYVQQIINDLPNGLKSELNRDLQTKIVSSIKILNQQFSKQSLKNLKDEL KIVKITPNDYVFHKGETQDQCLYYIDEGEIDLIEENSKKVLATFKKGETFGEYQFFTGLQ PKFSALSVGFCSLYQIKRSEFINLIRYNQKDFEKFHNIKDTIVFNNSFSILLKNCKVCKQ FNHLTIECPLLTYKPNLEQRIKKSLYHSYQERDTNFERLNQKLNVRIMHKDIQGSIKSYQ QQSYANEQIDGSSVKIDTPDILQISKQTTKKKFTIQPKFLIKDSNVNQEDQIINLQEVIM RTDAERRKSNAKTIFSKKVEYKNKPTIGLVSQSRDTPVNCSIHEIAGQMGFDQQCFLDEF QLELQFHQNDIDKICFYQYYKKHDNADSIIRNYENLRKKRGSQLFSKTDINAYLYTFNQN VVYKIMKFRLINRR >CAK71159 pep:novel supercontig:GCA_000165425.1:CT868096:568049:568273:1 gene:GSPATT00000872001 transcript:CAK71159 MGLCSSKKLESKRNIIVRLEDHVKKIDQNNVHDQALLILYNSRNQRASHLNEPIMSGFSK QFQKTQEPSQKSSA >CAK71160 pep:novel supercontig:GCA_000165425.1:CT868096:568362:569241:1 gene:GSPATT00000873001 transcript:CAK71160 MKRLIQSTDFNVAIPINSTKHAINDDYYMFQKYKCSSPAILGDVLRCNTPVQSQLSPNEN QQKSCFSLLSDNFDFSVKDVSRKYNNGSRQLSIQKENEEETVKIQRIIQQEQIILEEQEI LNKKLQNIRSDTNQKLEELDKQYLQRRSEIIKQLQFSIGQYSSNIRDSQTKEPPLKSALK KKENKRQHTQDKYQNELNDEDSQDDWNFIHQAKINLEKQRTYQNTDDERTPSKVRFVSTK DANQQKKMRKSINISDLNMFQKFRH >CAK71161 pep:novel supercontig:GCA_000165425.1:CT868096:569247:572285:-1 gene:GSPATT00000874001 transcript:CAK71161 MNLSDNNLNDYKFPGNHSSMSNSSRMSEICNPFHTYLIAGQIIPKNLSPQEIQDIPFEEQ SNEYINSPQIDSQKHIVPSLNQKECTPTSEQIRGKQELFEQQSNDQRQLHQFIIIKTLVN SFVEQLKKKAYIFPKSFNKDFMLITQERYLKDVKLQSKDREDNSRSFLYKLPIIPPQGTF VLVWELVLFLQIMLITFWGPFLVSFQPDTSTFIVVFENFLRYIGIIDILIKTNKSLLVQG SILENRKLILIYYVKTDLTFDLMSIIILFVNDSKYSNSIELISLILAGIQVYITIKRLRH FLEKLQETFDEYQNFFELTKVLLIVFIFAHITACIWQKIALLTEEIGYNTWMSLMTMHND TIWIRYNYSFYWSTMTMVTVGYGDITPQNPFEMLFCNLTMIVSSAIFGYSLNSIGMILQN ISIKVQKYKKFSVQMNRYMNQNQVNQQLQSKIRNYLKFYFEQDSGKNDDEISSIIQKLTP NLRQELIYDIQSKPLQNCYFFKYHLSQEIQKQIAFSLQSHHLNPQEIIYNKNCIEDDLSL YILVKGEVSLIDQQSGQTLCILHKGDCFGEYEFFTGEQRKCTAKSMTFSQIYKISRNQTL EILNSNQEDKEKFFSIKDHLLLKIPCQHSGLKCNCCQKSTHFITDCPYVRYIPDLEKLIK KEGFLFQQRKYQKRTKRKSGNSLFKLKENCNQCVKFITESKLFENDELSELFHPPSPDSS ETNQAEDKPEEKESSLEKVYTRNRSRFKSMSSEKPQSQQHQQYQEQKISLKFLDKTYNRA MSQEKSKQTIRNSEKNGLQISVTKIMPEYEKSSYEPTIRTKGKKSVENTDIHSNLSAINN DIQNQQGELKINDIVPQFQCCDIDRMESFKYYFSHQNFPQIIQDYQKSQRYIKILSRKNI GKYAIYYKAKKFKTQYKLKRLKQVARSSQVIQFQPRRSKQAKTSIFYQPPPLSHEK >CAK71162 pep:novel supercontig:GCA_000165425.1:CT868096:572462:574188:1 gene:GSPATT00000875001 transcript:CAK71162 MKLTSLLYFQLLFLVAFTLMPMPKQFEKGDKTVKILQRCAIKFSSQQDFPDHVLQLLRHY HELMTQNSNCQFDESIKKQNLQVEGSLKLNINLQNDEQLYWVNDTKQEAYTVEIDEKLNV VINAPNHWALARAIDTVNQLTENNEVENLPLKIYDEPAYAYRGVMVDTARHFLPLKILER TIDALVINKMNVLHWHITDDESFPLLLTNYSQITNTSKHWDTAYFTKSDVSYIIEYASIR GVQIIPEIDSPAHAQSWGRSPELAEMIITCGSTIKQYGQFDPTMELTYEVLKSVMQDFND MFAKVQFIHFGGDEASNSCFDQRPSIKQFMNEHGIATYFDLQVYYRQRQKEIWKNVVKSS KRVAYWYNKQDQLPAEDDDIIHWWGLTSQLGDVKNRKNDFILSDYHPLYLDVGVGNAFGN SYDAYQTWKDVYKWSPVPPEGFQGKVLGGEATLWGETNNQNTHFQKMFLRSSILGDTLWN PNSKQTEQFWQFTQRLSEMEDRMNKYGFPVSPFTHDYCKRHTKLCFPTLYQEEQNNQDAP ESLVQPQPNIILQSQQSE >CAK71163 pep:novel supercontig:GCA_000165425.1:CT868096:574283:574633:-1 gene:GSPATT00000876001 transcript:CAK71163 MQPIKLNQCYDISASESCESSDETQQIKKKLNKKKMITTNHGNENNLSIVTTSLTKKSIL ATKLKPLKPLCDLSQLNQTSNKKSVQQSQFKTRIRSFSTRNPEFNLKH >CAK71164 pep:novel supercontig:GCA_000165425.1:CT868096:574756:576134:-1 gene:GSPATT00000877001 transcript:CAK71164 MDNLLPTANPILQTPFEFTKPKFDYPGEIAYNINLNSPMPFFHNHYKPPKNVLNFECCDI DFFSKEPTYFKTLHELHANSPLIYQRFITQSSKTQNFASPQYQGTQLFSKGPVHFNSNFE CGNLYTVYKVADRKYNLILHNDTQTNGCTRWYFFSVRTPERMMLQLNIINLSKQASQLSV NPYIYTSRSGWYRGGENVQYYKNQYQKRTDYYYTLSFSYLFEKDETIYFAPFPPYGITSL QSFLKPLSNQQQSYLITRTLLKLKALSVNQGNQYPVLTMGNSTKPLIVVVARQHPSEVVT SYVAEGMISFLMSDEGKQLRDNFYFKILPMMNPDGVIHGNSKTNLQGIDINQKWHKVNKQ VPSAQHVKAILKKTGHLYLALDLHQTYKQYQNSTINLDMEFHLQEDMMRIHNLLLHFLKS VNILIQNKANLVGLIKWKKLCHFLQVNCLM >CAK71165 pep:novel supercontig:GCA_000165425.1:CT868096:576335:577408:1 gene:GSPATT00000878001 transcript:CAK71165 MRRPNEVEYSKTALMANWYEDRLAPQQLQRDPNATKSLRGVEEGISIPGDNGCLLPLPRV NRNPPYITKEIIVPDDGYREFRTEFQTKFDAKNIQNMQLGDCRSLIKTNGDKVSFPECNT TVAQTAGAKSIKQLDQTNMQQILVDRAVHQNYTDFGSTFRNHPEQHNKFYAITTYQQSFQ QPENNVAEILSDKKKKPQAAGGRERDPFDQGLKMTSVITGEKYRNSIDPKENTQVQRQWI HCGDAGLTTAQNNLRQSSMDNYKKNASQVHPYDIATSLPLGDGVYTLHSKYTDPGQFRHI RSDVTRIMNKPITRK >CAK71166 pep:novel supercontig:GCA_000165425.1:CT868096:577669:578043:1 gene:GSPATT00000879001 transcript:CAK71166 MSYSMKTSTNLGMMKKSNNMKKSVNNSLNAHSDSTKSLKTEPSKEDFYIQISPRLETKTL TFTNQIKKLKVIQHEEVEVNQGVKSQSQPSKEKIRNIVQKLRQEQPKSHFYNDMQVSRRL KTEQ >CAK71167 pep:novel supercontig:GCA_000165425.1:CT868096:579803:582850:1 gene:GSPATT00000880001 transcript:CAK71167 MEQNQFFALKIPFIEQSQDQSTKKGDKKGKEGNAILTIIGVIDASGSMSGCWEWLSDFWN QSIPKENLITITFDTRQKISAEGVLSKRIKDHGGGGTEIVPAFQTMETELQKVPIQNNIT VIFISDGQDNNVRTIDERMKKLGGNTQNRNINFICLGVESGFPTNISMNLRQLYHRGDPQ IPAIYLIEHASPQAFFNKFETMKKYFYPSQQLKLDRMINLFPYDEDVTDEVYEGQWIITK KNQLQILQEDKDPIVLQPIAESDLLVDDVVDLFRSWVQNLQIRAMSGAQGIKDKAADALA IMERIANRLSDQFNMNVLNFNQAEIVDPTQPFNVRAQRNYVYKYGNKLLFFIDEARQLAK GVDIKQLGDFEAAKKLNIGTITGKHQQKALALKGITVEEFRKMRDEFQDIVINCDFKKEN EQGQERSVVSLETQRDIVSNSKLVVEGLKYIKSQYDFAETFPLVGHGLKVKRNDGCFVNP WLVQVENFAQQNKVIDSAYLIKNNFKVELNTGGEKKEEINCILPLFPQSDQDLQPILRSR IIKLLLTFMVQQNVDTFYEETYLALLANALIFVYKQPRSKWQEDTINLIYSTTSIVYKGT EKFENYLKKLLENPTSAYLEKEEQYEDYVATSKPFISLFYLMMTKNIVQDFESEIEKLIL YHFIREQYKHQQQLTYYLKLKLEKQDEESLQTQLKSSFEKHVSIKHFRQSITQEIEQVIL SRFENDNTTVDLYEEKVFNRDMKLTLEVIENFYEQFKGVKFDQKRYIHLIYHVIKTPENE VFTTKVNFGNIKDIQKELIQQNKGDLTKGTQSTKAALEKEYIVWFIKNHMMVKPLTYEEL QLECQAKGIDVNTIAYNVKSGLSSNCCMSKQCPFYLIVNGLDYKRHIQTWGRKVPQGFHQ YVRAEILKGQTLEQIIQSATQKWKKFPKLYLGNEDVVHQYIKMISESLPQEYQIKDLPNQ QLLHHQVKLTFPQKQKVHKYGNKRGQQRGGRRGGQRGRGGPRGRGRGGRGQKRGP >CAK71168 pep:novel supercontig:GCA_000165425.1:CT868096:583411:584214:1 gene:GSPATT00000881001 transcript:CAK71168 MSQIEMQQFDQDRVPDDEREDDFKNLIAILAGKIRLKTIEPGILGRLDHLENYYDLLPFN VRGFRLINRASLLDGDFAKRYIIKQIIDLNLDLENDFRKHYLIDTAVNMIEGVPTWSFFV SLILLTLFLLQVCLDSMLYNLLVLKHDSVQTEYDNTTGPTANDEQTLHDLKEELIAIWFL YILLPFRLGLWLIDLIIRYTGLRYFRKEYTTLAMFFDFLLGLVTIIIVGFSTRLDYSLRS DTAQTQIELVI >CAK71169 pep:novel supercontig:GCA_000165425.1:CT868096:584401:587241:1 gene:GSPATT00000882001 transcript:CAK71169 MADNIEKNESIQSYMNYSEQRLMNEDSFLKQKQTQEEDPQAFDQSETHSQNKQIDPMLQQ NDEEQEQEIQPIELNPLQIFESKKMEIIQNCQKSKTLYTDPDFPINNSSLYKDPQKPPEF AKDIKSVKWVRPHEISKDAKFVIDFEGDYKQGAFGESWFVGAVVIVGQMKKYNEKQNKQT QQLSQQQISQLEKLILDYDQFDECGFVAFQFFKNGEWQQVIVDTLLPFDQDSKQILFTQC ANPSEFWLPLMEKAYCKLHGNYETICDGHIGEGLVDLTGGIAEINSLRDPQLSKMIENDQ LWQVLLQTHKLQFFMGCMNHNETKGTKNADTGTHGILENHHYGIVDVREFPKEKLRLMRI RNVWGPEGGWNGAFSDDSEEWDKHRNLREELKLVFKSKKSDGTWWMSYQDWHQHFNKFYI CKVFPESWQQYAIQGNWFAKTLGGVCPLKMPSEGLPEYVQVDTDEKWFNNPQYKIKVHKE TKLYLSLMLEDEKITQQPYVACNLMVIANKARLSRIWERPPNQDIVIDMNPKGDMQAQRE ITQYLILKNFEGKTFGNYMVVPNMIVNEMRKEEKRNFILRIFSSDKIDVAEMPETLEIQQ EGSWNETSAGGKRKYDNGKENPNWCKNPQYFLNLSVSTHLKIILRRQGQVKRAKGTKIGM TICRFDKAPTNSLNIIKQQKGGGTNLVRLLKQTQQQLEAPKMIGIQRKLLIGTNEKFKQS TYFNEDVAALYFHFNPTEGPFIIIPSLDQEDRSANYKLTIYSNQEVELTKLDETKNQVHI GKWEQDISDGGCHLYEDPYEKDTSKRTWTMNPKYSLQFFEPIHITITLCIAEKNWKSKTK NTVGGMIGVYLIEKSENKITTQQIVRVPNFLPINEIQEEFDLQPTKNGYYIMPTTYQSKI HGQFILAVQGDKEFTLQALK >CAK71170 pep:novel supercontig:GCA_000165425.1:CT868096:587331:588023:-1 gene:GSPATT00000883001 transcript:CAK71170 MTFIYNLISYGIVFGAIFNQLPQIYKIYKSKSIQGISFSSIYTEVLKKLKQTLMLVFNIA YNMHVGTSFLLYGENVILYIGYIVVILQFRYYSQKQSDYQRKLSFLGIISVLFLFQIVPS IIFKHSIYINMILLFLSKWPQIQMNYQRQSTGELAFLTHLQNQAGAIPRALTIFAESSNE LLYCLAILDNGLVLIITLQFVVYWKAREISKKL >CAK71171 pep:novel supercontig:GCA_000165425.1:CT868096:588036:589497:1 gene:GSPATT00000884001 transcript:CAK71171 MSNEAIAGFIVTKTIQQNPLRHYDANFNDYRDKNMCMYIQKKYADLKKRTGVKILPKKTL ANTQLQVKLKAQEGNKEYQGNYELQPEVVEIMQRVLDKEQLENLLNFQVIPKRSKNWKKK PVSVQQKLAMMMNDNIEQAQLDDLYQSYKRQNLKQNLKEVKQSLKNPKTTSQSIGKHKGY QNIELEDQEQVNFFMKKLCEDQKMNACTVLDIQNNDLTFKEDQCDERERWRNIVQKRITQ EQLQEIMREEELKQNKELVKIFKETRIDQFDPDFFKSKVKMTTMKRVNQAQDSQYHKFFV STENLGNGFKQEDFRRKNQPYQSPRSQFMENSSKVSKTTRPQTSVSRTLKTQTSIKTEIE FNKFLKQCDVIDNNFKKEKNLLKEKFNSLDDIMDKASQYLSMDKPQFEREPNQEFEKFKK QRLFKKKFVTYLIDKVEKQSNMLSEKIKQTQSKQFIERILSEEQ >CAK71172 pep:novel supercontig:GCA_000165425.1:CT868096:589540:593131:-1 gene:GSPATT00000885001 transcript:CAK71172 MAEATPEITLQVYVKALENLQNAYEILHTPYPNFMVESTKMMVSPEYPVEIRLKIGILLK GVLIEQWESIQPSRKVIRELLLNGLVMNVSNMPIIELISSIIVQIICLDPSHIWPNPLIE LLNWMDDFNAIESSLELFLQLFGKLSETNGEQQTLCKEIVPSVLEKGFSIFAQPELNEKL REKILLLVYLVFRSISFADGTDNSFVNKCLDSTFQIWMSLFLSALQTSPKSHIFIKKLVL KILIVVFRDFGVYSRKSLSLSLIPVWKFFNSITQLYVGHIVYQIDIEHIDSLFSEESKEL PQTNQRIINLQNDIEYKYLNEDDDYDNHIEGLCAYSIELITILVTKPALYNLIKFGTFPL LNTLATFLIATKDQERQWVKDPSYFILNDEEELLQKSVRTLALRLINDIIEKYGDTFVQQ ILMVGEKLILNRDEKEFIELAQQIISKLNFQELKGQQSKEFDQDSVMQFMKTSAIYVTNS FYLKSFIQKRKETGYLLLGSFSEDIIVFQQKHESTFDIKKCMQNILIELERQNSSSLQAR AIWSTTKYSELISHQFKELLVPFFESVLNYLKSEYPITLKIVSVKALGNYATKINKYNIA FQYKAEIMDLILQVLQEASQDQIIYVLESTINLVRFSSILATTLAKNGSKILLSFFSIFH TESIVIKQFNELIMRICQCKDAYPHIFDVFCPFIMDCFQVFYEDMNKIQDKSKMKPTDIG LMSAIMHLTSIFIKYCSDNKAQEAFINLLPSMVNLILINEDPQLQVHTSQCLKNFIIIET GQILKMNLVQDVMKVNLKLLEVPQNSANESASLFAGNLVMITINNLLDGNPDINLLKSVV FKIYRSRMPSTVQSLVLVYARMIIEKPKESINFLTSWSIDNRMALKVLIDKWLLQQPLFR GKGTKNATFTALMKLFLLKDKTLENLLVIGYNPSHQNINSDVYAPFKILSLLIRCLDNEI TPHTQNNNDIKQDDERLEVEDDDDEVIKKDQFQDQVDVEIEKIKDDEEQDIAERFASLDP KEKKDKGLADLETGSTLYMSEFLDFNQEVGEECDETTEEDLTYLKDPCLNINLVEALKDF FQNLVKNDPDYLKFCLKNLLKEDINLLQKYIKLNF >CAK71173 pep:novel supercontig:GCA_000165425.1:CT868096:593329:594456:1 gene:GSPATT00000886001 transcript:CAK71173 MQVNSTFGIMQESTWDSVCFALKWQIAYFIYMFAATKLLPGKEFKGFPDPKGKVLMYKIN GLYTLILTLALIFLGKVFFNFTLIPLIEHFWSFFIASNILAFLFSFILLIKGKLSLHYKP HIQSWTPQLLNDWWFGSEQNPRILNVDLKMYFYEPSLIGLQIFLLSFAEYQYNTYSILSI NMILFQVFWFMWLITHYIREDFMLSTWDIIAENFGFMLVWGDIVYLPYLYCIGGWYLGDF VQNSSTVYLIFITLLHFASLYMYRDANWQKDRYRRQGDKAIIWKKKPQTLQNKLLISGWW GIGRHLNYTGEILVYLSIAMCGEFKAFNNYILPLSLFCLLTHRATRDDKRCREKYGKLWQ EYCEIAKFKIIPFIF >CAK71174 pep:novel supercontig:GCA_000165425.1:CT868096:594462:596957:-1 gene:GSPATT00000887001 transcript:CAK71174 MYQQNWQGDRYQDEIFLDDAEVFQKDEEPSIFDIVYREVGCILLKYTNLSLITCPNTLHY ITLKFNNDEPFSFLFQPNYILVEQLAVNPALKEIAEQLQIEDLINHNQNDPEYFSDYHQH LIEEIFKSHLNQTQEIFLRQLELLQEQRIKPQVDQELQSIFQDKQFQFPSKLDFQHSELP ENQIKYKLFETLQQLQSNYPNTRNKSIKLECSETAQQLSGHKTQQENLGVAQVTQVDQPL VISSLNKEKIKMIIHWLIQEKIAIPEIILCAELKNRGNGMNLTFNPNQQMLNRCAFYYGL FCEAQQNQSEAKNIKEENTEEVKTCQEFNIGKQKIKITEYHLLIRMLDLVDQQKESLKPK IIQLVKYSQLKNQIKRLSRVQEADAHFKIVKQFIEKLETILLIYDEYENDKQKPFKNQQQ KIRQIQSDNLSENEEENKPIKGQKKYYRLLKLVVKMILIESLEKEIPIPEILTCLWFKKH KEKIVEGQIINQNSVQILPSKQRLIPSLQAEQQLKLKQEQVQLQTLLDLPDTATKELDNF ENFVQQFPYDAKYGRDVVLIEKILIVVNAKKDSIQNQLIQVLGDYLKIEKVKEQLREKLV LLPKLRTTKTQINDEPILENIIRIIDNLQLFISSIQKCKPSLFTKQLRNRSKKSQQTSQN QKLDKEEMKVFILSGLITLIDNEVYLPELILFLGIKNKTQKFSLQINKNQRLQEYIITQM QLNQVEITDQVESKLLIKKIKIQDGENIPIIQSRDQVSEPQLIIRALKLLSNCEMTKQLS EIWQKPSVISLKNQVYKNCLKKNKNDTTIGQIKNLFYKIDNKYEIFNNNQQ >CAK71175 pep:novel supercontig:GCA_000165425.1:CT868096:597165:598386:-1 gene:GSPATT00000888001 transcript:CAK71175 MEPSKQAQKVEESQEATNIVLGIKIKQLDYGELANTVLYKQFSFVSNHLLKVISSDEQSY RYKIHLGLCKMMEFNELELVYWHLLNLQFDYGKKLQPNYSQEIKICAFHYFKDFLKIQAE FIPDIALYKRELLFLTLSAFYTIKLLRNIQKFEKIGEFIKEDKFGELFRRIEPAFINVSH NLTLFAVNQKYIELTKFEQQLNQQEKNYNFIVEQILEMAPAYQKDEDLPVSKKVKRQKKL MAKKFETNKKQEQESQQKIEAQQSQQLQSSHQYQNQLLSQNEQQYLSQPLGSNQFSRQFN QGLVSQGNQLIQQDNFFQNDRNSFQFQDRQNSFGMQLRSNRCIYSQESLGPMYSNQFIST PPKETYNYRNQTSTQWSSKNGK >CAK71176 pep:novel supercontig:GCA_000165425.1:CT868096:598706:599295:1 gene:GSPATT00000889001 transcript:CAK71176 MNLDYTKIQDNVNKYRYGVKIGNYNEETFGMDVKQNAHKIPNSTMKDTFGLQNTLLNMPR QQETEAEKEYYEQTTFSHGGLQNHLLLGHGQQSYFETRQLTSTYDLSHNQQVRPQVQIYS KYEPDKTQFQQQQKKVEVTDKVQHVVPDLQQANQERLVKKKEFTNTFNDNYKKIPLRK >CAK71177 pep:novel supercontig:GCA_000165425.1:CT868096:599531:600219:-1 gene:GSPATT00000890001 transcript:CAK71177 MGKNYRNRSKTSATPRKPFEKERLDNELQIIGKYGLKNKREVWRVQLTLARIRKAARELL TLDPKDPRRLFEGEALIRRMVRFGLLSEQERKLDYVLGLTTQKMMERRLQTFVFKSNQAS SIHHARTLIRQRHFRVGKRLVNSPSFLVRVESEKLVDFAVTSPFGQGREGRRKRKNAKRV KPNKEE >CAK71178 pep:novel supercontig:GCA_000165425.1:CT868096:600328:601329:1 gene:GSPATT00000891001 transcript:CAK71178 MYIIIALGIILSLCFIIQLTKINPGKKFFLIDIFLVVLVGMIMYDESIYKFNDFAEQLQI AFQKQYPIEYKLDELIQKNNLQNHSFHLYYGMPSEGISTFFKFVINKSLKEKKQAIYIDI QSEVQTQEQALQLFKAPNLNELILFTKIKPTIIAIDNLDLSLTKKQCYICQILSSLHQFN STTIIASAKNLKKLNLMLDDYNINVMEIKQYQHGRQNNFRFDWYEQSLKNNKRYEDFVLQ KQREFVSELNENELQLMKTIRNQMCKVKCENQEVRLDDVTYQFGDLKNLVDKNIVIATFG QLKFYNSFIFDSLSNL >CAK71179 pep:novel supercontig:GCA_000165425.1:CT868096:601340:602102:-1 gene:GSPATT00000892001 transcript:CAK71179 MNYYTSLDGGSKVQDLIEQVRKMDATISEAVNQRNLKLQTNQSVQMVDRQLATQIEAIND SIKQARMLLNKSSNLSQTDIQKSKDLLDELQNKSEKYRKALDIKQVFKMDTPEANIDFSS RSNVEMVQMQKQLVEKQNNTIDQMIDTTGRMQVNAKHINNALQEDQVILRRLNENVEQAT HEIKVTDSNLNSLLSYTNDCCLWTTIIIEFMIFVFLVIY >CAK71180 pep:novel supercontig:GCA_000165425.1:CT868096:602620:603847:1 gene:GSPATT00000893001 transcript:CAK71180 MMNLKPPKSQTDSASDNGSVNKISDKQKISTLRKAVLDLREEKSQLMKQIAELTLKNNEL IKEREDIEEKHNTMMRDYQRQSTMNSSSLTQSFHQTEPIHTSGDQSKQITKFKQELESTI ANYEARFSILQTAYKEIERDLKNKEIQNQKLMKDADEKTALNNQLKKNIEDIERDLFNKI KDLKAEKLEIQLKLKTLDKDMQQKDEELRVLHKGLDDSRFTVAQLQGELEETRGKFLQYK LILHNQFLDIDCLFILRQNLFNDYIFEIETAAQKFQYKATDITDLKIKDESSFLLTVKSR SKEEIFKITPNQDLKKICKSIKNFLLKVQQYQINQQQTQVQSPRNNGLLGGLMSIFGGST SSKSGSNTNSEIKQK >CAK71181 pep:novel supercontig:GCA_000165425.1:CT868096:603872:604530:1 gene:GSPATT00000894001 transcript:CAK71181 MSQEEENNDIDVQALLASINANFENEQLLNEAKTQVQEVHQHIENLQQGPSESIVIVRDQ PIQKEQELVDPVIELLNRVEIQNSLLTNPEINQHQLETGPLQSIIQQIKEKSDKQQETII KRQRNICCQIIDIRNLSNQLVNVQINNHGIMKMKLNDFKNKQKAIDQLDVQVFKTKGYVL SIIERLEIMEQNLDYKIDAYAKQKVQQLAIK >CAK71182 pep:novel supercontig:GCA_000165425.1:CT868096:604636:605695:1 gene:GSPATT00000895001 transcript:CAK71182 MNIISNKTYTDVKVEEYEQNGWNFIFTKAGMYNTSDLDMLQDALTLQGIPDITNGNNCAL IYNKQFNFGFFVNPKDSLYLCNYQQRQHNYLDIKSQFRTNKINYISIIPEQTQLKHSNAW KQKQNEEIKILNKISDTFYCSPYKGTLVDGDQIKYQFQRFKTIEGVKGKSIFENQLNQNV IKTELDYLTHIFQNKSLQFYCEHTEEEIPLNNLTQENPIKWASFITLYEDELGDNGFVTC EFRFRVMGDCFFGLIRTYLRVDDVLIRILDTRIYHQFEWDYILRDFTHREATWDNIQKSG FCFSPQWSTDQNQSFLIQNSVPVQLHINDKIFFKAG >CAK71183 pep:novel supercontig:GCA_000165425.1:CT868096:605742:605957:1 gene:GSPATT00000896001 transcript:CAK71183 MSKEGSALWTPFKTTKEFIEKDQKITKGFIQGALFAVIGYGVGFALFKGKTLRGFSAGTA ATWRFRDQIEQ >CAK71184 pep:novel supercontig:GCA_000165425.1:CT868096:606035:606310:1 gene:GSPATT00000897001 transcript:CAK71184 MYSFQFEVLGKVQGVYFRKYTQLKAQELDLVGWVQNTKQGTVIGIAQGRRTNELKEWLKH TGSPKSVIKKTDFKNDKIIDELEYDQFDIIK >CAK71185 pep:novel supercontig:GCA_000165425.1:CT868096:606318:607369:-1 gene:GSPATT00000898001 transcript:CAK71185 MIILLLILIPTLLSDNIQLARVQYCGCGVALIQSLCIQLDDCRWQNYTCVNITGVPQTIV VTPNNTNTNNNSTDNNSTAGNKTNTTQNEYDFTDPDFDYDSVAVTHKQYEIPDIVDEEGN TDEGTDSGSGTDSGSGTDSGSGTDSGSGSGTDSGSGTDSGSGTDSGSGTDSGTDTGTDSG TDSGTDSGTDTGTDSGTDTGTDSGTDTGTDSGTDTNTNNTNTNNTNTNTTQTYTQATSIT YTKTYINKTFNDKITCSQQSDEAGCNSKRWPDQIFCIWKNSTCISGSCTDLDLLECEKTF FCVNFGYCQSCKAIEVYAKPLLASILIIVFLIS >CAK71186 pep:novel supercontig:GCA_000165425.1:CT868096:607590:609110:1 gene:GSPATT00000899001 transcript:CAK71186 MDNSELYEIQYIFGSQILDNKMQYCVKWKNYPKSQRTWEPIENLGAHNISSVRRYQRHAD YFLFYQFIYEGQVEGYRVHQKQNTLEKIKVIPDPQEEDEQIEQANTDKLNTTIKETLNQE NIKSVSTPKETTKKVTRSGRKQIQLKAEDESLSDSKDFDFGKRTKKSKSKAKSSQSRKKS PTSGDKSNQTQPKKSQFVKQEQVETDEINHVQPKSQERQLDQDQPNEENLSEKSQSHKEQ QKVQTRLRNTRNEKSVEQIKSPAYFNQIRKLILSLNEDERSLVASYINDKMKDNIFEHLG ALCVLDYMQRREEVLNEIKQQEYIYPLKGEPAVQPNKQSKRAKKLEKTSIKMESQRSTDI ACPPQSKRLIRLSAFEQRKKCMKKKDDPCNMADFLASINQFPQFGVVSTEVDSKNLYGQI GFQIGEEITGHYYGVNANETGKANVQLYFVMKFRREGDKTFLYNHVTRAECQLYEKDLLY DYVYRNQELLPEMYNDLIVRSVYNQQ >CAK71187 pep:novel supercontig:GCA_000165425.1:CT868096:609137:609533:1 gene:GSPATT00000900001 transcript:CAK71187 MLSYKSLYGIAKFNQLKDYYAILNISKTNDQPTIKKAYYALAKKFHPDVNQGKEDKFKEV NEAYEVLSDENTKKEYDSARTPQSQNNNYSSTYSQQQYQDSRKYQSTSQQRQSQNYQYAH WFYI >CAK71188 pep:novel supercontig:GCA_000165425.1:CT868096:609631:609977:1 gene:GSPATT00000901001 transcript:CAK71188 MKAQQEYEERIRQEEMYARAKDQQYKEFAQRYYNENKRKTFYSHQEKQAYEAFVRQREFE ESIKEKIQDFKQKGQQVMGGFQNISKNLGDIKENLGSIWNTIKGKNR >CAK71189 pep:novel supercontig:GCA_000165425.1:CT868096:610497:611344:-1 gene:GSPATT00000902001 transcript:CAK71189 MLQLIQQYIQEHTQAKEKKIVQHLDQSQFSLFEDLLILEKMLNEKLTYQHFEQLSQKCHK KTPDELKLRYINCIKKLTEDDLKSIIEFIKNNGLEGTLIYEKNEIKICKINEEIKKQKED IQKKKEMKQIGEPLLKKKRRIQPEVQPQPQNYYQLTDLQKYILYCSAPAYQEQRFPTNPN YQQSQRLKQEQFQNALHDLSLWYDIPFEKMITLLKACSGNFNNLRQFLENQNYFIYWKPE EDQKLKSKEDIQSLYLTKGMAEVERRKKWLQQE >CAK71190 pep:novel supercontig:GCA_000165425.1:CT868096:611987:613423:1 gene:GSPATT00000903001 transcript:CAK71190 MALALAKNIDLHLPYQQLPTKKQKKIKIHCLEYGHRDQKVEYLNLTPETDVIKSRLQCIK CINGPYSNFRQQNAVLEEVLSDDMNVMKHTHFQGNLYQEFSNLYHSLNLDISKDDIKFRI ATIKKQILYDLQVLEQDVLSNYDKYQEIQDKIKQQVDNLKPDFDFSELQVHLENYAKEGP NPPPKSQKKINDLLNKYVQNLHNPKIAQKKIDSLEQLKTKVNDFTKKLCFHIHKLDQGME KIQNIIEEMKKTFSENNFTQSQLSNDYISIVLERIDKDYKDTTKVEQIKNLYTSIHEGLK YDTILNHLKQEESSKLLFIFKSSNSQIFGAYTSPALAPAPVLAPAPAPALAPAVQNPSFM FSLSKQQIYPLNSNTKPLQYNKDANDINELFTLGTQDLVIQSSFEKCKSKLGSSFDLSGY QITNQDMHLANAVEFEIVALEIFKL >CAK71191 pep:novel supercontig:GCA_000165425.1:CT868096:613677:614661:1 gene:GSPATT00000904001 transcript:CAK71191 MNQLVESQNILNEQQILQSEQNKDVFKQKPLLKPQSPSNKENRQEQYCMQKQQLTQKSGQ DNSRTLKNENVELKNLINVNKQLVNFGLVLPGNICEDDIQFQNISNETILIEIQVVCNNT EFDDLDEYVYSARKLNGYDYKDRFMLACPGQKQFSMKVALKVPNIKDQKGLFGLIIITAL NQNQQKIQGQINTILQSQVLLPLIQCSKQLINNLYNLPIIQMAFKLGKKLDCKIQFRNNS IIGLSLEMEILDKINCEIIVNPQAISVQSNSQFLVTMNVKNKEVQQIKNVLIIKIKNSNV HFSYPFIIQVY >CAK71192 pep:novel supercontig:GCA_000165425.1:CT868096:615542:616474:1 gene:GSPATT00000905001 transcript:CAK71192 MSLKNQEIDQQQDERQQVQPYNWKKQIIEEKNLEYFDNYQFYNKGREFNKRNYGVTVDYK FGKVILSQDFLESQQIQFYMNLFKNVYKNVKLAAASCHFFQEFWTQQAEDIYLRINPINT QLISNNQYQQQNTQLDYQLRLNNQNEFDKGSEVIKNESKQQWKNNNSEGNIEQKNEKIQT ENQQQKDNNNSEGNVQQTSEITKNENQQQKDNNNSEGNIEYKNEKIYTENQQQKDNNNSE GNVQQTSEITKNENQSLKENINLDGNGEQTNEKIQNENLEQKENNNSDGNVQQTSEITKN EN >CAK71193 pep:novel supercontig:GCA_000165425.1:CT868096:616509:616682:1 gene:GSPATT00000906001 transcript:CAK71193 MFSKHLKQPRMKTNRQKRILIQMEMVNKQMKEIQNENLRQLRKRTNNSDEKLFQQTI >CAK71194 pep:novel supercontig:GCA_000165425.1:CT868096:616818:618315:1 gene:GSPATT00000907001 transcript:CAK71194 MLQQTIQNNSRMINQQQKDNNNSEGNIEQKNEKIQTENQQQKDNNNSEGNVQQTSEITKN ENQSLKENINLDGNGEQKNEKIQNENQQQKDNNNSEGNFDQTEQSIQIKNQQQQQENNNS EGNVDQIKEQIQFENQQQENDNSKGNQNYNSDRNVDQISETTKNENLQQQQATNSEIYVE QPSLQQQYKNNSQIIQQTNGHLQPQYKNKSEILAQQQTKTKHEQYLQKTNSGILVQQTNE NQQQQNNNLQEQVNNISKQNVEQTYETRENDKQQQEKTNIPETASEQTSVSDEIYQQPPI QNQPQNPLPVQTPQRTFVFKITSITYEEPKKKEKFQINKLCDLLNQVAQMNGLNSQEDLK AQDYLYFPINLSQAHWISVVVNLKKKIIYYFDSYYESVEDDVKEGIFIILKSLNFDRQDF KFECKWNKQQNGYDCGVFILLSLLYTYQEEDNYSYNQQRATEFRNRILYDLAIVGSQTNL TKEQFESIIN >CAK71195 pep:novel supercontig:GCA_000165425.1:CT868096:618919:619254:1 gene:GSPATT00000908001 transcript:CAK71195 MIQEQYRFFGKELVNSSGEFQKEKTEGNKPKDLTVQPKYLKFNLKYEEKENQIEEVPNIS QSYQFDQSIIKNPQFTPIYNQEIFQYLISQEQKFLVSHNYMNEQRQPDLNG >CAK71196 pep:novel supercontig:GCA_000165425.1:CT868096:619315:619814:1 gene:GSPATT00000909001 transcript:CAK71196 MQLQLVGVASLFLVCKYEEIYPPDIKHFVYIADNAYTKYDVLDMEGQILQTLDFSITQPS SYCLLQRFGRIAELDTKNLFLAQYLLELSIIDIKFMNYKPSFLTCAAIYLVHKIRKTPQS WNEEMQNITGYNEQELRFCAKEMCLILQSSDKSNLQAV >CAK71197 pep:novel supercontig:GCA_000165425.1:CT868096:619994:621199:-1 gene:GSPATT00000910001 transcript:CAK71197 MKLGTLLRHFNQQDEQQVGFISLEQVDKILNNIYECNKECKQFPITLDQIYFDNLLLKGA KEFTIEDIIMIITEIDYYEELRQLVMQQQQEQNLNQIQSEMLIAQIEGSQRDKRRVDYLN NPYINNLITMLKDYYKICCKNGDVKEMHRIENRIQEIKVKQQKRWKNYITQLQKYQETLV QKTAFEHQYHQQKKWNEFVIDFQNSRFYKFNMLLVRIYICFKEQQKKTIQEMSKQFQEEL QPKVFKVSKKVLDMRSKQKQLLKLKEFSQAEKVKEEADMLEYLEKKQQEMILKSKVKRKL KVLKKRQSCQISAFMQKVASEQATHLLQKQANENRWVNINKHCVQDINERFNKDRIITLA SRRSFDACRLRTKSANPQAARTNISHQLTSQFE >CAK71198 pep:novel supercontig:GCA_000165425.1:CT868096:622253:624283:1 gene:GSPATT00000911001 transcript:CAK71198 MKAKAIIEFIVFVDSLKTIGIFLLDEGMYQIRINLYIQSSQNLKIPLTPKETLLFKRNEQ QYLPQHIIDNYYMSDAFLLRQWTEEEKFEIKNGCRFSGAIPHNLKEQPCFMEIELMYYDL AQKIVSFQSISMAKFKIENSSNGIYEYVPVILGEDHFSLLNLMVLCSLTSFDIQLQQVQS EDKMALDQHQHINMRQLYNKVLEPLKTSYRNMQTFYYNMELEMERASSSGGMQYQLRQTK SFQVLPLSSADSLLKNEQNTQLISKVMANEFKVLQSTINQLWHKIIIGLKLGHQKLLRQL KKESLTNFQSRYSAFTQKEVIPVVDHQYTMIPQQQKQSQEQADLQSKRLEYQKLLLQGPS KIVDLKIIDEIKDKLMIVEKHYVTRQTFQLSRSKLHLIVLVHGYQGHSYDMRLLENYMCL RFPQHMLLVSLCNQQNTEGDILQMGKYLSDEIKNYIATWSYTDKLVISFIGHSLGGLIIR AALPYLDFEFHTFLTLGTPHLGNVTNQRPLIKFGMWFFQKLKKSLSLSQLNCYDDTLLKL SLFPGMNKFKHIILFGSQQDHYVNSESSLLMKVSGIENELKHNEMATNILRQLHQNEVLR ISIDYKFYDGDFDTFLGRKAHIAILESHFLNQYIIYNLGDYFK >CAK71199 pep:novel supercontig:GCA_000165425.1:CT868096:624371:626753:1 gene:GSPATT00000912001 transcript:CAK71199 MLALLLVQIGSSMPIYGNFSQYYYYVELNNKKWILDQAFDGAIEDTECLGSNNSKSQFFF AQRFIQIRSLPLSKNMIREADSANYICQDTCKMQIGDFTINNYCGQFYSQHQEINNYLGL GQIVQNPKSDQQLKLCLAQNGGYMKIGNFENDEHHIQIDYVQLNCFMESNCQKNRLQFIG LEIKQFFLYPLSKIEVVADFSNTYVELPSYMYMPLIQHIQCQGVFCPRRNDSEGLICYDN DYDDVDKFYQKFPNIRFYFYVNSKFVWFPQDYLVTHDNKTYCFPVRGGTSKIILGQPFMR NKEFIFGKDKLIINYQNCSEETSLDAPTISLDIIAHIHIHHLLHPIQVQIEENKLIIDQS THSMIILLFSIVSSQLIFDLKRDVYKSEYFIELDIGTPSKKTNFVADTGAPITSFICNSN SNTLHMCNQDQNSDCLSQFVTYDGELSSAISAYDLMKIQKNNIILNFECISQDSTQILGL GSQSNFINALYKEFQFQSEHQIFSILLTNENGKLTVGQDYPNYNDSSIPFSYQSYYFQVD LVTVSDDNNNIVFQPNQLEDYEIIVDTGSTLINMDSETFQSFIKSFSKCEKDPRGCPQKK EIYGYQCYLYNRPHYGDISNFYETFPEFSFNFKNGYKYKLSAKDYLINLQDNYYCVPFEG FARNYETKFKKIILLGQPFMRNKEFYFDLKEYKIFIKDPETSQNFLHYTNLTDLGLNTYI FVELALMIGICFFYRKYSAQIRGMFRSSRPNQGVNPYA >CAK71200 pep:novel supercontig:GCA_000165425.1:CT868096:627351:628296:-1 gene:GSPATT00000913001 transcript:CAK71200 MQQRDQVEVSENNQQIRTKSHQWREYWIYLLENQLQTILHSKSYQSQNRLVTEDLVLRNS KNQIQENQFKYILVLSIHFGVALLVIGLLTHFNLSEQILQIPFIIWVVLLMLYILSLALA QFSNSQSLKSPKNVFLFVIQQFNKQGVNTIVRLFWFVYAVILYPELNLGVFIAVIFINLI IILICSHFDKEDGIKNCFLVSDQPWRISFVLLFEILFVGLYLNIQDMMTAIIWQILIQFQ RILILFFIYSFTLLNIQLVEFRKHLGKNGNLYFLSQLYYDGDIIFPCYFLEHQLACTSER SILK >CAK71201 pep:novel supercontig:GCA_000165425.1:CT868096:628355:629010:-1 gene:GSPATT00000914001 transcript:CAK71201 MNQSETTEKSQNSEKSVNFYDQMNSGGYYIGENRSSQVNSAQINPNTSNSREIPVPNQSE YLNRPEINVQVVVDSNFQNSDQLTSQLSNLKYFKDSYVYQLISSVRANLKLNKQIKNYNL LIQTNKEKDKSPDQNFQQSELNKEKLNQFFQNMKQARQNINQVQSPIKDFLCTKLQDLFP KKTVTQDDHIVLTINLILRQQN >CAK71202 pep:novel supercontig:GCA_000165425.1:CT868096:629596:630378:-1 gene:GSPATT00000915001 transcript:CAK71202 MILSKQNYDGKRIDTNRKLREEEKNKNQFNSNFYQNKEKRSYSYKNKKKMKNRQLICKKQ REEKSLQIQNREATKQNLRNQNYLILNHQNYQLMKKTRNIKDRERYYQQCNKLIIYGRAD QKREREMYKLIVEQQMKAWQQIKEKRKKTKEFQQLIYKEQTQNGSQKNLKQEDSYRNVPQ FYNIKQFYQRLSERQNALQDIYRQKVDNPKLQLDYIFDQQLKERQNKKIMNTQQKDSFSK SRRK >CAK71203 pep:novel supercontig:GCA_000165425.1:CT868096:631084:631548:-1 gene:GSPATT00000916001 transcript:CAK71203 MNKLVSTFAKTMQTYAPLYSYHFPNGSVYNNPAVTAKRIIKVVGERLRKIDPERWESTPI TFNTNWNDAAGYVDVATCIHIHDALEKEFGIEIKDRAFLVSSIETAFYIVNIHHDSH >CAK71204 pep:novel supercontig:GCA_000165425.1:CT868096:631550:632058:-1 gene:GSPATT00000917001 transcript:CAK71204 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVEVKDEKKEQKKQQPKEQQKVQPKEK ETKKPQPKKQQAKKQ >CAK71205 pep:novel supercontig:GCA_000165425.1:CT868096:632262:632744:1 gene:GSPATT00000918001 transcript:CAK71205 MKHQKKSKQEYQFFNVEKIVGKRYDPETNELQYCIKWEGYPDEENTWEPVENLQNVLSFV QEYEAYQNSTRKKPKIQLDDKKLKNLLLIKLNQFLEQMAPKYELQEINLSDFDSSEEIKE QQQHQETQPKATPEQYEPKSHILTQGFHWCPQNYESNIDY >CAK71206 pep:novel supercontig:GCA_000165425.1:CT868096:633095:634786:1 gene:GSPATT00000919001 transcript:CAK71206 MKNINFIDIKLNQSTQEPSCITQENWVDQLLNPDLRRNLNQCNNQIEKISLFPIVGYGLK VEFHGTQEFSVKVRSISQVEKIIDLSTIELGKQYQTRDKPNCILPLLKKEENNSELLNCE LFKRKMSYLITKNHQLYEQNSYIYLIQSTLKYLIKYNNNQQWQQEMTQEIFEVCEQVFMN QDLYIDSIQKEAFKKSKFQLLNVFCLFKEKKLQPEVIYQEFISPKLQNIEKLQLNDEGQN GLGNFFDTLNLNNIIQDFDDINGCIIRYLDENDYFYLRLFHGKFISSLIKYFIPSQKFSQ EMVSQKDERHTKQRNLIKNFAQSAIYKFLFNNLINNYQEEKKKQIFSQLNKIMEIYDYYS FLQSQQKYEDLTLYYNLNNFLSNQDTYKNGIIQFINYLAQQLLKKISLYELRRKTFENCE QLRNSREILEKQGIIRKFMQIFGIDGKEQDELINQILDTTSEICWLNYQSIKTNWQNEEE IISFLNMIKCFPQSQNLKSNFNLYLKYLNDITQFCFKIKHQSRQFKYFNQFEEYELNNTL PKDQKKACIFLKIFQTSKNLIRA >CAK71207 pep:novel supercontig:GCA_000165425.1:CT868096:634960:635852:1 gene:GSPATT00000920001 transcript:CAK71207 MNNIKTSIPTFILKTYQMLEDQKSTNVISWTSQGTAFIVYNQVLLEKEVLQNFFKHSNYS SFVRQLNLYNFKKVKSNEGQIFKHKCFRKGMKQYQIFKLRSMLQFIKRKNQDDPQVGSNT LVQEEPIIHIKEEQNLFKECAIDIKETNNKLKEDMKLLQETSSYLIDQMQNLNHTQQFVY NQSVDIEVKFKQVGQMLHAINEELRQEMQDKSEPITNKFLDKKEDCFQESKVGSPNPYVD YNSTALNPLDYEYFIDSFL >CAK71208 pep:novel supercontig:GCA_000165425.1:CT868096:636005:636488:-1 gene:GSPATT00000921001 transcript:CAK71208 MDEDKTEIIIKSENDDQLLQKTNGKEGSLKQTLNEIGTYFICFRSLNRSYKTVSFDFDID GDDKEYAQSEQFNQMSKELSRTNRNFQSIYRNQNWITDRENSHKIILENTQNSIYLCGIA KIGVLILITVTQAIVVYKFFKGIEFSSHSSC >CAK71209 pep:novel supercontig:GCA_000165425.1:CT868096:636648:637496:1 gene:GSPATT00000922001 transcript:CAK71209 MQNQNKSFFDQETCLAEIELPSEWRPQFLKWTKKLEKQDKYQEKLKASLEIKNNEYFLIL EDEKQSFRMNISELKQPMNKCNLLIPSPPYNDSRDVQNIGVLPYKAKAKIENDQKDARNY LNSNFSSSHEIEKSESEKEYWEYQVYDRLQLSHKDIKQPQQVMEKPPVEVQDTQTLKINL IEFLRTKGESGANIQEIKKYYKFQNFSEKNIKDTLKTFANTTTRASKLIYFLPSTLQN >CAK71210 pep:novel supercontig:GCA_000165425.1:CT868096:637769:638223:-1 gene:GSPATT00000923001 transcript:CAK71210 MSQPLSHQQYRDLNSSTQSSEGEDYVPKRIVSKSNTRKIHKIPIEQQKQLFRQVFQEGKQ IKEVAKTLDLNYSSAKSLIHYYKNNKRSVPVAILDVLNTKKTTGYRVSKTIPQNNKNLKI EVRENNELIRSYCFYEDLASIN >CAK71211 pep:novel supercontig:GCA_000165425.1:CT868096:638397:639063:-1 gene:GSPATT00000924001 transcript:CAK71211 MNQLRNQRGTDTDEVYIDPERGIAINARQMTTEQHFKYYKSSFSTLRPDLTEYEYEAFAK RLRVGESFLNHMRAFLNHESGRVTNLYPVGARLEKMLSYQNQYFHLRPPFILGHRSNANR NWADASKVVNYIEKQLLKITKYGLDYPNYYAPNTENELKQREDEIYERFIREMRKPPVAA AQ >CAK71212 pep:novel supercontig:GCA_000165425.1:CT868096:640605:641319:1 gene:GSPATT00000925001 transcript:CAK71212 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSEGNFSWGSQGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKQWYAKKYN VELGSKKKKETTGEQPKKLSSKQEKRAKENVIDQFVQEQFANQRLLVRITSRPGQSGRAD GYILEGKELEFYIKKIEQKKK >CAK71213 pep:novel supercontig:GCA_000165425.1:CT868096:641368:644189:-1 gene:GSPATT00000926001 transcript:CAK71213 MKKLSQQMQKMAGRNSFQESPQRQEKKNNKPSVGTVKTERPVEKGKAKLLDYFKRERNSL AQAVNTMRAKLKGSKSIDNVFLQTLKSDRVKTSQPNIAELRVHTDEGSNSKRATLKSKNL SLATVSLEKKEFFKHPLTTKTSHNQTPTGQSKPQLKKQKQKDQYLFDMLKNAQMIQNQYQ KYFNQKLNKQSIISDTSTNHRQSIEDILIYQKGHKGPSQIIQSKPIKKADNESKSLNQGH SKTEQTPQPKQITKTKNDEILDYDPKQKLQIILYYKNHKYYYLFDFANQTTCNLYNYLIE QVASIEKNNAQQNGQTEDDQIQAEIKSICQFCTIQKKVPFDYYLSLPDLSLSVFQGITLS LEPMYSQPQTTKKVGLKDFQLIKCIGVGGFSRVYLVRKKDTGIFYALKLIDKKFIIDNKK EIIVQNERDIMVKMENQYIIPLHYAFESKFYIAFVLEYCAGGELFYHLRKLKKLNEQDAK YYFVEICIGMAYLHSQNIVYRDIKPENILLDLQGHLMLSDFGLSKPDMAAEDFAYSFCGS PEYMAPEMLMKTGHNYLVDCYCLGALLYELVSGLPPFYSHNTQEIYSSILTENVQFPDYV QISNQLKDLIISLLQKDPTERLGQKEGVIEILTHPWFGDVDFEKIVNRQLPTPYKPEPLK YNFDEEEFNKGDAEFRKQFTLNMLTEFQNVDTAKYHLSNFYYQKVKQDEYKNRTSRVNIN KLCSEFLQKEPFSPSKQILNKGKHSPYESKKSLKQNTKSECNDYFKKHNLLTKPSQIMQE SLKFGHAYSKTQQQSQISNQELKKLKLMFDQSKQLLSSDRLTTIPDQNQKNQIERIKTEQ FGNMPSPKTTTHSTLNKLTKYQKLFGSEKRKK >CAK71214 pep:novel supercontig:GCA_000165425.1:CT868096:644494:648351:1 gene:GSPATT00000927001 transcript:CAK71214 MQFHYHKQEYNRTFKKSIQQYKGLFFKNINPKLDIYRDKSVSFRNGRVIGKTWIQDITCF DEEIEDCSFYKRGCQIVLRNSLDIIRVVSENFNTFRIRKNVSHRIQLNEENYINYHEGTL NGQPNRLITQNSYYIEEMCCTINQNQLIPLGIKIEPLIKYSKIRCIKLSEETYCLQQINK EKCLNILIHQNKQVPFYNDVLYLEGQLSYINGYFLLLNNEKLQMINSHGVEYEEALHGQC YLDGSEIFILRDISIDEQQVKNTIDFTIKVTGVLLKLQIITKSLKLKMMLEDNQIPPEEI PDYLVKINDQKFIKETVKKLWKQKSINKIQLIEIFNVLVGMKKSTKLRGKLNLLQCYETE NLDNLSFINCIQSETCNLEQIIRLFQNHVIYNYIHFLNLIIKHKPKFLDEVLPNGALFQN FEPLEQGSLSKQNKLNDLSFLGGSNVPKIQTNYQKIATQFLIQCHGIYYLPFQQEDFTYF LYDLLVKGGQSRLTLKQFMQEQDKLYTLFTYSSKVESLFGELNQYYKQHNLNWFDEMLNQ LRKTQYPVQIFQSILDYCPNLSTKEYLIPVNLAFERILTFTTDNHQQFQQLLDSFIFIRN SFDQDEYDQLQNLISQLQQSTILSQIILNFNVTYQLSTIINKIMLNSDECQKCIEQLLEN YHVNRVKQMQFKITDKTFNLQNQNELKQCFSYGFKNYIKDDKKIEISLFRKNLEFGKYRD AKLLCQSFNLSTNQFDEAISDSMFRYLQLQKKIDYIEDERKKFLEIANEVQKIKKEKLVK TKKTMSLYKFIIESKEVGNYKDLDQFKCNQILFQIYRRTQNLDLPYIRLKKKLAKANDLT IYDREFCKFMIELAQKNFTIKPQKAQFILEDLSKNGDELIQSEVSIILLQFSKDENQKRE QYWKVLKQSSSVDTIQTLLKFQQKQTKQIQLIPQKIEILCKELIEVSLENSISLAKFEQE YKKFYTENITDVVFLDALLKDPQEAFFLLQIKQSQNSKLLIQKLVQSQLLNSEKILIYYI QTFVKPLNVINLLQGDDQELEELAQALVISQHKQIFDKKCIFNKNERLIQIMERLDTLEL DNIIPEFKYFKDLNFQELKIQNFLKRIQQVDLKEYYQNNEQGLKSYVKNQIKLIPEIINI KIDLRYHFIIYEDIYGFTPIEKQLIRSFIKQCVINNKPFIYYDKIYKQIISKEFDFEQVK DDFYEIIECECIFIMIEIAKYYFQKQKEVIQLYCKKLLSRNQINDEVTFWLKQDPQDQVR NILFDTLKQQSTSLQLNNELRSLIV >CAK71215 pep:novel supercontig:GCA_000165425.1:CT868096:648735:650603:1 gene:GSPATT00000928001 transcript:CAK71215 MDNQQLIEEPQIINYEYQNPERSQEGFRSLKPLNEQDEIQQINKSQLKNLNSSSIQGFSK QQSGIGQENQDDDLNFIQKEKQGKNSIETLQGEQQQIPLQQFNQKEENLIENESKVPEVQ IHQKKIQKTDDKELKNYSQFNNNSKTKDVKQVTINLSIEQNSKHNSDLKNEQQQNIKKNF QKQIQQYKRDEKQCNQMIESNLNQIFLFYTNQFQTHNKQTKDQILQKQVLNLQQFMNFCK DFQILDLQVTNEFIQQYAGHKVQKPLYKIKYKNRVGGTYVISKYILEEIFQKCSNIHQLS FQEFLYSLIKIADITFPVSNSLQAFYLYLGFHDPEIYSKKLINPQDMNQTRMQVQTTPNI KQELKITRSVEKQTKSQNHRIDYLQPISKLNQIQLLQKNTKIYSNRNQGQIVKYDYRDDI CDFDPRKLLLEGDLIDQEDEFYLRDYQINNQGGVKKQELINQYQERIKYYQYPYQNQQSK SKQGQTEQIYIQQNQQDLQQNLRLQQNTMSIKTILEKQDAYLVNSKINQTTKQLQKQKFQ QIHPNSFELRNNYNIVKQLPALNLSYAYPNISSSIERDQLNTSQNHQQIKENTKRLETTN EKRELKGILKNQYYSIRKIFRK >CAK71216 pep:novel supercontig:GCA_000165425.1:CT868096:650968:652987:1 gene:GSPATT00000929001 transcript:CAK71216 MKFIALAMLVMLATSQEFVEFYSAFSNADVGQLEGWTIQGQLAKAQADNFFTCSDATVFG GPKTFGKGAIVTKQFQLPPHFQIKIQVEVWKFDAWDTQRQFFYLDNYLWETMWTGSDGTK RCATPAGGNVNSFSVDQTITHNHAALFIAFASSADKTADKMSWGFRKLKLSYLPCPAECG TCLGPDAIVCQMWVPVSSSWIKNIDADGWSAKGSSTVIKSSTCAGIPIIGGPGNFGNNVS IQKIFDKLVPHYRIKVIAQFWKIDNWDNDNAVLSIDNQEKWKQNVAQVEDKEYFICDKIS PGGVDGTEKIINVNFETPHNYGAALVKWTSTIKKSSKQASWGIRQFQLYVAQCSSNCATC TGPSVEDCTACVSPYVLLDPEDGNGSGCVLKGDWVEFTSEFQGAGWTANADWKVADGKNG PDFTTQCGEFKFFGGFDKTGKDAKITRKFNLPAHKRIRIAFEAWKIDNWNGEDYFVKVDG LNVWQRTFGFGDPGLADICGGDGFENYAIVDFVIGHETPTLDLLISTTIGKNNDNASFAL KGFKLYYEKPDACATLYTECNYTGKSFNIQISKAKSNQFKSQQEPKSFSFDEMDYNGKTI EYTENQKCIEEYQYKFLQTNSQSYVLTANN >CAK71217 pep:novel supercontig:GCA_000165425.1:CT868096:653007:653966:-1 gene:GSPATT00000930001 transcript:CAK71217 MNVEEEDVNFLKVQPLWTGCRNTPYIIRAGINIDKCLICTIYKQDIVITNLRQSWICKLN KDRIQKDKELYNKSIQTTNFQGVLDLLELALKFDPQAEYSFKLNDSSLYYGYSNVIKIYT LTWVFDCKIVDDQYHNKILINDIINPLLLTIHSLENRCNNYKSAFSQLEQDYIKRLNEKE RAQYKVRDKDEDLSVYILDDQIDHEKICQINFTPMANYFIQYYAINKVNKSLQQMKKRQP QQQQNKNENVSSNMKKKENIWQSYENTLSDMFDGKGQQILENDKNEPKQDRSKNTKKKVG FL >CAK71218 pep:novel supercontig:GCA_000165425.1:CT868096:654244:654540:1 gene:GSPATT00000931001 transcript:CAK71218 MGCSLKKEKQISTININEQKTYYFVIMNGEKELKKFKKASRFPNSIPILGSIGIIQHSVK LDYSTIIQRRNKLFQTKIDRNALEMQNIEILAQQQQKK >CAK71219 pep:novel supercontig:GCA_000165425.1:CT868096:655512:658624:-1 gene:GSPATT00000932001 transcript:CAK71219 MNSYFQINEQISQTDNIILPDDTPMKNKPNIITTMKTTWFTFLPYAFIKQITQPANIYFI VIGILQIIPATSYTNGVPTIYGPLAMMMSISILKDAIEEYSRYKSDQEENNRKSHKYFEN QFIQCNWKDIYQADIILIMENESIPCDILILSSSYQGGIAYVETKQIDGETNLKQKYSIY DLQQRYHIDNAINFKNNNVKFVYDQKNPILYKLSGTYQISNSQVDSLNYGNFIERGCVLK NTEWIIGLCIYSGMNCKIMLNCSQERTKRSRLEQYMRICIISISISLVLFCLMSSIYEFI WTNNHLNYTYLGLTRDDVFIDFGLWFSLLSYFIPISLVVNVELVKFGQAKMLESDKVMPN SKSHQSNLIEQLGEINIVFTDKTGTLTKNSMQFRELFALNEKEALLCMSLCHSVVKSKNK LIGSSPDELAILEYCEQKGYLFQGIDNNNHLTINKTQFHRVMSFDFSSEKKRMTIVVKTG NEYVLFCKGADEVLLELIGECKHQHIIHQFAIQGYRTLMLGRKTFTQSQMDIYLAEYKKA QEEKDEEMMNNLQKKLELNLEFLGITAVEDLLADDVGQTISDLKSAGIKVWVLTGDKVET AISIGYSCNLIDSKDMLQVVTEITVGSIEEVFLHYCNESANIALVISGQALTVMLENPQL KSMLIKRAFFAKVVIVARVSPKQKQQVVALVKENLPKAYTLAIGDGANDVSMINEAHVGV GIQGVEGTQAARAADFAIQEFKHLKRLMFYYGVECSRRNALTILYIFFKNQVFITPYFWF GFLNGFSGQYLYDQWLSSLFNTVFAALPQVLYALFDEMHPSSEYLQWSKTSHNLLEDKPD VYVQFRQQPIFTIIKFWLWVFNGMVQGLMIFLVCTFSTENQNMDDGMTMEFFEDGVFIYG IVIILVNLKIFTFTYTNYNFTIFFIVLSILVYWLILIMVNDHELSPSFNFYRYLASPQLY LALALTFMLFLFDLAIERFYDFQIYVRKPESIELV >CAK71220 pep:novel supercontig:GCA_000165425.1:CT868096:658737:660076:-1 gene:GSPATT00000933001 transcript:CAK71220 MLISKTENEEQSETAVNSTQPVCPNCGAARESILEQVPSPASFIISFVSLFYFGIWCIFI IPLVFQSTKMIIKRCYYCNQELEKRKYFQLPNINDQVLQFRFGNCIVVVSRKYALVLLTI IVCLFFYFEYFTEKEQPQIEANIYSNKTWVDFLQFCGKFQFIDNGLKARHQFETYFKDKI VSWKGDFIQTKPNHDPNFSFNYWVYLKMDPTESTEDLPDIIVGVRDSQYQIETFQKLEKG KPIHFEAKFLFLGSEYNFHILELKHLTVIPSELVEDIKQLHQFTLDMDQFQKLKRSKLQE SLKHKIHMYNPKQIPGIQKIIESIQTANNTIAKTTQENVQNEENKQEQSDQGDNAVIENQ NVNQQEDNNNDVNEVNEAVNEQDEQEKQFDPSSVNIKIDESIRNEILSKDSGIVDGVIDD DDKSATNQD >CAK71221 pep:novel supercontig:GCA_000165425.1:CT868096:660260:662882:-1 gene:GSPATT00000934001 transcript:CAK71221 MGNICRQSPINDKNDIEVNTSQPPPIQQVHPPKPDEVKTQAPEKQEEPAQSIDQAKQENE PKRKEQKKMGKIAAVIDQEVIYENVQKQEKVKSPFDFQLLLNAFGNSFIFGQLQPEDKVK VIDKMFYCTVHDGEMVFKQGDKASSYFLIERGQCQIIINNELKKTLKSGEAFGELALLYN APRSATVKAVGDCAFWAIDRNTVRKAIESISQKDYDQNKEFINKVPFFESLTDDQKAAIP SALINLNFKAGEIIVNEGDQADSFFIIKKGEIQISRGGKELRIMRAGDSLGEQALQQNSV RGATAKAIKEDATVLALARDDLTRILGDKIQLIMYSNLQRWAFERHVVLSKLTKLQVERI VSNMQQTQKKSEEVIIEKGQACREIIIVLQGSVRYGKEILEKGQMFGDKFLDQGENVKLG EPVVMKDEGMIATITFKQFFEIIGGSLEQIFAKNEKAHDRFIKKEEGQKQDVFKHFQLDQ LIHVKKLGQGQFGNVYLVHNKMDKKIYALKCISKAQIIEQNLEKHLAQEKIALETVNFPL IMHFARSFRDTTYIYFLEEYIRGMELFDVIRDIGLLNTYDSQFYIGSLILCMEYLHLNNI IYRDIKPENIMIDEKGFMKLIDLGTAKNLKSKNGRTYTIIGTPHYMAPEILTGKGYTYSV DLWSIGICLYEFMCGNVPYAEDADDPYEIYEEIQKKQLTFPSVLKDRKAKKLIEQLLSKT PELRLGSSYASLKNNTFFERFDYDLLINRELKPPYLPPKNKLHSEKDIQKAIQVGKLVTE EIKNDLATAQNVYKPEKARDPNWDKDY >CAK71222 pep:novel supercontig:GCA_000165425.1:CT868096:664600:665103:1 gene:GSPATT00000935001 transcript:CAK71222 MYHIECVMSRCFGWDLKSTCLVPIADFLNHSNKACTHYMVHSALEKGSFSKSEEQANFQT QYVIKRNNMNMNILGIEADNEIQKWEDEKIKFILENKQCLRDQNAQNGPKEYQPQLQPKI LFFEDQLYVGINLKQISKNLLLQLSNQKEKQELDQQLQLQYYSLQFN >CAK71223 pep:novel supercontig:GCA_000165425.1:CT868096:665307:665917:-1 gene:GSPATT00000936001 transcript:CAK71223 MELYTLDNGMMIINTDMDKRNGLIIHLMRDNTLKVKFREEGLISGLMAAILKESGLIIKY MVKENTFGVMEEGIRVHGRIIKCMVMECINGEMAECIMANMLMIKNKGKGSACGQMGRFL KESDMKVNRTEKVNFQWQTEE >CAK71224 pep:novel supercontig:GCA_000165425.1:CT868096:665934:666534:-1 gene:GSPATT00000937001 transcript:CAK71224 MGSHCSNCSQCQKDRLDQINEVTITKKSESNKAVIVSQKVEYQCAEKQAQKQILQTKMAI MIQKQWKGYKVRKAYLQTKLLFNKSQNDQVTTDKKSKRGKQKYFTKEEYELTIKSESLQR EYRSKYKFISGSTYEGEWLGDKRDEQGIQIWEDGAKYIGQWKNNQAFGQGTFYHVDGDVY EGEWQNDKANGF >CAK71225 pep:novel supercontig:GCA_000165425.1:CT868096:668014:672002:-1 gene:GSPATT00000938001 transcript:CAK71225 MKMPILFLNFYFLLIQISRSQLIYSNFHGTFTSASFGMLLLYQDWNIYQRDNHGYTVANC GLKSVLIMNECTNWAFNSLTSKSFELPPHYQVNLSFKLWMRSATNYFFYLYVDNELKLLI QKSLYTFTNGCTPYESYDISENIIHQSSSIQITMVSNQSSWGFSEFNLNVINTTQKIWEL VYQSFNNKIFSTISVDDGWMTNNIVSQQVQQCTDFNFLRSSGTNFIKEFVLNLHKKISLN MKVLIFNQTSSTIDLKIDNQQVITKISDSYVQSSIPLCYNFTVFQVQISDFEHKNVNLRI EVLTQTDGSTSWFGIRDFSLFTDIYEDYQCKDFNIQPFDGCFSNQYDCNLGCSNCVNGIC INCLDGWTLYTKNNCIPICGDQKLMLNEICDDGNSNPYDGCHNCQFSCPLNCILCTYGTC KECEKSYFLVNNRCKHGFQFDESFVEQLETGVLINWTNYLEKNGIQCQPNSQQQSILQLS QQYNLLQNNYYRLNIIQSFSVCYFPDSQIILDHEQDSQTECKKGYKFSPSKRQCVEICNC QDLVSLQNNDCNNCIQNCQLECLICLQDKCYVCLEGWQLVDNKCQQICGDNQIALHSNEQ CDDGNYVIEDGCYDCLFQCGSYCQFCNKELNCLLCEANFKLAHHLCTPICGDKIVISGLE ECDDGNNIKYDGCFECQFQCNFGCKICESGKCQDVCKVEEESINGECVPIVLIEIEEIDP IQPECQNDCQVCDGANCLLCKPDYILENKKCVSCGNGIVNKDEECDDGNRINSDGCSNQC KIEENWNCIESYSLLSQCSRIPRISIAFLNSTFNTQYVQLSYNNKVKLNQQENNFLDYNV NSINIGPTYYNISIFPVVEIVSNETRDINYELKIQINQQLSQNPILEVKVDLILLDENDL PVPPQSQQIELNAPLVLNQAQIEVSKNFQKFGYNIMLALGGFAIFALLLGSPQQFLEILD TLQFYSYLKFINVEYPENLNIYFQSSELISVDPILQFLGIKDNFENQLGINIIQGFGKFY QYQINADLITNIYSQLMQVILFFSLLIILKMYLKFCLKVCFTSYFIYFFRKRKSKAFESL AIKLYQQNKQIKKYLNFDSINLIIDFYYANTWDLSFKVLLYLTFNQQSGIRTLVSLIFCL IYFIIGICIGVRNFEIPSNNIDFKKLKNQQHQQIIMLKKITFVLILIRMQDFSIAQCIML SFSTCAYIGFLFILKVTNTILDLMNILCVEVSVILFTLVNLSFCKDFNNVFTPDQIIRIG FLQIACLMFGLLGPLINCVYKFYNKLKKIYRLTKPKKFQLKRAIRNIMFEVPL >CAK71226 pep:novel supercontig:GCA_000165425.1:CT868096:672522:673214:-1 gene:GSPATT00000939001 transcript:CAK71226 MSSPDSAYPITYMESMDVIQFTGHKFAILKKIVFILAKSIRNSKRMAKEYLFTRCGQQWK LKVGCYERMFINDKYQELVQTFLCRECLDETSDSKITKAQESQKSIIQNNHQKYNKPIND KDLNSITDKNQIRPKISLMDIFNIFNSLMNNCIGTFLLKKERNFRELSFSKAQVLWKTLN NLKTNKLIQISQVQLIYKKIGFVIVHDHNTNQTFSEKIQDLHSYSNISVN >CAK71227 pep:novel supercontig:GCA_000165425.1:CT868096:673518:674545:1 gene:GSPATT00000940001 transcript:CAK71227 MNLSRKQRQQSLILRIKKNHLQGQREIRQIYQHKTKQYQSYSENIKLKLFQSSCLMNIIN ILEAKSSRNLKLKRISVDYNYSIQLPNIEEYFHSQDKLQLLKTIKNEKNRVENHIEKLEK IKKELDLSDYVFTQHKPNTYHSNTPGKSARKQHTLKLNTSGSTVFGKTENVKRQQSIIDC EDRKQIDKIKEIELYRKYEKVRDSKVLPQLVNQINESYEEKNKLSDKFNRQLYYCIHNRD YSIQDKIKEYKKDSLSYSQFYSIQKRLKQHMEGRFKATENQVVKFDDELKNLAKTNRLTA SRKQQLSRIKIELENGNQLENY >CAK71228 pep:novel supercontig:GCA_000165425.1:CT868096:674686:675593:1 gene:GSPATT00000941001 transcript:CAK71228 MNNVNLRVVIQLAYFILVLTIKLSQSQFRILLSYFKYDLDCALYTFNRYVNTCVEQQQLI FKSLCVDLGLINDGFLSRFQYFWLGLTIQLEFDSTIQNQIVRVGYLLVVIASANQTSIYL LIDSSAIGIIFGEALYGLLLLYQFIVKTNQQVKKLPLHKSCSHSFTEKIESPQQQIFDED SNQIKFMPLNQGLGKNSSLYSRDPSVLEQIEQQKTFYEILFNQFPEGILIIKDQNKIDYH NNQVYNLLGRKHIQNRKDQILPRLYELKNYSSKFQFEEQTQFDKFFSNRNEK >CAK71229 pep:novel supercontig:GCA_000165425.1:CT868096:675904:676845:1 gene:GSPATT00000942001 transcript:CAK71229 MNTQDEILLLKKCICEFLNKILPTIKDPLILTRQRLSQFKTVLLDELKTISDVYFYVQTL QFQEGLVLRTDTKIEHDRNPSGMNLGMLNLNSNPTISEKRVIPNSFGLNRGLDTQQTNAG NQANPKIEIASLNCQQNQIVEDQQEKEFPKLGKDIFFKRPSTRKLSIKTKDTKAIENNYK PIDEIQKLNFYGQRADNFSVLNNYSKRIPIFDKEMLLTEIKESEKIIRKNTTTLNAVYNR SFEIMTGDKEQNSEQLNSIQQGLRAQQDLRELQNAQRNATLKGYSIYAKKDKDIAKQRMD EIAQINDKKKLYF >CAK71230 pep:novel supercontig:GCA_000165425.1:CT868096:677287:677613:1 gene:GSPATT00000943001 transcript:CAK71230 MVIIAFVFNASSGVSIRILLTILGDFQINVHPTVQQIELGRALIESLMFLLFASVIVASN PDFILLTISFAFILGTTTYFTLQMAYFIPPFDHSLKDQSNLVLVCVIA >CAK71231 pep:novel supercontig:GCA_000165425.1:CT868096:677695:677955:1 gene:GSPATT00000944001 transcript:CAK71231 MTVLFGMLILALYVYKVFFFIVYFFLCGSSALMALTFNFSCELCFPLSENTTIFYFTRKT ISYVPLWLSYFMIFMLILICSSNFIP >CAK71232 pep:novel supercontig:GCA_000165425.1:CT868096:678104:678479:1 gene:GSPATT00000945001 transcript:CAK71232 MLKVIGFLLLLHSAISLIRYRKHLIYNDTTDQYHIPLDILLEIIVGFALNMFFGVIMDKK FANIHEFPHKKTYDQAFQRPNFRQPGGRGGVLQSVVKDKIGNFDINH >CAK71233 pep:novel supercontig:GCA_000165425.1:CT868096:678504:679822:-1 gene:GSPATT00000946001 transcript:CAK71233 MSHKLTYTVGNPRVGKIIVAAQLANNLKLQKYKSFICIRLQRFFDQDHCRQISFVRNTRR ISSSSNAILQYVTRGKPLVGITDYQQAMVRFYIARIGTYSTWFAVANSWFWIDNTLKIRR NKKELNWKLKILENHFKKNNFLVGQLLTIADLILATYFQRLFPLHLIYKYRDTIPNYFQI KYLHFWIIMEKPNIAIKPFPLQYYQQQQQKQLKQQLPQNTQQQKAHSKDEGEEEKPIKQQ CEFDLLPQSTFNIDDWKRQFLASKNFAAEFKTFWNILILKDGLYGLLNILRLNKMQRTDP HFKKWPFAIHSVYGDVPDFQICGAQIWKGTEIPQFLKDHPTFEYLQLTKLDASKPEDIAL FEEYWLDQTEDESKVQGLTARALHYFR >CAK71234 pep:novel supercontig:GCA_000165425.1:CT868096:680121:681107:1 gene:GSPATT00000947001 transcript:CAK71234 MSLSQLYGKQTINYAKLRQMRFPNDSQSFGLRQEYHDFTQLHRQPAETKKHQRAKSTLQN NYRQGTPITDLEEVEGEKLFDQFFKLNNSKSDSDFMRDSVQRDLKQPESEFLRFVENAIE YHKQSELHFRPAIKKNQHLEKKAKELVISNRLLASEINRYKQKEIELKNKIKILQKEYQL ELGQLIQKNEWLEELLVKQKIDNENSLLAVKKSLEMMQGRCMCQKGMVDKIMKEVKQQSR QQYDLQVTHSSSELSSNTEEDDFNFDYMRRRKSLSKTSEEHQFVLARSKNSREFARDLFI RKKHIQDPYYT >CAK71235 pep:novel supercontig:GCA_000165425.1:CT868096:681126:682581:1 gene:GSPATT00000948001 transcript:CAK71235 MVFIKRLVPLSILYCFEGIMLGLILYNVPSNLIDKGVEINRYNFSFVVLLPYLFRYIVAP LIDSVSWDKMGRRRSQLAVLYFGLGVLLYFSNFQSLDPSTWFWKAFWIVCLLACIDIIIA AWIVESLEKHDRGYGALAQFLGILIGGFIGAYIFRMFNSLEFCNTYIYAIPQEIPYITLQ IAFQDLSYISVGLAVACIIIIHNETTSNQDIIEAYKSALGAFQNRHLTVFFLFFCFFRIG QMPIDLAHIHLMRGQLTEGQIQFLEIFTFPIACILPYYMAKMIKTRVLEIRLIIVFSIYE IAISGVFIITLFASEENFPFPYRDTLLKISLISVWLLNLINITLAQSFIYKSTQSSIAAT FIGLLTTGINFQFIFEGFVEYLLANYNYYLIWACGVGIYALFFFHLASRATMIDQLNCEE FSLEIDIKKEKLLINTELATLA >CAK71236 pep:novel supercontig:GCA_000165425.1:CT868096:682713:683749:1 gene:GSPATT00000949001 transcript:CAK71236 MDDRLIELHKMSGRQDSFQLNNSNSSQDDDFMPQFFQKIKKALQCLERIKENNNRMQEMS MKYARATTSNVEKELSRELEQIMAQNQQYSNELKDAMNDIKEDVERSERDYSDQPETQMK INQRNALTSKVQEVLHASSQISIKYKTCVREKIRRQTKILDENASEEFLDEICNDPQKAT QLLQGKLYGEAPSAILTNTVSDIQEKYKDIQQLERSVQLVYQLFVDLAILVHAQGQQIDN IEINLDSAKTYVGKAEKSLVDAKEDHQSAKKKICCVILIGVVILAVIIGPVVATS >CAK71237 pep:novel supercontig:GCA_000165425.1:CT868096:683918:685717:-1 gene:GSPATT00000950001 transcript:CAK71237 MTEQQQLNNHGNRTRMIGNYVIGKTLGFGTFGKVKMGIHEQSQEKVAIKILEKDRIVETA DVERVQREIHILKLVRHPHIIQLYEIIETPKHIFLVMEMISGGELFDYIVQNTKLEEVEA CKLFQELIAGIEYLHKLRVVHRDLKPENLLLDHHKNLKIVDYGLSNTYKSEELLKTACGS PCYAAPEMIEGQKYQGVKVDLWSSGVILFACLCGYLPFEDQNTSALYKKILSGSYQLPSH LSKEAQSMIQGILTVKPDKRFTINDIRNHPWFKIYRRTYEIPPGIVVGYNRIPIDQEILK QLKQYGIDIDHAQKCLDANKHNEITTFYHLLLKRHLTNGGRSTADLNSESFDITLLEPKQ RPQKAPISSLVNNEVIKQQMKDEIIKQRSYSTDKDRGRIIKTTNQNKILADNDLSVNRIG NNGQAQSVQARQKDENYFEQSPVIKNNKVPYSNMLCQKYGIKFKNNNVTSIDYHNKDDIK KGSSRNKREIDIMNPYRNSSREQQQLNRMLQSGGRSQNSKKERAYITSHNNQSFDVPLNS NQSNKQKAINQQRSGHFHHD >CAK71238 pep:novel supercontig:GCA_000165425.1:CT868096:686272:687084:1 gene:GSPATT00000951001 transcript:CAK71238 MKTLEDKRIKIQQNQQDQESQIVQYNSSKRRRKNRQKIETEGSSEDDGFNRLTKLKSKKN LTPTYLIQILDYLKTDPYGFQSIRIFTLCEDAILDEKLINSPLVPYLVEIIYALTKYKQQ IPFKPLPLLLKAFSLHQEYIILQYIQMYLQNNKHEKHQIDQFCRQQFQSEDQNLLYVLCK SFKYANLQMLLFLCETIGNKDLVQAIKFYGEFIFDTNIEYQKGYRSTIKKIQQIAEIVLS GPEFYFTQEEDLEI >CAK71239 pep:novel supercontig:GCA_000165425.1:CT868096:687160:687768:1 gene:GSPATT00000952001 transcript:CAK71239 MQQNQCVQTSAFEFAFQLQDLIYRETKLKSPSLQYNFSKSTKAISNSKQIKQINSHRSVG EINPNISSPAPKKLLGKPVEGRALLANLMFPSQVKMKVSIIEQNKEKKDQPTSKNIHMAR RLSQYNQNESKSCKQFEWINKFNLQLQKCNYYVNNDKSVKLKTHRKRQLSVLDDVQPISS RNLFVNGTQSHFSSRDKTPNKK >CAK71240 pep:novel supercontig:GCA_000165425.1:CT868096:687780:689715:1 gene:GSPATT00000953001 transcript:CAK71240 MNTKITLRNSKQNSFLNSVQTTHRHTLSQGIELKLESPTNRETSGFTSFQSLKSSSIHLK KLVKSPTSQIKSARQFDLNENFLNQRTSIVQMMQTFSNNYEIIQQSEPLENIDLQKLFPV EEQKKSQNNEIVPHESKLRIFELKQESNNWISINRFRFHYFSIKIQGQESPIQVYVKCDQ SRLLNYRMYISTTASFPTKFNSEAVVQSKYFKYQDKKHNDKFLDKFLYIAIYSEEDCEIS ISFLYGNQSIKKQKPKRQKPNYDKYKYWWQQQKFDMSCETDKINSNLDTRQYSREARKEK LIQSQDQLDLRMKNAMSRKKELSEDKKMDLLSKYQASEALKEIRNQQKLKQRIRHAITKF QISWLGIFILIHLAENMKSMLDEAKKRSKYLAKGRLIVWKYRTTTMIKIKESGQNVDQRN LFKLCMTMCIFASHNKKRIKTDVSKVLRYFLTLTHQYCHFIQKHQELTYKVNYVKRVFRN LKQKEKAYKDRLWRILNKYAQEILHTLGAHFTNPKKRTYIIDSSALQKAMEDFLSQKKRK CQQFIQGYVREKDQKQKVVDLALNMMQPEMFDTPSYDDLYGIFRTYIHYKKMTSQQSQQQ QLKSQQLQQQQ >CAK71241 pep:novel supercontig:GCA_000165425.1:CT868096:690594:691547:1 gene:GSPATT00000954001 transcript:CAK71241 MGQSCASCQHKDEATPGQNGIELKDQLAEEDLDDSQDRESPPSTYQCKTMEADILQFQKI ISNVKEEDYVEESKEQQIIFDDQSRYLGSIVNGKANGFGKLWLENGDFYQGDFVENMMEG NGTFNYLKGPIYEGEFKSNKPDGYGIETWPDGSIYEGEFKGGRKHGKGCYKWHQGCVYNG DWKDNMIHGVGKYDWPDGRSYSGSWIKNQMHGRGKYIWKDGKCYDGEYQNDKKCGFGIFY WPDGKQFQGQWFNGRQHGKGLMIGKDGKKKIGSWLEGKLVSSTEDENFQIMPEGWILQQQ >CAK71242 pep:novel supercontig:GCA_000165425.1:CT868096:691693:692699:1 gene:GSPATT00000955001 transcript:CAK71242 MKIKRHAEHISDDSGQTFFEVFQKAISKYEVENQKSFPKQDSDRFTLYEDLKLILELSKI NQIEPSHFDRIAALLKRSKAVLRRRYQEYLKNVNQEDLQVIFSYLQHYGAYGYLIFSLEN GSQRLQDIEPLKQNETPIKTPIQTPIKQVTKQASHAPVMNEQKIPSQLQSQQQQPQQQKQ FIEPESQKKVKPNNKFQLSANSKYDVSNKKMNAFKQESVVLNSEQKHENEELKFILKTLS ETLKISYQELCQRMYQCSGDLNTLLDVYLNHQENLLWTKEADDALKAYLVEENQFEKQKL RAILHGEEQIQKRKEWLYGK >CAK71243 pep:novel supercontig:GCA_000165425.1:CT868096:692710:693670:-1 gene:GSPATT00000956001 transcript:CAK71243 MAAQVESLKMLISLGTAGIFGIVLVKNCFFTVEPGHCAIKFSKFLGLQEEKYKEGWHFRI PYFETPIDYNIQTRPRQIKANTANRDMQNVLLTLRVLHRPYSDDLPTIYRTLGIDYDEKV LPSIVNETMRSVVAQYTASQLMSQRDQVSFKIRQALDQRAAQFKIAIDDVSITELTFGKE YLDAVEAKQVAQQEAERAKFVVEQAREAKKSIVIKALGEAKSIELVGKSALTNPAFLDVR RIEYAREISAILAESRNHIMLPSDILKMDATAHK >CAK71244 pep:novel supercontig:GCA_000165425.1:CT868096:693687:696090:1 gene:GSPATT00000957001 transcript:CAK71244 MGVPAFFRWLCARNPKALLEVLENSDESSLSNNPDIDNLYLDMNGIIHPCSHPDQGGIPI PVTYDDMFVNVFHYIDRLVDIVRPKELIYMAIDGVAPRAKLNQQRSRRFRAAQESIRIQK EKERLRDYWRTQGLNSDALNTYIEKNFDSNQITPGTEFMQKLNIALQYYIYDRMNNNPLF RNVLIIFNDSSIPGEGEHKILQFVRDQRRQMNYKTVRHCLYGADADLIMLGLSTHEPYFY VIRETIMANDDKQCSICQQKGHFFTDCKKREKQYNQIQQQQKNKLIQVDFQILQLNIVRE FLYFETRDLQASLKGQYDLERIIDDFVFMCFLVGNDFLPHIPCLKITEGGIDCLLIIYKT ILSQIGDYLTNCGELNLQQMNQFLFHVGLIEDELIKGQEKKKEIVKNRRERNAEMKEQKV LMAPEFSIVDTQKEILSKFKSALKDKLTEINQQEIDQAQSAKSSQELKKWKAVSYKQRYY QEKFGIKPAQFNEFQHRIKISYLEALAWTLKYYYQGCVDWGWFYPYHYAPFAQDLTNLDQ CLFEFSLNTPFKPYQQLLAVLPPQSAQFLPRPYQQLMLETSSPIADFYPTDFVVDLKGKK FAWLGEVVLPFVDADRLIKASNIGVSLSKLEQERDRLGQTLIFSLKKHESFNFQQCHIQV QVDWLPRFPVGSEIKSPIPTMGNIFNNQIICGALLKTIIEIDASFIQNQIRKGTIMPPEF LDEYYMNQCEQNKRSFGGEAVRRIVYSILGVKQVRRLDRQQDDFDQIKKQTKL >CAK71245 pep:novel supercontig:GCA_000165425.1:CT868096:696107:697406:1 gene:GSPATT00000958001 transcript:CAK71245 MNSLKQETQEVPILNQQDEDEPQIIKLTQKYLHQMKIDSKQIFNYKKNFENENDLFTTNL DSAQVESFQDNIQTQLEKADQCDGFELIIDCETCYGEIGLKIVDSLNDLQGNSKTPKLVF SIVDSYNNTKNRIINRSLTLTQYKENSTLLIPLEMKEMQIHSNQLELNQQYSFIINNILS AYKCTQEYVNMHEFCKRITPVPQNNIFNVLASIPSLQDKPEDNILNYKQAKNFDRFYFSQ EIINLNSERESFGESYFLRGISPFPNERNLKSYGVDIRILDYIYQVPQIYDYIELLPFHS SIFSNESYKFTILKLYKELNSIKKMGFLQYEKQSFEDDQQLKYDLYQLIENYGGIDEEEQ L >CAK71246 pep:novel supercontig:GCA_000165425.1:CT868096:697440:697725:-1 gene:GSPATT00000959001 transcript:CAK71246 MRPIPWFVRLGIDVTIFTVPILILASYPRLGTHFLNNRRRKYRRRNFDEIQYRNDSTLSS EEVINFRKTLGFQDLI >CAK71247 pep:novel supercontig:GCA_000165425.1:CT868096:697747:698711:1 gene:GSPATT00000960001 transcript:CAK71247 MQNNNCLFCEKQRLEGVIHYPTLLQTALYLKYNPQDEMTIDDLIKHSHKKWVIDFYEKSQ EIQDQEFLKKYHDCHEIISKLIDLTDYYKYRLDTPNLFMLPLFKLIKNYNKLRKEYHYTQ IKYKLKILLPQNRNNVDIQFTQLLQLTMSKQEEEQPSLISLLNKLQPQQTKMPKQIKSYT QQSSLSTTKLFQKPLIKQSEQLSRKLKSILNGDIRQQIPQQMPQQQQMKKTQSKSHSSIK FHTLHSVNNNSKKLSMQTSQSNLQSIKTLGQSKIGSQADIISSIYLQKSNPIVKNRQQRK LIQLFYK >CAK71248 pep:novel supercontig:GCA_000165425.1:CT868096:699556:701276:1 gene:GSPATT00000961001 transcript:CAK71248 MGCLQPKKLNRIVAMQTTNKDLVFTSTADIHKLYSFGKVLGIGAFGKVLVAKMRNNNSKQ YAIKMIDKRKVRGREAMLANEIYVLQKLDHPNIIKFYEVYQNELYFYIIMDYCEGGELVE RIQKSQKNLSEGQVQNIIFKICSAIMYIHEQGIIHRDIKPENILFSTKDPNAEPKLIDFG LAIKFDSTNLKQLKAAVGTPLYLAPEVIEGKYNEKCDVWSLGILLFHLLCGYPPFYGKNR ADLYENIQYQNLIFDRRHWNNVSDEAKDLIKKMLNKNPNIRPSAKDCLRHPWFRRKFQHP VKLQRGRTTIISMKSPQFEDQRSIYQMLKTYRIGAKFKKEVMKVLVNQMNEKELGRLKQV FQKIDVDNSGTITVQELKEALMEEGSHISHEEIEQLIQIVGFEVLEEDKDDNDCASTTKS SKQLVIKYSDFLAACIDERRVLTREKLWSVFKYFDTQDANFIMKEDIKEALARHGRQLSD EKIEEMIYEIDPNHDNKISFEEFCQMMGVAGVEQTMDFKDEIKEQLIFQQSQE >CAK71249 pep:novel supercontig:GCA_000165425.1:CT868096:701562:703104:1 gene:GSPATT00000962001 transcript:CAK71249 MILILLILLLGGTQSQGCQPYGIRLFLGHYFSYLRNSQDVIRIVFNTEKECKGQFVLELM DNKKGNQVMASQITYYDTQLLNMTQIYEKSETIQYKYETFIHTFKIGLNNVDINVSTSYN YTIVSKQNSILSGPYKFDIPLAQNEQKFLVFGDMDSNWVQNYSKDTFNWLENQVNSDKRY DSIIFTGDMAYDLETNNCQQGDNWLRNLSVFTNRYPFMAAPGNHDTGENKFYDFFRANFG ALFLTEYNTKSYLNDFFSFDVGMVHFIQFNPIKIVYQNDIDNVTPLIVEQMRNDLIHANY NRDKVPWIIVYTHYPIYCSNPQSVQCLNNFKYLSEFEDLFVKYKVDLYLSGHVHTYQRNK PYYKNNIAKYKQQDNIISEYQYPVSIIEGVAGTDFGKQNETFPEAAFMEIQNPNHGIGII TVKNSTHLYYEHITVSDNKVIDAIWLDRSIDTTNTSNHDGFEIVMWVIFSVLLVLTGVSI YYKSNQGKKSKKKLLDETITMVVS >CAK71250 pep:novel supercontig:GCA_000165425.1:CT868096:703373:704488:1 gene:GSPATT00000963001 transcript:CAK71250 MSFCNTYHSFSHTFQIGTKYLQQNNQSNYYVQEGKSIYGPFMIQQAGFQNPSRFIVLGNM DSQWKLNNSQHTFEFLENSAKIYEQFDGIIYLGSMGFNLEDENCLQGDYFLKNISTFASH YPFMIAPGNYDAGQSKKFVFIKQQFKMPIISDYKLDLLEYYSFDVGFAHFIQFNPFQQIV MDIQGQKILDLLDEDLRRNQKPWIIVFTHYPLQCFGISQCQLFTNKLQEYINIFNKYQVD LVLSSYANIYQRYMQSNLNSFIQVIEGISGNDMNWENVYMNSEEIVYQSKEIGFGELIIH NETHLFYQHKLSKNNQSIDEFWIIKQNREEISHFIRITLILIMITFISQLFVIYRCVRRE KLQRQFQIVSE >CAK71251 pep:novel supercontig:GCA_000165425.1:CT868096:704571:706600:-1 gene:GSPATT00000964001 transcript:CAK71251 MIVQSYNFSLKYDIIMATSGKLIGDSTSLIGYTSIGSILLFQNNTSNFLNVKREFKSLGV INQCLVMASENTLTVHDVENNFDQCFIEVQDGINTLAIGQYYNGDSLQELIFVGGNCSLQ GFNVQGEEIYWNVASDEVAALCVFGFDNENYIVIGTTDNCVRLLRGVDIVFQFNTQSLPV SFAGIIYFDQTYLLTGMENGSIKLHRQQLELWHNSEDQEKIFKLICNDENHFIVGRRNGQ IELRSLMTGQLIQKLNLDEQLSGMLYSDLRGVGVNQIIAISKQGNVRGYTVVDKDADNLN LSQSRILTELTQKKQELMQQMQLDKMPVGLDLRCGFQKNYTNQCLNLVFILSEPGYYITQ AIIFHDTYYKEGYIVNNPQPSDKLFAPLKQLVDNQKVILNCKVFVSSNPHGNYFLILETS PTFPKFANFQYVEQDLKISSFVEAKINERVPRYVKWIDQCFNINEEVKKKFESTNEFIFN TINIINQQKLQIHEGKVTIRCDSIATCGDIIQDLCDFIQIQDLMTVGQFPVEMQEFKKVL EAVQQHKSSKLQISGDVAEAMNLVKSYIVKAEDSRMIGDIRTMQKMYANVMVQNKAIQSE LYKKKQNNDILMNNLKEVNAMISNASQLRVGNAKIAITNMCRNAVKKNNLLTLIEVIQQG REI >CAK71252 pep:novel supercontig:GCA_000165425.1:CT868096:706786:708442:1 gene:GSPATT00000965001 transcript:CAK71252 MEISNRQDIEKLIAYSKSIQVGLIEDDFNDQYTPPEKHVYESETLPDIKLEEFEPNLNED EMLKLLKQNVQSAKMKESVSSEHDSLDSQDSIERRSTPPKLDPQIQIQIPVQKSQTTVDM TEYNKVPEEVLQLLDGAKERKSMLGAAIKNTKGTSMLLQSQGKPIKIYFFNTQQHIQLNV TKSTTVLQVIRISITEYYKNNNYDQRLLRYPDNVDAYEIRFVDDDYEFKAEMAFGAIDKK KEILSTQTNVFSLIEISNYKSQTNDMQILEKVNPNMILVDVLIIDQKPEKNVTTKIQLDK NSIVQDIFTQINKKNKQINQIDYIIQLNDDDTLLDLDLRMPVAQLQNKKVQIKQKTWADQ AEQQFVNSQRRDTITEHDDYVDAPLNHIQAFKYEKFTVVKINERGKRQDRILGIDQFRIY NMNKKENLGLFERILNNKNSGTKFPQRLIEDIVDIRIAHQFLYITFRQENDFKELKFDTL DSKIAQKIYKKINFIRDFQITTQKYRASIQKLD >CAK71253 pep:novel supercontig:GCA_000165425.1:CT868096:708489:711497:-1 gene:GSPATT00000966001 transcript:CAK71253 MNKIRQEITPFQKEIILYYQTSKVILISSQSLKTIKDSSKQLYVVSKQWFRQWQYYVEYI QGIAPNEKREKPGALNCDLCSKENFLLKYPRDNSFNQQIIKLQSEDFILVNKLMIDVWGT EYGVGMTIPRNVYFNQQGVPSLNVNLLRQSVYINVLNPQRGVLQVDQDCIIKQWIQLLCL AIKNEFQVNVEQIRLWKHKHFQADYAIKQLEKHQGHFNGEILNENLTIGSYNADLIIIDI QIDGKWQYDQLDIKISCSEDFRHIYQKASKGCGKFICDRNYCKSNPIFSQIEFDRKTLQY YLIEQFKQDYLDWTTVCWNQINELKPIQQLQDNDNIVVALTELSIFPNSFGASFILNFNN CYDVINYKNSNPELNLSAIQLVNDVLDAKTLKLWMENLVSNYKQSIYFVRAIIIIMHFKC FNNLLYQEGNRIIEVFLILSKEDKDLLSNYLKILPDEYFIRYTSTIIEIMGNYLKQQSKK HIPLLKKTEIQFVKQMLELLQVFYNSNKTLKLLNLTRFQISEIATLYPKYGNQLEYIQFD SYSIQNLERYYFTFCQYPWVIPLEFKSEILAIDSKMNQKSQFQNSFMFYQPFLQLMIDRN DVVTSALVSLSRKDINLKAQLQIVFQGEQGIDQGGLAREFFSLLTQKLFDINFGMFVPKN NNSILWFNKNNMEMPFKYELIGMILGLALYNQVCLDLQFPEVIFKKLMNEPTCLEDLKEL DLEVYKGLNALAQYEQDNIEDVFALNFTITETIRDDIINVELLPNGTEIMVTQQNKHEYI RLCTNYYLNLQIETTFNSFKQGFWRVVEGNGIKLFSGSELQQLIVGQKNLNLKELEDSTE YDGFDYNSEYIKQFWTFLRTLNEEQQKRFLFFCTGSDRIPVGGLKSLKFLIQKHGEDSEQ LPSAHTCFNVLLLPQYDSIDKMIMKLQIALQNCEGFGLM >CAK71254 pep:novel supercontig:GCA_000165425.1:CT868096:711534:712530:-1 gene:GSPATT00000967001 transcript:CAK71254 MDSKDVIFIIEKSQDFSIFNGKNSSSSIIPNQKDQELMNTISFLQSELNETKANYQQLFK DFQLYQQKSEELLQKQSQYLLKDQQLQSDQKDQIKSLQNQIDQLIQRKKETSEINNENVQ FLEQLQNQQQQIEKLQEERDQLHDLKKSQQEIIEQQRSKFQVQESQIQELKQSVQDLQGA LEKRKQDYDLLELQMKSEKEQEIKFHLQSIAKRIIEQLNKIKNQYQTWEQQKILRKELAQ HLLEIFNQNLRQPLKACELTFRHEFQDNMINGFDEIQKKKIQ >CAK71255 pep:novel supercontig:GCA_000165425.1:CT868096:712660:713087:-1 gene:GSPATT00000968001 transcript:CAK71255 MIGNHYDILGVKRDAKQPQIKKAYHKLALRWHPDKNREQRAFALDQFHRINEAYHTLSKL DLKILYDHQLSQYESIESIKSLINLLKQNDSNDQNNDFDYSYDNFLSEEEQELLRQFKQR INEPGIQKKIRKSH >CAK71256 pep:novel supercontig:GCA_000165425.1:CT868096:713299:715302:-1 gene:GSPATT00000969001 transcript:CAK71256 MNLNNDALKSQDYAKSLDEIKESYLNTSKVLDLHYIDQSNDSNNFASFYALNRLSNRIEN RASYMQNSKSVRLMKNYKNHKIIRTVFFYIYLIITVFQRPTWCNFVDYQVKAMIFQEYCS IYNGQRIPKIVDFYLPVNLYYCIEIMIVLYLCLSKVFKATIIQSRHRKRIAMVQFMIMLL IIFNDITVMILENNFPFNISLLMKSIFIILQKQTLRSTIFHYCQILNKGKEIYYLMIFSL IFFTGLGSAIFKNKNTPQIESKKCIVIILFVLQTTVNQPDIYLPYYGQSRANVAYFIIFQ FINTTLIINLVLAFFYSSYKDLMQKETKQILSKYNHRFSLQTQKFFRKRTESDITRLADI SQYDNVISRSIYKILDNKFYEIFIEIISLISFVVIFFKITNVKLYILLVCNSIMFAECLL LLCYVGKSRIWKKKSLIVEFLFSISILLLIILNILGYNQFRALLILLCYRLLRGCKWILK SNSFTNLIISITSIFQYIVQLFGTLFILFMLYTALGQLLFGGKVLYSDEDEVHYELANFN DFLSGMCTCWFLLIINNWNVISYNYAKIFNTDLVYIFFISFYIVVVLFTLNVTMALLIEY IVSKIHPKKQTDVSQSEPQDELVQNYQIQK >CAK71257 pep:novel supercontig:GCA_000165425.1:CT868096:715892:716100:1 gene:GSPATT00000970001 transcript:CAK71257 MNIPSEYKSVTDYAVICGKRCQLFESLLRGRRLKNFEDCLYTCAEHVKDNMEKLQADKFD E >CAK71258 pep:novel supercontig:GCA_000165425.1:CT868096:716129:716772:-1 gene:GSPATT00000971001 transcript:CAK71258 MADQNIPIYRRGVEEVVKKSVDEYGKQKWDIEHKIFDKQEREDEEYDKNNPRYTKSLQAR TDSVGIDESIGKKRNVVKEGLKKDQSGFYCELCDELATDSLSWLDHLNSIMHNRLLGMNM KVDKVTALDVKEKLQQIKESTTKSQVQAQEKPKSIEEIRRQLEEQLQKKKEKKLKKQTSK LQSAITKNNEQEIDEDDLKLKQFLKK >CAK71259 pep:novel supercontig:GCA_000165425.1:CT868096:717915:718791:1 gene:GSPATT00000972001 transcript:CAK71259 MQKVHIAAFTDDKVFINLLDKEIERHVKEYFEKDQPNNENKILTSNKKNKKQYHSFSILH KNNIVVKDHKKIILKTFSNNTKISDINYNQFNDIPLSERFNKRCNIAQRNCYLGLKSQTQ QTQRSEFKSINEEIISSRLSKTQRNNRMDSIQERIEMYLYCNLQSCKEYLAKEQQRLKMV EEQIEKNQFLLEELAQELEIVNSNKAIFNSIKNLDQQVYKNELNCINYKKVTQELYEFIQ QIQKKYFKKQQELIYPFIQNFKNELNLMLLEYEPQIQQNQVQ >CAK71260 pep:novel supercontig:GCA_000165425.1:CT868096:719010:720606:-1 gene:GSPATT00000973001 transcript:CAK71260 MKQRQSSVHTEQQNRKSYRRSVSAVQSGRKISHSAITLRDNQIQISIINNISQCLAKKKI YVEIYSGPQQNDRNQSDCEDEILYALGATIVKKLSKHTHYLIWQYGLLSTLEKAKEWNIK IVNPMWVNECLTKKREVDASNFPIIQLTPQEIQELLKKDRVHIKKGKQSNQQIQEEEEET NEFKTRHIFSQFKDQLQQIAKNTNKSYVSISHFKKSSKDIHDKDKQELIHEELETEQLEV SQKMNSQMLSKKSSKNKKSKTQQIDSKFNLVLHKSCDYNILNLFEQINLKDQYQLVIDED MKIKCDILVINEDKLVYDLVFCCCCLNKQTKIISQKWIIKQQQNQKKEISFTHYLLTYNM QKKILFPYNFYVYFSKPKTSQQKNINQTLKQGLEQLIQNFGGKITSKEFCDIMIVMKIML KSTALTILSSNPNIKIIHAEWLYKSIQQGSLIDLNEYIIQY >CAK71261 pep:novel supercontig:GCA_000165425.1:CT868096:720608:720901:-1 gene:GSPATT00000974001 transcript:CAK71261 MGQICKLPSVTESIQYEDSNDKGPQTQDQQQQEQILNSNQLNTVPNQYSLQLSCNQEFGI PQFGQKMHSLQDSCFTPEFLGISQPFQQQVNIYQQQF >CAK71262 pep:novel supercontig:GCA_000165425.1:CT868096:720993:722845:-1 gene:GSPATT00000975001 transcript:CAK71262 MFLSVSGSGFHMNYRQEVRLPKLQGHKVQAYSMPKHRQLNSPEEKPISPDRFLKYLNNKV QSQAGVYRNPGAVQSSMILIAKQMKFCSDQHTHRQKEKSIKIIEQSNEYKYNLGLGNNHE LVQRIMDTRGDWQQTKDNSSMFVNFRWQQWHRGYKYDRLILNNQYKQMVNHFENHPELSN KQYLFRNLCSYCEQNKLNVYDSVPLTFVLDFKDDSVDQQFTQFVKFFDKYAPKKIDQMAK KLVEYKKKLKIPVQPMNDKKIQPIPNKIPKTQNGNQYLWLLKPTFLNRGQGIHVVNDLDT IISLICEYQEGHQTIEDDDVKNNKKNNNIKANSFVIQKYIEQPFLINQRKFDIRVWVLVT QDLHCYFFKEGYIRTSCENYTTDDVSNQFIHLTNNAIQKYSDKYGEFEDGNQLSFDDFQN YLNQQGFNIDFQQNNVAKMKQLVWMSMQSVKRKLNLHQRKFCMEIFGYDFIIDQDFKTWL IEINTNPCIEESSGILKMLLPRMLDDAFKLTIDVIFPPKQKLEINESVFPVNKYSNTESL WYLSHLQKRESIGNLDSPKPAAKQSAIVLPNQLSQQTQQYQTIIPIYQGDL >CAK71263 pep:novel supercontig:GCA_000165425.1:CT868096:722873:724589:1 gene:GSPATT00000976001 transcript:CAK71263 MYQPTDREKIELYISARKLANMDVISESDPKCKIFVRDFNGVERQIGQTEVLKNNLNPDW KTTIQMDFIFEIKQELRFEVWDHDDGTIDKDDLIGVVNTTVGEIMGSRNQVYTGQLKLKN KATGTLLIKGDKLKDDNRFIFWQWEGKKIKNVDGWFGNSDPFLRFYKQSGNDWLFIHETE YCKNNENPHWKPFEISMSKLNAGNTLQQIKVECWDNQTNGKHQYIGDSLFTIHQIENELK REFISLSKKGSNHGILQLKQFNLFTKPSFIDYIKGGEQINLMIAVDFTGSNGDPKFPNSL HYNNPNQMNQYQSALYQVSEILLNYDFDKKIPMYGFGGIIGIQIESIPSFPLTGSFQQPE VFGLEGIMAQYSGALQNVTLSGPTYFAPIIQYACQTAQQNISQNIYTVLMILTDGEIHDM NQTTQLIIGAARIPLSIIIIGVGDEQFQQMKTLDGDSGPLKGSSSRDLVQFVPFRDFKQQ GELAREVLAELPNQLVTYKQLLGLQPLKVPDIHLSQLG >CAK71264 pep:novel supercontig:GCA_000165425.1:CT868096:725107:725758:-1 gene:GSPATT00000977001 transcript:CAK71264 MNFEIKTNQSRELAEIIYDEQGVFAIMDGHITDCDQIITFVRDYFQCIENIQQQIRACNK GLQQFDFIQLFANAHSNVTSHSHFASLMFGTTANLISAWGRSKNNFMSQIIGQINYYRIV LRSQTTSTGGKEKYRTLRGICQYLQIIEWLICRAIQSYIKGAKFPGLAIFGIIGDQLAES VGFSHVPGIFNYLFEISISIRQRGMI >CAK71265 pep:novel supercontig:GCA_000165425.1:CT868096:726011:727953:1 gene:GSPATT00000978001 transcript:CAK71265 MLENQMLQFLNSDFQQFTQQDKQDKQDENTETEVPNNSQRQMRVKSFEEKPANKPLNLIF QMLLQKKTQKRQQIKVDPKSIPLSINLSVQVQELPIIRKIMEKNEWKKAHNFDGDILWCG PDNVQQAKQFTYDFAMVFMNQCISRIPGAKLISTKKETTSIMDKMRQYFPSIYNFYPKTY TMPEDLHEFIEDFEKKDGISYIAKPDKGTQGQGIFIVSQIEDFNGIAIIRNYIIQEYISN PLLLDDKKFDFRLYVLITSLKPLIAYINEEGLARLCTENYQDITSSNLSNVYMHLTNYSL NKNSQNFIIHPPDFQKINLGTKRTYTSAKKTLMKMGIDVPKLKLRIEQTIVRFLYGIAPF LLNSTREIYQDKWEKANCFHVIGFDILIDRDLKPWIIEINANPSLEITQVVQNSNGSKRV ETSSLDAYVKTKVIEDAFIIAVKTPQEQIEQIGKGKYCNSYKMIIDGQPPIENLDLFYKL VDLFSNLCGLRYGNLNQMRFCRLANNPQLVNDLLIKSDYDLIYKKIQLKSLQPDLSFLGF LEAIESISEKLLKRKASNYTLQKAVTYVVNTALKAPQQQQRPLTAKR >CAK71266 pep:novel supercontig:GCA_000165425.1:CT868096:728018:728616:1 gene:GSPATT00000979001 transcript:CAK71266 MFYQVKGLKTKLSIEPNYLDQLKDQKFILEQIVQKLEGKYFDDVGYIICITKCMNYNQHM DEQIDALIEEDGTVRVVIKFEAIVFKLQEKEIVDVEVSKCEAQAVFAKLGPIDFIIPRDK LPANFTYSNESYKEEDEEVIKQGTKLRVQVQKTNYKQGKLRAFAHLTGDNLDNICGILSD DLKL >CAK71267 pep:novel supercontig:GCA_000165425.1:CT868096:729128:733227:1 gene:GSPATT00000980001 transcript:CAK71267 MDNKTYFFQIKAIFQKNLLCSFRNKEFLAETLIPFFCGLSLAAKDIDGTQLISFFSPFFV ALAIFSNPRSLLIHLCEEKELKFKETQKIMGLKQNSYLIGWMLYAYFKTFMSFAIFILTW TLFGYIINERHDRNIFGFDNDTAWYIIIGLYFMYSTALIHHSMFLTTFFSQSKTANEITT FLLVVSLILPFFGFVDKFQSTFFFVLISLFPQTCIALTYISTQYGVFRYLNNQLEIYFSY GTMAIQLGVLSAVYLLLYFYFDAIIPNEYGIAKHPLFFLNFTKGKKEKRKVDLENPLIQQ EQENVASQTYNDDGSSAFYHEPRNYLNVKPSVKIRSIVKKFGNFTAVDQVSLNLYQKEIL CLLGHNGAGKTTTINVLTGLLSLTAGKVYVFDEELESNLEEIRERIGICNQRDVLYDELT VKEQLQFMGRVKGLEGNDLEQEIQQILSTTLLTNEQEKITKTLSGGNKRKLSLAQAIIGG SNVLFLDEPTSGMDAQTRRGIWEILEKVKAENRTLVLTTHHLDEAEELADRIAIMAAGKL LACGRSEYIKQNFGEGYSISITILDKSINKEEVIKFTQENLVQAVLDPQSSQLSILFKIK FNQKKYLLNYFQALEKEFQNKLSVNFRINSLEDAFINIGMDEEKFLERAKRMVEGRTSVN EDRDSVIGTDQFAELKSKVPVPDCLNRAPIYSFKEQTLAIYLRKYYTTIRTFSNYLAIII PLALIITGIVVIGEMDLGEENKDTKVFRMIFFSIFAIIALCFNSSLFIMQPVLERETNLK YALVAMGCRPVPYWFGTFLFDFTIYFGMMTIIILISLSYEFLSEKVGKMIFIFFSFGVSF IMFSFLIGTLLYEKASKAMKTFPFLNYFIFFALPLNVWLIVALIFMNGNDSQELSSGQSI VIKLVDLLCALISPFYAFFQAITKSNDIEGGDIPYISNVFWPYCLIMIFQSFAFFYLNMH IEQMKYFKGISSNNQRCNNYIPAPIKDPEVLNEIQQISNGTKNTPIKVLRLQKSYNPSNF LAVKDITFGVGQNEILGLLGPNGAGKSTTFNILTSLITKSSGNVKIKDVEIDRTKSDVFR DTGICPQFDCIWENLTPREHLYLFGRMKGLNGNDLHQSVAYFLSTMQLEEYIYRKSGLLS GGNKRKLCVSNALIGGPSIQFFDEPSTGVDPIARRFLWKTLRQGVKLRQSSVVLTTHTMD EAESLCDRIAIMINGEIFCLGNPKELRDRYGEGYNISIRNPTSREQIFKIIQEQYSEAKE SQQTHEEYQSIHIPTHQFQFFNMFQLLTELEQKNCIKDFTINQSTLESVFLQFSQLQQQQ QQVS >CAK71268 pep:novel supercontig:GCA_000165425.1:CT868096:733511:735615:1 gene:GSPATT00000981001 transcript:CAK71268 MNQEEKQNENKEPEVKDNVEEFCMKALSAEEQKKIMLQISEEESFNLKNIQQREDDAVFI EDEPDKALAKLLTINQQNFQERQAYARHLSELIILVGDDAQQQLTQIINQLISDSDEIKK ILIHQLNLLIDHLDVSIIKQHLLPASMQLIKYHNFDIQSDVQKQISRIAQKLTNEEINEL ILNDLILMAHDESNEENKMVALQFFGSYAHIANQQFLESFISIEFINAGEDISVRVRKES LQQLPHIAKSVRPEFFVTKLLPYYLQKSEDKSSWHIRKACVEIIVKLAQVAPKQVRQNEL SNKMVDFLKDSNKWVKASAFSLLGLFIHTLQDCNQKNEQLLNEYCRSINKDVCEYFSSDQ EIYDACAATFHYVVEIYGQEKWPNLLKLLQNLVKNKGVRKMLAENLYVIAKSCGPRYAEK DLVIILDSFLKDLNDEVKYAAAQHLWEFIKIFDEEKRDNLLDVVLIIQRDQKKWRIRHLI AKQIKHLVPLYSVDNIFQIIVPITLKLCNDIVAVVRKQAAKEMHSILQSLNTEDETSQIY YQCLIENIKAYGISHRFNQRQAFAYMCSKLITLKEFESTFLEQFLSLSLDPVKNVRITVA LIVQKRFKQGHLKDNQKILQMIENLKSHKDREVLKILD >CAK71269 pep:novel supercontig:GCA_000165425.1:CT868096:736835:742223:1 gene:GSPATT00000983001 transcript:CAK71269 MNKIKEFCSGYIKTILFAIEYKHRFQFPQELFIIPHFLHHVQLLSLFLERSSDQAFDYVV VLAHFSLFEPMLTDDLSKLFLFLIFAFQIILLFYLLIATFETQRVSLNFKLRLILEKKIE SVSSVLHWYFTFYNIFTIPIIVISVKFMLTNYYIFAYFNAILQYFQGIILIYLLRNHRFA ENNSFKRKFAYVQFFNYTIAYLLIFFRFYEEIVFVKYALGFSYAILQIVDQFYSCPYRDP FREPSLKVAMVLLSSIFICLFFSLKTIVDGQLFWILFVAALMSGVSASISSLFHEFAMTN YNSRFNLQEKYIIIGVDQFYSHFTYFQTSEYNKLTYFQQLIKHNENCMRPKCPSQKSKLS KVNFNDNIQLQKLTIDVVSCIFKTCHSRTVIKNGEIHKPKFEQLQLQYISFLSSIAQRPL IGYVELRKYQQDQNNDNSLYFRELTSKISAELEFAILYLQERMILQQFRKEKYMAKEEKL TIAQLWRKITPHIIDVIDKKQRFWDNLLEGYDDLEPFHNEQLQLCKSIDQLYKKIKTSII IPEVFFDRSKDISLQTVLKTLDPEDRNINILNLKIYSILYAVILNDFDRAFQAEKQIEDL IKEDRQKSIEIIDDCALLKDDAAIILVSLVRKKGHIVNKNLLTLANFFEFQNVQDIAGQT LISSFLPPDLRILHDAQLNEFISKGFTKYSVQSIHSYYLQKQGYLRECYIKLGNLFQDSS DFILTGSILRLQTSYDVIMFDGYGKIIGTSQEFFDKRILPNNPSMTMERFVEQGNIIMLM PFILINLKKLANETQTDFVDYSYFPEQLLDICLQFGLLFDNKQKYDQRLSSYNLTTIKSQ QASHMTAISSRSYGNSEEQVKIKGQNIPMLQVERMNLILDFLLKYHNEQSIQCDILKIKY SIEFQVMETKKNKYPYFILKLEPLNEFERSDSCSIIPYKKSIRRTLIALKYGESQTGVES TNTKNFTIRNFQQNQDQLNETADIKGPSDQHLLEQIMQISNISISKPQKQQDDLKVVFEN PQISRNEDEDFQQLDQDVEESKIDVQKPEQELFEDPKYDSDLIEKKSDSSKGQKTKKKSD ILEILRKNINNQEVQEHEHQQSSKPSSTKTSSSKSPYLLIRTLYQIGEIDSQIWVIIMLN IFICLIALILVFIKIFIVQNQFGILQANLNYVQYPEKINNYFLKSLMFSSNILQQKLELV TYSQFVNDALKNEFSNLALKIEYELKSIYEDIITFENQVVSINDDLKVINNLKQFEITFD LTILLEQISSNSINLNLLIQNEKELEENFLSLNLFFKLNMVQVITVSKSYINYLNDNLIF YEQQIVNILIYVIVAELSIITVFMFFIIKVLQDVNQLIRKILILITKLSEDDVNQINRIY QEIKTILKDPKQVQWKQTNFVKCIFETNFVKAKQDLNYLQSSNGSEAAKNNKQKFKKRYQ NSSLTSRVYNLRLPQIWNYAFIFLSWLFLAGYLLGALGLSLSMVHQIKPAITLNLQLIEF KLKFDSLIVYGEILKTDYLVNLKISQLFELSQINFNQDGVKAEFFSLQEGFQDQLSVIYD QLQSSTSLLDEQKNTLLVPFRNSLCESDPTLVPACTNPLETNSSDNSLDYLSGGIVNMVH EFSKTLKTFWQLNDYAITDENQLEKFLSGQVHTTEFVHHFLFQGKIMEQIANTMLNLNKS TLDSVILKFQIYMYILGLTLIIVYTLCFYKWILKLDNEMYLTKLALTLIPADFLNEQKTM SDLKNIQNE >CAK71270 pep:novel supercontig:GCA_000165425.1:CT868096:742308:743590:1 gene:GSPATT00000984001 transcript:CAK71270 MAFKIVFNRKIHKLPLHVTTVQAIRSSIEKLYPQINQNYHIVFFYDQEPLEIVHEDALII LLKILQSSSYKLFVFENNIGQLTEEDLKFMEQSSIYSASTIQIDQNIKQQQARLGNQQFQ QYIGYLPSQHTHNEIDQQSLQLQIPQIKQQQLQQQQQQQQQQQQQQQLQQQQQQQQQQQQ QQQYQQFQGLQQQVQQPQNNYLLQGYYPSQKQPSQFQVPLGQQFQYPQMEQEVQQEVYQV EVIQNSQVQSYPDKEFIWKLVLKNSGQKTWPQTMKIRCIDNPFKTQQLLLPEAIPGQVVD VSIQLKAPQKIDSLFVNWGIYYLENTLEFAIKQTICLFLEVIKEQPELRFHGTQILVKAE QVKSIMTDQSINYICKFIEKHRILQYRVEDIINKIYDEQSQQ >CAK71271 pep:novel supercontig:GCA_000165425.1:CT868096:743600:745293:1 gene:GSPATT00000985001 transcript:CAK71271 MNYKLTEPLQGQDQQPDIVGEFITPKGKRRVLHGFSNQIIVANKNKFISLSFETKFQLLR ESCEEKFKVGKPLGLLIERENVEHKIKLFSDDTQLLKRWRDYLARHINQRAFHESFKAHR KIGKGNFASVYLADRLEDERSFAIKAFSKEVAYGQDKGKMSILNEIQVMRKFDSHALIKL HEVYETENSLYMVLDLLEGGSLYDKVKNRPQFNSFEIELLMFTLLEGLQHMHSKHIMHRD LKPENILFRKPNNIQSVCIADFGLAQNANEFPYLFNRCGTPGFVAPEVVNCKDGGRYDPI CDVYSLGLIFYILLTGKPAFPGKSYNDVLAKNRKCEILFDGALFENVPQQAYDLLRKMLE KEPNKRITAQQALGHSYFGRRLKQVDDNEETTLKVQEEHLKFDKQRLTQLSNSPLNSPLI AASSRLRKDYSNDSLYQNSPLLNGHTDQIDSPLNNGFNSPSAQGRQLNRADQQQQQKPSR FRDFDGNNTNGQGSNTNSNSKPFSLNQNPLHKYAIRNEMVRQQNNQEQKH >CAK71272 pep:novel supercontig:GCA_000165425.1:CT868096:745512:745869:1 gene:GSPATT00000986001 transcript:CAK71272 MQRQLFVISCCLEGRKGKNEKLKQQYRSEFKTKPLQIPKSHIPYLKVKEENDKQLKKQAY LVLSGRKVRQQTPLDEFIKKRHEKSLNQTASLADGLHAKSKLDIFNI >CAK71273 pep:novel supercontig:GCA_000165425.1:CT868096:746844:747488:1 gene:GSPATT00000987001 transcript:CAK71273 MLNFKNIYKLFIQAKPTPNPNFLKFIPGGKQVMLNGTYDFTRPREAKCSPLAQKLFLIDG VTRVFYGKDYISIAKKEESRWEEIKPQIFEHIMEHYQLDSDGQEKKLIIDGYQENQDTQI NEDDSEVVQLIKEIIDTRIRPTVQEDGGDIVFRDFDEKSGIVQLYMKGSCAGCPSSSVTL KNGIERMLCHYVAEVKEVVAEDYNGSD >CAK71274 pep:novel supercontig:GCA_000165425.1:CT868096:747517:749983:-1 gene:GSPATT00000988001 transcript:CAK71274 MNREDLAIFPHTPQFQTIYNPTLVHPMSAFVSRSSYKNNQLQRNKLNRFNVEQYYSKRQH DQRHISLPRALQAVKKQQNEQQRRGSHYKEATISIVYPDSKNEDSIVSKIDKLSNLQNNL QGVHMDLVEEKKTNIIDLKKSYTRFKETSKTKTLLSTILRGFQCKQNDFDNDNLIQIMGL QIKASKQQEQQAFRTKAYSLEKERESIKTSIEKRETTTRSVQKRRESPQSKIKNVSQSNM KINQNFRFSLNEYSNLSRDQLFQTKLFSYHHFLFMVSAQSNFYVVPSNNLIELKFKVGKG NNSLLIKETFKQRWWWTLNQEADNKELNFIWTQIKVPQFMNLQEWSKESVLTRQKSLSTT STELKRMKKPQSPKSLKKSTRFGKFDDPLQKLMNDQDICELKNIDKSIQDFQKYAEKKEF KIIDNFHKIHNHIERNYHLGNKKAMFHNMKKFYELTKQDLFQHLPVTFHVSGVKDKSYLQ FLEYYKEKKGNGIWIVKPGEFTNRGNGIIVCQNLNEIHRIVSKRQNHPNGKPFTYLIQKY IEKPFLYNKRKFDIRCYFLITQLNNVIRAYWYEEGYLRTSSEEFDIKDVSNQYVHLTNDA IQKYSEAYGKYENGNKLSFAEFQRYLDKWHNNDHFDFYKDLYPQLKVITLNAIKSVYHKI EPFKKNYNFEIFGLDFMIDEKFKPYLIEINTNPCLELSSPLLGRIIPAMVENAFRLSIDT LVPPPESSAWPPNKKHLLFYDNLLENNRFQLLFDEKDDALDLKNLYSNQINDDQIDEMNE EEEEYKSDDD >CAK71275 pep:novel supercontig:GCA_000165425.1:CT868096:750310:754413:1 gene:GSPATT00000989001 transcript:CAK71275 MSEINPQKKQHETELALQNKDSDRNNQINTVFNDQQDEQVQEEQPLNQQEQQEEPSMASI PEEEEEMFYPYYHGQNSWLISKLAFFHLTSYQLFLKKHVLDKGHKIAEKHLPKLSPWEDL QETVKQCQTAVKQQKVVTCGSLVKIIFFGQLKWVCIGCFIGYVIEAIGRNVLSIIMSKVI TSAAQNERDSAYNYAILLVFLNLICVFSRHHGYNLSMVFSSKARMALINLVYIKLTELSA YSIKEANIGKILNLVSGDINYLEFVFIMIFQTSVCFISMIFGSYILWDRFNGPIGMIALA IIFVAYPIQIILQSFNSETLKTSKQYQDQRLKLTNELIEGIRLIKMYAWEQAFNKMISIM RKEEYYCLLKINFRTVTDRLFSLISQVWSSFVFFIILYYGGYRKTMSVAEMISTIQLLTF FKISCVFMVSYGIQSIIHIKVSFTRIATVLNILNSEMTNLDQIQLKSDNNNKEQSSNYHG PRIQLKNFSSFWTGKVTETTKPVLKNLTLDIQAGEAWAFIGRVGSGKSTLLSAFLYEIPA YKGSFKIDGQEANKGDLTLAYVEQEPFIFPDTIRRNILFGRPYDKVLYQKVLHASQLEAD LTQMKYQDHTEIGERGTTLSGGQKARLSLARALYQQADLYLFDDPLSAVDASVAKNIFHM AIKEFIFQFQVQRNPQKKKPIVILVTHQVQYAVECDKIAILNDGELIAQGCYDDIKQSLY MINDELAQQLSNTKELKKQEFLRPSFQKRVKIRNSVANNLIGKEADQQQLITIKTYIRYY KFWNIIVIIIVLCLEVGSEVCNNFYQRIISLFEQYQQENDIDTAYYQLGMLTLGLILINL IKYILNTYSVLSSTQKIHQQMLKSLTSAPISYFDVNPSGRIINRFSNDLSLCDNQTNTVS LDVLEIMGNFLFALVTLAILQPYFLIMILFIIIIDYYQFSYSKKIISQLKEVELMQRSPL FDFLKKTLGGVIQVRVYEQQEWFRKQFLDISNKCNLNSLTYYYSSRAFGFNLDLVGFIAQ TVGIFIFLKLDLNDVAVLSQGLLLLITYNDGLQWGLRQLITFETQMNSYNRMFQIIDIVP EAPHYTKEDEKYPDFPKDGRVKFDNVFMRYRQNCDLVLKGLSFEIQSGEKIGCVGRTGAG KSSILQVIFRMSEIESDKESTLQISDIDIRKLGLHKLRSNIGIIPQSPFLFTGTIRRNLD PFENFTDEQLWKALEQTDLINHVKAFQSGLQTDMSDVNSVFSVGQKQLICLARIILQQKK IIVLDEATANVDMKTDDFIQDTLKKKFSDCTLITIAHRLNTIADYDKVMVVSEGQVIEFD TPFNLLANSIKSTTVDKSTEFSRLVKNTGDQNSQAIFDIAKQKQIRM >CAK71276 pep:novel supercontig:GCA_000165425.1:CT868096:754591:755576:-1 gene:GSPATT00000990001 transcript:CAK71276 MRRRFESAYNPRNQIDKREYALYLENQIKQKEERNKEDRILDNVKDQFLFQQICFDYLRQ PRSRFQIHSILDNQDLEKRQKLQQQKLEQDIYNYNKMIAEDKKRIKELSKSQQNDSLIVP LKLPKIQAYSQEPQTNKNMNTQVLIVPNTLSKSFVFNTEHSHIQPRPISSLNPIDDIKIS PSKVQDQIDQNQLEYQEQKQKEEIKRRNQELEAIRKKMHDNYITTRNQITSLVEFIKYNQ QQQNQFLVLSRQLNTLMKQDQFQPAYPFLKEELNKKMNNQYFHHNEFLEQVKDELQTSSN YIQFQQIGKVQDHEDLVFEL >CAK71277 pep:novel supercontig:GCA_000165425.1:CT868096:755998:759719:-1 gene:GSPATT00000991001 transcript:CAK71277 MNQLKTLLEKNFTLHFRSKQFWVNLYVPILSAILSIIFTYKNNETYYILLIFVCLNNNGA LRHSLILIIREKSSNFKKLQQQMGLSSNAYYASWIITFFVLTIGVSLLYIIPFIIFRLNE AGDGHLRIDMLFLGFILYSCALASFVLFLSSFSKNQNKASELIGLLNVVLTFVVISNFAP EPKLTFLGQLPTLFVPQTAFQMLLLSSNWLYKEQEYFLNPGIYITCLIVQIVAYSLGFMY FDQVLSGSKRYFFLCNYCKKNNKTYADFDDESTPNVNNQDAEPYQLYKPMLNIQDQLVEN QGQPYIQVENMWKKIENQYILKSLNMEIYQNEIYCLLGPNGAGKSTLISILSGLQEKTKG KINILGQSIDNKQFKNQIGICLQKDVLYSELNVYEHLKFYGQLRGILDQELQQQINYILQ VCQLLNEANTKADHLSGGNKRKLSLAISLIGNSKIVFLDEPSSGMDALTRQQIWDVIKQM RLHRVIIMTTHHMEEADELASRVGILMNGQIVTQGTPDFIKLNFGVGYHLQMDFQDQNQL LLEKPRIQAQLQKIDSYTPVIQSAPNSLKSVLPVNKIHLFHELLNYLEENSQSKFSLQLN SLEDSYLAIDYQYGTQNIDLNAQRVFQQKPKIDFKAQLFSLAQRKLLLLLSSTDKQWKYL FPLPFIYIAVIFGLQSSFLFVFLYLTIKALTSTLYVMIQLDDKDTKIKQYMYASGVQIHT YWLSNVLTDIVPSIIEGLFTAVLLYIYDVGHFGQHIFGVFLLITVFGVSLSCFANCIAVF QGNSQRVYVGAPLLQFFVFFLLFFIFMLYTAQPSCSLGLNMFSMLLMVLSPYSACYMGFA MSPILLNGAIFSYFSCILYLILGGIVYYLILQQLDKGQFKQIQPDMSDTDAVIDVQELTK KVGVVETVHKLSFQVKQKEIYGLLGPNGAGKSTTFNMISKFDQPTTGQVRVRDAESNLGL VPQFCPFYPTLTVYQHLSIYGALKGQENLQNAIEVYLKALDMYDIKDRKVEYLSGGERRK VQIGIALIGGSNRLFMDEPTTGLDPKSRRIIQQILLQSTINNDASVLLTTHSLQEAQSIC NRIGIMVNGFLITQGTINELRAQLGEQARFSVKCNIGRKEQVHQTIWLQLSQQFQLQPVF EYRENYLSYQIPAGYFKLSNLFQYLQVELKQKQLLITDFSIYQPSLDQIFAFFASQQIVG LNQNLLNNMGDNQFDFGNRLRAIMAFFCIFW >CAK71278 pep:novel supercontig:GCA_000165425.1:CT868096:760365:763180:1 gene:GSPATT00000992001 transcript:CAK71278 MKHTNTTSFGRTMEFIRKISDYRSRYQPLELQLQKNGGLQKQIFYEENGITKVHSTNISI KGIGSLRKAADQTVKNESMMKQSQVMKIDHEGLISEIIFLQNKDRRKTEIEQFDGNQQKE IEEFCRENPIKVMICITMYSEPLSELQKSLQGIQESLQEFYENDILPQQIVVVVIYDGIE NIRNQADENNNNQEGDIIPYFCQYLDKQNNIEVNQTLEYQYLRYKFKKELIQKYSLEQRK LFQEKPDQLKSIYQDKYLRNEIKQQQQNENDLQAIIKIMEEQHRKAVEYVKLRQDNALVY QNVQKIKLPNKDEEQMPIFHVFKFANGTKLSSHLWFFKGFCHEFKPEYCVLMDCGARPQK GSVYNLVKELIDNDQVGGACGKMTIELSKTGNQKDEYMDILTELLSVNIFNIERCQKCEY DIGNLLDKQFESALNFIQVLPGAYSAYRYKAFSTKYKKNTEPEINNSQQVQANNEQEVSQ NENILDYYLKSKLDHNYEHATLEEANMFLAEDRVLCLYLFCNGYYLRYVRDALVEVDPPQ TMIQLLLQRRRWINGSFFALNYVIKKFGELLPNSGHSRTDQYLFIICLVFARISQAMQYS IISLQMVWLYMILNTLTETLEKVVAAAIKQAVMGSYGFLIFCLIYLSLNYNPSSVNMISK KDEKEEERHKINSYFFSKFYGISTLLGLFSIFNAGITIYLFIEELIINLSPFNFFQYPQD LPSYVYFIVLLSIILTILPFLLQICIDRKLVIQIAINSIHYVYYMPTYTHLFITYSFCRI DDLTWGTKGLTQELENKDQNNRESNKKYQKAKFLVKWIFWNVALVLTFYLLFSFKIQNII IIIVLALGVTFSILQLAKFFGYFKFWIKLIISKKESNNQVHNFNEQQQIVRRKTKKERSI KQKEYTKALYQPFPQDEGQSQTQ >CAK71279 pep:novel supercontig:GCA_000165425.1:CT868096:763255:763462:-1 gene:GSPATT00000993001 transcript:CAK71279 MFHLCLISKIKNTQHKTLNRLSEKSKIQMLQEYLIQIQIRCGTRIQPIDYQRYHVKVLFP M >CAK71280 pep:novel supercontig:GCA_000165425.1:CT868096:763541:763837:1 gene:GSPATT00000994001 transcript:CAK71280 MKSNITTRCKDSLNISSLEVIHFNSPCIIEFELMITNFCQFSFWQQDHCIRFKFLLSLED NEIVFELLNLDVVIYIDSFFNMQIQTRFVNSGPYWTWN >CAK71281 pep:novel supercontig:GCA_000165425.1:CT868096:763929:764129:-1 gene:GSPATT00000995001 transcript:CAK71281 MPYNKTKMSKRIKPTKPEEFFFRKDRWYQAIAIDANQAEKSLMQIINIVIHMWSWMTATR LLMTQY >CAK71282 pep:novel supercontig:GCA_000165425.1:CT868096:764216:764515:-1 gene:GSPATT00000996001 transcript:CAK71282 MRYKGKIYAFGSLCPYDLETDLSVGICFGDKLDCPKHGCQFNITNVMVEGPPSIDNLPKF GVKENEDSIEVYAPLIVSKKIIPQHHFRDYNNQRKVIIY >CAK71283 pep:novel supercontig:GCA_000165425.1:CT868096:765254:766815:1 gene:GSPATT00000997001 transcript:CAK71283 MMQDSLFAESFWNPISLTSFSHDDDYNVFQLHSENTPVVIRVIDGQLISSSQQLDLFQTY ITLDEKGVTLQNQFGQITYSGPQLQQFYELINKLCMHLDIHNHYQIEKQTHQLDNFEIFQ SRDKMTGILKSIKKYSKNLGKQYLKDILSYSNYEILYEDDQYLYLVIQYLNEQNIQNLAF EQSFFGLLKLLYNYHKKGLALNHFSESNTILLDENYNMEILDNSQLKRITQDYQNDVLKD VQIIKSYLIQQFPHKQDTLLDVVDTTFNSVQELLFHQCFINYFGERNFFVFLNAQPYQQL GYLSSNPQLNLLETFNTIRSSIMDSSDESEDVQLINQFNFCQSQFEDSPMLSPQLKPKHQ SRLSSRQVVLETLLNEHIITPEQFQQFYKEEDFQNEQEINEFSEMLSKEIENSQLTTPNQ SESTATCPNTVIIHSKKNVVNRICDIIHEAQQEFIEATKNPYDVNKVQNVRKNLFNLH >CAK71284 pep:novel supercontig:GCA_000165425.1:CT868096:767452:769324:-1 gene:GSPATT00000998001 transcript:CAK71284 MNSEQNPSQLFSPKEESASSNQKLKASTQKNRVRKFKTEDFSLKKKLQNQTFYGKFKVIC KGMQQYIYVLLEDPNSSAIAYVIQFLLLTSILLSCVAIIVDSLMDNENNQEYDNISFYLE YYLFIFFGSEYFLRMFSSTAFDSTFTQFILSPLNIIDLFAITPFLFNLIFEGANLSGLRV IRIIRFMRVFRLFKLSRFIKDMLMIVDTVRNSLRDILILITMFLFLVLFFSIIVYYLEFN DNIEIYDEQKIQSISEAIWWCIATMTTVGYGDKLPLSLSGKFIACIAAFFGITSVSLPVA VMGMNLTQTLRIAEENNEIVKIKEQFAVVDSDPNLQDQQTLNLKELKFMERRLEQLLDNN QKVMDFVAQSQDLFDEVTQDLMSLYSALTEQLDLHIETKIKNLKAKHRIMKMEKNLNQKK SIELGQVVKAFKEKQQMMSQQSILMCEESQADLFSVASRQSKSFILKKSEKLRSKNNKGS YMCIINNNNNNNNIHNSVHNPYKTQTDINDNHDAHNNSIIYAQISSKNSAIQNDVLQNLK GNAEFKFNLEDSSGNIDEESNNNENNLDCKMQSLSNIQDVRLKNSIKNNIK >CAK71285 pep:novel supercontig:GCA_000165425.1:CT868096:769724:771982:-1 gene:GSPATT00000999001 transcript:CAK71285 MDNNFQLPNKQACLDQLTKLGIDYIAHHHDPVPTMEDVVKIKVEEGTAYVKNLLYVDKKS NYYLILANNTTQVGKLFWKTLGLASGNMRLSKEEQIAEALKSSKGNVNPFAVANDTNNLV KNIIIDEELTKYKRLALHPIENTTTVEISLDDLQNKFLKILNRQFTVLQLTDAAAEQKLE QQKEQQKEQQKDQQKDQQNQQTLAITVKKSDFSEWYQQVIRKAELIEYYDVSGCYILRPW AYFIWEQIQRYFDDLIRTEEVENTYFPMFLSAKHLNKEKEHVEGFKAEVAWVTKYGESDL NEPLAIRPTSETIMYPAFAKWVQSHRDLPLKVNQWTNIVRWEFKFPTPFIRTREFLWQEG HTAHATREEAVKQVYTILDFYEQVYGELLAVPVIKGIKTESEKFAGGDFTTTVETIIPQN GRGLQGATSHHLGQNFSKMFEINFEDDKKQKAFAWQTSWGLTTRSIGAMIMFHGDDKGLV LPPRIAKYQIVIIPIIHKDLDENQLNERCEQIRQILVKQKLRVHLDSRDNYSPGWKFNKW EQKGVPVRLEIGPGEFKNNEVRVVQRFDNKKYQIKLEELNQLTQILDNIHNAMLDKARTE LNQRIKQADNWKEFMSQLNQRNTILTRWCERQECEKEVKTKSGIESKEKDSEIDGQVQLT GSAKTLCMPLKQDQLKEGEVCFNCGQQAKKYVLWGRSY >CAK71286 pep:novel supercontig:GCA_000165425.1:CT868096:773081:773806:1 gene:GSPATT00001000001 transcript:CAK71286 MRQLSYDQFTCHTEIDNQPLLRTNSQSISIADIHTADTNRKLQQIAFESIEYLLQEEAEE KEYIILQNSIRQQLAMQLNIENLAKFDFSNLENKIQLIFQWIIVVFYRTSSEMFEWKSFK EICIIEDKGVDLRNRIGLKNIVRMTKLEFELTKQLMLYRLSIESKNNNNKDVVQFISQIF DIIQIIIRTFEAGQKVMRIEEELIRRQIQENQSKEEEK >CAK71287 pep:novel supercontig:GCA_000165425.1:CT868096:776282:776904:1 gene:GSPATT00001001001 transcript:CAK71287 MGCVNSRSVEVYQRKFKLVIVGLEGSGKTSIFEYIRQGKFVQTKPTVGVNVDFSHPEFMI FDVGGKVPSLWSNYYENTDGLIFIIDSSNKEQLYQVKEQFVKLNKDLEYLNVVILIMFTK QDKIHLDNQVLIQECGVFGYLEQDTIFQMCSAKTGDGVQEGIQKLINLIKKQQKPNIPLN PIKQHQKKIAV >CAK71288 pep:novel supercontig:GCA_000165425.1:CT868096:776913:781106:-1 gene:GSPATT00001002001 transcript:CAK71288 MFSNKVWVLESDNFIKGIIADGNNYISEENGRKLNGQVWERCEDVQADMVNLAIINDPQL LYNLSERYKNDQIFTYVGPTLIVINPFKSLDANLQEYVEYIIRKRHNYKSITPHTYAIAA YAFTKLTEKTQAIVISGESGAGKTENARYCLNLLTSVNSEESELSDKIMGCNPILEAFGN AKTIRNKNSSRFGKYTRLFVNQKQQIVGGDIVNYLLEKSRVVSHSKSDRNFHIFYLLFGN PKYQRQNFNYLGTDNYFSQKFFDELIQAFTTVNIDAEAVFQVVYSVLLIGELQFDDSNYG NTNPCSVKNEDLLKQICQLLDYDFNDIVKALTLKQAIYGKEKIMSPIKLLDCIRIRDSWA KEIYERLFNWIIEKLNETLITKQEKTTYIGLLDIYGFEVFDNFNGFEQMMINYSNERLHQ LYIEYVFKEEKKIFVREGLEKFVDSIKFEDNTQLIDMFDKPPVCFFNLIDQACRLNQSDQ QLLQSFRKELKFASSVKPTFTIKHTAKDVEYTCQEFVEKNQDEIPQLLLEAKCNSQMVQL QPKPKSIVVKIKNEMKSLMTELQSCGVHFIRCIKPNDELVPNKLDNKYAMKQIRYLGVLD SLKVRKESFPIRVDFWRFYLKYRFIQEGGFQDPLNKDYKALDQKMFQEQFSELPKDLYLF GNTQIFIQEAGMTQIDKIYTLLMKEKNDKARCIQSAFRRGQLREKFKKFMAMIKRVAKKI KRKLRKIQFRKKMKAATLIQKFYYMYQIRKKQKLYKKQIEYLKYAILSKIHQNKFKKKIE CIRKIQKNFRISRIQKRIMQYLNIRRILNIVIDKSWDQVRFNAAIIIQKYYRGYYTRKHH KKEIQQIKEVGRRIRMEKRIKTIQRWARGYIVRVRLSKMHEAAYLIQGFFRMKHLSCVFQ RMRQAAVIIQRRFRMILPRRRELKQQYDQYILPLEQQLQQQKLQEESDLFGLKMGQKTDY DSALILGMESLIQPKIYLFAFIIDLEIISDTSDSYNGTFASNYKDLFIQSFEKENPLQFI QVGENTTIGACCNKLYGWGLNDMSQLGFTQDGEKHNPTPLSFTGKMKSMSMGQNHCLLQQ NDNRLFIWGCLQHKYIQHPKLLHENVEFIKAYRNRILFISEGKVYDQLKCIQMNVKITSL SCGSAFTILLSENGGLYGYGQNEKGQLGLGDNKTREQVERLPFNEKIIQVECGYKHVIAL SSLKKVFIWGCNEHGQLGTGDFENINKPKLSQMSGDQVMAGMKCSCVLNKQLFWCGTNGT ILMQCHFKPFIQKCNYLNRKEYQIVRVLSSYSNNISIIYCTYACLRGLFDNNLGKGFQVI KNLTTNWVQSGVWTIDPPYVESLSNYMCERHQMKSSKMNSNGSQNNNQKIMQKKQQLQQI QSLPYDKLCKIDLDLYF >CAK71289 pep:novel supercontig:GCA_000165425.1:CT868096:781139:782614:1 gene:GSPATT00001003001 transcript:CAK71289 MNKVICLLSLLLATSYALYDSRSKVQLLTPQTFREKVLNSKSLWIVEFFAPWCGHCKALA PEYEKAAKTLEGIVNIAAVDADAHKDLGGQYGIQGFPTIKFFGENKNSPSDYQGERSAQA IINFALEQVKSTVNGRQKGSSSNKNQQKQSSGSGSGSGSGSGSADDVIVLTDSNFDENVL KSKDSWFVEFYAPWCGHCKKLEPEWNKLGSELKGKVKVAKVDATANTQLATRFGVSGYPT LKFFPAGFSNDSEAISYDGARDSSAMIEYALEQSNKSKKVEVVELLSKDVLTENCIDFNG VCIIAFLPHIYDSNKQERNQYINQLLEVAKSLKNKPVNFLWTQGGDNYEFEEAFQCAAAH PSVMALSGRKSVYAKLKGAFSKQNIEQFVNNALNGREHFNQYSRLPNFKKVEKWDGQDHK PVYNDEF >CAK71290 pep:novel supercontig:GCA_000165425.1:CT868096:782632:783229:1 gene:GSPATT00001004001 transcript:CAK71290 MQQEEEQYSCLEEFFIDSCRFGDYEDAIGCFEDNVDLFWIDINQNNCIHMVSANGHLSIL VEIIQYSKKNNIDLHKLINHQNQYGCTPLHWAVFNNQLAIIPVLLQNGADISIKNLNGLT CIDEAVSLDKTEVVELLSKNISLTEDQQQTFNEATDIIEQDDEDSVE >CAK71291 pep:novel supercontig:GCA_000165425.1:CT868096:783332:785473:1 gene:GSPATT00001005001 transcript:CAK71291 MSVKKQSYAGSTTDYSEAMFQPNFTQRNLSLNQNYQLPPQNQQFAQQQPFQNQRISFGQG DMCQPQQTMPKQRSDYNYQPQYPVTDINSTFTMLPKVFEMDQDFLPQEILFLLSEENNHL DRFRKKVDREKEKIKRDVSSIKLEISNMIEDLGVQMQQFVDEHYKRYLNVYAAFKDEVIQ FKKTKLEAPLNLVPPPPPNNFGDCSNANLIKELEEMKYQNYVSKVNAYISNLARQRVEQI QIVSKELLQLTSQNSEFYHSEATLRQLNIIKQEVEERLITKFGDMTNYILPLDFMEQSQQ KQMPQEIKSQSKLNLETQSLPQSAIKINPYLNIQEPKLTTLNFEQQLNQFNQSSQTIQQS PILQLQNHFINTHTIQGKVTELQEIQSQDIRHNGMILCFINIKDNLIATGSKDTFINIWD NSSLVSQLKGHTDGVCTLTTIKANNVPFFLASGSDNGDKSIKIWNLSTLKEHNTLVEHQA AVVALLSLDDGSTLVSGSYDKSLLIWNIDNQKPIQRLTVHSNAVTCLTLAKGRFISGGLD QNINVWKIIKNAQGQFQQAQLERTIKNQTLVCALNIVQIQNNIKIISGGKDGKLREFDVN TGELLSSQQVTNGPIVEMIVAQDYVNYSIISMSNKIIQQDRNLVMTSQGVNKVLDTNDQV FIEFGCGVYPKMEVVDKGGQLQLNIISQKQDIQKIFKYII >CAK71292 pep:novel supercontig:GCA_000165425.1:CT868096:785519:786076:-1 gene:GSPATT00001006001 transcript:CAK71292 MKNPWTQQAVAQQQITYGVCYNILNKKYQLQSDEKLQFDYITILNNANKIQEMKQKLAIQ IIDQQFGDMIDPKKFEQRIQQLDSLEKIINEVLLCLQKCQICVQHNNDTKSFIRNDGSVY INIPFQYKTQFIQLLRQAQEKQLELIDPDQQIIQQQRCVKELNEQQSSIEGIYSQIIQLI EQLKC >CAK71293 pep:novel supercontig:GCA_000165425.1:CT868096:786461:791567:1 gene:GSPATT00001007001 transcript:CAK71293 MNQIPLQPQSIQRIYSKLKQHQRALQIALQSIECSVGKQLVIAYHNAAIEYKALSQDEQS KRFHEKAYEQSVELFGHQDPLTQKLTKYVEKLPPKQIPVQNKFRLRSASRHGEETKSFYY KRPISRIDSFTQSLNQSINQSDDANYKSNSQIKGKRQKTLNQSFLTSSNDFNEEEECVIS KQLQNEIETQSKIRQRFLQSIHQQNIQLLERKLKKRNSYHINFSQESIVNVECQDQKSQD FIHFENQQPDGQFDSMIPINQQEDLTYDDQELIINQIRGFESQKEITFASFANQETFENT FEQKNKQGNAICKIQKWYRKKQRNHSLKNNQINPQVQAQAALKIQRSYRRMLQQRLMQLK KKNKKYVKYLTISKLSIENEQEYCLIIAKKYQNEILYVINELKNKRQRKRAKVYRQSFEL EQLHSKYRSFDEFCQKTNMSARMNLIAYKQARAINYKEISTLFYVHNNQLNLYGVTDALS NELMESDIDEKTSISSRRSPIKLTKLMKLQSLLRGYLARKQQELIKNQKYKHKRFIEKIQ GGYFILIFKEENVIQFYNLQQKNLCENEMIIPDSVIKFFGPINRQNCSEIFYATNNKILF TDDAQYIIDKDTRSKEKIDYTLLERAAKKIQRVLRTKIKFFDSSILKYKAITLKAKQCQL LFKIRKSILFEQKYHIILTASGQIYQEHQELLIEGGIVQKKYSNLKIAQCCQRLFQDISI SDFTQVTKIILDEIDFEWKNEKLHLKCHSLEEVYGFLMERNYSSFKESLKDAANKEPTFL GRNYLSRKIYCQQYLKNRFEIEDRPTIFCTMPDPSVYQITYIKNLYLERLKKKYEQKRKD KQVGNNINHYVNKFPSVFNYEWIQDPDELYLEMLNKKAKLVQRAFRLLKFRAIIHQKCLI RRRRRQALLESQKVVVKQYYFDEIKKPQAYTTIEIIKKRGETPILLKSIHIDSVLYEWIL VLPYQDIVVTAYSIPRQFYQIKYNEYTNHYMDIVLSESVEKIQQYFSYPIEKFHPSEKVE FITELREQFSKKVIRIVRLKLFLKKIKDTPVTKKFLIRTFVNEFYVQINYFYNKVDQKII ILLQKEKRKRKYLLDLHYLDCFFGNYHGLKYLDKEMFIKLLTLNEPKSYHKYRILLMPMI NVLHLYIMINAELDLQFQYKDFEIPLTEEINEKFYTSSQGFYMITNESQSRLLPTIISLQ AKWRLCQQQKKYQLMLFRCTRMKIKMMNKKGKEVQKTFLRRKDISDDKESDIMYICSIYQ SKDHQVVRVRVELRAYKSKQEQELFNCFTIEPYSILLNEQLSQYVLKNIYIENNILLLRQ NYTQKIEIPQEFQQKQLKLITKKPSSPKLIYHQKRQYSQGKQFIYDAIHTGEMPQQQKTT QETRNMIKIDIDLKELKSQYPGVNYKQTNILSQLSEQLITGFKVDKQTIISKPLQKRGIK IQGNCQNEEKGKELNIAESVKQQNTLLLRFTQFYNNLRYMIVISQLDIPIEGYEKLDSVY EPYHSYINITAQNQLNNNRIIWQMNLQQANKITNQQDLKEIAATIKLNILVLEDKFVYVA DFEIIEFDYVLMIKRNTMNVIQRMLKNKKFNKLRKEFEVEVRQLKESDNQFWNNIIYYGI NQFQSNDYFICIGIERRQYLLLAWNIKDYQRYTLTMYKKKAFHEQLEQIRFINQNLEL >CAK71294 pep:novel supercontig:GCA_000165425.1:CT868096:791574:792206:1 gene:GSPATT00001008001 transcript:CAK71294 MEQNYIKLVIVGESGVGKTQILNSYCFGLAPQAASDFTIGCDYVLKRVLLNGKFLKLQIW DVSGAERESPLMKIYLKGALGIIFVFDVTNEMSRQQLVKWQNIVYQYVDEYNGRHIPFLI VQNKMDLVHQYDDQNQQQFLQQFCQTFGFFDGVQCSAFDNQGLKNVFETIVDEIIRRDLV ELQQKKNESKISKLQIEDKGLKLKQKSRCS >CAK71295 pep:novel supercontig:GCA_000165425.1:CT868096:794403:794720:1 gene:GSPATT00001009001 transcript:CAK71295 MINDLDINLCPLSPLEFQTNQQQLISEQFLDENCSKKCRVSSQCLLFKKYYFQNHYRKIR HKKSRPSILRIEEVVRNVKIYNNCSSQQNLENLEIIQNKPLVKSG >CAK71296 pep:novel supercontig:GCA_000165425.1:CT868096:795372:796536:-1 gene:GSPATT00001010001 transcript:CAK71296 MASLNKLSSNEIGNIDRQIAKLKQGQILSEQEVKSLCIKAKEILQDEPNIIQVRAPLTIC GDIHGQFHDLIELFQIGGNLPDTNYLFLGDYVDRGSQSVETFSLMLSLKVRYKDRIVLLR GNHENREINKIYGFYDECFRKYGNEIVWKQFTEVFGYLPLSAIVEQQIFCAHGGLSPAME SVDQIKQLNRVQDIPHEGLMCDLLWSDPEETKNGWGISPRGAGWTWGCDITEKFLHSNKL KQIARAHQLVMEGIQKVHNQKTITIFSAPNYCYRCGNQACIVEVDEQLKMNQTQFEPAPR ENEPHTTRRVPDYFL >CAK71297 pep:novel supercontig:GCA_000165425.1:CT868096:796599:797649:1 gene:GSPATT00001011001 transcript:CAK71297 MGGAKVDSKDPVRGIISGGITGGIEICITYPTEYIKTMMQLYKEYSQKGVKYCIGETYRN FGIPGFYRGLTPLVTFSIPKVACRFGANEWLKNNVFTDRKSRLQTFFAGLGAGVFEAVVV VTPTETLKVKLIHDKLSTTPKYRGMIHGIGSIVNEMGLSGIYKGLVPTIVKQGSNQGIRF VVFEDTKKLIQKNFTFLPEPVVLLFSGGIAGAASVMCNTPVDVIKTQMQGLKAHQYNGVL DCCKQTYQHEGVRGFYKGTVPRLGRVVMDVAITFTLYDYIGRALNLVWPPKH >CAK71298 pep:novel supercontig:GCA_000165425.1:CT868096:798632:800784:1 gene:GSPATT00001012001 transcript:CAK71298 MDIKSKGSQKSRKELGDELSLKGLSLFKLDVNYDYLKQFLEGVQGDLENHTVEIEAIKKL VGPSEILLLIQEQFQLLSESLLFTDKDIEKDLSSSLHTYITQIQGKQKFGKGALEKIITE LRGKLFSVVSMIQHLHREKIRQDQRITKLENDMLTKYDTKDCKEKMRKQKNQLESQIDEL QKSGRNELDTVEKKISTDIQKFEEAVRDVERKTLWKIHDCQDLLSKRTNEEFVNEAIRAS EDKLLKEIQQTQNNEQLIKFQQDVAFIRSQIKGLDDLVGEKQQKLKLQFNEMNELLKYKI NLIDKLVESNKQQSNKIIDLNSRLATAINKLDVSNQLDQHRQKIKQLEDQVQKLNDEAKE RVEALKDMNINAENVAFLLSKIDPHKICTLEGDIKKLEQMSIRNNVHWETLDAEFKSRYD SFQFFSQLYQQQQQQQVQNTSEEQKFVTKDEINRMFEQFHQQGNIEDDKIKKIQKDLQDI AHKVENANEIERRVTRIFRDLDINNLIKQVKNKVNEDDMKRELHNLETRLGQAIDTINYL RREIDSLQGIHNKQFEVQIKKTPMNMQQSASPPTDQIIGVGTKRLYPINCLSCSTTNQQK VKGTDGKYYQADTKRQTMEQYQQFQDIQDDSQQFPQSRYSSRPQSAVGNKSHNGTKRPIS AKK >CAK71299 pep:novel supercontig:GCA_000165425.1:CT868096:800962:802685:-1 gene:GSPATT00001013001 transcript:CAK71299 MGNQTSYVNEQVISMDGKLEDNLECIGSKQHKYLGQIQLWRRDSKSNPTIFSKQSHSGQR HDINTNEHNDRKKVNHPNILQYYGCQSNYLTFKGNILQTKFFFEYVPNTLDNVISFHKKN CSYLEESEIWKIIQQIVSACSFLQKLDLFHGDLNPQTIFIDNSKQVKILYHNAYPEIITS YAKLLAYSDASVYISPEQMDSLATQSVDPTDDPLKTDSFQFGLTLLELMTMTSILEDCID YQRKTIYFKSIMDLLSSARKRYSQQLIDFVQRLITFDEQSRPKLSDFLYDTNLQSQQGSS FLPQSKIRRYTSPPKQPERTNSPPPDMGWSSKSPVQRDLSNQSQFRTPLQRSVSPILDRS NKYLNLAQSRNTTALSLFHKSPNKPPILIQSQTPIKNERGRKLNTVTDNSRNVLVISRSP IQNQSRKTSAHQPALTFVTPKHYTNLQPLQQEYYSEAVNQKQFNQQQYFSQTLQHYVQPY YNNQENFNNTLPKPQNTLSQPQQQHSISQQQPQSANALSKTPQKVPSKGINLLSPLQSIN K >CAK71300 pep:novel supercontig:GCA_000165425.1:CT868096:802908:804830:1 gene:GSPATT00001014001 transcript:CAK71300 MLQIPKKSITYEKILADFYQQFISQEIASQRPQIEFLHFLCSSFMMEKLFQNYQESLQKK EDDQISARKELNDFITYMVNQNAAYLNEYIRDELLILSKNRHDDQYLSGYSQFYKYCSIT TTSIMYEKINYILEYLKHQNQIFQDFKNKLKFNQYSNSDCFTTNRYATLSGLFYFNKQIG SMEVNAKDDKLRDLRLIEENMRTKEKYFNTFIEDDWLRIIVQIEKCQKSHLDPLSQQDFS EIQQLIEQKQLPYQIANQMKQEVIKKLQQRKQQQQQSQENNNEKQVKYSQLIKYKLSHDT KLVECLLNQQKNLLGIKLQDLPNVISYIQISKFCKIICCGCYDGNILIYDMNELKQNRQN RLLCNPSFEMHIHEGPVTAISILYDENYIISVSVDLTIKFSCLKSRQPLVVYKGHLQTIW HLAFAPMGYWFASASSDGTALLWATDQNQCIRIFGPVMNQVKLVEFVPSVEYVVTAEENR IFRIWSIDKAECLYISFMDGNITCMNVSFTGQFLCVGCDSGSVVLYYLPMTKLLKEKIYL QRSDFHSANGRDYIRSVSISLDETTLMCCNSNRIGFFNISDLMKKTSEDVFKGLEQSIKS IEYEKNNWSRNAIPIELLELQDYIHLAQYVCNGFALYVCK >CAK71301 pep:novel supercontig:GCA_000165425.1:CT868096:804987:805247:1 gene:GSPATT00001015001 transcript:CAK71301 MQKLVQLPITKLQSNGDVYPVPETGDTEVSCLLASPQTTVNSLRKTSFQSTSRQRKSHQV LEYTELLYVWNSYLSKKFSKSSFQLE >CAK71302 pep:novel supercontig:GCA_000165425.1:CT868096:806594:808071:-1 gene:GSPATT00001016001 transcript:CAK71302 MSEYYLRTRVINTQETTPKRMFGNPNQSYTQPSLNQSPVYLTPDRAMKQPYRNQNQSYND MSEYIRRQQQSQYPPTYQSSQISIQQEFNNTSKQQFDQIFKRSENSITQESSWFQQKNNN INPNIQQYRMEREKMKRDSSQPFYDNNHQVTDRNLRPIKFEEKVSSDKSDIEKIKQILVD QQLKLSLQVDEYKVKLNKQEQKTVELEKQNKQLKTQLNQKSQEMKTLQQSHISQSKLEEI QDQQMKKFQKIYEQLTQSNDDLREENNQLKLLLLQQQIIIQIRVDNYSSVSRSIMSKKNS EQEFQVFGFQNDNIKELIDQFLQGTSDQLLSLTQDPLIQKVFIQFRDIINAIQFNQHQKL DFQMLKETDFMKIKKQSEDIITVPELNQKADTLIREIKQKMEQMASLNEGDQRKNAIKEE IDRLQKEYDGIQILVTEQSDYLDKNTNNLHRNSIISFDQNFDGEEQQ >CAK71303 pep:novel supercontig:GCA_000165425.1:CT868096:808116:808928:1 gene:GSPATT00001017001 transcript:CAK71303 MEFFQFDDLIRYGSQLADFALNIGFVLGPLIGYVAQYQLIKSQKSVGSFSIDVCAILLFA NLLRIVFWYEKRFENALLYQSILMIMMQVELLRSCLTIMNKSILKAKPDTFFDSPLNKFW RWSQLHSYIACLISFLIFVLLSSYLNLGNPQYWEIIGFLSCAIEATLGLPQAIKNHSAKS VKGLSYAMIGSWFLGDAFKTFYFIVKNQPTQFVMCGVIQLSVDIWIMLQIAVFSSKKGDL AEI >CAK71304 pep:novel supercontig:GCA_000165425.1:CT868096:808928:809954:-1 gene:GSPATT00001018001 transcript:CAK71304 MEKTLLAIGLMMLVGTLYNVQSVPELELAQKFTNYQAQFNKQYSGSELLYRLQVYEANLA DIKARNQRVGRQIFGETQFTDLTDEEFAAIYLTLKVVPEEFETQKSQFENVTATPIDWRS RGAVTPVKDQQACGSCWAFSTTGVLEGWFQINTGKLPNLSEQQLVDCSTFIPDLNQGCNG GMPSRALNYVKRNGLTTQDAYPYQAVDQACKIKGGEYKVSGSTAIAANEAAHQAALQSGP VSVAVKASDWKNYKPKGDNYIFPDSECTGDVNHAVLAVGFTSEALIVKNSWNTVWGVDGY IYLQGGKNTCSVWDNSVVPK >CAK71305 pep:novel supercontig:GCA_000165425.1:CT868096:810039:810909:-1 gene:GSPATT00001019001 transcript:CAK71305 MDFVLNLIHQSQTIPFRQGVKFNNYNLICIISVCAYILALLINGTVGVKTAKSTSDSYKL VVTPPPWAFSIWGIIFSTTLVALLWSIHSVSWSLETHLYFWLYCFTISVWILLWAIVSKI TILLCKIVLILLTINIYLLWKSTLVLENDDWSIYLMRGAIAFQLGWTSSAQCLNFCICLV HVFGVTQDMISKLIWVCIVVAHSIYLGLAYCHSKQYNKNVLLNFGGYLLSMTWAIMGVAI SYNNYSSGKSINKQK >CAK71306 pep:novel supercontig:GCA_000165425.1:CT868096:811508:812267:1 gene:GSPATT00001020001 transcript:CAK71306 MADENPDDNNFEFIKFLPEGDQKTKSSRDYTGRGQAKYANNEIYEGEYVDGKRNGKGKYN YANGDLYFGDFINNAKHGIGKLTYKEKGEYFGQWENGKRHGEGNFTYPNKDQYSGWWAFG KKEGNGTYIYNDTGMRLVGLWKENKFVSGRWILPNGTYYEGEFDNNKPNKVGVWQFKNGN QVQGTYNQKIIPNEDSEDKKLNIEMKWASNGYLYQNADLVNAHEKF >CAK71307 pep:novel supercontig:GCA_000165425.1:CT868096:812493:814031:-1 gene:GSPATT00001021001 transcript:CAK71307 MKHKPSYWKSTHFAASVQYLNFIIECIIFPLALILYINHYKMDRNLYDNWEYKPIIEIQK IQGSKCPSDLETFFDYSYPESSSGCDCGFGEEDENGQIDYDKLKTEHCQTSDIDEGCTEI TPIESQDFIIWKGYSICGKRSEYTYRELFYERNCTAICNGVCQTKNNQNTCGNYQDLLSK ENLTQSYFNFTLNYGIDVCYEDGESINQYPLLESAYKCVIQSSEYAFIDEFDQYMLFEEN EYPYESLPGLKYFNETPKFGLFGEKFTEYNCTIKQIDVSNYRDNYIQLVFLIALIMAGCL MGFGAIFHSAIIQNLTRKGIFKHKIYKVTWKFYCPTTFLILLHMAHLAIVGTKLGFLAHF RTTMHTYIENKCTDWSNILNLVFMRDFIDAELMPLCIPELVLTFGAMLLEILQLCLFDRR IPETIASPNLKGRESPSKSNNFILNFGFDKEENMEKHVLDTENIRINKKNEQLKLYNE >CAK71308 pep:novel supercontig:GCA_000165425.1:CT868096:814056:814581:-1 gene:GSPATT00001022001 transcript:CAK71308 MNENKEMIQHDYQTQLKEFYEQTQEIVSSIQQGIRIKKVKDIIQYSKDIPIQISNLMGQL KPILESISQNGEIQKYNWLHLRSLIVITTRDMLLNMQKLYPYEKTAQNEGFEDELDIVLQ FLCVFEQKPPFTLQRICELLIDPQKHYKSSKKILFAMEKLVNVST >CAK71309 pep:novel supercontig:GCA_000165425.1:CT868096:814724:815470:1 gene:GSPATT00001023001 transcript:CAK71309 MNPIQQAWLKILNPLSVVINEKLAKRSGLLGKIGRFFLIGPREFGFHPTNQMFIYFNRRV LFATAFMGHKYSVLKGLTHQGYHMLRPMRAAVFLGPIGVLSGLFRLVYYSSENRSYYPDN LDYVMKKATNALHFPLNTLNQRLSAHYTEISSIYTAEMMKRYHKQHAKIIKERATQSVQV KKTKYADPSYKYVPMTPVHIEDVKLA >CAK71310 pep:novel supercontig:GCA_000165425.1:CT868096:815616:816792:1 gene:GSPATT00001024001 transcript:CAK71310 MLSFFEYDELETDYKRVIKYPFVVSELLGSLYALNAKHLNFLIQLLNTQNTLPSITIGHV SKVIMNVLKTNPCIFWQNVQLSQLSILIQDINNYSIAELIYSMIIYQTQENQLEHMDKRI ELVNHLLNDLNTDKCEGISIVICMVLNQITETLQEQKSLIIKHIFTKLDTFLSALSNHPQ PILNILIGLINHQEQYKQEVTQIYNRFIQTTKDFFQNILNKENTFGLNNLTYIQFYDVLT NQPYILENINRQELAQALFQLIQKYQQHNQLHKYATNIILKLIPQTDTQQLLQTAISEFK MKPVKENRGYVIQIINKIYEVSNQQVQEEELQNQNSIQNNYFFGHVPDQRPIFNLQEEFE AAMNKLQI >CAK71311 pep:novel supercontig:GCA_000165425.1:CT868096:816795:817565:-1 gene:GSPATT00001025001 transcript:CAK71311 MNNKQRYQNWKKARSDKRDQKQQQGQQQQISLHQLQQLNNQQSNSQDESDDEDDQQQIAK TEERLNDVKLFMIDYGQCDVKKCTGRKMERFKLLTAIKPKVKFQGIIVSANGKKYVSKED EELLQKGICVIDCSWAKIEEITYVSPNERLLPHMVAVNPVNYGKQFKLSCVEAIAATLAL CGRREQADFILSKFTWGENFFKLNKDAFDLYSECQNDKELRIAEQKYLEMAQKKENTGQR NYDMPSSSDEEENQIQ >CAK71312 pep:novel supercontig:GCA_000165425.1:CT868096:817595:818130:1 gene:GSPATT00001026001 transcript:CAK71312 MKANRFIIHNPAIESYQLEEYYNHFKRMEEINKEKTNIYKVIESNKSASNNRFLMLQKTS KFNKQQQQNAQHRLKKLLDDKIERIKVRENPYVNQTRLRGITSLDLKSPNFSFNSCLESH NLMKKLQSLKPTISNKILDIDYQKQKEYGSRLRKLPKLPDSDKKYHYLF >CAK71313 pep:novel supercontig:GCA_000165425.1:CT868096:818876:820020:1 gene:GSPATT00001027001 transcript:CAK71313 MNQASSSHSRNFYNYNGSAYSTDSMRVYSKSPKNAQQYINRYQPCIYSTMSNIQNLQSLK NQISQLQSVLTQQHRKSSFTRSKADSSTNMSNDRSFYTMIKEQLKQVGNLQTIDKETSNQ NITQTTQQFKKDYISNKKLEQDTEKQSKNVTPQGSAVKNSSILKETTTNVIKSEKQQALK HLLYPVSETKGEKNMKQNNKLEEQLRVGLQDKLSYLEQNKQEKLTKLVQEFKNAKKSLEE DYQNQVQNEIQIFERKLRKLMMSQNKDKKLKRLKKSSLLLNSKLNQDSPSQSSSQSSEQE IKSSYNLMNTFKKSDRTQLVSSFKKDSSINKDKKQKVRFENEQGTRFKTETENI >CAK71314 pep:novel supercontig:GCA_000165425.1:CT868096:820593:820961:-1 gene:GSPATT00001028001 transcript:CAK71314 MQIYPQPQDETDRKLIEIPFNIKEQTQQNKLQSESIETTQKDQLSTQFKSKGMLSSDQKS CSQKKVRLDSTGQPILKKSKAHQITFCNQLYQIHYVESWKEYNSNDNQEEEKESCCPFCK VF >CAK71315 pep:novel supercontig:GCA_000165425.1:CT868096:821543:823291:1 gene:GSPATT00001029001 transcript:CAK71315 MIFSKGQVENQEFWNKTDIPSFDKEDFQNMHVLMGNFTKVGRTFKQRCLRTYFLVKQFLL YSDVGSGNNIKGFVQLDTVYCQFNQLETGFQIALINNGYQIHLNTEDKQQFKTWEIALSN CCILSDFDKVYKLGMQIGSGSFSTVHQCSNREGEMFAVKIIKKQTIKQFNKNKSYEEQLL NEIQTLRMFNHSNILKLYRVYENQQKICLLTDYIDGPELVSQQSSKKIYSSDDLRIMIIH LLSAIEQIHQQKVMHRDIKPQNILLKNNNIQNPVLIDFGLAAFTWQKNMPFPQCGSPGYS APEVLKYDESKKIYNQQCDIFSLGITLFVVLYGYNPFKHNDLKQTIKKNTDGYFEIPSSK YPKSLENLICQMTKKYPKDRITISEALNHPFFQTPFFCQIQLPKQIVSKQYHDIIAKGEI NTHINASMEMDQGVNYVSQANTQTTPQNNGYKNRTISIISVPISRKSQDYQKQQEISSQS RTSNDRDFNPQDKIRMPSKTLSYQKNIQLDYEDEYQLNNMEHDNNYVDQEHLYKLNFSIN QKLFQTSKLCLSKQDN >CAK71316 pep:novel supercontig:GCA_000165425.1:CT868096:823334:825134:-1 gene:GSPATT00001030001 transcript:CAK71316 MIANSGKSKILNEDQCKIHNNAYDCVSLNNTDRRLTFKACAICKQQRTKEQFVTYKEIQN KLQTQVSNDLVGEFKLKMTPLFNETEELRTKLLQLADDIHEILLNSIHSLFVNCLFKQLP NNLFTTDDIFDLVNPKTIDDFGSIIDQQISFQKGDELSAGLLAKVLFNDQESMQFLDALT ETSGVKQKLEKVHSDFAQHKNEISKRIEQMSKQSVVEKPKVDLNEPVLQFLIEKNKQDQE FLVETIKGLQGEKDFLIEPNQEEKQEHPQVKSIISINQHLLAYSSETRIFIFDKTKNFSF VQQLDCESDISALRSVTLEDNKTYIIASVEENEESFQLQYWDWENFEKWKVINAHDKYIW QIINLPKNLIASCSEDATIKIFDAQNQEQVQKIDVHQASVNDIALITPDIIVSVSDDCTL VASNFFNGDLVGIVAQDSEIKSVIALDGVRFVTGNSSGEVTIYTFVESIEIQQIIKVHDS VVRFLSRIDQKLFVTSSWDGTHKFISVIDGEIKKAINGPKKNFSEPLLWIHDIGCLVGSA GGILQKYK >CAK71317 pep:novel supercontig:GCA_000165425.1:CT868096:825512:826425:-1 gene:GSPATT00001031001 transcript:CAK71317 MAYYGVGLPTTIQELVFRFRNHVCKANPYCTLSRLKAIFQQYDKNGNGKLNLEELDNLLK SVGMFLKIVELQALIKYFDKDGDGVLSFREFLTFIREEMNERRKAMVLKVYESQQNTKIT SDYLRQIYKIDNLTDGMKTFIDNIRVGDELTYEQFLEFHEELSLNIASDETFTSILSKAW NIDEKQEDTAFQEYLQNSLQQIKERVITRAGGKPFVVNVFKEFDTNKSKDLSISEFIFLL KKLELDWNKAVINRVYRIMDQNNSGSIEEQEFISFFQN >CAK71318 pep:novel supercontig:GCA_000165425.1:CT868096:826550:827617:-1 gene:GSPATT00001032001 transcript:CAK71318 MSYDEDFIFDPQNDDQLCFIQGNQFLDDLLDQNNQLKFECEQLGDMNFQEEFNEISDNLD AVQRLSSTNNFAIEIDSSLINKNQIFNNQYKVEDCTCESRSRSREIEKNTGKIKKWTQDE NDLLANLYYQFNGDWQRIVERMSGRTMAQCKQYWQRKHKPEQPQKSKWTPEEDQLILDNI NKEENNWAAIATILKNKTGKQIRERYINKLRSDIVDVKKQPWSNSEDQKLLQLYNQLGSK WAQIARHFFGRSDLQIKNRTNKLLKTQSGTQLQQEKPKLQVQDLNLDGYLELDKTCEKSQ NKPKFEFPQFNSQTVSSQLIQ >CAK71319 pep:novel supercontig:GCA_000165425.1:CT868096:828136:829228:-1 gene:GSPATT00001033001 transcript:CAK71319 MSNNEDKKQIERLGTASTMCQTFQQSQFQQQYQKRADFTQVNLFPKNIKNDSNHKQNTRY SRQEYGNESEDQRQDLLQQQHKQQQYIIQQQNSQSKPKKIQIKLQNESQNLIEDRQEDFN SVYDDDENLESPIPKQKKGKGEHLYVNCQMQALSEILVKYNIQEINQPYLKKKTQAKCCC FTITTKQSLYQSQKQIIIAEGGKHYDENDINHCNLLYSILYQMFQLEKLTKKKSKEIEAK QITVFQLIYTLSCITLFKDDLLQFTKNDKEKVIGNLFIISKYIFEILVNGTLDPIFKQSD SNGETLHNTLTSIHMGMFLMVCSDNLNQEQEIIQNLQIKSIKSLIDLWKKKKYQNN >CAK71320 pep:novel supercontig:GCA_000165425.1:CT868096:829312:836599:-1 gene:GSPATT00001034001 transcript:CAK71320 MNKKPLLPRQTKPTSSSPPSNSGNTLLDRIKSLNQRQSDQVKQLTHTSSFTTDRVKNRTR ANYSEYFDSRKLPFNSTLQPSRSSSCKKKRHFYDEKVESDGKVSQLEDQNMQINDFIANI PLCKLSKDEQIEKLEIEKSNLHKLTSGYQKKAEERKTIMKQLYNILKTKDQEIEYLRKNY DNQILIQQQKLEQFKHECHQQLDKADSRIIKLEGNLQTALQYKQKRLNDLLVKEEKMRDI LSKLIKKQESKEKIDEVKNILAFIAREKSRFETPKKQNSQHEQIDNIQNEHQKLVSLHEN VMLQIEKLNYQVSQCLQTSQYGQKDTIKELSQNLKEMINQFSLSKNTLKDKDQKLIQLQN DLMKYKKLVENKKDIDTQKYIQVINELKLESSNLEKKYQQLVIQEKFGEENNQELQNLND QLNVQIIKQQSQIQLLHKQIDDQNKVMMTNEKIIQQYQQKEKQLYEELDNQKDQQEEINK LKISLISLNNQKDHLLENIEQLQNEIISKNDVINQAENQINQQVRQLNKGQQDLIKYENY NEELQQRNKILQERLKKAEDNNLQQILQDKLQDINNKLELLKNENESLSHQIQVLQDEKD KYFEQLIQLIKQKQNNLLYKSQSPKNAISRQSSKFGDQLFISDGNNKQISKFQTELDKFD EIISQITSKLIQVEQQKNDFRNLNLEQQKQNSQYLLQISNLEQDNKKYSQMLQQWHQQMT GNISVNLDQNQDIIKLVKQELKKLKKLEEEYQQLRQINSNLTLAQEELNLEIEEKNQLLE QNKQQLEIEQEQLQNAIKENLELKQQNQTQKLLEVNKQLELQIEGLKQKEKEQQAKLESL KTQTENQDKKLIQNQNLIQQLNDKENSHSQLNSQLQSDVNDYLKQIQEKSQEVEKFKMDL LSSENRVRQQELIANELKNEIMLLTNENNNHKAQQQQIQELQQNLQTQINLNQDQLVSIQ KLKEDLNQLNQQITYKSEQLDSQSMDINQQKDLLQLQIISQQNQLKQLQQQIVENETVIT EQSKKLNETSQDLEKLKFDLNQVEIEKGKLFQQQEDSLIQIKDLLQKIEQGEQHNLKLKD QIEQQEQRLQDNIAELQIKQEEINEMAMKEQASKFELDCLKAKCLSYELQIEDQEKLISQ QNNQAQQLSEIQEQLQDLQKNNEELQQNLNDKEQNYDILQNAYYDIGDQKKNLEEEIEDK NQEISIQNRDLKIYKEQIDQNKALINQLQEQIAQFRNSANINFAENCKLNEQVQMMKKEL ESYQIDSKQNLDERSDKIKDLEQQIIDLQNELENQQFQIQYKDSKIEELNNNLQETSENL DDIKQELEITSRQLKNEQAQKEEELKCKQQLEGIIQQQKLEAQQYILTTNQQQENLLSLH NKIIEYCNEIESLKNQISTLQIECEKQQKEMEVLNENLNQANTLLEDQKSNLENSEFQIN YFQTQSKEIKIQYDQLQEKLQDLEQENEILNRNQSNNAQKQEQIQNEKYILQQEISQLKQ SLATLFEERQNNQDRIQELIFTIELQKSEDAKKDQKIELITNQELDLQQRLIELQEQNTT YQQNIESIQNQLKDEQLITENLKQQNEKDQKQIDELKNIEIVQLNQQIVNLKEKIAFLEQ NEIQTSELSSHLENLRQEKQIKEQKEKLFREENDKLKEEINNFKNQINQLERDNITNEQN YHQLQEEMKTIEIKYEQLKTQEQQLIQLNQDKSEQISNLKVIQTDFEQKLEQLNNEIKVY QEEKQTQENKISQLNELQLQLENQRESITLELEQLNEQIKDLKKQSEINACQQNEKIDQL TNQITLLQQKNEEQENQIKELSLQNEELQDQQLQFMEQLQTEKQAHNTQLSENYQLQEKL QNELENAQQSKDQVQRLEQELYQLQQALLDKDESLVRWREQQCCSQYIQNEQIGQSQNTE QIDKENNNESEKLNKGIMQESLSNPLEDQDNDYKVEMKEHVNSRIFDLEFDEKSGTQQQN ILPAVSENVSEAYDLAIKRSMGLSKDQIIQQLQDQNRLLQQQIENLNQENIIYESPSQHK ALIEELQQQIQQLKNQQLQRIIQSPLQVLIGEHLDDEKDELNQQEKYHLLWEKCTGLELE IQTILFESKETHKINEQLKKENDSLLLQINVLNKTVKVWQETTTNIHQQHDQKQLITFSV LQKVLNQEQQWASQINQIGLSSECESILRQVCQTVYGVPALQKQLDDIKKQSDKEKQEIN SLINERCVLSQQIIDITSQHENSSRRNKMSEPNSALKINQSMDEEDPSLNIKQLIVKLKR ELEILKIENHQLTLQMKQHEQNEDIYMKQNKLMKDENRSLSEKLNQMSDELQKMAEKVSN SENGALAEHLKKNIQKFFEALQQEKKQEFQSYASHLLKLSGVQFEQQISETLIIKLSSVI DKKKSSGVFGMFK >CAK71321 pep:novel supercontig:GCA_000165425.1:CT868096:836675:836995:1 gene:GSPATT00001035001 transcript:CAK71321 MSKQEIDFINKAHEKFSQFILDHQTLDEQIRAIELRQLYNKPLQVESYEKEIQKINEQNE KEMQDLEKQKKELNNKKQQLVPIYEVIRDQKFPYDLGDCFEVPDDI >CAK71322 pep:novel supercontig:GCA_000165425.1:CT868096:837112:838450:1 gene:GSPATT00001036001 transcript:CAK71322 MLNSKKFIKTNQLTELNNFLLQVKQAFLTDDFQQAIETTNVNDNKIPLQEILLNNIPQVK QDQQKQPTQLPTDEIQHLLQGLTTLLEKNLQESHDLYQIFQSNKQFNSKGENSNSSFKYQ NEENVEELQEEIKQNEIRLLQMQNSRLLSKIESLNEQITQLEQDIHENSKDQRQLISLIN STYCIQRVQYTVKQNTNVILSKVNEEEIEQGVFVIVDDQIILSPSYLDENKMILNISQIL DVTYQNDLQITIHYNEGKLILILENHQEFAKIDLSLWSILRKNISINQEKLFENSLFERP FRQEINIDYKKLKEQLSHIIPWMEQDQKQQQQQQQFTLNFKNSDHFPEIPQSNNIQLQSH QSPELRSTLPKQMIQINQQTKLQHALLQLKQGFSMIKYSEGQRKPNIKIIYLTENEQYIK WKERITTG >CAK71323 pep:novel supercontig:GCA_000165425.1:CT868096:838786:840449:-1 gene:GSPATT00001037001 transcript:CAK71323 MNLQNYNREGRNYKTEKVRQISPSSKLMHLSNQEISFYISKYGDPQENTKDSENTKYFMS LIQPLLEENYQLKDTILNLTEALKLDKELLLETISQIVKDKNLSSSSTISEILHQLTLSV KKDRDYTRKLEEIIEQKDLFIEQLQGEIEQYKKWKIDFIQEKNLEVEARTRNFFQKMQQL EENNSKLCLKNNETVELLIAEQQQTQSLNEKLSKFVDDLYKAQQQKQELQLQLSIAERQI YQEKQDSQKRLNEQISQLHKDHYQQMEQLKIEQMGIVNELKKQIINQQEEIKNLELSYTA SLDKNLNEIKRLQQQLQDSEKQIQVYKKQELANLDNLSKIMMEKRDKDSKLKNLGQIIAE KDKQIDNLTLDVLSLKSKLEQSELKCTQQITKYKQKYKEKTQVKIYEAKKDLEQKIVTV >CAK71324 pep:novel supercontig:GCA_000165425.1:CT868096:840516:841187:-1 gene:GSPATT00001038001 transcript:CAK71324 MINSKTNNRVKQLIRKYLKIKIRMIQKLIKVKLQKKKKKNNNKNNCCNNSHHNNNNNNNN NNNNNNNNNNNNNSSNNNNKNNNNSNNNKKNHNNNNNNSNNNNKNNNNNNKKKSKIIKIT KKLKSNRKKRRKNFIIRSFQKMRKNVPLCPIIFNVYKLYNGWVRNANFVIINFVNIIYML KGMGVRMKPVDKLSNELQINQIIILIRRPKNRKKQVGHLRKNS >CAK71325 pep:novel supercontig:GCA_000165425.1:CT868096:841290:843595:-1 gene:GSPATT00001039001 transcript:CAK71325 MIKQTSKVYESFEQFCELQQKWIKQEHEEEQKFIQLISQELTYTQIIQIGYGLGRLKLTK TKLTFGNRQVLVFEQQQKLQQQEDNKEEQTKEFSEVKQENFRLKQGDQVGIYIWSNVLTS QKFKNPEAIGVIDRYRKNLRIILEQNCKIPDDNSIYGICLQENQVTYKRHLQVIDDIKQQ YEKHPIIQILFGDQDEKMKEKINQNMEFQGDTIKQLNDQQKIAVQKAMNQPHISLIHGPP GTGKTRTVCEYIKQAVLVQRHKILACANSNIAVDNMIERIQNISQLKVCRIGNPARMTDQ VRYVCIDQLVKKTTSYAIMKTIKTEIQQIEKKLNRAERREAAELRDKLKQKKKEYFEQQQ LAYEEAIQDCQVIFSTNVGSGQFQFQQLTKNIKFDVVVIDECAQSLEISCWIPILKGNKV VLAGDHCQLPPTVKTKNTGLEMTLFERVENELHVNILLTVQYRMNKSIMQWSSQEFYDGH LVADQTVANRTVSDTILLFVDTSCCDFYEQQQESLLYFDDQNKSKYNVGEAGLVQIISEE LINLGVQKQDIGIITPYNAQVQLLKSKINDIEISTVDGFQGREKDCIIISMVRSNQMGEV GFLCESRRMNVAVTRAKKFVCLIGDSETVSNDAFLKRLIDYFVENGEQRSAQEYQHDDKI QIPMKIEQVQQDIKTRLNTQSQKQHPHFYNKIENQQIEQNLVQQEQDQNIISQVEQQILH FINSKDQELILKKVKWFSKKRAS >CAK71326 pep:novel supercontig:GCA_000165425.1:CT868096:843648:844322:1 gene:GSPATT00001040001 transcript:CAK71326 MEIIIQQTIKKFNRGVFIALEGLDKSGKSTQAKLLSQKLNAKKVSFPDRTTQLGLIISDY LRGNKNMSDEVIHLLFSANRWEQHTSILKQLQDGTNIVSDRYAYSGVAFSAAKGLPIEWC KAPDAGLIQPDMTFYLTAPIEELSKRGDYGKEIYENSSFQQKVGSFFDQLALEENFYKID ALKSIDEIQAEILNRISEKLNNTSSLQQKKLWKQVL >CAK71327 pep:novel supercontig:GCA_000165425.1:CT868096:844357:845958:-1 gene:GSPATT00001041001 transcript:CAK71327 MKQFVSPQDMLNKFRNPHEIYTQQTDFYYEPQSDANIPKILQQSINFFDDEISKKTKDKL IEELRQNIIRWLKLYQSVEQEFAGELAVFGSYRFGANGKDSDIDLIILAPPQIDRELHFF QQLPSILSSNSKVTNLYCIQEAMVPLIRFKFAGIQFDLVFACVKKIMKPLSQSDPVDEKS SRSLNGLKVSDYFSKYCHQKSYLSFLKFIKAWAINRGIYGNVMGYLGGISWQILTHKIFQ LYPNYSVQGLIERFFFIFSQWKWNELPVVIQKCDEIWRNSLFEQQQKQHNVMSILTPVYP YINSAYNVSNCTFTIIEEQLKLANIIMQKICLSELQWSDLLKKIQFFDIYYTYLQIRALS KNKDDLIQWKSHIESKLRKLNKMLDEGDVNKAIEFHLYPKSFQTDYVQGDENDTPGSLFN FSESFFYGIRIKDEELVKEKLDIENIIRQFCDCLEGQKPYFRVPENLNLEIKSLHKDQIP KKIKSEL >CAK71328 pep:novel supercontig:GCA_000165425.1:CT868096:846224:846794:1 gene:GSPATT00001042001 transcript:CAK71328 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK71329 pep:novel supercontig:GCA_000165425.1:CT868096:846802:848499:-1 gene:GSPATT00001043001 transcript:CAK71329 MEQQQNEQVQAPRFEEAVFKLTTQLMKTCNPKTLDKKFLKDFKIKPSETLVNLNNTKLDP QSPKTNKALQDLHLFSNQLELQEKQEFKKNGESQELTDKRYWLHYFQVAQIKKSVILQRN KYKREEWIEKQQRPKSIQNLDQSMNSSINISEYAPYKSPTEMIESWIDSQVQSSDRQLQK FNKLQQKRKELEEQNQEEQEKYKEKLDLILEKKKKNRLNNLKQKSQIARKDVSKSLEVST KMKTVVQEQKYKNAQMKKLQTEQNIEKAQKRVDHIKQTYVDVLEEKQKIYEEKLHQVLKK KDEQDNQLMQQRLQEIQTERTHKSMKNNTKSDQWSTKLPLLISRSEAQFQNVYNSNLASV IEKFQKEEKKKLKMHKSQELEKEQVEEIKQKEEQFKKRYSDKLKQFENKRQKVEEKFAKK DQYLAEHLQKKKDDLEEKFDKIRELSAENEKRFVNIKQQYNNKCDKQMDKESKKFKSNMS LIKQREQIIEQLRYKRFLEKNKPLNLVQDTTSKQSESKLQV >CAK71330 pep:novel supercontig:GCA_000165425.1:CT868096:849147:851186:-1 gene:GSPATT00001044001 transcript:CAK71330 MKEKFYLAFKNELDLIQKLQLNPLYKQITVWTDHLLENQQLTEQEQLLNQLSNNQDHFKQ ILQECCDNLDEQNLSLLCLLTLFFGLMVPLKLIEEENLANITERYFTSHSNTIKLLITLF QKFKFNNIMVLIPQIYDSEISTLAQSIKINLFDKTYMSAYNLIEEYTQNKSQECFDNIIK LAQDLDIYSSNQLHMQLIQQIKKFIEYESNELAQKFVDIELNEYYESIPIFKDVKVVRCK EDLLNRKLFILNEFVHVPEDETIEYKEMVNLESKNQFIVKKAVSAFMNQKGGTLFIGIKD SFQVRGFSVGNNNYYFRQCLWDDCLDLIHPSLVFDKQVKVDLIPVYSLEGEQIEGHYIIK IELIRELNCSFVYTFGELIEHHQQCEVTFCFKRQGDQSKAVRHHHLKQLIKILNLNQNIS GFERMGRDYFIDGRTKNRKYANQSSHERGESNQVQYKQDLRMNNQFLKEIQYNQILDQNF EPHPDIDLANLNLQMDCQLNQLEKWQEWIVIRKLKSNDFDKLNNILKTLQDVCVQDIILA QTTIHIQLKNHRKQEFIDNLKHQLNRIRERIKFTYGYPQVIQIANENINIEQIISENLKL HNFKILAKKECKLIEAKHVEDLIQIYKFIKDKMKIEVHWKLREAEEFVFAPIEDEQQNLL N >CAK71331 pep:novel supercontig:GCA_000165425.1:CT868096:851232:852422:-1 gene:GSPATT00001045001 transcript:CAK71331 MLCKLVFLSNEINLSTSKEISNYYQIYQYDESLYIGFQSTYEANIIVRIQQIISNQCILE CQNETECKNQFCNCNFYQVGQDCNLIIDDLTSQQIFQGKKIYYVDVQKGQNESQSVLLEF QNSTTFYGFCITQNFNPNLITQQNNKTLYISLDQINQCYEQVNNFREQFNSSINYYFLIY FDTNNHTYLESAIQNTDNNQLIIILSTILSSISFCFLFCLIKSKCFKKQKEPEKENQKYE LKHAPSLIDQFFPSQEFAALRNKCEKLVTLNQCLICLDLFYDDSRVRVTYCNHIFHTSCF DKWMNVHKSCPNCRSLFDEESILKYSNQKEAEIFQWSSRTEEVKTTMKPLINETQQQQPQ VSQLDSQRNIQAF >CAK71332 pep:novel supercontig:GCA_000165425.1:CT868096:852578:853181:1 gene:GSPATT00001046001 transcript:CAK71332 MNTSSKPFIIRHIQQNYLVSADSSSFDANIEKRAQFVQGANTFIAVMLSYDSIQHGFQFF QYYDKCLSYAYMIGALLIGNIFWILCSIFGFYSIDAKNSKKIQRYEVCGTRDIIGHYCQF >CAK71333 pep:novel supercontig:GCA_000165425.1:CT868096:853471:854382:-1 gene:GSPATT00001047001 transcript:CAK71333 MNLDIIKPSINFKTGEFAIWLNQLFKKILSNEKDNIFLLRIIQTLICYYQHYIVAQNFGQ QQLEEIQQLLKAYRHLMQSLICGSQQFKNLKHIPVDIEYQITLSHYFYIESQMKLINQIK QKCQKDHHGSHDSFFNLYALQELIAYIQQQLQEYYLSLIKLCQIYGKRSQIFIWNFCYEL IRMKKYEGDVLESYLQTLINQPDIIHDIVVSDEMIVYYYDCPNMVNMKQKSQLKLNELIR WANLLIQQQEHEQILLYQEQYSRQSQILMKDVTKTLDKYNLIQLQNYEIFEQEKLKYDQS KTL >CAK71334 pep:novel supercontig:GCA_000165425.1:CT868096:854462:856283:-1 gene:GSPATT00001048001 transcript:CAK71334 MDQIEFKTLDEFIRLFHKKVSTFTTSEMLIQLQHLAQILSEKQIQTEAKNDCFFKRWSEI KMPISLITFDFISQLFVIYTKVNETLKEDILLDFIWPLIVYEFEDKKVVVEYFTILKTIY DRKYRELLKSFGILEIVKYMLKTTEIDDMICCEQHNNKENPQQTITQETQTKIDQFGLFI NDIFNQMINDLKQSTGNNLTDLEQLFGISVHQLLSYCTKDHNYCQVQTLLIILLNQLPLV NADVIQKVFQNFEAISFIVLLYNSTTSQVLQVYCIKLFQVITNKNPSIIQKLILSMIPSS KNTKFIENLHSEPLICAILEWLLNIPITIKITEYQMFDEKLTFKNHDVMELTLNYLYLCP VMMKQQLITTLYTLMRNNKENQLFLLSNKHFLSTFPKFLMGLQDEDLLETDESQNSLQKS IYDTSLKLISTVFMNQFQNGKIDMLFQWLKLAELEHLKQKNEDIDGFKDPRTPNYFIRQL ITYVFNNILKSSILNTSELSNELLWRGFHLFIASFLAIITKDLIQQDENISKLIGKKVTY SPQLLMITCKYIQQEFRII >CAK71335 pep:novel supercontig:GCA_000165425.1:CT868096:856464:858258:1 gene:GSPATT00001049001 transcript:CAK71335 MIVRRDRIHHQGKTNRDFKMLDSFKNTSINQINSQDGDRIASLSVPKNKKFSEILDQKSK RIVQCKDPVEETKNSAKKFNQHHLIGYPRSSNLEEKELMKRVFCGDSNTLFFDEEHRQSQ ESPVHTKYEQKEKLAQQKREENTTGIQETQLVGLENKNTLEGKVDLEKVRDIRRAIRRRY ANRKNFQKIFNLWDEDSNGAVSVKNLYNMIQRLGININIDEARVLLASADMDGSSDLGLD EFLDLIFNDKDALNVNLKALPALTEDEKDSLVKNQETIDFLRKDALQARDRRHQNQVNLI LKNRLQQLGQQLTMQDELQKGYISFGRFERVIKKLEIDPSVLSDQDLKILYDNFKNQDDT FDYKKFLNHLKSFQLQEEVYNDPYSNKNQEPVDKKQLLLKSMKYQEDQLITLFDITRVDA HSLEKMKTKTKNLMNKIQRYLPSHTKFEEFLKSKIQGNNVNVKEFSHAIIQFLESVNEKY QKFDLESMLSVLQFSQYETQRSDEIVRILFNETDQEFYDRAQLRQKGPAPPEKKINNKIL KNKSV >CAK71336 pep:novel supercontig:GCA_000165425.1:CT868096:858269:859063:1 gene:GSPATT00001050001 transcript:CAK71336 MSIEFYNQDAGMTWYLPNQSKELSDVLMKVENSLFNRSERAYKLFKDFDKDKDGYISQQD MKQKLEEMNILNGQEIGILINYVDPSNKGYATFTEFHDRLRAGMTIVDNAGNQLIQINSQ PGKTFQNAAKTFLPELSRLTEEFKKPFRPQTNHTDIRPSTRFGATPVYKNTFVNFVPPKT SPMFMTQGERFSKNREQFIQDERIHNNQKYENKLNRIRQYHQSLDQRIQSALEQRDQKDA NNLKSKQMAQWTYEHKAHLKNDYL >CAK71337 pep:novel supercontig:GCA_000165425.1:CT868096:859082:859678:1 gene:GSPATT00001051001 transcript:CAK71337 MGSACAKFVCQDTIDSELMSLESKMRTLNEMSKSTKLSSLKLSITYTHLTNQRNSESEIR DLDHPINKINKSKGRMKSFNFQEDHQRQFCLSKVSRVNDSPVTVKSETRRIKKKHNPQRE LIQIQNLDLSFYIQRISYQLENNEEQSQRSILKSPLNKSFNSLSEQKKVHFAQGTNFRSN KSSPSKRTKLNHLRRSLI >CAK71338 pep:novel supercontig:GCA_000165425.1:CT868096:859737:860453:1 gene:GSPATT00001052001 transcript:CAK71338 MGSCQIQSLETDTISLKASSSNRIYTKLTNNGNQIVQSQNVDNKLELDQKVEDEEILLTV QTRIIKDKRFYNFQEEESLRKISNKDDIESLKRGSKQKRRLFRTSITHSHFDEIKQNSPG LNQSLQVERDDDAKSVKSILKKNSKIKDSVSGSPETRSVRFARGTIFRTKSQRSLCHSKN KKHTRPDRKLNESIYSQEHRSPNWKRHREQETVQIIKIPGLFQMNQNIGVNTKLFPYY >CAK71339 pep:novel supercontig:GCA_000165425.1:CT868096:861745:862144:1 gene:GSPATT00001053001 transcript:CAK71339 MRIEISLPKQDIFSLPQSRKQEQKTLQRRFYRPYRNKSVFPELNSTNALYLSKTSQKFKL SRKEWQDELQTCLQSQSIRGVPNRSKVSLYSSKSAQNFCLSSQLFRRPLPDLKPLLEISA QKLL >CAK71340 pep:novel supercontig:GCA_000165425.1:CT868096:862442:863443:-1 gene:GSPATT00001054001 transcript:CAK71340 MILILLGVIQLIQASSENNQKPVNLSKFAKVFEHYNQSNQFSKSLFTQLKSKVLNGATIQ DLDDHFAELVGHLEKDEFSQQQLYQVEQARLEGTILQLEDELKQLEEQNAYLIQKQETLN EEAQQIDVLFSANHEAYIQKIGEQYTLIEAIDELISQVQEKQTIKDDSDIMEKLKQISED QHISILAQVTAHLDMEQADKILVLFQDLKDTLKEGLEVDEQNNELNVKLHTEIRQSIGRY DLRINYFSMIPETLIQQEEILNSIKEQQNTLSITKMALEKLKSDMDEVTSDRNFALLQIQ QAKSILKDNIQDLSDPK >CAK71341 pep:novel supercontig:GCA_000165425.1:CT868096:863455:864470:-1 gene:GSPATT00001055001 transcript:CAK71341 MQIYQRIIRNFSRKMEQAQIQIQKYLEDSYLTQYPSAILDVQNVLINDAKKQNVNVIQLL LKENIFHPQGGGQPDDEGQIKINDNLINVMSLQNDKAKQQVLLNLQHQQQLFDLLIEAKQ QNQAVIQIVNEQQRRNYARLHSAGHFIDMVVKKLGLKWTGAKGYHFPDGAYVEYTGTLEG KPEDIQVSIQQTCDQLLNETEENDSKQVEFLNEEQLSQRLGGEVPHYFKGAQNVRYIKLC KYDDGCPCGGTHVNHVKELIKVNIVKIQKKGKFLRVSYKVQ >CAK71342 pep:novel supercontig:GCA_000165425.1:CT868096:864979:865896:1 gene:GSPATT00001056001 transcript:CAK71342 MFYTVPEFLEWEETKLAMNAQNKMEQVNKVSKVKQIGVKVVQVEGNYGELVINNKKDQDF NDELKKMINQNTQLQNNHQNQRQDIQKDRGDCASLQVMDRSNQSDILPIQIKQNKEEIYS QGDQRIQLSIKGEGFSEFRIRSICFKLKCPSCLEYFSSSPPKKQQQNIYQFQSECKKCSK QMSIIYHNYIQQLPEKTQWLIGSIKSCEKVEWQLLAIQAQATCKCMSLKSQLPSIEYTLE KNKQVAPQPKRQKFQITDQNRYLCHGCAQELKFQPEFLIIK >CAK71343 pep:novel supercontig:GCA_000165425.1:CT868096:865907:866292:-1 gene:GSPATT00001057001 transcript:CAK71343 MIHIKIKIFNKKIEQDILDAAVLSSNKKIKIPLRKPSQSFKQYKQQNQDLNASLDSLTDD QSLNPMQTIYTDKLLEKQYTDRFSKTIKAIQKINNQKMTLFQKYVKLEGISPI >CAK71344 pep:novel supercontig:GCA_000165425.1:CT868096:866808:868032:-1 gene:GSPATT00001058001 transcript:CAK71344 MNKSQCYLPNQKQFYAPIILTLNMMYLSGMLSVALNSQLQNGILYFGCAVGSRLYKYIQR FSNRVVFYISDFILLVSLLPIYFVYPSIAPQPDLGNLALNFLIGIAGVMMYLRLKYTDEA ISFFSLFIPLQFAIGSILNAILSAEKIYFVTILIYLCATGARILLISIIPFSGLSQNVKQ LEEELLQRNQTTELQVNQTEEGHEKFLQAFLKNFKYLILVIIFQTSLIQFQYHPSQLFMI IFNMIFYDSIDKNRLIVLGQISVCFGYCSNLTSFSNSGYYLGLGTLFYPIVCKISTSELI VIAEISWIIRLATMCYIAVMQKISYNLFLLDSEVQNNVFFILNFVSLTLLISLLFYSKKP EKTKVEEEVIMEVQNTQELNES >CAK71345 pep:novel supercontig:GCA_000165425.1:CT868096:868237:869580:1 gene:GSPATT00001059001 transcript:CAK71345 MFRKGRNDPLELLRDHVIHHKQIKLKSKNTDHRLLFDNNIEFKCSTETAWKSKSGQEYTL GALWCFLDCHLQGLEQKNYRKKALDLNFEQVFKADNQDIIEYFTGKVDYTDCINADKKAS LYNKKALQKDEDVEFASQKKVKPDSEKEPLTEKEFNLKVFDEILRFEKPITTRNRLFRVQ DRTFDDILKTSQKIFQGQYVGVGGDEEELQQILGTRTQDVNKAKQLSTAKLSYSVLNEFI KSKEKPIIIVPQIAELGNLCLKNVQQFLEQGQYLDPNGLKFTNESRSVQIKVKLRLTDIE QQFLILDTPNTITNWKRVVAVFLRGSTYELKQFPDQNPNQLFKNIRGYHLKFEEEKLKDL IKQWNVKVFDLHRSKRYQDIDVVNAFWEDLEAFLLRPAKLPSQQ >CAK71346 pep:novel supercontig:GCA_000165425.1:CT868096:869621:869941:-1 gene:GSPATT00001060001 transcript:CAK71346 MSYIGINTSGGINPCITFYERMQACINKENLPNKMGVQLKDWTFQNCVNRRKQYALNYKI QQELHKNRILVLPTYDVENDRFVSKYQNATEVFQQKH >CAK71347 pep:novel supercontig:GCA_000165425.1:CT868096:870064:871981:-1 gene:GSPATT00001061001 transcript:CAK71347 MNTEIELDKQNNISSPLINNKENQQKSGQIPIRSSSIYQINQDLLRSQINDQLDKSLSEF QSIEELRKVMPFVNYGKALNMLLKATQEGEVEEFLVILDDLKIRKEKLKLDELLDQKKQT LLHIACFKNQPAVVEKLLETAKQNSTQNQFEEWINRVNQDQFASVHFAAYVGSISILEML KDAGADLNIKNSQGQNALSVAAQGDQVQAMVWLYLQGQSIVEQDSQGGTPLHWATYFDSL FALQFLLSWLSKLPNYSYYINLKDGEGMTPLHLAAVTGNCRIAKKLLQKGANKSIRDIKN QTPAEAAFENQQNGVFEILMSNNCLLEFLNIKPSIKPPSVSWTQIIAFFIIYFYCMIGTI LFVYPFYFKHEWLQILSVFSFLLGIILYFLTMFLHPGFIEKSTDQQQLFKLLNDNEPWEV CQECLIKKPERSRHCEFCKRCIVVYDHHCPWVNNCIGAKNYFIYFSFISIIWINLIHILI LNSAFIGQEYSDGNPIYSWFNNMIESQSNTLFITKIVVQSIIIFLSVLFIIAVSHLLYGQ IITLFTNRTTFEKYKQAENLQSNNGKSKSQKQLSQQSIKAEDPKIQCSCANCILMCCGNA NNPAFQ >CAK71348 pep:novel supercontig:GCA_000165425.1:CT868096:872574:872894:1 gene:GSPATT00001062001 transcript:CAK71348 MISDTVLLVSIICAASILVVVGIFCVWRKLRIRTINNLNNKPMPKAYVEIPDKTDRQARP PTNPQQCSPKGDEDSIAIKRKVKNFSSAVINKKKNLTIKIPTKEES >CAK71349 pep:novel supercontig:GCA_000165425.1:CT868096:872983:873570:1 gene:GSPATT00001063001 transcript:CAK71349 MILLLLVGLVEGVQFGYEVERGQSICFEDYFSQDDVFDLRVRANSSNYSVKLEESEQKKY VPLYERSGAWEHNYQHQSNHKTSHMKYCFINLENDGILFNITYKTGSELADMQKVAKISD LNQMGGHMKKLNSMLDDIKRERSFLIAKYDFLSRMQGSISKKQIVFGLMCLGISLIITAI TVNLIKRILQQKKSQ >CAK71350 pep:novel supercontig:GCA_000165425.1:CT868096:873584:874883:-1 gene:GSPATT00001064001 transcript:CAK71350 MQNPSILNLQQVKQFQVRRPQITKGSPLLKGNQVQIAANIRNQSLLSDQPQHLKIKQQEE QELGMHNQFERRIQKQNQFDIKQQDNIFQLKLSNEHQLNQLNMQLIKKNKILSNRLQQQY KINESYMEQINKLQETNQAYEQQIHQLEEKIKKQKSKKKQLKEQIDQLQQELDEMQEKYQ NNSNQDMNQEDDQQQQQQQNHIPLTRQQLYQLMQYLYQGDQQQLENFHEYQDQEIDPDAM TYEQLLELEEQIGNVPKGLTKQQIKQLPKRTLNHDSMPEDKCSVCLFEFKEEEKVRELPC KHIYHSSCIKNWLQNNKQCPLCKTEIEIQKNDGEEQLNQQDEPDQGDEPQVEYDQQQFEI E >CAK71351 pep:novel supercontig:GCA_000165425.1:CT868096:875019:876414:-1 gene:GSPATT00001065001 transcript:CAK71351 MSLKEIVTIQNILEALQTDDAEKQLRKKKLFAFIIDASKPKRLHGQGDYMQMIKIMDDSY NGYLTLFYFFKSLSEAAPLDTIGDIVFLKRYHYQLFNGEPQGRRNSFKTSYFLLFDANTL ELKFNPQDSTEPSNEELLCIQKISNHSQSYLAQTSLINLYWYGVTNAIYDGLFSIQELKD ENVVQLRDSKNDQYTLLCQGLVDLEIQEGAIIKLRNIQISNENEILITDKTNIMLLPNFC YDVQHFEEINQVFPKIDFQQENYQTITIGSFTQIKKKYENQQYVPLINLIQKQVKESIIV VQGQVQSIYPTSVEEAFIFYNKFKSFSYKEVIANGLQISGLQKTLQIQINIRDASLEGTT YVIQLLIFDNPSFFPFPLVWDNVEYMQAEYQKLLEKLILEQDQTHDFVLEVIESPESTIY RVIDTKVIY >CAK71352 pep:novel supercontig:GCA_000165425.1:CT868096:876462:877967:-1 gene:GSPATT00001066001 transcript:CAK71352 MNNFDFSNQTRPNIQNQNEIATVTINPATRLSQLLILLIITNAYILIYTTYLMLEYELII MIVFYWIIDIIVILTLSILKIKLTYSQQYRYKITVGNLLIDTFLAAIFKTIILVNFTYSE LDIQYFSYVIMAYYFIRSVVINIRKIAINETITQYSFLVQGVKILLVLQLMLITMRWKCT IYWSWYTTFSIAWGLLVIFFIVHFVFLLSIGETLIDYYKKKTTKIQLIGGIWLTFYLCGF SGIPMWFCYIICQNQEVNSFPTVNQATLLCILIISYNFSLLVVTVICKLQLIQFIKDVGF DNDSQQEPVVQEEKLPYQFLKISLPQKLIQISSTYFDFVQDSNKQQNKQQQQQQQHISNS NFSVINHKARLKAFEFMAKTEQINSPVERESGLVKSEEKCQVCFENQPQIVMLPCQHGGI CDDCLQKCLKKSPNCYLCRNKIQKLLRVSKENSGKFAINDIALCDA >CAK71353 pep:novel supercontig:GCA_000165425.1:CT868096:878081:879436:1 gene:GSPATT00001067001 transcript:CAK71353 MIEISISQISLKQALGNCQINIGVKCGTFLKYNQVKGKKYCINSYHQLKKQSNIPSLINM YLTPKTLNLQTSSHYNTQLQFYIETPDEQGYIKLLGSSKLLINDVQGREVSHKIELEHGN IMNLVLRCTTEVRNSAKQIPVINNRMDNYHMMSVNKSEPIINKKRYGSPQPNKNQPSRSP QHKKLPPQPQPQPVVMQQQQQFLQQQPQQQLLQPTSSNLSKSSASSIKQQKILEDYKQIM QNSILDESEEFQSSPDHRIDPPQPIMEFQNKNSVTPRQSSRQIDEIQLAQYKQMVKELSL LLDVQQDCDSIVYAVQQLLQKYRAQSEQIMKIQCDNQILFSQYSSLQQQKSLIETKSDEF RNQIKSKLKTYKTLFDENVSLKNQLKDDLQKIVDLNIQIRELKGDQQEKQLIDSEIQTLK QQIDKVASNVDQKQYDYAILTEEINLIQFNV >CAK71354 pep:novel supercontig:GCA_000165425.1:CT868096:879614:880045:-1 gene:GSPATT00001068001 transcript:CAK71354 MPCKRSMLQSEHSEEYINKQQANNSNYTKLRLDDLIIDKPQEFRIKTSSDIKMSLILENK RRLAQPANIEWMYNYKSSTKLHKQSKMNEYLKSVIEENKLRPTIGQLPDVRIKSMTHTDF IKEKYDFRKKIFQNPRKVRSKFN >CAK71355 pep:novel supercontig:GCA_000165425.1:CT868096:880105:880637:-1 gene:GSPATT00001069001 transcript:CAK71355 MIQGGVFSQCLQEIKENCPPLQRVNYSHKKSFKHCLESITQKIPIVKSIHKLRLDQEQKI YQNIHRVKKLNLMQEIHQVNPKLHNELKQIQHDQLTLKFAEYKQQQEQYVEVLSDTQDSY IKYQQQLEHHGSGLKIFQTRPLIRNIMEEYDQEESTYSDSYINKYLDLN >CAK71356 pep:novel supercontig:GCA_000165425.1:CT868096:881479:883417:1 gene:GSPATT00001070001 transcript:CAK71356 MHQQLPPEQLDRLICLEARIHKKSKEEIIQEQFLQIESEKQTDENDRNKQNMDSNKKSDD LKCSQNSENSPQKLFTSQNLSPVQKKSIITKKHSQQKKKKKTKEGQQKDITQYLQYQKKQ QKAKKCEQKLMEYSIQDSPFKNKSPLPSDPALEKQLKEKQNQIAISEIKIKELETHNSEL RETISSLTEQQLRIQDVVKQFAIENEKLKKQQLQVQLQTNRVRLGEYVVQREKTALVDVW IDGQELREAKEQSKRLEVLKAEYENKKKNSKNKEEQEMRTLNVKLNFLIKEEQQLQETVD RLETEKNLHIKQLKRVYEEEHARFTKNNDYPLIGERYQVLSLLGKGGFSEVYKAYDLQEL REVACKIHQLNSNWSDHAKQNYIRHAIRENRVHKELNHPHIVKLYDSVEIDKSSFCTVLE LCDGPDLAYYIKKYKCFPEKEAKLLVGQIISAIKYLNNHKNKIIHYDLKPQNILFHLNEL KISDFGLCKVLEDDNSKLQLTSQGVGTYWYLPPECFHMGDQPPNISSKVDIWSIGVIFFE MLFGQKPFGQGVSQEKILKEQIIVKSQSVTFPQKPIISNECKEFIRGCLAYNQVDRLDVH QASNHPYFQKKLN >CAK71357 pep:novel supercontig:GCA_000165425.1:CT868096:883441:885129:-1 gene:GSPATT00001071001 transcript:CAK71357 MSKVIENYVLQDVIGSGQYGKVYRAKNMKNDQIVAIKVVKLEKFREVPKLHEFTINEIQT LSKIDNQNIVKFIEMLKTQNNMYLIYDFCNGDTLEALLQKRKFLTEPETMKIFAQILNAF RSLVRENILHRDLKPSNILFHDQIVKVADFGFCKSLLHNNDLTQTMVGSPIYMAPEVLKG CSYNCKADVWSLGVVLYECLFGFCPFEDKSIARLIMQIDNKEITFPKHVNQLSRKCEELI RSMLQVDPRKRVDWQQLMQITFYEEPSVAKQITCSANTNPIQNLPQMLKKQASTNQVLQD RTNMTNNQPQQQQQQDFQNLRVLLRERSKIIFLAQMVSFLLEQNTVSAVQLHGSLSFSQK TAIIAYFLMKLAQNQTEVIKKQLDQDHRRESRWEEFQGSNEYKQFNSTFQRESEQLLMNI ESFKNEAQKVIRHMQQNDLTTQIRNELMSPQFNNLKLYTSLLISYIEESRERQVNLTEDL QQKLLVGLIDLLETSQLNEFFDKNLSDVNIRFNDQRYFEQMRKMPKETLTDILNQRLVNA KIKCAK >CAK71358 pep:novel supercontig:GCA_000165425.1:CT868096:885864:889577:-1 gene:GSPATT00001072001 transcript:CAK71358 MIFTPASQQEKATLEKIGEFLMGLSKNVLKQGSSISLPKFLQDLELTSNELCYMLQARDQ NDYSHAIGRYTQVWKDVLKSVQNSNQMQTEGEHEHEPLSRTDLMQRMKQRMMEGGQTGRL GGARVGGFQSPLAENERIKNRQLSSKNSNALPSIRLNSFDLQNDRTLNNSSRMQYELDDH QKCILNEVLKQSNKLNVQIVMKRYDPKIKLCISQGTDTNLDILEVMRNFKKEYHESKLQE IVKDSDKHSMELQKFLNERIDYRYTLQTQQVNDKLKGVHKQMIQKTMDIETVILDTQKQQ IQQDMIPNILNEFIDYINDSYQNVQHETYKVLMKFVSGFIVRRAKQDSERKLPKQNSQQM LSSSNRERKAPTLRRADNTEQLQKELSNKNVELDKKFMENSELWNKVRQMENQIMQQNIE IESTKIAEKTIEQERTQLLYDKQKLEKQLLVITSKTFQDQAVQVSDQTMQQQISKLQKEN QELQQRLYQLTGELNKKKSIPADQRSLQMDFGNSQDQSNKDSPKATSKIKSQFDFPQDED NFSIGYRGESNRQIQYSDYTKRQSTDVVHRMSFTQHVQSQKDQKISKNSSQTSDTQQNKR GLQHSSTELHPSKQPNKQTTLDQKSIEELDEEQMQKSGLLLQQQSQQQIRKSVSPNNDNG QLLRKMSSITKSDQQLTSSIVRKSTVNQMKIGDSTIPDTDLTQAQTIQDTQRTTSGRKMT LTDRKNDSSPSDRRIQTESYDQSQFQQGQQSVQISNTPQQNKQVKGYKKSETLLSNKTKN KYARKSTDSMKEIPEDQAINSNRGSTRIIESNKTRIHLIKEEDEVSRHSKSRLSSQQSSQ SHIRRSSTTIQQPIKMAIKVNDENPAEYFASWKQRMVEQEQQTDIELFTNFIENFILNLG LPIEIQQKVLQAIPKMIQSKNLNQLSEEQNALLKQQQSFRNVVQKYGFDTERVSSNQTKN HSKQQSQESLLKYESDDDQVQMSSKQGAQKQMETQRSQNQNFKQKSTKFYSQSQKKESTV QRQPSRQPIDPQEQLDQDEAKDIFNMAADLKIDQVDQLTYEEVVKSIQNQENDGKQLQLF KPHELKGETFLRTLFNQIQNKKTKGVENVQELLRKYYKKGKHENITYYEFKAFYQRLAAI HKMCGQDILCNHLQRFIMRLGYTCSIFSKRQLLKTSTSVIKPFNSTEDMTTQSKLTNTHN NLAQSRFTSQQFYMSEEQFY >CAK71359 pep:novel supercontig:GCA_000165425.1:CT868096:889614:891534:-1 gene:GSPATT00001073001 transcript:CAK71359 MDQSFNDLKFQLQQELQKEGMVENMKTQLRYKLLERLQMQQKKIPSSDKVSESEMLLKRV LASAVADYLREARMDYSLSVFVPETTFGAALLNKEELKILLKIDNLQGSILSQMFSDILL LKPKEPLKSNAATQTFYGDAVINLEQKLSMVDNMYKSKIENELLKPQYDMEERILKMKRE YEQRLKTEIATETTRIREFEAQHIRLEEADKYRQKLQDYREELEKNFQEKLNVLRERENE TLKMAAQKTKELESLNYQYRQKILKEHEIIKLKEQEIEKQRIANEESLKFQKAKLDVMER DLSKKLADANGNEDVIRRKYEAQIHAAKASAETEFYEEREQIRNKLKQLEGDLRNMENLK STIKTLQRENEQMVEENKKYVEQVREVKKRRKEMEYEYEQMKENLRAVSDAQRRDQELCR KYETDIRLKEEEMTLYKTTINHKDGMLNDHKVLQQEQVKKLQKEIEQYQKKVEAQELLIK DLQVKENHSPNFDIQSALFKGNIADSQLMQYNFQDHSNKMKQINNELDENRMRRREEIKR LQENAVVSLNTEPALKFDNVWDVYNSSVNYSQVGGLQYRSKLHEDYQGGKIFQTGEDAQS QVVQSGVFQKKPGQGTQQQQSNIIEETYSELM >CAK71360 pep:novel supercontig:GCA_000165425.1:CT868096:891749:894018:-1 gene:GSPATT00001074001 transcript:CAK71360 MIKLEAHTFNHKNGLTPAQLSNKSPIDIVRSKKIIFKRELLNTEQNFLVQTDEHQEIIYI KPDVPDLIMTDLTNMAIQEDISEYQALEYHNRAAKLLEFYTQNQIQVQYTSVLFSKINYA IILKKYAAQNEALEMLLDCVQILKIKGQTLKKNPPESLSLAKTIQNKLLRLRLIFQITLL FSETKKNKQALEMAKSALRILKSIIQNTIKLCQLTTGSSSVNKKQRANSEISSPLQKNQA QNPPQQQSQILSYPQIVEAVFKEILISIKTMLPNDDKSHEVMSTQNLMIRNFQNRSQSLF ASEYVFQPQNNQQNKLFTIIDDTHPMLQMQILGLMQLTYVDLEELFPINSFEMVMAEEVI LELIMLTGLSFYSISTELRFINNQQNKLTVEIWLGKAVEIFYTYVPHSSAIFNQIYQVYQ KLYGVDKQSIPEDEEVEYHTKLLKPHPYNNKSTLSNKVVIIIKVPNHNKQSKETETKQSQ NTQTTFQKIQQTILAKKQAMLPQKHIFTQPDTDKKFDTWHDETEKSPQQQQKLFIQKHNK SLNSTDIRQRVEILMNQILNQQAKLTQEKLKQKHTPITILKQKYQAASKVQENQKTFNPF MTSQFKTTISASRSLSNSRKASQANLKSQSNGVKTAQMRYRTQISTITGDDSSKQLAQQI STQLASLNRQSNKGFLQKRSESVKKTKIPFQQR >CAK71361 pep:novel supercontig:GCA_000165425.1:CT868096:894061:894672:-1 gene:GSPATT00001075001 transcript:CAK71361 MRGKQQAQAPVTQKQQPQKQGNVRKPQERPGLTDDEIDEIREAFNLFDTEGTGRVDPREL KAAMQSLGFDQKNPTIFNMIAELENEGHRTDIDFDQFLDAITSKLGNRENRDGINKIFDL FDDDGSNSINLNNLKRVSKELGETMTAEELAEMLERAASNGREITREDFYNIMVKRTF >CAK71362 pep:novel supercontig:GCA_000165425.1:CT868096:894763:895911:1 gene:GSPATT00001076001 transcript:CAK71362 MIDETLQPIQIKNWTQGFQTQLRTSTKRTLSRLKSIESNTISHDPPSDLSTKFKTNQKTI KISDRCIHSQYASRKISFKQKQPTQTIFQQPLCKAPLYMQLIPFFKVSAQSWAIFNGNTG EYITGYNQNKCRQIASITKIVTCIIENAFLFQIKLINQTKQAQKISGTSAGLLKGDSIFL RDLLYGLMLPSGNDAAVTLSYNFQLYSLENDHFLKEMNRFVQALGLSNTQFSNVHGLCNE NNFSTAYDVGKFTYESLQNEQFQKIVRTQSYFCETPNQLQNTSRELYWENTNKLLQQGFK GVKTGITKEAGPSVVEYFQDSTNSYIIVLLNCSSSENRWTDALRLLQWIQKQDTLIKQFI >CAK71363 pep:novel supercontig:GCA_000165425.1:CT868096:896453:898389:1 gene:GSPATT00001077001 transcript:CAK71363 MQHLSYPPDKKIQNYQFSFRSKLGKGAYGTVYAGKNTNDNSIVALKIIDKKLLLTDYANQ LIASEIEIMKKINDSHVVKLLDVLQSANNTYIITEYCNGGDLREFIKARKVIPEEEALKI LKDLLQGIKALLKQGIIHRDIKPANILIHDSQFKITDFGFAKQIDQNLDTIMNSLVGTPL YMSPQILKRGKYSSKCDIWSLGLIMYEMLYGMTPWHSQNLVELMNKLDSKPLSFPTHPRV SDSTKQMIKGCLQIREEKRWSWEDLFKAVNFNSNENKENSSPKQEIHAQTYRENLSSQNN NQSKYSLQMQRFKQRTESLCQQINKHNRSYSNTAFQTNERSNRYDNKTPVNDKSKQTCSY FDLKENLKQNNIKQERERSNSQNYRFHTQRNELTTFIDKIHNVQQKLNSNNDSCKTTSAM QSHSTNTSNKLNYELDLVKVSEFQLDENNKALDDHNTLRNPKTERVKRTNSLSRHFQQHT FQGKTHLQQLIKVIENQLELIPNQVGCKIEINTMVILHRDIINYASSKQIYTNQDLKDLK LLINNLISYLNLNTGEHSSKQSQTMLLLLLTYHKVVVYNIKNKTLNIDANLIETIKKNIQ IQQNQLTIESKQLREHINQLM >CAK71364 pep:novel supercontig:GCA_000165425.1:CT868096:898495:900360:-1 gene:GSPATT00001078001 transcript:CAK71364 MKPSRIPVRAFGNAVYQQPIEQRQLRPSSTQQTMEPRQIMRCVSQEQKLPYQPLQYVQYP QQRGSNPPIRKPLQETVAYYPQQQQYQQCVPLKTEQQNKTSLIQELSKVQSQAQLNLAQQ DDTNQSAIIKQQNEQIQQLQTQLSEKVHQYQQLQERFNVEFRKFQEDIRYKLTNIDLNIS QNDYTQQFMLEIDRKVSNLQNNLNEQFKELQDNFEQKTKQSEHLVKNLSSNISLRLNEDE QHFKQEIENLNSKLNSKADRQKVEQTIQVQITSLQNQYQLQLQQAHQLLNKINNEKSDEL QQIKNQIQNTLMHLTQQVNQKLQHMYDTINERDQENNSNKQESKQLSQHFTNLTNKFKQL QQQSVETITQLKQKNKQIEKEKQELNKQLTQQQFLVSQFQSEITKLKNTNSQLTLQLSPQ VKQNSFFSTSTAVAIGKQKSKKQISIVKKKNEISLDDFADFNENSLLDSNDSFEINETCG FSKTKKNAQIEEFQSPPRNPFKKSQIQNNIKILSEDISAQVSCKSRGKSAPLPLMNALTK AIKRRLLYLGKNDRILTCTYDSEKHLIDCDMGLCLLDENGSPFVVSEQEKAQLIQMKLIK IN >CAK71365 pep:novel supercontig:GCA_000165425.1:CT868096:900396:902886:1 gene:GSPATT00001079001 transcript:CAK71365 MKFAVFLLNHIIPEWALMYLNYKAVKAYLSTSGALKDFLLYAKKTKTPQEYKEIKKIVME KTYIISKITVDQKEFNKKFTLELIKVRSFVNAKYNDLKGKTYKLQLQILSMNRYQRQLER SKKRSLIRSNSQVSEELTEQLTNQQIKIRESDFNQKSKKLKELCFQLYNEGVNFEKYLSI NQEAIRKLIKKQNKKYIKLNQEQINIDECKKLVSEINFDSRQNKVKQLLFQVEKYLLKHF YQAKQKICKEQLRKYQFQNGKNNKAWFQFGLFTGFSLMLMSFIIFLATQKQLDILTNTLI YESFPIYRGALLFILYYWSLTIVIHLWNLSKINYKLYFSFNHHFSTINEQLKRVMTLTSI FLLVSLFYLCDVSKLGVIFSNLKGEEYFPLVIWASLLATVAFPSKIFINGKGRIWLYRNL WQSINPKLIDQRHFFIISQFTSLIIPFTDLTYTICEYSKGIKNQEENDNDQYDECFFISR YFTLALVLFPYFILTIQILFLTQKQQNIKLYSIEFIRNILSIALIIFATLSYQEPNFFYY WLGMAVAIGFLNIIFAIKKWNYLDIKIKRKKRSISYKQKLVLLYIPFGIIQPVSISSSIF GCLDKNEQHSLLILYIGIAELIRRMIINYYTVDAEHFRNKLKYQTVGEYEFPFEECCTKI QKYQLLQYESDDSDNDEKEFQGVSKEKSGEDPVIPAQNEIRSRADIGLFIQGKSTFNQLN NSEAPSQGNQLKISELIVKDSEKVLGDSFINYDINNFKPDELNSLINCQQISESDLQSLH INNKNSKKEISKLYEEFGIKIKQ >CAK71366 pep:novel supercontig:GCA_000165425.1:CT868096:902954:904586:1 gene:GSPATT00001080001 transcript:CAK71366 MSQRDLSNLISINPNDPQQYQDLLAYYRSKLGEFEKERFEWLTKLEEIKIQYEDKHQQEW ELLKRKQEIKELQQTNSRIQLMLFEERQARLKLQKENDALKVRELEDKKKIAELMAMIEP IEEQVVLQKDLRPEVTTKYTGDTLAVREKQGNVKMHNINQGRSILKTVYMPNEQLNAYQL ENENLKKQVENAEIMLTQQFAALREELRAKEVETNLRIKEDQQKLDQLVQKIQKLEKQNV ELVKGKTYEYNELQIVMHKNKNFRIRRDKCKRIWEVAKLKNKKLKMELDQIQKKYNIENK TALELLEKKSDEYNKKFRSQIKSKDEQLSIIKEQYEQVQNIYINKIQQLEENLSKLIEKY QQLEKRRSLEIEGFKNDIKILTKKVKDCEKSQVDKQNENKSPEELAKYQEEAQQMKQQLA KETGIIYSSGKKNNANNQQQTIKVKGNQQRGASKTMKQSNKQTKKGFNNQNQTDQKEEDD ASESVPMHELEDLQRQLDELQYQMDKAKKQ >CAK71367 pep:novel supercontig:GCA_000165425.1:CT868096:904672:906071:1 gene:GSPATT00001081001 transcript:CAK71367 MQIHTSSAHTISKCESFQHLSPQAQEALLSLKKIRNIGCEKKSDLIDVKKITTNNLDNPS NMSEVNIEQVVKHPPQNEFMGSQNFSFIQNSRQLLAFRNSLAPDDPTPDFSDALSSERKQ ICETTQNQQFFPKQLKPMQTLQSQDLNQINKYIRKPTQEKENKINQLSKNNYHQNRLSDL ISKEITKTNQAQSSNNIRKNSHTSINTHNTSQTKCNLSTQQDYLYQKAIFQQEKLKTFIE KGQLMNNVKEMKECTFNPKINQKSKIINSSTFFDRQNTWMRKKNDKISQQVEIQKEKATR ECTFSPNYRDNSNVRINSCDVYYRNLQWQNKMNRKKQQLRNSMIDFNNQEIKNNSSKMQI QRSNSTQMIHSQTDLKQLLDIQLKLDAPKHIKSVTPTHQATETTITPNSQSGYMELGKRK NSIEQIEQKYKLLYDLVQSVSKNPSKKKK >CAK71368 pep:novel supercontig:GCA_000165425.1:CT868096:906131:907394:-1 gene:GSPATT00001082001 transcript:CAK71368 MKNTQDKDIGLNVVYLIQQHNLSSDRKKVTLDQFHLLSVIGKGSIGKVVLVRKKDNQKTY ALKVIKKTQLIDNHQVKQIYAERNILQNCHHPFIIKLEYAFQNETKLYFCLQYCPGGELY NLLVQKSKLTEEQAKFYASQIVLAFQYLHEQDIIYRDLKPENVLIDSEGYIKLTDFGFSK QGIQGNFGAHSKCGTAEYLAPELLVGNHGKAADWWTLGTLVYEMVVGQPAFFAETKEELF NQILHQEINYKKMGVSSQLKDLLSKLLQKDPNSRISSANEIKKHPWFKNVDWDMVLQKQV PPVFLPQLNSDDDVQYFDDCFLKEPIFSQTNSLSTDEQMNSPYQGFSYSASPPQKEEQWE F >CAK71369 pep:novel supercontig:GCA_000165425.1:CT868096:908796:910358:-1 gene:GSPATT00001083001 transcript:CAK71369 MKRRSQAISSLSGSPKRQLSQMSIHDFPNLELLSQKYEDEQLGIMLSESVIVSRFICRQI IQKASDIIQENYIMSLLIPFGCQWTEQFTNMIVQDITEDMNQIDSNSDEVEPKPCPIEHW RRMIGQIQIPKMIQIANRSFRRESQLQGAEDAKAEVQRFDTQPVKMGDLDDDIDFDTEIE SMRQAKARQIYNLQQKQMQDLIKKQEYQEMNRQLKRLNVDSKSKYTYDFEGRVIVQKPPD VERYPRTYQDIQEKRVLLEVKDLFPHHKKQLESINNHKKKTIEQCNPSTLSNRFSTSQID IIQLKQGVAFIEGKNEKRNDRQHSLIEIKDPKELQKTLSQIHLKMSKEEYSIITNQPLQS SFTTKQLNLTQNKVQLSQQVQSSNPQNVPLSINGDQSIQSLNSSILQKLNGTISIISDHY DELLIHDPSAFITPTTTKLPQIQQPFQQQQSVPQIPQHLLTEPTAHPLSNSVTKLPKTMY VPGTFSKIIPKYPRERISRIVKQIKY >CAK71370 pep:novel supercontig:GCA_000165425.1:CT868096:910384:914365:-1 gene:GSPATT00001084001 transcript:CAK71370 MFKITQTQILEWVKDGNTETLRIFFEQCLQQNQDGLNSFFKMVDKEKRNVLHWAAYLGQL SLLEKWLSSYSQIIDLNQVDLHHYTAVELASIKGFMKEYNSQVQFRLIKLLLDHKAKMPT ENKFKRANPLHWAYYYGNSDLIDFLLSNYADLQYQLDQFDMYPIDYLFLENRPEEYQKKY KGIFIKTVQEYALKKDGHQFKLLRNLNTQRTIAQLSVSQKSNNLENPVIINEPQLKEPAN ELDSIDQEKFNLHLQCGQTTMTLMSKVQQAGTTIQKRDSISSRISQQFKLIQERMKKLPN KNVQNELPFEDSQQDFHEPDAEKIPSLKIIQPTNTLFSDPHLNIRDKNENPNIYQTDLES ERNQQRVFLQQEDEFGKLFKSNIIPSRSEQSKYLASQYQQSQGPSQFGRQLSQEIQLSQD EFRPSIKDFSRVKSMRPSLKRPGQRLFKRKSTTFNETYTIFHYAKSQTKKQLFECRLQFW SARIESAEFFVYFLKQKCNPFLIQYQGFNCLHIAANKGKYQILKHILESDYEYQELKDLL KLHRSITQGQFTKTYVKKDIFNKVEAFNIMTDQNPSNALHLAIEINNFECMKILVEHGVS MDVLNHRCLMPIELTFDEKMVRYYENHYRKGKEQSFNLMGYMYVIQTSGSHDLYQDIVLL QLQNIRQSFQQRNMEFEFLIINTPNYAYLKNGKEHCVRHHYYVIKLSADTIYKLADIYQI ECYHFTKKHLTKFKYRDYGHFEFPKPLQIQQLIINVLNEEFDLDKFVLEGLVISHFPLED SSKSQKVSVLWKELQYNCIRDTIRIQTHQIALRPLNSIASYYGPVIAWYIAFNVQIVGWL ILPALVGSAIQLYQLIADKMHASILPSFALFMSLWATLFMEKWKNRESELKYIWDMHKFK QQEPQRVMYTGLYTVEPCKNKVEVYDSFTTFKRRLIAEFSVIMLGFSIILVSFLAFNQWQ GQQDPQSVYMPIIINSLNGVSMTVLCDLYKRLCKFVVNWENHKYNSEMEHSYVLKVFLFE FLISYISVVYAVLFKTDQTQLTLSVASIIITRGLISNLQSNCLPYLLYNYLKWGLKDKFE TFKVFKEQFKICDMQYVKEKLKQAQQIEFMKMMEDSNNKQPQKELYEEYTNIAIQFGYTT MFSPAFAAAPLFFLLNQFINLQFSISNYQRVLKRERAQAADSIGIWLSIFELMNYCSTFM NCLVIGIVNKAEFEGLIGDSNPLIQVLVLAAIEHILLLIKYILGIMIPDCPYWVSKELRK YAYFEGQSAKIHDDSEIIF >CAK71371 pep:novel supercontig:GCA_000165425.1:CT868096:914550:916417:-1 gene:GSPATT00001085001 transcript:CAK71371 MTEIGNYLIGKTIGQGTFSKVCQAINQVIGHEAAVKVLEKKCINQEGDVERVKREIQILK VLHHPQIVKLYEVIETENHIYLFMEYANGGELFDYIDRVKQVTEYEACKFLHQIISGLEY MHSLKIIHRDLKPENLLLTSDRDILIADFGLSNLQKDLLKTCCGSTCYAAPEMIQGEPYD GQMTDVWSCGIILFAMICGYLPFDDLNTQNLYQKITNAEFTFPKHISMDAKDLLRRILVV DPQKRYSIQQIKRHKWWQLWKRDNPPMSVFKARCITIPCQFLPNSPYNEEIPNLPKCSPR NAKSPYARSPLTKSPNTKSTTSKISNCTYKQVYDKSKLRQTPSKSLHESTNNNNSKNNNN NNNNNNKQNIFIKQQNQYAFYNKHKKQPSGQYSTLMKQTKFQKVVQSMHENRNQKLLSSA TPHKPSTKHFYSHTRKVSDLVRSKFQNTLKIDESQSTKNILQRLTSGLNSLINSPRQPIN IRGIEQHNGPYHLSLITKKHPQLFIDMIHNYLKMHYPIIIYENYSISLKLESDQLLEVKL KRIEQIDVYYLDVIQQHYNFSELQKFVQELQLHIKF >CAK71372 pep:novel supercontig:GCA_000165425.1:CT868096:917341:917958:1 gene:GSPATT00001086001 transcript:CAK71372 MIVLVRHGERADNCPNEWKKVINTDDPHLTPNGCEQAKKAGKLILEEIKDYKEINIQSSP FLRCIMTAKNIAGQIKKEELSINTEICETLYQCFFESNPLPRLMVNKNPTHPYFSGIRLV DQQLKQNSIYPEELEDVNNRILNYVQNLLENIEDDQCIILVTHQRPLKSILEFFNQSTED VDYCKVLSIKKEESTELQDCKLTVY >CAK71373 pep:novel supercontig:GCA_000165425.1:CT868096:918027:919947:-1 gene:GSPATT00001087001 transcript:CAK71373 MDYFPFIQTSNLDPASLKHGVIFIGMTTILGVIALLQTFCEHKHFNLLREASVAIIIGLF IGVITEFQVFQKASFDNSSEIFFFVLLPIIVFKEGYNLNKQHFMKNFFYVVLYGIFGTIF NFMILAALTYSLTNTSIFWVPPNSTNVNDTASNSTYAQVFYSSCISSKDSAVSLSVLEFE HAPKLHSIIFGEQILNDVVVFAISKTVEKFHNEKGVKNNDWEWYSPLIFIGWILANLVVG LVVGVLVGSIATWITKESRFLSEQSSVVTAFTIYTAYFSFCVCEALGFCGVLAVLLCGIM LSHYQTYNLPKISATSSKITIKALAYISETIIYFYIGYMVTENAIVKNSSDYNLKFQVYT FLLAQYFVFSPIAKLTSMLMAHGFAIILRLKTKGPWRINKYEFFILFYSGLIKGVVAYAL ICEQEVGGEDYYKIIQTASLYMVVLTTLINGGTLKYVCEWAYRQMEKDSSVYYSRSQSAQ SQAIRQTFIQEDQVDYKKLKHKSEKFFKGFDEKYIKPFLIYQYNERKADIQVAKKLEKNK TKYEKEQDFKMYDDSVKQQREELELHKQKRNELTQIDVDQSDSDDEQDQHQESNGKKHSP SQGELSELKLSKQNNGVHKND >CAK71374 pep:novel supercontig:GCA_000165425.1:CT868096:920122:921759:1 gene:GSPATT00001088001 transcript:CAK71374 MNRQEIMNMKPEKKISNYGFSLKAILGKGSYGTVYFGRDMTNQLPVALKVIDHSKTQNYT QLYSSLQKEIEIMKKFKHPNIVELYEVYSTTNNTYLVQEYCNGPDLKQYLSENKILEENQ AIKMIKQIANGLKEIVSSNFIHRDLKPANILLHDGQCKIVDFGFSRPLPSECVMESLVGT PLYMAPQILTKQQYTSKCDVWSLGLIFYEMLFGTLPWMATNYMELIYRINNCKLTFPKNA KISKESLSFIQGCLHKDEIQRFSWNEVFLHPLIKPQMKIPIDPVFNEIYSPKFSTHRQNH STDKSALPTLRERSCSGKNPQNKQLEYKSEIKIEQKQELPPASSSQQSTEGEENTKVGKY YLPKQQDKLRRTRSQFENIKPNQTEINPKRQIQQPLPSNGNLIKQAFPSKKRNLFDLFKK NNVCKSKSNSEVIIQLLDLIASLEKEVKNQDIDLEAFLSKERTAITNGHQNQAIRIKIAQ QYLSKLEGRKKKKLETFITLLQKDLETEESTFNQPIQNKVVSPLQFQP >CAK71375 pep:novel supercontig:GCA_000165425.1:CT868096:922440:923127:1 gene:GSPATT00001089001 transcript:CAK71375 MALVEVTNIIFENELALFQTPISMQITFEVLNDLTDEIEWNLIYIGSPLSEKFDQVLDNF SMGPLQRGVMQFTITSQPPNYQLIPSKEDLFSVSALILTAKYRQKEFFRVGYYVYNNYTE AELIENEPQVVLIDRVYRQIMGSNPRITKFPIDWEGQLTQLYVPPTNQQFMFGSLTQQQQ SGSGMQTEQNEQVQQGGQSSTFTQNLF >CAK71376 pep:novel supercontig:GCA_000165425.1:CT868096:923131:923515:1 gene:GSPATT00001090001 transcript:CAK71376 MIAGYLQSKLIAKSSIQEVHGTDMLATQDRRTSKIYMGGQSQQQLNPLDLVQISSALPQF SFQQNNDQRLQTLLNTNYQQQISQLASPPNIDLINQIKEQARVVRLHYQA >CAK71377 pep:novel supercontig:GCA_000165425.1:CT868096:923579:925141:1 gene:GSPATT00001091001 transcript:CAK71377 MDSEVPESYSKDEIVWAKIRGYPWWPGIIAEVIKDKQSSEPAKYLVNFIGDNSQYDQPLN RSSTLPFQSLAKYQEKYEEIVQKIKTKQHKDSVTAADQILKGQSTYEIESKKISKKQNFT KTVSRRKKTRSSSSEEHSGIYGSSRKSKMDSEDSTKPDNFSSEIQELLKMMTETKLNPVL IEAKISSLIQIVDLDRPDIMEVLQGPNGKQLMQIQARLSDKKNLSQAQINFTQFLEKLKS IVLRTYFDPTEIIQQLHQVSGQKKITQKLLEKLQNQPNFILDSEDDSEDSDSGESDGESE EDESSEESVKKGKNQRQITITKPIIRKKYTQAQSPKKPRKQSQDVPNPLQKNKVVQKISE TISEHTDQIIPKRISEDIESRIRSCDSNMGFVYKKKYRTILDNIKKQSKQDIINLLSNSS NQEQLWNLLNGNTQSMNQSGNKQNDYEQQNS >CAK71378 pep:novel supercontig:GCA_000165425.1:CT868096:925150:929525:1 gene:GSPATT00001092001 transcript:CAK71378 MSDNQFKFKYYLNFMDIYQLLSFDGFNNTLEIILNKLACQKLQQIQNNLNIICIIGSQKS GKSWLLNKLFMQGQGFQSSTKGFYFTYDGQLIIDTEGLGCGDQRKDIQIILLASLLSSVV IYCGYSVEDFELMINLEDYEDFLPPFIWVLRDIQNKDALQPKELLERQFKSQNRVKKHLL KLFSDCVTIPSSSESIEFIDSMALLRRKVLNYAKPKKIYQNIYLNGPLLVEMIQMVVTKF NSNQPVDLRQVTETIVDRQSTVLLNEAFKKYEQSITQLKLPTCSFEELKNHHKDSELKAL EYLKSKINDKDLNDDLHQMCKETFKEICKRNEQEATNLCNQFINQEFQTLQKRLSEYRSI LEFERDIKLFYQFLLEHGPKTVLKQQVYQEFYNKMMTEGTNMFIKQQNQQQQQQQQQPLQ IKNENISTEKDKTINELICKVKEQENKVYSQQSQIKLLEEQLFSSDKQLEILQTELIKQK ELHQQQFKQFERNLYLKESEFNKMKALNEQKIQHLTKQLEQSQRKETQMDSSFLSTKSEY TIQIRDVQSKYEQMITQLQERLLVQTDKTQQLQDQLNNLEQQNTQAQFKLQSKDSKIYEY QQQIQLLKNSVVQLPQIDNLNYEENEKQIVRLKEIIESQETQLKEKTSQLIQLKSSLERE QALIAQEKQFLEIQLKDLSEQLKLEKKNHEQTLGLFESQNDVNKSQVFSKQYMEMKDMHL NEMKQLEQEFEIQRRKMQQQIEYLNSELNKTESQLLYQQNDFTRELQQTKTQILNYEDNI QRLNREFMLLEQQKTRIQRELEEKLILKTKNQQQEIEELKRQNLNEYRLLQNKNEEQISQ LRCMYELERQKIEQKFMEDRKTMEEKYNQMVEELESQFDNNQDEEIKKLQKKLKQNDLQM QQLSQHWQNENDLKQKQILSLEQVNNSLKISIQQFQDEQQNLQKQLQDLHQINQIKEEEL NTLKQQGQYDSLNNNKQDQIEKIKQNNSLEKQKLTEQINELKKQLEDAQDENIKMKVEYE KQLALITQENEFNSHKVEQLQTQLQNYQQKNFTQLIKIESNVAQLTLETDLKEQQQKYEK LRQQYKEQEINNNKIVVDLQQQVEQLKTQLQEEQNNQQQKNVNLPTPQNIVSSNTQVINQ LKQQNEKLQSELQEFKSLHERDTLLWEGKFKFLQQQKDQSKQDLQEAMKKFEFTINHLQK ARQQDLEEESNSISEMLVTLEKKYQLQVLDLTEHHQAIVNEYAMKIEQLQKEITLNNMSN QNYHKGDYSDISSLYENQIEQIKLQYETKIEELYLNHTEERQIWRAKLSEAEEKLKEAEI RRSNMVFEHEKERAKWNIEKDELKYQKSDLQEQCQNLEQQKNKSDKKNKKVGTIRTRLSP NKSPGTFSNASKLGQSFDTFQQASMELPEENKSIHLNPGESFEAYYLAQKKFD >CAK71379 pep:novel supercontig:GCA_000165425.1:CT868096:929549:930482:1 gene:GSPATT00001093001 transcript:CAK71379 MLFAKQQDYNPIEQYQPTSFSYGSGIYTPQKPKIAYNNGIINQQPRTRAEREYQKEIEIK SAGPRIQQNYSQKDDMKSLLHSPMPDTTLFQAERDRFAKDFAAEDKKQREMQYKLKQLSY ESRRLANLQRDGVLWQRNEEYLLKDEQRRQYHSEQFTRGKRNTNGLAYNPITLEYAQNEA GQALRRYDELHQVRKFVRAKNLDTRANCGFNVLSGQERQGVDQIVPDELRPDYQLRVRDL QNQLNLKHYALQQQIFQ >CAK71380 pep:novel supercontig:GCA_000165425.1:CT868096:930966:934339:1 gene:GSPATT00001094001 transcript:CAK71380 MEQLQEGDYVTILKDPIKNEKGYIRYLGELEGRPGTFYGIHLDNKVGSHNGTLQGKEYFT CPEGHGLFITGNHLKKTTMVTRDPNKKSTKVTKDEPKPQKLKTSASSAAVVQKAQPQQQS QYGSNQTTKQTTLKRNESKKETVVKRLVEEQIEERLSTLEAEEVQEPQQLIKQQSEPEPQ FLDHQQELESVRQQYQALKIVANDYKLENKSLKKKVEQLEQELTENLEDLDSYEKLIMEC EQLKSDKDIMTLKLQEFEAANQSLQQQVSQLQFEKELADLEIEEALVTCGANLQVGNQDS SEVVLKNAQLQKALAQLKQEYDEYKQQKITEIQQYQIRLEAIPSLNEKAMRCAKLESQVK KLTEENQELCQRVDESQALQEMIEKMTETLMKKDDLIEELRNQIKTINEEKKLDQELIST LLDESNSLEKSLNDMQFKLDQANAQINNNANDLQEKDLQIQRLKVKVAQLNKQVDQQRLE EDNTQNEHPKKTFLDEINIKNQELISQQRDTYKKYMKVALNNIEIRNQIALKGIIIYKSL PQDYCTKLKFNLLEPVILVRKLSEKSLLVADEFLQQLENQSDKFIKEELQDLGFWMLNAH KSLYQLSDLCNIFTTYLQNQTDRESLEKVARNGILLNQFKIADTLVDMIIQSVQNEKIST QLSLSELQFAIQRINDDLSNLEIQEGAVIESLLNQIIFKYSYSIFNFKVIINQSTATTLQ QITVDQLIDTLIQRCKELSKQLRYGRQCWGGYFNRKNEKFLELINYLQQLLQQDLNKLEL DQYQQYISCQGESVSQPYRDRCVSIVHLLNQINQQFEEQNINEEFLSNKPTLHSYAQTSL WAQWQKELKSQLSDISYTIESEKRLKDDLQKLQQEKTQIYSDCVALRKNKEALENRVVDL QIKADRVQVLENEKQRHLEKIKGFNEASELTRKEIDNLENKLKESEDIRKQLEEQISLYQ QQQPKNSFLDQLQGRNFRKSGTLLIQSSNNLDQESTFNPFQELTMNKGIEAVLLKENQQL KLYKLRDEILSITSSNEKPQIEEKLLQIRKLKMKQLKSMALNEKFDARNQAAKFYVKQNG MKLTNTVLMDTLQAIIAV >CAK71381 pep:novel supercontig:GCA_000165425.1:CT868096:934392:934691:-1 gene:GSPATT00001095001 transcript:CAK71381 MNSFSPLSKISKPTPLNLLQKSAVDEEEPMILERSCKEIKFNELLIVLNYHPNTEVTYIK RQVLKCKGLSNIGWLNPCINQETEQNSQRKRSILKRTDN >CAK71382 pep:novel supercontig:GCA_000165425.1:CT868096:934865:935356:1 gene:GSPATT00001096001 transcript:CAK71382 MNQHNKVQEYEKVLDLLDHFLKKYQNLGRNAIETSIVSLLKNNVTGLFFVGFYQVIDGIL IDSILDNHLEVGPYQSTILATPRIEKGKGQCGQCWAEGKVQIQEDVKVCQNYIACDNETQ SEIVIPVIKNGVVLSVLDIDSEHLSRFDEVDSKYLQRIVEYLI >CAK71383 pep:novel supercontig:GCA_000165425.1:CT868096:936714:937638:1 gene:GSPATT00001097001 transcript:CAK71383 MDNLAQLEDLNSSSTTNQLDKNTPNTAMSTVFGNELVRTYASPKVQPLSGKTFKIGICGG HSSGKALLTEKLQTELQQQGFLVSVVKQDNFDVDSDDFDHYKQNSDSLNNVPYVNNQDYQ KFLSTAINTLLTTKDKNVIIIEGTLILYDRQLRDLLDLKIFLHHDQDVRLSRKIYKEVCA KGKDVEKVIHNYLNRLKPLFDSIIKPTEQYADIIIPKFGGEFSLKSQEYLGATQMQAGFD NVNPNIMDLLVKIAKAQILGSDKVEKPEKASTPTK >CAK71384 pep:novel supercontig:GCA_000165425.1:CT868096:937744:938297:1 gene:GSPATT00001098001 transcript:CAK71384 MIKNYYKQSRQQSYVSQQKRGCEFHCNSKYQSKSITPNKLRVQQKQSNQNLKSKFRIPKG EQKYFQECFPEEYTIFSINNDIYFEKDIIKCQQKDSQLNTTLEGSSDEDPTQLQDFTLQA SFNPLQYTQHQHSSRFVYSNRERIFEERRTSLSPMQRSLYFRNNSQLQSSKRKIFD >CAK71385 pep:novel supercontig:GCA_000165425.1:CT868096:938342:938839:-1 gene:GSPATT00001099001 transcript:CAK71385 MSLNRIQEKAQAFTFGSEQFPLTNIPKSSHDSKLPPFNKILKSNRQLQFQNQNDLPLSHK RGKSQQLMDTIHNKENQFVSLNVNQRVQTETNSSIIHKPPQSRFRIPRKLSNYSRPQSKE DQNRYKTIAEQLKQMFILQKYLLEQGQNAQLQQLYQQFEILCKQL >CAK71386 pep:novel supercontig:GCA_000165425.1:CT868096:938871:939212:-1 gene:GSPATT00001100001 transcript:CAK71386 MDQDNYYQDRLEVESLQQRLQKTKFLEDEFENQNNQFKPTNMRALQPVSFSDTEIKSNRQ QTCKFKVLYKRNAPLNLKFEQKSQSQCQSESKSQIVKIRKRIAKKLFKQCKAK >CAK71387 pep:novel supercontig:GCA_000165425.1:CT868096:939255:940226:-1 gene:GSPATT00001101001 transcript:CAK71387 MFRIYSCIRQLLISCLNIFKYTRVENAEKGYQMESVNRDIESNMNAELRAHEDSFIQNHE NKIEDFENKANFQDCEQIIDEESIRQFIDDIPHISFQQTEQMKEIGERAVAALNSVIDKM EGFELLEEEQDFNFWIKYVETPEKYQIGIMKYTYTLNTTIDSYLEFMKDLQLQKSMDNSI DAFEKHLEDMNLQINYLRYKKIMFMDPRDFLYIKYSDRKGDDCIEISKSIQVDNFQPQEL SAKQCTRAVLLLSGNLIKQIEENKIMITTYSECNMKLKLKPVMTKQASKNEIKKMVKRYR DHFNL >CAK71388 pep:novel supercontig:GCA_000165425.1:CT868096:940768:942446:-1 gene:GSPATT00001102001 transcript:CAK71388 MATQNIFGNFHDNSRLMELYEILQIMKNQSADQLKIQAQEEIFEKFSILNNYLMFNEIQQ LAILICKHNKQLQESISMYQYLKQQECQIDKTINVDKLIESYENSANEQDINQNKNDATW YKMLICCAKLLSKKIQNEEDTKIYNKIHKQLNFYIDQNLPSNNPNMLISQISMFHLPFIQ YIQLYSSFQLLINTLRYKQYIEFTEKNYQYQTQLLPNILNISQKQSSFHTQIWKFQSILI DVTQNLVNFNELFSVTQHSFKNEGIQRIAFSFNNIQRGFKVMKIILEESILKYFYEQIEL KIEEYKSLNHNQVQQKESVQFKPQVHIVFHGLEDINLDNPKQTKLDLEIQIQIGENEFLS LNNQSSNQTLIWNYDKIKHFNLIIQLLVQIFECSQLWIQYMPKSPKEYFEFLCSKVSKQK LEVDRQTLTNWFQKEKPNINNSIESLLALLESIEFLLLKIESNINKEIAKYQKEKPISFN KDKFAKILIQSGFKIEYDLINKIEIRLAETIKNNLESILNQISPKTQQIKGIVQLIKKIT PNFK >CAK71389 pep:novel supercontig:GCA_000165425.1:CT868096:943184:946370:-1 gene:GSPATT00001103001 transcript:CAK71389 MSESQIEFLQRQVINLNKENHLLKEDILAFHHFLNNRVKELKSYLRDVPNRYLNMELCDI TQALQFVKEDAEYYQLNINALQHEIKQLKNQCAELKQLLLEQKDKYQQQQQNIETNLTEQ YSKALKELQENLTLATSNCQIYRNSMNLLAQEKTTQEQQIKSLSNEIQKLKKQHDGEKNE LQMIIARGKSQLSELEKAYTIRLHKVQQSNFEEYQTKLKNQAKDINEKDQMRAELEKKIS SYEKFNQQLQQDYETILNQQTELQEIIKKQLGEVQVQSQLNYQLQDNSKQQESIISDLKL SIASLKDEMQMTQQVLQQKIKDIELQKEIQNQILLELSNIGTIKIGIMKQSSAKSQILEM IKLIKQESQENQLERKKLNDQVIKLQDNKLQLQEEQSQLIFQNEIFQQKISQLQDQIVGQ QEFEIKYRKLVNEIVYLAQTDPKIIKSIQKQISIDFYKEYTNLNKITENDQNRPLFLLAN QLESIDVESDSKPNKGQEDKLQQDRLNSQMDEIKSLKQFDLHESDQNLSFQWKNSIRMTL TPSLYNVKQEDQTQVIEEFRADAQTQTDRKKYMSKFLPHDNLDEIVEEIKQGYLGYIDTS DIHTQTDYSIENKSTQTAQKKRSSTNESKDQKQQINSQQQTKNIDSNLTKQFEVQQSKIL SLNKIISDLELQLKESLFLNDKYSEDFELKSRELQRLKELSKNQLQDQMVDYENKLETQS NNLRLLKKFKTKRFKSKRNQYMNKKLKYKIQKIKQRNQMLNFKKETKENLNQLKHYQNQR NNLKQIQNNLQNKSMIYKINLIIGPADKMIWIQSNNLKKKHEDLKEQIQNNFAKIEYANE IVKYLQIEVENYKKQYNLFSNHKPSNIPKKRILFDSTLEDEKSIVFDSKQDFLPTVTNRD QFNSTEKNRSQRGKSYRLSDFEIKQHTTKHFFKNAQQTKNNLNKTQLVQRPNSPSISRNT KYLSTMQKKFNNPFENDCILHNAEILVENIKGNTPKTKYAQKSNDQFFLKGQLMQVELNS TTNSNKKFDLYQQKS >CAK71390 pep:novel supercontig:GCA_000165425.1:CT868096:948796:950116:-1 gene:GSPATT00001104001 transcript:CAK71390 GPTFLNCILQSLKQYQEAIECYNQALFINPKFDLAWNNKGSALQKLNQYQEAINCYNQAL SINPKNDSAWYQKGRALDTQNQSQEAIECYNEVISKNPQHDSAWFRKGLALQNLNQHQEA INCYNQALSINPKRFSAWNNKGLIIQRLKQYQEAIECYNQALFVNPKFDLAWNNKGSALQ KLNQYQEAINCYNQALFINPKNDSAWYNKGRALDTQNQSQEAIECYNEAISKNPQYDSAW HSKGLALQNLNQYEEVLECYNKSISINCQARKSFENKGVILHSQQKYIDAISNFDQALNI EIYALGFKLKADSLFELRRKSEAKYFYLAALELGLNQNASIRTQLLKL >CAK72861 pep:novel supercontig:GCA_000165425.1:CT868135:46:1772:-1 gene:GSPATT00038818001 transcript:CAK72861 MDCKIDNNENLSEVLAKVKDFDTEIYPILIEMFRREKITDTFKHLNNQKLAQLGIKNTDY LIKILQIIIELDFNKKNQSNEDQAQIRKELIKKIGEERQIIEFLKFLVRLTAVDEKFIQC GSNILNLLVEMKVDLRDENFENIRIRDTSLVGGNFVRCNFNGSEFDNINISGINLNQAQL LNCIWKNIKIHELNKLDGHSQGVNLVCFSPDGKSLASCSDDNSIILWDIKTGKMKQIVKG KGVVKSLCLSPNNTTLAFSRKQCVYLWNLKTRKQKAKLDGHLDEIRSVCFSQDGTTLASS SYDKSIRLWDVKIKQQKAKLDGHSNRVYSVNFSPDGTTLASGSLDKSILLWDVKTGQQKA KLDGHQDYVLSVNFSPDGTTLASGNYDKSILLWDVKTGQQKAKLDGHSYSVQQVCFSPDG STLASGSADKSIRLWDVKSKQQILSSNFNYRNILAQFQPQILSNKIHPESAAFYITILRI SQNPNLEAQGTLILKGQFINYQGVDLKSFFKSKGSLIFQDQFEQKYN >CAK72862 pep:novel supercontig:GCA_000165425.1:CT868135:4930:5172:1 gene:GSPATT00038819001 transcript:CAK72862 MVEISEILQSTFEQINQIFKKNEEIINNEMANNKSELKQERDRILYNNLELKKVTQGWYR QSDLITKQ >CAK72863 pep:novel supercontig:GCA_000165425.1:CT868135:5302:5511:1 gene:GSPATT00038820001 transcript:CAK72863 MGDKNNEAIGLYEKFGQSLPLKRKLHNNDQGEQKINNENKRIQAQKKHTQKTNKIEYQQM NQQAQEKQK >CAK72864 pep:novel supercontig:GCA_000165425.1:CT868135:7161:9146:1 gene:GSPATT00038821001 transcript:CAK72864 MPKVKNLNFICLGIGNEFPTRVSMSLRALYHTGNFSIPPVFIVPVQDSSNKSREEFLDSI FKEEFESVSSLIKPRQQYETTPCFCFPWSKMETTMFWSGSWIGSYDYEITFNGCLLQPSY PSEAMMIEIASYWLQNIQLLSLNTKVELEARMALQELERLINLIPNPQGQKKQKTFAQRV QESSLKDTQLLDLVAELKLFTQEFTLNKLSDKDAADRLKIGTKVGKFHKNALKFAGLSIE EFSQAKIQFIECLKQHKFEGNDGVRSILTLQSQKEILQEEDLISGLENCSSQYQLVTAFP IIGYGLYVKRTNASMIDPYKIEILSLVRIHKFLDSVSLIESAQHELKFQVGNGEEEKVNC ILPLYTKKNEDLKPFFRSLLFHTIMTFVTCENADVCFDHSYTSLLANTLFYLIKQPKSEW TMEMISLINESYTLAYEQNQENFIKKLIENPIQSLVDFNKDLNTQCQDTIKALLVLSCKK DQLQQEQIKMILDRFMVETIGRMLKNMTFKNYIKIFCNQEHKHEQKILREFRKRIANEFD YEKLKSKETISAFNFQINKRVEEIKIEDWQLQFQVIFKKDLVIKHLSHTKYKYEHLQCIY NYFMLEEIPQNLFMAAVFHARYQRSRDRATKELILDIDLINKGIIEIKHLFLQQQLLLKC K >CAK79300 pep:novel supercontig:GCA_000165425.1:CT868325:29:5081:1 gene:GSPATT00039318001 transcript:CAK79300 IQDQDTPLVQPISKKQDDIIIKQNEQTQQIIGNSVEEKKEVSVSEQSNIINQEIEQVNKI ISTKDEKNQTTNETTKQTNDVISTTNREAQKTNETIQQSNKVDQQKDLKIQQNDKVINQT GETTQRSNQASQQTDSTIQKTNKSQQQTDEIIQQNNNSIQQTDEIIQQSNKSIQQTNETS QQNNKAVQQTNETIQQNEKSNKQTNEINQQNNKETTQQSNKTIQQTNETTEQNNKVIQQT NETSQQNNKVVQETNETTQQNNKSVQQTNETTQQNNKSVQQTNETTQQSNKIDQQTSQNT QQANESTIQQSNQQTQQVEQITQQINTVTQESKQKTKEINQSSQQTNETTEKINETSKEQ SKEVGQTTEQTTKKEIISVEPELPDYKIIDHKNDDDSDQQTMDMPVQEGDLCVQNQEEEF EKVQEIKIIPGQTYEDQISNDIEGKNPIVDQGITVANNYQEYGYGFWMRFLTYYPKELIK GKDAPWYFISRLTKNKNYENINMGDRVLAIWQGAGFYQFTTYDIDGLKPNVLLNVDYPND IEGVWTYIYYSYSTEQEQAVGHIKFGNEKFTTIKQHVKHSPTVYLRFILGGNDYNRFPSF NGIFTQITFGIRAGTFLLTSEQLIMKLNIYDVPQQSVEEVQSVRIVENEETRSSENLYQY KVIRNKKVKFPREYALSGWFKWSTLLEKEWHNLFRIQIRKESTDSFLGDRTLSCWIGKTQ GGVYQFPTYTYTNMIANGNANLYKNLNLKDRHTKWHFIYFGYSKIKSQANVYVKWQEDED QLIYENVNHYYVHKLYAYVGKDKFYSGLNGKMAYVVVNFGFGAYKNQKQFDEEIFNFKLG LKELIKTTDDVQFQPVIKQEKIEPSGFDSDKASFEQEENGEKPFKQYGYGYWMRFLTLYP KQMLGGKTAPWYFVSRMTWNQNYNDINIGDRALAVFLGKGFYQFSTCNLITKNTNQEQRI DHPENIEGLWTFVYYSYNKELGKAVGFIRFGYDKFQQIQHTGVTHQDTRYLKLIVGGTDK RRYPGFNGQFSKISFSTRDGSYIDQEKILKSYTLERKVQTIVTMNVLGDQFTRKADEEGV FAQSDKVDLPTEYAISGWFKWLLADKQEALHSMFKVTIRNPSDDQLGDKTLVGYVGKNDL QFSTYSFENMEGNGKKDIQRKIEHKNRHQRWFFVYFGYQQEKSTCHVHVQWQDEMSQQGF AYINHYLTNQFFIYVGKEPFVAGFNGLITQIKFNMGEGAYKQSTDYKDQDNIFGFDLQSI KKEVKTFDLAKLKDKIMVDSNSDEQSNYLKLDEVFEYGYGFWIRYLRNYPKVQIEGLKVD WSFISRLSKNDVLQDSTLGDRALAIWLGKGFYHFTTYTQQLSNIFTNVNHPADLEGLWTF IHYAHNLNKEQSVSFIQFGNDKPIRSAIQSVHVIPTILKFYLGGKHLIYKGFNGQISGAY VSVNKGIFIDNEEKFQDLLKSNPQPPTYSVELIKNALIEKNTRFEMNSEAKQFKFDNQKF SGEYSWSGWFKWSSVIQNAWHLAVRLSTLENYENKDSLGDRTLCLWVGQQAGGVLYFSTY TYTDFYGSGNANSVQNVYGILFILDIQEFNNWLMAKWNLDIEKKKSNSRIIIIIYLTNSF CQLAETNGMILIWETQPSQDSNQEKEHFIKENSRRQKMIYLLINQDLWNY >CAK79299 pep:novel supercontig:GCA_000165425.1:CT868324:1528:4556:1 gene:GSPATT00039317001 transcript:CAK79299 MFIIVFVISVVGFNPDIQFTNEQEISMFPTIGENYEFYLSYLKEPQLTCKLHPQIPNVKL LNQCEEIQREKGNQFISMSSNYTHFSTLAKQNEITLYQWKNSKFQQVGESLTIDASFNCF NINLSENFAILVDCYQNKQFFLIQFIDGQQIIAYKIQSTVPTSTKIQSIVNGTNAFIVYA QYFKKYSIISLLTSQFQNSSSLNNQFVDFDIPNTISPNIYAITSSEIYQLQISPDSQYYI QYQFSQSSMNNFNAISAFYNIWTYSQCDQIQLSYLYHSKSMTAPLLGCEKIIIKIGIGIP TQSGQPIQKMLQSEQFIVYQSLDTIMISQQKTKEHFSYRLNHSNNSLLYFNQDNELFSFN SDIIVYKITRPIIQVNLTNLQVAGNNYTFKLICKSLQQGNCTYTYSNFYLQVLPENDTNI YVMFNQDYPQYQTSLNYSAYNYFNSFSGQLLHYAQNQNEPYFYLQKITFQNVGKVIQNYH LAQIFSINYPFVEKQYLIGYDNETLNFVYCYYSNQNNSYYFTTLTSINISVNAKSLKLAY SIEPTMIISGLNTNDTIYLFQSQNNSNIYDITLSNYTFDQQFQDFVITYNSIIILILNQE INIMTLNFTNTFSLNQESINNLFENIQFNPIQIAVNAQLQSSLLYINNINEVIIFSIDQN CFPIPISLIQVDFKIKQINLVNQLLILSYTCNKGQNICFSVWNVENLPNYYYQTHLQWVQ FDNNIIIQSDNLFFYVNFSNYTVYVYNPQLPYHMSLYYMLQLTSPIQCSESFYLSLKQQE TKSIVISNSNVYFLLVVQGFSLTSQEYNYEFNNSISYPLFIYNFTVTSAQNETSSYQTPN QSITSYSNFTVFQNQSNISIQLTQDYIIPESKNFTYPMNLILDRQVSCCQIINIDQKNEE AQYCKLTPLSYNSTKILNFQNYSLITSINNEFFALQNCSYIQTITSDLDNKFNLNYEYLN LSECLKSTCIQIQLIFHLSKQYFIIFTKFYYQMLMVRQLI >CAK66676 pep:novel supercontig:GCA_000165425.1:CT868047:1070:1658:1 gene:GSPATT00035806001 transcript:CAK66676 MVGRSECERWTLVIWKDRSFMQMKYNQKASTQVFTMALGCIEMIFGRINTHLQSESFEYQ ELIQLSKLKIIYKRKIRVQGLKNSGMVSNDKYLESWLFRDIILSLAVPYVSFQIECNYTG TQYQICKGEQSKMLDDIPFEIKSIQMVPGIIFKLKGPSYFGGVLQEITTSTACLDNYQFP NVILKE >CAK66677 pep:novel supercontig:GCA_000165425.1:CT868047:2500:4072:-1 gene:GSPATT00035807001 transcript:CAK66677 MNANPKDAFSVSLSKFHRKSVHSQMANTSGSNQLSDVPNTPLPELKVRGLQSAKIKASPK RSPHVLKFYVHDAQCILNDPIKKANRRYENQSEYVFVDTYLDALHMIAQEEKKNKYANGQ TIQSEEFSEQQISINPQPTLPNLPAQLIRERMRVYAQSQQKEPQSKSQLAQRQPKNVIKT ATSLMTQKIFPNKLTVSLSVHQAIPAIKNKVSAKRWVMFTKQKNEKFFNKQVGWQEFQKG EVASITKIMTCYTTLTYLKECNIEPEQIKIKIPGHAECIDGTSAFLNAGGILTIKQLLFA LMLPSGNDAALVLGFTIAYLMILQKTEIYQYNRYLKGAIIDIEPQIERNKKLLKHTFLDQ MNKHANSIKMENTNYSSVHGLNDEKNVSCPHDISKLIEKCIQLEVFLEIITTKIFKTHAL TDKGSKSTFYKWKNTNKLLKKSGWMGVKTGVTPNAGPCFTGYYKNENLEAIIVVLNCSSM NQRFRDAEVLLVSALK >CAK66678 pep:novel supercontig:GCA_000165425.1:CT868047:4388:5802:1 gene:GSPATT00035808001 transcript:CAK66678 MLSDIEQTQNSCQVCKKQDVELSRCSSCKQIYYCSIECQKKDWKEHKFICSEIQLKQQRE KQRAERKAQGKKAIEDFADFEVLGHGNFSDIYKVREIETNQVYALKQINKRKLTQVNKEK DVYMEKHCLGKLTDNPYCIRLYSTFQDDENLYMLMQYVDGGELWQQIHNFGARAFPLCLY YISEIIKAINSIHNIGIVHRDIKSENILLTQDKKIKFIDFGTARDMNDPTIEGSGNGRKG KQAFKHFVGTPQFMAPECIRNKDSNEKSDIYSLGVLFYHIVFGKYPFDGKSDYLVFQQAL ETELQFPEGWIDNEQFRSLIRKMLQKDSQDRPTLAEIMQDQLWGENFDWNAEQDYQTVLN GLKEIDKFTFDFALELNNAEKLEEKICVKYEINKVLGKYEKLIKDEDYQKRFNYMKQIVE QNLQNRKDEDEEPQQFR >CAK66679 pep:novel supercontig:GCA_000165425.1:CT868047:5803:6646:-1 gene:GSPATT00035809001 transcript:CAK66679 MQRRQDSNNNRIRISIDRSVEVRNTRTNISPLQSNHLISPQIYKHLKLPSIKQSRSPSAR NQKNSPKNVASLNQQTTELIKKLSNNSVKQKHHRFNTENRRKSSLSQNKLEPQTKLTSKY KRTRSISNMVSKNSLIVSTQFEEEENLPKQIKLRTNLPQNQIKVEIVDKLQSNLEQYQNR YSKFKAQNNETYQRSENQELNSLRQSLFSIIENSRVQRHKFYQLGNTDQQLQLLEQLYLQ NEKLVRNYVQIRQEAQQIDLKVKSISNQRKQFRIQ >CAK66680 pep:novel supercontig:GCA_000165425.1:CT868047:6772:22366:1 gene:GSPATT00035810001 transcript:CAK66680 MSHSLKEANYSVNQVECEILQEYLGQPKAQSLIQQFAKSSEFNILVTPSGLVVQCCGNTN IIKKFGTLQMSKGTHIWEVIAIYDCSQMQIGVSTVSKPGQEKVYVQSFTASTIRTITVIL NIELGEVNFYLNGNHNQKKTLPVEKNAIYVPLVQFAPHGKVAALNPFAQHPNLNYPRIKC LPLNLFTKTNEFKMPNQVFTGNLSNIELKEFKPNQMIKISNNFIYFHNNKMKLLRPKLGQ SNIQETQLVNLFNNKPETPQSLISIRLMKQHYELISTAFTWKKSLTNGFTNQNMIEQFHY YWLQAKQFESQVLIQIPYILMKQIVLSQLEEYEQDFGLILKQDEQVESCKVLKIVRVLLE IDEQLYAMTLNNQEFEKFNSTTSFNLVPRIFSQFHRLHTFLDSNNYPYDIISLTELGLMK LPGFSNLFRHFTNYRHQIEPITYQNDLSSILPAEKIYSYIDIPLALTINNIPYVDLEPNL QMVKSVNDSIYFSLINEEGRIQVWNGELSLHRCLQFNLRSFEEKVEDQNSLAPLFAEPQS ENNPSSNIENQDSQSEEAQEAIVPNEDLLEQLYNMGFSIEASKQALIETKNKIEEAIEKV FTIEEQKAKEKQQSQQQEVKLPKLKPYWTCKECTLLNSNENLKCQACDAQPPQDAFEKET AIVIQEQQLEQQTEITEEKIQKIQEDNNEKLRNIFKTSKLSNLIQISLQKPFRHLLFAHV FTTEQQSYLVLNRFFQSIKYLGQFFRTNNEGNYSILTNKSYSQIGNYQNLLKLLNEGENS RFIYETLYPVLTQSQEEFEFKDQIILAIDETISNLFFQDDMIVIQGATKIWECALFEGEN YQPKLAVAQKQEKAVITNQLEEAIEKEQAVIQQNEVVESFDDLTKLTPEEISDLAKLNEH QDVYFQPISNNPMLLSQQKGDSWANIIVDVDQSSQNQIELNLVNQQQMMKLFVTGFSDKK SQFKSEGWVSIDGLKPEKDDQLPLTIFTHKGSQFNVQQCISKICFVQQEIFSTVYSKPEF IFKHLHGKLMSFSKFQVASPNKFQNTGVPLGSGLIFIGNNANDFLYCQKFSNFNKEKYQE WLKERQKDIRPLKPWEPVAFFELGANEEQLTFSLENPKIGKYVLLMTLSAKQTDQVKFEQ NPLTLNYFVAYGTVVKENMGDQLVQSQQSLELFRDITLKVNGAVLDKDQCQFREDTYGNY NKYICEIPASLLANVQNIKIEFQKSDISFLQISGLKQKDINQKLLQKLPQAHLITTLMHD VTKYDEFNQKLMQLIHNNKATQQQRFSAIKVLCKLFQSIPQFVTICYKDLDILEFIKLNL LAQQQEEESSVQTFFQLFLSIPEFNGQLVKAVKDIINNIFTLSDIIKPAGFIQLLQMLKK YASQDSKEYYPYILSHLKQIAKFIHKVDSSYFNKLNQFQIFLMLTEEQLFSDRYCKLTQF AEDTPLISQVLGEVQKQIEISNKEKVDPEKPNNMINIDINIKKPLYSIIVFSRQPHYDEY VIDLLSQFDVHQFQLVFNTPQISCRVVRVTLFNGQTNQPILDVTLPDWLLVQLTKYADQH SANMPKSKLNIMSWNIKAQQVRTLKLQITYSLTAATRTKEESNIAPKELCIIPQFKGQLS QNLEKFGQDKDQIQSQVLFTDGDFVFEKELGFPNSLKYQKYNNQGSIYLDSAQVLGLKQK YVILKIKESNNLNGPHTQIQSQYYRALLVQKQKELKQKLQQNQGKEKIQDTIQIIRKLQL ELIQDPNSNINLSKSLDFLYTYAYELIKILIHADSTCQQWRSDVALREQEGLKMAQLIYK TFVQMNQGPITDLSFQLLNIILSNLNLKYWILFTFAQYQKIDQDANILNTLKILHIPICQ TIMYISIILSEKQQIKQAQQLIGLVLTKLVDKQFNNKELVDPQMQIKPLGTLRSTSSQDL EIGQVEKLTDECFELTKPKVFSTLFCIMKWTLQNQQQYQIQDVQNLFLKTCNVLMKLNND ELIQFVTEITNPTDPTQSGLFQLLANALQSSDSNTILNQIKQVIEKLCTPQTYKLIFYFF QIVLNAIVKHANENLSIFPQPLDSSAWFQFLSFVVGQLLLLSNMNLTQGEQRQNQRKRSI KYQAAQTFQEFSEKFEEGGFIQICNIETLSQMIRFLIEPRKNLVNNETLENSLTYWGLLL NLMSKIPTQLIMEHKIYDQLLSIYLRLDANKQAVIQFRFIEMTKSILEHTQSTEFNSNLI KQIFQYQGTTLIDGLLNIVASQERKINASIFKLIFSETAQYLYKYCNVGRHDGVYSCELS LLKKLQFGNKLLQLMKKAECPIKVQMLIQEIPQYGEIINKYIHWYMLNKSNVPNVTPATE ILGSISKQVRQIFDWLQADQQLSTESLIQLFKFQKEFDVVVQEQMNQMTNILSYSLIKHT QEIFEELNELWMTTDQVVREIAINGNGFEYLLEKTHLTSSTFEEKTEASEQQPQEEEFAN KLAMIDPQKPQGQQQPQVKDWQVNKKGVKAKVHVLTLKDELSEDYILEFQLEKAIELKQI KIGFQAYTPEYNDRILGVPDIVVVEVKNKTSDNLWYPIGIMTLIEDEAYTYYSVKVMALN VWRLSAQGNLNQQIKSLGQQPISYIRFIMKKPQITFLEQISQLSFKQYNNIQIGVSFISI TGFDSTINRNKQIQTIQEKTASRLLGKMCSQKFRNTLFDISNNQNIVQQIKKSFKNISQA LHSNESTLSPLFIGLTKYNPEFGDWVLEQIMDITLEWAHAKLTAEIVLQDKDKQLDRLKK FLNYILNQIKQIAQIAKSQDQFTQLTLLIEALTYAILISSKQKNKDPILLEVEEEDFLNI LQAVEVTSQSYEHCNMIIRLFITLIKLPQPYVLNMQLQQTGIIDMTQQLLQKQSLYKLKL LSILVQISNEVVSLIKPYLPKIFEQTIESLKKTDNTTLSDYFFFFDSSANVLELKNFYCE NDYHLQVYENLKVSNPSKTLLKQIDQLTLGHIVNFIGKITLSNPQAIGQLAQKLIQDINL LSQIVDINYVENVLIPLLNFEEKIDFTIDIWDKESQIFVGDIRKLSSLHVQEDETNEASG SHELNGFQAKEFTPQYYDALQSAIQKVIKTNSLKKGGRWVKEMELSQGGSYFHKTLSTLQ KGPFLILLFGKNNNQNENCIIGLFSTQKCGNLVSEFQHDYYPGEVYPIPENGEQFGFTYE KDKIYHLLNNDRKTFGVGMDHVNGGSFSFLLERINLTFSDDQEVSSISIGIHDFELLEQK PEEDYYFDDFWMQRMEIWIYQQPQQVSKSTQKPLFSGQQGNQLIETNDTSTFGYGILSNP ILQDKYFAKQSVYNYLSATPVYSIPGNCNNTNILQCIYVSNMFLNEQAIKNSTIIQMNGT LIQQQTKPFPTFTVDGLELLGQLNSLTETPLQYSSSLKIFEAFQNQDGVKQIILAVQDAT KKWQNQELTQIWNSYVSELSAFCSVPQFFSYFLKNKKSMELLFQLLAGTPDQPNSDQKAW KEKEIDAVKFIYDTLSDVFKTHNNQEIRIIAIKDNLIQKILDKIAIVSKEKKRVYQAVIA EEPQQPPQQQQPQAQGDKSVDRKPKKKQGVGYGSDQTGQNQKWNTQEYLDKKKVRSEQLK SLLEIIRNFFNYDNWHLNQELSQIINEMIFESALLSLLEAAFRSGSLLEMSKDFDLNLCY LQLTKTLAKHKNLTPLLLKIPPNYIPKQIESIAQLLSNLKNIAHIFLSCLQTNELSEKDQ ISKQIATIILETDTSVQEAIERFQCDESENEQEDAKEHELQEILKLPLNEAYRLLLKDLR FDYVSFKGQNQQYLHYYEKQITQAPTPTPAKLIRLAQEFADMSNSLPVEHTNSIFVRADK DRVDVIKALIMGASGTPYAHGAYLFDIYFEDQYPNTPPKMNLSTTGSGKIRFNPNLYACG KVCLSLLGTWRGHASENWDPKLSTILQVLVSTQAIIMSEDVYFNEPGFEGEAGQQDGEMK NEAYSNIVRYGNIQYAMIENIKNPPTGFETIIRRHFYLKKGEIMEEVRKWVQYAEQRQAL YMGLVSDHNSQWCSVFKKSKTQYLDMLKDATAELEKALNSLQQPSIKEISPKLNQRRKKK QQENQVIVQKATDGILNLEGVDVTYDSNAIQEQVIDVSNEQVRDRWSRYIGAMGIEAVRK QANAKVLLCGVGSLGVEIAKNVVLSGVGVFAIYDNKVVNQDDLVGQFFLSQSDVGKPRAA ACVDKIQQLNNYVRVKVIEKDVQQYITTEQFDIAILTDVYDYNELVCWDNLCRAHSIKLI IANANSVYGRIINDFGAEFKVIDKNGEDIPDVLIKSIQADGVVELLDGQRSQFADGDSII LLEVQGMKAGEQSINNQLLKIQTISTKKFKIIDDISQYSPYLSSGIARHVKQTITCTNKS LDVVINSDDCLDANLKESDSIKLVEQSLMHLAYRTLSYTNGDIVNLLDSVIKFDKANFIQ QNSKLAKYLEFYLKMFQKTFALPAFPPLAAYLGGFVSQEIIKALTNKFTPINQAYYFDCI EVLPFEIWDEKGDQQAQIQAVDQLQLTGKDALTKLLGEDVYQKVRSTNLFMVGCGAIGCE LLKNFAMINLSIDGQITITDPDHIETSNLNRQFLFREKHIHKPKSQTAAAAAIQINPLLK GKLIARMDKVHEQTENIFHDQFFEQLSLVANALDNVQARRYVDRRCVKAKIPLLESGTLG PKGHVQCIIPFQTESYNSMQDPVEEGEIPYCTLKMFPEETFHCIEFARDKFNKLFSLKPK LAQNIIENQSFNPSNPEEIKQLKSTIKLLQQAPTKLEDCIQWAKNKFSKYFINDIKQLLY TYPVDAKTKDGQPFWKLPKRPPRCLNYDIENLIVVQFISTMAFLRAKQYNLPTPADWRHE KNRRDVATLGEKMTSKEWIPNDSKKKEIEEQVLKLENKAQKQQEEEQENAIFDDPNKLLA QLQGLKQAGIKLFSQEFEKDCDMNGHIDFIHSLGNLRALNYGLDEMDWITVKLKAGRIVP ALATTTAVVSGLQTIELVKILKRCKLENMKNGFINLAVPMVQLTEPMKAESIKLNEEVNV TLWDRWDVKLGKEITLQILFQHLKQTYHLEPANVFKQSSVVYMHDLHKGSAIFTQPIIEL LDVKNDYVDLVINFVKDEQILKNVPEVRVYFNE >CAK66681 pep:novel supercontig:GCA_000165425.1:CT868047:22434:23740:1 gene:GSPATT00035811001 transcript:CAK66681 MGNCCGQSSIMECHQQQIVTKDLLGEEYANKAALTIQSYVRGYLIRKKHRNHKNNSVAIT ATNEYSYSNKQSRQEIQLVDLKGLNSISKETNIPQNLKTIEKVPETLDNQTKSVHLFFKF DSLFQLRQGLPKFIYEESQLEPGLITRGPVQDELDHIYIGQWKDNAKWGRGIQYWSDGSY YEGYWRDNKQNGKGRLIHVEGDVYEGDWLDDKAHGQGKLTTSNGGYYVGGWINDKQNGQG KELWPDGASFEGHYVDGMKFGQGIYTVANGSQYVGEFADNCFCGFGTYKCSDGTKYIGYW KQNKMNGQGEFHWNDGSKYIGEFLNDLRDGFGTFYYGDGRIYKGQWKIGKRHGNGTYIGR NKVEKQGKWQNGIFVVWEKQFTNSSVGDDALFH >CAK66682 pep:novel supercontig:GCA_000165425.1:CT868047:23899:26598:-1 gene:GSPATT00035812001 transcript:CAK66682 MNQESMSISSSGSDETRGYLNLANNIERNYSFDQRVVLKLVSENPDIFVIAQEVPYDISQ KMNQTYLNENQPIIGAGSFGTVFICWHMISKYLCAVKVHQRCQTEEILQQVNEYKIQTLL NKKFPNSSLALSDRVYIIQECVNYFTTYAGMELASATLSEYVSNADIEYNEFLSIYHSIL QQILEMHSLRIAHRDIKLSNIMYTDQKGWVIADFGCAIFYKKQKEKQLIQGTKQYLPPNL RGLLKNNFSQIECEQNLFDNDIYAFLLSMLQIYKKGLSILQLQQMLDNESLLTKLPFEFQ IHGKSYNYIKLNVLIGLNKVPLQQQFQQQQSERLKRQYEDNRANPDYIIELIIKQQKILR FSDAEIWQRYQLNTYENVPSLAQLYKTKSMEKVNQILQKYDVLQQVEFRQVEDKQLLEIN SNTKQWQYNLIQMYYIRLGNVQQLYNASLEIQKYSQDYQISYRLSEIDCLIELNQLEEAR QIVTELTDEQYMVDDISYLRLELYRSYLDKSWLETVSEISKILDKAFQHQITLLLLRISY NFTWIFLQYNYTLDNNHIQSKEFLSLELEFQKRLFHFGISSANPKEIIKQLEERGADKYG IRQILINLIQTINYSLTSEDKIQVEEFFETTIEYYKQRNLFQDWEIYYHYSIYCLKQQKF QKALSLINKAMQSVDQENGLYLLQVLFQQLSCEIYLVQSTKYQSTIQHILKVVNKIQSSQ CRIYMIKRVVKMLYLIFMKGQYTPQIYFIIQQYLQSETNTEILFILNKLEWETILTYRHP QSLINLLNCKMLSLCENTDKNDVDTLKCFQNIAFVLRQQVKVDQSVEIQTIAINQSSKLI QNMIIFEDLFDFNQTETLNIENEIARLALLNKQLQQKQKTKEALKMMSSYTFNNYKSFA >CAK66683 pep:novel supercontig:GCA_000165425.1:CT868047:27546:27899:-1 gene:GSPATT00035813001 transcript:CAK66683 MAKGATKGNKGAKKAGTPKATKNVKKVTKKTTDATPAPAPVTTTPVAPTTSTPVAASTPK KQGKQATKTKKATKKATKKAPKKDSKKQAKK >CAK66684 pep:novel supercontig:GCA_000165425.1:CT868047:29450:30247:1 gene:GSPATT00035814001 transcript:CAK66684 MNSTTPKSSGINYAKLRKQRSNQDTLPKSMSTNIKMDVNQAQQFGGMKHRSTSQHNIHEM AQIQLFDQCLVKQANQNEFLVFLDRQMQYFSQTTPQNFSSFRVNNKAIQDEKKKLQIELQ KFNQENAFLIKEIKDLNKTKQMLVKRYDALQGLILKERLNNQPRVHQYSNPKFQHLFNQI SPVQETISSLTDERFESNATSLDSSLSYEDDQSSASQLLDLLQKNSKHRFNFQKQMV >CAK66685 pep:novel supercontig:GCA_000165425.1:CT868047:30339:31300:-1 gene:GSPATT00035815001 transcript:CAK66685 MSIGIIRAKCALSNPMSNNVPGAMPILLTLQNHENDKNSNEPKLSESIFIPFVNERDRMN RLFQADKYSTPRKQNIQQVQETANEKWIQNDPMKLKFPPKKLSLEDRNLSKYRSRSNAEL RMKVIQATTERQHLLLSQDLSIQPQFEEYKKAVYENILNRKKQIHQSPQSMAKNQSTENL QLFAASALDKFKQSRSPSVILVKKQIQQTTTKHKHLLNFKLPSLIQKEYIKQFLDNNQKI KDLIQEQNEEKFKFPTDFEPKIVEMKKQLKKMKSRLKNWDTDRQVTDNKGFLKEIATGIL NK >CAK66686 pep:novel supercontig:GCA_000165425.1:CT868047:31444:34453:-1 gene:GSPATT00035816001 transcript:CAK66686 MATALVSAGQSISKLILFKGAVGTYVQQDIETRLQQDVYKKQIRVREFFTDFDRLRKGWV TEDKFRSALSMINFHFTKDDIEEIIRRYKLNDGLVQYTTFCNKLEEQFLNNEAKAQVFQA PQIFNQDEEDTVKRLMLAIKRKIATKRIFLKQPFQDFDRTACSHITIDQFSRVLNQLGLL PKDQYLQLLIRQYIDNGNPKEVNYVKFCDDVDNVQEMLSGVITGIKQNPKDVHPDDDFIE DKEGLDLISTLFTSKKLTDNINTLHQVLKKIQGDVVMKRIRIREFFKDFDPLRKGLVTES QFARILHIQNIPVSEKEIQILLNQYKVDKIPNGQVDYNQFCEDVDKIFTIKGIDKQPQAQ VPQIDDSTTLPARRRYLQMTEQEAIQLDELLMKYKQAIQNKRVLLKPVFEDFDKTKQGYI TTNQFLRILNQFNLFPDPVSLNLLLKRFVDKANLNEVNYYDFCRIVDQSDEGVAISKSHA DAFKNYVKSDNLSQAFIRNDQPNDFEDLMAKLRRIVKEQRQRIAEFLRDFDKLRSGTITV TQLRKGLSMAKILLSDAEFQLILNNFGCKDKQGFVQWKEFTDQVDQVFTTKNLEKVSPSE DVPLMSTQYNYGRVSITERDRQVAEVVKKKFQYFCKATRLDIKQFFQDWDKLGRNKVSPK QFRQTLATVNFILSDEEFQAVVKIYAAEDDGDIRYVQFINDTQPPLEIQTESGASQAYVG VKPKEKEKLQPSVLLEQIKVAVKVKRLRLGDYFKDFDPLRKGLMPTNKFRGVLSQMKIDL DQESLDLLETMYVVPEDPIRVNYAKFIEDVEIVFTKTGLDKDPLMKPPVHIIPTFLDPRD ALTQDEEEALHAIMLRLGEVVRKHRILLKPHFQDKDKTKSGKITFTRFRSIMDFHKLPLT DDQFRVICKRFAYQGIEFNYVEFDEILKKYENFYH >CAK66687 pep:novel supercontig:GCA_000165425.1:CT868047:35399:35896:-1 gene:GSPATT00035817001 transcript:CAK66687 MEDLKKQYSKINNELREQIIHQILNEKKSIADVAQEHNILQSTCKSIINTYMREGRVGKK ESRVRKLKKIIRIYDIVLNPVQPQMSTYLYCQKTENCIEKSIKSQKEENEQQEIQENENN NNFMMLSFWCEQIKNQLTSFAQPNYAFQTFTQPYQK >CAK66688 pep:novel supercontig:GCA_000165425.1:CT868047:35979:37003:-1 gene:GSPATT00035818001 transcript:CAK66688 MNEYSHLTLPFHFNSEIKQVDLQTFQFPTGKTKPLDLQVMNSEKGKFILKKMNQTNIIFR MRKFQHKNDPNIVVYKLEHESEINETYDSQYYFQDFYFEGENDQNILNQFKKYIQCKPYQ LEKQHVPRDIAKPFCWRGSNFKINLMKKYVKRNKVEKKNFITPLKFGEAHSNLDEIYQET DPTLNQLFQKKPIWLKKQLIKELKQFQQYEEKLGQMVYYYYNGPWAKCYVLKQFNPQLNS IAAKYQTINIKNNQNEDSNSEDQDYPQKCFTLLQLCDLQDKDIDKIIQEAINKGKQNPPS AKDFEKYGWFEKQQMKIIVRKIKSLRQHQNKQ >CAK66689 pep:novel supercontig:GCA_000165425.1:CT868047:37304:38097:1 gene:GSPATT00035819001 transcript:CAK66689 MSYSYLFKFILIGDTGVGKSCLLLQFIDKRFRQKHEVTIGVEFGAKLIELDGLNIKLQIW DTAGQESFRSITRSYYRSAAGAIVVYDITKRESYENVARWMEEVKQNGNPKLSMLLVGNK GDLESDRQVSYNEAQQFAKECGIEFFETSAKTANNVEEIFIKMAQIILEKINLGQIDPKN ENFGIKLGSEQVNYSHGNQNITNLEQQQEYQPYEEKKKSGGCC >CAK66690 pep:novel supercontig:GCA_000165425.1:CT868047:38252:39377:1 gene:GSPATT00035820001 transcript:CAK66690 MNKTENVFKQEKIQISESQVAPLDIISIIQQKYTRKANECNDCKTQHYLLEIIINEIPES SKIIDSTLQKQDSQQQLIFQKDIYARNSFKSVGCICRICEFSEYKENPLIRVCKCIRSQK YVHEYCLKKLIMKKYRNKLNQAKCEICSDTYQMELQIEKIFAPKIAWSQSKDKLPLFCLL VFLIVMIVVVILLGIRLSEANQITDRKNFLDSKSFLILFVIFSVIIILILLWLIAMIVKG LLIVEKIILWKLIEFKPVKKSILNKNILISDLKQSFRKSKLCTEQETMQNRTSRHQNTFN IQKQNHNQEDQYIDVGEISTQRNQLKQVAGNVLTIQTDPRSTKRSRFSII >CAK66691 pep:novel supercontig:GCA_000165425.1:CT868047:39923:41292:1 gene:GSPATT00035821001 transcript:CAK66691 MSIRQSLIQQFMHTLSNQSSQQTITLLALIGIESVLQENDNKLVREQITLIKRQIENIQT KDEEHTIKVVKSKERALTPKITESQAPTPLGHQRNTVSRHHLDDHAMRMALSQQYEETEQ MRSPKSEISNVKTPKQSIQYFIEMKSQKPKRGPETKLKELQSNLTRELIADYQGVLEEIK LNPTKYMDTPESSLQEQQIKDYIKLQQQVQLQQQKAEIERQQQQLKQQSQDYNQSQKDLL NLRRRTETQPEQRLERSWQVQEKRSTQGMMNYKSEPNQMQNQMATKKVQSTPQQKEQKSP NRFEQQQVMQTENTFSFFQRQKTQGNQINTQQSINYDKHSGGLKQMADKLINSPIIQQVS LKSAENVSSSGKEVQVSQFEEQDSMSSNSSAFSLFQPNEELKSFFRKELIKEENDENHDP NCVKYSNIFLKGRMGGSKVF >CAK66692 pep:novel supercontig:GCA_000165425.1:CT868047:41349:43540:1 gene:GSPATT00035822001 transcript:CAK66692 MADYYTYQRKRRDLGKPCNFQESEIKIAGYTKTVAIVPNYVKRNPNHIDLDNIAEYSEHS VNTERVSTGDKVMYHKEGGWPAGIDPLEQQDQNKYRRRFEKDSAFAVAVKELSTTVEKCI LQNNQIDLFEEYFLDEESEHQVENLSTKTLMLFKDQAEGGVKRSVSEISWHPEGPIKAAV SYAISRFQQMPEGMVKSSYVWDLQNPNNHESELETNSPITNLMYNPKLSDQIGGGCYNGL VAVWDVKRGKQPVLTSPVEKSHHDPITHFQWLFSKTGTECVTTSTDGRVLWWDTRKLNEG PIESLNVTEGANPNDPLIGATVLEYNTEAGPTKYLIGTEMGSLMVANKKPKKAVEITARY GLESGRHLGPVMSINRSPPNPKFFLTVGDWSAKIWVEDIKTPIMRTKYHGSYLTDGCWSP TRNGVFFLTRKDGWMDVWDYYYRQNEIAFSHKVSETALTCIKINSNGGAHHNAGKLVAIG DQDGTVTLLELCDSLYQLQFREKDVMNEMFDRESRKEKNLEAIKKQQDLMKKVVPKDNKA AQQKWEQRKAELIAEAEQQFSQIVKKDEEAKLNDLSEFSPKTQKKEDKKPQSPKDDDKQQ QQGDDDGQQQGQQDQGQDQGDKDGGQQQDGDDGQQQGDDGQQQDGDDGQQQGDDGQQQGD DGQQKGDEGDGQKEGEQD >CAK66693 pep:novel supercontig:GCA_000165425.1:CT868047:43545:47134:-1 gene:GSPATT00035823001 transcript:CAK66693 MSLYLQKQKKERIKIQPNSNVNIEDQIVNTVHTNFQEFFDYKKGLCGLPTYITMNNDLVF VGMEYSYIYIFQQDNDFKQEYKLLGKDTQIYRGQVKMIQISNDNQLLLVTYSNNEFVIFS LKSFKEVLQHRYQHLKYAYMVPLSISSDNLLQFRKEQYYEIIIHLENNYLLKVPLEVNVS TLEQKISISIYKFGEPCERQKIKENRHAKVFENDYMMPQQSVIQDVSIMDSCYEEFIQCM DDRESTTSIGSQLDQSPTKKKKNNFFKSIFQTSPNQKQEQPEEANEYVISTQFYLMAVGF ANKIQVVKVFFGYKLNQEQSKIQLLLNFQRSDAYIYNLVFNQPQAIDHDKTRCSCAWGLG SFKETNKRYVLLIINWGYREYYAFKVMSSDYKIQVVQGGHFYNSVNLSQLREYPMVCNFI TNSAFYSVIHNQQNQTAIKLFTTSQFEYGVPFGLGQILKHFLQEKHISTLQNGQYHQKFY TFVRENKQEITISQSVMDSINDGFQKSNDIILNYKQLHSNLQIIYKNEQCYILNGTELQS IRLKKWDELLYEQSDNNEWERCFQTAVDIHRGHLTLLCNIPENDVQRHFCIKETCCKLAL QYLLSQLPNKQLKNKQSVLTIMHFLIQTQNEEYLFESIEDLMVGCGYRDVFYDYLRELLQ YHQVSIPYQHQTKVLKMFTEMEDKETCTKLIMSIQNIQRYDPKGLIDFCLEQDLIEPMMH VCSQINDFLTPYLRIITLLSLLQSKHALTEEDNKNITTLTLDQCKACLFNFLSFCFTGQN QSNPESFFTDRQFKAMFKDLFEYLFDLENIQKLFEIDYIKTLEIFLSVFSERIQESFKQF LNEGKEINIEISNNTMIGFLPEILDIQQTDYHLRILSKVYVLIKLAEQAYFGRINNAKLI DEYMNYSCAFSTNVFAICPFHLNINHIMVNLLNYMDALRKQHNTIFDINWILRGRVQKDL SSELVKNEFLHKVMVKVERQFEGQFKLLEELRQKAQEKNWIWMEAFCLIKMRRQPEALYL YMRHPDPLLSERVFTALAVQLKQKEQDEFIQDWIYKNLALLAAENSHKLFQLLYTYKKDD LMEVFKEMKQVGVVLVDQKIKSQHKMDLLMGFVEYLKPRMKIDQDLLKI >CAK66694 pep:novel supercontig:GCA_000165425.1:CT868047:47541:47987:-1 gene:GSPATT00035824001 transcript:CAK66694 MDEAIQIKQYILNDGFDEYDQDYHFRLSQQHVEEESLEHLYYSIIQCKSTQDENDSNKNQ SQKRRINDMRFSYLEDKRILELVIQLGPNFNKIVKYFPGKTMNMIKNRYYKKLRYNKEEY LSDMEFKKTNHKGKKSN >CAK66695 pep:novel supercontig:GCA_000165425.1:CT868047:48137:48553:-1 gene:GSPATT00035825001 transcript:CAK66695 MISSVPITLDQIYGVNFKRVPILYGEMEGTNPLPINEIDEDSYSEKNSRQQQKRQLPPLQ KVDIRAVGEKQKKKQNLYRSPVISPQFDQHIGFRNSFYRYNKVNLESRQRVEEIKSKEHV LFTKYAQRFNVPKSQIWN >CAK66696 pep:novel supercontig:GCA_000165425.1:CT868047:48941:50098:-1 gene:GSPATT00035826001 transcript:CAK66696 MVQFVNEFVEFCRTIGILEKDQTFESTQDDLSQMLFMHLVTLNRQSLIDLSNRVSQTWLM QQTNSKPIHPNSAKQFTTRSKHSQSTPQESKSLSNSNNQSTVYLYDQYIKKEEKQIMHQQ KVIEDQLKECTFQPQISKKSQQLDTTAPVYDRLSQFGYDQRMKHQISMEIKTKSELKQCT FRPQINHSFSKILEGDPFSRLYQNALSQRQPKPVSQEKTYPFKPQLVSQPIGQQEYLSIP VEERLYNHFFDQQQQLVQQQENESQAKLDECTFTPSINQYGSAQQGSEQKIRVFDRLYNK SSAVKCASEIKEQNPNQFSISKQSDKIIKKANGDNSKYVSQFQIDQTPFDRLHSEHKRIE KKKKVKENQIFSSIPFKPQTNNNKK >CAK66697 pep:novel supercontig:GCA_000165425.1:CT868047:50136:51053:1 gene:GSPATT00035827001 transcript:CAK66697 MHRPKPHIRVQHEKDILDGFSRLQQVQPLIQINPTVDKIPNLKKIQILEDRYIQIEKDNR LLLEKITNIMKSETTRVGRQKRASSFESRKKSEQRQIQRENQMLLSKISNKKSSYSKNHF DKEWNKTKQYFINLGGLRTLSSKQKQFNYSFLY >CAK66698 pep:novel supercontig:GCA_000165425.1:CT868047:51313:51906:1 gene:GSPATT00035828001 transcript:CAK66698 MKEMSLTFKIILLGDSNVGKSSILKRYSENTFSEQQAPTIGLAFYKKVVERKNIKITLEI WDTAGQEKFKKIAPIYYRNAQAVLICFDVSNRDTLEGAKRWLEEIDKYLDPDCVKFLVGN KQDYGSFEIDQAFLESNHMKYIQTSAKTGHNVDMLFRRVARTLAKTKIKKMENLDQKAII TLQVQGQEEKQKASLCC >CAK66699 pep:novel supercontig:GCA_000165425.1:CT868047:52095:52999:-1 gene:GSPATT00035829001 transcript:CAK66699 MRRYFVGGNWKCNNTIAQTQSLINTVINKLVFDVNKVEVAVAPIFLHVPWVQANIQKNVQ VALQNSSLTKVGAYTGEISVEQVKDLAIPWVILGHSERRQYYGETNEIVGKKTRIALDYQ LSVMACVGEKLAEREAGQTTQVIQAQLDAIKKELTTALWSKVVVAYEPVWAIGTGRTATP EQAQEVHAFIRGWLKSQIGTQAEQATRIIYGGSVTEKNAADLIKQPDLDGFLVGGAALKP GFADIVAAANDAR >CAK66700 pep:novel supercontig:GCA_000165425.1:CT868047:53300:54648:1 gene:GSPATT00035830001 transcript:CAK66700 MKKQTQQNEAIPELQFLNRLKDFNCVPFLINHGEQVIKGSKYHYQVMERHGPSIKLIYNY LSRNIPLPILCLIAIQALTCLEIVHKHQIVHRNIRPKKLLISLSGNEILLSDFKYACRFK KQYGSLMVNENYKLNSNKLFLNKYSSVNQHLNQVPTPKDDLESLAYILLMYASNSTVFQV KAENKALKLKKQESIKLSIIPEIVFKQAPLEFIHFLHLVKTSNANDYPQDYEKFKQLFRK IIQLSGYTERDLSYPLFHMNLQENTQQQQFHSQTSKFKKMQHQKMSSESIKEEEADDEMS VYQTEKVGEDRTIEPLIKGLATKQFKHISFLNQPQAASQIVKLKFTKK >CAK66701 pep:novel supercontig:GCA_000165425.1:CT868047:54877:55620:-1 gene:GSPATT00035831001 transcript:CAK66701 MNCNINQRPPPPKFNWNIYDNSSSDDTKPQITLQKSDKRRQKQVILLVDEMLAKLTHSNL LNGINIENIKQQSVDLLNNSVNEKVKSKKYQSIACALIIQSLRILLIPLRIKEITQTLNC DEKQVRKILIQLNQIKPFDQDAFTLQYMTRICVAIGFNQKFQTLCRFYYSSLKNQNLVQG EHEHVIASALVKLTGDFIFQEKGGINLNVISENAGCCEISLKNLLQKVQPYNQVLVKQAY EFYRRTM >CAK66702 pep:novel supercontig:GCA_000165425.1:CT868047:55830:57379:1 gene:GSPATT00035832001 transcript:CAK66702 MENQRIIKSVKSATSLNSKQSTKIIQCNSKVTHQIEPNVLIQQHPTQIGQHSAQQNIPPI PLKQVNNILPTRETPIFKQTQEERYSNTKDATCLMAKLDSLREIKSADSPIRSHVSPIPS TLWSVVVPTKNNATKPQLLQQVASSSCVEPFKTYNNNKNVNQTQQLSQTLPQKKTYETMI QQLMNEQEFLVKQYNDKIKILEQKTQELSKQNNNLVEQNQLFLDQNLQLKNEVTIFQQKQ YQINYEYLNEDTLKTVLLLEQQLEQKKEELKQLIQNMDEILSINQKTEEKNNELSQQISN ILQQKDREINAYFTQINTYKQTIQELELKIAQLIQSDQIQQQILKEAQTKITFLEQSDKN KQGSQLSSQQLKFNVKESFEYKQLVLELDSKCVTITEKENQIETLKIKNQMVQQQLAQMQ TCQVTNKDHQEALRQKETENDDLRSQLISKDEEIERLNQQLKFVRKEKAKLSINLMNAGM ANLVMLSQSQFQQDEITA >CAK66703 pep:novel supercontig:GCA_000165425.1:CT868047:57409:58155:-1 gene:GSPATT00035833001 transcript:CAK66703 MASLTQMTGFFTKQRKKNKTFKISYFFVDSYGTMYYLSNLAQLNYYIKNSNSDIDVLNEF TQIISKLESSNAITKMSIQNCRISGIKTLQAYDNVPFYHRQHFELQISQKNVIKVLQVYS TIEDDIEILQEVIKQGSQKQRPNQQLQLNSDVEKKNAFIEEILQLLKKYEGEVINVNANE IQQDSKLYYTGQIVDGKPEGQGTLFYNLEGIHYKGSFQNGKKHGVGYIANSNLDQIDCEF EYDILTGI >CAK66704 pep:novel supercontig:GCA_000165425.1:CT868047:58203:59169:-1 gene:GSPATT00035834001 transcript:CAK66704 MDSKIGKTFKLTKKLGSGAFGEIFHGINLKNNIEVAIKLEPISAKHPQLYYEAKLYQHLS QDNAAVEKGIPQVYYCSTEGDYNIMVMDLLGPSLEELLTQCQRKFSLKTVLMLVDQMITR VEFIHSKDFLHRDIKPDNFLMGLGKRAQTLFMIDFGLAKRFITRDGSHIPYREKKNLTGT ARYASINTHLGLEQSRRDDLESIGYVLIYFLKGTLPWQNLKNNNKKDKYERIMESKIATS IELLCAGTPIEFQQYLKYCRNLQFTDKPDYDYCRQLFRDLFQKQRFVMDYQYDWTQKRIE QNNSQQQTIQQ >CAK66705 pep:novel supercontig:GCA_000165425.1:CT868047:59759:61886:1 gene:GSPATT00035835001 transcript:CAK66705 MDYDVDYYPSQIVETIGDVTFSSQFDAGNLKSVRKESYNKYILTICNDTGINGKSATYRT WFYFSVEGMDNASLVTFVISNMQNQIGLFKDGMQPVFKTNNEWQRVRYPCQYRLLPDGLF EVTFQHLFQSNQKVYFAFTYPWSNQDSEVSIGNMQQFINQMMQQGRQQIKDLYIKLNVLG YSKERRPIHLLTITSNNNSTNKVEDPINNVFPEASESRPIVFKKKYIFISARVHPGELPG SHVLNGIIKFLLNPNDKAAEVARNEFVWVIVPIINPDGVYRGHYRTDSLCQNLNRYYLNP SLNDHPTIFAIKEYILRLHNTDRFYAYIDLHAHAGHKGIFIFGNQLPNLHMHTQNCLIPK LLTLYSEIFDYDGCNFTEKNMYSADKGDGLSKEGSGRVALYKETNIIYSYTVECNYNSGK ITNLLPKSSYLSDQEDIYYTYGNEQIDDQIVLGIPQQTTTNKTYFYTINDYEQVGQGIIM ALLDVNLLNPCSRIPDSQFKTLANLKSFLAYNIMKVMHFRFEPYLRKVLKNINNKENIPR TLKSFYDYIKSNQIRDNLEQNEQQQTKQQFPRKPVQQELKLQKQTLQLQSQKLEKLPEIQ KKSIEQRQVSQENTKNRNTSEGKTQPLQQQFQKQYKSFRITKNCAKATQQ >CAK66706 pep:novel supercontig:GCA_000165425.1:CT868047:61994:62953:-1 gene:GSPATT00035836001 transcript:CAK66706 MEFQQQQFDVEFVHGKRYQNSFEYYIKWVGYSKQESTWEPETAFEGISNQLITRFERCQN YKNYHTKIYKANSHIKIRVHIMHKGCQVYRKYTSENKYALLGQTNGQNYKLLPETNHYKN QDSISIQTHFIDRYDDKEDYEFYIRRGRGRPRKSESDREFLSYKNDYKIESQKYLQKQYP LQNTECSFKYGHYKTEKEFIQEMLTVIRKKEQKKRRDDEVFIYKPQASLPPGYKSQYTDL PKFNLLNQKYYDKNSKCQVSDGKIRIECIISHHLMQNKLLVFKCKDNDEQVCYFDFDTLK SYYPTLLLDYLSISSIWKK >CAK66707 pep:novel supercontig:GCA_000165425.1:CT868047:63034:65107:-1 gene:GSPATT00035837001 transcript:CAK66707 MTQLMDGGGSTFDLTAPVSLVQPKINVSKQPEQTINKGQKELQPQKTQTSNSKLPQLGNN QNASKSVNQKSSQPGHQQSPKSLSKVKKIELFRDALQQATTKLLPPGKKDKDKTQKPKPL QESQQQQIEEIKKEYEDLVQNHYKDLYAHIGNETIKKIVTALQNLESRPKIQGALERKEE QLNKQRLYKDRLLYEIKQMIIKSRRMDELLNDLAIQNDDTEVKESIEELMQKEQMQEEKM AKQAYEIAKLRDMFGKRRKLLLEVQKQCQITYDGLKIKYQQYEASSQSMMSRKKQLESLS KIVSKYRNEFQNQQNFFGASLKRGFDPMLEEILEDELSKCGDLQALEIVQQGEKKIIAIK EENEKKKKGEQKDKAKLAIENATQNINKQKNDIQQELEKKKQMFQKLRVVTSIASQEDMQ KYKLNMDLDQQELKNIQEYVGKEIERYERQNEELRAEIKKLKYEQENSILDAQINLDEQE REVTKQQDLLQEKEKSVKKVEKSIDEVTMSLSRIMYQLSGKGLKPKNIEIKRHALVATAS TIQLRLERMLTVLSKTQEFLNEESINTNPRYNKVEDFICLNPKSYISQEINENGETNIKF VYKEEDSSDEDCKDMDEVRQQVKSRAQEDKPSVVVPPKKDKKPK >CAK66708 pep:novel supercontig:GCA_000165425.1:CT868047:65646:66816:-1 gene:GSPATT00035838001 transcript:CAK66708 MNIKIVYNTKTHKISTTHKTLETIKNAIQNLYPKHLEKGFDLYVTLHPQMEPFKIQDQEA LLRIQQIYTQMNWTSIKFLVKDSTNPNLTNDDLSLLNQSVITQSNVQLSTVMNFLQESKQ QNQEQFTDQKQQIVIEENKPETNLAQEIIKQIEKQEFLGFDYHSEEFKQFIIQRIDNRLK YHGILQPKNSQAQQYPQYKMELKQQDFIITKKANEKFEWNFEVFNTGNQVWRRNQVAFVG LNGLFKNVKIQLTNDVYPGQTAKFSLLYQMPKKEVQNLKHEFQLTYQDEQNQTKFFGKKI SLVITVKKDLQQIKDEKILQLMESVQISFEQATEFLEMYGSEDNINDIVFAYLGQPK >CAK66709 pep:novel supercontig:GCA_000165425.1:CT868047:67013:70294:1 gene:GSPATT00035839001 transcript:CAK66709 MYQITFSNIEHTLTSLEIQISQLSEDIPIKDNEKWVDLCGNKQVWLRCIYDQNSQPITSL TIKELKVEDNELKIPLTEDIYPVPIKRKFDQLTHQFKGINNGYSLYQGFYDISQYTDQQQ KKNHHLVLCYKTQNQNNYQQPICDIQMILADKIDKKKYTSSFSDMRLVIPKDFHAIQFPL SNFRFIIFKQKQSPFKVAYMPKIIDRYPLVDHHESPLSHMITMIPMFCFPQGIYVEKSEI DPISKGQQIFNFVLTSETGKRTYCICLIFHEIIPADIMEQLGHIDKQQLICYPKSLCLLS SHNYPEQMRELIKYIYRCSLSKNNIPIESTICNIINKIKFPQQIFEQENICLKYVLPSYD ICFYTNYKYPVGYNEALECLFRLLDINRIINIYSAILLEKKIHFISNHLSVPGLVIDAFL QLLFPFQFTSILIPVLPDSLRGYIEAPVPFLIGYSQKNIIEQNQHTQVDSLYVYLDTNFI VNCENLTAIPEKALKKLKSSLKPFNNLFNDDYSKQYLRRVDQAYHIYMDDDNYDPEFNKN IDWYQIRNAFLECNSILMKQYKKYIISNSNNEGDFTEIFQVQGYYDHWKKDKFIQQFMET RIFQYFIQERIKFSENESQYQFFDKYIEGKDQKLKFMLPKQIIEIQVPDEDQLTDTIHKY DKFPQLNHDLMKDFQVDEQEINQEILQEDIPQLDQQKWSKYLLETMYKIWFLIFIVNVRN NQDNKIFQQMTEVAILVLEYMREKHLNLTEKLFRYVLESCGYFQMDSKALYLVKLMKEIK LEASPATHGVYFQAVAQAMNQKGLPSDQQQPQEIHQTDVVLLQRNDGQNAYTNSVYSLHS NCPSCKRKLHFEEILDSYRKSYYENTIECLKINQGCGSTFIPKIQITFYQEENPIEAELY DIYNPIQILKNLENIVNIKGDAFLMSDQFEKQYKNLYVNVIFYIRLVKLPYCHLVKLQDT NELQSQVDQTMKMLQMKKSKTIFQRVAQEFDQYRGKLSQQGDSSGNSSSKFKQDDYNTAQ NYGSKYMKKMFSSLINDQFSLQNKRQSSSNFQSLQQFDETKAESPK >CAK66710 pep:novel supercontig:GCA_000165425.1:CT868047:70514:71014:-1 gene:GSPATT00035840001 transcript:CAK66710 MEDALRFYSLKESIIQLKQQSYIRTFPQLYISKNKLTQITSQQIENPLKYKPMPFEIVSL SEVNDQKDDSSIIQKEQTLILNNELQTPLEVNLQLKAKKCMSLLEGQSKHEKEQQIMSIL EIIKSILNGKKERTNQRLKKKLIQTNKSPQQAIQFLKSLRKNRIMC >CAK66711 pep:novel supercontig:GCA_000165425.1:CT868047:71166:72802:1 gene:GSPATT00035841001 transcript:CAK66711 MDNQLLIKKQWLIKSRPERIEDIYESDKKELGSGAYGRVFKAKHKELGLERAIKMIPKKL IASPDRFKREIEIMQNLDHPNIIKLFESFEDQRNIYLVMEICTGGELFDTIIEKGHFTEK EAQQTFLQIMQAIHYCHTHGICHRDLKPENFLLLSKQPDAPIKVIDFGLSVLFHDSHYKN VDGKTQMKSKAGTPYYISPEVLDGKYDELCDVWSAGVILYILLTGLPPFNGRTDAEILKA VKSGVYKLDIPQLEGVSNDVKDLIQKMLTKPDQRLTAGQVLQHPWLTSVEIPHSILTLDF KSFKGFSASNKLKKVTLTYIASQLSEVEIQELGKLFKELDINGDGILTLEEVKKGLHNFQ QESWGEVIQILKAIDTDCNGVINYTEFVAATMERNMYMKKEKLLQAFKMFDVDGSGKISS EELKKCLGNNEIYGQSDPQLWQNLINEADQNKDGEIDYLEFVEMMDKVDAK >CAK66712 pep:novel supercontig:GCA_000165425.1:CT868047:73771:74364:-1 gene:GSPATT00035842001 transcript:CAK66712 MNRQELQEFRNYVRKAAQAKMDGTEDKQRQIAKTFHLDHKNLMSLNQSPLQSKKLHVDHD ISQHHHLASLSDWKKFDRSTSQSYVSFDANTWKEDQNSMRQRKLQTPSLNAADLIKVNRT LSGLSTKEIQNLSGQYYHQIQELEHTVSSMLRRIDFIQASKSNY >CAK66713 pep:novel supercontig:GCA_000165425.1:CT868047:74546:75793:1 gene:GSPATT00035843001 transcript:CAK66713 MSSQKKDYNITLSFFGKSTQVTIEKSTTTLELYQFLEEAYPQEFEKVKYKSLKFFLPAQN KFLNINQSTLETVFDNYNNYIMELKEDLPRNDSNCFASSNAIPKKQVQTEQEIQQNFQPQ DIQHQQNQQNQIYAQQWEQQQQLYNQNVIQNNNQQNQQFQYNDQTQQLNQQAQNQDYNQQ QFQQWSMQQDNTNNQKNQKKTLRLQYKNNQIQQVVDETLTVDELYAHFYDQFNIEGEVKL FWQDLELSCLEQYGSIFNQGIQNDSIIECIRFNIDIIIEIFDRQRQVSLQQNNHSSLSTI NEIIQVIYIYLGKTEETISIELYLSKDNSPIQQQNQNNSSATQLSQSFENEQQIRANKYN CTLKELNLIDSPLKFKAKVRYNGGSIKSILN >CAK66714 pep:novel supercontig:GCA_000165425.1:CT868047:76449:77790:1 gene:GSPATT00035844001 transcript:CAK66714 MIHNSNRNPITDPYSLEDQLARELQKRKVEEEKRRREIERICAESEEIKLLKQKVQTAYV TKERTQQLAEQQLRRIQELKQESEIEAAILEKLKREQEEERSKEKFRLQQRLEGKYTLQK QMKEHEQLRDEAREQYIYEKEQVNRVIHQLINEDRKFLEDQAKKKKIAFSDMQNALREKA ELIFRMKQREREENQKYLDFIKEKDRQAHEIKVKKQEENAAKYKDKIFQKLKEEEERRRQ EAELLTELRFQLYQEQYDAQQRQKDIDEANKREFQKREMQQAEQEARLKKQRQKEEEQQM ERDFRDQMMRKFAEDDKLEQLGQQKRRMKEIEHKREVERLWQQKLEMYQMEKQKELEQLE RQRREEAYKQLVVEEEKNRILQEHLQQVGEFIPKGLLLKQGDSQFIKQGQSNASYQSGFR I >CAK66715 pep:novel supercontig:GCA_000165425.1:CT868047:77950:79560:-1 gene:GSPATT00035845001 transcript:CAK66715 MKKQSISTTATLPIPYFKVYFKIHYHTQPGRAIYIVGDCSILGNWVPTKGLRLQWNENDE WTICIKIDRSKYSKIEYKFIVNNYDYPTMNDILWEPGENRVITNHMIQNETKSEYFNCEY WGYRTIKLKLNYNLPEKQRMMVIGSIEQLGQWIHPVLMKQQTKIDILNGESVQQWSISFI VDSMNFSFRYFYVIRNDDTGSMIWERGNGRFLKSSDLRSFRQVQDQYAKSPIKIKTQLLT ACQHLRHHNKKNGSFCSEKQQKSNKETSMGYSFSDKEPSFFYYESFGRLNKLDWNFVVQF SITQINENIIIGPYPQNEQDIINLSNYGIRAVLNLQTRLDVYHRGVDWDEILASYKKHNI YMKNFEIFDMDPQDFEKKITKAVQILKKLINQYEFVYIHCTSGIGRAPSLAVIYLASVLQ IPLDQAIAFVKSKREHFYINLSMLKKALQKTMIYNNGLGYDQIPEINEFQIQSSGPMLIQ QFDYNILC >CAK66716 pep:novel supercontig:GCA_000165425.1:CT868047:80072:81607:-1 gene:GSPATT00035846001 transcript:CAK66716 MLYNSFFKTKNQSPHNGRTKPQMRQSSIEKAKKDRPRPQLSSKIDQFEKEAIVSMMNSKK KSVSKRLKTEQGMDTLDDYLYLDSYITNKQSKSRSFSNAFTSTKSADRKNNILNNTSKRQ KSSSQKHSLEDDTGPDNFPLQFQKIKLLGKGGFSLVWLGEHKTTKMRVAIKQIKQSTTNQ TYLREIWFGSHFFDNGIPKPQLKSTLGIKSLVQYLGFEIGSTDTWIFTEVCGENLKNTLY ELKGQQIKNEIVYKMIQKPLYISLKQDLNILKRIIKDVAHALILLTEQRIVHCDLKTENI LIKKSKCLNGSYQITQTKLIDYGSSFIFDDLSQFSMATPEYMSPEILNYVLYENGKDYDV KLFKVLQNYYKPWVIDIWSLGCVILEIVYGIPLWLNNKIIVKHHNKEVIEQGLFAVKNRA FDQIINKQIHVVKNLDYYLDNNYSGIKVDLEIRLLLREMLTIDPDKRIAPHTIIEFLQPT KGRLKTE >CAK66717 pep:novel supercontig:GCA_000165425.1:CT868047:81795:82618:-1 gene:GSPATT00035847001 transcript:CAK66717 MSCQQAMCLVLKYLTNDNKIFTALNKIKLLIKEFKNKFYDYHLLQILYCLSITKYQLKQH ECDQLVIEIFELCQLPKHIQIIEILKIQLNIKTFQFNNALKEFKKIIDDLNTAQMLELVD IEQQNSLQQIETYEYEILYDFEQFLYNNKEFHMKQRQQYVLNCLEVLVNQFKYQWAKTSI HTFIQNLNFNQKYWFYTQNRQFFDEQFIISKIQNAKILYTASNEREKAQSAKLMQDYLKP IHDVVDCRAVDNTGDGLDQWGLKQTGL >CAK66718 pep:novel supercontig:GCA_000165425.1:CT868047:82888:84075:1 gene:GSPATT00035848001 transcript:CAK66718 MSPLPEPNNNGIQTPENREAYRFIYKNKEYDFTEYVPKHPAGRSFFDKMKDEKQDITEYF SCLHSKKALKILKSQKVVRTDLKESEDSKRYSHIKKQVKDLFQPDWTIEILLLVALSLGL YLGVTTDWYIAIPTIALTQIVAGWQGHSTNHNRHPLLYKFSIPYGILHGFSADWWQFKHN NHHIFTNRIGKDDDIDHTYQMWQYGFLYLKWKVDSVIASYNKIDILYVLMHQVIVFQQKI WIYLVAQYIAGFFSACILIGNHEREYKFYKKIDKPFIEHQIITSRNYDWTDWLSNLIMGG MQFQTEHHLFPQIPFYRLPHAAKIINRELNKFGYKIRVDKIL >CAK66719 pep:novel supercontig:GCA_000165425.1:CT868047:84835:85987:-1 gene:GSPATT00035849001 transcript:CAK66719 MAQLNKRKQSKSLDDSEGEVVQGRWVKEEHQRFVEALSLHGKNWKKVEEYVGTRSGAQIR SHAQKFFNRLEKEFHKQINGLKSSEIKEIFVNKMFHQYSEDEQMQIKQKNNSIMDVSEDE IQLNAESMQAESNQQQQQIKAQLQQGILDLNLPEQQIYNPTKEQVTLYWQQQLKIQKKLQ DVSDMSQIPDELLKEICNLHKEKGQIMALQQIQNAYKSISEIEQIDQNDEQQIQQQSMQQ EKNNPTNSDHKLSEESRPGNDESFFHFIMVKISKYLNNRKLSLSDLINVPKSEKEAQKDS HPQIPDVQQEAEGQKSRKQSFTFYNDLDEENEVRYLNLKKTKKD >CAK66720 pep:novel supercontig:GCA_000165425.1:CT868047:86629:87122:-1 gene:GSPATT00035850001 transcript:CAK66720 MNKKSEFQRANTTKQLNNSNQNSPKLIISQSTSIGFLNEQSNCLSKSLPYSPSRVDYSFD RIIEQSIIESKGKNLPTNANDQEQPTKVFCQKCQKQVISRIEKQYGCGALIVTLLILLIF WPLFWIPCFVSECRDIIHYCPSCEEIIGMKPYKLCK >CAK66721 pep:novel supercontig:GCA_000165425.1:CT868047:88467:89598:-1 gene:GSPATT00035851001 transcript:CAK66721 MIPSTRICIAPNCQAQSRLIQSIKELDYHKGHSSSSLGQLTKKLKDVIPEFPITASTKQI IESKFQIISEYLQKKQEIYQKKCEKYELIEAASKFINSEYSLLNERAIDLIVKALPNNIS ETLELQTAQYCELQIQIQKELDDLFHLISADTKQESIQPVQQVQSPKKKISQIQTAAIPI AITEKPQFIKMPQAYDQLTKFEIVNQKAKHLEGYPSFVMTNQLLKEGTQIRFEIIKIQSD YVGIGIGAMERVSIEKNGLILTGEQLQTVGHGVYMNFNDKCIYHSEIENGAVQGKDKGIS FQDGDKILCTYSQGQLTFLNLKTNSSYTMKVTLTDIIFIVWLDLSEIKII >CAK66722 pep:novel supercontig:GCA_000165425.1:CT868047:89625:90340:1 gene:GSPATT00035852001 transcript:CAK66722 MNSSSKIRKGSRNMKYKKISHDQRTHIINELTKNGKTLKEVSEDTQLKPSTVKAILQVYL KEGRIGKKSTRDRKVKLLNTTVIALIDKTKNSEYAIENLQFIHPLIRINQQSSEISHNGQ TLTETQQNLDQYSKQLVMMQVKDFLREKKSEIVDQLANPLAKQNFLNQLAQFEVSEQLPF QELKKEQLSAEDESFLEKITYHLKSKVKI >CAK66723 pep:novel supercontig:GCA_000165425.1:CT868047:92447:93079:-1 gene:GSPATT00035853001 transcript:CAK66723 MKPIFRGVLIMKYTDNQEEITLDQLKEYLKESGNYNEETFSQNVDIFQQLIDDLIQNDSE QTYEQYGISPQELHQTWLTEKDRVLSYYIKKRTQGQKIEKFQWSVNNQFYSKKAKNINKP VCIMNLKSKDVVNKTYKFHTFEINEEDLNYIEDQMKKIEQTINALLL >CAK66724 pep:novel supercontig:GCA_000165425.1:CT868047:93983:94904:1 gene:GSPATT00035854001 transcript:CAK66724 MMFPEYYEIDISCFEVKSQTSLAQNTSTLDLFELLTIKFLNMLGNSKDYKFFLPTLNKYV SINGTTLNRILGNNKKCVFELKQILKYLIDILIDNNELFILDGKTYNQQVNKLQMNKKNQ FEKKEIFIQHLLLVCQQIYLQQEIRSKSVEEQIDILNKELKQLDNNKNLTNNQKQYLQHQ YQQQLITKLKDYGKTKSIPHVNQNIDVSNPELALFVLKESLLRDKIQNYQQQVKNIPLDL IQQLDIMAKINLVQKQEIQILIMIKLLMINNYLKAIKFTQYFN >CAK66725 pep:novel supercontig:GCA_000165425.1:CT868047:94972:95675:1 gene:GSPATT00035855001 transcript:CAK66725 MKSISQQIQNNPEAKKFLNEYCNGNVEYVAYFDQANIQSKGVTLSFDDFNPSHNMSLDNY QEKKNREGLLIIDMIIIFQQVVMDLGQMQKSKQNNMEMIGQKRMVILMNGELSAVNQILR NNLIAGGAQDYQNTECIDEFGNKVKVGRGIYFSNNIKVCIDYRYTNYTQIGNKQFAAILM TRVSRKKIKQSEGMKLLNYFVVNNSKDVRPYRLLLHEKLKEGYCFQF >CAK66726 pep:novel supercontig:GCA_000165425.1:CT868047:96223:96535:1 gene:GSPATT00035856001 transcript:CAK66726 MQQYYKIKIILVGKTKPLVLEKQDTTLKVFQILQAEEEKFTKRRNLNFFVPVLNRFVNID ETKLEYILENTESWSFELKEDQSQKKQTNKYS >CAK66727 pep:novel supercontig:GCA_000165425.1:CT868047:96729:97322:1 gene:GSPATT00035857001 transcript:CAK66727 MKSTSLYIQNNPEAKKFLNESCNGKVEYVAYFDQTNSQPNGVTLSFDDFDPSQNMGLDGF RQKVQRGPPYHRFEYYFPIGCYGFGLNVDKYVKQYGNDWLKKDGNLNEWRIMYYGTKQAA VNQILRNNLIAGGAQDYQNTECIDEFGNKVKVGRGIYFSDRLSVSKGYKNPVQTGNKKFS VYFMSRVNPRKLDKVRA >CAK66728 pep:novel supercontig:GCA_000165425.1:CT868047:97694:100523:-1 gene:GSPATT00035858001 transcript:CAK66728 MEYGDFQRLLSEEDELVQISRAQLEQLILKNQLPETLPAQKKSCKKIVITQAAKKQKPYQ FADVHYWTITQFDWDAKVLEALKGAFGKEQFKANQKAIINCVLAGKDVFVCMPTGYGKSI TFQIPAFVENGVSIVVMPLISLIFDQVQYLTSLGIPSLNMSGQNRLLTAQQILDQKIKLI YTTPEKIEKSEQFKQILGDLFNRKLIKRFVIDEAHCVSKWGRDFRPDYLKLSNVRNEYPN IPIIALTATAPEEVKEDIIDVLQIKGCLYLQSSFNRPNLVYEVRCREEFKKAVQEIKEFI NQTYPKQSGIIYCLTQSECQTLSQNLIYHGIGSDFYHAGLTEKERHRIHKNWLMNEVQHL VWELTKKDCRFVIHFQMPKSIENYYQESGRAGRDGKQAHCLLFYNNSDYKTNLYLMDQNT EMTAQMKKYNIKKLDQMQQFCYDRLSCRRVLQLSYLGENFDRTLCNKKCDNCQRDDENAE KINLTNEALKILDCLDKYPLTENQLVQCLKGAQDKKKSNNSQKNVEQIFGLFKHNPRNIN PLLDQLRAQNALSQKVQCYNVKGNFKNKEHLQKVQQYPFQEKRTRDHQSLDQKTNDLFKK YLSKENNNQNNNGINLQTNQNALQKDFIWQNGNNIETEQKKLQYDNQYGYCLENQFNDLR ERLMLVRKNIYKEMTNDASNQIINIDLVLSTDDIEELCKKLPTTMSELNDPFICIAQEIK KLQYMKHFIEEVAHFVDIEEINKLLFEKPKQIETHVDQVFEFQKHIRQEEDVVDITNQEF IGKLLFLISAKQKEIEELLVNGQEHDDYLDELLNLIEEDEKKKSPDIVIIDDDINSNDFA LNKKPQIQQVSTYEPIQQHQNSQDLKQSDKSENNQQSKKLKINLKRSFL >CAK66729 pep:novel supercontig:GCA_000165425.1:CT868047:100840:102007:-1 gene:GSPATT00035859001 transcript:CAK66729 MSEINESLIEAPLKALLEDVLFCLEGYLEGQNDLKMIEHQLKDYDSLAGLVKIIGNVFKT LMKKVDKKITQLKLNINDNSSYRSYHPEEEYEKLEQIIQKHEAEIRGHISIEQQLKLYSE TLQQKIEDLEKQHKETIEQMHKQMHLLKKDLGKSNETYRQLIKENEQLRESVEHPPKAIM HTEGETKSTLTQIYQFCHSDHRLKKVDYSSEYPTSSVNLHSQNSMRHSAPFKSQSQHHKS GKLNNQIQHRNSQEQDLFIKYNQLLKSHAQSITQRSQIIQASQYLLKGGFANTKKQRSTL NVISDICNAQMHKSSQRNKSQGNSAKAGNTNSQNNINASNKSTTQKIIQETSKTNEAIQR LLKLK >CAK66730 pep:novel supercontig:GCA_000165425.1:CT868047:102036:102829:1 gene:GSPATT00035860001 transcript:CAK66730 MNTESNGASFGDVPQPEYFQKFLVGQNLVDSSTSFARLNSKTVTERSIRESFWEEQNYEV ILQQMLASNIRLMDEIAKCQNLETQVQQLQRKNSELATQIQHLQDTNAKLLHELDSCKKD KQTLQEEIKKMERENKKLYLPESKFKYNNLMDLNKILMNKTESSFQFYKDHLLSSKFTSP KIHNSNPFIYTTRPQSPSTSVRQSTPTKSEIKSPFLVFNNTANSWRDSQQNRNAVEFKKF QKTMEQLMRKPKKIT >CAK66731 pep:novel supercontig:GCA_000165425.1:CT868047:102848:104255:-1 gene:GSPATT00035861001 transcript:CAK66731 MDQKLSDAEIALEQFRLKKLIKTLSQERTAGTSVVSVYIPPKRIISDITNRLNTQYAEAA SIKDKGNRISVQEAIQAAILRLRPYNKAPNNGLVVFCGIVQQADGKGEKKISVVIEPYRP LDLSLYFCDPQFHVEELRALLNIDPPFGFIIMDGNGSLFATIQGNSKQIIKSFDVDLPKK HNKGGQSSVRFARLRMEKRHNYLRKVCETATTCFIAEDRPNVKGLVLAGSADFKNDLAGS QFFDKRLQPLIISIVDINYGGEQGLNQAVQLSQESLLEVKYIREKNLVGQFFENIDKDTG LVVYGVQDTMRAVESQTIKTLVCVDTLQYLRLECQSKQTEQKAIKYVKGNEGYEPGSLIE EKNGEQFVISVKEDLVEHLSEKFKDYGLDFQLITDHSVEGNQFMKGFSGLGGFLRFKIDM DYLVQQEDWKDEDEDFI >CAK66732 pep:novel supercontig:GCA_000165425.1:CT868047:104345:105460:-1 gene:GSPATT00035862001 transcript:CAK66732 MSDDDSNQVVLAITKVGCMITFFMLILIVGSLPIRLKAFKSNKKLLAYMGAFSGGLFLAV GLVHLLPEAAENFEQSFDDDEEHFPFAYAISIASFALILFIEKIITDHHHDHGHDEDLHH HGSNSKNTQIQDQNQLFVNGSDTEETFKDALNTQLIVAKKASFVQMVRKSIAQDPKNSIV YQDVNTWAPYILQIAVGIHAVFEGLSIGIQEEVSLCIGIAVVVCCHKWAEGMTLGLAFRK AGVNKTTSTYMIMIQAIMNPVGIGIGWIMADKGPLYTGIFVSISVGTFIYISTMETLTEE FSISQYKWEKYIIFLVAIAFVSSLWFIEQAVGGE >CAK66733 pep:novel supercontig:GCA_000165425.1:CT868047:106512:107612:-1 gene:GSPATT00035863001 transcript:CAK66733 MDTVEAALYVKLAYQSKIQLSNKYESEDNNFVTIIVFQRLISEINSIFLYAYNSNSSTLI KQQQFDVDQLKSKENGYYDAIKLFLLEILVNRIFVCLHFKNYGPDFLGEQKETIQHALRL LELPHPSCKAFIWIKNTDQANSSNGYLTIKHSNQHVILKYQQDNEKLEDYEKFTLNQLKK VDEIHEKFAKQLDQNEQYVKMYNIYSLIKDVTLIHDIPNSIYYLARLLVNLPKFTYQETD TMIFNLQLIQQNQYPKKYTKKIKATKLIKQVISTHLAPFKDEKNLHFPMRGLHSQKCKVC QKQNNQKVHKSSFICESCHKYYKINVTLCTIKCFKQFHLNPVYYLERSKLKQKVKIEE >CAK66734 pep:novel supercontig:GCA_000165425.1:CT868047:108541:109771:1 gene:GSPATT00035864001 transcript:CAK66734 MQNEIDQIHSPLLKNDRESEQRAQMIEMQVFRSLNQNDLEKHQKKDEILEIESNKLQQIL EAKSQWKTLLKGWKKDGNKQQQNDDNYFGFQYNKLLEIFDLNQIIKEAQSSLQKHDQEKD LIEKRLKIQIEKLNQLGGIYGLQNFLKTCIKQGLDDTNDYDLKMREKYFGKNEKPQIAIK SVLQIIIEQFQKERLFQLLLIITTINLIIYFHENVQIYSLYIIMLIIMVVYKGVIKQQYN KEIVQHQNDIKERSKHLIKRCNVLRNGDDSIQIHNSQLVVGDILIFKQGDFIQCDGIITK LSNCNGIEVLQPFPNLFNTHEVKNVDEVKDLQVMHDEQMQPNICLIDNFIFAGSQIIKGS LDNCQCVVLVQVVLFGGHKNRKIKNNLFEIEFYVQSFCFQN >CAK66735 pep:novel supercontig:GCA_000165425.1:CT868047:109779:111191:1 gene:GSPATT00035865001 transcript:CAK66735 MNKIGIQCIISLLILLIILLFRNTYLINITQILLYLSQLSIITIPSQLLQLINLFMIYVI KKCRQRSIYIQDYLSLYWLGQVNEIIIDKIDNKVSMFNKSQINIRYFTQSQLEDAQKIAI QTDLVKEDEVNDRYIEGNLLSSSFEQKNKFMFLSRRLVCPTLDHLKLERIQSSKTLEWLE QQKQKFQFQGIVDQQKLYEEIKNKNIIIGGNADRKEQLINLLKLYDKIIFIKGEENDGKA MEMAHVSIGPHESRSCIKLFNHLEQSDHSYISSMYECISQGRAVYFYILSYSYALACTSQ TIMIFAISSAILSDDIFIMPIPTFIIFYLTLNHFSHQIQKQCNQDVQTYVMHRSPYTKQG RIIDRHTMRKLYKLHSILIVELLIASYYGFFQSVQDIYIGLLFSKHVFIMVRPIKQVLQQ IEGIIYGLLINLINQFITYSNQDYQSISILLRNYSIGLIVVAFNLFVGYR >CAK66736 pep:novel supercontig:GCA_000165425.1:CT868047:111258:112198:-1 gene:GSPATT00035866001 transcript:CAK66736 MSNILRICPKCQINPATIQCIDCNEKMCYSCEQKVHQKLKQHRTDIIPYSQMKQIKSTQS IIGGISDHSNASQELKQELCELESIIETKKQFLAKQEEKWSTQIGSLEIQYEKKLKDFEK EIENNEDSLKNYYSSGNTTFQIADIKKQMETQMQQAWKELNDKKAKLVEKETLLKDMIQT EEFCSKEIAQKEKLMNQYKELLQQQQVERDLISEENQKIVKQLESIKNLCKKSLPEFGIN VDFLDKLSRMQKLKNTQQVDEQDEEYEEDNDNQEEIEDQEEGADQNQQLENEQQQEQK >CAK66737 pep:novel supercontig:GCA_000165425.1:CT868047:112305:112635:-1 gene:GSPATT00035867001 transcript:CAK66737 MEKNSQEKVLNVFENKQKDNYPLTNQISQDYEDDTHVYRIIRLGKESVKLMQDYKLLKEK EWRKLKVQQSRGWLHYAIFEKEPYVLLFKRKITKNKR >CAK66738 pep:novel supercontig:GCA_000165425.1:CT868047:112898:114205:1 gene:GSPATT00035868001 transcript:CAK66738 MQNKEVLQRVFDFYCKQQYIQGQFASFERQQYEASIFTIGKWMHFCRDFQVKINSSRLLE LFKKQAKNSKELDFDQFIQLLNVLAVEEGHDCGQYQANLGLDNWKVCVSKMKTFQRPFQM KEQSERIKDIKYQFKIYHPEVKNDEQIKQILLQRKQQNEQLKLIERKKKAFYKLQFELKH YTKSQLLIKYPTLKELIEKLPDPSKTKTLSSQTKEHDQSVNISQQMQSALTWENLNHFPL ATDFINDLMEQEGEDDDQYLKEYQEQRGLENSQKIAISKNRQPSNLDYRNERQQRSVSDH KQIIKGQRYDEQLHSMERQYDQNKMSKLKTTSNTSEGNNYTSLQYIDSSLIRQQILKQQR QQLQNHNYSVELPRQNLSQGYPEILIVKEKPTTSKLNISMLKRVQQLSGLEAIKEQNLLN KIISQQREKTKIAQK >CAK66739 pep:novel supercontig:GCA_000165425.1:CT868047:114264:115417:-1 gene:GSPATT00035869001 transcript:CAK66739 MYNNSRDPPSQILLLILTQLPPSFPLTNEFLHKKFNEFGDIKKILIFERGKTNKAFVEYH NLKSAISARKQLNGLNIQGGKMIIHYSRLKNLNLEIVDNSRGTDYTQASSNSQNSDSILH SKTDDNIRVNLTNHISQSSSSRANSSPVRNEDINRLLDYDDDDFDIWKQEIQLNLTDMHP EIQTLLRQKQSKLLRIASVDSKVTAKMIYNVFSKFGNIEEILYQKQQQKAYVKFQTFNQA TIAKEYLNNTQFFDSILRIYFEPLQPLQPTSLQDEYMIYYNENCTQKIMPLSPNLIITGV QDPTEISELIKMFAKIKDIKIHVNSLQLSMCSIADTLKIMAVFSDYEFKNQKLNIILK >CAK66740 pep:novel supercontig:GCA_000165425.1:CT868047:115810:118084:-1 gene:GSPATT00035870001 transcript:CAK66740 MNQIYHDSLINALKTQILVPITLDCRYLKEALSQISNEQQFLQIEDYFLNQDLIDGVNRR TINLMNECIKILRKYMFIGWNVTPKFIEDIVYEFIDRSVEEWKEPAFFVQRLPEIKVQLK GYYKTFQENAFELCDTLISELCDDYLNSAQLNALLNEILESQLKRVAYDLFEFAYLDTQL TNEFLNNLQKLFIDLVTDFFNYLIDVACHANYKMQQLDKLLKIYGSLANQENYKYMQKEI SQNIINYGEFTLIGQSFQVNQEKHSVIVKQAIKHFYYVMDECKQLFTGKQHKNFDELINN AQFNIIKPLPQNIQVIQDADDIPLMILCHEIKVIKSQKVQKNDLKGLKIVVKDEKNNKVQ QFILSLSYHYLMFHKYEQGWESFDEEKEQNILLVKFLNEQFDYLHCGHPEFRKRVASEKS IDRTADDVSGFKNAVFKFKKISAPKKPIRYGTSNRVIGGKALGMGIGVLIVDCLDEDIPW DDKLKNAGFTALSFGVLGILASRLPIVGIIIQQVILALAVKSILANKVINDSQTAKNLGH LGLIITIGVGMTVAGSILFPIAFWGAFFGGLLGGVGMGLYQKFLIPYYMDNIVEMMNKAS KLIRKNGAVRYKPYALQKLQIDEKFLGSHKPSNLKDDQYFTLLMFCLSNEIRLISTASYA KQLEKLKQKNAKEEEKADLLQRQCQIEVQLKIWTETYEYLKSNNVSLVDNAKSCAEFVDG MLTNYKVE >CAK66741 pep:novel supercontig:GCA_000165425.1:CT868047:118103:119757:-1 gene:GSPATT00035871001 transcript:CAK66741 MELMPKLQEIQKELQYYTRFFQENKLYHSSKWTGELLLGLTQEEDLQQSQFAMHFIQSNT DYNYQFIREFVPEYNDIILVARNLFDLREFKKCASLLQNLIHKNESAMFLYYYSQYMYGE LRKEEEIFENENSKTATNPELKLLERELSKLYNQKQLNQLNLYLYGLILKDTMRLREARE VFIQVLHQMPCFWSVWLELCKLLTEEDTLEELPNHWMKLFWSSNFNLEKFKNANCVEQFQ TLLYYFRNSNFIINQIANAYYNNQEFELSLEWFERLLSIDPYRFESLDTYSNILYIKENQ GELANLALQSFTNNKYVPETCCVVGNYYSLMNEHAKAINYFQRALKLDKDCLAAWTLMGH EYLEMKNVASAIQSYRNAVEIDPKDFRAWYGLGQTYALQGMNQYALYYFSRAVISRPKDA RMWNAMAECYDKMDKKNESMKCYERANQCKDKEGIAIHQLAKLYDAVGKTDKALSAFEES LKRKDEEQIVDKELSESLLYLARAFLRRGENERAMQMAKRLYDFNGPERDEANLIMSQLN K >CAK66742 pep:novel supercontig:GCA_000165425.1:CT868047:119794:121088:1 gene:GSPATT00035872001 transcript:CAK66742 MIIGAIKVKDGLFIGDELASKDLEFVIQNKVTRIVNCAAKQLPCVFANYGVTYLKFNWVE NEQQILFPNDTVNEIYQFIEQAHSNGESVLVHSVRGQSRSCCALAAYFMRKYKWKLYKTL EFLNSRRPDLEIRASFYHQLTQLESKLSKKGEGGLSSSWQPHEEQDLPLEEDENLLRNTF MNAKSSPADSCWLDRRLFNQYLNENRVRTITWADETLAKKSQKKIKQTNPKNAVKLNSQN NISGNIYHVTVNNYVTLKEELEKYNSSMNSRENNNTLIKPNSGTIKRQQNDSLKKHQEQK LKQTGKSQSLKQQKRDPTDRPRSAYVQPEMQPPVNIPKPAPFPYRQFSPVVKGNNVPKVT QLIPTNKNKGWRYPSPGQLKNDEDFIQSFINSKPVWK >CAK66743 pep:novel supercontig:GCA_000165425.1:CT868047:121164:124381:-1 gene:GSPATT00035873001 transcript:CAK66743 MNRSSHKRQRTSNTPKRQKEQDNNPIPSQQVAKQVRNDEAVQQLSVMENPKNQLRRQDSQ NFHLRSSQHLEKSYNLTDRIFSPARKQDQSPNLRKKENIKIIDSYEARYGKLSTENLQLQ NQIQADQQKYNKIINELEIQLSNQTYKLQTEQHNIKQQQLLLQKKNEEIQLLVNELKKNE TKFIQISQNNVQSVDENQNLKAYIQNLEYELQNLKQQVQDTHFKSARQIEETKIKVENDC SHQSQIQLKDIQQQHQQILSKKNDQLIQEQQKYSKLLGQFDNLVNEKNKMQLLIDDLQDE KLVIQQQLQQQNQMCEEFRLKNMSLHKEVQLVHDKQAKQELSHQKQIQELKQYYEQQQIN NLQRRLNETELKHSTENQQQDQIIRELQAQLQQSENNYQVMKTENAKLYAIDQEQEKEIK ILQQELERINKFKDLEIQQQQEDLQKLKQQLLEQSSKYQTKLHELSKQQVIANDIKSELE LQKNVTKEIEASIREQWETDIQELKGFNQQQLQNLEEQIKFLNLENEKLIIQSRKKDEQI NEYKLNQQLLDNSINGLKHQLSDTEKQKQKELEDYQFELENFKKELKEQNVKLQQEKQLM EQQLKQQKQRAKELETAKIELEFSSSQQIQNYQEQIKQKDWQISQFNSEKQKFESLLKSN KERLTQAEFQVVELKQSADIYLKELEESTQQRDLERSQFNQKFGFQETRISQYKQAINQY EIEIHNQNNQIDKAQKSLDHAMLESQKKIEESRKREQKLLDQIANLNLQLQSNEDQFIKY QEEHKESNKQIEQLLQEKDSLIKSLENQKEKLVEVKNELTEEIEQLNQKIQNYAEGENQR QDWEFQVENEKAVLNNEIEILQQKLDQKESKLNQIQQQFSNLEIQLQDKEVLYDSLRRQI DQNYVHVEDYEQLKQKNINLLNEIHELESSQLKSNSEKQSLRRQVEKLKSDLELKEQEFE QTFEQLNKRRGDNEDVAKLTKEIQRLNFELSDAQTLLQAKSEQLNIMRRENDDQLEQLNR MKRQLNQTSEKELIRRIDKSEHLEENL >CAK66744 pep:novel supercontig:GCA_000165425.1:CT868047:124496:126138:-1 gene:GSPATT00035874001 transcript:CAK66744 MKKGDALIKLKKYTQEEDCLFCQKYGRVTTDKINFAPLLNRVSDNQNGIQALYTKYSQSQ WNGRKYEDLFSTKPISWVIKFKDRLTLEDYDEFLKRFYPQNDQPMKFEQLLEYYKYHKDI PRMFMPRVSDLAIYFYEKKKQIEYRKIKFMLGIPVEDAKYEKLKEDIKVLNSITQQTQVS SLSVLREILKSKASDEIINIDATWMTMTQQQQQKSQYPPNLKLLKQLISKNTQFYKDKQN IYAAGISKRPVQKSPQQKGHFKTLSKDTSQKSLVSSNKLINSSNGDTDLQKFLKQQILTV NHANPCLFSSKQSGKANHISNYQSKQNSIHYITSTRSISSEQLKLIQSQQPLKQSNSPNL VIPTHKKSQTQTHYTEINSPNKHQKHLSMKMHTNMGQDAKQSLTHTKSSEKLFKIYTSQS SVPLSANINININQLNMNNLNIKTPFQQYKAMLESPKINSKQKTQSNIETQRITSAQKSA SSQKKTQVSVQQLYVQKIKPKSKTMKK >CAK66745 pep:novel supercontig:GCA_000165425.1:CT868047:126430:128672:-1 gene:GSPATT00035875001 transcript:CAK66745 MNSNKEDVLHKLEFKKIIKNSPKSNPEECLNPPSFSESFFLVNSIISYDNLKETKQDQIN QFLVENCEKWVETSESTQCIPHSRKKQIRKKLSQFSVLIQILLFMKYSIDFLNTIFNLFC GIYIPYLQLREEYQNISNTLYFLVFFHLLFIFIQNLYSYIQILKTSNTHNEQKFSLLNQI TLKNLTVISILLIYIIENVSQILLLISFYYFFMGFQRIEIFTILLIIKRKKFYKTLAISK AIIYYIYFLHLFSCFFEQSTESGDYLQKYKDSLIININFITFQANYQVQESTLNFEVIIN QIIALLLMLYTIDVLIQIRSNNGYIENQIQFDVYVLQYYFWNHKGQLLKKLKLYQQISNK ELTQKQLAQQEIIKKIYQSILKDNLKVLNIFSKQFTTNLSQKLKSIRKCKEKIKLDRYGL YLILEGKGYLRLGNQFKGQKEIDSKQFQFGLINCFQRNISDVELELDDHFLLLYISSEDF SQSLTLSQDFESFHLIKHKIIFEGETNLIEYRCWICNGYHQERRCSVIKVQMNFAIQNEN NVRSHLKKRFQSKSQRAYKTYVKFHFDIFKRLCKQNDSATIDQSDSSSSDSKDEFEVYAD EGSGSQRVTEKNIILTSKGNIQIPTIQKDGYVEYITDKLYEQLRSSHKNIQLQPSIYTSS QQIAQIIQPDFKSIPLNSSQYKFQELLSVDDFDCVKEYAYFYPEYNISYIISLIQK >CAK66746 pep:novel supercontig:GCA_000165425.1:CT868047:129504:129858:-1 gene:GSPATT00035876001 transcript:CAK66746 MNRRSTEAVKNKLLKQGTGRRPIIHLEITTEENARFMEKLNNQNIQIEIKEKFSYTLMLS IQNIKQKRLYMNLKIKATFLYHETDRNLQVITCPNAKIRQLEIIYSKSN >CAK66747 pep:novel supercontig:GCA_000165425.1:CT868047:130229:130811:-1 gene:GSPATT00035877001 transcript:CAK66747 MDFKTRFQGRTSTMDKNGVIGIVSNTSKPINKNSEPQIITTNMNANQNPPSYYYQKFQRN AQKVLQSETDVDLTPSTRSEYPSYKFRKDFQKLNLDEYEIVAIPKKVLEGEKHSMTHSHV PIMNKGINNMASSLIGQSQQMIKTQNYSRYLKNVNPVQNSNTFIQAGYGALKQKQLYLQN LRK >CAK66748 pep:novel supercontig:GCA_000165425.1:CT868047:131265:132862:-1 gene:GSPATT00035878001 transcript:CAK66748 MLQDKSLKERLKCKIEFGEDEEKPEIISLSVVDDAIKFEDRYEALQVLGQGAHAVVKLAR RKETNELYAVKVTRMNNEEIYNNVKRTFYNARCLRHQNIIQEIELFINEKYCSACLVMEY CPYPTLESILVQRKILREEETRQVIKQLLTAIQHIHNKGISHRDIKPDNILVNIEGDCEL KLLDFGVSRRFIQKSQHLEMLTKTGNIYYCAPEIYHQPHYSKEVDVWSVGVIMFQCMTGE LPLHSNSINDQIDLLKKPEQWNFKNRVKEESLSAQNLLSRLLSADPKKRITPMEALAHPF IEKNQIYTTIAMLSSTKIIEEDEGLANKCKSLQTSLSINQKQNLHRALKTLHLGLEYQDI VIEDLIYELGNIHVVQKRNGENYPGLIQLINSLGNSSGNNQIEANNSNGKCNLGIGSSQS ILSAENQVQDCFGDLCNIQSSVDLGNTGNYIQIEEKRRNPLQQFVNQIGGKVECSIDINL TDSIQETGSNNRQNQLISTLDLLGMKECDEEVENTM >CAK66749 pep:novel supercontig:GCA_000165425.1:CT868047:134019:134459:-1 gene:GSPATT00035879001 transcript:CAK66749 MDGNPKEWRIMYHGTNQGAVNSIIKNNLISGEKQHHQNDECINELGEKVKVGKGIYFSND IKVCIDNQYAKYTQVGEKQFAPIFMSRVNPKKIKQSKMMKSKQFFVVNNSEDVRPYRLLL HEKKNEKKNEKKKENENLNQNFCIQF >CAK66750 pep:novel supercontig:GCA_000165425.1:CT868047:134819:136202:-1 gene:GSPATT00035880001 transcript:CAK66750 MSVHTSPKSSFLSSARTRPQTAVTSPRRPQFQLSIPNDDQDQQLLINKYTAATSLTPRSL LKLQEKKVNVGARSENVSCNLRVTKEQAEIDPPEDYFEKEVQINQDEYEQFKKNFHRIVF DAFGNYIYTKPGPIDEEFKLQVSSALNTQKHTSSSLKKKLQIKTQSFQPKKTIENLVKST SEMAKMNPRRRAILEIKQKAENSLYTMINNLAKEKVLSESKALIRVSYTINAHLHRQIYK RKVPYYLQLAKNLWINQKWLRGRSLNCRVRMESLNFKNIQLLKEIKLPKQQLDELKRSTV EIEKFIPQFNIMTKRFENFQAEKFIDKYDYFENQNLILTKRVSDLELDNIQLEKQLLHLQ KDLEIKQQVSKHQYDMDFKSSSNKQDAPDQDYEQYKDIKYYKYIVIGLVNLKLYCLTKWM EVQEQIQLNLQKCCKIWKK >CAK66751 pep:novel supercontig:GCA_000165425.1:CT868047:136401:137635:1 gene:GSPATT00035881001 transcript:CAK66751 MSQHKIFAKQDVRKLYLDEQGNVDFNKIQARWDELKNIKVSLANKHYTQAVVEKVKTMSA EDQQRFLDIVIAGLTNDDSQVGISATRPEDYDVFLFYLEPIIREYHKIEGETKQEHDWNI PVGEYVLTKIDPALEKVSMRARVARNVVGYNLPSSMDKDERIKFENQMVTVFENFGIPGN YYSLTPGHKNFISDQKADELRKRHFLFIDMTSDNHLMSNGVASDWPFGRGIWISQDESKM VWVGEEDQLRIISIVQGNDLGKVDQSLHELLNGIEKSGLKFAEHPVYGIITTCPTNMGTG KRQSILGKFPNLSKAGTDEANLKDKAKSIGLQARGIGGEHSSVDQEGTADISPSARFGVT EAIVTKRLFEGLIVLYQIEKTTVPEKARNNCCTIF >CAK66752 pep:novel supercontig:GCA_000165425.1:CT868047:137674:139076:-1 gene:GSPATT00035882001 transcript:CAK66752 MEFEKQLNQHNDNCQILWKDIIIILLKRFQSFQLQQLDPSNSLGLEVKSISLGYDQKTLL ISTNAGDIVELVTKDAKININSKFAVSKTLMKSHCSPNKRSLNEIWGLAINPQDSDQYYT CGDDGTLRSWSISQKKMLNCIKTNLDVNGAEIKQDEVGELPDNTKGRCIAVSLDGISICV GFKDGTFRIYDKEFKQKYVNRLAKEWISDIKFSHDQSWIAIGSHDNSIYIYSFPDMKQRY KPLKKHSSYITHIDFSIDGNHLHSNCGGYELLFWELQTGKQLPNGANQLRDEKWLTWTTP YGWPVQGIWPDIQDGSDINAAVRSNKTYNEKDKPPDNYHLIATGDDNSQIKVFRYPCVKK ESAYILGKGHSSHITNIAWSMEDHYLFSIGGEDNSIFQWKISKLR >CAK66753 pep:novel supercontig:GCA_000165425.1:CT868047:139590:141268:-1 gene:GSPATT00035883001 transcript:CAK66753 MLPEYYEIDIYCFEVKSQASLAKTTSTLDLFELLTIKFLNMQGNSKDYQFFLPTFNKNIS IDGTTLNRILGDNEKCVFELKRMLQQDLQTTSQSTLIKQEKVVTELKDQFEKKEIFNQDL LLVCQQIYIQQEIRSKSIEEQIDILNKELKQLDNNKNLTNNQKQYLKHQYQQQLITKLKD YGKTKSIPHVKQNIEVSNPELALFVLKESLLRDQNRELLATSKQYSFGFDSIIEYYGQDQ YCLKVRNTNLDYDKIVNDNQLLQQHLLEFKQKLSSSLNISTDQIEILGVSKGSLKICFNI IRASRKWISQQFQNPEAKKFLYEYCNGNVDYVEYFHQANSQSKGVTLSFDDLNPSHNMSW DNFHEKKQRGPPYHRYDYYFPIGCYGFGLNVEKQVKEHGNDWLKMDGNPKEWRIMYHGTN QGAVNSIIKNNLISGEKQHHQNDECINELGEKVKVGKGIYFSNDIKVCIDNQYAKYTQVG EKQFAPIFMSRVNPKKIKQSKMMKSKQFFVVNNSEDVRPYRLLLHEKKNEKKNEKKKENE NLNQNFCIQF >CAK66754 pep:novel supercontig:GCA_000165425.1:CT868047:141628:142075:-1 gene:GSPATT00035884001 transcript:CAK66754 MTLRIELDKQQKKDTIEICNTLIEDINKTLPIEDESVRIMDFLGQEDDQQETGQWQEQVI RGADIKLDFNDQEIQYIPQKQQQRNLIEKEEFVDEGYLYKKEPQSKAWDYRYIRIRKGLC IGISIPIQERHKTNHPSKYG >CAK66755 pep:novel supercontig:GCA_000165425.1:CT868047:142278:142436:-1 gene:GSPATT00035885001 transcript:CAK66755 MTDLVGKSGNNLLIPLFSTTLLNEFIRKLDTDKDILFQELEKFIFESNFKQL >CAK66756 pep:novel supercontig:GCA_000165425.1:CT868047:142521:142874:1 gene:GSPATT00035886001 transcript:CAK66756 MSAYPTEIEYEYSFLTITGNLIKILGDFLWYYHAKPKCFTYGHLFRNREFVFINDFLNPT LILLQDNFAKPPNLGIVSLHEHNLYAISKPSFTILNRSTEVQNGSQML >CAK66757 pep:novel supercontig:GCA_000165425.1:CT868047:143007:143333:-1 gene:GSPATT00035887001 transcript:CAK66757 MSKSKESGYTFKLNMGDRLYHLIADSELECRKWQSALSKFIRTTKAINNPLKIKIKKNID PLIKFYEEETQLLARREKIQQNLESDMAAILTEEDADLNKFFNQLTHL >CAK66758 pep:novel supercontig:GCA_000165425.1:CT868047:143522:145889:1 gene:GSPATT00035888001 transcript:CAK66758 MFPSLNSIKKPKKSFNLLHLETQEQYFLSLKVALIPSTRSDEIRGNLHLCSRSLVFEPKS SQLPLMKMKYSNGLFMKVLKNFEPKSLGHLLSIRWGHQLMQEEIDQLDLASVLNQQKGEQ KSNELLEKLYNRQRKNIIQLACNCFFIKVDKLFIVNRNPISPYITETCDDNIVFTISKSN SKQTLIRFLKLYQGINQAEDEFTFINQTIQQTLNDSLLEHKQNVGSEGHKIEFIHKARLI KPEGVIFGLFSIQKEEILQFIPLINSPKGKIMQFMFTDIKYFIKYRYMFKNNGIEFWMYN KKRSYLFVFDDQNTQESVFKYFQKKATKRCLTALTKEKVAEEWTSGNMTNFDYLMALNTL ANRSFNDLSAYPVFPWIIAEYNEKEFSVNSPAFFRDLSKPMGALNKHRLQKYKAFYKDQL KDKKQDPKPYLYPTHYSSPGTVVYYMIRKIPEFVIKLQNGVFGPTDRIFRGIDSTWFTTL NLHADSKELIPEYLTTLYIRFYGLDSDFLVNCDKLELGITQDGEVIDDAVIPAWADNAVD FLAKMRIALESPYVQANLPQWIDLIFGNKSSGNEALLNDNLFYPYTYAENVNWDKCKTDI ERQALEIQVTEFGQVPLQLFQTAHPQRKLKIQGNLKQLEDEKRELKLINQNLQNKIQKLT QQIQQSQDKELVTQLQTQLAALQWENEKLKFNLETFKQEVMASKEVIQTFNSQFDQEEFD KNGFDFLNDDSLLDSSKKANQ >CAK66759 pep:novel supercontig:GCA_000165425.1:CT868047:147022:147619:1 gene:GSPATT00035889001 transcript:CAK66759 MGSCCQASQVARDQYVFPDGGVYTGELKDGIPHGVGAISFDNGQSFEGHFENGVKSGKGV YRWNDNSYYDGEFLNDAFNGYGEFYWSNGKWYKGQWVEGNMQGEGQFFSDGKTYKGEFEN DKRNGKGEQIWPNRQKYNGEWKNNKMHGKGQLTEPNGRVIEGEWVKGKKK >CAK66760 pep:novel supercontig:GCA_000165425.1:CT868047:147620:148704:1 gene:GSPATT00035890001 transcript:CAK66760 MGSVCQLINRTQGGTMCIQYTEQNPDYEIQGPLAEVKVMSATKIQACYRRYQARKQEKEI EESQAPLKHIAVPTEQVPEIVHQVVSKVLLQLGPFDYSKCSTLIAAKFLSAYQFLSNGGI YIGQWKNHKRNGRGKYMFPDGSLYEGFWVDDKANGYGRLIVTSGEYYIGEWKNDRNDGYG VYVHADKSRYEGFWKDDNKHGEGTETWEGTETWEDKPAVFKGNYNMGLKEGFGIYKWADG SIYEGDFKNNQFQGKGTYIWPDGSKQEGLWENNKMNGEGVMTWEDGRKYIGGFKDNDKDG YGELYWADGRIYKGQWQNGLQHGEGIYIDKNGKQIKGVWFEGHRK >CAK66761 pep:novel supercontig:GCA_000165425.1:CT868047:148760:149734:1 gene:GSPATT00035891001 transcript:CAK66761 MKIEQQDLRFRDSNNFQSIPQLQILVLGDGSTGKTSLLNILTQRKYPIKIKSDQSKIPIK TQGCDIKIAMRNYSNKKVLLKMIDLSGDKSQRQYLDVYISRLKLDAIIFCFDVTNLKTLD HLGKWIKRLTVKDAIDQEMEEFLDTDRSDFSLGSTTAVSDGQDSLLNYHNYNGIPMKRIL QTPFIFIGCKIDLIPSDNHIPLRQQVQKRIQQFYKRSNTVILLSTINPSVYSDQFNLLEN YITRIYQWDMIEDFFIQDQIQSKRIPIDESFWKETIQIKLQNKLQYLYVFVKHFCQKLLF KKMKRDD >CAK66762 pep:novel supercontig:GCA_000165425.1:CT868047:149968:151566:1 gene:GSPATT00035892001 transcript:CAK66762 MQDDRVLERSPQDTLRIPPRLSMMEKKCSGRFTFVKTQAEEQKEVVQNNMNRIKQQFRCQ LNHIINKPTQPLQMREEQLLELGRKLDIEITSSDVENIVPNTKEFFEEYEMHERLGEGCL GLVKRVVLKRTGQEFAVKIVATQDDEIIRNMIHEFKRLIKLSHANIVKAYKMYLDFDNGF QSESQAYVILELIKGKEMFEVINEAGHYSENDAKELFKQLLSAIEYMHRNGICHRDLKPN NILCLSDRKLIKVTDFNVSKFSDSYKEFGNLQDTEKIEMWTYTGTVAFSAPEIFTGEGYN QMVDMWSAGCILYSMLSGQLPFNADYLNDLIESIKLAKYEFPEEIFQEVSSEAKDLIQQL LQKDPGLRPHPDEALNHPWFAESIVIRKSLRHLTINKNISHLSSRNSNKLRQRTILLQRG YYGGSSDGDEGCTTVQEMKVKGAARKSLFNTALAPMELLSDNKCLKVQNAVLRKSNTTHI HDFTQNDEQ >CAK66763 pep:novel supercontig:GCA_000165425.1:CT868047:151683:152121:1 gene:GSPATT00035893001 transcript:CAK66763 MQNKSVFDKLGGQANVDAAVVKFYEKVLSDPSVSHYFKNTDMKKQTEMQQKFLGMAFGGP NHYTGRDMKAGHAGLGITTAAFDTIVKHLGNTLKEMGVPAEVIAEAAAVAETTRADIVEI K >CAK66764 pep:novel supercontig:GCA_000165425.1:CT868047:153081:153468:1 gene:GSPATT00035894001 transcript:CAK66764 MGQVLLDLRIGFHKCQICLICLKLKVSTLILQIKLVLLQGQIIFPLHLDYAKEKVIKIGL RMSLMVWQEYTHMCMLGILKQERNAVFILKGAKGGDGKNLDGFIIKVIGHVSGWQFYKED VE >CAK66765 pep:novel supercontig:GCA_000165425.1:CT868047:153468:154002:-1 gene:GSPATT00035895001 transcript:CAK66765 MSWDAYVTNLTANGALEYAAIIGTDGNIWASNFGVAALPSYQAEVPDEKNPDITTKVAYD EKAAFIHALAHKGETGNPAGVRINNQKYYTIQFDGENKSWYLKKNKGGACVAWTNSAVVF ASFSQTINAENGAPQNASDCNKRVLDMAKYLADSGY >CAK66766 pep:novel supercontig:GCA_000165425.1:CT868047:154414:155238:1 gene:GSPATT00035896001 transcript:CAK66766 MESSSRIEKRLTSRTSVNHNQFSFEIDRYRNDMLRNISVNNSSLQIQQLEKVFRRTLQNQ NSVYIKGQYRHLKQFTQIIFIKPLVFITIKLKIKYIPMQDQLTKIVTQVQSVEEAEG >CAK66767 pep:novel supercontig:GCA_000165425.1:CT868047:155395:156586:1 gene:GSPATT00035897001 transcript:CAK66767 MLSDQIKQVLSTSSSSFLAPRFSRKTTLLKKKNKALSSCSIEDIATYTQQKSSQQPSSKQ QIVRSESQHNPLTPVATPQQSNSVSCQTNTSLFDEQLPDIPASDPIWLEIIPQEVLQGAS VEQLLEDNKDYFYYLLGLYLQEQMHGDFNMNKFMLPTKWQSQYGRDFPLKLKERQEIIKN TVYDDYKSPQTSEFSKTSYKSHFSAYPPKDYNPKLERRKYEKSELQFATLTTYKVSQIPQ QANHFDFQTNFKPEKVTASKHLTTGGLSLSSQSLYKTEYQWPQPIEQPTNCKNIYSKLNP IQSSGIFFDKQRDVYSQFPVDPICKQTPYSQTPTYQKQYVSTTKNDFQGSRLGEVTQMKN WRQSVIRRMNQLRKQSQI >CAK66768 pep:novel supercontig:GCA_000165425.1:CT868047:156597:157164:-1 gene:GSPATT00035898001 transcript:CAK66768 MNKNQSAHYITNNQSYGDGWLLHNGQQQVDMTSCPVPTYAEIVRQMQDFRRAEKLLKNKL VAQTIGLELSQPISEEEKQRRKLDNKVPSKKFHVTEQEYKAPPQGINVGLPIYETSNMQY GQLNPTSFELIEKYYPRDARFTQAFLGDTFKFDGLNTSTAFSKVHKKLDEY >CAK66769 pep:novel supercontig:GCA_000165425.1:CT868047:157172:158605:1 gene:GSPATT00035899001 transcript:CAK66769 MKHHAKLLILCPFNSIGYQLLDQKIEEYLKTNQEEIVLYLVGTPITYLKVYEQILFHLYG RTQHYPTKFNVIFDLFNKEFRNKYQFDLVINLGTHLEGDFNLHFKLNHEIQKIHFSQEGH KVNQYKRGANGGTFDHLHIGHKVLLSLSLLAVSEHLTIGITGEMLQQKKKLKGFLQSYNT RLRCVQEFCSMFRPDIDLYFSELTEPAGPTRNGQYEILITTKECQNSLEYINNLRKQENL NELEGYIIGMIENENVQGEAKISSTQYREQISERNRLTEYEYLELKTEWLSISDNAYWFE SILVDYYSQPQRHYHTLRHIYDMLRQLKGTKNIRNVKLATFFHDAIYYPKIHDNEEKSCL LFQQFAKECKIDSEVVSIFILQTKSHQTDVPFLPEDDLSDLMIFLDADMSILESDAYPIY AQDIRKEYSHFPDKEYKTGRIAVLQKFLESKIYNLRSEEKARKNIQEEIEILQNWVV >CAK66770 pep:novel supercontig:GCA_000165425.1:CT868047:158633:160023:1 gene:GSPATT00035900001 transcript:CAK66770 MIKFQKLDQPLASLETVKVTSPQNNRFFIVHPSPIEIQTQTQNFFCGSSELLRQIPPHDK GPKLDSKGQIIRHTLVGSVQQFTLEKQRRQSTGKKPQVKLQTQLQPEIEVPPMINNSYTK LTEFMSSKDLVKKSPNKVKSKEYQLIQLNEEQVQQEIVDVERRVKINQAETAKLNQEIKQ QLNKGDQLKMDAFIRAMTNYENTQTDWEQTKIKVSSRISRKTGQSMNDKIEQFRVKQEVK QLLDHITPIDQKLGNDYWKQGLRSQESTLKRRNPYKYIDKLEEDPNYQIDKKPPVIEIIR NQNSSAKGFRPYSSFTSRFYLDRKLKENEELVKKLIPQNLDEIDQLSLTGQNVMKQEINS VRNPRDSFVKITGSLANSQGGFKTYFKKVPIKQEHQQPDEVIVQNYDKKKMLNTGQFGSL KGYF >CAK66771 pep:novel supercontig:GCA_000165425.1:CT868047:160649:162893:-1 gene:GSPATT00035901001 transcript:CAK66771 MLNSSPHMKSDKLYTQSPQYKKQYPQYIDTNGSVRNSFTAKSIKENRQNTDPQIFNEELE YYKNRSADLEIYSRQLKNELDAMMIRTSKQGTLDDKTEYILHQNQMLNQDVDKLQKGYAQ KKTECELWKSKYEQQLNSAVQLKAQYELDLKKLSNELKILEERNNLLEKERSQEVEATKT TFSIQSEQQKHSYLTQIDLLQNQVRKLREYADMRDKEMDGLELKFNQILQEKEYFESQLL KENDILRNRLQDQETEFSLDVNSLRQKQDIIYQGQIENLKKQYLTQQEIMDSEIEKLKGL LDIKNTEIETLLLQNKRMRANFEEETQTIRSQFEILEQKMVRNEQKNLEDQQNAERHLSN SHDRNMEKQKSDFNNQIKILEGQINHQKNQLLEQQNQLNELQKYSQQLLQNNQKDQEKAQ SIINNLKKDILQQSEEYQGQIQKNYRDYEQQKQELINYNQQQSALMNQLSQQIQSLNQII ELKERQYETTLTQFKQLNNQSQNKLNQVTNENEQIKVQTQKYIEELEEQIALEKELNAEQ EQKLISLQSTLQREKMNAERQIKNLSNQSREKDQTIEQLRIQIQAKELNLHKLQSELQDT TNTLSNLLEEEKHKTEQEVQSLQISQNEELANTKKKLSQKENTLKSELVQLKSELQTQQT LNSELNKKLELYGQQIESVVNNSSVIKQYQVLSEINLTNRLQN >CAK66772 pep:novel supercontig:GCA_000165425.1:CT868047:163530:163901:-1 gene:GSPATT00035902001 transcript:CAK66772 MKHFIVFLIMFIIINCQGQSLEQNIRQRYQKKQLIHQTIFTQNQYQTRLLQQDQDDLVEH QQKIQDGEMVEQHDDQRIKVKKIVKKRRTILKPIPAPYNEKEIHRRKRKVHKKVQKCKKI IQD >CAK66773 pep:novel supercontig:GCA_000165425.1:CT868047:165078:166304:-1 gene:GSPATT00035903001 transcript:CAK66773 MCAYSEDNNRENSNEPHRKQENNKKIQEYPDDWPMNPSPRFTPMQYSQLFPHIPPHFDLE NKGITAFQSGFNDYDPQKYLNSDKKGQSSQAFLKYSLGEVPHFDQMDDDPHRNPYPFGFY PMKYPPQPMMMKYPSGQQFMVQQWYQPRPPQQMMPPYCYYPPMYDGLQCQNTVSKELQSK VYQLVQYQKVSDFQCNCKKSKCLKLYCECFANNGVCSQSCNCQECKNRIDNPQERSKAIE EALLRNSDAFAQCFTTKGAPQFVQQGIFNFIQDKPIKEPSKDNSSVVHKGCNCKKSGCKK KYCECYSQNLKCNDLCKCEHCLNKTDAQIQASQEQGQESLYNLNKSSQKQKKVKLEKNQE GSDSPLVQLEINLKKNNQNKMKKQENN >CAK66774 pep:novel supercontig:GCA_000165425.1:CT868047:167037:170317:-1 gene:GSPATT00035904001 transcript:CAK66774 MGICSSKQRENLLTDIDSIPLLTRGQLGFDVIIENIKVKMDDIQSCKVVMIISHFSTFET EVEKENQGQFYFKYIHKFQLQTTEEQLKQKFVVVEIQNENGEQISAFKMNLYQIATGPFH IDYEFSQAGSRKHGKVSLDFKMAQILVVRFIPKLIDFNLKEPLSHNEYCFQLRMLTSQLQ FHSEYSPAFQNQLLHKPQSLQSNKQIVWNDSKVEMEVEVPLIEITSSSIQISLWHIIKDG KKEIECEAYVNLNYALTQKTECIIEKGIKSYKIDWKQNNRRVWNHGVQEGMLNYHLEIIL PFHLKQQIVGVRTDKGVSQGTRVINQAKASIKEIQQLSSAGQGLLDLQYIILSKSEPDQN LITQQNDYINQIVENLKLSHKQSMVCFEYKTQQDLFNSQKLLIDLAIRLLETADQQQEQL REQYYAILKLLMNRGELSLSQLGFSDQTQKTDEKLFRFKMDIGLSYQVFLQKGLSTILEK LKQKSLAQNERSFVENFFANAYFRVPEFRNKIITSVQRAEDEQIPEWRSLTKSSGGISNL EFNDIFDWNKHFYEYLMKDPKYYANNHKMNETINQTLWQKRLGKRGIAFFLFLKEWCDLL NTYIVNSKNVPYHQLPGYFQLVKAFFLELKSREICNYPEALKIALCYLLRNTNLLNTIIV ILFNKVSLYNSDNVVHCLDLLNKCFQKISQFNLSMPSFLDQKYFLKGIRIILSQSEHALI IAKCLELIYTNYLLFPVDLKKELTDMIFENLAFKFFIHWSYNVRLIFHCFLIYRIFHLHK PIKDREFNEEDLIEKYQEQLKPKKQHSYFDQRNSSKQIISEYIYLKYTRLMQQIEEGKHL SKQKLSYFNLESNTRIEELKFKLRKLQSRIQNREKDDSFSQRSFKIIPDNQCDGTILPLQ SIEQNQEKSVLYKGQLPKQKIILSEAQLKYLIKACFEYDDQISQYQKWRQSNLPDNYNTL TEEQILPVIESFVVPVVRILELKDENEGNLMQKDDW >CAK66775 pep:novel supercontig:GCA_000165425.1:CT868047:172047:172947:-1 gene:GSPATT00035905001 transcript:CAK66775 MNQNKQQLVHLYQLWPSNNRFLCRGRLMTGPSADHTTNLITWILILLIGAPFIVYISPQI WIVLHPSLPIISYVMYFSCVLFLFLTQFTDPGIIPRKDIIEKMKDENLLHLIPTEADNSN YNIRICITCMIKKPPRSNHCAECDNCVDVFDHHCPFVNNCIGKRNYAYFISFISTLTMAA ISFGIEFLCFVILIATNDEKVQQILIIILMVPFGICILLVFGLLVFHIFLIITGKTTKEQ LKNIEMDSTKRKCERTKSLFNCRLIVEKQKYDQIRYDYELVDVNPIIIPA >CAK66776 pep:novel supercontig:GCA_000165425.1:CT868047:172947:173994:-1 gene:GSPATT00035906001 transcript:CAK66776 MITKLKQLINDNSAGQANNPILAALKDLKLNSNDTQFTQQAEISKRLKHFFANDQSKSQF LKQLLVVVGEYLQQEKNFFIKLKMLLLVHIIISSQVARAEFSKMIINTRLIINIKSKDDS ENVYGQLCQSYYCYIYKLAAQTTLINEEFNKPQEDLMIYFTLSNQCYIGMSMQHLIERIS QDHETNPVMAHLVKFLYFDIQDIYIFILKDVKYLIEKNPSLIGNKQQLLELYKECQSLQT KMLSFYKFNRFFPHFNQIMPPHSIQIKASVLNAIVEEKPLMKQSSIKSGPHEIREPLSVK NSDRKLQEYNRPFSPKQKMH >CAK66777 pep:novel supercontig:GCA_000165425.1:CT868047:174844:175425:-1 gene:GSPATT00035907001 transcript:CAK66777 MFIQAQIPRTRLAITPTNVRSFCLDSTPNRSQLNVPQRFDEKQLYGQVNDRQKLIIHSSK ENLTRIPGNSFCQSSSLKELQNQISELIQQRDTMQYTLQEAIRKSNIMEQQLEQLQNKKI EQAMQFKINIDQFQEQINILAKKLQDLINYNDQLQQQFQSQQFYIEELEFQENDQFNITH NFGQI >CAK66778 pep:novel supercontig:GCA_000165425.1:CT868047:175469:177234:-1 gene:GSPATT00035908001 transcript:CAK66778 MFSSESMQEHILYDKIYQYLWVKSETANPPAILIPDTVILIRSMPIYWYYTDKETGEIKK KMRKNVTKQNIKQTWNQQVGRGGVVAYLLHFIDNLDLNYPPEKFQISGQIQVIYFDVEGF NKFMDGHFELPFGILQQFIEPADDRNCKQAIHYFIAQIQAFWSKSVTLFTKRVCKKSFTN KSMNIYERHCTFEGPEYFSEATQVKDFQSQRISEQIDKMISHLDAISYGKLNISQGTFYF KVDKKARCWFLFCGNLKFEDDKHLKNLPKDLYTQSQIKIPKSIDNLMSVYNSRPLQLNRE HKCIRCGQLEKGSNFIEVPYHFLIDLPENPLPVEKWPLEIKKSAKQVKLSGANQEKVAYV NTISQIPMTFQKLHEQINIQNYQEFKQSQGFKYKTIAVCLDCYFVMVENQEKMAMQEVTS KEKTSIPRVRSKQFQRKEQNLNQFLNSTQLFKQVKSVKIFKMKEAPTQTPTPKQNTIQKY VNLRLNNCKSITPIKYSIEMPSTADYLSRKTKSTYQDLSTTYKFKNSRENYNQSLQDRQQ NRLIEIKDEQE >CAK66779 pep:novel supercontig:GCA_000165425.1:CT868047:177383:178859:1 gene:GSPATT00035909001 transcript:CAK66779 MNHSHSRSRSRSREKQLRPQETCQHNLQQIKKVFMDGRPNTNPEDVRKYRQTNRIFIKST HNFVPDPILRFEDVYCFPKPLQELIVQAGFPGPTPIQAQSWSIGLTGHDLIGIAQTGSGK TLAFLLPAIIHILAQLKQNADPQCLIMAPTRELTHQIYEQFTKFSVGSGLKAACLFGGQE KFIQKNQLNQHPHILIACPGRLIDLVESGSTTLKGVTFLVLDEADRMLDMGFEPSIRKIV AQTRAERQTMLFSATWPKEVQSLALDFCTQQPIHIQIGSLDLTSNRQIQQKVVILSKEQK EDKLKEILKSLGTRKIIIFCQTKIKCDQLQLYLIQDGLRCKSLHGDKRQSERDFVMNSFK KGDTTVLVATDVASRGLDIKDIEFVINFDMPKMIEDYVHRIGRTGRAGAQGVSISLFDSY EDAKLAGDLVGVLRESQNEVPGELSRLANVNNQGYTNYRKWNAPSGRIQYCS >CAK66780 pep:novel supercontig:GCA_000165425.1:CT868047:178952:179266:1 gene:GSPATT00035910001 transcript:CAK66780 MNRFKSQSKLPPKLRTQPIDPRVSYYEIKLQELSSEPSQKYKMQQSISLTHEGFVRQRKT ISDYNKLPTPLPKIQNTRKYNEEYRNIFSQRNLTRLVGGLQQLL >CAK66781 pep:novel supercontig:GCA_000165425.1:CT868047:180598:181008:-1 gene:GSPATT00035911001 transcript:CAK66781 MKSNKLKPIYASSTQNLAKTLYKLRKQEEELNDMIQLTELCDQAVMNNRALNKILRSIYT NKVETENTSRPRTVTTQHSFRITRDQTGFHNSSSRSPSNKSYTPKHTCSSLNKQRVPIKR IGNMDQCFTTTQLYFA >CAK66782 pep:novel supercontig:GCA_000165425.1:CT868047:181138:182468:-1 gene:GSPATT00035912001 transcript:CAK66782 MGTCQTNCCNKDQEYGLRTHQKSEINVDEGNPDMEDSKGKLSHHSIQKQRDKFEEDRMHE QQELEKKKLKNKEDQILNNAKVSQNENEKNKQKKESASNQTDKQTPTPNSKLKHFEDDGP ITKYDLQEKPNKNSVFQKKKNKNLEFNQKVQKAEQDDTLSDVRIKLAAKTLDKGAVYEGE WLKGKRDGQGKQIWPDGSIYEGQWVEGRCCGKGKLIHADGDVYEGEWLDDKAHGVGVYQH VNGARYEGQWFNDKQSGKGIETWPDGARYEGEYQEGRKEGHGILYFADGSKYTGFFANNE IHGYGVYEWSDGRVYKGNWKQNKMNGVGEIKWSDGRQFIGNYQDDLKHGRGQFLWPDGRR YIGNWVEGKQQGYGAYYLPNQPPKYGIWADGKRTQWLDPDQVEQLKSKQELDF >CAK66783 pep:novel supercontig:GCA_000165425.1:CT868047:182485:182814:-1 gene:GSPATT00035913001 transcript:CAK66783 MNQTKHFQDCEKTMKDWQLWAENQQILNPEELPPLHFTPKDQKNKSAFKLFKLDHIVEVK KQHPEYGFKERLETLKHMWRNLSKDEKFVYVQKSKTIKILN >CAK66784 pep:novel supercontig:GCA_000165425.1:CT868047:182821:184773:1 gene:GSPATT00035914001 transcript:CAK66784 MQQLFWKKIEIDSKKHGRPPKLEECVNLVYLIDQNRYLYFGGKNPFNIKDLQQEEKQPSP PKSDGISFKIGAPKQTRVSSPFSNQECQDYVYILDPATYSWSIKKCTGKPPTRRTSAQVW YEAPLLYVYGGITYENKTVSDLYILNTEKFVWKRFFYLEGPPGRLHFGFSTQGMKKYLMG GASMPENLLMDDVWQLSFENVAWETQSLELPGITWEKLPFDDMPAIKAHTMIQVSDKELL VYGGFNKQKQCQDRCLLLDIETYDISQLELKGQSPGQRAFHELLVVKENLLCLYGGYNVN ENQLKNSDPLNDLYLLNLNEGYWSKPIAGGYIPIPRFGYVMSCNQNEVHGEIMLLGGRLN DGTVDQSIYVLHELDMEAREAWDEKDEKEKNKYDTYRELKKESKNVTVPTMTLVFDEAEK IILEQKRTIGEKEKDLKQLQQNSHQLEERAEKLQEQIDIGKLKMDQDLENTRIEITELNQ KADQSLSTIDKIMQLLAFERKKRKLVYRKALALEDLYRKTSLYIFEMDKVFVKGQSENLL ETSINEDILNLIDQRKQEYKNILISFHKSFEERYKQEMQVRQQIRSNKDILKQFHNINEV YKKTLLKEDREYLDKKI >CAK66785 pep:novel supercontig:GCA_000165425.1:CT868047:184790:186690:-1 gene:GSPATT00035915001 transcript:CAK66785 MKPHNYSSCELAEHESEFLNIVCIDHECKNRRLLCSYCQQDHQSCKRIPLNRFIKMYKDQ IDTKNLETLKQQNLELSLHLDSIEATLIESQKFFYNTFYQIKQAIQHAKQSLHQMTHSGS SLLNYCFILQQFESEPSHKNFISLLSQIESFMPNSDLFSFTIKKIDPSQQLSLSDESITN MKIDVQQFQSATRKFTKLFQQLHDTLQKSISSIFPKDLIESENDTQHFNKVQPLFNEQQV LSLMQIMDDGMPQQQKLSNTNTFISNMPHQIVPPQNDIQQEKETTLIFSEEKKFQLMLGG LNFTKMLYMNQYIICGIVGSKFSMFDISSKDQNLQGIVTIQNDAEFTDLAYFNTYEQLGY IYLASKKGNIFKLITEGERNIKIKEGLSRSHSIDKPGILSIQVSPVEEQLYSIGQEMIAK VWCLKTMKELKRIQLQEPATAFHVDWKCLFIGGNNQIQIWNQTTGDLTKMEGLEQTVNKI LTNESKLFVGLADKIKIYDKKGEGELLLFKDVSFGQICMLSIIKTWPILVISYIDKQVEQ VGLYDFTETKPPQLLVEQSASSCCAVYEEQDVGNYLALVQKMGLCLTYKMEQELNNDI >CAK66786 pep:novel supercontig:GCA_000165425.1:CT868047:186714:187771:-1 gene:GSPATT00035916001 transcript:CAK66786 MESSKQTQCRSKRTLNKTLSKNYATKIIDLLSSNSQHCHFGSIPKSESPISRMRILRSLR IRTQENEQNRVSKSFDLKVVHHSRKQQRRKSGIEPLSEKQMHLNCLYPKTCVQQQQILLL EIQKTKERKKTLFKRMSSILIKHQTTLRNIGNQNSINNQRNSEIQLLMLQQSQQPIEEPV LLQSQEVKKTKIRQSVIEPEQPKVVLNISRKSKKPFASIQSYVNEKTEKTLQSKELTNSN PFLSYMSCQSLTEMNGQLHSNSPLRKQISPKVVCPPLPEIKLVSSARNYTNANDINFLKQ KFKNNIYYANQQRKKRIETKA >CAK66787 pep:novel supercontig:GCA_000165425.1:CT868047:188502:189605:-1 gene:GSPATT00035917001 transcript:CAK66787 MSFLHIQSYQQSTEESSHPLNSKLNFVQIQAIDLKPYKKKWRLLFNNVPSPKILESIYYD LQGQTIEDRETKEMIFLQCILLNMRAPLNLKLNEEQWIHISELMPTYKTPLKWSQICQQF VHQSAYNNPWSENEDKLLLDIILSFQRMKKGNKWSKIARELNERSLNKFIRTPKQCRERW GNKLDPSINRQDLNLIMFRNEWTDQEDLNFLQLLLQHGRRWAELSIRLSPITNNQKRTEF SLKHRFKKLISSTNSAESRSINGTKYAISSDWNNKEINKLLGKISQLEMKTNQREVENFY YHPLTKQIKLNDFNKLVIIRGSSKVELDLSILFNQTNSQLND >CAK66788 pep:novel supercontig:GCA_000165425.1:CT868047:189733:190738:-1 gene:GSPATT00035918001 transcript:CAK66788 MNSSQSSFTDLNNWALFYQNLQLIEPEKLSSKLKLHFKTIPDFNDFIKLYESSKIGSNDT WSVNEELFLQLIVLSLNPRCIKNRFDWEKVQKLMPKHRSLSELCFKFQSFYKALLPRQPW SCFEDKTLLQIILDNPSRCKKKWSAISNQYNLICKSEIQRNAKQCRERWNNKLDPQINRE PWSKSEELNFLQLLLQNGRKWAEISIKLSMITKYRRRTEFALKHKFKQLKKYYGQKAKRS SDVQICPYWSTMEAEMIGYKIELLQEKTRKCQTQEYSFCEFLGSDHEFCLVIIKNGCLIK L >CAK66789 pep:novel supercontig:GCA_000165425.1:CT868047:191118:191506:-1 gene:GSPATT00035919001 transcript:CAK66789 MSAFLKKLKLTGQNLLRSNILIEIRFRVSAPNQIGEERSQELVKENAQIIFTKYLQKMTK IYIIDQGDCEVIKDNRIKRQESYKLRDSGKLNQKMYQIGIQMQDKKNFTSGVSKLKSNIL K >CAK66790 pep:novel supercontig:GCA_000165425.1:CT868047:191976:192281:1 gene:GSPATT00035920001 transcript:CAK66790 MTNCIGPKVKFELLQNKKTQFPYTYDYEYQDSMQQLVEKRVSFADSSIVFLHYSEEEIVN FRQRLRAQLQQEEIGPVSLNPSLYDSSLQNKTRSCFKPVEN >CAK66791 pep:novel supercontig:GCA_000165425.1:CT868047:192355:194583:-1 gene:GSPATT00035921001 transcript:CAK66791 MNGLFPLLFEIDSKQEELSKQNQESQSTLSEEQNINYQQDDPPIQLSDTHKVFRKNQAFS NFIKHHTSPPFQVEKGVTIKMIKHHIQEQNRDENMKNVKSVQFNLLNNCIYAIVKHPNEY LSQKEKFNLSRRIQKYAIQNRFDSTSEKDEDQIEEQHSIIEIVVNELAQQPRSILKKQMQ EFKHEADDEGDYFQNKGLTSREIRNLEKKVKEHDYGVGLQLLQKLGFKYGEGLGINRQGI LEPVIPVKKQVFTGSLQNHNKVNEEDLIWQYDNQKENKNARKLHKLWRKRNQIDIQQDQE PAIIQSKVNADLGYLGEVSELTQSVQHLLLTKQMNEQQNISIENKKEKTLNKLEKHMEIT KKNQSFKKYLLFFQEDDIKIQDQSFTLFQKFSDCFNKHPDLFIQFNLIDVLIQQGGQEIA QISKSWEGPKTDILENEFKLIYKIVNLGKDVIIYLKSQAISSNHQDSIFDDNMMAATNII NLQNIIDILIHPISQQLEYYICTHYDPKKENLLFEMLKLWSTDIVSIESDQIEERIQLFN SKTISKLSNLVIPKLKSTISNWNNRDNSIQLHKVLLPWIDSQFSNQDLSDQLIDKLMDID LGDQPDFGFSILSPWSKLQGTQWNLILEKQIITKMGRFIKDLDVQSNFELANRILYYFDY IQDKIEVLFQPLIDKLNQHVRSRILENRNCKDLEVHKEIFQYIFVNYLNRWLNDWELILQ EKVLNKVQVLSKNFNLIKRMLV >CAK66792 pep:novel supercontig:GCA_000165425.1:CT868047:194784:195480:1 gene:GSPATT00035922001 transcript:CAK66792 MKRKLQKLSLFKEIDEFNQRDSDGHLSDDSSTSDDFLNSTFEAYESQIFISSNQTPIHSE QLQFIQGVFDKSEESEQSFYFNITNIEGDNRTTLMMRNIPQNYTKEMLIMEIDPKFKNKF DYFNLPFDGTANPGYAFINLKSKSYLKDFYNYFNGRKWKTNPHNKPCYLKYAKIQHKKFK QINPQIYLQQSSVIKLIQSQKLQCSL >CAK66793 pep:novel supercontig:GCA_000165425.1:CT868047:195506:195831:-1 gene:GSPATT00035923001 transcript:CAK66793 MQNKEINRQDVLKIDKFLRREKIFKNEKKLNELHANYYQGIQLNKKELHQEAIKLLDYKV THPDDLEIYISSSSITNKPLVYKHPLWLQEK >CAK66794 pep:novel supercontig:GCA_000165425.1:CT868047:196328:197622:-1 gene:GSPATT00035924001 transcript:CAK66794 MRIVLLVLLSMALCKMGTDPKIVLAEIDDHHMGKTFLNAIQISLATGSPVHEIQSYINNI RFMLEQEQKDADLYIQSTQASCNRLLHDFSTNLAYHQSQLKAHQKIVEENTNNLQRSLNK IAEVSVEIEENTKNTNAGQSERDLQYAEFQSKIKVHTEAIAAIDEAYALIEHLSSGSSFI QVKGRFNKVLQRLQNQSTGLLFQPILTMMTQLSSKSDSDTAKKVLQLLANLRVQIVESKG NDESIEKQQSLNWQQFLADLTNEKNTLSDQRQNLEQAILNYQSIIEESEGKVEYHAAEVE RNQSNLEGQDQWCRQQQDIYQMETQSRVQSQDLISRISDHIQDKIVTLKEYLRERLQLN >CAK66795 pep:novel supercontig:GCA_000165425.1:CT868047:198287:199005:1 gene:GSPATT00035925001 transcript:CAK66795 MRTVILIVILIGIVQSAQHLRQKQSHILNGQKLALIEKQVQYIDDDVELNGQQEIQQEGF QEINVHEQMQVQIEEEAVIQIDEEQTLTAEQMEELDRKEQEEYERMKRQTLEEQHLNDED TYMQSQQNESKKDNQYDPESEMPVDVVEHQLTPVIQKDPIPDQKGVISPFAPADNSLPYS SYDSESGFEVKESDIKMQGSISPNDLDQYLQEQYQKEMEQFANVPM >CAK66796 pep:novel supercontig:GCA_000165425.1:CT868047:199772:200964:1 gene:GSPATT00035926001 transcript:CAK66796 MSGYDINLFQPKPEHHYICLVCNKIVKDPQECGQCGQMYCNVCVTQKPTCKSPSCQKVMP QQYNKIQGAMLKAYKNLTLSCPNVSCKKIFTIVDFEQHQTECVKSKCQNYDVCNEFITQE KNISSLYCSVICECTDQLHNNAGVSKKQFEIIKQYVTQLSNSPSQIQSIQTQNIQQQPIV MGNGGIVFKWDKNKCGQSIQLSNDNASLFLKENSHIFRSVLGDIPFERGIHYWEIEGDSR TENELKIGVVVGNNINLNAAFCDFPQGFAYYGLSQLRNGQTNSGQSYGKKFKNEGVLGVC LNMNNGTLSFQLNNDYMGIAFRSELLKKGPIYAAVAVLHCGGCTVRSGKPVPKYME >CAK66797 pep:novel supercontig:GCA_000165425.1:CT868047:202274:202672:1 gene:GSPATT00035927001 transcript:CAK66797 MDAEVTIFDKIVQGQIIANIIYEDNLCLAFHDVIPQSPVHILLIPKQRNGLTQLSKAQEH NKEVLGHLLFTVSKIVELVNEFNRGFRVVINDGENGGQGVWHLHLHIIGGEQLTWPPGSI GSSKK >CAK66798 pep:novel supercontig:GCA_000165425.1:CT868047:202674:203167:-1 gene:GSPATT00035928001 transcript:CAK66798 MEKRFYKTCSKHAYYQYINRFDPKLLATYQTGYYHGIGRQGLFKTLVLRQAGDSIGMEED HSYTYKMHVMAMNLMYRSGWVLFAYILIWNTFLLGDPCQVFNTSYWDLACKPSGDMDYNT RYEMLYVQDRVLRF >CAK66799 pep:novel supercontig:GCA_000165425.1:CT868047:203170:205000:-1 gene:GSPATT00035929001 transcript:CAK66799 MSSSQVGYLVTANIITAFGGGILYGLYQLAGDYLTTFFFMIIFAVALASIRISVVNSIQK LYETNSQRWSNQRIYFLHQAKFGITFNLIINLVYCIRYPFKMLDYLKQLFTISSIPYIFI FYVIVIKLQCLPQLLFWDVLFWACIDLLRIITYPFKRKAKNDEQGLLEWISKSKLFNTML FASVLLGMILILPIAIALIYQDIMIYKEDLIPKLQKYQIPNEYKYYLSADFLLSQLQGQY LSESQIQQLSSYLNPVDVEDNHKNNVIFLEIFYGEHALKILGSLKDCQDSKYCQAKVLFN NLNLLNDQIYEYMQYGYNYFNAILPQLQQFASVIGQFLIKIFSGSVAFLVKFIIFQQGVM YLLTESSFYDLISVKEKKNSFKGTLVQNISKHITGVFVSLFTIFYVTLYVIIYPLYKVFL IGLLSLTQIVDPGLTFTLITGIWWLAGFLNDAVHEFCINDGLTILLAIGFYFQSGSIYLN TFQKQLGDAYWSAMSVILGYISFQWQGLLIGPLLIGGVRTLYEILAWYWGEREILNDDKS IQNENQRSQGDLKPIENKGITSRSGQSKKEGKKKKD >CAK66800 pep:novel supercontig:GCA_000165425.1:CT868047:206227:206934:1 gene:GSPATT00035930001 transcript:CAK66800 MPQSILTKKKKKQKKSKVSSVKEKSVRFADNISQKEKNIKQAQKIKFDTDNNEFLKETLQ NEELDELEDEDETIKLNEENTQIEDADQQDDIDENEDEDDNEESQNENDEEEEEEEEEEE EEEEEEEEEEENEEDDIEGENGEEEEEEEEEEEQEEEEDEEEQEEEDQEEEQEDEDQEEE QEDEDANDDLDEDDQEEDIEENENSNEEIDISHKEDYENQKILQRLKEKALQKKF >CAK66801 pep:novel supercontig:GCA_000165425.1:CT868047:207547:208419:1 gene:GSPATT00035931001 transcript:CAK66801 MLMKTKHNEYIVIVEQYDGRKLKKMTYEFTVCEFNDLVLERITSKQNIMKRQLVSFVARF VKQHPQLQVKTPFCQHEHGCLYNVLEGLVRSFGIAYTMKALFGLISALLSKNKKISKGSL ILEAFIGIDTLKFASFPTVYSLIQKTIICGCRHMTQQDLKIMSFVSGFSAGFVSLSLIEE SKRKNWALYLLTRSMDTMFNSLINKNIVAKRSYYYIIFMAIEVLVTAYAFGCENDCLEDY MLKFYARFGNENQCELDERKCWHERVRRQFENKQ >CAK66802 pep:novel supercontig:GCA_000165425.1:CT868047:208663:209571:-1 gene:GSPATT00035932001 transcript:CAK66802 MLTNNQYPQLPVQSVLYQQQTQTNQRLPPQQFQSPIQMKMIQPQPSMVYQQQAAQSQMYT QSNQHVKTIQQHHMHHTHEYKIPQYQQPIVAPPQQTIIVQPQQPIVTAPPPPQQPEINEM YHVERELQVLSVEDVEEPWKKKCVELEIKIFDLQTELARYKNQGQELKVTSNEEFQVREL EAKIKMMKDIEDGLRKEIESQQSEIDSWRQRYSKLQQEFQQLLQGGEEVRQLRDALAEKE RQILKLENALNSQNVEMQNYMRLIQQLFQSIYQEQRLRNCIIQIDDSTIRTRNE >CAK66803 pep:novel supercontig:GCA_000165425.1:CT868047:209966:211813:1 gene:GSPATT00035933001 transcript:CAK66803 MLSLYWLGSFIFFVILIAIARFIYYRIQDQGMQRFHNEQTNEINPDIESEVKRKEQERLE EEKKKQERLEEERKKQERLEAEKKKQEKLEEERKEQERLEAEKKKQEKLEEERKEQERLE EEKKKQEKLEEERKKQERLEEKKKQERLEAEKKKQEKLEEERKKQERLEEKKKQERLEEQ RKEQERLEEKKKQERLRIKEESQKQLMQQELQPISYQFKIQQEISTKPVQQQIQILNSEI KKIDNNNNLSNNKKQYYKHQYQQQLVQKLKDQGDAKSIPHVRQNIDSSNPEVALFVLKES LLRDQNRELLTTSQEYSFGFDSIIGSYGSEQSCLKVRNKKFDYDKIMNDNQILQQHLLDF QQKLSSSLNISIDQIEILGVSKGSFEISFNITGIDKDQILKEINDNKNAKKFLNEYCNGK VEYLQYFDSAKNSAKGVTLSSDDFSPKYNRNWDGFHEKEQRGPSYHRYDYYFPRGCYGFG LNIKKYGNDDWVKINGNINEWRILYHGTNQVAVNPIVRDNLTAGGGQFYKDDECINEFGK KVKVGKGIYFSDKISVSKEYSPPIQTGNKRFSIYFMSRVNPKKIRQSDRMKQKNYFVVNS SEDVRSYRILIQEDL >CAK66804 pep:novel supercontig:GCA_000165425.1:CT868047:212852:215193:1 gene:GSPATT00035934001 transcript:CAK66804 MSDLADKIVVVIRKRPLGKKELAKKDEDIVDVQSDQSVIVREVKQKVDLTKYVEEHMFNF DLAFDQNASNQQVYLNAVRPIIEAAFNKARVTCFAYGQTGSGKTHTMLGDVEKQIPGMYI LAANDIFQLLQQPEFQHLIVGVSFFEIYCGKLFDLLNQRGQIQIREDAKGNVNLINLMEK RVNSVQSLMQIITQGQTVRVTAQNGANNESSRSHAILQINLRAGKNVFGKLSFIDLAGSE RGADVQDSNKQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLTGNCK TVMIGNISPSSSSSEHTLNTLRYADRVKELKKPQDKQFQGDYVQRELMLARQNTNVVRKA YKNPDDEDDEDISCNSMSNSLFPQQQQQFMFQQQQQMQQQQQIMMQQQYQSNQFIYQQQQ QQQQQFIPQQQQQLQQPLQQQQNAPKLSQIPKNSSQQNSNNNLLNRFNLGSFPTINGGSS SNIMPNNSRQYTQQNLLQQQPSCSSIMTEVPQQQPQLFQQQSFQQNKSSLLFPQQQQQYC QNNNNLFQENTYEQGYQQQQSNQQALDVRQDSMVEEELCINNPNYFSVTGRYSQESQSSR QSSTRPLQEINNYEGSKYFNNRQFTNNDYQYQQHILNEHKQTIDKIVEITKDEMINLQQV QSPQDMSPYVSKVMFQLQNKMDLIANLHQKMKQYQKDFETRGMPFQPNSHTFSLFQQDDN LLNIDDNVL >CAK66805 pep:novel supercontig:GCA_000165425.1:CT868047:215226:217694:-1 gene:GSPATT00035935001 transcript:CAK66805 MQKHPILPINTPRDATCPIQYQSTFRKQNEAATPTLNTMNKKTEIPRAPSAMNNKLYAEQ TMMRTKQYQSDQQYLNSIKGSLSNCNSNKSRGSKNSTGFEQVANIFNETAQQNQSSNIRI SHFHTNTAPVNLYEQKFNDEMFLLKDQDTGTVYDIRQVDKIQVNKEYMAKLKKRHKSAWQ GWWQQKRENNHFLILNVKQNNIKEIEKLLEIPTKDLKPEINIKDDNGFASIHYSCLNQNY DLSLLLLKNEADCDSINAQGQTPLIICAQNGCDSIATLLLTIGSDINHIDNHQNTALHYA CLFCINKHIRIAEILLARPSLQLNQKNLDNKSPVELIQNNSTLKNLIEKHYRVFVGLNFF KMKKESFNQCHKVQIYDDSKDQQKVNSPTSKQQYYLTNQYQQTSKCYSPYQTDNQFSTSN RSTLNQVVIDEMIGPLNIRIILQIGKGSFGDVYLVEKRNQSKTAQGQKYAMKVLPKSKFL GHNLIRYAMAERNILSYLNHPYIVKLRFAFQTNTHLCLLMDFCPGGDLSKIIQNQKRIPE QAAKLYIAEILTALEHLHKNDIIYRDLKPENIVIDTQGHAMLTDFGLSKEGVRDNYGAKS FCGSMAYLAPEMLKRVGHGRAVDWYHLGILLYEMISGKPPYFSPNRDEMLNNIECNKITF PDNISKECKSIIQSLLEKNPMIRLGASSRDADEIKDHPFFRQINWDDLLQKKYKPPQPII NQEILNQKFDIPFDFILSADKSSSINYINGWSFINNDFSQI >CAK63689 pep:novel supercontig:GCA_000165425.1:CT868028:2464:3939:-1 gene:GSPATT00033542001 transcript:CAK63689 MKLLIHLMLGNQYQLEKINKQYIGTSFIAKSQITINIIKVIFCQLLQQNSTQHQVIAMVK LQQKNIKASSGKINSLSFCMDLENNILYLAQGNSDKQIKLFQQQHQLTFSENHTFSGHID GLRCVTFSQDKNIQQAAVMINPSDFGVLYKHRDQLIKIRFTRNNSLWSCTRDNTLQLWDI EQKIPIFKLDTQNNCDLKSFALGHDEQYLLSLSEYDSIQQWELNGFYYKEEQTRQQQVIK EIALSPDGKFIAYSGDNNFISLCDFKTKQPFNHLQIQGVVDQMMFQRMQYLVIVVKTDKK EKNESKEQIIRWDILTNENKFLGEGSKLAISKTLNIIPSNSRITTYEIQQLCFSSKRNIL ATISNRQMYIWDYVKGEQKQTISLAGLIKFCSFTRNGELFAYSSGDSTIQFSSTEKFGQS GNLELQQDKTYEIKDKNLRLILTSNQYLIFLFNDQIAVLKPDVIDMLGFLKLQFH >CAK63690 pep:novel supercontig:GCA_000165425.1:CT868028:3948:4524:-1 gene:GSPATT00033543001 transcript:CAK63690 MTFSRFINVLAFGCETRIRLYEAEENNNPIDLVQVSSTVITLAFQGNEKKNLISCDKKGC IQFWDIESKQNFKVIELYNTMSLLLSPNGKFIAYKDHQDYIKLLDLKYPKVVYSFKVAKK RKIFWLLNMGYFWIVEQTNWEYKLFRNITLRSSLCNWLEIKNINLRQERRNRDSQTDRAY >CAK63691 pep:novel supercontig:GCA_000165425.1:CT868028:5538:6173:-1 gene:GSPATT00033544001 transcript:CAK63691 MIFQSITLIHPSYNLNHSNSITKTKQRKKQDRLSQKSIKGNQNSSNQSKKMVLEQKYQDI FQNKYTLDLTCNSWNSSSNTQAMNLNQQITTFISEQQSQILIIYGEDCLGRITLAKQTEE FIWEKQNQTTIDIPIILFVYIDNLDVDKIEQNIQKYLNLKTEISISRNNNDYRFLFLIII QEDQDTYQIIKSINQMVKLISTQVYPKSKII >CAK63692 pep:novel supercontig:GCA_000165425.1:CT868028:7955:8704:-1 gene:GSPATT00033545001 transcript:CAK63692 MVVQELDLIINLRGGGCCYSSKVLPTEQVKISHNDIFQLILQRGSLNLKQELKDRVKIIS SKIPMLIEGNIKIINQFVSLLIFEQFGQICLKEFQLIQKINYHQLVLRGLKYQYDITITS IQKQQKIQNDQDMIQLQRLQSNLDSVNDLINHIKNIELELTVSESFDFKNFLQQWYINQR FVLKNYLGFFLIKIEVIQSYIQIICMKYNYRFKKNTYTQLMDYINFQFIQKVSFLPCFYF VLIQQNQKY >CAK63693 pep:novel supercontig:GCA_000165425.1:CT868028:9083:10999:-1 gene:GSPATT00033546001 transcript:CAK63693 MQKKVFILIGNDETLKNQIYTQITGVIQFQNEENYNPFPQVIKQSHSFKGIEQYVLNTPP IKTKEDHKMLWSLIATICQCHKLIIEKVFYFLLNQHQVFTDILVDLKVLLFYSNYSDCGI YFFTNSKELYDQMNCKNKNTICFQILGITDLLTTFKQLIQSRNNVILGTYSIGQLKIDEQ SEINCQINALQKELKGAIIIGNSQALFITKYFQTMQLEQILKSFQFNFDKIFSIFEYKVI IKFLIDQLFNQIMKVIHRLILSTFYQCSECLQLYYFVNSTLYHISQNIIETVIANPESHR TRNKCIQCQNSLKYISFQLIIQKSLDYFEQKILLKLSDEFKSQPKCNEKHDTELLKEINM DINVNIKINNNLKCENSISVLFLANEDDIKKHRNQQHVKIDNKIFFNGQLKDRNCTYIFH NPPLFDFDITNLNEVDTFKTYFSKNPIHIFVFFLNYQRTEIMKSRYFDMFKKLPNLNKQQ VFIFVTSCDADVDQQQNLKKEFQKLSCNSMVFLKRQINQTVIKSQILDCFSNANAIQFQF QDTIFEKQNAEEDKKILNNFREASDQIKNDKLEELYNQQRNKDNQIEELNFEIEKLEKIL NQKKEKLRNIIQEKEINKSIIQQLRQSKCR >CAK63694 pep:novel supercontig:GCA_000165425.1:CT868028:11320:12563:1 gene:GSPATT00033547001 transcript:CAK63694 MDKKHKRVVLIGFIGCGKTTLFNKICNTDFKVKQGGQSDTRQVFLKESCHGQGFRVLDTP GFGSSIKKIEHAVGVLNALTEGPLNQIFLVVKWERLGLMRKYIKDMVLIFMRYRNLVTIA VTHMDIAEKNTLEKDKLEFANAMKSFGLYSIVYFSIHDSKKQICFDIDKIILRTEQQKVD LTETEFYTHFDLIEYMEELEMNLEVAKGQIVANFNKISKVVVKFIQEFDVNDKKISTVMH YLALEVKRLAEKEISEFERKNNDIFSQLYEQCSDPVIAYLVDFALKKELMDQVDNIVKLT QLKILNDQEHFFHYIKACPNCGLIWLKVSGCEGATNCGNFPDVDEYFNSYKETQKYKFDI TEKGVKFQEMPNINNQEVSLKTQSTELLSKENNQSQKRQGCKAPIE >CAK63695 pep:novel supercontig:GCA_000165425.1:CT868028:13422:17365:-1 gene:GSPATT00033548001 transcript:CAK63695 MIALFSLFITLYGQALHSSYNVYLTEGETYSLPMKELFKKYVQNFTIQDCPDNVQLYQTL NLLSAQNNNLTYISISSTPPHFLLITSENTLTTYRWNSTYINLINQVVLPEQTCFNTLQF QENFIFIDCYNLTNLNIYVQNGNSWTIVYSNQIQSIPQKTDLKSFTSNSINSILYAQYYD QYSILTEFQFDHTLLHNQSNWNTAFDNFIVSNKPQSQNTIYLWYQHLFYLLIVTPQGFNQ TNSYYQDFQIMEVQIFYPQMMIYQCDSLLIIGIGEIFQYTVCNQQLTSNILSLNLIQCNL PCQVFLSNQFLILQFQERIEIYEIINFNYLFIGGLKLNSSFSQVSFDYDSNQLFIFSDTQ ILTYLITYPQLKFNSEQNQQNYQFSIIGHPYEINQPNFWDYLDFQVQLNVYVLNTDDNET YLIYDKPEKQHTYVFDNQGYEYLMPEFSGSLIRANFNVMNSSLGNFNDNTFKLIGSINQT FLNFQILNKDVAVGITNQTICLLNRCIKNNNYNCFLIDCIVPNNSLITIKNNQIQGYGFL FGVQINSQQLYLSQNGYQQFILNFQPFQQFYLVYQSIVLLLESNTIQICDLTGDCTNLTI NNDSVINFFPVGIFLNQQSFSSILFINNNYSSVIIGQIIDQNSYIIKSIVNVESEFSDMK IVNNRMILSYSCQSSQLICFQVWNVQNLSNPFFEKHLNSINNSNNIQFFADNLFYYVQTN NYTYAYNPAMLEHSSQFYKFISNGSYFSSFSSEGVVSLISFNSEIYSLYPVLTYSYQSRI KNTITNQISFWSNIFNVSIQSQIVTQNQQIILLNDFSNIQLESKQFDVQNSHVILKSDNI TSSGQIGWFGTNCETGFKITNIITETTMITFKCDQTTVSNQQILCLRVLTNYTLFSYYNI SFQLYNISSAGKLINGSNKIISQSQCLDIIIQMNAFYIYFICYLPYLNSYQKQLFRITIT NQSLSNLTYLTSFNINGEQIILQDDIIYIQNNYQIIIYQSNFNITQSIFTICQTRSIQQS VYEQQNESYYAIIYLCKDDANLYYLLGKSKFQEQITFIQPKKISLQDYFQFDAYILQILV LSQYFNQLKMMCFMNAFTIIIQLEYRINSNEFSLSTLKFQQLWKYSPSLFDLHQYNFIGA TISCNLVIVGYLKHPYVPRYVIYNVSDFHLQEFTFEILLSSVSQFVNVIANFQQFQLPQI ITFNSSYGFLLEDFQTYKISSFEIEIYLQSKKVECQLIAYNFINNKTAIYKFNYKPQTEF GFEYALLAFFIIVIIAAVILFWHRTKDQKEQFGLEEFEGLDVDTIS >CAK63696 pep:novel supercontig:GCA_000165425.1:CT868028:17537:18386:-1 gene:GSPATT00033549001 transcript:CAK63696 MAEKQHKVFYRNHILIVPTRNLELQHRHFMLDIMNILPHSKKTNKIKYEQLRSVIPSLCE NHRCNTFIVFHTIANQLILVFGSYPSGPTVQFSLLNVSTIRDLQLAGNFSKKGRVLLQFD QRFNTIVKYKLLKEILTLLFNVSQARFTENFIDRIFSFTTEGEAQNRIWFRQFEIYQQKE LREIGPRFALELISIDEGLSSGNILYQGTQVDASQIKFKQKIRKSEKKFEKILNEINLKE EEIDVEEND >CAK63697 pep:novel supercontig:GCA_000165425.1:CT868028:18422:19125:1 gene:GSPATT00033550001 transcript:CAK63697 MGDQFYQLCSKWTVSEKYNASLNVDYKKTIVDVCSFNTIEEFAYLMKKTIYSKLSDVLSE PQKCKIFKQFNDEVTDVQIEAIQFFKNDIKPWWEDESNKNGGEIQFDIPTQFYNIYDNLY QDILYEVIGQSNEDLRHINGLRVVDKINAKQGNRIRIELWLDIDPKDKHDSILKLDEWLV SLIQKYHIEQTQLTQVSHKK >CAK63698 pep:novel supercontig:GCA_000165425.1:CT868028:19366:20279:-1 gene:GSPATT00033551001 transcript:CAK63698 MNINQQLYYTDTHKDNCYPYSYALILVHGNITSSQHWGKFIQEMQKRDQDKQIRIINLDQ KGFGYSQLQNNSVDDIKDLTTEIHNLILSLFKKEEISQKVILCGWSLGGAVCLQLASDKS EYYHKLILVASAGCHGNHLYMEDQEGKSLSIKCQTKQQVMDHSKIKHNVMKMKQNDYNYF YHVFKKALFNSGRYPSDLELLELLKDVFKQSNYIDVAWALQKFDITNQVNKIKGPVFLYH GVLDRICPISDAYAQTNIHFIGKNKCTLVVHQDVSHMPPLEVPEDLAVHIINYLYSLK >CAK63699 pep:novel supercontig:GCA_000165425.1:CT868028:20295:21058:1 gene:GSPATT00033552001 transcript:CAK63699 MLLRGRVLAYFAKVKVTDTPKIRIGEAVKLIRERAKAKFDETIDFQLRLNVDPKHGDQNV RGTCMLPGGLGKNVSIAVLTTNELNDVALRAGADFVGQEDILKSIKDGTYKFEKLITTQD MLIHLKPYARLLGTKGLMPNPKAGTLVLPQELSSAIKGAKAGSIEFRVDSGSNLMVPVGK KSFEDKVILMNIKAFSLALNQKRPTSLKGQLIGEARIKTTMGQPVEVDVSSFDQRNKEND LKDLVY >CAK63700 pep:novel supercontig:GCA_000165425.1:CT868028:21106:22485:-1 gene:GSPATT00033553001 transcript:CAK63700 MNSNQHEQLCQFEQQKPKYQHLYLELYKSKLFEIHGPKLKQSDTLKCQQNKGEQLNGQDD PIYLNIQDSQEQEINLGLQMKNERQICKNNKIIQRFLKNCRFKKRVQLRCRRRLDSVEMV GCLDINQNSPFIQKGFKDCQICLSYKRMRKFLSCSHEFCKSCIVAHLKENIIRGNVLVIM CPQLSCSEQFSNPQIKDLVSHNLYEKYQRFHRRQLISKDKNVRWCPRIDCENYVIGNGNN LLTCSCGQQICFKCGSQYHQGMSCEQAMDFQYLEARKQLEVNDCPNCSVPIEKKGGCNHM TCFKCEYEFCWVCRGKYSSTHYGIFNIFGCAIPGGQVSNIAPLRNPMLIRVMMIIPKLLL TIFLSGGLLILLPFFLIYLILSAPYRLLKRMSYFNMKNHSKCIQFWSFQLFLFFGILLSP ITIICAILISPVLLINYVIENF >CAK63701 pep:novel supercontig:GCA_000165425.1:CT868028:22535:24107:1 gene:GSPATT00033554001 transcript:CAK63701 MFLYCRSYIPKYSASQVIKNAIQRRKERKNVMQEHLERLADKLEYKVYPYHITHYDPAHP DYIPAQRQDYKQRLTVDTHNMLVDGVKRDVAMQRQVDQAIKNLDRPYLKGKHGVTKNVTG GLKDYFPIEIPYSQAGNLQNEELEYENVFRNEKRWIAQTIYPAEKTESQKRHEKELESRP VTSKFHPDKGSKYDVETPYHLRFPYLADRLGHPEFLANPFQRLFRLESDMYHPSYNDQPF VQHPSADPDPTLNFEEGEVIYENTRLQEWLKFVWWTGTFSFAWICWVLPYNIVYKTNLMF DHQIDASFYPYHLQSIYNMDYMRINVLAATAASAYLWYFHHMSMNDIGRNYVTKVQYNKD KELIFVTRMGSFGVVREDVFETHHLEVLPYYTKSGVQSMRQNDLGMYELSCLNKQELMYL YKEDAFWNPKLKTQFLTNHTQLISKQYFGLKRNEEVEYQKTQTVGELPLKDLPAP >CAK63702 pep:novel supercontig:GCA_000165425.1:CT868028:24113:25634:1 gene:GSPATT00033555001 transcript:CAK63702 MNVDIQKGRERPVRRNGLVNGLNPYQTVSWIYTLTDIIIAYIFAFQFEEQGMHELLLILL TIIVTTICYSCLKATLIDPTDPIVKQEISYKQQGKEFKTEIKSYCLVCQAHVQEKTKHCW SCNKCVSLFDHHCIWLNNCVGEQNYSYFFILVISLVAFKIFKLALDINLIYYADDLQILV YICIAIDPPVLIILIYLLSMHLFFKYKHITTYEYIKSKQDKKQQINQQQHPQQKQNQNES GTGYGQLLSTSKRFDLKSQLSLKTADSKTNKQNYFSNKQEDDKKNPQQSPSQPQFTQIPS LFTSKPTTPGNDQDRKYRIQQQNSQSQAKDLDEIRDKQIYNQVIAEDSEPQMNESSDEEQ NDNFNNSKNHCPKNSNVSQIDQAVNQSISVEVDQQIIQEKQEEVNKEIENVNQSADQDIV SVSNQQSLHAQQPPPPQNCYTFQMDLNSEQQKIIISPSSKRNSQHLIADNCTE >CAK63703 pep:novel supercontig:GCA_000165425.1:CT868028:25735:26235:1 gene:GSPATT00033556001 transcript:CAK63703 MKSLAQNVQLQKVIKTVNGLRSTVDQRVQISIGVGLAFALIGYKIFRSKGNDQQSTQQTP EAVEQEKQSQNSINSEENDIIEIEENKQLEKQQQQQKQSEPKQKKKQLIQKINKKTKKQF QTDNEMPAPKLVIEKQNSFKQEKNADDKLFFQIYQKMNQEASNEDS >CAK63704 pep:novel supercontig:GCA_000165425.1:CT868028:26271:26770:1 gene:GSPATT00033557001 transcript:CAK63704 MKVTIIKSNVDKILSQIENKEEIINITAIKCNLETIPILDFPNLKVLDLSYNNISSFENL PSTIEYLNLQNNQFEYFEDLEFPPSLVYLNYNGNKVSTKIQYRSKLIKRIKQTCPEFKYL DNVPIKLRYAEMPINISKFDTVMDFTYEMFGDEI >CAK63705 pep:novel supercontig:GCA_000165425.1:CT868028:26786:27234:1 gene:GSPATT00033558001 transcript:CAK63705 MNKQERENVKQRIEERIFQIKLKNTQHVLKQQLEKMPILQKQLNKNMQPNIWRAQELKLI KKIYQMILKNYPLNRCEITGGWNTSGLYADTINIVVRQKKKNIDIPQGQKDKDVLDEVQK EQDLKKITIKKE >CAK63706 pep:novel supercontig:GCA_000165425.1:CT868028:27288:28549:1 gene:GSPATT00033559001 transcript:CAK63706 MKLNNRRNYWKERKKRKLNLNWISQQSRSNTNTYSHLIRHFKEKQNQNMVNQDRLEFQQN DETHSEHDKFLVFESKIFQLPFIHIEIPAPLLKDVQRPPNIKPEDIEKVLKQIDKLFPKS IFPIKASAKSQEKQQQQQQQVNKEKVSDDVETRYIVFEKHQVDNVFQLIQKSEMVKLIGL FLHLSYWLVFGVTLPIQIQTLTKKQMYIQMMEIIESFQSFYAPKLWMHLIMPMILVSLKM ATEYLYKNHYIIFFEKPRTEINTPGNIAMDKIFHFADRLFDQNNLFCRFIFLESSKQKKI GNDAKFMHKRIFGVSPYLDIMIQNPQNSRTRAIIAKQRDIDIRDKILTIGERKLTQTMPP ILRQQSTKEEKDKIEIAQKAKMFSVVLSRMQQDFEKVLKEKEVLKLKQIQC >CAK63707 pep:novel supercontig:GCA_000165425.1:CT868028:28631:29325:-1 gene:GSPATT00033560001 transcript:CAK63707 MCNQEYIDDLKPPFLQKLFSMCSNFSDQEYQRHPPKLEKWNSYIEPELVPEKQHIAYGET NNIHDESPNVDENLQEQKEEIKQEEFQPCSCQKTHCLKMYCSCFHNGRFCGKSCRCEECE NTEEFKMKRMQAVDYVKKKAHRNKKVPKEKIFETVEIWGCNCSKTRCVKKYCECFIRGKK CTVECNCDHCDNGKDEDLFNEIKKQNEKPKTQKRIRKERQPLQ >CAK63708 pep:novel supercontig:GCA_000165425.1:CT868028:29601:29969:1 gene:GSPATT00033561001 transcript:CAK63708 MNKDILSDPCSSQNTSELLHFILNSSKQSNKFKRVLPRLSITETLNNSIPRSRLMSLNHE PTGFITSRINQIQYTGDYCYKAQNNQTLLLKHQPERNADTMEKSIECSPSVRSQQTTKVI ET >CAK63709 pep:novel supercontig:GCA_000165425.1:CT868028:30143:31157:1 gene:GSPATT00033562001 transcript:CAK63709 MQPYNINQQHLETFCELLYFIAYYGNTILQLNKATFLFKDCLKLSELCRNHKLKVKILIQ LFSIAKQLKQYDKAHKFILKALQYAWANNFDDYEIDCYDKLGICYFYMGDINKANHLHNK WVKCEIETRDSYYRITSKEFIQLYERSQPLCREFDDKISRYIHIPFMNIKTGQAFDSNST IKYNTCEAISLMNDILLGLDYTEYFLEYHQIGQTQKPQLKKSSLPRRALEIMQKYNNNKD KYVFDHKIHENPIYKLSLQEKVDYRKSKFYSLDSVQQNIQKYISEQREPFKKSEKIYVKE SQRKDLSPENGNQLSLHFRKILVSIINQN >CAK63710 pep:novel supercontig:GCA_000165425.1:CT868028:31210:33970:1 gene:GSPATT00033563001 transcript:CAK63710 MQDQEILKNENKPFIPQQPKPINRTVLQSLLHETKKLVKLSLSSREYQDYCNVKGACGQT IPLILILPISLAIGTSQLMLLFFQNIQDGPVLPALIQFLLLQAAQTLILYMILKACEVIP KKIENPLLFGRITSYIFTCLSIAEGTLLIERITNFNELSQSYFWTIIGLIIWQKIIQLFI YDYKLRIGLIIFLYIYYVFRVDSDLFIRDIIKVIAYILLEIIFIIDSELKNYSQYQFENN MQFRNNKEEVQFMIPQVHMQTQQQILELITNQFPIILFDQTKELIHISGIGLKQLQLEED QNIDLISAEVKIRKMEVLKLFSTNQSNKDNLVQLKRLAKFGSTCGTNEKGELLHSLLNGP ALQQLRILRQPQENQRVIHYNPDGILEQLLNNLINEQQTEYKGISKFNLPKNQCKYFQFT LIKVPKGDNFQIFLILEDITQLYQDINLNQKNEDDDLPIMCQEFRQMLFCQQQFQIPLKL LNIEIKNTLRCSKTQYLQEELFDADNLIKQLQEKYQNQINPNIKLQFLNNLKQHTGEFKT DQLRLTQIMQILLDNSIKNTKEGFIKIIIEDDFRQNCIQIVVEDTGKGINLDIFQEEFNV NSIRSLQIVNYITKILGPVFQCKKITGQLANKGLRIKSLQDCGTRISFSIRNLALDEFST QFIIDEEITQDEMDVDVEENEIMERYIRDDDQIQHLQRLNKGLRSLIINRQQTQKIAKPI DINTNKKQTKLVGMMKLQELGRFKNIQKQNESCQCSYKLIINSNQEFERVIKQHTQDNVS FINEQDSYKHISLRLRDKVCQNNSCQYFKNIIVNCHNTNFNYRELITKILGINNNFKIII LIYNSYGEMDTLGNCFMMPIQLDMLLQYLQS >CAK63711 pep:novel supercontig:GCA_000165425.1:CT868028:34190:34930:1 gene:GSPATT00033564001 transcript:CAK63711 MNQQDEDPKYQQPHEQRINSYLKKPVKLTQLDPEIMKAYSEQIGKNPQQAMPKLSWWQQF LLRPDIRKKYNSYRRFSKETLPMLVFVVFSTGVLIKMEGQYDKMKQKVQSSKTLKEIEIE QEDAYIRAALEGKKINLDVTIQEPRKEQRYKFEDDDDDQNLPGFDTQDDFYEGLAKEAKQ GGNIPQEYQQQYLEYQFRKKKGDDEQQKSKFDL >CAK63712 pep:novel supercontig:GCA_000165425.1:CT868028:34940:36072:-1 gene:GSPATT00033565001 transcript:CAK63712 MPQLSKQIQYGLVAAFAVGLGTLKVAQRPFETCIGYVLSLGSIVILLGWDKLPFNQTNST DGEELTKDELYERRRLLKEEKKRQKQLEAQELKRQQRSQSSESPDKKSPERASSPSESEG EKKKVQETKNNSKQQQQQTNGNQQQNKKQNQQQTQQQQKQQQQQQQQQQQQQQQQQQNKK QQTKEKGKQTQEKQKPQPQHVEDDDEGWEEVQDPRKNKKASLLKQQQQAEAQKQAEAKAA AAAKAAEDARIAAELAIKAAEELAQAAKQQQKGSKGKNTNAKQQKHEDNEDGDDDGWVKV DSPNKKQKGGKKNK >CAK63713 pep:novel supercontig:GCA_000165425.1:CT868028:36086:37513:-1 gene:GSPATT00033566001 transcript:CAK63713 MSEQQVGQEQLDVTAMMEDLYEKLILLNYEQSYVKQKQVQIPITRGGKPLNRAYFVNQTN SSEQFGQFKTLVKWLFSQNDVQTADFNKLDDPVTLSQNILNEVKNMGIEVDFPPLKLKQG FGEYVVYVLQQLATKAIQKKKFQFKKAKIEQQSQTRQDEEPVQETGSVSSDSDPEVASDE EPEDVFNEQGFQKDEERMVIESNVNPQEWAKEVERAAQKIKIVIKPNAGEWRQHFDATKQ YSSQIKTILPEARVKLERLTDELSEILDRISKREYNINENMHDMGSEYKKKNEEVKRIES QCKNYTNAIKEMGDQYKQISDKYEIVQNKLNEHGSISTDQSPVIRIKASITKLRLEIKQM DLRIGVLSHTILQRTYHDSKAMQERDFHENGLILNDSDELTD >CAK63714 pep:novel supercontig:GCA_000165425.1:CT868028:37574:39065:-1 gene:GSPATT00033567001 transcript:CAK63714 MFRQRRYFCQSITFYQREQYLSKVMKDCEDCFKQLDQHGKSIKRETKDMFIKFTQGKLQE QKKLLETDSVGVFSMVLDIIENLIHDKPLMSYILTTIEAIISDNQRLFKQFMRALTPQVL PKLKQFLFLDGYDPMAYEAAAKIATMIIAEEGGNDAKEWVILFLGGIGNKLKIADFMIMP ICVHFLKHEALAIQFIKSGGIRIISNLLSKYSTDLQIAYYTILALWLLSFTTESIPLFSD PTVGLIRLIIESVQKISREKILRVSFACFRNLVDVSPQSIELMVDNGLIKVVDLLLKGNL KDQDLIDDIKYVGEILEKNMKILTSFEKYVKELNAQNLTWSPVHTEKFWKENVKKFEEND FLLIRKLAEILKSNNNQNVAVACYDLGEFCRFHPFGKVVLEQLNAKQEIMRQARNDDQQI REHALLSLQKIMLHNWQV >CAK63715 pep:novel supercontig:GCA_000165425.1:CT868028:39238:40188:-1 gene:GSPATT00033568001 transcript:CAK63715 MGNSNQKLQEEKYENVMKKFKLKESIHHHQLGMIRVHTLKDDNNYSIFEFHKTPTTSEEA KLMLAHYKNRKCYNNDHLTQIFFISEQHSQILCQDQTQLTVIGEFFYNNIQNEMKTNYLS KNYNNTTSQFPESRLWQITLQIVNACTFLEKNGRHHGEIKSKNIYLHPDQSVKLTEYNFI YGSMTGYQKALLLNEFQYLSPELLKELRANNSSPNVDLIKGDVFALGLTLLELASLSSSE QYYDWVKKEVYVDKVIKANDQLITERLFNTFYQFNLPDGIRSGNQTKIQRFKGGAISFRE >CAK63716 pep:novel supercontig:GCA_000165425.1:CT868028:40214:47525:-1 gene:GSPATT00033569001 transcript:CAK63716 MSQDEYEDYEEYYCGKEEQMEQEEDIEPALQRVESTTQTQQYVQIVDPNTRDDPAFVLLL QENNIPQLEVSLLSDFSMFFERQARLFNYCANLFQLAFTSQEIANDYVMQLSRILKLFFQ IQEIGSYSQFQSQLAQHVGYSTHKIGYAINSDQQLVLLILKLILKDDDQLQQIQAYLPRF LMFTINPPQPFTSATSPRVFQTLIVNRINDELFDASFEKPNKLDILALSYFSQQTQNISI YKEIITILASIFESLLDQKQSQYLNHQLINLLHFFLAFTTNQTILHTFFNNHFHRTLYNS IRFSQPIFGSLNQPQWSKEPLIIDAMVNLIVRIVSIDQVDKILIEILQDDFTLLLEKQKF DFIYRVILPILNSEQVRLIPVCFHPRLSVQESKQQVEKFLLSQKKSISISQSLTTELLTK KQCEKINQLVIDQLKTNIPQMQQELGELQQIYQQSLEQNINPQMNKGSWVNLHQFNPYNP HLDSQILFDKLDNRNNVLFIFDLMAGNENVRLVCFINNIIQDKRQHNYIQAKSNSQSFYA LMVQDDHFWYVNSLDKKPHVILDIQQSMLQIGHHNQPIACINFVDFQSCKFGFPFEKIQQ RILLIDQITASMMEQDCYLIQIEIWTFDESKDQIAQTGIQDLLDHKSYTQQLNYYLSQFR FQTIHFIPHNLSVQELSTQLKEKINIEALKENEINDIIVNKPILQLHLKTQSQKPLLSYF QTYIGGIEKILEQINKHLYVVKADQVYKQLFIELHFLSEIDGFYYSIIQTDGFLQFLLAV AFLNDKKEGRDAYNKVIELMYQSLALILDQNPNLKEKALFTTKVQIRIVNRIDSYLNLLN RNVQSQFQFKLEPKKTANPKQQEIQKGKPKGIGYGDISYKNQIFDASKLQQEQQQSQQQS QYVPRKQIEIQQSQQEINLEALMSCLRILLDQDYKDSAVIQCLQQSHILNFLIKYFQTIN ITQLEGSKVFNEILKIVENLAKCKTTLKLFLINDNQSLFRQLETFNQWAIMFIKTFNSKV EELNEFMEIYDYVESCLYASNMITIQYLSQDQQNQNQEQISMHQFYRPFMKRLAVGKCEI KSDPEYLSVMKEYYQNTNNPNQIKMQKLISEISGIEENLPMESSNSIFLRYDQDRMDCMR TIIFGSSGTPYAHGAFLYDMYFGEDYPQRPPKMKLSTTGHGKVRFNPNLYNCGKVCLSLL GTWGDSWIVNFSTILQILISVQSMVMWENVMFNEPGWESQMGTSNGEQANRGYCNFVKVQ NIKYAMIEQLQKPPKGFEEVIQKSFYLRKDLIKKEIESWIEQANLPATYGYTQNNCSYGS APGVYKQELIKVYDELKVELEKLKFNIGADFYTITDEKKKAITINQNQFDQMEQDEINNM QMPQGLDMNEIDISYEDALQQRQFDSNDQNLQNLMSRYIGVVGLDAVKKQSESTIFIHGL NGLGLEIAKNIVLSGVKRLIIYDPTLVELSDLGTNFYLNQEDIDQRKDAKVLNKLKYLNP YVKIDVLQNSIQELNLDEIQVFITQDPKLSTEISKQNKVAVILAQTRNVFARIVTDFGNE FNIIDKDGEQLSEVLIESIQNNVVTLFKNQNHNLNENDVVLIQEVKQQEGQQESYNQKFQ IRNVKRNSFELVTDKIFCNYISHGVAYQQKQVVKISFQRIQNVLSSFSYFCENMGMLDRI GEIKRALIHFCLNSTDQLNNDWNLDKIKLFINEILSQKVDERLNEHFNEDVYNNYRDELM PLQILLSINTQFQPLCAFIGGMAAQEAMKAINKKYTPIHQAYVQSFEDVLPFKLRELNNI QQEYQQFLQKYGIGKDTNSRYKDLINTIGGVQNLHSSNVFVVGAGAIGCELLKNYALLGV GKNGAIYVTDPDIIENSNLSRQFLFREKHIRKPKSLTAAAVVKSMNPDIKIIARLDKVCQ ETQDIYHNQFYKQMNCVTNALDNVQARLYIDSKCVENDICLIESGTLGTKGHVQTIIPNL TESYASKQDPEQNNDIPYCTLRMFPENNIHCLEWARDKFEQYFYRKPTALVQLMQEASPQ QQTVDLALRILKKYPKSFQQCLELGRQKFQKLFVFDIQALLNAYPLDSVNKEGKLFWSPP KRAPQVIEFQGAFAYKFVEYFAILTAQIYGIQIPQQYDLTKINVEVLSKQQLKKNKIQDL AEKQQNNQIEQEEEVKNYNQLLDEARNLLKQIEPSLPQPQQFEKDDDLNHHVSFITSATN GRALNYGIQQVDWMWTKLKAGRIIPAMATTTSCIAGLQTLELIKILQKGHNYRNTFLNLA IPFLMQSEPGEVEKKTLANGMEITIWSKHQLKICKYMEPLSGIIRKLENRFNTQIISIQQ GAKVIYLSQMLPKDELELYELMNAPISSYVQFVNRESQINVQLKGCSWVVVILTMN >CAK63717 pep:novel supercontig:GCA_000165425.1:CT868028:47693:48269:1 gene:GSPATT00033570001 transcript:CAK63717 MIEVSHKSLQFQQGLFNQLRFKIRNVSDVPITFRIRCNNNTNYKLDHYTGLIQSRQDFEN CIQNIVAADIKLFGDQLEIQYAELADPQEDLKEFWKSRKSLDHVIIAIEVLDVQQQQKET LLAELQAKSQSLQKELQTIKQQYAQAQKSAVDINYFNQFQEGFTVGQLMLVIVIGLFFGG IFR >CAK63718 pep:novel supercontig:GCA_000165425.1:CT868028:48303:48731:-1 gene:GSPATT00033571001 transcript:CAK63718 MKKSNSTELTHHFIETRNMLAQAEEQINSVATPIINTSQQFQKTSQIYSGQKSTLQKSDF FLSRLTKQHNYSIAIVYGSFFFFLMVVGFIVLRRLFYRNFYSDISQLIRQNIQYIFQNIS YIFSYGQKVQQDL >CAK63719 pep:novel supercontig:GCA_000165425.1:CT868028:48788:49941:-1 gene:GSPATT00033572001 transcript:CAK63719 MFKNFMYVEYGPELQCHDKLYQNALKKQQKQKDVDYQKQLQMLIDQVNEIEDEPPQQVTK DFYDYSMKWKNLVNQKRFQEQYQNEMSLQQKSQQPQIQKLPQNYLSPIDGWKYHAQQYFE KKGSRQQNDSSFQPSINEKSKMMQFDDPVEDRLIKYGQQREQKYLFEKQFEKFYEQVQND QRPTKPNEEVFSRLYNYERKQPQPEVYQLNINKLPPEFEERVKKRREQYSLFENRQTRAQ TEITDHEIKVLSQNQFDEFLKRNFCNNFRTQSFKEESIESYPFQPELNKKSLELASKNTD TLIERQEKFLIKKQQTLQQYQEEQQLEKQRQSENEFKQMSKPSSKIRVNKPSKSPIRQQN AYNLQTLINKQVPQLF >CAK63720 pep:novel supercontig:GCA_000165425.1:CT868028:50001:51536:-1 gene:GSPATT00033573001 transcript:CAK63720 MHQEHMKMNILKQIKVDTNDVYHLDAEKYFKKQCTSLEMMLISRMITKINKRNNEQLRMI AISNEFVYNIDKKSIKRKIAINKIFGVTISKKSPEFIIHVPTESDLLYKSQENRDVLVFY LAIALKMHNIDSIRIYFVEDEVLQPYCMHHQTPDKSKQTSLHPKCQISTLHPENFQLNYI NIMNKSKGTANEVMVLFANDPLRLRVKLEEYQKSVVLASGSLSKIYLLHNKYEKQQQFSV LKSVPINSVDIDLLELFLKNYEKLPFIEELELCLLYNGNVNLIFKLVKGGDLYQHLKEIN NFQEQQVKQIVAQVAIALSDLHERGIIFGDLKPENILIDERGYVCLTDFGYGKLRMYQEF KKTQAINFTIEYSSPEYIKNGELTRMSDWYSLGILIYELLVGITPFYHNNFDIALKLILK GEVHFPRNIVCSSQCKDIIQKLINHDSTHRLGFLMDFKELQSHPWFHDISWEDLAQKKYD TLAYIPQFNEISQISDRYFTMEQLNEDERSW >CAK63721 pep:novel supercontig:GCA_000165425.1:CT868028:52134:52573:1 gene:GSPATT00033574001 transcript:CAK63721 MNKTIIVLITILLIAQGKRHIIENKMHKKQSLEGGISWESPDSFHNDEDYQKAIDKARHE FHQVCHLSVDVSWVRVDKVGFQIVAGVMWWLEVELSDDEVYEMKVYQELEGTFKLVECSK >CAK63722 pep:novel supercontig:GCA_000165425.1:CT868028:52590:53236:1 gene:GSPATT00033575001 transcript:CAK63722 MQRSWLEQPQRTLDINFDIRSIRNQVKDRCVPVLQYQTDSAQKSFYSYRKESLPTVPSFE NLQAKIRDFSYTKLNQASSFQQRNQISDKSITYSPQKAVGLQKKINSSLGSRNNSNYQSS RSQQSQSYQSRPIESSYRSQQRRNLSDNVQLNEIVSLRNKIESSQASRSLLGANYVSELV KLAQAITNALK >CAK63723 pep:novel supercontig:GCA_000165425.1:CT868028:53283:54587:1 gene:GSPATT00033576001 transcript:CAK63723 MKNNQYKIRVTLDSTPSTYQTTETASLWENLQRSKDSPRKSKIRIARDPLENECPLQQPN PFLFNEQLKHSFRDYLEGQKTALNLVNECNHIISSSTSHKMDQLKLFSNVHKFRSQLKQR SSVRLSMIQNSSQADDLLQRKIKIQERFNKIQTLIQQRMQQKNKKDSLLDVQLFRHLFET CEKNVNKIKSKNLLSEKADGIREYDQIRYSKNPQGSLRIRLNTEESQNSFNNNLRSKTLP DQQTIHLTKKKEREKMNAKLTEFLEHNQNQEKNLLLKAKRNLVRDLKNGNQKFHQFEPNR YNISDPLSKDVKFYAYMFMKPKNEDILQEKDQKYKFLCKTARVQKNTQKHYLTNFDKMQS HSREESLQEDSSFNSMLEINIDNLYKRSTDLQNTILNTKSEKFVKRIRQFQKVQSLTTQI IKVNEQKLSNTKLL >CAK63724 pep:novel supercontig:GCA_000165425.1:CT868028:54621:55115:-1 gene:GSPATT00033577001 transcript:CAK63724 MINCQQNKRQNCYNFIFRSTKEQRSTSMKVERLRQITEICEIKQNYYKGLELGKTSENAL KIIHMKLERQRLRRQSFEIKTEMEDEHLPQIQYPIKPPIPQRTVLSNKENIIEMPLNQGK QNQFQKLYQMKRRRASQRMKIKKSEPTIDFSPWENQDNLDFDFY >CAK63725 pep:novel supercontig:GCA_000165425.1:CT868028:55206:56117:-1 gene:GSPATT00033578001 transcript:CAK63725 MLYFILIAIAVSKDANLTIGLDFSDNSYLDFFSSFSKHYDEFHQNGLKVNIVQHLLPCYS CYKRHQFKQPEKNCLGGGRYCQYSDYASGQVILKELLRQQCVLQVLPNHYFNYTIYFGQQ CKKSTMVNCAQTYFSNNNISTEGIDTCVENSFEEGEEVNQEIRTNKILNQYKELQYNQTS FSVHLDSSDITNTPYDEFLSTMCSKYINISISFCKNIEKPNKQTDNGNTLEQVFLFFFAL IILILTASGGWTLLSKIQFGSSLVPKSRIAIPKLEGDHIIQEDDI >CAK63726 pep:novel supercontig:GCA_000165425.1:CT868028:56425:57068:-1 gene:GSPATT00033579001 transcript:CAK63726 MKKKLNIPLRNLQPDTQFLILSNRVPFSDGDGLKHWRSFRNAINTIRLLQNPKTKRLNSP DELTISGYRPDVLTKPRSDSSRNFNDALKNIQMQQVLFSFIEKGFPPNIIEEILNKDPKK YFYDQKSQHSLVNKKNQKGITPLYAAAKLGHLEICKILIEKGANPQIPVTINGIEETPLE VAQRWRHLKIVHFLQQQN >CAK63727 pep:novel supercontig:GCA_000165425.1:CT868028:57093:57722:-1 gene:GSPATT00033580001 transcript:CAK63727 MSDSQKIVGIVFSKLESKRSHPTYIVETKAGNLIYRQAANLPSEQIEKFNLIFSKQIADK LYPSQDKRLFYKGTELDLPDVQFDDDSDDGSKSTKQQCNCQSKQTTKFTKQTPNVQSYQP LQLPSKSTIKLSSTTILTYEKIDGEFKQASRIDCNLIKDIKNWEQAKISKKKQVMLCISN DSYVKLADLRFKNPSLVLDYVLNNCILVK >CAK63728 pep:novel supercontig:GCA_000165425.1:CT868028:57878:58747:1 gene:GSPATT00033581001 transcript:CAK63728 MFQNKTDYDRGVNTFSPEGRLFQVEYALQAIKLGASALAIKVNDGVVLAGERKLNSTLLE PRSIEKIYEIDTHIACTASGFIPDARTLVEHARVESQNHKFNYGEPINVRALTQIVCDQA LDFGESDSKSKTKMSRPYGVALLIAGVSDHGPQIYQTDPTGTMIEYQAKGIGAADEGIQS ILKEQYKQDLTLEQAERLAILCLKNVMEEKINNSNVELAVITTAERRYTQRTPEQIQSLI DKV >CAK63729 pep:novel supercontig:GCA_000165425.1:CT868028:58749:59421:-1 gene:GSPATT00033582001 transcript:CAK63729 MKKTQVNGFRVNNSQSKIRKAGELLASLQYSIKCKSYTPQPRTIKQMTPYPLRPQVNQNS NPLVQPRNPYNRQTSINCQQRPSLLSVITSDSNVSADEEYEIWDNNQDLRVKKMTMEESK PSVGQFLQLYKARFGGFPGQQQYIKNEEVIKVAVGYASTDRQLFKKYFPQKQIVFEDDTD YSQPYNIEDDHHFQSFHSFFQNKKSNTLLKINTSRN >CAK63730 pep:novel supercontig:GCA_000165425.1:CT868028:59817:61100:-1 gene:GSPATT00033583001 transcript:CAK63730 MQQFCFQISEQILIPSIVFLALITLIFIRNLIQIYNLRNWNPIQELSPLLTIFQGLSLYL FIVITIFVIVYDEKYNFHIIKILMIFQNFFRGLFVYITVFKSLRVALAFHLNSKSSFILT FLCTKLFKDQLRILFAALFMTSALWSGIYYIVKLYISDISHTEQHYINDLIQYEEINLVE AINNTIEITLFMLIIYWNSKIHIPQFDTLQRFVNKPIIIYAIWLYINTFISYFINEKDCK IYSGTTKFPLSLIIMLISQISRSMVEFYFVIYAPIQQSTLIRLPLIPSIILDNFQLFMRI PLCSTIFYKYLQQLSESTIFNSQERCMEYSDDLQVLQVWMAYQMKLENGIGDEEFTLVLS WDKQQNETQFDTNYQSQNQVRLEKHLMQLFKQYQNTLSYQRMKKLFNCLDNATKNFYQMN IIQNFLN >CAK63731 pep:novel supercontig:GCA_000165425.1:CT868028:61126:62281:-1 gene:GSPATT00033584001 transcript:CAK63731 MKQLNNKYKQQLLPLKLTNHVNPQFKVNPYQNSFSPVTLRKPLFSLHEKNSARSIKKQQH HSPPITQKNTLQIEKYGLDSNFEYILKFAVRTRQGMQIGNPNKQNQDSFIVFPNIGNKSY MHFFFICDGHGIHGHHISNFLKQQFPIYITKFKNQLENNPYATIYTIFALVIKALDQSSI DQSYSGSTVVGLFMLHNKIYCPNLGDSRAVMLSRTNKWFLKNLSRDHKPDCQDEAERIVN QGGRIEPYKDQNGQTCGPLRVWNNGNVPGLAMTRSIGDQVAKAVGVIDKPEIFNFILEKM DRVILLGSDGVFEFLSQQDILDSVTPYVDRMDVETACNHLLEMAHVSWVQKGNKVIDDIT FILIFMQY >CAK63732 pep:novel supercontig:GCA_000165425.1:CT868028:62840:63021:-1 gene:GSPATT00033585001 transcript:CAK63732 MDNCYQTVFLEGLCQRENWGFTSLPKLDQLSQINIQVTLSLSRGIIAIYQMG >CAK63733 pep:novel supercontig:GCA_000165425.1:CT868028:63205:64838:1 gene:GSPATT00033586001 transcript:CAK63733 MKHRAAANSLNQKENEKCIGNQYSYKSRPPLQQDLLMSLKNQQLRNLDAISQILQSKICK VEKRDNVEYFKFDFKKQQNICDRVKFLSNQPDDDINKTEIFKAQYKVGSRIGQGAYASVR VAIQIETGTKVAIKIYEKSKIRDLQRRKGVRREIEILEKLDHPNIVKIIDTLESNNHVNI IIEYVSGSSLHHFIRKQQERRLDEDTAKTIFKQILDAVQYCHSKNIAHRDLKLENILLEA QTPKLIDFGFSTSFPIDKKVKMFCGTPSYMAPEIVTRQEYRGDKSDVWALGVVLFTMLQG VFPFKGDTDAELYSKIQSGEFTITHDISKEAIALLYGMLTTDPDERPTVIELLNYPWFKK NDLSDEKEDVKKKHKLPQDLIEDLNTITKNMSFITPTSQIKQQFCFDFSHLKNYSKPSTN KAIIPKPSYFTTTNAQAKSREPQFFKVEKILTKNDRHTTTHSKERHPTLSKERQSSYSFE SSRGLSQKKNPHQLTVHPSFIPSEKSFHSFKSPQMAMNDKRFNFFYN >CAK63734 pep:novel supercontig:GCA_000165425.1:CT868028:64912:66070:-1 gene:GSPATT00033587001 transcript:CAK63734 MVNQPPQEIKQKRKPWIIQTSFLRKLVKKFQNDRLAWKIISKHLKQHGFNRDTKACRERF SNHLDSAYNKADLTEKEIDLLFDLIEVHGNKWTIIAGQLNNRTDQDIKNKFYAHVKKIIR RLIKAAYQTTESSLIIARIQPLLISSIYCHDEEENDKILKIDEEMKTLFKQLIRSNKNIE VGVKVDHQTIEQVKLIMNYLGEQNDIYLKRKISKQAEKAKLKKLKQRRSLKLSQDLKRQQ KIIENIKQKKPIFTTNKIKLENIKFTYPQEQQEDKNQFYQNPNEPIDKYFTISPYLSHFS GTFYWRHSSNIISIEPIFTNNFLCGIQANQNQRLIWSENYRITSE >CAK63735 pep:novel supercontig:GCA_000165425.1:CT868028:66590:67430:1 gene:GSPATT00033588001 transcript:CAK63735 MANRCQFENSNDIGVFSKLTNSYCLVALGGSENFYSVFESELGLSMPVIHCSIGGTRIIG RLTAGNKNGLLVPNTCTDQELKQIRNSLPDEVKCKYVKRVISVACNDYVALIHSDLDKET EEIIADTLGVEVFRTTVAQQVLVGTYCCFNNQGGLVHPLTTVEELDELANLLQIPLCAGT VNRGSDIIASGLVVNDIAAFCGLDTTSTEITVIEKIFKLQDKNKQNMEVQIRQDMMQELE >CAK63736 pep:novel supercontig:GCA_000165425.1:CT868028:67441:68404:-1 gene:GSPATT00033589001 transcript:CAK63736 MQLNFDKSQMHKSPEQLRREKLDIKIRTIKDDLAPGVVKYMMKTKNDEKLQAPLHKVEYH QPQTKPQPTYLNTFEKIDLEPPVQLDEIREEKWSILSKNCRNILEKSRISLGKPNNSHIL RQSSSELFPNPQKLKKNNTKFLTRRQSIDLRIEDIKSQQQSPIGTTPKTIGIKMDPFYMR FKKYYKKIGIPQDEQLNHQRSNLQKNSYSQDKTSKINSPQRQNQSFILFPLVQCSPQAQQ KSGIQKKKSQQQYLQDILALCNKAQNFQAEMKKDETENYGELNQKVEFIRSEFNKYHSMI NHDQDSTDNVDNK >CAK63737 pep:novel supercontig:GCA_000165425.1:CT868028:68803:70094:1 gene:GSPATT00033590001 transcript:CAK63737 MKKLIWIKICYDAMRQIILWYPDLECTLTFWEQCRQCAIFHLQQIKLRFQQIIINKFIRS QYREFKIIIYRQMNISEARTAGQSPPQKFSKLNQSQQLIPEECLPPTLQSLYLSPRRDAY KKGIKKTYKMKDNERKKMVWCNIYVNEMDPIQLARSKKGKQCRICSMEEETSRFVYPCMC SGTAKYVHEECLKNWILLKNGVEKVYKNDIKCEVCQHKISMKVQFQEEVHSSIFQEVPKH QKACWLILIFIILLQIAGAVILGVLVGFSNVGLAAAITLLGVISIVLIIYLVAKVMHSLT VETIVQWVFQNYQKEYSPDKIGTIINIPQQSVINTSPRSPRQRRQSCVPQFSGLQIIQFE QYPSDV >CAK63738 pep:novel supercontig:GCA_000165425.1:CT868028:70836:71622:1 gene:GSPATT00033591001 transcript:CAK63738 MIKHYKYLNTTKEINVYYDLETYFLKHISSMIIFRRLFQFASVISIKDSKHFNTKKNKLR SQRKQIRLLNREISFYKQLRYRLGQLAPAFRHGYLKLLRLEIENILVYDFNYQEQLTQKI IQKLNQNIQNYTQDYVYCQSKNLNQLQSKRNKISLCQNSTSKEQKKEELLLLNQKIEKNT KKKKNFFDREKYQFIRKFKSMGSTYIGGLFYPNCSQFKSQYQQFKSLFLIL >CAK63739 pep:novel supercontig:GCA_000165425.1:CT868028:72369:73251:-1 gene:GSPATT00033592001 transcript:CAK63739 MNDALKRQFLAGVDPQKRPGRFFAGSLLSGGFAGCIGLLILYPLDFSRTRLAADIRKGAN ERQFKGLMDCLGQIIKTEGFTVIYQGFGISLLSVFVYRALYFGGYDAGKIAIWGDDTTQR NSSMFARLIFAKFVVSTSEILASPLDTVRRMLMIQAGQKTNVEYSGAIDCFAKILSNRGP TVFYRHLSYNWKYISPSLVLVLYDEFKKLVAKDGKH >CAK63740 pep:novel supercontig:GCA_000165425.1:CT868028:73255:73434:-1 gene:GSPATT00033593001 transcript:CAK63740 MAHSSTSGSSNFLYDFLGGGVSGAIAKTIAAPIERVKLLLSTDPTRITTPYTSNQIFRL >CAK63741 pep:novel supercontig:GCA_000165425.1:CT868028:73862:75014:-1 gene:GSPATT00033594001 transcript:CAK63741 MSDEFPVPKPIQRVEGNVLPEEEYLRRLEIIIKRDYYPELYKLDKMRNEDGNPDENMSLS KFLSTYTSDENVTLNQIIKKGDDQWYQKHAWMFQVEEQHRLKQAALQSDKLQHMIEGNRE NNLQLNEYKALNPLYFRMDPRNERLQPAIQELKETDNSLNLQKVEIQNTRFSDHHIPLDF AIDQIDQKLQKRKLQECLNAQGVNVFYGSTPNLVGAQRFEDDDMLGLFSPAPQNMKTPLM TWGELGETPVQLDRSYMIPPSSQRDKVGQNLTQQLNVKKRNEQKQQQELLKRRLSSITPY RVGSSISSRVSSEMIKNFLDRRGSTNHFTPLGPKSKNIKKPQ >CAK63742 pep:novel supercontig:GCA_000165425.1:CT868028:75049:77237:-1 gene:GSPATT00033595001 transcript:CAK63742 MENIQVVVRIRPSNGAERDNNDLEIWSVQNQDTITISNDRFNDLVRMRKFVPGQRVEFTF NQCFDSKHTTKFIYQQQIQRITLSSLQGINGTVFMYGQTGSGKTYTMMGYDQEEGILKQG LKDLFGEIAKQSDRQYFLRCSYVEIYTDQVYDLLATQERLSETLLINEDYNKEFVIKGAI EEVVTNINEIMDILQFGESNRHYASTVMNHCSSRSHTIFRLYVRCVPNYIGPNSVITESI LNFVDLAGSEKINIHDSMLKKRGTSAGGNGGTQYKDRQNESKHINKSLFFLTQVISLRAQ DKNDQHIPYRNSPLTKILRSSLGGNSRTAIILCVNPCYSQFEQTLSTLRFGTNAKKIENN VSKNIVGFDNDESLNRVIKDYEIKINELQRARVDDKQQQEMMLKIIEKLEEQRKIFRQQF TASDHIQAMINKELNYQWTHLHYHGVGVLWVPEKGTKEMEVKQDVIDSFGTIKKYQRLQE ELFILKSEKQSLENQVMDLKQKQQETVVQTKKRYANQKAKTRKYKQLSKDLQDEKNKLQK IALCYHNMIEMDEMMLLNNDTLDQMTENLQILMQNIYKVKLRKETKSKGENSETLKLVTS QPIKYPKYLFDTSAVNVLFWDVADNKTTEEQNKSEIESFQSYFQSQLNMSSPSYIELQAS SQQIKEPLKHICSHEKSSQSRIGKENYEPFLKRNLSMEVSDELNFRSYFR >CAK63743 pep:novel supercontig:GCA_000165425.1:CT868028:77267:78494:-1 gene:GSPATT00033596001 transcript:CAK63743 MARIIPVLALILAVATARVTRSESPKEVLAQINKDSFGNSILSVLQLQLATGGPVGEIQI LLNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALG EAEVEVRVVTSDIANNEKSFADEQATRNSQHETWVRKDAEHVDQIDAIDEASKIVQHLQA GVAFAQLKSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLV ASRASLLATEEKQAANWEVQSGHLTEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQT LFLEDAEDSLAIQERWAAEQEAQYEAQTFEREQQLEVVERLQEVLTQKVSAASEFLQIRE EVF >CAK63744 pep:novel supercontig:GCA_000165425.1:CT868028:79052:81949:1 gene:GSPATT00033597001 transcript:CAK63744 MSNSGDDIQLLKKTINEKDQQIGDLEKKLRLEQFRVKKQQNELNAKIENEKSTAQNNADQ INRFELQISDLKSKVAMKFIFKLSETEKKNLQLIEEISALKKRRASGSTDEDFQPKKEHQ GDFPSLQQILGIIQANQGNYQPELTKMYECYTEACQSKDEQINSLKEEIKDLTQQLDIKQ SDSTKQLEQLEERIKSLKQSLDERENELKNVVQQTSDNSDQKIQELEKQYQTQLSEKDKQ LQETTKKAKFDVFKLNKQLTEQKTVVESEQAKTNLLQQQIDRQESSLREFEIKLSELEQK NLLLIEEAKQKQQEIERLNEESLTRVQVEEIPQDKPSYEELVEFIQKFQVNEINYQQELK NLEEELNLKNTHSFELQEELNQYIEKVQLQDRKYQESMRAIKALEEQQISERQVAQELQH YVEQLKQEKEHQQAEFIHKIKELGEHNTNVDDAVNDVEQKFQKMMMIQEEQYQRREQELI HQIEQSEEKLSQQEQLLKKQEQKYKNEIKQSEENNSQMIQQKQLQVTQLQKQLQDETHKI REDSNKQINELKQENYKKEKSLEQKIQEVTKQYEGELSKIRKMEIVLKKKEREIAEEKEA KKFAEEQLQILTEKSNKMIEQYDNKIKEIQIANQVNKQDQHRNSIFDQRMSISSSDINKT FEIEVLKAQIEQLQSKGDIELQAEINRLNERERALKKELLDLQNEIGNERLNFMVVQRQL EKEVESLHQEINNLKQDHQSELDEINKESNKNLSSQKNDFQTKINKLEQKLELQASQFSK KQNDIEEEKENIIQQLKIKIKSLEEQIIELKDKKTIKNNSQQVVTQDDTIQLQQEIVSLN EKERVLRKELLDLQNEIGNLKLSHTIKIRELETLSEQYKQEANHAQQEQDYAEQMAAKLS VQYAELAMEYENVILQNRTYRKSISKLKQ >CAK63745 pep:novel supercontig:GCA_000165425.1:CT868028:82103:84548:1 gene:GSPATT00033598001 transcript:CAK63745 MKSKNINNDSSSEDDAKVYETLTKLPPANDLMPRKLTIDIDVKSLFPLKPLPQFNQFYST FIEETQTTQQSVETVQQEERTLLEYFDENKQHLIYKRFDQLDLSKRSPNDRTYFSTLFAN FKKSYPQVYFRGDPLFDQKLIPCQTDQLKFDSNFESGNLFCAFKRAEDVYDLILQNDINT RGNTQWFFFSVTGARAGQTVQFNLLNHLKSSSLFNEGLQPAIYSIKENEINKNEWTRGGF NISYFKSPFIKEYPQAMRAKYYQLRFSYTFKHNNDKVYFAHSYPYTYTNLLEFLNSILDN PDKNQYMSRKSLCTTLGGNTCEVLTITSNSIQRRAYRKGVVFLARQHPGEPQGSYVMQGI IEYLTSNNPQAEYLRQNCIFKIFPMMNSDGVVNGNYRCGLEGGDLNRRWKKPNKYLHPTV YYAKKYIKGFSKERQIILVIDLHGHSRKQSSFVYGCAYSSQVKTIERVFALLMAKMNPFM DYSSCTFKVESSKDKTARIQIWRELKINWVYTYECSFFGQQKKHFQIKDYINCGVSICNS LSQIVKDTSKEFTNQANIPDIQQQILEELGKMPQTDDQDQGSDNSQSDAELSDDEIVQLF QPKTYSLKQKNFLKQLDTKKKQITTKQTLVTQKNSILQESPSKNQQQHQDNKLPINSLKP KNVTQRIPLHVKLRQIEPVREQQQQPTKVDKSCQTDDWMYRQWLIQIGKQKKKEEYQSQT PTRNIQKSSSQSATRFIVKNTSKPDRGRIDSISLVNSGIQKTSFSQQRQIMRYSGSNFMY >CAK63746 pep:novel supercontig:GCA_000165425.1:CT868028:84675:85103:-1 gene:GSPATT00033599001 transcript:CAK63746 MGNNPQQQFQNQQYDDEWEILEIEKPKKILNLRQSTLNKSIFTVIDYQEPSQNKAKPLFS GNHPEKQTTKYQISKRIQELQHKIGGETLFGVRKGHGPIQLGVEGQSEQKLEDRPQFPRK HKRAEVKFANLQLD >CAK63747 pep:novel supercontig:GCA_000165425.1:CT868028:85420:85623:-1 gene:GSPATT00033600001 transcript:CAK63747 MQSAMERKSQRSIELSQKNLDGSNKSISYEMWDKNDGLDSPMTPSIKLPGSNQPKLINSV RNQAKRP >CAK63748 pep:novel supercontig:GCA_000165425.1:CT868028:85885:87087:-1 gene:GSPATT00033601001 transcript:CAK63748 MQKIEQEKFQHQIKILFLGDTDTGKTTLLLKYVTGKFDPSQTTIGVDFKYKSVGYQGKMI RIQIWDTAGQERYRSINQTQFKNANCFFFFYDITNQKPFEEVLRLLNDVEQLASPDVIKI LIGNKIDLNSNRKVSYDKGKQFAQENRLEFFETSVLQDRILEDPINYVLEQFLKQKELEQ KQNIQKNQINVALSNQIVPMLNKKTKQFDQILKIILLGDNGIGKSSLYQKYCFQTIISTT PTIGVDCYDKIVEFQGKKLKIILWDTSGQEAFMPIVQPHCNNANSVFFIYNITSKDTFQG IIKWINLAKKSASRNTINVLIGNKIDLNSSRQVSSTEGQQLAQDLKLKFYETSARYDNPL EDPINYVLEQFLKLNEKQQIQSNQKTVQIENRNQSLSCNC >CAK63749 pep:novel supercontig:GCA_000165425.1:CT868028:87362:87988:-1 gene:GSPATT00033602001 transcript:CAK63749 MTEKQIEFEYLLRIVLVGDSGSGKTTLFMKHAEQQFCQNLSPTIGIEFHNKFVEYQRKMI KLQLWDTAGQETFRSISQNYYRKANSIFFIYDITNKQSFERVYQWMNEAKQLAPSDLIKV LIGNKSDLINKRQVSFDEGKLFALENDLEFFELSAFGNRNLEDPIYYVLEQFLKQKESEQ NQIIENNLALSNQIVQIENINQNQSCKC >CAK63750 pep:novel supercontig:GCA_000165425.1:CT868028:88174:89476:-1 gene:GSPATT00033603001 transcript:CAK63750 MNKYCNNNPTGEWSRLIQQQNSQSDQQQQLLKYEDYQRKLQYRQLNIEIVCRQELQTAMQ ERKKKNSESFDTNQYTDQVQQSLQRQDIEQFQKYQQLKQKEAEFAKYSLEQQEIKRVLQK QQNLNEKQQISEQMFRNQQIFKEELIEKQNKKNKVIYELNQSYKDMEDKKKFEQVKTKLF EKQSMQEELNKLQQDEEQRRNFLNKLKQNYHQNEGLLERYNQIYIQKEREKSEMENKIIK EAAEQKRQQDEQRQIIERAIRQKKNKETYNSIMDQIEYKRQWKEREQQQKSQELEYYGVV NEQYKRKDQDDLYRKVEKQKQYRQDLIMQIEEQQTKKKRDQSMSAIEMKINQNYYNNEAL NVGIVPGIFVDHNRLKQQKYLDSNQSKRQSSQFQRQRNLSAYFASSQMN >CAK63751 pep:novel supercontig:GCA_000165425.1:CT868028:89582:90180:-1 gene:GSPATT00033604001 transcript:CAK63751 MKVHRSPCQSFHTVRTSQGNFTTPERLVKVQANSGVKCSPFQKNENQFKNYQSSTISKRK DSTTIYDYINELEQKINKLEQSQTRSLNNNYPEILQLEKRMLMLLNENCELKSEALANQK LAYENEQLKQLVQEQQMKILEFGEQYNLLFDSHQKVCEEYRVLESKIQTYELTMSIRKHS SFSEYFKTSE >CAK63752 pep:novel supercontig:GCA_000165425.1:CT868028:90641:90868:-1 gene:GSPATT00033605001 transcript:CAK63752 MSQEKSLPSASKSITKKPCSWKQMFRKKNCEEQKKREELRKLGEEIARTEEFLQNAWAIV AKVVKSKSHLNQMKS >CAK63753 pep:novel supercontig:GCA_000165425.1:CT868028:91167:92560:1 gene:GSPATT00033606001 transcript:CAK63753 MKKFRELKKNGDGTFGVVIKAEDMQSHDLVAIKKMKQKYHNFEECTNLREVKALMKLQNH PNIVKLKELFLDNDTLCLVFEFVDKSIYQMYIQQKEMGKTIPEDQIKSLIYQVANGLSYM HKHGYFHRDLKPENLLVSNNGVVKVIDLGCAREIRSRPPYTDYIATRWYRAPEILLKQAN YNSPVDIFALGCIMAELFLNRPLFQGNSELEQFNKILSTLGTFTQSEWPEGCRLVSQMGL ALAQFQPLQLQQLIPNASTEALNLLTQMIRWDPNKRITATQMLTHPFFYNIEKIAPPIIF EEQVKSKDELKFPEMEKKPKSYSQKEEPQNQFKQKQFVQQQPMEDDSNDLDDILDFITTE NKPLPSKQSTQSSKSLEYGEYVPSIPQNRQPRNLQSSQLQEQQKKDNSSIYDFSHLQSFK PAKMPNTNSKY >CAK63754 pep:novel supercontig:GCA_000165425.1:CT868028:93114:94159:-1 gene:GSPATT00033607001 transcript:CAK63754 MEQQVEQLRNQSVQSTFEANKPKRPYQKISQETRSQILQALTVEKLSLCNVAEMFKTKAC TCKAILQTYEQEGRREKKTSRRERVEIQSLLKIIVVDPLGRSNQEYINIKHSKMYTEEKV LSRKEEKQLKRRLQQEILAQLEELQTGCYNVQQTISKDNNPDEKAPENIARGVNMSLQLI QSKLKMDGVSLSKQETKKLKELKKHQQLTKLEELVKVEEGKSNQNSYIQQEQKFEIPKTN GLQELGQNYSKIKQFIESVNSKSQVNPQIIDKLNYLTNETIFQMSLKQRLQDKDFQVSIL KHNVSRYLKQFFLSN >CAK63755 pep:novel supercontig:GCA_000165425.1:CT868028:94607:95703:-1 gene:GSPATT00033608001 transcript:CAK63755 MKFLICLALIAFTQAVVIKHASNPHAAVFAQLENLEDNPLGKKILDTIALQMKNQSPLSD IAKMLQDLRENLVLQQQDAELVHVAQEADCEAEIYGYNRRIEFASNEITEATMDINKYTS DIELLEQEIENQVIQLNILNQQEEQLREQRAEDHAAYEEREIQTPKVVEALDVIAAKLSA IQPEADAEVVLAELERVGGENPILALVQLASTFSAEKLQSVQAKIGELRASLEQALIDDR EQEIQSQLNFEAQIYQIEEQREAIQSAKAEAETKVVQVEHMLAAAKKRKYDAGRELESAT NGKKMKEAQCDNWRSLYARDTEQRSTEISIIRQVEQILATKLEGASSYIKGRIN >CAK63756 pep:novel supercontig:GCA_000165425.1:CT868028:95903:96287:-1 gene:GSPATT00033609001 transcript:CAK63756 MGKKKEQKPISNKDINDIFKPIKKQNKQRVNNPDQVQNEQGNQEQKQKKSEKSKKTQNPQ AAKNPQAKRFQNSKQEQSKTKYTEEGYKVYSTDDLKIGKGGNTNLCPFDCECCF >CAK63757 pep:novel supercontig:GCA_000165425.1:CT868028:96308:97676:-1 gene:GSPATT00033610001 transcript:CAK63757 MTSVPLVSVFSADDSTKVTAKHIPLPAVFQTPIRPDIVSFVHTNIAKNRRQAHAVNPQAG MQHSAESWGTGRAVARIPRVSGSGTHRSGQAAFGNQCRKGRMSLPIKVWRRWHRRVNIKQ KRHAAATAVAATGIVPLVLARGHRISQVPQIPLVVEDKIESYEKTTDALNFLKRFGAFED VQKVVSTKVVRAGISKQRGKKYRVRKGPLVVYFNENAKLLKAFRNVPGVEVVNVTRLNLL QLAPGGQLGRFVIWTQSAFAHLDKLFGTYRYASVLKDGYQLLRPLLTNPDLARIINSNQV QEKVQPAKTTKVLHDVQKKNPLKNTKAMDRLNPYAKKQRAAAVAAIKANTKGTKKIKKNK ALKKASKAAFNKVAASLSDATKAAVQEEQDIKVKYFSVQKGAAQAE >CAK63758 pep:novel supercontig:GCA_000165425.1:CT868028:98063:99543:-1 gene:GSPATT00033611001 transcript:CAK63758 MSSDIKKQMNDFRKAHFTVGFDKSSGSTNYVDDYTAKKPDKELPTTEEAKHFEAYIANPR KAHFELGEDNVNYQSIAKLSFNPKESQKAQLSEETQKDLRNHHFKLGFHSVPSETEYSQY KNQPLDIQKKQQVNIRKHHHDFGDLKTYFTTTYQDTNKEYHVQKEEYHAPDIRKTNINIG NNPMDYTTHYARYHDGQQNPQTHNKGNLEKFLKESHLVLGEDPTVKSSQNKDAFQGQQNK EVIRVNKEVLLDLRTAHFDFAYQKAEMPQTTKQSMFQKTAIAVSQPNLPTSSLTIGTHGF LNDRYYQTSYATNYANPNTQKPELVKDPRITAVKFGDEQVDYNTENNRNYQIPEKVDQFK LDRNQIRDLRQHHFQLGTDPIEYPSKTSQITPQPNALSEDQLKDLRRQHFVYGSQIGTYN THNSEYGTRQGPPNKLDAQLQKDLRMHHFEEDGERGFQTSYRAMQPTQ >CAK63759 pep:novel supercontig:GCA_000165425.1:CT868028:99558:100667:-1 gene:GSPATT00033612001 transcript:CAK63759 MRASHILLLIVAIKAVKFLDEPAVLLEDDEKPYMQFTVFAQQSSLFTDEELARNSEPAKQ YESGFNFEDENQSALNSADQSQVLLAAQYENDLPATGDCVVLYSACDFKGTSGKVCKAED AMNFQIPIFSIYVPIGQQFTTLDSTKNEQVAFLTSEKCLNDPLVMADTQKDVFIAKPSLS LAGSDIASSDDVTGPQPQLIQETQDQFDAPQIEEDQQVNVEQVQEQVQQTEQVQEQVQQT EQVQEQVQQTEQVQEQVQQTEQVQEQVQQTEQVQEQQVQEQQQQVEVDAQQQEQSQQQDQ QQSETPEIQQNETSSQEQQASVDDVPQAAEVLVGQTQ >CAK63760 pep:novel supercontig:GCA_000165425.1:CT868028:100712:101475:-1 gene:GSPATT00033613001 transcript:CAK63760 MSNSLKYLVIYRHETEKLIASYLIQSGSEEPLKSESSKVCYELKRNQLRIEERQKVDSAN GSWFCKIDDKGLFYLILGISTYPERHAYALIQEIQNEFSKLGNSETLKDDTSLKLHIKKP LRDLGSKYNDLLSLDKIYQAQSNVDQTKIVMEDNIKNMINNGQQLDVLQIKSEDLNKNAK QFAKNSAELASIMYWRNMKLKIIIGLIILAGLLYIIVPIIIKVSASN >CAK63761 pep:novel supercontig:GCA_000165425.1:CT868028:101478:101778:-1 gene:GSPATT00033614001 transcript:CAK63761 MVTRDGRPMSLEQVYLRGSQIRFVVIPDVFKYAPMFKKIRANAKSKNMQQIREKARQVRE ELVPRIKQGLEQQKK >CAK63762 pep:novel supercontig:GCA_000165425.1:CT868028:101786:101943:-1 gene:GSPATT00033615001 transcript:CAK63762 MSLGVPLKIMHEACSSYSYSRTQNRRDVHWIHGRGRGHNECPIG >CAK63763 pep:novel supercontig:GCA_000165425.1:CT868028:102021:102482:1 gene:GSPATT00033616001 transcript:CAK63763 MDNKKSLYERFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMGD KNTQYTGKDLVEVHKDLNITNQQFDKFKVHLKNIAQDMEVSGAAFRRITRSR >CAK63764 pep:novel supercontig:GCA_000165425.1:CT868028:102602:104458:-1 gene:GSPATT00033617001 transcript:CAK63764 MGPTQGKELSPQMRERVLKLFARFDVDGSKSIEKSETIKYWKSNFAKLNTEELFKSVDTD NSGTISEEEWLNFWTQVLRSGHTEEEIADEKVLNLDLRGQSSRIWKTRRVDIDHQYTNIK ILYNYMLQFRRTIFDQNEDAVMWKQDNQPPPLPLQQQGNVLMKQYDKFIPVQLEICPERL YKRLSPNQLVYCTLDKCRLRKFTFYDQVLPHHKFGIALQQRDQQETFLIDSADEFRKWFL LFKRYCVLDKFKQKFKVLNKSKLEDPLFGQCYFNCIHSNNYKLVKIIDKANITNYQLQCL SKEISSLRKLSSPLVIFFNELYEDDTNIYIVYNHYQGLDMRSWLKENSQNLEERLVAQIM FNLLTAISHMHARGVFHRDIKLDSILIQSQLPSVLLTNFCYSETYIPQTPFKKCGTPGFI APEIFKTKLYTPKADMFSLGCLFYVLYFGKIPFSGSSQEEILSRNEAAVVDYQIQQCLIV SSSGINLLKALLIADPEQRLSAQQALQHHWFIKMGTKQQAKFHKNIIKGKSLSTIIENSV DITHRYEGDVIVQYAMSDSVEHFH >CAK63765 pep:novel supercontig:GCA_000165425.1:CT868028:104484:107511:1 gene:GSPATT00033618001 transcript:CAK63765 MSDQDSGPNFPQTLQVSNQIEIANRTYSEILMEQIVNDDDFLYQSMIDDDQYSRAKVIPT IANQTIIYRNTIDMKRQQPNIFQRCFGSKKSSNKVTMAEIIDLRQKELFNDYVYETEKDG FLKDVQKYIHKVKVLEPDSQFYILWQVLNSILVVLFFFQIPFIFAYQPLIQENKSNDYQD FETFALNIAICIFIIDIALTFNIAFYKQGYLVSGRKLIAVNYIKTYFFLDLIPLCCLIEY RIFLHKDTQFGITIFLFILKIYEVFKTSKMIQEYLQLEPQKLAKYRLLIVMLTITWLCHL FACVFFFVGRRELCKGDESVSWLSESDLITMHGGYTYLKKHIFELHLYSFYWAVTTMISV GYGDVTPKNAYEVLVTVVTQFISCIVFAYSVNAIWEMINQQNENKQKFQKYVNAIERFMR EHNVDRKLKARIEAYLYHLWESEKARDHELEQAMILKLAPALKEELIYQTLGKMLNHNQF FSYFQQDLLIELAQDIQQQYYSQEEVIFQEREEIDDFPVFFLTKGSVEIYLDSDKPIKLH IMKSGIFGIVAFITGYKRTASARCLTYSVIYKLSRTQFLKRLEKYSIEKQKFFEIRHQVL FNNNNVQLKLKCYICESKKHLVINCKKTLYIPEKMPIILETYNLQNQRDHLYQRRVVKQQ FKALSHIREVQVNAVAIKKYFQNITFTQNSSDEDIEYSSDVDDYEEEMEDIKKIVEQEKE KAQLRKRGQWVADDDYEMKIDESKDNHSIELSDGQRKSQQLIKHSDEEIKKKSNLTKKKK FQSFVKLEKLRNVRTSSMSSLTPIQEQVKKAVPVKKDKGMSALLPNNYLAQRKKSKLLQQ NEIPNCNKSQQIPQQNEKYEKVQSPDRHIYNESKKSELLRKMKFLQIAQPKNKEIQSIID ELKNYIQNSQNLQGSVTKEPGSVLKTEKVDNNIQQMESHSDVDSQFSIDHMANYDAYFQE ENPEKVIKKYKKQTKDKIKQRRTTMMRQFV >CAK63766 pep:novel supercontig:GCA_000165425.1:CT868028:107667:115039:1 gene:GSPATT00033619001 transcript:CAK63766 MSQLEPFEHHYSNQYFEVFGCSHKNRHIYSEKMICTDCGIFLNNVKFITTSQPNTKVYKT LRMKYSAFFNPIKVLQRMMVDGQPSGPTKQRQQFIEFILQVSERLNLSINTSFLAINYID EYFNKVAVAENQTYLFVSTALMLAAKAQELDERVPFISKLKRYASLTNHPEISHFSIQDF KSAERQLIQKMEWKLQRNTLLDRIEALLSFGVIDDDDSLVQQQQKENKDSINQQHIKLRD LQENQILYYVKEVESKYVEIALQIIRDDQLYFQTDQTILALSCVAYLRKRAGLLNIWSQQ LQSLTGVGAQKISSSVSQIMTLIAKSKSFKTITKMPTNPSDLYYQQINTPSNTXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRAQRATARQRPSIYYSLK ILMICIHHTLNNIYPKTRVLSHFLEINQKNLFFIYIFKFHTNIHVQSH >CAK63767 pep:novel supercontig:GCA_000165425.1:CT868028:115357:115706:-1 gene:GSPATT00033620001 transcript:CAK63767 MANKSKELLLDNNNMLYNQNQQIENIVTTLAQTNQTAAIVQEKLLDQGEKIVGIANTNKD IQRETRRVDKQTNQIIRREFYMKLILYLIALLLFAANIIVLAIKLSND >CAK63768 pep:novel supercontig:GCA_000165425.1:CT868028:116239:118586:-1 gene:GSPATT00033621001 transcript:CAK63768 MNNVEKEIQQFENSEQIYRISSVWKMKPLKIIQKIAIFITKMKHFSPIYRFKILNKNIFY LIRDRTSSFQYYLYSRLLENQPTRFGEIKYEAKLMNAIWWRLWSFLKSNQTVLLPADKFL FVWDVILMFVTIVNILYVPFQLSFDLGKEEIGNAYLLFSTLPSCIFLIELILNFFKGYYV RGILHTSKHDIFWHYVKGEFILDLTVVLPFIISWFGYSFANYLMLIRMTKVRRTMVVIEE ISNFKEKTAVIYSLFCLIYSLLLISHFCACLFHYFAILEIDNGYSHTWLHQQGIYESDAF VKYFTSLYWVTITSMTVGYGDIVPVTTPEKILVTFMTFLVVGTFGYALGMIQSIFYKLAE QQNLNNAKLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFKQEEELDLDELMGQLNPKLKQ EVQIAMYFSYFKKSKLLGSNLSDEILKKLCYCVHERTYAPEEFIIKKDDHPEKLYIVLSG KIKSVLLDRTIKRYVSGKLVCEREFFFQDQMQFDMVAQTFVQVAYINQNDFLNILQKDTR QYEKYRLILDGTQFGDNNNQIICEACSSHHQFKHCPLVFFRKNKNKVISIYNSSVDHERQ MYMRQRKKRRFNSQLVKERAFEQFLEQNKTLAVVDNKLLIRLGLQRNDEDEASYPDQTSV HAPQLTPQNQLEQKFVFKNRITRQVSHRCLFAATSSGNNAQENQEDIQTQIFNSETNIDK VEEYDYYYPHYNITKVIKLINNQNIYSKVLDKIRGHKNRFAQYIARQIMYKIL >CAK63769 pep:novel supercontig:GCA_000165425.1:CT868028:118597:118812:-1 gene:GSPATT00033622001 transcript:CAK63769 MVLLCINGCIQNTFAMVVSIGTSISYSRTYSMTGMLQFLNTIYKSKYNMKKDEILILVFH KRSSTSGGQED >CAK63770 pep:novel supercontig:GCA_000165425.1:CT868028:119084:128193:1 gene:GSPATT00033623001 transcript:CAK63770 MVIGIKGNLAYYNKIQLIHLFYGGGIINLSKYGDNIILRCMVDNKIYYLLARNEQYLMET KLQLGNSCTFNMYNFPQHMVFTVFPKLLYEAQKKYNSDKSIGASFDVQKQLLYTRMETEK KYNQNLLKQTRGQKIMYGDTIMLYQPLSQVFLLRAENNNSNSNIGTILSSSMYFTVQPDP LALDIKQGCPVHSFDQFLLVQSDTILKFDTLQEIYRLPEVVKSQNLDHNNEFLTIPAMIE NQYQFKFYKAQYKQFTTDSKESISHNTLQAIVLKQDKDKNHLFYGQYVRIVHMQQKIKKE RQESRRRSIKIEEAQENQINKGYLTSSINAVGCYPSVYLQINDIQNSDSIERAASIFQIL PENDQKYSDEVQFTVGNKPNLVGYTTFLLRHMLTGEFLRVNPFDNKLQLSKDVINKYRQI NTTSSKKLETTRQNEPKSTVQKNLPGIKLYRNLITQSNNTNQNNINNIQTQQDERIATQY QQTQGNIEELEQGSCMSDHQLLFVTKLGNNPIFYKDQLPFTNDTTFNIATKDGKCPIEVD NQEEGGYQVKLITKLGMFNQYGEDNLEQTLYRQLHDEWITLIPDLDSFIKKNKYQLSSQE KEVLEFIEGEKKSQAKNKVDMRHYKSDDETFKPTFINLNPLNTKYLDVLANEDFSGSNFK FEVVDQSEIEELSEVLDLMFPLTVLAKNSIQLSTLKFKFSNLKFKDSKESKDLASQFLRC QAQAVQNVNQAVKSLFKIMLWLTASQEALAQENLISEPLVRRQCIAREIGCIDYVNKIIY ELNINGLLYEDKDSIKQDEEMFQQDINQLIDLLSSFLQLVCKNSNDNSLYVVQWYCLYKH ILLRGQVNDLMRLDILITQLFQQSDVMVSFEFEVTQLSKETKSTNYNKHAFNLLIAFCLF TEFRKKEGIEGIIDHIFKQNKDSIFAQLIFDGNIQLILREKIQDFQKTIRQSMDSGLPIS SPIMIEGLNFSNRLALHDYIISCVRLASEISKSSPALTLKEIKHLFPFYTVAKIIQDNSI SSLTKSYFLELFQNSYMAKHLNPLPRAQFPQYLKMVVKQNKGKGFLSMFKKEEYDIILYT FAQKKIADVYQKVAKKYLVETDTTVDFWLFLSDFITNFWKSKSIYEIKGTDHIYIKQLIL TFTEFLKKGLFIEYLSNAHNFYNMYHKFIEFLKNSVVSATLEEKYIKHQLQDNKKSKEQK PLEVKDYLFESSVAQRAVSRTTYAKSMIWLDELIEILILLEQFQQSRMADQFIITDDNQT MFDDLQYLQKFAKDKKYADVVQRLRQAKRASYHTRGQQSQSQLNQKDIFTTQELTTILRE CSYARKTVILEYLGGIKQTDISLVYFLIELLTLNQIRLSAKVTELLWLIYSSRSNFLQTL NQTILIEAFDQGELQLQVNQNIFTDFSNIYWKLHFAIIDLKESLEKDSNKHYETINDALS DMLVIFFPDYAAKSDMQMFMEINQLENEEKHYQAQEEKMVKSKIKQEVAVIEQFVSRAEL DLIDVSAQSPMRDQQNEQLQSLKSATIDQQQKYVWKINNSLSIDVLTIYYRQKLLRYLGF HDLMYKLIENLIQETSTLFREKDVLVSNANKKGWEIKSDILEKSILFLIYFVIRNEENQE MILNLSKRKDSFLHEMSNVKRKQLQTLIYILFAELFRDNYNILFSLDKYNDENSILAKLF GIFGNNFASKNYEAAIYFLQFLQILLKVKESEVVQNYSVIIQLFQSTKQLATFAKDIATL IHTIYLLRLEQFFTGSHAPLPQTPNNQQRHAQFNGFIEMPLEVLFMTELLRLMRIICSKR SVLVNEFVNKLFPVTRVAEMLKQLDEWYPLKSELILYFQSVFLDNLQFLTFEEKQEVVKT ITEVIFKQMTLSFIENQNLKKMANAKLVNNIVFNIFGIRNQYTSQEFIMSISHEKASFIY VIFGVLEVTENFVKKVFPKFFQSDEQEHFEDVQNEIIFMAQTISETVQKVKEYINDVPNG GTGGKMKNSNYKRRSGQKTTKLFNLTHKLLSSNQDEQNQELSILAKEDNEIDKINIIMIV KTIDSVKLSEVSFQLQRNDMQQAEQGILNKWINSIKSQRTKLVDEHLVASERENEIQQLV NLIITLKRDDTTKFKRMVTALIQMLSETSVSPSIKLKSINIVRKLSNYKEDFQVTKSILK DLGELGFTDFLCDLIKQEVDSEQKLEYIKAFVDYIDDSSREIQNSFLLYLQRDVQNTFIR NLQNFITDMFQDVRMAEISRIERNNKNINKILNNKINGCILIFEMFRLGCEDHFSDMQNF LRIQPNSKQPINFIMFTAELFEKYVEKMNEHNAALGQKILDFLIECVQGPCLENQTELCQ STKILEVLEQIIIQQRVDNDKFQRRDIVFSSLRSKVFLLQLSLMEGNSDQEIANKISQYF NPQILFERIQLVYWKIIKKIRNTQMQINLELKVLDRVKTEAEESVNLHGIIQTKDTKETL PNQNLFMEFFSENFNSSRGPSHLRESQQTQVNAIQEEEMEEMVGETFMMYLLLKKLSNYI PQIKNLTDGENNPKLRRALNYYKNETASIEIVNMNGKLEKIYFQVPTLMKYLTEETKHQF MEEVERDSINDKINGLLDNMDNFYMEMVHFMKLRKIGIRFNNNFIVYLRNVCIILALLLN ILITINDTEEKLANLAKAIAIVNCILYGLIMLTWVFIRMQLEYDRIYKQVSDLIQLNYNK KSNQGQTQQVNPKTVIYPFQITLLQAFLIIKRMLFSSYTFYWFLLLVINLLGLLYNKLFY GFLLLDIIDHSQVLRNVIKSISLNYKQLLMTALLGVLIMYLYSLVAYQSTDFKQQLKDGN DNNGDDEVTDPLDQTVCYSAFQCLVYVIHQGLRAGGGIGDALEAPPTHSNLNYYSQRVLY DVTFFILINIIWLNIIFGIIIDTFAELRDQKNQKDFDSQNRCYICDLTRTVFEKEGISFD NHVQKYHNPWNYLAYLLYLKVKQKTEHTGTESYVYSKFLQNDISWLPIQKALDLELIAEQ QQKQTININIEEHNQKSLH >CAK63771 pep:novel supercontig:GCA_000165425.1:CT868028:128571:131619:1 gene:GSPATT00033624001 transcript:CAK63771 MSKLDDFWLRDKMYDLLGLYDNITHDFIKECAKSSTSATELKKKLIDSSFPAEDEFCEEL LARFAGIKPPKPSEYELWEKEQQEKKQKEYELVEANKAQQLQVHNPNENKEEDKKAKEEL HQKLLKKKPLDRQQEELLKKIELEKVKDESRMKYLKERELKQLKLKQMIQKDEEQIFDEA ELTKKEKEERELNQLILKAAETQKDNDIVVEYYKQPDLYEEDGKILKDKTDVLKKKATDI KAEKPEEEQWQQHISTRANQHVRTWDEIEQKRIKKYELLYENAIDFVQLEVIKELGKIQN EKAKKKEGKREEEKERQEERQEEEETSKFQQHSSEEEQPKPQYPMEPQKPQKSAIQIVKE GLPIFKFRTQLLSLIRDNKVIVMVGETGSGKTTQLAQYLHEVGYTRTGMIGCTQPRRVAA MSVASRVALEMGVKLGHEVGYSIRFEDCCNDSTIIKYMTDGMLLREFMIDPMLQKYSVLI IDEAHERTLHTDILLSLIKDISRARDDLKVVISSATLDAQKFSQYFDDAPIIQIPGRRYQ VDIYYTQQPEGNYVEAAVVTVLQIHVTQGVGDILVFLTGQDEIEDAEEMLRTRTKGFSKK IPELIICPVYAALPSEQQVKIFEPTPKGCRKVVLATNIAETSITIDNIIYVVDCGYVKQT SFSPSTGIESLQVVPCSKANANQRAGRAGRIAPGKCFRLYTAWSYNNELEDSPIPEIQRT NLGNVVLLLKTMGINNLVNFDYMDAPPHEMLLRALEQLYSLGALNNEGELTKLGRRMAEF PLDPMLSKMVVTSEHFKCVDQIITISAMLSVGNTIFYRPKEKQVHADTAKKNFYRPGGDH MTLLNIYNQWKDCNYTKEFCYESFIQFKAMKRAQDIKEQLTSLCERVEIDIKDETLSVYE DGGINIRKCITSGFFYNSAKKQKSETYRTLKNSHETQIHPSSLVFQEKPEWVIYHELVLT TKEYMRNVCEIKPEWLYEIAPHYFTEDFIKSNQKTLPKAKIDYNQF >CAK63772 pep:novel supercontig:GCA_000165425.1:CT868028:131631:132446:-1 gene:GSPATT00033625001 transcript:CAK63772 MWKLLLIALTLIQLRAQEEELSEEDQESQFQQQDSQQNYYQQQQYGCIILTKYHLQERSQ ELMQLFKQITNEQDKRNAYEKLQVQLVMQCIQKIQYQQLADLFNQLRQQTFKYQDFQDVF MMTDLSLEDDLTLTDQEKILSKEIEQFDKNMQEQQKKYKQEQGYDDDDDDEEMDPRRRQQ PQGYRLFGVDLSKLSGTTQTIIFAGLISLFSVILFLGLRFVQQNTQGPVKKAKKDKKVKQ K >CAK63773 pep:novel supercontig:GCA_000165425.1:CT868028:132497:133157:-1 gene:GSPATT00033626001 transcript:CAK63773 MELLNNQEKELLSRYNKYISNTRTQETIKYSDIFVGKHDIGVGQLRDEMKFQNTKMGIKS SSVTNRDEFKNIMSEMRNNQIQKRESEKKSKNKNSQHIEDNSKQKQFEQNQKLVIKECVD KPEKQVRQKSALSQDYPELKKRSDRISSKQTTMDIQKQFNEKLLQQLERLIQMKKIDSKF FFCLIKRHIEECPQFGKRVKEEIKELLNQLL >CAK63774 pep:novel supercontig:GCA_000165425.1:CT868028:133237:134525:-1 gene:GSPATT00033627001 transcript:CAK63774 MQQPAEELDQFIQTLNNQSTIPFLQQALTQDQLLAQNARLLQQLHEQQRMLNLQSLFTQN VLQNNFINSQITQESKKIKKTKSTLQRIQSNASIKEQTPQIQEIKGNVKNAYIKKITSLL SVEGEKLLDEELQEDLQGDSSSEEVSKEQKFGLAGLLGSANDLLTAESKNKRLRQSAKNS RLRKKVYLKLLEKKVSDLDQKIQEYKKTTRQSFEYLTQLLTSHPILNSMIIGNSNAIDQI IQCRQSDQAQLILDSYLVFMAINYQMRYGTCGIKRRDYFKYAVKNIQRNFLKGNYGLQLI SFNKDVKNCTIVFDIILDDDEFNTYVEIVKKQTKLDDENLIYKKDILIVFSSVISRLLLK FNTEMKRLKLIQQEVEENVNQFTQQLTPLQQIELIKGVEKLATFNRSLR >CAK63775 pep:novel supercontig:GCA_000165425.1:CT868028:134598:135392:-1 gene:GSPATT00033628001 transcript:CAK63775 MKEHQGQLGVEFQPIKQKYFLHEKEHSRQDPFVESLQEYFQIFRRRIILHILLCTLKTQQ LIAFFFLALMIPSIVLQADYIELDVSQKGGIFTMEQTWDPPISVQMFSKTDILLLDVQLS LDGEEIEEFTIYERLYINTQYNLTSNTNYSFTVRLMAQFDFVPTCNASHLAFVLYPYIHS LQKTLIFYCVQFSAFVSCESQLILLHQFISPNLLIDRYQKDHLDLLIDSQNPCHKLKVQI RNCLNMWFT >CAK63776 pep:novel supercontig:GCA_000165425.1:CT868028:135756:136623:-1 gene:GSPATT00033629001 transcript:CAK63776 MSNKESQNGMYISSSNDLAKHEDLFMPKDNEQRDNVKQELVRPQTQSQLSSAELIEDVPV PKLMLYISQMSIDDCQNQTKKPMSIEGMSPMKYSPPKKQERKVQQEAVSEIRQFEIQEIP TIQQIQTRRKGNKANYNVDDSPVEQDEMKPCHCTKTHCLQLYCSCFHNRRRCMSECRCND CYNDGQHEEEVQKAVEQIKIKEQRASHHDLDSFDTKQVWGCKCKKTKCVKGYCECFIRGK KCTSHCQCTECENRRMPQKKQKKNQQNTQINKKIKKKSQV >CAK63777 pep:novel supercontig:GCA_000165425.1:CT868028:136931:137826:1 gene:GSPATT00033630001 transcript:CAK63777 MNLEEILLHLFDKTLDSSSNDHLQTILASQAKIIQLKKENVTPTIIHQKSSIQKKLDTLD KKILNRDSLKSKRKSKNDNVFKTASQHENDNTQKFVINNNEDQKDQSLLKLQNKQLQTLI ASLEFKQREKYLQNCNRIRQIQQEKEKLLENNTNLNEKQEKLNQRSQTLMQKQVILEKQE KQMQYQGLCIQQNQQEQQKNDELLNERREHMEGLQMKLNEFVSQLLNLANSKNKVENQLP IQFDAIEFLIQYILKERSILQSEQQYLRKFKQDVLNEKKHVLELKDQFS >CAK63778 pep:novel supercontig:GCA_000165425.1:CT868028:137957:139087:1 gene:GSPATT00033631001 transcript:CAK63778 MQQLETQYCYYSNFNLFESTSQLLKRDKFVKSLLLTLGEEWRIYAGQAITIELDGQVHHF AWQFCFENLLFAWLENEDTPYLEFYDKSIQRISPYNIDVVYFRPVFDGFFYSAQQLWQKM RLSRPPSQESFKSLDQIKQEEIKPMSNILKPLVVVSERIINYFRKEEQAQPQRMEYPQYQ QPSWKQISKLTEDSLPLELIENAYIYVSQFIQKTYKNCQVAKSFIVNIIELNHDALSKFQ ISNQQIKIRIMQPSCLMYDNLLSIWTLANAGIQPQYFDLIDRAKSLLGNNWKDNYQQPVE VFFQMMSKLHGSIILNPQIKSEDQLNHLLQNMRIQMAQLWEQEIVQSTLEKQ >CAK63779 pep:novel supercontig:GCA_000165425.1:CT868028:141500:142651:-1 gene:GSPATT00033632001 transcript:CAK63779 MFNPNATQQGLFGNQPPQTNSIFSAPAAQQSNNLFNNPQTTQQNNMMFGQTNTQTQQGIG GFGQTSLLGQPAQNQGLFGPTSTTTPNLFGNTQPAFGQQPNLFATTPQQQQPGMMQNGLQ YPQQNQIQTLINDSNAFKEKYNALTLKIGETEKTFINQPAQNQQWQQMIQPISQIVFQID TKSVLDTSEQILAGQKDLSKNLNEYISTVGLVQKITEDGLEVLKKEEAQCNDYQKAQQTM KESYDQLSGTVRLNQQFSVPQKYQEQLIIQQYEQLDRLTSTVNNMIKYYEKQPNMDVDEA LQYKLMHLQTLSNYQCMLSSRIRNIQEKISTFQTKTYSQIMPDKKIDEFYTIDTHKDLLD QIIAEKI >CAK63780 pep:novel supercontig:GCA_000165425.1:CT868028:142718:143316:-1 gene:GSPATT00033633001 transcript:CAK63780 MEGKYLSIGKPQGDDFDDGMIDKITRVKIQYNKQNIVAIGIFYEQRQIYKDHNFCANSLS KFYFYVWEEEFVIEKDDYLQQVTGTYDGMYITSLQFVTYNGQSMHFKASCLGESFNIENY GDTFSSCSGSFDRNGLTSLVFKLMPLNWTDIERFQNKILLLSYGHHYPQKYIPQFTQYLN YPLN >CAK63781 pep:novel supercontig:GCA_000165425.1:CT868028:143362:144845:-1 gene:GSPATT00033634001 transcript:CAK63781 MNLYLEQAAEEFEQAISDADQLLALTKATLQKFTNYLKRKQQEKMERRYHLNNITEDSNS MKQLDKQSYNQLKILEYFNKFHKEVDKQLRDLKEMVPSNPQTYVIEELNQQEQTNKQTEQ KVPQKIVQQDQKFYPLFKQTRNPGQPGQNSYNQSQSTLGQQQTHLQLQVQQLQAQNSTQF QTQQNQNTQKENNQQRVTTQIQNSSEQQTETIKPILKQRQEIKESVITFGRLQKFQYQKL IYPKQNEGNCAFCGLEANDQNLGSLLLIPSNNNSSQKYQIHEMCGLWSQNLVFFNGLEQS DPKNIDEEVEKSKKTRCFLCSRAGATICCAVCPQSFHFTCLMKSSQTGKLIENQFKFFCD KHKYKARLEVQNSSDEEQQPKKRKKVQSKLNTSKSMIKNSPKRGKSPASQQAILQQCLKN KDDDSKRQWVTSDLIYFNLPQWASIEQKVGDIDQSMIQNKQQHPQTNFQSDIKQEQIN >CAK63782 pep:novel supercontig:GCA_000165425.1:CT868028:145325:145805:-1 gene:GSPATT00033635001 transcript:CAK63782 MNIIRCLNENEYSNNASSEVEKSQEKKELRPRNNLGPGVFQEYKRTYVKVPSNAKEQLAQ LVFMEGFKIKDAAKKLGIKYATAKTIIFHMRKENVKKMKIKSKNCKYTSISENRILKYKI ISTLSSRVVSSKEFKVFFQQNDQYQGLKTV >CAK63783 pep:novel supercontig:GCA_000165425.1:CT868028:147449:148778:1 gene:GSPATT00033636001 transcript:CAK63783 MMNMESLSFSCIRPHTFFSKTYFLKVMEDEVQISAFSNYQNPKYRIELKLTTQILWKMGK QSLKAFGIIYQNKIKYFEAQQIHLESLKATIGAKVIYRNMASFYEPVEFIGEGLSAKVFQ SIEKKTKKEVAVKMIKQEFGREDQALDIVKTEVSILKSLNHQNIIKVLEVYENDQTFWIV QEFVAGTPLSQILKQKLPIHQIKTIMIGLLQTVCYLQSQKIVHRDIKPENIIIQKDNSIK VIDFGFAANLKFGSVSSVCGTPGYYAPEVLRQKESSFNSDMFSVGVVLFNLQSILLTNRI TNQPMLKSKMYNAQQYVADEEAADLLKKMLEVDPIKRITAQQALEHLYFKDEMEKIIDEK QISLFPSEKQIPMQQQQKINKCPVAKTMKSLRTTNIIDY >CAK63784 pep:novel supercontig:GCA_000165425.1:CT868028:149752:150238:1 gene:GSPATT00033637001 transcript:CAK63784 MAQEPNPFSNNIQYYQEHVTFMDTNCAAKAGMVTVAGGAMGFLMALFMNAVEMRDMDYGR TKQSTRYVLRRDINKMFGMAKGFAIFGAFYSIFECQLEKLRIRDDATNSFLSCMFSSMVL AAESVGWKGLMMSGLGGGMFGGVMYYVQIKFMNH >CAK63785 pep:novel supercontig:GCA_000165425.1:CT868028:150256:150879:1 gene:GSPATT00033638001 transcript:CAK63785 MKKQFHFQKKNKEWQQEFQDLDDQAVLEKVQLPPSEDEEQVVQEEQLVEQTKSDEENEIE IIEEKEVINEQPNEKSKKIEWLDSDIEGVDDIDDEEELIDDANEIDHDQKTIFVQGLPLK ISDKEIADFFSEYGTIVSLEIPRNEGSQFTKRRAFIEYSTVSEAQGIAMLDLELKGCQLK LRIGIQQQQDKGQKMQNDRSRSRSQSK >CAK63786 pep:novel supercontig:GCA_000165425.1:CT868028:150962:151144:1 gene:GSPATT00033639001 transcript:CAK63786 MDFLGIKNNKFVRNKELYRQQEELCMRDDYLLQIDNDTHIIVPESPDFKFIQTIKRKRNH >CAK63787 pep:novel supercontig:GCA_000165425.1:CT868028:151339:151920:1 gene:GSPATT00033640001 transcript:CAK63787 MCSNGTQFKTHINQILWKFKLNIQQQITHIKKNKIRVKLKHHLLFMQVSFLYNFIILSKD HSQILVDFPQSTLIEFVKGFTILCLLESVILQQYHQQTLLSENTLTDLWMQWRWLNQPIR RLNSKQSKNNSSPSLITLILVNLGLLCDFYNDDQEKICSTKNFTSWRNFKLAKIASILEE VFRDKYIDQTQIN >CAK63788 pep:novel supercontig:GCA_000165425.1:CT868028:152237:152710:-1 gene:GSPATT00033641001 transcript:CAK63788 MGNSGIRKLWFLDSPVETPFKSIHEINVIDINKSEESLSQYKGQKVVIVNVAIDSPELND QLNYLKSLPYQVLLFPKCDHTFTYKQIADKLQGFKVYQKVELNGQFTHPLYKFLKRQTPQ LYDEKLANGRQIKQDFCKFLISEEGQPIKYLLQNEKL >CAK63789 pep:novel supercontig:GCA_000165425.1:CT868028:152792:153674:1 gene:GSPATT00033642001 transcript:CAK63789 MDDKLYELFQKTLIENSNVNIQKIVQGQARVIQQNTQPLGQKNESISIKDVQKKLEAIEK NLMIQDSARKRRTSRYHSKKCSIKINTQNGSDSPSPYQLDFEDAKEYEVDILRKENQRLQ ERLEQLKSQNGDENSEDLNRERETLQKMKKDYQIKDDELKILNNKLDLLEIDLNKKHSLL LQKSKKLEVQQQTLQKNEEQIKEKRDCIDALQQQINLNISQVISLNKNELKLKLPQKLGV QLDKDKQLNKSIYVQDN >CAK63790 pep:novel supercontig:GCA_000165425.1:CT868028:153736:157000:-1 gene:GSPATT00033643001 transcript:CAK63790 MKDDYSELTTLGTSTPSAYRRLVFKQKNEASELYTDLTNQRNSQQKNQKQLFQKRFQSKQ ERNESFEAQEELLNMEVNDWQGFLEKEHHAIQQIDNEDITKAEIKTPLTLKMKTSPSMVS PINDRTNYFYKMKQGNKQLSVISTQDFLKTVRYSQKLEDYSKLYKQQPQNLYLSLNFSQA ERVPKTFGLIQNTRSLVTANASRSIRNLDDGNAFSNAMLTQQSRQIQVMQLNHNQFTPKQ LRDILLTFPITLKDLELQNCKLNYMHMEILMSFVGKNQIYKLNLECNNIRDLGSQIIMKH LLNNNTLQSLNLNNNQITECASTSISNLLKQTQRLLELYLGYNYLNASAGTTIWKAMYKN TSVKILDLSHNNIASLECAQSINKAVSRPYNELLHVDLSFNKFTYPQAQIISDALQKNET IYGFHFEGNQAELCVNPNGFLINNIVYHSQLKDKLTQLYKQPQYFKLLEEAKVEQTIIRR EESLVMPFSRSRKIRSTKMNVQKVNEINKLDTCWICEGWQEIKFEWTAHKSGSLYNEPIF IHFDFEDYRPLLMTFLNNEFFFIKMCPPNKEIHYFFTNPILGVQQPAMDQNIKNMQIQSI PFLYNDEILVDGNVMEYVNVLRTTIKQQLFDKYMPMVQCKPREPMAKFDFSPYLNIKKHR WSVENSIFKHFQPDTPQLIDECFEFDFQNSKVTRLVKETELLEIKENLKLLYRQLFHVYK YHASGSLAAPIPSIMIQDYIDFLVQTSLMDGYKTNDIDISFTSTTAAKDVPFPQAFDKGL VRCQLLEIMIRMCNDKFLRQGLCATMTEAITLVTKQAQDYFAKFDQAQMWRKTRLWNQKC DIILNDRLAMLKSLFKYICKLSKKDKQVCRYDYISVQDFKDWIVQSKLICDDLSERECYL IYLLSMITQKDELYSSKHYQMNFHEFIESIGRLAERLSIIRGDKPIDIDDRRAKDLTPKL DGFLLYVYLVIGNDMKQALPSNDPDIRGVDKCMINDFKSLKQKLEDSFTDGDEPPYDPRV ELPHLSSQITNLLGNTVGGKKQTLRQQLKQVKREEQKFSAINIVQYFKSIQQAHVDHDD >CAK63791 pep:novel supercontig:GCA_000165425.1:CT868028:157041:158531:-1 gene:GSPATT00033644001 transcript:CAK63791 MTFVNEVFTEGKHTVVDKYVPKIFSKPREEERTYDLTQYMNKKQAFWSYEISIFKNYQPD NDELIDECFEYDFQTSKINRIVRDPQELMEVKEILREYYPYIFASYKFYASTLIGASIPL HIIECVFLILSVRLQPGDIDLNFISTSNVKDIQYPNVYEKALVRYQFMEVLVRIALDKYT RTGICKSMKLSVLKLFEDDLVKARLQEIDRAQDWRDMRLWNEQSDMLIKDRLPMLKLLFK FTSKLNPKQKFYKHTWIQFKDFRDLMLRCDLYCDIFVERDAYLAYLLSMQTQVDELFSLR HFQMEFYEFIEALCRCAEKLSLIRTKDILSIDDRRQEPLHKKIDAFLLLIYLRAGDAIKQ QLKEAEDFTDFDKCMTRKQKTLQQQIEEMGSEEEEKPYNPAIEASALEEQLKQVPVQTIQ TGSKLKKALSFFSVIKQMQQQKQLKSNFLLTNVVQYFKQKEEEMLQNEMEQG >CAK63792 pep:novel supercontig:GCA_000165425.1:CT868028:158542:160754:-1 gene:GSPATT00033645001 transcript:CAK63792 MLFEKLKIYNTPAKLLEKLREVRRQQEQEEQMGSQNKEPEKQKIVRQTSLRSTWTGLNQK SPIVKSQLDIVKMRMPSTNMNSCTRITEIPIRQRMQMHTARYNDNEDLKEMQQNLRMETM YDLYQGDQKLIDQLNEEAQVLSQRLATKEEASPVRPLNRKHHQPNQIQRPQRRGATLIAP TKLEDLKKQQPYLYEDRLDQPYDDLSDRGKLLLSYMNSKNLQKINQKDFRSTTKNFKKLE EYAQFYKQQPNIYLQLNKSSQVYNIFQSGLGLINSNYTIQTAESQGMLRNESKIRVFTEA LQSPQCKQITQLKLSHNQLTSPKIKSLVENFPQQIQDLDLNNNGLDSKSCETLAKYMQKS RVKKLSLENNKIGDLGANYLFVALQDNDYLTLLNLSRNNLTDNCTNDLANYLKKSNVMFE LYLHFNSINSKGGVNIWKALYKNSSVKVFDISYNRTASFECAQQMARVIAKPYPELMHID VSHNGFDEPSSIEIMKALNVNQNIYGFHYEGNCPKFAVDATGHLRDRDSEEQTRQKKIEE CRKNPQTSLRLLDDDVVVQPKKTIHKKEEIQQVHRFRRIWGMKPVKQNQQFDKQRDSCWI CEGWEEVKFTWSPGKSGGMNNDPIFLHVNFDGYRPVLMNPHQGEYHLYRMCPPNQRILYF FSNPILGIQTTAKNQMIMQTPANDPIAQGLVGIPLQWRHLD >CAK63793 pep:novel supercontig:GCA_000165425.1:CT868028:160793:161509:1 gene:GSPATT00033646001 transcript:CAK63793 MKQIVFDKVYEGFHTLNDEIVAIKQLDLIILQKDKQLNKPIGTTPIQINQLQRIEIMCKL SHKNIVKLFELLNNKKSLLNMSEMCFKQISQTIDRKQVISEYQVNSSFYSLGYRYYAPNT RRFQMINQERRYSSRYETSQSFQIQSLSNLLILELLNMLRIIHPNYLYLKLEVQFIWYFK QQKRQHYSTKCDIWSLRVIFIEILHFDVIFYPIYNTLKRDGWSRPFTKHQNLIFIIQK >CAK63794 pep:novel supercontig:GCA_000165425.1:CT868028:162381:162800:1 gene:GSPATT00033647001 transcript:CAK63794 MASANQQFSFFQEYALKKIQFVVDNIRYEFDNHKMLWSTDVIEEDDNPDDEVNKEQLKKR GLKQIELREQVRQNEHTISKLASEIQLLNDNINKYQEKLQQSQAEQNQLIDEYIHEHLET EKIKGARERLDKLKRELGL >CAK63795 pep:novel supercontig:GCA_000165425.1:CT868028:162815:163761:1 gene:GSPATT00033648001 transcript:CAK63795 MNNIIDFIKQNGSPFETLELDMTADKKEIKSQYKELARKYHPDKNLNTKEKFIKIQKAYE FLIHNLADIHKYVEHQKFRQQEQKKMTSEQKQYAEDLKRREQMAERQKQQEDIVKQMRVN EEMNRLEEQRKFEENEKKQKQEKLIQFELQNQDLYKRLNTIKIKWAKDQLYTPDLLSLLF KNYGAIQEIKVQDNKRKATITFHTTEAANNAVIQQSDGFLKVKHFMKKEKRQQVQKQLQT EKEMINRNDQDVYQLSTDTLNRISHFYNKDSKIKEGLDELKREQERLKLINQIYEEELNK >CAK63796 pep:novel supercontig:GCA_000165425.1:CT868028:163893:167405:1 gene:GSPATT00033649001 transcript:CAK63796 MDQQRFQISYPQLQSMFDTGNLQSGQSTEILDQIGRGVEQIFRSLESDPKSGVQDVSDRV EQFGSNKLDPPALSPFYMCMYKQSKDFCIRILALATVIMFLMALFSEEPFEQIVQAFSIL IAICAVVIIGALTDYRKEKQFRQLYLEQEEQQKKLFQVVRNGVIQQLNHLDLVVGDIITI KPGDNVTVDGILIDGTETVEVDESMITGLTDSLSKQPISRGKNCFIRGGSNIFEGTAKVI VLAVGKFTYSNRMKNDNQIKEEGEEELIHDERSPLSKALETLAKFLVFIGCMSALAMFII LELYMVRELQELQEKIFSQFTLKKVLSDFIDAFLIIVLSIPEGLPLIVTLSLAFSIAKLR QQDIVIRNLHVCQVLGGIDTICFDKTGTLTYNNLKVSNVHIASEERYHNLRSNDPIFRML AEAVLSTNSAFLSEDIINQIKQGEQEEGQSEHSGKSNKKSQKSKSSSSKSIKSNSVFEAT IKSDWSGNRLDVALIDYVELKLKREFVYEYLQDLKNQIRKTIPINNYGFHTEILQIRGDK YRIILKGKSKEIIEKCTQINISIPDNRDFELYEPGQFKLQECCNQVMNQEFSELEKKAVL NYNSQSLKTISFAYRDVQINNLIEQDWNQLISQNNFTYIGTIAMRDDIRQEAKRLDSALK MGSMSVMILTGDTRVNAVNVAKQLGWVSSDQADHEIQRISEHLPKSSQMEQPNIIEPPFI NNDEQQAIKITSAIMECPQDEIAPLLNNKFEQQQNPSSAALKTILILNFQEYVIRIRKIL NDSKIYYTHQEVNERNIVSKIPEILDDAIASKSVSNMNKVLQILADLNQHQKHQFLEVYS NINESTIGYVGDGNNDAIALQTAAVGITLGKTATNIARECSGVILMDDKLDGIELCMKYG RNIFLNIKRFIYFQLSFFLNSIAIMLTASIVLKQQPYTVLEVIWLSLVQDIFSAVALSTE IPQDDILNRTKPAKRGENIIDKFLLQMTCTQALFQYLATLIVLFLTPLIFGIEPSYNHDL HALGAEFVSEWAVHYTLVYHLTANFQIVNLICSRRIGQHEYNVLEGIQKNKPFTVMIIGL IIIQQVIIYAGGKYFKTAPLTIFENLFCLILSFGAMIVFWLSKYFYSTTK >CAK63797 pep:novel supercontig:GCA_000165425.1:CT868028:167431:168715:-1 gene:GSPATT00033650001 transcript:CAK63797 MLFTQVHPSQQLVQKIGKFCLPILNNYLRTINFQNQIKNYIFTSQMVQVRQNIQSASPFL LDAINKLNYKWNLIISDFSKQIFNNLIFVFHFVDLSWALLYLLSHILILFYTSPIFSVNI LMCIFHFLLILRWESQCIIRLSIGNSDLSQGFHIELQYKSSSRFILVFLVQYNDLSQELS LFGITLELVLNMFIKMSAFYGIKFKQLHHSIDCNNKIFMNSYINRINPKTNTTLQIVFLA SAGVWLIILLFSNYQFSKQEYYGEQLECKLKYDGYKRCRLNNKLLYKERINSSDCQDQYV CNTILAAYPITIIDLVLIVIALTMCLLKQSNLPGNTINFNYISIISVAVSGLTLIVLSII LMSAINNYDLQAWVIVSQFFVSLILFASLIFIRREEQLSSEILKQEQLNNVEIKQYVVY >CAK63798 pep:novel supercontig:GCA_000165425.1:CT868028:171874:172435:1 gene:GSPATT00033651001 transcript:CAK63798 MNKLFRFAKVIAKSIFKPVQTSFYRIPQINQVISDDNRKKHSFNTLAFQNHLQIIYLQNQ VQIQQKVQAGLSELVKINAQLLKQTNYVRFAGDYSPCVNPEQFVHALQFYRYGAVAQFYS IDDLETTNSGYESDPEVEFQDSQIL >CAK63799 pep:novel supercontig:GCA_000165425.1:CT868028:172607:173366:1 gene:GSPATT00033652001 transcript:CAK63799 MNKESVPMFKFIVIGDQSVGKSSFVKQYSESIFIETHKPTIGVEFVKKMVVVDKRKVELQ IWDTAGQEQFRSMIKSFYRGAAAVFVLYSVNQRDSFEKLHEWLGELQESAHEEIVKILVG NKSDLDREISIQEAEKFMNGNNFSLFFETSAKTGQNVEKVFVEAVKLVIMRMFTSESFKN SIKTIKKTPANSRQNTSRNELQDDSNQIQKPIQLQVTPQAQKQEEKQCC >CAK63800 pep:novel supercontig:GCA_000165425.1:CT868028:173603:177921:-1 gene:GSPATT00033653001 transcript:CAK63800 MMSMETIESELQSLYNNNQQQVEMEQLYNLLISKKSLQLQDHKQKVHSVCGAHIPKGELS FQCFDCSGDTHHMICVKCFDINKHINHKFVLKDTSGCCDCGDINSLKLGICDHHQGHAHI NKEEILSQIPDEIQNNTQMLIKCLNNILNQLYLEIQPKTFILPTYVKIYDIAVRWNLKKI QEAIGIQDYYVSFCKALYFHQLLIKFISWFIEVDHKNIFLITTILQQIDTNSKQLILQDI FERQLVLESLGPYKGEQIVQIFYAFHADDQFKQLTHLIFMNNFHKFHKFYQLNQDVFNKL KIIHEKLENCDKGKNVVTLKATEYNESQEILSLQKHQLENEKNFVYLYITLFRGQHTQFC PQETIETFLNPKICSPYLQSLILSQQLYFQNVEYSQDFSYLHLNLLTFRIEIKWFKYAIM NSFKQILDDKFDSFQMTIISEKDYEDFQQRQFFLTQLLHSYNNVKKETRRFKFDKLVVQQ NDLITVAILINYISLQLKIFNSMLKSLRSLMPKQIGKRNLSRIILYQCYLQLIQDMENYR NFEQIDDFYSDYINTEAFPQKQKEVYDAYLLLSLKLLKRPIVTNLIFIEMLILEYFDGQF VDKQGFLKHLMQVLRIECLKDLKKLFNYLLIECLQNFISFNFDSQKKLYFKYSNINNQLE SIDLAFIKLFLFLFQQDGLLILDDVFQNFRIPIQIVASQIYNSILLNVISSDIDFWNVLQ MLEEKIDLYPDQLKSTIHYAIINIFNQSSSLSYNEIKKYISQLKIATNQQIQNFVQEVCQ LDQISKKFTLKKDVPQYFDPILFQKNTTIQGQFLDRLIDQRKSQEFITYGNYIQYKADEI IRQINPNANQIFFDKFLLLANKPFIQQLIYQIQQNHQQPIQTYQLLNYLIIILFKQQNMI DQEVFALIHSLAQQQNSNDQTCVTHMTRSSTLQSQNTQILVSDKCKMKNKYLQKQTKFNQ QLDFNVQLEIPQEKYDENDYCQSCKLILTNNDRYTPILIQTFAKQDLYETMPTTLQNLLN DSKLNLFYISSCKHQFHFECLVNSFLNKFNKDLPLWLISHCPICQQSCNLLFPNTLLEVH FDQFIQDASATLIELNLDKELLTKHQGNEELIVPEMIYQLLINILIQMLVSKVDFQRSGK IQIFQQLLKILRYNYSNVKYQQKILNFKEGQIFILDIMLMIENYVMQVISKNEFQQGVQN ILLSLPIQNDDIVKIFFNFFEIEFKEKLFHSQQYKISSKCIADFYQIQNEISNQIATLLG NNFEIFRLRYIKNLCKKCGFFNLNFQNSKDISVCLLCLKTYCLGSCNNNQSGNLSLHAEE EHNGHSLYVCLSSGKVVITSYPISLVNFFTLYYNNLGQELEQITDDDLDWDKYKLDMDKV DQISKIILLNQYQHIVRNALHDQHRQNIRRNL >CAK63801 pep:novel supercontig:GCA_000165425.1:CT868028:178823:179531:-1 gene:GSPATT00033654001 transcript:CAK63801 MRIFRQAFEQLGGSRSRTKSDSRQQEDMCIVPPEEYFKQDRPQPLTRQFFTMLSTLEQRI VKECTVERVNQVVQMYQQCIEFYDSRKDDIKYYFVDKIQNALYEMQQQISCLKTKLVTEV QSPVNQKINNLSPIPIEEDEHYIRNKKKEKSIKLAIQEQVIQVVKYQPEQVQGMVNEYHE KLDQHASMIKKSVNQQEHQFNERLRKRSINKKSLSRCNSSGILEENK >CAK63802 pep:novel supercontig:GCA_000165425.1:CT868028:179550:179975:1 gene:GSPATT00033655001 transcript:CAK63802 MMQFWKKTSINKCQMSEFCYFVRKLQLFYQTKVKIIFNRIAVILQFISVLYIRSEFSSVL LVLSVSYGIRKYSPKFVKSEMLALLHDIRGEVQFIQKKGINIFIQKDEQRREGNEREFGV EGYSIQVWVTRIMDKSYQIVL >CAK63803 pep:novel supercontig:GCA_000165425.1:CT868028:180062:180490:1 gene:GSPATT00033656001 transcript:CAK63803 MVLENKVDNQDISEIPSPTKASGIENRLRGNDDLNQMQKSNRKYMKVTLQTKQLLYQMVQ MEGIKIKEVMEDIKLTQAARILGIKYATAKTIVYHRRQKRKERGKCGLRMCGYTELVDNR VSRLQIISITGNDAKQCKEYIL >CAK63804 pep:novel supercontig:GCA_000165425.1:CT868028:180864:181301:-1 gene:GSPATT00033657001 transcript:CAK63804 MSGSPVSVSSQEEYMVEAITNKRVKNGRTEYEVKWQGYSDNEKTWEPIENLQSVMTYVLD FEQSLKQKQNQEVGEGNYDDGDSADEILQIRKDNDGQNLLFQVSWKQKNNLAPKVSWVNQ NTLKMHNPEILIDYLLKKIKWPNNK >CAK63805 pep:novel supercontig:GCA_000165425.1:CT868028:181577:186735:1 gene:GSPATT00033658001 transcript:CAK63805 MPPKKDEVDLNALPPLRHLCVGIRIEAGKARAAKLLNLLKETKSFQKNITREEIINFCKE KQLYVDPATLTDKQKKDSKFMAEVATELTSEVMNKGFQMFIQDQVLSIRKAKYQAAQALA AEKAAPPKKDDKKDVKKGGKEAAAVAPIPEPEKVVPEKEYTKNFDIVFLVQGFPQVPQEQ IELIMHVKEKVINWGGVDMNLLEQGEDFQEELEKRMEEFIQPVTIKRSYSEESLHYTAAE RAVIEEIKKQRNGSQKSDPLRQLTIKELILEMDLEVEEETLKQFHEQLIEEICQFSVDQQ QFNEWIVNKKPIPLITKEAPKDPFDLEVERIKHEAHQAEEQQKAAEEAVAAEKNKKGAKK EAKKTDAELEAERLAEIEKNKQNELRKQQIEEALEQQQKMKAKLHLQYYKRQLSDVQLSK VGPCILLECLLDQLSAEAAYIQSSKVPPCLEDQEDAEQVNRLLANQKKEQHQAENAKQLI LDECDWIEVRGHFGQLHDQQFIVEREHKIFDNLCFPGIDRQGMPEIAEKSEKLRKANKAK LHPFINVDVSEFERRQLLLSFEELLKENEPEQDWYLGDRVYLERHNKNTLKQQFYEALLH DPQVVLKYLPDDDALMVCCYYKNPPGRTLRKKWSAEWRVLPNLEYFIQVRNFNSEYYYDI DYQQIGAITERSKIMYPTDNSLIIATKFTVGEVERIRYRVIKENLVFGIQGGFYAQFRDL RMSAQDQIMNLTFKNGLCLRFTQKGEVVQQYLYQKPQEQTQTLLNLYDNAEINHELEIKR VITGQGTVIVYKKDGSIIVLYANGNVSMYKNDMWITTNNKGIRKSQKGIEKIPCAIKNDP ESGAKVYIRDDQTLIIYYKDGSQYTQHYDGTIMLIKGDKVIVEHPNFASVVVTIDKVKQR TGTIIGMGSAYANVGFDNIFERSNDGRVVETFYQGCKVISYIEKQELPEYKQYKTNRIHL MYTHDGSVAKVVDDGEIVIVTAEERVRLNNKGEKKQLGQDIDYWLQLFSVSEERKAGVYT IDLTRKCIFTKDEESNYFQIDEDGNVTSKISVSLNQEPSTPDYIPDGLFVDEENKVLPPP KSWVPPRLFLVKNDNTGVELFNQTQLQDYFRLKSENPFCTRLIDELPNNVKSISFITELK NQTKKFIEVKIPQTLDIVPKTVQKTTIIPHKVFTYRNFLEYLPFDKDQRALHEQSLQKYE VWQKAMQKAQNEFGVIQKSEDERDAEFIIQMKILESRRTDYSYESYDQAKSKITLPDSAS NVVEPLEQTLVRTAKVQQVVQQAFVDVHRKVQTIQIQKSMKPTSEAKGFVQNYFDTKEGH EYLKTAPENPPIKKPRERIVQPKEEEQQQQQILQETQKTMPEGIIPLEQPQLPTPQYNDT NNLTKVIIKPSVFTQAEIDDEVKQRKQLDESIRYRQVKKKDFDVYGKERKDQKLVPCLLK TNPKSELNQKYILTDASTDNRIKISSMATRVYQQAAPISQIRNEGMHQTIIRTLDKKNNL DDLIDKKNLMVTSDINDRLKKDLLIYPVNVQFGELKQGGQYEFKISVKNEDIMAQRIVLK QPLNTNIKGLMKQMGSISLGLVREVFIHISAERVGQFSDELQIMSKHSIYTIPITGNVLE SSMFDKLNSEQIKLTNKPLLRKHVKDLKASKQQDIIGDSQDSDLLPKINYDKNVKIDAFQ NQKKEEQSYEEEN >CAK63806 pep:novel supercontig:GCA_000165425.1:CT868028:186768:188002:-1 gene:GSPATT00033659001 transcript:CAK63806 MYILSHRGAQRDCLIPRYLSIIQNMTTIEECPVLRPTPQEFENFYDYIEKIDKQYSSNYG MVKIIPPKNFRIRQQDYNKSLDNLIIQGPIEQNVYGKGGNYECLHILKKSMPLKDYRAKQ TEIDKQHEKLNSDQLEKLYWKSLAFSPPLYGADIKLSLMDVNNSWNLNQITSLLNFGLKN RIPGVNEPYIYVGSWKSFFAWHKEDLDLCSVNYLHVGKDKFWYSIPEADSHLIEKYAKQQ YGDHFNKCSEYLRHKTTVINPYLLKEKIPEIRISKMAHHQGEFMFIFAGAYHQGFNCGFN VAEAVNLATLNWLPLLLEAKTCQCLKDNVKIDSMSFAENLKRSNKFKEDERVKNFLEKTK SMQSILRKNIRKIKV >CAK63807 pep:novel supercontig:GCA_000165425.1:CT868028:188162:188507:-1 gene:GSPATT00033660001 transcript:CAK63807 MIQQITDQEEDNTSIDQQKQTQQSLQSLIQIMAIQNNNEDEHNYASRDRNCSISYCQFAM FAHKSDLIKRVVQPLQIDGFNEIEKSKTHLRRKTQRGVEYIIEDTEQ >CAK63808 pep:novel supercontig:GCA_000165425.1:CT868028:190077:190526:1 gene:GSPATT00033661001 transcript:CAK63808 MVQNDSEATKQSCEQQTGSKKITKQHGHWNQAEHNTYLNFLLENANHTKGQRLFKRMSQV VGTRTPSQCRQEPIIQDRITKNLIHKRQNLLIQIQDFRDPSNVPEATSLNTNKSMKMANE MTFVYYTQMLLTLSQDSIMANSKLQNIQN >CAK63809 pep:novel supercontig:GCA_000165425.1:CT868028:192135:193680:1 gene:GSPATT00033662001 transcript:CAK63809 MRKQPLKLLLEDNDLEIIKAKTFDSHSQRNGTSFYSNISDEHEQFSDGKRYQCDSSYSSS SLHSSRTLADETLLLNYQKRLGKQNRYEKDPEILSEQARFVFLIGNQSSEEWLANFNEKC LRVNSFFKDSLFNSLVETAFNGEDQKMKRKLVLTLDYFTNLITFTFFLLWKWIQNENQQD MSQFVSNSNSITEFIVLMITLRINEFRMEWQLITLIEQIVQPFPEKNIFKECSETQRSYL SVGLFQPKIASIRVKSNSVLPWTTDEVAFVLSFMSQSFYAGLQIKNVIVKGGLNNYFRRI NTISQFIIYSVVRSPNKHDRQDALRYWIELAEKLQKNYDLEGLFIVYKYGIQLLLKDYIG TMPILFRDQNRIPRINAFYEEHIKSNFNDVQKNEQQFYIPSFHKFITQIKRLEQQVKMNK TLFDQISDLLLQLVSISKRQHKLHQETSAKISDHEEQIIQYFIKGIEKELETNLQIPLDK ETLVYIELIKLAKITN >CAK63810 pep:novel supercontig:GCA_000165425.1:CT868028:193762:194686:1 gene:GSPATT00033663001 transcript:CAK63810 MRQQSPNSKLSRENSAYQNDSVTVSHIENQKLRKSKLSQRKIIQIKKDDKKRNLENQYKK QYIEYESQQMIDKKNWEGLKNLIRKNNKQYISTSIKNELQEKQITSRSLIEEITKIEKDK VPKLQQISDLQEKITQKKRNKYVDKEIDDYTMKQIEGEIIEFQKKKDEQMKTYGEKYKIL EKNHKMKLKKKEFDDQIRKDVKINSSFIHEANRFLRLKDKHSLRSTKPIFSHQENLLHSL RTLNLQNQKQDIQEKILLKIRLKQSIRSNLRKQPQINKFLNKIKNNQEQKNQRQST >CAK63811 pep:novel supercontig:GCA_000165425.1:CT868028:194954:195660:-1 gene:GSPATT00033664001 transcript:CAK63811 MLNEDPKIQQLYSSLQFCIKSLDQILSTKQMPPLQISTAEKIIIKQESVEISEDIQKLTK ELETIKVQHESMMFKIMKLRKKLASLQIKYSELDEEFQAQTELLRQYKITKINPKYIELP REEINLYKSVKTYINLNQSKFSLYLKSLLHENKDQKFINLDQLLNSIQDYYKNQQMYLKP KFSLNSVERVRLPSLEIRYLTNRKIKDYTQNNSVNFDQDSKSLSFAI >CAK63812 pep:novel supercontig:GCA_000165425.1:CT868028:195732:197889:-1 gene:GSPATT00033665001 transcript:CAK63812 MIQQQAIEVYMAKQGKAVQSYLITLVDGTGSMNHEYETIIKAHQATFSDLGNQKMDYQWE QALYSFLPYRSAGSGNISNTFNVIFEKLLSGGYPKNITFLFVSDGREHFEINEVSAQIKI MKEKFLIQFICVAVGDQFPGQISMTLREQIHNQNETCPAVFKVTRGNQPVDVLYKEFSEI FIKVRQLLNVQEKHFETNQPVYQTLVQQKPTTQVAPNEPFFRMVDEQTEPIKLDNEVINP TDKPSDISKLITISVEKELILTASDPQHNYKEAFNKIADLGQKILDQTKFEKDENDDIKK QASSQIFLATNIAEGNLELKKTEKEMTDLQKDIRDPVKILDFVEKNAQEINEQELTDDKV KKRMQGQFNNTKLGCFVRSKTTKKELDLVESIWSITEEGLKNYKKVIEKDPKCNLNKLLT DLKGLIDKQLIKIFEKQSITELLDKHISILRELNDTLKDMDKLIKKQDKVKANEVIQFIN IRAKPISENNIYQTGAIFDQTDEFEYLPKSIQIILRDDEEELERMVLLLFDDNVSMANEI QAAKSKFLQVFSRLPETHKLAICWRDRKFSLLENNQQQFDTLYKLIETQQQAYDLEKQVD GKTDYDLLHKAIKEIKQKQYLIKLIYITVGSQLKTHLEDVILTKFRNREVKGKPLIKVIP RTIATMFQTNDSQLKKSTTVPKLDEQFIKVYDDILDLIVKEKNPAIKDNQF >CAK63813 pep:novel supercontig:GCA_000165425.1:CT868028:198668:199425:-1 gene:GSPATT00033666001 transcript:CAK63813 MQNSYLTQLDQIQEQVIQMAYQQPQEGQWNKEHDKNDFIIYSKLNQVGLKMTRTELKLNV DPKKAMDVIFDMTKRAEFDENFLEGKIVEKIDQNNVIYYGAGKSPIVLIDPRDMVALTRR TILKDGTHLVVSKSVQLDSVPNKKKYTRCEIIISGFLIKEISPGTCQVVIIANVDPKGSI PKMLINSGVSMQADAVKKLMEKMRQRTLME >CAK63814 pep:novel supercontig:GCA_000165425.1:CT868028:200182:201325:1 gene:GSPATT00033667001 transcript:CAK63814 MEAKKIIGLGSPLLDIQAEVPAEFLEKYGLTLNNTYFAEEKHLPLYEELINIPTHSHVPG GSALNTVRLSRWMAQAGQDQVKFIGCVGKKDKFANMLIEVTNSDGVTTLFDEQDQPTGKC GVLLCNKDRCLVPLIGAAAHLSEAYVDQHIEDIKTATVLFSEVYFLYPRAELTKKIYQIA SESGVNTCLTLSSVNAVSDRFNEILAVLPYVDYLFGNEDEVEQFAKNLKFEGDLPSVMQQ IAGYEKHGQRERVVVCTQGKKPTLIAKKTEVITVEVQLVDVSKIVDTNSAGDSFCGGFIA ELLNGPDLVKCAKAGNYSASQTIQHEGSTIPKYAPEKTW >CAK63815 pep:novel supercontig:GCA_000165425.1:CT868028:201326:201982:1 gene:GSPATT00033668001 transcript:CAK63815 MSLSQQLKEEEEEEEGLNQPIQQVSTVPIRRKNKKRQILNPSLNTGHWSQQEHQTYLDFL QQHKEIMESQDQKKSNKVFKQMSEIIGSRSPSQCRYQINTIYQIRSHHQKFNPFIHQVKK RQKGAGKKKKDNQTTQPIQHFFPFYQQPILSQNQLDFPLFQTNNPEEVLYPQPISSDRSL LYNFGFLPQFPINLNYYTDFFVNQTMYQNEKENQFEDQ >CAK63816 pep:novel supercontig:GCA_000165425.1:CT868028:202226:203703:1 gene:GSPATT00033669001 transcript:CAK63816 MSIKAINSSEQEVGRNIRSSKKKYLWKFSIDDADQEIVLLISSLSGKKEVRHNGRLIYQE SSLFCEFRYLHKLQNCLVTIAPRHDSYQLYLNNIPFARYFNDGNSDQNENPKNGTFNNDD PDGVYTSSLKESEQQQSNFQQPLQKFRLSVPNKITNNNQNKTESKQQEIFWNQGVNQDFA DFGTTQTKGTDNNFGNFGFTFDTPQKEIKNTNSQVAQLNNQKQNEKPNPFFEFGQNSWSA PQNNSNYGFIQQPSQVQVQQTKLFTSDIKPQTKTEQQVNNTPQPLNQTNQYILYNQSNLT NQQQQYIQLQLQQQQYNYQQQQLVNSSTIKPQISSQSDLLDFFECNQPTQNTINYSNQQQ ELKKSHSQAVIMPLQVQSQSTQMIFQSYQNPIQQNAFQNIQNYQFKSSFQQQFQSTYQNQ RTTQSLTPLTSSFQQTKRQMPKELEDMFDQPMQPIQPPLQKRSTPFDDDLFT >CAK63817 pep:novel supercontig:GCA_000165425.1:CT868028:203775:216769:-1 gene:GSPATT00033670001 transcript:CAK63817 MGCCASAASQISLPSNHKPDQQRIHTEQSQGNKEEDKQQIQTQQNQNDEDDRDIINENQK QDRIGMVVIPLKMKPIQFRIEGVDEDGLTTRERKQLEKQKQIAKQQEENEIELKSSSKPK VKQYLINLKKKFKSQYYDYNEEQMNIVLEVEILLHNKQLNLDSLNEICQKYNKTLIDVIS LKNQQNYYLIELILIYVNDFEIIQHVLLNHQLPDGQILQTTAHRLINKLKPFFSSKEQLQ QSIQFIQSIFHSEEVVRILHELEFTNIDSYVDLKNQDQLKLFGLISKLLIKSDGCFKRFV ELYREAKKYLRFQNLSVPKCIPLGLNTVRILDKAILLELQFSIFHQIALQNKWDMFMQYS NDYYLMPDITQVTPMMMLFQNAPISVINEYITLYPDTLEKALHFYKRNGKNLIHCLCLNT SKRSIEEIKSLIDSLNQYQDLLKDLLVQPYNEQIPLVTYLDYWKEPQEFFITFLSQHVQK EFDFYQIYCNVLKSAFERNKQDWKDQRINPSSLYFQSMQNNKTPQRLRIQGYEEQNFSQY DKVYYQYIQVAKQVIKHKFKINLSWINNFDVHSTHYPYEFSGIVRPLLIQLIQFDYFDLV KKQVELIKPNYQKQQIDNNDNEINIQSQFISKLIQIIKFASDRSQLTPIIEYIKQTISSN PQLLKTPLHGYFDARSKLNNSIYGFKDSRSKQQLYYFDCIYTQLVDLNDKAFESCYKVNG LEFQKNELVGFQILRKFYLNNKLLLIDDEIINEIYRNITFVANFQQENPSLKEHQGRVIK QKFDQPCKDVINDKNYYNLIHTTEEKIRTEPSSQVTYKQTSNRSDNRNNQQKSDKPTQKK VKRINRVKPIINQIRVFLVLKAVDIQDGPTYNFKEFLQFLKIKCFEYQIKNESLFDKLCN SNQELNVQTLSKLDFNDNFGRFPKGLHLLDDYFDIYVSSKRWTSSLFINAKNIKFDFNFL QAILEDQENQRNINCTIFKMKEDQLENIYNWEMGGMYVNFISPLKEYPIPKNYKEKAALY TIKNKKPFLASLITIKECYDDLTQLYPQSLIYEWVISELSFRDIVQNKSFESLNHVLKIT ETSRKVLASFLAENFFPLDWKPKKNKEDIEQFNDNLLQLFENLDYTEDETRKELSLLRNC HLYSVINLKSYQKLKLNFQGMKLFEIIEVMNSCGDREVKSAILNDIPLDILANLKKLLIR VCCKDQEMIFPMIKRVQLLNKADESKIVMRALLLLRFNQNPSKAIDILQEGLKKQELRDR IQIIHHFYMKAYNNRDQDSLNRLLGFLDKDPEKNSYKMNFMLGNQFSVDSEYNNYILALR HSPCLVLNLQQYQKYVKQNKFEKIKLQNDFLQCLRNGLHEKVEILSQLIGPFEQIQEKDN FCELIATMLIEANVQPYNESLKDRQGDFLDAEFHKLNEVERYYFFSQNHTYTLERKQLKQ KDPMQMFINRAQQQFEQSKKIINHPVKRIEYIQKQEYQLLYAQNQAYSKQNQNYSSLFQS SQYSQLQNYDKCFELVQKWANQKNLIQKSPFYERMYALRYPNKQQVQQKEQLVEILVNQI LLKQAGPKFLKHKSKEYIELLKANCTTSLNKYVKNNFRNIRPQMLELFVSQFICEEQKYD PSNDDLDYIIMNMKYQNIKLVPIMKKKYKGLLELKFNKATQFYAKNEVNLLPTSQYDVSC KKFEKRMQFYSRFVSGVGANLKKLKPEQVYLVFGKHNHILQKPQFSYENLVLALISGNME TILYTIQLHQQPNKLAFEQNLFQLIILGNFNDNLVIKFFNKYIKDQVKQTNRIFQIDNQP ILYYLMLMRRQKLFEEIYLSFMIQIHGQEKALQILKDELRIVIGGTENQDILGLALMRKN YFILNYCEDVITKEHLQFIQHYDVNYFIQNKSQNNNTPLSKFIELYQKHLPLACLEFKSQ CFEMKAKICENQLYGIHQDKLLDSRRQQIVQLISYEQACINFVLNLNDVYCFSSKALTIQ MALDKWKILDQYHYNPLFLKQVLLEYKQPDGSYQMEQGLYENLLRECQKYLIYQHPIFNE IATINPKLFLQLTSPEVLTISTVIVLNQALYQTPELFEYVESQYQAYIELEKQQDRRRSQ KQKKSTKVENQIENEQRSQNQSENKNEENNVSQNVEQNQENVEDKHEEVTDDMADKMVDE MADEIADKMADEIQGQEGDDKPVQNRSRDQIHKSILYAFYLLMCIQKDEYQEWVSKSQQL KVVVEIYSILVKLECKTKQQKPYLTENSKTFLFSQLNKDYQFKEISYFEQILENEKSKEL AILRGIICIQQINQIMKGFIDRLSEEITTMNNFKKNVYIVFGDQQITYEDVGFIIPLQQL DEGFYLSEEKIAQAIPQLKIANYQMNIDIELIQYQEVQENLKSFDFSSITTVDFSEIIAN AKENVFEKCLFFKELMEQQFEKVGIYQIDDVFAPHQHEQIRQTDMQLFQTQGQPPSLIHQ SQSQMGVSQGNQMQRQSHMNQQQIMKEDFQFMFELLPDNTYQVRQQKKQKNQKELIFAEF YNFIQPQEFIANYNGDAVISIIIQDFYKYNEYLSTLIEQKQQQDWRLEFPFYIKNQHFVF QDQLFSTYNIQMILTKMVGFIEKVQQLLGRNEDNSKLVWRINTISFLEVIVSKLVELQQV SYKIINIYSIFEQVFSWDTLIMILHQLLYNNLIKAQQVLKVIRGVYVEFNEQQGIQFVEN DQKQGLDRIFQFGNTTYLLYNHILIIRLNIVTASQRQQKIQQVESAPQIYQRNKSVFKQD PFIQEYFHNIINEYDFYNQIFNPEQLIQYLFNKLDVDRHLLQYSNQLSKTLNKTITLSVD HQSLASIFSSEISKIYQNKNSKNRLKEMEEIWYILQKLNKYFKEDLYSFLQRQLKAERFD EMFNIRLSCLLSALRQNQTLMNIVVSNTRQLQLKQKSKILEKLCPFTQKSNIRLVYHFGF QISKNYYVNNSKLGDVCMLIFCENQRNNLEPGQLVYNSKPGHLLFQKIDSMNDELLTHEK ITRKQQKYSGITASSKELRYVQIEDLMSIVLFQPIWPSDPVFNASILNVFFTDQDGCISI CNEPKFLTIMSQIQCEQDEFTKQEMLDNLFGVGLVSTYSDLSLTQLTPIFPDQINFDFTA MHQLKKQQSLVQGQNVFVRINGDKFKLDQIEIATVNIACHFETNEIAQQALKTFNKFLKK NSEITNVFLNFDDQFNQNPEFYVDCILVGQTIFEAFQSGYQNFKEGYSYPKINNSLQHIF DIESVQKIKIDFIKTFIEKIEFIFEKTYDNQKPLIQYENRTLKCYTLIDENDAAQVPDQG QIAQFLFNLILKFQVYKVDSYFTEENFHIQQVGDIQIENIKTVVDIILLAKYLFILLKLS DKNIKLKMSILSQNEIKSQFKNTIYQFDQDGSHVILNYETFSDFNICDFFLDYLNNKQFY LSNRYNEKIKYIGDDVILNHFDIKPRALLSGIENHQNVEVQVYNINKGRLQNLDNIDPEE VDQLYLIFKVDQTFQVFQTVIFCTHKLLISGYMDQSQHLQVISNSQYLLILDLDSNKAIE PQLSKIEVEKGKLKTNLQNLIKKDKKKEKLQISYKFITNQTILVEFYSDKIVTIKLSSQQ NRMSMIEEEFDDAIEFSFYTKHTQDLSKTSHVKVAIEGLEKDIEFEQEEQVPFGFGDAKM LKLLLKNSSSKADGELYHKSCEPLTHSKELQVGNTINMLSKQEIQAASRAGQERIDSMEF KDSLGNQVICFSYTSVVFVHSLFNQIQYVPKQKEENFQLHLTWTPTFKGVYKLYIDDVRV KGRFVILANAPNLLESVIELPQELHTIPFYEDIPFAFQIKDAYSNIYGDIENDLYLDCKC EVVAPKEVMLAVIYQNLQKSGSINAKAHFIPQSVEINELQTEIQFLINNEKKLVKPIRIS GICLEKRKKNFEAELDKSFKSTPYSLKIRRLNFLKDLLELTDKKMNFNFSITFQDEPGID AGGLKREFYDMIGNTLKDDTYKFFQPVQGNLGKYFLHSNFNRIKNKKDYALLFGKLIANA IANTYLIGIDIISPFWKVVYNEKIVFEDLYLIWDKQTYSNYANLSSMNSETIESLYLDFT YQLGNSTIELIPNGSSTAVTSKNVHQYLDKTAEYIIYKQFKDIYKSFIEGFTSVVDLNIF QKWLRPSEISLFTQGLLEIKPEVILQKITYSGGKANHKSYFETYVNQADSLTLKNMLKFI TGSSAIPFDQSQYIISVEFKNNLDTRKLPLAHTCFKSIEVPLYANYGQLKQKLDIAFTIG LEGYGFG >CAK63818 pep:novel supercontig:GCA_000165425.1:CT868028:218581:219422:1 gene:GSPATT00033671001 transcript:CAK63818 MFSEATKEAKQDQLVPQLNQTPSSTFFVYNCYIPVVQDFMNQPPTLQVRNSSKFVLSILG ESFPQILKQTDSLQMGHLGGGMSIPSFQFGASTPFINAEDVMRNVQPQTTQNHFASFILN EQLKPQNQPQKNRIIEGTQIMCDIEIVLISRYFDMKATVFCSPNNKYNLKDDTSISNSEW LKDRMSYRYKKPIKESFCDMIEVIQKEGEANMKGIIQPVKFQQNGFDSIERQVPKSVKVE KPFSLFILEIQQLISSFLFNTY >CAK63819 pep:novel supercontig:GCA_000165425.1:CT868028:219596:220345:-1 gene:GSPATT00033672001 transcript:CAK63819 MGDKVVLGYWAIRGLAQPLRFYLEYLGLPYEEKRYYKPEEWFADVTSAPLNQHVLVNLPY IKDGDELVFESQALYVYLAYRANKPELLGQNQKEQVTLASVRGVLQDLFKGLITLFTIPE DQYEAKKEDQFNQLVFWIAEKLSKFLENRKWAVGDNLTYIDFQLFEAEEILRNYKPEAFA TLVGLKNHNESFANLPAIKNYQASDKMIARPFYPPGMYRWG >CAK63820 pep:novel supercontig:GCA_000165425.1:CT868028:220472:220997:1 gene:GSPATT00033673001 transcript:CAK63820 MMQNNQQQQAKKVIGATLILEEKFSLYLGDLDDAQNKQWLSQQKIGCVITVANGQPVSYY DNKIKHHYYFIDDKADFKIQKYFSKVFHDIEREIQTTNVLIHCAAGISRSATFVIAYLIM KKGMSYKQAFNHVKSRRPMIRPNPGFITVLESLEQKQ >CAK63821 pep:novel supercontig:GCA_000165425.1:CT868028:221006:221182:-1 gene:GSPATT00033674001 transcript:CAK63821 MQQNSSQMAIQAESKSYIKESKYVTKIDAKLVEIPDNDEFEKIVEFGKEREYGLCIIQ >CAK63822 pep:novel supercontig:GCA_000165425.1:CT868028:221215:222305:-1 gene:GSPATT00033675001 transcript:CAK63822 MQQQVEPTLKNVLENQTLKWIFVGGKGGVGKTTTSSSLATLFAKSGKRTIIISTDPAHNL SDCFDQKIGSQPTQIKGIENLSAMEIDPTVDPDKLKLPTLQGFMNDQATKSLLSELISSV PGIDEAMSFAELMNSVDEMKYDLIIFDTAPTGHTLRLLNFPNIMEKGLNKLVQLRYNFQN LASQFQGLFGSQEEFDQQMNQMFSKIETMKDTVTKVNAQMKDRNKTTFIGVCIPEFLSMY ETERLVQELTKFKIDIHNIVINQVLFPDDQCKMCNARAKMQKKYLDQMIDLYDDFHVVIM PLQENEVRGIDGLKQFCELLLKPKSVPQF >CAK63823 pep:novel supercontig:GCA_000165425.1:CT868028:222423:223479:-1 gene:GSPATT00033676001 transcript:CAK63823 MKQSIDPSESENQTTQLCLIYSPEPQNKTLLSPKDFRIRKPAPLVLKPMLCDQQEDSQKA VGCEYNFKDLRLGKKIGSGAFGDVFVGFIQGKFIAVKQMDGKQLIESVDNEIIILSKLTH KNIVQYYGYMREVGMLNVYLEYMDGGSLSDRLKQFGKFNETLIRKFTIQILDGLIYLHRQ AVVHRDLKCGNILSNQRGQIKIADFGSSSWKEAINLVIIQQYRSSFKWMAPELLLKEKKY GRRVDVWSLGCVIIEMATAEHPWPNIKSLAQLLEAIQNLKCPPIPTHLSKECQNFIKRCC TYNKDQRPHAEILKEDPWIKKEI >CAK63824 pep:novel supercontig:GCA_000165425.1:CT868028:223629:225164:-1 gene:GSPATT00033677001 transcript:CAK63824 MNQRQYEITRIPSNLKAFSNFTNWICVGQLIEEAMLVGLIEYHIKVNQVQIIQSQLLWSN QQNQAMQKINTLLNNSKNKPNRFKSFIEEVKIQDPKGQCFKDVIKSYFRYNFKTCPSDQF KFLSDTFKVQFIVIEQPNSNSQQLYGIETYGGINKIILYKYQEKYYLILTQIEKKCHLCK NKINLIDLKCQHQMCYNCIQKQFEQSKSVQYITCNLLGCTEYIYKSFYSNLQKEYQNNNC SKNTIQGQKEIQSKSYLIDMKTKQQNQDAIQKCAHCKKGFEQNQVIQTPCQHYYCKECAL KQCEFRNQFICLQCGYYIQVDYVKLALYKPNKNQDQQCCKCKELINESLLFQNQCFHYIC ASCLEDLASKQTYLRCPNLKCGKGIIINDADLYLDRILTLKIQQTTNLNDQQQDYIEREE QVAIPELLNQGNNQELPSEPQQEFSNKLFKVKYFEQRQIMNLEERQCAICGLNFLDFEKQ AQLQCLHVFHIKCFEDQQKESADCVLCQNVY >CAK63825 pep:novel supercontig:GCA_000165425.1:CT868028:226297:227010:1 gene:GSPATT00033678001 transcript:CAK63825 MQSIIKSSIKNNPITGNTVCKINNRGKRTFTTHDHIQSSCVVKGTPLNKTPNRHKIPDHS NDHFYPQNSSSSNRNLRKSLSTFQPKENIKDPLSRKISNIYGQEIKITRSPIKQQQQSET ISPQKRRILDNRSSHVDQNDVYEVNLNYNKEKSLPSDVDWFYVDTIKHRHDYKIEQPNPN RSSYKDQRSVLDLHNNNIPKNNYYQKQNEKLSKKQEVALQYTYLRAVKRSELVMKKK >CAK63826 pep:novel supercontig:GCA_000165425.1:CT868028:227222:229350:-1 gene:GSPATT00033679001 transcript:CAK63826 MAEIISQSQINKCYSNSTNNTECSEKMLISLTVENAQNTVTEYIKISETTIDNQTSQLKT PIIISITKTPVYAFYPLKYTEDYNSQPYEVKIAGAILSCDDSWYSNSPTCGFQYEKKEKI FDSQGFCCSCGILDLIGLSDEFARGNICHKAGLTTATMAFCLRYSTLWYSAYEISTYSIY YNITISITYSNQEQEELQLGSEVKVVQGKTLIGRIIGDFTPLNPPPSLESFYFMRPSSPN SHARVQAGSAAFMIVSKDQVGRGECNKIGVSYSAFRTEAERCKKQVKSCLKNQLEDFYIE DQALIANNSQPKYLLSRYGKFKSIYLNNETYLQYSVEGSMQTMITLEITTTGLISYVVNL GKGKIDLAEIQDFEAKSGNGLLYAQITNVGDIESEFNTYLNCSINVIPINSAALYLKPLE SYIVKKDVNVLSDMNKSNICTFSLLNNKGTLLDQKQIEFNTTEIQHESEQNHEEQNIKDN EVLASDESQDNCYSDCSVFLDITCYIFNDCNSQIITFFTVLGITFIFLIICLTCLSYRKK LCCCKKSHKCKPSVLETIVQKDYKTNKKQQKRYDNSIYENNIDLLENSSLQLIENRIMYL NLASGSDPISNYLQSDVSFEVLTSYQRKQLINMKIKRNSDFLKVFQNVYGLESLERNVKK YFQKKCESVLLFNNLLTDLPLFSLL >CAK63827 pep:novel supercontig:GCA_000165425.1:CT868028:229437:230743:-1 gene:GSPATT00033680001 transcript:CAK63827 MNNVEQIQDQGYNMYHFSTCKESQFIENSEFEDDGVYVQQNVQYNSQIRSDQGLKQQMTQ EQSFTSSEEKHLQVFHTYQGNFQIGHYVQCVQVQGGTIRKKSRKEIIQDDPQIFQPDEVD NDDQIKGVQDYFSESSIDIDISDQEVRHFHFSQNFFIKNQSQDQSSQDQQSQDSDSGFDS VSNTNDLDPDPNGDIYSFGFNDTTLNHNSDHDSESDPDSDSHSDNYQWLNIVIVIILQVM KKKNYIVMIELREQKRNFHEKKYHKITSYISVTYPPFFCPICQQFLPLRTQTYDCNHRFH ALCIDCWIQKGGQTCPICRGKLERINQ >CAK63828 pep:novel supercontig:GCA_000165425.1:CT868028:231263:231698:-1 gene:GSPATT00033681001 transcript:CAK63828 MRQAICVVPDTEITLNDKVYFFEQNKVQSIPVRSLLNQYLLQESEYVEEATQKEQDSDET LQQQEEYSDDENNGDDQGPSGGRMMPVIELIKGFIPRIVGAI >CAK63829 pep:novel supercontig:GCA_000165425.1:CT868028:231750:233186:-1 gene:GSPATT00033682001 transcript:CAK63829 MGNMCYRDYDEEKLLGGQEVKKPESVQGSIMKPKPSGDGQTNEITNQNQTEMTDDPELQV EKDEFIKLSNENTKQLDIASKTQEPEGQGLQTQKVNIDSFRLLKVLGKGSFGKVMLVQYK SNQIAKYYAMKVLQKKNIKNERQKRHTETERIILATCSSIFLVRLRYAFQSQYKLYLVVD YMPGGELFYHLRKVGRFKEDVAKFYAAEILLGLQYLHENHIIYRDLKPENILLDETGHIK LTDFGLSKIMMEEDETAFSLCGTPEYLAPEILTTKTGYDKTCDWWSFGALLYEMLVGAPP HYKENKKEMIRRILTQPIPYPSYLSENARSILEQLLVVDPKKRLGYENDGYDIMKHDFFI GIDMAEIIQHRIQPPYKFDKKELKYFDEGMTKQIAKDTPVNGTLLPNQNFSNFTYQPSMQ QGATIKK >CAK63830 pep:novel supercontig:GCA_000165425.1:CT868028:233409:234000:-1 gene:GSPATT00033683001 transcript:CAK63830 MIKKRNSLNIMEFATRPPSGCTNPDAPSPNKFIRKLTRQSSTVNGSSTKIQTVVSPVSNK SQDVVQQCIAIVEQIRQQYKERQASRFFHIRSCSMPCDRVSIINSLVDTMLPQSPRKLQR SLEGEIQSVMNQLMEEKQQKQKAIDQRDSLTRRQDYSIKQLKSRIEQFKKLN >CAK63831 pep:novel supercontig:GCA_000165425.1:CT868028:234055:236062:-1 gene:GSPATT00033684001 transcript:CAK63831 MSLNSQFPKQVPFLPGHVFSDPFKENHHKTQQFSHINGTVQEKTNFIHEEFDPNLMDSMK FNAPPNLTYGSRQPPENDYIPRIQPPWLKYDRAVLRFFGYFQESVVENPNENFRIRKVII YYYLSDGTIHVTEPRVPNAGIPQGLFIKRQKIPKKLGKNDFYQWEDIQLCSNINFYERVF RIQDCDTYTREFYEYMGKPLTLPEQMPRDNFENIRETKELKINPPDTKEYKEYFEVKLGG GHPNGGLNKYLQNDRKVLSFDVIWDDASIEGQLNYYTLNYYLADDTCEVKEVRKQNSGKD PYPLMLRRQKIPKTPILTHYPGMTLKKEEFYSPQDLICGNTVKIYGRECFIYGCDDFTKE YYLNVLGIQQKPATLKQERGKKVYQPVPPYNGYGYEEDSLGSVYSLQPKPPKKDVRKNFT QDQFILRFEARQISEVREENSRRFIISFYCGDDTVQVYQTSERNSGIWGGKFQERSRQKN PLTNDYYTEKDFQLGGIVQFNVYKFQLMRADEFTINYMRQKPDVFKEADISQIIAKLRLF ADGYPNFDTFLLDLMKKLDKQLKGYIDFEELSQGLLELGFNLTLQEQYFLMREFDRNGEW KLCMQSLWESLGGKRA >CAK63832 pep:novel supercontig:GCA_000165425.1:CT868028:236113:237729:1 gene:GSPATT00033685001 transcript:CAK63832 MKQTKKRVSYIKNQKPTNIEWILNLLDQIPKYQLQQSNMKKISAAQLSNLQECDQLLKSS LYTFDTKINKFTQMSFTLYPNMLIGDNQEYLVLSSCIMSKKIIQYKEFTAQGVQLLNNQG NLFLFYESYVQQLNWEKQLKRFSKQQNFSNKYTIQEKLSIQNHYACVKNKNNRVYTVQLI RLSSLSEQLYEALMNEINILMCFKQNGLHQFHSLFEDGDILYILYDYWVGDTLYKLIQQG FKLTASQIAQIIFQIVKVVRFLHQNNLYHGAILPTNIILHRVTTPSNQQGQQLKITIFNF AYRDANQYSLAWLNKKVPKQWIPPEFNILQIKPEFSNIDGYQIGVLLYYLTFHTNANKSQ LSFYKSLDNFKIDYQWLKSIKQEQQKEYSFSLIELLCGLLDPDFQNRITLNNALAHQWLT NQRQKQNVQNEKPKILPSLRTILELREQSSCEMLSSRLGKEELHVTSSSEDDVEFCDDEY IHRISKENNKIPYYQNILNLIPNKHPSRSKQVIDQCQESQF >CAK63833 pep:novel supercontig:GCA_000165425.1:CT868028:238015:240272:1 gene:GSPATT00033686001 transcript:CAK63833 MYEIEYTIEIFDNYNRYSFITFLLPIAIYQQNDKETFVNRYFMLIKLLHLLLLMLYYSLI DFDDGQPLIQFLIVSLLILAKNHHHEGEPKVTQNNLTMIEETCNLKTQTEYDKITDATNG IVGVIKLNEQFEIIYQNKKSSYLMEDKSINEILMQAPTLIDKQTKQLLQEQCNIKLQSVQ DFRESLTQISLKELLEIIKTNLPLPHQLDVYVLQDFEMFYFQILINKESCDKTTYNIVFQ DMSDYSHYIKKKHSQTTMKQLFKSFSHELNTSLNYILALAQVAQCHENVPKTVSEKYFLP ILHCGRIMHSLISDIMDYNLILSKQFNVEVSNFNIPQLISEVVDLFKYQVNQKHLKIQFQ NNLLNYDLVSDRNRIRQILINLVSNAQKFTFSGQIRIQVGAVVNNKQLCVIFHVFDTGIG MKQDEVERLHQLLSSGIPQSARISQNTAGFGLGLYISNKIAEVLSQTRYEKGGGLRFESK YQSGFHAWFMARNQSYSPVYQQSNPTPIVRIRKKIQIDTMESQISRVNALPMRQKFSQIL GIKDFKSERGLNKELSLKLRQPPSKIARELFLAAISSERRIESLSINQETNDQEFQNRIK HLNENCTNNQKCNCPQILIVDDEQINIMALSMMLDQMGYSSDSVFNGEECVNLIFSNQKK SKCNKCRSQQYRMIFMDINMPILDGIQATVRIKSKYSNIIVIACTAFSDTETRNICYKSG MSYHIQKPVKKDQLIEILSYYLN >CAK63834 pep:novel supercontig:GCA_000165425.1:CT868028:240325:241924:-1 gene:GSPATT00033687001 transcript:CAK63834 MQHQLPFSTNELEHFEIEHTHGSLSKFKPPVYKQKDQLIIDKTHTVQLDHEIDEDVNSKF HFTVIMVAILSGNFGLGYSLPYLSMSFSTVFSQIELKGSETSEQGFFSAILSIGCLVGAI FTKFLLKYTTRNQSLIIADFCGFLSIFAVIPNREVILTFRFFYGVCIGIQTIIMPIYLKE LCPQKYYDKFSVMSGFFVGGGLLFANFMGLGYINSDLRGKDSHYWQIVFAIPAVVFLFRF TVLTILYKMDSPISLIIKHKPIKAKGIIAYIYQPVCVEQAFQKSQLRVSYDEEHKEGIMS LFTRKNRKTLAIGCVLMFVYTWCGLFAIFSYSSQFFASMSDGDVTLNTFFTLILGIVQFT PAFISSFVYRKWGKRIILLSGLFFMIICQILIIGLSYTDVMAAVIVKFIVICLFSFLYAL TLGPITWSMTPEINSSEGTYFCFVTLYAWQLLVLYIFPFMLSSLQMSGSFVVFAILTVSS ILFFYFSVKETKGLNHTEIDKVYGKEEK >CAK63835 pep:novel supercontig:GCA_000165425.1:CT868028:242174:242955:1 gene:GSPATT00033688001 transcript:CAK63835 MQTLDSTVKWFNKTEGRDKICKVMQYGSRFLMWHLKTNSGNEQLSNQFKNLFQSTRDARK LFRLAKSLNELQTIIDKVGQNCKTPQEQVARALNILTRVWFLLYWFYDNLSVLSTIKFTT SDPKPLQKKAMTFWFIAIITNLVDTIRQLVVNIQYIQQNKNNQTAQQQIVNKHVQNRTLY LNLIKIFGDLMPAGQGSEFFPKVLKINLNDGVIGLGGLVSGAVAAYQAY >CAK63836 pep:novel supercontig:GCA_000165425.1:CT868028:242955:244745:1 gene:GSPATT00033689001 transcript:CAK63836 MNQRQYEITRIPSNLKALSNFTNWICVGQLIEEAMLVGLIEYHIKVNQVQIIQSQILGSN QQNQAMQKINTLLNNSKNKPNRFKSFIEEVKIQDPYGQWFKDVIKSYFRYNFKTCPSDQF KFLSDTFKVQFIVIEQPNSNSQQLYGIETYGGINKIILYKYQEKYYLILTQIEKKCHLCK NKINLIDLKCQHQMCYNCIQKQFEQSKSVQYIKCNLLGCTEYIYKSFYLNLQKEYQQNLC IQCHQIVPINHQTCQSCKEQNEKQLQETYIEQKQSNAEQVEQQQNQTQQNQIDQEQDKKQ DITQSSIQNKNNNVQMEIEYDTQNAIQKCAHCKKGFEQNQVIQTPCQHYYCKECALKQCE FRNQFICLQCGYYIQVDYVKLALVMLQMQRKLINESLLFQNQCFHYICASCLEDLASKQT YLRCPNLKCGKGIIINDADLYLDRILTLKIQQTEQESQVNQIESKKEQNQQIGQQQHQQS NIQAQMVQNDKNESSQKKIQNQNNLERSLIQLDEPQNCTFCGSSFTEFNTRQFLSQCQDS RHFIGVCCLIFPLDCPQCQFKSLKIEKGILLTTSKQL >CAK63837 pep:novel supercontig:GCA_000165425.1:CT868028:245008:247003:1 gene:GSPATT00033690001 transcript:CAK63837 MQQQQQDLENFSVDWSQVTKPKLSEKRIKKQEIKQNIPTEVNVFERIQNCFRYRIATLIT QLGQPQYYCKFDPKIKRTVQSNNNQKKKLEEQLSKIATQLELSCRFITRSMFQITRQPLL KSMGIDIRSILNKAMNDKEFQKLYLDLDDQSEEQRKKEEETEKLLAQIQPLSEPEVREEI EKLRKKHNLNQNQYLYVIQGEYFNDMKTLFNSLGKELHYFLLGWVEIDDVYTQVYDFKFC YLKKFLHKPTPEQVVSRFASSKLLTTKFGLARSLKSCYILGGVDSNTFFPRCYDLSDEGD FEDFLEEYKFTFAENYIKKNPKDEQKIQIAIQILNYKLMSIQDKINRITAEDYPVCTPQQ WQILYKKPEPKQDIVQFDNQNIMESVKQILQDLSNVDPQFKISKGENIWVTKPCGLSRGR GVKYFKALEDILAYTFGAKDVNFVAQKCLENIMTIQKRKFDIRQWIIVSDIQPLTIWMYR ECYVRFCGVEYNTDDLKNRYAHLTNFSVQKNNEENQEEKLMMTQEEFVQILKERGCDFES QVREKFKSIIIATMKSCQKSFEIRKNTFEVFGLDFIVDEDCNPWLIEVNASPTFAFSTPV TERLNKIGLTDLGQFIVEHIYNKTKKKNYGGWEFIYKQKL >CAK63838 pep:novel supercontig:GCA_000165425.1:CT868028:247104:249569:-1 gene:GSPATT00033691001 transcript:CAK63838 MKIFISLITTQTISTIIAFTEFEEPKEIIALALELAGIAALFLLNKFNLPELLQRAMLLG QAILIFVLMPNSQYKYFTGAYHIIVRLKAYTQVSRLLLLEWSVLLLIVFIFGTEIEIHQI FRSIFAFLGLLIIQGFELQAWLEKYQKKPEIMIHSKILYTGYDSNPRSLTERITDPNFSI LYLDDQFNAIGSEKSSSILNNLENGLKSILHDLIVHKIDSQSKSILQWKSSGCSLQDLLD QVRANRIPMIIRILDYSHPQLNSHFIKIIYQREFQIQFLELEERDRYQKQKYVFAILKQL LSTMSHEFGTSLNYLLAIAQVAIEKYSDSDLLSYFMPIKSMGLIMHNFVLDMVDYNNIKG KKLELQLEKIDISQLLEEVISIFFHTLQQKGLKITYEIFLVEKSILTDGRRLKQILVTLI QNAQKFTFSGGIKIIVKSIDQNKYVQFEISDTGIGMTKNEIEGLTEMLKYDYKKEKKIST NTAGFGLGSFLCNKIAMSLSNLKYEEGGGIRYYSSQEIKGTKVVFKIINESFNLTYTLTA PQDNSSGIIKVGRNAVFDVKQSQIEFGLNAIKNLITHKFSTIMVPKVNSFHDDHVKGHFM KRLTTKDKLGSLFKIQMQHTKKDQDSINEETNEGDYQRRLQFQNIRLPCFPQQNQSSKQN SYDEIDNVIVNCNCKQILIVDDEMINILGLQLMLKSFNLESDSSYNGQEALIKLEQAHCL YDFIFMDINMPIMDGFATTKAIIEKYKNDAPIIIACTAFTDSETKQYCYEIGMSHYISKP VNKNELQKLLSYLIN >CAK63839 pep:novel supercontig:GCA_000165425.1:CT868028:249806:251110:1 gene:GSPATT00033692001 transcript:CAK63839 MNQFDQKVPDTVPLDILLAQLKNPLIVREDHQQFLKQRHHCMPEIINNNYELLADKYLLL SNALPGCSPELIVEEFQKVIQVVEKCCNQFIEKMKKVITDLQAFANYDTQVLKGYLVQST DIFQRCFNLVDKDLTNQDVEFLMENFTNPENTIQRHLLTLIFERNQNLQNVMQKVYTEQL DFSAQFRAQFEQLCNTNHFEPLNKLLNNQKKFWSNRVKKEVQTQKQLMEEQLPQQFLVQS NIQFAPQNQQFQQQQQQLVQPQVQQQPQQDASWVNKSQSIQIEPGNQKIIEGLLKFRAHT HELMQKHEKEFKMDIRKHCKLDGGGSFGYCWTGGYGWKGAVYESLELSNQCPQCQNLNQE MNNTAKKLYDLEYRDKGTWWVRAWFLYKKMKIFNLLHNMHNKLLSVLILKLIYHLRS >CAK63840 pep:novel supercontig:GCA_000165425.1:CT868028:251733:253405:1 gene:GSPATT00033693001 transcript:CAK63840 MSADGFKCNLKGYQQPNYLEDVQNRIESDQTLENFFKDHQGQYEEFKNYLKRKIFNDLDE FQQHLDNIQNQWDSQFKVELKRIEVFRLIMAMYLGLLERNLSKGGFYIVNMNTIKNSNNY ISKQKLMCFKNYIKAFYLNSDKIQEEKIVFTKNSVSKEDFRKKFEQSTYQKIDFEFTQSK NEDHLNSTVVDFADENIGGLVLDARNCAQEEIVMLIFAEATVCMVFIPPMKETEAVLIEN LKKYSNYTGYEQSFQCSPSLDLKGSYNMLAIDAKPFYTENQFTEENIYRELIKSYAGFEI SLKNAPNSYISTGRWGCGIFRGNPYLKALIQFVSFAIAVNQLNLKDKKIIMNCVNDQSFF NFGMKLNKLLQEKEMQLNLINLKKSLLYMQNEVSDTKFQYQGNNIISQIYQILIQNIQQA VEIQQENDDLNQPSEAQDIRSENDNLIQPLVGQDINQEKLINTQNIEGNKESQFKSNAQI IEEKQEMQIQNEAQIDQEKKESENLSIESNKNKNWVGIATILLACGCGCFVGIIVYKKVF K >CAK63841 pep:novel supercontig:GCA_000165425.1:CT868028:254499:254942:-1 gene:GSPATT00033694001 transcript:CAK63841 MRNTSQLFSVWDASGLKKQQESINKYYQQMNFCVLVYDLTKSEGFNSIKNWMNFVLSYLA QITQAYFPFILVGTFLDLKEQTDEEQEIFYKEMYNDTRCLQKMQILCRIQQAIITATFKL EHQNLRVQKKLTLTILARIYICIRRKY >CAK81121 pep:novel supercontig:GCA_000165425.1:CT868388:2:707:-1 gene:GSPATT00039428001 transcript:CAK81121 MMPAIILFLGGDFILVMMVYTLLSMVNRCIGDAARDVVLTPDDIPFGYNPQPVIPESRAA PQIETFASPEEEQPYWGEEEQEFVPVTPDAVPNVLPEEEQPYWPEEEEEFVPPAQTFASP EEEQPYWGEEEQEFVPPPVSPPVIPDEIQPEPLPEPEPQPEPEAAPAPSPKPAPGPAPPS EPQVPVVKECEATIDVTKENAADILCANSEYLAQLASGH >CAK81122 pep:novel supercontig:GCA_000165425.1:CT868388:726:3037:-1 gene:GSPATT00039429001 transcript:CAK81122 MRMHALFVLLMYAAALNFEASLMSHTLAEVDALDTSQLNCQTPRSHFDELEQTLTQWQNV LENKERIPSHIETLTEMKHLIKHKKYQQLVDKIKDLDLISPPVLSELQNKLQGLQSHDKH EREECTETLTKLCAYLIKQMNNVHQQCQQSPVTVIKVKGKIEDLKIIQSGCQQACQPTCP DEPVPEVPSELPSEEFGEEPVEEGVPPTTPDDEPTPDDKPTPDDEPTPDDKPTPDEPVVP QDEEGPTDEPPVNPNTEESPDQPIVAEEGTEEWTIPGEEPEVPIAVPTTPDQPEEDQEES SNPPVSPDPLVFPPEEEESFGFEETPLPPVSPDPLVFPPEEEEIIRVLKKPPLPPVTPDA LVFPEEEEESFGFEETPLPPVTHDPLVFPEEEEESFGFEETPHPRISPLRFPFSEEHEEI VFEEEQEEQDPIICQSSESTDIIELTATQVDPGFSSTLTIAGEYGFGLYFQRLQKYPAFA EGDEFHLASLQDNGNTVLGVFVTNTGVKCVTVDGSTHQSTSVSNSDFEGEWSFVFISHGQ GVTGCAVKFFEELPALRSTPASHPTYTSLDFKVGGPQDSHPSFQGKVYGQFASSVSNLHF NSQRQYNLLVDSCNSPPEVELCELYTDELGDYQFYGFEDTSDEIFEFSTWDAGYAVSGWL KWETLEDQDVWHTVFRLSENADADLEEIKLGDRDLAVFLGSGLVGRCIFNFLLTHIQDLV ILIYGIMFNIQIHWVNGIIFTLDTTDFKEWLILHFIQWKNN >CAK81123 pep:novel supercontig:GCA_000165425.1:CT868388:3066:3523:-1 gene:GSPATT00039430001 transcript:CAK81123 SLLQYQFEGIHKLDYQKLLITFLIFDSFFWCPLKNGETYEQYYVMMQNVNIFSNEILIYT TYHHFPHPQQFLYKFTLKLKFNLIIGINKILQIQVIQAFPLYMYFLISSFYKGQAMLLSF FFNQYYNGRSLYQIFNICNNPF >CAK83940 pep:novel supercontig:GCA_000165425.1:CT868490:673:1110:-1 gene:GSPATT00039572001 transcript:CAK83940 MAELLTIEKYARICDDIFLINTSRQSLVVGGGNIALKCVRILKGLGSELSLMIRVKYLRE FDQDVVKMILEHNQKVQQKSTFNTQQDEVPSKLLLWQYENKPILCLVYSQNINKLAQENP VKWPEKKEREYERKEEAFQRNDIQG >CAK77983 pep:novel supercontig:GCA_000165425.1:CT868292:1052:6501:-1 gene:GSPATT00039260001 transcript:CAK77983 FPRKIITSLEKCFAATVEITLSNPERKPVYWRMDVTSITTDKIFNITPTEGKIDSGQTVK VKASFNPQKADDYQKNIPVYIDSQESCYLELILKGKAAQPKILFDRREVLLPPVPLNIES KCSFKILNDGYENLNLRHKVFGEEGNINVKLRFPEGVSVGMSKKRLRVDALFQSSKSVSF TTKIEFYDEMGKVYTIPVSGTADNCLLTSFSYQQRCANEFKIDEKISQGQTTQGPICLMD DDDDANSDIQSPHKKGAPSVISYRSLSSMSNQTTASALGYIPIPQDLVEQNKQYATKWLK FFLWSANIHAFPEGVIEQDGQPIFELTSVLSGKSLPLPCQSYLDKNAKKQERISQLLKQY DDFIRMLKSEGALLNHIRPYHLLSFSDLNAAFKLLPEDEKEQVHPSVLKITQQRYNYISI DSWCAIFHQILKIYGLQRINIKYFRQIPGWGDKTLPDCYLNGSNIYSPQEGLLMRWLEVC AESEGHQFPRLKYFEQLKDCHYFAAALQHYVGPSLTKYLSGLKVCETVQDFKLNSNKVLA ALMDMKFSIPFELGNFAEPSGREMLILVLHLFNQLPNYLNKGTEVFSCVLGQSISRTLLI INKNNRPVSYWVKLEKEEDFKLEGGDHIKLEPNQHLPYKIKFVSRISQPVTDRITFMPKR EHNLFTAAIVYDLKSQILGRESKSVEEVSSPLYESKDFYLQISNEFTKSEFGNFQIKMLF EKVIETNKRKPIQNNNNKSQQIEQQKEVFPAIFCQSEIVKMKKGAQLQLQLRYVPMVLEQ QRCFLIFTDPNVGEFQHEILATAELPEIQQEVKQYQVFVDQPAIMEYMILPKNEQIFKAR ESILNYTSKKQLQLPGGEPETINFEIELSNHFGFLTAPKQFTVQDLQKQKANRVQREKLE KQQQLEKEKMMQALQQQQPQQQQQQQQQQQQQQQQQTQQLQQGTRQNTEIKNISMISNIT ANPNNVTTNISMLPDVNKMPLQFLFKNPVKDMPIIMTLRSNDKTDVRRYKFLVTALPKPT KAQMEMRCPSRESIVQDIPFSNPSDKDWTFKFLLNQEGNCFSLINVPTAQPDHGHSSIFI GGYKDYVLKKKTKIDIKLQFTPKWMCKVEAHLQITNANTNDIYDYTIAGIGEEPLAQSNI VLECKARQKTKTEIVLFNRSQNEMKYKVETDLINASGLQNFTIAPQRKYRYELSVMPMLS GQYNGSITFYEESGEYIWYTVVLDTDSPIAEKEVEISTQVRKPVSFEIELSNPMPFEQAT FEVRIEGDNLHGSKTFTILPQMSSIYELYYLPLLPEKKKGVIGFIHPKLGEIWYNLSLIS EERQSIRIPTLKTELGKVEEYEIQLENPIDKEVNVQIQISNPANFDVLPADIVLKPHCDT SVYIRFTPSSLDQIQNGEIRFITQEIGKWEYLVYGVGIPPTAFPEMTVTVGINKDYSDVI HFKNPFRDTIQVQIMIESDDDAFQLLIKKKNDTKTTIAGLQQIQIPFSFTPRSIRSYKCE LVVAMNEKIKWRYPITGHTESFATGKFTQFKTKCRISFLQEFKFSLPGVDKIGKSNFTFE IKNISEDVKMLVDKFFKIKMIQSSADDCDEVHFDAAFIPLKPFKANFDLIIKRDIGGVWK FPMNLDATEPDIDDLIIITSPLNKPTSVSFRITNRTKHQATFRAYFTPASDQEFSVTPKY GELQPNGREGTQFIITFTPLEYGQIRSGKLIIETDDMYWSYKVRGTLPKYEPPVAQPKVD AKLIANNSSNFKPKNFLQTNIKRNHSPPSMHQKSTAITNLNFSRRTFGATLTRETKSVQL MKTQKLE >CAK71743 pep:novel supercontig:GCA_000165425.1:CT868103:1:1784:-1 gene:GSPATT00038668001 transcript:CAK71743 MIQNISEIDINKKNYSNDNSNQTRKELIKKITQDQYIIEFLKFLVQLTSIDERLIQCGSN ALNILVEMKVDLREQCFENIKIKDTSLIGGNFVRCNLNESEFDNVDISGVNLNQAQLFNC KWKNIKIHELNRLDGHSSAVQSVNFSPDGTTLASGGGDCSIRLWDVKTGQQKAKLDGHSR VNSVNFSPDGTTLASGSEDNSIRLWDVKTGQQKAKIRWSFALCLFTSGSSDNSIRLWDVK TGQQKAKLDGHSDYVRSVNFSPDGTTLASGSDDNSIRLWDVKTGQQKAKLDGHSHYVYSV NFSPDGTTLASGSDDNSIRLWDVKTGQQKAKLDGHSDYVRSVNFSPDGTTLASGSDDNSI RLWDVKTGQQKAKLDGHSGYVYSVNFSPDGTTLASGSSDNSIRLWDVKTGQQKAKLDGHS EAVISVNFSPDGTTLASGSWDNSIRLWDVKTGQQKAKLDGHEYEILSVNFSPDGTTLASG SADNSIRLWDVKTGQQKAKLDGHSEAVISVNFSPDVMITLSVYGMLRQDNKKPNQMVIHQ QYGQSISLLMVLHQHLV >CAK71744 pep:novel supercontig:GCA_000165425.1:CT868103:2167:2822:-1 gene:GSPATT00038669001 transcript:CAK71744 MNCTYHIDSSVLLVCTAPHQCQFQRRLCVECQYEHGENFKNHTVPTKIFQQKVLKKLKES LLNETSQITTQRLNFKQMLALTQSKLKQIWEELENSIKLIYDQLEREENCFKNFNNVNPL ELSNTELEKLVQIITGKPLDDWNDQKNSILKRLEMTKNYWNQETEAFCGKLNKEMKEMLE LIKKDNSPQHNFQNFKKGRFVLGLNLIQNL >CAK71745 pep:novel supercontig:GCA_000165425.1:CT868103:3546:3767:-1 gene:GSPATT00038670001 transcript:CAK71745 MHSIKMLIFEIYDWQTQISNQGALRTLMIKLQKLWSVERVENMMRQINLCILVETPYMME IIVQVLPKMMESL >CAK71746 pep:novel supercontig:GCA_000165425.1:CT868103:3799:4257:-1 gene:GSPATT00038671001 transcript:CAK71746 MFKQDLAKVLRQRKQKNLYGNYIIKIKKLEIKCLYLFISLYLQLKNPVFQAVEETLRQNE YGFDELQLKECKEILEKKEFRFLLIMDSYDEMKLENILKNLYMNNKVKQNWSDPLVIFTT RSEIFTSCNYAFWFAPGNKEKFKGNSALKIQS >CAK71747 pep:novel supercontig:GCA_000165425.1:CT868103:4312:4533:-1 gene:GSPATT00038672001 transcript:CAK71747 MKEQLKNIGNDIKFLRGKSVEQLFEIRKWNVLKEAAYKNVKTIYVPLKTLEKGKEEQSIL MNFRRIQTIVEGK >CAK71748 pep:novel supercontig:GCA_000165425.1:CT868103:4632:5805:-1 gene:GSPATT00038673001 transcript:CAK71748 MKYLFFQQINLIHLKYQIYDNTQYLVQKMIKTFIYIKIHDNSDHPQLILFCKSHQDVQLD LQIYNQIKCKIVRFYLQPGVSLSQQDTVKYKYEGDKKREGQPGGILIGIHKQFHFRDITN FFIDGETNDQYASGLLIIHIVNQIVQLIYSKIRRIMKFLICIQKVWKPKFLKGLMIMKIL FDFLNCLEFYLQEKLDQEGSISNIQVDQDFLIQQIKQIYLEDGSEIDYVEESKEQFKVPL YKQRRMENQIGSSFYYNLDFIQLFFRQSCFFLLESSNLIVSSRKRYKSSKPFKKLKIDWQ SQHDRIAGKMQEMLSRIDELQEQISHEANLNKRDIYLKELDETTQAIRSIN >CAK71749 pep:novel supercontig:GCA_000165425.1:CT868103:6284:14767:1 gene:GSPATT00038674001 transcript:CAK71749 MLQNDGEPESPMQPLPDQTSPQEITKIELPDQSSPRQPESPKMDRGSPDHTSQISEDQEE AVPEEEWQKTKEEWLAQGKTEDPPRFRIHRQAARRKSLLKLASAGANDYGAIYVRKQVLE HLDSYEVEQWQWKNDPHDILSINFMCKHGQANLFAWMTEQGIQMSETDPPRLEPDAFDPD FVYFLKLPHPQDVIISEENVAEYVSDGVININYLKTLLKQMNAQFIPQFIQDSSWPENVK KEFLAQLHKFMATLTEYSFSQEGITELYIPNEDLNNIEQASHDKDLLQRLEAILLHWQRQ IKDIVNNQELAIENENAGPLDEISYWRQRKNNLSHIHEQLEKPELKRIIQILSDSQYVQS FKDVTENIKLGSSQAEDNLENLKILYEPCKQLETATPKEIPELLPNLLFRVRYIWEKSRY FNTPERIQGLIHKISNEIIKRCKSSININDMLDGDVEKCIQDLNDSIECGEQWKKIYQRM SVAIEKMSSLTKGPKWDFNNSIFAQVDAFISRCKELLEICEGQLQFARKGAGSHIPQFGG SKGQEIEDNLEQIKDSFAKHLKPIRDIRKTDKDKILDVKASKWHDVFNAFRNGVKDLDVM YTNIINNAFESMTTVQQGVELLEAFDQLAKRQSIKRVVQNKAIVVLELFIQEIDATKHEF DNIKKLQYYPLQHGSFSGQAIWVNSLSHRIMRMRYWIDQMYFIDDSIKRTAIEKFEQLSS NLKQFIIESRLKEWKEDCKDLEDIVLTTRLDKQVLLRTDEKHPDFQYKKESLRPKIGHLE SNFDRQLLKLLHETSAWQKLIAVGVVIPSYANDFTQNHKESLRVLRELVMLVVREYNNII DYMTETEKKLFAQHLETVNKVIQPLASRLRWSAKTIIDSQVRDCRRACQEMFLKLKMFKT NMDKIDGKCNEIANKLLIKIDRKKQYDHKSFEEEQEKHRRDMYQKLKQIFDEIRKILSET YDPFLFDRIEVQTVWLKQVKSIDYKIKESYEKAVKNSLIDLQKVIGSEDGKITPVPIFKL SVELENQQQEYRPSTNYLKQMVSQTCEYMREIMKDFKSMDEVMKEERKKKLDEAILLNSK DSKNPQAQNIRRMSEISQVQQQEQETQISVRSLDNEQNIKIKNDSDGLIQKINSKLSKTC DALNSVDAHGQWKRYDWYWQQANQKEKFIKDMINRNDPITNIKTQLETFDLHQGEIQQLE TTKTQDCIILDNTSIKSTLIDIMISWQNAFLNATQDKALQDLNQLYSLFQTSETNLDIVP QDLQQLKKSSDLWNKLNEDRPSIEAKLGPLEDKFKLLEDYSIQLKDDDITRKNNLRNTWA NFNVMLDRIQERNRKVHNNLYLETQKNLDEFIKETGDYKIVFQSNAPYQATNMPHEKALL NLNEYSEQVKQYRKKEESMKFGFDLFKFNYVPSPDLEFMEKEIAQLSYIWHTKEEWDQFI KDIGSTAFRDVNCDNLDEIGDDYLRKLKALPREQQKWEIVNHMKQIIDQFKQTLPLIIML REQYMRDRHWDKMRQHLGTNIEPDSKDFNMAEIFKLNLLSYGEAVKDVCEVAKEEFKIEN ALDKIDQRWAKLELEMDTFKKTYKIKKTEEIFTILEDHMAVLSAQKTTAFYDSFKPTIER WENCLQQISETLEMLSIVQRQWIYLEAIFATQEKESEKQLMGDINKFAAINSQLSGHMNR IYEDKNVKRSLSYEGFYQELCTMNQKLDESQKILYQLLEKQRKDFPRFYFLSNDDLFELL GNSKDVFKVNKHIKKCFEGIKKFDILTQQYQTGRAKQDVYEVQAMVAPDGEVVKFTTKVL CDSQLEKWLGQAEKTMRDVLKKEFILYNEGMRWVDKWVKEHPGQLLITASQLTWSGDCAN VLNQIYNSERPEKNRGWKAIKDEKQSFILELTKLIRKPSNEVDRLKLVALITIEVHQKEI IDHLTKNFNQDLQAQQLMIYLSVSFAYGYEYQGNNGRLVVTALTDRCYMTLTTAMHLKKG GAPQGPAGTGKTETVKDLGKNMAKFVLVFNCSEGLDYKSIGRMFSGLVQVGGWGCFDEFN RIEVEVLSVVAQQVSQIMNALKEYEKNKEKSSFQLDSDVIPINDQFAIFITMNPGYAGRS ELPDNLKSLFRPISMMVPENEIICEIMLTSEGFKTGHALSTKMVTLYRLMIQQLSKQDHY DFGLRAIKSVLTCAGQIRRDKSNEIQKVKQDENARENQKESEMDEANQETQILMRAIRDM NIPKFVSEDVPLFNALFNDLFPNVDLQEQINETLFNEIETQMRNLKLQTRVEHINKIIQL YDSKNTRHGNMLVGQSLAGKTTCWKVLKNCLNSLNEREPNKYPKVKIEVLNPKAVTINEL FGYVNSTMEWNDGVLSSMMARLCKDETPDQKWMILDGPVDTLWIESMNTVLDDNKVLTLL NGDRISLPPQMGLIFEVENLAVASPATVSRAGMVYLDINDLGWRPYIESWVEKLTDPLVQ ETIFEFIERWIPKLFKQRKWCKEIIPCSETNVIISFCNLMDCFFKSEKQLSMDIQNKSDV YWTLLEKWFTFGLVWSIGATVDEDGRRIIDQQMRDIDLIFPSQNTVYDFFVNSDKNEWAS WDEKLGTGQWKPENNSPYHKMLVPTTDQVRNKNIITRLLSNKNAVLAVGLTGTGKTVLLN GVLLQMFEYTTMNIVFSAQTSSQKTQEMIESKLVKRSKNKMIPDGKKMIIFIDDLNMPRK DIYGSQPPLELIRQWMDYEGWFDRTNRELFKFILDIQFVSAMGPPGGGRAEISTRIQNKF HVINFVVLSDQQVKRIYQSILAYKFQEFEDENQITH >CAK71750 pep:novel supercontig:GCA_000165425.1:CT868103:14802:16375:1 gene:GSPATT00038675001 transcript:CAK71750 MVTNNFLPTPAKSHYVFNMRDISKVIQGVYQLDRLYCDNKMTVLRLWAHECLRVFHDRLI SVEDRQLCKQLINDQLVSCLQTTIKECTNENEDDTVFANFMEESGGKYIEVTYNDRENLK KFLEEKLVQFNTENKSKAMNIVLFQEAVHYICKINRIINLGKGHGMLVGEGGAGRHSLTK LATHIAEYKSWQIEVSKNYRMKEFREDIKKWCEEAGFKGVSGTFIFSDNQIANEGFIEDI NNILSVGEVPNLFSQKEDYPQIKDRKTNQTRMLKSKMKTLIEYFFTRIQNNFHLMILMSK TGENLRNYCRMYPGLVNNTTMIWLWLKWPTDTCYNQSWTMNQLLTFAKFFGTAHTKVLSL SNRNVPRIKKNLLCDTHPNYIELVKGYNDLLEKKQNEIGGEVRKLTLGLQKLDDAAANSE ELQKQLSIYQIELAKKSKDCEELMIKIESESRDANEKQVEVETRSAQVEKEKAEVETLAE EAQKDLEKAEPALRAAEQGLEVIRQITIG >CAK71751 pep:novel supercontig:GCA_000165425.1:CT868103:16440:18617:1 gene:GSPATT00038676001 transcript:CAK71751 MGKEATWASAKKEMTAPDFLQQLKKVDKDHIMNKTLVRIEKITSDPEMLPSKIDAISVAS GTLWRWVLSLEMYAKAFKDIEPKRNKVKYLKEKLKKSEDEFQQLQENFQILKQSIEKLKT DLQRAKDDMEMYTRETSVLVNKLERAEKLISGLASTKEGWAIRRKELQGKLEVLVGDALM TAAFLSYAGPFPSEYRQQFVAEQLIGQVRYLKIPYSKDWNFPDFLVKPVQFLQWSQQGLP DDQFSKENGVLVTQGRRWPLMIDPQVQANNWIKNMERAINKNNLKELDPQNEKMMSIIET AIANGQIVILENMGEDLDPSLEPVLNKQLRTVNNKLMMYMGEKEILYNPNFRFYMTTKLA NPKYKAETQTRVTLVNFTVKQKGLEEQLISVVIQIMEAQLEKSKNDLVNKKSQNEITLRK LDDDILKMLQEIKGSLIDDENLIVTLDKSKETEEEVKKQIETSAVSMKKTFAARENYRSL ARIASKLFFVLNDFSLIDHMYQFALSNYIEQFGENINTYQSRGASINDSLQEKLSDIAAR HSEEIFKTACRGLFEKDKLLLAIQMAVNITSELKSQITIDLEEYNFFLRGGDPNADRKNQ PHNPISDWVTEQQWQAICDLDKLPNFTEWKKWYLSPTPESDALPGEWDQKCDSLKKMILL KIIRPDRVLLAAQAFVNATMGQFYTQPPATTYDSIYNDTTKNKPVIFILSPGVDP >CAK76388 pep:novel supercontig:GCA_000165425.1:CT868237:2:304:-1 gene:GSPATT00039136001 transcript:CAK76388 MSFSEQQGQELQIRKADLDDYDEIMQLMQEEGEEDLQQLYAYPKILTLFERSYLSVTVLD SQNNIIGGAVFDDCPQGVTGQVDFKHENLWEQWIHDGWDIG >CAK76389 pep:novel supercontig:GCA_000165425.1:CT868237:320:1523:-1 gene:GSPATT00039137001 transcript:CAK76389 MGNCCKQTGEKNFSSIQLNDLLQRKSLLLEQLQQLQNPVYNQTSLQIKMITWIKDSHDLF DYENTNRIAQSLTINSSIKLYRDKSSGKIQSTQQGKTLIRIENRQNCFWIEPRQAKNDDH HVWLVIKSISQQENGVKLQYNDVIRVGKVEIKLKETSFRYERETILSQSVDDQRCRICLL GSESIDDPKIEPCNCSGTMALIHLKCLQHWIVTKYNMESSNAIVFLWDLMKCELCRSNFK RKLQLNGQTVDLVELSKRQFQSYAVLEMKKPLLKSKERLTYILNLEKLEQFKIGRANDNH IRLCDISVSRFHCKLTLHNKEFYIQDNNSKFGTLLKLKQSMPLLKEFQNVQVQVGRTLFE FENVSNGYQ >CAK76390 pep:novel supercontig:GCA_000165425.1:CT868237:1582:1927:1 gene:GSPATT00039138001 transcript:CAK76390 MSTKRTTNTPPQLIGGAPFYLGPHHPPLPAAKTLHYPLPNDRHLKPKLLNIHIEQSAPMI VFSDLGVGIEDMGWGGWKAEEGELDPWDEALLNDDVKKLEELDKQAS >CAK76391 pep:novel supercontig:GCA_000165425.1:CT868237:2107:2727:1 gene:GSPATT00039139001 transcript:CAK76391 MNIQETKNIPEEVAKGLGQLAKDPFTQQNFDKLSKCVFMNLAGEENNTKILDQLNSEPLT NELFNLILLFTVQCIKLQLMEIEISTNLYDYGFDQTIVDGYIKKFTQFKQFVNDPDNAII AANFESKIGFNYLVDVDWQQEIVVSSKYANKVYQEIYKIQLHTKSTDNKDKDIVFKCTLP QLIEINNQLGIMVKNIESSSDLKHLK >CAK76392 pep:novel supercontig:GCA_000165425.1:CT868237:2745:3947:-1 gene:GSPATT00039140001 transcript:CAK76392 MSKKIKISQPLPKVNWKDTDMEEELTIKIPHGDLINKIIDPRSSPQEFFVPLRFPAQQHM KDADGFFIPLPKEDPKKILQQQQQFQNERYQIQLQDLISIDDLGQGSSGRVIKALHRPTN LLVALKTIQIVNDEKFTKQINLELETLVSCNHSNIIRCYGAFLEGAQVAIALEYMNLGTL QDVIKKSGKIPEGMLGLIAYQLLKGLDYLHRTKKIIHRDIKPSNLLINSQGEVKISDFGV SGQLLNTQDQRCTWVGTVTYMSPERFLCEPYSSNTDVWSLGLSLLECAWGVFPYPHPGTN DTTHSLGFWEIKEYIVSRPAPPSPPDFSQIGADFIASCLQKDPRQRRSAAELLEHPFIKQ FEDVSLQYLEGWLNINQ >CAK76393 pep:novel supercontig:GCA_000165425.1:CT868237:4023:5442:-1 gene:GSPATT00039141001 transcript:CAK76393 MRSKQRSQLEVLQVSALMQRFQYCRDMITKEGFEKYNKFCEFLYTIYCRTGERVIRKGQQ GVRFYMILQGQVSLVSYKPKSRKAQIMMDQEKETIPNYEDDTLFSAFSFKDLNPGDHFGD MSLLDNGQVSCTIICKTFCQFVCMDKQQFVKFIGKSVPVLQKLKILEEQSIFNSWTDGEL RALSYEFKNRTYHQEEFLYQEGQRNVQVFLIMDGQVEMTSTRDNQTYHLSSLDVGALFGD DADYHTCNAKCVSARAEVLVIGRQVLSRMLDWHPESKQLYRQQLQQKANWRQDRLNNLIE CHEEKTKCKRTQSIKMQLLDLLIKPKYQKIRLSPCVKRVTNIQDTMDFEEKKLKHKEILE KQNLVVSQRKIEFFKLGLTFTEKRRKKQKQQQEEMKLKKQCESVIISEPPLEKLNRASST IMTKTSQTTFKLSPAKKLRKVFSIEGTSINIHYKQ >CAK76394 pep:novel supercontig:GCA_000165425.1:CT868237:5724:7211:1 gene:GSPATT00039142001 transcript:CAK76394 MLRLAFKQLSRQSFLQKKKIYELFFERGLKFFYQSIKQNYYTLDQHRHAIDQFEICMSVF AEAKQPKRWQDTKFEDLNCRHYPEQQQIKVCFQDLWKNLFELMGKCYYILHEQEACTKMC DYWQQLNPLCAGAFILRAQSRFLSEQVTQIDCQMICKDLQFAQQLDPLNESIAKVYDMVQ AQLSKFEEDDSDKEQYVQQDTSQYSVQEEEDLPQDFMAQFAYQDNDQELSYEQDPKKPIP MEVQELGRFIESRGMDMVKTFLQNGQLKEAVDLKDKLQKALIAKKQLEKISQLDFNRPKK KLQLYAQQFGIDLLDPQVQNEFKRIQEQNLEDIRQWLKQNQWSYVDKATQIQQQEKARQE LAKLQFKRKMVPQKHAKHKVVKKAQIGLSQNSPSPSSSNTNISTKEFQQSSSVLNNETQI EAEQTCDLNCIINFSILLLATITIISTIYYSFLG >CAK76395 pep:novel supercontig:GCA_000165425.1:CT868237:7792:8370:1 gene:GSPATT00039143001 transcript:CAK76395 MEFYEIVKSIGSGSFGQVYLARNKREDRLYVIKRIKIRDMNQKDRENTENEVRLLQKLRH PNIVAYKDSYLDREQYLNIVMIHCEGGDIYQKIRNKKSFPESQILDWFAQMTLALCYLHE QKILHRDLKTQNIFLKNGRVRLGDFGIAKVLDSTRDLANTCIGTPYYMSPELFKYKPYSY KSDVWALGCCLYE >CAK80814 pep:novel supercontig:GCA_000165425.1:CT868375:604:2079:1 gene:GSPATT00039405001 transcript:CAK80814 MKNCTLLKQLEISTTTHILDLIHKTSSKHHLSDLQTQQISQYYKQPPSINSFLELYSLSM LRCDCVKYQQIVDEINDQIHAFFQTQTPLIQKIMAQERKSQPEPEPMQIEDDEDQNNMNN PDDPIQQQQLQQEDPQQQQPSQQTNVPCSQQQQPANTQQTTQPSQSIEDEICIPNSVKLK YRRINNGPSEKEAKCDNCVCQGKPPSESDNLIQCLLCLNYLHFNCIAKSKESFDKCYKDL EFVCPPCVLKYMDHFNKIQSALVPPSPFQQMGQINHKAFNFACDKSIINIRCVRQENKTN CEKPYSLLQCQXXXXXXXXXXWRCPLCKKKLFTIQVDAYQYAILNTIKKCDIQVNEITFD NNGQIVNENIQKLLCLDNLPNYAIKNDNRMINLEMLSNETNNIFYKLQIYQKQGVCSTHP FIQTPLQNVINNMMLSSNQHLRSNSYANFYANNYYINQMKIQQQARMEEQQKLQTNKSKI GQNHDSAIIIE >CAK80815 pep:novel supercontig:GCA_000165425.1:CT868375:2119:2379:-1 gene:GSPATT00039406001 transcript:CAK80815 MEHIELHDLDKFNDYLREYENNVCGKHCIAILLHVICIAMSQNTHMMETKFIRYAQSCLV RDKKDSSVSYAAAITFLED >CAK80816 pep:novel supercontig:GCA_000165425.1:CT868375:2409:3235:-1 gene:GSPATT00039407001 transcript:CAK80816 MSEQSEEESQELQQKEEEQQQKSEVLQQGNKTEEQLQQIPYVHMLNNKYNVREAVHAGSW YSSKSNELKIQINCWLEQAKAEVTTVAQLKALVVPHAGYAYSGPTAAFSYKYLKKYPPSE KLKVFILGPCHYVYITQCCLTRQEIYETPLGNIKVDLETVKQLHEQGLFEQSDKDAEEEE HSIEMQLPFLAHILGTDNFTIIPIMVGSIDAKSEEYYGKLLSEYFDMDDTLFIISTDFCH WGTKFAYTYYNSADGEIF >CAK80817 pep:novel supercontig:GCA_000165425.1:CT868375:3254:4066:1 gene:GSPATT00039408001 transcript:CAK80817 MSKEQELQTKIKSLEDDIQNRHRQIVSLTDKVEELQRSIQNNSEVVELGEKVRMLESQNL KLIEKNQSDVVEWRSKERDFNNQIQALQRKLNEVEVQLTEAKDLNQQLIAKSGENSNSES QLQQITQHYEHLLSEKDAQLKERDTKIYNHEQMIPQLQKDLEERDQSIKSLNEQLKSQKQ QLSLLTQQNGNLNTLTTELNNLKLLKDNLLNDNQTLNSQLLQLNTTNADLLNTLKLTEDQ NKANSKQILDLQNEL >CAK87554 pep:novel supercontig:GCA_000165425.1:CT868627:988:1200:1 gene:GSPATT00039818001 transcript:CAK87554 MKEMKFKGEFKIGLQKLYQGQIQNLQRPYQLIKIAIEKDLILVLIGKSLNQQKHQMQKEF QNQNFHLRKG >CAK81914 pep:novel supercontig:GCA_000165425.1:CT868419:58:739:-1 gene:GSPATT00039476001 transcript:CAK81914 MRKEDQIHLSSLQIWNGMDTPVHQSSNIFSEKFKQSSEFHYNCNQPFCLQHPEKKAKYVL LNAEGPNEKLCTKCAVVFAQQGHKIQLIEEDNAKKKQTDSFIDQLLQTKSEIDNIHSNFL CTEANLKKFYNDQYTQVVKTFGNIENVNLSYFLLCHNNSGQEYSKQINQKYKLNKQIIKQ QHILMILRIITKKQCR >CAK81915 pep:novel supercontig:GCA_000165425.1:CT868419:1448:1800:-1 gene:GSPATT00039477001 transcript:CAK81915 MSSLNLILQFFMIQESQNINIADLCQYLEITLFITPQNLILQQMSKYMKLQPISNIKQIQ IFFDNNQYRFYTTKQFNKCKNKLDTNIIHIIQNLESFGRFYLYFILTVS >CAK81916 pep:novel supercontig:GCA_000165425.1:CT868419:2409:2947:1 gene:GSPATT00039478001 transcript:CAK81916 MRKEDQIHLSSLQIWNGMDTPVHQSSNIFSEKFKQSSEFHYNCNQPFCLQHPEKKAKYVL LNAEGPNEKLCTKCAVVFAQQGHKIQLIEEGTLMVLNILQIMLRRNKLTHLQINYSRPKA KSTTSIQISCALRQIQKNFIMTNILRQLKHSETLKMQI >CAK70261 pep:novel supercontig:GCA_000165425.1:CT868077:162:1798:1 gene:GSPATT00038363001 transcript:CAK70261 MNHKNQKKQKQLKLKSKKNQQIEQQKATIQELEQKNHALKEELKQKEEQKLKEIEIIKVQ IGQGLHHLQEKNQQIEQQSATIQELEQKNHALQEELKENESQKLKEIEIIKVEIGQGVHH LQEKNQQIEQQSAIIKELEQKNHALQEELKQKEEQKLKEIEIIKVEIEKGLHHLQEKNQQ IEQQTVTIQELEQKNHALQEELKQNESQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQTVT IQELEQKNHALQEELKQNESQKLKEIEIIKVEIGQGLHHLQEKNQQIEQQSATIQELEQK NHALQEELKQKEEQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQTATIQELEQKNHALQEE LKQKEEQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQSATIQELEQKNHALQEELKQKEEQ KLKEIEIIKVEIGQGVHHLARKESINRIVISNYSRIRIKKSCTLRRTEVENESQKLKEIE IIKVEIEKGLHHLQEKNQQIEQQTATIQELEQINHALKEELKENESQKLKEIEINQS >CAK70262 pep:novel supercontig:GCA_000165425.1:CT868077:1889:4105:1 gene:GSPATT00038364001 transcript:CAK70262 MHLKKNQKIIKVEIEKGLHHLQEKNQQIEQQTATIQELEQKNHAFKEELKVERRNKNQKK QKQLKLKQDKVSIICKKRINKSNSKQQLLKNQNRKIMHLKKNQSRKKNKNQKKQKQLKLK SKKVSIICKKRINKSNSKQQLFKNLEQKNHALKEELKQKEEQNLKEIEIIKVEIEKGLQH LQEKNQQIEQQTATIKELEQKNHTLKEELKQKEEQKLKEIEIIKVEIQKGLQHLQEKNQQ IEQQTATIQELEQKNHALQEELKQKEEQKLKEIEIIKVEIGQGVHHLQEKNQQIEQKIMH LKKNQSRKKNKIQKKQKQLKLKQDKVSIICKKRINKSNSKQQLFKNQNRKIMPFKKNQSR KKNKNQKKQKQLKLKQDKGVHHLQEKNQQIEQKTATIQELEQKNHALQEELKQKEEYKLK EIEIIKVEIEKSVGHLFEKNQLIEQQQETIKDLKFQIVQLSTDQQQIQSTNLDQLESLNL QLNQMHSILSEKNQTILDFEQQLQQQNIQLEQLLNNTYIQSQEQTISQLLQDITSLKLQI EQQDDNQKVYEQILNKQIEKLKQNNLTQQTQILSLTQDKEKLQSICSQLKQIESILQDEL HKMQDKIDELCIELDKVQQPSTRSSSITSQNKTLIYEQFFHLTSQSVQMNSNHQNAFDVK LKSYYSEVVSQGIPFHKWSKWLEDKLKEELNKHQR >CAK70263 pep:novel supercontig:GCA_000165425.1:CT868077:4345:5376:-1 gene:GSPATT00038365001 transcript:CAK70263 MRKNYIVIDDRERSRSKEKKPVKQIQVIDLEESCYSLDKGDIIGGKYKYLERINEGTFGR VIKVRDVQSNNQRALKIIKNSFHNYEKEYQAVQFLNLFPKLIDHPGRHHIVKVYDFFYHK QCQCILMEALGPSLYESLVKKKFTQQQIKSIIQQLLNAIDYMHELQYLHTDIKLENILFK SQFDEVNVKIIDFGNVVYGRQFYKGLVNTEEYRAPEVILGLEWNSKQDIWCLGCLMHEMF FQKELFGKLKNNNQKHLLMIEYLNGPFPQWMIQDSKFFSKGRVISDQHLRQGISFSHLSD INIHSSFIELLRWMLKTDPKERPSAKELLRHNFFKI >CAK70264 pep:novel supercontig:GCA_000165425.1:CT868077:5547:5858:1 gene:GSPATT00038366001 transcript:CAK70264 MIEYPNYDSKVNYLLESRKIFLFNQVLQQKIQNIKDQNSLLEQQLIQPQKKQRRKANEIS KIYKCTQCEKSYGSEASLNLHSKLKHPMIESESKTQIEQSFQI >CAK70265 pep:novel supercontig:GCA_000165425.1:CT868077:7390:7887:-1 gene:GSPATT00038367001 transcript:CAK70265 MVVKSLAHKRIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKAGY RGDKKTRYLDQTGFRKLLITNEKDLELLLTNNRTFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKIRAEEKKE >CAK70266 pep:novel supercontig:GCA_000165425.1:CT868077:8670:9803:1 gene:GSPATT00038368001 transcript:CAK70266 MDNLDSQIVNIFKNEIQIKRIRKELKQLEILDSKEFKITLKQLSNNLQIIVEMQPVVQLN QGKPIKFCLYLDNRFPFVFPKVHLTKPTLADGRDYIEDVLHQQWSPSILLNEIIQKFPKF LDQVRHHKDNRDQLLSLGKYNQDQEYEGQLGLEDVEFFQCKEIINGRSYQKIIQLSNSHI LILESQNKVLNLQSFQLTKDLVKVEKVDNSALLTWKSDDNFRSQTLIPQNIDQFMDSILL YKTGSSGKKIQEEEVTLQKFENFEIQKLLDQVSYYENQLEQELTPQIVNSLMNSYQQAIE YFSAVSDEDFKEYVMRLQNLIGREDVQKILSNKL >CAK70267 pep:novel supercontig:GCA_000165425.1:CT868077:10460:12200:-1 gene:GSPATT00038369001 transcript:CAK70267 MEEINTQKIEDSPAPSRCYIWNHDKCSVGVPIDNQKQPEEDIELQIIFTKMNSTIDETPF HETKCQKQKQDLSYKAEFNMKHFAQYYMYQLLFYIFGPFTCFFFFNKPMLMYNLGFWSCR QDVVFHYLQWGGHIFSLIMYFYFELISSLEMGLLWFSLLTRSIVIAAKFSTLNEDRIELY EQSRLSEKTIKFDTVLFDWAAQTKKLKYLEIARSAKRHDFDTQFFYFDFIVEPMQETQNA LAQEQNMEINEVKNGKYSGINLISYFIESFQQLNTRKYIVMTSLFIGIIIVATPKAIQCY NYFYSPFEVFLNVICGIFQTIQFNCIFMYLLIALDDMKRKIFLLDQVFYLISTKRVKCCE FKLAPTIDINCPRTIEAWSMLRSIIFDYGASYHIRNQVYFTILILFCIVSIIFVLQIILD YFIIDYYYLITLGIIFFIYLIFVCSYLMSAAKINEYFEEFKTQIENLKFICQDVKRMKKY YFEDCLSEPQNFIHKSFVNHLKQQHGTDTLVIYQRIDSLIESLENAQKHIEYDCRNYPLK LYGIKISFEILQNFVVGLFTVISFVIQQRFST >CAK70268 pep:novel supercontig:GCA_000165425.1:CT868077:12241:14090:-1 gene:GSPATT00038370001 transcript:CAK70268 MIKLGHMLAQEQAKLKSELKAAQKQRTTRNTTSSTFYPNISKTSTLLQKSQVSPFINTDF ISQNCSPINSPKLHTHPSDPDPSYRFNKQIHPSYRNKLETVQLPPNKPPLRRGNKITNLR NLNNNSIAQSNPLKGEESKEKLLQQTHFLCAIMQQSHSATGKIVASFLNGQLEKNSYESI IQMEKSAQALQQSAKEMSKALFISDDEGEFENLQNKFIDQIRFSKYRKPNQEKNIIFKRN YGQKHEMMIDQVASQRQKTDENQDFDVFIPKSQMDQFFQIIQDKIDGRMKEQTEQLIKDE QKLINGMIANVKKFREDIREVNYTMNHVRKNKKALQPQQPSAYRTLKTLRTLKTQSSARL QSPKTQRLDEEAKADLRLRVMKALRNFMDRLKRFNITLNDVVNNQVFPSQAYERPNSVEF FRQVKADNLREIESMLRDCRFHVYDRDNQQKTPLHHAVGNNSIEVIKLLVENGADLDARD MMGRTPLHIAAKNNNCDTVRVLLVYQANPSIKTVAGKTAQDLTEMPVIKALVKNAKKLHF MMNLQPSKKKKDFWVEKAIVYFQEDDPEKILKLQLYKNQAKLFLS >CAK70269 pep:novel supercontig:GCA_000165425.1:CT868077:14134:16337:-1 gene:GSPATT00038371001 transcript:CAK70269 MIIDFLGGTNSFDVKNDLVAFEQGNSLLLLDTTNNSKVQMHGHVNQIVAICFCGQNLIAS VSMGFKNHLQISEFDSFNRTFDDYLPDTDKKTVNIFMANINNQLIIIEVNTGHRILVYDI QQYKLVFITDLDNLNPCYGFSYLPSKPKTKLCFMCNGQVTLWNLVSNSVQITNRITLKNI KHIDTNKSLNLIILILVTGDLYILNSEGDLISQLKAPDQEFAASTSFEQYLYLSTRSGKI FIYEISTLKFVTEIHANDTCYCVQLKHSNQILVFSLNDSTLVTMDINKRIILNQQNGHGG RINQVSWDPVQSNQFYSCSNDGSFGVSNSRGDKFSMSKYYIGQFQTKIKCCVIDNYRDDI YLGDNKGQLFVFSLNNVQFRRQIALTQNLGIKEMQFSPAYQYLGVAFKNGFTIILDCENQ LKPVLKLEDKLENISFVGIKLFQLEFDIVKVNTQAYSTSYIPRNDKSFNSLTVYNHNSVR RHQIDKGNSQLSALALSIYTIEGTIFGFDLHPSKDYILILSNYGFVYIYKIQSSEKRAKI TVPPFSRHLKIDKSGLYFAVAHLPLVNASDKQFIEIPSIEYLTKNAKINKKMLPTNIRIY ECGTGQVSEQINRIFSIVSLDFSNDGQYLLVGGKKGKISLWGLSQDLIQSAEDVIKDMKL NPFFWRDFQIYIDEEEIQEQDILDLDLLAKKLPNKPIVQSQKYQQIVNPHTLLQNPGSTS SRYELQ >CAK70270 pep:novel supercontig:GCA_000165425.1:CT868077:16337:21613:-1 gene:GSPATT00038372001 transcript:CAK70270 MNPIRPIRVQEAYRFSQLGVSQTNFKFGQIFFESDKYITIRETAPNGDTQLLQFNFETKQ LISRKPNKADSALMHPEKNIIALRAAGEQPNSTVIQIFNLDEKQRIKNVELNETIVFWRW VNPQKLAYVTPTAVYHINITNPNEQQVKVMDRYPLSLENPVQIIGYGLEGNEKWCALYGI STPDGGRTINGHIQLFLIEQARQQILEGHCCCFGDALIHTDDYKSSLFCFVERKSGETTS RLHITEIGVPPNGFQKYKRQVEVQTDPNYPTDFPVLMHINQKYGLIYVISKFSFLTVYEL STASLIFRERICNENVFVGAPNINQGQYHIIGKEGIVYTISVNDDQLVSYLINTCRHIPD VIQLGFRLASRYKLPGADNMFVDQFNKAILSGDALSAAKIAASAPGTVLRNPERQPQPLI VYFQTLLEKGTLNKFEAIELCGPILQQGRKQFVEQWIAQNKLEPSDELGDLLKRYDANLA IQIYKQSKSYQKLAQCYMETGQLELAMQLQQQFGVSTDYMAMLRNMMMQSPEQALAMAKS LYQRDQSINVHQIAELFEQFQRLPEMTAFLVECMKNNRAEDGPLQTKVLCLNLQAAAQVA DAILQMNIWTQFDRIRVAQLCEQKGLYQRALENYSDPKDVKRVILNTHALPPEFLVNFLS RVDPALTLQCLQDLLRHNRQNLQVVVNLALKSFESVSAFEGVYLFLGSLINTTSDKDIYY KYIEAAAKCNQIKELERVITEKGDCYDADKVKNYLKEQKLSDPRPLIFLCDMNGFVDELT RYLYKNGFTRYIEIYIFKVNPNAAPGVFGTLIDLECDEVYLKQLLYNIRGMCPIEPTVDE FEKRNKLRVLEQWLEARVTEGNQIPAIHNALAKIKIDTSQDPDGFLINNQFYDAKAVGKF CEERDPQLAVLAYKRAWGQCDQELINLTNKNEMYRVQAKYLVERQDVDLWAGVLQETNPH RKNLIDYVIQALQDSKNVDEVQAAVKAFVLAKIPYELLGLLEKLVLHNPEFMQYKQLQNL LIITAIQSDTSKVLDYINRLDKFDPQIIAQHCLNEEYNLFEEAYAVFKKFNLHIDAVNVL LRNLGSIPRAHEYAQYASSPEVWSLLAEAYLNQGQTNESIDCYIKANDSSAFLNIINVAE QEEKYELLVKYLLMCRQTVKDVNIDNSLIYCYAKLDKNLDVESLIQSSNSADVIKVGERC YDQQLYEAAKILFTALKNNARIASCLVRLKQFNKAIEAAQKANTSKTWKELCFACVEASE FKYASIAAQNIIIVPDMLESLIKQYEEYNAQEEMMILLENALGMQRAHVGIFTELAVLYC HYKQKKVMEHCRQYFQKMNILKVLRTCEKMCLWSEAVYLHQHYDQPDNAINIMIEHSPTA FSHDVLVMLLQKITNTDLYYKCILFYLEEQPEQINDLLRSIQSKIDLSKFVKLMKNTGYL ALTLPFLQQIQNANNKDVNDALNQLYLDIEDYENLRESVKNYENFDQLALAQKTQSHELV EFRRIAAYLYRKIQKYDLSMNLSKQDQMYRDAIETAQESQNVKLVYELLEFFIQNKESEF FTVCLYTCYDLLKPDQVMELTWRSGLMEFAMPYFIQITWELTHKIEYVQKKHEDREKKEI QTAQQQQSQALPIAQDFLLNQGQLMLGPPSQMSSSNLGFGQSSGFTNSQMNQAPMGYGGQ QFGSYQPPGF >CAK70271 pep:novel supercontig:GCA_000165425.1:CT868077:22239:23563:1 gene:GSPATT00038373001 transcript:CAK70271 MFIIKIYDHKFYQYEQKFQKLPFLRCILDRAGQIKQFEKRLLYVYHNSVYDLTDFIDKHP GGRGPLNTYKGRDLENIFFNPAIHKHSQSALYTMERYKCGVIENKPIQQQQQTMLGSKST NVSQSIVTNKSQKNTPQKNDSDKKLQQKSQSVKPKAQPQEDRLNQSMSKLNQSMTSSVKS QFLLIPTQQEILMIQKQKQQKLIKSWKQSEIEELKRQQAEVEQLRVEKKDDRKTQSEILV SPRKKSTNPYYLAWLERQNRQELQKQEESTTNILPAYLTQSNDQNMIKQEDTKYQSQSYD VDKKRQYDSKLSQSVIIEKTQKKVNPYYEEWKRRQSLKSQDYQKEQVSQENNQLSKQQSI IMEENESQISGQPSSMNNSFQRSYSMSQRTIMQEDPQLSVPVFAPKFISPIKAKFVFSMK KLESR >CAK70272 pep:novel supercontig:GCA_000165425.1:CT868077:24058:24336:1 gene:GSPATT00038374001 transcript:CAK70272 MNDLKLLEKLPARKTNIKMNFAINGVFSFPEEWRVTDEANPNLFQYSVAFLDQRLSGGKV LPRELTEKEKKEIEEAEAAKKAKKQPKKRCQN >CAK70273 pep:novel supercontig:GCA_000165425.1:CT868077:24412:27000:1 gene:GSPATT00038375001 transcript:CAK70273 MELEEQKRLKAEWDALTEEEQFYRTQEDQFKSPSIKFDQNSFSQELTGQRLVIQQERVRV DKGEWIFLYKSPIPGDEEVAKLKKTKPKTLNVNDLNIIVFKAWIDYLPFQTPGQIETIQR IKFTQYMDEQSPPETPRPNVETMYLYLKINLSQPITPIRYEPQPTFQDLISLAPEPQPIP LSQQCIEELRSDLQVIIESLAMEYSNMFSKDLNKYQNEKQSNMLMTHKKQSLQQRKEHFL YDFNISGKYKILKERIKKSIVKLCRDKFSKSGSVTGISTTQSDQFYSELYVFLMEEMRQV LINMVRENKEALQEDFSHLLSKKKTIQDVGFQEIIVEKTNETRKQQLERLCQEYEILNRT EEVEKHLRDLNLINPKDQDALMKFAFFCLRCAKIDQAVTLVEQALSFDGHNQQNQLLLAG LYQKREKISEAKFILELLLDQDPNNLIYHLFYNLIIQSQDQELADFMMQKAERIWLRQLN LIKQEGMHHEDPQLFDIPWIKIPIPVPDKPEKDKAILEQREQQRIAWENAHNQPQLTEQQ YDQMILQLVEFFENACLFELAEQSLSLLNDQSHNKITMLRAKIYLYKSEYAQCLDLIENM LEFNPENLEIRIEYIKVLFKSQAFEKCEKQIKFVLPNPQLREDQITYFSMYLMLGSIYIN EKQFGNARAVFTKACQIKDNSSLSWMGLGISSHECEKYKEAEDALRMANLYEPTNDTVWG YIALNCLKDGKRFRDANSALKEMNKTDAGQVNLMVRLSKEFYNIEEYLTAKELLQKAINW AKIQDMLTEEINQFEIQLAETNEKLGLLQQALDIYQEILNGECGSLEEHLKEQINRLHFQ TNIKY >CAK70274 pep:novel supercontig:GCA_000165425.1:CT868077:27039:27652:1 gene:GSPATT00038376001 transcript:CAK70274 MKSLFRYHFINKVSISSINQNTLIPLYKSSISDQKLNNFSQSYVPFKEYFQLVPIFLERY LFDHGKHFVVGMAYSKESNLLQLKCLAHSGLYTKYIVPQNIIPATFGDYNQSARFNLFEH TIRVDYDMIYYNNQQNEFYLFDREGKWEEVEIPELSIEKLFDEKKYLNEVFR >CAK70275 pep:novel supercontig:GCA_000165425.1:CT868077:27811:29909:1 gene:GSPATT00038377001 transcript:CAK70275 MKVRLPEEDHIIELVSNKITPSINFSTQISQISKKLTQPRSNLTDKSGSSIEIQKDMEIL QDSKIQVSQKQHCHTQNYQLKLETKSKEIQTELGDFKKNDSIERVQEAKVIKCIQYPSFY SIYGKGKKATSRDQHSLQKVYSNILDESGSPKHSKPLDWSKIKVPLSSNECLKEYGGYLT QFEKQEIQSYKDIYCIGYQAKKQNNQMTSFNEGFDNEKGEYQINISDHIAYRFEVLEVIG KGSFGQALKVFDHQKNVVSCLKIIRNKKKFYNQSLIEIQILTFIKDKDPENLTNIIKIKD YFVFRNHVCLNFELLSMNLYDLIKLNNFSGLQLELIRRFAIQILNSLSFLYKHNIIHCDL KPENILLKHANKSGIKIIDFGSSCFEDQKIYTYIQSRYYRAPEVILGIPYSKSIDMWSFG CILAELYLGFPLFPGENEQEQISFILEMLGPPDPDMLQGAERRKLFFKDYPPFKPLIYQN KRGKVRLPGSKNLNNILRCNDNLFVDFLSKCLVWNPKKRIKPIEALMHVFILEGLPSQIR QQHIQYIENEYQTYLQKKNPSYQGEQGKQISMNFTSEPEMYHNPKLKSQVLTQKFSYIKQ HSQEREAEKEVEKETQNTSKRLSLSFHSNKHSNYKQPFLFNLHPETTKNLIKKKIN >CAK70276 pep:novel supercontig:GCA_000165425.1:CT868077:30044:31100:1 gene:GSPATT00038378001 transcript:CAK70276 MIINKFFAAFSQLISKTSQVAKQSLTKQNLIQSEPMRLSKYLAQTSVCSRREAEKMIESG MILVDGKKVDSNIPVTSENKIQVFTKNGERMPVKQSTKVWIFYKPIGMICSHNDPFKRPS FYDFAKLRLKTDQHIISVGRLDFNSEGLIVVTNDGELARCMELPETKLQRIYRVRVYGTF TEEKLKKIRNGLTIAGVNYGPYWVNVENRQSRNTWLIMRLESGKNREIRKVMQKFDLRVN RLIRQSYGPYKLMGLKPGDFYEAQIEPEIKRKLYLQARKKLQNVQEQIQLEQTKLNIKAL DEQSKNEKQIEKQENDQVQKKNLIVNKFKKVQQKTA >CAK70277 pep:novel supercontig:GCA_000165425.1:CT868077:31165:32989:-1 gene:GSPATT00038379001 transcript:CAK70277 MRSISPNAYQSNKDSFSSQPQQQQQQKQQQQQKAILKPLVYEAVHVPVNEQIVNANNFRL NMSPTHVAPPSGQTVYRTVQSPINPIPQQVIQQIQNIQTFPQQKDLKLQTSVAEFQVPQS QSQETLSAKSDDRFYREVEDRIRVIRNESDSWKQKFIISENDKVKQLQDMENHYKMEMHN QSQQLKQFYQVTTNELNDELKHLQRELDNSNRETEKARKKCHQLEMDQFELKTQIVDANA QKDQAQKELVRMTNLYQRIKIDMDEMRTQQEIMKKRVVNEQELDKLKEIINLRENEIDDL KMRNSQLEILGIDVRKLDTQNHELQNQLEILQSQISIYETKIIEQRNEIDNLNSINKRLN NQLQEKKNHDKLSRTIQEQEMLNQLNQAQVVSQEKDNQIKSLNIQFSQFQLKYDQMQQQM QSMRENYEQELTEARMKQGKFEQEFQDDITQKFQLMSREIQQFQQERQQLLNDLSQQSQQ KIRLDQKMQQQQKEIDNFNYQSQLRNKELHDNQETMNQLTKQVTTLTKEKQNLQEQIHKL HYQYQDFNKVNYYYEKVLCSIEMEALRERLDNALSEIETIKANQLSMYKFS >CAK70278 pep:novel supercontig:GCA_000165425.1:CT868077:33094:33636:1 gene:GSPATT00038380001 transcript:CAK70278 MSLSSSDQQGIYDSGELSYPKSPKSKKIKKCESLSPKKNSGHWTQEEHQKYLKFLEDHAH IKKNNKIFKPMSDVIGTRSPSQCRSHHQKFNPFSPIVQKKNLKAQQRTQFTIPPPMEDSY QYKEEECLNRRLVQLMIFDEEEQFDEPQQFNLDDFF >CAK70279 pep:novel supercontig:GCA_000165425.1:CT868077:35241:36894:1 gene:GSPATT00038381001 transcript:CAK70279 MVLAELGKSINAALQKLSKAPVVDEALVDQILGEIAMALLKADVNAKFIKKLREDVKMEF KLCEEENINHQKLIQKAVVDGLTRMLESDRKPFQPKKGKQNVIMFVGLQGSGKTTTCTKY AYYYQKKGWKVALVCADTFRAGAFDQLKQNATKVRVPFYGSYTEADPVQIAQEGVNVFKK EAFEIIIVDTSGRHKQENDLFEEMKQVEAAVKPDDIVFVMDSSIGQACFDQALAFKKAVN VGSVIITKLDGHAKGGGALSAVAATESPIVFIGEGEHFDDLESFEASSFVRRLLGLGDIN KLFQSVKDVVNMRDQPQLIQKLKEGKFSIRDLQTQFNSVLKLGSLNQFMSAIPGMGSSVL SKGNEKESIKRIQRFLCIMNSMTADELDGEGNLNFCRIVRIAKGSGTSIEEVHILLDEHK KLSKVVGNLAKTNLGGKRGNEFEQLKRNPQQMMQKMKGAIDPSMLQKLGGMDNVMNMMKQ MGQMDGIQDLMKQMGGMGGMPGKAKRR >CAK70280 pep:novel supercontig:GCA_000165425.1:CT868077:38095:38652:-1 gene:GSPATT00038382001 transcript:CAK70280 MELEQKQQPVNLKELNLSRISQSSINIDPQEDYYGGYSGRSLELNIREYQEVNEFKKKNL QIELSIVDYLSSLNIKMKTDPKAKQDRYFSNLRNQNKRRAFTQLEIEKDFSDNTPDIILK SSQVSIQINTLLEKRKTQISFASTNQSIQSQQVISLLQQEGNKFQKSQLYECEEIDSVIS NKSLY >CAK70281 pep:novel supercontig:GCA_000165425.1:CT868077:40742:43507:1 gene:GSPATT00038383001 transcript:CAK70281 MQEFVELIQAAFFAKENNQRSQAEQQLVNLKHQLPNEFFQKCSNAFISPQLDSQTRVAAG TLLQRCITYEQGWLTIGLDVKRKIKDELLSQLISSDQNIKKSAASCLSGICAIELPRQEW PEIISILVQNTRHDSIEVKKAATITLGYICEALKNQKQSIEKTESEKVLYGICMGLQGEK EIKLISIRALKDSLQFMDQVLAQQQVRDHVTKLLVEQLISQDAEIRLAALECLIDYTKAI FDYLEQYILVLWNCTQESIQHQDFAVVTMEIWQSVASEINERSAVSNRTNLGFRKTQKEA LQIISQQLIVAVLKNLLVSDEDEEDDEEQGIQEAAYKAASSISEALGSLSYQLYLRFIEN TLQAQEWQNRKASLLTFSSMVETADVSELFQYSTSAIGEFIKKLADPHKQVRYAAGRVIT RIAENYPQVILKHQYADDYINQFSQFLQGNNKLTKYLLWTLVNLTEAFRDDPVNQFGKYY EFLISQFATVIGRQDFSDGTLLDIAWVGIINCLQCVKEPQKIKTYLEAFGQQMLTVYSQM RWQKEANISGLLSAIHICFLRLVVLGDQCDIQLMNNFYQLIVDYFKKIQTVTQDGFYAIS AIAQYSKINFKQLLDDFMQNYLEIGLQKKLEIETFKGAILCLADIARSLQFKEFSKYLRI LEYLIACVNDQQVNRVAKIALFVCIADIVLIAEQEAEPYFQAIWQLVKSGFSASIYFTQN KDMTQLEYYENLNDALLNCYLCMLHAFNLNKVPYIPLYQTIQELCMFIQATSDKSLTPTV EYIRLCVTCLLDCVSYYKQVKGQENMSQKILTSPLLISLLDMLKQYSNQQENQQLIMYAN DLCKSFQLPQYLN >CAK70282 pep:novel supercontig:GCA_000165425.1:CT868077:43606:44803:1 gene:GSPATT00038384001 transcript:CAK70282 MKGGKQQKNKSIWDQVKNEEKDFYFNFLAKKQRNLQKKLKDIADLEELQKTKELKQEQIQ KIQGKEENNERLKELEGTLSNWLNAKKEAEQQGSIITIEAYIIILQHLAQDPQLVALLTQ DHESTHELAKKLQSKIVGQSAKTDQPWKGLKFEIHTQELEHEHKQTQQIHETEHIQQQQV QQVIQPQEQHVQSKQTPSEEVQVQSKPIEQPEVQETEEKQQFSEQQPQNEQIQDDYSNEA QQSNQDEQSQTREGQRHHQHHDDRRNYKKNYNNNNNYDRRNNYDRPYRGNKQHYHNRDRN YQQEQIWEEKADRQPPQNQEEQQQEEDDYITVRSKKPQKPQRGGQRNYDNRNNNRNYDNN PQRRQKNNEEVDQ >CAK70283 pep:novel supercontig:GCA_000165425.1:CT868077:44811:46310:-1 gene:GSPATT00038385001 transcript:CAK70283 MEFENDNTYMDENLLSGISQQTPSKDDADMHYPDSFQVSPSFQQSQQKPQEINTIKAEYI SIINYADQQYKNNEPSFSLNNQSVKQDFCYFQQIKDTLAKLELDLNNQSFHETFSDLKSN LQELQDKYQQFVIDASDQIVEFQNQQMPFSSGGKHKRTRSEAPPSITHFNLKPTKKNETS NLIEKPFDTNIFQKQSEEYSLLLDIIKQDIENIENTKFQEYDQSMELIVHYYRLREMHQN TIQNLQETNAQLNFTLQEYYQNFDDLASNITFDIKNFNDQPKVEQVSLVIQKIQEIIQQN QQYEQDISFQQRQFQEQEELQSQQLKKIEELQEVQSQQIKKIEEQQNSYDGLYEQFQLLQ QEKENQDDIIDSLKQQIQIIQQKSEADQINTLCQNLRELIKYLQDFIILSNKLLQHIYNQ SGAKLQFPDYKHVSEVSMTLQTSLQNQDSNSFNKINENLTVYSKEIGVLKNQICLKYQNL VEQILRERQQLMEQLQISI >CAK70284 pep:novel supercontig:GCA_000165425.1:CT868077:46419:47190:-1 gene:GSPATT00038386001 transcript:CAK70284 MKFKLLFVILVLCSSQDMPDLEFPKQTPVIMLNDNNWDAITHLGNEGLEKPWFIFFWAPW CKGCHRIVKIWEEMVTIYNGTINIGAIDTYNQELIGDRIGVTKYPTFVFFDTDNRMYFFN ESTNIGNFTQFVDEKKYLHLEPYDTPKEIDHFKKWLRRIFSLKMVPVYVVVAFIVVLTSL CIYGNKQEKKDKSEAKRVRLELYRKSLEQKEREEYLKKKRD >CAK70285 pep:novel supercontig:GCA_000165425.1:CT868077:47223:47589:-1 gene:GSPATT00038387001 transcript:CAK70285 MNPNSFKLYKSICSAVTSTGLTFTNITNKSFLGRSVQYLDHLIYTKAPRLSYIEHYAPVY TPKRVTYAAPICLALAGTVLIAEIAWTNNAKEQANNYFVATRE >CAK70286 pep:novel supercontig:GCA_000165425.1:CT868077:48271:51537:1 gene:GSPATT00038388001 transcript:CAK70286 MSFPQGIPMNAVYKQVVMEHKEDDRVEQLEAQIYNMEKDHSMWRKKYFELESLYDKCRYA AEKSVQLEDRINLLRQDNSQISSLLREKATECEKMRVRLTQLENENFELNTVRLQVTKFN DLLQQKSQDIELLRKKNSEIYARVIELEGNELVIRDYKSQVNSLTKDLDVWKKLYEDQQQ ERVKLLEIIRQFEQNNPLTGSTKSSQQQVSEVIERRVVTTSETTNNTQQLQSQLLQNQAT ISDLEDRLGFSEKQYDKLKQELEGRIKLNNELKIKITQQECELKNINYLKLDNQKLKDQL DQTKRELDQFRKQYYATLSKLQIAEQQLNELYNLENRLQLLQQERDTLKKSLKQKNEDIG QLQDKVSSLLSQIEQLRLNDQARNAAENEVIQLKTELSKLQANLKQKEQTISDLHGRLND LQKQLTNAQSDFKRLQAKDYSGLQDQAKLVNELQQKLLQLSQDNSKFQSQAFNLESKNNT QVQDIQRLNSLLQVKTQELSDANKKIYDLENDKTIPDLKFQNSQLIAELREQETENKGLQ EKLQILENQIIQVNTKLTTAEAQANCNLNEKNKVDQLLTSYLLQLSQLEKEVDQLRVIAQ QVAQQSAINKQQEQLVQALQKQLKDQTSQFNELSKENEKLYVDNSQLSTRISQLSELPNK IQILDEGLQKLQQDYNNELQANETLNKQLNDALKVKNDLENKIAMLSTEVERFQYKLNSR QNEAEQLKKKIVELETQIALLKQFESDNQVLADKISHLEQQLIDEANKNLEHLLRVSQDE TKQYILDNNKLKQQLDQREQENKQKDESYKQLEQKYQQLQSSNKNLEAQNTQIAELQNVI AGQENDIQKFQDLIEELRDKNNDLVADSQKLQIELTQQQVQIADLKNQIEKLNTQVKNNQ NYDQLIEELKKKIEKLQEEKYQLENKVALLSSEIERMRVKYDAQGNKLNISEEQKQGLTK ELEQVHKLFEESQDEIDKLQEQLSKQKELEQKEQALSLTLVNAFNEIDALRQQLQKVSNE ANEQKKANIVLATQQQ >CAK70287 pep:novel supercontig:GCA_000165425.1:CT868077:51570:52318:1 gene:GSPATT00038389001 transcript:CAK70287 MLQKATIRFYLSQARKIPTIWDVNPFAYQRMSNALIGAYSNRQFGVLIRENLEQITDHQV AYAFQRINELNLDADQDFYEYVLPALKEFVPNLTRDHSVSFATIIGTCGKINVKDASLWQ LFEKKIVADRLYRYIPLNDLVSMTQQVALSDYGSEGFFSTMEKQIGRHRLALTEEQIKLT QEAFDRKKLAAPIIKQLKQSAQKQLA >CAK70288 pep:novel supercontig:GCA_000165425.1:CT868077:52340:53943:-1 gene:GSPATT00038390001 transcript:CAK70288 MLKIDTFQEQLQQAVQLKQSDEKLENLRKIFSELISSPLNDNEKLNIYNDINLILTSLFE QKNGSFEEKEQIKNLMGIMMNNLEVQEFMFRIADEESNQDISYVANQIQEVRSLSINYKA SKIGREFITQKAEQLQQQPSQNQILNLNVNVCEDQQIEFYDNNNYSQQSKEEQSLIQSPP SKIYHEIAQDELSELINRSKYEFDQTKIDQAYVYAKLAQDKFQSSFTYQQHAEYVDQIIV QYNYLIENVKELDSDGWLFDGMSNGISVKYKFPENTSTASMLMETKIPVNAIRVLALVNE MDLNYLWVPFCKRTYVNKVLNRACKVCTSEMYFPLIPDRECVFVGEGYDRLQVNGTITLL SRSVDGDKEFLEKNGIFIPEKSKFVRMYIKYYIFEITPIDKDSCSIRACTNVNPKISMVP NSVLAYIGRKFAHILISKIVNYAKTFEKSPFYPVYQEHIEFYNWLEEKLKTHLKY >CAK70289 pep:novel supercontig:GCA_000165425.1:CT868077:54120:54922:-1 gene:GSPATT00038391001 transcript:CAK70289 MSDRSRTTSFQKQQILANIQNKFQKIDPSFQIKNFIGESTNLVGQQIKKWEKQSRERSIK EQQVMKAIKTLRHPFILESYIEKPIFLESTKPETSYEMETPQQIQQSPKNEHTNEYLMIK STKKPLSPLKKLFEQYSELVQEQFQNSSEEMKKLISQYSNSEKTIVPIKQRIKNCEYFTP RQISVPKRNLQNSSSYKQIGSPFYRKREYLVQKNNNNLQKLDDLQCDLDNFRKYLKEIKK SNQQCFHKRQFLKGKLDFLL >CAK70290 pep:novel supercontig:GCA_000165425.1:CT868077:55413:56260:1 gene:GSPATT00038392001 transcript:CAK70290 MLSPEQIYSIVYKTDSGIDGYAIPRFYHDPAEMMRNRDLLKQIEKKQAHKKHETKRGSYL DDYTKMYKSYPSPLQYQSYSIQKIPEKKVKYPNRLTLFEQLQKEQKRANNPPVGTYNVGK TQEQIEKELKVLKTRKVKALQRPDTYEDAMAHSIMVPGPGNYNPHSFLPKIKVNNTKPED WRKKHNTEKNKSLPQLPPVGTYTPIVSDSFAKIQTSAPAKRAYWGGEKRFKGLFGSSSIG PGPGTYAQLSKLLVKGVEKSIYQD >CAK70291 pep:novel supercontig:GCA_000165425.1:CT868077:57927:59537:1 gene:GSPATT00038393001 transcript:CAK70291 MKIRSQEKLSNCSKSLNHQISKLKMSTILLIYSFSIFCLSFLILLVCSNVQMNVATNQVQ EISEQLLPLQNNRALNIQSKEIIAILNFTFYMITTKMTKLSIINYWSSSIDLKINQEIMP CKVSQQFDDNIQKYFACYSNSEYFQQSKIEDVQFFKLLNLLYINQYTFDFFIVSQQIYLV SFLDNLLTGYYPTKLKNETLEIIQREWFINYMIELKQSEQFQAYKLSPTIRMENYDYLMS AFSMVLFDSKYMVGGIGSLLINLRNIEQFVYVSSLSIILVYDDGLILYTKSYINQGIAKS MMYIYDEEQTGFNISDWDDIKYSINNSAIPVQKYNSLLKCNMYIKASQQPNTPLISLVLT NLTYENEIIAVLDDQIAIILSWFTQTNLYTILIITLIPLKYMFKSTNIVIDKIIRYLNGK FDYKLTYDLLDKTQVSSKNNSLHQLEISYQKLDKILNKSQFKKSELCNILEHFQFEKSEN MKLNISFNKLNNFNQTLNLKQFSQLIKYQIEESE >CAK70292 pep:novel supercontig:GCA_000165425.1:CT868077:59869:60079:1 gene:GSPATT00038394001 transcript:CAK70292 MDSKLENNEIEEKIIIRKPPCNCSILHKIYNTMNAAYKLGQLTFQQENKANQFQTCVNPK E >CAK70293 pep:novel supercontig:GCA_000165425.1:CT868077:60566:69581:-1 gene:GSPATT00038395001 transcript:CAK70293 MNQRQSRFSKIQAFTSKLSVQYFHQLIPEIIHKTRRQKKLEQIEDYVQIYEIYLKMLLGQ DVGHADEKRFYSLNNITQYDENAILEFDLPEAVVSLPNPDMIPDEYDYNLRQLEIFEQIV KSLQIDDKSIHDKLFIKAIENAFTHLADRKIYSLFELKTRGLESNQPQQRNTLVFLGTAQ QLFQKSPDRSPRSLILVDNNINQMNRTSDFPIYKKEDQLTKLVKKFRNYLKDNISHNQGS LNNIADCLQIDMQKLVYVQKKAYSQGEYLKQQGQSWYRTKNETSDILTLIRNAIIIQFSR KGFNVKSFISKDGYTIYLCLYMSEKMLEIAAENFQLPKRLSFCFTDLLSLEPVDKQFRPL RLNGRLWRPDEYNNSPYLEYLRPLIIDQIKQINFKRLAREVGQSGLNIELFEYGKSDLYG DQDGPTDEEWTAYYKYLVHLNKHVKIQRSQNQIDSDIALCIDEQKTVEELYGIRTNRKEK INQFTELSEDASEQISLMYEKVRELIDLSNSMPLIKDLPKLKTIKLVKQQQLAHNYLNIF KEALKVANCSCQYLKTIWDRYHQKPFELFVPFKITLVGESIKNIAKYQLKWCRYIKNEQN QVTLFSNNERLKLAFSVITQTININALINLQFVKQIYCLHDQYELFGYCKSIQKAVSEDK LFYKRKPFDIASEWNLDYLHPWSCPIEKICLYFGEKVGLYFEFTSYFIKFSTPMAAFGLL FSVLLYTSQDYDNEVYTATMSVFSILLVHWSSFQTDCWYQQQLTFNLKFGQNRDVKESII RSSFKGQNIRSIENDQLNSIGVIHSKFIQRLIISIFILIFLIGSDIGIFVGLYYFNLYLK TKLDDLGNDFQYFEVIVTASLNYIIQLVIDQFYEQIATILTDFENFQTSYQYETSYIIKK YTLYCFSQLIPLLMISFLHSPLGLYCKDENCQHNVEYYFGTTMMWIFLMQVIRFLKTFFH ELVKSPQKLYPYNHSTLCDFIENQEKRIPFQKSIEKYGNIDDYMDFFLQLTLTNIFGCLF PFSITLFWIWNILQVQISKLKLLFLFQRPWPKGDGSLGIWDDFYQLINFVTLLCNSGLIC IYYYDKLHDQIIILFLGLLFYNFFIKYLTNSIFGNSPIILDQIVKRSQYIFKSNVQSKSK LSRLSQKDNKEKFQRCPLFKVYGSTISHTNDHFETISSEDEIADYFTKNSKQISKRLVYE EELFNNKMNELNLINEQQQQQQQFIQSNQNTQRKILADSFDQQSNQFIVPVKEFYRQETF VRKKIQTKMKDCSKKIKQVSKLSYEFLFKYYSKRANNGAFRIQSSQKGEKQLILDRTNIW RFFFKLSLLSSYNMFWSDYRLFIAQSYIKRKSKLFHNLDYKRYQILKKSFDKQHEYFKNQ AMMKFRKQFQQFGNTLTSEEVKEYNELVYKYQNYIEKRSWLNCRKVQIFRYKGLFFRGFR KQTLRKQSIQYALEYYEATKKLEEIQFESDIHKKFGTIVQYSSIHQYNFNQFTDLFNKLE YEQKKQFVFSSTNSRTIQKTYYLEPLKSEVYKNVIDKAKDTYIFEQHSQKVEEFALQYFI DEMNQIPNIKMKKHVHDILWIVTIEDKEYLMQFFQVRHGQQLKFQQYHDDELGVYLGEST NYIKQLNILDQIDDFFIKGYCISLYQCSDCKSLYQVLQFRKKYALYYTLDELRQFMFANL KLMTKHHIMNVSIYNYILIQNEYMILNSVSQDNNQVWQLVQVVLEMIYLQPIEDFAEALQ HLDHPLKYFLREVIHADKTPNQILDMMLDQKPFWEIDFQLAYVKKEQSYQIYMENMKHQI NFHLRIKQFDKCLILIKEVEEYLRITHYQNTQELLPYFISSFSKNLSQYILRSNEIKKVL DTLLIYYFKICALFALRKEFESEITQLIDGLRRCSAQIRVMFRQLSLNVKLENLSEIEQC IILKRKLKQTSEKQSSISSFERLNLINTLRKNQDYILVIVQYQTQLQRYLNQFSTIQAID SYFNQNFIMAGIQYVEIISNQEKLVIREPAPQFLDVPLDHSPGLINLEQSSPLEEEQIAT ANTETRTSCLINGDKLYCTQLLYLKFLYLINLYDSKNEQFSYYYNDFLELNGVYEPVFKF YQNQLKLLRKEDIPEQDLEEEMNCEIGKYLSINLMKWWEIGKNKQLNLFQSNDDDFIKRQ ILLFSNLKQTLENRKILVSKVSSKAPNTLDSYNCLVQLKIIQQILHLDNFQPISHSIPRQ MQSKQIRISIKISYIRLLKELHNQFKIKKDSWFFQQCLEELQMFYFLSLCFSYSPKHIEN LDQEESEIAIYAVKQIKKFQSKPKFYCQILKCTQINNKQAGKFLIDSNLSKYQQYQIFCQ FMNCTLKQHSSLKSTIDMIEENMTEDLQPSIIILGLLHSFLYLQQLEIVDLIYCFTSRLL QSQAYMFHRTHPGFEKDLQIVESLYKDSTQYIPYPQKYLYEYTNEFKYFDDSKFHCQYLL FNILINQDYFLIQEILCECIEQLKHQPNVVRYLDLYQCFLEVVTSMTGQMEPKQQYIIKL NDYKEGTLMYALLAHFQCKYYINLIDYENALKYSHKVLQYINTFLKQEKIIISIVNNVLH QEYPINATLKQLKILEYEYLIDESTDSDYIHLFNNELINEAILNHIRILVNLEQKVPKIN LLFQLQLGLQNRVHISYLQMIYAEYFKFLQAAEDFKLIGELIDDSANQVEDIRQKVQNEE KKQQAYYSLKLNFVADVTEIDYRLKILDFQLSVLQASKMGLNYKLINNWASQCAQRAIDG YLKILPIKQQMVHPFVSIMYLIQCESYQFLNQIQKAQNMIDQAEISLKSWFEDNKHPLKG LFFFNSGNHCKWLYKQYLRCVQEIIGLNQFDAVEVKVIVQGLINQEPQILKTFDYYHSGI IKTIVGHLNNFIYSQIGKHVRQNIFYKYTISDAQDVLDDIIYMSSLKELNGVTQYLDALA IFNHFETEHKCIDIIKCAIIAEN >CAK70294 pep:novel supercontig:GCA_000165425.1:CT868077:70799:71582:1 gene:GSPATT00038396001 transcript:CAK70294 MQKTISTGDQDYNIVKADNHQDSDEELQEKQEKNSKLVIDDEEELKEVPLEQHEQNNTNI KQEAQKHQQVQKQQVIQQQPNEQPKKVVAQVYPKFIDFDYQDINIKVKPEWKDKRQNPEP NKFDKKAVIEIKEPVLYTMDKQKPKHGRSFFTSLFSTDYRYIHINGGYMYILQLKNDKML VKSVNSLQRLFKLILKGDQIQLCFINANLDKTKPPNIKTYQFLKVKEQKEKLLMRLRGEI NKLGYDFDLMK >CAK70295 pep:novel supercontig:GCA_000165425.1:CT868077:71647:72462:1 gene:GSPATT00038397001 transcript:CAK70295 MKKRDSISEPPLDKLDQIRQKFSKQLTKVNSKGFVTCNIGKSPQNDGGSRISFGKSSFLE KLQSINSFEDDERTLLKSIQFDVQLAIKRYQQRIEQIAQQQVERSSLKSCQQELVQYLTT PQQELNEIQFDSQILTMRSTCKSTKQKTQIESNMNNKLKQNNKNILGNKRSNNTFKIIAD KQNKVKQTKKQSNQSLTEISLLTKKQSINKDGTLSQKSVSTRQPSVKEIAINLEQRRSTG MTIRNQPRQSRNSINSFDNKQQAKSKKQLVF >CAK70296 pep:novel supercontig:GCA_000165425.1:CT868077:72559:73338:-1 gene:GSPATT00038398001 transcript:CAK70296 MTTEFRLHSPQLTKTIEIEDLKKETTYRSLQVSKSLNVLSEQHDEQTIMIKKKILNQQFS IFNEDLINRNYQQNSSEQEQNNLQTSLNTLRNNEEQQMQSLSNKVCYFCCTDKNLIQICP CSYAHQTCATTYIQTGSIMKRISCQQCKQQYHLKGCIYFNFKKWKESKFSLFLEFLFIAM VVIAVIYTAIILLEQFKTNSNYISTYTKIILLCTVGFIVCVVVISKILQHFKAIKFEVQQ YYPRNIEYNIQYLKLLVQD >CAK70297 pep:novel supercontig:GCA_000165425.1:CT868077:73544:75126:1 gene:GSPATT00038399001 transcript:CAK70297 MKSLILISLLLATSLCAFQEEDNVLVLTTDTFQDAIDTFKFIMVEFYAPWCGHCKKLAPE YSAAAAELKKIGGDNYVPLAKVDATAEASVAEKFSIQGYPTIKFFISGQAIDYEGGRTTN EIVAWINKKSGPPSTELNTVEDIEKFLERVSSTPILVYFGSTTDNNDYNTFIELAQQNDK VTFAHTLNLELAEKYNVRGKIVLFKSFDEKRNDFDQSVTLPNLESFINSYANPILLPFND KAINIVFQQRNNAVILFTDDSDAGVAAFDAFAAVAGSFKDRIKFSYSKPNDGSGLFHRLA EYIGASTTNVPNVMLYDQLGGNGKYRFEGEITTESLRTFLTNFFDGSLTRYMKSEEVPAT NDEPVKIVVGKNFKDLVLNNDKDVLIEFYAPWCGHCKQLAPIYEGLAKKLLVNPNIIIAK CDATANEIEGVNIESFPTIKFWKNGQKNQIIDYSSGRDEANFISFLKENTSHQWVDLDRV EEL >CAK70298 pep:novel supercontig:GCA_000165425.1:CT868077:75127:76077:1 gene:GSPATT00038400001 transcript:CAK70298 MNTFHEYQLQIPHTKQLESINEKSNMEGSIVLSSERLSQKQKKLEIRQITSSRLIPVNNE KLPRLSQSKSPPQQLKTIRAITEYQAPSKLQTIKSFRANTENDATLYTDDEKGKPKFRRD DKKSKTSNQKTGIYESMQNKKFIEFADQIRRLKDQLDSLQKYLNNSRKLFLVVRNIVEKR KNLRKILLKNGKDELVEFSDIEKYCSIKEFVNINGEQKLVDKLNISLLDDIIYANDVLEN LKIQNEILDIKEMADQVHFNTNYDYNRKKSNKQVEQNFKNQLRRRPKVIFHVYGNVKIQF A >CAK70299 pep:novel supercontig:GCA_000165425.1:CT868077:77382:77699:-1 gene:GSPATT00038401001 transcript:CAK70299 MQNQQFGSDAKAYKEQAQQRKQQKIEKLWNEINNNPELRPQFLQLKKKITTSHNKREETT GMIMSMKEEYNQLKADIKEFLKKQNKIKSVNNPANNK >CAK70300 pep:novel supercontig:GCA_000165425.1:CT868077:78210:81086:1 gene:GSPATT00038402001 transcript:CAK70300 MLNNIYERIIVAYTSIDQNQRISAEKDLNQLEEQDANLCGYLLELIQTQQQNSQIKFFTI IHLKNVIKRRWKAFKQMVGCEKRQNYFAENQKNQIKELVLQFYCQEQVGQLKQEILQILY EVCSSDYPFKYPLLLKYINQQLEANNLDEQLLSFLKQIFKIMPKNQQKNEQYNCLWQKIA SLWVRADNLNNYSQQSLLLLDKMFTNFILSYHSNVFKDEIQQIFSSMLQKIAILLQSDTQ QQYHKNITHLISKCSKLVANYPFQLTQNLPDYLQMLSVTINSNKKIITKGGLISFIRFLK LSQLFLTDDLLLLNMKKYSDQEKQQVLKEKQVVDTIIKQFFEKESQNFIQRFLTLITSSN EISIEDMIEQEEENEFEKILHPKDAEIFSLALIAAELLIVRAPSICSNLLQGYIDQTIQA QFQINPNVLESLLIIIGLIPKIQSKLKFQFQIQYPTIIQYLQTSNQLHHQRRLSILLNRL ILILDQNQILSSLQVAGNLLSTSQDSIIQYQSLLCIKQVAVNYNGSIQWSQILVTVCPYI VALLKCLKRTTIITPLLDLLSKLIEKCQNEQTEVVVSAIENSGLFQLMQSKFQEAEENLL IIDQVCQMLKTLIIAYPLGTKIPHIFQMALVLIVNNINSKQACILELTLLLLREYEEQQQ NFPIDQLISILQQNLDSLLSDTSQINSCIIISIIEELYLLNTFSVNDFSKHIPYMESRYS LRDTNVKNSVLGLTTTMILMLLNNNRQDIAIFSGLIQVILNDLLEPEMNEILENKFRIEI QIVSILNRFIILARDNFFSFVQQNSISFEKYLQSWMKKSEILESEKSIKLNVIAFLLIID YVQPTTFIQYFTQIATQYARFSKKQFIQKTIAVLTPNQRLSQHRASNRKEKIRNTQMHNE HNLQQYFKQMIQDYILKHNLSQDLTVIQALQMIS >CAK70301 pep:novel supercontig:GCA_000165425.1:CT868077:81305:82339:-1 gene:GSPATT00038403001 transcript:CAK70301 MQFIFLLLLFLASASINELNSKNFEQFIDQYPYALIHFYRGYDCEKCNEVDLVIEKVQIN FKEKLLGFGKINCTKNPLFIKRFGINKYPALIFFRQGDVEVYEGQKSYTALFQWLKENLR PLVHIIEDTHSLQEFLTEKVALVYFASENEFIDPFILMKMKEVNMKYSLFYMVLAKSPTI RREFDIEDGTNLVIFPQNGEHKKYKGYIQDMENYLIQEALPLIYTGTDLEIQHIMKNQMP FLLIFDEMNQEYFEIAERNQYFVKLFIFDIKDTEAIEIFSQLLQLQIDTNYIYFHETKNK KTQKITKKDVQVVIWDYIDREDGIRFDI >CAK74584 pep:novel supercontig:GCA_000165425.1:CT868196:2918:3235:-1 gene:GSPATT00010752001 transcript:CAK74584 MGVFYQCTNLTTGLMQYNQNLKEINSQLQSETKGLQESVATLHQAFEETVFKINQIQNQV SELEQQLFSQRSKTLIEQKKGQVASYVSEREKTLNELRNSLQQLI >CAK74585 pep:novel supercontig:GCA_000165425.1:CT868196:3267:4435:1 gene:GSPATT00010753001 transcript:CAK74585 MPPKKEERRPLEPIFSQSNFPFYQYQTYQQDNLDQVSQFLTYFKPELTQMMKNNIQDNMQ ILCQSIGINLHPTFVKVIQSLDINDFDENKKFKNPEEYENDQTSQVVFFNSVKVDIITLK LLEFCLYQGGIQALKFCNNDLGQSEYSIISQIISNSECKIKKLYIDWQLIQPQLLQSNYL LEQLYLRSCQIKLPFIETLCQNMRNLKVLDLYDNQLSKEGLNLIGTSLKDNTFLEQLGLA KNSINSFEQLSEILQNVGKFLMTQEEYDEYRIKEKERDLVIERNKKVKKKGTEDVVPVLE SIQQLDNNWYIIRNQKLSLLNLSLNCIDENSIVQIEKFLNQTFEGFQLVLTNNKFEDQKA LQRLKKKYNKKLMI >CAK74586 pep:novel supercontig:GCA_000165425.1:CT868196:4453:10578:-1 gene:GSPATT00010754001 transcript:CAK74586 MADEPDDFKPALKTISASINTTMAISEDGDLYVWGKTFLKNKNIKHLPTKLGLPNQYLFY RVVHVSQGLNHGAAILQSCLDKECEGVITNQKGQVQTILGMVADQAKKLKENSEQQQQSE YFLQQWDQPFKEFFKSKNNKTILNLSEFRQFYRQDKHLKNISYQNISEVKTILGTGDEAN KDEPNAFEKFFMMEKSKDGKTEINICYYYQMLTQESRPEYIAIVWGNPANGRLGAPKSKF PNESDKQTIFNNNNPSQMDFTSRQMQQPSRTQQVQNSQYNLNQAEPNNSDFIQPIALKQE FVKIVCGHHHTMALTEEGAVFIWGMGSQGCLGNGRVDDLETPTQIDISGLFFNEIAAGAY HSLALAKNNQVYGWGVNNRGQLGIGNKENQLLPKQLTFFDRKKIQCQYLYCGDQHSACIT TNKELYTWGNGDYYRLGHGMLLDEMEPKKIEILQDVYVLDVALGTIHSLCITNEGFVYAW GSSKDSVLGMPTQQYKDQTLPQRVGLMSQSFRDASFYQVAAGTSHSLSLSENGHLYVWGS NKNSLLGVRLQGQEHTCVPQKVEQSEDLEKSCIEKALFFNLKKEEQQMMKDEKLVTFEQT TLMKTILQSTMGQSHECKYKFATLGTKNTYFIDNTGHLYACGSNQKGMLCKNPHKKQKFK QFIPQKDPPDILFEQSFTPIEIVYFRNTIIRYISCQYNHCIAVTAKGNAYCWGSNQYNQL GLGFQSDHVFTPILLEGAIEQKVIIMAITGEKFSALLTDQGEVWSFGTSEFGVLGHELKN FYVIMEPRMINDIPSMINLACSNQTMFAIDQKQQLWAWGNNQFGQLGISLQRDEEEINLQ TNKQVSSEFLEWKAQLKSLKNPERYIVYQPDKPSTRSITGEAFVDQVSCGLYHTCIITTN QELLTCGLAKYAGHQSQEPEDPEQQQAQQAQFIDAFSSTLEHSSKKFKLVACHEYHTVAV TVSSDLVFLGPEGKERGLHEKFANLFRDGKQMPVELQNIKFVACGPNHALILNDQGQTYT WGATMGGRLGLHINEIGLLDGQEKLIIPYPTKIECEVCKDNKLQAKNNKDKDKSAAPKKK EKKKGKNSKAKKKNPGGDQQLDVSIEDEEILDEESFHSEEGPGVRVQDPLQKGLSKQSQE CEQENLYLQDAEIEKKVQFIFKDSAIQAYELQKMYTEIVSKLSWSIDYRARQLAAKNQSR QITNLKFSVPQFIVKNIQLYECIFSCMYHHPCYMIKLIQANQLNKFQLLKFIKVIFKNDL MEAQNQSIILTLAIKSFEIEFNNATSQLEYISELKLFKSSSVFLQIYYMFIQKDEDAFKY FDEIANLLIKGFAFSKILEEIHNFEKRPEEAERDDHKLKNFFNFHQENANSKEIQAKYDV LTELIIFYIKSIVERKEKCRIKGDEMISSHIKYLHNQIVQIMKKKILKDELVRITEVVEE ESKVQQKLQKFQVKLDEKIGSFLLHLYYGSITEFILKFQDQIQSMPEEIRPFLHNDQNLR QIAQTIDRFFQKDVMLPNKYNQNLNKIISSRMLPKINLLGIQDVAQDLQGFVQFSVENLN MIELIQECFEIKDRYMQVEIQDILFLQHFYCSQILNNGLQAVSKFFARGMKDPIYIIFRH LEFREIQVNMFSTEILKRKINLKLMPTPIMQLIRKSNDIGLYKCEDCSILLTTEYITAEK LKSLQNLFANGWSCAAGHHNNITVLDCESPGCSNFITDQALKTMRVLRYYYREKDDNIDM LVEILSSIPTIPKGINMYNFLRDLQKTNWVKRNSRLTTKIEQFLSKIFPSELTDPFIRSE TEDAELALQQVTEDQKQAWTILAAQKKLQREEALHKQYKIVYEKAVIQMNERSQHRALQI TYNDLIRQLELTRSEMKSDLHKEIKTIKSYQDFIQQNIKVYAEGEVQQQERAKGQAYGFY TSANMFQAKALVKLTFQNRQIPKILKNVKFYFFGQSDGGWDIQLVYLQDSLLNLLVTCIE AIYPIELSLYKISIPIDQYLELRRMAKYRTQITFKSKNIEITFNIVQLISIFNSLEARLI K >CAK74587 pep:novel supercontig:GCA_000165425.1:CT868196:10635:11316:-1 gene:GSPATT00010755001 transcript:CAK74587 MLKNTPSKVTLNYQETQEETDPEGYTLIYEQEIVCQIVVDDGEQQQTQETLNVRVLILGS EQTLEKMKIELSCENDLFFHFIHEVNEETFQQIKEGQQLTASFIDYPAICVKCLDKAHKD PNKYSAVLRITQEGDAVIEIIQHTEYKNVELIQFQFVSLPEESIRMAITKKYQKVKQKLQ QMENKLKDINDVVKVKNPQLLLQMQRMNR >CAK74588 pep:novel supercontig:GCA_000165425.1:CT868196:11346:12047:1 gene:GSPATT00010756001 transcript:CAK74588 MNSVQHDTIKANLDYDEQTIKELKAHFTAIIDVERKRYNVYNELCKTLEQMQKEREKIFK LKEIYMELSKAFLNVIQKLKKDDDPSDFGFLKLVEKRIIPSLNGHLEQIKQVRQNLQQYI LKNTLENEWNEKRAIAKSKNDEKLQKIERTHDEAKRDKHFATSTLSQNYQTYVNDKNSEM KSMFKHFLNGLLDICATGLTEYAKVAQKIHYTSEKKETEELIAKMLGNQKQKK >CAK74589 pep:novel supercontig:GCA_000165425.1:CT868196:12078:13791:1 gene:GSPATT00010757001 transcript:CAK74589 MSNKKPQFSKKTLQLAQKYAKQLSQKDVIELKSDDDNDIKSDDEVLNIEDDQNEQNSGQQ EDEQFEEQQGEEDENNVDEEDENDEDQDVEQNEEDDEQNGDQEEENQDEEEIVEQKEEPK KQKKIKIQQQVEEEQEQEVEENELESYQEEEQQNDQLFETDLNAIMNRINKIIEILKQKE YGKFSREELLNELKKHLCTYYGYNSDLMSHFSSMFKPAELVAFLDANDAPRPITIRVNTL RTRRKELAQTLVQRGVNLDSVGDWTKVGLVIYESKVPIGATPEYLSGHYMLQSASSFLPV LALAPQMNERILDMAAAPGGKTTYIAQLMKNSGVIFANDTSKDREKALFYNLQRMGVTNC IVTNYDGRKFPKVMKNFDRVLLDAPCTGLGIISKDPSIKAQKQMRDILKHSHLQKELILS AIDCCKKGGIVVYSTCSVSVHENEVVLQYALNNRHVKLIETGLEVGNPGLLKFDDKKFHP SMNLARRIYPHVHNMDGFFYAKLKKINHGPIKEEVKSEVQKPVVMTKKMKKKEKQMEKLR LGEARKQQQQQQQQ >CAK74590 pep:novel supercontig:GCA_000165425.1:CT868196:13830:14455:-1 gene:GSPATT00010758001 transcript:CAK74590 MQKNSAACFMQKPDKQFYYSLLRQEQLIQTKPEIDIVNQAVQMYRKCVEYFDSLQDPIKY YFLEKIQTALSETKTLMLIMNTKNDIAEQSMRKPPKISFTAEKKLTNEIDQIQIKKARVQ QVNLMIKINNEAEQQSLNVQNMVNNYADERENLEKKLKEDLDSQQNNIDARLMKRRMTQR NMTVSHSMQQLT >CAK74591 pep:novel supercontig:GCA_000165425.1:CT868196:14500:15108:-1 gene:GSPATT00010759001 transcript:CAK74591 MNRSQQQYLNKQQNYIQLQDQNSDQLQENLERLSNLMIKKPSEVKKVFVQMHGYLRSPSA NLTMQTRDTPASKLKSIGQVDFEKSSDKIAQQLNTNLRNSSLNVTNHYLENSNLNFNQTQ KPRNYNSWIGLSVIERNKIFMEEKEKKLKKLKEQKEENEISQCTFSPAFFNQIRVQRNKS FQVNKSYQDIHQQKKLHNSRLY >CAK74592 pep:novel supercontig:GCA_000165425.1:CT868196:15492:17182:-1 gene:GSPATT00010760001 transcript:CAK74592 MLQTETKSSLYASKLIQQTNYSALSSLPKTYIRQVQAEGEIFMAAPQKCANIWKEFRRND FTQVQIPYKTALQVFDACKDDIYSMLKVNSGKDFFDLFDQDNDGYLNEDEQILVFSIIKE KMQQVANLLLQIQQYVPFKQLMKSIRTLETNICEYQDVLRKKIYKSEIATYKEIGLEKLD DFYEKYYNYFQNFEQQKQIRIQTQIIQQQEEMNQLEEKLSKNTEFLKVKPKRKLKDLQTQ EKLVSLDERVEEALDFRRELKNMEKQEQQRVASEQNKRIERQKDELERKHQKQTEQLKAK LIEQEHKLIIQLKKEYNVLLKQIGLHSNEIERIQSSAMQSAIRKGEKEGELKRMKERTRI QNFIIGDAKSTLISPRIQQQNATTYEDSSSSSYRQPLTSSKSERAMHDIKTLIHKQNYTQ FYIKKKYGADLPVNYQPEPYKVQGDNHERIEKILSVKKKNPHDILPSLTELYDLDMKEQN LGHTQKSESELIQERLKKKQYILEKLEESTH >CAK74593 pep:novel supercontig:GCA_000165425.1:CT868196:17192:17789:1 gene:GSPATT00010761001 transcript:CAK74593 MKYDQKIKQLEKFLIIKKVSDNPKYKIVLITIRFGAKQSNRLIIREQAMKARQNELQDAV NRNFDLLGYGYEFNKVDKKNVLQTEKFLKHFLDLDNEDDHLPKINKYQTTRTELTQISTE ERFPQLISTIPTLYCNQIMKSCETVKGDIKKLKRVNKKTIRTNQFQMNKMINRLQTIQTT NFLNNELILL >CAK74594 pep:novel supercontig:GCA_000165425.1:CT868196:17804:18687:-1 gene:GSPATT00010762001 transcript:CAK74594 MNEERMQFVEWKNEKAMLLQKIQIQEMYIQEAKEREENLKKMNEIFINTLNQPQNENIVQ KFQKSFEQSEIGKQQQIINTLQIENKLLENKIQEKENQIKAIMKNNEKEIERLQNKIIEL EYLVNNKENYNSQNESQLNSQYEDDRIYMSRKRNQSPSHPTTRIRTTQQLQQLANNKFEE QIITKDSTEIGGFLNRINPHLEKQIKEIQNKLYNTKKKLTTTTEQESSFIRQSIQKIKHR SRNHSISVNETGFCDQRSNWDDQVEYKTNQTNQTNQSLMYNGFRFS >CAK74595 pep:novel supercontig:GCA_000165425.1:CT868196:18757:19341:-1 gene:GSPATT00010763001 transcript:CAK74595 MFNEYDDQLQQNIDQFHYLLVDTDCKESEKQQYLNQCKQQSEKIKKLIQQRIKSGNENQT MRYMKQFSSLNKKLQEMEYNYQDEHISLILENNQDRQLEVQLFEENVNNRKQRLQSIHKN MGKVQSIYDKISQLAHQQVDSIFQVEQDFAYAEEKTQKASQELTRTQQSQKSNVGYRFVI ICILVFIVIILFIK >CAK74596 pep:novel supercontig:GCA_000165425.1:CT868196:19745:20068:1 gene:GSPATT00010764001 transcript:CAK74596 MGKMGPAWLIKAGRTRYNTKMCKIVKITKHKRVQGRAWRRKQFNKRKVQTQLQKTLVGQQ IHLKGVLVHKKGPNWHKGNKQLLEKEKIELVQLKRELKRNKFNRDEY >CAK74597 pep:novel supercontig:GCA_000165425.1:CT868196:20130:20960:1 gene:GSPATT00010765001 transcript:CAK74597 MIEDGEISELCKMCGKPDKYKCPQCETKTCSLDCCKKHKQIYNCNGIRDPTKQPNKISEL LVQRDYNYVNEVMKNTEMIQKKLVGITALPDAMRYKLLKHYARKMNVDVILAPKIMKKHR QNLSFYSIKDKQIHWCLELQYLNVSYVTKPISQKTIILDAILEQDLFDSKLLDQYKINTS QESLKTFFKEGKIQLCWQSYEVLDFTEQDEYKFKNQKKKLNQVNSHEKQILYIDPKFTIE QVIKGYTVFEFPSFEIKFLLQPQ >CAK74598 pep:novel supercontig:GCA_000165425.1:CT868196:21095:22037:-1 gene:GSPATT00010766001 transcript:CAK74598 MTEDSKNSQNKNTNPTFNFKEVASKAFRSGLSGSMAMAIQVCSLMWLRTTMNYQYRYGGT IVGTLKHLYSEGGILRFYKGLAPALLQGPLSRFGDTFANTLFLTLMDSFESTRNLPVMIR AAGGSVMAGVFRIALTPIDTVKTILQVEGKNGLTILQSKIKVKGVPALYHGALATASATI VGHYPWFATFNLLNEKLPDYTDKKKKLARRAFIGFCSSVISDSISNSLRVIKTTKQTSQN PITYKEAVNLVLEKDGVKGLFGRGLKTRILTNGLQGLIFSVLWKGFEDFLNKRSQQQ >CAK74599 pep:novel supercontig:GCA_000165425.1:CT868196:23596:24067:-1 gene:GSPATT00010767001 transcript:CAK74599 MKKNAPNISKVASDLILAYQQLTSQQKEITISPRYEKTALYFPQSPIEEQIEEENQIDSP RKTITEEEEDCLLEISELRRIVDQMRMKYMNIPEKYLQQPLQTTNQNATPSAQNKKPKKQ VVITQYQSDFLRQQYGKIK >CAK74600 pep:novel supercontig:GCA_000165425.1:CT868196:24103:25275:1 gene:GSPATT00010768001 transcript:CAK74600 MIQEQQQGITEEEKELILIEELSQKLEGLYKDMEQIRRENLLFESYIMRNSKDLQREEEA EDKKQKTKKKDKPIDKKVELSINVQTMQLTNEEKYEIAQFESDTLKKNIEEGRIRSDQIL ETLRAILEETDKAITEIRKDAFDFQREILFAGENTRTGKIEAERIEKYFKEKLAQKDAQI EKYKQKKANIEQQITKTSNQIQKKEEMGDDLKFIDFHQLQIENKKYVKQIDEKNKKLLGL KIATGKISSVLIEERNLLQNEIDQCNKLIQEIDDKNKKTAKTQEQTKKVKRQIASLTEKK KKFAIQLEQVNNATNEDIPKAMTYVLQKRKEEELVYKIRNTNRKIEIAELAYQKACKAIG INPQKL >CAK74601 pep:novel supercontig:GCA_000165425.1:CT868196:25323:29398:-1 gene:GSPATT00010769001 transcript:CAK74601 MFDYFYGYNLKFSTDQDYELQNVLNQLDTLELEGMVIDSSLLISQYSKEWMNKIASLNQI NQAYTIYIIGISNNQLLIQNTLYIPQQLNQVICNEITFLDNEQILVNCFNSLAGQFNYFF YVSQIHDQFSWHILPYTPSTLQYQVQLFDLQVAFEKENNLLITLGRYNQETLSGVIEIYS YTQLRNQQTQNPIQIFNQTQYRQYKISNQLIYLMNATNVINVYSLINFTQTELYNISSLI QANYELLAFDVEPYGEDLLIYTTLNGENLFEITTTDIIRGYIVRIDFEQTSIPQLFSNHE LITLYIDDQYYVYDRIQPNIIINTQIQNVIGLNQLPYNILTYTTNTLYYYQILQPQLFID STTNSNIVLQATSSEQSIEINCSVTINVTIHGLVPGLYQYEKNLPQQIIVKTDKIYLNVL SYVSGPLITISAQSSKESINIYDPSVQVQLSKQIWDQINGVFSFYSADFQSYAMFILQYQ YVKEIIRYSQISLKLCDVNLLEYIDLEEMECRDYSQIFELNAPINKLQFTDLTNPDEVYF VADIAYSAVLIYKLNQFNAFTLVQAEFLCEPLPIDDQDYFHSITDFYVIGNYLYFILARI QQIWIYDIQTCTLVFKLTNSFFDTGFFPASLAGKTIVDENNKLRQIIFINSLQYVYVMEM VNKIPIYQNTITLDQYTNIKSLSIVKDSIILVVQEKIGSTSMYQYFYSIDQPTNEIKFIK KLPQYGVSINNKFIVASDDSLFYILMNNNFYYVYNPTLSSQDCLIQKLNYIGDYISATHI PNILKQSNVMIASHTNVAIYSVTNPTYLLVEQSDPLNSVQKEQVKYLVEVQSQISNSNIQ IEGSFIAYDTLFVGFANQTLFLENNQIDEVIILDSPQFSFDFNLEGTIQNNVVSYSAAPP FIDGYPQFLCLVRQPFSYEYAIQSTPKDITQFTFTPQLPQVYAQNIGALYQVLNQEFVQL MSYNDQFTHCWALFYYSQQQQIISLCSQYSDYTFTVFNQAQLLHQQYQAINKFYFPLKME YYSNFVSTLAIKQGDRSYSILIGNLSNANFYQNIQLQCQIQSADQISSQEVGDFTATQIN GMFLFIYVCPLLQQIQYATISSTGNTILNQSIMHIQTELPKKATLQEIKLIQFEGQQINF LVTTKEFYSIILQANYSFQNEYIVLTNINSNKQVAPMQQSYQLKNTLYFNNFLFSTYHDT NIDTYLLALYDLGNLNSNIYYSIDTLRFKSPITYTVQGVYNTVLVMDTVPVLYSYNYTNR VGLQIENMQQTFYQQNLYLNIIQKYGPAQSVLLVFYFPLIGYTENWVKYTCIGVGSLYVI ILITIIAVYGHKKRKQPSEDMQALELNLR >CAK74602 pep:novel supercontig:GCA_000165425.1:CT868196:29701:30777:-1 gene:GSPATT00010770001 transcript:CAK74602 MDIDRHIASLLSGGCLPERDLKLICERAKEIFLEESNVQPVKAPVNVCGDIHGQFYDLQA LIKEGGDIPEHNYIFIGDFVDRGYNSVETMEYLLCLKVKYPGNIVLLRGNHESRQCTQVY GFYEELLRKYGNSSPWRLFMEVFDCLPLAALIEGQILCVHGGLSPDMRTIDQIRTIDRKI EIPHEGPFCDLMWSDPEEVEYWAVNSRGAGYLFGAKVTKEFCRLNDLTLICRAHQLVMEG YKYWFPDQNLVTVWSAPNYCYRCGNVASILCLDEQLQQSWKTFKEVPESAKSINPKNVLP YFL >CAK74603 pep:novel supercontig:GCA_000165425.1:CT868196:30943:32049:-1 gene:GSPATT00010771001 transcript:CAK74603 MIRKQFNPNSCNQGGKQQIDTLQEKHIIALINSKPQVLIKPPHPHVDANKPHSLKDKQDF TEINETYRRVQALKAGYSDNKMPKTFMMKESLKRKNKVSQFIQEQNHCNNIKALENNIQE ISTRTQKNIQRIKSNSKMHSVASSIKDNSRISKREWEEKSSHPLEYEWNEKKTRISFQGD TVLSNVFSFDQSLQKQQTNSKRNQKDVPLDYADIQLNEDMKDEDFFIQTDAQPLQNENAI QSSYSAIVDKKTKSTTNVVIPLVRPNEKMNDVKRKIYQTLMEYKLFQRDQVYQIGLAYVN KNQWLDENEILLECNRISKELYGVE >CAK74604 pep:novel supercontig:GCA_000165425.1:CT868196:32187:32697:1 gene:GSPATT00010772001 transcript:CAK74604 MNLQEEDIEQCQRAFNDLDDRGEGEIMADDLELALEMVGLKQKPHKVHKLISEIDDGNRG RIKFKEFLTLFAKLKYAGLQDDDQDMIDAFVAMGGNEDTTGNVDAEKLIRIIKNEFELTI DIEGLIKEVDTDGSGVIEFGEFKELLKTNYLQDDENDIP >CAK74605 pep:novel supercontig:GCA_000165425.1:CT868196:33045:33637:1 gene:GSPATT00010773001 transcript:CAK74605 MNLTEEDIDMCQRAFAEMDEDGVGAIRGQDLKIALEKIGFKPSENELYKIISEVEETNTG LIRFSDFLGVYWKFKYSNQDDDDQDTIDAFVAMGGNPDKTGSISTDKLVQIIKSEFELTI DIESLIRDVDKDNSGLIEFGEFKDLLKTSYANDE >CAK74606 pep:novel supercontig:GCA_000165425.1:CT868196:34193:34576:1 gene:GSPATT00010774001 transcript:CAK74606 MDDSFPLFKCFLITKKSALKQYNFNLIDPEHLEEQFNNPNSYTIHTFSFDYMYDQDNSQE EVYYNTARDAEFSAFNVTIMAYGQTGTRHFQWRDLITIVQILKEESSQVQTRKYLSAFQM DPMNSPS >CAK74607 pep:novel supercontig:GCA_000165425.1:CT868196:34896:39610:1 gene:GSPATT00010775001 transcript:CAK74607 MHLIPLILFMLHKVFWVLLFYQYKKQQTQDRFIKLIQKNLLLKLVSLIYSLYQKLMSTYL FMLLNLFCMTSLRKQIEQFEEEKIMIIHIIINFITIFILLLESLFTLYYFDQSITHQVQD IERTRLTKPRVIYHILILLQIFQVILVNLEYVLYIQTLLVILTQIVLIYDQFKYFTLNLT FQQQPTFIAISINIAFHLHTLIFTQQILNQILLPLLILGMLIFYIINNYNQYFNSLQLKL LFKKEFTSIWQIKYSLIKLMNKDLNSQYSEIIIKSLIASDHRSNCKDVKCCYCGHQYIMY PSQTCGITLQIYREFTMKKMKQFTQLFQLNQKQEFENQVLLQYAFMLYDFGLIMRSVKLL CYLNYNCKNNENNKLNSLSFSRDQITQTHNYDLSTMNQTVLQECFEQNNSLLQMISVYNL KFSYLETVKLAFIFNQAKSKINASLGTSLYAAQKSFISDYIEQNIELDIMLTQKERLIFD LIEQKLQFYQMIVSTTMINEQISVLHNKVYKLCYNLYELQKQMALAYKHKPCFKLHRVVC FFYGEVLCDYKKAIHFFRNSDFFEAQKLQFQHVKNFNINSSNVHYLILEVKDDMETLTVQ QFSNKFFHSFGGGVSQTEEHQFNDLLPKYLVQHHCNLVKRFFETGEAKYYQMFDINYIKN KNQLLTPINMCFIITNKFVNQNITFASFLQEASQDQVYIIVDGVSLRCTFTQNLLTLIGW FESDIESLCQQEKYENLQITKIYPNFVRFIRQNKIKYSKLNQSVLILPKPQYNIQTQRSF LSGDAQLTLNYVHTECDLLITKNKIANYEYFVINVVKMAEQQQANHSLVRIPISQPKLND ESKYLVDQTDQVGIEKINAINFYDQNMPQSIANTQIQTTDQNNRKSSINKNMMTIQSFKQ QQSLANNNQQLFFADFNNIDQPEQVFAMSNKNDDALVRRKIKKTTIYSEAYLENAQVNKK YQLIQSILAVKSPRYLQKFFFLVVLWHSIFVFFIFLFNISMISDVINLKFLMEMLTFHAA IMAPHDLFFSMRVTITGYQQMQREGFIPQDRLQDLIEPYYQYIDLGFEELKDNFYEQLNN DYLRDFLNDQTITMYFMEENETQIYPRNISFRDALLVILQYQYSQMMTFYYRESTSGKPF QVSLFANYFHLHQQCQNITNTLFNYSKENKDQIDTKWKVISFLGFVIVLLLYIIIQCYQV YYFIQIDMLFLLLNTMSYEMIESEIDKFTNYKNQYKLDRHCLQQYDPIDRSFSYLSKPLK FKGQRYLKLHIGSLNNQKLLNYLILVCLFIVITFYFILIFISSHLYLSKYNDTLNLFGQI QDFKLRTGNLYLYREIFFRWNNFTFLTNQDKNELYTLIDQAQQSIQDYIELSDMVNFNQF LIDDEFISLFHDISKENLCQFIDERFQDLTSRYCYLAFDGTLRQGMISTLNYISNSFKTQ QSINNFTKRVEINYYEQEGSQIVTRVFFTLSKQFSQSADSQADFTNTVIKFLSICYFCYI ALILAFLYGFYRPYLAWLFKSLKGIVHLIPFEALIFSETLEIQLKELIYRLQLL >CAK74608 pep:novel supercontig:GCA_000165425.1:CT868196:39651:42161:-1 gene:GSPATT00010776001 transcript:CAK74608 MSLAKFIQYIEIGFLNEFWNALRPESQVFYDRGNQIFIFRELTQIFIISKNQLQTVVRIK IPHIGMIYSMNVQQDLLYVAYQTSENQLYLFSTKANRHFNYIVQTEKNSQIIQFEWAKGC MGLFDILVVENHGIHLLKIDDQVRRVKFLQQKISWCWYEPRNEVLATCSTHHNGLISTYY FKEKRKDFKFKGQDFYLEDFEPKEGTSAFASLFKSKKTDTQLFSAPTDKKNKDLSQDEQQ SDSSYRVYLIYIYGKSLLAYSNSTTGHLLFYQLQYEKIPKKKHLLKFYPDSAVHLSVIDN LIVLSSFNEKVSIVFDVQIKKNPEDPLEKPQCILQQQETQTNKYQEVEKKQNIQQQQVIK ENPQTESESAKKNSNKCLDDAQNDQAQNQSSVLKEEQQELDQKGDGKEGEEDESKQKQNQ DQMEQQEQQDQGQNLIQNQHIQQNEEIQQYSSSQFIYDVQALIQEIRQSEEYYQTFQVKM KFHQLSQNLAQLKEEQSNIYEQSCNYQQDDLIINYKDKQIYQFKLILQHLPKIFNNQSEA FCVLLRRNNCKNAIFEFLIQLALTNEPIQLFSQIYKHILDIYLRSFQEKIGNVQVEKLQN EYTVLYPSDLANHFFKCLFEDGNMKKQFLIEILIEFIRQFQEKLKDYRIPINEIQNLLIK LLIKTNRYTQLHFLIQYQVLTDTPDFAKLLTEISSKEIIDQRNLQHYEPAFQLGADMYFR LQRYDELLEQLLKFGKFHDAAFLLKKIPNIRMKFEPIQHGIRYADCSKEDLVVFLEDAYR KLKTQFSGAEVKAL >CAK74609 pep:novel supercontig:GCA_000165425.1:CT868196:42261:43394:1 gene:GSPATT00010777001 transcript:CAK74609 MGQCSCQEQIPITSEIPPLNLYQKPLMITEEQINASQATHPLEADKAFSQAKTKQMEDLE ELELHRPNKAEAWETTKIFETISEKVRELQNELPPLDLEEREGYFYGVYELKNGSLYQGT WIEGLREGKGAQIMKNGAFYEGYFVKGRSNGKGRMIYPDGDYYVGEWLDDQLHGYGEYYH SDGTKYKGNWVKDKQHGYGEEYFKDSSIFKGQFQNGKKFGEGNFQFPDGSLYEGHFHNNY FSGKGKYTWPNTKQYIGLWEASKMNGKGVMIWQDGTRYEGSYLDDKKHGFGIITWPDSRC YSGQWLNGKMHGIGEYTSSTGQQRKGEWCNGKRLKWI >CAK74610 pep:novel supercontig:GCA_000165425.1:CT868196:43631:44278:-1 gene:GSPATT00010778001 transcript:CAK74610 MSQTIRNSDECQLTFELVENIINYYQKINPQDDKKEINSQFYKVEEASVCFKVICHYMDQ LTIEHEELLNQYQNLEQQLISKEAEARRHIQIEQQLKLYAESLLENSEESQKQMDSLNSQ IISIQKENISLKQQLRANKNERVQTDASQERLITLISQQKSLINEIPFKNSKKRSLESQK YKFINALDLEYHKLKIMTECK >CAK74611 pep:novel supercontig:GCA_000165425.1:CT868196:44300:45134:-1 gene:GSPATT00010779001 transcript:CAK74611 MIKSVIGNKENQFHRIHQQRAARKIINKVGKSTLNQSPIRKRVENIAQQNLEALSSYQTL FDNQQRIDLLKQHNSKINFTQQEIVGWDDENQTCEKPQKLTTDQSVQTSISQEKARKSFS QQTQRIKTIPRMIEVRQKTSKTPLRTTKITSPMIEYPTMQKFKLFFDKNVYKQGIPQLII CDRPLNTIDGLNCISFNNFTSKHVNHRRNQSLCVGNKINRMEIIKDVTHNQ >CAK74612 pep:novel supercontig:GCA_000165425.1:CT868196:46385:47271:-1 gene:GSPATT00010780001 transcript:CAK74612 MSENIQNRVSSIFEKLNNISTSVQDEKNNRFHAISQLIMAFEAQLQHQSDQKEEKFAYIA QKVRQLTEFLEQEQEDRERQESETFKLITDLERHARRLIEQNSKDRVEQEKKIVYTIGQQ IESLQQEVVKEGLAQSTSHEYIDSYLNEDLPKIADELQNEITERKDVEEKIYHQFVEQLN DLRELFEREKKEREAKEEEIVESLREISGRIQEQLKKTRTEREKTEETLVQLVEKVIEKL KREMLEMNL >CAK74613 pep:novel supercontig:GCA_000165425.1:CT868196:47794:52974:1 gene:GSPATT00010781001 transcript:CAK74613 MKKQKEQNFLRKYIQTNLRLLIVIHQKQYIMYQTLKYVFFILGQLQSAFFYIYPCYIASN KLYNQFLLIYFIRPDLIWIDNQMIGIEDKQLFLLPLICWLFFDILVLQGQVIYQIMNKKH YENQSSQITISGSFQNMVINFTSIYSQIFIIYLYIPAQVLSFTSFGVSEGIINIDVSILS IITVIITIINQMIKLYVSNTCLDLTIKGYERLYFTKFDFLREIFILIQLILFGIITDFEK AQIIQGILTLLVSVTYILNVIVDKSIIIEVFTQIVLVAHISIFAIVATSLLYYWQLGLIL IPLGISVSWAYRFRVISDLYQSIRVEKNVQKIIHYISYQINNKQRSLEKIDQVSQAILLF QHRQKCSDQNCHCNFTENYTEDPKNVLFLSQKLQKKFIIQKIKHWNKELNQKRDVSSYNT CVFYVSALNHFGFTTLAFQECNRLLSLSIHQNRGFLSHSDINSISQSHQQSIPSQSSESQ NKANQAKLQEINAKSIEKQQKIKLNIRSNILDLLSQIKLKIISKDIKLELQNNFLKQQTK QQEEIAEAIELYLQSEQQNQIVKHKILKCLQQKFQFYNMILNKKGLRSQQLFDNAKIISN SFYKLEKELLFQYSQFPSQKIQSINCFFQSEILNNYLSAFKLSNFSTIADDKLINMKKNL KINLFGKNVVHMILYLSDNLQTLKISTFSNNTHQFLLQSYEDFTKLNKNIDLLLPQAIRN EHYMLVQRFINDGKCKYFRNIDISFLELQNGFLKPFNLIIDIVLNQTENLSFAAFFEEVS LGNSFIMVDVNGVCGGITANLLERSGWKASEIKENQKFITTQYQVNINCIIPDFEELKIS SKDNKFYNVQIKLLNKKLLTGQESRTNLSYHEMWKHLENITIYRGTIIISSKELFGYYYH IIEIEDLRQNQTLSHVSNSEGKGLTTQFDNTNEIEVSDLEVSQPEAEINKPQSLNIFQQS FSEIKQDFDNNSFQNHQIHVEMAQNLIINKQSFAENLIKLNQTFNEQSFENHKIIDHNAI DITPNQSIRKLIDTSKSFGQQKFFNQEIQELDQSNSKISEGFQNQMKDVNQLYDVDLNKI DKEMRENIKMQLQLEIDQKNQPNQQIDDAASQVSSLIGLKKSLFYKKYELINGIIDSSFR PISYKFCHIFFFIQLILISTYSIIILQNLNIDFNRFIQEVDMLLFSYSFMTPFDVFLALR FSTVYYQVQVPSQMTAAEYNVLTNWLSNHLGEGYDDMKKNFLEQFSNPQLLDFFTDQEFD VQFMKENSTSMEIKTLSFRETLNVLLQYQYQFKIAYLQKTSLTNQAFSAYPYFNYLDLHD KFDNMTNDILEYTKERKQIVQDNWQSIWIPFLVLDALFMIATYYNYKVYLQNYESFLNLF KFIDEVWVNRDMERYKILINILTKNSDVIFKYQFDLEQKEKFMMAEKYKKESKIIHDLKK KKNYQNYDKLSTIYGLVGISFVFSVFFIPSLLIQLQTNNYLYKYVNTADFYKFTGDLCFQ LPGMFSQRQFLYWWVIYSLDVKEKPRMTARLFNGIDNLKLFDEHVQQFNQEDYLTTQTFI DKLNLITKNSVCKFFTGDQYNDYAFYCSRSFDGALDMGLSQGLMYVANQYKVTYALNNFT SIRQYFKYEAEGMYIMVKGMIDLVSSLKEALLQATNSHMDQIVGLSVFLLVFQLFLFFLQ YFIFHKYYSEEYNLVKRYMLLLPSSTLLLDDNFERNIRIFYAQYQV >CAK74614 pep:novel supercontig:GCA_000165425.1:CT868196:53003:54376:1 gene:GSPATT00010782001 transcript:CAK74614 MLKFYSFFSIALTVGAVYNSFSAYKQFYPSVLYLSTNKINRTILVNCAIMFISILIMMFL KIMFGKIKDVEKITVIDKTKRKILEVAYLLFFFYVSLDWQFIFLILWLIALSIIHWISKK RAGFLIAESSLNFSDHVKLLMTFIILFYIDIKVANQNYFSQISLYFFYGAEEKFQDLLFS FEFALLPIRMVLPVIKYLMNLFEILTYSQFESKQTVFSALEVLSKILKLIVQIILFQQVL NTQGFLLILLVDIIGNLVALYKKIKAVYNQIKLVRMINRIQDVEKNESHDSTCLICLNEL EKGKLLSCGHVFHSSCLKTWISGNQNQFCPKCKSTIKLEETKLQQNDTQDISSKKQILLQ ELREIRSNIQILKSLNQCRNLQNNVQNTQGIGNLQYALPCEALQFTTGLSEIKRQQMNYM NKIVRAIDQGVIGSHLLTK >CAK74615 pep:novel supercontig:GCA_000165425.1:CT868196:55174:59394:1 gene:GSPATT00010783001 transcript:CAK74615 MQHQFACPCSFCKAKKENPQQSSHDCYQVDRENEALKQEIQQLQAQLHYLNQKVPEFQQI FKDNQQMKKALDQMNQSVKEYEEEIQRQKQIIDRLNLDLDKAKRDNEQLLQGFNDIKHRG LSQEQNLQQDNQRMKHTIDGLNNDLRNLQQEVYRLRSLNDKSKYVQEESYHYQQENQQYL AKIRQLEMQIRDLMSKEDNYIMQINKAAQGNNNENMILKEQNAQLQQQLLQLQKQYQKQL EDMSQERDKILSDQRNTINIQIKDQLLREQQKWQNEREALLQEIQLLKQQLSQNEQQILR KRQQIEEEVNRNQQYLIELEQGNTQLQNRCRELQNQISLMEKDKHRIDQYTRRIDEQQQQ LDQLQRQMYDLTNKYNNLLQQYEQKKYQNEQLNSQLNMVQEHDKQKIINLEKQIRELQDQ LADTQRLRQQLNQMNENYTALQLSFKTSQKQLQDFDELNQELIQLQRELEYYKQQDVKNK QDLDQYKSQLLMIENRQNQQANSELEKLKYQNQQLNDELNNLRNTNQQLTFKFQQAQNDL QSQNYALNESNQLKAKIKELLDQIQYQQIEINKKDKLIQELQIKLQQQNQKIDLIITMEK ERKDFEYRIIQLEKQLADSNLYKSQFIELQSKYENLRKDYELLRIKLEEYNNMQQKMVWL EKQLADANIYKTQYLELENKYNNLDANYQFKISQLEKQLQEANMYKMQYAELQNKYNFII QENEIVKKDYEQLRYKLEEVNNQLIISKKQITIQFNTEFDALKSEMQTWILKCKTYENEI NFLRSTIKDYQDNDNENLLVKKELERWQIKCSELERERQQLKLNLQELDKLRYRINDLEK DNQNYQFKIARLEKEADATRQQIIILEQQRTQYDLIKKDYDALQIRLQEQEREKSQLRNE MDILRQQLQQFKSQVQMLEQERNQSLMKLEESDRERQGLKQQILILNNTKVELENIRREY ENFKQKYEQLDRETISLRQQLQILNNQKGEFDRIKVEYSQLKINVEEQDREHLSLRSQLQ LASNQKNDLEKFRQEYDIIRIKLEESEKDKNQVKSQLQVYITNINAYKDQIEHLNIQISQ FQQDLSSFQQQEIMMKREIEMLIQQIDIFKKDQQNKQQQIQEFQFKYQEILREYEVLQSK QVNIDVDALMYEIFELKKQLVQKEKQYEIERQEYLEQKFQSEKNIKLRVEQEIRQITERY NFEREELRKQIQVEKQQKLQIETELRLKYEQQILILQKQIKPVIDVDALMYDIFELKKQV VAKDQQLEQLKKEQYQMRLQIEQQFNQQRMKFESELRVKLERELRMSIESEIRFQIENDY KFDQNIQDYILQVEKWKSVCQMRDEEIDHMRGLQQALKEKLNQYTQEIESLKTQLSMFQG ERKKGYQIK >CAK74616 pep:novel supercontig:GCA_000165425.1:CT868196:59754:61329:1 gene:GSPATT00010784001 transcript:CAK74616 MCEFSSGDSSPCDDDQYQAKIEFIGTKLSIRDICNSVLNSNCYEIRILEPTKTGKYEKIP LEWQNQKDIILFYYDSSLEACQDKHAIKKYLKEKFKDYHFINFEEVQKVYLAGEQAYVIR INEDKSAQFFYYNMNKNQPKHKKYLGENFQVGILRKIRDIKIQYKIILRFLPVQFYKEKI ESIVYERRNDKYQIDIRSLRVEELFECISDGFIIVEGCKYGVIYCDDLKQSQGLTRISIV RYFFERKLSQPEIILVNEDGKKVPQLSNLSEFVNPLSINYDTEKQMKLILQQEIDKEVQE KIERVEKQERLERQEKQERMEKERLEKIEKEKSEKIDKEKDRSDKQLSGKKNYQDINIST VEINSSKIHERSEKSIERNQNSYHSHYHHNYNNKYENGSRNKGRRSRSQSRKRRHSSSDS SKKHHDRKHRQNRYETKRKSGWENNSSKTRK >CAK74617 pep:novel supercontig:GCA_000165425.1:CT868196:61428:61959:-1 gene:GSPATT00010785001 transcript:CAK74617 MRVMLKQQLDQENNLGGLFYQLIFVLIMSENNINSNHNNISHHHNQRRSPSPYNPRRFDK LAREECRNNAQIMEGRNPDRWRLDAVGNPVCKALNSCRGSLCYQFDHIVPYSKGGESISI NCQLLQSFVNIYKSNKENISKDELKHVSPQLKFTPVQFDAIEYAIYG >CAK74618 pep:novel supercontig:GCA_000165425.1:CT868196:62383:63319:1 gene:GSPATT00010786001 transcript:CAK74618 MNSNHIRWKPKSEEERQSQRLQQIKTVYLIGTDEKSLAPRLTAKSWIVVDSNSGDVLYAY NENQRREIASITKIMTFYVVLSMCKTMNVQTNNTYAEVSLQASLVGGTTANLLKGDILSI DDLFYGLMLPSGNDAAMTFAENFGIYAMYQNKIKGNNPIIYFIQEMNNKARELRMTQTTY ANPHGLNNKNNLSSAYDVAKLCNQLLKDDFFKKVVNTKIHFCTIQDEEGFTRDVMWENTN KLLYQGFRGIKTGNTSVAGPCLASYYQSNNRSFTIVILGCRNQEDRWSETMQLVQWCLSQ IQ >CAK74619 pep:novel supercontig:GCA_000165425.1:CT868196:63340:64070:-1 gene:GSPATT00010787001 transcript:CAK74619 MSYDISQQFIEFRKQIMNKTLATPVTNNSKIQTVSTPSKKQEFSVDILKSSICKNAKRLK VKSAISNIPLSPNKQKAQSPIKKQPFKSPQRLNQNQPIRSPNKQLYSSTSKSQQSQLNTF QLPQSYRQSDYVDQQSQIKLYSSKYQKIMNEEQGLYYSQLQTKLDQLSQIIGNQDDRQRN LLEIDNMINQSKQINAKSRVQKHVDSLQFESKALQSDLNTIKNKISRFSDFTDN >CAK74620 pep:novel supercontig:GCA_000165425.1:CT868196:64180:65602:1 gene:GSPATT00010788001 transcript:CAK74620 MKALLFVVCVASALASHAYIYPFNSNNVNELEGLNQKQIAQLIKYNIGLVEHISADQQKV FEQLIKTFGKGNILSPTDMNYLILIESEKLYQGQELKQQRITEGFSQSFKVMDKDPKSLL ESLFGQQDDSLIKKAQKMYNQNNLGFKIYQNEDNLDINYLQWTITLYLGKNNEVYFYEPN KDKSYKVDSSLEKCVDNFFGTTFDYAPNEKKIYKYNTNEMIEITQDNEEQIIGFLKDVCA MNKLSTLFKKSNQPNMLSIINKSIAKLEKLMKESEIEMVYDMMRIAHKKLSKNLRNTFEN EELFGLILVEEEKNPQLTTTKESAKVQRILMETRTRMLNQVTQTNSSGYVMNATTYQIYV WFGVFFVIVLIGIIYAMVTMDIQKDTLLYAKFLTTDQRA >CAK74621 pep:novel supercontig:GCA_000165425.1:CT868196:65643:67188:-1 gene:GSPATT00010789001 transcript:CAK74621 MVKLQNKPNRKSKKALQLKKLSEQVPQQKYQQYQQEELLLFENDNQNESEQSVKQEQSNQ KKEEEDYADQPLDQEGDLAQWADEFDEKIQVDLSKQTKLRKLIKNGQNLVTGKEYQKKLR EFYKSSQQNNEFLSWAQSKQQIKQEKDKFDQTQLNANLKELLSQNIQNQPTKSSLLPNRI IQIEQAGIIKAKINTVIQSISFHKKLPIFAEGGFDKLIRIYQFNPNKQIQLIKSIGLEKF PICKLQFMNENNNIIVASPFKTYLIEVDINSQKYKRIQSTLFAKHFNQSGKYNRQIEFTI SEDDKYIALFNESGYIHILSGDSKILLNEFKQNEACKAVTFADEFLISAGQGGKIYQWSL QKQQIYNVFHNPGGFEVNCLDFKLDLLAVGSRTGIVNVFKLNSATKLFNKDPIKEIQNLT TSVNEVQFNKFCEILCISSKWKDSALKLVHVDTFTVFENWPTVTTCLKKISAIGISNDSR FLVIGNEDGELRAFRLLHY >CAK74622 pep:novel supercontig:GCA_000165425.1:CT868196:67949:69754:1 gene:GSPATT00010790001 transcript:CAK74622 MKSLLGDSPITPLTEKSVLLTDNNNEDQFYPVSQQNNFLHEKELNQNHLLNPRILTQKQN LHNKLNQEYQELIQRRNQLRNSYEQHQLQQCSFKPRISQKSIEMRSQDMSQPRYMSPKKI YFNDQTETLQTQQSPKQRNKRKSNKENLWERLSQNKQSVQLQVSNPIVLEQPNQERIDQT KINEIVNRLYNQAFQPKKQKQQNDSNQILGSLRGSHNEKLVIHYFVREFYKVLTMARCDT LDDLKYNQQFSFQFQIESDLCLITLNELCQILDNLGFVPLDNKPLQFEIFQHLQCPTDFD LILSRNLLCFLLCVQGYHNEIEQIPNYENQYKIKFPIQYNNGITQFINGNLRVYNPDLLL QKYGILNVNHLAYKKNRDLQQDVNTSFKTNKQTQVLAIQHRKRVASEIQHQNITLNDYDQ LYNKKKQKLIEEQEKINQEQCTFNPQINDAQVKSKYLQSKPQKSNDQGNQVQNNTPLFIP NTVKSKLAVVQTKPANSNVAIERMIKGRVQRQLSQAIIKQGTCNSKKRQQLEEQIYEQEL GHRQPLMFIDVIIDNNKKERIVVNQNDTAEKLASQFIEKNKIDKSHQENLIKLIQQQLPN H >CAK74623 pep:novel supercontig:GCA_000165425.1:CT868196:69851:72894:1 gene:GSPATT00010791001 transcript:CAK74623 MNAFERTLEEMKSVKLLCKRLGETTQDQLDRMIKIFQAMPQKIYFSNFYQNQLYKNTMGV FSKKDWNSDHVAISVIDQELQADWPELMIYMKPFLMFYVIDLQEGLIPIDEINKQKRKVN DEKLIRFMLLNCGVNSFQNIEQEQTFIIIPPLSDDKMVYYLEDQLQLYLCQIIEHFASEI LRLKNDQSQIVLFNMKEEKISDQSKLKKRKQGRQIKIMGDLALMINDPQDAIDYYKQALD NLNKNNDYLWCGIIQQHLAASKSSFDEIEEHFRESLTALKKTKFINLEIECFFKLMHYRK ASNDKLGLNKTIDLFTKTFDPESPIEKCKFYLFVSDLYGQIQMKRKQAYFIRLASFQLAT INKSMALELSKISSSMYGLNSYFSDESSTIFWTSLQHNFVQEIQHNFQGIPYFQHNTQIQ RIQMFKADFTQQQLELMLQILKQDSVKHELKQNYLLMIPKIERFEIQPFKEKFSLINKEV KTESASQDIFIVNPWANKDAKNELKYPLNSIIELVLYISNEYSFDFQLDKLVLQIEGCDC ITYPKGINLPANTKNWPITFSIRPQSVGSFKIVGIKVQYLNYEYIHKINQFGFSALLSPE NQKQEQSLYNLHNIQIIEDIPYVQAQIYRDSTLINEVEAVYFDPSELFTYQFIIKNKAEK CIQNLAFEIIIESEDPPIYEYKQIIQNFCLDGFSSVNILLSDFQMVNQNNLMHFQIPKIP HKTHLIKKISLNLFLSYNDALYQREIKLNRLISIRQRTVIQQCQFVSKYKCNNESINEIY DLQDSCFLSIQIAKKDFLISLELQSQILIDGQFNEILNEDCEYYAQALKLRKLPLNQLTN PDLKVIWKNLYNGIIGILNPFQHLSQEDIFKHCCNDLIEIECKESNRQINYKLTLHEKLT SSKSVSVVISLTNEWVNSNCAKSMVSSVQNTNNPIITDGFTSFNLEIDQNKQITKNGQLG YYGQLNQSCLKFIINDFENKRQYIKRCEIK >CAK74624 pep:novel supercontig:GCA_000165425.1:CT868196:73763:74316:1 gene:GSPATT00010792001 transcript:CAK74624 MELEISQLVDKIVRCIPNYPKPGINFRDICPLLKNIEALNKVIEYFAGKINFEFDYIAGL ESRGFLFGILLSQRLNKGFIPIRKKNKLPGEKISLEYKLEYGSDIIEIQADAFEPGSKIL ICDDLLATGGTAVAANELIHKAQGNTVGYVFVIELKDLKGKDRFDPKVPVIVALSD >CAK74625 pep:novel supercontig:GCA_000165425.1:CT868196:75270:76148:1 gene:GSPATT00010793001 transcript:CAK74625 MFQPYSVIKISIADYILDMMDCIVQGQNQRGGLYLGNIESAGNGNLLGVYKIGAILTTMS SQEYIYDGNISSMFIRVDDADFVNLSQYFQQAIDFIDQNRLFTNVLVHCYAGISRSATIV IAYLMKSYKMTLDEAFKYVQQLRPIINPNPGFMKQLQQYEAHLFGFNILRSSSIHQNEIM KIQSNQMQIANPEFQIYNIDFNQNLGNSQLLQQTNLFNSSNQKENQDNKLNSQYPIGSPR GFQQMGVSWTPALPQKEFNGQYPNLRSVEFIQN >CAK74626 pep:novel supercontig:GCA_000165425.1:CT868196:76163:77735:-1 gene:GSPATT00010794001 transcript:CAK74626 MNNDFRLILNKIKATQQNTLRQTCLVSLSNSQIEEINKTIPLLKQTLTIYHNHKDSLILN PVTLIPNLLIIQNEYLVLSNCTINKFKSNQAQCIQLTNGLGNLLIFFLSNEHYKQWIDKL KQFCKLSNFSKKFYVKEQTVADYYIIQHKKTNKLYIANMSSISNAQMELLNSEMQTLRSV KHTSLLDLKWVYQDCRFIYLIYEYFRCEKLTTLLKQGLILDQTQLASIILQLLQLCKFLN KQSIYHGNITLNNILINLQSSYLSIYPINMKPLIKNDKDSIEQYKQSIELPYLAPEILEG SSNPSIDTDLYQIGAVLYQLTFYIKSDKDDVKQDSIKMELINKMEEQFIEASKIQAKQIN LQQEYKMVFSTSQLDLLKRLLEKRDQRVKLEEAMKHHWFVNIKQKFKPKQERRKQHLPSL NTIIELCEQSESTKSFLNNQLRVDDEQVLDENNLIQEFMSELKKNNYQKPPSRENHEKLK DFQIFKTSYEQEKSNPQDQFKVSKTVV >CAK74627 pep:novel supercontig:GCA_000165425.1:CT868196:78033:85398:1 gene:GSPATT00010795001 transcript:CAK74627 MDMKKTKKKPELSEQLFVGNYVDVYHQGSKQFKLAYILQRTDKEIEVTYDGLSKKENEVC FCLQTLTRQLNYSKIEYNLRGDLLQVSNHLNQDYTGDDYRQQKTARDYLKYSREDCEKYT KELITIMQTNFQGMTPIEITQCVRVRQFIYLDMVLSTEFQPKELPIALEYIKTYYNFIKW YFDQFPKYFADFMRHMNNNELFIIDERASIASCIQEVSEAFCMLFGSIWRLLKQENSFFY QNHDLLQQQIDKFFPVPVYQGFVTNPNIEDWKLYQGAGDIIKCIKRSWSFYLKTMSYFRS IGGLQSWENLLKPQEGGEYTYIPLKAMQKIILTQQYLSQYFPPQEQSNMAKRTFEWFQLR VANLTIQDIKDTDIDQIREIVQDLQYYFLKGYSLDNLNKLVDDLQLQMALKFLKSTFLEK RVKGLSEIKDFTEKLKFETNSQIKIKNSTNKDELIKWITQNRILDYTLLGDSVHPELIKR SSDVAIFLCKNQVFPNDYVDKIWLNNYDKHETTQLALYEFFKAISPVLQFQGIENLYNHI LNIPYNKYNENIVSMIRTFTEAALSQKFHEQQLQLKPDRRFMTFNQLWELLQDRDDQLVG SHIQEQCFQAARMIISQIPQTKQFISQYFGKCFELIGSHKSVYQAISLVHYFLDKQFKDD KGKRELIQSTDDKYNIIELFVKDIEVYMEKVRQYFKNEEPQDIIINGVQKFSQNVFFRLQ MLNYLLQQTELKINYDQSVRLWDALAAKTKGGIQKKELNKILISNYYPDISSFKINQTYF DKEGESKFFTQVLCNPERNDYENYTIEDFELFQVFFKSYNQNRQMLKYYGNSIRFIVNDH NFEGKNAIWQIFAKVKDLVLLDQIANFIINLYTQLNLTLDPKCDKIYQEMMDKCLELIQQ QCPSLTTRSINLLLSLFNYFQSGPSSKKSLKPNNYTQFKVQIINTNNQIAIKEYKEGDTT TVNQWKQKLAEELQVAYQQLDITVDNKQFEQLFDIVETNVNQVFHQMAAVKARINNKNHP KNYLSQEQRTFDILFKLLDKQESTEFLSQVWDLINRLPTNIQIKKQVENCKDWKKYLDHS FFDMFYVLQIIQALLVNGQWCEQFNNSDGVDLVTQKFLGQHLQFQQRPLEIKSCLTYLDI LSHQNIKIKEPQIIDQIKHKILEILQELCHYIKTKKKLEAGQKKTPQQKEMNEIETRLLR RCFTYLDESGCKQYIKQNHELQQQLYNYYVEHENQELKKEYSLQLLNLKNTQESKLLIKI LLGDVLKNVITNNKQKCEHFFEICCNLLLQENNLNIENFVFEDLLSYIKQKLLQLPQNEI TVKDQDQILIGLLKLLNVLIDKLPHLSNNNQLFEQILSYLFENEGETRCKCKSQQSRTAG FNCLSTLLKNQQNMNKFLTNVSPLHSTNTWRTKHLNDWNIQSKFHEKSSTGYVGLYNLGC ICYMNSLLQQLYMVPAFREKLLKVEDKNTCVQEENLLHQLKCLFLALKHSQKQYHNPKKF CHAFKDLDGNPTNIFEQMDVDEFCNLLMDRIELNVKSTSDEDLVKRFFGGVMSNEIIGKT CPHYSEREEPFFAISLPVANKKNLEECLQTLVHGDLLEGENAYNCEQCNKKVSALKRMCI KKLPDHLILVLKRFNFDFDLMAKAKINDRIEFPFELDMFPYSQQGLRSQENRTNNSNGQD NPQEYYQYRLTGVVIHMGSADSGHYYSFIQDKNDLNKWYEFNDIIVTPADMQELKNDAFG SADKMLKLKYPPQLKDKSKSAYMLFYERINPVNVAEQTQQIDVELDQKTVQFLDEIKDEN RKFQIQRFIFSPEYFAFMQNLIKFQLQQLQIQDQIVRTLVYFYLTCAVRENDKTFVSNNI LDIQELLRKSPITSQWLLKCFNQPQYIREFQFDCSKKMVRKFVISMIITAIETVQQQEGY KDLYEIVDDKPKSLVASLINAWIQMIPELKKSLKNSVEFYDLFYKFAKLHKSNAQYLILK KLVGKLLDLAIDVFQINSNKTLIPQREVVKRMDDVKVIKFTDDPGNYLGQQNYQNVDVAS SYYDELLEKKFEKSMNSGPSTSRVYMWRVIAYLIKGEQKQFLSTEELNLLQFDTQFISFL LEEGDCKLAIRMISDILSVISMDNQKQSDSVIASIIKQVNDKEYKEYRKYLVVLKRLFQM KDQLQVQRINVGMSKLLDAMQKQGQYFLETDVCQQYILRMVSKNQLVHQWMIKNQRSWQW VIEINNSQPHPNDRLIANNQIPQKCLHRLHNIYLPNTSQAYAKLLSWKKLQYLNLPKEPF KPNDDFDTDDDLTEKNVKVDDKIDFYDQNQWITATVSKVMGDYIHLTFSGKMAPQNMDIE LDNERLAPFNTLSNNNKIPGYNNQSYEMVIEHQSDHDNDTEEGNNNSNNQTDSDGE >CAK74628 pep:novel supercontig:GCA_000165425.1:CT868196:85458:86258:1 gene:GSPATT00010796001 transcript:CAK74628 MSKQWQMHQSVQESLCSSLNKDEILEEFLSICNEISQEDQSSLKSLNSKIQTIKKHYAQQ KCRIEHQFKYIYTLLEQKKNELMTLLNTHEQELDKTFKNLLQQVTQIQSVIFNISNDILS NRQEIVSEVDDDTFHNILSQFDQEIQMTQKYKREILSSKITLIIIEEWTSHHQDVIKSLL NQCITIKDYQKQIETQQSELSASTSSERFHFSNRSSQELCMKQQTPLIVSFDQKYFLTQK QTEISKSDSDMHDSCESDFLKEMTQS >CAK74629 pep:novel supercontig:GCA_000165425.1:CT868196:86818:87262:-1 gene:GSPATT00010797001 transcript:CAK74629 MSLTKVPINDNEYKQSGSQFHQNDAPLLPKREYKMISKEKKTQLIRTVLLQKCKIKRIAK DLNINYATAKTILHNYRKKNIQFDQKEHLKQVSYSSTRKFSKLNLKIILNDKVVKEQEYV LPTI >CAK74630 pep:novel supercontig:GCA_000165425.1:CT868196:87344:88219:1 gene:GSPATT00010798001 transcript:CAK74630 MQKQIYKQYENSDVVKYGNNVGLLFTCDHATNVLPQGHEWSEEDQRNFANTHWAVDIGAL NLAKYLANHTESTLVNSKYSRLYCDVNRQIQSESLFRQTGDNQKIELNQNLSLEEQWIRI QYHSNYHFEVRQAIHELKPKFIYSIHSFTPEYEGKKRDVEIGILTSLFDEFGEAHQQILK NKGYDCRVNEPYTGKKGLNYSIDCACLTFAKPIVGTLFEVRNDILSNPERFAKVSADILA TILEVIGKWK >CAK74631 pep:novel supercontig:GCA_000165425.1:CT868196:88250:88786:-1 gene:GSPATT00010799001 transcript:CAK74631 MISINIETPNHTRYQGMLHKIDTTENTILLHSVVNYGKAKRPIKQIISDAEKHNYFVQFP LEFVKADTVITTNDGKSYKAKLQKIDPYTKFPIFQNIQLICKECEESERKSFDFKSHRVI SINKKQQIKMKQSLHNQNKSVDLRSSYPPCITNNYRQMAKFKELIEQIGYRPKLKCKN >CAK74632 pep:novel supercontig:GCA_000165425.1:CT868196:88862:89139:1 gene:GSPATT00010800001 transcript:CAK74632 MPNHLFRTHPRTYGKDSRECRVCAARQGLIRKYGMNVCRRCFRENYELIGFHKYN >CAK74633 pep:novel supercontig:GCA_000165425.1:CT868196:89597:89872:1 gene:GSPATT00010801001 transcript:CAK74633 MAQNWAPNSQVIIKEINYTTHGLNVQIELSNTCAYQCLSSNFTKSNQNLFRKNIGFSYNQ TKGTLTSYVFWVKFQVIKIDVLGKINLFYSF >CAK74634 pep:novel supercontig:GCA_000165425.1:CT868196:90949:91415:1 gene:GSPATT00010802001 transcript:CAK74634 MNFDDASLSSLHTYRVKTRQQQKGIQPSIQKSKRKYCHISPDRKNQLISLVLDKKLRIKD AASICSLNYSTAKTILYTLRHRPPKSAVVKPISNSRQQNMTMKILIKGKLVNEYDFYAKS NQS >CAK74635 pep:novel supercontig:GCA_000165425.1:CT868196:92994:94440:1 gene:GSPATT00010803001 transcript:CAK74635 MIIESNVPQKIIDLYKPYKKQFESVKHVLQGHTFYLDQRYMPIDISEHLQYKLVGQGAYG CVIQAIDKLTNKPVAIKKIERTFNHRLFAKRTLRELKILRLIKHDNVVDLKQVLLPPSRE EFEDVYMVMDLLEADLSQVIKSDSLLNDDHMRLFIYQVLRGLKYLHSAGILHRDLKPRNL LLNRSCDVKICDFGLGRAIAENIQNNIMMTYYVETRWYRAPELLIGYQNYSSAVDIWSVG CILAEMILRRPFLRGDSTKHQIKVIFELLGTPNEQYIQSFPDLKVQQNLRKIIQEYGHQN GIGLNQIFGHINQDLLDLLKGLLKFDHRDRLTAAQALKHSFLQILHSEEDEPISVPVNPL EFEFEKYELTKEQLKDMLYEEILLYHFSEFRNQYFQKLQSGQSLISHIVNNENARIIDPN ADDDFEQ >CAK74636 pep:novel supercontig:GCA_000165425.1:CT868196:94540:96916:1 gene:GSPATT00010804001 transcript:CAK74636 MNNQQLPTTIQELDIDTKELNRRIRQLQNWKAVLSIFQSDNFTPEILIHYFMATFNEAGP HQYLTNSLYHMPKKFIEYYIPQFVQYFSLISRYMVVKNGSQSMETFLESLSSLSIANYFK ILWCLIAYSQDEKRYSKIYSKLESFQRRLEKEMINGALKMSNDEIEQNKDNPQFQELLMK KCMEKEFRSHYMIAQDRFIIQMIQLSLTLKSIENDSRKKYLVSQIQKGNNAIKRMTNMNR RLAYFRGIVIPFQRDNEYSEASNLMVNICEEGAACFNTKTRVPYRIVIETISIIEQQEKI DSTKVDENECDALNDTEDFIQMDVPNNLEEQMKQLTNEKQQDTIFNQLIKYDSQSSSFKD FDDLNQTLEANQNQDMLKKRSSSWSDTENSQAAVERQETRSPQKSKDLEDSFSQSSSEHQ ISILKIGVVEQNQQIQGIIQSPIQQRSSVNYIQNKSNSNLNPWGEDWKDKINRIKQESKF KDFESLQIRIIMVKGGDDLRQELLIMQVIQKMHEIFRSAQLNLFLKPYEIIVVSENSGIL EFVQNTVSMDGLKKYLDKQKLSLVQFYKQYFDSDYHQAQKNFVESLAGYSLLSYFLQIKD RHNGNILVDNQGHLIHIDFGFALSISPGNAGFETAPFKMTKDYLEIMDNKNSSMFEYFRR LMFSGFMEIRKYCASIFQIIEIMMEKSNFNCFKYFDFSEFKQRFLLNKTEIQCRQEIDKL IQMSIEDSRTSTKLYDQFQYLTNGIYH >CAK74637 pep:novel supercontig:GCA_000165425.1:CT868196:96977:98545:1 gene:GSPATT00010805001 transcript:CAK74637 MNVIIYQSIKYIQMHIIFQCLVLTLLIITSLSQSCLNSDGKEVDWWFILKMPLNRDDEWT GLEYFYCDSVDECKKFDLQDDDVNDSTSPLMKTLDQVNFSDTSVISMLWSDQPKHKVHHS NHAHSKGIMSASLVGTKNAFIISHSTPRFPKLDDNGKVDTNVENNFPRNGQHFLCFSTTT DAINDDLADMYYQAEILIDQVSSTPKKNTFLGTDKYTALQNLWYSKLPGKGETRHRYSQD ADHSHTVFTTRGNQKFKVFAQNPKYGQDIYSELIVGELMQNLIMETWVRRPKAAGLEKPV CDTYESSSNEKVSYSGKTAGGDTITFEYSYTKDHSKYGITQPKEVASASKGGSFIATDYS HPSLNGQILVSGKHLRKKSLEEEDIQLGQGGGGGGETSSGMEVDTKPTTTKRKAGDDDDD DEDSAPPAKRKAAEKEDKYVCVSDLNRQESQWHRGGLVYCFYNEHLWKHIDTAFIGKDRC >CAK74638 pep:novel supercontig:GCA_000165425.1:CT868196:99149:99565:1 gene:GSPATT00010806001 transcript:CAK74638 MFCCSNVKKKSAQSAQNKLNNHQHSLEQTLNINDEAFTFHTTMQIQHINTIPTQKITQDL QTIRSSRSNSRGTRNNDHVAQSILVKPFRKTPFLTFNKKGTDTTTKYLSTKQGYTLLLTR TTQ >CAK74639 pep:novel supercontig:GCA_000165425.1:CT868196:100344:101449:1 gene:GSPATT00010807001 transcript:CAK74639 MDKYKIGKVLGDGTFGSVYKAVDETTGQIVAIKKMKHKYNKWDECINLPEIKSLLKLHHP NIVKLYEIIKQNDELHFVFEFMERNVYHLMKDRQKPFNEIHIRNIIYQTLQGLAYMHKIG YFHRDLKPENLLESNGTIKIADFGLARDIRSSPPFTDYVSTRWYRAPEVILRSNEYNSPI DIFAIGCIMAELYRLWPLFPGTCDTDQLQKICEIMGTPSEQDWPDGYKLAAKIQHRFPKN IQPKPLSQVITQASDDALDLISQMLRYNPLKRPNASQALAHRYFIVALPILPSLDNIEQQ QDQDYTNFQQDDQQQDQRFGPQNQQNISLFYMKNARYKPGYTCILENAEDN >CAK74640 pep:novel supercontig:GCA_000165425.1:CT868196:101891:103051:-1 gene:GSPATT00010808001 transcript:CAK74640 MIQEITSISYKNLGSIQEKAHTLSELDQPNHSMNKQMILFTSDSTSSLMIIGLSIRDRWA LIKREKNCHQMVIGVILNKRRQICITEIRSTLWLLQIAMESWLRLKDIQQKWKLPLQIMN LTFLVKIMEFKNYMESKLFAVFLLERIVQQKERTISLSNMSREYSQSISSLKCCFSCIIH YTVYNGVGIYFFDLLGSICNNASQLLFAFLFVALSQGWTITKQELNTVQFFPFISMIVVY QSIIMIIIKYFDGSEDKYHNFYGIGGWLLMLSKIGLTFLYSYGIHNLNKQVKQKQFIFLI ALVGILYQIHYPVVVFISEVFVVSYWKNRVITMTSILVSHFCMVFCAFICTTKSTAYFQL KNQSQTII >CAK74641 pep:novel supercontig:GCA_000165425.1:CT868196:103074:105851:-1 gene:GSPATT00010809001 transcript:CAK74641 MENNPYPTSELKIEGQTFKYYNLVQLFGEKNDSLEQAVRNCDGFNVKTEDVERILNWEDT SKKDTEVAFKPARVILQDFTGVPLVVDLAAMRSQAQAMGKDPELINPLCPVDLVIDHSVQ VDFHGNKDAREQNEQTEFERNLERFRFLKWGSSAFKNFEIVPPGSGIVHQVNLEYLARVV FEKDSLLYPDSVVGTDSHTTMINGLGVLGWGVGGIEAEANMLGECTSMVLPQVVGFKLTG QLSAHISATDLVLTCTEMLRKKKVVGKFVEFYGPGVSTLSLADRATVSNMAPEYGATMGF FPVDNKTIDYLKQTGRSEEKCNLITQYLKAAHLFYEESQTTFSDTLELDLSTIQPCVAGP KRPQDRVTLNQLKQEFTQGLTAPVSFKGFNVKAAQDVEFQYQGQKYSLNHGSVVIAAITS CTNTSNPGVMLAAGLVAKKAVQAGLAIRPYIKTSLSPGSQCVTQYYKAAGLDVFLDQLGF HNTGYGCMTCIGNSGPIDQAVSETVSNNDLVVAAVLSGNRNFEGRVHPITRANYLASPPL VVAFALAGRMDIDFESEPIGVVNGQSVFLKDIWPTRDEIKQLEDQVVQPQMFIQTYQQIK QGTKNWNELQVPKDQLYQWDQQSTYIHHPPYFQGLSLELPVINPVTNAYCLAVFGDSITT DHISPAGNISANSPAGRYLKERGVAQKDFNTYGARRGNDEIMVRGTFANVRIKNKMLQGK ECPNTIYVPTGEVVAIYDAAEKYLHSNQQTIVIGGAEYGSGSSRDWAAKGPYLQGVKAVI AISYERIHRSNLAGMGVLPLEFTNGQTAESLGLTGHELFTLNVNKDNIKVNQIVEIVAKR SDDTTFNFNTLLRLDTDVEIEYYKHGGILQYVLRKILK >CAK74642 pep:novel supercontig:GCA_000165425.1:CT868196:106061:107326:-1 gene:GSPATT00010810001 transcript:CAK74642 MRNRFQACSFKNFTNSLSSNIPSNLNKTRSCESNLFGYANKKMLYVTTILPTFQPYQNII SKLKDHNIKMQQRKQIKMRNKRHQIQKKTLIEIIKKQEQKPKKRTNKHHNTAFYNHQASP KAQPNLCSDDTHQNPSRENSKHSIQLHVYNSLSPPKLYIDKRTTKLQTFSQRLKTFYLPI TAPFDSQTILKLERIQNGRAKVIKQQGSRDDYQMMKMYGDDQGKNQETQLPTLHQEDDEE DEQTEHDTLGHLKQLSHNHQKQAYKNIFKFNRRSLQSLIDKQQLGKSRIKEILTTEYSQR AITANLSPQCNCILGYYPIPSMKLLDAKWKRPIQKLLPLNIKPVSQSSFSNMIPLLPVSV ITQSTQSTKNSNEKKKLARKIINAGEKKHKKNITFGQLLIKV >CAK74643 pep:novel supercontig:GCA_000165425.1:CT868196:107440:109200:1 gene:GSPATT00010811001 transcript:CAK74643 MSLAFDDYGRPYIILRDQGSKKRMKGLEAYKSNILAAKAVANTLSSSLGPKGMDKMLVSP DGDVSVTNDGSIIVEKMEIQHPTAKLLVELSQSQDAEIGDGTTGVVVLAGKLLEQALTLL DKGNHPLKISDGFDRACDVAIKHLETISEEIDIQANEHEALIQAACTALGSKVVSKRKRE LGKIAVTAVLDVADLARKDVNLDLIKIQTKTGGSVEDTRLISGILIDKDMSHPQMPKEVK EAKICLLTCPFEPPKPKTKHNINISSAEDYKKLYQQEQQYFVDMVKLVKDSGANIALCQW GFDDEANHLLLQNELPAVRWVSGTDVELIAMATGARIIPRFQEITPEKLGKAGSVKEVQF GTSNERMLVIENCQCTKAVTILIRGGSQMIVDEAKRSIHDAICVIRNLIKDNRIVYGGGS AELACAIQVLQYADEVSSVEQYAIRSFADALEGIPCCLADNCGLNPITSVAQAKSRQLQE NNPRIGIDCMELGTTDMKEQRIYETLSSKKQQIQLATQVVKMILKIDDVIAPDDY >CAK74644 pep:novel supercontig:GCA_000165425.1:CT868196:109214:109772:-1 gene:GSPATT00010812001 transcript:CAK74644 MGSFKNTMIVFFMLMTCGLGQQIRAITAYQNCDQKWHSEQINGDSQKTICQNGSLVSCIS MILQTSGKTINNRAVNPAILNKYLTNNNGYKQGSEINFSVLDKVGLHIVKTVSDLRTAIE YYNNKYYIVLNINYGKNYGVLIGYNEKDAIYLINNPINPSETKVAAKDITVALIFKPL >CAK74645 pep:novel supercontig:GCA_000165425.1:CT868196:109835:114391:-1 gene:GSPATT00010813001 transcript:CAK74645 MTHYTIHDEFSEQILQIFIAILTFIFIICFQITLQIVCLKSFDLKLTSFNQIYVSFLDYV LQLLYIIQFISYSIVNNLKNALIIQSILIIIISAIKILRVFELHTCKSVEQQIILVFSCF GLQLGFNTLILYLLDEYERFINVLTLILFLLFYQVINQEFKSFETTQLLKFVKQNKISFI KYLFGKIINLQFNKTQYYLINSLIYQHYGYMNYNGGNTREHIFETLDPDVELKFNSKQLS ILSKLIQEDKNFDIQYVSILFQLKFYNLAYKQIMIANSQSQGKRLNYSSDIYIKDSEVSR MQTFNNKAKESIRSMSKSGKSNSEDLNNIIQKKILKKNKLLISIKDKIPMITQAQLNYLK QMIRQDIKLHMLMVNNKKYKFTEIQLGVEMFLKNEKRNNNLRYSILQLLQEKVQFYTQFV NKKILNHQKLFESAKKISGQMHKIEQKLNRRYDQYPSHKIQSMLIYFQAELQNNFIEAYR IKNLTSISEQQLIDIEQNLQISLFSKEVVYMSAAQLEDQGEILVLNCSENTQKFFDFSNE QQKEFTTISYILPDFILNEHNILVNNFIQTGEAKYYLSYSQSFYKSANNFVKCCDLLFDI HFDEYYLFRYSIFLQNTATTQSYIFVDVNEQLGGFTETVFEKLKYSNTFIDEFSDFNLKI LSIDFIFPEFPMIIKHKKNSISTELRFLKQDVFSQYLQQSDHKISLQGLWNDSKNLNLYM VDLRIQFHNIWDFNYYIIELGDCRPQNQLNMISLPVFKSQNDIENMFQLSQLTESEAPAL NAKEFQKKLNKFNIIEQIQQEEILLASKMPAVYIQHIPQSDFEPNILSPNSSQIGLNEIS YSKQPQLQQQDYFNQSIRDNSYSMQKSIQQIQHQNILPIDQKEDFYEQQYKKNTTLTSKQ TGIQRSMFYKKYELVHDSLFSQTPPSMNKLLLLILVSLLICLIFYIIVLALVSQDLTRFI AEIDMIQLHSGVMVPHDFYFQMRFAIFTYTGFLNKKVITKDRFNNLTDLFYNNMHIGYNE FKNGFTEQLNNQYLQPFYNDKNVTVYYMYEFGLNTYPVTYNVREVFFTFLTYYYEFLLRF QARLTPANQTYQVFQFANAFKLHNLLEDLAQDAWAYSKQRSIVIKDKWNQIWMIFLIFSF LPVGLAIYYMKLYRQLQDKYLNLFRYFSNIKVQREIEKTKTLIKQLKQNPDRLYHYKFEV EQFEEQIVYEKGIIEKEQQKYKESKYLPQFKQLSITYSVIILLGVWMLFFLFSFFSNQQV QQYLDKYPNSCDLYKFLQNMSLSTGSLPRNREFKLTFPSLPYIREEDNLLFYETIRDSLN DISSFLKLCLNFDPDLYYSSEGFSDYFNTVQEQNVCDVLGDEQVGFLSYYCDISFNGNLK LGLLPTIKYLYNEILQEQSINNFTQRVEYIFFDMEGGLIITRAFQYMSKIFKNGMVTITQ EQINISNVEYITLLQALSICYIILNILLIVYFSGILPIIMIKELNQVRRFVLIVPRTVLL LDDQFERHARMIGVNEKY >CAK74646 pep:novel supercontig:GCA_000165425.1:CT868196:115346:116722:-1 gene:GSPATT00010814001 transcript:CAK74646 MDSIRERLLQLDQQAQQFQQQNKYLEALNVFEEMLMIKKSAYGEDSEEYFKTSDKLCELC NLIAMIFLQKEKFDASLEFLKKADLLAQSSTRYKAITYNNLACFYRRNGKLRSALQYLQQ ALEIEIRQEAAPSLADTHLNMCAVLSQLNRVRNVIQQHAEALEHALISVVLLQDEFLMKP PDQKMEQSQNQDQKSGEQKMKDRVAVLAIAYHNLGVEFEYLKRFDEAIQTYQKAVKFGEL HLPSDHQLIGNLKNVLGNAQEQINQQRAKDSNKRQQKELQSRNQNKRKLVEVEATYKQNN KKKTEKGGQQQQQQVMGQTMRSNPQNNSVNIKEDDQEQQGSDQQESSYNEHDELKQEAQI QLQQQLSYCLYIHFHIYIIIYCYFQVKQQQQSEQYIIKLKTEIDDIKFLINKAINQYYKS LIVRKSKLICLIRVVIKV >CAK74647 pep:novel supercontig:GCA_000165425.1:CT868196:117224:121424:-1 gene:GSPATT00010815001 transcript:CAK74647 MLKIDAKINIKLENLAFSKQQTDLFAITQILVKKQNNYFQPLIYKLYIYNYHQKRCRIAK CICNQQINYIDSRDAAALTYEIDKDFVNQKLKQVRRYIFSQNFASNQLQYYIILYGALLA NNGWPIQSIKHFNQLMYGKLNQKTSTKSLNQSLQNLYSFSATLRNDFQSSTQIDPDKQLE NKQRNKKISSQQQVIKYQIKLEFVQLSKIMYLSNEIKQNLRYMFGTSQLTPEMHYLSEKI QNFMQQEMKLDEYIKEIKKIVNIKLKYFENLVLQIKEMKISDLMKSLMDLTCRMIVLKSG LQSQYEITKSKRIKSLQIFYEAEVFQNYLEAYKMHSQSTLSEDQITIKNKDLKINFHSND MSYIIIQIDDDLQTAELIKYSSNLLQMIDFHQNEELIFEKLLLPFILREHPLLISRFFKI GYSKYFKRFSQTFIKTGKNMAKVVEFSFDNVISSNQDKIILVGLLQEIVIEQAYILVDVN QVVGGITNAFFQMLGYNSQQIDSISDFSIFYQLKISQIIPSFNDFIENEDQLPIIKFNNI DTYFIDLEVLLNEFQNENCATLQQQSLVLNRLLGKQIYTKYFLSNITIQVYKLYGYYYYI IQIEQSFQRLEYMNSCKTQERFTDDKKKTELIPNLQISQINVSLDEAQPQLINLISVGSR DGYIEKNQTNNNNTDLDGVQELQKDYVEQNLEQLGMILSPNKSCSELISHQKDKLLQQTS QQDYYTLHTNLKQNQYSQSNEANDCSLKGNQLIDQLFPSVQKSHEFGIGNSEVIKKYELL GRLTNEKTPQILKLSISTIVIFILIQTIGLSLVISILHNDILTFISDIEIIALHASIQGP HDLFFSMRNTISAYQQMGREGFIPNSIVPNLTAPYEKNLGYGYYELRDSFYKQLNNEYLR GFLDGQSMQLFFMKNNDTQNYVFEIKTFRECLFIILQYQYAQMRVLQNKLSSSGQPFQVF LFSNYYNIQDKLENITSDILSFSKTRSRQVGKKWTSIGVCFSIITLMIAFTILVQFHQYY KLYDRFLQLLNFVEKQKVQMEIDKLNQIQKLMTSNQEFIYSYQFDLQRQEQLMNNYESLN KISNAKKNVESNSKIKSSRTNFLIIWIFIACIFQVYIIAILVKTNDYISKYEDTADFYFM IQNLKFRSGSLYMYREHLFRFKNFTYLTAYDLDRAYLLIDKAQINIQQYLDFTSSFQANK YLLSDQFISFFEYQQTHDLCEFVDQIYLNFMSLYCEKSFDGLLKTGSISVLNFMSSQIKS QQAVNNFTKRAEVNLYELEGSQIVIRSFFRISDEFQVGLKQITTEQNEFNLIITVIFICY LIIFLYILLFIAKQRLIKQYAQLRNIVYLMPQQIILGDESYKRFLKQLALTQQLTLIHIH TLFNILSLARA >CAK74648 pep:novel supercontig:GCA_000165425.1:CT868196:122832:124802:-1 gene:GSPATT00010816001 transcript:CAK74648 MLKIDAKINIKLENLAFSKQQTDLFAITQILVKKQNNYFQPLIYKLYIYNYHQKRCRIAK CICNQQINYIDSRDAAALTYEIDKDFVNQKLKQVRRYIFSQNFATLLANNGWPIQSIKHF NQLMYGKLNQKTSTKSLNQSLQNLYSFSATLRNDFQSSTQIDPDKQLENKQRNKKISSQQ QVIKYQIKLEFVQLSKIMYLSNEIKQNLRYMFGTSQLTPEMHYLSEKIQNFMQQEMKLDE YIKEIKKIVNIKLKYFENLVLQIKEMKISDLMKSLMDLTCRMIVLKSGLQSQYEITKSKR IKSLQIFYEAEVFQNYLEAYKMHSQSTLSEDQITIKNKDLKINFHSNDMSYIIIQIDDDL QTAELIKYSSNLLQMIDFHQNEELIFEKLLLPFILREHPLLISRSFKIGYSKYLKRFSQT FIKTGKNMAKVVEFSFDNVISSNQDKIILVGLLQEIVIEQAYILVDVNQVVGGITNAFFQ MLGYNSQQIDSISDFSIFYQLKISQIIPSFNDFIENEDQLPIIKLNNIDTYFIDLEVLXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLFGFFKNQFFE FLSILYFLFSSLGQYIQIFNQELQVSTYNCKLKNYFLQFSSSVS >CAK74649 pep:novel supercontig:GCA_000165425.1:CT868196:126821:131624:-1 gene:GSPATT00010817001 transcript:CAK74649 MYALSIYTILGIITIKYLSYILLSFDDKFQQNKLPFLIKSIGLYGQLFYTVFHMLFSTLS CLFIYQQYKQVEISNLNYIHILFGILCFVILQLEAIYHLQITEQSIDGKIICFDRLRVTV KEYIIQFLNLIIIILFSVVEKSQIVSWIIHLLVLLSSSLNAINLQQNQIVIENSKKFIIY FSDSFTMVYVGYSLIQLILQSQSFQVIIYTIFSFPLLLQILIKVDQHLNYIIFHQAFERT KIQLFAITQLLIKKQNNSKFPAILKLFIYNHHKKKCNDIQCYCHHNKLLIDPKDTATITS EIDKGFIQKKLKQIRKFIMNYKFDNLDDLQYYTVLYGALLSNNGWPIQSIRHFNQLIYGR KNWRSSQQSINQSILKPNSFSVTQKNDSSSQTINEPEKKFQEIQMNDQKRFQKNTLTQMV KFDFIQIQKIQFFLTETKYQLKNLFGGHQLTAEQHSLSEQIQAFMKQELKMDQHVNEIKK ILKLKIKYFENLNQQIKEKKISQIMKGLSLITNKLMIFKNVLKLEYDNYQSKRLLSLQIF YEAEIFRNILGAFKLYNQASLSEDSIAILNQNMNVKFYSNKISYIILEIDDDLQGAEIIK YSTNLRQMIECSPNDEINFYSLFLPFISREHPLLISKFFKIGQSKFYKQFNQSFIKTKKD LAKSIIFSFDNVVQLEQEKITIIGLLQEIDIQNPFIMVDVNQVVGGITKSLLSNLGYNQV FIDNITSFLVFYQIKITQIFSDFNEFVQNENQQSVLKFSNIDTCFIDLEYFFNELQNENF DSIHQEAQFLLKLWDDQHVKSYYSSITIYVYEIYGYNYYVIQIDSLVQTQKFTKTQDKSS ESIKNHEQSQSFEISQLNLSIEEAMPRLINQFTIRNRETYSQNMQKNSQIINLFAVKEVD QEEVYLKMDQLQIMLSPNKSTSQLIEKQQNKLLRLSSQQDYYTINTYQKLNKHQNSMDHD GFNLRADQKQIENLLKNSLQLTDVGGNSEIIKKYELLDSLTKLKIPKILKIALATFILFV LIQTICLFLVVSILHNDIQQFISDIEIIALHASIQGPHDLFFSMRNTITSYQQMAREGFI PTSKVTNLTEPYYLNIQFGYYELRDSFYHQLDNDYLKMFLDEEEMTLLFMRNNDTENYAM EPKTFRECLLIILQYQFAQMRTFQKRQSTAGQPYQVFLFSNYYNIQDKLEGITNDILQYS KNRSRNVGIKWQIICLFFALIIIINSIFLMSEFHQYYKLYDRFLQLLNFVDKQKVQLEID KLNQLQKLITANQEFIYSYQFDLQRQEQMMNNYVALNKIQNAKKIVENDASIKFARRNIL VFLTFVACIFSVYIIVIQIKTNEYISKYDDTADFYFMIQNLKFRSGSMYMYREHLLRWKN FTYLTAYDLDRAYLLIDKAQTNIQQYLVFTSSFQADKYLLSDQFISFFEYQQTHDLCEFV DQIYLNFMSLYCEKSFDGLLKTGQISVLNFMSSQIKSQQAVNNFTKRAEVNLYELEGSQI VIRSFFRISDEFQVGLKQITTEQNEFTLIITVIFFCYLIIFLLVVVFILKNLLVKEYAML RRIVYLVPQQIVLGDESFQRFLKQLALNQELK >CAK74650 pep:novel supercontig:GCA_000165425.1:CT868196:132831:133925:-1 gene:GSPATT00010818001 transcript:CAK74650 MKSDKEILHTLRRFKEAKIELKKYLSWIEQIKLEMVLAAQSEKQINYYRNQIQGFDTNKL KNGNYPTSESLTEEVQIKCNQMTFQSQQQELNQDNVREFINKYEKMLVQQDQQQQQTTQN SGQTLLIRDSKQQFGTSTIEEMFKLSQSQQDSQQQHQYSYDTSNFGNDQIGKRRQEENLF NNVQNFSKEYEQEQSLQSSKCFKDYMMNQERVDINYNQNKEPQKKSFEQFYQEHVEGEIN NIQMEIEEMQRYYGIIKSRFLQRVQTAKDKLTNSFKDLQQIPVINRQSSENSIQKVTEDL KLIDIIKQNMIKQMENNIDQDKIRKLRNMKHQIQLYFNNVEDELLKINEEASVVL >CAK74651 pep:novel supercontig:GCA_000165425.1:CT868196:133950:134966:1 gene:GSPATT00010819001 transcript:CAK74651 MDAVMNGAIAGATTVLITNPFDLAKTRLQAQGELVAEGHYKRAYSGVINTLIKTSQSEGI FGVQKGLQAAIVFQIIMNGLRFGSYEIVKDIANEQFHLYNSHGYVKVLSNIGISAGVGCF SAFVSSPFNMIKTRLMLQTKDLPCGNRYDYSGLIDAISKIFKQEGLKGLYAGSSIMAFRT GLGSAIQLPVFDFTKEHGKKWITNQTELNMVASANATLWLCLMTCPVEVALTRYFNQQFD KFGNPTVYQGCFHAIKIIFTSEGFLGLYKGLGGLFFRSGPQSFISLLIVNQLNSLRNQKK KQ >CAK74652 pep:novel supercontig:GCA_000165425.1:CT868196:134976:136129:-1 gene:GSPATT00010820001 transcript:CAK74652 MEEGIKKYGKSFLPYNSLIHRQQNLKQDVSFDPVQSYSVEPKVPGSQKDAIQLITGDIPT IQKIMQAQSQQNQRVVSKLSLDGGIEQFKDRQKYIELLITNSLDSKLLQRPLLLVPAQQQ YLNQLGALISQSPNGSFCPFLNLNQNHKQQLHQNLEQQQNTSGICNNTQQSNQLCKQSII LKPKAIKQDLHFKKEEIKFLNLEESCQSEVIRQEESSWNELPQPSKMKKYYNKHSHVDSS ESFKIIRKKKEKKINDTKNITKNFSKAIISYIMNNPDLLKSFFSNKQYDDFLNLLKNKKN QMTNIKQLRDLWVDGGKFSEFNKVFRIISQYFLKNQSVAYVYNSRISNTIWHLKYRQNLL RALKEPENFRFIKDL >CAK74653 pep:novel supercontig:GCA_000165425.1:CT868196:136411:141513:-1 gene:GSPATT00010821001 transcript:CAK74653 MITKESQTKSINIKMIFYERILQLYYFSSCKEYQQYIVIILGEIQYLTFLWVPNIKSHQI GFYDKSINFQAFAIYFTRIDQLTYFQFGKSQTFYLIALMTQLLLFVMPIVLLITIKHKQQ VFQYTLLKLFFALSSIYCQIFIITMFVPFSIFCVDSLLINIDTPNAANYTNIFFICLTFG LLFLFGIILSILCKETIDLKKDRFQKLNQTFLDYVQLILFTLQIIVYGVIAESYNAQILQ CILIITISLIKILQIFQFQYTNNTIINLILIISSFGLTQSILYLIYNINESNFSQNANSI ILLLFLVVSKVLIEIYTYQEKVLCNNIMSIKQQLPLKYFFTKLINEHFNQTQKRILNAVI TNGLVKQQEQVEELAHFSNYDTNFLSPQSMEQFVTKKMKQYAKVIQKNKGYDLHYIALLY KYGLTNLALNQINNLLNSFLNQSKSKGFLIDSENYSKGQDSSSRQMHHQTISSKSIKSNS DDVNDIARKNNQQRSKHQLSQIETSRALLLKNQIKAEIQVRFFENNKMKTQINDVQLGVE LFLKNEKRNQNLKNTLLMLINEKIKFLSQFTNKKILDSDKIFNLAKDISNLQLKVENKLF QRYQSFPSRKIQSILTFYQAEILNNFIEAFKYKALTSMPDEQLINMDSNIRSTFFTKKVV YLNITLEDNQTLSIQRRSENALRFFQIHSDDTKQFSNVEYILPIGIQNEHSLLVQRFIQT SKSKFYLNSNQTFFRYQNILMKTCQFIFDIHFDSVSHYKFSAFFSESVNQSAYILVDINH LVGGLTESFFEKMGFNEKTINQINSVEDFYLPIDLLIPSFKKLIDSNIQSAITELRFVKE AFFNRDEYDRKQKRQQSMTSTNWSQLEKLYIFDAELIIQYFEIYGYYYYIIEVKDLKQQF NSKKSIGQSSRNKALEGLEHFFELSDLSENEAVANSPKAFRAVNSVKLNDEMIRSGDEIE INKIQNAQPIQILQPDYISFQEPNILSPNVSNTPWQDSSQIPLQFNNQQSIHQQDYFNKQ KDISISVNDSKIVNNQDEFDDDNNRRASRKNVEQNILKRKKIMQGEEESSSNNVLKGIKK SQFYKKYEMILQLVEPIYPNTLKLFILFQELTYFISLTYFLIILVGVQNDLNRFIGEIDM IQLHAGVMNPHDLYLQMRQTIFTYQGFLGQGKLTQEQYNQLSNPLYENIAIGYYEFKDGF IYWLSNDYLTPFFKDKNITAYYMLYDATKTYGIVQNIREALMATLSYYYQFKLRFESRLS PANQTYQIYQFANVYNFHFWLEDLTLEVLAYSKNRSVETSNKWNLIWIIYLLIGVCPLLS SIYYYRIYRLKFDKYTNLFRYCSSYKMDLEIEKLKSIIKIMNKNTESLYNYQFSIDNKED NILKEKQKSDHDQLKAKDIKVQQQLKNLSFFSGFFLIIFGWLVFLALSIVANIQIADYLD KYTATADTYKLLQDMTLYAGTLFRNRDFGLNFPNLPYLRDFDREKFYVTMYTGLDTISTF LEFSYTFDSTKYQVSQDFLDFFLQIQQNDVCTTIGDDTLGFLTQYCDKSLQGSLNQGLTQ TLKFIYSTITVQMEMNNFTKRIEYNWYENEGGLIVMRATQIMSKQFKAGMVNVTNQQITI SNSISIIYMIYSILLMLYFVFYLLPKLQKELLLVRRFILLIPTSVLFLDDQFDRHVRIII AGQEY >CAK74654 pep:novel supercontig:GCA_000165425.1:CT868196:141689:141988:-1 gene:GSPATT00010822001 transcript:CAK74654 MQQQYTQGLIQIDKHLVELHNISKNDDQILESFQQTSQQLSDILKQIKTLMYVNKINADL TKLKQNNTETDRVPERQAKSPAPSLQDKIQGIMNKRMKK >CAK74655 pep:novel supercontig:GCA_000165425.1:CT868196:142030:143377:1 gene:GSPATT00010823001 transcript:CAK74655 MIRTNSTQKTKQVVLSNGQVQSLSPFNSAIRLSSHYQEAPSTPQRQIVSQNALRVIVNNH QAEVRQSNAFTPNGKQQQAGKTYQENRSVIVQDNTRMQQLINDNMYLSQALEQTKNELKQ YQQSTHESQQLDFIKVKIESLEKVIDDQALEIEQWKQRYQKVCTQDPQDSMLQMESQIML VIQENERLNILVKNIQDSTDKKDQLISQQDQEIKKLKEKIKVSDKTIQSQKEEIKQWHDN FAEFEKNSKQQVSHESELLKSKMKIQELEFQLHKKKVSENNNGQKLSQRKFDENPELELK LRQLLEENNKLTLLIESLQTENTKLKSTKQSRSTSCFQSNSQLSQGVLEQIKQELFNQQL FSTEEFKKLKVIYQQNENLRNQLDNANEQISILKKTLQYYEDEQNVPSDQLFDEFLQSQS HCLNMIQQLQQ >CAK74656 pep:novel supercontig:GCA_000165425.1:CT868196:143466:143804:1 gene:GSPATT00010824001 transcript:CAK74656 MNFKEERILEYLNNLQLQITDPTQKQQDYYESKKHKIINLDHKHCDSDPSSPFSLREIHS PVSLFHAYSVQSCDINSHHNYNHHNNQQIHHAHHSFRLHLNIHSGTEKKVEI >CAK74657 pep:novel supercontig:GCA_000165425.1:CT868196:144476:144859:1 gene:GSPATT00010825001 transcript:CAK74657 MFKSYYINVNLFAKQIVKLGQNDTTLPIISERLRNTSKVIALLKLMYPNEIPEKFEMIGE KIYEVIVTIEQNFTIFKILIQWCEQNQIKMKDSKRGKNSQLDINLINSIRAYSEYINNEL GIYFKPK >CAK74658 pep:novel supercontig:GCA_000165425.1:CT868196:144913:146033:-1 gene:GSPATT00010826001 transcript:CAK74658 MNYNNTIHRRAQSNNDFQQVICARIAGLVEDEYQQQKIDPKRINLGSTLFQIPEQNDLIS SVEQTTESSKQHSQMIKDLNMSNVKPTQQQDKENFKNLSSCSTLINQIQQIVKSGSNTKE LQSKKPFNSTNEATYKKSSTQSQMSFTKVLQKNKDQIICNTNKAKHLNKMLLLTEKEVLL IVTNQPIKNNPMILPQMTYQLFYFLFLIILSGKTQNKKNSNSDTCDSYRNMEVKMKKIEK EISTIKLRQDQLDDANRNIQDQLKEFIYENKQQQEYGSKQLKKIEQLEQITKRNEESICY LKNFLVHNNQNNKRNDQNNMNFGNIQQSDITDLQKKFADFRPINHKVY >CAK74659 pep:novel supercontig:GCA_000165425.1:CT868196:146335:146754:-1 gene:GSPATT00010827001 transcript:CAK74659 MFIVPPKLQIQGNDSEETKEGCQCQKTKCLKLYCQCFHDGKCCGQSCGCNGCKNSLNDYL ERNKAIGKIIHKYKDDYKQKLSGDKGQGCCCKKSKCKLNYCECFIKGRSCGEQCHCKKCE NGKKQNKQNCINKEERIQN >CAK74660 pep:novel supercontig:GCA_000165425.1:CT868196:146828:147442:1 gene:GSPATT00010828001 transcript:CAK74660 MTSYVPVYNSDEGSTNQPKIIKQLDIYDKLEQWGEWLWLKFQALLWISAAVSIIYYSNFF KQLFHNENINELFFTQALLFIGMSISLSLYVAFYLPFIKGVTENIEKYNPKAIQLGAFAG FMSFITLTIAIWPIFGWWSFPMLIAMFLGFINTSHFLPNHQVSGLLFGAIFIGAFFSHYY IDHDGFMH >CAK74661 pep:novel supercontig:GCA_000165425.1:CT868196:147469:148940:-1 gene:GSPATT00010829001 transcript:CAK74661 MSSSQQAFQKQFGKWTKTIMSHHIQRVQQTLFRSQQQILRVDKLYQLTQQFHQANLSKPY PCLNDQYFMDQYAYSASFCYLVYSNDDQGEMYQKLKDLCSILSETVLMLDQDFDIMFAST EDVHFFTVWPGSYLGFDYNPQKRIWYTNHLEQSSKNNKSRTYFCEPHIHWTWKLLMIAQT TSLLDIDGSLDGVIASHVNFTQFKYQDSEVSFTIIYPDGRILLSELNISETSYIYDYNLT HLDYQDYQQIINQANKRDTISNCDSKVWNDFGYLCRKIHNSTEEELINAKIMENEGLVLI IQSKLSQYQKQFKQMFDTFQSGMSSIFLGTILGFFGYMFSSALITSIIIIVLFNPIIKII NQTSQYVFKESVQMQYKLQKKPQLKFFKKSTTNTLIEQLQTSFNKLIHNSLHHHKSSLCL WIEQFRYPIKRWRKKKSVRKIMKKHSQLQDNLQNQEITDEQITFVQQLIKSHQSDQSSTQ M >CAK74662 pep:novel supercontig:GCA_000165425.1:CT868196:149290:151339:-1 gene:GSPATT00010830001 transcript:CAK74662 MNLPFLHLNSIRQSLFLRYSEECYQAFQKTYQTSQVPQLQTIPEDEKEFQILNRDTGLAI DCRKLEEYKFPIADSKDVAWKNYWKHSREISEHLVLLVQQNENNKVYELLAHPQFYIDLN IRDLDDWTPLHFACLQSNVEIVQLLLHQQANPKLLSLDGKSPLHVAAMKNHLTICDLLIN FGADLDAQDSDQNTPLHIASLHGNDQVCTILLEKKANHDIKNYQHLTPIEMTSDIRIIEI FNKYGISLNNFTYTRTVVKEQNLVLQNSRRDHVQKFLKLAQQQQKKNIENEIKQEQINNE QQNQSLTNLDNNQNRTTWGKIMDFAVSFSRVSIKGNGQTTNSEHEKNKIGPGSFQFYQKL GEGGFGQVYMVDKIGQEPKKYYAMKILKKEDIDTSNIINSAQIEKDVLKVMNHPFIVKLN YAFQTLDHLYLVMDLCPGGDLATHLELVNNFPEDIVKIYAAEITLALEALHKQGIIFRDL KPENVVLDIDGHAQLTDFGLSKQGIDEEMINQSFCGTLAYLAPEMLMKKGHGRQVDWYML GIFIYELLVGAPPYYDAEKEILKENIKRAPLRLPRHLSPEVKDLIIQLLIRDPKRRLGCK EDAKEIKSHPWFNDLNWLDCYNKKLKPPKPLIFHEPKDARKVTFSKTSTRNKLNDWTFCE N >CAK74663 pep:novel supercontig:GCA_000165425.1:CT868196:151570:155401:-1 gene:GSPATT00010831001 transcript:CAK74663 MSSLLHLKLDGIRSYCHVGQVKEDSRRAAQQSQSIYFKQPLTLIWGHNGSGKTTIIEALR TITTGMQPPNSEKGRSFLTDSHLLGQSKTDACIELSFKSINNREIVARRHFSIVYDSPNS CKFSSLRSTLQTRSLETDKLETLHSTCANIEKQIPCFLGVSVPILNNVLLCHQEEQLWMF SDNSTLKGIFDELFETTDLVNIEVRLREQLKEAESKAKVLMEKVEFSKRDMDDGQRQRTN LQNQLEEKIQEIKVIQDINSQMNQIQISNINFNIIQQRMSECADLIACRNSIQENKLQLQ LDHIDLNQLSQQDFVISSLKQLEIELKSSQDTLKSTEINVENKKIELQELQVDTSIETLK QEVKLIRDSIRSLFMFSNNISDENLLKQVKEKISQNKLEQQSEQQTQQETQSVFQTRISN LNERKQKCLIEVRINENQRNEQLKLKEQMNKDIKNKELLLQQLTFQKRQAEQQEVQIKEK LKLKQEFEQINQDLIECYDITQKNSQINSYQEIVKKISELEENISSKSTQIDLLIRENGW RAMIKLNGSKLERDISISRCEESIKQKMQSIKTQMAKDQAQIKILNDQKEELKNQLSINK AIKYDPFELENVKRQLREVKENKIKYIFNQTEFIDQYITSSKQSGKCLLCLKPTDQSCFE LLKHRVQQNLPKTKSKINEFELQINSLKAEKEKIKQGIQNNQHLEKIRQLDSQIAEIQAT QRDSVANDSLLQLEKNLQDITVLRQLLGNEEETQKEQLLIQKIQLEKENSFLKGSLKLSN NPHNQSQLQKAKMDIQNKLKQYEGLQDNYENPKQLQDSMLRIQTELNQLRQQNQKIVIQD FDDKSYEISQIESQLNQLELEQKLQYDQYMESILNKKQLLNNLEAIQPQFEQKVLKKQDL MNKSKNNYKYADYIQKLQMEIQAEADKMKQLELDINQKQQKLKQYQELQDYIQKLQKWQD LDQAEEKLNEQIEILQQEIQKLQDEYQSQQLNISKKDKFRLEYDLRRSKLEQSFQSALEL KKDINRRYDGSEQKRLEMLCEYVATQQFISDLKKYLQILEATMLECHGQKMKEINKYLLD TWQKIYNGQDIKFIEVKFDEIPNQKKISKKYNYRLVMRTMNNTEIDMKGRCSMGQKMLAS IVFRMALAECFGSNCCFLALDEPTSNLDRKHIKTLAEQLNSLIELMKQHEQQIQLIIITH DMDLVKLLKRHSESYYMISKKENGFSGIEERKIEELK >CAK74664 pep:novel supercontig:GCA_000165425.1:CT868196:155434:156122:1 gene:GSPATT00010832001 transcript:CAK74664 MKKQILQSGEKVITIDDTINSKQPTPVQPVVQQLSAYQIFMKDKKEEGRFSLQVLSQMWR DLPQDYKEQQYILNIQEILRKGQTIERVGLTKLIAPSLKYVSSINKLSAFFPLSRLKTIL KEDDQKPQFKPEAYQYMLKAIEEFGELLLNKVKDQIKQDQRKTIKEDDLITVIKENQELQ FLNCLAIHHQKKKTSQTQNQQGKSKTLGALDKFLENNNGI >CAK74665 pep:novel supercontig:GCA_000165425.1:CT868196:156570:158264:-1 gene:GSPATT00010833001 transcript:CAK74665 MNNHSNQFEILPKLITNQQKLLDPNHIRNTQQRHSQNESKILPHLERRSVIQGNSKQMVV QRFMYSFDYNTRNFGTTCDRGFQGLSSYHLSQKFGHIGTRLQPVERNIVADLTDGEDVEE VKKDERLFYKKIYKYNQMPVVALIKSFEIDWIKEKEDQVNRKLSQSLILNFYTTLQILNT HQDYIEFFIKNLQLLELNTIALKHKGLNQLKTKVNKFSNDEFPAPFTIVSNQTGQGYFKI HLPKIEIYFQEYQYVQTISLSPQRLHELVNNNFFQVAEIVSEINVDFTLLKYKLFKQTEN QVKVEQKIEQKVQPQQQISEQIQVPSKIESQQKVLVTKPTIPDLAIIINEKKSEFPQKIL NKRMIKCVAQKDAKTYYCVDFLPIQFIIKEKVSQKTVKLYSPSFQEFNEFLTQLGSKHVK QVVDEYLITTFDLKPEDFDYKEFKKGTQISFNFPTFSEQNLEITEEHLNNGLLSVFIKEI EINNKDLQIIMEPCSFGIYNQLTCTTERRNCTSKELNNILQKKYQVQFQLI >CAK74666 pep:novel supercontig:GCA_000165425.1:CT868196:158706:159576:-1 gene:GSPATT00010834001 transcript:CAK74666 MLINKEIYLIQWIIIVLTLTLLLLFDNLLFQILIIGSLLSLTWKISPKVYPQLGIEISKI NATCVVFGFLVYIAWVIKENYVGFSIFLLNQVLFHMGEMLHVAFYKFNLLKWGSYLINHS FGYNLAITFSISEYFAESFFFQKDYSLFILIGVLMTLVGHSFRIGAFISAKQSFHHQVQS KKASDHILITTGVYKISRHPSYFGFFIYSLGQQVVLQNPIAFIAYIPVLYKFFISRIYLE EYYLHQFFGYAYQEYSANTPILIPFIEYFLRF >CAK74667 pep:novel supercontig:GCA_000165425.1:CT868196:160220:160969:-1 gene:GSPATT00010835001 transcript:CAK74667 MLHQNSSDKHKKQKYILNSPKLSAGMFSNQQSVISKLISSKIKGNQQQQNISRTTCEFRA ATPISPPKSQSFSNKFNYNSLSQLSRVNSNCNLDNIQQDQNKQDETNAELGDVSIRLRNN EDDVINKVQKNLKLIGEQINKKKCSQINSFLLLSWQKQLNQNCEKLIKALSLQLNSSQNF YKPSFHYESVIQLQRQLNEEKKNRLLVEEQTSKIIQSQEQQIKQYVYILQYLMQIITIQM LEQELNHKE >CAK74668 pep:novel supercontig:GCA_000165425.1:CT868196:161412:162492:1 gene:GSPATT00010836001 transcript:CAK74668 MLFVILCLISTLKAQDEDEIEYDVVETLQSACRCSQILSEVDCKQFCFWVADSDGKTGKC RDRLCSDYTDKSSCNGDEKNLCYFNQESNQCKNLRGDCNSFDTQEQCEAKQNCLWQNQPD DEGVQCQVQEQPEEEIPVICNDINQQEVCDQSTESNSGYQCAWLLNPVEEVSTQTASSND STTRLLKVDNTGYCTRFSIKKCTDVNNIDADDSIKEMLCNRFPETCRYTIQTSNGITVTG TNFNLVSYSCEQRTCTDYGTKELCENNYIVPDFEDYLHLCSWTSDSCIEGLVIEKFSPLN SPDNCYKEETRYQLTWNQEINKCTKCPGEGYILSLLFSLYVFAL >CAK74669 pep:novel supercontig:GCA_000165425.1:CT868196:162491:165077:-1 gene:GSPATT00010837001 transcript:CAK74669 MPNQLMVLIAFIEAFKLLRKQQYEKAIELIDRILLRSPNMAEAQYLKGLAHLGQNNLQQA LYFCQMATQLDKQHSKALAEIGNIYILNKKYQEALNVFNKLVEMNEKSFEGYFGMAFVLT RLNNFEKAQCYYETALELKQNDKGVLLNYGHLKVKQQKYDQALELYQRALKQDPGYLEAI QSICNLFMIQKNYENLHEFCDQQLEQQIPQIQIAILNCKSQAYFSQKLFERSINLCNEVL TLDSKNLEGLFGIAMSLFNLNQLNQSLEYFQKIHTQYPKEIKSFKMIIKIQSTLQQYSQL REYCDQLLTIDPTDYSTYFFRGLAFMQQQNYQAALDDFNKVIVHDQSNFMAIKHKGFCLS MLKLYNQAIQCYDQIIKYILEPKQKSMLLFEKGFCHLKLRQNLSAKQNFEEAMEIDFNNQ ELKLKIANTSRDNKNYEYANKLYNQLITENGNIPLYYIEKAQMCEQTKDYVNAKSVYDEL IALNNQNFEFYLKRSKIRIQLKQYTEAIQDLYQGLKLDNQQSELYFELGQLLYFQQDFKE AAEMLQKACTLNDNIEKYHIRYSIALQMQQADDEAIQHLKCVIKKHPDFEDCIDVLESLQ QKSCYTKEYIQVFSYILASVLQEGILINNLSKEEIKEQLRNQMEKLLKATYPKNFNIFEM LFELMSNQENLMIIHNKDDLKQVLNNLNKNNFGSIEQCAEYIIEVSKRMASIWTSNSKKV SGLINKEFQREAMKLRLEYPFSKFQHKIVCAAIRDCLGLLFIIIVNSIWQRIQFQKYDRE ITCRWLFNEP >CAK74670 pep:novel supercontig:GCA_000165425.1:CT868196:166551:167967:-1 gene:GSPATT00010838001 transcript:CAK74670 MWFILQMLQFQIAFSLETIIEISENAKFWSDVQLSSPNNTYLLNIANTAKFPYYAINVEL HKQDDTFSLHYGFGKPPDFSLSLSEISEDKGFDIYGYLENRFTHFILLSSEDFQSRQVYI STSSEWTQNYNISVTATNTKMCPNNCNNQGSCQDGKCLCNKYYIGNDCHQKATYILQNKE IILNLENTAKYAYVDLEESENESMSLIVQTNSSEGVYWYIMKTRVLYIPALEDLNRKYYD LLVEGNEFTSSSPIEFELKDRNDNSTLVQKRIRFIFKQKSLVEQNIHISLDLINQNTQNT ISTTTVIIIVASIAGIIMILSISFAIGKYFRNKKIKEAISALAMIRQMQHEQKYIAKSFD EEILQQLPLIESDQIKNTDVCPICLDLYTSKPDLRSTKCKHLFHKECILAWIYINKNCPT CRADLKIHMNLQRNQQQQ >CAK74671 pep:novel supercontig:GCA_000165425.1:CT868196:168159:170845:1 gene:GSPATT00010839001 transcript:CAK74671 MNGNQLKNPEIEIIAAEEMKSRQPSQQHISICFCILLTIIYSVLLGIEQTQLPLMICSIS YNTLIAMIIFINTSKINTKYYFTMIISNQEYLIIIAFLIDSLTQEPDPMNALEQTIIKLS SLVVVCINGKLLFILVMISISLLQLLKDLQNKVSQMKKQLNVTNMTPNQNISQYSQLEKQ NDEIWKNRMQTIPIIAIIISMQNWQIVHKNQSFYTFFSSYKQTEEELENLVMNHLSFHVS SSNLEEQDVSSINQFIKQIRRPHAMTFNNNCNQRNQETLQDIPIGYQSLNEIVLNFKSKV QCSFLNIHHSVYYEISCRQQLEDGSYLQLFGQIVQNEKDNELLIFLNDFSGQNEMQQNIL LNDFKSKILQSFSHELRTPLNSALNFLTSSLQEQKIPLYVKDNLIEPAINSLKIQKYLIN DILDFSSFYQDHIKIQLKEFTISELIAEISSMFYYQFNTKQLAFHVDLKENQLNSFCTDY KKLLQILINLIQNSLKYSFKGGCVLKLISLPNQNILFEIADQGIGIEQGLLQKLSDISKN MDRNKEFVKDWHGIGLIISSIILQYLAPPDMNSFNIKSEGENKGTFISFCIQNFFRVPAS QQNIKNSTSNCMNIGSASSQFKQLSASQQIQLNSSLFNVMGTIVKATEFHITSTQIKTQF AQYSSKRSQNDSFYSESNDDFLEKRLDDLESLNPFLITDINKVYNKVKDKSSKVINLKQI KQLEEKESELFLSGLNSLKKCNCMRILSVDDEIFNQKSLQILISKMGFEVLLAFNGQQAI QIVQNLQKCCSSCNLLSLILMDYQMPIMNGIEATKQLINMMNKCQIPYITIVGLTAFTSQ KDIDNCQKAGMEQVLAKPLNIQELKQILLCVFKKY >CAK74672 pep:novel supercontig:GCA_000165425.1:CT868196:172073:172969:-1 gene:GSPATT00010840001 transcript:CAK74672 MDTTILEAIKQLPPKQQPTAIAIQHHLNKWVDSEKQVAKKVRQLQTEYLRSVIPLQNEIN AIIKGLRAPTEEEIKDANTYQIDVKDVKASPLQNYWGQVLMNNSLTGKLISEADKTIFRH LLSVNVDLGENGKDFTINFEFEDNDHFGACILTKSYVFEDSDEEFPTKQKGSSIKWKEGK NITKKIVKRKQKNKKTGQTREIEKEEKAPTFFHFFEDVDQINNEDEKVEREQFDYELGSQ FVNSIIPKALFTYLGLKAEDEEDDFEGDEDDDDDEGEEESLEDD >CAK74673 pep:novel supercontig:GCA_000165425.1:CT868196:172991:173298:-1 gene:GSPATT00010841001 transcript:CAK74673 MFEYFCQLFHKYDQGMESAIKQFNIKKKHLGNNQCEYEDFNEALKYCDILLTSRQRLYLE LEIFSDSQQLQRFNFQTLFSKFKLKVSDLDNSIN >CAK74674 pep:novel supercontig:GCA_000165425.1:CT868196:173468:174782:-1 gene:GSPATT00010842001 transcript:CAK74674 MQRPLTSITSSQQQSKLYDSSSTSFRIKENKKNRQMPQQMLYDKEGLYEENLKLKKELQQ VKFELKKERQEHANFEKQVQKTNETQKDFQVILSQRAKIKEQDKLIKELEDQVFKLKRNP ERTKNKELEAEIEEQKEAYQKLQLYISSKCSQDTNETLMVNQSLKDQIQINLVQAYKQDN LNLSQMILSLQQENHGLQQSLLSLKNDKDRLTGRLKDLEKQFDSVNKHMMYMRQEMQNTK KQTPSEDYLKKIDELQQQVQNQAKQLQQNKKLIEELESKNGELQHNYDVFKSMENKEKEK LQDRINMLKKQIEELNQRRDDQDQSQEGSLQSIGLKQAMTIYGSPRSNNSPQKLQLQLPV TNKKQCLKVNSYDIKEIMSNLKIKLLSNNIDYETQEQILCEGDDEYMTLEETQFTSLEST L >CAK74675 pep:novel supercontig:GCA_000165425.1:CT868196:174826:175771:-1 gene:GSPATT00010843001 transcript:CAK74675 MNSQNPYQHLLDPNIPIPTNEQIQQVNSQQQYHQHLQGQSNMVQSNMVNSNLQQPTPSKI NEYKQYKEKNKQDMTTSVLSLNGFYKEQMEGYNFDYTKSDHPSVALFHFAIKGIALAVYM FGGLFIREAWTLPEIVIVIGAVDFWVTKNITGRLLVGLRWWEEIDEASGEQLWVFETRVN ESQVSQVNSFIFWATLVLAILAWGFLAVVNVIGFHILNLTGISFQLTIQTINFYFYFQCS RVAKTRAKQLAKVLGAEILNKMMNQSVIWPMKVPKQKGL >CAK74676 pep:novel supercontig:GCA_000165425.1:CT868196:175793:176619:-1 gene:GSPATT00010844001 transcript:CAK74676 MFLIFISLLFQSFSQDPTVFKASDKVSYIIKDKIQRPADLLFFTEGLSFFSDDVLLESTG LYGDSEIHYVDNVFDKKKIELKLRQPIGKQYFGEGCSKIKNKNGKDEIYMLTWKERKAFQ LDSNLQLVKELEIPGEIQEGWGMTSYRNNEGDAMLLISDGTNRLYHVDPSDFKVKKTVSV RLGNGEALNKLNELEIIGDGTVLANIYETPLIAQIDPHDGKLMDVLDFTALIQDVESVKG KGYTDPMFNKVWQSHIKRQIMALFLLN >CAK74677 pep:novel supercontig:GCA_000165425.1:CT868196:177080:178210:1 gene:GSPATT00010845001 transcript:CAK74677 MQVQEIIQTIERILNGSISLYLKELLKPVLKTQEQSDLFIFLLVVRILIPNPQIYKLNTQ VNRNQSMKLIQILNAFPNATIFRPSVIVGDNDDFAYHWQVQKRHFHNFNIVPDNCQAKKT AYFCSRCILKLQTIEQTYELGGPHVYTLLEYYEMFHNIVQRPLKLAHIDKQLLLKIAQYI PNWKYFNIDYILKHGDDMVVQVGSKIIDDLCVRPLQLTQALQNIFWDIQARYGGSSELYK R >CAK74678 pep:novel supercontig:GCA_000165425.1:CT868196:178264:180762:-1 gene:GSPATT00010846001 transcript:CAK74678 MDRQFVKVQAIHWMSFCYSVYFYLESRNTSNLLCLVILCLIFPIVLLFHYAKLRVKIIGT IFCIQIDLICLIILNYENLNHASYAQLIICQYLSKDLIKQVRTQNQLLINPMFFYYGLMI LVCAFQQIYLHTVSKFGLEQPQLMLCLLLSFQQNVTLKKKNQSQLNTTIPIDGGPMQKLD SLAKRVVSVADSDKNFILDQSKESARILCNNFSNIQQHYINSEIIYNSLDFLQEGLIVLD IQDEKTQSYKIQYVNNATRILFGREQEGEILYILESLNTLHIQGQDISDDPSSRRESVQQ RLSNLQHSRLCKSIFLQKELFCSVKQPLLDFQMFDKYQTISMKDLLERMIQARRTDVITV NTHFSGSISLNTNKNITISQSNAGGQSRHEQNERLMEFTLTLTKDRSILIICRDVTHRQK IRYLKDYDIQKSKMLSFVSHEYRQPLGCIIQMIECVLLNPIIKSNQEIAENLQIALDNSK YMLNLSNDLLDLAQIKNGKFKINKVSINIENLIKDCIKMFALKARLKDLQLLTEFSNNIP KLIMSDKNRLKQIIVNLLSNAFKFTCSRIEVIISLQSAFLRIGVRDDGIGISNEEQQMLF KAFSKVNSEESRKLNEQGVGLGLVISNQIAQTIGCTGLNIESKKDEDNHYSFFYFDMLIE LPEKKKVAIFKIPEVYPLCQDVDDIITLNQEQQKITQDIISYCTHCLIVDDECFNVYAFN KILKGVLKNNNQQIDVESALSGKESIEKVKNKKCNNSCQGYKLIFMDVEMPILNGIQTTK QILALNPSQIVIGCSGYSDYQDKKKCLDAGMSDYITKPVSEIELQEILKRYL >CAK74679 pep:novel supercontig:GCA_000165425.1:CT868196:181144:181584:-1 gene:GSPATT00010847001 transcript:CAK74679 MSFAKKPLPIKGSKKPEKSPLEQIQMILGNDYTVEQITNALNQTADIQNAIKILKSEREA LQNNSKVLKFQQLNKAQQIQKRLNKYNSRWVKKVLGDVDQDKNKEMLSVIQFQMEKITLD SELHRQIIYNFSIPSRDHYNNNQSQS >CAK74680 pep:novel supercontig:GCA_000165425.1:CT868196:181630:183628:-1 gene:GSPATT00010848001 transcript:CAK74680 MTEFLKRKQANESSSASSAESLSFSSSSSSPSSSSSSSSRSRSSRKHQSIKKYQKSSKMQ SKNQLLKAYASLDKMKQKYLQKIGYTDQDNPYGDKNLAKSLIWKSKLDEKGLHGISEHEL KEKLDDMLKEIEKLKSQRQKKQEEKIKLEEEKNRLNKERQMENYKQWIDNEDAFFEKVEK LRPLIRIQQQRELPFDKFVRICCIYKGQIGHQKQLEQIMHNPSQFIKTLGHEDQKVILNE SKKQFAIEIDNKSNFQDYWASIISIAQAEVEWREQKEKDPKCPKFGIQSEFKQDIKKMFQ GKSREGLDELEGEINQMLNYGAEYKIDFDYWEKILKKLKVIKAKTILKNYYKVFCKDLKY KSMRQDNQLVLDVGINTKDIQINVERNATEKYHYQVEIQEQTEYECVSPDPVDCDEFILE NDDLKQLTDQRITILTEFLNNAKIELEKQQLKKKKEKEKEKEKQKGKQNKLPEFSIPNNR KLEDNVEGLDILAQQMFEYERSKPLEEDEVYFNEVVPQRQEPSWSQKYKLKRPQYFNRVK MGFDWNMYNKTHYDVDNPPPKTIQGYKFNVFYPELIDKTQAPKYTLETCENPDYCIIRFI AGPPYEDLAFQILCKEWDYSDRMGFKSVFSRGILHLWFNFKKPRYRR >CAK74681 pep:novel supercontig:GCA_000165425.1:CT868196:185276:186421:-1 gene:GSPATT00010849001 transcript:CAK74681 MCQPQKQLGICLIFYFSNAFMFKIYIVNIDIFLQMNIKKKKYYVLDVTEIFFICQLHHKT VYILSGGFWGQKVENQIKTQFFNFILRRQKISHFQICVKVKKFVLTSLNNFSQFQKNTFF TNNRQRILLIKKDFDLLINLVFLSQKKRKQFHQYNLQNQTTSMQNYNIPSQPMFCYYPMQ IYNPYFFYPQQIVVQTQPQINEQEISNQNCFNIIKKQNLEETKPPEAQDSHNFDFDIDDQ DDKDEDYKVMCSNENSDRNNIVNQLKGSTNLQKNYARAVILYIKQQNSSIVKELGQKKAT YFFRFITKIQNKIRNLSHINNYTRDEEFIRLFRMLCNKFLRKDCVSYIYNSKIKQKTSHL KGKHAINKNLFKI >CAK74682 pep:novel supercontig:GCA_000165425.1:CT868196:187202:188920:1 gene:GSPATT00010850001 transcript:CAK74682 MLQLFLLIVIAKSQINLVWQIYNPDSGLTSQDQSITFGQYNKEIRVWPSDGSSFKSEFQL SGNLPMSPQFPIARINSKYVSFYVGITENSGSTLSLSKTTNSQYQFSQNAITFVPSSKQC VLTPSADFNTIPIGGKRQLTLDFINCIPITGLQIKVLYETISKTIIQKNRERFAFIEVSS LNAAVDTSISISFQVNSTYYLISTITLKVVANSQSLPVSSIVNKNSGTCTGAQAVFTFNC GKKSMLYYSYSYQNQAIDITKIKEQLLLNRLGKQYTNVNTYEGYGQSLMADNSNKDITLT GLRSNTVYTILAICEDELYQQSQSAISFNRCDSQQTIKKIQIQSYQQFQTINLRDIACDL MLQLSLKQNQVSSADGIFCNQIAQSKINGNKQYYIPQVSQTQLSTYTFFVYPDYATTYDY TVNSVSISQYEIQKQTIEKIIPKFPTVNQEFFIADENFLNFTITLKTDGFISIGLDLLQT SLPDQYQFSNGLNYLNNPFIKFYRKFLIANEQYWFQFKLSDKNDYQLIYQLASNPSDGAQ YSPLQVRKFTLVQMQEIYNIIICLSFIMFFNL >CAK74683 pep:novel supercontig:GCA_000165425.1:CT868196:189396:191481:1 gene:GSPATT00010851001 transcript:CAK74683 MKLVIILLLCHMLYCVDVDKNTFSNYKDVKTQHLHIEWLLNLNDKVVDGSVEYTLKVFAN QLSEVHLDIYKMNILFIYYPSNGQVLKYHVESDPIQKDEQGDKLVIELGQVYKYGEIVKF RIKYQIGEDARALSFMDPSQTDDKKAPYLFSQCEANNCRSMIPLQDTPSIKFTYSATVIT QSPLINVFMSGNRLQTQKFELMGNYEMNSIANIFQFELNIKIPAYLIAIVAGTVEERSTG ARTAVISESKNIQKYKDELEDLDQYVQYLEDYIGEYKWGSYKIVILPASFPFGGMENPLL TFANPTIIVGDKSGVSVAVHEIAHSWFGNTITCNNWSNMWINEGFCVFLERKGLKTLFKD INLVHVNSQVGNNEMNALIKEFNSSQDDFVKSYASLHPKTENHNADDSFSTIPYERGFQL LYYLEKQINETRFQQLLKAWLQEYEYKSADESDFYRFMILWLKVQLSAEEFTTVKKSIDN VYTKWVYDYGQPPIQETFENPASKDVLQLVNAWIEGKGTKPQNYQIFDGFKSNQKQLFLS SLTEKSKDITEAIMVSLEDAYHLSDLRDAELLFRWYALSINTKYAKDTTNLQKIKAFVGV VGRMKMVNPIYKALNKETATQWYQENYAFYHPLTRQSIESIIKSKTIIE >CAK74684 pep:novel supercontig:GCA_000165425.1:CT868196:191825:192739:-1 gene:GSPATT00010852001 transcript:CAK74684 MQLWDGQPKPTDSADSSYMQVTSRKSSIVTIVLFFLSKKCLFLRFKELLACKVSFYNVYE FYDFKEQIGKGASSRVVKAFCKFTHRFVAIKIIDKTTLKPLNYASLEQEIEILLKVKDSI YCSQIIEIYQSQYAFYIVMRLLSGQSLSTYLENQQGEVQKKLTSKQILQIMRRLLEGVNY LHSVGIIHRDIKPDNLMLAEQDNFDTITLIDFGLSTFTKINRYLFYKCGTPGYVAPEILN SAVRNQQSESCDIFSCGCIFYRLEKCIQGQNIR >CAK74685 pep:novel supercontig:GCA_000165425.1:CT868196:192934:193871:1 gene:GSPATT00010853001 transcript:CAK74685 MKKQTKGEPDLLIESMQKLIDHMNDSKQHQEEIFQSLTPTDVQKILTNFEDKNQLMRKTS AAFLCELVFDNPTVQKGFCEISNILPMDGKICVNKIPQSLLSQAKHLPEIFETIKSAQIP FDNELNYPLCWYFENQRDKVWKKGVKFIKFEKSSNGAKTRIEKFIDPQLHLFGFIIANKK EIQSQDEIKKATVQTRQQQSVSTYESNVKRIPNVQKMRPNSGQSPIQSVSPLIKRQRGMN ASVDYDTSGTKSSLGKTMIETEQLQTINEIDRPKSKLDSKKSTTNNNISNIQKRFK >CAK74686 pep:novel supercontig:GCA_000165425.1:CT868196:193903:194290:1 gene:GSPATT00010854001 transcript:CAK74686 MAGVLKTVGDYFELDKYQNEIAPFVKENYDMLQKMVQTKEKECLNKNLDNEQKYIECMQK SAERSERALKKLEYGIMYWKQKTYECFHNEAYKDKEFKNFERCKPIANRELQEVFSSFRL >CAK74687 pep:novel supercontig:GCA_000165425.1:CT868196:194331:196374:1 gene:GSPATT00010855001 transcript:CAK74687 MNKQRKTQLEELQEKESSFGRVFKVAGPLVVAEKMAGAKMFELVKVGWDKLVGEIIKLEG DNASIQCYEDTSGLTVGDPVMRTKSPLSVELGPGILTQIFDGIQRPLQVIAEQSSSIFVP RGVDIPALDQDRIWEFKPSSTIKVGSMISGGDIYGSVFENNLFDEHKILTAPRVQGRVTY IASEGNYTLKDKVLEVELDGKKHQYGMSHFWPVRQPRPIIEKLQGNTPLLTGQRVLDALY PSVLGGTCCIPGAFGCGKTCISQALSKYSNSECIIYVGCGERGNEMAEVLSEFPELTIQM KGKEENIMQRTCLVANTSNMPVAAREASIYTGITLAEYFRDMGFNVSMMADSTSRWAEAL REISGRLAEMPADQGYPAYLASKLAQFYERAGRVRCRGSPDREGSITIVGAVSPPGGDFT DPVTTATLTIVQVFWGLDKKLAQRKHFPSVNWTISNSNYEKILEPYFNAFDPEFSHLRVM FKQILHEESELNEIVQLVGRDSLSEDQKLSLEIAKIIREDFLQQDAFSKYDYNCPLYKTI GMMRCIVSFFECGKKAILESSGDAKITWNIILNQTKPQFVKLSQMKFEDPKQPKQELMNY FTKFVDEIKSAFRNLTDK >CAK74688 pep:novel supercontig:GCA_000165425.1:CT868196:196375:197203:1 gene:GSPATT00010856001 transcript:CAK74688 MINFIHIILFTLVSAKTNEEELREAACVIFSRYILQIHSQQASPQIGKLIKEQNYNQDDA IYVVQASALDKCLINVKQREVTRILDGLQNQQLDIEKYIHLHENIQYDRFINNQKEVAKL NQLIEIIKDVEELIQTQWVKRPEVEKRRQEQIESEEMDQEIISQLNEVPIVEQFDLTKFS LKHILLKNKEYLIFAMFILVPIVLLLNVCCKTNPKKDKKKSEKNEKKDSTQSKNENEDNK KSSKAKNSDKSEKNKKKEE >CAK74689 pep:novel supercontig:GCA_000165425.1:CT868196:198129:199209:1 gene:GSPATT00010857001 transcript:CAK74689 MEHHLYIEKITEERRIRDEQQNFNLGSQDAHKDYRRRRKTKNNHFRNLKLQDSRRLQSKL NISFILLKSDERNQKVKEQNKRVKQLLEKFRQEKLQQETQKKQLEEQREEEIKQKLLQIK EKFKVLSTDEIKEHQNQYKKYQEEHQQQLENLRVQKKSQETYFSQQVQSRIRSRTYQSQL DMMKEEEQKRKESEERIRNQIKHRFAYDQIVKENFLPKISHSIDQSTHTIINKKQQNQIS PIKSDSKILGNQYLRQIRKLPKRPRIQSQMGVDQSSSSTLRRDYLSEIRQHSKRKTPQAP IDIKLQADMLERESRQAYTKNKLKGDQLLMDSIKQKMLLLEI >CAK74690 pep:novel supercontig:GCA_000165425.1:CT868196:199232:199712:-1 gene:GSPATT00010858001 transcript:CAK74690 MQQSSFMLSNQQYGSMQQLRKDVKDQLFTRFQNQKNPIQQVKEKGIITWKPLAKTTQSPK SPRTQQVTPQQSPLRAKQYSKHSPGRLSFQRSSLELNAVNSSLMIPKKTLNEIVPVEKIQ HLVQSLRSQNYGASDLYMQELRKLSILVLNE >CAK74691 pep:novel supercontig:GCA_000165425.1:CT868196:200043:201170:1 gene:GSPATT00010859001 transcript:CAK74691 MADILTPNDINQIPKVQLQDQMASQNQAPAVPQAQQNNPIFVYPPQMQSQNQVFYAKNQV QPIQYPQLQQPQLYLQQPLLPNQQQQLLQPQTTQKQDPLKHQKLSNAGYMERRHFNMSVL KYFLFFQAFALLLCLCSYRNGFVKLSYNLNGYFKLPFYIFVSVMSLSLLYSYTAHKRLRD KQLRLILVVAYTLSYGFFFQAIYSSTYYYDNSNYYGSHLRTFSGDNEIVIIILCFINLET YSLFSYCMQEVEQLSIKKSFWYIVLPNVTFGGILFSLYYNYDILCIMTVVSCCYGFYLQF TLKRMIESKKFKLRSDDGQFAAVLFSFLLLVPFFDLKSLSNKQQVKKPQSQNSEQKNVQ >CAK74692 pep:novel supercontig:GCA_000165425.1:CT868196:201245:202311:1 gene:GSPATT00010860001 transcript:CAK74692 MAQQQRNETIVPILVTQDEYNEIMKQPDYIQQQLLQQLQQKKQEQQSQIYQHPYLQYQNQ QENSNRGIPPIPQHPNDKTYQQQQYYQYTPQQQLLQQYNQNLNQNLIQKEIINLQHKEIE VAGEHEVNYFNQNLAKFFSLELAISLVFNFISAIFRMKIINSYWHWSCGLWIVLISYVLL NFFILTNPKLILQNNRQKSIYVVHVVLYSLLMQGLQTAIQGYEYFRWNYFFFFYFMIAAC LISVGMTISKKGIKLAQPVYLPAAVVPVCFVFLLQFFISYKFYWPLLLWGIVVVILAQGI LLLFKRINKIGYSKHQTSDFYAMASVMHILMISPFYDNE >CAK74693 pep:novel supercontig:GCA_000165425.1:CT868196:202417:202893:-1 gene:GSPATT00010861001 transcript:CAK74693 MDQFETIEGKILYITYNLYKQNRICIQQKNEIKDMLISKNHRIEKLLQELRGEHNVSYIE NSLETINDLSPRDFDDSLSNSYKNKRPKRFKFVKSNFPRNIDPKEMRANEDTDSLSRNSN QQFEFDSRRRVYSLAADSC >CAK74694 pep:novel supercontig:GCA_000165425.1:CT868196:205163:206050:1 gene:GSPATT00010862001 transcript:CAK74694 MNKSLLLFIGLLATTYAVTFDTTMHCACEELSQTECAKQMGYCKWSTTCETVEYTAASCS SVTQANCTPKLTGYQCAWESSSCVEKSYSCGDFTTADDCPRSIDCYWNKSGKCDSFSSCG DYDETNCRHYGCKFTSGACASFTCSSYTTESDCSGQDSETTRCAWTTDGKCQALSLSASC TDFSNYKSRCESSSSCKYASNSCSFKTCADFTNENQCYVVRASQTEVTLCAWSGSACADA ADTSKLTQDTCFDVSYQNYRWSTDSKCVECSDLVSDDISSNAVILSVFVALALIA >CAK74695 pep:novel supercontig:GCA_000165425.1:CT868196:207151:209724:1 gene:GSPATT00010863001 transcript:CAK74695 MESVNFGGDNPQPRFGHTICVIAPNKIALFGGAVGDTGRYVITGDVYIGDMTTKKWKRIE ASGSVPTNRAAHQALAIELNQMIIFGGAVGGGGLADDNLYVFELRDDTGTWVTVPVIGTT PGRRYGHTMVLIKPHLIVFGGNTGQEPVNDVWSFNLEKSPYSWQKLECSSEQPNVRVYHS AALCTTGSANGMMVAFGGRTNDQGALNDTWGLRKHRDGRWDWVRAPYRNQTEQPLQRYQH STLFLGTLMLVIGGRSNNVGETLPFEIYDTETSDWYKFQAIQRFRHSSWLIDQFLYLHGG FDSDQPNIPTEGILRLNLNTRFAQTPQLLRQMNTIRTDQSFTQSFNPRPPTNQAQTQDQF RRTNQQQPQAKNQNTNQQVRVSSATNKNIRLANQAIVAMTYGPEEDITNQVKKVPIEKLQ DEHKKLGAGFQDPNSQNKSQFLDQLCQPFVQNLLIPKDYQSIPPNSNLLTGIRKEMIIKL CDEVQRVLDKEPIVLRLRRPIKIYGNLNGQFLDLMRFFDHFKAPYDNLYNGDIDSQDYLF LGDYVDRGTRSLEIVLLLFTLKLKYADQIHLLRGHHEDAKVNKIYGFADECFIKFAEDIM DPNSIYQRINRVFQYLPLAAVIEDKILCVHGGIGQTMRTVDEIELIQRPLEIVHDPKTIA LELLWSDPCLSEEELENQPNPERDIFQNKQIIRFGTNRVSKFLQENNLNIIIRSHEPTLE GFERQNNNVITVFSCPDYGTNSQNNKGSMLTISKRGEIIPKVILPVNSTSESRWIDLEEP IQRKKGFAKYVVIDNDELQLRKRQFTPLRSKKSSSQKQFA >CAK74696 pep:novel supercontig:GCA_000165425.1:CT868196:211010:213081:-1 gene:GSPATT00010864001 transcript:CAK74696 MEESLAYKLSAPLPLPTLKNTFTQQLITKVCSDLACDKRPFIQSSEDPQLFHNDHLTKVI DVETFTAQFCEEMSKLANQNSVLYQEFMQNKENITLLQILEQGDSHLSKIDEMVNRQKND FVMDIANLQERIIQAIQSIKEENIKKLDSFNEQYKQSFITLKANIDQFFLLSRQNFYYSN QNTFQYKLASLGTPEAQQFLSNLKSHINKSKLLSQGNVTPLQMLTEMQTLARFLMQMTNS PPNYEKIQNLQSNFLDSLVNEAGFVLNTAISSRMYIPFGCNKELSQVLTGENNLQLQLPT RNIDNFFLKPTKKFSIGTQITCILSISEQLFAIGSQNDSQLRMFDSTNKKISTFQAHNQN IVSLEKIHNYENNSRQFVSLGLDLQIIVWNIDDISVSTQPRVFRRIPIQQMGISIIDLKD STHIAFSDVHKDVNICNIYSQKIGTCNTNSLSKINGLTLLKKGEKFISYSQDSIINIWRL TKYSSSQDPILICDQSLDDPLFGSISQIFIATQWPGHCIIVSFEGSVKLFDFNKNCIVFT KFGNRKIQSNQMESFLIEVSDQKSNPPIWLITFSFTDNIATQHHLSMAPYAMHSLDIKMG HQLSVQQSQGKHKVQLFNQQNGNVKAYTTMVMFSSDTTDELMIYELKGN >CAK74697 pep:novel supercontig:GCA_000165425.1:CT868196:213111:214365:-1 gene:GSPATT00010865001 transcript:CAK74697 MSDFQNRQYFTSSSSRQYTSGTKDNVRSTTQEKVEQTVNRETPKVQTRTVEVEQRSVQQY PRMQSQQILRTQTQGFVGGQSYVSNMAAIPQIGYQGNYSVQQNCVAAQQLCMNVIVVSKE EIEAPWRLECEYLQSLIAELEKRKEVQVVEKIVEKEKIVTDNSKVELLESQLRQLRAEND QLTLQLQSMRGEIQLRSSQTEDLAAKEREFYNLRIKFEQQIRDYEDQIRRLQQENADLRR DNEDLIQKIRNLEVKVAMLSSEIERLSYASKVKDGDLEDWKQRYAELESQGMTVVQEKVT YLSSEVEVWKQKFIKVNHDYNECQEELTMCQAELEALRKGQKKEVVVTTSKVVSRTGGTT TTSSMGQTRGSRTYEQFQS >CAK74698 pep:novel supercontig:GCA_000165425.1:CT868196:215232:215908:-1 gene:GSPATT00010866001 transcript:CAK74698 MNVCAIRHIPHSNKHENRKNAADQNRAKSSQGTRLHSATHYRCSTQQSNNPTPNQGKMQL YEIQPYVGQLIKNQQQSFVSAQFRKSPSFRVKNYHPQDIVNSVAQQSSQNLLQSNIEKIE RVNRINFLGDLLQQMGENNQQIKQSILTDLVTVPKNKNDTFNIKSQKEQNIEELHFYLVE SQQRIKQHTYVLEQQKYLS >CAK74699 pep:novel supercontig:GCA_000165425.1:CT868196:215942:216625:-1 gene:GSPATT00010867001 transcript:CAK74699 MSTGLTKMNPYCQINRVQLRNGSVGPKIQPKRRLDSDLNSSQITPSYKVGFLQIQHTPTN FERCNLDVFEDKSKPTDSSMNSTQLRKSPSFRMKNYRHITPGARDDIRRQTNFQSSAFKQ RVSAMELLQYQLGTDDEQQQIRHTQPIKKIVATPSSSSQTTSVPKYNIDQTILEDNKDAN LEEVHFFQVEMQQKYKKWLENIEKKLKK >CAK74700 pep:novel supercontig:GCA_000165425.1:CT868196:217479:218375:1 gene:GSPATT00010868001 transcript:CAK74700 MNNLHIKQEDQQALRNIQIPKQISMFPSQQYFSQYYSPTMIYFHQEHMIIQKFLKALHNL QVAQGQLHNSPKYPFVIQVSNNQILVHLGRIQLDISNKFGHIFSPQSYYVPQYAQVISQY PKLKLHIQKKRQVRLMQDQKDTKNIPKNYCKSIITFACKNQQNLCIRILKDQVKVVKFIN KISQYKKQLLNIRVFSALLQRSEDPEEEEYRLAFRIISQIFIKKQAINYIFNSKIAQHNW HMKYRQKIYKGIKDPNRFSHIKNL >CAK74701 pep:novel supercontig:GCA_000165425.1:CT868196:220200:220976:1 gene:GSPATT00010869001 transcript:CAK74701 MGLCESKETEHMSSRRIDSFIKSSHSYNNLISQDTHQGGSLPYKYETVIIQDNLKTIAEE SIIQQERQIQYQSTAPSPQASIEMKQVSQQSPLMLQNPSGTWNLQTHAIKHGGLYEVSVG KDCIGMYEEWEFILNKDGPINNSDRFVLRHILTQTLLVAEETLQGDYKVGSIASKTMRDE AMWQIEIGTDTLYENTFVKLRHGKSKLYLSRTNENGSMENHRKVALTNFDPINSFWLVKL K >CAK74702 pep:novel supercontig:GCA_000165425.1:CT868196:220988:221424:-1 gene:GSPATT00010870001 transcript:CAK74702 MSEFVQLDEEQIAKYQTFHKKLRVDMEKALQKLQQLEHKQEDYQLVIEYIKNNSGQSQMK MNVGANTFLDVELVNPLMITLDVGMNVFVELNFSEAEKITAKQIQILQNKIEEQKKSILS IQQYIDQVEEILLQFK >CAK74703 pep:novel supercontig:GCA_000165425.1:CT868196:221440:225562:1 gene:GSPATT00010871001 transcript:CAK74703 MSDILDDEQAYLKRWYKFAKIHKTIPPPKKDTHLESLCYRIKIRDICNIPTKKEVQQSIR ILLNLYNSTSKEFFGRTYESPFLELIYSQANQQLEHPEVFCYFHLRQIESIQVAVEIVLF EKKDQVIQNRQIVGWCLGDIGQEMKYTLKRGSSRFLISNQSNSETVGQTMWVEVVENKEF TQIKNLIPEGCLCGYGDEVLGVHGYQIISQLALTQEQIAYVTSIEILVPTSVDLLIQEYL KKYKEVKYKDDGPNEVHFLAKRLVFTFHNGWNYTNTRGLNNFITLEESSFQKSIDNQKID YKKLTFKGALDVNGIYQEPKLGVFIIQLEYDVEFMVTNLGKQRTTVLCGWLPLVPKSTFE EEFIIGPGRSLSNQVLVDLSDIKYPITISGKINFGSIQTQHLPGPMINQQVYQPMDAPSL VKLPEQGGGQQLGMDDDQRKIKQLENTLKQLQQDRQVSATNLAMDFENKLKIDKQREQEY QKMVETIKKQHEEEIQKILEQYEDLKKMMTDINQTQQQYLTKAQPTSASLLGQQQVLQDQ KELEKWRIIESMTSVPRKTFYKTQQQDLMVEAQFGGQALSRGDIAFLSQNGVRELFIQHT DYVENDEIKQKSLQYELADHRQAITVFIQLLSFKPRITMKSKDLEPTVYHYSEESRIPSR LFMIVNFYDFPEYVTEPLIYENGFDRSSYTRCLEQSIPFLLIREQFLKTGASYKEPVFKF EVEPSSIGYPKAYKYFCEYLFNKCVTIEIWDYDSMMIFGTIRLPLRQLLRQGQDASVVMR KADIIDKEFKKIKGELQVLIKCVGKEDRVTEVLDNNSQMKRKVISTKKVEPLHILEDEEK EIIKQDPNERIKERIKRIRRQEVPNGTNSTKTLMEITKRKDLSKNAFVHSVIQSHLSDEK VIHPFFGKVEIVELEFRNPYATGQNFVICIDDQEMKCSGIQEIHLIHQPVEWKYYVDLYN HSKPQEFNMIQANQNNFTMFLTAKEKVVLLFKYQTFREVNQEYTELQKTEELFTIKGKQF LTQYSYPRQVAVFINTIEGQCVGGMRVKIFPHSNTIDHVYRFYERDNRQVSIQFPALYGY TVPAPDKKPILFCNNEQLVVEWANDFEIRISLRMPEVNKQSVFYILAYQDTYFKELIANW QIKLTSLAGIGIEVPLGQVQNTKLTVHVEISRQVKFYSSHPICVWFPQPYDQLVNLIPGK INIANLCVRLFTEQIHKVMVTCVDEQSHELVRGWILNISPTVPPISQVFDIQAPRDQMKT YIVKYANKQNNEVLLIFKSSNTQILKLVETRYLFKAQQIQDITLQIHRVGEMDKAEVKVF IGDEDRSRDTFDCLLFRIAYI >CAK74704 pep:novel supercontig:GCA_000165425.1:CT868196:225953:226839:-1 gene:GSPATT00010872001 transcript:CAK74704 MKQDNYNVFNHLINYGQYLVCITPLSLSLAEWYLLYKMSMLGDEYEDLLMNGRDHSIPMF DEHPEDIYYEPKNGYLYFLRKASLRNLGFPRLKDNSKKVFKWKKMNFQTPLPKHCPKVSY IVCSTTTCLDVPHYRMHIVQLFNQRDEEGVLLCHVLQICNRLSPTQPIKNKPPISFEEYE EIRNCKKRLSDCMEKSMDIILEVKDLQMRTALLDDDTNYIQQKLQSKEQRLENMKLYEDM LKKYERYINAVMEGYEVIRRYDDL >CAK74705 pep:novel supercontig:GCA_000165425.1:CT868196:226897:228612:-1 gene:GSPATT00010873001 transcript:CAK74705 MCYWLWCQLMALGTSSEENVLQLLAELKHNAELELEGLELAWQRRIGEKQSVADSLNQSV LNQRAECQNKEDDIAQKQNDILASEGFINWMSGRQKSNTKKIGVLEASICALTNNYVNDI RNFRYALALVKFLREELAQLEAGASSLAQVTQFHDRVSKFVKLYRSGQLVNLMEKIDDKS DLIVPEIEQGTFDEISLIQRIRPKALTQLDQAADDDDKANNAVVLIPGCDNQIGTVVVVQ NDDGTTDSGDLNVKITNGGGVSTKPTGGKSGSGSGSGSPQIHKDPISKKDEKHHQEEHVN SGDSDDSDDQGDSGDNQDAGDNNDSDNSDDTKHSDDTTHSDDGDNTSDEDHPQIVIDDKQ PDHHVDEQPNIAVPTKDPHGTGAVVPPGEEEFADNWEGFRKLLDAIENHTKKSLETGAND EVRSNLGFIDFKLHIELENQFFDKTIQYEKDYLVKQVNQLTGRRQASILCGARLKQITVA HQVALKDIDAAKQFYEEQRKLKQEEVNTFDDVYRIYTTQVNH >CAK74706 pep:novel supercontig:GCA_000165425.1:CT868196:228710:229346:1 gene:GSPATT00010874001 transcript:CAK74706 MNNEINIRQLLYYKKIIPHTQSQRSITLMSQQFSQGRLSVHSQEDLRLSTNVTNSSILPQ INLRYSSVHSQESIKSHHTASTPFTQIQCFINKSQKTISELKHQTQQIKQEISIILEQHE QQIQELQSQLIQGIQIKYKQLKDDNINTIMESYRLKKEVVRLIKSKSQMLEDVDVLNKRI TELESILQSFKLDLK >CAK74707 pep:novel supercontig:GCA_000165425.1:CT868196:229359:230981:1 gene:GSPATT00010875001 transcript:CAK74707 MINLQISFWDVSKTSLGHLSSQLDKMDQLTQSSNQLMHTFETAQKIYLNSCKKLVNISKD GLIEQSQNFIDKRMRYQSDLQETSISIRNTYDQIELDKCYFSINLAHGIFTRSTFPYMPN SINSFCQKSKNSSKHIYVALLRYEQPKEHEITVTNQQIIIFNNIYSVTLDYYQGVFYLVS FAPKQAQIISKIQPSLLQSYCQKFAVELPEIQKKEVFLIYLCYFFNNSIKFAQAQIAIHS LRTQGKTIPTLQNYDSLSDFENQLETYVTYKNVKKCAFSEIIIKSNDNYYIQLSGQTRSL ENVEIYWNINDNLEQKLANYHLLEQQKQKLGQILKLKNSSYPIYFKLNCQLDNYHNEDPS IDETFKITKCHNYFKMNQFTISQDEALSQIDIWYEVESQLLKLPITYEDEAILDKMFQSN EEPWEYLKWIIVRTSALKIKRSIAQIKLENKTIKFIQNFKRDDKKNISILNLDGFKCQDE LIPLQNLLPSLNQIDFDSIKKLIEDKLIDAKFSQISEKYNNQL >CAK74708 pep:novel supercontig:GCA_000165425.1:CT868196:231292:232037:-1 gene:GSPATT00010876001 transcript:CAK74708 MDQYHFLFKYIIVGDTSVGKSCILLNYTEKKFNEDHETTIGVEFGSQLLKMNDRTIKIQI WDTAGQESFRSITRSYYKGSIGVVLVFDLTKKDTYYNVMKWHNEILDCTHEFVEISLVGN KLDLESERQVSSKEALEYAQSNKMNYLETSAKTGQNVDKVFEDIAQRILVKIDNKTIDYT QEVYGIKLGPFFQNPKQITNTPSTLKSEPEKKDKTCC >CAK74709 pep:novel supercontig:GCA_000165425.1:CT868196:232501:233852:-1 gene:GSPATT00010877001 transcript:CAK74709 MQQSHKFLRQYTGQQKYQHRSKNLEALKDMMVYPSLQRYNVILNENFAIGLNFNGIFAMK LCVQNQPSSEIVTKISRIINTQLQLVEDYVIKLNINQIILNIVFRAMIILILVSVIYAQL DQLRETELGSTLLETVQLHIQADEPTGDLLQLLNGIHEKLVKQRSNANKLQKSKLDECIQ NVESIEELIAKLNENKYDVREEIYAHKPHIEQYQHMMTTKIKEKELLIKNIEICQNREKL QKEQYHKLTMQLQERRYDYVNQKNPLSLKIDQQSLKPLLNDLIDFTTDMMSLEDKYFDEK QQQTKNLISLYQSQLDSVIQFLNEIQQNIDETEHLVKQLDLEYNEIIYRINLKQEQVNDI KQECNHIFQQTKHQSQRISNEIEVVNSIIQLIEHNFAWLRQQLGL >CAK74710 pep:novel supercontig:GCA_000165425.1:CT868196:235332:235952:-1 gene:GSPATT00010878001 transcript:CAK74710 MICIQQHQDDSSFIDDCQIQSESLQLNTQVAQSSETIPTLPRFKPKQSRQRRKPILYGDK DRSSALYKYIKEKQRNYLDTKVKASNSIFSPEEDRILLLLVKKLGPKFQKITKYFPGKTM NMLKNRYYKSLKDSEASIVPKEIEEELAIRDKEKRVMGRKIIKIWPEEQRMTALIDNSVL FPEAKQKIQDLFLTFTSIIGNCMKYV >CAK74711 pep:novel supercontig:GCA_000165425.1:CT868196:236937:237470:-1 gene:GSPATT00010879001 transcript:CAK74711 MKYHHQETTFQNEGIFKSKQFRQRKKTIEYGQKDRSSAIYKYLKEKQKALLDYRPRSQVS QFSAEEDRVLILLVKKLGPKFHKITRYFSGKTVNMIKNRYYKTLRHVESQEFPKELEEEL FSKNNESRVIGSKILNLWPQHKQMSSVIEGSPLFPEAKEILHTFLSSFQELVSTCKK >CAK74712 pep:novel supercontig:GCA_000165425.1:CT868196:237912:238189:-1 gene:GSPATT00010880001 transcript:CAK74712 MSTEQQLYERAKRMNQQLTSPENQITDKDIEKFIKEILSAHQKDIEEIYSLVLGDLKQQF HTEKKQLENYRDRAEKINSQIQQL >CAK74713 pep:novel supercontig:GCA_000165425.1:CT868196:238763:239204:1 gene:GSPATT00010881001 transcript:CAK74713 MDAGGANTFVVEPKDEEKQKQKDFIRFYPSKVRKLIQEIMEDKLKNETYDANNTPILGEE LVKRIRSKVRDSIKMPRFKIAVQVVIGEVKGQGCKVTSKNLWDPTWDNYASYAFQNETIY GVGIVFGVYYE >CAK74714 pep:novel supercontig:GCA_000165425.1:CT868196:239770:240272:1 gene:GSPATT00010882001 transcript:CAK74714 MPRRSGSSSRSRPSPTRSTATAARPAPQAQAPPQAPARSGGMFSGLGSTLMTGMAFGAGS EVAHQAIRSVMGGSGHSQSVDQQAQQEAPQQQQQVCQSESQMFSNCLQTNQDITRCQPYM DIFKECQKKFNL >CAK74715 pep:novel supercontig:GCA_000165425.1:CT868196:240476:241323:-1 gene:GSPATT00010883001 transcript:CAK74715 MKISNRIPMFICLRYSINQTALFSAKIRNRQTMMLIMNKEIYMSIHLKKLEMIFDKSIIN QLIILQFGIVIQAQETNNTEEEISDYCDAVAKDTSFKVNVTVSDINNKNYCVEGGSRVAL FDTIQQEDQYVYLSEHYCANLQHYPITCEQYYHASEYDKKAKANYKKLYEDYKATGVPNS DCLGIARFVFCAEQFKYCSTDDGNTDYEICSFLCVIWQNRCPDYSDIYDRVCANGGGENG RCSYAINYTFLLFFILFLLY >CAK74716 pep:novel supercontig:GCA_000165425.1:CT868196:241348:241602:1 gene:GSPATT00010884001 transcript:CAK74716 MGVCSSKQSDKELQKAQYLQRLKEFKAKFKQSTAEIIIPFNEAQHDDNQNKAKYVISQNP IVKRRSKQFHEITILPLKTNDNKN >CAK74717 pep:novel supercontig:GCA_000165425.1:CT868196:241880:242340:-1 gene:GSPATT00010885001 transcript:CAK74717 MRSQHRKATLNIPTVLDLQNPEWDVILKSWAYINVKNYELTINKGSIVNKKIMYLNLKEE PINYKVCSNMPNIIEVKTETINLQKDCKLNYIFLEKDYIKLQIKAPLTPCKLHVKIALMD IKGDTVYEGMEFDLIIANLTAK >CAK74718 pep:novel supercontig:GCA_000165425.1:CT868196:242386:245387:1 gene:GSPATT00010886001 transcript:CAK74718 MNHSIDYYNKQQQKLYEYMVNLTQNVTGIEKIVSLIEQLKLSDLVRCLYAFSQEIKIVGE KLHTQISSGDEPDILVCLYQQVSNYLLRLSRDWELSSQRIKSEITEPYTQFVLNFRSTNR NLNAESKKLVTEIWETRKEMCKTQDEYWKIMKQFEQKSQQTQEMVDLIEKGSATREDFQK QFTSSLKLQELAVESEKDYKNLLTITNEKWKQFHEEWDKIFANVGLNEQSRIMFTKQTVG SLLNILPSDEYSSQIEEKITDMEVKLKEDPKVPIRKLIEKKMENKDIFKFQFEEFISYDQ QKKMSINQEQNNTIQQIHHQWQIVGDRILEDEKKIIDKYLGTLFTIDISDKSELLIKVKQ ILQKQAGRNYFNNQLNYLHSKSIEEKQASFYLALSNEQFQELNQLIRFWLNYVELNDLYE SEDIYDLLLKSIRIVRKDGRDRINLASQLSDITLWRKIEKWIELFQYINARKVDEKRKQV ELLNQQNQNNIVQKGFKMIGSIFKNLGTTQQSQNELNESEISYMIMEEINLFLTSLKLPS DLSTEIIIQIAFQQPSFDKEHIKKLLEKQEDLHNTQWKKMFKSGKSMLTHKSEKYDRRKM DEYENKVVQVFGATMKYLTLEDNPWQLLLINSQFSLHLRHKIKKFYLANGQIFSSEATHQ QRLKLIWQALKLRSLKIDYVEMKTKVGLEMDINQLHEETIKLDVQRSLHLHKEKINSSVL QSLLRIYAFYNQEVGYCQGMNYIAGYLYLTFQDQVTAYKAFDRMMNLYFKDLYINDFSKL KIGFYQFDRLLQVFLPELSQHLKDQKIDPSYYVASWFITLYSNVFQFSQRSALLNIIWDI FLAEEWKGFFKVTFYLLWLLQQKILDLEFDDILHYLGQLIKSEFFTIDNEIELIKLVQNY DKTVKENESIKTTILQKFRVTNRMLRSLDSEYHSFQMKLNKKLNLCLKK >CAK74719 pep:novel supercontig:GCA_000165425.1:CT868196:245467:250548:-1 gene:GSPATT00010887001 transcript:CAK74719 MSDQESEDDQSNRQLGRLRDKQKRKYNQMEEEYYPLEHNAIANERRSARAIKKNYAATMS EEDEPINQPVEETEAKRIFVPEIDQILCRKIDQNTSEILYLVKFKNRSYLHTEWLNEDRI LEEKNGKQKINRFNKVFEKRIQEEIDDFLDDQYFDPAFQEVDRVLSCTEIFPIVHPKKGS EMKGKWAESLTKVMSHLLNFSRDQIHYGVYFLEQKTFLDFGTINNRLYLGYYKNFSDFWS ELGSVFKAAAQEWQEGTEMNKITNTLIECSTVLYNIWYEEANQNYQSKLQQQRYINEQAY KEKINDAHRKDIMPELKKEKIKELVSKVLNIIEDKQYNLQEHAKEIENILEQELNIKLKI ENDQEFDLEVYLKQSLQNQDIDVAQEMQLEMQDFQSQMDVVDPDIESWNPGEKSFEWLNE SPEEIQLLTKEEIQNLQQEPDKLYFVKWKHLSYLEATWEPESLIDCRQKIQEFKQFNRSL DKETRNLMMQQNLNHKNLIEYDQGIKKKKLSNMQIQDIKSQLYFLNQQKPPHEYTQLTQT IYKDKRLLRDYQLDSLNWLIRAWYEDRNVILADEMGLGKTIQTIAFLNHLYNFENYRGPF LVIAPLSTLQHWKRTVEEWTNLNAVLYYDQESNAGRQLCRQYEFFYTDISMKGILLTASE IYKFQILITSYEVFMQDFQNIFINIPFQYIVVDEAHKLKNSNARILQSLKRLSCQRTLLL TGTPIQNNTEELFSLLNFIEPNQFCNLNYFKRDYGQLETSDQVDRLNVLLKPYILRRQKE DVEQMIPPLQETIIDIEMTTVQKHIYKALYDRNKSMLEQGFSQWAANAASLNNLEIQLRK CCNHPFLIQEMQNDLSKGCQTKIDYINKLVESSGKMILLDKLLNKFRSEGKKMLIFSQFT MMLSILEEYLKFKQVKYEKIDGQIKARERSNAIDRFNDPSKKREVFLLSTKAGGQGINLT AAEIVVIYDSDWNPQNDVQATARAHRIGQSKEVTVYRLITKDTYEAEMFERAIKKLGLDQ AIFMNGQFKSCENSYKSNKNDKKMSKQDMEVLLKNGIIGLITNNQNGDTFQEKNIDEILE KNSRTAKYSLINGSYTVSKQSFVSEKTDKSINIQDPNFWKIILKSSESRCQKLSKMFDLH MSLQEQKKYLEEVGDCVNQLIESKLSQSNYSTDDEQILTDLLNKINSSNYAKNYRELAMN WIYELSKPSRRIKKITDLDLGRKQQRTVELQGEDARRLASKSKEELIKKLCYVCERSNCS IFCMGHCRRAFHDACKELLETTDYINVEGPDQDFLNKNAFPEFNWSGEQLKEKINIRYSC PDCRNNLVVCLLCKQKGTYPPDKKQKEEIVQIDEFDPSDDNVKKSKNKSIISKCSTANCN RYFHLSCIQANPLSKSLDTNADLFRCPSHICVFCKINSSNMTTALIHCIRCCRSFHSKCA PPEIKVKIQKIGKKVMICDLCVKPKQEKSEASMIVKIPFIGYQKKVKHSKAEFNSIGTTA RTRKIQEKKHETQDSDKRRMDSSRSNSQEIIQKKVQREKRSKDIHPYSYEELGIIPVKHF DYSKYKNDWCRYCGARFASNFTKGPWGSRTLCTIHYINWCQKKQLDLTQYPDIPDNPINR DDQTELQFLQRQKAKDPNFDPRKELNIQNDEQYQIFSNY >CAK74720 pep:novel supercontig:GCA_000165425.1:CT868196:250745:253468:1 gene:GSPATT00010888001 transcript:CAK74720 MDPSAHWKELNKKYYKFHQFNPITGEQKSLDFFYQRIAVSKWGGPLAATKNYNQVILMRT DDLLKDSIVFFSNNGKIISKTQYKEIDRIALFDFLEDEQLLLLKANGSYYIVDPFKGTKK TYDLMDQFKQQQIHKGLIVNNGFVLMTTNFEFFYIPNAYEPQVHKMKPSNLTQEPEHWQV IPPQKTQSGKMELLIANPDGGIIHIIEDEKWKIYYNKDKIVNEIDKKLPDLRNIKMISLS SNYKNLALLQYLNQRWVVTFISDFFDSTDCKQIPIDLKEEDVKKEESAEKDISKIERPRR MLWCGDDCVVLQLQQYLVLVSKDSYIKVKMSNSHFALKQEVDGVRILTQKKNEILRKLPE AYVNVFLPLSVKPGAQLHSAYESFEQKNPIEDDELRSKKTELGEAVNDCIKSGQFEINPE YQMKLLKAASYGKTFLGNQLIDPNLLNETCKYLRVSNALRRNGSVGGRVVTYEQVLQLIK NPDLFITLMLRYSLHYLAIEISRFLKFQIKQKSTIYTHWACCKVESQMDDDQLCQLIKEK IKEEKGVSFTQIAQKSIEIGKSQLALKLLDNEQSLSKRIPVLIWMANYQQGNNNSYYEKA LIDAIASKDSNLIYLVIMKFLKTDMDETYKFGILSQNPVAQSHLIYYLRNFDDKYLQKYL QYLKKYDECGLLAINQAYQQNNLTEKIRFLEFAQKYFEEESKDSFYSKILQEQIRTLNDL KQEVEREKKEKIKAVMEEKPLNSIMETFLSKDNIQLAQEFAKTYKIPERRFNITRVKALI NNKNWEELERFMNEKNKKNVSIPYELVADMLIKADQEERGLQMIMKMPDPEESCYMLLKI GQQRHAVQVAINNKKSQLIQDIRGSINDNSAKAQLEMYLSSNQK >CAK74721 pep:novel supercontig:GCA_000165425.1:CT868196:253643:254502:1 gene:GSPATT00010889001 transcript:CAK74721 MARDYQFKQPSFLRVSSIVSQIEEPYKSPQLQKFASQLEDIANEYEYRPLPLSRQASNFI LYDPINSLGSRRSITKDSYPLPFQKQQSQISQINDIRVKEELSKEIEQEQKEQPNLDEDK DNNKIKKSQQVIKTSQIQKQIKKKKNELEQQPCFCRNSGCLKRYCRCFHSGRMCLKECQC VEGCLNNEDHLEERNMAIKHVNEKCHRNKNIPKDALFKLKDCFGCSCKKSRCQTGYCECF LRKSKCTIDCECQNCENGLDEAYLESQKNKKNYRVKRK >CAK74722 pep:novel supercontig:GCA_000165425.1:CT868196:254533:255564:1 gene:GSPATT00010890001 transcript:CAK74722 MLIYQSNNCINETQFISKVIIQDQSSRKNKRNITGGEIHLNQIDEADKINEDASQDYESN LLKVLDLQPEITKIFIENLTNGSFIELNEQALRRKIQMMEESRKQQIQTENVLLVLNKLI HEAVKLIDRFIFFFQGLLAGNLQNQQGNDIDACFHHFSRLKYRLRRLDNILQIICQILFK SGLSLSYHNINQCFWQLICLCSIKRLVRKDKKQLRQKVLYPINYQCSLQKIHFNLVYNIA YLLWVIGHRNIVELSSGITQDDTNDQVQAVIDGSALYNFWTYTQIAITVLTVLGWFISVN TTESNLNYLASVEADQIFGDENRKEK >CAK74723 pep:novel supercontig:GCA_000165425.1:CT868196:255800:257775:-1 gene:GSPATT00010891001 transcript:CAK74723 MNKSKSPNQRDIPSDNSKVRIAVRVRPTLNSESEEDFVQMIDDNTIKVTRIGNSLRMKFS DILPKTANQQDVQRLVSESIKSFIQGINNTIFAYGQTGAGKTYTIMGGLPENVANVSTEK FHQVIRCNERGILPRAIQSIISQLQSQIEEDQCRLYLSFYEIYNEKIFDLFNLKSQGLDI RENKNGDVNIPDLSQIRIMDIDTAYEYLILGLRNRVVGCSHANSKSSRSHCCFQMTLQQV SVINGEPVLQESSLKIVDLAGSEKFKIPTDLTPEEKELHIQELTSINGSLSCLGHCISAL IDRNRTHIPFRNSKLTRVLSDSLSGSGKILFIVCVSPSISSSAETFSTLQFANRAKRAVL DGRNIQQPKTNKPKGVSMEEYQELLKQYQKEKQLREELEVIVQKRNQGELLQQISKLKQQ NQELQEQLYQVQQQQQQQQSQQHININYQQQQQQQSSYQQQHQQQQQQYSPLFSDNKKSL AVNSNDKKVRFADDFLTAHINNMDREDSQVFENLAIFQELKQLENKDKKKIINFEEKFKS YFGQSEYQTNDLAQFNTGKQFDSIIRKVNDKQNNISNIVQDLKKEMSKAVNQLEQLQKED RDLKSADSCKENFIQY >CAK74724 pep:novel supercontig:GCA_000165425.1:CT868196:258258:259483:1 gene:GSPATT00010892001 transcript:CAK74724 MELKQLNKFTEEYKLEKTHKLIKYLIILGISVAKQSLNDITQDGIKSLANAYKEKSIQQE IKSLRKKVLSLEKHFQPEQQIKYHSQPKLPTKITPKITEQIPVQQNVKKAIPFKHHLDDS EIKSILWNQYNNNYELNYENKDSQHQPKRKQTFDSQPIIPQYLPRNDSQNEIQPLSQREN SARQQTQRSSYHSSQIDSLHSLHSRNSPQFKDEIKKIHKENKTPQGSSVPKHLRQVQSKI KQQILQDKEQYRSNHVQKVDDQCININNSMNPLNNTPQNKLFQPCQSTHFGSGQVGSAQQ QQNHPQESNTITERKLFNIDEIASSFLNSPFLKSQIKQRLFNKQESASKSSASSTFSLFN PNNELKNFFQQLDKQVVNQLSFQF >CAK74725 pep:novel supercontig:GCA_000165425.1:CT868196:259659:260511:-1 gene:GSPATT00010893001 transcript:CAK74725 MNIKKPTTKSKEDQNRLRMVLDYRWDPKYQPQGKPAIPESFKIVRESNPIETKLGNDLQA IFINLKWGQHLKIEQFKQFNLTDSQMHNGMLFIWCEKDNIMEIIDQLEKLGFNYVENFTT VLLSLEKILTIMPSEPQVRKITEFFSKKNVKEQKEIKKIDGLRNQEQLPDLDPTQVFWNE DYTYFRKTKRTLLMFRKQSKQQLELRHQRTGDIFFDVIEKDAQNISAIGMEYIYKMIETL LPKAQYKAGEGLKMMELFADPNTFGRSGWIQVIQE >CAK74726 pep:novel supercontig:GCA_000165425.1:CT868196:260609:261136:1 gene:GSPATT00010894001 transcript:CAK74726 MNDINKYESMTVCIKLQIILGNLSEGEWKKHQKYFKKKRYTNEISLCIIDFIYAGQLQDD QRNGIFHTLNQNVFQQQQQQEVQKSIQNGQKSKVDDLENKINERIEERRNFQIEQDNWLL KYLSNRKVSVIKNNLEGQQQAYLNLHNPLKNFLVNQINSNSRLYNKIN >CAK74727 pep:novel supercontig:GCA_000165425.1:CT868196:261139:265291:-1 gene:GSPATT00010895001 transcript:CAK74727 MQKENQTFNFQNLILAMYVLKVKFDFQKQFSALLIFMSNLQPLFFLFELENTNLVSTLTL RMEKSSELSNSYVYEFLQILFRPSIKLITIFSNYDEYAFLVVTFVILIHKIGLIIFSQYC FIQEKSQKFIKQAENSFFLKLFCYFLNFYQQLVGSYLYLEMNFICLTCLSKQLEQLENVG FLLVTLNIITIILLFIDSIGTIIINECTITNKVYGLEKLGNTIYRYIQQAFIITQSIIVI EFDQLHVEYIVSAILLCQQLILILDLLIHFSYILQDLFXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRIKLTQMQILSNYHKSILFYKNSKCLEVE LNKFQQIINFNINQKNIHFAILELCEDMQNLTLIAQSQNFYDNYGSNNEKKRSFEHLLPE FLIPYHNLYVKQFIENGTSKYYNAFQINFVMNNQEILTTVNMCHSITSLFKSRNMTLAVF LQEATQDKAFLVIDGENMKCIFTKNLLILIGWTESEIKFLSTLKVFTQVEISQIFPNFMT LLMEHQEKEIKLSSVNLYLPSPQSSFDSMQSEIKISPDKARQNLRLYNIECDILISRKQI GSYCYYILDIIKMAEFNRVREFSQVHQRVSLNSIKQESLNQPILLDCIIDQQDDSPHIGN LNSVNFINQQQIGSIQNIAKPLLLQVNPVIFVNNSPVLNSRREETINQSEQQLITQRNSL IKQNIKSPGFNNQLSFQSYDKKENSQFQSSMLRSKESSQESDKIHQHYELLQQILSISPS KYQTKFMFLFNIWLSFFIIFIIVQYIQLIYDLDNFLSFEFMTSFYASIMGPHDLFFSMRV TITGYQQMNREGFLPSNQLSQLTEPYYESIQLGYTELRDSLYDQLNNQNIKSFLNDVNVT MNFMNQNETEIYELEISFRDALFIILQYQHSQMITFNHRQSTQGQPYQISLFANYFMLHK ECEKLTISMYDYAIHMHKDMDNKLIVLWVTFDLALLIFYVYLQFFQIQFFSQLDQFIQLI FLIDESILNNEIQRFDDLIDSIKHNTDILFMYNPENQLQQHLKKPLNLQKHQNQFHKYKQ SYSAVNKTRSLIKIFNIVFLLLLLSIILIFSVLSISSTTLFFSKYDDTLQLYEQVQEMKL RSGNLFLYREIFFRWQNFTFLTESNKLELYDLINKAQNAISNYIQISNTINYDHYLIDQD FIDLVENINTNSLCQQIDQKFKNLSSVFCGISFDGSFAKGMIVTLNYMSNQIKSQQAINN FTHRVEMEYYEQEGSQIVSRIFFVLANQFNKSLQAQFDEMKLFLTVLSISFIFLTISIQI LLFYYYSPYLGRIMKIIKRVVHLIPFDSLMNNEVLERQLKELKFRFQ >CAK74728 pep:novel supercontig:GCA_000165425.1:CT868196:266063:267802:1 gene:GSPATT00010896001 transcript:CAK74728 MNNSQRGVENRPNSQQNSQDISQQEIQINQNPQNNNNTLNQLIQVLNNQTNLPIDQFKIM VDSIVIDKQFLLLTLNPKGKYFQTLRKLILLIVGFLTLQFYQLLYLFTQDQITQGHDDNH SKLILRPQEHIKNKNSSRSHLYLEEQINQLILVIAIRIAYIVISYVIVYSIRPLCLHYSN KNLLLFSLLLMSGTYFIQGTQCNLYPNYGSLHFEDIGKINKTKIYLDNLIFFGCFFVQGM SSASIFYLLIEQIQFILKKNQRLIFYNDFLLVIVEFIVVSSVLHMIFKEQYIFNLIIMWI PFSLITLFAYFTLQDSPLCILTRLSYYEEISRQNQQILKKQQVALNKIETLLTEFHQNMN SIYSVNFPNKVYFSEEQVSLLLSKFQIKPSNKQEPRLIVNFIMFALSFLYFGHLFKLNAF VNQFQWTIETLALILSIFELLGYFIGNYCISELYNEQLLRKLLMTFGLINFLSSLPSFQS IHSQFQSQVEFFSILIVRLLFSLAIKAFLIQFSNYKLNFGFLVLGLLFANINLLQIQLFQ VVVATILLFAYHLSKQF >CAK74729 pep:novel supercontig:GCA_000165425.1:CT868196:267878:268655:-1 gene:GSPATT00010897001 transcript:CAK74729 MENIQRLLIAPNSSRKYLIKQDGNNLLFSIILCLFYIDNLMNEKLPYSAAWFKCSYLFLL FISNLCAIFLYVLFIPQLITLKQEHNKKDQIIQLRTLLQYKLHQYLNINQYLINVLYFAG ILLVICDFFGEALEETQNIKKILRYSVFGYLLNRIFITGRLENALEEQTIVEFKESFIKI EELNEISLSTLEICSICYEEFRAKDFVAEYQCQGKHTFHQDCVLKWLKMPMNKTKVCPYC KQLPDSINKYF >CAK74730 pep:novel supercontig:GCA_000165425.1:CT868196:268706:269386:1 gene:GSPATT00010898001 transcript:CAK74730 MLSLPLEIEYISYKGISIIFKSRNQKKIKLIYIKYFQNEYPERAQPLANEEVEPQILELA QQAAALKQLKKGANETTKTLNRGIAELVIIAADTTPLEIVLHLPLLCEDKNVPYVFVKSK VDLGRMCGTSRNVVACSVIKDKNSRINQNITYLKDLCERLQINQEA >CAK74731 pep:novel supercontig:GCA_000165425.1:CT868196:269982:271262:1 gene:GSPATT00010899001 transcript:CAK74731 MCLKHNSLTYAATLIKPVTIINSFDSSCSSAGECCACSKTGYCSQNQSCACTASSGSQMS GQTCLLNSTENAAVEKQLQSGADESLIASFSVIQVYDLLNRNSTLTYKQLFAIYELGITT AQSSVQQSEIYWFLKSIEFITKKLKAIQTLEASALQTFQIQIMDLIRSLMFKYIDLDSKK NPIFFEQGYFSAIVAKWKDTINYTTMKLNSKSIKSAQCEYKYKSVLIRYKLVLAVSNDMI DAFTNSTFTYNSTVIYCQFMDKYGDIYYPPSSEFHYWHYVPNFDYEPLLELSDVIGLGCF QIKQSNSVYGLAYNNQDCQYKEITSSRPVPYCQCNEPGITFVQVLWNDNKIAQLALAAGT SNSTNSTEAYGHLLIITYLAIQIIL >CAK74732 pep:novel supercontig:GCA_000165425.1:CT868196:271278:273771:-1 gene:GSPATT00010900001 transcript:CAK74732 MKSTYHPHVEVKTQQSYDQNEEQQKELELRNQKLKELQIKLDCKDNIIKDMTQGYLKDVQ HMKELLFRKNDQQLNIFEVQYFDSKEGFTEEQTNLLNQKIKGIQQQFEHKLSSIFQLKIN NIVAQIDKFKDKLKIHDTSIGLLAKVIQMDNNDPYITWKNIQDLKGNSYFFQVFENQKHT YGINYREIDKLIIQCKSQQREVQHYRQQLEDQLSQFVDRQINYIKDLQGELDEKNEQIEK QKYRQKEIVQECLKQSREQMEIALSHRKNEMLQQLFGQFNELNEIKTNFDQYKVKVMFNK WILINRMLRCVKTVRDQFLLRQEIKEIILGRKDFEEKLKEYKIKKKKKRNILKNQYEELK QIYENDKYNQIYWEYKYLTQEKMEQILNQEVSQLQQQYNQSKENIKILQLKYQVVCLHYQ QLYRLFDSKQINFEKLDKSSIKMVEYKLKQSDQNKEHLSQQLALQQYQQLNKQEFLFSNI QNRSKYCQTIVLNVNTPIQNNSLNYDIIEKIMKLKLNINEMSHKYTNTDFEYQIKREIFT KDVEVQCILESISTIQQQQSNSHCKSNLLHYEQLRSVQTPSQLCLLEEISEQQVDTQQFQ NQTEIKIIDNQNMNILNQENTYLIFQRNSLKFTKEDFTKKDYESQLLYDQNRLQINTPQT PLQASLRVKDQIEKISYLSSNNKEHSVDQQQDIFQRLYKDSMNRKQRLQILKNNIETLDQ FKWEQILQIIQSSPIDQARGYSQKSTKRPQMYFSKQKGLKDVEYRFSDLERDNQRRSSYK RTGTSNNRTFQIHNTHQIQKQNTTFDFSYSKVNKQNF >CAK74733 pep:novel supercontig:GCA_000165425.1:CT868196:274355:274787:1 gene:GSPATT00010901001 transcript:CAK74733 MNYQQGNRDLAIRQEIYNTQKNFSYKIKNKKNNIIINDKHSGCIDRIQNLTSELKRMTEA LKETNLQVLNLKQEIQKPRVNQASSNDDVIQKIQVEGFKLDQYKLNKLEELNEMENDLNR QHKDLQAERNKIRL >CAK74734 pep:novel supercontig:GCA_000165425.1:CT868196:276300:277061:1 gene:GSPATT00010902001 transcript:CAK74734 MTIFRSYFDCFLPELKEFNYQLWVKIIVIYKLVLPIMFLILYLLYRENYNETNHRIIISN IILLIIGVENGIGVFSWVISETFSLYTILFLILQCIIQLENAQQNIIDKEATFDINLQIL FRHFNEMMYKSFVWLNFATMIPAIFMLILITICTCQLKLFFQLIKRNTPDQQTDVFYEYS GEEGAECSICMEELKQMEKYVELPCNHTFHSQCFSKWKNYKQLCPVCRRTVKINDLGGIN KQLQLSKIQDIEI >CAK74735 pep:novel supercontig:GCA_000165425.1:CT868196:277103:281165:-1 gene:GSPATT00010903001 transcript:CAK74735 MNFGDDEIWVNHPKFVCLIAKLDNQENKLVITKQGILNIDKEIREEYVEEKSNVKVDPLA KQGVNDLLLLNELNEFNCLHGIRMRYSKKSIYTQVGAPILVAINPYQYVPEFYDQKMVTF FKKEMGRMNQISKDILQQKLRDIDPHLYKVAQMSLEQLFEETAKSNTRICSMIISGESGS GKTESTKIILKYLAAESIGQKLKTPSIEQQVFASNPLLEAFGNAKTARNDNSSRFGKFIH LYFNPVTKRITNAKIDNYLLEKSRVVKLSSVERNYHIFYQLIAAQIPELKLGQAKQYHYL KQGDLKYTKNELEEFKETSDCMDKMNFTPQEKQFIFQALAGILHLGNLSIQGDANKSFVI EDFSLKASCQLLGLESEDLTRIICKVQTLMGKEIIMKENNIESACSARDTLAKHVYEKLF NWLIERVNSQLQACGQTQNKQPNSQYMIGILDIFGFEIFTDEQGIQTNSFEQLNINFTNE KLQQHFNSHMLETEQSEYNQEKIQWNHIKFPDNKQIIDVIENSTLSIYKLLIDQTIAPKG NDREFSNSFKKLPRECVFNVQDLLAQDSDIKVDKKHKTAKFDWFGIKHFAGYVIYNVYGF IDKNKDTINQEVHQVLPTSKNPILREIWKSHQITNTNIKQNNVITRFQNSLQELLEVLNK SLPKYIRCIKPNNNKTAFEFDAQEVRRQMRCAGLLEAIQIRKAGYEIRLNHHDFMKKYRY LIKGKPSNINQMIILLNQNQKIKERLSKELESKNVQVGTTKIFMKEGLRDFLDQMLVEFR MQYIIKIQKSGRNYIYRKTLFLKLKILVNRHHKLQRSCKWYLFKFVLQKRIMNRQILNTF LFKINKFERKIVESFALQKLNDYTAFLKQRDAEIQRQQQELEKELEIESSGEINKSVIIS SKLVEDDFNISQSQPIQTINVKTFTKPETVSNSNSIDMFKKALQDTQQKLKLEQEKRRDL ENQVEKLQNDLLQFESSGRETLNPSAFGQLEDIFTLQKLTSEKQMLEVQVEQLQIDKKKI EKSSFDQIQNLGLQLAHAQQCIKELEHNNEVLTQDYLSEVKAKEEIEEKLKDEWNQERRQ LARELEDSKKALSNQNKISQKDIQRIKMEYDREKLKVAELESEVKKFQQLSELYKSQLDS NDLNIKDHKGNDHELQLKDLQIRDLQVEIQKRDQIISQLNNQIKEQQTKSLTQTKTISQV SDNAEITLELNEKIITLSATLKKREFEQNRNKKLISVMNNLIKLKIIEVNALHKHFNIVH EQQKQDVYKPIAQIMEKEKLLMKQFEDLIKQNNQEQTEHAENQQKIG >CAK74736 pep:novel supercontig:GCA_000165425.1:CT868196:281202:282072:-1 gene:GSPATT00010904001 transcript:CAK74736 MGLISYYCLLVVVFIALIIYDLLFYTTYQNDVCPPIEYTDATADETTADENAAGNRLLNL MDKNMDEFVNSQLAAQDETTTDETTTDETATDEATTSSSTFANQLVTLPFIIILLYCGVI GLIILAMLGIYCFGKMPSERFGNLNMCWACLGIYVRNFAVLTRLLSWVGILLMAIHAIIT ITNEECQDAVHEYKQNRKIYRVEGAMYDSSILLIIVNLCFWGATHCLLPSLKLFIDAESF LYEPFDRTKGLAYWFCCIMLGP >CAK74737 pep:novel supercontig:GCA_000165425.1:CT868196:282253:284790:-1 gene:GSPATT00010905001 transcript:CAK74737 MQFYDFLPAPNPLIQATDPEMNTKITQIKKRDEYNERDPSKNLWDYFTDNKQNLVYQRYD NYPWSMIPLNNHRFTASYFFDCKKLISLNREIKLTEFQSVLYKYLFPSTMKQKEFKPLLL EQENKNYSGLKFDSHYESANLFASFRISTYEYDLIMQNDTNSKGHTQWFYFSVENTQKNA LVTFNIINFIKNESLFNLGQRPVVYSIKSNKTKGIGWIKAGTNVVYFKNKYKKENSTTKT YYTLSFSYKFEHSNDKVYFAQCYPYTYSQLNSFIDRVIRINQVNQIRYQFSTVKELCKTM TRLQCPLITIGKGRKAILLMARQHPGETPSSYAIEGAIEFLIRNCIEAEMLRNHFTFYII PMLNPDGVMFGNYRCNLYGTDLNRIWVNPHKELHDSVWYIRDMIKQINQSTELSMIIDFH GHSKKFNSFFYANNGGDSLKLFPLICSKISKMVSLKDCSFSIHESKKKTARAALQEDVRS GYIYTLESSFHAYKRQFAQDFCDIHYKELGVDIILSMFKLFQQEMVQSTFEQNINFKLPT TMNSQQNNDLDIFIKNYDLSQLQLNQDDSGSDSNPEEDVLQDLEQLSIAESRKLSKAQLI TKKKIIKIDKSTQTDISHYQNLMLDEKVLIKIEEQVKALRKNNNNLETIGILGNDVEFQE GQKCEFQQTDISLQQILGRMQVFHVRVSNKNSMFQHQFTTQSSKPVVIVLKNPYSKQQLQ QNQQTTYQQDQLSIKNRRRVASINGRASIDSKRLANERKYSIQHYQQEKYHGYSYQYNTP VNFMPQDTTFDKIANISKARFNEKQVVKNQF >CAK74738 pep:novel supercontig:GCA_000165425.1:CT868196:284968:285360:1 gene:GSPATT00010906001 transcript:CAK74738 MSSDDLEINLIQFPNNENPKKTILKQKKPKFKRRQQTSATQVRQTRSKKQDDKDDDVIII GSSKPSQVPLLKKTITKRRGKQQKKHKISSKSKLKSKNQTIQDLIVDWQKDLRKMIESTV VLSNKISQLD >CAK74739 pep:novel supercontig:GCA_000165425.1:CT868196:285385:287773:1 gene:GSPATT00010907001 transcript:CAK74739 MPQFHEMLKEYQLLYKEQCSSFIKDSHTLWPISAKNGAEEAQNVFNLGWDLETIISNWQN EKTLLPDEYQYELYSQLIIFTIILSVIGVIILLCCCQIFCIPKYKVFMRCCYINVETDTK STFEKYFLFFGIILLSLMLCTVCGLSYTDKQIESSLLQVKCISLWMIENTIEQQNDQGWQ NDWQGILYINKQIKSLSQELSDQSRQNAINQLSQIKTKQLQQQYKIENQYLSDLYEYNFE LKLINPNPYSNQQQISSNFIEQIGPSNQLGTVTNLLLEELSSRDQAIYLMKIIKSKSQYI NISQIIENLNQSQSQLNDFSYNIINLLSKLEQTLNTKSWIFDSGVTAYKRFLIITCTTTV ILLFSFSLVTWFRFQKMGFLLHISWIALAVLLIIGFIILIFVYYVSLINNNMVCNLAHGV IHDKNVLKRIIKIMKPTKHFIKTKSFFQQVEVCLYQNGDFVSYFDLNQTFQNIIDLDRSI QEFDKLSHSRNVINFYQSVISSYFTNYSLVINTDSDDNPQNVLRNMNNWTDYNIDKSMQL IFGGCRVSQDEWEWKDKDCKYYKADLLKLNFYSNPHREFGMAICLIFDSCDEDFAKQRYI EQYKRCKTKNKESISQIVLKYFDQIKLYIDSVNSTFKPILANLTEYEQLLTNLDNEIKQQ IVNLTYYGSQLNLIFHKTQNLTDTLNCSYIKQGTIRFKNTFCTTLLNGMFFMYIYLISIC TLLSCLGVLSVWSAMRCIPKSEQHQVFLEAAIGPMQQRTIQRKSLDVN >CAK74740 pep:novel supercontig:GCA_000165425.1:CT868196:287802:288889:-1 gene:GSPATT00010908001 transcript:CAK74740 MDQNYDNDNIIIFVKSTSTLILFLIILFTANLPFKSRTFRENKPLVSISQAFSGGLFLSV ALLHLLPESQDKYETSQNSGEMPKKELFPFPFLITILSFALILFIEKIVTNHKHQHQDHA DVQPTQNVELLRSDESVCCSQVGACCNQVESQAQEDVLRNAISSQVKMAQRVGFNEIKNK TKIKKPNKSSNITPYLLQLAVGIHAIFEGLAIGIESNLSRCIGIALAVFCHKWAEGLTLG LAFKKAKITHSKAKKLIFLQALMNPLGISIGWILSSNKLIIVSIFYAISAGTFLYISTIE VIVEEFNVARYKLFKFLAFLIAIGFISSIWLLEQIDF >CAK74741 pep:novel supercontig:GCA_000165425.1:CT868196:288917:289982:-1 gene:GSPATT00010909001 transcript:CAK74741 MQKFEELKIAITGAAGNLASAFYPILGSGQVFGSTQKFSLQLLELPEKLQELEGIKMQIQ DCAFPLLNNVIVSSDSAVAFKDADVAIFLGAMPRKPGMERSDLLQMNREIFIQQGQILNE QAKTTVKVLVVANPSNTNCATLANQCTKIPQQNFTSLMQLDHNRCVSTLAREANTTIDQI KRVIIWGNHSLTQYPDMTHSIINGKQASETFSKDFLRNALIQQVQQRGGQILQLSRGAST ISGAIAVKDHLRTWFLGTSQDNWTSFGVISDGNHYGIPKGLCFSLPVTCKDFEFKVVGDV ELDDFAKQRIQLSLVELQKEQY >CAK74742 pep:novel supercontig:GCA_000165425.1:CT868196:290006:291858:-1 gene:GSPATT00010910001 transcript:CAK74742 MSRSSSRRNSQAIGSLINYANSDDTYNVKAILYENHNHNLLILKTQKLYTLIHLSCYNNN EQLSELYFQYIQAQIDKNIHTKQEVEQWVNQQNDEGFTALHLAAYRGSLKTIKLLEQYGA DYRIKNDNKLTVLHTASQGDCPLTVCYYLQKGIDINIVDNKGSSPLHWAAYSGAYNAVNF LISLNANVDLQDTDTLVTPLHLATMQANSRIVRKLLMKGADRSIKDSNGKTALDLAIESD FKTIETMIRDKNDILIICNVRQPFRPVKQKRNSQIAFLSMYMTCFICTIVFTFPFVTNTI WMWIFFTLTSITVIFFFISCAKDAGVVKLDQKLDMLQILERYDCSNICADCKLVRPKRSK HCEVCQQCVMVYDHHCPWINNCVGAKNHFVFYFFIISLFSEFILQLFMQFSHYHSYTIQR WFTQTEDWLLIGKKFTFYYVIIYCLLFIVPLGILIQIQTINLLTGQTTFERHSLGAQDAK NEKSNEKSAINRTEDQSMESTEQGQGGTQQSIHDQQLKMEQSHLSLGNCFEMCFVNKKKK EYSSELATEFIEL >CAK74743 pep:novel supercontig:GCA_000165425.1:CT868196:291906:293674:-1 gene:GSPATT00010911001 transcript:CAK74743 MNQIYLKPLDETCDNPQLHEATKLFLTMNKENHTREELHYILQKMEELPYFKQFVLEKLQ KGTQRNDILELCSRLRMEYFKGGEVLFQENDTSNDKLYMIQYGEVMLMRQKKMDQLMTLQ RQNSEQVQQPQLKIAQILNAKKFTSKIIRHHNFHKETTISKEEKQQMEHKYGECIRLLGV GTGFGEKALVENIPRSLTVACYSHELFVIVLKKDDFITYQMTFEKTKKEKQQLMFKIFKN VNNEYSSQRLESMIYSCQTITYDRGTQLATEDEEGDAFYLVINGDLTLSKKIDNRNVSLC IISSGHLMGEEIIINKTGMYEYSCTVTSLLATVIVIDANEFIHKFPEECRLQLIEDYGPK TANRQRLLQLLLQKRRSQNTSNKQMTNEQVRLLTEIDDVVIDQNKNHIIESLKKQKDVQL NQHFLTTYSNPSVQNYCIAKIIDREKTFHKLIKSCEFQEFDFGNGNNEIIKRRRFMLENK LNLKKPNENYLKPQFHILPLTVKRDLVKNFRLNNKKNVCYSNFNIKTLTKVQPNKKQSPL KIRELMTARPSQQQCDSYSTHMFTQIDDRQSL >CAK74744 pep:novel supercontig:GCA_000165425.1:CT868196:294597:295700:-1 gene:GSPATT00010912001 transcript:CAK74744 MQERQMSEEVRNDNPYSRLMALKRMGIIQNYQQIKEFTVLVVGVGGVGSVLCEMLTRCGI GKLIMYDYDKVELANMNRLFFTPQQVGLSKVEAAKQTLLNINPDVVIEAYNENITSGTGF NQLLERMQNGALKEGPVNLILSCVDNYAARMTINSACNELDQVWMESGVSEDAMSAHIQL MIPGETACFACAVPVAVVENTEQQIKREGVCAASLPTTMGITAGFLAQNTLKYLLGFGQT TFLLGYNALADFFQNYAIMPNPECKDHNCLKRQQLNSERLRLNKLQKRKEQSEQKQEIVH VENEWGIEVVADDTPINEAQIQQQLLNQQQSQQSQQQSTDTTKESTNLEDLMNQLKSLQ >CAK74745 pep:novel supercontig:GCA_000165425.1:CT868196:295716:296504:1 gene:GSPATT00010913001 transcript:CAK74745 MNNQFLPDDEDPQLKEERKNLYKIFGKETSLGKELFGLYNAHEKPKINYPKPKQKTQEQL DSEKVKTQKTCPQKTVIEYPKEEIKQRQQYYPIDFVQKRKPEAEIRKEIEKYYEGRKFFR PAVQGQDRKKLIEQLQRVFKYKRGALPKGAELPEINIKSEDAFLKDSETTSNAIKKMHKK DLYFTGLKSDNQSDATTLQGDPQIELEVLFSSIMKEIEERQEFLQEIEYLNEPKLKQRIK DEIIERVAELQKVQDLRRHYCN >CAK74746 pep:novel supercontig:GCA_000165425.1:CT868196:296742:298484:-1 gene:GSPATT00010914001 transcript:CAK74746 MSSVIQLNSISIKNETNCGYCNGGKSNKLWVTSGFYCYKMKVDEYQQLMDRGWRRCGVYY YRPALAQSCCQAYALRVVASKFQMRVTHEKVLKRIKRIKPVLLDNSNVPLQQQQKDQIEN DTFIDLIKQQLQTDLDLSDLIILEKVGQHYTFKSIQYKEEDHIKYFKSIIITSLQKITKQ QLNETFAIEKEELSNLLYQNFINSISELQIYEILLIKGYLNKVESDWAFGCNLIPYLYSK ITAFLKNYNLPVLKLEENLKYMELIQKYLQNLGFEFKISELDHQLLIKTPQTLKEKILKS NILYYLQKFDLQSNQPQEITKKNRHQIQIKWVKAQFQDDSFEVYKKYQRAVHDKERVSKM SYCNFVCVDALDSQELGCWHMKYYLENQLIAVGVVDLLPECVSSVYFFYDPDYKKYSLGV YGVLSEIEYIQKKQITNPQLQYYYMGFYIMDSKKMAYKAEYTPCELLCPRTYRWISLTKK IKEKIEKITIYVQDVGLVDERDQEHGYSINLDGRTIDDMNFLDVDIENFIQQNVKISQTG KQFTISQLKKNYQQYFMNLFKDFLKKIGKELMKEFLFAVN >CAK74747 pep:novel supercontig:GCA_000165425.1:CT868196:298527:300434:-1 gene:GSPATT00010915001 transcript:CAK74747 MQQKQSKKSKEEEPIFNGTFKDNSDDENDDKRKKQTLIINVSDTKYDVVKFVGKKIFKWI LQYEPDGVNWDMFWTDAAVQPETLGKMQPHQKINHFPGMYSLARKNHLGRNLMKMRKQFP NDYKFFPQTWLLPAEYGDFKNQFVKGKVRTFIVKPEASCQGRGIFLTRSINDINPTDHYV AQRYMHRPFLIDGLKFDLRIYVLLAGTDPMRIYVYQDGLVRFATEQYVTPTTNNLEDVCM HLTNYAINKENPNFVFNNDATKMDVGHKRSIKSVFGKLQEEGHNIQKLWQDMYKLFIKTF CTVQPILNHHYKSCQPDNYANNMCFEILGMDIFINDKLRPSLLEVNHTPSFTTDTPLDSL IKKNLIRDTLKLMNVSLKAKEEIIASRREQLQQRVLTGKKQKLTQEEKQLLIKQWSEQRD QHENNNLGDYIKIYPLEDAHEYDKFIEFSSQLQDNWTGANIRRNQKKEPSETQQFQISKP PTIPVKKPNTPQMLPKISERQNRIHQSIEPNAEIKSKTQSSLGKSTKESKRQVSDPPIPK QHQSMRNVQQPPQQQQQLPLKSCLQPKLFELDILSSQNRSNSQSKKLNQFKLQ >CAK74748 pep:novel supercontig:GCA_000165425.1:CT868196:300491:301271:-1 gene:GSPATT00010916001 transcript:CAK74748 MNELTDGILISILGELLKRKDSIKIVFFIEFSQLFYAFLKLIAVISILTNTSECDLFYYF LLLLITDIMCSIVNLIALGNLYYCDYQSIDRTLENFENQRISLQYNNDAYVDFMTLLEAI SNRYQLLYNIIALSYFALSIYGIYSIINQKCHYEDGSAATAITFIILSLFYFLRKLFLLI RQYKKYQIYNIISVNAQQEDDCCICLQQLSQKVAQLQCKHKFHLGCIQEWFKTKSTCPIC KREYEVIYSHN >CAK74749 pep:novel supercontig:GCA_000165425.1:CT868196:301297:302619:-1 gene:GSPATT00010917001 transcript:CAK74749 MQPIANRMLNYLQPQMYAKFTMLANQKGCINLGQGFPNFPSPQMIRDALSEEAQTESLQY TMTSGHPKLLHSASKFFEQQIGCKINVDKEIMVSSGAQAVLSCVMQGVLNPGDEVILFDP AFDLYRPMIEFQGARHIGIPIIPKKLNNKQEIIKRFKDGKFQYSQDDDWELDFNYLERVI NKKTKLLLLNSPMNPIGKVFSTEEYNQLADILDKHPQVVVCEDAAYHHITFGKYQPFNYP RCITHPRLKDRTVCVTSAGKMYSSTGLRVGFAVGNEEIIKGIKAAQTYHIFCLNPVIQTA TAKCLDQTLDGKYFQSVKNLYEEQANMLLKGLVESRLNMNYWVPQGGYFKYFDDNGNRLT RDFAFAYYMINEFGVVCIPCSPYYENKQLGQNYVRWAFCKTTETIQEAINRLK >CAK74750 pep:novel supercontig:GCA_000165425.1:CT868196:302885:311092:-1 gene:GSPATT00010918001 transcript:CAK74750 MAFGDIRVVQDQTRQPYIFKWTSTNQASGVLSLYCPLGINKYLYEQSNEDYYYFLQGGYF GDKQELNYIIYMEILFEQRLVVFHVQVNTEGKWVECTFQFQPLEIEGIRISTIIYYQDSG QVMVEQFWGNTNRKSFTFPNTIKPNFVVTQISGGIYSDINPMTGTKILLKQFPGKMNAAI IQMSELKYLWSGYPSLQGSNAVLLQEQSTEFQERVSNSFYSISTQTQKDYRVSFWAKAQA LYDSNFDQIIHILRVVANRFTQDFMATGSNSFILDYVYDKESHKWIFRICFYSQIIPILV RSIPDNSLVLITKEILIDNYSYINTWHFIYIDYSINTITFYFENPEFNYKITQQYENVYQ YQLIYYRLFFGGTFIETNSLTRSFALISFQDHTRSIIKCHMSCQTCFGPFSNNCLSCPPN SNRVYEPRESTCSCQLWYKEFNNHICKLFSNDSIKIKLEENFQKDDFRKHCNFGDFEYNE NCYKCPSASQNNQIICSACLMRPTDWIYNNPACYDIYYQYENNPNYQYVQMMTDQKLANQ YYIFENQELQPCQGCKLCQDKLDVTCQLSSYLHLDKETYIQCLEGYYFSNGKCLKNKQNL DIINTSCKNNCLKCSNRQCYLCSNNNNFFLNYMGQCQFCAISNCKYCFQYNSYNRIENSI LRDGFQKRILSDDFEVGCAQCLKGYVYSFRLKQCLPQYNEIDCQSYINDQNELQCYSTQF DKLITKFQQIQNCQEQLPNCEQCIYTKFSILVCIKCSQGFYINKVNGLCNKCADYQVSDC GLVDFYHEYQKLLLYGFLQHYTQTLNDLSILFNDYYNMEILECNSNYLLDKIQNQCIDQC IKCDKCAIVNGQKQCLKCTLSSGQSSFYSQKNGQCYQCPQLCKFCLQLDQDLISLYNPYF LVTDSSKTQAIKCLINYDQNLTYIDYRSGLIKPFNQVTKERLTFVAQDDKTSIRIYDFED IILKSISKISQQIYLGTNYLYSLFSYYYSVNIYSIQLMVDNNFGVGLANISNASEVRGYQ LNIAKDNYNFKIDSDFGVKFYIEDCKITNIISKQLFTINQPLLTSLILFKIYNITLRDSQ VFIINSHFENSTIVVKDFLYTNSIFIESIFLLHSSDQHDSVFISNLTFINCTFKASSYFS QKTLVSIIIIQMNLIKFINCTFKDSILFQLSDSINSFSIQDLKVINCSFYNSSLLQGLQN LRLNLVLLNNLTLIESNLFLYLYSLSNYTITITHLICQGLLFNTSKFLLIENLQQFVQID VIIQDWNLENINFMVTNEIINDNSILSFQNVNSQIKNLIIEKSILFTLLIFQNCQEVSLT NIQISQIENEVNQLDSIGKFKYQRSVIYISEFTYLKISQLQVSHWIQTSDSFLIIRCDSC VSNFIQISELLLENYSSFNVLNYINPAIFIQIDAEVKINITNWKFKSCNFWSPSPNNEMM EAALIRIKSPKSKVIFNDLTFKIANVYNSSNSIVVVDATTIFMFNTQVDDINTQNAIGQL YAICGFVIFMAEQIRIINTRMSNINSAQYGFFYNQLKQQGNLYVQNCIFNNTKLATTNFY MTQLGGIFTIDGRLSMLQLSFINITAINIYAEKKAAFLYLIPSSISNQVFMKNLNFKNLI SADNLFCRLKFPSNSQNNKIVLEQIVINNNENSTLLQQLIGSQKKGQSVSNYEGLISSSF SSVLINDFIYEGYLTQPIFILNVIYSLKISNLKFVSLSIFNQFEQLINIEIQNADLVIMQ NFQFEKMNLLYQLEYFLKINCTSITNPIISNSIQFINNFCPYCQNGYMAIFNNQNQSKVV MNDYIFYDNNCGVYWCFIFQNLQLKIQNSFFIKNQGQNNGILYGTQSVLLIQNSILKNNQ IMNQAGAIFLNKSIIEAQNLLIVNNSANIGGAIYCENSKVNKETRRKILFLENNGFFGLN NIREHADFLNLNMFGFSIDNKREIQNDEIFDIPTYIVNHNQMRVNKTFIEVASGQKLNEF QVFDKISMQYSNYTISLQIEQYTQLGEKIIQDQGDKCSLTQYQVNSELNQTNYEIISSSS KENMTQLQFDPIWESYKLDNFSFYLDPYSNQSIFLMVLIKCEQMPQNYNFIFKIKTLPCG IGEYYNNKQCLKCDFDKGYFSVTKNSLECQRLDPTKMKSVTSYQIELLSGFWRLSYYSHY IEQCENPSSCLGGWNVNQESCFVGYIGAICNECDIYNVRGQSSYIKSLSGVCQKNEKQKE IFLITFVLMLFTFIVTYVISFLKSEMHLMYKRMKYMTIHHRILFQYQLNTSLKLLINFMQ ILYPILPHLKFNEDFADLAWPIGKSSKTLLFLINFVADNFEIEIPYLKMVWAMLIPIFQM SILLFFYASIQRINNNSHIQLMFIQSSFIFLVFYSIPNIMEELTIVLQRRSIANIDWIGA NLAYQYQTKEHQSWIWQFIVPSMILIFLVIPILFFTFIYKKNKETKNIDISYGIYGYLCS DYKEHLYFWELVTLEMKLTLIFILFFLDNDKIILKNLISILILLGYYASINSLKPFSKSN LNKLEKSGIILCCVFISLNILISASQKYSYIEVQYLTQILMLLILLFILLYTLFKILIAF INRYQDIIDIIRIAITLRFPNFQHYCPFFKKHLQLRSETRKNVINKFKRIKSQLKLMKQN NIRIIRNPINIQDELVSNIMRSDQRNLIQQYSIQNSFMF >CAK74751 pep:novel supercontig:GCA_000165425.1:CT868196:311144:316721:-1 gene:GSPATT00010919001 transcript:CAK74751 MFYEDYGKDVYIFKEQTLEEVCNNIEFTTLQEFKLLTSFEKIYQQKALYQYIKSLKNDYL KNNCIKELANSLIENCDEQLLIQVYDDILDTPLNDLPLQLLSKSSYVAPPDIPEAFLQEF PHQVNNKYAWIDKGDQMQYGLEKPCILIYTNVILGMQKTFNKTDLKCQADLVYYNNQLYH NQTVYFEQKGIRIMGQQFSKLEKIYVFQKSTNIDNTKTKLCKKLSKKGLIHSLLIQLAQE KFDNKSTVNMLLYECLLFESQDWCQRIISQDWLTNVISLSLEDPKDTSIFHQVVYENLLQ VNLNNYTEQQTDQLLLRLHQYYEANSLDLKQKKVEKQPPKKVEEPVKAAPQASSNNKQKG SKPKGIGYGDINYSSTYQTQQKKKEVQILDSDLIYQQAEGYRWKILTEIFKKLRNLNLDY ILMVEDSCLIPVIKSILSSLSKSQTITEKFEVIQIVFELLKKFLTEETMHLFTCKDYGDV SLFEVIQKVNNESAFIQSKEDPELNQKIIKGISNQDTQLSEVLKDLQEFFNQLVIVLEKN KYIDVKFEETESQQIQDPAYLYPMIMKPLVLKTSEVIKQPGWKKQLEEAYSHLSSSPPNK KMNRIIKELSDIGENLPLQLTNSIFLRYDKDRMDAMQAMIFGSSGTPYAHGAFLYNFLFC DDYPSRPPKCLLETTGHGKVRFNPNLYNCGKVCLSLLGTWGDNWKANESTLWQILVSIQA MVMSEYVYFNEPGWESSMGTVDGEKNNRGYCNIVKLANIRYAMIEQLQKPPAGFEEVIQK SFYLRKDVIIKEVQQWIDEADLPVSYHCTQNHNISAPFQQQPQKFKSILTAEFEALKKEL EKLKVCITHQSDKKILSIIQRQSYTQIQQEKKKQHYRKKEEISEFLQSQLPEIDVSESNV SNRQFDIQNAEVQNLMSRYIGVMGLDSVSKQSQASVIIYGLGALGIETAKNLVLSGLKRL TIVEDKKLNNLGQFFVQNEESSRLEQSLLHLQGLNPYVQIDYSTDIISSIKSLNYQVVCL CEVDSLEIVNTISAICREYKIGMIVSQLVSVYGRIMIDLGDQFTVNDADGEQVQEFIIEN IDQDQGIIEIKGKHNLSPNDVIELKEIIQEDGKSLNNQQLTVVKVINKSTINVGDLSQFG KYLRNGRGQTIKQKIVLQNKQMSAIMTDPIFDPNFILDEQKYTVINEQMNRFSNQSGEIN ELFQKTGNQIFPPQAAYLGGIVCQEIIKAITHKYMPIRQCYFHTCEELLDGNLILGKELQ QAIEKCKLLLIGAGAIGCELLKNYAMIGLGINGNIIVTDPDVIEKSNLSRQFLFREKHLR QPKSYTAARACLKMNPQMKIVARLDKISPQTERLYTNVFQYVDVITNALDNVQARLYVDS QCIEHMKPLLESGTLGPKGHVQVILPKLTESYGSKQDPEENNEIPYCTLKMFPEDSNHCL EWARDKFEKLFTTKLQQIRQTFLFKDFTIEGLETTLKFCKNMPKKFEDCIQYALNKFYKY FVYGIMDLLKAYPLDHIVNGKLFWSSPKRPPQIFEFKGEEMQIKFIQSVSYLYAAALGIE IPQQFDFEQTLKNIKPKEYKENKEKLQQIQDQVQKDAQAKAQEEGNQDTQQQSQQELINQ IVEYFKDYYEVTESTPKLLKPLDFLPQPIQFEKDEDDNHHVEFIQAALNCRAQNYGLEPL DWLTTKLKAGRIVPAMATTSACIAGLQTIELVKVIKKLMIDENLKLETFKNMFLNLAIPY ALQSEPGECQYEQINGKNFSFWSRWDVNFSSQVNNLERFINYAEQVFGEKVTCIKQKAKL LYSPIMFNSKEEEQNLLQTPIYLLFEIQDFEEYVELDITFQKRIKCRFYY >CAK74752 pep:novel supercontig:GCA_000165425.1:CT868196:316771:318310:1 gene:GSPATT00010920001 transcript:CAK74752 MDQNPEQDRDWDDLKGVLAEDIINKFKEVGPKVYSHQYEFINYCLGQNVNQQSPVIALRS QTGSGKTLCFQSLIYQEFKEKNQKISEQKQIKQKDNTMKEVRMLYPYTIVLGQTIYLKQH EEYFRKHLPERLKNENLKFQTFFAFTKDNQFQQVKENRIEDADCQVYLCHPSKMLVGLKN RIINVSNLRYLIIDEADQLLEKNEQNQARVETMQLIQFILGQTNNQSYQIVFVSASLKPE EIQNLIKECVQNNIDASKKVDSRFLHKDEDDIQEVVCNLKVIPSIQQQISPYTIVHMYKE AQEQPEQILVDLLKDVFDKFTEAQVMIFFNKKTIVNELINLFKKSQKLEYIVKNNWIGEC TGDTNQVDREIVRKEFKDGKKKILLCTDVLQRGMDFRKVRVIIHYGLPITPAGEFKEESY YQRSGRTGRAKDEGVVVSLLLEEDLKQKRQEKLVESLVMNNSKIQKYDQKQFCTDLAKLL NFNKE >CAK74753 pep:novel supercontig:GCA_000165425.1:CT868196:318310:319599:1 gene:GSPATT00010921001 transcript:CAK74753 MSNQSRSSQSSRSSSQKRNTKRPQRDKRKKNNSDEYDSDDEDVSQYEGTRLKNKQQPLLG PGRFNKRENKLKKPNSVYTPAATTFLYKPGTELPNLSNFINQTIEIRIACEYVNKNNQAL ILRQIWGSNGVYASHSDAVCIGIHAGLLALGDLKLTGTFYQGISLSCKVIKGKKTLNGQL KVPLLSRSLKTPCAHCLKPEKVNWLPQLGSPEQLISWARKMSLPPHRRLTKKTHLNVFLH EPPQNSLPENLLVNNLPPLNEGWIVWDMCNEPSQKYNLLTFLDRQTAQGIPSRTSYRLKT NCLYIETSNKKFEISMDPNKIAEEVFLDSQVFMISEIIIPQQSDIEMLQKYGVPLPKEFK NLILDKLKWINFEWGNDNVIIDGQFTIQCLKSFKFVPITKHQHL >CAK74754 pep:novel supercontig:GCA_000165425.1:CT868196:319986:322806:1 gene:GSPATT00010922001 transcript:CAK74754 MQSIGSEGLKAGVTKSNIRSNVTMQKRPNNLGGGKTQLGPPKTNMDTQQSASDNIIVKYK DTIVTPKELVTKKFLQLAEQQNREEEQRLKVLQKQNETKVSQSVAESNVKSFYQSADKSS NSDVKISSSNNPERSSFMESKQSEGTYSDSDSRRDQSELQEKKTKQKKGLKEEDLDENIP INLSETCTMQLLFIPSSFINSEQGKKTGVIQKTQDYEKYMVEKIGSDNYTKRHAQTFNYN QKHKIQQSNKIEKNEYGAFAAIWDLYDASVTDQLNEFELLQEDIKGSIEKEYKQHLKNPY FLLPTELEAIKIHTERPVLGKDYSEKQTNKTKTGINSNKQNQPLNSLSGGYTNQQLDDKM QDSSSSHTGTKNLQSQQVNIQQQVTRSHGKTSNPMPEQQQKQYEMKQDEQDLKEEDYMKE LVKRNNTQMSDSEQVIFQSNLVQTNLKYVERILNQNLFHKQYIQYRNYPEVKFEKMTLDE DLKQRGGAFKRAFQNKQEDEEVVEKKKNEPIVQLFQYKCALSDQCKVTQADWNSVNKDLL AVSYSNKQTSEGHIMFWTLKNPTYPERIITYPSKINCCKFSNSQPNLIAAGTIDGIVAVW DIRRKSDKPITENKELPGKHSDSVWEVQWIGKGAKGTDKVESLVSISSDSRIAEWSMKKG LEYTNLMNLKRVVQSSQKENLQEGVNFRLSAGFSFDFLQGESSMYLAATEDGTIHRCSKS YTEQYLDNYFGHSGPVYKVRCNPFFSDIFLTCSADWSCKLWNWREELPKANFQQQNLQDE VLDFEWSPHTSTLFASVCKDGRLELWDLTKNNMLDPFATLMPQDQQIWPAKTMVRFAQNS PIIITGDAHGEVNTYRLYGYEDNDPLIQQERLEKLFYPTGYVKGQKEQRD >CAK74755 pep:novel supercontig:GCA_000165425.1:CT868196:322928:324893:1 gene:GSPATT00010923001 transcript:CAK74755 MCMADQKTISQHTKHNQCLIPYQQYVTLVSKQIEDQLHDANKYVDLFNKESQIVQQILLS DEHLNNMEGYVRSQKQQIESDINQALDSITLLFGLHKTELFGKLDQYLQIYKNNFFILKE QLEPIHFLLTKCKYYANENNLKQKLHTKPDLGSQVKVSIKQMSITKKPEQLKQVLDKVKK AQELQYNNENFTKLLTDAMNSIKDYYIKNVSIPIQIPIEIQQQPNILTTIQAISSPPIKS KSMDVSNADKVTMLDDNKTVISAVDTKISLQSQLQPTVIPNINVQILDNRIIEDANQSKG HSNQRFRIKKKLSIEFQVTSLALVSNASVALGLADGTVKLLDMSTSKVSFYPNTYIQHTK PVCQLLILKQNRGTRLASLSDENYAIIWTLGENYVPYPERKLIGFKSKLNRIMDIADSSH LLCQSDTNLQVINYHDNRIAYSFDFKTQLIEFLYVSKYEKFATISQGNIVSIYSLKMNNN LQGAMLLVNCELECIQSALPISNISTCIATEYLNDIVICYGCTDGSVKLFNVLKNIMIGE YQLFNSKVQEMIIVKQHKLFILVAFAESLKQIKAIMIQESKIIPIDMFGELLESPARSGK NVLQTFFKNRSSFYLLSDSQKDIGLYELC >CAK74756 pep:novel supercontig:GCA_000165425.1:CT868196:325837:328191:1 gene:GSPATT00010924001 transcript:CAK74756 MKNGFYLIILFLINIQIQAKMYDESQIYDCKDEITDEVQEKINYQKLKLFPIDCWIFLLL SPVTFCISIRIIYEFLQDFRLFGGPGDIIFVITATNGVQSIIQFSTALYVQINDSAPVNI FCDITGIATSIVFVISNLNIFIFAAYPLALVYNSLESTVKCIRIFNYSFTAIIIIIVFLG FILNNDIISITLNGMCAISATKKKIWDFIIALVYLFVFLLFATMSLIYVFAFKKLVPKMS SIRQLRGQYLRYYQKFITFSLIMKFFLGIFSAVNLLNCYYFYKAYLLLTETFQNVTQSLC ILGQVIIITRDPLLIPKFKHTQTSNSQQDFDTSNDKKPVEISMQYFRIQYFRIIVKRNEK NISNFNVGWQKQEQIIFYKDLAINDEQEDGEESSQGDLSELFEVTLQPFVSEEVEECLVV NLNNDLAKSQLYDNQNIQVFQMRCILYAPKIFNYFVTLDNVDIEDSFDVSKNLSKVEQFT GPDGGKSGEFFFFTHNNQFILKTMRQSEVNTYKKRLLNFATYQANNPSSLLNKIYGMYTF ERSENAEAKVHFLIMKNLSLGIPRNQILRTYDLKGSEYDREVLAKKPESDLSKLTLKDLD FFKIEQQIWVEKTMIEKLNQNLIKDSNFLENQNLIDYSLLVMKIDWKSQQQLLMQQLSDQ QINIIPSIKEQGIYYHIGIIDYLQQWNVNKSLERKTKKIIRMNLQLDTSAQEPNRYGKRF KEKLINRILPL >CAK74757 pep:novel supercontig:GCA_000165425.1:CT868196:328439:329101:1 gene:GSPATT00010925001 transcript:CAK74757 MEILQKLMAIPKNIIKALKNMKQQTNKVQNNIVETFIICNESRVVTNEVKQIDQLVKKRK LTINQEKIDSITQTDQLQNIDQVPKDESQKISKLLQVGSKQNKKQSKLLKKQSIEEDSIS RKSDQTELSQKSYCQNHFLKILEQYREQSKLQQNKIYQSQTKCQNQFRKKILKNYLKQFT NKQDDENKILKSAKTLSPDEKQFKIDQKESENIQQKQELA >CAK74758 pep:novel supercontig:GCA_000165425.1:CT868196:329606:331034:-1 gene:GSPATT00010926001 transcript:CAK74758 MQNYEILEKIYESKSTLIHKVRNIRDNNIYAMKEFIGFYNQALSEIQIMKQCQIPFVIKL KEVFRLDDNVVIIMEYADQGNLKQFINEHLGSFISERVICKILIQIVFVLIYLRENKICY KGLNPENILIHQEQVRICDFGIDNLIQHQKPSYRPPELLFHNKFSYKSMMYQFGLVLYEL TTQRQLFKFEVIEQIKAVIFNGGAIVKIPQIYSKQLRFIIATCLDLQETDRLDIRQLVHN ENFKILLKQKHFTFTYEQQDTFEFWSNNKQQKQKRKSVCTDNFDFDSKDQTQKDQQIIQE DKPNINKTINLGPKTNSKQPIPKLNLKKPNDDTLTLRPISQQTKGTQSYTIIKSNTFSRQ NFISSTHRKLVSPIPKPQWIDFMQKPQYLDAPIKPQTIINNLIKLKMEQCVETIGIEETR QTLNRLRQGGSVNEFLSKYQDSKHLCVARHMQDIISFTQL >CAK74759 pep:novel supercontig:GCA_000165425.1:CT868196:331090:332832:-1 gene:GSPATT00010927001 transcript:CAK74759 MQTFHLKLNIIDHIYKNSLFANLNFMDYIDYAEIRFSFIGVRKHFFSDKQYYVSVFDDCF IMGTTSNTQQPKYTIKFGFATKIRWNLKKTKQGLIIDSFSFPYKQELKALSANHDNLIKF KELISLKVTFDNINDLYQPLTQIGKGISAKVYSATYKLDSKIYAIKAIEKAFLKQQNESG QAAFKMEVSVLKTLAKYPENFLTLKEIYEGDQVHCLVTSYLEGSSLTQEFDRLKAAEMYR FPIHYAKIIMKKLLTNLAVLHQHKIIHRDLKPDNLMFSKKNDYSTLVLVDFGLATSESME KFLFPKCGTPGYVAPEILTLKPYSKYTTKVDIFSCGCIFYKLLTGKNLFGGISFDEALKQ NRMCQIEFNIPLDQQYITEQSINLLQQMLMKNPKFRISAKDALSHPFFDQNLDQNIFQQV GIFSPKRSTKTLLNIKQEEQQSDVDSYQEDKISNEKQVEESNFIQIPKSKRCSLMGDFSQ LSQSPSKLLLDIHQFYQKDPLSGIRCIKVTQSPQVSQVLE >CAK74760 pep:novel supercontig:GCA_000165425.1:CT868196:332943:336197:-1 gene:GSPATT00010928001 transcript:CAK74760 MEICEQFKETVKMRYLIQLQEQDDNKRQTMLFSVCRKLSRILPFPSVISVLQQKPNILKY LLIASQLFENSALLLFYALQERYWEEQDYVKLYFDCVIKCLINQESNCQTVINNETKLRW FLLENSKNFINKIQIPFFNNEIVCQKVNNFFKSFVKYNSNFDMLNSCFQFALEKRNVWIL LRELDLQFETEVEDSLVALSQVMSTKNLDFISEKVEQKREEASSYGLTKKELKQQMLEEW LFQPSEVPLLVANHATIDQLSSEVFKSNMLLDLFLSFILFPTRNPQVVPNKLYNIYVDLN PPEPPSFRQLNVNVSSEKRPLIQFRAFRTMQVLTDNRNNFLLTRANKEYYQRAYSMLYEC LKQDLVAITICPEIIVQYLSFLLYQEPDISTFFLIFYNIPYRLMLFLDIPEISQLFTQLM SFLHTPYNKVHTESNNLIWQYFDVSNFFSDLLKMTIFDSKTIDEVITQKSNEFYRPKKLE DVLKRPDFTPLQVEKQFPYPEQKKVAEEIDELDQDLDLIFPYLPNKCQKTLKIFERMLDQ ATKKKESKAKQRMSLTATPPQFLQTKNLKTEEQTPVVFSPTRRRTILAPRLASILEGSLK KSIVVNPVEFDTIPQTTTTSTTRSQLQALTNPNNLFGVLTEQIQLINNKNTSEVIQKPMY QRMLVKRPTQIIMLHSRSISNQKRISQQSSQINITESLNKSKIQNSIILNKFTHLVGSIK TYDIDKEFCIYPPELKDQQIDDFEKLAFDDQFQKLTQRNENYTKGALQCIYDILHMIFAQ TKFILSNNHQFENPDYYLNILMGENKSQFINIIAKNYLLKQKSEEDLLCTFIIAGRIYNL VLSQQNTQLLNFNFQEHLILITKILLTQLLTSRLSIRAITLLESVTLILENTKSKLLTHI PISFWHLLIESYSIHNTNQIFINYFRRILITTFIYGNLVVYNRVLLKINFLSYFRESPEQ IKQIFLVLYMMFKLRQDGLKQLNKQITMLSSWNALTEQFNKDLQRLDQQKYQEYTKGLRK EDLAQIQTLIENKDLIKNQFYKP >CAK74761 pep:novel supercontig:GCA_000165425.1:CT868196:336228:337319:1 gene:GSPATT00010929001 transcript:CAK74761 MKKLILVLGGSTFIGKELLQDLSQNESYEVHYINRGKNYWNNSVNQITNVYYTYGNRDDT NDFTTLIRYLSKKLNVGVNGRCWEAVIDFSAFYSKQVKSVYAALRGVCNLYIFISTDSIY DVCKIKQIPITEDQDSRKELQESQKKRESYGHNKLKCEEFLQNYVVEGSFRYVILRLPDV IGPFDDSGRFFALVKWLKQNDKHPIQVDQAVQSEQISFVFSTDVVNCIKYFIKYIPQQNQ IYNVCFDETISYIELAQVILNKISDKQLNIKHVVEASKFYPSVDCGIISNKKIKELGIQF TPLMVALEKTIDFFVKEAHNYEAENKAALEKLNRKLNA >CAK74762 pep:novel supercontig:GCA_000165425.1:CT868196:337602:339167:1 gene:GSPATT00010930001 transcript:CAK74762 MKHSLYFVSSKQQSFWNRNLSALVNYDFHQLSEDQKTIVLFKQGQILKIITPRRYKIYRQ HLFYFRKSGEIGGFLLLKNVYFSFRSKDNTFLITLSHDFQQIVLQSGNKTEEVDNFILEL KQNCIQESIPASFQIPRQKIGEGITSEVFVINHQNNQKFAIKKVVKAPLGHKMREKQAKS LAEEIFIMRSLDNPNIPKLHEVYENDDSVELIMTYYEGGHLYEKLQNFSLQQKQQLVRDI LETMNYVHSQQIMHRDLKPQNIMYKDKDPFSIDIAIIDFGFSTNYKYQEHVLYNCGTPGY AAPEVQEYKEKQKMYTTQCDVYSLGIIIYEIFYGVHPFKSSNSGSLSFNDKIKLPQSLKN LLIQMTRIQPKYRFTLKECLEQDFFKENLDSNYLDDLLKFSLVDSIYQKSNNISRKNSFD PSIKLERLNKHESVKNKTINSTVAESKNLLGKSFGPIEQQLQDDLQFSENHNCPNDFNNF LQKRI >CAK74763 pep:novel supercontig:GCA_000165425.1:CT868196:339329:340727:1 gene:GSPATT00010931001 transcript:CAK74763 MYQDIDYNEANNVNAPKTSTEHSNTKSSDSQRKLSQMKYNNKENPVIIDNKYILLNVIYQ GKTSTIFEGNNTTIFKAINLQIQQACVVRMTILSKTDNSTKILQYFKTQFENQYEEKYQS GKITQNPQDCLVRLIDHGVFMNQYNYQVLNKTGPSLKFWFNFWKSRFSFECIILVILKTV IMLTFQIDALQLLHSGNFIHANLNMQSLLTSLENQRTLSLGNLQQAIVFKPNSKIVQKCQ HLNKYSSLGQHLGIFYPKDDLESLLYIVIQLLTDGEFFDYQKKFKTRADKLQWYFSTKHS FLPEKVLKNYPPCFTDFANKIKFLNPMELPVNYDALKAVFKGCKNLEFDWSSLINGLKKN SGKTSQQVSTQNLEFKSGQVSLDTINESLNEQVIDLHHRLVKTQKHIGDHCDYNPETQES DGEISFEEESTDKSYVYCFK >CAK74764 pep:novel supercontig:GCA_000165425.1:CT868196:341269:343016:-1 gene:GSPATT00010932001 transcript:CAK74764 MNQQFQIDDIILAPGLSIKIRNTIQFKHGQDGFHLWEAGIVLTRYVYYNIMPSKQIFMDL GTGVGIAGILISKYTRVIMTDYNQDVLDNAWLNVKLNQSPCILMQLDWRNHNKISFQVDT IVGSDLVYSGAPLYDLYQTIVKLLKQNGIFYLIIPSSRMCTSEFIDIMNSEGLFEIKKEL LEDEKYYQPCLLDREQSHTLYPGLKELKFYIEFITFNYIYIQNKRQMDVEQIELNMAPQI SDQNQGNDQVQSSQHVLNAVMQDARQSYLLKKGRLQFLTLKRLTQAILIYRILYVLLCFI PFYKCPFECNNTLLNTLLLICIGLELLKIAETIYQLRKVSFYLNLFNLYDAQQVIPFTLN DFQTIFALRYALDQLHKKAFYFRITINIIWVIYYIIYVAFFFSFANYYTSDQNVIVSFML IIISLDSVFFIFPYVFHCIGWFCVKCYDAIKKLTSKNNKLLRSLKKEKYVEGQQLTDENI CIICWDSFKNDEYYTRLKCNKNHIFHTTCIQVWIKTNITCPVCRSQLV >CAK74765 pep:novel supercontig:GCA_000165425.1:CT868196:343145:344893:-1 gene:GSPATT00010933001 transcript:CAK74765 MNIFSERSSSRYTQRNFVSECQTPSIDSHGYISVSKELNIPLISTGKQSISVQRKQIKLF DSPHFNDNTNSTLSSHKSKKEVDILSKLMNWEKMQGNPKFIPLKRHHELLPKTEHLRNQN PMFQDIKQKGHAKYLNQQTVQQQAPKSFVMHDTIQPDVEMKESEYNQMESLDPLNTMKNQ HELNQYVIQVAKTNNRILPKLQLPEKNEKAILKPNFHRQKRLYSVIDTFEALKFGGQDDS VFDDNEYQQFRKRKAQFKRYQLRKRIIVVLAVIRISRKHRLILKERALYSTSLDQSKSVH QKYLDQFRTKNLLYHQKEFAEGIFKKLNSSILDKKYLKECQEISMFQDSVQKDIKKQRFC QLALLLLQSLEIATQQNVLPQVIVHLLNLNFYKSSTSQSCLFVSKRACYYTAFVCKITRK QQLLLATEFLMFQTIIPNIFSIFKDMNIKDIEHNNQTLSYLSALTQIVQILFIDYFKDLE LVKTKNVHPIQRILKLNIDENTGLGASEIIISSKINTDETVVIENGFDKSSILELQDSKP YWDEKIKSRFAKIVSNIEKHILIQNN >CAK74766 pep:novel supercontig:GCA_000165425.1:CT868196:345095:346186:-1 gene:GSPATT00010934001 transcript:CAK74766 MIGIILIIINRLMKTASIYLTDNQQSQLQFSNRQNKNLFISDFNFETSRSKKSGNSPSPK KQWIKVSTATESLQLIMTPNLLQIQNFNYLKKIFKRCVIVIRACLRLQKLQHQKHKKPNT NLRKTLNGKESLRDTVLNEVVFKWCRGICKKSLSSIKSPVFDYLKNPNFNTKADTSKMQL INAIKFIVESLAYYTHPHYIHYDLKGFLCSQLYQDYKLYFTQYVSERTQYKEAILDELQE KEAALIGMEIILFNLAEAIIQDQLSCNFSKRIVISALQLLFKQYFDEMNCAICNYDCIQG DIKVTNNKLQLTFKSKHSGSFNLIDGLYTDEQILSLLQFNRSQQGKIQEYFKMAVKHLEK QIV >CAK74767 pep:novel supercontig:GCA_000165425.1:CT868196:346432:347481:-1 gene:GSPATT00010935001 transcript:CAK74767 MIFSDEFKDLIKQPVQLNSSRSNYSKYESLTTFRESPKKAVLNYPKEQQTLFSNASYLLK QSNRIGIKKRLRIFLIVLRFIRRLQRVINESPYQHSLANLKKVKKTTLKLPQTINEKFKA WCLGIFQKSFSTIPQNLFNYIKSPGNKIKEDNSQFILINAIKFFFENMAYFTHPDIVSIE IKHFLFSQLFLNEKNQFTAFVSVRTPYISHLNNEITLDQQSMIIMEVLLFFRFAFILFEF TNTSQFAIIMLISTLQHLYLKKYTCLKSQYQPQQNLIQLTIEETKNQKYILKADPQQTLF SSNQIIYGTYPSDEIEKLLNLRPNFDEKITQYFNKTIEHLQLFLIDFYQ >CAK74768 pep:novel supercontig:GCA_000165425.1:CT868196:347528:349025:-1 gene:GSPATT00010936001 transcript:CAK74768 MHHQNRLPTIKNTNKEQRSDSLDLPTNRQWVRKETSQSSERNVQNTENYSIAHLERNEQR YDASQRAHNKNILVQDEMNSHQLRQKTDISSHKFKLNPVTNEYQNQKNQRQIRQNQNFDE VGQDTQENQQNKKYLTLDTQTERNQLVTVKRQASLQQHKDKSIKPGRNIKGIRNFAGHAQ NLLTNFTFQNKWLKKLKSIFQVARALCRIQILIRPKREQWDVAISKEIDCKQELSFNTTI NAIKIKQWCQMVFTKAISIIQSETLDDHKINFIENQLAPLQLDHAINVTTQVFWYFMTSF ELFTNQKLFIREFGLIMYKDLFEEQRKFFSKFVSKRTTYLSSKYKIIADEQRVMIYSESI IYNLLQGLMLSINNPKVININKPNSIFLIRVLITLLQYLFMKTFTDLPTVNDLSGNYKLI QFKFAQVNKKDYALQECIQVEKEELIIGTYRMDELSPLFTKISWHSSIKKCFKRILNNLF LYKF >CAK74769 pep:novel supercontig:GCA_000165425.1:CT868196:349056:350171:1 gene:GSPATT00010937001 transcript:CAK74769 MQIKRTSIEQAGLEILLEGNMSPIASQLDLDQDTQSFLSKDDLKNNFKPFQNERNSIGKL IHRAKSNDTTSKFHFLKSQQMVLHAKDKEAVPQANHAFKWSTTHLLGLKKRDITPKDQAV SLRQRLRNFKINLQADQGFIKQFREYKKQYVPKTQLTRAFLTPRREHFYRDTSTPGPGVY SDQLLITEPGQSIEFNKSSQTTKSPSPMCQRDFYDFQFLKRNDNTPDFQRTISRDKAYQR SIFAQIEIQKKENMKLQKEEPYDEQKIDREIEYLLYQQQKYGKLNYQRIIDSNNEIKKLV QPDALDNNWSKMLERYGFKKLKGGKIKKQRVQTAANG >CAK74770 pep:novel supercontig:GCA_000165425.1:CT868196:350276:351763:1 gene:GSPATT00010938001 transcript:CAK74770 MYYAEEYSPPRIPQLKPLAIIEQDELMTLKQKKLELELKLKQRELEMKQNQKQDQNDDQE NTILKIQQTQEPKKGKGGSKTKQTGQQQQQSQSTLAQMKEAERVENEKKEMLSKITFLEK EKKALQNQFEQLKESIRADNVQLKEQAIKSKSEATTMQKQKDDIENEKNAMVKENRKVKQ EMKIWQDKYNDLVKSAAEAEARIKANKDVVNEREEKIKWIEDRLKKEEEQHKKTQERCMV LVNEINQLKRECDNFRKDKERLLDEIQQINQKHTLKYSDLNEQVLLYQRELNEQKLGQSE FKRLLDQKSNRIKDLEFERERLLVRVQDLDRLEHKLGARDREIIELEVELDRVKRMRGTH IVEKIVHPIGYEIPREVPVYPPQQDHDVVKLREDLLYLKQEKERALDEAEYWKNRYQDLE LAEDRAVNLMAHQNKNESDLNVKLQEARSIMFTKVMGLINDLSKLRRTSISNDVKEAIEQ VLNNHNDILKYIMTQ >CAK74771 pep:novel supercontig:GCA_000165425.1:CT868196:352380:352733:1 gene:GSPATT00010939001 transcript:CAK74771 MQIDKESSKEKVPLGENNENPHALINVICQKLQSICTLTYQITYPKIQTKKIKRKRLYLK KRKDCKTKISKIGVKTNQCFCQICSDFKLLEYISQLSAVENLQNQLDQVKQMVYLFD >CAK74772 pep:novel supercontig:GCA_000165425.1:CT868196:352940:353557:-1 gene:GSPATT00010940001 transcript:CAK74772 MGFLTVSLLLFILGVMFFLDRALLVMGNLSFLIGLCLLIGVKSTLSFFLKKGKIKGSIFF FLGFFIIVFLRLSIVGFPLQIYGLFQMFKSFLPFLYDSATKLPIIGRYLRNPQLKKMVDE VSAKGPIRMRQINLILLLFDNLRNLLKILLLSKINYFICCNYQKLQIIQWKQNLDILKSQ QIYRTSIY >CAK74773 pep:novel supercontig:GCA_000165425.1:CT868196:353996:354466:-1 gene:GSPATT00010941001 transcript:CAK74773 MPNEFILQQTSHPEKKFNSKTLIGNWYEERCEPKSKYSTFYKEQKFEKNQYNVSKLTQES FMKCSQNWVNFQKNQQNHFQTTNQQPKQQEFKNPRNQFRTSELKKFIIKKGVFEKNPQQM SDYRSKWTSAPHFFDRTYLGQQK >CAK74774 pep:novel supercontig:GCA_000165425.1:CT868196:354502:355268:-1 gene:GSPATT00010942001 transcript:CAK74774 MKFVLIILFTIGLAINECEVDNRDRIKLSGMSKQKEDELMELHNEHRNLVAYGKVRNWYG EFSSATNMYCIKWDDHLARNAQDCANKCPTNFQLDCSFPRQYGYLTYKGEAENAGSEWSA TRIFQKLLQQEDFARQIELATAQFFGCGRTQITRRNGKSQEIFVCVYNKQPNLHGDVYKY GIPGQDCIYGRKAEFSGLCKQSANDIEALKFRHKRHQDAYKYHHKDERHHVHHNHHRKDD GFHTRAD >CAK74775 pep:novel supercontig:GCA_000165425.1:CT868196:355541:356441:-1 gene:GSPATT00010943001 transcript:CAK74775 MISLWNDLETNNYYLINNETGGKQKVYKTSDFIKEFDSSLTGSVGYKDRIKLAKKPSYMP LKAKSLQKNFVSYTPSINKLVWYSQLPRPTKLPNLEYFKTEKKQMQIHQQQKSILQSQSY QTLPHIPQSTVASQYQSLLDPKQARSISTVQHYDLNDQDHFKEMSHLFESKSYDYKSYNL PPKENLNCRSIKDFEQVLKQERQQLEMFKLPEQKPQLVNTKGRFPGQLKKAKDFMSEDKS IAKLLYPDLFKEKIIDQKQEELRRKINKLKEQEMLQRNLKNKA >CAK74776 pep:novel supercontig:GCA_000165425.1:CT868196:356866:358070:1 gene:GSPATT00010944001 transcript:CAK74776 MFIFLLPLLGYGFISLQLNQLLMETKGKSLGLITNPTGVDDDLVMVTDKISKYSDIKKFF SPEHGLRGEQQAGVQIKDYIDPVTGIQVISLYGSKKAPTLADLEDVDVLVYYIRDVGTRF YTYIWTMTYCMEAAQKAGIQFVVVDVPNPLGRKIEGCRNEMDAGLVGRKFGSNLGLPQRY GLTVGELATFINKEWLTNPVNLKIIPYLTNQFQWILPSPNMPTIETATVYPGFGIFEGTD LSEGRGTTHPFEIIGSPLITKPEELAKTLNARKLTSVIFRPVYFSPTFSKHKDAICGGVQ IHVLDKNSFNPVLTAFVTLDEIYKVIPIKFNLMIDYESGISGFAELLKNTTAEKIYSQCQ NNTKAFYDQVQRYYIY >CAK74777 pep:novel supercontig:GCA_000165425.1:CT868196:358432:358832:1 gene:GSPATT00010945001 transcript:CAK74777 MGLRLRKLTQTLESKHPQQYNFPRGADQFEYSPDRSYSSLLGDVYDLNSQLKRVHRRVLS QVAFQKGKSKENKISTLSPIKVDQFVATKAKLNSQRFFLMNQVRNVRPNGRLEVFLKERF ANAY >CAK74778 pep:novel supercontig:GCA_000165425.1:CT868196:358893:362989:1 gene:GSPATT00010946001 transcript:CAK74778 MSIRQFVGIQEIAKKAFNSLIVIRKEIESKNQEVLAHGISVYNQVIPVDASKSKFGFFRS SRLDNRSDHRYYDMNPLPPPESKIARVYIMDDNRMHQTPTMRQGNITGMFKLREDLFTEC LGVVGHFQRIRRAEKFISSSVWKLHQNFANKMGMGILFNIPIFVILLRESMLTILSGRDF QRMNKTLDKILSIIQQGISTIGILSVSYSFSPLLIIQPHHHIKPQYYYTQCVLFIYLIVR FCYQLIMIELQETNPKEEIDFGQSTLEYQGDNLLQDQQMQIDHLLCLANSNSWIIVFISK MVYKFEIVSIVSLNHVKRFEKCEFGTMTHVLVIKTKNIIPIADDQLCEVTVVQDHPFSKN ARVFNYELSDYYIDTYTKALVQMRNSFSQYTQERICNMKGLSELTTVYGENIMEIPIKPI PLLLLDEILTPFNIFQFSALALWAYDDYLNYSLFILAITIIQIGIELRDVRQNLLKIQKM IRYNVDVKVIRNNTQVTIQSKSLIPGDLLIIEGHTKLSCDCILIEGNCVMNEAVLTGESV PINKSSLENNELIFQQKGNENKMLFCGTTCLRSYSQNGELAKAIVYQTGFQTLKGSLARS IMFNRTQTFSFYRDSLRYLFVLATLGLIQANITILISGAQGVALGESIINALEIITIIVP ATLPTALGAGISLALKRLEDKCIQCVKPDKINVASKVNLVAFDKTGTLTELGLDVLGCRE IKDIGFNKLQMIKDVTEQFNECMGICHSLSIINNEIMGDPIDLCMFQETGWELVEESKIR KGGKEVSVLKRFDFQAELQRMSVITNQNILYCKGSPEQIKSICAIVPTNYNVMLQRYSSQ GFRIIACCCRDVTHLNMQQLHKREIYEQNMKFLGFLIFENKLKAETQATIMGLKQSNIRS IMVTGDNPYTAINIGLQCNILDQNSRIFLGFLNDGVLYWNEIMQLKTDKADENRSCYSLD SHQAQNIETNEIMKLSCHFQLAITGQVFEHLQYQYAALQDNQPLILNLLQKTCIYSRMKP NNKGDLMLLLRQDILNFIAFCGDGTNDTCALRQADVGLALSLEDASLASPFTSTIFNISN IINLIKEGRACLVTCVECFKFMTLYSCIQSVAVLQCYFYDTDFTQVQYLYQDLWLIIPLA FTMDLTKSYHKLANYRPISNLISLPVLSSVCVIVFISFLAQIIMHQILKHQEFYQQIKIE LVNKDYYMQPNYINSILLITSSTEILAVAIAYTQGPPFRQSILKNIYYMVVICLGIAGQI ILIFYPNLIGFLSLEVEFPTDFKIYILIVNIIVGISIILYEKLVTAKMNQKYAEVEINLG NQN >CAK74779 pep:novel supercontig:GCA_000165425.1:CT868196:363018:364712:-1 gene:GSPATT00010947001 transcript:CAK74779 MKKYKKLLKSFRSLDLFTKPVSLLIKDQERHKTYCGAFLTLIIISMMSVLLFMNFINLDK NPRSYQYQIYHQALNRTQLMNFTISITGSEEQNLNVSTLDGPLQLRENLYTYDKQVSLYE SDLSGQYVIVEIYLKNATQVHNKEESLNFQLSIPTFYYDLNDVQDPVKTRIEKIETTLTH HIQKRIDIFMSPFTVISDGGMVFKDEVRESVLAYQYHQETYEVSDGHKLLQFRIRLNKTE FVQYRSYPKLQQILGEAGGLWNVIFTLAMFLQLPFSNLSYRLQIVNSLFNFSNDEDATAE NDKIQMANDNIREKAISPEQIDKKIPLCQSEVNFPIVPTYKKQKSFSQLNQLNIKKRLYS IQRKSQMITEADSENQKAHITNEISASIKRFFRVVTKKLNLNFLEYVTFQFPRRKDNSNT KYQQFEFAINRIQKSLDILYIINKLHEIDKLKFILLNNAQIKMFDYLPKPLIGADPQDVS DDNFCSLLKPAKTPYQKALEAQQAFKEIITNYQDPINQKLINSMDEPIIDLMKLQLNQDI QILDTSVINQLVQSAEYKLDTARQ >CAK74780 pep:novel supercontig:GCA_000165425.1:CT868196:364783:367854:1 gene:GSPATT00010948001 transcript:CAK74780 MSNSSGEENEVIQVEVESDEEQKIQQEEERIKKLEQDKKTFMSSIKQTTKLNANIKFDNI ESKINTLLENAEKYAMFLLHRHKRTQESKQKALTQQRGKHRQVIDDASEEEDLDDAPTVL DKQPTILRGGQLKQYQMTGVNWMISLFEEGINGILADEMGLGKTIQTIGFIAFLKEYTKI SGPHLIVAPKSTLGNWMREFKKWLPCARVVKLIAVKEEREDIINKFFQPGKFDVCLTSYE GVNICLKHIRRFSYKYIIIDEAHKIKNEDAIISQNLRKIRTNYKLLLTGTPLQNTPHELW SLLNYLLPDLFDSSEVFDKWFEVNTEAKLKEGNEIIKQEELDQRNLEMCQKFQKILRPFM LRRTKAEVERILPPKQEIHLFIKMTNLQKQMYQNILLHNNPHEGDDKGFYMNKLMQLRKI CLHPYLFPEVEDKSLPPLGEHLVEVAGKMRVLDIFLKKLSDGTHQVLIFSQFTMMLNILE DYCNYRKYDYCRIDGETEIQQRDDQIAEFTKPDSKKFIFLLSTRAGGLGINLATADTVII YDSDFNPQMDMQAMDRAHRIGQKNRVMVYRMACEHTIEEKIIERQQIKLRWDSLMIQQGR LSQKQSGKLLSKEDLKELTAHGASQIFKLDGDDIKDEDIDILLKRGEQLTQQMNERIEKK FESFKDKMQSLDLGLGQINIFEYFNEEKQNKMDEDALEDALASHLFNENKTRNRDKRAMM LGANSKKIQGKQIKLQEHHLYENKDRLQSLLQKEEDFLAQQKTQKKGMDSEENVDCGGLT QEERQEQKQLLETGFKNWNKQEFSDFITANEKYGKDAYEKIQEFIKTKTVDEVKTYAQAF WERIDGLSEKDKIVKQIERGQKLIEQKTNGQKLIEEKCKHFHQPKYELVFTPQLYNKFKS KYFSLENDKYLIYMTNEVGYGNWTLLKQSIRKEPMFRFDHAFKCKSENELKNRVISLVKV LDKEKENNSMGRSPVKNTYVEKPKVQQQDSQKKKMKNEEDEIQDGSESVKKVKL >CAK74781 pep:novel supercontig:GCA_000165425.1:CT868196:368428:370367:1 gene:GSPATT00010949001 transcript:CAK74781 MKQNKLKQIQRQIKKIDIFASPVELMIQKKRQHQSLFGAMMTVVMFGCIITYIVNKFVQL GRRKEFQTLYSEVYYEEVPIYPLYSDNFTLQFAFQKENQKNYIDESVYQVNAFMVNKFQS TRVDNKTIQNFTKTEIPLTKCAKIGITFEEIEEQLDNIDFSNTYCIDWNNISELSLTGTP EQQNYTYMYINFQSCVNDTSNSSESVICKSKEEIQEQLRRNYIQFQISSYNIDLRNYQQP NVPKVEEIQTTISSQVLKDITLFMQPITTLTEEGLLDELVRKDSTIRYQKSQEIIDFNSD EALATVYIRLSNTENISYRIYPKLQDILAQAGGLWELLMLAFSIIVKPFSQMSFKMEIIN SLFNFEGQKQIDQEENKNKVFEKLNAGQENIQTNENDVSIMLNQMKSKTSSRFPRGRIKA KTQKADAVNSVQTEKSSQIFGNSPESDKMLQKGINSAFRRFFNVATLKLKFSLFDYLKYL KCGKKEGKYKQLNYSISKLDKCLDILFIIDKLQEIDKLKMILLSKEQVQLFEFLPKPLIT LDPTNQQYGENQQYSSLLKPFKGFKEKSLEAQQVLDQLLENLDDPITHKLISLMDSNLIK LLQIQHDLKKKQTPQLLVNDFVEE >CAK74782 pep:novel supercontig:GCA_000165425.1:CT868196:370462:372795:-1 gene:GSPATT00010950001 transcript:CAK74782 MISAKAFQLLPVALNKTEEAFSGFLYTSKNTFTQLKQKYNVQGDLFIQINGYVFQLQGEN GYKDDSIAGSKYVRQLLRMSFTDKIEAAVFALPKDKEYRLGVLDIELDIVQQQGSLDRIE MNSEDLAPFLKRAYSGQFFRAGQIQLFLYEGNTYLFKVTRTDVLSVGVDSQQVKFMGGGM LVDETELEFSIRQGVNQLKMKNASTHTASIFREDFSFDKLGVGGLDKELANIFRRAFSSR RFPQAFLEKYGIKHIKGLLLYGPPGTGKTLIARQLAKVLKAKPPKIVNGPEIFSKFVGEA EENVRKLFAEAIADQETKGDQSDLHIIVFDEMDAICKQRGSINSGSGAYDNVVNQLLSMI DGVNSLNNILVIGMTNRKDLIDEAVLRPGRFEVHIEVGLPDEGGRQQILNIHTENLRKNE ALDYDVNLEELAQITKNYTGAEIEAVVKSASSFAFQRMQNIFDFQKKLNKQDELKVKRSD FMNALDEVKPQFGIDSNKFDLLLKSRLIDFGDEFKKLQKILKNTIDQTRFGKNSQLNSVL LEGDQGSGKTSVAAWLAVECGFPYVKLISPETFIGLTEGAIINQMVRIFNDAYKSSLSCI LIDNIERLIEYVDIGPRFSNAILQALLVLIKRLPDKSQCRLLIIGTTSQYHIMKQLEVVS CFNVSFKVPNLIKPDEIKLVITDYLTTSPQSQNKLQLTQQQEQQVNIIASQIKNIPIKRL LTLLDMVGAQEKGLNIDEFMICYTTVIMNHL >CAK74783 pep:novel supercontig:GCA_000165425.1:CT868196:372870:374039:-1 gene:GSPATT00010951001 transcript:CAK74783 MQGHEDITKVYTFEKTLGEGAFGVVKRAKKKSNGDMYAVKIINKDNLQNEDLQALQTEVE ILTQIDHPNVVKLYEIYEDDTNFYMVLELMTGGELFERIVEKDHFSEKEAAATLRPIIDA LSYCHKMGIAHRDLKPENLLFSSKEPGALLKVSDFGLARFVTNDEVMMTQCGTPGYVAPE ILCGHGYSEAIDFWSVGVILYIMLCGFPPFYDEDNDKLFKIIKTGQFSFPSPYWDSITNE AKDLIKGLLTVDPAKRFGTEKILKHPWLVNNTVKSIPNIQNKMKEFYGSATMKKMGNFVK LAQRWGKLSQIKKK >CAK74784 pep:novel supercontig:GCA_000165425.1:CT868196:374220:375509:1 gene:GSPATT00010952001 transcript:CAK74784 MDQNDKEIDEEQFKKEFEERVDKRKQWLLEELKSCGKFLDVSAKKLDDLDALTIALYLHE CQTELAVFHIGANSITDRGFIDMLTGLQWHDKLKEIYIGNNEITEIGIQGLIEISSCFKQ LKILSMSSCSIDDATFIQLCYALPELKNLQQLQLPANNISDYGNEMTILIGLVCFSTLLA TNSLPNLTVVHFGNNPLTSKSLVPFFQALKKNKTIKILYLNDIGMELASIKQLAVCLKKN KTLEDLNLGNTGFSDSAASVLWPSLKYLKKLQLWNNHLTDKAIDEFINVLEREDIGLTYV GLQDNEIEELDLVEDLNALLKQNELIDKLTEQIEHKEEMEEPDEDKQVKQMIELAKLEEI EEKLGELEKLNEPSEEQQDVVNELQGKLKTQKWAGEIEDPEDKTLKHSVME >CAK74785 pep:novel supercontig:GCA_000165425.1:CT868196:375525:376113:1 gene:GSPATT00010953001 transcript:CAK74785 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDDKTRKAQAEQAAREIKDRKQK QIEASKKKPAAAQKVAQKAEVKAQQKAQKGAAKTNKGKK >CAK74786 pep:novel supercontig:GCA_000165425.1:CT868196:376164:376735:1 gene:GSPATT00010954001 transcript:CAK74786 MDPIFYTFLGMGLGFMGSQVVMNNFFKNKQNKNPQQKQATKKFLPSTPDIKKAFQQNQIP FQIKRPTEVEDQIRKIVKIEPSPAQFDQDDELLQAQEQEPDQSHQTNHSDHNAIPQTPNF QNKTQAQPNIKLFCAADQMQQIVTTPIFLKTNKFSASQKNHPETTSSISQSEQQAGNNQK GE >CAK74787 pep:novel supercontig:GCA_000165425.1:CT868196:376838:378026:1 gene:GSPATT00010955001 transcript:CAK74787 MIHQNLAFGNLITSNQLERLVKQQKILLKSPQEKNEKKIKPKKLFRSTSFKTEQHALLLS TPKNRSTKSPMLLKPPIEKNALKRLPSKPNPFDTLGKSEKSITSTQRRSSAPFMKIARRW SYYETMSEKQRRLNNKAPKTTIKQHYITAEKAKKKKLNRLRWQYKFISRLQKNKLKKLRS KTLPLAPFIRMRHTRAKTVAEEQHQGPILNLEESLKRKIDILVMQRKSAMRRHTCFQMPK KSVLVSKEKLINYQKQISEYLVKSQQESVEFSPALDQIRAYQKRVNFTDRKQSLKIIQQK KKSFVSEMIKKQSHSIITSYISNKAVTLNSEHQSLSYTPLNQLIQKKSHTIHEKIVNNQS WSDKTLIKRRPNLLIKLQPYLSKQVVVK >CAK74788 pep:novel supercontig:GCA_000165425.1:CT868196:378453:379423:1 gene:GSPATT00010956001 transcript:CAK74788 MGSFLSQPITQKYHEYQFSGNLSCYTTAMQGWRLQMEDAHLMKPNFIENISLFAVFDGHG GSGISQFLAENFMNVLISQPAFEKMDFMQSLHDTFLQLDDMIKNNEIKNTFIGSTAVVAL IADKMLYVANLGDSRCLLMRDDETIELTKDHLPSNELARIRYAGGFVDEQGRLNGTLSVS RAFGDFEFKQEPLPANQQMVIAEPEIRKIKLNKDDRFLFLGCDGVFETQNSYKVMEFISA HVAEKQEPSIVLEQLLDTSLAADTSTGYGCDNMTAMLILLNQ >CAK74789 pep:novel supercontig:GCA_000165425.1:CT868196:379763:380752:1 gene:GSPATT00010957001 transcript:CAK74789 MSRFKPKVYEAFIQRQKEQQYIVINPNELSIGLESDIEVISKKVCYESQESCQNSEFLQS FQNQIEPDYNSIANNCSGNLEIQPIIISNQFVTNKNNKNTTQSIDINQEHEMNIHQLEIK NLGNICLADYKEKPINSIPQQNDVLKENILFKLLQKRAKPKSQNDFNKSTSNSSQISQTQ TRSKSRKIKQGSQIYNDNKEKNRSTSQQEELPTEIKSMGINIFENNKKNPPKFQQSQDKI NSKVNQQIEILSKNELNNIQGASKGKIQKTSKGDVFPNTRLKNQQIESKEKHIQQGIIKT RLQASLDNVQKQMDTKNIQIREKQKIKKR >CAK74790 pep:novel supercontig:GCA_000165425.1:CT868196:380806:381639:-1 gene:GSPATT00010958001 transcript:CAK74790 MSLRLELLRWVNEKCPSMKASNIESLSDGRHFLCLLRKYFPDIEVPQFKKSSSIVQRMES LNLVALYCQKLDASFKIDVLKIANKEATTILNMLKFLKSILDKTPIKNSIIQEEFQTISK VLEKDNRKICEEVPAPQAQQTQDDETQTPEIKEDLSSLHQFQFQLKRLMSLKLQHPFLEL ELLRMLDFDQEVADMLQHYQLAIQKRSKNSKHTDYHSIHSSQFYYRGEANLLDVDYSFDQ QQASKRSTEFDNLFQVSDEKFNL >CAK74791 pep:novel supercontig:GCA_000165425.1:CT868196:381868:383206:-1 gene:GSPATT00010959001 transcript:CAK74791 MRPSFGKKVKQDDSDNKFKEKVYRSMYPSPCSSQQKLIFEILSDPNKWLQNNDISWLSHS LEQDIDKILQIAVPQPLKPQNNRRASANDIREEIDDKIEKQKRHTWYVDKKHEDQPKNSK LKINMSSIICEFPIQKVTRQSISDQPKTPNCQKSFMEAIISRYQQKNEQEDQLEKEKKYL FQSDKKQKILDPDIYQNEKDDNVLNDDQLSISTNEINPTKQTQSKQTRPKKVGRKKKSFV RVPKRKQRQTKKQDRIQPLPQPTQTELYQEKTKYIRPQQTIDQPIFNTKQCWARMQLRKE AKKYEKYQTLEFQDLLQSLPGCYIHKCEGDLRIDKIRPMQNAKGKYFQSFEMWINPLVLI GPIKMNNQVKLHVRFKKGYAGQVFCDSQLQETLPINQIDGRTFHKQQLILANNSDHVIKL VCCLVNISIKAPTRKRK >CAK74792 pep:novel supercontig:GCA_000165425.1:CT868196:383495:385171:-1 gene:GSPATT00010960001 transcript:CAK74792 MHRDTFKSIWSNLDQALGDSSFSSYYASFQRRNTPNKYKSSKSELLSLTATRIRFTCPMN KRDRFVDLLLEARGQDNELDKITIENIERHFGVDFLQLESMHLKLNAAKVIGSQLKNDEK YLNVCLGNNQFGDEGFRILTTNLFYNRCIIHLDISKSCITPKGFRDALPYLKPIYTLVSL NLSGNRLSSVGSKALQQLVDQHGNIQFLNLYSTFSEIISGDFVSYNQGFNSLKNTNTICS SLCLNLQENELKDSGIEGINEKISHWNIQVLNLSECQITSHGLSRLVDRIVNHKYLESLI LSKNNFGGSVSCLFKLLSQNNWLQKLNLSYCQIAEFSQISEGLKENKGGNKVGEHAIILG LYMQRLTHIKLNDCDLRQNVNDILKRLYYSNLKHLEINQNRIEDLKELKTLLMKNKEIEK ITYGLNIDQLQTTLRNLKNLQFEYQILTNSNNPKIQIRIIQNLGQFEQERIMISRKMHEL NEKKKEKDEELFILNQKLDKTKFEQELLTKVKEKELVEKQNELISVKLQFEKYNQISIRV KQ >CAK74793 pep:novel supercontig:GCA_000165425.1:CT868196:385186:386384:1 gene:GSPATT00010961001 transcript:CAK74793 MDQTSNYLKIWGLPDGIAENDMLQKFKCIGKVTKSILQNNVWYIVFEDEVDNDSVEFFAS TYTQLQYVIGSEMSPQDIQKFSSLFPQNDNQELQRKRLEQEKLQEIAKKQQEDQRQKLLQ QQQEFLKQQQEEQRRKQQKEREEAQQREEELKSKLLAEQRQQQEDQQRVKFQKEQEERQQ RQQSLQQQQLLEEQKRQEQLRGQQPQTNQQFNQQTLDLQKEKLKEELALDFAKSQFQQKQ QAESKEQEYNKKIQNLKSKLTETENQLQQQKDKYTNQQENLTKQQKEIQDLKTSLNHVTQ QRDNVIAKFKELQSNQGSSITQEDVAFLEQQQQQQEPSSLQNTLFKFEFWGLLVGVWILS QVINFFT >CAK74794 pep:novel supercontig:GCA_000165425.1:CT868196:386385:386960:1 gene:GSPATT00010962001 transcript:CAK74794 MKQQRDSLFFQSQLYVAVLSEHQTILQFLENDELESQKQSIHQDSLEISIKNEKKVISNK SRLQLETKKNPYGSGWANKVLEKQFGVHLQQVAQRTGPKWIIKKLKPAETNDQKEFEHLP TVKDVRSQEKKIRQTASRSYRRRIEKNIQDQKQSFNGVPIFDPNFQIKLHQYNL >CAK74795 pep:novel supercontig:GCA_000165425.1:CT868196:387046:387312:-1 gene:GSPATT00010963001 transcript:CAK74795 MSFTYLPFHNLAYCPKQVIEFVQNPNLNLQDLNHMIEQMRMPRNHLKAIQKMQILASRQE QFLQDENTMQNQEEEIHSPKQLLSQIPY >CAK74796 pep:novel supercontig:GCA_000165425.1:CT868196:387353:387526:-1 gene:GSPATT00010964001 transcript:CAK74796 MILYFIVRKQQKQIPKTQLDSSTHIEITQLEQKPQKSYQRMIKKQLKINIPNSSFDP >CAK74797 pep:novel supercontig:GCA_000165425.1:CT868196:387607:388065:1 gene:GSPATT00010965001 transcript:CAK74797 MKLHQCQTNQPNNDMIFGHRIGTKKIVAEKQQKVIKHIRQLPRSKEDNYFQEAIKANEQY DLFLEKMNTFKIQQALILTKQRFQDDNKFANLLEYVPEEKENDKKIKKELESYIDRYVTM KKGPAPRHPQFYYFI >CAK74798 pep:novel supercontig:GCA_000165425.1:CT868196:388091:388831:1 gene:GSPATT00010966001 transcript:CAK74798 MFNPNSIKTLRTMSASSQRSTQNKLLMEEIDSAIKDLREWKKNENLELKKQLMENQQTKK IIYKSGYEIDEEEEDTRQEELLQQQTDLNNKLQKIRQEYMKEYLQIPKYMASEQKTQEVT QKLQESSQRLENLENYPINQLNLNKMDDDILLKLTQWKAQLVELDQISQEQESAQQDTQS DQNGQVTANMKQKIQQELDQFQKYEMTISKEQQEKQEFNQQIQQELNDLDKDFDEIDSLL NQCGIK >CAK74799 pep:novel supercontig:GCA_000165425.1:CT868196:388999:390532:1 gene:GSPATT00010967001 transcript:CAK74799 MDNKKYVIKQHGREIKAQKKEEIKTTIEQLRKKFEQQDNVQLEPEEIIKICEEFSDIFLV KREVHTIQNQMVEIIDLKLNVDPEIEDKILTSSFVIHQTFRRGLSLIGFQNQYKLLRKGM MKFFDIKIIDQEKAKSEEKNDLNNQISFYTFHRIYKELENGKSIKIQVQEKANGENAQIS FFPPLNMWVICSKNTAILCNGVDDLKIYSDQKFNLAIQIAKQWFKMIDQNPQLVEIKQEL SNSTLVGEYCGHPKFQHLVKYDNISLKFFSRVKHSSLETCEPLGESRLLFQQYQLPTVSC RLEVQVDSKENLIIELKKLKDMIKIRSIEEEGEGAVLYLVNNQDQCLTLGKLKTIEYKIH RQIREALKDCIHQKGNPVKTYQALQQSVQQFTAIDQGKRKQYLQFATNLLQEASNFLKGQ QDANMKQIQQILFSLIDKSYLDIKDRIQKKGKEDMNVFKQLIEQGDNKQ >CAK74800 pep:novel supercontig:GCA_000165425.1:CT868196:390601:392084:1 gene:GSPATT00010968001 transcript:CAK74800 MLQQKRKKSRITKNLEPLIQSLKSFRADQPETQLTIEELDNFLQTFNILTSSQVVECNLK DLDLQIRDIKLKIHYEEDTLFSLNKQIHQTFRRGLAYVNYGQGWKILRKGQKKFFDLYFE DIQGKGGEFCNTINYYNIGRAQELAQQNKQIKIYISEKANGENCQISYCKDIDSWSVSSK NKTLVLRNENDLEAQCYQNNSYLVALMIAKQWFKELKQLNQPIEGLKNILQDHTFIGEFC GHVQLQHLIRYDEVQIRFFSIVKKNGTETCLSPKFSQQIFDNLQLKTVKFREIVANGIED LKMKMLQLSNEIAKMSLKEMGEGSVLYFCNAENDECLSLAKLKTIEYRIIRKIREKMKSL VYKKVDNKTCLNKFISECKKFPYFNDPEFQQAYYIELCTKLLSFGQFLIKELKDEKIYKS VFNKIKQSFLDFLDLIKQNAPFDFILNHFVKIKQFDVEELQEIENDDDDLE >CAK74801 pep:novel supercontig:GCA_000165425.1:CT868196:392300:393853:1 gene:GSPATT00010969001 transcript:CAK74801 MQQTELDYQKMKAKQDLQSIFLLVTLFNGLCFLFNTNICFGWDYKIIIIYACTAQFGFLI SKLLSSQGINSYCCFAILESTLLITYLLGFIYDREVSPININLISYTLLIIQFIILAITV ILGRQNSESDGHGQSKQIQDILVSAIKSIGSLQIVFLSLKLSDQIDWSWMQTFIIIWFSI GTMILFEISLFIDLLMKCYNSKLENKKEIIYGSMWFNIVMIGFISISLLTFLGLGLSLDY QIPSINLAAFILTIIYYIFEIGYYIRNKKDLINQENQTQAQSQERNNEQAGIKERIKQIH RISISKMPQFMIKLSATYFKRQEQTSVISKPVGSILNQDKKFKSISLSEKKQNKEVKKQS SQIYDEELTKRFDQLLSSPRLKLEVSESIGAELSSRGQPKQIQLCLVCYEKESNMINQPC GHGGFCQECSQQLLTKSDLCLLCRKPVTHALIVQGVENRESLMEVVGVFQDVQKQ >CAK74802 pep:novel supercontig:GCA_000165425.1:CT868196:393889:394945:1 gene:GSPATT00010970001 transcript:CAK74802 MNNNSNIFRPPSCHKVQTPQFAKEQQQPKNAKGNNRQVFRIQTFKLSPNFKNNLSQMPSN QDTLEQRLADQTSEIKLLQNQIAELKKQNELLEQRLRKANSTVSDYWIKVKDTQTKLQQV SNKFRSCRDKKKMYKRRIIDALNYFYGRYTDAQQQNAPFLQLEELRQILLQLGMHESIVQ EMQYLNQQAQNQQNVNIDNMTYEQILNLQERIGNQNVGLPKEVIKQIPKRAKEENDNVDE ICTICYDQILTGNVYRQLPCNHIYHSKCIKAWLLNHKKCPVCNIEVILCSDQHDDHNHQQ TQ >CAK74803 pep:novel supercontig:GCA_000165425.1:CT868196:394993:395764:-1 gene:GSPATT00010971001 transcript:CAK74803 MGFVCSKQKENHTEKRVEIKKQEDIRQSVSTTKEAIDFVESNSLANVMRTKPIKSYLVEQ IEKQQIQMDSCEILAERIEAKSQLIIRSYNHFTEKALKIKSKVLEYYRNEYLNYINSNLD TITNIEFIQKETIQLLVETTIILNCLMDKNFDDEIELWWGNNYFSDRIQHLALTATDCSD NTDAITTPIIQYINALRNKILQVIPVQTNQPKSIANSVAIANVHLTQFYQDLKLEFAKKV DEEDENY >CAK74804 pep:novel supercontig:GCA_000165425.1:CT868196:395801:397496:-1 gene:GSPATT00010972001 transcript:CAK74804 MFLQAMKLKDNRYGALQQRLEPIQLKYSPKEPRQHTPEGLAKIGMFNSTQFQRKQSVEKR KLSSQAEGKRPQSSVQRIPRTAFLKNTFQAQYVFFCENGNNGALVRRILQGRGWFAEQQT SFNVNFIWKQSNKGFNYSTLTQKKVCINHLEHHHEISNKNKLHDNLKMHCQRISKNMDDF VPITFSINLDSMTLQWDLKKFVDFFISIKKEGNQKNLWLLKPPDLNRGRGIQLFSDLRVL INQVEEFCKIRCQNSKTKGSSKGARGVTITYPDSNEKSGQAQLSFTIDQSTSNDRIIVLQ KYLETPLLYNGRKFDFRVWVLVDHTSKYYFFKEGYLRLASEQFDVNNLKSLYIHLTNNAV QKNHPSYGKYELGNQLSLQDLQHYLNQQRNTKVSSAGIILKMKELIHQTIYCAGSKLRDN RKDFQFEIFGYDFMVDKNGHIWLIEINTNPCIEESSPLLQKLIPRMLNDAFRLTIDKIFP PFKTTQENFLSNLNEYAIPGYSDKDILWDYMGQI >CAK74805 pep:novel supercontig:GCA_000165425.1:CT868196:397535:398151:-1 gene:GSPATT00010973001 transcript:CAK74805 MPQEDIVQKLLQRIQILVDEVETLTALVDSNALEQQEMNQKYQNLMELYRQKELECSELQ NKLNQQFRLNVKDHLQQISEERQHITSLLVHQRNDVPETQNQALYQLCQQKTAENQLLRN RQDQLEQENAFLHSENIRLRTYIQHEKMFRQLEYFNGNYNPQTVAPGQNVEIPNIVLDPM YEQKYLQEYLQSRQVVQ >CAK74806 pep:novel supercontig:GCA_000165425.1:CT868196:398394:399457:-1 gene:GSPATT00010974001 transcript:CAK74806 MNQQRKEFEKYFYPLYQHYHQQHSGFKLREANFSYQRKIQYFKGEDFIKFTTANFEEIKG LVPLTDKKKDAAQIAKYLLECKIIWRIDRHTNDPKKKWPKLVTLVRDQTFVEDGFYTWSI DVKNKMNSIKLAAILLGVFLICLFPIWPFSFKYGIFKFTIYLLISLIGLQVGRLLLYSII RLFGYEFWILPNINDDSYGILGSFLPIYSISKYSDGKLEIALRLVGLVAFFMLIFVIVQE PHHLTHITDATGQTLDDVFQWGKEKMEGKQEPLHRRAIPDLSELIKQAEEDSNNAQNVLQ DEKLEKPEVQNDL >CAK74807 pep:novel supercontig:GCA_000165425.1:CT868196:399849:402007:1 gene:GSPATT00010975001 transcript:CAK74807 MSLGSSEYSVENYWKYIYVSLLIFSLCSASVIPIIYTYSPKCRHHPAQIVLILCRIIYIL GILEMITCYQLFLDSVNFQELIQFLDNVIGYNKMREYYVFGFGSISDETLCQINQSLVFF GFLAQLNYYAILTLDFILTLTKPFLNTKMKQLSYNLGASFVTLIMFYLEKDSLEVTCKGI GARQVSQVFKLQMMVITVLLAISIYVGIKDLKKMKKINNVVKENRKKFFYNHLYLFLTLS IMWSLPLIMTTLSEQSRVHKLINKIYFAIMLLHRIVLSPYLATILLWIRLREPYFKSRTF LLIATIFCKRRWKENKDKNVDDSIMEQCQLPLSKLVQEQTNQETVSNILSSILKIVTYDE KITDLHKQCKYKKQFRFSSNKSDQLQNESQSPLIHSINYSLELTLPFQIKEYAPAVFQDI REKNGICYKQFQNSLRLDQNQNQIKKTQESLGKSGSFFFYTYDNLFVLKTITQSEIRLIQ EFLEDYYKYINREQTFLAKFYGLYSISIEGFSQIHLLLMENIFEKIPKERIVYDLKGSLV NRKQNVKNSQVVDLTSSFAQYLPQEGVLKDQNFIKSTDIFIQLKQQDRDNLFNILKDDID FLLKNNIMDYSLLIAVCYNNISKGKRVYCNSSKCFCFGLIDYTQKFTFKKKLEYFYKFHV KRKGQQISCVDPQFYSQRFISFVNSIIAINDWA >CAK74808 pep:novel supercontig:GCA_000165425.1:CT868196:402847:403209:-1 gene:GSPATT00010976001 transcript:CAK74808 MGSICKCQTFDLKSCQNEITITSKNTQKQEQRTLKKKISNSFQDQNLKVFYIVYPKNEND FAQLFKQLEVINKNEQYEIEEYYQESQGSQIEEIESYFNLNGLSVGSFKQMQITSDKEQK >CAK74809 pep:novel supercontig:GCA_000165425.1:CT868196:404539:404952:-1 gene:GSPATT00010977001 transcript:CAK74809 MHSNQFDEDGDPQEQFLEAFKELLEIQNQRMQNGNDLINQYCPQLLYPLIIPTQIPNTFI INMGPYYPHIQQPQLHPQMTQQQRTPQQPQQNLFQNRQNIKEEEQDDIKQSKGNWLQQKK Q >CAK74810 pep:novel supercontig:GCA_000165425.1:CT868196:405246:406305:-1 gene:GSPATT00010978001 transcript:CAK74810 MIQTSTNNQKKPKPKLQLNVNITDVQPESTHSSDGWKQQTEVFFHEPIDQSLQFSHNGKT VADVAQLKCGRKYQEDRFVAIPNLNQNKEAQFFYAIYDGHAGHSVSAILENNLHKYLQEE NKFCDDLEKAIINGFEKMNKYILDCQDENQLLGGSTAICVINKHKDLYIVNLGDSACVLI TEECEIQKLNLEHKLNREDEFKRVEQMATILDRHSIPRINGELAVTRAFGDKKHRQSGLI AIPEIKIHQVDQKDKYLILASDGFWDIIKNDELKKLIENWNRKEIDQLAQYLLDKAASKN TNYKKDNMTLIVVDVQSYWK >CAK74811 pep:novel supercontig:GCA_000165425.1:CT868196:406378:407469:-1 gene:GSPATT00010979001 transcript:CAK74811 MRTVLLVVLLLSVASAATYKNKLDYSNKRSLISVMAEVEAKISTKSPLDAILNVLRDFRD SVNFEQVNHDEIYQIEVRECESEDEFRSKEVQEAKNILRDSTAQLNVCQTSKIRATNQQE VNQQQTFTAEKHLNMVLTAAEQEASYFKKRGRDYEDALHAIDEASDILAAIYSGSGSFAE ISRVSKVMLQTSFSIKETTRFAPILYALSQLASQKDFDETSLERVAQLLDTLRQNIHEAY NDYAESNGQSIAAFNDQKERIGQTLERLSAQAERLQDKLDHLVQCIGTNSAIAQTASGKL QRNQQLWDQATALCATFQNEYNFATQARRNELQLVSQLEDMVEDRFNQVEDENHERKLRL SQN >CAK74812 pep:novel supercontig:GCA_000165425.1:CT868196:407793:408242:-1 gene:GSPATT00010980001 transcript:CAK74812 MFGIVPKMQQYVCAWNFWPAFMKRFLMSEKGPFTIFFWTPLAKWGISIANIGDMRKPVEQ VNTLQQCVIAWTGLLFTRWCFIITPRVYSLVICNFCMAQTGLYQLYRKHQQGKLFAR >CAK74813 pep:novel supercontig:GCA_000165425.1:CT868196:409608:410196:1 gene:GSPATT00010981001 transcript:CAK74813 MKYVILATKLIHFQQNYPRSNYGGAFCYVISQDSQCLVIRNIFFQVFFLLLKFECISSLV KHFIWMLMEVVNKQRLSQKVARCQQKYILYQEFKKTSQNDQQMVYLPAGSMDTILHFGKQ INENEELYTLDLENPETQIKVSFLLNQEDNYELQNQLRGKQVFFRSQNPRILAQIAPGIC KIRYLYQN >CAK74814 pep:novel supercontig:GCA_000165425.1:CT868196:410217:411140:1 gene:GSPATT00010982001 transcript:CAK74814 MIEKMAPFPKTLQDQASISRLGQLKQEADILSLLKEHEHQNIIKLEEIVTDNECVSITLE NCQGGDLLKLLNKKASKIDVPLFMQNLLSGLRHLHELNIVHRDIKLQNILFLDTQNGNSL KIADFGLSCFKQQIPYYNPRCGTPGYTAPEVFDQQCNYDEKVDIYSAGIILYNMLTRKNP FGNSKNVQDIIKRNISGQYDESHLVNVKINNPLGYDLLIKMLQKDPRNRPSASECLKHPY LNLENGVIEDDEDKNTYESQKRVQTIKRVKI >CAK74815 pep:novel supercontig:GCA_000165425.1:CT868196:411156:412015:-1 gene:GSPATT00010983001 transcript:CAK74815 MMEEEFIKLFQSNEVELQTIDQKQIDQESIKEAALRKIRQQELSSMLKDLSWDDRYEWLH YQKNKGNKLFYQQQYDRAIQVYNEMALALDLQNTQERNEIMKQDFQYPIIFNLALCYKKK KDYGKASQFYDMVIKMHPSPRYILRRGYFHFDIQEYDKVQADLSLVTNINEYPELREDYF ELKQKLNDVLKKDRELYQRMFQQNSQSDDEQQIKLSRLDQLYEWCKNQFSRIFGCRKLFE DDESEDDDESDPKKFMEK >CAK74816 pep:novel supercontig:GCA_000165425.1:CT868196:412060:418638:-1 gene:GSPATT00010984001 transcript:CAK74816 MIRLALLFLLTNPNLTVSTSINEQCNCEQLKSKADCVFNSCIWKDEKCLKNEVDQSDITV SVHCNSLDKTSCQSQQGCAFNDEVCEQFSGCSAYTQSSNDLCQQISQYCTSDGTQCIEPL NCSDYIDQVLCYSNINAQGRKCKWEQKKCRDFKCIDANTTLNSDNQCNLFYPGCVTNGKG CVDKREECSTYGESCLGMIGSDGYCEKTSSGCSPVLCSAASVELTTNEQCAKFQFGCITT GRGCSNNPLNSCTTYTGEGFMCQQMIGSEGRCDGGVSNQCQERRCENASTINNTDELCQQ YLSTCITNGRGCVTQLKLCNTYTGTADECNHYIGSDGNCTIGLSGCKVRLCNEAPDTFKS DTDCNEYQYGCVTTGLGCINNRLSCATYSGTASSCQKFIGIEGDCQGVGDKIEACKAQNC SDAPATYTSHDQCSGFQSFCLTNGKGCVEKTVCTSTIAEISCLGTNSCQWNQICVTQTDC KYYNTISLCSNNQVNGIRCQWDSGVCRYRLCSDAPKSYNTDENCKGFLENCVTNSFGCIS VFAQCNQYTGNKDTCINFRGNGIKCTSASVSNAACIDVTCVSNTTATNQIQCDDHLTGCK FQGNSGCVDSSAECHKYYGNQESCRIYKGVYGTIKCYQENGLMSNCRILQCSDNNTATDD IQCNQFLSTCVNKGVGCIDKSEPCTSYPGNSISQCQRFKGNNIKCWWIGGSSCVNKQCSQ DSTSTTDEACNIFLAGCVTKGNGCIERTQLCTTYKGNESLCQQFKGNEQPCVRKNYCMNR RCSDVINPINNDACISYLSTCRFNGNLCIDAELQCQSYSNLSYTRCQQITTLSGGLCYLA NGSGNCLTRTCSQLGVANNQADCDQFLPGCIFTGANCVDKQITCDLYVNFTSIACRNAIT TTSMMCWNKSITIDSCQARACSGITTQLIDPNTFSSEFCESFLDTCIYDGTQCVNKLGAC TSYTNFSVQACKAATTTSSEECWQEDVNSSICQIRLCNNSVTAPSLDNCISHKATCRYNG TSCTDAQSACNLYTLFTQNACRETTLSDGITRCWRTTSDVGTCESRLCSNVLLSYSTAEC IGHMSTCRFNGAQCVDQRSDCNLYTGFSSDACKSVTTTSGVLCWFSLSVPYECTTRTCND AVENASVQTCVSHLSSCRFNGLICVDSQPFCNSYTDFTQSACQNITTSSGVKCWKSTSSA GTCETRSCDNSITNPTYTTCASHLSICTYDGSGCYTIKDICSKYTNVSASQCQNLRTISG DRCWLTSGQGTCVKRQCVHNTTAMTDQECDAFLSGCVTTGKGCVTSTTSCSLYIGTISSC LSFVGNSIQCKGADSIGSCEPKNCYDNMDSITDIQCDQFMPGCVTRGKGCIAKTEPCTSY IGNQSNCSQFKGNSKKCWNNTNASTTQQCRNRLCSDDTTSNTDDLCQEFLISCVTKGTGC IDATAKCSLYTGSQTECSQFKGENGTRYCWNSDTATHLVACVNRICTHNSSATTDQECDA FLQGCVTKGIGCVSPQPCSSFQGTTISCAIFSATDKPCKGISSITTSSCVAIQCNEAPNN YDTDELCNKFKEGCVTNGFGCASNVQCEEVQTQKVCTSKASCAYVGNCRDLQPECSNLKS QSICVNTPVSNAVGMCAWEINNITKVGFCRDWRCEYASESLTTHKDCNELYKQCTTKGNG CIPISSCSSYTTVAICSVAKTTDLGGFCKWNTTYCRELDCSDASQQFTTDKKCQEFLSKC VTNGKGCINAEYKCEEILIKEKCTIDYKSRTCLWFQSQCILYTRCSDINGVTYAQCKKYS KNCTSNGQNCISIAPCDQYSNPTSCQIGTNGQCGWVAATQDKAAHCTLFTKCTNSTGQTK EICQLYSTSCVSDGTNCIEQTTCSAYITEMSCASVGTDGVCIMDNGKCRLRQCTDATIAT AIEITNHSKCYAFQAATKCTTNGTNCVKLNLCNSYKEQGCYYGRDGECIFSFPSGQIQGT KSCRVKVCSDFNDVTSEICKLRNAQCISNGTNCINKSQCVSYKTRVACNSGGLDGVCVFT PSNNDPNNGSCDLMKSCEQANSDMEACKSKYNACQFLVSSQSGTNVTSCINHTCATVASG SICKPLYSFDKKSITICVPSASGCIIGDSTQLTASTCFDYSLKTYTWNSAANLCEICTSE LIQPDYTNKPNSTGIDTFAKVLYAFPLIMFQF >CAK74817 pep:novel supercontig:GCA_000165425.1:CT868196:418944:419196:1 gene:GSPATT00010985001 transcript:CAK74817 MQFNQCDFIEIYQYKYMNKLIEKKESKSLDLFVVLRNLEYLKDHLVISEKKINRKKIKID DDLEKTILICNSDQED >CAK74818 pep:novel supercontig:GCA_000165425.1:CT868196:419989:421073:1 gene:GSPATT00010986001 transcript:CAK74818 MDEVYQEHCEQNLNSGDKLVNFILRIIILIISILSSLFVLIIIKKSKKSQFWPFMLIFAQ VFSELIDLILALSFTINSSCQPQVCKIIAYFMHSNWLASLNFMLFQCFIYFCLIKSELLF NYIMNHLYFFISLLYLIPHGLLFYVLYDGGFGPSGWYLQNGEFNFIFCGCIFLLQIKLSI NILQDFGSSLSHPYLCFQLCFPCLQRRSIYLKQNSCYWHHLQNKKGLKYPALRIQSVILF PVLYSLAWIVNFIIRYGKCLQNFRFFETNANNENYCPIEEMSMGFYIFYLFLNLGFELHL TVGAILFYFIYRYDFDQFERGLLGMDSLKRGFRKGRQKIESVQLYLSDYSNIL >CAK74819 pep:novel supercontig:GCA_000165425.1:CT868196:421483:422201:1 gene:GSPATT00010987001 transcript:CAK74819 MATSIANKKDNFDWLIKILLIGDSGVGKTNVLLRFCENNFQQTYLSTIGIDFKIKSIDVE GKKIKMQIWDTAGQERFKTITQTYYKGAMGIILVYSIDDKDSFTNISSWMNQIKQHASEN VCKLLIGNKIDVPNRQVSKEEGEALAKQYGVPFFETSAKDGTQVAEAFIAMARAVKANLQ NEKSPNPSNPQNGPQQLKPNNGADEEKKKTGCC >CAK74820 pep:novel supercontig:GCA_000165425.1:CT868196:422365:422841:1 gene:GSPATT00010988001 transcript:CAK74820 MKPNLLQEYQSRTASPIMQTFSRILREERENEYDHDNDDKDEDTPTHKAIIIIIALCIGL LIIGILAYISRCLYLRWKQKKQAQVRQQSEVAYQQQVVQIESLCVQTQELAQCPICLMPI PCYLKVVTPCNHTFHKACLNLWLQVEKICPSCRASLNQ >CAK74821 pep:novel supercontig:GCA_000165425.1:CT868196:423328:424846:1 gene:GSPATT00010989001 transcript:CAK74821 MNNSQKSKSVRSGSARVRSAIERAGLLQQREQLREMLINKFSKDYAQGNKSKEALIQQIV NEYFTNEQVTETSLKQLKARVIEAVQKQKGLSQTQHVAQNNNNSQFDNKSEQRSQIPRPQ SVKNSVKSELDQDQYSVTSSQFEKQPKSVYVVDEEDEWAALVKFDTELHSKEQQLEQQRQ QEFKKKMKAELDRQLEEKKRRIEIEKRQEDAYVKLRDFQMDVYDQREEQKKREIAKKQQL EKEQRDRQVREEEKRKFLEKKKQQELDAILVQRIQEELKQEQREALQKKDMEKRKFVEMM EENEKNRQKQLNDEITDKQLEVDMQRKYIQLQQKLEEEREMEKKEREDKIKKIMSDFSQT VVKNQKDQIKAEDDKMMKAILMQNEIEQQDEEIKKRQIKSQQQDMRKYLIKQMEDKKQRL KEEEELNKKQAQVWQQDLQTFQQHEKSKYDYIKDVNVKHQEILKQQMDERKQQSETKKQN EQ >CAK74822 pep:novel supercontig:GCA_000165425.1:CT868196:424993:425518:1 gene:GSPATT00010990001 transcript:CAK74822 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFKRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCRQEFVKRVQENDKKKTEAK KNKQVISTKRQPVQPRGAAVVIPKQTTFQHPKAFVEII >CAK74823 pep:novel supercontig:GCA_000165425.1:CT868196:425518:427315:-1 gene:GSPATT00010991001 transcript:CAK74823 MNKWEYQNVFINSQNHKYLYFILVSHHHKAHDIAKVAAARLNAAAATRNYNVTPRVDYRT VVKVDGPLVILDNVKFPRYAEIVNVCLGDGSVRKGQVLEIAGKKAVVQIFEGTSGIDNLY THCEFTGSTLQMPISEEMLGRAFNGSGVPIDKGPPVLAEEFLDIQGQPINPFSRVYPQEM IQTGISAIDCMNSIARGQKIPLFSANGLPHNEIGAQIVRQASLVKGKDVLDHSDENFASC LWCNGFFQTDFEQNGSMERVVLFMNLANDPTIERIITPRLALTTAEYLAYEKELHVLVIL TDMSAYADSLREVSAAREEVPGRRSFPGYLYTDLSTIYERAGRVQGKNGSITQIPILTMP NDDITHPIPDLTGYITEGQIFIDRQLNNKQVYPPINVLPSLSRLMKSAIGKGMTREDHPE VSNQLYANYAIGKDTAAMKAVVGEEALSAEDLLYLEFLKKFENNFISQGAYEVRSIFKSL DLAWRLLRIFPPEKLKKINKKNLETYYYRRKEDEDDFDGPQQQKEEKMIVMYQVKQALII QYQIIEFFYFVYLIY >CAK74824 pep:novel supercontig:GCA_000165425.1:CT868196:427935:428661:-1 gene:GSPATT00010992001 transcript:CAK74824 MLPLSQVKQKSNSFQHIYHRQTNSLLQKHFETRKNSPVTIVRAPALPKRQPLSGSTLCLR TPYPDVKLRIFTQQTEESKFDFLHEQDDSQNQQLSSFADIKTDKLSCTKTTAAESVEEEK QQVKKNFAKQSYLQMQKQLLDRDLEILQLQRTIKILKDQNKKITNENSVLKKENTHIKIK IDTLEKRIEGSPTHARISEYISALSEVDKVKIETLLN >CAK74825 pep:novel supercontig:GCA_000165425.1:CT868196:428808:431774:-1 gene:GSPATT00010993001 transcript:CAK74825 MITDKCSDIEPNFLVNTKRQIFSSENESHIHLTNKQNSLAEQDDVSSQEKNIHFIELIQS RSSAIRTVKIISPEDFGTLKQHRGSLCFQSSLHNNEKYLFPLIKSLNPTWKKGGLKIIKY VARFIYQLKTKADKPKIKLMNLEIFKNLGDKSGDFSNFKRGKQREEENIVCSIFNKIVTF MVAIWNVICRYSNRLAIIYPESSFKIFWDSIVVCIIVINIFYIPMSLSFELDKSNQISIL FFETIPSYIFIVEIMLNFNTAFYNQGIIHTNRSEIFFHYIQNNFCWDLLITIPFVLAQFD IPYIQFILLLRIARVRSMIQNVQDLLNAKEEVQAVLEMIKLVYFLVLVAHMCSCGWHLLG RIEYEIYNDERSWLIYYDYYDKQWYDRYIVSLYWSVITTLTVGYGDIVPQTTIERLFVII VAMVLCGVFGYIISTIGEIIKTLEERKAFLKRSLKKVNQYIKQKQLNLQLSLKVRKYFEF KHQIDEQLQEQDDSILNKLSGQLKQEVLVDIYKRVLLKSNFLKNNIPDELINNLCQKTKQ ATYAPGCDIASVNDTATKLIFILEGQVDSYFVSKGLEALCKGEKENAISKLQKVYQKGDV IGELEFVLNSSYQYNFRASSLLQIAFIDRNDFLAIISENEECRQKFHQTREKLTYQKTYG KTCDICKWTHKYQDCPFVFYQSNLDKIARNANFNLYQYRKKFERQRDVKRKRQCSFRNII VSQGLDLILNFGFLNEDQINDQYLAKLGYGVLEDEKSNQLSDSQLSLESQEADNISQEQR RRVQSFDKMKTSFLAQKLKTNYGLNQNYSKNVFTEDQQQTLLQNRRVELKHQQEQIITGN SQKISQNIYSQNPTRQSKLLKLDTIIQKSGSLGSQQLDNKSKNATDYETNQLSQSGQNFI IELDQMKDYEFYFPIFNVTNVVEVLRKQRLGLLKINKKQDLQDKLRALRMNKSQNKINIP SSKNLEEKM >CAK74826 pep:novel supercontig:GCA_000165425.1:CT868196:432030:434056:-1 gene:GSPATT00010994001 transcript:CAK74826 MQFLQYPPDKKIQNYQFSFKAKLGKGAYGTVYAGRNIVDNKIVALKVVDKKILQTDYATQ LIASEIEIMKLIEDKNIVRLIDVLQSVNNTYIVTEFCNGGDLREYLKKRKSIPENDAINV LKDLLHGIKALLKIGIIHRDIKPANIMVHDGIFKITDFGFAKQVDSHIDTIMNSLVGTPL YMSPQILKRQSYTSKCDIWSLGLIFYELIYGITPWHSQNLVELMAKLDTKPLEFPPYPKV TEQTIKIIKGCLQINEDKRISWDQLFSLAGMQEYATLPEITPVLPLIQKNTQSQYQSQQQ EPTQIKQNLSFLEIKPHQRVKQRTESMGTYHFPNRHNRSMSNATPTHDLDKSSERQKGKF YTKTKISYLQPEYRKITPQRNITAYTQESERDRNSSFVKFTTQRIELIKFLENAHTPPLP NKKYSTNNNDSFRTPSNLQSNSTNASNRNVQEQENSSKIVRVNEFQIEEKSREPLNYIIA NGYFNTSSNLRLKRTFSNHFQDNALSPISQQKNSILQFMKIIQIQFDLIPNHITIKKDID SLLNQHKITMNNVREQLYTPQDIKELKNIINCLVDYLNNNPQDNFVTQLSVMLLLLLNYN QIILYNVQTKSLQLSKSLIDQINKNQKLQQQQLLLSPEILREEIKKLLK >CAK74827 pep:novel supercontig:GCA_000165425.1:CT868196:434139:441614:-1 gene:GSPATT00010995001 transcript:CAK74827 MNSLQIQLVLLLLFVCVQSIDQCKEYDTYKACINSDVEQCMWLNNRNLCQKTNDYLQGCS ITMNKRACVKQIGNAQGQPAKCRFINVCEVIPNIKTEECLNNLSKYGCIAIQNPEQICYW KDDKCQVLYQNQWSQVQKDFDSVAYSASACFLIESYLIIHNTILWDLISYTPNLYDEADR ILKKEKGLQVDGDIVFDNPNTVSLQNNYQFSNGSYAWYTIRESKEIKLSNLQKSYRYRVG CIAIEIQNDADYLNLLRLTDEVRGINHIYCKYLNQNPTISNQYVYSNRVCKMFNKENLNN QNKILELDLGCKSIDYFQCIYYYSNTNTCRLKATEYEYPCVNQENDYVADCLKGYCTVKQ CSKLSEYYMDTSTNRCSKSCSLFTIKTQSSCEAIKGCKFLGANSIFDVRICGPQNGCNQL GMQKSYCRSILDQCGWDQQQQRCFRVPEEEFKLMKCSEAYNGKSCMSVALSDQVCYWDFL RGICYNIVDSPILISHSAVTDQEILITGRYRVTVSNRNFCKYQQNVASEYLPLLGKCTIE SSRFLYDFKQINSYSCLMYQSGYCKWDSYEQICISIPETEVSELNCVEQVLVNEKVCQLA KTNPDMICMYDSENNSCKEITKTEFELYGCEGNGFSQEACVSKQKLGEVCQFSAGKCRFI SESYINSILCSSLQNVNSQVCQLYSSSSKVCLYDETTHSCYTPSSYTILSYSENLNRYGC QQVQGSLTLFSLDLKKCIQISNLNTSLLSNLECASNFVNQQTCLSIIKTDQNCFWDASSK QCKKYTGYFINCLEYANYNSRVCTALASDMSKQFMKDNYCVFEDNTCKSKSNTITDCGES EQMNIHRCSGLTGLSQTGEYIQMCAFVNNKCKTLIDNSMNTYIDLNTITCQQANLKACTR VETNGQYCQLIDYVSSNMVIIDKKCVSIVTTTETCTTIKTNYAVNLINPNHCSRASDSCQ YDATNGCTSPTNTNLECNTLGLSYLGCILNTQNHRCAFMKSKCQYLTQNLIVSDCKYLNQ FSCSYYAFIKCYWNGSLCAASTNDTTQYGSEYNCSLNTSSTFIVSDGLTCQLIQQSNYEQ YSCDSKLNQFACGSIPNQYCLFVQNKCQFYRSTPCEDSSQTCSDNNSVNLKCVYKDSKCF DHPHTYYSCDFFDKSNYQFCLQYPNCVYFNQKCQKVNQISVYDYCSSQSSSVQKCVLQNK QLACSWQSGKCVDFKDKACPSLNGFYSFNVCQQFQNCTYGFAKRGLGFCYSDNNFESLTC QQLNQDLCIEDLTHLNSSLQCYWDQSCKNVQNNQITQCTDLSAFKSSYGACNYFNQEQCM YSFVDFKCKSISEYNSTTCQGTTAKQCSSIQNTCYFDGSICSVQGVQNQINKYGCIQQSG TWKFAYFKCTQITDEVQKSCNNLSKDACLSDLTKEISCQWKVNRCQSVLQTQNKQITSCA NLNQRACQDVRLSNVFCVWNGTSKNCDSLTISNTDCVAQNLAVTTSMSACSGQTKKNCAK NYDNTKCTEINVNLSSCNLYGLNKQACIQLTSVPCQWISINDGGYCEDADLYSAHCKDLI NRQACLNVQTTGQVCKWDETTLSCNDQEISTCESASNLNSLYACNAVTDEPCQYDPISML CSKILYIPKTCSINFNKKTCEMCLDNCVWYSNRCITTPQKNCQQYATKSKCLESDSINCQ WVNNICADFNQLDQTIFCKDLPSNLNQAACTNNARDPCRYDKNSPSCLPDQSMSLYIIQR MITLMMMQPADYKSPILSGKCEQLLIKEYCLKSRIPDTACIWKDSTCQMVTDLNEISCTD NLNFWGCLNVNKEGELCFWRDQKCLRWFPTITTLENVNKNVCKYHSVNRVYERNSCVKKD ISTILCTSEGISKQTCLSIPNQQCQWTNQCVEFTVSKEHQCSDFKEVSSYVCQMIPNMAC VYDEGNKSCIDFSNDAVGLGVSKKACIKNKRIPSHWNGTVCQVVTDKIECDSQLIVNAYT CSNQVHNIPCIYDTINNQCTSLINVWSLKCNTEGLNFLGCVQLKQEPCIFKDNKCQLFRE MQSSCMFLSQVNPKACASIMDHYCSYDSINHKCQSAQVPQSNCNIEGINKNVCTKNELCL WNQDNLDCKCKSVQETEVCQQSNVAKCKSQSRCYFDLDQYRCVKKQCYHLKDDECDQIMD NKTCYRSLKNGCQPSVICEDIIDPKNGCESIYINSQPCVQVGKLCVTSTNYKLLCPYSDC SNTNCILYYGTCKMRTCEDYDAQDCQNNEGCYLDQENNCLELLRCSQITVDYYGEQAINI CNKSSVGGFKCNWQKQHLMDDTESCTNRSCEIYGASQNICQGNEINGYSCVYLSDLVCKQ CEQITESCLCNQQKGVCVYKNGKCRSILCSSLLTKENCALASDRCYWSTYEDASNVQQEA CLIECGKLMNADECNSRINECYFDNQNGLCIKGKKQIPDLSSEIFIEEFYSVMLTVFICL NMIIYV >CAK74828 pep:novel supercontig:GCA_000165425.1:CT868196:441868:442457:1 gene:GSPATT00010996001 transcript:CAK74828 MHAVPEHVTIGLPREGLSTFDDKTELAKHPAPYRIKEIEVQYTDEHIIGTVFKYADAKGH TIEGHDIEKFKPFGHLLGKVHKKHFKIDDDDEILEISGHAGARINELKFKTYRGKEESFG VKNGVHFVYSFPGHTFGAVSGGYEKHLDFIRIHVNELPPAKHHLKIIIIKS >CAK74829 pep:novel supercontig:GCA_000165425.1:CT868196:442457:442993:1 gene:GSPATT00010997001 transcript:CAK74829 MQSSINNTTTITSNGNCYKQQCSRIDVINQIIAKKQNTNNNQFQVKFQQFYRDRMQLNKI QKKDKLELHDQILRLYKSNQVAQQINLTISSQEKTFVQSFSKPMKMSIDRRRPRSQSTHR STFTSPKTTRPILKDVLRISPKYISNRTTISSRNDTRSIRKNIFLDALILQQDSQDLF >CAK74830 pep:novel supercontig:GCA_000165425.1:CT868196:443059:444323:-1 gene:GSPATT00010998001 transcript:CAK74830 MLSQKTLQLLRNHLEEFLKLENEIENKREQLAHNLYFEPYTIFCRLDYKKILHIDINDLK VYFSDHDILDCDDDLQLLVRKIDIDKDQYISFSEFSRYILPHRDQDLNQLANSRQSYNLL ENKLPNLVEKQLMQVFLAEIELNKRMLKQMQQLKAQPDWDKIIAWESVNSGRRWDQKGLQ QYFDESKIMCNSKDIENFIYRNSKERDYRINYSDFLYLVFAHQDQNVNYKFFTPQKNQKQ ISDKKSNLLPKTNFRTNESTNLKSEKYNRNLQSQSHHHQKQSHDDVEVSEFRINENEDQN ILEFLINKLKVQNQLKGHLIKQLFYRIDENKKGFITLDDLMSYFENVLRAPQQIEDLIKL VKILDKNKDGIVSEKEFYEQIVRHAYIQQF >CAK74831 pep:novel supercontig:GCA_000165425.1:CT868196:444394:445366:-1 gene:GSPATT00010999001 transcript:CAK74831 MISSIKSQRGSREKYSQELPFISHKKSFTFLKNGEPQSSQQSIHKKGIKSQFALETLFNY KLVQDSNTSPVPTSQKSYRYDRASFCTFFPNKKAMPVSNEISLSLKSMSYKENSLMQMLN QIAFIINELRQFKSEKGLISIIEKEINQNSRFLELSFLFLNAKMSDLVQSESMFKRIYKK FTYIQDNRVTIKRNKLDQSFLQILNDFLNKLEEQYRKINELQFLGLQESMKSSRIKLQNK ELEMQTQFTSQEKRGACEQLQQFFKQKFSLNKRILESKLSQDINQLEERNGIFKHINKNN RFISNQIDDILKNII >CAK74832 pep:novel supercontig:GCA_000165425.1:CT868196:445403:447712:-1 gene:GSPATT00011000001 transcript:CAK74832 MSQFETNSQSQMPFQERSKLNSNRQLGTTNTFNGQNPKILEQNESFPQRSTLPNNYGNQQ TSSQLSQYPQFNYPIMGQQTLKDQDQQEQIQKLTKENDTLKYQWRALEQENQKLKSDNIQ LNTRDKSNMNEIQELQDQILMLKNENKRLTNTIQEDQYLLIDLQKMRKQADFNRGLESQI NDLEQQYASVSKQLQEQKRQNAFQESELQNQKEILEKYKAQVRDQTLGYEQQVQKNEQLL SDNRKMKMALDSINKDLKQEQEQNQVLNQKLTQISSGAKDQITNLQKNLSNLNFQNEQLK NQVTQLQQKEKELQISQNQNIQQENKIRQMNQQLKQKQIEQNNAIISSGKLEYTKLATKC QSQDQEIWKLKEQLNTMTQQNSLISNKCTQLERGMEDSLQKSSMLSSDLKGQLEKWKNYG TTLEQEVKKRETAIVNLENDIMKTEAQLKQAQEIQTQLKNERTVQIGKLKEMHADLLVYE KKCDQLERDIMTLQSQIKGSQQNQERLEQEKAILNQEIKQLMEDLRRIQNQYDLKTRDFE SLLQKHEQESSLRDRDLQSLRSQNSALTERNMAMEHELTREREKQLQLDSKIRSLENEIH NLNFQQTLKQQYSWNTEPQLSLGNQKPKFTEVSQGYQSPQANYQTLNSPAYNQSGIHVQS NQKPTEVSNSGLEHQRNNSSHQIKSKNYDLRFQNEPQNQLH >CAK74833 pep:novel supercontig:GCA_000165425.1:CT868196:447775:449137:-1 gene:GSPATT00011001001 transcript:CAK74833 MSKIKQKKKALIKHSIDPENDHEDQLSYDSIDDEQYLNLLQGHVPKSHKVDIHLGSKYQG KKTNNQQQHSQSEDDLQNESINSDEIPEFIESEQEESEDQQQRKTVQQKPKKKQNLSTFQ KELQQIEKEDLEMVEKQKENKKELKEVADQIKEQQQIWGNLVEIREQMQKSLDAAKRLPI LKDSFVTELQKSKDSKLNDLNCQLLNNITNLLNLYSSLYQIDQINLNDDGYDPNSLKKVN DNLEDSIKVVEDDIIRWSSKSALLSSLNKDSKSQMGFLLLTPIGQSKKALQNMDKLRQKT QLKRQIFRILGEEGSDLSETTNKHIFDDTDFYLDLLKETVSFNNQNPTEEALKKETEQQE KENKVVDRKASKNRKIRFEPHQKIVNFTSRIEPPVETISREEIIKNLFGLTSTNIEPQVQ KKRKQSIHDVNLI >CAK74834 pep:novel supercontig:GCA_000165425.1:CT868196:449308:451311:1 gene:GSPATT00011002001 transcript:CAK74834 MSSYIQSQFAVSDKKIFDFQKPQELSDSEEEEMRQQLLIMNNKDSKKSKKLFDIESIKAE LNSRPALETEQQPQKFGKRIQKASMRQLPNLFIPTSSLLIEQLGNIRAFKTMKEQVPPEV MHKVYTQLRYQYVSAFNVIYRQGDLNKRYYIVLDGRCVVMKPKEKMVGIQKVEFDIDNQT TDSKVPQDPYGLKNLFPDYLVVKVMFSGDSFGEVAIKLESSRASTVFAVENTHLLYMSEA AYIQLLDPYLSQILEEKIQYFKKNMIFTSVETDINEIMGILLECHKITYKAGETIYDEGN KSNYIYFIISGEVELSKKVAETHLVLSSYGEYQQFGEVEILNKVERFTKARVITPRLIVY KIRKTKFFNNLGNFLVYENMKRKSVLMFKHWKLIFNSAKFQINKDSDPYLSSHRRKLQSK LSKHDSTNQSLSQVQVFQHMTDAGILSDDQHCFASDDQGSKRGYSNALQQYQAKLKKQGN RASQLESATKQIRDKTFTTEDYQSPKNVVHGKFSFHVKLPAISPNKVLPQMNLDQILDSI TKLPKVPPDNLVISLMYQQAYKSNNPDKRARQIQQVIQASFRNVRKHLDSKEKLQLKPRF KSQDSLLKNLRMNDNIEEKKEKIDYLNFVHPKQLF >CAK74835 pep:novel supercontig:GCA_000165425.1:CT868196:451399:452794:-1 gene:GSPATT00011003001 transcript:CAK74835 MINQSEYQDKSSKPHKQHESTRAMSKLSFAQEGQKQSSLSTIFGITNSMVGSLCLVIPQV FQQCGIITCLIVMIILSLVQYKTCQIMIIHQKEEELDSEHMIKRLLGKSWTQAFRVTSGT LLFIVGIIYFQLINLTLYPILVLIFEKSNYTNYAMPSDGITFDKFSIQWQAIIIFLPLAS MLLLKDITKIIKFAHYGVVAIICYCIFIIYIFSANMININEFKGDITWFTWNFIQPAGQF AFGFMVHNSVGQLIKNNANKANNSRDLLISYGIAAIIYGIIGTFGAIGIMGKTAVNPSTI LDFFSSTDYAVLFIEGLFLVHLVAAFPIFPYISIYQILETFYHKEYPQKFQWGLKGVFIC SCLIVQLFNINVGIVISFDGAVCGFLLVYIIPVYMHFKCYYGNRTNVGDALLDHDSNCVK HKNINYLSLPLRVIIYLIILCVGIFNMIIFFYDFFK >CAK74836 pep:novel supercontig:GCA_000165425.1:CT868196:453047:454771:1 gene:GSPATT00011004001 transcript:CAK74836 MQQLIINIGCKQIYQLLQNATQFNSVSTFRSPIIVPSSQQVSPVASGLNSLKNLHSPKGS QIDVTSLTRLIKSTKINESVNSQQIQALLAKAREIAKVTTDSKSQAQKKHVKLQSQQFVN FKDLLHNSTSAKIQQEIIFCRNSFNFEFVIGIGGFGKVWKVEHKKTGQIFAMKEMSKALI VTKKSVHSVMNERTLLSQLKHPLLVNMNYAYQDRENLYLLMDYMKGGDLRYHIGRMRRFD ENSTKFFIACIVQGLEYIHSNKIIHRDIKPENLVLDEKGYVHITDFGIARIMKLENSSDT SGTPGYMAPEVMCKQNHSYAVDYFAVGVIGYEFMIGRRPYFGRSRQEIRDSILAKQASIK RTEIPNGWSLEAVDFINRLLQRKPQNRLGSNGIQEIKEHPWFANFAWDKLQNQTLIPPFI PNQTEDNFDQKQIIDEDEENQELIQQNILVLRDQTIQDQFQGYEFQQGNHSISTEQSSGS STKHSRHLSERLHIFEKKKII >CAK74837 pep:novel supercontig:GCA_000165425.1:CT868196:456455:457084:1 gene:GSPATT00011005001 transcript:CAK74837 MDQQLEQVGVDIQKIVYKKAMLHACKYSTEDVIGILIGQINDTHVNIVDAYPLFHSRVSL NTLEVSLDIISSELQSDRKIIGVYEARANARGIMSEIAKEMLHNINQKQAIVLRISQVEV DDAPILNAKIVSLNENVKYVINSSSTLEWWVIQDCLKAGLHWKIIDFDAHFENVQLNFRN QYLE >CAK74838 pep:novel supercontig:GCA_000165425.1:CT868196:457110:457896:-1 gene:GSPATT00011006001 transcript:CAK74838 MKTISLIPSTEQVVTGQTWIGGPTYELQKQHVPGYAGHVRGLKAESQYGKPFAKITAECM EERLARCTNSEERERLQTSYKIEFGEPNLRKPQLQTAAERILEDARRQEKAVNERNYRTF QSMPKTINDIPPIDRLPVVGYQGFRPVFRHPLKQVVPPEKPQPYVHPLNQMDEGMAKTML ETNDRFRQTYEQQKPPIVGYTGFMTGIKAENMYGESYKDISHQVLQRKQR >CAK74839 pep:novel supercontig:GCA_000165425.1:CT868196:458505:458925:1 gene:GSPATT00011007001 transcript:CAK74839 MRSNSTAYTPQNPEVFHKGHIHFFDLLSSFHGLEDQPDYPVQQQTIQDKLRHQYLNDNNW EDKLCYRTCFKIQEKDYVQFCLDKKCQGATYLKAAQVLGYVKSGNPTPKAHGHHHH >CAK74840 pep:novel supercontig:GCA_000165425.1:CT868196:459082:460844:1 gene:GSPATT00011008001 transcript:CAK74840 MKNIFSYFYGSSDSKNNEVKDPKIDQQFETFLFEFTSNEYFPLYEKWTKGEEQEYIGLQL FIILDFKLQDIEDIYLNNYDQQLKFQQEETNLVDYVVQEDDTLFGLELKFNINQNRILKL NDISPECFVPGMKIKIPSVQQEQSQGFIQEGETNDLQFNQSSFYGRNLMDEIMSKGQTKK FNVYYVTNYGCIEGVLTVNSDVILFDPSFVDRNKELVQKCHKQSILNFQACLMTEDVISV DLNELPMRIAKSSSKCFKDYLVMVHISANVSCKKLLEVLPILTFRIQNDDDQKEFKVQSI DLCETLADVVKTKSERMLDEQKKKEQDLTIIPFYDIQDATLTEKLIERTNQLWGGQDFIP QMVSDSQILDGDEMIQIIACVPSIYKTSNWKLIFSNVIHGSSYLTLLNNCENHSPLILAV KDFNECKFGAFLNESPQLTFGKFFGNGETFLWTFKNDFKTYNWTEANNYFIFCESDGLAV GCGEKFGLYINHSLMHGNTNQCETYKNEILSTSNDFSIQILEVWGLSEQ >CAK74841 pep:novel supercontig:GCA_000165425.1:CT868196:461184:461504:1 gene:GSPATT00011009001 transcript:CAK74841 MQVQSPNFKMKQMIPLSLHQKYLIEDEPNDEIFENQNTKIQFNERMIVCSYKPWQNINQF QKTIQKLKVYNDIDWINPSLSERSHKPSRSILKNSFQSKLEFSSES >CAK74842 pep:novel supercontig:GCA_000165425.1:CT868196:461845:462725:-1 gene:GSPATT00011010001 transcript:CAK74842 MNDQKPYLILEHPHAQNPVLSFKHKTLSNNDKIWISHIKIKNPVENNQSLAFKVMSTAAE QIKSYPFVGILKIGESKTIKLITKEPIFDKAVKVRIVSMNIDKPQEIKDQYEIMDQFKLL KDQIKDLPSIILQVSDFIQSETVSYITLPSDRRIPMFTSHISQMQNGEGTPASAVLKSMI SQRKQKDSMQISQNFQMEQTIVNLQDQQQALINETRELNKQIVLFKAKQNIPFEEEKDDQ VKFTMFQLIVIAFISLLIGFYMPEF >CAK74843 pep:novel supercontig:GCA_000165425.1:CT868196:462749:463202:1 gene:GSPATT00011011001 transcript:CAK74843 MSNNIFDTDFSLSRKFKIRVKGNGQVSHTINSSKKNLKQSTSFSVPILQEIIEGEKKPQT KLNYSFQGKEESTTSTFYYNFSKTLDFELNFSNYGDKLRFYIHKSFKIQDNEFSLCKPKY QIIHSLKNRQSESPFSIKTFKEN >CAK74844 pep:novel supercontig:GCA_000165425.1:CT868196:463258:465720:1 gene:GSPATT00011012001 transcript:CAK74844 MSDNLQVKQRRRNSPQEGDQDIAQRYENKYNKIQRNDLQAYVQQPKIKRNRDDQIEDPQE DDDENQSDKFGGFVFTKTKNEPKKQYIYEPKKQQPPQQQKKEYQPKKDQQPKKKPEKKGN KYQPQFQQQKEISIQQLGELEKLQQHLINCGISITQNQENYDSELVLPPDFNKPLSIPNQ PMVAPPPGLIDKDKSSDFVDILNQLQDNDSDGQMNYDQHFSSSVLTRGAFPIQNQPLNQF NNQKLLPNNNQNFPNNSNDQKNKEKKKKNKKKKPKSNKNQQDMAMINNQNDFMNQNSAEI FDPFKVAPFSFPQQQMGRNNLPDPLNISGPTFTAPLGFAPPGMMGLGPQIAPFNSNLLGA PQSLGFPPGPTLGMEPLEPTFPMGPNIGSMRMNQMMPPKFNQMPNMPNMAQMPNMPQMPN MPNMHQMPMPIQMAPPPSMKKQSHKNHMMMQPPPNQFDGQVYCPPMMPPPMGNHFSDFPP TMPMGQQFPPNLMNSGPILGMPPMMQYDDDFDQNWMQNDGMLQMPTPIQVFSNQNFPNLS QVQHPDSYLQEPEKFIPQTKKMNEMKNGNDINFDQFNQPFQNNDGQPRQRKKLNLNSKPF YPANP >CAK74845 pep:novel supercontig:GCA_000165425.1:CT868196:465744:466529:1 gene:GSPATT00011013001 transcript:CAK74845 MEDPSSIRETSHQKIQETKRKIKEFQQYLSQQIVECEKEQEKKNLQKIRNELAKKHHKNR FSSFTNSMHRPSFIYQIKENELFDNKSVFINKEIPQLTNLQRSLLISPERNQNPSYIKHQ LDRPTNKQKSEFPAVRKRFLSQQEIDRIEFWDRNFSQLQEIEQKEKCSLLIGKIKKQLLE QREVKVFKPTDQCLRYFDKNISLISETLITCRQFSKEIGLPKIKEPKVWIPTNNHF >CAK74846 pep:novel supercontig:GCA_000165425.1:CT868196:466767:467177:-1 gene:GSPATT00011014001 transcript:CAK74846 MQLKQRQQYQVLIGIDYFDIRIIYINHQNNTLFSHSSLLQYLTNYIVDMFKSKKKEEKII KIKSIKLCSLDNKQTKFYFTYKNSVSNFKQLCFIPEQSNDKRSQAKLNNQFLELYQKLDQ LVELRNNHLQVDENLK >CAK74847 pep:novel supercontig:GCA_000165425.1:CT868196:467234:468600:-1 gene:GSPATT00011015001 transcript:CAK74847 MENYQFEGRDILSQSAQSTFEYQNELLQQFQFVQNETLLFINIEESDQEVDITKQKLVKT QLFCRRASIQESQGSSSQQNQIHTNQPIIIQPEQQIQENKQINVKQIKRLTKRSQTAFKK DFGSFAKQNGSKIFLKRANQAIVNNFEKIRKQKSIITNNINQFGKSHLRTIQVKYFRTNL SKVLFIEKEMTAIEFIVLALKEYTLERKFDQNLFEFKNYTLAYQLETLEDQFEIDEDRFR RPIQRAKSVIQNEEDIIAVRRASCNERAISFQVEHSYSDYEDKTITIDYPVVLSCKQVDI VVNQTCQLTFGIDLQTLKQTYELFPEYIILLIEDAQTQSKLQMKCLNNSRIENVFDSFNN GLKSQRSHKEYFLRLKYPCIHTGIGPLSLNTPVNQLPIHWLVLEKKYRIEYQTPNLMDLG RKFSNFKQTNYYEDGFISCVINKIRN >CAK74848 pep:novel supercontig:GCA_000165425.1:CT868196:469103:470701:-1 gene:GSPATT00011016001 transcript:CAK74848 METFTRGPLRLTDKEVDDLMKRQPHLLKQSYEQIPSLTPNSQEVISRQATINVGTIGHVA HGKSTLVRSVSTINTVRFRQERVRNITIRLGYANAKIFKCPKCPEPQCYQSFSSEKEDNA QCELCKEVMTLVRHISFVDCPGHDILMSTMLTGAAVMDCALLIVAANMPCPQPQTQEHLV ALVITKLKYVIVIQNKVDIIFRDQQAALRNYEEITRFIKGTIAEDSPIIPVSAQLKYNID CVLQQICNFFPIPDRQLQVPPKMIIIRSFDVNKPGEKPENLHGGVVGGSILQGILSVGDE VEIRPGRQSKNGNQTTWHPITTRVVSLYAEQNELVYAVPGGLIGVGLLIDPSLTRNDNLV GCVLGFPKQLPELYRELEINYYLMVSVVGAQQQDGKTQKIAKIQQDEMLKFNVLSNETPG RVIEVREDKIMRVALNNPVCTGLQEKVAFSRRLNNKFRLIGWGIITDGRTITL >CAK74849 pep:novel supercontig:GCA_000165425.1:CT868196:471038:471796:1 gene:GSPATT00011017001 transcript:CAK74849 MFSQAFRRGQQPLQQQQQPMNISFHNQLQAQQTKSFSQTQYEKENISQFLGSTKRQNLNE SFYQEQQVPQISEVYRILEQLQQMMVVKFNDFQNVINDQEKAIRPLIEQNTQLNNQLTNV LQQSEKVLSQLTINLEKSDQINKRNQKKKSSECSEGDLGAVQQLLKTLDDRLNNLSNEVE LIAETNNRFQVHVMEIVNIDKLEHRENMQRVLQEMDKAKRSISKKIRR >CAK74850 pep:novel supercontig:GCA_000165425.1:CT868196:472031:482977:1 gene:GSPATT00011018001 transcript:CAK74850 MFEGLIQKVLLAIFGRFIDGLDKKQINLSFLKGNLVIENVSIKKEALEALQLPIELVYSS IQRIEINLPWNKLTSQRTEIKIHSVFLLVTTISEEMWGLEEMNYYEPKAAQVRAYIKKLM DEEIRKQEPTTDNQESDQKEAGFFQKQITRIFDNLYISISDVHFRYEHCISKHSFSWGIT INHITQQTVDSEWKISQTSSPYIHDRKDSTFVNKQMQLSKLAMYWNSDDHYLILSSIIKP QQYKNVDLQKVVSKMDPYKVDLDLHQRTVYQIDEKMQARIQSRLQQELVYEPDNLTMGIK TSKSSKYIFCLNAVANVVQTYQHFRKVPKYKIKVELQEMDFKIKHTQYKEILKLVSIFNL YQYRAKQQMDLYLTSVMRPYQQDFLKMDNNSLYLRSLFQYLVKTQILEIRRKRFLEFEMN ALQRKTTPSALLKSQRRELRQYQQIVIKTSITTLQMWAKQALEIFERAKEHHQIEQAFKK EIEKAYKPSALMDEETYVYLEFRLSIVKGSLKLLRKHKNLEEGFTILWKNFDYFLQKRRN NFELEQIIQDMEVQMFSVEEKNDIIVPIVKKIKSYDIQEKPLIYFKLEKHPLSKPNYFSS IYLDVQKIEFMVFVASLQRVIAYFRMPPQDDRQQEGKVKENANKNKKEQKDKRDDPDSDK KMETQTKNYINITIQSPIVIIPNQQINDLHSDCWVLKLGDFEIITVDDRQVPGFIKLGSD HYRRLGLSGESIGKQKGAEQSILKIHNEKNQLVNQFNFNNVNSINPLEKSTSIQNLLQPQ LSNPQGTKQKKQHKAQNYENYIMKLKSVQLQYFSSIHYFYNYQKLSSTQRGMDILNNPLR IRSKKYDVLEDFSMELNLYFKKTSQANLPQTMINFELPSLTASINPEVPYTFQKLMQTIN DLSADQSLSSILQQEKSKLIKYSSCKGILRKRGKFLRSWSSYFAVLSGWYIYLYQNESDL DYNQYVGLRDVVVSEAQQDIGVQNSFKIKSKKEIIYFQAKTAQEKNRWFKFIQQKIHDIK TKTGNLRIGSENTVPQQNDKSRREFEQTVKQVPKQKDELLTKYRFQIKRFQIELKYNQQS EILITFDQIKLLSLSGITDNYQEFTINSISIEDHGQSILRSIHYSQPNTPNLFKVRSSMD ENQLQRKIRQVIDFKEEDQIQGAIRVRICNTDTHQPNEPQSYIVAQLSSLEAHYLESFLS KINLFMVRPSLQKTKESTTDLSEYTDYTPQNTAMKQYAPNQTSETKFLQTRQDLTKGNIK SVRSFTLQKSSVSDSDVHDGQLVEGDGKLPESIIKVSILTQDIKLIVMVQENEKEKEKEK PKEFLQIQVNLISAVLKIDDDEYFIEGNIQKIEIIDLKSIQGNKHMFLGKKEQKERKRTK EEKKKKSIGLTIFSNNHQVEKQMVQFKYSKFKIPSNNDNISNDLNLIVRDCFIDYLHATI IKVLSLLEISQKKKQANNEENEQDLELIKQRLYAPSLIKIKILLENPKILIKPAGNISQS FELQIKSVCFELDASKEKNKAIEMYVRQNKKQSLAAQQQDFFSWSQIIVVSADTMRIFIR STSDLQFISNSFSAALRIQTPMFVSECETLYPNIVLNKNQDIRIKVTDIQLNILRNHYLF LLQVMQTQYEIQSQKTQRKRRQQQQPKKQNQASLIFKLSKITIRLMDECSLFQSQGTFLR PLIDLSLLDIVFQFKTNLSLQVSDFKSTYYQYPQKDDPNLIVRIQHVINDINLNRRKLDE FLDEDILENKAKEAKELINEMKIEFYNELTVSRFDLDDKLIVKAILGEDSTDVNISIGES KYLINAYYLKTIALFFEIPKYEEDMQSQQSPSSQQAVQSNYKQKQPLLNVNVILLKTLII THNEKLKNCLVLQLDSFMKLNDELNNEAHSNYHYKNKEQYTNQSSIKNRRFELSLTVSLF NTNFAAFQKLSKQNKKKMILYPIKIEFNRNQFVCNCDVNYSNYKTDGQNELISNIIIQDQ LRFDKIRINISHNDSALILQTIKFQQDSIKLCDQFFCDDEESSLNETIARQWPIKPSLGV EETILSRNETKKKSFLPGKSQLVRLKSSLLQASQQKDEVVQVFYATGDQEMEMVKEEEVL SEQEQQFEPVRPTMKISKMKIKLDLLEMVLVNDINKSFAPLLLFRFNVKKFMFDQNGISK AIRCLFQIELMYFNPVASKMEPIIEPYLSELFIVQQNQSMQTIHINGLTPILDMNLSTDM ILNLYKTLTQWQQLEQDDEAATFRRNESKKITRLSSMKGISFKENQDNVTLFSFINKSGY TLKVQKNGNEGLIEISNNDSKNYEVQSHDEDYAKTFESDTRLIIKFLDSNMVQNTINNVP INYAGVKKLQWNDQTQYYVLSTQIEKLQKTITIYSPYMFVNQTDCDLSIKLSCIKITKTF TLKPGEQAAMPQELYKDDSFIVIHFLDSKYIKLTQPNEPIFIKRIFHDITIQILHQPCYT LATLNTTLVQNVKYIEFKPAFILYNLLPVPLQFLFHSNENPDLQKLMINHYKKPGTTIQY DKQQSGFSRGNSLSHLQNDQNENLARSVTVTEINQTATIKVEAGLIELVPQGELQLHWLK TGDHLGINLHVSGFEISQQQDIMQATEFELKDTCNSQSCHIKVEKEQVKQTKLIYFSVQT CIINTTSRGLKYYTLQDNKPIILSGQNKEPKPDKECFESNYEKIQITDTIKYLMIGVDDC ISNEVNVGILGSLGLQLKEKNGGMVSLNEFGIHISLANNVIRKGVYTKVIEIMPRFVIIN NTGVQINICQSGYESQPKKMNPNKRYHLIWPDCRLEKSIRIQKYGKYNWSGDLFINEIRS TNFFSSAINENSQQMSNLSIEQQKSRIFEKSGIYKQFNLKKYDPQEFLNYKESLPLQASM VCSSSQEFFKDCLFLTAEITKVKATNFILLEQQHEENQLYKLVNKCRPFKIHYGQDSYNS FQEWVLDCDQTVPFSWEQPSHSFKLRVKFVYNQKIEHVEIIDFQQQESLQEIKFSNYFIW IKSEFQGQTRVITFQQVNESMRNMTTSHKREVEDIHKLIKLHISQLGISIITKRQNTLLE ANYIYMSGIELGIMQTENQTTAQLQIKFFHIDNNTSLDTTTPVLMTLRRYYQVTHDRNYY FLDASCSFEHQVKAILLYNSIKIYIQPFQLQFDEEYIQVVLETIKQIKQNLQNQFEFEYN GSPHSIKSLCFQTPQQRHYYLESMSQQNQSNPCIVWKSLEINRSKKQVYIKEFVLSKIDL RFSFHKRFRTNIQYDENVFNLFSTAIGATVQNFNDAEIILDCFRLRSVYDSKEIVLQSIQ DHYKSEIIRAILKIIGSIEIIGNPVKFVQQITNGIVDFVEMPIQGIRHGPLEFGVGLCKG TGSLLKNTVAGAFYSVNKVTGSISASVSLLSMDDDYLEKRRIFMLKRPDHVLDGLSQAGH CLYDGFSNGITGVVTQPYSETQQNGFKGFVKGTLKGLSGLIVKPIAGVLDASAKAAEGVV STATHFDDKPNDTRIRYPRIFYEKSKYFQKYIALDAQVVNFLVGFMNGKYKDIELITCFH LKESETQLNNMQRQILSATKSIHECFKNTSKILILSYQYILVLENMTLVQEIITKEIAEI LEPINGFLRILTEQKQNIDINILLDEIDFKKAASLLDYLHQQVKSQYD >CAK74851 pep:novel supercontig:GCA_000165425.1:CT868196:483169:483854:1 gene:GSPATT00011019001 transcript:CAK74851 MKMTSLLIVALQIYSSLAVLGFDLSAKHDDFQCFVKNGYQFAITRAYRSFGAVDLDGYQN LEKAKAAGLLGDVYFFPCKGKKTAKAQVQEFAQVFGNQQSQVYGTVWVDVESNPSTDCGW TTDYASNCNFLQEIVNELKGSSRSVGIYASQYQWTSIFGSASACSHFASLPLWYPHYDQT PTFDDFPKYKFGGWTTPSIKQYSGSSTVCGVGVDLNYYK >CAK74852 pep:novel supercontig:GCA_000165425.1:CT868196:483897:485697:1 gene:GSPATT00011020001 transcript:CAK74852 MFLIKYTETLDHDIDDIDTVISLYQTHERLITENLIKIVLPSFKKIDFFEKHRPQEISLE DFIRKAIPYFRYEEYSFGEILYNIGEEKQKLFIVLEGSAMEFVPIKKEHLVVQQCSTPVN QKSKRPARQIEIWELVMRMQYHNPLYWKGGQVQFQQNEVYKVGQHFGDLSNDENAKETVI ASSQLLCISMKKSDYKLLFGRELAQTRKNLDFFKNLFHNVSENKLLQFINFTKQISFEPK YQLWQKGDEPKFMIFVIDGLVETYHYENINIGKSLTRRSKISIRTQSTGCLVGAEEIIQK SQTREYSCQCISKTEAFLIRKEQLALLTQKFYEIFRQMNLILSKNIQLTTERKREIYKNT PLRDSRRLSLQNTNSQPNTAENNCIENYYCTPRKITKDKIRSSIKGALNLKEIVKENQQM SKSQQNLANTIYPIENSEHYEIMLRFLSNGSQNQNAQIKNPLQFQNCLEKIKKKIYSKKF NSQKKFLFSPQIQVSKLNCEDPNSQPVSPMPLDNATLRNRLQSRCFENNSNNKSTTTQIF SARQSQIDFEPHQNDDTKSCAQFRNFFQITKPLFFVKSKDDRLLSQQTDRK >CAK74853 pep:novel supercontig:GCA_000165425.1:CT868196:485909:486557:-1 gene:GSPATT00011021001 transcript:CAK74853 MLPLFAILFYGALGIQFTFPLKSKELKCFGEIIGQNSLVVGSVQANSSEYSLKIHVPQKQ FDSILQYAHSLELQKFSFTTNNTQENYQFCIHNLANVGLNVSFNLATGMDAQDFSLVAQK EDLKPIEIHLKKLNGLINSVEQEQKEIEERQATRFSNVSNISYKIIIFSVGTLILMVLTN FVQARKLKSFFKSKKFI >CAK74854 pep:novel supercontig:GCA_000165425.1:CT868196:486582:488373:-1 gene:GSPATT00011022001 transcript:CAK74854 MIKDYQDQTLQRMQQIHDKLLDVYHPIMRLTHQPIHTFPLNQGILYYYLRASSPTAIKRI PNLEHIFVNQFQNLPADGDLIQHKKATSIEYNPLPQEQHQKYIEEINQKKQAIIDQQEQI SDLQNKLSEKVHDYDVLKEKFDVEFKKFQEEISLQLKGIDLNIQLNDYTTYFMQEIDRKV LNLQSNINSQIDEMKTDMENKLQQNERLSKNLLSNTTIRLHQDEQHFQQELQFLQDKLDQ KVDRNRAEQIVAQSVAILQQQYQTQLSQAHQLIAKINSDKSDEINKIKTTINNTLLQVNQ QAQNSIQAMLEMVKEHSQSQQSGKEISQQLQQQYHSLFSKFSQIQEQFTLKVQEKDEKIK QLQKHNGELKKEISESQLIIQKQQQELYKISSNNKSLKSPHVQQIQSPKNAKSNSFYTNS TSAGLNFTKNRPQSVSKKQKKQPNPNLFEISIDDFANFNENSILDSNDSIEFLARDAKSS SIQSPKDPFKSSQSMNINRFFKENNSKVLQIESTIKKQQENLPPLHQAIKRRLLYLGKQQ KTLLCTYSGEQLCDAEMGLQLLDDNGKPFVINEAEKDELIARQLIQLI >CAK74855 pep:novel supercontig:GCA_000165425.1:CT868196:488548:496504:1 gene:GSPATT00011023001 transcript:CAK74855 MRKGQGKKNIQVEENGIRSIVNSNRQHIQDEIYQKLTLCINQGQDISPNQFARKWLNHNS TTRTNNILAGLTDFDQNHGVDMSKSNPNYHIANAKLFTQNKWNYKIMTKFSANALSKDGD TIHLLAYMLTILQGYFSQADSSMITKVIHLEHLGPLQAFFYTKTDRNITLFWEVGIDKIP KISRFSKSVQSELQGYDYIHTITFIDCRYDVNIDNNAGKIITPYYQDQQHAIHRQDYQSV ITSTNHYQLKKGQHSDLLFVIEANDLGSMHGELQYRNRQSEIVKWLPHTFPTVYLTKQEQ KSFVYDDSLQRWVSKDYEAIQQARQMREYQAKINKDLLRRYADFSSAARLVDLLQRVPNF KIKLTEEEQKVVGSDGNVMVIGRSGTGKTTCSILRLFAMETLFKLRLELYKQKHENVLQN YKYDDQEVNNNVGLHCVFATASPVLTTEVKRYYGKLTAQIKAELEKKKQKDRLKRQQEEQ EAQKQQIDNQFNQSTVQFVDAKQVEENYFEQQIGGIQLQKEQGAFQEDLNEEDWDQEEEK LKQELNAFHSFADMKDSDFPAFLTIKKLVLLIDGSIAKPFFSRTQDNKVVSSDTHAQWST EKTGVIFINTYKQDQDQEVEYDEEDYEALFEDDELNVDNMDEQQLEEEYQRQLYLMNKPQ LQANVESSKSKLSQEVDFDFFIDKFWYSKYNKYQNGNLNPSFVWTQIYSHIKGAAACHTY PGHYMPKRVYLRLNKNDELFEDIFECYILYERWKIQQGYYDFMDVVNHILVQLVYGRCNL IPIHYLMIDECQDLPHAVLLLLCKITEQGLFFSGDTAQNIAKGVGFRFQDLKSLFKKPEI SYNAKQNDLTIHQLTINFRSHNNILQLANCLVSLLEIFFPNTIDKLKKERSNISGPKPII VNGDKEELFYLLSGETADQKQQVGERLPIEFGCNQVVLVKDQESKKNIPTILQHALVLTI YEAKGLEFDDVILYNFFQDHFIGDSQWKLLQTCEIIDEEMSKEKFKDGCTQHKTLDDEAT IFTGFEQKNGNVVVKRIVTQQKFYDELTYNYSALCNEIKQLYVAVTRPRQRLIIYDENQQ ARQQIQNIWQKLNLVDHFVGTNLEDRNVERFAKQTSKEEWKKQGLKMFRNKYYEQAEKCF EQSQDEQLQTKARAFKIATEGNALTQKYSQYSSSTMKKKDRKQILSQIKIEQKEKFTESA QLFLKLQNYKQAAQCYYSGEMYEEALAIYVEQSMFNEAGEAAYKCEKYAESAEYFLKSLD FIRAVDAFEKAESYDDIFRVLHQLRDHIPVETRSAFLKKYLPIVLNKMTSQIGQLEQVQE AKTKEKKPLVIEESSDEEEDDEEEEVVKPKQEQIQNEVGKEQKQEDEQVQTLEEQSQILQ QSEEQQQEKIIELSSQLIKSLPDQSQPSILVKQESMNNNSEDSQSFSVQNTESFQVEQSR AQDSKIISVAGSESFQVENTVNEEEEQNFEHLSHFDPEDQWLKNDNKSIIESIASKKSEQ SDFSAFSYAQIYSNPNVQFVKTKTDIFIQDKIMQQIIKYISMFSDEFKYQLYNQRSKSAQ LSNKQIDQHEFDYMVDFILDLDLVDISFIYLVLDILEQFQNYKLCIFVCNRYKLADQLGR YLVSIAATYSPIASHSASLNVQYLINGKQRQAQIDKGLVAAFAVHNVFENINPEFITLKF GDTKLTSQNSLGLECYSQMLLLGFWKKTAYQMDVSNSLLVCKLFMDFKNWRFIYYSNLCH QVTNKYIENEQKDRDLAQVQQTTLLLIQKKLDICFSTMVRGVDMLIFSYPRDQQEMEFAI ITLEQYYSEIITQLVLNKKAPLTLFYRANTLDTQKTIKVELNPKQNLPKLYSLSEEVLNC FITKTEVPEDVLNKLCDVVLDYKNPHDDLEIYQSVFLLIFYIQYVVHNSGLVKTQSWITL LDVKTYAKLVRCVRFINKVLNTNEDCLQKLQKIVSRSVLFYFKIRQPDNVALLKPYLNSL IIHRSSVIIQSLIENRHNLQLRGNKIVLDDYYFVDIDFEFISAPRASIMFLITKKLSNEL NSVGNLRRATFDEYHFDQLYPHEYEDHFENLSVYLYSKQKHTYQLTQNQQVRETTNLSTV DDWIKKEQHEVHQKISENFKMKHGFKVEQMDDQVDVKFLFENNKGALTQQIYFLKNPYLK CLSFESRQYITRCALKKINKQTTDSQKFHCQMVKFIHLMNYADNNHITYQYINNKIEQGQ CNKYEPYLQYLECLICKKFNVISDATDIYFSYQETRQDLITPDEQYCQLTYLLLNNMVGY LLSLKKDCQIKIPNRYFIYFDKITQPNEQQYLYETNFHPVSNQDAIARIIEQLAELQKNI PQIYSFKVMLLIYTLVLNLNDVKIAVLNQINYIINDLAAQDEVDQYTQIMKVVNLPQKQR FDALTNSSNAIKLFFAQDVRLYSLNVVNKLTDQTIEAAYQGCLDEWTNLQQRSEKLEKNT RRIIAAFKQQRKGERFFKPYQFGFGEYQLPRKLKTSKYVDIINEFVRVRKILLSTFYSML LTNSIDFHFISQKLKHLEQKEDQFNCLWTKYHKKQMIKSDFIKNYDEQYHNVTVIIDTLL EWQRSNVTFEQNANKLLERNRKLLALKWMNNKAGLTLQKIQKRKKGLKRQKLQEQKKLLM KLF >CAK74856 pep:novel supercontig:GCA_000165425.1:CT868196:496505:497699:-1 gene:GSPATT00011024001 transcript:CAK74856 MLSKYSIRALTLLRFPRYEFSQQQQKQREQQDDWDERTIEAEEANPTLENKEKAFSYFRL FSRIFWWTTSALFGYNLYLNNYKTDPTQELGYQKQINDAAKYCQDQYQAFYDFMTKPAID KLLPDIPELPFGYEIPKTLVLNISGTLLHMDYVFGVGGEIKRRNGLQRFLEKLPKMYEVV ILSDDETMFTQQITQKLDPTRQIFAGAFGRESMVFEKGRYIRDLKYINRPLNRVIVLDSD PERMYQYQDNGIFIKPFDGKQNDEVLKDVLLLLEHLSKPQIKDVRAELRKFGNFDPQIKY LDEVKAREINIKQTMNKGFFSIMNQRKNPQFDQSRRQ >CAK74857 pep:novel supercontig:GCA_000165425.1:CT868196:498437:500122:-1 gene:GSPATT00011025001 transcript:CAK74857 MLTTIEGVHKNLFESESIINGTQRMMLRSQTTYYFILEGTPQYNTQEGTFELDFLVNQEF TFTQLENVEPTRYYDKYVPTKYGTIFRERIFANEAQVSIYVRLTEGQQAQQQQQVAKGKQ TKGGAQELEIVESEMRGERLIRLELYHGDDLIVYNYGINSVTLSNITLPKDENYVIQASF DLREWPEAKFKSEETDNLYWFTTIFASDTVALVRDTTKEDKEKAIKKSWEDKEPGRAVNA KKSRTKYLITLKEELTPEEQAIINAPRMTKKQREEEAKQAAQKKGPKKDDKKVGKQSKVE APVETAPQQRQIPKSENHVNEAITQFLQHLEQDRIMDHYARHAGLINVRSDVQKREIVEG ILMGKEEIAGIIQRNLKMREEIKLLQKDNKEILINEFQSYRSSYKQELTEIYQQRDSIKQ DLTQLMKKEQQLFDLCKQEKIGNPEDVEKLIADPSQLDPVLVSAARQVINNWKIAIIQEK INTALQNFDVDTLQKCVDQIQQLNIEGLDTSAAEDMLDEASGNPNFQAEKLAELKKQGKK PIKK >CAK74858 pep:novel supercontig:GCA_000165425.1:CT868196:500298:502373:-1 gene:GSPATT00011026001 transcript:CAK74858 MNDDYYSTRLETVEKIHYMEKEAEHHLTICESYERMETPEEVEMRRKEFLEKQAAQQKNK KGTKKQAEEVFDENPKLTSDVRLSDLLCSDALPPNSRWIASQLQQIKDRDIKDCFTQKSL SSKIYPQKDGAPIYNPNGKYIVKLYFMGKERKIQVSDHMPTSFDGKALLPQSVDKNQLWP MIISKAVLQLWDYQSKGSLVGDGFVMYSLMGLLTETIDLKTINSWGIIDNMMNNNHYVQK DVFVSTYSYKNQSNQNTNNRSKKEYQLELLRNPKKEFDNYEIARNKNGSPSQHQQMQQEE QDEQQEEMQQEENEQKVQRFRKTQRGFSFESLSRSYSIPKPPKVPGDTNINTCFSYSIVE QFQNENGFNMVYAQKRSDRELRLRQEYFDLCKTPMNKMTKEEKLDLRRRKKEIKEKLQDD DKKRISLISQQPVKHRYLRLKSDVSGKDPVIVFSPLAADEIYIAKKCIANKLNKPPNYDI PEIKGDDKSVVSANMKNTDDSFSVKPLEDFSSVSNAQEPFNRGEGGFWVHEKEFLSLFDY IQIAYDPKRYNMQVLQIQSNPDNDIAGYDNIEMVIVQRDPDSDDNAQVAFLVGFQPKYSQ NKDFNEQIRPYSMLQRFDLETYESILDYKLLNQCVSSQMLLLDNKNHIFKMNIYSPLNFT MWLTSNNKISTQSVFDYLIDYENYQKQSFSN >CAK74859 pep:novel supercontig:GCA_000165425.1:CT868196:502417:502796:-1 gene:GSPATT00011027001 transcript:CAK74859 MPPKTTKDQKGRQLFRVGTPLKDVIPQSVKNPPRDPKTLKAPEQINKQSMQEYQPFPDPE EWPGDEAVQAINLLQGEAQLTKCNFETDRYVPFWRRPKNFLRQRWQQQQIYNYCQRI >CAK74860 pep:novel supercontig:GCA_000165425.1:CT868196:503313:504614:1 gene:GSPATT00011028001 transcript:CAK74860 MNKKNTKRKQHNKIEKDDDYSGIFEEIQTDLPIIKKKSKEQQQTNQIHFESIRNQEQANL IRCPIESINIEQILQDILYESSKESQYSKTLKQILLLGQRELKDKQKYYQFKWQSLGHSN ISRQEYQRQEQMLKEQQSKDIAEIQNNVIAELGRKRVKGFQQREDILDEFRINFMKKRHL TPDGPVMEEFQFYVDPEEANRLKKDQIQLLEKLMEESHLIPENHPLELKRYFSQPENIGH QQRYLQRTQSILMNNIRMMFKRLQIFPKLQIDKIIKDKMENMTPKKIEELLKLDEQDIQV IPRNVRVMLLDSKSDELYTDKDFQLDQAERYIEVFNQLKNQNFYELDLEKMLELTIYIVN NTHYQQKFKLSEDRKQVTPRELLNYIEKLYRQ >CAK74861 pep:novel supercontig:GCA_000165425.1:CT868196:504806:506166:1 gene:GSPATT00011029001 transcript:CAK74861 MIPTSIVVCGGGNGSHATVASAGRFENIQVNVFTRKPQDWKQEIVGLTKGSPWESLGNFV GKINKVSNNPQDFAGAKLWIIGGPAHVHHEILQKIAPFVTKDSFVGTLYAQGGFEWMCRS VFGDRIRTDNITYFGLYNIPWLCKIFKYGESVRIIGPKTKLQCALSNLNRKEELFLLLEK MFQIPVLQAPNFLTLTLTPSNQIIHPARVYAVFRNWDGKQVYQPSEVPTFYEDFDDFSAY MLQILDDEIQAIKREILKRYPHFNLDLIIPIKERIIQQYGDQVKDKSNLKTVFRTNAGYA TIQIPTKPVQGGVQLNTEARIFWEDIPYGLCILKDLSEMLGLQTPGTDKMIEWHQKFMNK EYIQNSRLNRNLLKETGAPSKYGLDTLEKVLGLQEVSSQNPKL >CAK74862 pep:novel supercontig:GCA_000165425.1:CT868196:506634:507945:1 gene:GSPATT00011030001 transcript:CAK74862 MKGLQNQLGKSTETGFLDNKIKIQHKSFQEGHLEILENLTSSIVGKSIIVEAQRIVKVLD QLIQDIENCLYLDTEFITRFSISKFQKDEKLQLTEETIKILQRQAELEQRFKQYANLQTA IGQGEEIEESKKVESDQLEQVLYENFKILLRRIQHNPKELEILKSMKKNVNNELNDYLHG VKCLRTIMLKKLSITQAEKSIQIQQLEKIKSNIEEQEKKKLYLEQDLYKLKQQNSRNIEE MEEQTEKLKQGLCNLKIEKQQNTNSIKNQIKSQFQYLEKNQQTKINELQTQLQVLKSKFN KLKDENTQEEIKLKRIKQIQEQGLTDGVQNYDLMMEESSQKLQELQQECSKIEQQLRDRK SYFVIVDAQKRQEQELEEKFQKLKDSHQIEKEKKMEAAKHIQGFFKSYQSSIKKPNKPKQ Q >CAK74863 pep:novel supercontig:GCA_000165425.1:CT868196:508158:509265:-1 gene:GSPATT00011031001 transcript:CAK74863 MKFSIYCCSPNVKGSRTQQKNDESTMVSIRSISQISLPSISKKKKPWVFQDDYDFLKDEK RMFKLLDDDNSPYINRRQQAVKLIQQIDYTDPADIEERFSFILKYLKQTRIGNLYNIGVF AFAFILQINNLLQKAIQVWQKFLVFCNGNRSFKYKMIAYKFLSELHLLECDLRRSLNYSK KFLKYALCFKEYEYELNAYELISKIYYYKQESQLAKAFHQKFMDGEQLSNTDQIRIQAKK LFDFKIKQQQSLGKIDTDSEEEFELNKLVEPKELTFNPIKVKDVLIKNKHLWGHVKRDSE PLGPINRSILDRKLFQREYYTQLSHNNSLQKYVNNKGIALTTTKKNFIVIDKLIFDIQQF >CAK74864 pep:novel supercontig:GCA_000165425.1:CT868196:509438:511684:-1 gene:GSPATT00011032001 transcript:CAK74864 MSQFQRPTSSRKGTNNLPVQQGDLEEYIQILYDHQKSCEKAGKYLEADQAKKRLAELKKE LELKNKGDVKDRHCSEKQEIEKAHLEEFNQFNSFWDEKMTEFDNEAQKVKEQTLQRHEDE LRQFSEELENSLPVKPKDSAELLGLRKTEEQLAKQENYLEAHMIQSRIQAQERDEYEKWT SCRQQKIRNLITQLRQKQINELNALTQRILSGQEEQRKIRSQELEKLLQKYQNVRKELES QQTQEITRLDKTSKTQSIMQQSRMNQSRMQSSQAKDENHQNTINIYKLKFGMGSACIKKQ PHPQRQQQQRQIQSRQSQSTNLSSQQNTQRRASESQQQQQHQQQTNQIQIKENSSKPLIN SGFTSPRSDLNDKSMLSKNDLTKVEPLKHRFLQQESDSYLFKNNDAPDSDIAIQVKEPDI TNPKVDKVLKSLPPFQYDPDLNKKFNDCISLPPHQFISTGIIYVGQWRNKQKQGKGRQYW PDGTYYEGYWQNHGANGKGRLIRSDGSYYEGDWLDDLQCGFGKNVDSEGNLYEGEWKDDE KDGQGTEKWVSGDTYSGQYQGGMKHGNGKYIWSNGNCYEGHYFKDQIDGFGTYKWPDGQV YTGEWAKDQMYGKGTFIWVNGNKYVGDYKEDKKEGYGVFSFADGKTYKGAWHNGKQHGKG VLIEADGMEIVGVWEKGKLVQQE >CAK74865 pep:novel supercontig:GCA_000165425.1:CT868196:512707:513928:1 gene:GSPATT00011033001 transcript:CAK74865 MGQTCTMNTCCKKEEIYTLRNSALSLDIQIKKSKHSQQINKEQEKVEDKKQKKKSDTVSD LMVSSQHSKVSIKQIEKNLPIQNQDANQNPLIKKSMATNERIKLPLIFLPNGSSYEGEWL QGKRDGYGKQSWPDGSIYEGEWKDDKSCGKGKLVHADGDVYEGDWVDDATNGLGTYVHVN GAKYQGEWLNDSQHGRGIETWPDGARYEGDYQFGKKHGKGKLNFADGSFYQGRPRILYLG EFKNNEIQGVGNYQWSDGRQYVGQWSKNKMHGKGEIKWSDGRQYTGEYENDKKHGKGVFI WQDGRKYIGIWQGGKQQGVGIYYSTDNVMRIGEWIEGKRVRWYNKEEINELERKGVLDEL RNQ >CAK74866 pep:novel supercontig:GCA_000165425.1:CT868196:513956:515702:-1 gene:GSPATT00011034001 transcript:CAK74866 MKLNQKLLDRLEESLAQSFVQKYQEEKSRKVAPEEFISDDYKGNFRVRQVGDRQENMKKS KLDAMSTATSNFYKETGTPNSSKPPSSINSSKLLDQSKVSQLKQSIITNRITSAQPTRPL MIKKLQKNTEQLSIETKEQKPPIFALKRLSSTKQQQQDEEKSILQNQEQSLNNSLVTKPS IIRRSSLHGKKIEIKKDEEVETKKLSLIKNQESPVSEPVFQFQIAKRKIDDTIYDTMDID TLQEITKKNENQFEQITSIQFRFNTMNDSLYMLGEYLSNLQELKLNHSQVESLRLLGTKL RNLQILWISNSKLTDISGIMSMPNLLEFYCSFNNIKDISPLAFHEKISILDIEGNELADE SQIDYLESLNLQQLIISSNPIIRDDQLRDKLYEKLPATEIYIDENDIPTESQIFSETSQI KQLYDIAEMMDSKFDLIKVEELKDLEEKVKYQLDKDLLEEPDENRLLSLSIKQKPLEKSK LKRPQTAQVQQSFTPDPISELVGLDEAFAGNPLRAAKKHKQNREQIFDPTDRKSIDIQTL LNKFK >CAK74867 pep:novel supercontig:GCA_000165425.1:CT868196:515767:516926:1 gene:GSPATT00011035001 transcript:CAK74867 MSQLTTNKGNIYDENAMTDQKGKIKSQTLQVFVSPNEQPTLLSQNFVYDGFNTPKIPCLY HKGNILTNFCSCIHCLLPLCPQCVEEHIIKHKVEQTANKIECLENVLNTVYKNIVQESLN IILIQIANFFAKSYFDLKNNVDSMTFATDNTINKLLDIQTRIIRVVEQFFKSLINDVQNK QKKNQQNQEKDAESLKQLVQQRWSSHVTFLETLNSESSMTSVIQFFGTSLIEDNQKYFNI LDEYSNRFEQITSDIIFHQEKAQFIMIISYRIEIAAHLSHIIKIRHNDVPEFIKIHTLPS PNISDAVSFHSKAPSHIKPSQPLYPSIQQSAFTPPQHVITGATRILDSGTFYTDKRYTDS LNRLPQYQMR >CAK74868 pep:novel supercontig:GCA_000165425.1:CT868196:517016:520917:1 gene:GSPATT00011036001 transcript:CAK74868 MKKNVGSSSTPNSKKKLTMDFQQDQSQQQPQTSQFISIEDQSQGDQPKNDESIINNDFTQ ACSTNLVQQENQDNLDNQMGDTNDDDAKINADSNIVNSGETDKLNLINHQIENNLINEIS QNESIVVQNQNPDQYQNLKQEKSQYFNPLENEDTIQQTKKERQQFSNKKKITIEINNDEI DIYKQDIDSAQQINSQLSLRLQQEQTNNLVQQQDIEGSLEDNQEQKLIQLEDIIENDLKM QTQIAEGDRQDVEVDNCDKTEENNCQESIEEDTKNVNTQIISEQLRQIEKGSSEQGEHLP DLSQEIKQDNQEVEQQQSNQIQIEDYQNISEEELQQNCFKRENSFENEKKGELEQLDELI NEDASQEIVKAKTDEASINQQENNEIKEDNESKSSDKIIQETQKSIEQPNLLEDDTEMKQ ESQDRVQESQCNKKELTTEVEDNKQSQNQDKDEQHNQDENQENSQCEIQQNSQSEDEQNK QDEYKGNSQGEEEQKYSQNENRLNSSDDKQFQFADSTDQKKSIRESNLQIIQVDEENREE NQIEINEDQGESNLSKINEVCLYDNLLSQEDDPSKEVQQDEEIIIQAQFEQLQLSENLIQ SQTKQEEEQQQIIVKNEEEEIFDKINNLEYQDKDIRVLIEDQNILLDKQESNYQEIFSQK EFYQESQQEVEKTNLNNNSNQQHSDNSEDICEQLQKLTIQDIESENEQEIDLNNNHIQQQ VNLEDIETNKQCIFQEDVNLQLEQTKLQSENNQEEHQEPTQCEEDQGFCNKEIIEAENSP KIEQKTVMLNLNCNDEEMEQIQQQAKNVFQPQINNFSQQNEKMDQDIEQTEDHQMNSNSQ QNEEGTLSKNQQDSDLTNDLINNQPESNQKKQSIKLIDHSEQNKDSQQEENILKSQKHEE KQGDERQNVTFSSQKKSDSQKEGYFLSQSKIQFYEDSLNQNQFNTSLSQNQKEYNSSKSN DQTNFDLGHAEQYRDTYFNQNSDDLQEQRKQKIRDLKQQIDQAESEIIEKDQEIQQNQEM ITNFETFVLGNTNPEEFEKIILSERNFETENLLITLLINKLREFIQEFQNSIIDLINRFD GKTEIEKDEEYDRDRETKDHEKHLQVISNEFKKFKQKKQQKKEINDNKNQVVIQNLGEAD QKIINEELNTLIFNIIGQTKLLRDSLQKNCCLLVKFNCEVYNGLQGMSKNIKQLEQIRRL TNSVKLTTQHYQSIINDQIDLQEAKDDNNHILLLDLLKQLIHKQEQAFQFQQLFTNYLSE RIDLVHQSEENLREDMAKRLQTFN >CAK74869 pep:novel supercontig:GCA_000165425.1:CT868196:521065:522543:1 gene:GSPATT00011037001 transcript:CAK74869 MLYSQDLKNKASAVISNTNLGWQHTGIICDCLQDRYEIEMKYSKAIEKLATKLAPINEFF SASFGIRAQLSSQFAEEIKGEIDIMKQLIVTQKATQYLDYVKLWDSQLQSIKSNLKAAEG YLKNKKREYEIEGTLELVFSACQPEKLEKQKNKAAACKKDYQQAKGNYLELKQEMEMLLK EQEAEATLDQLQQLNLQRLNAVKDCILKIFVYESSIAKNHLYDLEKMSDRLHDQDQNQFI EDFIKKTKQQETNPIDLDSFLTKLLDSQGQQQIYTTNIYPIGLEKTEEQIEQETKEIQES PQKQEEFLNFLSQKFNSSLFQLTLQEFIQCTNISIGILDFCYENKNAILAQQLLQFSFGL FTKDIQTRYMQDMLVNHQIWQHRDYWEAVIINQISKIKKGTKENVNTSILSILTQVAQHM LMFKLSPDLVRDIVQKFSSFFKLNKEIADDLIQAINSQV >CAK74870 pep:novel supercontig:GCA_000165425.1:CT868196:522850:523326:-1 gene:GSPATT00011038001 transcript:CAK74870 MNTNYILIKIEKDLKNSIFMATHNICKFRLTKTVHKDQIKLLKQQLLDKTPQESQSNNSQ YVLTNLTQQLQKLNSQNLKKNSIFDEIKLQKPLKYNKYATLTSKNYDQSLTTILRKLQQS IPKQNEIKDKTQNYKKEVQPINNTIQTNEGTIKVDYQF >CAK74871 pep:novel supercontig:GCA_000165425.1:CT868196:523644:525190:1 gene:GSPATT00011039001 transcript:CAK74871 MFVDLWLNFCCARKPKQEAQDQPISVKEDSNKPILDTGQVYAPSPVEQKALQSTSQELRQ KLQEAQEKRQQQQQPQQQNHFQNMINKGAVKTSIEMEVEPVEGFLSLSDNKSNKMASWEA LELSRQNDVLGQLAQFSILAKSVSSNNGPYQGSNPGSQPASDQQIMSGPYPQQNIQNLAQ TAQLDVIEEYPKKSMMKSQSQISLETGKIEKKRITKDKKHQKEKAHKGSANDNLSKKEVT HAKLWDKNEDAILRQAYINFNGNWRKIAEQLPGRNMNQCSQRWRRLNPQENNKKKWEFED DQKIIQLVKQYDKNWAEIAKHLPGKSGKQIRERYLNKLDPSINTASWTKEEDDIILKVYK QHGPKWSIASKQLKGRPENTVKNRFYSYIRRVFLGQQNPYSVVLNNGDISGIENTLSQDS ESIKQPLSMDQTPSFTASSYLSSFMDDDYMEDEDEPNEQEDQFKI >CAK74872 pep:novel supercontig:GCA_000165425.1:CT868196:525204:526175:1 gene:GSPATT00011040001 transcript:CAK74872 MGNQCNHVEITEDEFKSVQIEGDSDSFFVYKFDSKFPSPNISDLGKLQSLDSSNSTKSQF HDTVKKVPFNVKLHRKRTLNYESIHDSQAQSPTNLVSEYFEYPNGVYQGTLIDGKREGQG QFFYHDGSYFKGSWKNDMADGFGKIKFQGGEQYEGEFKNDQQHGFGRYVSNQLKYEGQWI ENLPSGHGIEIYNKYYIYEGDFQNGMKHGKGKILWYKDDSRFEGEFFDNQISGQGIYYFG DGETYEGEFKDGKMHGYGKLVWPNGKMYEGNYYMGRKQGFGKFTSSDKVYTGEWYDGVYH GYGKMETRDKIIEGYWENGVFIK >CAK74873 pep:novel supercontig:GCA_000165425.1:CT868196:526365:527701:1 gene:GSPATT00011041001 transcript:CAK74873 MKRIVLLTYLCIYTFATDSVKDFDFLTQSDYGKKVLKEQQLVLKSSSNPVDLIINLNHFE SELVMDSEKTMKEYQTVYTRNIERKQALKQKIFLSKYQLQNVGEELTIVTKEKEFSGKIF EERKRLLTEENKFVPQMQAIRNDEIDKLQNLKKEINQTSKVINSFLQHFQGLAHFLAKKP DLSESPAFLANNKQNDELNKFKSMCNDIRNDLDTVVIDNPYTLVLFSLKPLLAPENAHLI EEVPQLLKTLLLALESSSEEIEAVSNERQELFNIERNELKKDVDKRSAECDQIKQIFERS SVDLENLTNQQSDLKKKITQLQTEQEQLQSDMEGQQREYRNKLKNNLANLGLIRKIKYLL SQNNKGYLQFIKNQK >CAK74874 pep:novel supercontig:GCA_000165425.1:CT868196:527803:528795:1 gene:GSPATT00011042001 transcript:CAK74874 MKLQLNTSCLFYQALFNTDQQYCYKVCVYVANGYIYFQMEIIETYHNSKQLIGGDRNQNE LVIAQWGGNVLFKRKNAEDVKVNTFCVGLLKITGDKYLCFTSKNHLLQINEDRKIEQIDQ QDSPYFVCDFYLKTCLFMHNTIQQQRFLCLWNMLTQQKSKYEHLSSITSVMAADDGIWLG DKDGYLNFITYKLKLQKRVRIFSNEISKIQTMNKKIYCVSQKELKLFFQDQIVSISTFDS EISCIGAFKNKLILGTTTGQLMIQENQKIFAKHQLHANSITSVSVNGNQIVTTSSDRTAK VIQIS >CAK74875 pep:novel supercontig:GCA_000165425.1:CT868196:528985:532317:1 gene:GSPATT00011043001 transcript:CAK74875 MNQIPQQDEEGQNLIVNENTQEQYQPQVQRFSSSSNWEEYDKPKQKPDQSGPSKFDAIVI LILLLMIGLSGFGLLKHYNVVIPNKPIENNVRVTPQLVHPKESVVQDVFNKISEGLQNLG QEKVEKQIPKEDEQTLDEVLDNYLSKIEQYNDIRTDWIDDDDIQIKNKENDTINKQAQLE QQLEQQLNIVDDLEELIISQEAEISIIKPVFSKNIYTYKELQNGLKILYIQSPTEGINLV VSINIGSINDPQEYPGLTELMFRCLQTNFQVEVHEQFTFLKVQLNLLEELNAKLKELFII FTQPMFVDIVKNAKELYEKMMNDSKSAHFQYVSQFLNSLANLKELSILSVEQDYLYQFYT EHISSDSISFVFKSQEEYETLQSRLLTSDLMKLKNLHKYHDPTYENNYPQSRQILKFRSD TDLLQLLSISDELSCQRFLSYLLPKPFTGSIQENQLSITLDVEVGNALNTINKFISFLSF LQSADETQLTYLYSQMLATEELLFNTKYQEDLTKISQNLFKDPIYGLKGEESFPIFDKDE FDQYLTNLQNNFIILIGSDNFQFDPNHKSINDDSIFIVDAVLNKLHQEFQYDLKLVTKKF DETNQYQFQLPSLSTFLPENLALVSVCQAPTQYNTQDESKSQVDDLINSVKDGKYDGSQL IKFETIEFKCEFPMPNFMNNCTEQEKAAQISLVPFQISSNVWWKPSRLGAMVFTGLFIEK PESTLVEGKTMLKLLQKFYTELSKKQFQQEILFGYELTFKETINGLNIQMLSYSEKQSEF QDKVFDLMSTDDEQLFIYVKDLLSQDIKRFHDQKLSLLTQQYYLPKILLRPVSSPQEILD ELVKIDYQSFQMFQAQVLASKIQILNLGNILPSDSVFEDSQEMYITRTLNLKGQNLKYKV KRESSIDNMSSVLNYYQTGLKNLENTAKLYFYADFLQLYANNYFPMGQQVTIKRKPLGCA DGLQIYLQGASPKKGKEQIDQFLKQANIYLNKLLDEEILDQKSQTIIKLSNEIKFKTLQE EGQFVWDKIVNKNYAFTEIQDVIRYLDEAFPQKLRECQNLTLQGSISVQVYAAQEEIESD DGIQQIEELTDQDVYECFFQL >CAK74876 pep:novel supercontig:GCA_000165425.1:CT868196:532403:534767:-1 gene:GSPATT00011044001 transcript:CAK74876 MEKLGNLFEFFVTTGIKTNDQDVILQSCTTANKKDLAILTIFPNPVERKMNHVLADIALW CFPLGVKTIKEGDSKFNKIEEYFKEDDVQASTNEINYFVINDFKQHIKFYCTSACFYEKM FIIMPPNDKLMQVFVPKAFCVISCFPAFIPQRSFVMQLLKIQKLRLSQNRERDKIITIKR GQQEYQIEEIKLYDFYIRTALTQCPIVQDNVEYRLKFSSSEIWMNYYVSNSHLATHFSIF KLSNNLFDVLIFNQPIFNLYKLLLYMMLEYQIIIVSRKPAGITLFCQSLVELLAPLQWRG LFIPFLRPGSFDFHKSQLPYIIGLDRNLYEISEKPLPKELKRVIYDIDDGRILSRSDDPK CPKQYQNYFIRKMNTVFADLKFYENEIFEEGIMKYRQAFYNVKLLMLNDIYKYFSTDTSN QKTEKKIVMFDYKKFLNSFESQDQLFFLQFLKTLSFQQFVKDLYKVMEYREEFIKNNIQN VEYNFSCVLQFFDDVRFLSIRFGEQTLDDKLVILENLQNNYIKAVLERKNESSNYKVIEM KTTDFIPEYLEHLKNSSLNLSMEMQALAKFIQTVPQQEEIVVEQQQKIVQQGGPDMNQSE EQDNISQESSKIQSDKSDQHSQFHHSLPPQEKVNWELQTIAVSNASEYRKQYQIKHLNLI SKRNTFRKKNLLENEEEEEQMQLNQNTNTNNFIVTNIADKNMLSLPVKDNQSMAARSYFN KSMVPLIGDYGNAEIMVPNQDNMI >CAK74877 pep:novel supercontig:GCA_000165425.1:CT868196:535072:536419:1 gene:GSPATT00011045001 transcript:CAK74877 MANLFDEEQGQYLRMNALKYLEKPPEVQREDDPFITNGSLAITYILVGTVEQYKADQGLK FQPSYVHQVFRSTNEIYGYKDLKITIHVTALGLKPFIKISYSEQTEDADDLYESFNKVYE AGFLSSEEQFLQALEEEQKQEPLGDLIEEYGDFRIYKCQMATTNGFVQFKPFLQAFLLVL IDGVQYPGDENEWVYLSLYEKRQFVGLTTVYKFNIGWNKQRHRLSQMLFLPQYQRKGHGS KMLKTVYKLGLEDEKCLQITLEDPSEDFQVMRDITDSKILHEHFKDILPNKIINSIQEIG DMPKDKLFEIMKKTKLHAYQIKRAYSIYQYAFVNKKSSKLMTEFARYLLREHQKPYIRKK NILVRFEDGSSLDPKQMHLLELKEKEDRNINAEESLEEELCLFELIATKLRKDKVI >CAK74878 pep:novel supercontig:GCA_000165425.1:CT868196:536454:537270:1 gene:GSPATT00011046001 transcript:CAK74878 MNLPLDFIDRIWGVQKFLIEFLDSIELFYKKNESEKLQLSIKQLNSMSFMQHFVSHQVPE WSNAYLNYQFLKEVLDPFKRVTNSLPIINLTIKLIKDQNLVANIPQEIQTKLQILDEEFI QLFQDETNKCNQFYMIQVKILQYQYETMIDSEDDLGRIKTLEILYKKGQLLKSFKNSNIE ASNRILSLYHQYTSFIDNSESHMNHFFKNLQFVNDTSLNKIVKNIKALYLINGWDGNELA KLKQSHAIQHKLQYIGFLGGII >CAK85775 pep:novel supercontig:GCA_000165425.1:CT868551:1:957:-1 gene:GSPATT00039733001 transcript:CAK85775 MQQSTAKNLRDLLIKQQLNSKIHFKGEPIKAPVRKQSGTWECQCNLKHCTICSRKKVNQS PMIRQSDSRKEIKVGSSISAHQSPNREKQSQILMNRQPSAKKPSYAENIIYHKSNVSNSQ VYEHSYQLKSKVIPKKVESKSMLSSTQMSFKLKLASKYNSANSVNSINSQSRSEQILNSI SESAPNSPKKEKQSHGRKSELNDKTQLVAQQVESVPPEIVGRQHFKFLYVIGKGGFGRVW KVEMKKNKKMYALKEMAKAKIIQKRSVNSVLNEKYLLEHLHHPFLVNMSYAFQERENSYL IIDLLTRWRFTFPFRKDEK >CAK85776 pep:novel supercontig:GCA_000165425.1:CT868551:1308:1979:-1 gene:GSPATT00039734001 transcript:CAK85776 MINIITCTNQHQYSFYSNSLFIFICIENQIYKQPNRFVNFTISIFYAISVLQLSNLAIES IALYYPILELFISICFYHSVFDLLIQIYSYAQYLLSVIKTHFDEGVKNIFLGSNKQLYLL RFFQQSYFQSVSIQQESEQYQQRHFLIKFNFQLMQNYLSKLFEFLDLYHLNSMFNYLLYS SFDGAIQKNEITGRLCMLQLFLIFSEFHQQLNKFFYSNHYITY >CAK85777 pep:novel supercontig:GCA_000165425.1:CT868551:1983:2140:-1 gene:GSPATT00039735001 transcript:CAK85777 MKKRLIKANKQNRPLPNWFRYRTDNTIRYNSKRRHWRRTKLNIN >CAK80500 pep:novel supercontig:GCA_000165425.1:CT868369:28:1120:1 gene:GSPATT00039396001 transcript:CAK80500 AKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGK TITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVL RLRGGILLTWKNHAALDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDY NIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRL IFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIMIFVSIFTSFILYIHVKQSDTIFIQT ALHIQSLPSFILLMKFCYSRKNSFLMLFGFISSSNSFQYKRNLLFICVIIHFFSVSQF >CAK80501 pep:novel supercontig:GCA_000165425.1:CT868369:1291:3347:1 gene:GSPATT00039397001 transcript:CAK80501 MKLSSEIDSQFNQYTLLNDSNQNRIDRRKYNTQFLNQYFLDQQLIIKYEMQIFVKTLTGK TITLDVEPSDTIDAVKAKIQDKEGIPPDQQKTHFLQENYNIQKESTLHLVLRLRGGMQIF VKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKE STLHLVLRLRGGMQIFVKTLTGKTITLDVEPSSTLLTLSRLQIQDKEGIPPDQQRLIFAG KQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQ DKEGIPPGLIKTHFCRKVIRRWKNTFRLQHLKGIHSPLSFEIERWNTLTGKTITLDVEPS DTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIF VKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKE STLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGK LQHLKGIHSPLSFEIERWLRLQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQ QRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGQ >CAK74262 pep:novel supercontig:GCA_000165425.1:CT868183:1:608:-1 gene:GSPATT00038996001 transcript:CAK74262 MDNKWINFYLLSDHINSRLIQHNILLIQHYPYIKYYTQYFILGGIQARMQLNQLHSMTIL KDQNQDKTLSIIWQVKIRNNCCLIKKGYEVLLLEDPVDEFTFQHLNEYKQKKLTNVGKGD FKQPEDNDEQRKKQKALKKVFQPLTDWWRKLLSENVDSVVISQRLIEDPIIVVSSESGYS ANRKENKGLGLFKQGK >CAK74263 pep:novel supercontig:GCA_000165425.1:CT868183:621:2214:-1 gene:GSPATT00038997001 transcript:CAK74263 MNSKQKAFALLLLFMLSGVQVMTQDLTDEQKKLLEQSQETHEFQAETGRLMDILINSLYT QKEIFLRELISNAADALDKLRFLSVRNPEILGDKTELAIRIEINTEEKSVSVTDSGIGMT KNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVGFYSCFLAGQKVTVASKNSDDDQY IWESQAAHSFAVSKDPRGNTLGRGTQVTIHLKQDAVEFAEESTIRELIKKYSEFINFPIY LKVTREVSKQVEEESEQQQDQQDQQDQTDDDEVKVKDDDDDDADTKKKATKTIKEKVSEW VQVNENKAIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYVPKR APSDMFDNYYGKQTTNLKLYVRRVLISEEFEDILPRYLSFVKGVIDSDELPLKCQQRNTS ITQDIVKKILELFQDAASYDDEDEEDTEEGEEDDNMAETTPEEQQRLKDEKRKKKIDEYN EFWKEYGKNIKLGVIEDSSNRQKLAELTRYHYYYYYYMKYVMQ >CAK74264 pep:novel supercontig:GCA_000165425.1:CT868183:2684:3033:-1 gene:GSPATT00038998001 transcript:CAK74264 MANKSKELLLDNNNMLYNQNQQIENIVTTLAQTNQTAAIVQEKLLDQGEKIVGIANTNKD IQRETRRVDKQTNQIIRREFYMKLILYLIALLLFAANIIVLAIKLSND >CAK74265 pep:novel supercontig:GCA_000165425.1:CT868183:3567:5209:-1 gene:GSPATT00038999001 transcript:CAK74265 MTKVRRTMVVIEEISNFKEKTAVIYSLFCLIYSLLLISHFCACLFHYFAILEIDNGYSHT WLHQQGIYESDAFVKYFTSLYWVTITSMTVGYGDIVPVTTPEKILVTFMTFLVVGTFGYA LGMIQSIFYKLAEQQNLNNAKLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFKQEEELDL DELMGQLNPKLKQEVQIAMYFSYFKKSKLLGSNLSDEILKKLCYCVHERTYAPEEFIIKK DDHPEKLYIVLSGKIKSVLLDRTIKRYVSGKLVCEREFFFQDQMQFDMVAQTFVQVAYIN QNDFLNILQKDTRHSMKNNNNQIICEACSSHHQFKHCPLVFFRKNKNKVISIYNSSVDHE RQMYMRQRKKRRFNSQLVKERAFEQFLEQNKTLAVVDNKLLIRLGLQRNDEDEASYPDQT SVHAPQLTPQNQLEQKFVFKNRITRQVSHRCLFAATSSGNNAQENQEDIQTQIFNSETNI DKVEEYDYYYPHYNITKVIKLINNQNIYSKVLDKIRGHKNRFAQYIARQIMYKIL >CAK74266 pep:novel supercontig:GCA_000165425.1:CT868183:5374:6000:-1 gene:GSPATT00039000001 transcript:CAK74266 MKKTRFLFQFSIKEAQHQEDKRIELEAMNNVEKEIQQFENSEQIYRISSVWKMKPLKIIQ KIAIFITKMKHFSPIYRFKILNKNIFYLIRDRTSSFQYYLYSRLLENQPTRFGEIKYEAK LMNAIWWRLWSFLKSNQTVLLPADKFLFVWDVILMFVTIVNILYVPFQLSFDLGKEEIGN AYLLFSTHHPFAYFQSNQS >CAK74267 pep:novel supercontig:GCA_000165425.1:CT868183:6480:10091:1 gene:GSPATT00039001001 transcript:CAK74267 MEEEQQILKYGDNIILRCMVDNKIYYLLARNEQYLMETKLQLGNSCTFNMYNFPQHMVFT VFPKLLYEAQKKYNSDKSIGASFDVQKQLLYTRMETEKKYNQNLLKQTRGQKIMYGDTIM LYQPLSQVFLLRAENNNSNSNIGTILSSSMYFTVQPDPLALDIKQGCPVHSFDQFLLVQS DTILKFDTLQEIYRLPEVVKSQNLDHNNEFLTIPAMIENQYQFKFYKAQYKQFTTDSKES ISHNTLQAIVLKQDKDKNHLFYGQYVRIVHMQQKIKKERQESRRRSIKIEEAQENQINKG YLTSSINAVGCYPSVYLQINDIQNSDSIERAASIFQILPENDQKYSDEVQFTVGNKPNLV GYTTFLLRHMLTGEFLRVNPFDNKLQLSKDVINKYRQINTTSSKKLETTRQNEPKSTVQK NLPGIKLYRNLITQSNNTNQNNINNIQTQQDERIATQYQQTQGNIEELEQGSCMSDHQLL FVTKLGNNPIFYKDQLPFTNDTTFNIATKDGKCPIEVDNQEEGGYQVKLITKLGMFNQYG EDNLEQTLYRQLHDEWITLIPDLDSFIKKNKYQLSSQEKEVLEFIEGEKKSQAKNKVDMR HYKSDDETFKPTFINLNPLNTKYLDVLANEDFSGSNFKFEVVDQSEIEELSEVLDLMFPL TVLAKNSIQLSTLKFKFSNLKFKDSKESKDLASQFLRCQAQAVQNVNQAVKSLFKIMLWL TASQEALAQENLISEPLVRRQCIAREIGCIDYVNKIIYELNINGLLYEDKDSIKQDEEMF QQDINQLIDLLSSFLQLVCKNSNDNSLYVVQWYCLYKHILLRGQVNDLMRLDILITQLFQ QSDVMVSFEFEVTQLSKETKSTNYNKHAFNLLIAFCLFTEFRKKEGIEGIIDHIFKQNKD SIFAQLIFDGNIQLILREKIQDFQKTIRQSMDSGLPISSPIMIEGLNFSNRLALHDYIIS CVRLASEISKSSPALTLKEIKHLFPFYTVAKIIQDNSISSLTKSYFLELFQNSYMAKHLN PLPRAQFPQYLKMVVKQNKGKGFLSMFKKEEYDIILYTFAQKKIADVYQKVAKKYLVETD TTVDFWLFLSDFITNFWKSKSIYEIKGTDHIYIKQLILTFTEFLKKGLFIEYLSNAHNFY NMYHKFIEFLKNSVVSATLEEKYIKHQLQDNKKSKEQKPLEVKDYLFESSVAQRAVSRTT YAKS >CAK78567 pep:novel supercontig:GCA_000165425.1:CT868312:412:612:-1 gene:GSPATT00039299001 transcript:CAK78567 MNLQIGDEIDNEIGDKIVQKIYNEIGDQIGDYINNKIGDKKALQKAMKQGMIYVIKKGMK QKGMKI >CAK78568 pep:novel supercontig:GCA_000165425.1:CT868312:895:1444:1 gene:GSPATT00039300001 transcript:CAK78568 MQSPISSPIPFPISLPTPTPIGDRQICRPYYRRPRETVIFLYASFDQTQDFFMYLTIDMS SQQITKVLTIKENYFDSYFSEIQDDFEYKGLLRKCVLINSKGGVYAFCHKSIQEYFVVKY FLNLIKKIFITDKVDENALRKSKHYSQDITNYQTENKKRLKK >CAK84526 pep:novel supercontig:GCA_000165425.1:CT868508:1862:2364:-1 gene:GSPATT00039683001 transcript:CAK84526 LRTPKPKPNPNPNPNPKPKPNEAISINPKYVDAWNNKGIALYNLKKFEEAIQCYEKVISI NPKDEQAINIKGLLLQELQNDLDALSCFEQAIQIQTSAIRLKNKGYQSLIFLADSLFELR RKSEAKYFYFAAQELGLDQNAYIKSQLSKL >CAK60851 pep:novel supercontig:GCA_000165425.1:CT868010:2091:6486:-1 gene:GSPATT00031080001 transcript:CAK60851 MSNFLFTINLIFMNCLLTWSCDPGQFDDSGQCKNCISNCLSCSNDYSCGTCKTAYYVVPI INLCDSCQNGCQVCSLVSCATCPPTFKKKSCSLCYPGYYLSGGNCSTCPIQCKTCTSNTV CQTCADHNLLIGTFCSVCDASCKNCETTTTTCTSCDPGKYLSGSSCLTCSSPCSECIDAS TSCTACIDSKKTAVNYQCVCADGYYTDGSNQCQSCTAPCSKCEYNATNCTDCVSTFTLST TTLYQCDCSPGYFYVDPQTCQACVSPCETCQLNQNHCLSCIDPNSTVNNSNQCVCNSGWL LDADGETCTKCVLPCVECEDTINKCVTCQDQAHQSPDTCVCEPGWIFDTNYYCIQCQQPC KTCEISTSQCLACFDINQEVNTSKQCVCKSTYYADSPITCAKCQQPCYECDTNGCINCID VNQILDINKQCICKPGYVQSGYVCLQCLNPCATCINAINQCLTCVDPNQIVQNFKCLCKE GFVKKGNYCCDEYCSDCQGVDSCNSCIKGYFLTNINRCLKCIDYCDICYDQSVCQTCQEG YFINQQFQCEACVSSCKICGDPQICDVCFDGYYLLDQKCEACNQNCLTCNEVSEKCLTCR PNYEINSKDQCICKFGYYEEQNQCYKCEYPCKKCLSKSICSECFQLSNLHLDENAQCKCS PGYFWKENNCSQCHQSCLTCIDDKFNCLTCDQKLHRTLKNHKCLCAQDYFESEDALCISC LTDQGKSQEICKYSDCNDKIWTYGEQCDDGNDVIRDGCSNCKIDHNYSCSNEILKQSICF QCSTHCIQCQLDPLTKKSKCNKCEVGYFLDKNDCVECSINCLECLDQAYNCVSCRFPQQK NHKCQLCESGYLADEENGTCLNICGDQIKVKEEECDDGNKIKGDGCDNYCKLESKYIFVN GVSIVPNYPKPLLQSVGSSQVYSVTRLFKLYYITPIVIKEGFQIKDYLTFHISNSLGVQQ MDQSIQLTQDTSQINEFNQSEFSLMINITFSRGSQDENLLVKFLNKSVIYSNEGYSQIET EVSCLIPKIIFIDDAAIAQVQMATKSNSYVLYFIGAMCGGSVIFGGVEVFFNLLDTLQML SYLKYLNTQLPYNLQTYFELFGFAQFNFIQKIFDFSGFIDEILDTQQMKKIPTKVASDEV TSLFIINSATIATVWLSLFGIYAIAKVIPKILYSIKFKFYSESQTENTRLVQIGVYFLTV KFVINRLCFMIIQEFFYSGILRAHMATAYDFTFSVVLQLYALELNSSNLFVRFSSLLACV ASSIYIYTIYFVIKISQMKNYALNNQEVQAKYGSIFDGIKKNQFSKYLNAILLIKKLIFM LLLIFAYDFPTFQTISIMLLSISMSLFYIFFNPIEDKLEYFKQLFSEVSISCTLLNITIL TCEYELDYFTYEIRQYFGWSCIFCMTAILFIQLGIDGFQQWRYIFQKYKQIRKIAQLILG VFQGNRQVPASSNIFQ >CAK60852 pep:novel supercontig:GCA_000165425.1:CT868010:8135:8608:-1 gene:GSPATT00031081001 transcript:CAK60852 MALKRIKKEFGELPQDLPTNCSVCLIDDQDFYKWKATILGSEGSLYYGGSFKLQIEIPMD YPFRPPKIWFLTRIYHPNINSNGQLSLDLLKDQWSPALKISKILSVICEVLEDPNPDDPL DPEIAKIYKYNKQFFIQNVQEWIKRYAC >CAK60853 pep:novel supercontig:GCA_000165425.1:CT868010:9773:10267:1 gene:GSPATT00031082001 transcript:CAK60853 MSKLIYRRYLECLVLNNIQFFPIQDVDQSTSCIKLSLVKILNYMQQQLKNLHQLANQRMR QTCCKNQVELKEFHKQSSGLTNDNKRFLIEPLLPSSLLDLAKSRTLSLPLIMTIGLRVIE ILEQVHKNNILHLDIKPENIMLSYLISNDQYSQSLD >CAK60854 pep:novel supercontig:GCA_000165425.1:CT868010:14026:15581:-1 gene:GSPATT00031083001 transcript:CAK60854 MCKPYDLQYHRAQQKELQIENYQCQHSISEYQYIYWDFENRRFKKIKYEVSFTKEKQIKY IQNGQVLRIEQAINSLQKPDILTNLEQIKYLQWSGKYGQNTMKIGRWSATWNKDIILESG GYYSEDGQKQGLWRDLTRNYWSEAKVYEIGLYIDNKRSGKWKYIYNNNEIGGGFYDEQGE KIGKWIELSEYFWKQGYVVYDGEYKNGKRYGVWNVLHKRNGQKQLKLIGFGSYENTQEDD LILDSVKVGKWVELNYGFWDLAQILHTGTYKEGKKIGRWDILYRISNNKPFEIIGGGTYD DRLEGQVISSSLKNGKWIELIEGFWRDNQITYKGEYHNGVKVGQWDIYYYNEDTEDIELT GGGSYIEKVNDQNIVSSVKIGKWIELIDNFESEIQVTFIGEYDNGKKVGRWDTFYKKEQL QN >CAK60855 pep:novel supercontig:GCA_000165425.1:CT868010:17849:19195:-1 gene:GSPATT00031084001 transcript:CAK60855 MKEVISIHVGQGGIQLGDSCWEQLCLEHGIQPNGLMQREISNQEDSEFYKFYSENSKGNF VPRSLFIDSESEAISKVKDGKYRELFSQNSFIYGKEDASNNFARGYYQIGKEYIDVSLER VRKLAEDCQSPQGILLFNSVGGGTGSGLGTLLLERLQEDYLKQIRIGINIYPYPNNDTAI IESYNAILATQYLQKFADVCILFDNQAVYGICNNALDIQNPSYTNLNRLIAQVYSSLTAA IRFNGSLYSDISEFQTNLVLHPRLHFMLCSYSPILSNYKTCFQQPITTDISIEAFEPQNM MVKCNPQKGKFMACSILYRGDVIPRDVRLSLSKLSVQKTIQFVDWCPTKFKVGITYKDHF IIPNGEIAKVVRSACMISNTTAIYDIFPKFTHAFDQMFSRRAFVHWYLKEGMEEFQFIEA REELAALEKDYEEVGSQIVDEGREGAAE >CAK60856 pep:novel supercontig:GCA_000165425.1:CT868010:20125:21713:-1 gene:GSPATT00031085001 transcript:CAK60856 MANQVIPLYNQESENSKTDSGYLQISKVQNQSQLPSVSDPLTSLPSQINKKFTVQSDSQV EEGLKSFVHLAIILVAIQSGNFSVGYSLSYLSMSFTTLFSQITLKGSEIEEQGLFSAVLS IGQLVGAVVTKPLLKYTTRNQSLLIADFFGVLSILQVIPNREVILAFRFSYGMCLGISSV IMAIYVKELCPDKYYETFSVLASFLIAGGLFFINFIGLGYLNPDLRGEHSYYWQIVFAIP SLVHFLRSFIITIVYKIDSPDSLIQLKQPSEAKRIIIKLYQPEFVEQIFLTCLKRVENDS EQSEGFLSLFSKKHLKTVIIGCLLAFISTWCGLFALYSYCSQIFDVITDGDFTLNTIFIL IIGIVQFIPAFISKFVYRKIGNRTLLLGGLLVLIVCQILIIGLSYSETVGASITSFIIIC FFSFTFALTLGPITYSMIPEINTSEGTYFCFVTLYVWQLFMLYIFPFMLDALQISGVFIV FAILTLLSILYFYVYVKETKGLSHTEIEKQYGKL >CAK60857 pep:novel supercontig:GCA_000165425.1:CT868010:22828:24792:-1 gene:GSPATT00031086001 transcript:CAK60857 MSDLNSRSEFINKLENLIQEITTSLENEQQQSQQKKNNQKLESSDEGYFFKNDDYYGQQG NLSSTIDVASVFLSQLSKFKEQFEQTKEILLLVPLEKTQCQQYLAKNLELYRSKLQPSLV RSLILFNNSEIERQKVEEIKKKLQYYIEYQPQQICPIHKQIVEQVNISGDLNFSNRLLCK QCGSYGLNIQVFLKEYLMDFFEFKINSETTRSIINKQIQDLSNLILSQKNLNILYNDLNA QFQQRISIIDDIAGSQINNIDKLTIMANDYVKTQDDFLKWNQQFIKKIQEKRQEDFEKVQ SEIKSIIYQFDFASHQLKQKDTQKNIQNFPYIYKNSIKQLETCQVLSFNRNDQLIATAKA NIIVIWNFQNCLMNPIAELKGHQKEVSCLFFDKNSETLISCGGDYDRLIILWKYLGNNKW IEQQRISNTLGIKVIDFDYNKNLLIVGCQKGIIKTFEFQPEIPLINEKQEIELESTQAIY GLSLNPSKTFLVSCGQDSQLRMLNMDINFKRNIVTNCDSVGTRVKFIDDQSFVLVQRNGW FIYYKIEWNTLKEMQRISLSSENHDFIFTPIYYNSDKQFLVVKHYKAMYFLRRSAHGYFE KQTMPLVYESSFLYATISNNGKFLVTWIDKQNEQTIKVDLIQHYHIYELQQQNA >CAK60858 pep:novel supercontig:GCA_000165425.1:CT868010:25305:26049:1 gene:GSPATT00031087001 transcript:CAK60858 MQVNYEAIIDEAKNKMMKWQEINEQNMWELFSNKEGYVVYTKKNPENGINMNRSQTEIAR TPEQILDLVADINKRPLYDEKVETAHVIEQIDANTRIIYVRIKPPIPFMSSRDLVMVQKV YKQNDGVYIVCSKSIIHQKTPPINKVERAEMHLSGWIIIPQPNQMTKIVTIQCFDPRGDV PTSVTNQYAKLQTDMMKAAIKYIALNYK >CAK60859 pep:novel supercontig:GCA_000165425.1:CT868010:26925:27664:-1 gene:GSPATT00031088001 transcript:CAK60859 MQTAPPFSHNHTNPLLMKDDVGKSKPSTFNLPNQDFVYGQPLARDKEGAKEVTMTWKFHQ ESQDRVPNRDFPELNKQSIHNGSVKAHVTVHSIQDMYKFRQTHDARLKLKKGTNIQAIEL PEEEFRYGRKNRPSTPMKLVMGNSYGIEAESQILDKYQGRASSQVSFKTYIKDSKLSSSL VKGNKASQLFYDTNHKKLAAIQGVEKKEPFKMEKFKTVNPKINTNLSTKK >CAK60860 pep:novel supercontig:GCA_000165425.1:CT868010:27831:28624:1 gene:GSPATT00031089001 transcript:CAK60860 MQMSQGEFWPKQEEIIQGDQDGLQKRKNKKRVITNPSQQTGHWSEQEHQTYIDFLNMHRS VMESQDQKKTSKIFKLMSETIGSRSPSQCRSHHQKFNPFVHQIKKRQKGAGRRKKENQNT DKMNKNDENAFLQSLQIQHQLFQQQPLEYQMFQFPYFPCVPVFDNQLKEDEKLNEQQLYF QQCMLFQQQLPQQLDDKNLCCFNFNFMPQQQMQLNFNYFQQQQMFNNDFQALNSGDINNK ENQFDEQ >CAK60861 pep:novel supercontig:GCA_000165425.1:CT868010:28681:30576:1 gene:GSPATT00031090001 transcript:CAK60861 MEEQATVENVIIEEKISKSNGDVIIKRYQRGKTLGKGGFARCYEITEIDTKKILAAKIIQ KNTLTRNRARQKLISEIKIHKSLHQINIVQFIHVFEDHDNVYIILELCTNQTLNELIKRR RRITQLEVQCYILQLVNALKYLHQNKIIHRDLKLGNLFLNDKMEIKLGDFGLATKLDFDG EKKKTICGTPNYIAPEILDGKIGHSYEVDIWSLGVIIYTLLIGKPPFETQDVKTTYKKIK ACQYTFPDHVVISDNAKNLITKMLVLDPSKRPTLDQILQHPFMTSNSIPKTAHISTLVGP PTMSWLSQYQSTQSSSSQQKRLADTAPQQLMKVISQDRVSLLNDAQTQNNFMKDSRASSQ KLVDMRATQQSAKTLPTGITNNMNNKTFKIQRNEIFVKKWVDYSSKYGLGYLLNNGSSGV YFNDSSKIIADPKQTYFEYIEKQSDNQQYEVNSYSLTDYPKDLQKKVTLLEHFRGYLDTD SIDKEVIDQSDDNKIPMVYVKKWMKTKHAVMFRLSNKVVQVDFTDKTIIILNSDTKSVTY INKKGEKQNFPLSTALESQNHEMTKRLKYTKEILQHMLQGTQAQVINTQLTLTNWNQK >CAK60862 pep:novel supercontig:GCA_000165425.1:CT868010:30630:32319:-1 gene:GSPATT00031091001 transcript:CAK60862 MKKCDNDRIAQQIYASQMLKLQGKILEKKLAKNSSKNQIETQSQNISNNNSPAVGRQKSY ENLQKVNKTFINQPPSQQKLGSILNVISGSQQIHKQQKYSINNELIETVKISNQLRKNQS MIHIDQQSPALTKNYSFHHSVKNKINSNSVSSDQSQIQKVKEKIKFLLQERDQNLPQDAK ELNFMTKFNQILNQLVVTLFQESNLQNSQPQLNLPSSSQDTFQAIQIDHFFKRQIQILEQ SYQVQQEKKKLENTLLQVKKKCEALMQENELLNVNSIQQNELIGQMKQQIESLINNKESM QSQSETQELKYLIQGQFEAIQKLLQREQLMKVFLKRVGDSSIIEMFEQFISNAENINPDD TDGNLNIDMLPQQKQKSQYKNVKQKEQLQITDKIIQQYEKSYKQLDLCDSLLADDSRVND SEESSFGYMGKEQATEVSCYFTQAQQFQEQPYIKKVKSNIKDKLKINMMDIQLAQAAQRQ EFMKQEQGQVNEKILPDDINNEDF >CAK60863 pep:novel supercontig:GCA_000165425.1:CT868010:32603:33879:-1 gene:GSPATT00031092001 transcript:CAK60863 MKPNQKVLDHLKSYDYYLPLHLDYVQKWFYEQMVDNLGLSESFRTSFLSQISKIGQFAHN EQQFRQLVRDSWFGFLSKKPLNSICIMLDGQEQNAIKEFILNVLIQPKQYEITYVDELLQ IYEYYLQGNRGVANIINRTKFRMILSKLISSGFILSQDERIQPQIKQYMLDLYLKKIEKQ DEKERIRVELITRQNLFHNLFELLFDQTEMQGNLFNHLKQIVYLMIEEQQNILTSFQILK SFYQMYIDKEKLIFERIDSRVNVDHYRVARELYQKYKEKKDLREALKSIVQKSTQTIDYK LVLKELVTKDLYTKENEQFFLPLLKEFDMNNFQKNRRQQSIDNDLIQQIKILGFNKLDKR NEIEYDNLYFSINIIDNTNIVIINKRNKLKARCSNIKELHMFMQNSNI >CAK60864 pep:novel supercontig:GCA_000165425.1:CT868010:33950:34578:-1 gene:GSPATT00031093001 transcript:CAK60864 MYKSILICALLLTAYAGHVRKSHGAVHQKRTFNSAFMEFVNLGDSDYHLNPKEAQHWAQI TSEDVKHETKKHKSLVETHAEYIPGVVGQVTDLSNNAGVYSYTVTDSNGNILEQDSGDHL AKQFNTAYLQMTQEIERGPAMVKLQTDLDNIMREDQMEQENKEEQQRQALEGTALSEQDQ >CAK60865 pep:novel supercontig:GCA_000165425.1:CT868010:35359:35604:1 gene:GSPATT00031094001 transcript:CAK60865 MQIDSQAIDLNRRILKSSLTRQFTRSPARSRKRIISLPPLEAAQNQYQKLKQRNIACHKE SPREVFNSIISVVRFDMFDHS >CAK60866 pep:novel supercontig:GCA_000165425.1:CT868010:35710:36811:-1 gene:GSPATT00031095001 transcript:CAK60866 MESSKTQLQDMGFTDEQITNAINSTNSTDIEVLITYIESQTSDNPQPQQQQAAAQQFDSI TKYVSEEHVAILMSEGHSKNVAEKSLLLSQNQGVEAAKQWIEEHKNDQDFEEELQIVGNG KKISPEEAAFKARELQQKLREKRRIAEEQAAFEQEKNRIASGKAMNDVRRELDEQKKKLE AQKAKREREQFLKDKQEMEEQLRREKEARFGKSYAQPSQQQQQQKKYSPIELFELGIKQI KVAYPPDLIPDTAYNSLTLIQKILENILKNPQEEKFRKISLTSQAYLTKIEQVLGARNAL DGLGFEENNGFYVFQSADVSNLQKAISIVQTELK >CAK60867 pep:novel supercontig:GCA_000165425.1:CT868010:36827:38214:1 gene:GSPATT00031096001 transcript:CAK60867 MMKNFVVFEKLGEGNIKFNFGVGSFSTVLKVKRQSDQQEYAMKKVRMGQLKEKEKENSLN EIRILASIQHPNIIGYKEAFYEEQSQCLCIVMEYADQGDLQQHIQQHIQHKQYFQEIEIW KMIYQVLQALRTLHHMKILHRDLKSANVFLHQSNYKLGDMNVSKVAKKDLVYTQTGTPYY ASPEVWRDQPYDAKSDIWSLGCVAYEMAALKPPFRAQNMEGLYKKVQRGLFERIPSKFSG ELMNIIGLCLQVQSKSRPSCAQLLSNPILLRNARQYITESRISQQTQSSQAGSNVLLQTI KLPKNLKHLKEKLPKSKYLIESANKSYDEYQVNSSLLPKINNPKEIRPHCSVPPLKSDRY QQQQQGQINSLHEDRSKSIANSVQMLEMERIRQKKLLEKQQNLKISVIHQNAIPYINSSS SQIQNLYVNKAPNHEQVQQKQYSEAPKPIWWG >CAK60868 pep:novel supercontig:GCA_000165425.1:CT868010:38380:40455:-1 gene:GSPATT00031097001 transcript:CAK60868 MGTSPCFKKKKKEIAKIGHRSQISDKSIRLIHEDFVEQIADDQGEKEEDNIVYDYIEGDL IQEGCNVYCALNTLNGQLLAFKIFKLSMQDDFNNIINFVDLLTRLELKNVHKIIGWDYSI QNNEEVKDEIRILMPYESGGSISWLLQKFNSFSSQLALMFMKQILLGLECLHNSGILHKN LKTSNVLVDGEANAKLSDIYILKDYKLSLYSAPECFKGQEYCEQSDLWSAGCIFIEMLTR VSPWHHLSVNINLEQIRICFDQGCIYSDQYTIELFPYKQITKNEEILQIFNSIFKINPKE RATSTELLNHSLFRNLESEPLKSEIISTRRQLQIRNDDRKSYKIQNSNVSNSSIRHSIRR SHNPYSTKYQHVLQQLKSIHLDFKNTNNHFDSQLLSYIDIQNVLSKKIQMETKLKEISIQ QVNQDRNNRQTRPKEISSLNRYNQTGDYSFSKQNHLRIPSLNKSLNSDYDKVQTIRQVDP SIRINVLRKNADEIQKLEELMFQQFYLGQDTKNEDYSKSNLNDIEKMMMEQFQSSLFKSS ISEQKQQPVQQPVSVISEIINQQSPNYFEKLMQDQFFQDNDSEVDELQQLEDLINQQFYQ DYKQQGPKNEQQKKIQENISIVAFDQLIQSPKINTIDDDFIIMLE >CAK60869 pep:novel supercontig:GCA_000165425.1:CT868010:41853:42216:1 gene:GSPATT00031098001 transcript:CAK60869 MPLIDSEVTKYQCDVQESSKKISKKSGHWNQDEHESYLRFLQENANHSKGQRLFKRMSQV IGTRTPSQCRSHHQKFNPQKPCDKITQIKLLKTKQLARLYMNSHKEKDQDEE >CAK60870 pep:novel supercontig:GCA_000165425.1:CT868010:42542:43737:1 gene:GSPATT00031099001 transcript:CAK60870 MNFESIVKFIEKQEACLNYQLISAPFAPNTFSLWREVVYENLEPDQWFEFVKQIDKQLFQ RYLQNVREAYAKKLTYQDLKLNLRYEIDEKKLERIFENDLKKQVETVTLHLSYYQAQVEY AYDLLRRIHTYKFVDCNVEQFQTQQKDQTLYSKYLDNIMKGFVQNVQEIATEKIKEFCAT GLIKSNSILESGKTFINQFLFKGGIAAAKLSNLNLGLFIGWNNSRINCQQSSIDSKLDLL QLELDQMTNELIQINSDLDNLIYKTAQEFPSNFEQNKTFVQVFVQKNYKVNLGSDIIYCP DTNTIIQKSVEDDCVILEQLQFNLELQEEMDEDVYIITSASNIKGSILQDKSKKLE >CAK60871 pep:novel supercontig:GCA_000165425.1:CT868010:43931:44526:1 gene:GSPATT00031100001 transcript:CAK60871 MGPVCSNSQIKRKESKQIQTDQVVEQINNTNILNNINIVIELIYDIINDLDLEQKQEVYV EDVIKIQVHQDVEQQVENAVSEESLHSFLPSQIPRPSITLENEQEPYSQILQSMIVKKKE KLQVIQQDIQMIDYSPIKQESKSQSSQIIKSVEPFNLDFESSSSSEQFEWNQEYSIDSNG EILVTGIVN >CAK60872 pep:novel supercontig:GCA_000165425.1:CT868010:44547:44949:1 gene:GSPATT00031101001 transcript:CAK60872 MNNIKQLIEGIQKVEQEFSKQNINPEDRIPIISKILFDESLQTLDNLDQVLITQFQQSGL SELNEYIDYLLYRLDSQCDFELNLNYQTNDKILQELSSCQQQSKEQATQDENDLKKVSRP RISTQK >CAK60873 pep:novel supercontig:GCA_000165425.1:CT868010:45034:48671:-1 gene:GSPATT00031102001 transcript:CAK60873 MAEDRLVQTQQFLKGEMEQQIEQFENRQKDEPVSLGLRNKMMNEFMRKERDKRRRKMIVD QEKQTDELQRRECCVLEKLKQQSRQEKEIMYEIWRAFQCKEVICENRNLRDENYKNKQEL NVINQKFKEEEMLRSLQQEFNEDIELQSKRQLEINVEYKLLIRQQNYEKCRKLVEILFEI EEQVYEHLQNHDSNQINEQFTRENNHLFEQGMELIPYKRFRTYDPIKEMKKQENQNYKQK MFLAKMEMQDYLEGTGAWSIYKAQNNYTLGNLVRYLIESQFQITNEEEQELNIPYVPFRG SLIGFAFSGKRTISDLLSKKYGITVLCVDVIINELLEYISKYQQGEEHSQVWDQQQIELG QSISNYLLEGQNIPDELYIKAIVQKIKRTFNQQTFEEIYEEQKEKCQNHIVRTVKTIDDF NEDGINWEDFHRNNMINNKPYCKGWLLVGFPHTYDQAKLLEKYLTNIQPQDEPTALQARL QEAARIVRPNEYQKVPRTKQESGVGVCVYLEMPSNELCLRRAIGRRYDNHNHSLYHLDTN PPPVDNAPLIERIQPLYEVDNLQEQISDKNSYFMTQIEGVRNWYNSFESKCGSNIQNAFI SVDASNNYERVFYDVDQIFQNFLQIQVNQIQQKQQAQENKILEQEVLLKQQQEQEELDKK CQYKQVSLDTLPVKQPNQALLKYLNSFWNLIENKYMSLQNIFKGFREQREFITNYQMDVQ RKFIDLINTPDEKFFFIRNFQEQYNEFILENPDLCEEDLCKEELHQRVDDLYDQLIDIID QKRDDLTVEKSQIQTSQFIENEIDLYLLQLKQMLAIELDRASNSIQLLNDYYGTLEGIEL QDIQPPVGELQLIDGDDPQVRLDKLIADTLRIFAGEEEIIEDKTKKGAKQPAKKEEKKGK KGKEEDVIKKELQNAEAVNAINLEKQIFKERVDVIKRYASQTILKYKQAADLLYSKLDEW IHYTHLTEIKALDAISNLFRGYIEKGERIQKELQLQFVDVIVNHEVLNFLTPIPPPLTAR EPLSKDRFSISQLYYLIEDLRAITSTHLLIDIKQLALLLSRSSYGVLKLSPAQWMKVLKV LDQNGYVNVRQICTYLILLQSPVPKEEELQIYQAQLNGPLVSKENFVNTPAWFDEFEKVS EEENTNYFDRIIYIKELLFFVHKDENDQLATKYFLDILNVKGDRFIDFLFQNLQEL >CAK60874 pep:novel supercontig:GCA_000165425.1:CT868010:48748:49456:-1 gene:GSPATT00031103001 transcript:CAK60874 MSQLLMVWLNDEVQLSKKVTSFEHDFSNGYLFGELLSKFNQQLNFEEFSNKDVREAKMKN FQLLEPTFKTLHISFHFQIADQVIKGKRGVAMQLLYQLQMQLQKVNDPHDVMMHAKTGKY NVIQPLMVIRQPKEQFDKMEQDFFLQKLNERNKAQKDVNLEVHLGKFTTFAIQQAEKERR LKIKEETEERNLKEEMAKDTIEQITKKYGIHGGLELKGN >CAK60875 pep:novel supercontig:GCA_000165425.1:CT868010:49482:50912:-1 gene:GSPATT00031104001 transcript:CAK60875 MNVQVGKFQFNSRFCLGEGAYGKVFLGQDTENNEQVAIKQIDTKFIEQQDKYIKQQIINE IEILKKCNHPNIVRFIDLIDTPKYIYIIIEYCKDGDLKELLNQKRLSEVESFDVLRQIVE GFKELQKHSIIHRDLKPANILINNGIFKIADFGFAKIVNNYSSTNMLKSLVGSPYYMAPQ LLGYQQYCFKCDIWSLAVIYFEMVFGNLPWLASDPQSLLKKILSQPIIEKLKQAKISQFS MYFLEKTLTIDEFRRPNWQEVVQMITTSPLMKADKNSIPQPQSQSKTNILEVSASCDTKS NINSIQPEQQKKRQEIIFKHYLCQEILVNKSEILQFCDGNNVLLKLAFCLSKWVLILSQQ LLKELPELKREHEFYVQFMHDIEELRLSCEFSIERVPQICQDYCIEYLTIAQKNLQNISE TYLLVLTEYLINFARVLRDGKDSPIDYEELAQSKLNDYDFELYHNILETNLSAIVI >CAK60876 pep:novel supercontig:GCA_000165425.1:CT868010:50936:52265:1 gene:GSPATT00031105001 transcript:CAK60876 MKQFICVLLFIGVMGSDLESTIKEMRQTDFGRSFLEKIQMNDPVEKIIRDIANFYNSVDR RLEEGVQQFKQSQEKCNQEIKELKTNDEELNRKLRDIQITRARKQPRYDLIIHRFHQKNK MRQELDHQETRYIELREAEQSFFNYNQDQLDKAMVVIVEIRNKFIGYLSLRQNRQRKAAV RDNQYWDDDETPFNEDDDDYDEEEEERQHNEKYAFIQIIVAIQRVKTGLEMNGVTQLVQG IEDLCQQMHDIKEGNIIYDDSEETLNKLASQVIDITSSVLDWIAQVKRREEKADDSKGDV IIQTLKLINKQKQQADLEIGQLGNESAALSQQLSSLEQSEDLTRFSLNNNKDLLQTKIEM CNDQEAAYKIEKRQLEEQLNTVGQLVEAMEQKGGQFKRVMKTLEGVADYK >CAK60877 pep:novel supercontig:GCA_000165425.1:CT868010:52312:53760:1 gene:GSPATT00031106001 transcript:CAK60877 MEQNIPHQLVIRNKNGVYLSNMLAKSDEEMQLINQDGNAQLIVLENHIVMFNKQSLRLHD YKQQVKELEFTNLQNIHLADSKQHLTVIDKLDQQSFQLQILKFPSLESKGINFNIKNFKR ESWPILKYSSDDQYYFIIQNQKLHCYADNQCVYQTDIGNCDYFSVQPNTPKPLVVCYSLM DFNIKDSFLKIVDMNGKIKYEKKLHQTSDLEVIWSPNGQSLLINKGFHEDNTNKSYFGQN QVLFYDFPKNALRELPTYEGPIHHVQWSPSSKEFILLSGFMPSGAVMYDNTCKPLFEFGK DHKNKIIWGNGRFVMICGFGNLDGSIHIWDAKTLKQVSTNKYKSASLCHWSPCSRYYVCA KVTPRMNVDNQYSLFDYHGKEIINRKFQELYDVQFRPSNIKFEERAPTPPKQVQQEAPKK QFIAFADNPLAQQMRAMKAGEGARVLQVDETFGKQSNNNYPPGYEPPKEKKKRVRKPKQQ QQ >CAK60878 pep:novel supercontig:GCA_000165425.1:CT868010:53771:54914:-1 gene:GSPATT00031107001 transcript:CAK60878 MGVRQSAAIKQDFIQLNQKKISLSGFTFKGLLEHHSRGYIYNWIVEQDGNPDLLVMKMIP KLQLYNEKKIKAYIRELFMMVSLQFPFLIQPFCAFQDTQDLYLVLEYVPGGDLRTQLKLQ SMNEGEAKMLIAQLLIALNFLHQKRVIHTNLNPYNILLDNSGYIKLYGLHSSSCGGQFRI EDDISEYMAPEVLLREEFGFEADYYSIGVLLYEIMAQRKMYEYNSIDDMIDNIINKQVSV KKSELSEGWSLDAADFINKLIQKDPKNRLGYYGFQDIKKHIWMEGVQWQKIEEKILVNTF IPKQVPYSTTIHKQPPHTHAIVESQDFQNLFSLFQYRWDEEVKEIDYSRFI >CAK60879 pep:novel supercontig:GCA_000165425.1:CT868010:55435:56648:1 gene:GSPATT00031108001 transcript:CAK60879 MIVALFISTALALQMNEQATLTTEAQTAVREFVQSSPMGKMMFEFAQLEMHASGAVDILI EVLKAFGRQQEDRLNEENTIWQNRLGDHNARRIQYESLVTEGGLAINRDRKKLTQEYEPR KEELNEILTTLKTNMGKNEVAKKNAEALTNEITEAIKATDDTLEYIKRCSQGSFIEVDKI NDHLLFLQKKSSKSTDYVGSIIQALMQISEKQNFADREVQKQLVDLLQSLRGYFVETLQA AYNDEDQQKLLHENRMNQLENEKQVFEKQYSDAYAEREQRSLQIEDTNRLLDTRGKELSG YQDRLTTENNNFSQNQKIHDDLVAAISGEIGFIEKSLDVLMTQAFSDELQSAINKAK >CAK60880 pep:novel supercontig:GCA_000165425.1:CT868010:56884:58335:-1 gene:GSPATT00031109001 transcript:CAK60880 MNYIREKVSGKKKRLIQEGYNLDLSYVTKRIIAMSYPGEGLEGLYRNPIDQVAAYLNTQH YSDYMVFNLSGRKYDFMKFKGIVQDCWIWKDHHSPPLDLLFEICDLIHGYLKGNISNVVV IHCLAGKGRTGTIICCYLLYTGKFKCVKDVLYYYGKKRFEKEGLGVNQPCQVKYVEYFHK LLTTDQVIYPTVVTIKSITFQGKQDSFDDYNYRAPAFRMSGGCKPYMEVIQVKNDKQLYS TSREAKKYTGNQHDLQLDKPMPIYGDILIKVFNEGMLQIEKMFRLAFNTAFIEDSQQNQL QFSLQDLDPSQLTEDERFDKNFQVIIKIERCTKCNNRTNFDMLCEICKAYLKQEEKQWIK INGQIRQYKVPDDNLATELLFVKKEFDDIEDAMYLKRTEKDGDPKDLLQFEERIRAKTIK FPQQQLLSQQQIQQQVNDKQNQ >CAK60881 pep:novel supercontig:GCA_000165425.1:CT868010:58701:61381:-1 gene:GSPATT00031110001 transcript:CAK60881 MSRNIGDFTFNSNECIGQGTLGKLHKGINKKNNQEVVLRLLQKSLLKDDNIMSAALTEEM ALMQKLKHPKINPIIEVIESQTFFYVVCPYRQSNLQTIRILQKQRKLPETETIKLINDLI DGFSELIKQGIIHRDLRPSNILYDNGSYIISDPGFVKTIESFKKDNKTKPQQFYYSAPQV LENKAKYSNKCDIWSLGVIVYECLTGVLPFQASSIDEYLKFCRSKKFEIQQGQISGGMKS FIEGCLVYQEEKRFDWTKVLSHPIINAKLQNKNEDIVKDNKKYLMTQIRQKIIKKNLDLQ DVYQKIDANHNGLLDFDEFTTFISMIDKKVTKEDCYYIFQQIDKDGSNSISFDEFKLWIS SNNTRMAFFKINKPDNLQSKIAQQPKTNNQPLDFGQQKQNQFNQQTPQQQQMNPFQQPVN YQQQQVQQQQQQPIGYQQPQYQQQPIGYQQQQNQFPINTNQPPQQQFFPQPQPQPQLFPQ NQMKSSTSIPAGAGFGRSDKLERTILMIRNIIKSKSMDVLSLFQQFDYDKDNFLNFQEFS QLIWSLNGQLTVEEMADLFKVFNLNSDEYISFVEFRLVASMANDKKQNIQQAPMYQPIQY NNNNFQQFPPQPNNQPQNMYQNPYQNPNQPQNPQIKGGNQQPAQNHYPIMNDQYYSPQAQ PNNYPFHQIGNNYNKPQKKQSDASQLDILSLKQAKKDKKKMEREDREAKKRQQHSYSPKE EKPKKPVEQPPRPPMLTKQDKQSSILETPKYPTEKIGSQNKIPSPHPSPRQDKRKVEQPT VTYAQPKNQEENQNKPKSPPQSWYQNRYTTDYNYNTIGSKLDQELAESTNIIQNSSIPNF NNKPYRKVLDDKIDKFYYSRR >CAK60882 pep:novel supercontig:GCA_000165425.1:CT868010:61573:62421:1 gene:GSPATT00031111001 transcript:CAK60882 MADEYFLEHNESYSAFSFGDIQFFVNLNDFEAPIFQPSKIHNPYASLIGNYCIPESSIFF INKVDPIFVVIRLLRNKLANEQQAKSIEFENIFDNEDAFQIYLSKCKSIRENFDSISITK EVGDELYVKLDKGRLFQFLDAKYNNIRQYSQQGIYYVNDCNQKKQDEFELQACILFKQYM GDQLFNEFEAARKLIVLNQIANSKVVLEQVDRDEKADRVDKENQQKKNTNQEKLKASETK TLEAGKKCHKLDSFCNKNKELQQKS >CAK60883 pep:novel supercontig:GCA_000165425.1:CT868010:62782:63950:-1 gene:GSPATT00031112001 transcript:CAK60883 MRRKNWRPEVYNLNLLNKEDKALLEICKSQNYNWRRISKQMISIGYKRSGKSCKERFHNQ LNPFVNKDQWTQNEVDKLFELQSKYGNRWRIIAKELPQRTDGLIKNYFYSLVRKVLRRLS KTVNGTKNGSQMTKTLKPSVISQIFCVNQNQVNYAGIEVEFAQLFRNIILKYKNFNLSQQ IDIEDIDKIKSIFQTLQQLNESYNDDLEKKNISKSRSKSQKLKTKLSNIDIDHLILQKIQ LKHPIFTMKSCPLPKLYSKFVFHHNTYHPTQLMYQSISSFTSQNIDQNNSYGAILVKPEQ LLFFQPHPSPYYVFLYTIQQTMNISAFIQQQSSMHTYYSSYFNPTPEIKEEHEI >CAK60884 pep:novel supercontig:GCA_000165425.1:CT868010:63987:65058:-1 gene:GSPATT00031113001 transcript:CAK60884 MAGGLERVYGTEEDKVNCSFYIKIGACRYENKCQRIHSIPPISQTILFKHMYQNSPMEVA IASGNAVSQAGIEEALEKFENFYEDVFLKLAEFGEIEDLIVCENIGDHLVGNVYVKYTSE LFAESCFNTLQNLSYENRPLQMEYSPVLDFSSAKCKQYIDGTCQRGGACNYLHLKKISTK FKKSLFNQMYEEHPEYREKKEKEVVEQDNLIVILFRKSPKKHKKKEKKSNKKKSSSSRES SRRNSIERQKMINDWNETGIQNVSQAQKMMYGNNMPAPVYTPKVSMRTSPELLQLQLQLA ALKEQLAAIKMS >CAK60885 pep:novel supercontig:GCA_000165425.1:CT868010:65384:66983:-1 gene:GSPATT00031114001 transcript:CAK60885 MSDNQQSSEIPEQQVEDSILQQDQPQESKVLNTWARSSKDLIDKYKNKFDAFKAMAFQAG YNDPTQQTIKNETNIIQVSQDQKTTAQDNVVAPNPIDVIPFETPSVNLQQPESQEILDQQ TTTQQTVEQIVLEQPKQSIQEIDIPNKQEPINQSVDSVKYDLKPTDQTYNDLFPQKQEIQ LSQKKLEDFLNIEFGSKQQDPIEQKPMANPDPPVVTNTYIELLDQFKSATTLEDLTDLFN RRTPFKERNNQQDLPLNNNNINNSTVNGDENSYFKQKVKNIDNLLQQFKTPNKLNQEVLL ENGSGKNGGTYLNSQVPQVNIKLSNGKSPNARFGFIQASPSSQFAGSSGQKTKKENTYLN VKVQQNMNFTVEVKDNVKPQKRKDELSTFMGKLGLGKYEAPKVTINLDQQNGQNAFDRLK HYVNNIGNTSAKRAPSSGLDELVNDKSFNTCTFKQQMKAYKKDRGDRGESSQRTGISVEN PMLQDQSFKNLHLKLLHHQRANSQVGKTVRI >CAK60886 pep:novel supercontig:GCA_000165425.1:CT868010:67102:68111:1 gene:GSPATT00031115001 transcript:CAK60886 MEIYRIPEDLRFVNQIGQTLSAEEKIKLEIALIKLGQTQTFDQLLFWGRIEGTVANYYIA IGLNFKNNFEFPHKTFFYTANLKEFQNLPPLNPEYKEQVETFRQLFSGQPEKILINITGE DGDQPPADQPNPDEPQQVVKNDDDSDVEIKPPPKNFLEVDRLAYVVNAIEFECALLPVGA VRLTPTHELRYNDSFEGLNLQEASKISNYQHFRAPQSANKKALIAQDDALFHYDFFDQLD DHPVGQWSLQTDSSKYQVTIRNLQWPGFLGYHRAGTRIFGYAYFGDGIKNVDLAFQL >CAK60887 pep:novel supercontig:GCA_000165425.1:CT868010:68142:68838:-1 gene:GSPATT00031116001 transcript:CAK60887 MQLEQRVSKIEKLTEQLLGRICELEDQQGDLQDQIKKLKTKNQQLEQEIAGLKNKTEEIQ ESWLFYCDKKRPLHTIKSTLQIESDIVREFDYQSWVTEDIMWRQIIKNISKEQPKDLEKL NGAQLKQLGVQKLKENIDNEVLFVLRNVNKENEKMNELIELCAIFTQLWYEIELGGEQCQ GRLILVIESDVNLDKLELTRQDNSKVILQIEKLQN >CAK60888 pep:novel supercontig:GCA_000165425.1:CT868010:69281:70220:1 gene:GSPATT00031117001 transcript:CAK60888 MKLILLFICIGLSCCSVPLVCSEAKKEKDCLEIINGINECVWTGGQCEIKTCEMLKPPCD GEAYSGYSCSNSKLGCKTVKQCSDIEISSSCSVVTPFGKQCIWEQGCRIRQCKDNTQETC TVTNGQLCMYQNNQCTLITGCADIVEVDKCETDDYHQNLSCAWKDGRCQKRQCDMIANEE ECFKTVVQSERCFYGQITEQQSGCLSCSIITDSCLCDHYNLFGCAWKQDHCYKQSCETFT NIDQCNSAYDSLTCTWYVPLNKCMTIDDANTLDRQCDIYSFSSGIQLLLMVILLMF >CAK60889 pep:novel supercontig:GCA_000165425.1:CT868010:70319:71407:1 gene:GSPATT00031118001 transcript:CAK60889 MSNPFQIVPSDSFLNILNQNNVSVVDVDEDYRAEQDWMFENDCNFMEEQILQQQPLMPER SKALVGGQLLQKYKKNQEEDLLKKNKEVFQANQSKSIYLNKIQQLIDKPTAVGKPKQTRQ KVIVDSDSSDGKNRLAKNRESARNSRKRKKIYIELLENKVKELTEQLQQLECVVQQNKIK NIQLENFIEDYHRSITQLNGLPTIQQLHEQYGATSQRRWSVCTELINLLIETTIPIEVKK LMESAKKGTDMFIQPLLQHNPCLNYRDYFKQGTIDLEIATKNFGLAYDKIREQVFALERL KLDVIQILGPDSYIEYLNSLTY >CAK60890 pep:novel supercontig:GCA_000165425.1:CT868010:71452:74072:-1 gene:GSPATT00031119001 transcript:CAK60890 MEQILILIKQLYNENEEIRRMAEQELNNIFNDFEKIKIGFECLCDQKIEKSLRVQFFIYL KHYLQQNYITIIMNHKIEILNILLFYLNKVDPQIIPLLCKLINYMILYTPDSTQIIICIM DKQQLDSAYIQLITEMMSSQIVINIILVRFFNSSTSMIINQLIRYQIFTCLLPILYFTLQ KMIIIMLSQINIANQSKIIHNNQKYLKTFPKSQFDSSKKSFPHKLFNNNKQHLGRITAYR LLKIINKLVAFQKFNYQQQRQLLIFIVINIIQLDFHAYQNLYLNLDEENEDDYYLLKIRN FGIDFILSVLKLDSENYIFQEILLISDQLIPLNQSEQSICIKKEAHYFIISNLFYRIPIH HLDQLFLQELLYCLKQINGTYIPIKIQVLILLKKFILKRLITNQSQIDYLISILQEQLQI ISQINNPNLLCAMIDLLVCLQLYYPQRNCLTKQTYLDLKQYYFSQTKAIYKQCILKCMAE LDQLNLGDNSIISIITKELLNAQSEQHLQKYQLKLLIDIMGVIVDKQYTFQLMLFQNIIF QLVHSSLQQFKHQSASIGLLNVYLKKLLIQQEIKSTESENSIRMIQLLMLDCLDEDSDLF ELIVQTYYLILLHDVADLTVTLQFITKYATQLKTQNFLSLFCLFLFRLKQGSFQFEIQQL YKQLYENIEQDDGETSNELLCGLSILDINQYEIKEIMLGQQDVLYTMTNKAIQYITYQNK FYINYLINFYQSMITKKHLIFDMEQLSLNNSFVVKLDEFVSQLALKQENTQYSIINQIQK IFETSYSNSLFFCDQIIKKFQIPIMIQAQDDLNLNELTLQ >CAK60891 pep:novel supercontig:GCA_000165425.1:CT868010:74085:75006:1 gene:GSPATT00031120001 transcript:CAK60891 MEQNTKVNSEYFKKLKLIQKKMENIKADQSPSVIKYMLRRKSAEEVQAPLHDVEFNQQPK KKKLLFFKTFQKVVIDPITKLDEINEEKWAIMSNNYKSLIEKSRQSLSKHSQMMQAPLQT KEHQKIQFLTRRQSIEFRLREITTAPSNHSSISPIKINTNVQLADPNYDRFKKYYQKIGI VADNPAQMKFKKLMSTPMAPQKRKEKSQHSSNNSQQYSSSPPKSRTIHLKPNRNSQYLQE IKELCSVASNYQAHVKQDERIQIHQTSKQMGYIQAEFNKFHNMLTTDLERLDFYDEKK >CAK60892 pep:novel supercontig:GCA_000165425.1:CT868010:75056:76028:-1 gene:GSPATT00031121001 transcript:CAK60892 MGSQCCVQERSINRASIASKAIEDELEYMKELSFCHQRRRVLVYEDKPICYFLSKIELLN DTITTAYSLNERGTAGEFDEYQQLQDDIVKQIQEKCDYGITPVDDWIILCDNVDSKSGLK LFIKQIEVDSQTKVNATRCEFIVPGKPQKFIEFMSNFQKQKELDSRIDAFYPIKKNEENK ETVIYLSYKPILMTSAREFIYYKKTKQIDSNVWCDVSKSVTDSQYPIRNKVRGEVLLSGY TIQPLSNLINNDYINNRFKNKNFDDYSFVKMYSLCDFKLSIPLYMAKGQVKQEMIKYIDL VYQKLQ >CAK60893 pep:novel supercontig:GCA_000165425.1:CT868010:76186:77617:-1 gene:GSPATT00031122001 transcript:CAK60893 MIFLILILIQILFAFKKLNINHPHSTLYKNYIIFNQYDDIAYSHLQNQTQYSIISQNKTD FCLQNHFLAVWNRDLIIVYTLYENQNETTIRKAESMNIKNEDFTNITITSSYFVAQYQNE SLYVFNILTQKVKILNSTFVEPKNKSHQSIVVYHYDSNLTFTYFDADNKYHIIYLSEHIN QLDQKVLFSTQNGVFSTQYWNNGTAVFMNSDSINYTLPTKVLSWYRDDTKIKIKYLFSIQ DAYVFAIFTNKGICYQYYSKSLQIKYQNILCMKMEDEFYQIQDVNFKYRLQILLKHNQTD ELAIDYYNQCLLFSTIPIENSCSLCLNENCLNECHNIKSYQCNENYRIRASLPFLLISIC IIFIFVSFILQICKVLTIFIQLFCLFICSLFGRVPKIIMLKFRRLKRKLRHRMLPLPLSN PQTCPICLGDVLDATFFPCNRHAACFDCFTQYEATQGNQQIKCPYRDE >CAK60894 pep:novel supercontig:GCA_000165425.1:CT868010:77717:78055:1 gene:GSPATT00031123001 transcript:CAK60894 MATLNVQINVNQYQLILQTEKAKNTYQLQKRDFPSGPINIYEQRFNSVNFSNQKINEVIG WILIIAVMLMMLLMATSLIQDSVVGFAIPLLSVVAFFIVYLNWISIKFFINS >CAK60895 pep:novel supercontig:GCA_000165425.1:CT868010:78120:78784:-1 gene:GSPATT00031124001 transcript:CAK60895 MHQNNADLIRPGCRGGHDQFNWESLRTMKYHDREQYLGQTTIIGYLDKGGKWRKKDWYIK PEDRGDLDFNRLDDEFKRAKEEDERRIRIALGLEQPEDQKSMQVKLTKEEMAQLTKKSKI DFDDELNNEKKGLGNGPMQRQTKNTEAQYLLEAYGEQDQYQVQVKKEEDGCVEKKIKKEK KKKEKKEKKSKEEKKHKKHKDSEEKKERKKKK >CAK60896 pep:novel supercontig:GCA_000165425.1:CT868010:79350:79946:1 gene:GSPATT00031125001 transcript:CAK60896 MTKANIPQSVKISLISQLIMLVVAILFEFTLFFTNLYEIYSPQICTISFTGKERCLNYDG QYETFTVECQDCQFLHLIWPLSLAEITLLMCIFICKIYYFFTLKCGTIIKVISIVLIAVI LSLEIYLLLQFDSNIPQVLTFNYTIKLYPIVTKLLIGVLILSIIIAIWVEDIQTLKYKKQ QSIASSSSCYSQQLLQIQ >CAK60897 pep:novel supercontig:GCA_000165425.1:CT868010:79976:81068:-1 gene:GSPATT00031126001 transcript:CAK60897 MKKQPPPSTQQPQQIQSRIIQKEVFWVYQPQSVQQSAFEQYLTLNQKEIYNLQQQQQVGY MENIQQVSNSINNHLHPCLQNNTQDQFLRFNSVNVDWLTLKLIHLFINNSNLMAIDFRHN RFTIQELDVVYQIFAFQRITKAFIEYNPNVNILKLSPLYNLEFIYLRGNEIENNAIVQFM AGLHNRVRVLELGVNKISQEGVDAIAEYMGKHNVLEYLGLAQNSIKSFQDIKLLANSFGK NRITAEEFSKIQELEQQRELQVQKFQKQKKKYTEEMLIQVPPYVKIDNFNYTLQNPQFKL LNLSDNQMVEQDRDQIDKFLSRLLDTQSVVLTNNLFEHSTRAKLRKKYKKCVVI >CAK60898 pep:novel supercontig:GCA_000165425.1:CT868010:82218:82619:1 gene:GSPATT00031127001 transcript:CAK60898 MDKQSCCLYERFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMG DQKTQYTGRDLIEVHRNLGISDQQFDKFKLHLKSIAQDMEVGVEDIEELLEHVERHRNVI VFNK >CAK60899 pep:novel supercontig:GCA_000165425.1:CT868010:82639:84693:1 gene:GSPATT00031128001 transcript:CAK60899 MNEFSEQIDISKLRIDLGQQWNEIELEVFLKIFRQQQLAGVGRMQETLRQLGYQRTTTNL LSVYKKNKNFLESNQNCTAHDLYLILRDHYEQNESSDMRTIKKIKKNQNYRKSIPQKFDI NDNTQDMTFYFSQTQCIDNQSVYDNKNISQNFCKWIQHEYFYSHLDYTYFSLNEFQQMLT KASIPLGSKSIAEWRIIKMAVGQPRRFSFYFLQQEMSKLTKYRSVIRNYLFDQNYPIHRD IRNLDLIHDIVRLAPFNVDQTVYAVHPICKHVHVGQILSTNLPTITIKFSQPELGVHKIS DQNIQLEVHQQVNNFNTQNENKNTLISSIVQELDHYAAAFMIRILQRYHHKYFRKYALVG HLKQLSQRLQDEPTLIEDQEFKALYQWSLQQIKNFDSASKHVITKFRMRGLAGYSLQQVN NNLDKVLSMPLAYMERELDQNNVEPEEEKKNLEIKQIIHKQQDVYIQILFERKKLILNQI HYCSKSLLLQRRIVVIIFLMILYSTELKPLVSSLFGLLYTLNNSQDLNMSSNTHWQTLKQ YIQESHPQQLQEIQESILRIVEFRFQSEKFRIFNLLVSILNDQNIVQFLVSISNEF >CAK60900 pep:novel supercontig:GCA_000165425.1:CT868010:85148:85892:-1 gene:GSPATT00031129001 transcript:CAK60900 MNQLLLDGVKQIESNSGLNSPLSLTFRLSNTLSYQDRQAKKRQKSRSQQNHFVLQQKTIT GPLRRKSCYCDECGQLGTFQYKCMNIMGPIPYRKAILTRRASNQHIQSAYPKSAQRMKQS LKKMKTYDDSKIKAMQDVIQEQMTKLRSQYSRQSQLKMYQLTQYTPNCSPKHSKTPRNLP YLSPYVTLRKIDSKLLKPLKSTQLIQQRSQLLTLHRTKYHHKTLSTVCPL >CAK60901 pep:novel supercontig:GCA_000165425.1:CT868010:86390:86737:1 gene:GSPATT00031130001 transcript:CAK60901 MYIIIYELGLSIVNTLILIINRKLKEFFKYQRPQSIDKLPTPNTVNGNNFLKVILRVGDL KIKIRFNSEITQKTIFKPHRYAKKITTIKESKISELISSYSQTVFEDDKKGVMKI >CAK60902 pep:novel supercontig:GCA_000165425.1:CT868010:87570:88388:1 gene:GSPATT00031131001 transcript:CAK60902 MQNELYFNINDPSTQLDQPLDLQYHNYQEEQLSNHNTSTQIYYFPLTEEPGTRYLKKQHL IHSNLINSEVPPKQLPQASKEQGKHKEDDCSERCDSSLYFQEYQLIQIQHPDSEITPIGF KNLPKTFLSKQKRRVNQKSEAIYKQIIFTQIIIYWIVEIYVAQLGSKYLKQFGKMTQNCC AFIHQNIQLLIGVIFGDNKLIEQEKKNNLAIFHPLSNKILQYLYSKKADEFIMEVKLQEF RRFIQHLKEQQYIKDHGYLIKIEIIIKQKKDE >CAK60903 pep:novel supercontig:GCA_000165425.1:CT868010:88459:90234:-1 gene:GSPATT00031132001 transcript:CAK60903 MKCFEHNAPYTLICQVKDCNQKLLCNQCMIKHNAQHYPLIQNLSDMDYQIKQVPQLLEKD LDTLKAKLYSIQNHMTIEKDQLKKQLIKLSDVLKSRINAEVDDFCSSALDYTNSYYKQYE TDIGSLLGVISEHLNMVAPYTTRVRPINESQFGIMMDFQDKMLGNILPTLTKKAEVISKQ VLENQLYMRTDHFYAIVKTALGKALQSYNLFKNNEFQIEQKRAMNVQIDQEPELVKSAFY PMSEFKRNAMELLRDHQQPERKSARVYKAEEGFNLPKNRSSVEQSGSRYIYAKAINTVLS GHTDIVTCICIFSQSSLISAGGGGIIKIWDIDGGLALGQMNEHSGDVWALTKINESSFGS ASADQTVRIWNYQRMICESVLVGHLQPVKALVYLKDLEQLASGSLDSTIKIWTTNRPKLK LTMQNSSKVRALCYVQAKGLIVSGGENILSVFNILNGYCRDQLEGHTGEILCLKYLQESL MGEFMSVIASGGADRKIIIWNLDRGIRLHVFAGHQEAVTCLAFDIENRQLWSGGADRTIR CWDIATGKTILTAKRHSEQISALEYMPERELIISGSWDHRIRVTQRSILLN >CAK60904 pep:novel supercontig:GCA_000165425.1:CT868010:90332:92359:-1 gene:GSPATT00031133001 transcript:CAK60904 MYQKSGKIEHMYNNQTSQQRQPELKTGINDYRAQNPYQESQIRITNREIFMQGGTNSIIK DHQKSKIFDTQNSSQPIKNFNIVSRFPSQIPNSPQCDRQNPKPNEYTNKSYTTNVNGKPQ MPYNNQQNNSHYYKQGNIVKTEQLPDMNETVPINHKLFSDDAALQMESKFQTCSSLEQNK KSDQQQMGNQINFYDQLVEQQGQIQEVLIEPTFQDQEKQNQEQVIPEYAAQSIIISQLSL MELKNRDISSMKNFERTIGIRKSENQKESPIKQHIQIEQIQSDPIMIQQSNTLNQSKVAA DQLKGQKEENCQNNLCETINLVKFNEDEETNMENPEKISNFVTTKIQQRGEKCINYNQLE LQSFKQISQPSQRCSALEFEVLERMNQVRQNRLEGMKVDYFGAVERLEKSDCLSDLAAEK SIIQLIVTQFPYLRRVRGDGNCLFSSLLFPYLELIYINNLFDTVLNQFVAHEIYWNKATM IDRPMVFTLIKKIFQELKKLSKKYNNDLLMFSEVILHYINRVNGFYDMLIIFMRSTIIQS YKLYSKQGEYQNFLDESTSEEFLERNSSFEEEGDFLSIQFFCEITQLKVKLINFSDKHPI QSMQTLEPKLNKVRNDQANFISLKYNEGHYDILYSRMLKQMQIKQEERPLPEIQFTALY >CAK60905 pep:novel supercontig:GCA_000165425.1:CT868010:92536:93112:1 gene:GSPATT00031134001 transcript:CAK60905 MDSVKKEHSYTYWVKNDPNHPKIDCQPKKVEDPSQVQQVQTIGSQWNVSGTWEEKKVPMG ELKKSLENIIGMKIGQTKISAVESVDGEAHLYLSRGKKRMGYHLKITYALEDDGQIKYTD FTDDGDRDYVLEDVTDQTVKHQIEDLHLITKEFIEIFKN >CAK60906 pep:novel supercontig:GCA_000165425.1:CT868010:93629:94080:-1 gene:GSPATT00031135001 transcript:CAK60906 MENQEYNKELHYQKQVRTLKLPLKPNLKVLDVSGLKLKDLSFLSDQQDIEILIAESNELT NQCFKEIAHLKKLKILSLQDNQIVDDANLKLLADANLLELRLLFNPICQTQQYYKLIYKA LPNLYTLDDYDQDGLQIHSLF >CAK60907 pep:novel supercontig:GCA_000165425.1:CT868010:94118:96694:1 gene:GSPATT00031136001 transcript:CAK60907 MQKIKQDDDEEFNFRRQFEIQQNVVEPKQLSKFKDILNQAIDLNKRKKNELATYNRRQVT EESTNYTQQQLDLIQEQTDEVLTYQQYLKTKLDEILKAKLLTDRKINYYQDNLRHIKTQP DHVQRELDDQVKMMLKKTQTLTHQFQKEMEQFKSQSEMNQEKYSREVEICEQEVKEIQLK IKRCKQKNSELLKQIRMLTEQEEQKKHQLQECFKISEYIEFFMDAKLNVVDQIQGNQDQQ QELGQTFQQQQIDKTLTRHEILNQVDEFYKTQDIDQLTKQIISTYHYLLEHQNMQTNEYN FFTEEKALRQQQILQLNYELSQLKSICNIPLDDQNNFGSYNNTIIEGPQIIHENYQLNFH LHGYQLCIITIYQKLIDMIQRLCINVIWLSETTKSIPKTLDNKCMQYLKNFTKTDFNLYV PLATQSIHVNKSTTSKHFGQYVCIGKRNGSITSEEIPSEQKIESMTRLPNEQLAKFKMHK DYEFIINQWNDIIRNDFITQTLQLDINFDNIDITLSNHEIICQMYDQYSKLIKEADIQKH FQIQFSYYRDIMRHIYKNNQNFNFKALTLKQKFSNEVTSPYLLQIKQIQLKRLQEQVNNS NLDDECNFKMHSNRAINASDDRKYHEYFIHQSSKIKKQFPALHENLVTKTLQDIDVMRQK ELNENYQQSDQISSDMDYLNQERRNLKGILSKQKQKPSTAHSQKSKTESHETSSTKTKII KQVDLLHKINKLSSQTRKLETSNSFNADLSKFTQNVKRKISDYFTQKLDMDFCQKEESRI LQKPSQFEKTLSASRSVDPYSMTPYSEQVFSLDRIDSFRQIQDSTQLGSAKKDKAFLIKR K >CAK60908 pep:novel supercontig:GCA_000165425.1:CT868010:97043:98005:1 gene:GSPATT00031137001 transcript:CAK60908 MFVPETLTIQQSPQHQFQTIDQSQQQINPLETIQFGQMKKSAKIVPELKIRKIYLMKVSD NEIIRINYETSMFGQSYKQTNTDNKIQLFPMRRVRNSISQILEFCRICLCDDGNSTLIRP CNCKGSLRFIHENCLKVWILEKQGIEQVYKNDIDCEVCHTKFQMETKFLNQKQFRMLKKA PRARICCWAVEIIVTLGIIGTIIALIFQIINGSLEPILLAGTTVLCIIMILIISLVYVSC IDQVTVEVLDPWRILDVQGESESKSISIIELDNQNKQTIQTINHNEERHDMRYSTINVVK IQS >CAK60909 pep:novel supercontig:GCA_000165425.1:CT868010:98097:100866:1 gene:GSPATT00031138001 transcript:CAK60909 MSKPEKVDLKILGRVRHLIPNNFIIERIKKHEVQKNTGLVKTIELRSSKRFTLEQNDKYD EFCDVLDSFTFGNDLEVIKQFDNFKETIKPKIAKGSTFGVVDGVESIDLNTLQTKKGSFR TIQDKTNLIVFWSSLVPKSRRALEQLNQMLKQNQDLKLQIKPVAISLDGDFNNLRSYFRD NKITEFEHYRFSLGWDSSNEFQKALELNYSPKIVVVGKDNYVVDVTTKSKKIENIINTYF KLAESVEESEDIQKPKDIIEKETYKQIKTTLIQTIANQLSQNNKLEKSDFFKLNLIKSHK ISHDGTQELVERSQLHVAYDVSVQSEQAIVKLLNELKTQLGNNHIQIQKQLVKDTNGIVK KISDAFQDALKQNNKVVSDYTIKTESFNYVNEKGVFQQDVSQINKKGIQNLTFQEYQIIQ SQVEPIFKKYQEEIDESDEEHDHDSEQQLDRDIVNNHINQLTDTLRSGLQLTVGQRFQAI QHYHKFGKDQQEVIEHKENEILIIFYWNNNKESDRLIPTIIKFLLANEDSFKDKVRFVAL SQHRFDHYQHYFSKNEGIEEVIDFYFPTEENQADLVQYNVTQFPHFVVVNKIGNISVLSG QVEDLESLIEHKLEEGVDNLKQIVNLSEEKYNQIVQFLNSDALTNLAQLNNKRQFSLNIT FIEFQCGENRTRLAPILNYTIREGKEKYFETYLKLLNKVNQFQVNKTTQKTFNLLFPGKA CKLCQNELNEQTPQYYSPFSDAFYCVKCAEVFDAKAIGNNKLRVKDNLIFFNAPLVDKSV LNDIDVNRLGNNLKVKKGDPYSQRHAIDCNGCDNEIRGFRYIVLNCLPGKLTDMALVDFC QDCFNQIRQGGPHLEKIVKRTSMYGYNPSMLLLRIPFYMGYYEY >CAK60910 pep:novel supercontig:GCA_000165425.1:CT868010:100896:101730:-1 gene:GSPATT00031139001 transcript:CAK60910 MLFESSIRTGDPRLSTRNKQAQTTEVVNAYDQSFNGRVIYARNLFSKLFLQMIIICVYVW IVHSIPALDHFLEETKWIFWLSLGICIGTATLALIYRNRITVSPTNWLVFIVFTLSFASV CGCLVAFGNSQIGLLLFVNFASLIFFLFLYSSTVRRKITYSGAVLFVSASILIVFELFTI FTKISLFWIMFISLSSFLFAFLLLYDTYTNLNCGDSYDINKADDVSGSVTIYWDIILLFL KMAELIKDNLSNRKN >CAK60911 pep:novel supercontig:GCA_000165425.1:CT868010:101905:102253:1 gene:GSPATT00031140001 transcript:CAK60911 MDQEIRPKRQSILKRQSTFDKPNYMEEKEKQHQQNQNNIQEDLHVQIDSSVKIITIPEVH EAQVIQEQDEIHFPKKVNNLSEAELIKLALKYDDLPYIQKTLNMGGR >CAK60912 pep:novel supercontig:GCA_000165425.1:CT868010:102534:103798:-1 gene:GSPATT00031141001 transcript:CAK60912 MNQYNLSKGKQQKKVNLILTPLKLETSLYVKNQYFENSSYYQRSSPRTILPQFTPQKKKA QISFQESKNSPQSSLITSFKVRPRNFHQEKVQFQNNLIKVQSRLIPDDSFRFKAKYAVRT RKGVQLGNASKVNQDAYVCCAKIENNECIHLFAICDGHGELGHLVSGLIKTQLPILVSKN KMMLERNSSQGLMIIIQGLSDMLQQSHIDISFSGSTLVIVYVQNNKIYCANLGDSRAILL SREDKWKMKPLSRDHKPSCKDEADRILANGGRIDPLMNSLGLFVGPLRVWTNQNVPGLAM TRSLGDEIAHSVGVSDKPEILQFDLERSDKVIVLGSDGLFEFLSDDQIINCISPYYDTSN IEGACNQLLLSACNSWMQKCNSLIDDITFIVLFLTY >CAK60913 pep:novel supercontig:GCA_000165425.1:CT868010:103978:105266:-1 gene:GSPATT00031142001 transcript:CAK60913 MNYFPCPIHNGHVLSIICTDKSCNETVLICPLCEHADHQNHQTLPIKIMLQLVETAHNNT GEQNYNSIFDVSLFLDRQHNECLRTVQKFIEALENTSKQLIKKLDTYYLDLKNQLKAFAS SKIPIHYLLDSVSSYTDFQQYKKNIQQILQQFVFSNGIASRQSIDSAIMNACKIQVGQLN DQLIDLQNELDVKVENLGNFAINFIFIFSRNLRVPTLPISGQNLIAEQKTSESNGQRFTI CEPCIPKNGVFKFGFKVIKYAGWIGVGVCHREVIVSANYKFNYTNIGHGSYLISNNAYSW SHLQKDLNSAHKSFDFGVGDIIVIEVDIPKKKITWQKKKTEIKFVITNIKQQQTMNLDTS QDLYPCANLCSPGDTVEIINKVEA >CAK60914 pep:novel supercontig:GCA_000165425.1:CT868010:105290:108565:-1 gene:GSPATT00031143001 transcript:CAK60914 MDKKIIADVFQRHLEQLVSRLNEDVPAICILSGKEDGSIKPKTKALFVWLFGYDMIETVF LATKKQIFYLASDKKLQMMEETKQKLSGKFEVHFYKKGNDNRESFDKIRQKLGNVKLGMP TTEKQAGSLAAEWYEYKGWQQIVDANQLISDVLAVKDDQEQGFIQQSSQLTTRLFKKLIK QIEDSIDVGTRITHQDLAKKVEQSLENDKQKVMKEIGLQDGLYDFAYTPIIQSGGNYQQV DGPNKDYLSSDVIIIQLGTQVNEYNTNCIRTLFINPTEIQKKLYNAILEVQSKIITLMTI GVSLNVVFKESLQLLQYKLQELNLQNLQLPTSFGYGIGLELKESCLTINEKSTHVVTKGE VYFVNVSLENVQNGQKNITYTVQVGDVIVITNGATTITTQQIPKAYKQISYQLQEEDEPE RKPAPVQTDKDKPIRARPRNQQIQIQRENEKQRQIHQEKLAKDKQTELEQRLEQDQFVQN QQEVKALELDKLLCYQRPEQYPKELQKGQIYIDNQKCALLVPLMGTHIPFHVSCIKNVSK IDEGKMGSSIRINFFTSETTAGQIQFPKVDGETIFIKELQYRSKKSDRPQNLILQIKSLQ KKVKTEQQVEREKQNVGEMEPLILNKGGRKPIFKDLKVRPTFGSGKAAGILEVHTNGFRY IHSNKEQLDIVFKNIKHYIYQSPEQDIIAALHFHLHSPIVLGKRKTHDVQFYCEVGGAVE HLEGRKKTNRNDEDEIEEEERLRMHRRKMAKEFEVFIKTIEELGADYKISFEKPFRDLGF EGNWNRARLFLQPTRDTLMNVVESPFFILTLNEVEICCFERIIPGIKSFDLVFVFKNYDK QVLRIESIDIKDLEGVKNWLDRMNLLFFEVGQNLVWKNVLGQIQKDIPGFVQDGGWTNIL AESEEEGDEEDDPEAGDSEFSPGESGDDGDDDSDFTEDDEDGDDDGDDDDDDEDLSDVLD LNKISDQDEDDEDEDSDSDKNRRKANSRPQQKPQHKPQNKPQGKPQQSVQPHRSNQPQKR QPP >CAK60915 pep:novel supercontig:GCA_000165425.1:CT868010:108912:109832:1 gene:GSPATT00031144001 transcript:CAK60915 MKFKLVHNKEIHLVKLDNPTLSALKAHVKKVYPQIDTNFKLTYFDNENDEISLSCQEDLQ VLVDEAHQAVKLYVVVPQKPEKMVHPNHTCDGCQKHPIVGARFKCLECPDYDLCESCQSK NIHNNHKSFKISNFEELEDFQRSQPKKTRPFPQQQFHHVGPFPHIFNHFMQIIENPKFKE FKETAGTVASDLLNVIKTQIDNHKVQEQETPQEQTQYDFYASQVDSTQQSKEEPCISQTE IQEPVQVPVEVQEPVQVQEPIDCEMEQKIKKLAEIIDCSEALAREYVELFKELPLDEIVD IIYNQK >CAK60916 pep:novel supercontig:GCA_000165425.1:CT868010:109846:110668:1 gene:GSPATT00031145001 transcript:CAK60916 MNYQSYNNCAGYISSPELPWSQRKQGSEGEFEPQDEQILEETNSKFQSKFSFEEQPIILI SQGLNPSASQNSINDEVQPQMMQIEILSPSKLLRTSKEIKSTCSIDSKPQTTSIQEELIV NSRTRRKTSKANYNVDESPTLDSEIKPCHCSKTNCLQLYCSCFHNRRQCTQECKCCDCYN DGQHTDEVLKAVEQIKIKEQRASHHDLDSFDTRQVWGCKCKKTKCVKGYCECFIRNKKCS SHCHCSDCQNKKQKTYQHKKIKKI >CAK60917 pep:novel supercontig:GCA_000165425.1:CT868010:110974:112638:-1 gene:GSPATT00031146001 transcript:CAK60917 MDQITIKDLDEGSILYEVKSSSIKLNKTQHWELYWQQLFETHKLLCTAAQENDVEQLQIL LSPKYHLPIDINMKLEEQWSCLHYAAMEGHFDSCRILLEHQAKPDITNVQQRTPLHIAAL KGYLQICKLFLQHGATVNLFDYQYNSPLHLASQYGNKEIIELLLDNGADYNVRNHFNFNA YDLGLDQQTLEIFEKRGLYQDYQKKSFRGIHNRNDHIKNLLKLSDRQSTQASQVKVSRVE IQQVGPQDFSVLSQLGKGSFGQVYLVQRKGNTNYYAMKILFKKKIQAAGIVRYIQTERKI LTYVTSPFIVKLHHAFQTENKLYMILDYCPGGDLEQLMNERGKLPEKAAKAYACEILLAL ETLHQNCIVYRDLKPSNVVIDKDGHALLTDFGLSKELNAQRATSFCGSYAYLAPEMLDKS GHGYPLDWYLFGVFIYELVHGKPPFYERDRNTMYKNIKNTDPPRPQNISDDLWDLLMRLL EKNQSKRLGSKEDAEELKNHPWFKNVNWQQVIQRKQPVPKASLRTENCDLDLKLTFSEQA QNSERHNFIHDWDY >CAK60918 pep:novel supercontig:GCA_000165425.1:CT868010:112671:113253:1 gene:GSPATT00031147001 transcript:CAK60918 MEPRPMISFMKQKYLINERDIPQDSFATSIDQYFKRTKKRIYGFTLTSLFFLILLIPSIV LQQESQEVVVFPEKGGSFTVKDQLNPPISLEIISSESYLLLDVQLFIDGHEIEQFTIDKK MNTNSTHKIASNKSFKISKNLMMVAVRLISQFSYVPRSYLIIQTIMVVLSLLYLIQEILR KPKI >CAK60919 pep:novel supercontig:GCA_000165425.1:CT868010:113294:114713:-1 gene:GSPATT00031148001 transcript:CAK60919 MIIQFVNILEEQLQKINFINFEIDLFVILLYMIICTPLGFVFHYIKSPTGRLYFNLFLGM FFSVIVCKEWFPLMLGWTIAVYFIAQIFKKCALPVSIVAFAILSFVHIYRLIYQYLSWRM DYNAIQMLLTARYIFYACDKQDGKVQGKTSFMMYLSYIFMFPNLVVAPIPYYAFVSLIER RTDYTHYSPKFALISFLKALAVSMAELLIRPHLDTDWYYSEEWRTQYSLWFKFAMTFLIT PCARFTYIAAFYFTQAGMDAMGLTYNDKTKKNDLWVVFDYSFELEKNVVIKTQKWNCNIQ NWLKYCFYDRLQKRFGSLTFYLVFMISSLWHGFYLSYYLFFIFWAITGQVYKYFYKAQRR FYFIPQPVRHLLAWVFGILTFDHFATAVRVLQWEKAVELHSSFYWIPHIVILVIFFFFNI TQYGQKDGRSKDGKSKKLE >CAK60920 pep:novel supercontig:GCA_000165425.1:CT868010:116219:116654:-1 gene:GSPATT00031149001 transcript:CAK60920 MNNNKFQSQQWQILYAKHKQTVDNIEHRNYKFPNEVELQLQRQRDANRKVKNSQYFKQKQ ILSRIIESNLILSKKLYEIEHRKQAKFMQINTQIYCEAPVRWSTKSLREENYNKIKVQNQ KQFKRITHVKGTLQTQ >CAK60921 pep:novel supercontig:GCA_000165425.1:CT868010:116755:119231:1 gene:GSPATT00031150001 transcript:CAK60921 MNSNNNNNNDSSSEDDMQVYETLTKLPPANELLPRKLTIDIDVKSLYPLKSLPQFCKTYV PFLEESTHRNCAISETPVGDDNRTLLDYYDENKQNLVFKRFDQLDLGKRTSNDRTYFATL IANFKKTQPRVFFRGEALFDQKLTSCASDQIQFDSNFESGNLFCAFKKSENVYDLIIQND INTRGNTQWFFFSVTGAKAGQTIQFNLLNHLKNGSLFNEGLQPAVYSIKDNQLSGTEWCR DGFNISYFKSQFMKEYPLSLKKKYYQLRFHYTFKHNDDKVFFAHSYPYTYTNLLEYLNTQ LDDQERNQHLSRKVLCTTLGGNTCEVLTITSNSLQRRAYRKGVVFLARQHPGEPQGSYVM QGIIDFLTSNNPQAEYLRQNCIFKLFPMMNPDGVINGNYRCGLEGSDLNRRWKKPNKYLH PSVYYAKKYVKGFSKERQIILVIDLHGHSRKQSSFVYGCAYSSQVKTIERVFALLMAKLN PFMDYPSCTFRIENSKDKTARIQLWRELKINWVYTYECSFYGQQKKHYQIKDYLNCGVSI CNALSQIVKDTSKEFTNQANVPDIQQQILEELGKMPQTDDLDNGSDSSQSEAELSDDELV QLFQPKTYSTKQKNYLKQQEFNSKKKPLPVNPKSTLNSQKASILQESPSKNSEQKPPMSS QKPKNTLYRIQAPQRLKQIEQAKQPDPPLMVDKSVQTDDWMYRQWLIQMGKMKKQQEFLS VTPTRNIYKSSSQSVTRFIVKNSSTKNDRGRIDSISLVSSGLQNKLSKYQLSNKFPLVNS GK >CAK60922 pep:novel supercontig:GCA_000165425.1:CT868010:119853:121272:1 gene:GSPATT00031151001 transcript:CAK60922 MLHKVILKTKIYSCILITFSGIIAMLACVLAGQVSDYEVKIYGTLYAITAFIFMAINIYV FYRLQTINLINAEINNSNCFSSWNVLYNSILNNSDLWFSKYVLKCLVVILFHSTLSFITR LKYHNDTFEFTQNISISIVLFIVMFILGFLLQFYLVMQLIQMLADFKDYSKEIVFTFHIK ETLQEEKQYKSGITMIAMIFMLGSIAYYAIKLALYILIAKNNEEINTKVFSIIVSILNVS CIILCASIYLQLKSIYHQIPDIQDVTIYSYNIPCFTGWFILVRSLVFSNKFRFWRNITFI LLYIQTIYGLIKFPFYKIYDVTIEQMFSLESYLYCDTAIIVALMFWQFIEQFARVIHQVK RKYLAYQFEDQSQNNILPVSIDVQTEELGRIQNQSIIDNLVENAQQDYGECCFCLDQISK GQRVHKLKCHATHIFHYYCMSKWLANHNNCPLCQYIIN >CAK60923 pep:novel supercontig:GCA_000165425.1:CT868010:121394:122080:-1 gene:GSPATT00031152001 transcript:CAK60923 MKMYSYIISEEQLDIIEYNKYLWVPCLVCQPNQMVFKKQRNYIQIIKCIVNSTLIISWDF YYITYEIKPNYMNYGLSKFIINYSFRLGILIVVNHYLIFDYFFGFFKEITKVENVIFYED WWNADGFGQLNRKWNKQVHNFLKINIYQRLSKKGNNTKALLMTYLVTALLHEYCINVTLK TLRLYFLFFSCFQFILIPYQKYIPFPRFVLFSQILFGNSLLIYLYTYY >CAK60924 pep:novel supercontig:GCA_000165425.1:CT868010:122311:123031:-1 gene:GSPATT00031153001 transcript:CAK60924 MDEEFIKVMLSIEEAYQNLNKHQKIRVEAWTKKLCQVTTNEVWKKNRNLYARILLNQVSK GALSEPFDKRPPEGPLPKLNRYLVLSDQKENARPPTSKKDSLCFEQQQPQQQSTEVPNLQ EKMLKLLIDCRQYLEKRTDHEAILLKEQQDQFFQYLQELNQPYILEQIDEKTEESEDQQK DTMQFLQYLDNFEKKTEKLRVETEKLLRGNNIQYNV >CAK60925 pep:novel supercontig:GCA_000165425.1:CT868010:123160:124355:-1 gene:GSPATT00031154001 transcript:CAK60925 MKRMLVRDFIYDRLYHPVEGYFVKNIQLGALKKPIEFKQLLGYEDYTKKLAENYPENQWL TPSEVFRPYYGITLGNYINQQFRFTRKEKLRIVEIGAGYGAACEGVLYYMRNHQPQTFSN MEYHLVDISPEACAQAQIRLSQDFKQQIKKGNLRIFNQDFLNYKQHTQNNEMWFFVFLEV FDNLAHDKVIDGKQVYVENMQEFAETISDPLIKEVYAMYQQFKQQNKDQDENLEDRFLFN TLRKVISKYYGNQKSNSIFLPTGALQVLKHIKSNFQNPSLVIADFDLLKNNFTQESINAP IVSKKLAKPHERLDYESYLVERGVADIFFPTDFNFVQHMVKQILGMDSQIFKAYQFAEQF SQSSWTTTKSGYNPLKEDFGNTSFLVTDHS >CAK60926 pep:novel supercontig:GCA_000165425.1:CT868010:124897:126746:1 gene:GSPATT00031155001 transcript:CAK60926 MNQSLQILFPVLDTNSGKVMVAEKINQNQKTKYYAFQFQNQVIPKTYLIEYDNALKKSKH NELFRQYYEMHIETPGNPEIPYLHLFHYYEDGKFLDQKVIDYGKQRQSFNYAEIQLYLKN FLIALYELHSNQIPGRIFSIYNTLVVQERLVIMDFGFGPNINQDNLDILAPPEYLEQIIN NKNYIQKEFDLKFDSWLLGAILYHLIKFKSINQVEVQGNSYQRFKYDRVEEYYNYLKNLD HIPCQTTRYKNDLLSFVESLLTCNKDNRLSFEQIHKHEYIQNLELENKAEYIKFYSENQF IKDCEIGITSTQGFQPATSQISFDLRNSFTQGHSESVIIKQEDHPSPQVTRKPIKITEQI SNYQEDAQGYPNFLNIILNTPQFNNTNFNIYWLKIQLDCLKCQMLKQTAEKITTILPKYK HPYHQVLVYIIKKMNSIVLREMDSELKSAYFQKNLDEQWEDFLSQNKQNLPRSDSIISYS RSLQSEIAILYNNFETFLNEESTTLSNSVKKSIESDKSQNFNMLNNPQEFYQDIYREEFE LLLQFINDKITSNNNLEELNQLKLLVFHCSQITTLFQLETFEETFKGLAQQNQKPQPKDL AQYFGINN >CAK60927 pep:novel supercontig:GCA_000165425.1:CT868010:127077:127904:1 gene:GSPATT00031156001 transcript:CAK60927 MNRLFGTSKQEPPKQQPPPPQNPQQPKVLDLTEQQKRSEAKVKELTEQIQELDKQISEQY YKAKNSKGVQQTTAKSRAVMLLKRKKMLEQQLGQMLNNQMTLDQVAFTKENIQNTLEMAQ AMQQVVAVQKEAFKEIDMDKLDDIMDDMEDMKFETDYMNDMMNRNYGCDIDESELDREMA EIENDMNYNAFQPQQQQQQASYQSLMGQKQVYNPQTN >CAK60928 pep:novel supercontig:GCA_000165425.1:CT868010:128274:128696:-1 gene:GSPATT00031157001 transcript:CAK60928 MLKLRIFLWRYKQNKLQQQTFLGSLKHAHSESNVLHNHFFQLQQIPNEQKASKIWTQIKV KQEIEEQVSNIKAQAKLIKLPHLNKQQILDALKLYNLSIQALLEGKREVVQNDKFVFKDG QSLQQKVDKIKDFLIKITNQ >CAK60929 pep:novel supercontig:GCA_000165425.1:CT868010:128718:129725:-1 gene:GSPATT00031158001 transcript:CAK60929 MNQAKLRQFQNFQFENNVVWKDFIKELDPTIPKERFEKLKKIWYRDNIDPEFDPEFIGNT NSYTTHENHTHSQQRRGFDLGEQTLVVKILLGVENFLKLAFIITSFIPIGPNTLFAAVAC VLGLYRLCKFPQMTKEYGRLVLQNEFAQNLLFLFGHFFVYSFKTVFNVPLILHFALGLSS YILLLQGPIYELFKTKVDKIYQMKDQIYVLKYRIEIALVPASFVFLFLGKSSILTFVYFA NFARIKYILVDKFKVECKYVNQKYLEPYKTNPILSYIIPAFQQLCSYLIPTW >CAK60930 pep:novel supercontig:GCA_000165425.1:CT868010:130115:131600:1 gene:GSPATT00031159001 transcript:CAK60930 MQSHVNQQKYESYQIRKPNITVFKQSTEMNIQSDGDIGESGSEPEQIIQETQNNNLLPSD QYIYLHKQKKSAQQFSVLEEKDLILSKQIFLSMNFFNLSQIRLSHLDKKLRAEITNIEIN NDIVYYQIETKSSQLQYIVKVKRRYNDFKFLLRELSIRFPQLILPQLPIGIGVGKLSNRD VEERRRLLQNVINIITMHEELILSSSVKNFFEEADQQEFENKRVQGQFNMKKGLAQNLQI VIDKSIKSLGLLRNWWNGSPSNKKCQDLEQIELELLKLYKYQTKCQKLLFSIQALQRKQQ KIKDIIVQQDSQKTQLLIETKLHDCIFDYANHLDFQTNTLEDKFDQFYQQQIWQLMNVIE FIDYVDIYYKHLVEFIDDLNEQLKQVSPNQQTHQTIKDEINKYLSKAKEQFCNKKDGLIS KYMEIQQQGLTYFYMSQQQEIWNYAQEINNKFN >CAK60931 pep:novel supercontig:GCA_000165425.1:CT868010:131626:132470:1 gene:GSPATT00031160001 transcript:CAK60931 MKSPKSNGSSNNKFTISEFGYYKQCSRFLPLERANEFSTQDAVIYDRLRQAGMKRNKALK FCKGQHCKIDSTQTKHGPPGFKIFNTKIDKLKQLNGWQMVGRLNYEQSMREEYSDDSEWQ IQDQNKEEQEKMEQLKQFYTDLDLKYSFNEMDQQETLTKLRSLSTEQQYRSRVPQYQLKD GKNKMMYYEMAEYILQLKKPPNTLIQELNVFDDTIPLVRQEYFDIKPKYIEKATPFYPKQ NCEKRSKLLKELTRAYTGNITITEPAEEIKKVF >CAK60932 pep:novel supercontig:GCA_000165425.1:CT868010:132942:135703:-1 gene:GSPATT00031161001 transcript:CAK60932 MYQLLNDCLKIQLNEKIQLRKLSKKFREFIESMNLCYTAQSRRRCAHLTCSHIQWSAFNF QPKGQSFNHALLQPYLNQSLFLQFNDSIFILYFNKYCYRPSDKLLSFNSNLGLFRVCDTF LLRFLMLWRSFIFKLLIKTQIINKYMMKIIKIPLEGIIGIILTPLLGFEIKEMAILLSIM SAIQVAVLFSYKLNERRYLQMNLSYTMCLAIFFVECAVKLQNISVFVLYFICIEIGQERV NKGLLQKLTIIINLYALIRVCSWNSYDLYAFFGGISLIFIHLYLLWANQQKQDIDPQTSY IKSYEKSILNMVQTPEYKKQQFHSSATSFQQELQECFHFFNLIPDSLVLLTAQNLAIHFC NSATLELFKISDKANFKTCLEQLTDVVSTQAKPDIRDPRDSFPSFQSFQQTFQQCKISNN RYSKEEIEFNQILYDLRSIKPNKRFFLILKNSPHNTIFIGSYLGNWYENEGCADLEQPRK RLLEINAHATLVDEKLMILLQIRDVTHRDYIKLMKKQYQVKSSIISFVSHEYRTPLNSII QFISALQDERDPCVQSKYIKIALLNCKYLLNLSNDLLDFAQLKVGKFSICPIQMDLKKLI EECMDLFKLEANLKKINLTLNYRKSAPRLLNNDPNRIRQIIINLLGNAFKYTLQGYIDIR VSNYHNTSLKIEVHDTGLGIKEEHKERLIQAFVRVEDSESKKLNPQGVGLGLIISNMIAR NLSFNEKGLQFQSEYQNGSSFWFYIAKNIQESEIQEESQDIDEESPERRQSLWRYNNIKT QHINQCQCANILIVDDNAFNIEVLKFLILKINSSLKLEFSLDGHTAIQMVKSNRCNKCNG YGLIFMDIDMPTMNGIQATSIIKKQYPKIQIIGCSAYAKQEEENLAIQNGMDGYLVKPVQ IEQLKNYLKLEL >CAK60933 pep:novel supercontig:GCA_000165425.1:CT868010:135795:137524:1 gene:GSPATT00031162001 transcript:CAK60933 MEKQKEILKLYHDNLDQQIEEVFKADLNIPIDKFQTQKQFTVIRNLKVLHLACLHNNTKL VELTLTYCRNSNLINQTQMFEFINLQNKDGFTPLHMASFKGNLEIIKLLLQLGANSNIKN TTGLSVLHMSVQGDHVKTSVINIDFQLFWINQNIPIDILDHNHQTPLICASFLGSQQMVN FLIPWGAKLNAQTQDKGHTALHVATQQGHSRIVRKLLIKGIDRKIKDKTGRTALDLAVES RFESIQTMIENNMGLPERCGLRQPDSKVEKNYISMIIYLSLYCSSFLMTISFTLPKLESL QAWYITSFSITLLLTWVLVKRNPGFVPRSNKTLMDLLDAYSVDQICPDCSDVKPPRSRHC EICQKCVYKYDHHCPWLSNCVGEKNQYIFISFLFTLTLSISLQIAVQCSTLNLEDDQTDV DSDHLLQWITFYYTMIFSCIFILPVMLLFTVQIYNLIKGQTTYERYIEKQGINRIQSRKA SAEQQLKLIKSAASTETNESEFKFTLKTQKKPLLKETELIV >CAK60934 pep:novel supercontig:GCA_000165425.1:CT868010:138284:138535:1 gene:GSPATT00031163001 transcript:CAK60934 MSQTEQQENNYDAQYLEIFGCNHSTRQMHSEKLICQQCGIFINSVIELFQIKVDHKSLQN NQDEVQHLFSIPQINIGQYLGSF >CAK60935 pep:novel supercontig:GCA_000165425.1:CT868010:138580:139711:1 gene:GSPATT00031164001 transcript:CAK60935 MEQASERLNLSTNTAFLAITYIDHFFNKVNVNEKQVFLYAAAALMLAAKAQELDERIPFI SKLKRYTSMTSHPELNTFTTQEFCNAEKALIESLNWKLQRITLLDRIESLLSFGVIDEDD SLSQPPQQKENKEQQLNHIKLRDLSEQQINNYVNEVENKYIETAYSVIRDDQIYFSNDQT ILALSCVAFLRKKAGLLNIWSQQLQALTGESAQKISATVSQIMTLTPKSVSKPQINTSSS SYLSSYQNSASQNKNSYMKNRQYLFESNKSSAGDILLQYNTTAKAHLRVPLFQSQSTMQL SSKGDIMKPHHSNQTKYSSRNSNFHSTNNYSYLSDNIVHPNSYIKNTELDKKYEQIHKVE SKFRTKIFS >CAK60936 pep:novel supercontig:GCA_000165425.1:CT868010:139772:140926:-1 gene:GSPATT00031165001 transcript:CAK60936 MQGFLVEGKEISKDYSYDWVQGKAELVAIEKGISVLHFSQELLKRDLEMEFRLLRRLTET QYHNDNLFDFHPDVQRLNRYNNIIPFKHSIVKLKSEGDEEENLKESYINANFINVIEQFK QHMATQGPLLSTFGHFWKMIVQENMSMIVMLCNLKENQRAQCEQYWPKNVGETLICGNIQ VNLQSQDDLGNNITKRILKINQEGEERNVIQIQWCGWPDQGVPSPSDFDVMKELLNIINE KLLADQKVVFHCSAGVGRTGTLIALSNLMILLRAYKQHIGDDHSSNQSPLFQELEENPEL YRISIFGMVRRLREQRWGMVHTSEQYSYIYKFIDEAIRYLFNN >CAK60937 pep:novel supercontig:GCA_000165425.1:CT868010:141250:142774:-1 gene:GSPATT00031166001 transcript:CAK60937 MGCCLTKETKHTKYANAGCDEHPVPIQQAEESHYQEVCADAIPPKEQKTEKQEQEKQITW TEGEMIGQGAFGRVILGMNRVSGQIMAVKQVFIKSGDENKVQSIQREIEILSKLQHLHIV RYYGSERKKDQLNIFLEYVSGGSVLMMIKRFGKFKESLIKVYLKQILLGLQYLHSQGVIH RDIKGANILINQNGQVKLADFGSGKQLSEIQQDVVGSLCGTPNFMAPEVINQQQYGKKAD IWSLGCTMIEMATGHPPFSEVKNIYTIMVKISKLTDMIPIPEELKSEQARDFLKKCLQLN PEDRWEAEDLLQHPFLVSKEQRYSGINSAQEKKNTFITDDQLFQLHSELIPKQNQKLQFS FQLDEDSQMQNNNQNEEQEIPQYQEQQGQGSQREQPITNIIKITNNNNLQSEPDQEGYKV IIEQNFDDRIDIQQFDSNQTCQKGKKLIMNRQCSLNDALNQVLNDAIQQQQSKSLNPLKN >CAK60938 pep:novel supercontig:GCA_000165425.1:CT868010:142802:144237:1 gene:GSPATT00031167001 transcript:CAK60938 MQKKIEQPKAQPKRAIRIEDENKLSITSITLPHIETIAINFNSKYQLGNMIGEGAHGLVK KAIKVETGEQVAVKISRSGDPELVKTFTEAYKNTRILDHQYIIKVYECYIDEQSETLYLV MEYSNLRSLEDVIKKHKKLTEEQAKLLIRHILLALQHIHERGVAHRDLKPDNVLINKKSL DIKIIDFGVSRRFKKYNGREFVDVNMWTRTGNVYYAAPEILTGGGYDERVDLWSLGVCLF RVLSGQFPFFEDSVLGTIEKILKGTFELNENISLLARDLIRRLLDPNPAQRLSAQLALQH PWLYHSEIDPISPNSTELVNKVPYRASDDICDISQSGDKNTYYSRNLHMRSNTMTKSPVM QSETNTNSKSPLNLLKQDLDEEIQQSPLIKLKKIQNQMNKEINIIKKNESSGQGLLRIRQ RLQMEKLDIIHEIQ >CAK60939 pep:novel supercontig:GCA_000165425.1:CT868010:144292:146059:-1 gene:GSPATT00031168001 transcript:CAK60939 MKQIDKYQYNPKDLLGMGSTGTVVKAIDNKKNQYALRIIKKNSITDDEGLLCALLDEIRL MQQLKHPKIVQLVEVIQTDNNYYVVMELCKQDLQSHLQQVHKMPESECIKLLIDLLEGFT ELINHGIVHRGLKLTNIMLTDKGYKLADCGLTKCLENFKKEQSHKDEDFKFHSPQVLLNS KYTNKCDIWSIGMILYNALYGYTAWSGSRIEQLLHNIEHKLLDFPDDQTSVSDDMKQFIE GCLTMEEAERMNWDEVFKHRLVKDYFKNYKAHIKEEQIKFLMNELRQKIIKQNLNISSLF QEFDENGDKTLQFSELVQLLHTIDKTLSRQDCQVIFKKLDLDGDQSVSLEEFEKWITDNN TKMAVVSKVKGRQNQRNSVSKKMPSLTNIQNNPGKQKDMDFRGIQAPYQPTLGQSQSTPF INQMPIQTQVFDPERLIEKLQLTIQRYNINVHDLFQKFDCDFDGLLSFQEFAQVLIKIQR NVQPQELQAVFRIFDLNDDNFISFIEFRQILNLYQEKSQKQESKFFSSGYQIPQYNNQVS PFQQMGTMPYQTYQAKTPTYTDLL >CAK60940 pep:novel supercontig:GCA_000165425.1:CT868010:146520:147744:-1 gene:GSPATT00031169001 transcript:CAK60940 MRIVPVLTLILAVATARVAKTESPKEILAQVNKDSFGNSVLSVLQLQLATGGPVGEIQIL LNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGE AEVEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQIDAIDEASKIVQHLQAG VAFAQLKSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLVA SRASLLATEEKQAANWDSHKEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQTLFLEDA EDSLAIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQVREEVF >CAK60941 pep:novel supercontig:GCA_000165425.1:CT868010:148762:149328:1 gene:GSPATT00031170001 transcript:CAK60941 MSILNKLYNKIFLNYHLLQNFFLLNHSFNERFYIHLIQFQNFQVQYKNIQLRDVKKSNQA ILSYYLCCYYKLVKLMVHQSFFYSTLRKLLIQAQCSGQQLILSYIHNSYLMLFKYELMNL QKVVFFSKGLKKSVIFLLIEWFNNKINKKMKSKQQQTPNTHSEVFQELHREDEFSESIGK MPKLVFRL >CAK60942 pep:novel supercontig:GCA_000165425.1:CT868010:149385:149618:1 gene:GSPATT00031171001 transcript:CAK60942 MEHQTRGKESVEEEMEFLSNQIKNMQIKQEQLESQHYQLSTNVKKINLEKKHQVQVRNRN VINQGKQLDDKKIGLHN >CAK60943 pep:novel supercontig:GCA_000165425.1:CT868010:149862:151534:1 gene:GSPATT00031172001 transcript:CAK60943 MKKSNENERIAQQIYASQMQKLQGKILEKKLTKVCSKQQFESQSQNISNNNSPVMGRQKS YENLQKVNRTFINPTLQVSQQKLSSILNVMAGQQTKPKIQSSFNNELMDTVKITHKLRKN QSMIHIDQQSPQLTKNSSFHRPNSSVKIKNSNSISYEQTQFQKIKDKIKQLLQERDNNWQ QDQKEMAFMIKLNQSLNQLLSIVFQEQQNTQPTSTQDTNSSLQIDNFFKRQIIILQQSYT QQMEKKKLENILTSIKRKHEHVLVEYNQLLEQKRSQDDQIKNLEQQIQGLKTQISSSQKE IENEQEFLELKYLVQGQFEAIQKLLQREQLTKIFLKRVGDSSIIDMFDQFISNAERTNLD DTEGNLNVDVLPSNKLISKQKLAVTQDQMSLPEKILSQYEENYKEQLNLCDSLLADDSSN NRIHMFLGVNDSEESSFGYMGKEQATEISCYFNDAVQFAQGTIKIKRIQTVSKDKLKINM MDVKLAQAALKRQELVEQQQLQQQKILPDYLIDNENEESEVNLCDDDDEQQF >CAK60944 pep:novel supercontig:GCA_000165425.1:CT868010:151643:152741:-1 gene:GSPATT00031173001 transcript:CAK60944 MGICKSLPESTEDLPTMDSSGHKQTEMLVKEDPSPTYDENKIIVIQALYRGHKVRKSLMT TLHSPETSAPTQVEQSKFCFDTHQLPDSDSTSILMKNGAIYKGEWKDGKANGKGKYSFQD SYVEGTWASNELQGQAIYVNGTETYKGSWLDSMFHGIGEYVYSDGRIYQGEWKKGLQHGM GKEIYNDRSIYEGKFKEGMKNGLGIIRLADGCVYEGEFENDQFHGYGSFIWPDRKMVFEG YWKNGTKHGNGTMKWGDGRIYTGQYSEGLKHGYGEMLYSDGRCYKGQWKQGLQDGIGIFV SKEGNERKGVWMKGKLKKWF >CAK60945 pep:novel supercontig:GCA_000165425.1:CT868010:152935:159685:1 gene:GSPATT00031174001 transcript:CAK60945 MFADLIQHIKNTSPQFVIGQYADAYDNYSSWRMCKVINLSTDSVTVQYDGWSQKYDETHK LKVGRVVYFRSHTELYTGAQKSASREFQITKEVDHIQQVIEKMQKLIVSNFANVEAHDVC QFVRGELYLLIDSVMQEQQCYTQGEFKMTTDLIEAFIRFFVEWANKILEKINIYIQGVQA HPLIYYFHLDTCLVSCHPEMFDTLTKIFNGSGPRCKRYFQSNESQLLKMYSISDRHSQNV QQGFFKYFQDQNGLQVIENLIAWQHSEGETKQKVPFGIIKNILPLVESIYKSFPTSQNRQ EWLLKMKDMIINRLHKLTTNDLKESNLNPVFQIFKLIPQQFSSIINISQECEATEIKLAI TLIKSSILEKKIKGVKELTEIIDKVTTSKNSMMYQSITSTYFNPDRLGKFLQEEKVFDIL LQEAGHAEVFKRAAPILRFMVDQVNSSTKDIEQLWEASQMRHETEAQSVYEVISDIARVM NHEQTEIIFTKLTNFSQKDIDLNLINFMKDFTQSAQKNPIWKNRAIYTLNMMWNLLQDKS DLNPNLLEPLMTGFISCLKQEREQYQQLCLENLRKSYSFPQCLHILQKIIESYGQGQVYF SVSSEDNLNKIMKEHQMIDLIVKNLENYKANVNTCQDCFAILTGKVAHAQRIQYKMDFLE YIAASPKKYEFSLENFKKLWNILIINPIHETDRNPMLDFLVKGGFRVKEDLFKNIFCNIN YPIKLCYRSIEKYFMQLNQKNGSINCQKSQIRIIKYDQIIGINFFFDVVFNYPDADQAIQ TLLQLHMRTEKANQVDQKLQMWNSLLMKCKEALKQSNNSQVQVQNVIKCLKDLVTGIEGK QYFNTSSPAITFTKFQVQLGKDEKTLKEVSLPSNSTLLAVRKNLNQLYNFNQQDYDMFLA NHDMKINQDNEEDLTISLFEESKSRDKPDIILQNISQNMNSLCLSIRKFKATLSSNTELS EILFDLLQSENYEDIWEVLTLMPQNKQIKDRLDRGGSDEESWSKILYFNCNKKLLYCLQI YETMILQDKNVLQYFLKTKGCQSIINYYLKRQENEFTNLFNLKCFAQIAKIIGICSIKDQ EINRKCVKYIIYSLQKIENKVYQDPSAIQSFLQYNFRIASTENVGDIEQMKKSLIELVDP QIRKTLCQQLIDLKPLHKHFQNLGDNLQDVMKLKNQAEQYLELYAGVLEQTNNNDLTRIT QRLPQILISFLQEEETEKILLQAFKLICILVTKCQESLLMITTDDQLADAIISSLFQLNG KTLQSQDTRKAGYEIIQKLFANQKLMLIFEQYFSCAFWRTSSNTNWNIVQTQQSKSQTGF VGLRNLGCICYMNSLMQQLFTLPNFRESILSLNLEQGQQTVAYQFQLLLSALKNSQKQFY DPTNFCNVLGPNYKPINFYEQMDVDEFFLQLMDKLELELKPLKRDIIVPKSFGGFMSTEF ISKGCQHQSSREELFLALSLQVKNKKNIYESMKMMTDGEMLEGDNAYMCEKCEKKVPALM RVCIKQLPNVLIMVLKRFEFNYETQQKFKLNDYYEFPTQLNMKQFTKEYLQKQDFQDVED ADRLIVTEYSDEYYDYHLRGVIIHVGTADHGHYYSLIKDAQTNKWYEFNDILVKPFEFGD LATEAFGNDDKGRSNQLLSRSKNAYMLFYERKTYFDENGKPLKNDQELRWFFNNNKNEKQ NDEILIDNIKFQISQVMFDESFFEFSCALLDEGSQIHIGRFCLQYFLTVVIRFQERGQFV PRYLEKLKLITRNSVQLANEFLVSIQNVDVLNELILQNPIQDMRVIIGGLINDAIKCLMD NEKFASYKEFKQKSNLIQLTQSMIHYIQNRKNSDQIQRIIYQLSLNDFSKTYLRELKFVG RIFLYFLDEPPVGAGYIEIADPNVEQKLLKINKQYIRNEQQNPQILQQQENLKFDNLYID YSYLVMALNQLIYQDDDELCMLDDPLLFKRLLSITFTRQARLALKEAIQKYIRLNNNPWD QSLAVVQTLYEHMKDCDEKDLKTALIVLKGIGEIDDAYNEQRLTLVAEAYISALKDNLGY WYYTSIMFDYVIKICTRLNFQKAFAEVLNRNKGVLKLMYQWLKESQNPFQNFSQQRWKVF KKRQMNVLAQDITEKLNKFQALSQKRIESLEKIMSQQVVNSSGYDSDTVPNLEQQVDVII PARTYLNNFETCGYSTWTIGINLGDMMELRQNNMIKWVFSTDSSLAPPNTQTLKYENHVS FMK >CAK60946 pep:novel supercontig:GCA_000165425.1:CT868010:159699:163368:-1 gene:GSPATT00031175001 transcript:CAK60946 MKEIQIQCQQSYEISQPLAFTFDELSKVLYVIDKESNIIKYEYNNKQLSIQTTYKVGAQI QNNSKILSFQYLMENDQLIIVYRDGAIVKVYKEEAEVVGQFECGVLGAAWNPNQEQLVVV CGDGKLVSFDVQLEPTKEINIEGILDQLVSISFKNDGKFFSLNYAIQEGRVCETYDVQLE KFRSPSKSDPEGGLVQAIFEKPRNLVNTVSWQPNSQFIAGIQDKQVIFWEKNGLRHLEFN IFEDSTNIKWSPDGIILAIQQGDRITVHLRQNYKWYAKKAFKFEKLIDYTFIENNTLVVF NENSMNIFWLNYHFNNTSIGLSTDYDTLLVSDYKKGVIPPPLCHYQLKVDSQIDLVYYDQ FIYIYSYDGTLSIFDNVNLLGKVKLDIDCAHKLICYSDKQQDVIFGHAVFVVHEAESDKL VDVVISPTFEIINIWRKEFSQPTQISALTKKGHQIILHSRFGEKFIYDQSLEESLSEFDL ANKEQNVYDVKIQQHNEWLGCLKSNSRLTLNNHLVTNECTSFAFFDHFLAFTINTTDQFH NLYILDLNKPISIDKKSLNISNIERGAKILAVVSLDRLIVQIPRGNLETTAPRVMALHLC KQLYDNKQYKTCFEMIRKHKLDMNLMFDFSGELDVQIVEQLSEQYLQLFIQSLNNEISFE LPYVLTAEQYKHQKVLIVDKTLGTSKINYVCQKLIDNMKEETHILTIVTAMLKKEPSEVE DALLKTLKLRQLEQHHEEVPPHLNPATQKPYKKHNKIKSEQVLEYICWLADANKMFEVAL GTYDFDLVKQVAQFTQKDPKEYLPMLERYSQIKDPIDMKSTIHIELKNYDKAIKVLSEGN EEQKQKSIELIRKQSRFRIALEVYRNDQVMMRKVKEALGDYLNNQKQYHQASLAYESAGL YEKAVQASSEILDTKRILSFDPKEDYLLNYNQILLAAGRWKDCGQIQEYLKNHEQAIHYY CKAEEWESVAQCLRHKQINIDDHLQLAFSLKVNHLLNQQHLFIQKLERLRIVQEQKKEHG ILAPSQVNADFDQMSDVSGKSGMSKSSYTMSVTTGVRKRKPKEKSFLNRNIKEGSPVEEE YLIEFLKDIQVKSADLINSIKKFQNYLIFFNQPKLSLEMSTKTKEYLNTIKPEIKSLLQQ QFEEQNQQAVDLYPYKSVVPSEFNQALDDLIK >CAK60947 pep:novel supercontig:GCA_000165425.1:CT868010:164084:164821:-1 gene:GSPATT00031176001 transcript:CAK60947 MLIIKQQRNLLRKTTLKQSFIPSSPYTQRRASCYCDQCGKLTRLQSLFLNTPLTVQQHLK SAQLTSQQLTQIKKQISTSFHLPNAYKMDTGKKIKRRKSCNCNLCGNQTNFQQTTNYKIP QRQALHQDSFRNFIVKKQLGRVFKEISDHNLIEQSAITSRSKRDTKLKCSCISPFLIKHE MSRKGRAIQKFYESKGLLQSIDLRRQKTEKSPPAGFLIKPYHKLSKYSKTSDKLMPSIRF KSTLN >CAK60948 pep:novel supercontig:GCA_000165425.1:CT868010:164848:165492:-1 gene:GSPATT00031177001 transcript:CAK60948 MYAKWRRTSQQKYPDLSQLYKNQTVTRRRSCYCDNCGKLSQFQQIHQNNGWVPLQIQIDE SKKELELQKTQTDEKQQISKNSRTMSTSISQTPSFYKNQDQRPRRNSCTCSECGPMSQFQ IKHKDVPFKKQKKSRKLFVIKRKNLTHSRTIQLEQMQSRSRIDPRQLIQQKNQMMSLRNI DVQHRRLRTECMSLSQIVLPAIKDFSCRQIKKQL >CAK60949 pep:novel supercontig:GCA_000165425.1:CT868010:165584:167104:-1 gene:GSPATT00031178001 transcript:CAK60949 MMKLPQSYRDPKIFNNKYIIKQQISSGSFGIVYLAFDKHTREEVAVKVEKEENEDASSLD REITILNRLVGVPGVPKLYWSGFEQDYNVIVIQLLGKDLSHFIKQYKKLSLKSVLQITQQ LLSTLQQVHERGVIHRDFKPENILTGYQLDNGIIYLVDYGVSKVYLDTQGKHIPPKDKKS FIGTTRYASIAAHRGHELGRKDDVESMFYVMIYLLKGKLPWQNLQNIGHRDRTSLVGEVK MKTEVAELCKDIPSEFAEILNYLKKLEFKSEPDYKYMKSLILKAASNNQIIMDKVFEWSD RSTKIKDQIIWAVSEDKHKPSSQAILGSNHLLKPPEANRQNGGSPVRAESKHLTSTSIQG SLSSMMIKYVPSQVENGSIKDDKRSRSKKSRKSKKSAKSSKRQSVVFHSGIQIIEPKDQF RRHKTIDSTWGFQQYMDEFSGDEDSQKLSTKYQQLQGMPVHFKQIHKHTSKS >CAK60950 pep:novel supercontig:GCA_000165425.1:CT868010:167135:168945:-1 gene:GSPATT00031179001 transcript:CAK60950 MEELICPQCCNIFNEFENIPLMLPDCGHTICQKCIKQMLMSADGQQICCPEDNILAKGKT HITEFPKNCQLLKMVVKQRPSIDHPEYQLHLNNLAQEKIELCGEHLEKLEIVCLTDKIRI CTKCALFGNHRHHEVRSVDDVVREIASKAENIMQTYQKILDKQSELTESKFYESMNEKYQ IMLQESQMAVKEKFKELHHQLDLKENKLLEQLNGLTQTLEQQTKRQIRDQVQQSLQQAEL WKIGAKDRLLYFSTKTESGELPLDLLYNQEFKGNAILDEMDRTIKMLEQRINNVKIKKIR VDFKKQEIEKCFESMCSLTLQMSHNETNQRSLFFQEIILQLIGQTTKTNLISQVTHVVSN SQHELKQTTQSQVQQAKSPVRTEPSLHSFQSATTPLKQLKQKSPSKDAPNNVSLQPPPPV SLLRQGISPTPKLQEKRKKTFKINEKFEPIMQAFRNDNLEIVDLSNAELGDEGCNIIAEQ LKMCKKVKQLKLARNKISDEGASVVLQALTQNPNITSLHLSSNMISERILDVILNITKSG QLPKNIYLSQTLINATKAKKRIEELKKLGYVVNI >CAK60951 pep:novel supercontig:GCA_000165425.1:CT868010:169404:172340:1 gene:GSPATT00031180001 transcript:CAK60951 MKKQIQKEQIDQYTQMGFSVQLIHMAWEVINDESEMMDTLIALTSQNQQMQQTSNKQDEE IQLTQALLDSYKTNQQTGSQKFEIISPEQRKRVDGIPCGLKNLGNTCYFNGLLQTYFFDC QFVKTIITFQIPQQIEQSKYGKSIQLVQNLQNLFISMIGSDKKYVDPSEVVKSICDEFGN VLPIGDQKDVGEFNLYFLSRVGEALTQTESQSSKIEDIYIESSPSILKQKSSVIHDEDIV SKLFLCKVFHQFEFDQGGIQQIRESTELFNYIPLDLKDGNLYDSFDNFIVNNIDDFKNDF DETVQAVKYNWIHSPPQKLSFQIQRVIYCKEKNDLIKQNDEFTFDEEIYLDRFLIENREK YLETRIQNKEFKSKQKKIKQGLQQLTKFNDQHDLQDVLTNTIKFLEMQNVENNEHAANFG QSDQQAAIDQLQQYNQKVLRKKKQLQTQYDELENKIQVSYNDLKKHKYLLQSILIHDGQA NSGHYYTYIKDFRRETWFKFNDIHVGVETKEKVFQDAFGMKTGVNAYLLIYARIDIVKQE LESQIRSYRISSEQGYLNDKYGSFLNYMQREQLAKENQLFYNEIEEYKSSRIIEKLIESY QIRFQFINEHYRIISSKVQSTVYKPLIMLNFPMFIKSKMTVLGKDSYDNILKWVILDSAL RDVNQDKSGIFGGQISENFQNQIMVKFKAQFNEFKRPTEYLSTEEQNEFHKLSQEYIQYV AMASLASILLDLILKREFPMVLSVLHHFSMIAKQLDTHNYFYKMASNFQKLIPIIIICKM IPVQADVNLKELELLQAYLSFQHFRVEDQNFWETQISIMMNAVCENHDEPYVQSIITKFE ANIKDPNFIIQIEQVNDEISVQQAALSANYDVFYWSPNVKQDVLFDKLVSGWNEMKAQFE PFIKIQKAHNQSHNPLMKQELENLIC >CAK60952 pep:novel supercontig:GCA_000165425.1:CT868010:172366:174020:1 gene:GSPATT00031181001 transcript:CAK60952 MILIAASMHIREHRSFSLRTPSIHIRDEDPKDVVKSSICGIMMGVLFMIIFAIIGMVGIF TNIDEEIEYIWMLVVLTFTYVLFIPYFILILQDLRRISSELEKHQDESIVMNPYAFASSM NTISAITNTEKYNLFRLLNVQFFIISLIISAFLINSEIVIGSLLAIVNYIVAATIIIYVC RRCWLGCCNIKIATKNPKRYHFYRYPSPNQVKDSAFAFAVALVTYYVCKLILYVIFLCLD QEDFQYTYLTSLFYAFIMIVQFAYVSYLIKRTLITQQSKKYFKCYMTLFQSLYLSQTTQN CVLKGLYFFSLLCTYIAFFLEKPYLTIKNSDLFGVQQFCSYEHFIYLFTLLQLIYFLLFR SYCVNKEEEQIQIFDFNQVQTQDNRLQWIQNQQDYPIYDNQEADFLYFIHDLEALQEIYD NLIFQSSQLELKMRPRNGQLINLTQWIEDKKFQLQVQGRGFDDEYFANLKSFIKQNIRSK RDAKDKQKQSIDRIECAICLQQLDTKQNITRLGCHSTHKFHTECIERWINAVHKCPLCNQ PA >CAK60953 pep:novel supercontig:GCA_000165425.1:CT868010:174200:176188:1 gene:GSPATT00031182001 transcript:CAK60953 MGCTSSIEQTSMISSKPGRPKITKSMSTSSIVCYRNSKIRENVTILNQGKSQLDSQFITQ MLKKHYIFYQLNEESIQNIIKHMFYCVIKQNHTLYQNINTPSCMFLVDKGQLKTQNFTLF QPGSIVGEDNLLLGSIKFIEANEDTYMWGLDKVQFNKAIQWIISQSFITNRAFLQQQYLF QYFSQNQLDGIAANILPVQYQKNQVMFSDDAFVIIKAGEASLFKGNQFSKNLSRRDTYGT LYKLNKPKNIKVRAVVETQCLILTQPKLIEVIGFNIQKQIYQAIIRHVLIEIELPGLEIE KILEKEQYKLKIYNNESIRQRQIHEDLNIIVDGSVDCEGETLKLGEFISDQFDNSVYVAN GTIAIIQRDSLYIEDYIIEESSDEESIKYKPRFTELQQLQIIRRNSNNIINLVQFKQSNF ILRQINKLSQQKTQQERRMKSQKKIFETLKCPHISKYYQFYEDNVSYYFLFQFIDGTLLS ERRLKLPQIQSSILQMISILEACYKNSIICRSIKLDNFLIDYQGNVYLINLLNAKQTSRT YTIIGSPHYMAPEVLEGRGYDCNSDVWSLGVCLYELLFESVPYGQALDDPYQIYEEILGS SDLEFPDSYQDEQGKSLMKQLINKNVYQRIGSNFDQLKNHSWFSQLDISNISPIESQIEI RC >CAK60954 pep:novel supercontig:GCA_000165425.1:CT868010:176408:178012:1 gene:GSPATT00031183001 transcript:CAK60954 MQKKEEKQEKRYLSVINKILLILAGVLLAYNIKVYYDRVSSSHYEIKGNEIIMKNDNKYL QDFQLASLSKVLSQNSQTTKLNAQLTYNRINDFRDFFASLENLSNLKELSLSIGKNPIVN EQVQAASLGKALAKLTQLNHLNLELDSLFNKQSIQVILKELSKISGLTELRLSLISCDLS GDGLQSLYPLTDIKNLISLELLLIGSQLKEEEMKHLKTILHRLPKLKKLNLNLYANKIQV DGIITLSGGLFQQRQLQEFGIDLYFNNITTNGTESLMGVVGSMENLTSLKLGLEFNYIKN EGGIFVGKGLSQLKKLKDLSVNAASKNFGFDGYEAIVTAIENLPPLENLELIIGVNKCGV SGAELLKQALFKQNKLKSLKINFLENYVGDAGATYVAEGIQYQKNLEELSVNMNQNSLSD RGALELARAVKNSKSPKIVDFKVSSNEITDKGIKDILVLLEQALPKVDLLQVEFLDTALT NSTRDDIELKYGSVDKLQLKLNTIPASNWE >CAK60955 pep:novel supercontig:GCA_000165425.1:CT868010:178350:179167:-1 gene:GSPATT00031184001 transcript:CAK60955 MKQSINHQYQLQQFQYVSTTQLPKVQKEKQKHQPKLDLLKSLLKDQSSCQISQKSQISTN DKKYIRIQKIQVEPELKVDELKEHQWQILSQNCQYLLELSRQILDKPNYELLYRSTSNPR LIEHDQPLKPNVNCNSKQSINNQKNCIQAKFALIQHSKRRSSNKSFNNNNHINVAIADKP FLKKHSSLHVSPTHQSVTTSQTSQSSTKFFFAKLNQVSSNAYNLQRRLKQEQFDEIKQTN EKVLCIRSDFDKFSKMLDESEKN >CAK60956 pep:novel supercontig:GCA_000165425.1:CT868010:179219:186345:-1 gene:GSPATT00031185001 transcript:CAK60956 MLFTLLIVTQVYSLDICRQLNTYETCIASKTEYFHLGRWFPHQRYCRISDDLMQGCDQNL SVKLCTRQISTHVATQALCIFDSICHEINDISKAKCNQNLNKYGCMGITDPQQLCKWEDR QCKYLSAEEIVNLNTNFPNLILSMSVCPLITGYLVAHNNVLDSLTSSDITDYGLLIQQDD MLDVSKSYDEGDLQNNYLNSQGQFIWYVPQKQSQFNLLNLKINDQSRVGCIGLDISDDSM FRTIFSINDQKVIQGVNQIFCQYININPYSDIMSVFVNNQCEIIVPEEVQLRTDLQCENL GRYACQMSPKDMNCQVVEPINYFEKSCMQVETQSANLDCKPLNGIATHLQCSQSSSYCFL YFNGKEGYCDEGCYNLITKNDCFKKSNCYWIDEKLDFLVKCSPLQGCSQLGLSKVYCENL ALPCIWKDNQCKYGDLRILTCSEANTKYSCTNVQRQDQQCIWQNKMCINTITHLIFRNYA QLPDDLIRNRNQCLMQDKALYRFIENKKQCQRDYILDTQDLSNINRYFCLSLQLNSQWDY EQQKCQIVQPLEQCDNRLNINPKLCSYVSNCIYDEIKQSCTQLTGQISCNTVGITKALCI SNLNEFCVWINNSCQNIKIFDECVQLANVSPYSCSMIDIEPCSYNNGYCIANINSNQCQQ FMNKVQCQRQSSECYYDLLDCKQIDNPNLYSTLNCSGLTQSVCVKNQKQPCIWKDNECQF YKSNYFDVCDDLVNEKACQQKIHNGKLNTQHFCQYDSTLKKCLQNVTPIADCGSNLKINL HRCVSFTQQECYFYNNQCMPLSADSTYKSLQLSTLKCSQANINICNKINTPDQICIVLNR GTFSTCIDVSLNQTYSSSFLCSEIETKLSGGPSICSLATDNCYYDSTDKKCLTQTDTNIL YTCDDIISKFLCLNQTKFQCIFIQNKCKQYNNQFNNVDCQFRNIYSCEASGKNCVWDSTN KICQDSKNYCPSTYIQSYKVCQNGRGYCFNGANGCVLQSLNKYLPCNIALSQQLCVNQNH ICLWVNNKCTSYPAHIKYCYQLQTQQECLSIQHLSCVFDNSQCLEDSTLKQRSDFYDVES YQYCYNMQMNVYYNSKQCIQLLGDMQHQQNDINNTFNMHCSLTSSMITCIHQRSSKCTFT QSKCITSKLTSCQQLEDIYHSPLTCLSIPNCFWYSNKVGQGFCYQNQLSCDNILIKSLCL SDLGLNCKFESNKCQSASFTDCDQIENVQVNYKVCQQLQPNCYYDFENHLCKKIERKIIE CNTATNLYDCVYAYQKNCLVGYDGGGAFASCQSISPNSYQLNSNLNSCIQLTDNTYMYNL KTYKCDILTDEKPIEGCSNINQNACQKLTTQFQCIWYNNACQSYQLQDDLQCPQLNQQVC LIYTLKKCIWFNNECVEFSTQSGPSILYSQGYCQINSQFWNSQTKSCYTKNTDLVIVQCE NFGFDKSSCLNQNYIECYWNLSKCTKIMNKVNLECNNISNYNCDTDFNCKWKTSANECQD NSTNNLQCSDYTFKSCIEISNKNCMYNQASNKCQEIPLSSIILQNCNEYLSFQLCRLVKN QICTIYDQVCQEWTPRLFDCLYIQNQYGCMYAPMPCQWLNNQCQHIVITNKVLCKDLPKS YNKMSCQTQSINDCEFNDVLLQCTQKITRMEKLNDDQVGNMLDTNLYLCEDQLNYNDCIV NFKQQCQWSNNACVQSDLTQCLNQSYLSCLNNQANCKWRNQRCYQFDNNENIEDIPTLIS PTVCGIFISGQKVKYSSTVFSCVLSDSETDDCDTIGLSDNACYNIKKSKCQFINNRCSFY SSNVQYSNCAQYKNVNSKVCSSLQISCKYNDLLFQCVSASNKDSCATKGISKTACLSITT EPCYWKDDECSLFVPDEYTNQCDNFTQTNSLACQYIDYQQQACTYDPIHHVCSKIYSRYQ KCVQPGLNKYACLGLQQEPCQFVNYQCTPFKSTSSVCYGIENVNSLACAIQTAQKCNYLK EIFSCYGVPLKIPCNSPGINQMACGLQVNCVWDNDTTSCLYKTTPNINMCFTQTKDSCIQ NRSCYLDNSGCRLKRCFDLNQVECLSTSSLNNEICYLDISNQCQIAKQCEDIVLLNEKQK CETFYFNSTSCYQINNYCVSSTALDRICPQTDCSKSFCVKDNYTCRGPICSDFDQSNCPK NNCAYINEACTTITTCSDINDLEICNVSTVNNQQCSWQPSALRVGPYHCTNKPCYLFGSS WQFCQGNEMSDQTCFVTQYAQCESCEEQTDQATCLDSKLCTFTNNKCKSILCKYFTNEQM CVAQSRCYWSSIDSVCRKNCLKIVEKDQCDSIDYECNWHSFKYICESGVQQNPDLSSSIN DQDVRASIINVVMIIYLFYY >CAK60957 pep:novel supercontig:GCA_000165425.1:CT868010:186511:186930:-1 gene:GSPATT00031186001 transcript:CAK60957 MKNILLISILFCLGLGCDLKIQKFESPKEVILIQSECYVKEIMEVGTDRMIDITHISESQ VTIETFQINEENDYIVKLRDANHKSQEKRMKIVQNTDQLKQIQERLNQNEIQNDYKIAVD NLTSDVIWGIIKGIVLLVF >CAK60958 pep:novel supercontig:GCA_000165425.1:CT868010:187009:188770:1 gene:GSPATT00031187001 transcript:CAK60958 MEKTEAETFKQPLINETSQQFSVKSKQTRKNSQLSILTEHSKMDTHLYEIPDLTQITQKV LCRLIVVSVVCIIFLVAEVIGGMWAQSLAILSDAAHMFSDMSGFFISIFSIWLGQRPASQ KMSFGYHRAEVIGALGSIILIWGLTILLFYEATVRVIEEAIVTDPLIMLITAGFGLFCNL VMAKVLHSSPTGGHDHGNIMHQCSGHNHGHGHDHGHNHNHDHSHGSDDGHSHDKQKQQQQ KKKKKLNIKQKRQQVSENGISMLVVEQSIESESSEQNLTIKEESESSSSCTSNQQQDLAQ KQKQQSREHNHSENCGHDHQQITITPDVDNKKVQKSQKKKVTKEDDHSGCHGKEHNHEQD HKHEHEHNHEHNHEHKHDHNNKSKKNKLKSKNLTEINEHDNYNLKAAMIHVIGDILQSIG VLIAAVLIYLFGQKKDENNQIVFTYWQYADPLCTYLFSILVLFTTFGVAKECLRVLMEGT PQNLIIEEFNDQLKAIHRVKEVHDLHIWSLSVGKPAMSAHIVCIENPEYVLRKATKLCRQ FGIYHSTIQIELYDRQGANDYIRCNHNIHK >CAK60959 pep:novel supercontig:GCA_000165425.1:CT868010:189301:189771:-1 gene:GSPATT00031188001 transcript:CAK60959 MLYKNILTSIADSPQHQQQTVRQIQMKNLRYGMIIRIQESKKQYQMINNLLPASSYNCIK LDMGIIYVYSRGFPGQKQPIQNEEVIKTAISYASSSKKLLKKYFPSRFVQFEDQIDYSQP YDIQKDEHFQTFNKFFRNKKLQTTLKVSQHKQSQNC >CAK60960 pep:novel supercontig:GCA_000165425.1:CT868010:190167:191068:1 gene:GSPATT00031189001 transcript:CAK60960 MGKFTKDKRVQRNSSYSKQVFYNIPTTYIFITITALFTIFRIFIIGKQKKINLEPDLPIS YYRQMIPSKYLDKQREQLIYVLHLLSEKNYLPSESCRIVSVDLQEMAPLDHVTQIQGDIT KKTTVDEILKKFNYQRADIIVCDGAPDVTGFHDIDYYIQSQLIVAALNICLMTLRENGIF VAKIFKGSDIKLLYSQFKLFFNQVYFMKPKSSRASSVEYFIICLQYTPKIQTQNFHLYTF LKEIEEAEKQKQEEIIDKETENEQSKYYKFITCGDLSGFDEN >CAK60961 pep:novel supercontig:GCA_000165425.1:CT868010:191090:191383:-1 gene:GSPATT00031190001 transcript:CAK60961 MNNDLGILNNRFHMKAIQKRKRFSSVDQIQTSETKQVKKKNEYYQRWLTTQKESIQKTNS ESNIESFTPSTPQAQIPTKLEPKLLKDIMNKYFKAEQ >CAK60962 pep:novel supercontig:GCA_000165425.1:CT868010:191971:193628:-1 gene:GSPATT00031191001 transcript:CAK60962 MGCVSSEETKALAFDDYQFLKTGTLSIELTQLIFVVNNLIRELKVIESSYSALQRLQTYL VQVSLTANQSLIDAFGFWTRFVSICNLGQGKANGVFYKLVEDGRNLSYNLGLNGDLKLQW FGEVLNDYFKAIIDITACIPIIIEQLKFLQDKINHLGSNLKEKNQVFKHNMLLLKFNLAF TKIAYIRIMQYNEEIEIFKQKYLQIGESADEQGLKLYQKFGAKQMNDRQKYRMKVKINLI MEQCYHGEMRSEIEYDIQNKQKEKRKTLKPAWTFGDDIGIGVKKFPFRVRWTGCNCVDHS FFIISNYLENHSKHLLRIRKLSVFLRYLTQSYKTEEDTLSRAWFIFCTHLTPKERMIIQS NSSILDGLKKFKLKNTQAEKCRQYFIDYIQLLDQENLSQLQLEWQNYLDGQIKVLSLWSN NQIFSTNEFQALKVSDKYYALTSMSKNVYALQSYYPIATQIYNSVYQKKLQKGQAFLAQL SNIYNSSVHNPLPFDNLYTTNQTEDDQAKVKNKEIKFKYAFTKFESKQ >CAK60963 pep:novel supercontig:GCA_000165425.1:CT868010:194134:194549:-1 gene:GSPATT00031192001 transcript:CAK60963 MQEDYKRELENYTQLWKVYTRNSLEQDFRNSLYSTLALVFVPSLVNVYYIFRQSVLKNAV RFGSVISCLMIFHYNLNKDFERFVQKDSKESNLARLYMQNISKTNVIFPYFGKETMEIIK QREEGKKQQ >CAK60964 pep:novel supercontig:GCA_000165425.1:CT868010:194821:196432:1 gene:GSPATT00031193001 transcript:CAK60964 MKQIQINFSDLNDDAKKKYIKFFAENHISVENQPLKKSSMPQQQRARQFSTLSDIANSKA FTPAKSQKQVSQHIKTDHSVGAKFSDLEETYPKIMNKISNKDLLSRPLKLSFNELSLLIE EIYQKKFIEDSNQIAKNQKVQELAFVDYVYEFLLNKFKHSKNQVRVDLFQQIINFLASVD LHALKRKDVNIFQQFLKFNKQEVLTFYLFTRAVIQKELKLSFYHPLRKQGIDSNQLQLNQ KQVQSISLLLYGSSDKYQEFKKYIPAIGSISVSEFSFAAILIYEAQNQTIKQRPFQQQPR SLSPLQFASYTDTFEQRQPDSPLFMQSETTVENNKQQNETFFSSVVVQSVHSQKKPRWKV QIKFHRMPSAVNNVQKLINEKLETKLSDFIEEMLSEMEELTDDQKKQCVSGIEERIVDTV AILLEAIYKFDKLLWFKKLNKQPDEVGLEYIENLQKLYRSLVKAKQPLDDQLEQLCQQMM QTPDLAKQIGNELLQYFYNCE >CAK60965 pep:novel supercontig:GCA_000165425.1:CT868010:196463:197153:1 gene:GSPATT00031194001 transcript:CAK60965 MNWGNPYNWGAADWQQATWGERFMFIDPYFWSYFGVGLALATSIIGASWGIFVTGVSLLG STVKAPRIRSKNLISVIFCEAVAIYGVIMAIIMIGKIQTIESYPDGQQDECYTTALFNGY SLFWTGVSVGLSNLICGIAVGVTGSGCAIADAQTPETFVKILVVEIFGSALGLFGVIVGI IQCSGATFLKNCTS >CAK60966 pep:novel supercontig:GCA_000165425.1:CT868010:198605:199313:1 gene:GSPATT00031195001 transcript:CAK60966 MAFQQDYDYLFKILLIGNSAVGKSSLLLRFSDQIFSESFLPTIGVDFKIRTFDMQGKSVK MQIWDTAGQERFKTITASYYKGAHGIILTYDITDKQSFKDIENWLAEVEKHASENVVRLL VGNKADLESKRQVTYEEGKELADSLGIKFIEASAKANTNVDQAFMTLANNIKAKIGKSED KPTPGGKTPAGNLKNTSPAKKEGGCC >CAK60967 pep:novel supercontig:GCA_000165425.1:CT868010:199317:201361:1 gene:GSPATT00031196001 transcript:CAK60967 MGFKEAFTRQGDGENLLFDDDAFIYFAISILTLVILPLVYSVIKPLFTTYVFGEDRRKFA RVPKSSNDQQNLQLAKQELRLKWLTKGFVVKIIILVALLLLLNASIQSLPKADKIKGFDP YEILEIDPSATEQEIRKAYRKISLKLHPDKNPDDPQANQKFILLTKAYECLTDEDKKSLC MKYGNPDGQQSLSVGIAMPSFLLKKENRAAFLAVIFLLLLVVVPIIVLYELRSIGKYDQN GVMLSNQEKFERGLEENLLIKKGVELSSCSDELCRLRLKTEQQAIALEKLVNELKEEAEL RKIQKFEITEALEQSKKQKNKKRRVTISIAMILIYAHLFGKPIPDSVKSLYRSTIKIIPK LVNSMVRLAFEFSMKYKVIQWRQRGRFQTKFMGARCINNILQFSQCIVQGIYETDNPINQ IEFFANKAKDYIKKGKMPIFQELVQKSVDQRVLPNWVPEEFKDQIMNEINMFPQLDIKHE VTVDDESIVEQCNEDIFSIKITLTRLNTPEGEDIPFAHSNRYTYVKEEGWHILITFQNEV FYYAQLNGANRVQSTEFKFQPKMYFGDVVDFEFVLFVISDCYRGLDAEIPIKFKAKKTSQ ISRAVEYHKEDQELDKSLPFIQSMLFPMQNKVEDSDSEDEDQEKKEDE >CAK60968 pep:novel supercontig:GCA_000165425.1:CT868010:201489:202932:1 gene:GSPATT00031197001 transcript:CAK60968 MSAFKSCPSWQDFNLQFSLEQVLSTNFLLHSLYCERNIVQCELCDQRIDLNEKEDHMILH KKKACPYCQENYEQQILEKHQINCPNKPLLCCYCDLMVNQLEMVQHQVKCGARTEQCPLC KKHIQKKEYDIHVSICQLPSPSSPKRARLQQVLCDSSDDNSVQEVKQNPQNRRPLKKEPK SYALKQKKEQKDQKQQFQKPFLDDDEDEELQKALYLCVRFKALHQHRHLSHPIYHTPKSQ LQRSFSLPKFFVFNPRKQFHGIPQKMRRKSCDCKECGQMTNFQYRNMNVPFVLKPFRIRR QPSLKTNRLLTAQNTKKLFRKFSASKQDTLVCTSSSQLILTSLRRASARTTEKLIEDTQV RILEPPKSCKSHLLQNTNKPEMTKITLQIPKSLRIIKTQPSEHSKIKLPQNLRSLQQIYN RNFLCTYLTKYKKSKTQINLSKY >CAK60969 pep:novel supercontig:GCA_000165425.1:CT868010:203672:204786:1 gene:GSPATT00031198001 transcript:CAK60969 MKALLILGLVIFTQAVFVKHASEPHAAVFAQLEALEDHPLGKKILDTIALQMKNKSPLSD IAKMLQDLRENLVLQQQDAELVHVAQEADCEAEIYGYNRRIDFASNEITEATIDINKYTA DIELLETDIANKEQQLEILANQEEQLRQKRAEDHASFEERQITTPKVVEALDVIAAKLSA IQPEADAEAVLAELERVGGNNPILALVQLASTFSAEKLQNVQDKIAELRASLEQSIIDDQ EEEVQAQLNFEAQIVEINEQRAALQSEKADSETKLGQAQVQLAAAKKRKYDAGRELDSAT RGKVAKEAECDAWRTQYARDTEQRTVEIGIIRQVEQILATKLEGASSYIKGRIN >CAK60970 pep:novel supercontig:GCA_000165425.1:CT868010:205210:205614:-1 gene:GSPATT00031199001 transcript:CAK60970 MGNNCCSTSIPATQTEEEIVSSNQQESITKSLGDSLQIANFKELIQDHCQLYTYQDGDIL LSSRPISPRQQHVIIYLEPKIVKEEEIKFQQEGMKGILSARTYDQYPESSCSSINGKEKH QKKVQFRE >CAK60971 pep:novel supercontig:GCA_000165425.1:CT868010:207623:209065:1 gene:GSPATT00031200001 transcript:CAK60971 MKSLLLLSLLAFAVVADYEYDGDVMVLTEETFDQAFNEFDYLMFEFYAPWCGHCKELAPK YAEAATALRPEGIVLAKIDATVQKKLAEKYGVKGYPTIKFSAKQAVKDFEGGRNADGIKN WIYSNLNPESELLDTLEQVNEAIAQNNVQFVYFAEEQSEKDRELRKYKEFSFTMKQHFAH TTNKTIREALNVPKGTYFVGFRNQKPYYYQGKLSFPIMKTFVENVAHERIQEYSDKKEQL DERITKSKPFVVVFSDEPEVQAAAQAWSYQDELLFIKNVDEEFTRKIQVRSQDQKGVFII KGDQRYKRVQGQSVSEFLEAFQSGNAHKYLKSQAAPQQQGLVRILIGDTYEATRSVNDSV VLYFDSQNEEHNAVQEQFLKVAERLQQNKQLTFHKIDLSQNEVSDLAESVEIVKIRLYKN NQPIKFVIRANKIQEERFVKWIVDNAASQVVDPKVEL >CAK60972 pep:novel supercontig:GCA_000165425.1:CT868010:209130:209854:1 gene:GSPATT00031201001 transcript:CAK60972 MADQLVVGYWGIRGLAQPLRYLVEYLGLPYEEKRYLKPEEWFGGLCETPFNIHVLVNLPY IKDGQKYVYEIAALYVYLAHKANRADLLGSTPDEQVAVAQVRGVIQDALKGFFRLITLPE DQYATQKEEVFANEINSLVTRQWAAGANLTYIDFDNFELEETLKAFNAEDWAKFHNLQKH HQEFQNLPQIKDYMASGRFLAGPFYPPGRFRWGF >CAK60973 pep:novel supercontig:GCA_000165425.1:CT868010:211031:211563:1 gene:GSPATT00031202001 transcript:CAK60973 MASVTHSIENSPTSTMSQAPKIKQESISIGMSWKAILRKKNQTKRPNQKLTPRLSESIKS KNIPKNVAKAIIQQILNNNVQEQIEEEEEFLKFINKHRKIQNLAYLMKITRPHKLEKLNK LHKQFRQICWNFLKKQYVPYIFNSRIKNPEGHLQYRNQLMKVFAQKQ >CAK60974 pep:novel supercontig:GCA_000165425.1:CT868010:212100:212994:-1 gene:GSPATT00031203001 transcript:CAK60974 MMQLRSGTLKKPPARICMSSYQVFYAEKAAQMKKDGMMKGKEIQSKIAEMWRQIDEEEKE KYDDEFEKMEAKYKEDLLLYYGGSAQDLKKYKALLEIPEKPKKPVSGCLVYIAENRRAYS EENPDASFGQVTKALVDRYNQLSNKDRKKYDDEFEKKLEHYHKQMDEWSKKYSEKREQFD QLIEEKFKRSATRQDLQYQELPPYKRGPKKMKDDEETAQQKHEKEDQMKKEDKKMDDKDK ISKKGTKNTKDEGKGQSKKMNEKDAKDKKDMNRKKSMGRRC >CAK60975 pep:novel supercontig:GCA_000165425.1:CT868010:213816:214034:-1 gene:GSPATT00031204001 transcript:CAK60975 MNTFNIDKNKYVKKAKEKKSQNKISSNPLLLIKIREEDFDDLNTSTSPHLSIPIFSPTKF IFPSLVAIQKVK >CAK60976 pep:novel supercontig:GCA_000165425.1:CT868010:214291:215500:-1 gene:GSPATT00031205001 transcript:CAK60976 MAFISDTGLENLKKYKYVSGGYSYLDNKINPFWIFVSELYPTWLAPNLITFIGFITMILA CIFQVFGDMTLTQDIPSWTFYFMAFAIFAYQTLDATDGKQARRTQSSSPLGQLFDHGCDS FIMQFFIIGAAQATLMDRDTLFYFQFFCQIGLWAINQKEYYTGVLHTHLANFGVTELELV AISVQLFSAIFGQSAWHNKIFGFNLYKIVTTTILGAAVISDIFLFFSNIFKSQKPLRVFS EWIPLFLFWTLQFVWFSSPIYSQLAGPLLINFGIILSSIVCKTIVCSTTKDQTPLFHLEM LPFIIISLLTFFIPFSLEHLKILFWIQFISTIVLTLLFIKNVINQITTYLNISCFTIKKK EN >CAK60977 pep:novel supercontig:GCA_000165425.1:CT868010:215602:216156:1 gene:GSPATT00031206001 transcript:CAK60977 MIRNPQNPIVFFDVGRIRMELYADVVPKTAENFRQLCTGEYKRFDKPSGFKGCQFHRVIK DFMIQGGDFVMGDGTGCVSIYGDKFEDENFQLRHDEPGLLSMANSGPNSNGCQFFITCTK CDWLDGKHVVFGKVIDAGSMQVIRRIETTPVGPQNKPKQIIIIEECGEL >CAK60978 pep:novel supercontig:GCA_000165425.1:CT868010:216214:217793:-1 gene:GSPATT00031207001 transcript:CAK60978 MLGSRRVRSHESSLTNLDIYNPNSPVRVQQHIDHHQFKTQKCQIQHQINQKKYCPFFHDE TDRRRDLKYYSYKCQLCPQADNCPQGDECQFAHNKVEQVYHPNRYKTKYCTHIKECDYGV YCSFAHNDQELIIPVKLDGMVQDKNFWMFQYKTVWCPLTINHDRASCVYAHNAQDFRRDP RKLQPKECPHWNKTNQILNYDKGGCPDQEDCKYCHGWKEFEYHPLIYKTKPCTQSNCTKK LGECAFYHSDQERRVRKQLPDNSWVIEEPNVHIEAKRQPYKPTSNYVGPIIPNYIPQDYM SKEKLEIGQPFCQQSITNTKTSDSNSRRGSDFSDGSKMQKKKHNAQQQFQSQKKHRTAPT TPDQKQLHIMGNNYTMKVQQTVQSNSHLTYSKKLYEEILKLNEGEYIYKILQGLKISEQK LMQMGDQQIKQLNLTEQQITQLISALAAIKIEKKYDEHCGDELLSLISNQGKF >CAK60979 pep:novel supercontig:GCA_000165425.1:CT868010:217941:218586:-1 gene:GSPATT00031208001 transcript:CAK60979 MQRDLTPQDVLPLIVEYLKSIGLKKSAKQIDSLYEHDETPMANKDLSKIIKYYIDGHPKL ARKFVAEAEIEEQEEETEQQKQEEKSNKKGTKPLLDKRTFTKIDIAQINEEVVEQKEKKK FFQKCDDSVYDILPEHFKVNAFEAKKMFGEGDEYGEFGNEKLKFTRGDNFKKEKGKLKNR QFQGMGSINLNAINSIKL >CAK60980 pep:novel supercontig:GCA_000165425.1:CT868010:218996:220370:-1 gene:GSPATT00031209001 transcript:CAK60980 MEDLLDICVERQFHDVNYIININANVETLNIEIESKQSGDSWIANFQAQYIEDIASKTGN YKKYATFIKMLQSALNNQTETVYIDILTYQDLEQIKNKRSNKQPTQNLAPNNKRYLILSY VVEFDKVHYPLPLNFNEQPNLQQMKNTIIRLRKENESLHKNLQFYKDQKRNESNPSILLN EQIRELEMLRNIIDQKESEILELKNMNHQYSNTMINRQEYNDLRSKYLQSENTNSELSKK MIEFEDYLQQLIDENVILKNQDKKNKQRINSLESELQQTLNKSKSKYNSNLSRSPSVSKS NNKQKNQLNTSNIPSKRKSIEKTTPIRLRKDSLDSDTESSYRRKSNSKRTEQSPSARSSS SKKKSFQPKQSNSKLQKNKNVIKPSDSNKFEDSEEQRLIKRLRDLREKNKENTIITTPQS KIEATTEDLQSIDVRLNKLNTLLQLAKNQ >CAK60981 pep:novel supercontig:GCA_000165425.1:CT868010:220500:221820:-1 gene:GSPATT00031210001 transcript:CAK60981 MQHGKYRVALQFFGRFKNFLETNNLKDKEWVDVSRRIVYSNLQLRRYEDAEAQLEEIIRQ FLRQKANYALVYSAYSDLLTHCLKYNLNKAILLGKALLSEMQRENVPLGYQKQFQYFLGT AYLLKENYTDAKSRLRECLASDPSNQLKGWAYNSLAVASWWHKFPSLREFVSDDEEETGP QQSDIPAENIDADFENVIPLFKKSIYYIEHSNNQIKTGLEWLLNEDLLPQDRTNLTKTQF KSLHVGKPLLNLSEFVLNKAPSKRAELQFWLKTTINFYEEQDPTNMDRSLLFLAWMCSTN KYFDRAESMYRIVLQMLENSDSYNKVLCMQLLGSMLKKMPNRSGEGECKQQSY >CAK60982 pep:novel supercontig:GCA_000165425.1:CT868010:221984:222960:1 gene:GSPATT00031211001 transcript:CAK60982 MTQDEFLNEYISKNHRISEGYAYAIQASWYRQFERFLQNPSGVDVTRFNKIQNSQIAEGT IDMSVSLKQYSLPPYQQINYSHVYGLKPDAKFQTDFYIVSIDVWHFFKLYYGSDYDVIVF VTQQHPQMNNYLICDNFEEGLCICREIINVLFVIVFPRNISVMQAILTPISPWMDLIKFR AFLFAMHNFGIDAIKFINEGFIYFNNKKVPFKGNRKLYDIGINKDIQIVMACQNLLMQDI EEEIETDGEEQKLEQNLHNKQEFLEILEKTLNEQSVIQLHIKSIEEIQQSIDQNDFFQV >CAK60983 pep:novel supercontig:GCA_000165425.1:CT868010:223792:225134:-1 gene:GSPATT00031212001 transcript:CAK60983 MKYLNYDFISSDHLKYLHTYRYKGSDLSLLYNYILSPIAEYCLKFVPLNVAPNVLTLLGL ICVIIPHIVFYFVMGDTFNGFIPNWLLWFTATLHMLYMNFDNLDGKQARRTRNSSPLGMI LDHNFDSMIILLQGTNLTTAMQVGNNIFSVVLYIIPSIPFYLIAHEEYYTHEMNLPIINA AAEGTISVAIFFAITAYFGCDWWLTKLPTLYELQVNHFVLVAFASSVLITMPSVIFKIRK FTTASSLFKQLRYFLFCNAVILYNIYFSKTNAIEQHVRAYMYTIGFTMSKSVGIVALNHV CNTPLPAYLNSIYFFILLLINTISGQLLGQPILNEGLLIQFIALSSIFIHIHFLYNIARQ ISNELNIKIFEINKPIK >CAK60984 pep:novel supercontig:GCA_000165425.1:CT868010:225580:225897:1 gene:GSPATT00031213001 transcript:CAK60984 MNAKTCTRETTKQFLPLFNDIDLFHLVGMFLDILQLHYTYQQIINNKISSFNQQFQDIMN SWNLIRNTIGLFGSAVHEGQTIIGTELAPRVLRKGGLISALYNLG >CAK60985 pep:novel supercontig:GCA_000165425.1:CT868010:225903:226280:1 gene:GSPATT00031214001 transcript:CAK60985 MQGKGFRTNNVKSKLFKNSQQFGNINGEIRKRVYEIASKQRFMLNIGGDHSVACGSLHGL LEHYSYELRVILVDAHANCNYELDLNRNSHGMPLGHLYGAITEQIKGFEWLKQRLDTKNI IYVGI >CAK60986 pep:novel supercontig:GCA_000165425.1:CT868010:226293:226720:1 gene:GSPATT00031215001 transcript:CAK60986 MEIEFIKDQKIVHYGMDEIIELDIGQLMTLILKQFEGKPIHLSFMSIQLIQSLLMGLEPW QTEGSNQGKFIKFAESRYLIDMDLVEINPQLEKTPELREEFLGIFNKYDIGRIQGTQRVA LGIELIASALGRTLVL >CAK60987 pep:novel supercontig:GCA_000165425.1:CT868010:226815:227855:1 gene:GSPATT00031216001 transcript:CAK60987 MRNEIDYQYNFKMLQKEQQDKFKEFLEKRNKGKLYSKYKKIETEAKICDLVFDDQNLIAA HDVDIAIYHIDQKNQLQSELIENLHSYLIYSICFSKSSNMFFTAGYEKEIKLWQKYDNQW KCVQILNGHKDSVIVLLLNKDENQLFSRSRDKTIKIWQKQDEIWSCIQTIHAPSGIIDSL CVSPQGNALSFGCKNNLFIWYNTNFNKWRKYQAIISAHTQLIESVCFMSDDAQIASGAND IKLWKKCAVNQQYRLTQIISTLGNVSIMEYNNFNSLLIAVTNKKFVQIWKAEDDSIFSPQ QTIQVEKSDIFYEETQFYEVVTMSQNGQFLALKDNYNDYVDIWKLN >CAK60988 pep:novel supercontig:GCA_000165425.1:CT868010:228090:228644:1 gene:GSPATT00031217001 transcript:CAK60988 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGATGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK60989 pep:novel supercontig:GCA_000165425.1:CT868010:229276:229563:1 gene:GSPATT00031218001 transcript:CAK60989 MTFWTIGILTNLFEILRDLIGNFSSLKKYSKSAEYKEIHRRINTNCLNLIKNFCDLIPAG TGSEFFQKIFASHPNHGVVGFAGFLAGAISTYQTF >CAK60990 pep:novel supercontig:GCA_000165425.1:CT868010:229592:231376:1 gene:GSPATT00031219001 transcript:CAK60990 MNNYPQSGNFQVFPIPENIKILQNFKRWRRTGENLNGAIMIGLLEHFQINSQSEKIQQMI NNMQSASDEQIIKMRNILNNSLQYSKGQQQQDYLIDQLKQQDPFNIFPSKFILEYLKRVK GHVSDEAVIPVLKTHFQVNILVINDDYEKKAYTILDPKDRDQIILCNFDRYYYVIQKEPG PQLYKCQFCQKQISEDYHKMKCNQIICYQCLKKFFEKGNSIVQCKEKNCTQSITLKEFQN IQNKQKEMEKSQINQSNIQKTEESQQYYKTYCNKCKNRMSQESLLLPLGCSHSFCKSCLQ QCFSLLPSGIVTCIQTSCKGKFIQKDLDSFIKGQSFKPIEPPPTQINKNQTQNMSQYITP LGNQEPVYQCEGCFKDYQQSSIYTSECLHKFCKICCDKEISKNISCFQCYKVNCGYKLKI EDIQKYFYKKIKGKTFGECANCKQECKIFESFQNSCYHLICYSCVKQIYTEGLNPQCRQC KASIISNDLDDYYLPQASQEIQQFETIETNIKPASCTFCNSPFTDYNLQQDIPCSLHTLG SCCVIFPSNCPQCQIGSLIIEKCNLHINFLEKLKFYLLQNTMDRFYFASESSIK >CAK60991 pep:novel supercontig:GCA_000165425.1:CT868010:232897:235362:1 gene:GSPATT00031220001 transcript:CAK60991 MKGNLSQKSWKLRQGTQFNQFQDLLNGCVAHNLTKRKELFESFEVEVEEKVPVLQDLDSV RVMTEQMQTMQKNLQSKYTLIKRTKLLFEKRVNQLRETLMNIRVKDFEVNDDSVIKELHQ ANKRMLEFQQLSEKFRKNQLKRQEMQQKELEHEQEQLRKQQQHILELRMENQKLLDKIQQ LDLEEQEKRRRLHECFQTSEYIEFFTEVQMLQQDKEVSTNSNDQSRQLGRDKQSTRHEIV TMMDEFYNNQNIHQLSEEIKEFYRSMVEQLKVQTQTYNALIEEKALRKLQLEEVVEEINR LKEYNCNTSQQDISVINQDGSITATNMEVPQVIHDNNQLSNYVKQNQMCLLTIHMKAIDM ISRLCIGLIWLSETSKMVPKSLDNLCSNYLKGHSKAEIKLFIPKKYMGNPKPQKYGSFIS IINRNKNEISPKGSSTNIMTTTMNNQDKTFENEQLIQCLARFKQLKNGYEQIQLTWMALV KNDLLTYKFVKDFNFDQIEINQPVQDIILQLHIVYAELISKINYFQHYEYQFAYFRDIVK MANQSKQKIKTNIFNNSHKLCDSTHLSSSYTPNKYIETTYKGQLKRLTNPQLEEDEPLYT QDNVKKIQKTSDETKFHQFFIQQSSIIQRKSPLLHEKLISQTLQTIDQMRKKELDDNYQT SDQAASDNDYLNQERRNLKGMLSKKIQQQQQYISKSEEKDLISSRSIMKQVSLLSKISHL SRVNSNQNYTQVNKSIQRLNQTVQKKMSEYFTQDQNYQENRIRYPPIEKKVSSRSPEQKK KSIIDTFHKDLSSMIMNSTSNGRSFLA >CAK60992 pep:novel supercontig:GCA_000165425.1:CT868010:235506:238798:-1 gene:GSPATT00031221001 transcript:CAK60992 MGQSQSAQQQQQQQREQPSQPQLSSEERALKYWASKQKEAEKNIQSNVNEEESMNQKETS SQQQVQQQQHQYQKVNEIEEKEELINHDQSSSQQFIKQEIIQQQVQDEQIEQYFENQVKE PQNEMIQLTPEEVEHVWLSKIFKIDSNNQVMNDRMVDFIPKDKRITVQYLIDELCYSFLT CAQFKEQEKFEYIMEVLTNITDVSISDHSDQVNLVWAGNIEKTKYFEILNYVQGQLITCI LYPEGLEWEKFDEDSNRMDDKRNLRATNFYDRIFNSNQLLFCQQVVSNLLEYLNSKVSAD DVQKFMDLMFKRELELNANWTLDSLDQPQKTLKLLLIIAEYPNVIEVILLNSWAFGKFKL IQIGKQFQNYSIIGKILSGSCFYTDSMIVNKFTGDYSSMTILRDRYRTRIFNLNDDVCNL FTRILKHKSNVLKMNFYNFISTIITLNLNLEKQFNQGLQQQCSSPGMVFNLHYVLLKMFN PWIDTQDKINSRIKNIQTQMIIVLKEHPLFSTLYQNIDLLAPDLTPLQELQELETRMDPM TIMFLLSQRINHIVATNIDQFYYNRVLSKLRDIAQQYGQESPQFRDILKLKMSFDAQILH PKTITNTMQFLSFSSQLALSMVDENDKPKYPYGLLPSSFVYDTHSFFVVYNYNDEILKHS NELGKCCEFAIFAMNTRNMTNPHLRIKGIELFHIFDQGRMNRRGIVQPQSYDFIFRYNEI IEKHMIGGILKVFIDCERTGEGNQFYEKFNFRYQFCKLIRFLLEKHRDRYNSLLTQTVEK EKEMFLAFANYYLNDMIFLLDECLTRMKRMKNLESNQQEFMDNKQEYLKIQQELKTYTIF LQEYYKNIQVFSEVQPEAFLTDEIRDKLANNLNYTLEQLNGKQAIQYKIQSLESVNFDPK LIMGNVIELYINFSQNEKFLMQVVKDDRCFSIELFQVTINLLDKHHIIPYERIQQFRDLI FKLQEYEEKQKIINQLPDDVPDEFLDPLCYSLMTDPVKLPHSNVVLDRLTIKKQLLNQQV DPFDRTPLTIEMVIEQPELKQRIAKFIENLEKKKNKQQIKIVQEES >CAK60993 pep:novel supercontig:GCA_000165425.1:CT868010:239331:240532:1 gene:GSPATT00031222001 transcript:CAK60993 MQFHLRHSSFIESFLTQFGPEKQNQVIEYLIIMGIDLANKTGTRNSKELYQLLKQLSKNI QEQTSGDIKNEIKTIQKQLRDLNDLIQISQRENRNVQGTHKKYKQVNENNSNRYEQYENN HLHPPDYQNTYHTYNTQRSQSKSPVHHNDRTPHKLKHSRSHSQRNLTPKITESPPPPQIV YINKMHNKFDIKPQFDDRQIKKLMYGDPKNQDRGRYYGKSPSPQQLIYSSQTSGGLSYRQ YPGQVIHNQADKQKQNTSPKKLPAYLQNVESKIKPLVDQDKLQYKEIQKDRENNNNHNNK QEGTVKKLNNNSLQQQNSAETDTFFDIQQYIQDQKKYQFSPVQEMNDDGRNEDEEASDTF SNFSPPNEEVKEFFQQEYLQQRR >CAK60994 pep:novel supercontig:GCA_000165425.1:CT868010:240565:241182:-1 gene:GSPATT00031223001 transcript:CAK60994 MQGKAKKGQRKAKAGHSFRQELSEKQKKDIKEAFDLFDVDGSGCTIDEKELKVALRALGF EPGKEEIQNLKQNLNNNNDSKENKNTIDFNEFLQIMTEKMNAKESQEEIERAFHLFSQGN DNFITFENLKKVALELGETMSDDELKLMIQEANSKNPSQGYVTKDQFYDVLSRATNQ >CAK60995 pep:novel supercontig:GCA_000165425.1:CT868010:241359:242406:1 gene:GSPATT00031224001 transcript:CAK60995 MAELPKSYLGAQVIEPGKPIKVNEVQTPELHQGEVLIKVEAAPIDQLDLVSAGPVNYMHK QYPFVLGAEGSGVIVAKHESVQNLEIGQKVSFLTLSQFGAYGQYAVAHISLVLPLPENLS FEQGASAIGNPVTVMLMLEEAKELKAKAVINTAAASSLGRQLLRYFQNNGIEVINIIRRK EQENTLKQDGAKYILNSSDPNFFKDLEQLIAQLNVSLFFDAIGGEITGQIFKLLPSGSVT LIYGMLSGSNFEIDAKEVLLRGKTIKNFSLLTTKHAFNPISNKEALEKLYELLQTQLQTH YHKQYTLDQINEALEDQRQNGSIGKVLIRPNK >CAK60996 pep:novel supercontig:GCA_000165425.1:CT868010:242660:243391:-1 gene:GSPATT00031225001 transcript:CAK60996 MGQDCCSQRRHEQSSLGLVNGVSYRKSIQEVKKRISHIYEEEIVENVDDCLENKGKDNRE EQIDNSNKNPIFQVVNQEENVPTNDQQVIELEKSEEKNCAIPEQEENQKEKEQQVVEIKR ENINENNNTPISQVVIQQPAVPSKSIENELIDQILTQNKLESESISFSSNFDTVSQTNVS KIEQCLKARQVPGKLSQIFLRALERKILGVQETKDPKEEQIVQVIDRPVPKVRPRKILQL SEL >CAK60997 pep:novel supercontig:GCA_000165425.1:CT868010:243853:245515:1 gene:GSPATT00031226001 transcript:CAK60997 MMSSHNQEQLVRSRVQILMVNLNIALGSLNIGYVVTYLTLSIDTLFAVLDINEEEKTEKL SLLAGILPLGNVVGVFVGYLLKQKFTNKQCLHIADLIGITSLLAVISNYYLIVVIRFILG VSNGISSYLMPVYIKSICPQQYFGQFSMFIGYGINTGYAIGQLMGIGYIEYSGPSSNWWR VVFLFPTVICVIRSCIMHFLYNYDSPEQLIKRGNLEQAKYVICQIYKDQYVDEQFERYKI LAAQLQLQNNQSFYHIFERKKLITLQTGIISVFLQIWCGVFAVFYYSAQIFSDMTNDDVV QKTIYTCCLGLSGFVSQFFTICMVNKLGNKYILSIKFYYSKVIGSFVIGSLNLAVSILSK HASEGNDVTIFVLLLLLIMTFGSTLGPAAWSIVPQLNDCEGTFLATELRWAFQAIVVFCY PFMEKNLNIFGSFLLFAIIDYMYFIYCHFFLIDGRGKTNNELIAAYHKKFSYPVVPITNE QSENLQSDLQSRQNLQQPNQQQGNFTNQREL >CAK60998 pep:novel supercontig:GCA_000165425.1:CT868010:245955:246722:1 gene:GSPATT00031227001 transcript:CAK60998 MIGVLDIGRIQEQRDKQKIPPKIKEKTHVSTERLRKLDVKDIPLCAICCLYMTENLAALV PCGHTFHQKCLKQYSKLECPICRKQNQQSILLHFSIELNKSQSQEMKQNKQIQNKAPNQY NKQNQEINKLKDLINKGKQSIDLCISQSAISQQNDTIQNQRLKSCELQLKEISKTLMDIR NLMDNGENSERQIKKEQTLPQLVQKFNKTEQSGFHPNNNDRYAKFDPFTKMLNIGQPSRS NQFENPPRNNNYVKK >CAK60999 pep:novel supercontig:GCA_000165425.1:CT868010:246785:247230:1 gene:GSPATT00031228001 transcript:CAK60999 MEPHKQYCKISKQDRRQIIHEVLVNKKLILEVAREFKVLPSTCKSIINTYIKEGRIGKKE RRIRKLKKVTAIYTITLNPLNPLSSTITTECEIAEVEQPSLQSNFQQQELPQKKPFDFLS LWTENYVQDYKKYKLGAKYH >CAK61000 pep:novel supercontig:GCA_000165425.1:CT868010:247278:248259:-1 gene:GSPATT00031229001 transcript:CAK61000 MLKIEEQNYSRQLIKQDKELSQFIKYLELQNDVTEKNKEKEFRMKQKESIILGQRSEMKQ AREFQARLKQLELSTIREKYDESLTMKNKSLEQRLFNQEQKHQQILQEAKIKREEQATLR SLHLRDSVDQAITKANQIHQDKINYFNYQQTRKDQYLSQLQSEKNIKQLEKSMSFQIKQE LNDSNYKKAQDILNERIYKTMNKLNQKDSLSQQIQIENERKLNNKLFSQKASLENGLSNA DYAKARFEQNLNMKKSMTEYKMNKLDQMIREKQLLQQQEREIRVMVDKRKNQLKEEFDRK MNLLQKQKY >CAK61001 pep:novel supercontig:GCA_000165425.1:CT868010:248286:248767:-1 gene:GSPATT00031230001 transcript:CAK61001 MASPSQLNNNHDHNHNHDHSDQDVQPQQKAQPQSMQEQIQQKHKEIDNIIKSNQVVLFMK GTPAQPRCGFSYYAVQILEFYQVQNYHSVDVLPDDLMRQEIKIYSNWSTFPQLYVKQELL GGTENIMKMHKDGRLKELFNTI >CAK61002 pep:novel supercontig:GCA_000165425.1:CT868010:249174:249775:-1 gene:GSPATT00031231001 transcript:CAK61002 MQIKKRHSVNPELQLKNLNGQYKSVCKSQHMIVTKDIQPSPIKLIRKQGRHTQSFINTHQ SLHICNNPTYQLIQQCITAAEMIKEKHKTKTTHKFFHIRSCSVPCPKMQNINNLVDSLVD DADAQNIKEQKNLEVEIQTVMNQLIEEKKQKQKAISQGESLFKQQDLSIQYLKSRIEQYK QLV >CAK61003 pep:novel supercontig:GCA_000165425.1:CT868010:249812:251816:-1 gene:GSPATT00031232001 transcript:CAK61003 MSLNAQFPKQVPFLPGHVFSDPYKESHHKTQQFSNINGTVQEKTNFIHEEFDPNLLDSMK MGTPPNLTYGSRQPPENDYIPRIQPPWLKYDRAVLRFYSYFQESVVENPNENYRIRQVII YYYLSDGTIHVTEPRVQNSGIPQGLFIKRQKIPKKLGKQDFYTWEDLQLCSNINFYDRVY RICDCDTYTREFFEYMGKPLSLPEQLPRDCFQAQKETKDLKINPPDTKEYKEYFEVKLGG GHPNGGLNKYLSNDRKVLSFDIIWDDASIEGQLNYYTLNYYLADDTCEVKEVRKQNSGKD PYPLMLRRQKIPKQPILTHYPGMTLKKEEFYSPQDLICGNQVRIYGRDCFIYGCDDFTKE YYLKVLGIQQKPATLKQERGKKFYQPVPPYNGYGYEEDSLGSVYSLQPKPPKKDVRKNFT QDQFILRFESRLISEIREENSRRFIISFYCGDDTVQVYQTSERNSGIWGGKFQERSRQKN PLTNDYYTEKDFQLGAIVQFNVYKFQLMRADEFTVNYMKQKSDVFKEADIKQIIAKLRLF ADHYPNFDSFLLDLMKKLDKQLKGQIEFEELAAGLQELGFNLTLQEQYYLMREFDISGEW KLNMQAFWEGIGGKRS >CAK61004 pep:novel supercontig:GCA_000165425.1:CT868010:251949:252972:1 gene:GSPATT00031233001 transcript:CAK61004 MQQKIVFDTVSLSQICPEEQRESYKLLGQKLQEAKDFEDKLHHMNDEDHEWEKLQIELDC TPHNIKNSNYIIVRHALAWHNYFKRATEFKDRNIYSYELMDPPLHYLGKKQCEKMRPEIN LMEFDKVYISPLLRTIQTAQLLFQDHPQKDKIQFILCPHISEKISSQYSIYKWGNLTSLL QDNNNPIKFDTSELPQELEFWQFIQIGKQEKVQGLKPSKEDQEQYLVDKFVKKGKNITLE KTKHAERRVKIFLEKLIQNQNNGKKTGIVTHSQIIKIILAQTNKVVDTKIKNGTVLGINI >CAK61005 pep:novel supercontig:GCA_000165425.1:CT868010:252999:254317:-1 gene:GSPATT00031234001 transcript:CAK61005 MISFDIAVIGGGVVGGMLTRTLSARYPNHKIGLFEKESGLGLHTSTRNSAVLHAGFYYAS NTVKASLCREGNKTLTQYCLDHKVNLRKTGKFLVARNSVENERLAQIKKQGDINGVELEL MEVDRALKIEKYLKVNDKNFQFLYSPTTSVADNKGLMKSIHEDLKQCKNVQVFTNHKYTD LIENKDQQTLFNVLKMPNEKQLVSAKYFINAGGLYADVIAKQFNFCNKYVIWPFKGSYLI NNRPIPEANAIIYPVPPLAGNYFLGIHTTLTTDGHLKIGPSIFPALWREQYNWKDNFVFS EFSQILSLNVQNVLSKDMKFYAQSLLNELKKINKTYMIKEAKKLTTQLDQIPENQLHEYF DRGKPGIRSQLFDTEQRKMEQDFIFEHDKNSFHLLNVASPGWTCSIPMSEYICDIISKNN YI >CAK61006 pep:novel supercontig:GCA_000165425.1:CT868010:254522:255797:-1 gene:GSPATT00031235001 transcript:CAK61006 MKTQFDKSRIVNVYSPNLPPFKLTLTFKTTFNDVRNQIIQGWSVIPKRVRIFNQNGHELI EQDLQQVLDGNKLYITLFGQDLDASIIFQEYEIVSEIGKGGQGTVLLGKHKFIGSSVAIK ITRDMEEEDSIIKRESKILKELLHRNIVKVFQTLYFSKTKESIIIMEYLDGVSILFILID KGILPEQEVKIYIKQIVEALLFCHSKTFIHRDLKLENIMLVTQGNTWVKLIDFGLAGVAG TQRETETMNVGTLPYMSPEVLNGKLKFLCPCVDVWGLGVIMYSLLYGKLPFKGRSNEEKV NNILQCNFQHELNVSDEAKDLINRIFVPKHNQRISMQEILAHKWIGGNGFLQFRRLLKKQ ENPYLKNGKKKYDYEIRKKSICEEQKVKISLPLIRCRASSQDTIIDISK >CAK61007 pep:novel supercontig:GCA_000165425.1:CT868010:256271:256603:-1 gene:GSPATT00031236001 transcript:CAK61007 MDPHSENYYLYGEEDHHSEQPEKVQLQENLNYTAPQKKDKEDDEDILIQNKDLQLQNQKT QRIRLFMRQDKFGIRFIAKSLDSSVYQIPLFDSTQNCRKVFVLDIQKCFI >CAK61008 pep:novel supercontig:GCA_000165425.1:CT868010:256666:257153:-1 gene:GSPATT00031237001 transcript:CAK61008 MSLDPAEPPIPEDPEEEIIPYVGPPKLLPVVIKTGEEDFEQLVAFKCKLYRFRNEEWKQR GQGILKFLQHKETKKCRLLMRQDATYFIRADHIISEAIVKSLFHQFGYEWIGQDHSDGEA KLEQFCVKLENEEDFDNFYQQYEECRILNKDED >CAK61009 pep:novel supercontig:GCA_000165425.1:CT868010:257395:258376:-1 gene:GSPATT00031238001 transcript:CAK61009 MFIVYPQPQVYLVQQTVPVYQSLPFIRPPTSVQTQIRSQIPAQIASPDKSRITDVMIETI NKKIEIIDSRICQIQKSLPPKQNQNGNNSKKQHTNTSQDEFNYPVTPQRNGNYKSYFLNK YDNSQERIRISKKILEEHERNTKASKNTQSSNKRDQSFQSQTNNKQTTYDINKKTTITKK IIYMGEEALYEGQTDERGRKQGIGTLFDKDGKIIYTGQWKDDVFWGKGKLMFRESKSQKL LLKNFMNFSNVAKNRETYSGNFVNGDIEGIGQMQFIDCYDSYFVFYGTFRKGVFHGKGCV LSNQGRESLEGEWVNGRHT >CAK61010 pep:novel supercontig:GCA_000165425.1:CT868010:258376:259529:-1 gene:GSPATT00031239001 transcript:CAK61010 MRITIILVLIAISATTETHLTAIKQLREKTRVTPFSDYFYGMLELHQSSKLGEVVTIVQS MLDKLIAASGANEAEHSAQQANYEQQISKLENTLETTESELSSVNQQINDLSSDLSSLTQ SLSFQGQQLLLLSNQITQLNDNHQEEVRAAEEKFNNNSRYLAAVQEVLRFLGDTLLGNTS LIERERAIEAAKQTLGEKHPISIMIQLTSEYDDNTIRRVITKLEGIASNVQERLDAINTS STNSEQQYLTLRSQFESTYGNLDKDKQFNQRQATAKDNDLASAKRRQQELQELIATSIEL LEQTRIAEDNENTSYTSKRSHISEEISIVQSALDLLSQLPAQ >CAK61011 pep:novel supercontig:GCA_000165425.1:CT868010:259928:260424:-1 gene:GSPATT00031240001 transcript:CAK61011 MHPKQIDMVYQLCKLFKKVILLYSILQFDLIDFPNLIQRTRCLIDYNIKFGYIKNNFPNQ LTSSLKETLLLDKFKISKCVFPFDLLKVLLFSLQHPKMDVKMSRNKFGQFMTLKNLDKRN LIKTQLYREDISIRILLVNYIQLILI >CAK61012 pep:novel supercontig:GCA_000165425.1:CT868010:260613:261443:1 gene:GSPATT00031241001 transcript:CAK61012 MQLQQHNSFIQFARQLLEQQSSSSPDMDEHKDSSLDMSQLIKLQHTIPDNILISPEPSKK KGSILLPSDDPCNCSRSGCRKMYCECLAKGRLCSSFCRCQNCHNKTSNKLVLNVIEELDQ NRNRKRFRSRRFKDGCTCQKSMCLKKYCECFNSGKSCGSGCKCVNCENYVLDEVEKIICS PNQKKTSKFSSKFESANTNTRSDYYGLVLEKLDLEEFEESEEQLDKMNTIVIMVLGQLII >CAK61013 pep:novel supercontig:GCA_000165425.1:CT868010:261448:262871:1 gene:GSPATT00031242001 transcript:CAK61013 MGQVCYREHDEARLLNSSDIKKPESIQGSMNKSKTSGDCLQTQNVLDMSDDPEFQMENDE FLRLSNKNTQEMEVGGKIIEKDLNSPHSTKVNIDSFRLLKVLGKGSFGKVMLVQYKSNGK YYAMKVLQKKNISNERQKRHTETERIILATCSSPFIVKLRYAFQSPYKLYLVVDYLPGGE LFFHLRKVIKFDEIISKFYAAEILLGLQYLHEINIIYRDLKPENILLDDKGHIRLTDFGL SKIMLDEDKTAFSLCGTPEYLAPEILTAKTGYDKTCDWWSFGALLYEMLVGAPPHYRDNK KEMIRKILTQPIPYPPFLSESAKSLLQQLLVVDPKKRLGFYQDGYEIMQHSFFETINFQE MALQKAKPPYEFDKKELKYFDEGLTKQIAKDTPVNGTLIPNQNFSNFTYQPSVAK >CAK61014 pep:novel supercontig:GCA_000165425.1:CT868010:263346:264487:-1 gene:GSPATT00031243001 transcript:CAK61014 MNTQKAQPRLYSSKESILALKAHLSAKQAKLPKNPTIEDYITYIKKLSNRLKQGPLDFWI PNVFRRVIQVIRLMSSEDGFLPNKDKEKNFKALGLTIQRQSSRSKTTIETGPETGSNANQ QYIILPAIEQVLEELEAHSDDINTFASTHFFTNEVLLVYEYSTTVLNFLLSAKKTRNFEI IVLESETENLGKQFATDLGKHQLNVTLTPFTNAYAIMQRVNKILLGVDAILKNGGLLMHP GTYAICVLAKQFAVPVIVLSGAHKLTPKYAFDQTTFNQLVSPLKINPNSTIDQMSIGITF DYVPPEYISLYITNQGQYTPQSIYQLFSDFYNVKDEDI >CAK73199 pep:novel supercontig:GCA_000165425.1:CT868144:66:1430:1 gene:GSPATT00038855001 transcript:CAK73199 MIEMKNKNESPENQVKQKTQEEQDEQSNVNSQYVYFAQENDSQNIEIEQSQQLFVSNQKQ NNTCHYFNSLQAKYLKTKNEISHQFNYLKRILNPFFIIYLVLSFFYTILSTLKIKATTKI VSSYQYIKNFFIIKFQKMCEFTCFVHNQFSICIKEEKQNNNQQIEPYNTKLLQDKDYLGS WFQKYVILTLKSIATLFNKCIATLFNKCIASLRTAIQATYNFFITILKIFFNRVLYIPFI TLRMLCYFIVIQVISCSLVIRIFIRIMKFFWHLKKLLVQTAEPVQPAEPVQPPQPAQPKE YPIALIIGEAGVGKTKFYNKINDTEALQYEIVDTISFDFKNDIEYREQQISEFQSIFDSK PNKVATLFFVVKFERTDLMKRSILNIYPYFKKFQSQITLVVTHFDLSDDQEQDKIDLQRA FKMFKAKSILFVDQEATKEELIDQIRASDCLIPN >CAK73200 pep:novel supercontig:GCA_000165425.1:CT868144:1568:2202:1 gene:GSPATT00038856001 transcript:CAK73200 MLYQIISFCLIFKARISQQLNLIVIQQFTELYRLFNQKIEVACKCYLIESNPIIVINGKK FFLKNRIYTRNAYKYLDLFELRKRIIKSLSQSMNYFLQIMMNYKDVKSQKFIIKKIKQKL LKQNLNLYCEFIMQYEQQYQNVMKNATTIRADMYLIMNIQIQYFSTSKSLLPLSLYNSNS IRLKYIMGSITFWIRLNKLSKG >CAK73201 pep:novel supercontig:GCA_000165425.1:CT868144:2271:3197:1 gene:GSPATT00038857001 transcript:CAK73201 MGTQSNQYSNFRSNVSTCATNISSAFNYSSTFSLENKFGSISPNALSIKKTQEPKELCIT RNYELTSQLTPSIPPQDTPSMPPSITIPNESVSSSSETSMISSESLCEEKIPLALIIGQV DNGKTFIMRKIFDEDTKIELFQPILQKQLKYYFVDTSSFDIDSDYDSREEQINNYKELFN KYPNRVRSILIAVNFERTDLMKKKVQNINKLFQKFKNLYTIIVTKFHFSENVERDKEQLK RSFQYLNAQDIIFVGNDTKKEQLLRDLKQNSLQALEDGYEFNLADTFLQEEDENEQNQIL NDLKNRFN >CAK73202 pep:novel supercontig:GCA_000165425.1:CT868144:3430:7620:-1 gene:GSPATT00038858001 transcript:CAK73202 MYIKLNDIKRKIGGLQYSILSPQDAINLAEVKIFRDKNYDKMTGKNIEHGPLDLRMGQSL RDTQCSSCTLLNECPGHFGYIPLQLPIYHLGFFTHVVKCLKCLCYKCGQVRLTPDEINQL TQKMVHCIQTRSYNAQLKVFKKVLKKCRSNKQCPHCYCEQIGEAKKLAKGDAGKIILDTM DINALYAWNQFSNIPKQHQFLFCINPFLNDLSDLLTSIIPAPPNNIRPSKMLSNTTKADE DDLTMKLKTILYHNDLLQKQIKEGKDGMSILKTQFLTQAHYFHYFNSETPRLPQFSSKDG KVDIRGIYQRLKGKRGRLRGNLSGKRAEFTARSVISPDPNLAIDQVTIPQHIACILTVPE TVTALNIKKMRDYVQNGPSIYPGAKFVKLGGVNYNLQFARRAHLAYKLKIGDVVDRHLLS EDIVIFNRQPSLHRISMMAFRARVDKWHTLRFNECVCTPFNADFDGDEMNIHLPQTYEAR SEALILMDVKKNLKTIKSGESLVCLLQDFLTTAWLITNKDVFYSREQFMQFCAAFSDSNE QIDLPAPTILKPKQLWTGKQVINALLVPNRKTRLKVTHLKESWTICGWTLVMASVVFDKC ELVCGNIGKKVLGASKLGLFYALIRDNSTQIAASVMQRFAKLSSRWISHYGMTIGIGDVM APKSLIEQIHQSTEQSYLQCKHYQRSLIDADPGLTVEQTLEAKVNKTLSDVREQVGSKCQ EQLKSDNKVLIMYLCGAKGSNVNVAQMIGCVGQQVISGKRVPEGFTGRTLPHFKDYILHP KAKGFVKNSFYTGMDAIEFYFHNMAGREGLTDTAVKTATTGYMQRRLVKMLEDLHIAYDL TVRSCDTKEIVQFRYGEDGLDPLAVEDANEVIKLQNLLTNSFTVLRKNQILLNKEHMLIK LDELFMIIEERHKKFLENYEATYEKEKKRVQALFKSTNQQVSEKQLQWFIRSWMERFLTM VMAPGTSVGPITSQSIGEPATQMTLKTFHSAGVAGMSITQGVPRLNEIINASKEIKTPQI NVKLINRQVMDHAKQFAKSGDPQYEYAHRAVIHSAQQAQDVNIQIEQTKLMQILKKMDEI YTADNCYIELELDCHCLARLKLEFVTPKYVCALILKELKLSPDDVKYDLKIIRITVKKSS KKKQSENLLFLIKELQRKLLRLHIYGCANIKQTVIQVTDKDDKENVKGEARLFASGIGFK EILRLDNIDWKRTVSNHIMEIQSALGIEAARQSIVNEVYTTMQSHSISIDIRHILLLAEL MTFKGRILGFTRQGIDQLKNSALMLASFEKTMDVFFNAAIQGKQDSMNGASQRVIIGQNV PQGTGMFDLMFPVKENTILESTINQQKFLFASK >CAK73203 pep:novel supercontig:GCA_000165425.1:CT868144:7655:9306:1 gene:GSPATT00038859001 transcript:CAK73203 MNSELQMKREQFMVQIRKQAREEIFSKKRQTSLGQEGEVVERCNPDEIINFIYQTYLEQD FKHLARLLKQYNLNYLKLLEEQNINDLPILNQFITHFSTNGNSLKLFFDIIRMSGIPLEI NLTSDRLMCIIQVLVILINFTYLERKDIVENLLQNDLITLLLKDLMSRMVSPDKVQIHFD RWCEILESICLILINVQFELDNRKLLIFREETLRSQLFRVWSKIYPKNPIAKAWRAILLL ECKLLESNEIDLADIPHYNFNCIISQCTYLIDKVQIEDDNLCKRVLEILQRLSEFKVTSA LTLTLTKFVWEKILKLNLFPHKVFSIFTNFLAEKDNDHYILQYLIDIGLIESMINSLMSL ADAPLIEIIYKCFNNILYYPHAFTVEKAISVFIPFLHNYFNQSSILKQELCMEYLFLLQT LVVHQQLSDYHLNEIFINLDCLKYISQMLLMCTSLTKYIAELFITFPKLPEEFKSIILEK VDNYDFVEILNNQATMSKDDGCINLILNLIQLWEQNEQEIVIV >CAK73204 pep:novel supercontig:GCA_000165425.1:CT868144:9379:11804:1 gene:GSPATT00038860001 transcript:CAK73204 MQLRKERIHYHGKTNKDLKFISKMSESGEQTELERPRIQSLNSSNKKNFPQLLESRRKMI SSFVDPVAETMSLTKKKYDHHQIGYPRQTQLEDKSKRPFCGDSNVHYNRKDISRNFDKEG DQNSGDKAKLVNQKMEENAKCIQETQLVGLENKVTLEGKVDLEKVRDIKRSIRRRYANRK NFQKIFNSWDEDANGAISVKNLYNMVNKMGININQDEAKVLLASADKDGSNDLGMDEFMD LIFNDKDILNVDLKQLDTTDKNTAINILIQDAQLAHQRKHHNQINIMLKNRVLMMCIHEQ QLKLGQWLLEADEKQEGHLSQKKFEEVVKKLRIDEKILSNDDIKELANNFRGDSQFIDYK QFINHLKQFQLKEEVYDDPYALKEKVELIKNKQDKQKFRINVFDLTKVNGWHLERMRYRA NNMINKLKKYLPERDNFQEYLQEKINGDTINQKEFNKLVVQFLNSVNETHHKFDIESLLS VIQFNQYNTQSKSEVLRILYDENDDDFFERAQQQIKQPPPPQDKAILQGSMPEETKDTQS EITKSYYCKDLGLSMNLPNIRKQVQAVLKKVDDQIFNKREKQLKIFKEFDVDQDGYVSQV DLQQRMKHILTQDEIRLLIQYVDPENKGFSNFAEFSSKIRNGMTILDENGAQLINVNTQP SKTTIIAATSFLPELQRSIDEFKKPFIASKNRSDYKPSTRYGATPNLRDTFANIVPLEKS GLWASAEQRFSKNREEYQKEEKKLKEFRQEQKLERIRSYQQEIRGRIKSQDDFQK >CAK73205 pep:novel supercontig:GCA_000165425.1:CT868144:11982:12739:-1 gene:GSPATT00038861001 transcript:CAK73205 MRAVIQCPTLRNALEHIFASFSVDYLRPVPTTIQSTFNWITLQLADPLWDYTIEVSQEPL VRIKENLLKAQQSKLSQREAQETIDLLNQDQRHVLDSGITPNQLPNLIEHNPDLSSFLLA RINQCGISIHEYFECLIQMKISLQSLEVVNKLSNSIKLPEAFLHMYLQKCILYCEELQPK QQMVSRYVRLVAVFIKTLIKSKTLDPKRMFTEIQGFCIEFSKIPEASLLFKQLKETGVEE KQHQ >CAK70846 pep:novel supercontig:GCA_000165425.1:CT868092:13482:15294:-1 gene:GSPATT00038628001 transcript:CAK70846 MLNQGLLDIIRKIKNSEKELTILVLGLEYAGKTTIVKALLNEDINQFSMKEQEEFKFNIL DVGGFQVNPKLLQKKLQFVRNFYENTDALVFVIDSSDLMSLEEGGKELDILLGEPELKKV PLLVFANKQEMVQALPADEISDSLKLNKITDRQWSIVACSAKTQEGLQEGMEWLIKTVQS XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXREMIENAKFFNSWVKGQQEYRLILLLLVKHV >CAK70847 pep:novel supercontig:GCA_000165425.1:CT868092:15924:17491:-1 gene:GSPATT00038629001 transcript:CAK70847 MQLLFYLLQFSYYQLIFVTVLFHFIDALPDQVSLEMDLQFVVQSQCLLSVEHQDQCSAYY LLVILHANKVLATVTYINRIHIYLDNNLLLLTCYYFHYHNCLDTSNQYCVQFTTLAPSNA YSIHLNLKMGVQEDGGLQLFANSNTKLKQLRIKQWFFAKVRAEGQTVARVMAETIEKKIK AEAEANYAEMKSKPLQIEQEALQEQKKQEQEAELNYEIVFKLNEIKKAKELYDIEIKKFK QQIQAIENETIIAMAKAGPDTKFKLQGGLGLKDFRQQMAKVQLNYTIQLMDXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXSQPNFKTHFQLEIIFTNSIYLELCDSYFSFSKPNPIKFIQ SNQFYSTNYGFNSSKLLKQNI >CAK70848 pep:novel supercontig:GCA_000165425.1:CT868092:17531:18218:1 gene:GSPATT00038630001 transcript:CAK70848 MLASCGSQYLYYYQINRANFTLNLTQIGTSNSRKSGNPQMYSNGNPILISVMTLNEMLIK PTKNYNQEDLIKTYTYAARNTHIKRSSSILSYISLTNQDKSQINEIPLPGQPLVHNLQIV AFIVLLLEELKIQKIRRSLHNLLSQFLLQNQKFAAQFEQFTSAPTTGLKCVDVQYFQVNV GCAINKQDITTVLLVAGSGFLLLVEEQHNNLQTSKQQQ >CAK70849 pep:novel supercontig:GCA_000165425.1:CT868092:20407:21858:1 gene:GSPATT00038631001 transcript:CAK70849 MEENVLRLTNLKQLSSSIKQFKIQIKSELGKLLQLIDQQMEQIQIEIETKESKLEIKNYD EEIQILSKNHIGNFNYNIPKPQTYKEKDLALIQLIQESIESQSKSQNFIQIMESINLIRS SESINQQASINQIPTKEFDQHKTPCLNQICNKHNKEIIMININYNEPKFGRLACVECIQE NPIQYVSLKEANNMWNTFIGQSEDLISKHNCRREQMFKQVIEEIKQLKDNYNHSLSEMLS SIDEQFAKNNQEIQDFLKQENKQIFELDEKSVEMMIDLLSQQDKNKHILQQQDKQDRLDQ LFYQNVKSKLETLIKHDLLCKQQLMVILQEQQNTKNIGNNVQTDIKISPEINEFMSKCQL QEQYLKIFTESANFKKELQKEASQLEQNGVLQQYIVLEEKNDTEDSKCNIFKQKYKQYEI NTEKMRKLIEDDENEKQLMLIKKQKEDLKIKIDGKKLLS >CAK66192 pep:novel supercontig:GCA_000165425.1:CT868043:1:2335:1 gene:GSPATT00035321001 transcript:CAK66192 QYQVAIECYDEALSINPQYFKALNNKGNLKNLNLGISLENLNQYEEAIKCYNEAVSMNPK YFQAWNNKGNLRNLNQYEEAIKCYNEAISINHKYFDAWYNKGITLDDLNQYKEAIECYDE IISINPKYIGAWKGKGHTLINLNQYEEAIKCYNEAISINPKHNGAWYNKGIALQNLNQYE EAIKCYNEAISINPNQEDVWNCKGNTLRNLNQYEEAIKCYNQAISINPKYFDAWYNKGIT LDNLNYYEEAIECYDEIISINPKYIYAWNGKGNTLRNLNQYEEAIKCYNEAISINPNQED VWNCKGNTLRNLNQYEEAIKCYNEAISINHKYFDAWYNKGITLDDLNQYKEAIECYDEII SINPKYIYAWNGKGNTLRNLNQYEEAIKCYNQAISINPKYFDAWYNKGATLDNLNQYEEA IECYDEIISINQKFIHAWNGKGNTLRKLNQYEEAIKCYNEAISINHKYFDAWNNKGISLQ NLNQYEEAIKCYNEAISIKPQMCSSMEQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXVISINPKNDFAQDKRGKYIIYSGQLLQKLQKDMDALSSFEQ ALKIQISAPRFKCKADSLFELRRKSEAKYFYIAAQEMGLGQDVYIKSQLSKL >CAK66193 pep:novel supercontig:GCA_000165425.1:CT868043:2905:3432:-1 gene:GSPATT00035322001 transcript:CAK66193 MLQMQQFQNYYLSEIISNIQYQIKFVNLTILKLACVQNQLSQQSLQILIHHLQFNGIHSK FMQSFSSNRICITNENVMAFYKLQVYISYKLSSIYNQVNINTYFNFQPDKKNNRQQNQNS MTDFNRIQTAEFIRLPPLLLILNAFLMVTQIFKNKKNTKELFTSIQCQTTQIYFI >CAK66194 pep:novel supercontig:GCA_000165425.1:CT868043:3472:3995:1 gene:GSPATT00035323001 transcript:CAK66194 MQNAIINSLIIAKKFIIKQEQGYVPRPAILELQYQMVRKDFSQTVVLELQYQQYQINESL FLLSKKCNIINIGIERKFFQHHINQSGILIRQQLQRSASPVQNTTSEQFLIAKWYLLLIF ILLIFRNTYLIRAIHKSLRD >CAK66195 pep:novel supercontig:GCA_000165425.1:CT868043:4388:4999:-1 gene:GSPATT00035324001 transcript:CAK66195 MVRAFRKNAKKDMELAQAQTLQLALANLNRKQLPQTQIEGQVKIVKNQTFLKYLIEMEDK FYMNFIKKKVNPTELSNNDLEKFISIIIGKNLMISVFRGQGFENIRIQYQISIQQLRLFS PFRCRTINFTLSIMNSKKKNSNSQKFFAHLGRSQGAQAPLYTPAFYVKQNHFSTFFHLTH PPSSAGQPNPLCHWRQKGRIERK >CAK66196 pep:novel supercontig:GCA_000165425.1:CT868043:5252:5743:1 gene:GSPATT00035325001 transcript:CAK66196 MNCYYHIHNQVTTICIAPHQFQCQRKLCAECQDEHGVDAQHMVSIKKFKQMVKQKLGDAQ LDQKYQIASQKAKFKSVISSTQNMLKQFWEELSEAIRWIYEEIEIEVNSFNNIINEDVNP TELSNTEIEQLVQMGHRKNIRCQERFEKFYSVKVRKDVEFSKQ >CAK66197 pep:novel supercontig:GCA_000165425.1:CT868043:5823:7664:1 gene:GSPATT00035326001 transcript:CAK66197 MIIKPISKIEQGYQRKEDIYEVFVQTKQFDEQFLNRIIEKFRKEKVTDCIKFLSAKCNKK NIKQYKMQFITELMQNINENAFNEKNYQFLEARRNLIKQIANDDKIVQFLKFLVQLTSID EKFIQCGSNSLNLLIEMKVNMKEHNLEKIRIRDTSLIGANMVRCNLNESTFQNVDISGMN LNGAQLFNCKWKNIKITEQNKKDTNNITVNSVCFSADGTTLASGTIDQYIRLWDVKTGQQ KALLDGHCDSVLSVCFSPDGTTLASGSGDKSIRLWDFNTMQQKAKLDCQDYVYTICFSHD GTTLASGSGDNSIRLWDVKTEQLKAKLDGHQEYVYTVCFSPDGTTLASCSGDKSIRLWNI KTGQQKVKLDGHQEYVYSVCFSPDGSKLASGSGDNTINLWDFQTGQQKDQLNGHTDYVYS VCFSPDGTVLASSSGDSSICLWDVRTKQLKAKLDGHSSGILSVCFSPDGTTLASGGFDCS IRLWDVKERQSDTKKVCFSRDGTTLAFESHDQPMSFWDVKSGQQIRPSDIRHKEISAYFD SFAIKNSIPTETATCIQVILPITSKPNLEAKGALILQGEFLNSLGHDQRQIFRDKGSHIL EGYI >CAK66198 pep:novel supercontig:GCA_000165425.1:CT868043:8406:16454:-1 gene:GSPATT00035327001 transcript:CAK66198 MKTIGKIIYQQLKKKYDNVKNNRKQTRFHYQIEIDCGEVLNIKGVKQYELSNKINTGYFD FKTLLFFLFFKQFERIGVLIYYIVMILHFSYLQINPEDLVVYILPLSMHYVLQVVKAFYF DYLKMEYDKRTNQRGITRYRRLRKEIASGNKSQQNQQQIDNFLNSKEPQVTITKQEMQKK PHSVQSVKRREKLMLYENINWEQLEVGDLVYLKRNEISPADILIIDVSDDIVGVSSQQLD GQTTEEGRQPTSLTMLKNGKYKASGFDYKKILTGQIQFDKDKTENIYGYIKLKKDPKGEN FTRNNIIRREEQLKTCQYLIGLVLFAGQNCICYDKLRQAEKKSFFVQKSRMFFALTLTIS IIITFISWLIASFGVCQASQNETIFDSTTLIFYMVQYLKTTPIYFYNCVDIIEMSYAHYK YVKFNQNKSSEMRIKVGNSIGGLNFQNVQPQINYEQLNSVSIGDLVMTEYVCFDKTGTLT TGEFRVRSIIIEDMMYKFGQKKIQNGWQLFKENFKQQLKQNPNFRIPEQEEYSDEQIALE QQSKSRSNGFKNTVSGLSKSLGKQQTQKFRSTLLHQTHLNDENNFNNKISTFQPLQQSAI LSKSELESANVKNSEGNNTELIGQHIIENIRPEDLDDTHCRITPDLKANRPKPFFNKSII VNQSISIQQSPSSSPFEEIQLMPQEDKQSVTSDRQKKPRSDSDATKKEEYRHVKKQSSFV NRNNNNKATQQYYYMDEDTYDIAFQKDQEFYQKLVCGPSQIFYQEAILSLLLCQEIASKF TQYDDQFIHDSTQSTLDSELMRFAQYFDVKFVCTNETGGKITYIIELLGEMHEFQILSIN EQCQNHPRLGVLIQYPDKLADQFQFFINEQEEENDFINCVLIVREELNQIPSYVDIDKNS REYWDRVFNKLHIAGMRTVVYSKIYLRDNEKNEFLDKYSQIRFQQSQIELIQLFNDIEKD MQIMQVLGIKEKIRPDAKLLIQQMKQADLSLFLLSGDEMNRVLPVAYRSGLLQNTDQLLY LDSDNAKLVIKNQLAQMAQQLKVPDQNLNVSSAVQSKTIRLNTLTDKAHKSIDNYYNPDT FNQNKSVGKSSHNQFQQQTQQQQQQQLQQQQQYGEIRAFSIVLSGEQFNIIQEDSVFLAH LTFLLYFCNSLIAYRFNAFQKAEIIKIIQTQFQGNKKVLSIGDSFNDIQMFRQANIGIQY CHLPISQQQLKQSTKRKLTQRLSMNKILENPLKRQHSIKDIQKMATSDICVNSFEDLCGL MFFESRIFAEVYDDLLVFSFYRSLLILYALFLIYSTNCSQNNQLVEGSWLTVYQSLMLFL QQISSLISKLNENYHGDSKMYQEQFKINIKTFKKKKVLSFIFKINGNALLDAVLLWLTCF SLFYGNTQIGIIRQMLLILLVGSDLVKLCVSSVQKVLAWIILVFAYLLCWLGMSLLSVKY TFDDNIETFEQLFTDPHSWFVFFFYIGAQLCLSSCIEAIQPLFCQSLIKTDQCYEEISII SQKVNTQNNKSNYKKYHNLIKRIAVIAGKIFKRNNDELDLSIQEMVSGTNLKVNQDKVNP FTLKFMNKDTEMKFKRLSKILWLKFERYKLIITLLFLEVIALVLYVVYNIDHFSTELYFL TYILGIALEFVLLFLVCSNIYPKHFFFINLSVVFIRFLIKFICDLGTTQQEGIYELLLTQ TYIVVLLFSRIHLPIINFILGILSVIGFIKKYSIMEFSQVQITYYSLINGEVVVIATSIL FMALQYKLIRLEREDFMLDVTLNQETSKMTNVLSILLPKFIRDRINAKGMFEISENQGNV SILFCDICGFDDLITVEKENIVKILDGLFRSFDQLCAQNGMQKVETVGKTYMAAGGLKAV DQGTKFYNQNCVKRAVQLSLEMMDHTRKVKYGQIGHLTIKIGIHYGRVIAGVIGHHKPQF SLIGDTVNTTSRVCSTGQDGQVTLSNEAYLEINMPELQFTQRKVAAKGKGELITYQVSKE IKRNTEKKNIKKRGVILKSEESNNHILSPQPSIQGNIPSSAKQPSSFAQNSLRRQESIQF NLQDNVPYNIQNTNTNNDIMQQHSSKQSIPAQQDSSQDVSNRLQQQDPVKQFKRKANQRQ TLLMRSMPQQYQTAGQIKINSNQNIQLEADQEASQLEKEQLASYFEKSNLLLEISQKLRR DLQIDVIDDFPDYDIEFDKIKGYLEDDNSNVISECLQLEKKKLYLGFKDHQYQLAKEFIE QNAEGRNIVFITIYLHLLQYLAKTLTLFILVQQSIIESPLLIIATRLFATIVLLVLALLQ NKKLKHKFYQGQYFIATYIILLFSILLEFVLLKSEELFELQASEGILLICFFCSLSIVQI KHKVAFLLFFCVAQIIIVLVKFNDWAVAYYTIYQGILMFSVQYNIFYQDLNTFNNKRSLE IKKNQSENLVKYLLPNHILKQFLSNNQREVLVEQLEEATLLFADIAGFTEYSSKVEPEQV VNMLRNLFTEFDKKCLTQNTYKLYTIGDCYVAVGIIDIRQRNPAQEAKNVVELGFGMIEI IRNVRQIIGFDGLDMRIGIHTGKVIAGILGTEIVRYDVYGADVMISNKMESNGEKGRVQV SEETKQLLEIQYPGAFNFIHNKLIEFKSINRQTNGYFVEPQKNDSGFEDSNQPSERESFK I >CAK66199 pep:novel supercontig:GCA_000165425.1:CT868043:16618:17499:1 gene:GSPATT00035328001 transcript:CAK66199 MKFSFLKHNLESLLNHSEQLDSPSSIIRKFGPFLMSSLFNQQLEESKQVIKHMEQNIDQA QFIRNFSYEPGIKNWRVNLKKSLEGLACSLIVGNPNLINKHYVECLYESQIPIYDAIPLA YTLVIQQIKKWPLLEEKEVLSRLNLCLQSQKQEFQKIGPHVFQMKLLLSDLGIYYPEVAN FLKGKVFNSDLPLNYFAQDLRIEYQDFFFEDGNLIDLKVGDQVSRESNYEDFSSKICQDQ KNI >CAK66200 pep:novel supercontig:GCA_000165425.1:CT868043:17503:18693:1 gene:GSPATT00035329001 transcript:CAK66200 MKRIQNLIANGKQFLIDSYSIHKSKQALKAYEKQQYEKIISLCQYWNTYKYIQINQHKFL FFLISEQNNDLIKKILDRNPIKEQILGDDENPNNFFPLTFSVLNDVKETNEVLIENGANI NQVHKDGNNITHVCAFFNKIQALNYCIEKGVNYEQQNERGDTPLHLASMQGHCEIIERLL NLKCNVNIENTEHHQPIHESVLFGQFDAFKILFKYYQGIQRNSQSPHIVHYASMLENTFI LSEIIKNNTALVNLRDPVSNAVPLHFSVNSNSLECTSLLIESGALPNVKDKFGNTPMHLA VEKKNLEICKLLCLKGADIDIENEDKLTAKDLAEVDLDRQILALFQSQSRYSNLFRQ >CAK66201 pep:novel supercontig:GCA_000165425.1:CT868043:18725:24899:1 gene:GSPATT00035330001 transcript:CAK66201 MKLKEKFYDKPDATTVSLLQSIKMNPYFQKLISFSLNCLFSQMYLPNNKHRDNSIYIFKE GYSILIQCIDNHRQSDQVIQNVCGCLNRMIGLHKFRDEFIARNVIDSNLSYVISSITNRQ LSQEAIKSTLDLYQNLTFTQLQTDSLAKSNILVGFVQILIVSKPLILEMKRIFDLEYKML IQECTIENMNIHELITIYIQLMQEYIDNIFVIKESLRILLLLVNNQNALKVIKQKQSIPL KIMSEILIQHNDIDVNDKGAQILQSIINIEQIQQSIQSIKSLDQQAEFSMSLVACLIPAI HNQINLDELINILFDLVKGYKKIIKRKPILRDALNCLSRLYDQDQDYAIKLKQFLALMME LYENDDAEVVAASFDLSRKLGTNLTIEELNKIIEKVIAQLAQLKGVKDGLRLLLTYASKN DKTFKAIAKGKEAFFKIIENVNNQTSQEYNSKLLLLIHQEIKDYLIKKLSVIVQFIQKHY LWKRSLLYYIQILNKYPEQVAQLKSNLMISILSCKKNKDYISIVSTLKQVKTDSEPEEDD PEEVAIEFQQNEELEQQFIILVRNLTKQDDVKALQKEIIGNKFNDELSQVMLEIQLLKLS YYVQIEGTFYLQNDYFTELMNYLLKFLKSYKADMIDIVQCIFLLLQTMSTLYWNDKTGTL YTQKKTSQLCFDFLFQSLENEELLDSSLDTILFMGQGCKNTNRKQHYLLDIQDNQYVIQL ISQLQILMKKYVQKERIQLKILDVIKIYLLFELFKQNVRDLPKILCRKLPEDTTTKEMGL ETIQILSDINYTDNALKYVTLFQLQHQYDIEVINQVIPYIDTVQKYEGDSFLTEEMKKQI KQMIQYFEEMEDPEVGAEYMDKLSVYSTIPQALEYEFDCNILDALVNVLKYCELEKNNDR LLCSSINILTQMLINNYIEPIELDKCFQFLQQKRHSENPVNLLTFYLSKGEAVIQTDYLQ FILDLMEEYQNNKFVIANLYDILYRSCLKSKDISKILGDKRFIQKLILQTQELVIEQSNE EQAKKNLAWLEILSQSEPVALRIQREKGRELCQLIIKQNSGHIMFAFKILANLAKFSQSI PLSFFLDYLKQNQFPLEILTSLDIIFENEEMKQEFLKQNGQQLLHQWQNDYPDQCEKLHQ HFKPKQPVIPRQSLLKQRQSLLQQKQLLLQLKQEKEEQEKQQKLEQSQDKENINESQQMI QQNQLPEQNQQLIKDCLELAVKNPQQIIDEGMLERLFSIEDSWEVFRRLTKHQDIAEQVL QPNSKLYQNTLEELKNQDSKFAEQVLETAGQISQVPETLSEFAQTEGPVQMVNLIQHQAD NPKVVEAGLEALKKLCQDEMILSTIGDSNGIDLQKDLLSKYQNNIEIIKKCDEMIQQLSV SESYQDKIAELGIIQQLIEQIKQHPNTLEVVQPAIEALAKIVYRNADNGTVVMESKVLDE ILQSEILDDLEESLLTLINNMCYKNEEIKKQMKVEYLIQILRKNIQCFKQKSFEQCVNTL FHLASYTQNIQKMIEQKLLEIINEFIKKHQKEVDSILLLLNLELKIAAQINVPIDIQTVE CTLICLQVEKLEQQALRLIRQILANCYQFIDKLNGFTQIANILKKAEQSSCVLTLDVMLK MAAINKESISKFEQLNVGLDFSEILRVNKNDKKLYDQILDYSLKLFNKTNELANQLMIFI QQNEVTELQIDKTLLIVEQVDDNENFIPILIELIQKNNHKHTKTISIILSRESKVYPNQI FVNKGIDACVAEVLGTKEKEVLMNVNPLLFFQLATIILDVAKSLEEYRQNIAEQFLNQLE KLNEPVLNELIKFLQGPKKQLSKLTDEIKNFLQKGEILKMYKEDGSRGYYRFFCDETLQQ IRCRQESTKLVKQKWILKLNQIQEIKGNYDKKSLSSSAFENQNWLVKQIHKMKHKVIEAD RCFTLFAQKNVKPKTLNALCKSESQKNKWVEYIKILIDEQPLLMQQ >CAK66202 pep:novel supercontig:GCA_000165425.1:CT868043:25494:26786:1 gene:GSPATT00035331001 transcript:CAK66202 MFNCIICTQRTNFITKCGCHFCLLCLLNWFEEKNKSKKIEIIDCPNQDCSDTYNRNEILS YCHNPPYERIFQEILFKEYLKNDDIRPCPSVKCKSYGFIELDKVCDEELECLECGFKWRD FNQAPFIFKKEYMIKNIFGILKQFGYCLITANQCPICGILIQRTGGCRHMTCKACSNQFC WNCKQEWKKHKESQCSCQYLITTGLYLTLIINVFYQLGILNYLLYIFNLSVWMFEIFIIQ VIIIGTLAGIIFFFKSLFDLKSRKRPVRSVLKELGGSTILLTIEYLVLWFSTVFFQITLH KAFIGFLLEFLVCIYMFGFNAKVRVGYKCIFSIIMGLCLVGIFGLNGLAILIWLVRYIIP VEYIHTQINFSAIALGVLILLNISNLLELLYSKLAYFLLGSTILNYKQGDKDNYLALLSI LAISYVYDIL >CAK66203 pep:novel supercontig:GCA_000165425.1:CT868043:26838:28158:1 gene:GSPATT00035332001 transcript:CAK66203 MQQKSSTYVRQALTQIAFEMGKPISLVEPYIEILEENWYETKEQIKMMKQENFDEFKIPK RIGQLLMEFVQRADDMKQNWQLELTKIISEISDQDQLFKTLEIIFKIISNVIMSPLSQDK QKINICSKTLQNNILKYPSALNYLSKLGWKIEAEFLLVEYKMDNQNDWKEAVEELVNYTQ KKSGFNPQKPSVHTSSQNVSITSELAKSEGYDVYSFSQKLEQLHQRRFEIMNQPITNREI KVFIGRKQQSPVQLQQQIQGQEDYEEVQPQKDQQLLKFLQQVAQSLEEQQFASKRKKEYE ELLNKPIFCKTDIRVQFPNSMIVQAIFGPLETLQALYDLIKDMLVNSNLEFYLYTSPPPL RMTQKYLKQTFDDLSSVPNGLFYFGVEKQTSEFYLKEEWMKQAKEL >CAK66204 pep:novel supercontig:GCA_000165425.1:CT868043:28185:29071:-1 gene:GSPATT00035333001 transcript:CAK66204 MQFNFLISKCMSVNENGMTILNGSQQRNPQLDEVITTMGEASAKAQNLKQVITSPSRFYQ TDQKLYIKSEGKQCLGILKVGKKNLFHRDLNGLVREIQPLCVLDFYVHESMQRRGIGKEL FEEMLRCEIICPEKLAYDRPSPKLLGFLKKHYHLSNYIPQNNNFVIFSQYFENRQQIVNQ QLASQNSQSQNASTPQKIEQLGTLMSQMSIQSTQSKNQQQQYSKSQTPWATDQKSQQNMY ETTTGLMSAQIQKKR >CAK66205 pep:novel supercontig:GCA_000165425.1:CT868043:30107:30733:-1 gene:GSPATT00035334001 transcript:CAK66205 MVLMEMRKEVDKSIKFIIFCQFKIIDYDICICYQSHFQICVISLSFNQLYNTKFQNNNCI VNQLSTNIIKRIRQTYCVQNSSRTQMETISKIEDLEKLQQQWLDLAKQKGNNNYDQDDEI EEQEDKKEAKVDKDLQESESESDDDDEPKGGRKIPLVELVKGFIPRLLRPEPQE >CAK66206 pep:novel supercontig:GCA_000165425.1:CT868043:30743:32151:1 gene:GSPATT00035335001 transcript:CAK66206 MSPLWNYGKIYCSKITKQFILNKFPKIERIVALEFNHIYYLNVLSQELTDKLDDEFTIEV VLFSANHIPGSSMFLFRGYMGTILHTGDFRFNRSMITDNPILFPNNEAIQIDELIFDNTY CDPMFNFPTADIVAQQMINIIENNIKKRVLIAMGALGKEAIVMEICKYFKTKIIVNQEKY NQLIASSTKNIDLFTTDKKGNWYYRSHREQILQQYIESGQQNFICINTDFLMQSHRDPDG INYMVPYSLHSNYLEMRTFVNSIKPAILKKLVIPYENFAEYRRKVRINPVKGFQGYLKRL KTNGESGYSTLIRECTDFTKISKNYTNWMTEPKFRGLMEKLGVQLEPDRKNRKRKVDQVM PKPTIKQCIRELEKQCIYSKKPEKVDSLDDISNRLKATNNNHYITKFLKKPQQSQEVERR DEDEEIFSIIQEYLHFHDDDA >CAK66207 pep:novel supercontig:GCA_000165425.1:CT868043:32175:33233:1 gene:GSPATT00035336001 transcript:CAK66207 MHQNMMEIQVKRKKELDDLQSVIENSFNFEVNHVLSYNPKFTYDLLFKLANQQKTLKSVN FNIYRYANPQQSELDQNREILATLYQHVNEQYNTIFLKNFLFEDQYVKQQNLSQGIVSYS HLVDMLKLMHFRGSLVFEYNKNLERNVEFIDQLLQSSKYILCDKHKLGEEHESHIVDGDT MPPIDV >CAK66208 pep:novel supercontig:GCA_000165425.1:CT868043:33264:34146:-1 gene:GSPATT00035337001 transcript:CAK66208 MGDEVEIETLDEVVTFILNDLKQQYHTDLLTLRDQENQYYEIDQIVEFKRPHTFITIPQR HDVKTLAKNEVRGNRNIYSIKNDTILLQKYKETCKVETVNYRLEDIKKANKKYVDTLVGI FDEMVIQPKKRNSLKNEVPSPIQFQDQPESPKFGYSPKNSVSPSWIQRKSLSDSSKKNDL KQCTIDEVAKHNTINSAWIVINSKVYDVTKYLNKHPGGKEELMKGVGTDGTALFMQNHPW VNAHYLLEQFQVGFLINHK >CAK66209 pep:novel supercontig:GCA_000165425.1:CT868043:34171:34717:1 gene:GSPATT00035338001 transcript:CAK66209 MGCTNAKVDEPLSEKLRAKAIEIFKKLDIKGNGTIDKQGTEQFWKSNFAKLNTEALFEAV DFDKSGDITEDEWMAFWEIVKQNGYSEEEINIEVFALICYSQLDELLEGKAWVQFKRVDR FIKIDEKRRGSRVKSIVQEEKRKLSIIQSARLHKSKTMQSEGQDLLQEQQEQS >CAK66210 pep:novel supercontig:GCA_000165425.1:CT868043:35091:37869:1 gene:GSPATT00035339001 transcript:CAK66210 MNYPKYIGEASEFDPLQKPPKLQYALQHQLATSCGQNGKNSDYCQCCGLCINNMPLPLNI EILNLAFLGQGVPLFFNLMILVILLHFVLFFIFTVPNVSQNYVANNCLLDTNYAYKFWKQ NGCEGNCPLKHEDYQYFENFFLDCKTICQHYSDVCMQSQTTRISYVNKQLEGESKLIQSS LILCSVIIIKILMVLIREKQRKIEYAIDKELLSPSDFTAILNNLPKNHYNEKELKTALEE YCKEFDPRNNYEVVKINIAYDIVQFVDKGRQKIQLEKQLESSTCPNKEKLLKQINEISNQ QQIIEVEIENGSYNKTTPIAFITFQTKKQLQNLLEQTKLSYWEAFMMAIKSIIKKKDRRG FYFKQHYIEISRAPEPDDVFWENCGTDENTQLKRRILSWFVIVFLLALSLCTLYGLNVFQ NHFFSKSESNQFLMKTMASLSKSLIITLVDGLIYYFITLLANQERHVTKTQQDTSVAEKL SYVQFINSCLLLIIMNVIGTYYEYSKQDEQLFNFAVQQQGGIVDDILYVSAANAILVPLS AYFNLLYIVQKFKQMRIEKNTNLNQIEANKLFEGPSVQFYDQYSYLCKTTWLTLFFAPLI PISILFGLIGLILYYWIQKYLLLRRNRKPPFQSSHLDREMLNLLDLSPLLLSTMQFLIDY SFHSSQLCQTINVASLAISGLELIIPSCRIHRILYKDVYEEEVQVRYEEIYSKLPTDYDR TNPLTQQVAMQEFVKNKLRRNTGPLLLELSQNLNVNKPKRNRALQDLIYKGICDPKKIRM KILKQKLQLIVKMRQIRNLKNPIGLEMSFQENQVVFDKFESKQSSELNSPTYLKTPEYNF SSIINFQPQTVSYQQQIEMLDMTSTASQNKSAYLDTPKYYFNSKNNLLFKSIKKCKNSQV >CAK66211 pep:novel supercontig:GCA_000165425.1:CT868043:38242:40337:1 gene:GSPATT00035340001 transcript:CAK66211 MDSFQIKGLKMHLHKCRDSFLCEEARNQVLQDSVSQNRVKAIVQEIEGLENQNPFWYQDL AIYLNIDEIHITGGLIDHSQNASIVNQQIRITKHVYFKNLINKELMITNIFTSSPLLKVD FEKIVLPSNSTTQKTRVCTLIYEQSLSEIRSKTFEIWLAIEIAYFLRVPIKLIIYDKHLM CQTKQLGQCSIQGQHQTIEFNNLGIYQQYTKIFSFYNPNPVKIDVSYKQNEKKRNQIRVL LNNRNDIKSASKRKFSFELLPYTRIELKVIINTNYYEIMANSKLTFRTQYEIIEVDVTYS VQRGSVNYYPSVITLDPGLTAKVQSIDIYVRNTFNQQIQLLQVENQQIKHQNISLLTMAT NIATNSRSDILHVDYMGKQNFYSDSKYHKQQAKELLNSFGRNKVKIQTDVLQDSEILINY RSNQKFNEQILKKIIKQDPKILISQQTVVISQQPNIFIIIISILNMMYIASLLRNNVKKS RELKISIEGNLLQEQIDFQSIFKIQEPIQLQSKSETNDVVSDKADEIQDIMMAEEMISRE SNNIIYTNLQSQIDESSSHFSQGESLDEDQISEYQEKVVEQRKVSNQKNFNLFQRVEFTI PTQISMNTQSSQECWDTKDPIFLPQDSDEEMLDKNSVQRLESMRNQIHHKLPQFEQSTLK LPMKWNENNLINPYFPKPPPGI >CAK66212 pep:novel supercontig:GCA_000165425.1:CT868043:40375:42562:1 gene:GSPATT00035341001 transcript:CAK66212 MKFIIDDIEVIFPYKFLYKEQLEYMQALKQTLDEKGHGILEMPTGTGKTVSLLAFILAYL AQRPNTIKKLIYCTRTVVEMEKTLEEVRLVMKARKAEGLNDNFTAVGLSSRRNLCINPDV VNQKDRVDSECRKRTAEWVKRGQSEICIFYDNFEKSAKDFIANLPNDVYSLSDLRKNGGF SMQCPYYTAREMVKKANIVVYNYLYLIDPGISSLLSKDYIKESVVVFDEAHNIDDVCIEA YTVRLNKPILAEAINNLKVVETQIQSETNEIQNRLNEEYKKLIKNLEKKPEGELNQLVIP GQIRKARNFIDFMKRIIQKHQKHLMLFYIIPKALLKRYKRKGNLDIDSLKQCGERLNLLL NTLQIAETDKFRPLSTVAQFVIRIQRTLNEKLMTLACFDSSLAMKNIFASFQSVILTSGT MSPIDIYPKILDFKPVVAKSIDIELTRNSIQPIIVTMSEDGTELTSEFTFRNNEDVSRNY GNFLLELSENVPDGLIVFMPSYSRMEEWARQWQKDKYLEQISKNKVLLIESKDVNETSQK LQQYRKCCDVGRGAALFSIARGKIAEGIDFEGHYGRCVAVIGFPALNSKDTLILERCKFL EEKFKITKNDFIEFDAMRQTCQCLGRVLRGKQDYGIMIMADKRFAQKSKLSKMPRWIYKQ LDQSRCLNITSETAITVVRDFFRQMAQPFKIADNSYFTQDTL >CAK66213 pep:novel supercontig:GCA_000165425.1:CT868043:42804:43855:-1 gene:GSPATT00035342001 transcript:CAK66213 MLRIIITSLFISSVICANNKPTIGILTNPSDMKDYDKSLYSYFPSSYVKWIEQAGARVIP IHWDSSYDEITVILSQINGVLFTGGDADLYLNNTQPGFTFNKFTDTASFIFQKVIQFNKA GKFYPLLGICQGFQLINYIASSYYEVLTRMTDDLGRQRLLEIVPEEDSYVLNSIDKSTLE YLKNVDGPYYSHNWGVVQQTYEKSYSLGAFFKITGYGRDGVNLKYIAICEGREVPIYGYQ FHPEKHPFEWITKATHDVQHITYSQELAMAFIAMARKNDNFISDEELSQYIIYNYKQVNR MHVPNTSFSQVYLFDRNRNETRTDQQQLGIQWTIKYFRKYK >CAK66214 pep:novel supercontig:GCA_000165425.1:CT868043:43864:44478:-1 gene:GSPATT00035343001 transcript:CAK66214 MLKIFRQANLFKPQFMRRYDQANSPLHLAIGDTSELRTKLFPEKRRIPGRKGKIILAFGV LFQVWGIMHIVEVRRQFRRKELELKKMQRKSLPFYQAMQDIRYLAAEDKRNILIEELFAE HGSDYIKQITDIYHQKDVWVPFKKRAAHQYTRATKDPYPYFDIPGSRFLHGYDVYNI >CAK66215 pep:novel supercontig:GCA_000165425.1:CT868043:44499:46073:-1 gene:GSPATT00035344001 transcript:CAK66215 MSNFQLLKEVINFESDYSPELSRYDPKEIIGKGAFATVISAIDKVTRINVAIKIVEKSLF KCKNQEEVVRQEAIMLQSLSHQNIIKILDFYETQQKFYIVMNRIDGVTLEDYIPKLQRCE VIMITKEILKALSYLHKNNIVHRDIKPQNILIGVSEGELVVTLIDFGLSASVNRVEDSLM NKNCGTLLYQAPEVIKKANYTRSVDIWALGIVVYNMLYNGQHPFYQIGDSKAIFFEKIKN FSLNSQSNEDIYTKNFLERTIAYLPEHRLTADQCLEHPWITGKGDISIPLTLNEIIKCQV NKEQKIAKYIKMLVFLKYLVVMSNDMHGKTDEINEAGSPNDVISIIGSEGSGSSNRFRIR QLIIKSQTRLNKLWNNDRASNSFAGDTSRNATDMIPEIIQQVDSPNFAKKQLRKSNSMNP QDVMKKLRMINVSKDLGKEIQMKNRRLISNSPVNNQKLVTEPQSQRQNGDSLSKFADSPL GYRLQHFHRSNFSKKPSQL >CAK66216 pep:novel supercontig:GCA_000165425.1:CT868043:46126:47762:-1 gene:GSPATT00035345001 transcript:CAK66216 MSKLSELLIDQEERQPNFITQYCRPLRILGKGAFSTVIEIQNLMTNKKAALKIIEKSNFN TLQLDVLRKEAQLLNQLNHQNIVRVTFSKETKSKLFIMMDLITGVTLEQFQLNKLDDQTV HNIVKQLLQAIKYLHSKDIIHRDIKPENIMISQTGEDVRVTLIDFGLSAQLTYLEGSGIM SDNCGTFLYMAPEMIQKKKYNRSVDIWAMGIVVFNLLTQGKHPFYQQFDDKESYCERIQW MKWNWQPGMNNNSINFLIRTVAFLPENRLNIIQCLDHPWITGKQDSDEPFTFIEILKSHC NFQKIKSLIQALQFIQQLKVLCPNADQFKHYSTPLAKEPVRTIKIPKIKQQSISHTNEKL RQVKQDALQGSNVDTASIGSNRVSQFKIQSITLKSQRRERASCDQLQKLQQPKEPSKFLF PHFPRSLERSVKSIQTTNTTADNSLNQSSILQKSGIIDNRQSQNNKQTIQQIKRTTHVSR GQNLIIQQELLASHRIRTNKPPIQKKSLNE >CAK66217 pep:novel supercontig:GCA_000165425.1:CT868043:48909:50345:1 gene:GSPATT00035346001 transcript:CAK66217 MSLNEEPGRKQPKIVEVKFESEELRKDFIRNTEKDQKYSEFIQEKFKQYALPESQKLEDL KIYHIDMDIEESNKLQRKNFVKSKSDVEIQRQNEKPQYQMNEEFKQIQTRTNSIIIKEIV NQSSQRDNIEIKIHQNIKEQGENEQQYITINISLSSPNKGVQQQSSEQHEIIEQSSQQSS IQLTQNENAERYNRYDEIRDNEGNIKMQLKRFELIIFENGVRKQQKKNENVEKDYQFSVN IENRKFGMEKPISSFIIYNQQIGINDRNILLKSDSFVTSSIINAYASYLQARDENYYFSL NKNERIKHKRLFIFKSDFLTNCNLNERTASFDKKVKYLIMEYFQDFKVIQYQFWLIYKKI AFIVNSNNIHWYLAVLDFQDGILKIYDSQPKQQSYYNQLNTLLSQQFSYLSKQEIKFTTV VCPTWVRQQDHYSCGYHTCIALEYLSQYTAQNQLLTLEEIKKILRKLLIVEEIQKNQQ >CAK66218 pep:novel supercontig:GCA_000165425.1:CT868043:50410:51174:-1 gene:GSPATT00035347001 transcript:CAK66218 MSDDDTEIQYTYRNQTQTLKVKLNDFIYTKFQNLLNIDEDEFVIIIDNDYDQIIRKKSQF SETENKNYYIYNIKDLLKEKIPAVEKIAKDKNQQEQILHSTIIINAVNPTPLAEDQNDDI FQSVTLQVPYRCYNCESVILKDYIQLECLHHLHQECFLELIKNQIKNNGSSLKCQCNKQI RMKLVREYISDKDLIKNYFTNQLKIIQMDQQKLFKICQKKKSEQCSFFYIETNNQSVSYC EECDEQKQTQNIQN >CAK66219 pep:novel supercontig:GCA_000165425.1:CT868043:51301:51786:-1 gene:GSPATT00035348001 transcript:CAK66219 MKVSEYLHLYKDNRKMRDFSEYANVLSLTSKSRKENITFNTSNSQCTISKSTNIRQQSPN KYASIAAQRKKLSVERRLKTSNDDDWEQFAAGQVQFLQQHVKNPIAIVNLNINDKGIHTN NKIYLYEDSNIDQIVSNISRQYKISEKKKYEIRQELLSCFI >CAK66220 pep:novel supercontig:GCA_000165425.1:CT868043:51790:52544:-1 gene:GSPATT00035349001 transcript:CAK66220 MLTERKKRNFKETTELQIQLRDYDVQKDKRFQGSTRLLHAPYPNIKIGVIGNLTHCDQAK ALGLTAIDQDGLKKFNKEKKPIKKWCKPFDILIASESLMKVIPRLVGNVFTKIGKFPIAI PETESVSSKVNEVKSSVKFQLKNTLSLGTAFGTDEMSEDQLRQNLSTTINFLVSLLKKGW QNVGTLHIKTSMGKPIKIYG >CAK66221 pep:novel supercontig:GCA_000165425.1:CT868043:53887:54438:-1 gene:GSPATT00035350001 transcript:CAK66221 MTQTRQKRPSKYNVIDDQKRKEIVEKIFKEKMKIREVAQLFQIPLSTVKAVKSVYLKEGR VQKKAKRNRNKKVITTIIVALFDKNKIPTDVNEIQKYTKVTFGHVNQNGNVNEQCMKQGV DLLMQMLTQIRKNQPSIGKHSAMLSKLLMNYKQQCESFD >CAK66222 pep:novel supercontig:GCA_000165425.1:CT868043:54562:57115:-1 gene:GSPATT00035351001 transcript:CAK66222 MRPAQNSLNAKQSGEFKALVKLLDAKDYKKGLRNAEKLLEQVPDNWEVVSLKAIFMYYND EQQKGLELAKQAVFKNLGSDFCWHIYGLIYKAQKNYIEAVKCFLQAIKKGEENIQLERDT ANLQIHTRDYEGNAQLRHKILTKKSNMIVNWIAFIFAQHLIGNYEIAFKAISSAEQLIQK DQQNPIKKVEMNEFKLYQIQLAIDAKDYQKAKQNISAFKNDITDLVAYYELQYDLYIKLG STQEAVEAAKHLLELQPQNWRYYQLLKKADPQFDLSIYENTLVQGQLLAEQSGDKFKTNF LKFIDPFFQKSLPSLFREIKHLYKQKEKLDVIKEAYESYLNAGPIQKLWALMLLSQHYYQ IKQYAKSLELVEEAIAHTPTLHELYLIKAKVLHKQEKFKEAYEAADRARQLDLADRYLNN KTIKYALRANMVYLSQELLRMFLRDGSNPYELQMIWFELGVGRTFLRLNYLGPALAQFYL IFKHYQEMYDDQLDFYQFSIRKYTLRSLLQMFDAMDTRYSAKYFIETAGLMIEGLNRLKL KQQDQGKVEQKKLTPKEKKQLQKLQQKQEEEKHLREQYSIEGHIYSSELQKKFDLSGEYL LSQLKTEQDIIKLQNQFAQVLIHTNYHNKEVNFQAFKQLVSFYTQQNRPLLSVKLLNKLR NNQTESNQVVNHKYQLMLIQYLNTYKGPQLQYVQEYQQDLNKFDAEFWKSVEIKTNLDKT IKSHCEHILQNKPFNVQELESNDLEFLMEYPTAKTTNPFYTHDPNLEWQNNQISQFQSTQ QTQQISQQQQ >CAK66223 pep:novel supercontig:GCA_000165425.1:CT868043:58638:59509:1 gene:GSPATT00035352001 transcript:CAK66223 MEAFLLQLIRKPLLNQTQITLTMSQQMKEINDDGSKRGLFCRKKIKIDQNDNHRNYFDGI SKVRCENEEQKEENLGNKNDMNLSFEEQKQDLILEYHLENSIQYISGETLVLFKMTMQVQ NMKLNHVLLYDCRYQYEFQGGHIRGATHLNHLINLSEELFGVTQQSKKIVVLYCEFSIKR SKEKYFEIRQLDRSMNIYPKLTYKNLYILSKGYSEFYKKFQHMCNGCYVRMDDPTYEQEL EQEEEIRNIAKQKNKLKQIQRITGCLI >CAK66224 pep:novel supercontig:GCA_000165425.1:CT868043:59810:60882:-1 gene:GSPATT00035353001 transcript:CAK66224 MEQHLLTTTCSEDEEENQTLKKVQLVVFPKRFLNPLSTFRMNGDFHLYSDARNIVFNNNL KLGVSSQNPYKKVSKVLIERGRLVIQYTQLDFSITPWEYVVKKLDSYGDEDIHKLSLYIQ KYIESLKNGLQQVQGTFENHVPHYRASGLYQYKYIKDLDQLFISQVQYDINLIEELGYNV STFIDSCLKYGIPEISLKYGSTNQEYYKNIMEFAKPLAHPQQSQEYYLYSHLYPEGIKTE VSFQVEHQSNSTEEDQLINFNIYYNYQPQQNRIQFNTNDKRTRQHNCISHYYQLQENQFQ RCGYKKVKL >CAK66225 pep:novel supercontig:GCA_000165425.1:CT868043:61260:61876:1 gene:GSPATT00035354001 transcript:CAK66225 MIFRISRSCFSSISVKLPSFKVHHLELDQLPQTATTTSAELLAYYKSMQLQRRMEIACDN LYKQRLIRGFLHLADGQESIYEGLHAGLTFDDCVITAYRDHCIALLRGDTPHQIIAEMMA KQTGSTKGKGGSMHYYKKATNFYGGHGIVGAQIPLGTGLAFAQKVSQKAKCDFDHVW >CAK66226 pep:novel supercontig:GCA_000165425.1:CT868043:61896:62541:1 gene:GSPATT00035355001 transcript:CAK66226 MAQLWHLPAIYFIENNLFGMGTSIDRASANTKFYTRGDVIPGIQIDGNNVFQVRETLKFA KKHCLEKGPIFIEAMTYRYHGHSMSDPGVTYRTREEVQQQRKTRDCINYVKNIILENKVA DEHQLEEIDNTAQNEIDIAVEQAKVDPVPPSTELATDVYVDNQNHFIRGILYKDSILPKS Q >CAK66227 pep:novel supercontig:GCA_000165425.1:CT868043:62556:62783:-1 gene:GSPATT00035356001 transcript:CAK66227 MYIFSFDYAYDQDNTQEEVQYNTASYAVLSALQNFNATIMAYRQTGTRHILRILTCNHIF FSLVFNAGEHDILDI >CAK66228 pep:novel supercontig:GCA_000165425.1:CT868043:62823:63312:-1 gene:GSPATT00035357001 transcript:CAK66228 MKQQYIVRTGYIRITDPEILKEFFELNLENMKKKYSKYSKIHQLNIPKDNHCIIEFIKQE FFVPLCNDFVPSKRILLFNIEITYRKHKLVQELQIAYVMLYQTKVFSQTKILGYRKDIER MTKIKMQILKLQSFSKTYEYKHLFSWS >CAK66229 pep:novel supercontig:GCA_000165425.1:CT868043:63530:64332:-1 gene:GSPATT00035358001 transcript:CAK66229 MVHKKIIERELEGFGIRLNKQPPQIEFKKRDKGGITISRTQDAPRLDDETIKAILREYKI NNCDINLKCDATDEDLIDIIEGNRKYIPCLYVMNKIDDITLEELNVLDKIPHYVPISAFL EWNLDELVERIWDYLDLIRIYTKPKGLEPDYNAPVIVQRKFSTITDFCNKLHKSMIKDFR YALVWGASVKYNPQKVGKDHQLLDEDIVQIVKKI >CAK66230 pep:novel supercontig:GCA_000165425.1:CT868043:64338:64711:-1 gene:GSPATT00035359001 transcript:CAK66230 MTTAQKIAEIETEMMRTQKNKATSYHLGLLKAKLAKLKREMIDSSSKKGGGTQEGFEVSK SGDSRIGMIGFPSVGKSTLLTKLTGVFSKIAAYEFTTLTLHTWSVTTQRCQNLIA >CAK66231 pep:novel supercontig:GCA_000165425.1:CT868043:64725:66422:1 gene:GSPATT00035360001 transcript:CAK66231 MIVQKQILGSGGFKMSAEIETGNKSQQQHINNDLPTKNELFNQLGNIRDDDSVKANNDHR WNHFRISKQLIQITVQQEQLNYLPSKPPTPQVIKQEVSTQRLTSQRIRENAGGAKATFEY QNSICMSGISKSIRIADIFSSQKVIILKNTNHRGGFLICYLTLTEIWRSIKTNDHQFKVT RPQPFNFDKREKDRSFFIREGSLRNVRRKEENQFMQSFKAKAVPQIVKQDGLYEKILNDN EKLTLKNKRPISFYKRDKNSSRMPRKKQKQYLDMLMWNDLEKWNKMKSQEIGKYSQTCSR INNEFQNAIQDERQIQGQPQSHNYMKTQISYKTKPIPDFEKLHSSFQDQINRNKLQMRTT KSEPFNFQQSRKGRMKQKSIQLRNIKPRQPPSMKKWENICEFLKQERIKKVKKLEQKSES LIIRRIQEIQKLEQQRKDRIAQLKKESKEQEMKQKRFIENCQRKPTEQPLLVERPTKRSV QLEKMKKLSKNDKILKQNGVMNRDEYFDKEEKVTILTISYLIILLSYVI >CAK66232 pep:novel supercontig:GCA_000165425.1:CT868043:66551:68144:-1 gene:GSPATT00035361001 transcript:CAK66232 MLVTSFIPSKIRSQFQKLERMLYWQKLGNKQNFAIFCSIIHYFLNKKKFQPLQKFLNTNG KSLTEDSQQLRQLILQSFEDQNPIQQFFRNLSKQQNYNEKIEKLLDELCRIHFLMKNQKP SLDSIGLFLQIEITLLHSNNEKYGRGVQQILLLKNDNEFYFLIPPCEFKQLPKYPCSKCN IQIQYYIKLTCKHYICLTCIQTQKQNSKDNFFKCECEQIILKNEFLEDAIKETQDSQILN DYHLCTRQFYEMDTKGDQSKKESKYEDAKYLKQQQIYDHPHEIRRYDSKTMDNSNRYKRQ NQERIQQNHDNMYGYPFPRQQIFDLDPNDYYAGIMLRQSYYGLNYQPWENRTYQPQTIQG KDHFQEGNSHPFELMSKMEEIRSQQFCEQSQKFPNQLCSYCLSPFDEFNVMQDIGCSKHV IGVCCINRNYEKCPQCEKVKELQNHKTRNMKFKPEKVNIHNQPTIYFGSQIQYKKQTKST IMVPSSNRLEPTMNSVYGNSIQENQIQMQSYQKFHQPYEYQYR >CAK66233 pep:novel supercontig:GCA_000165425.1:CT868043:69192:71115:-1 gene:GSPATT00035362001 transcript:CAK66233 MSKQKQQSNNIRIHQVINFETLNQMQQYADAQSIKLQASEKELTQVKGISQGGQNALYSL QLQRKLIENKTQKKPNVQAPRRFKMNNAQFFIEQFKIMNLQKFQIVFKDKVSESQSPPQS RAVPSLEYPQQNIVQQLLANNKIGTSIKRKEAKKLPSPLQLIQQKDQSKLELLLYQKNCE MEQLQKQIEIESLNHKEQEDMLQIKNTITIQYYPSFELQISQKMENIEKIEQGGQNFNKQ TNNETIQRRIQILQKRIEIKDYEIIKIDQNTEEELKSLNNYLNSINQQLKMKIHENQQFQ STQSYDQSRKVISRRHNFEQHKSSQINAQLENQIQALKQEKQRLQQEINEKLNQIQEQKN KLRMDQEKSRSQIRKYEEDILNNYFKEDNLKKEKNELSNLQIQYQALKEESSNIEKQIQQ NKEDYNNKYFKLKNQIISIQNQKNEITEQMKNPENQKSQLPKIDQKQFQTESQMAVVLNT QNINELIESYKNNYLGQNLITQDEIQNQEQQSILTLKLLKISYSPQKIQQPYKTKWIALD SLETSDFLQEYSYGYIEQSQQEFQYITSDQINAFAELLQIKEQVFYFRLPKKIRQIYPRL FIFPSCFLEEIMIFVQI >CAK66234 pep:novel supercontig:GCA_000165425.1:CT868043:71275:73739:-1 gene:GSPATT00035363001 transcript:CAK66234 MTQAQKSQDQIRNIAISGSLDNGSKYLHDIFIQKWGVQTNKDAILTDNELQFYQEFQSQC GIKNLYYTPINSKKGNEDGYLINLMKSQNNYHGQTESLARLSDGAIVIINFQLEINYEIE TIIRAFLKEQNRMVFFINKIDKAFLKLNLNGEQIYLNLNRIIEKINQIIYLYEPDSVINP AFGQITFGSAKQQWGFTCLQFAQQYEIKFGIEHQKLAKKLWGDHYFDATKKQWSTQNASI ESQPLKRAFVTFILDPILKLSQAIVNGQKDVVSQMTERIGIQLSEDIRQLDGKKLLSAIL NSWINLADSIMSSCVFHIPPPRVAQKYRAAHLFKLDKEDKLLESIKDCNPQGPLVIQICL MIPYKQEFISIGRVYSGTIHTGQQIRILGSQYKEGSKSDLFQSTVGQTFYFPIGEPAYIE QVPSGNIVGIKGIDQFIKGTCTITDVQLSIQMLPIQLQQDKLVKITITPVEPAQLTFVID AIRQLIKLNPTISLTLDPCLILAANSYHFLQYFLDELVNKYLKSVEIRKSNYFVSYKETI TGISQDNELKTPNKHNIIGAQATPLSDNLLNQIESDYQSMAFLQSIKINSNNWYQSDKLQ IFAFGPNNLGPNILVNKTSPEDYHHISEIIDHLNTSWQWFTKEGALCEEEQRGVQVNILK YLSHADIIHRGAGQILPTARRLFYGCQLQAQPRLQEPVFLVEIHSNIQVIDQVYKCINNA QGIVIEEKSFAKTSFQKIIAYVNGPNIFQFHDQLNEMTQNKAYSLSSFDHWSLLNSDPLE ESSEAHQILQDIRAKKGLPSKIPQHL >CAK66235 pep:novel supercontig:GCA_000165425.1:CT868043:74115:75161:-1 gene:GSPATT00035364001 transcript:CAK66235 MTIVNNAFIASVLIYSFLYDTRLLYIFLGIIAVYQALSYLCYPNVGYGSGRRRLSQALWS APTEGIIYNLVEIDLTNTMKYLQSKQAKDSRVTLTHICIRAVAECISATRKKICGKIVFG KFVEFPTIDVSCLVNIGEGDDLAALLVQNADKKTFEDIAEYINGKAKMTKQGKDEEHQQR SGMLRFFPPFVIALLIQITSFLTYNLGITIKALGLKKDCFGIATVTSIGTLGFKNAIAPF TPMMRNLLMVTVNQIVEKTLVKDGQIVITPTFQLNFCADHRFLDGGAIVKSNKVLYEVFE NPEKYARK >CAK66236 pep:novel supercontig:GCA_000165425.1:CT868043:75197:75796:1 gene:GSPATT00035365001 transcript:CAK66236 MGNNLCGLEEEKKHVLHPESKIITTRTIGKSTISIIQADVAEELTDVIVNASHEPAWSYL SKRDKNNKANELTQQSMLYSNSTIVKIGEMIKTKSENVNSLELYHVRLPYYQDTRDLLLI FQSYQACLRQKGFNSISFTEQNSPNFAIPKQFHAEVLIRAILQLLK >CAK66237 pep:novel supercontig:GCA_000165425.1:CT868043:76259:78122:-1 gene:GSPATT00035366001 transcript:CAK66237 MLTSKNCQGEKIQYLQQLILRLIGLIYAFAFSSWYYQIPALYANNGLMPISKMEWYDVTK MPTLLQLYKKASFLTMITIVGTLIGLLAFASSKFIKWYTFFILWVLYLSLYTVGQDFSQF QWDIMLLETGFICIIFCITPIVGRELLRWLAFRLYFSSGLVKLLSQCDTWWNLTALHHHF ASQCIPHFLSWWAHQLPGELKKLMVASNFYVLIFGAIYFYFPRRFMRIFGFILQFLMQIG IILTGNYNFFNLLSIVLAMVVLDDHFIYKYFPSQIKQFINMPKTIEEFDQKENKRIYKYS EIVICFYMTGVLIYNFFPYETIMQGKKLPFTVQDIGKYFLTEDTLTYFLLYVLTFFFFYI TYFNLQNATAQSTMAAITQTLIKIVVFITMFSMSNLTFQQGIGLRQINSPIIPQQYLQYI QGYTYPFHLFNSYGLFRKMTGVNGRPELIFEGSDDGNKWLEYHFHYKPGKLNEISPFVVP HQPRLDWQLWFASLQENPSDLYLIHLVYKMLDGQNIEDFVSSNPFQKKPPKYIRINKYLY YFTNVTEMIQTGNFWKRIWKSVYLPSISLQDSQLQSIKQKYEFESAANKSKEKPTQLPLW TLIVSVIIYAFY >CAK66238 pep:novel supercontig:GCA_000165425.1:CT868043:78144:78541:1 gene:GSPATT00035367001 transcript:CAK66238 MRLNTLPDVLFPNPIQHPNYKISFLGKVKEEIFNNQFQIEPMRMSRQNMTIRSLKRGSIR VVSASNVTSQNNTQTNFRSGSSKFKIIKEHSQIEEMDETFSRTRTPSQLVRRLKRGLSMF EKQL >CAK66239 pep:novel supercontig:GCA_000165425.1:CT868043:79678:80785:1 gene:GSPATT00035368001 transcript:CAK66239 MQDQQSMLSIYSNPWNRSNITKERGYFLAKSIDKHPEFKTEILDSAGQQQTRNIFKDPIS VQLCKYDSTIGPKLENNKIVVRSIVGKPDIFKRKLSQLTGQDPKSSSRRTIAKGNTTNTF RNNNTIKTQQVFTGEELLAKVNLIEKNLFESRLKEDQELMKMPFQKKQILLKETRALEEY LKLEKDQNNVLMGVSKQVNKDPSKSLMIDCYRFRRKQEYCNTNDSLNRNNEDKTLKILEI DDDSGYPLFMMSQNDQVIRKPSIINQDETTQSILKQLNDEPVYRSFSSKDYLKSQSNRYA KIAADKININIDNLLVQGYSKLDQEIKFDTNGKYLLKTIEKGNGEETITENYSGQYKINY K >CAK66240 pep:novel supercontig:GCA_000165425.1:CT868043:80798:81743:-1 gene:GSPATT00035369001 transcript:CAK66240 MNISKEILGSGLTCEVRKVQIKGEEYAIKLFKDNISTQQIKKEINILSQIDHHNVVHLVD GNHEERFMITELLEKMDLFDILAKGQKPFSIASIKYIILQLTAAIQYIHKLGFVHRDIKI ENILLDKKLDIKICDFGFAEAIKGGYVTRSAGTQGYLAPELQGQGQINTEALPITEVFSL GVCLFLTAFAHPPFRQTTKACPYWRLISNGQWAKYWQAVDKQKKYDDEFRSLIQGMLEPD PAKRMTIDQVLDHQFIIGGCKNTFHLEVWERMKID >CAK66241 pep:novel supercontig:GCA_000165425.1:CT868043:81912:82763:-1 gene:GSPATT00035370001 transcript:CAK66241 MQIIEISLGEGAVGYVKKVKIEDNLYAIKQFNSRQGSNEYLIHQQLKHPNIVNFISGNKD YIITELMSPFCLFDFIKNAGSMSVNASNCILKQLVGGLKYMHQKRIAHRDVKLDNVLVDE QNYKIKLCDFDVSVSLDEGKVKNQVGTFDYLAPEINHYGEISALNLQECDIHSLGVLYFI LLFGHPPFKQANKSSVYYRLIIENRWEEFWLHFQRRRTQQIPPHCLELIQGMIQNNPKNR FTLDEILNRIEPVNEIEYVNEMQKVHLKLKEIEREKGNFSFEN >CAK66242 pep:novel supercontig:GCA_000165425.1:CT868043:82925:84101:-1 gene:GSPATT00035371001 transcript:CAK66242 MNAQQDKIIIKVDYKNEPNNQYVVSRIEKIKKFLCEQYRLAEKDIAVKIDGITCDSSDSF DKYIKDNQPLKVVIFDIDTLIADYQELQQIREQSSIENNQSQLENTQTKILKSEMSTQYD LSDNNEGKVPYSIISIQQSSQMFSQIIYQNMNCFYCHQDIKQDIISTPCQHFYHPDCFDQ FIYNNLQLSSPTLQCLCNQKLQVQILQILGLEKFRTYKETLLENQLFYLQQHYKSKIGRC IDNQHCKFWFFNQFKSQIHKKIICHQCSTPQNQNFQAKKSIFLEGRSIIRSHNAEQRETD MQSRHPLNQNLPQDEENKFNQIDGDNFETNGTTQREIMNSKIIRTQQENNSIIFQKNETP QKSEGQQILNEVQIYAEQVVENQ >CAK66243 pep:novel supercontig:GCA_000165425.1:CT868043:84489:86504:1 gene:GSPATT00035372001 transcript:CAK66243 MNQQSLLVEKNLIYRLFEKDNIIGKGKDLIHGISSSIYKLKKNNHVTSDFNGEWGWHALQ IYENLNLEVFETKVIQLQKAMTTHLNPYSTRIILIGKEQVHQQQFNLHVVYEFGSVNDDF KSMRDQKEGLDDEEATNFLSFLCHNYMLGSQFTNEFLDISPQNIFFTDQSYIVSNFGITY AGTKFHRSYLPITDPILKEKKPSKINILYSYAFRAGLLVLTQMTQIDPKDLFFEDGQFKE QLLDNILYLIQDGDEKEKRKDKKFITQIPQFFDTLTHSREQDYNEQKAKNQGKQFKYVKS QRFLQHKYRKEFLDILKKLLNVNNIQSRSIFQLLIAQPENILQVQAPYDERSEDKYNYSN GTIENNKLNGCGIISIDKGDEKGKQKNVELIQMCGRFENGEVSDAFNIITIHNIKYECKM FHLQCDLEFRYVYNELLYLNEEIVSPINPIGKLKKETIYYFKGQIEEGQFKQGISYQLDN TIFEGEFKNNQPYEGTLTYPNQEVFEGTMDGFNKKKGRLVVKGRTYDGQFMNDQFFKGHI EYEDGGVFYGNFKNGQKVKAGVYQYPNSQIQYQGLYADDLRHGKGQFIDRSKENCVQEVV YNYGLCETKLIEHFEKALKEIQEKQKQQKSLKKQGQQEEDNDEEIEEDIEENPGDDNEDG DEG >CAK66244 pep:novel supercontig:GCA_000165425.1:CT868043:86529:88484:1 gene:GSPATT00035373001 transcript:CAK66244 MLQQYNSLVVDASFINKNFVQVSVIGKGLDFYLGISSISYLMHHKKEQGRYILQTYENLG HDLFRTKVGQLQKAMLECVNDVNTRIILLAEKQLNDKQSDLLVLYQLNELNKRLDQQEQL NPQQAEQLLITFCQNLLITGRVTYEFLDLAPQNIYVEENRFVISNMGISYKGSKFHRPYT PITDNFYKEKTLKHMMHTYSFQAGLVVLCAITKINSAEFFFEDGQLKSNLLQDVLKLFKE NQPDLEKQKIKLKKQFASLAKVEKPFDANISKIIPFLSLILSLDQQKRSIFSLLICHPEN PLKVENPFFQQALDVEQQYVGFGKLDGVKIVPHGYGHGKFGKEYHYGMFSNGVLTDDGTI EIRVQNSIIYILKNLIISQKYQNAVAELNKHIFWGEFDNKSYKPNGQCLLLYEINTQKHL NKKRQSSYFEGILKQGIKQDGQEFLKNKTEFDGTYLNNQPAEGKIVYGPKHVFDGIIQNY QRVKGILVYKNQVFTGEFEKDRVKEGVINYSDGSEYEGQLKDGLKCSQEGKFRFWNHKIE YSGGFLDDEFHGKGMLTILQNNEQLEVEYNKGKCLTEIPEAFKQLMQKKQMQEVKKQSDG KKKDQQQKEDEDDGGIEEDIQDDVQQDDNDFNEEEG >CAK66245 pep:novel supercontig:GCA_000165425.1:CT868043:88506:88969:1 gene:GSPATT00035374001 transcript:CAK66245 MINKQTEQIFSQLQGAFAWVEKMRKKKPMTSDMQIKELLYFLNIDATNEEIEALNELANP EGEQDIQYEKLYKVFMNDQQQEQREVLEAFRLLSGSNRIEVDKLENWLYIYDKKYRNNTK QFIAQLKQSPSYKQGYLDFEKYVKEG >CAK66246 pep:novel supercontig:GCA_000165425.1:CT868043:88988:90559:1 gene:GSPATT00035375001 transcript:CAK66246 MQKTNIKSVTARNEQAKAKLTKEQFLTLAKMCQSTSTQQLLNKKQPSQQKPVLASQLNIT PRNNTPKSCRTAQEMKYFLYQKNLVKDSINKPQNHSQLLPKTKNSLHRNFSQLEKSSNSQ QNNGQSTAPNTVKKKYNLLSTDSANKLDYRFSSVQFAQQIVQQLKKKFEPQQPQQKKENL HVSLDDFVTQINRPQSIISPFKQTKSLSPSTRVNNVPSKKSLYYVSSLVESFRHILPQNQ EQQLFRDHAVQTFNCVSFCVKLQEATQLILEQKRMDIPMKSHYKFKKTVVFDLDETLIHC NENQNLKADVYLPITFPSGDTAQAGINIRPYAKWILQELSQLCEVIVFTASHQCYASQVI KFLDPNSNLLSGQLFRDRCVLSQDGVHIKDLRVLNRDPKDIVLVDNAAYSFGVHLENGIP IIPFYDNKEDKELKMLYDFLVDQVLPAPDCRIVLQSVFRLREYYNYGEPKQAIEKLY >CAK66247 pep:novel supercontig:GCA_000165425.1:CT868043:90620:92105:1 gene:GSPATT00035376001 transcript:CAK66247 MTLSITISQQIKAYNLAACPTLPEFLETAYKTQKSLKKNEQFRKRIEVIQGFEFNTASTQ IEESKDQQYIVAAGLYAPSIKIFETSQLSMKCSRGLDSEIVKFCILGDDYKKIAMVCEDR NIELHAQYGKHFKLRVPKQPTDMIYNPYNCNLLVSSQNEDITRLNLELGSFVESYTLQQE TGVNCLSMHDSLQLGLVGGNKQLSIIDFRTDQVVQQLGVSDVTSIATQNLQVALGTKEGQ IKLFDLRKHIPIHVHQHQYRLPIKKIVMNDDMIVSCDAKILKFWQGNRLFTNIEPQSEIN SFTWVKNSGMFLLALEQPRMGIYFIPQLNAAPKWCPFLDNLTEEMEEEEQQTVYDEYKFL SYEELEKLDALHLLETANVKALFTWISYSFEIQKGLDFEDYKQKRTQQNYEKQLEAERIS KGYVIPEEQPEVEQPVKQVDPRFQKMLKDNDFTVDKKSEAWKRAHPSEVRVKKQINKNRR >CAK66248 pep:novel supercontig:GCA_000165425.1:CT868043:92498:92827:1 gene:GSPATT00035377001 transcript:CAK66248 MQRVLVYQILFICNDLSQIIRSIHNWYTRFKLKQIGLDVGGSRITCISETKNIPQDDSAI KSLFEFVLYQFEKSNKNIVNIYFVYVIFEPGIHQFLICITDYRNVPSLI >CAK66249 pep:novel supercontig:GCA_000165425.1:CT868043:93131:93837:1 gene:GSPATT00035378001 transcript:CAK66249 MAEEQVVTVKFANQPKLFGKWDYDEVQVTDQCFKDYIAVQTSKSRVFVPHTAGRYQRKKF RKAQCPIVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGGA REDFTKVGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAAA NEDGQKSYTIKKRDELEKVAKTNR >CAK66250 pep:novel supercontig:GCA_000165425.1:CT868043:93839:95185:-1 gene:GSPATT00035379001 transcript:CAK66250 MKPQTKWVILFLTSLSQFSFRAFVDYPSLLATEIKDHFLVNQFQINLLFSFRSFPNIVMP FVGGMLLDRYGTRRGLFGFMLFIIFGTFLCYLSIILNCFTLMLIGRFFMGLFLESCYVGI YKILAKWFKEASFAYSVDTAFICGGTIASTILLPYLVNNYSLDTAILSCLLLSMFSFIGL NTVTAIDKMYQVEAKQESMPHFSFSLLTQFNVDFYIIALSSIFCYTSYYIYSYNNAEMFK TMYHLSSYSAATLYGLPCYMAIFIAPYLGHLVDKHGYRMQGLQITSLIQMSVFSLVYLMP NCETTCLVIPAISQLLNGIFFAAYVVTLWPCIQMVVSPQLSGTAFGFVYSSISVGISFAS IFIGKVVQEETQASYSNMLGILLLISFLGASMNYLLFYRDSKYFNNKKYVISQNSTELEL KMLD >CAK66251 pep:novel supercontig:GCA_000165425.1:CT868043:95686:96738:1 gene:GSPATT00035380001 transcript:CAK66251 MLKSQSTELFVSPEELQRITFFATQNQRNSHKSNIPAAKQEEYTFKPQVRNNGTINRRST RNQPPSKEQLMISQDGMKGNHKDCNSAMMILQMCIPIKQTQELQQNANRLTHEVDTNQPS VFERLYVVRKSNETIQQTTKRNTSDSHPYFTKNMSNTLYSEYKKQISGSPEQQESEYQQV QEQEVPTPQKACLPNRFYFDQSEQQQNTEPEPKVTIPQHMFVDFRQQIKQINEEPEPVPS KKPLNRKEIDLMVERMNNWLQKRNYKVQEQWDRQIQNESNECTFYPKLSCVKQPKAQQSI QNSQRVSQQSSYSNLFKSKNN >CAK66252 pep:novel supercontig:GCA_000165425.1:CT868043:96789:98242:-1 gene:GSPATT00035381001 transcript:CAK66252 MINLSERERELIEQICSKNNKSNKTGKQVLSQQKLMSQSSTKLLATRLEQKLNEIMQEVD EKNEGQVNIQQMGRIFTMLDIFQAISYDQNYEMEVQGLNSQSQRQLEIDLHEKAFAIVSQ GEEYADIQAAFCFFRIIQDPNNLEPAKSASLMIDYLEKILEKQVDHESILRFCQEFQLYQ KTRLSGAKTGYLKANLAQNLIDTYEKTHTFKPSINPISEALLRESCKRENQESQHSQRST DSKVTQLYRKKQQSNQKINQLKLEQETEEMKQCTFKPQIISRKELPNVVDRLYKVKTRQE VEEQIKQNEIEKQDQEFSKCSFQPQINGCMPDMEQVGVNGYGQAVERLRRANDQRHLREI ELNHKPSGEKYEKVKRMAFIPPDMLQRGKVQKEIPILYIDIKIGPSKVGRLALRRSDNVE EVVKSFCKVWGVALQDYDLLVNQVKENLRNVMSETEAEDQ >CAK66253 pep:novel supercontig:GCA_000165425.1:CT868043:98527:99870:-1 gene:GSPATT00035382001 transcript:CAK66253 MTHLIKDTFYKEKLYQVSLSSFSFAQEQAGKQKEAKQPISEDVLKKALTTYGKTAEGQHY AYLSFMANNLDLTSLHGIEKFKHLQHIDVSNNSIKSLKPLNGLKYIITLKASNNRLTKLL DLKHIPLQIMDVDCSNNEIEVIPDLSCHRFLRYLNLSYNKIRQIEGVQKNKYLQVLKLAN NHIDHIENLDGMNLTELDLFGNEITILDGLTQLPKLRKLELSQNQIKSLNGIVDLISVRE LRMANNKISRIKELSFLENLVFLSVLDLCYNPIQNRRYYRWQVLYKLPGLRNLDGVQVPP EDIVKAENLYGMDLEDRKRIFKEVLPDEEFIDRRIHISELIEPETEDENENQEFIDQYDK TGKMMQKQTKSIGSQKSISEMYHSNKSLQEREYQ >CAK66254 pep:novel supercontig:GCA_000165425.1:CT868043:100393:102466:-1 gene:GSPATT00035383001 transcript:CAK66254 MPRKNKRQNTKNKQDQNTDQTEQEDQIQSAQDIDKKVEDQEIKNEQNQNIEQAQEQIQKV ENKEQAQEQVQVQNSITQQQQQDQQKDIHNAHQETTKDEENDHNQFGDVQQQKQEDSITK TQEESIKTQEEVQQPQEQVSQEVSQGDKSTTQIQQTAEKQQQQQQEKVEIISSGTSNLQV DSIKNQDHQYENETQGQNKKELQHQIEELKLQTQSAPDSTNENTMKPSSKPSVSSQKKQK EVQQHQQDPIQQPLNAQTQQQEVVLNVQAPIVVEKQNDQVLIEGAPKTNLKKQNDEVILE RVQEQKMDKQVEQTHIEIQPKTHIEQHQQIEVEKQPQNRQEVIIQKSENQSQAQTEIQNH QSKIVTEKSTKMQTEKPNESVYIQEAPAVQLEVHQDASKPEINLESRNLENQVEKRPQVE IPINAQTTTLLEHSVVNLEVNQFIEQVQPRPHSFEHKSQAIIEQQKQTQISVKAPQTEIQ VNDTKASSEPQQIVKVEAKQQQTQLVQEEEKVHVIQQAQTLIENQPSHEIVNVEKREVIE QKPQLQVQVSHDAAVKVEVQQVDQENKSFNQKQELVPQFVESQTQQVQNQEPLLPQTNIE QQSSIRSHKSDTNTPFSQILQQVPQEIGILGVSVAVATGASSFIVKSGIKSKVGIISAFS GSFILYLGYKLFKSKSQQNQKQD >CAK66255 pep:novel supercontig:GCA_000165425.1:CT868043:103079:103899:-1 gene:GSPATT00035384001 transcript:CAK66255 MDAEQEDDNWMPLESNPQVMNEQAIKLGINLELAQFHDLLGFEDWAFEMIPQPIYGVLFN FPLKENTKQFVEQEAAQIQEKGQHVSPNLFYMKQFAMNACGTIAIVHVALNADNAIIQEG SYLADFKKKAQGKTPQEIGQALKQAKEVKQVHKEAVQQGESACCDDVDRHFIAFVLKDGD IYELDGCKQFPINHGKSTPETFLADVSKVIQKFFERDPNEVSFSTVVLAKAVQE >CAK66256 pep:novel supercontig:GCA_000165425.1:CT868043:103980:105635:-1 gene:GSPATT00035385001 transcript:CAK66256 MQYHVSKQDVLGTLQDVFIKSNHLQENSKNSKIPQKPSSAIKGNLESSTSKNKLERYLEK YQQRKQLQDENNSSLAQSIQNTNIMKTQQKLNDSQTAYSSKMSFPRENTENDDKEQQFTG VGLSQYMSQLQQSKLQCTFVNQECQTEFQKQYMVFQGLNDLVQDHNESNISVIDEIKLLS SKRAQQNTICPQIQLTLQFQKEQSLKQDFSCQFNTEIKQSAENQQINQKPPSIDFGCQYD DSQNQVNAIVDDEHPIKNIRTRSRCQTSANQKNKNAQLNSDDQITFLEQELQLRDEILDT KTRIIADMQQQLSDFYKIFNKESDIEVGQHDLNSSKDSDHWKVDGLNRQLENDANIQDQL TQLMVKYSEEILELKKVIDDTTDQNRKLKEEQEQMIIHITELKQQLVDVEDNKVKEIDII NQDYQDKLSKQIMIIDELQSQMCSIQTSPTDIPLGSIQTTMNNITDQQMDEVGCESQTTK VNNQKAKNVLKHINKMTIMTAGWMSNKQDYVTLGVLGRIDQIKSQTKLDSFKKLN >CAK66257 pep:novel supercontig:GCA_000165425.1:CT868043:106129:106613:-1 gene:GSPATT00035386001 transcript:CAK66257 MSDDEHFSLHSFDPDNNIDNEIDQFYQKLSLQFYGEDKQEKVSSLISGSTQETSKPSRDT LPEVKKGFKYVTPLSTRPGSPRAGQIQVEKGLRNPNSARSPSKRRLPQSNKLLQLLKSPY NQKNTQKQAFIKKQMDMIRRIQIQELKEHYYK >CAK66258 pep:novel supercontig:GCA_000165425.1:CT868043:107618:110155:-1 gene:GSPATT00035387001 transcript:CAK66258 MVNFTVDQIREIMNKQQNIRNMSVIAHVDHGKSTLTDSLLCKAGILSAKESGDKRMTDTR EDEQLRGITIKSTGVSLYYEFDINYNNVKEQFLINLIDSPGHVDFSSEVTAALRVTDGAL VVVDCVEGVCVQTETVLRQAMQEKIKPVVMINKIDRAILEQKLDGETMYQKFVRVIDNVN VIISTYQQEDMGELQVQPELGQVAFGSGKECWAFSCSKFAMIYAAKFKVEPKKLQERLWG DNYFDDESKCWRKDSEGASGKQLKRAFVAFIMDPICKMANAIMEGNMEVANKMFNVLGLK LTQEEQKLNGKHLLKAVMSKWINAADTLIEMIICHLPSPREAQKYRTSYLYEGPQDDAIA QSMRECNPKGPLIMYVSKMVPTSDRSRFFAFGRVFSGTIGTGQKVRIMGPNYKPGKKEDL FEKVVQRTVLMMASKVEYIPDVPCGNTVGLVGVDDVILKTGTISDNPESHLIRSMKYSVS PVVRVAVKPKNPGELPKLVEGLKRLAKSDPLVLCTTEESGQHVVAGCGELHVEICLNDLE KDFANIEIIRSDPIVSYKETVSATSKIVCMAKSPNSHNRLYAQAEPLHEGLQNAIEKGEV TAKDDNKGRAKILSEQYEWDKDDALKIWTFGPDNSGPNILMEKTSGVQYMNEIRESMESA WQWSSKEGPLCEENQRGVRVNILDCVLHADAIHRGGGQIIPTARRLYYACELTAQPKLQE PVFLAEITVPIDSTGGVYNCLNTRRGVIIEEEQVPGTPLSLIRSYLPVAESFGFTAHLRG LTQGQAFPQCVFDHWAILNGDPLEPGSKINELVLSIRKRKGIKVQLPDLNEYLDKI >CAK66259 pep:novel supercontig:GCA_000165425.1:CT868043:110729:112200:1 gene:GSPATT00035388001 transcript:CAK66259 MKKAIKQKVKMLGALELDRQIKRKLESTNYSILQNFDVYQLPYCRRPHKHYADVEQLKKF LQHIPYLKEITEGMSMRLVEKIIHQLSLKFLPANSQCDSQFQIMLSGEIQIGQRTFVQTD TLNEVGTVIRDAWVLVLSNEGYNDLIREYHENLLAQKLHLIQQYSVFSKISIQRLKNQLD QFKQEQATNNTILYQEKQPADSFYLIVQGEIKLIQEDIELQLLGPKCVFGEMELVEGNEL RLHKAIVTQHTSYYRIGYHQLKILLNSSCLYETFCQNYHIKAQFWKARKQQCKKEVIIPI DYSEFKHEIPKTYHNYLSASKLLKYAKQSTIVTQDDQQETKEDKVLEYYKQFNHQIKQNF KNNHLLRKVLIQAKQTNHDLPIMKGLQLERYLPKNHFTHKKSLKIINQFNETKQQGFVLD IESYPTTTTFVKGSRLFSAATRQQSAATCRLQSAAPKLFTSPSQLQSYRPMTAVQRSKTN EAK >CAK66260 pep:novel supercontig:GCA_000165425.1:CT868043:112241:112947:-1 gene:GSPATT00035389001 transcript:CAK66260 MNYILVEQDERLQLLISIVKRIKSNTVVVFSENQINFLQELFILMGIKTNFRTYQQPANQ SLQIYYDPPLTEVKSGLVFVLPEEKIPNCTESKLEKNKQEQFATQEVMKLVSSNYNLNCL ADKAYKDFVYTYQHKLDQQNYDVLKLNPMRLCKSFGFEVTPKLNNFKLRPSKEYPQKQTK TNQTKSKKIKKQSKIVNKKVSNTKVNTKKHKN >CAK66261 pep:novel supercontig:GCA_000165425.1:CT868043:112967:113447:1 gene:GSPATT00035390001 transcript:CAK66261 MSLILSQINKDNVKVGKGCCIALGVQILSEVGEIVIGDYTIIEEGCIIRNNHFKKMVIGS YNVFEIGCKVENSNIGDCNVFEMRCMIESGCTIENNCRFGINSRVPPKQNFPNFSRVYYP FNVLKVPPYDSSQHKEEITELCAALFQIGSKK >CAK66262 pep:novel supercontig:GCA_000165425.1:CT868043:114435:114927:1 gene:GSPATT00035391001 transcript:CAK66262 MINRQQEISFQSLLESEPSIQQVRSIQIQSSKYKTQRKVSQFESESLDSVKSVFNSDYDQ KPIFESSSRMSTANTIHKKNRRVYGFQNSQNVNQKLAQRKRIQSFRLDEDVQSYEEEESL PEKLMDVDLYFRQDAIVSVQKILETKRKLS >CAK66263 pep:novel supercontig:GCA_000165425.1:CT868043:115249:117146:-1 gene:GSPATT00035392001 transcript:CAK66263 MPHNMFAKLLSLNPSTSPSIEISKPIFTLGRDQKNDKVFSDIKVSSIHLTIEYKDSQFTV TDLSSNGTYINEKKIGKGNTVSILNGDKIHLLPIQKVKDYEVIGFEFFVCSNNEQQASKI SIESIDRQISDGKREVQNEKLLDTKLVENIVDTEIINEPPKRKEQSPIHVPKIDGLEDEL QCTICNDYLFEAVAANPCNHHFCGSCLSNWFKKQTYECPNCRAKLTGVMQARTINNLVEK WLKINPHEKRTEQLLNKMKEENLIYKNPEQYINLNAQLNYDKQEKKVQLLQNIQNQQELN SDEDEDEEGEHYSHDEEDDEYLSNDENFDDNRQNQQFQQQQLFPLQYHQNNHAFQALPPF FHQNNFQLGFGQNVFQQQFMQPNKVCKSCNGQTWKNFQCPPLQQHVGCASCARLMPKLDP SEADNEVLQMQCCICKAYHCAFYYGDCNNAALQKFMLVKNIQHQMVIPYQYVNSAEYKRL IQHLGGRPQTTIFNFMMENYISKGYFYFEQNKATFNNPLQEISVKISPNSPICWLCHRKL INFIIFKYVQCMKYDEPIFMREDCYYGINCKVQQQKQYHAQKYNHFCEQTKFD >CAK66264 pep:novel supercontig:GCA_000165425.1:CT868043:117856:120675:1 gene:GSPATT00035393001 transcript:CAK66264 MLVQPHPNIQQGSCSRSMSISMDDSIYTQIQPIVQKMTVVNKHQLQVRKPSDVAQEMIRS PLTTSVTPQIASDRQPQSEMKDLLLIREEPSNKEHSVQISDRCKRTHRTRMKSISQQSIL IDEKPLHSYSDVQWSELMSLKTVSAHSPLQQHLICDKAADIYQNNSSGRRFQPKKQNRYY HASNAAYCFYICFFMSQMLINLHESFQKQNAYQILLIVFQVIYILNQILFEQYDDGEIIN NMEQLIQMYLKRQSIFDLITLIPVIFALSSERCHFIQLVHIVRLWKLRQLMKELEQLYGR IFKYIYYLMEFHFVQFIALSILQYNQDRRINFYNEFIVLIYRSEDSNAITYFIRMLTLIY YCFCVFRLTIRDDAELLNKLELRCCTRQQINTYMNKLNQQKPVDLNFLSQLPQTFVDDVK SQRYLHLLQNMPIFKSSFSENTLKQICSLIQEYTYIPNQVVLMQQTSNQHLFMILSGGVQ ISQRKEGSSNQDFKIKVLGKNSFFNNQAFFKNAYSNINATSIGYSQIAQLDLDQFLECIK SHYQERQKYKMMVDQIVLYEFYSLCQLCCYACGKFHDIDECDHVHYIPKKSKLVEYIQSN DLQIRRNYLRRRKGKQKQRRLKSNILKIEEAALHYQQQNFESDITDDNKIYQDEVISIQQ AYMGEMSHRQTERQNSLQQSQQTQRRGSQCSMRESLHSLQQQQQQPQQQQHQLMDIPNIS PVQYVQQPQSGMSHSSFGQLFKDVSVNRKNINGSSDKNSSGNVFSLPYSSNGSKNTVNNM ISIQEKDELFNIQMKRLMLQDSVYYHQKRIQNQVDNCSQNTLSKYQKYNTTQPQQQQQQA QAIRSDRKSNSCISESEIGDHQLLQQQDLQPSRYGIQSSKFTGETAKQFLKNPLEMPNLE FHQSFENSRQFSEYFPQYNVDYTIQCYKNYQQARSSAYK >CAK66265 pep:novel supercontig:GCA_000165425.1:CT868043:120785:121776:1 gene:GSPATT00035394001 transcript:CAK66265 MSALKAKGRKIFEIPICTICLEPMIKDLCVFTVCGHVFHYNCGVNCHQNSRKCPNCRMRS TEIQSLHYAVEEITGIDEQMSKLLNDLSVDQKQHMSKLLSDHEELLHENRRIMQRNKFVE EKNESIQTEVQHIQAELMRSLEKSKELEIKEKSQCSQLKTLEVCLQSLEEDNKRLKQEVE NNEIQLKGYHYLETLSKVINNPNEDTQKSPSEQAKQIYDLYVIKVGQFKTVQKEVTQLQE QREQQQKKYEQLQQKYERMKDLYEELQKENMSIKELSRTQNQNPVQKIIEHYEDQKQETE LKEETCEHVKIRNNH >CAK66266 pep:novel supercontig:GCA_000165425.1:CT868043:121813:122367:1 gene:GSPATT00035395001 transcript:CAK66266 MSQLPEFKIPNVVDPKLWPNPRTMTPQQLQTFTSLDMVKLNYTFKTLKKSAPYIVGVLAG CFFTSLIVDKVVKVHIFGENGNGGTLLQMKTYNSIGDYTYNRQFQRMRYLTEMPAGDDPL VKTSDYLLHDLGVTTQQVGVQHGIVKKVPHDKYLL >CAK66267 pep:novel supercontig:GCA_000165425.1:CT868043:122367:123311:-1 gene:GSPATT00035396001 transcript:CAK66267 MKQDLPIESVLDTMDYGDKIKCISGLVATLDQMKSNQVHKTYLIKKITELRLVSKIINDL LCQEELIHSPKRQQSKIKKSRIIFDQQVDLMLLKGSIAKLEQSIKDGFKLHQEIHPKFQQ IQSQNLENKDDDQFEHLDIMRVVQIYQSEMEYVNEYFNRIENNPNNANSHSLDILNQSYQ EMLLDDNIIIITQYFKNLNQLIENLENLKQKLIQYEEFESQSTNFENSRNSSTNEKHFGR NKSQTYELCEQQRINVLKEITNEIKEKSSETCINCSIF >CAK66268 pep:novel supercontig:GCA_000165425.1:CT868043:123431:125031:1 gene:GSPATT00035397001 transcript:CAK66268 MFICCASNKKPKVVQTQTQIPPLQAQTKQPKYQIRTSNDQDQHSTNLTNMEGTNDKINLS LQEVEKFILHDKKTLEQERELVTKLIELPEKSHLIEFNWAIAYTNYIKNAGLHPGEISNK ILYDKYKAKEELVLDKDYVLVNDQVWNVLVNIYKGGPMMTINDLDRKSEINILDQSLKVF ASPSMNDLDIKQAKIRHLKQMSIKELQIVGLENEIYFCYLNSVLQCLMGIPQLNSYFLIS SQSECKLFSYAYSLLLRKASKVHYKARIVAKELIKVLQKHFSIYEMHDSSELLLFILDKF KEEIFINNALQLNQLQQCQFKQSITFIDELFHGQLTSFIKCSDCNKISQHQDPFYDLSLP LVGKNFMQRKLTIQECLSNYFKEEMIDGGWTCSFCNQKFRTIKRRVKISFAPNILVLQLK RFQVYPLQKKIKEPVVADMELNIKNFCVSEVVDTKYELHSMIVHSGTIDQGHYVAVVKRN QNFYLFNDDEIERLSLNQINRIDSAYLFMYHRKSD >CAK66269 pep:novel supercontig:GCA_000165425.1:CT868043:125072:126734:1 gene:GSPATT00035398001 transcript:CAK66269 MSSNTLLTYLQNQITQLTLNIEHIQKDISLLHTQFEQKIFTAKRELNSRDDSNQISIEQI KQQFDYTIKALELKMLQIDENKMDQNEAYQMMESNRKTIQEDVDLLKNEMAYQKKYFDDL LNEKIRRLEISTLTEQVHQFKDQLKQYYQEEQRQLNEHQTLTKSLLNANKKAFFQELERI VQQVDSMKIEIHDQQQLFIKKPELETKINQINLQLELKSDLIEVQNALNTQQIDIAQRFQ EFKDEVRTVLELQGNEFYQLINKKANHSEIISLLQNKIDMEALLMHTEDKVPLKDFHQHM SLIENIAVDLGKKQDATTFVEYKDANNLQIAEMQKDLQKKLSKRDFKDVLDKKANHEDVS KAFNEIQQILSSKFSYEEFNRFQLNQNTLNEQLCSQNIIGRWLFKGNFLSPGSLIPWNIQ AINTLTENFLWDKDKPNIIVVAPGIYEITLGFFAKKKPKIDIMVNGETIINAVNNSSYVV HHSSGKLKDTKTSVTGLTMIDFISLPSRARINIAYQGDLGEGFLSLRKV >CAK66270 pep:novel supercontig:GCA_000165425.1:CT868043:127021:127939:-1 gene:GSPATT00035399001 transcript:CAK66270 MNSLDEAIDNLDKILAHILIEQDLRLKGIQIGHGWKQLTVIKEAITKLSFLDRRQYKKKL VELENRLLTYEDNYISEVELKLALEDVQKVNELLNNYKTQLIIDKRKEIEEKLIILRNEL QRIYEKNKNKNDKKWIEMNSQISGIMGLIQNVLNSKDNAQFTDQREQMNQVLKTIYVQKR IKDEEEEELLKIAEEMEQLKNLQRELDQQLQKDNENISEIDKQQGTLQNNQQHNAQQYNQ AFNYVQMRRRTKVKLFFQGLFTAVGCLGGKIGAAFGFALGTITGKKASEAVTKSSIN >CAK66271 pep:novel supercontig:GCA_000165425.1:CT868043:128778:129328:-1 gene:GSPATT00035400001 transcript:CAK66271 MIQIFVKTLTGKTITLDVKSEDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLQDY NIQKESTLHLVLRLRGGMQIFVKNLSGKTITLDVEPDQTIDMIKSKIQDKEGIPPDQQRL IFAGKQLEDGRTLGDYNIQKESTIHLVLRLRGGY >CAK66272 pep:novel supercontig:GCA_000165425.1:CT868043:130170:130733:1 gene:GSPATT00035401001 transcript:CAK66272 MSQVMLVLGPNRVGKSSLIDALTYGQFHREFYPQDIHFQVKLAKVAQHRVYEVQSIEWDG KPLGLVQYYSNVADLILIVVNICGCYDQMDQVHDIYQALPITNNKQQIVLIGNLNGQNKR NEKLCQSLSLFAKLNDLKLYELDSTNIMSVQMEFHFITQPYSLFDDIWNRNQNYVTQFVS QAVSLFN >CAK66273 pep:novel supercontig:GCA_000165425.1:CT868043:131306:131794:-1 gene:GSPATT00035402001 transcript:CAK66273 MYMRYHLETHQPKSHNKHPDLKLNVHHSHIPSLPLLLPQQLSPVKEKSPQLSKMGEQILK QWGFYPESSLTTKKEMHTEHTKSEKKYKDKFDDLLYNLNTQRPKCQKNHDLKNDFSLKKS VHFNSVVTVKDIDGQVSQKEIKLFSRNKRRQRTKLEHLTVFS >CAK66274 pep:novel supercontig:GCA_000165425.1:CT868043:132088:132578:1 gene:GSPATT00035403001 transcript:CAK66274 MSEKKFQELSDKRQSLENELKVLEKQIFDLETKYLEETAATGNVIKGWEGYTTIKSGKLN GNVSRKTKANANDRIFSQSSKTSPFRLQLKSPKVLRVHNLGLEEQRKRSTMDTKKSIYGS YDSDVAENSQGSSSEDFQQDQVKKVKGSKKI >CAK66275 pep:novel supercontig:GCA_000165425.1:CT868043:132883:133833:1 gene:GSPATT00035404001 transcript:CAK66275 MNQIILISIIISITLACSSKQLRCEDLLDQISCVSVSSLMATCYWNTETSQCAVLKAKCN YYDNQEECRGQDGCGWNETHCDKLMIKRKGITCDEFNSYESCVKVYSTTLSCTWEHNKCI PISECSEINDYMQCRNAKLKDRCQLVINGKASSMQKQYFYFGDLFDEYECRALDCKYNLN SLYCPSFVNGRRCFHYFGECTQCSYLTNKNTCLEPNQCTWENGVCRNILCSDLKGKDQCL SKPYCQFNDANLKCDTRTDNNLYCYANNITSDPVKSKKKQEI >CAK66276 pep:novel supercontig:GCA_000165425.1:CT868043:133978:134619:-1 gene:GSPATT00035405001 transcript:CAK66276 MNFNQIIRSRSKSPVRQIPRTSHISYFKVKEQRQSLYKKEFFVQLEATFNKIRKQLHQFA FTQIHRKLEIEIKKMRLIQTQHNQKILIKTLSKWIRLYKYQKMSQSLISSPTFSSGFKSP KNNSVNEFKAIPKTKKQSTLRTKKLCNALMKIQWNNKRLIFTINKIFQIQKRKKKSILFK WYKLTYKRLLLNVKLCKMVRILNKQIAKYLKAL >CAK66277 pep:novel supercontig:GCA_000165425.1:CT868043:135345:136115:-1 gene:GSPATT00035406001 transcript:CAK66277 MIQKKQIKKLKHKFNNRTLAQHYPQFRKYIQISNKGETIIDYKNQNALNELNKSIMDYYF GIREFTVPLTSMVPALGCRLNYIEWVKLQVDISKFPITKIYDVGTGFSGVLIFLAYRLYG WKGIGVDTSEQAINHARSIAQSNHIECEFKVGDLFQFLEDGMVTVCNPPFYDDQEEREYN RVIQTQETFVEGGEVSFVKRMLENSTKNCMYTTMLGRKSSLNSFQDINEKVELHQGNNIR FALSWTQQKEQQLINK >CAK66278 pep:novel supercontig:GCA_000165425.1:CT868043:136166:137746:1 gene:GSPATT00035407001 transcript:CAK66278 MQVVNVSNKQIKNFNLDTINAVYDTHINYAKTRSINCSDNLLGSTDGFSQFQCLYNLDLS HNRINQFFQLTSSLVEINLAHNLLGSVFDDFQKSLKSQGTRLYVGSFLSNLKNLKIIDLS YNNISSISSNIFDGNTQLRKINLSNNQLSGPLWCFTKLENLEIIDVGHNQLNINDLKPLE KLKLESLNLRFNPLENMDKCEEKLIKLLLYTRKIELSYNQLEQQIINNNNSKHSSKLDHS VNSNDSLQLQPTERSTASRLSKVSNRLSRPKTPQNTKNEPQQINRTPVKHQNQQEVKKSK CDLKKNISITPKKNNGNKTPKQQQQVCLKTVDQHEEQIKQRDSYQQQKSTTKIPSLNLQM VKVTPFQLSEVGSEREDFPMLFEEDDEKQLLEELKLLGEAIKQKKQHEISIERQITTNKN MISLMKIMIKGIYLYNVNEYNQGFDDVMTELHREMESKNPEFKDIKLKLMRFNKEHYELN KMYQSLEQSERVVDNIKQQLI >CAK66279 pep:novel supercontig:GCA_000165425.1:CT868043:138147:138563:1 gene:GSPATT00035408001 transcript:CAK66279 MQQHQSQPAFNPKHLFTMKKYFNGYVQQNRFFHKKTENKSENGNDVFKEIQQLPQIVQRI DRRIENVNYKYKSAPMIEKKKQNSRKFSFPVLKYSPSENKMQLQKIKDLIEQHTSRVIVK DDMLKHMVGYSKISQMII >CAK66280 pep:novel supercontig:GCA_000165425.1:CT868043:139116:140709:1 gene:GSPATT00035409001 transcript:CAK66280 MFSEIIPSLMEYSQHLDRTIKELQQQILQMETITNKTNSHKRPLEMKYMQHKSSLPSTLP EINNDIDKILQTAEQFLSDPNKTQIEPKKQEKQDFEQPKRKLESFKQTSNKKQILSRKVS QKKLSPSKVSMHKRSGSLYKQEVTPKVTQVQPKFPVQQRDSTKSFKDQSRLIKQSPTKSI HEKNSILIEDQSKAKPPIPTVQPVRKQPSQPYLQLQPISQQQQQQQNLNSSYQQQQNTSK MENNQQQQQNALKKFDFNGYKELSRLKRMKDAIFVEYQQSYTYFRDKEQKSRARFLTKFH QHMNERKKLLQQSAYGDRQTFFFLSDQIHPQFFSFIEEQLTIGQPKTLLILKYCEQIQKT IDSIEFDDELLKNLKRANKQNISKISLTELIEVFKLWSQVQIIRQNYQQLTQFIQPIPEL TYDLIQWICLRPKSTKKDTVQMQLNQKIIRHNQYASTQEEALEINKLIIKEEIFRFLWDI IQKLVFSQQQLDTSMGLFKSILKFLTKNNCSIYVYKKSDLFI >CAK66281 pep:novel supercontig:GCA_000165425.1:CT868043:140722:141758:1 gene:GSPATT00035410001 transcript:CAK66281 MHNQLLTNRSNIKSDRISKILSAYTVENDKNNQQSFRMLSQKIKKKIGNQISDHQTLYPK PEIKDTKIEISKYFSDHKTTQIQISVKDLLMHKSQMKKSQSKKSRDSNQRQNEIIDKLNK TQNEIYKNYIAKRDTNGSKTYRDSRDLDIRIKLNDKSTQSQQALLQTLINKSNEKKSVEP RDSPDQCRTPQHNMLQSTIQNSLLIRSGSQGNVACTSKITSKMQDPKLNKIRNYLEQAKT HRPITDSYKLSQEIDKVKKNKLVSVEREKLLQILKIAHRAKSKIDQFNAYLKQQEKISSG IQSFILNELSSENSIESILSLE >CAK66282 pep:novel supercontig:GCA_000165425.1:CT868043:142075:142570:1 gene:GSPATT00035411001 transcript:CAK66282 MLQQDDKPKFTYQVLSSQKTNIYGFQLAFICEESNLFGFTEQETIRIQEFDLNFMDQKYK QYTYLSYKLEGHTNQIYILEYNARLKLIVSANLDEMILWQKDIDKQWKIKQRLQAINQTK LFFARVELSKSSKTKKNKIFVFLKLNQYPIREIK >CAK66283 pep:novel supercontig:GCA_000165425.1:CT868043:143441:145566:1 gene:GSPATT00035412001 transcript:CAK66283 MIIRNWLIYILLQYLISNSQYQNDQRVQKMNTQMKVILIFKNQHQKNTKVNYKLNYDSIS KILLLSDNRILKCFNVFTKIKLNFTFNFLCTHSNYLQAEDFFYLYFWLAQVTQCSYQPLF LFALSFFSQKMQVAIQSNNKELVPNIKSKQDLNLLISIVYIHYENMAKTYQFHKLYQQNC YFECYFNYKNKICQSQSTVHIEFGILIRFMQDSYKLERYQSLCYNKMKNFHMRKIYQQFS ENICRVWTLNSLQSLYYKFQRICLKLNRLILDKIYRVIFFSWFCIKLLHNLISSKNQSFS FTLTIFQKGKKVFQLLANLRVQIVESKGNDESIEKQQVNKCQEIQRRVLNPTAMTCKLIQ HTKMAVQKIQQEATYHYKVSAQNYQKMHQVDITMIQKDILTFFQDVQSFTSKLKKQIQNI VFNEKQDQFIHYVNKLLQILNFSFKCLAMDQESLNASFTTQAEQMEDNNLLYENFTRISP SLNAAFAYCLSYYRMFSNNRQIVLEKWIETKEIMDFANNAYIYSLTPINLLIRQLMLGMF IIFSTPLIMLIMLLSCLKFKHQILEEEVDWYIENITKEIERTAKEHCPSFFQPVAEQAPL EVRHTHAVINHSLEQLESIAAKITPANAKDYTKETERYTWCLTGMVPLLPDQEQDPFKQN GIHQRWQKARKKIQQIVL >CAK66284 pep:novel supercontig:GCA_000165425.1:CT868043:146277:146585:1 gene:GSPATT00035413001 transcript:CAK66284 MYDIQNHLLEFMKIKTTIIIDYQLLCMYRILVLHHRKIIEDGSHSTVYLLVGCIKHYGKS KQMVFNQNKKGLRNAKIRLLFSCGYDYEQKWQIDNKKKIDAY >CAK66285 pep:novel supercontig:GCA_000165425.1:CT868043:146891:147205:-1 gene:GSPATT00035414001 transcript:CAK66285 MFFKLQTCNQSIDKCPSCGQFRIVKNNDCICIDGMYESSVDKQSKLCNTSFSTCANSNYR SRYSTDNFRQFNSGNTCDCIARYYENLMNQNCDNFERSCWTCFL >CAK66286 pep:novel supercontig:GCA_000165425.1:CT868043:147502:149035:-1 gene:GSPATT00035415001 transcript:CAK66286 MVLIHLIAQHLIQPIDNSYYLIMFVQLGIMILDNQNVQVIIINIRRIPLFLLILCSLFFR SSFQAVKRQYMTNQELISVKNVHINIKLFELMQKIFQVVYQIPFAFLVQSWVVIVQVNTL TKQMKNFFKMSFQMQNCYGQDDNNCVSCDFIANRELKKMNAYVNHIILKWKFRNAQVILD AKSSLQCIFCYEFINSFDNCTSCNSDIQLVGNIDYYQFMIKHVDIVDQISLQWLVKCESI QQKSSLECHLSCRTYGGIDEGNCLHLQILILDTKQEMLLFVKQQILMQNYLFVRLQILMN AIGYLKAVFLVWIQMFCYQQIKQLKHITNKDHLYQVLIDANAFEDIMMMDKMKFVKNAIT HVLDVANLIPNVNYVYVSQIQFIMIKTYLVIVILDIMIMGLKIVKIPLFMFKLQLGSFQF LHFMCCYEQLKQSLLQQYLQMFIWLLR >CAK66287 pep:novel supercontig:GCA_000165425.1:CT868043:149085:149502:-1 gene:GSPATT00035416001 transcript:CAK66287 MNNEFILFFGELFLLQFKIGYIQFITSKICICILLQIKYRVFEYTCWVWNDFGPHHCTFC LERSHRYLTSQGECLCKTSYLMIKQMIQQLRYHYSNSIEKIHAYNSLKVGNQLIDQLLNL NAYVHPQTI >CAK66288 pep:novel supercontig:GCA_000165425.1:CT868043:150618:155097:-1 gene:GSPATT00035417001 transcript:CAK66288 MYPKTNNPVLQIKGTMISPSQWGFSNITIDYGVCQLHCQICLDETTCQVCDQGYFLLGTE CINKCPIYSNDCLDYVDEIPFSQYLVKAFYDTNMTFDEISSHFDSVAQSGGNFLTGQYFS TMNDKFVMGGLLAWNNAKYTKTYTFTNPHYAISIRFNITFGDDFNGVFNYYLDSYRQKTI IRQQSNDDINIIGKESKESVTFIYQYYQHTSNQFKIEFECSAEQDLRDGFCAISEYFIVI HQCVTGCSSCTSLDVCTLGTDLQSCPAPQFWNYDAATSDYICQDCTLNYCKQCVTGSQCK VCSDKFSLKHGTCICDETQYRTIVSANCVCKTGYYEDQFTKTCQPICGDALVVEMEVCDD GNLVPFDGCNQCKYECQLECSLCVNGECQLCQDGYMNIQMQCYPECSFNEAQIYPCFDIE ENKIQKSYQAPLPISKPICGDSLIDPFLEQCEDGNILPFDGCYNCQYSCPDECSTCAVGV CLECNQYWGLVNKECVAVCGNGYVQGNEICDNGQLDVQLNGCYNCECVKGYLMMDKQCQT ICGDGYTTMDEECDDANSIQNDGCHLCILECLNCNTCIKGQCQQCKAGYYKNNDQICLDS QCGDNIKIGQEQCDDGNLNELDGCYQCQCELGWTTNSGNLCKSICGDGILVKGEQCDDGN NIQFDGCYLCKFDCNGQCAECQQGVCKSCNYGYNLINNNCVNTCGDGILDILKEQCDDQN LISRDGCTNCQLEPGYLCFHDNLIFNHCEQCKDVNCQNCVVIDGYFVDKYYSCSVCDKIC IDCVTTQKNFKECNLKLGYYYDYQLRDCVSKCGDGIISGSENCDDRNLNDFDGCSSKCQI EPGYLFDKDGNELIIEPNVEVEFESSNNNQYSIIADTSQKAINCTGTTLHIEKFNKTDYN YTILESDLKCDLDIEYFKNVEPVNVIHVIIKYKGQYKKRALDEESIKEVLIVPQRQVYVS QEQKEQGQKMAQTSKTVSQSLLILGPLAMLAGGFKFIWSILDILSWLNNFYFLNVTYPEN VRQIFLQAQWDNIVQFPSVNTFNNQNDPYYFQSPTKFNDKGIDPLFFNNIQTILIFLIQV ICTKILCKYIRRFLEYYYKTSNKTFKIIKSQQSFFQLNKPSFQVLKQQDIYQQNQQLDQE QQAFQIEVNIKVQQQQQEQYEQQQEQQEQQEQYEQQQEQQEQQEQYEQQQEQQEQQEQQE QQEQQQQQQQQQDQMVNQQQFQNIPQYLQQIYKNSVQCDECFIANLLKTVQLSFLDLCLA ITLQITNQQTSEYIVVKVNIIFAYVSIMILGYLLYFTYRISITHKNQLNYKHFNDRYSCF YEELKTDQRMAMSYSFVNLIRKTIFIISTVLLYSTPIYQTTICFLSCVLNIFLLLQDNPF ETKQQYFLNLIPDLGVLIIVGITIVLAFQDSFVMFNDQFIYNLGWVITACIYLSIGLQLL FLIKELLIKLIHKCKNLIDHFKKK >CAK66289 pep:novel supercontig:GCA_000165425.1:CT868043:155424:156295:1 gene:GSPATT00035418001 transcript:CAK66289 MIIILNYLKAMKQFKTQMVRQYFFQSKSQNNIKKNFKHLNMIKVFLEYWISFMKDSQNQI QMKFQDPFNRQGSYQISNDPSNILKSSHILPNRIQQKHLNLLELQVQHIYKQQYLRQNKL SKRLDQIHDMTHIKDYKKIHILKSFLFLFYFHLQKVQIQQILKNSFVELIILWQLFLKIQ MNILQHHSYNFYSVTYFNRVGILDDPQFQIKLTETVDDEESEIGKSQYISKMTQTYQTEI GMDFKIKSFELSSTICYSPLVLQVAVSTPLIIHPSQFSVRV >CAK66290 pep:novel supercontig:GCA_000165425.1:CT868043:158562:159614:1 gene:GSPATT00035419001 transcript:CAK66290 MELVKKGFSVLVSIHSKLIHKYYQHLYRKRKLRIFFMGIGSLYLLRRLSQLAMALAPSIR RNMTARYGEESWAVVTGGSDGIGKEFCIQLAKQKFNIAIIGRNAKKMDQVCLELQGFGVQ TKFVVADFNEGHTVEFYNKIYEQLEYLDISILVNNVGAAEAGQFEQTKMEDSLMMLRVNA LSTLMMTRILISKLQNREKKSAVITVSSGLAYLPCPFVSVYSGTKAFTNYFTQSLALTSK KIDFLSATSLGVSTKMLGNRKGPNILETNEFVKNVINDLRQGKTHSFGCQRHKRFINHLL WRNQNFRNQAKIQIGHNLMNC >CAK66291 pep:novel supercontig:GCA_000165425.1:CT868043:160273:162918:1 gene:GSPATT00035420001 transcript:CAK66291 MKQTRVQSAATNSRLRDNHYDPKVHAKAWIAPGIFNQLPKYEHLDSGLVVIHQKNNKMKQ FKELSELNEEIQEMQKKDEFRKFKEFENLYNGDLVVTIEYCTNCSQHAGSTRHDEEKYYT FATNLKQELIQQYPTAKVYLKPLIYDPHDYSIDTLYIQRRIGAFEVQVCSKNRDQVKKGL VFSKLNTKIWPNYTEVIERVSDYLNTAPLEIYVNFGENIKGNLNNIEVTLTPYRQDRPQS SVSRISSQTKKTNRTATKERKIIAKTNNQGYIKLEDVPVDVYVVEVHESNDYLNEQLLLN MVEMAEQSNDTMQVVIKLRKQTHSYLDIKIFSQQGATLTEAKITITNLQTQESMLSREIE PGRYEAILEPNQYTFTIQKRGYKELSQTIDAVQGVNEFKLIMEVDPNDQQPIKPPQSAKQ LQPIQKPSSARPTSASRSQQGNNTAQSQQQMSKQQQSQQQQQQQQQQLPPKPKSQQQSIQ SYEQPEYMEPTKQEAISNPNRPISGYKSTQVFIYDPYTNLPIEGVQVILNEESTKQSQTY VTDQEGTCRIILQGVLEGKMVIQTEGYFPIVEEYGTTQSKMNLYQLRELSFPLIQHLEDK NSVMILVQTNVEVMPIDIKMILPDNVQIDQSHQNVLYDMNDESGCQRIIVHDLNTRRGVY RIIADIIEPDYVHPQHLKVYIITNKDLKLVDVPKTINQEQIYWDLGVICAPNSGFLEINT PTDEILKRDKYLKDYQSLLQFLKNSKNMDLKTILGFNDKERLELQGDVFVQKEKIKNTLD KYGFEAITNLDYLIYSAMMSNGLYSFKRLEQKFGNLDFEFIFDDENSTSKQKEMSEDEPY DDDYDI >CAK66292 pep:novel supercontig:GCA_000165425.1:CT868043:162937:163292:1 gene:GSPATT00035421001 transcript:CAK66292 MTHISIYILYSITDKIPKILLHIIIYTQDMRNQHYQLELLNTGIQQEMVHFNIMLHRLSQ KLASKNLKGVKEEGIPRITIYYLMQMKLKQPITEENITY >CAK66293 pep:novel supercontig:GCA_000165425.1:CT868043:163333:164436:-1 gene:GSPATT00035422001 transcript:CAK66293 MQYTAPIEKRLVFQSSQQMMPLMHRKENIFSPLRISPSTQQHFSPAQHQFSKGNEYQQIR QNTNDPEKEKEIKVLIQHNQKMKDQINQQSIDYENLKHSYDKMYQDQLRLINELEQISKL SEQQLKEIKIKQEVIKDLQHQLETQCHSQNKNQDETQLLRQQLQIKDQEIFKFKAILEEK TLENQEIRNTLNTINQKVQFMTQQKDQQYQIIDKFNKELQDEVTHKTQQIHATSQSLSQQ QQQVTQLKFEVESLKVKLQSQQQLMPLQQLQQKFLMLCMENDRLHSIIQKEYEQKKQMEQ QQISQEQEIAQLKNELKQISDEFSHVQQGLIYEQKNMYLIENLKNQLNYYIDENARLNVI IRQQSRI >CAK66294 pep:novel supercontig:GCA_000165425.1:CT868043:165418:165855:1 gene:GSPATT00035423001 transcript:CAK66294 MEQLLNSLLTQSSKKQKKVVFINKNRMNREYSLFQIHLNQLQKCQQPVERLGEQSALKQS CIKRQNKSPLIRKQYTNKDLQNKQMLSMPQQLHQRFIQQKEKELVQFLTICLTILSLIVM FVEYHQQENYRRFYDN >CAK66295 pep:novel supercontig:GCA_000165425.1:CT868043:167360:167878:1 gene:GSPATT00035424001 transcript:CAK66295 MGNPFSKFFSRQKSQILINGLDAAGKSTILNQLKLGTVETLQIGIGYQQKKLETKFFNIF SWTIQGPNGIRLIWKHLYETSQALILVVDLTDKERMEEIQKWLEYCLIDNKRNNLPLLIY ANKIDLAQFNYDDLVSELKFEKFSNNWHIQPCCAITREGLEDGITWILQQIK >CAK66296 pep:novel supercontig:GCA_000165425.1:CT868043:169068:169882:1 gene:GSPATT00035425001 transcript:CAK66296 MYDQKLKNSNQREQNNYQKDQDNNNQKEHHHQNQEHNYSQQNYQKNNSQEQNEQTQAYIS LVVFFFFAWLSQQNKQKQEQNQAINLIPNKYTPAQTISNEKKELSEQDCKDLPLDIIKYR SKKGDYIMGQLCYDRLIFDEQKGLKKKKELDYQFQQIGASDINSQISQQSETIIVDQVQQ QQLQSKTEKKEIFQQNLESKEQLEEIGEIEENKQNEQQKNKQISDDQTGNVVNIDQFQNN IEQPVFERSHQEVQFQQQPQQEG >CAK66297 pep:novel supercontig:GCA_000165425.1:CT868043:170090:171565:1 gene:GSPATT00035426001 transcript:CAK66297 MNIRCTQANHQNQQIMGFCINRICPDLRPYCNFCLPCHSKHLNMLTSLELLYGWIQQRIL IIQDVEKSVQECKFSLDNLLNQFLPYLHLNIQQLQELGLSELDILIKSLCQMEDCEESLF IQLQQQIEQIQSIIKETLQIIKSFSYLKQNEILLSPLDITIQEQPKHECVLNPNQNQFTF ELMQQNSIKQKEWCRVIAFNKDNLIVAVGCHKNIKIFSHIQGQLKPIQSLSEHANSVLTL SFMKNSNNLVSGSLDNLIIIWQINEYNQWDCKQKLNGQSGSVFCLLLSNDDDLIISSGDN SIKFWTKQKQWSCSQTITQHTKSVYSLNLNQTQNKLISCSADSLILVIVQRTLDKKWDVL QKIKADQYGYRLCFINDNIFTFQPYCKEFMHVYEMDCNSQQYRKTKEIIVNCGSKDDCQF QQQYIDSKGILVNKNGNNIILLRKLENDGFIVEQSIEFQKYNIFGQVSNDGEYLITWDEI SKEIQIRQYKE >CAK66298 pep:novel supercontig:GCA_000165425.1:CT868043:172184:172915:1 gene:GSPATT00035427001 transcript:CAK66298 MKHESQTYNQIIQYNKSEFLLINNQTILKYDCIFDAQYNFGPYNSCVASTSQDEMIYALC QVNSNYQIISINFSNPTYNNNLYPNLIVSLPNQSFRENAWLRIQNNQLYIWNDENHNNGV HMYFINQRQEQQGQFICISASFGFQTHQIQMGNSFIINYMYFKPIHKDQLYYKFAKIDNQ SIFTHRDENSILLESITHQYFSFDTIPMIFRKNKEIILMISNSNFNLIVHSFGNQLKNPI KHI >CAK66299 pep:novel supercontig:GCA_000165425.1:CT868043:174181:175894:1 gene:GSPATT00035428001 transcript:CAK66299 MFVNVYVCYTKLWQLQQILINKKIQWIKRERKKAKYQKKSPMHIIGKVDYRYTLGNSLQK FERFEEALEAYEEAICALPTNVDAINGKGGDLYLSIGFVLDILGQHEKAIVEYTKAIEID PKFILAYNNRGLAYDKMSNYHKAIEEYTKVFTIDKQYYTSYFNRAIAYYKLKNYDRAVED FSTVIEINPEYYMAYYHRGEIYELQNKMDQASKDYVRASQLEPCLTIPYPQFKKIPEKSS YETSYQHLSLAIQDQPDNILAYNNRGFVLFEMNQPLEALENYNKAIEIKPTIATLYYNRG NIAYFLNQFEKAIEDYSQTILIDPNYAKAYCNRGTIYKQLEKFDEAKKDIEIAVKIDPQI TTKRNFSFDLSLFALPKNPIIQNIEKAVEAFTGAIELSPQMTQAYQQRGIAYFILKQYEE SLKDFSQVLLLEPNNKEVHFQIGCVIVYQLVHSAAS >CAK66300 pep:novel supercontig:GCA_000165425.1:CT868043:176795:178279:1 gene:GSPATT00035429001 transcript:CAK66300 MKNNFNQNLDKKYDSRLLRVREKGQKAAIKIELLSDDININQQVSVHQEIYDVIAQTTKW TEQLNIQFLLLKTALLRKLDEALQYGKQWQASIIEMQIQIEKDKIEIQRQQQIAKQDYKE KILHINTSFLQKIIKAFENLWKEIEENKIDVVFKDFNIYLQQIQKNDTSKEEYKLINQFD QKEICYSIAFDRQRNIMVSGAQDGIKIWRFQDGKKIECIQQIKGDSEIDYATCLVFSQKA DFFISGSFDSSIKLYYNQNDKWLKQTCKTSHSGLVTCVILTNQEDQLISCSQDLSIFIWK VNLTYKSIQFDQQLKRHEKVVLSLCINQSDTEFVSTGQDQKIIIWKKDANKKWIFDQLIY HSHSDFGCRVSYITDDVIVWQQSNQRYIHFYQLKNGMYVKKQSIQFPQSESQTENFQFLF PTIYHRKKQVLIQKVNKKIYFIRFDDGTQQYKVDSSTISCSSSAVFGNLTQDGKYLVIWD SFLKQFSVYELFYE >CAK66301 pep:novel supercontig:GCA_000165425.1:CT868043:179497:180789:-1 gene:GSPATT00035430001 transcript:CAK66301 MSLGYVTYKEGTFRSFNVINKGFTCFRGYERTQDVRFQDSFKRIPKVILIPELFDIPTST IDYSLEIVTITEQNFTLRIKCTEGQVNGIHYRWLAMDDTRISVFSQFNIKDFQDKSFDLV NPNTQNYFVSLISVSSTGAANVEIKVSEITENKFTVKITDIAGTLTSIGYQVVLGIEGML QQYNIQQSNINGAFIGSKLPLRSQSWFLVPYLRISYDQVNLLRYRTIFHKDQISQWYEMT AIGCCCNIEQDHQPIIIDYQTTFLFTQSKFGQVKIKSLVDSKTNYINSFKAQLQGNDNVI TDLGESYYNIHKDKKSSFLTIYVLCNLNEVLSLEFYHGSGLNINSKHFQHKCNGIFKEII YTVELVQTLVAYQKILVNIAEDKCEISQVLSNQEVQIVKLFEIKKTIV >CAK66302 pep:novel supercontig:GCA_000165425.1:CT868043:181752:183295:1 gene:GSPATT00035431001 transcript:CAK66302 MLFQILLILLGLLISWFVIKPLVIMMKLKLQFGSTCKIQFGIFGILGLSSQSIIEKMKRK SIPKTVKFIVHNYASAVYILIIDPDYYQFMLNNHENYSQINDLNTSQLLDEGIMFQQGER WKQQRELLIQYFDIDKLKRNLPKLNEIIKQNFKQYDYQNDQIQTTLLIIISDILIQSFFG QDANKLLINNKCIGLETTDLFEALSKLSRKPYSICKNILFGVQALKMFPSSEEQIIQTKI NNIKFTIEKIIQKRIVQHELKLDEDENEISQMSEDILNIYIQAYLQTKKGNNRMSFEEIK QQYFTIFKIGSQTTNQIIQASLHYLAEQPQIQNELRQEILSICKTDIISYQELKSLVKLS AFLNEVLRFVNPIPIVRKVQTTHFIKDLKLKRNWVVMVDNSVTNSSEKYYDNPKEFNYQR WLQSESIKENNNYVFIPFSNGPRNCVGQSLATMIYQIILINILRNFEVNVNEKSKNNKSI TFVLQNSSFKLSPISQK >CAK66303 pep:novel supercontig:GCA_000165425.1:CT868043:184094:184438:-1 gene:GSPATT00035432001 transcript:CAK66303 MKDGKDLQFESQYDIVAKNICVCFQIERKVIKIQIFGDTLALEEEVIFSSLQEMTQTNVF NKNESQQPQQLCEDEQHLDEFLVRLQEERIREFLLSLQPLREVVLT >CAK66304 pep:novel supercontig:GCA_000165425.1:CT868043:185180:186055:1 gene:GSPATT00035433001 transcript:CAK66304 MIKLKNSEAQKTKSIFKFTQAVSDPNIPLEEVSNSGPQTHSNKEIEIDIDQLSYQKLFSS NEIDQEIDFFDSRQSNSQMGVSPGLSITSYDLEQTDKALEIQPYGSVSYNQREVPQIVLA LRKRRPAQIQIVQTIQQNQESCKTLSNFSLPDLSPMQTKKLQVKSVMEFSLFDNDEEEIY SVFEDEFNYYGTLPQSKIDFLDNLHKFLVLYKMRSGTFPKQRENLENEMIISIAMNLSKV DKQLFKQYFPSKKVFFDDENDYTRIKKVKRQQKRQLTLLGTPDFQYIKDDR >CAK66305 pep:novel supercontig:GCA_000165425.1:CT868043:186134:186961:-1 gene:GSPATT00035434001 transcript:CAK66305 MKSVKFSKEDQAAIMKSLDEQDFETFISYFRNTDLIVECEYMLTRQKQKLAPTENAQFTI GRVSYYVQITEMIKIKIQNEWAGFIKGRIYFKPEDIDNRDDEFQKLQECTSKDLFLTDIT QWFLSTTFVQKIKVDPIDMFVEGTIVLDDDHFYTRAEYNTKLQEFNPPINEWLIYCNCKR LYDPKEDYILCEFCNNWIHYTCSGKSDKELKNISKIKFICLACVDQNQNKKKKLSNVQEY STQDGDSTKIRSFNTTHGKKKK >CAK66306 pep:novel supercontig:GCA_000165425.1:CT868043:186977:188574:-1 gene:GSPATT00035435001 transcript:CAK66306 MLQQQLTKLFLKYDAPIPQDKILFKTQFFKMSNHKEVTLLLCSDDFIYKISNKNTKMYIL KLNCEVRFSVKAEQTSKQIHKQMKGDEFHTQIYKFTLERDDCDSVEFYNFNFQTMYWFDH LRNKLHMLDYQAHYEISKLIGKGSFASVYEAKGKNDQQSYAAKAFYKKQVFQDPKGRDQV ENEIVVMRKLNHPNLINLHEVFENKAQIYLILDLARGGTLEYALKVFNAPVPFLSAKVIF RQILEGVQYIHDQGVIHRDLKPDNILFRDFIPLKRYGLINVGNNVMISDFGIATPKQQRM AVYQYCGTPGYMAPEVFLAENDNNATYDEKCDVFSLGCILYYLLIGHPLFAQPVKQTNME MKIDFAQLEKDLNSSHSLTVLLQKMLSHNPQDRPSCREILESKIMEVEYGEDGIPVFRDF QRPKSSPPKRMQPLHPEIDRSKRQSVLNPKMIKLMPILEEKQDVRNSGKEKTTIVAKSRN SHFEEERTPAQRVAAARRSSAYVPSSNQNSRK >CAK66307 pep:novel supercontig:GCA_000165425.1:CT868043:188600:191449:-1 gene:GSPATT00035436001 transcript:CAK66307 MEKELAHKLDVILKKLSDDVLKIEQDKLQHVFHSVHTISWIVIQSIVPYIREEFRGQTIK VFQLITQLIKCLKDNDFDEVTRKLFQQRAQRRRSLRLINCSLCDQYRKMPSKQDPKQAHY KKYLDQLKRDGVELNSKMEHVSLERKIRKLSDDDEQFVYKTSKACQVELEELLLNQNTNE ITISKPETFDAEAQTDSLPSKFSLQAISELRNHFVNYFYLHSQYDNVKSIIEEGIKACQK QTEIFSDVNQDTPALKVKYFAQQSLQHVTQVLQQKITQIEATKEFKSKELKENLPPLGDI IKQVQLQEHKRKAIEAGIIEYDPEFDPELLQNKRIEFRVKEINGQQVIEEVVVDVESGEV IKSRARQSDPQQEIMLEQEELIKQIKSKEQTIDENEWIVAKYGNQKVKVVQKSDDVTQYE VNTAQAKKIVRVKSVDGNKQLEEEWIAQQADGKHQYKVYQQNGQLLYEDKGESVGIRLSA KRLDHKESIIEERTTENGSVMRILEKQKYGQDTLKIIKRNSQHEVVESITKQVLKDDNGE MYQHEEEVKEGGLKIMRKIYVNSDDQSKKIDEITIHPNGRRSSIVLKQYKDKTEAVFNDE YGDEIQVTKYTYINEKGQQVECQRKMNMRTKEVQMQKTFKNEDGKQTIETVITLDGLEIG KQVIIQVGPNERIERITKNGKTIEQKVLVDEHGQESIHMVDHENNMKIQTTNFTKNSVEY QQQTINLSNGAKRHIHQRSYFDENNEKIVEEDRVDEFGQRILIKKRINALGEEIIQEFEV VENDGLNPELRQIRQSQKRLPRAELQSVVVIDIGIQTEGQIPLFTQTQEQFQQKKQNSQI IIDKPDFNELDKEAFKKLQQGKAKNDPQFQEAVNQIKKFFNKGDGEELKQEEFNEYLSKL RQNHQRQCGEQCSHLLRFYAKLGFLIQKSALNRQVYKLQKVQLQAKESL >CAK66308 pep:novel supercontig:GCA_000165425.1:CT868043:191480:192847:-1 gene:GSPATT00035437001 transcript:CAK66308 MVYSIGQAKRSDPALKTIAPAPNAYLPKLVSKMQAPQWTIGGAAQRAGRSTSIGPGPGQY GYESKIANGPKYTISGKHELKQVSQSPGPGNYDDESFSSVYKKQPMYTLGLKHHTSQKDI MPGPGQYDLNSSYISNNSIKFPTQPRLTSLEGGISPGPGCKLEYYFQNTILTRLSSCYKR NLNLLGKQDLQPGPGAYHLKSLLNLSKNFTIKQKLGTKDYSSTQPGPGAYDQDISTIKSN YPSYKIGSELRSSSNLMDKLVPGPGKYYKESLDSLSSLQKSAPSFKMPQALRKDINDSVT ITPGPGQYSIPQNQNTQMVSMKGSKYNPNISAYIPGPGQYSPDDSVCKNKEGSVKIVPEH RTKQLLTQYLPGPGQYSVKSSLEGPQWGFSKDIRQSIIKKDMIPGPGAYNIPPKFNEVPK YLLPKQF >CAK66309 pep:novel supercontig:GCA_000165425.1:CT868043:192888:194505:-1 gene:GSPATT00035438001 transcript:CAK66309 MQTNQNTSSNLLLQNKKEQFHIQIRKEKREEIFKSKRIIQKLNETHEHIQMNLEQLDYYI TELMKQCIDTYRNRQPDELDKTMNNLMSLRMLQNFDYGEETFSFCLFKNDFIEYILVLLN EQYDQASQLQVEAATILANFFGMMDKNSRLYFQSYLPMLNILGDALIQNLGRLLTSQNAI LVDSCLYALGNAFYDQQILVQKFKQHFGFKHLLQMSMNLNTIVWVLDTLTANREFLNKEE LHLSLQIIDKQLQTSDAKDISHSLSAIRNLCQYQINAIPLIIDLDSFSKIVGIILQGQDL EHHSISLDIIFSLSNLNEFDQIKKLEYKYHLMEIYITNLSSYEKEYRLRSMVSLTNLCNL NEYFANSLSKTPFVIEKIISITIQTEQQQILTGVNLIHSMLQYEKIEINQIFISNQILNL ISRILEYLDNEILISTLKSLWILLHSIDNYTVQLQIPGDNKVQSQVYIMNQLNIHNIQDK LLTLYQNINNQEVRDWIDECINILEKTQNQIENY >CAK66310 pep:novel supercontig:GCA_000165425.1:CT868043:194645:196245:1 gene:GSPATT00035439001 transcript:CAK66310 MGCCSSQRHSARKKTYFTTNIRTFYRFGAVLGKGSFGTVKVGYKDGPDGEQVYAIKTINK VRVADKTQMIQEEFEILRKMDHPNIVRFYEMYEDDMFIYFVMEHCKGGNLLERVLSKGSF DENKACIVMRKLFSAIAYLHSQGVMHRDLKPENILLSNEKDDAEIKIIDFGLSKKLKESD KDRKKQLQRKQSQVGTPLYVAPEVVTGVYNQKCDDWAVGCIMYIVLCSEPPFFSEHLKEL LQKIQFKPLSFPQEEWKDISSDCKKIVQGLLQKDPEKRLTCSEALNSEWAKKAYRKPLEI KINQKQGNIPQSNDCTPVNTSNIKGLKRYAKSKQFKREVLRILINQLNDKQIDKLSQKFK ELDKDEDGFITPQELSQIMSKLGFETTKNEIEQLIRNMNPEEQQALQIKYSQFLAATLDL KQYLNKERLWSLFRYFDVQDKKYITKEDVEYALKREGREEMASDKILSDLMIHDGKMTYK DFCQLMHDDMESDQFFEYFEKLHASPEKHRSISKT >CAK66311 pep:novel supercontig:GCA_000165425.1:CT868043:196347:198091:1 gene:GSPATT00035440001 transcript:CAK66311 MNKFTLRFPFELEQKYEDATRDSSLSHFKSYHPFLIMINLGVAIIQLQQGQLTNGILLSI STIILIIQFPIVCRMKQNKNICSVFVFNNIIMTVVQLFVDKNTSNYNNLYVAGCVITMVN ITLLEHYDFIWNVISLTIVITIRLIYVIFYFKFDGIAIIYTVVSCYLYIMMYRKAYVRRA LFLQYENEKEIRNILDEIIKDVYIHFKFDQDSFQFELKYANKLAQQRIGILGNQDLKDFL HHYSIYDSKQQQQFENQNKPRNANLQHSAAMDCVNVGQYLYEMMVALKKNSSQLEMVVKD NSTHQIYNVRCFQYSVIDKEIIMLMEQKSRILEEDKQLKLKDQEIKLLTKQLIKINTRTK KQLSQLCSILENSESNPYFKINESTVNAQIISNTKIQMILDAFQIYYYRREQEQLLDIFP INQIVYKCLTIMNIIAEVEDKQITYESELTESDIITSISHIFYFILQNLLYLFLVQQNHK SIKFTLRNIDKEKVVMFNFYIDGLLSLEFLKQNSFIMSMVYKGLQIIGPKSEIISTSDII NNTQNPYLIQVKIYKNLHSIIKQQQ >CAK66312 pep:novel supercontig:GCA_000165425.1:CT868043:198180:198484:1 gene:GSPATT00035441001 transcript:CAK66312 MAYHELIQELLLKDSKAICILMTILKYAEDKKYTKNFQWFDRVNDERISFTGLQELFTSI GYVFDEQELSELYKELEELKFKVSDHLPCSFL >CAK66313 pep:novel supercontig:GCA_000165425.1:CT868043:198752:199104:-1 gene:GSPATT00035442001 transcript:CAK66313 MLKNILLLTFILSTFQATDIYLEEVMDCVQNTCEPARSNCGIDTDCRLSYNNFTTCISEK SSESEYIQCRTNGYMTWVKVMDCYNDCHYFELFAVPIWLTIAAFAINI >CAK66314 pep:novel supercontig:GCA_000165425.1:CT868043:199388:202477:1 gene:GSPATT00035443001 transcript:CAK66314 MRKWFVRKFVQTTIPILHIGKGYLILNRFLKYQLKDNFFQPVNYVESSVSHDEKSKKLDV LLNTQGSREIRQIIYGNSQLQIPIKSIFTYLFQELTSPFYILQYFSVLLWIAEGFIIFAI VLLSFSFLACIINYYLMRRSRVQLQQLATIQQSVTLKDNSIIQGSDLVVGDLFYVYDNQQ LNCDSILISGDVMVNEATLTGESIPVPKQSITELTNEISQNTLFEGTKVIQVSQYQQNIA LVIRTGYSSVRGQYFRNVIFPPPPSRQFYLQACKFLVILGLIILTIFTLLYLTQYQFMNY SSKLIIIRYLDVVTWIVPPALPIFFSLAQAISLLRLKSEDIMGSNPIKAEEAGKIDTICF DKTGTLSTLGLQAHDYYPQNDEILGIMACCHHLVIVKDELMGDPLELEMFKKTNWDISFD DQKYFQVTNQLKTFKIIRIFEFSSQLQMMSVVAHNINDDSYTLFIKGSPEKLIQMSANQL NNTIQEQLQIKTFNGLRVIGLGYKALLPDQINLDRNVLENQINFSGIFTLENSLKKDTSH VIEELLDSNLDIRVISGDNALTTTHCAFESKIIENKSNTLIIDYDQSTDSLLIQDLRQQI PSSSRSSCDGKPGSQYNFILTQLDAIYNSDCNYAITGNLWSVLMQNKVNEVSMNQSSDIQ QYNQLKQIDEIDQKSIECLNKVVRKTKIFSRMKPHQKKEIVQYLQNQLNKYVMMVGDGAN DCSAIAESLVGVSFSSSDASYTSPFSNRSDSIKCVISILLQGRATKRIIIELFQYLVLIS VLKFAGTALLQFQGMNFGDFQYIFMNYMSSVPVLILMTLSSKSDKLTTSKPNDDVFAIPN QLQFYNIFIFTSLGCIILSAIVMQHSEQPIPSPIETYTREGPLNSVMMLANQYYNMLICI ILYQSHPFKSNVSYLIQAKFYQNYPLTIWILGCLLIAIVTNFSNPSRGWLSIVNINDEIF DHSDLIVFSVIICTSVLCQICQITMQKLFPTIKPIQK >CAK66315 pep:novel supercontig:GCA_000165425.1:CT868043:203051:204553:-1 gene:GSPATT00035444001 transcript:CAK66315 MQHSQWVCPFHLGNVIKYVSIKPNIDPQEILLCKACVSERFGGSLENLKQLSDVLEELRQ NGNQSKNVLEFKEKQNQEFRRIEGKLNGIFESRPYLSIQIGQNSSLSDLRQVISNSSQNK SKEAESIKKEINLWQKESEKFFLNLCNDIKALNRQIEQEYRNIDISISEYEMMGEIQYHQ MFQVFFIEPNWEDNYLLIVEKQSIDMITLENDKIKQQKIIESETKANITCAIEDKDPSRF FVGYHDGSFQVWEKNFDGKYRSFYQQKDHGSSILSIILCKKHNLLITQSKEHIHFWYYDG KSLGKRNMFPYQNEQMSIAYLSVNLNDSLLAAVVNGQTIAIFQLDQWQQQIQPLNNRIDQ EIVDICFMKNDGLAVFLKDSKFFLIYKLNFQNQIQLALLEKLDLRPKFYQDIGVLQVQSE QELLFFEEDQNKKVIEKQRKQNDEQETIIEKIKRKKQMVRYYNFGQNSLYLSPEFEGTSL KVAKIKYLK >CAK66316 pep:novel supercontig:GCA_000165425.1:CT868043:204765:211545:-1 gene:GSPATT00035445001 transcript:CAK66316 MQFLKSYLTDYINTYVYEYFDNISKEQITTQLTKGQIQLNNMQFKPSIFKKFNLPLIIKE SMINNVIITLGKSSSIKIDSLNIVIEILNLYGNFVMESAIKLQILDKFRNSLVENLLKGM AAQDKQQQDPKKKFVFNLIQNVEVELNSLNITFIDKYCTENSFGVKLQHLTCKSSLNPNQ AKLRNIEINNLQLFWQDTITQLNAYATLEDVAEQDSENLSFQLGIVFDQLIFNCSKTQCN SIYLFSDYVQQFTQRQNKQVERSSNKEDDQNVKGEIKNILKTISLLEQSTECQEYERLCQ LVQTQSMNDLKQIQFEILKYDKGDEIVQLRKKKLGWFGGMVKNDKKMGEKIVNILDEIDQ DPDFDLKSLQLKFELVVNSCQIGLSSNYRNQLNKLIFDIKNVNLLINKTNQLQLDFTVKQ GDVLLQFQDQINYLVSKNKNFEEKYSLELHHTNDEKKESLFIQTCPFQIIFNQQDFEKYY DLFYFFVVSESQLPKLTRKAKQQIKEIVSDKKEQDIQIKLEKVYLLSTNGKETFLFSPGS IDFNYKTQNQSVYEVKIFDTILGFSEKFNSSIDFIHQQYCFNSIIMSRDFVKITNCDVGL VIKVQDNQISTEIELGDLNFKINPTIYKYFYQFYGTHFQQPLKEMKNQLRGNEENQQKYC MFSNDYVKDQIKCRMTFQGKFINLYEFEKDEKIMKIDLQNISYKQTKIKQQLSLELTTNN QVITLAFANEEDRQIVVNKCQVSRNDDYYVQNILEQDGNKPVNNVQNQKQQQEFQSFFQI KLNSLHAVVLANEQKPFINIQLTYLNLTSFGNQFNNKVTLSFQNFAITRDFYQEMNNFEN QTLLEIKSDKGATIIEHQILDGNSILKLTLYYCILNYRAKTIGSILEQYPIELKKDWNIT KFIINSYLTQYPDLQIICNQLKVEINKKYVLYKQSELKSISFKQEYITIMFMQDSLDEDC LQVKGIPKGILVVDNQSEMTIDIKLYDAQAIFAQQYTLQTSKLKKRSTKRVLLSSCNIDF GWRVLFQKDVIKHYMNCKTNQLKFAFGTRDISYLIKEIQKLSTQITEFYASHDLASYLIQ YRDKTYSLTTGVESQIQAVFIDDLFSQSLPIVGFTFTQPLWKLELNLNDINFHIRNQFEA VNYHSTKLAWEPIIEPILLDLNLNIQSQQPTMHLDFYIQDTCHINISTEFLQNLFKFKKL IKQRKAKELKQIYESINQSNNQILTYETYEIQNFSGLLIIARGPDIEPIELSNNQKQIIR CKGIFQLELFGVNSTIKIDNWSFQVQKKKIIKEQGFSLIIETIVDEFMNSSKTIITSSIL LINLSPIDLQIKISNQEIINLTKGLKQEQFQKFQKYDNIYIIPNQMSKGWLCLVQDKLAS ESYNYQDISQKIKKGNSEILNLKNKYFRLKSLQDPIMMERSIISITPVVEFINQTPFNIS IDKHCPEIKQFLNFNIMQKEIIEDCEINPSKDYLFSFKIDRYYQSIQYTFDELMKGRQVL LEDEKWQLNVDRRQLNVILLIKPTVDNYGRHRLAIQFNSPYLINNTDLDLIFYQGTEKSL ELLGGQKYNTSLQLIVLENIQEKQNLVFEERNTNLKSQPVVLSDIIKLETMHVKKKVNHS TQFLPIQIEKQFIQYGDYTVPFFQLFYKYVAFNKLASPIYICFEDQIILLESHIKKPLQF QNQEHLNNPDFSYECSVKLNFDDIVLQTARFSIMVQQQFVVLVRSVNLQIRRFLKITILE QNNENFLIIDDIQNQKDYPYMVINESKSLQILLKSDNETLSCGDRYPLAKTHQTDKEFIY PVNLKIQTRSWNNPIQFALKLDQVGLAGNLEINGQKFDYAVVKVGNQKQFIVKDFQQAWQ SQIQQQIQQEKIKYHFDLKTQIVGCSISYIENLKNDYKELLICFFDNIIMIYSSNELNQK QINFKLQKMQIDAQSKKMSESKTILFSEVSQLQNSMEFEFKLSAAASSYYYLDLVKINLG NFQFCMDKVLLKKQEQIQGQFYEYLNSQRTMKEKGNYIYKLLNKKKVEKVVQKNQLKQIN IYVRKLILCPMKMKISYLKDNYQLERQHFVKENFQSTPSNLVNRILMQYVIGDSKFLFNV VSQYLTNRLMINLVKLTNWNEIRIKRPIYESTKLYKVFNKQQAYHQQKLKEAKKCDCNKQ ISNKKFHIISSHEVLQKGQNKIFFICEKEIFFIYQNSIQNLLEYSEIQLFYSEAEILHLY IIIKQDQLALLFEDQNNLQIAMQNLSRFQN >CAK66317 pep:novel supercontig:GCA_000165425.1:CT868043:211627:213866:1 gene:GSPATT00035446001 transcript:CAK66317 MAGKEATLVLLDVGASMYEPYKQAQGKNITRLELAVDCIGMMIQQKIFNYKNHEVGLVLF GTEEAEDGNTFYIQVMSPPDLEFYRNLTELPNHDVPKIKGGDIFDALDKAVSTLDEYVKA KKMEKKIFVLTAGFGQTDYNEKKIGKLIKMIEKVDVKINFIAMDFMNEYDVELDDPTKPE NQATLNDRMLNAVYQHQEQSINSRLIYQMVQELRNHMRIFPANIAFELYSQFHTKQMQAR ASFRGDFQINDETSIQVLIYKRCAEDRLPSLKKHSAIGEYSSEPTRNIVRNDSIHYNPED PNMNPIERENIIKGYQYGRNLIPVDSLMEEKMKYQCNRSFQLLGFVERSQIPRHYFIFNV DMVIAIDCEKARKSLSALIIALIATKKVAIARFVGRQKSSPKLMLLLPHKSKSYQCFWMI SLPTSEDIRHFQFSTLRKSTPNQQSAVATLIDKMNLETIPNESGEPEELLKMKYIANPTR QYFQQVVMHKAITRTDVIPPISPLILDYLHPERRVYNYAQDAIQRVKNAFKFKVNDIKKP QDKKVFWKQLFDEQTAQQQVPEQIEEEIVEINREEEEMVNMFAKQKFGFNDDIIQEIGSV DPISDFKKMITEKRVDLVDSALQQIQKVINALVDQSVKGSFFPKALECLKEMRKACISED EAPVFNKFLFVLKDKYNQQLFWAQIVQQGITLISDIENHKSSVTAEEAQDFLNKEDNKHQ QMVDQLQNEEEDLLADIE >CAK66318 pep:novel supercontig:GCA_000165425.1:CT868043:213915:214403:-1 gene:GSPATT00035447001 transcript:CAK66318 MFIQNDSNFFIKPNAIVKFFRQAKPKTVETDRKRTNYIPTKKTQQMNILHFSKPVLLLSV PEDANTMRNHNYTCRSSTGCARTPNRKRNLITSPKESRLGYKILHYQEQEVPYEIHSQMP VSQINPKFIIQQKQGGAINRLPNFKLQLFNQLPGLRQQLESC >CAK66319 pep:novel supercontig:GCA_000165425.1:CT868043:214411:219229:1 gene:GSPATT00035448001 transcript:CAK66319 MNYQIKGNINYHLDIIASQLNESSNITINAFTSKNTKIPIGCKFQWFKICDTERIRIESQ GNIYQCSIFDIGYRIEANVQSFESGQEGQAIVEFQKIQISSQLEIKLSQLYHNNMKQQII ANDQNWIFSLDCLENSTQQQKIMYSDQQLLPSQNQLQAHFMNQTLKFKSKEDKDSFCAFF VCMQALRRVHIKFIAYNIGKMNQKQVNFQQLLNSQLQQLINEKTQYGPKKLEIQQTNLQL PSSSDQRTTIQINQLRQEITQLQNEKQKQQQSIDKLSRENKELESNQRQQTSSKDQIESL DRLIQSLKNEVFSLKQRETDLMNQNARLTIVLNEKNERFENSLSQSQILDNYQIKQYQEL LTESNQDKLKLQDELSKVQKQTHQQKSNLNIEFEREKLISTNQKLMQEIQSQTKTINELQ IEIEMLKNMSRISMNMSQIQDPIVQTKLDQIEQENVYLQKKIEILEDELQKKKTQKPQKQ QLDKDLQIQQLCDANKRYLNENIKLYEEIRSIRERLDISQIMNSSIKNSNVHENILSNQL EQQIEQMSSMHNLEMQQLKKKLEKATTDLQDAFVSKKQQEQLEKQNKRLAEENLYLQEQI KNLADLNTSKSFSVNMTNIICSDQYKQLEEQLNIQKRRFQEVQSRLDFQLQRDAQQQEKI KQFEVELLKYQSQVQKVDKLENKLLPDDLYKENKQIDQELTILIQEKEYHTSKIKQQDNQ IFELKQKVMQLQEENRTLRLFPQSAETQMKQQIAQLQLQNQNLTEQMLKLQNQQNIFKDL SQSHISMNESIFESKIYEGKEYKLLENQKELLHLENQQLKKQINKDQLVQQENQELKHLL EKARAQFNDKIQSLQQELQSKIANNEKLSLEIDRLNNMKSGQDYVQSTYEDSLVKSLKLQ ILALQQENSKLMQDVANYRSTIQDKSMIDQINQSYSKRQNQDSDDSQQLINQLRIQLAEK THQLKIVSTNYQQSNEDLQLIINKLGQENQNISEVHNSIKTRLETQISKLIEQLKDKDQQ ITQMSVGVSPYGSQSRITQQEDELQKKTNQIEQLIQQNKAQALQINTLYFELQELKLTTS SRFVSKEGNRETEQQIGNQKLEQEKQQQKIVINDLLSENSKFQGTIQKLHKELNESQVKL QQKIEDCQKILKAQQSTQIEIETLRKENQSLSLKVQEQKVQSNQEIDELKLKLHQLSSYS VIDEKQEQIKSNSILNQTIQQLQQKNIEIEMRCKQLLLESQDLTACIQEKDFQLKQQAQQ NNCLSKELDNEQEKSQNFKKKIQELQQLIRNNESENQELQLKIKDLENALLIKDKTIFNQ NTQLVEFEKLMSFDKSSDSYLITKSFHAQFNNSQDYTEKIYSDNQKTVLNGQKHQNHNDF QTKNKMDTIESKQQIELQNQKISTELQIQTTRVNALQQELQAFKGQNQKLNEQIVQQEEF IRLLKQNQQPQLNTSNYNGRMGQGQSQNEIIDLLKVENKQLKEYNQKLEKEVQEFENQKI ISQQKMTISEFQLMQFEQEQLKMQNSKLLEIVKQQQQQIDRLSKSNLALMEENNRLNDQL KDVQNISYYSSDSQIH >CAK66320 pep:novel supercontig:GCA_000165425.1:CT868043:219790:220459:1 gene:GSPATT00035449001 transcript:CAK66320 MFESKSDLIVENCLKHQVPDNLEEFSFQIEEWMYKQKSNRALFISEEDGNDIQFLISHWI KKMNKDLIIPYFVEHENQNYHHAIYYVLSRLKAQFNISQRVEIEGEKLKQFFQYWLEFYN RELQNQVFCEAKCVYKRVPNYYKIKLILIFQGIDRFRDSNGEVRVSYWLPKVLPENIKVI VTGKTDSKAFEYYKTQGGSIINMQI >CAK66321 pep:novel supercontig:GCA_000165425.1:CT868043:220465:223166:1 gene:GSPATT00035450001 transcript:CAK66321 MQVIGVRMNTWNAQLHLILSIYQRMYRKLPRKLQEQTLFSMSFEAILTNSADEFQSINKD IFMHLESKESDFYNLLISAFLKYYPEIHYSQMLNVLTYVFKGISIEEIVLICNCEKQHFL IIYEFFKVFLMEKQQIYCIFSLVFKNVLSGYFPHNKTLFQSFIMVIEHSLNSIRKLEELI YQYSKGKRYFKLKEVLINIESFLLYCTPYHKFELCHLWQTLEQNGYDLVMEYNKAVDNFQ AFYKPTNEGLFYIILQISRFLREYSIFENDHTPPYKHPQLRGQSIDFDEIGLYNELKELK MIAKKKKKIMNEEYFASQITNIDTLNMDIKANRDYFINYYMSQFDQGLVQEYINTKQENV LDKIIRNTRNQQFYYYKRWVWVQFPWLSLTQKNNYSKLMEQYSINNMPLQDELQLNQKAI KLAQIAKQTQQMKEHNTSKLPSINSSIRLRRYSPLEISRITNIPKTRADSEHTKSTERSV KKCTLPSIQKMQYQKKLDQIVYQNQVLKNRLKEYKTIRQNLYPDELSAETQKALETTKKQ SEELKKQQENLQVIQQEMKRINIIWKLCQQNQDCNDRAQQLVQHSKNLDRLIQEQVIWVK DCNEKLGEIKLKQKIELKKQQVEKKQQQQQQQQQSQRRFTFIQNMPTSAGILSNIDTSYI QDTNKKQLQISSSLINETSDTRIKFVRLKTGKVEDPLDSHTQELVSQLLDLGIENLNKNK SKVIEFCDMITKNDELQLEIQNREQRLMQLRNSKQELMIFVKILQTQKKPKTTTSSDQYY SSYQQDDVIRQNDYKIQNLKQLQQHLAVIKFYNNNLHQLFQSIQKTDHNISQITQLLQKM I >CAK66322 pep:novel supercontig:GCA_000165425.1:CT868043:223195:224372:1 gene:GSPATT00035451001 transcript:CAK66322 MRDNRERANTQVDNNSYEDIIKKYKNISPTSNMSTQLESIEEDEVPSNFSIDQMEKETEK NQFLRKANDLDLVNQNESIFRCTLSDDKLKELKQGKNECCICHKSASLIHKLTQCKFCGN VVCKEHGKKKRKDPSNPTTFRRLCEICEDKYIRLSVETAYKQKRDQLAQQALELDTQSKS LLQDIRSLQNQVSEIQQQGVKQQGEYKQIQNELIFKTQKLDNETKGFQDENLKLKSIIQS IKKEMLSLNERLNEKKIDSQKKQHNLHQIEQEIQYNDIECQKVMLEIEKLQLTIQSKKQK DQQQKIQQKSQIQKSEVKNYQQYIQTDDSTTIQQFNPYLNNNNNLTINTNQSIENEPEKS DEKKKKSKKESEDREEGSCCSIM >CAK66323 pep:novel supercontig:GCA_000165425.1:CT868043:224525:225921:-1 gene:GSPATT00035452001 transcript:CAK66323 MNAPHPEKMIEKFNQQMDVLLKHEELLAKQRKINEQKLNNIFDRNLQIETKDRKLQEQIL SQRYQNEQLKIQQEKQKQLHDVQKQKKEKKTAQNEVWSSEQKQHEKEIQRRIFEIQNKLK YEEFKKKTDDLINQLDYNLRKVNIRYLEKQEKKERQVNEYMSLKQQTLNSSMQINESHNQ NVYSNYLIVDEKNPRSKLRYQKLKNYESKINKIYKQKYEKMDQLTQKVQQSQEHQELIKE RSNQIFQTKIRKLKEKMDYFQDKINFIEKKRLSDLQNNYNKELEHHQQMNQVRAKSENIF RNKSLNLLNKQLLKEQTSKLVQHQNQLELEQKLLRLNQKQLTQQSQVMKADQFKQSYLQQ LEQRISQRDMRLQDQMYNMKLTCFRQNKLEIQRRRLKVIEDMERQKRDMLLKLESERLPT TNTSDMKYFHSTPRLQSKLFIT >CAK66324 pep:novel supercontig:GCA_000165425.1:CT868043:226031:226726:-1 gene:GSPATT00035453001 transcript:CAK66324 MYNFDLHLNINNEQEPRSRRALQKSKKVKSISIQRNLNQMSSDFRCITDVSPSNLHQIPI LGGSVTERKILSFRYGPSVVHRQIYSENQFEQGQQRKFVRQKLPSIIQGYSAHELSKNRM SVEPMMSPLKNKENKKSITEVEYSESQQLNEHLSIKKLNDLLGHKKIRPEKVKQDFPSVP EILDFSKQMQQIYKKNNINPLKLRSPKPMETQNKNTQHIDILINLLKRRYL >CAK66325 pep:novel supercontig:GCA_000165425.1:CT868043:226842:228769:-1 gene:GSPATT00035454001 transcript:CAK66325 MNRSQSPLNDSRSHSASKRYVSPHERQNTQENEQNHKKLPPTQQVKSKIINVDQSDIGSI LGEVNINNTSKMSNMTQFANFMYVPCPTHPEFFITNLCQEQNCIEPLCPECINEHLEMHQ KKGRVPKLENIQRVRKDNTYKIDEISKSLQFKLLDCKKYFQEQPSIIYNNNLGQLRSIHE QISNIIDDYFETLYKDLKDQNQEEYLKQLNQIEQDIQQQQHKLSKLQEDLHNDNYVRAVI QICDAKQQLFSDKSLISLDRLIENYQQSQIKIIFDKNNIELFQTYCKKMCYFIKNTQQPS NQQINNHSINKSLKFDDKSNQSTVKLTRVSEIPPPLVNPITLISESLTNDEAKYQINHSN HFKDDEQQVLVRLEEGGYAAYIYDIQSEQYRIETINSTTKIPLFHRLYTTSSGKHLVIGG VDRDKSRFKAIASVYEFNHTTLQLSLHSEMVLPRSMTSACQVGNFLFVVGGSSTNDENTS MAKAEKLDLNTKRWQTIEDPYFKCSGCALVAIDHNTLFKIGGKCDIFTPCNSIESYDIQK NSWTKVEFKFLSNGYLRLPFNSCAIKTSYDQILILGGSVHDVKSNETQVFDLNQQQDSQF EDEDTVEVERVAKFD >CAK66326 pep:novel supercontig:GCA_000165425.1:CT868043:228790:229825:1 gene:GSPATT00035455001 transcript:CAK66326 MLNLIFILVSGIYSQEPELCYKLDGHLCVNNQIADTTFVPLDSTIGLWRFDKNQMDDSQL LNHLLQPCELGPGRGAVGNSAYYSGEQYSIIPHHDQYHDIVTISMWIYPLSSQQSFTTIL RKALKSTEYTPTILLWPFHDEANVGGGQIEVIVSTSYDKENLRSKGSVTGRKWNHLAIVL QGLSIDLYINGIHDNVLSLKARPLKNDGPFYVGGDPWFNGPLLYLDDLTFYNIPFLQLEI SKLVNFPGQVNNRLFYLGCDGCNYHQSLSSCKQGWHLCSLSELTSGIYMHARQNGWLRLT KDFWSRVDEIDQELAKNYLDPQKTKAAICCSDSFY >CAK66327 pep:novel supercontig:GCA_000165425.1:CT868043:229883:230590:1 gene:GSPATT00035456001 transcript:CAK66327 MQNTNLISLKSNTPTCITVSIEINPNKYKKKCILYIIVYQQQRKISTHQILQPFPNEYTI RQLKHQSMYTLKIRLEHGQENLLQTLHCNTKSDANVVIASVQQHSHLIRDPVSSNSEQQL VDVIAAENEGINIFENRQEIKSMIQKARNNSSAKGFIYSEYKVNKPPELKQERLKRIWMI SKGQEFFDEVYGNIDPGFQFLKNDWFMGPFYSYDPNEELLRKNQENRDLQINQSL >CAK66328 pep:novel supercontig:GCA_000165425.1:CT868043:230621:231710:1 gene:GSPATT00035457001 transcript:CAK66328 MEAFFDFSYFEDLRKICSQDTYREKLRLFLFNPYMEMKEHQETVDLFIEYAELSQEYGIT FEHMINHNIGIKEPQFYHYFFNKLKDTNYRKALQCIQMAKQFCYSNFEQIDSMNKELENI LLFQFESYYYSQNYSKKQIEEKLKQFELKFYQSKNKRIQIHIDEQFRKLDLKAIIHEIES FKENKCNSNASTQIPISQSYHHLNPEESDFNCQLESKEFKLLKPKFQNNRSNLQIITQSP NFSNDIALSEEINKLTPISSSSTSSRYIFKINNNYNIKSTQQQKLIIPIPIINQQISPLK SFSRMKYKSIQKLRQLIFEDNAQSSVKEPLKFESPQ >CAK66329 pep:novel supercontig:GCA_000165425.1:CT868043:231755:232887:1 gene:GSPATT00035458001 transcript:CAK66329 MYCFFKTTTTTKRSFLELQPMVSQLQQHEVLAIQYAEHLRNQIMFGNFQLIPTYIQTFFN NLRPILNRQSFFHPQFEYQPIVSNSQLKSPQNSQEAFEVDYLMLLYTFCCESLCHSFEQI KNIRQAIIEDRLPNPNDVAYILEKLKAAYALIKHAQVNSNSLLPNLRNQRPQEFKEFTEK FFFRFNTYIIVMTNLIYFEKLRETNNYQNLIRRQNLCGTMVNMLIQFGNLYDDRLGQYLY YLSFYLKCLGYKCIIDRYEYQIQQNDYAEKSYTVMSQEILEISSEIKNICQIILVTRDLN EDYMDVFKIQAERWIQFSDTQVRVYSGTKRNQQIIYTNDSILLNSGHFNCLD >CAK66330 pep:novel supercontig:GCA_000165425.1:CT868043:232993:233905:1 gene:GSPATT00035459001 transcript:CAK66330 MDIQLRYQNDQTVFNGVESYDDLQQEIQLKYPLLINIELTYQDEEGDVIQVSNTSDIIAI TDLSKVILQMDAQIDYVKLGELERLEREEDQRQRLLQDRRNLLQYEIKKEMENYEIFNLE KSANESKYNEEIEELMDRCKKLKDTEREPIIDFKIIFQNSNILGLIREKESNLLLMEDKT GFDTKLQSIQREVDDAFGNLLQQRILDHQAKHNNWIEKKCQINKIYQELQILETEKQEQL ERLDMILKRSQENMAKMKAQLNQPPSNDDYQFDSFMF >CAK66331 pep:novel supercontig:GCA_000165425.1:CT868043:234029:234461:1 gene:GSPATT00035460001 transcript:CAK66331 MRSSALVICILVLGSALSAELDLGTSLLSMDLSDVALLDTTSMSCSTPQNEFERVSAQVA AWADIVQHKDSLHRDIDRLETIKELIQERKFKSLEKQLNKLELPKTESKIGEPILAEFRQ KLQGLSNPETADECEAT >CAK93763 pep:novel supercontig:GCA_000165425.1:CT868674:9934:13917:1 gene:GSPATT00026085001 transcript:CAK93763 MHFTKEKQISLYPTQGEFYEYYLSNFLPDYQLNCKIYPQVPNVQIMNQCEEIYRLKGNCK LQKIYIELISLSSNTTHFGTLSIGNKIIMYEWKNKILQQVGESINIDNSFNCFNINLSKF FSILVDCYKQDELFLIQLMDTQSIIAYQIQSSEPNSTKIQSIINGTNAFIIYAQYFEMYS ILSLFSSFFVNQSSLYKQFVDFDIPITISPKIYVMTLQEILQLSISSESQFYQEYKYSIP FFLNPVISINVYYDLRTFPQCDQIALISSQNTVSFLLGCENSIVLVGLNEQLQYESAFKI LQNNQFIVFQLKDKILIQELEVNNIYNYHLNHSKNSIIYFNSDNELFVFNHHIIVYKIQL PSLQVNLTNLKIAGNNYAFSLICHNELKYSTFSNIQLQVLLQNDTNVYVMFNQYFPQYSV LEVSAKHTFMSFSGQLLQYKQNPDGIPLKFTLTSQQKAGEIMQSYQIVQSLSIFQPNYYL SSQYLIGFNNDSIDILWSQNIIVSYQFTKLCSISISINASSLQIAYSIYPLKIIIGLSDK NTIYLFQYYNESNSIISYANFTFKRSFSDFVATYNSIIILIPKQEIKIMTFNFTTIFTLN QETINQFFNKILFNPIQIVVNFQLQSSLLYINNINEVIIISIDQNNVPIPISLIEVNFKI KQINLVNQQLILSYLCNNDQNICFQVWNVQYLPKYYYLKNLYSLNVDNKVVIQSDNLFLY VTFSNYTVYIYNPSLPYHSSLYQILQLSSPIQCASAIKSFQVYIPQFQYFISMILLSDNT IYQINRDQKFELSVEFNNYNLNYSVNYPYIIYNYTVSSLLNNTAFQQTPNQSLLLYSNFT VFQNQTNLRINLSLDNIIPLSFKFTYPLNLFLDRQVGYCGIVYNNTYNQNNQCSLSQNRS NSIPNLNNFSLITSINNECFALQNNSYIQMVNTNLNNLSNLSYQYLKLSLCLNSTSENYT LYSICQNQTSQYLLNFTLNCDNKLEMSSIKQFPHKFQNISKMSVILNQIFILGTFQQSFQ QLYWFNFNLNELTGPYHQSDDFSIAKIPQMIQDGQSEKIIVFYTMNFQVYYIILSIGDST IQSQGVVDIEFCDQQQICSQHPNLYILVLIMQTYYQSVTIIVSDTYFSYVVVVRLEQFKL YNKSDFKGRAIKSIPNYGNLTNTGNSFYLNGVLTQQFQLNYQNISHFIVGVYYFNLFDEN FIQPILMQSSFETSIKDYAIIIDQQYRNGTSMYFYNQTIYNYSIGTQNVTCIAYTKKGYM IVPIFCLNEFQYGIYNITFYLPPKFHHNFGPSAYILFSMILLLLSYFYFKFKSKTRNLEP IYSEIEL >CAK93764 pep:novel supercontig:GCA_000165425.1:CT868674:14115:14830:-1 gene:GSPATT00026086001 transcript:CAK93764 MKIFFEVSKKQISGKEVYLGFILYSIINYLYNQPRIDIDDQCFQMEGKQQNIENHQIRVK QINNHLGLNTFFKILQISTSYFWKIYTEWNTKPKKYLNLFFLELINQKILLFKSQDLSKP KLRSLKRLGIKPDPQELSIIHQKTVCNNQTQVKLHNFPSIDKTNKFKILRCNLLQDRNSL YLSKFSELDKKGTFQQFTIYNQLSCQNLGKQDLKNMKNDFKYAKINQE >CAK93765 pep:novel supercontig:GCA_000165425.1:CT868674:15229:15753:-1 gene:GSPATT00026087001 transcript:CAK93765 MLITSQGKDLNQTKGIFLNIKRINNFNLNTIYMIVKIVKGINLIMKRNNLNNIFKNLSMK NKRLVEQERYEKERNKKSYNQPKQPQVFKQPLDIVKQFDNQKMEDKEQENIQYQQKQQTK LDDSSLCQICFEFPKQYVASPCGHFIYCHNCKELALKQCLICREPVQLLIKVFQ >CAK93766 pep:novel supercontig:GCA_000165425.1:CT868674:15808:16331:-1 gene:GSPATT00026088001 transcript:CAK93766 MKKLQESFESEAQNFQKKLTSHQNKFKGKFYGMNQKTEEKKQKIIQKLQSTKEQLQLSIE NNNSNKKSKLNNKLQNLEAELQKINRKDQIAKDYHLVYNGLIQQLQITQDQKIGALPQSQ RNQNFQILCSINRQAINLQSQVQKKNILIPLFKFAITAMIFQMNI >CAK93767 pep:novel supercontig:GCA_000165425.1:CT868674:16531:18349:1 gene:GSPATT00026089001 transcript:CAK93767 MSKAKQTKVSAPVGGGLAVKVVEQKMPTLEDYVKNRDWVGAVALLENEQNFNDSRAEPKL WLAYAYFHNGDYKKAIQVYDQMMSKPDYNKEIHVYKACCYYALCQYEDSKRECSKGPETP LAVRLQFHIAHKKNDEKNLMTYHHKISENVHDQLCLAAIHYLRGHYEEATDAYKKLLLEN REYTAINVYVALCYYKMEYFDVSIEILQSYLNQYPTSVTAVNLKACNQFSLYSGKAAEEI MKPLQQAYEGGNVFADNDLLRHNLVVMRGGENALQTLPPLVEIFPEAKLNLIIYYLKNDE VEEAFNLVKDLQPTSPKEYILKAIVYAMKGQLNLDQKEALKTAQQLFQLVGSSASECDTI PGRQCMSSCFFLCKQFEDVLVYLKSIKNYFSSDDDFNWNYGIACAGVGDYKEAEDALVAI QAEKYRSDDCYLKWMTRTFIMNGKPKEAWELYLNMDPSSESFQILQLIANDCYKMGHFYY AARAFDILARLDSDHEYEDALKGSVVGVFQMVIASKATLDQLLEVMNLLTTLGDNPQVEY IITIIKKWGKQNNWKI >CAK93768 pep:novel supercontig:GCA_000165425.1:CT868674:18409:19116:1 gene:GSPATT00026090001 transcript:CAK93768 MVKQDAQFVNNHAQNSFRIFKQNVKNSRMVLNNKVNNPQETFTSRNAYQVPQYHLKEQQY KKVEEWQKQQDLLVKYSYQNNHFIPNYPSKIKADLSLRFQRHYAQNQQFDKVKDQNDSYQ QTNEINQTTNNNTELAEQIIQKTIIKKVEIQKKSNLKRAKNQTTEKKTVKIKDNKKSISE SPENQPKLINKPPLPKIQNDKLSEQQTSLQQQPGMETVDSFLRNAK >CAK93769 pep:novel supercontig:GCA_000165425.1:CT868674:20253:22324:-1 gene:GSPATT00026091001 transcript:CAK93769 MDNTLLQSEVFRLQNLKNAIRAFPNNNKLQQLLVADDQGNLYLLEANGDQLKIENKQQIS TGKDINYLDLLISPATSEKDRIIVTSGSQVLGLTKKLKEYLKHDTYSADLIQFAMIEGNQ MFTAGEYMLNEYTYQNDKVGQLCFFLSPGKINCLQVHYLSSKAHPILGCQDSCVRVLNQD QVLYVVAMTSPVICMHVYAPQYKEISSQNRIEHRRCFVFGLEDGTIVAADLGIERANILF TFKVSSIASIKPYDFFKTGKTDLIIARQDGIVEVYQDDQLRVQKKINEGITSIECGQFFN LNGPTEIIISTFQGRLLLLREGQNNSISKNQKDIELQIKQLKTEIAELQNKQMKDSQKTG NVSKQNNSNKVQVKFKVSHKLIKNDKDGSYKLIIDCQYPIDIIVLNGQKSQKCYTIKDLK VSNYEITINQSDIYNIFVIPIDINSSQSFSINVKPLGQYQSINLMNIKHLPLSTLNLKGE FTKQDMIMWVNDLTDLQNSQEDTQTHLFRNATLDSYLQIKFKQGQALFKSDSISAIYACR QFIMGKANERGIQVEVKWECKNDSVVLYLQKIKSQYDDCIKQQKNYEILPAIKELLVDNP FSVLSKEFQQIYSTEQELSEIYKVLPKNLQYLEDLLIDTYVSKCNLRSQFDMNIENVKSL WKRDFSELIQYILK >CAK93770 pep:novel supercontig:GCA_000165425.1:CT868674:22353:23860:-1 gene:GSPATT00026092001 transcript:CAK93770 MNNIRVFKFSLKVFIERVVIAINFPCKLQVAWKRGKQKLESKQSDLNVNVANFNEELEMN SNMYFQDNQFKEKKCLFTITLLSQKGNKVAGQVSVDISSYLNRKLENIREELKLDKCPDR HAKIHIRFQFKCLEELDIDQLSRISFASNMDQEDQQNTIQSSASQITQNDSGNIQNEKQS QQNQYQQQALYTESDMDEIRTLLSQEMKKVQQKDSLISQLEQSLETKQEQLADTKQQLEA TKQKLYETLDKLQNYEESISSHDDFRKNLDNRVKQLEIQNKQLQQQIIEFNEQLKLKQQL VAQSDQKLSNALGQMQVMKQRISQYEELEQQQQNRKSAGNNKDMELMQKKINDLEKQIQR EQKKQEQDYALYQVQLKNVQAQLVDKTDLINKLQKDQDFDNKDASQISQLRKQLTQYQSN EEQFNKVLNQYKDQVQKAIQNEIKLKQQQSEEKERYNDQIIKLKCQLGDIFNTAYDIGGN KLVDRLQQAVGLS >CAK93771 pep:novel supercontig:GCA_000165425.1:CT868674:23884:24916:-1 gene:GSPATT00026093001 transcript:CAK93771 MGNQCKGTEENDIKIVQSSQALIGFVCDTIQYFMALEQYSQDRNELQRTLKFVQQINLSQ QAQVLENDHFTSLKQVSLGKKMNQKQFSDRLKQLGSVSIEESNQILNQFNLSQLQFQDLY NSINTLEVSLFQRSQQQNQADQFQVLKNKQISENNAEIFGEKLLYQMFIRISNKKFIIFQ LIDHWITTIKSNNLKLIISLATMIICEIYNSIKEIIIPKRIYEILIIWMVQVIVFNLYDS TKEMNKQEHLLDFIFYYKCPIEKMMILFAQQKIMKMQYVILNADDVADVIDKILRQYPRA GLLKHQIKLIEQYVKEDWFFQLYHIVRTWSVIAQRF >CAK93772 pep:novel supercontig:GCA_000165425.1:CT868674:25410:26032:-1 gene:GSPATT00026094001 transcript:CAK93772 MLQKFANWFRELFFGKELEIALVGLQNAGKTTLVNTMATGKFEEDTIPTIGFNFRSVKKG KVQMKMWDVGGQARFREQWEKYCRSADVIIFVVDAQDQGNLDIARQQLNQLISWPSLEGI PLLVLGNKYDLQGCITEQELITQMNLNSIKDRVVACFSISAKKNSNIDEMLKWLSKLQRK NK >CAK93773 pep:novel supercontig:GCA_000165425.1:CT868674:26930:27217:-1 gene:GSPATT00026095001 transcript:CAK93773 MKKFLSVIKNVTDPEKIAKYGAQKIENFADYLDPNNEKTSQQIPFMITRQMKNDLSDLGY NQDDIKKMTPTYASNLLQNQIKKKQ >CAK93774 pep:novel supercontig:GCA_000165425.1:CT868674:27241:28142:-1 gene:GSPATT00026096001 transcript:CAK93774 MEEQTQERLSQLQDILALNEVPKITKKLTKLGFSCSELLQQLIETNHNQGIQILQSYSNN FTELKGKSFVALILYLSTQEPNIEAILQADKLLQSTQYVGTFVKNYEKAIQDHSPFSQQI VNHIVQNKTNVPNFNLIFDKLFQICKQKQLDNIHEFEQLMNTQKDVVLIIDKPIIKIFPL TPRIKSEYLPKHLQIKKTQNAISKQEELRSMQRKKIMTKKKLMRELYKEQNQLHKIKKDK LLHQLDKQKDGYKKGLRLLEEQQMELKKLKTMQLNIKRHKKKSSRKGGNKH >CAK93775 pep:novel supercontig:GCA_000165425.1:CT868674:28182:37321:-1 gene:GSPATT00026097001 transcript:CAK93775 MPQETLKFQKVDSHWRTVMEQFSKEPNLWDGVDSDKMKNEFDQDNKALDQIQKSLSEYLE TKRNSFPRFYFLSDEELLEILAQTKDPETVQKHINKCFEAINLLEFVNGQEVVAMISAEK EKVPFSKAINVNEGDKKGNVEKWLCEIEAVMIDTLKKIMKASHLDVDTKRVAWVRKWPAQ IVLAVNMVRWTRGSETSINDKDNSHGGLAGFLQQLINELRDIVDLVRQDLSPLERLTLGA LVVLDVHARDVIRQLVKIGCNDINNFQWMAQLRYYWTEQVMKCNVKMINADLLYGYEYLG NSMRLVITPLTDRCYRTLMGAFHLQYGGAPEGPAGTGKTETVKDLAKALAVQCVVFNCSD GLNYLAMSKFFKGLASSGAWCCFDEFNRIDLEVLSVIAQQVLTIQDAIKQKRPEFEFEGT PIKLVPSCAINITMNPGYAGRSDLPDNLKALFRPCAMMVPDYALISEIYLASVGFQDANN LARKIVASLRLSSEQLSSQDHYDFGMRALKAILTAAGNLKRVMNDIEDIICLRALMDVNI PKFTINDVPLFNSITSDLFPGIKLPEQDYGALETALKNVAQDINIQAEKGFIEKCIQLFD TINVRHGLMIVGQAFAGKSKVLECLGKAMSSLNKIQSFVNVAVLKLNPKSITSDQLYGKL DPDTKSWTDGVIAIIMRQCAQDAEIEERKWVVFDGPVDAVWIENMNTVLDDNKKLCLTSG EIIKMTNWMTMMFEVEDLAVASPATVSRCGMVFLETQQIGWYALVKSYIQTIPEKFIEHH YLDDLLRVLIDCCQEWLRRNVKMTLVKNMLLILQTYVQEWTDMDDKASQKQINHNEIKDI ISKAILFSCVWSFGAAIDEVCRKQFNQFLIKLISSEDVQDSYKLQLQYKFQPISINAKLP DKANLFDMVYDRNKNNFISWTQTQPPFIIPKGCEYHDLLIPTSDSIRNNYFLHLCVKNKI HLLVSGPTGTGKTSNIVSEINKNYFNTEYTNLITAFSGQTLVNQVQKTIEAKVNSRRRKG YFGPEEGKKYIVIFIDDLNMPAKEKYGAQPPIELLRQWMDTGGWYDLETKEPKYLQGITF IASMLPPTGGRNVVSMRYLRHYVLLYVEPFEGDSLQRIFQNVLEWYYARQTNPFMKSITN LRDQTVNATLDIYQLIQTCKELLPTPAKSHYIYNLRDISKVFQGISKGIIKSFRDENDFI KLWAHECQRVFQDRLINEDDQGTFDKILKETILKHFKRDWKQLVQIEPLLWASFVPTLYP DDDRTKRPMTDIYCELTDRETLKKVCQEQLNEYNSQYTSNRMELVLFMNAIQHVLKIVRV VNTTFGHALLVGVGGSGRKSLAQLASFIAFQNETLQVDSRNWIEELQKVMKMGGIDQKEF VFMYSDTQIIKESMVEDICNILNNGEVPNLFPPEEKSKIIEEMSSYTSGTPNEKYSYFVR QCKKNLHLVICMSPVGEAFRRRLRTFPALVNCTTIDWFLPWPEEALRSTADAVFTRDMNI TDTKLRQGLVDIAVDMQMRVSDLTKRYYNELRRYYYVTPTSYLELLNTFKRLKSDRDQNM IKQISRYEAGVDKIIITESEVSKMQKELEDLQPKLEQATKDNSIMLINLQKKQKEADARK QVCQQEEKDCNVQRDGANALRNDCQNDLDKVLPILAQAAEALEKIDKNDMVQLKSFPKPP PSAAIVMEGLCYIFQEDQDVPWKPKEPGSMEKVQDFWEYSKKNLLNDKLIKRIKDFRDDS IRQIPQVKINKLRAFSQNPLFQKDKVFNASVAAGNLSLWVRAVVETYDALLVVDPKRQQL LEAESKLKEAEETLRVKQEALQEVLDMLAKLEAEYNKAKQEKEDLEAKVNKCKIQLSRAE KLITELGGEKESWKKKAADFRVDSKTIVGDCILSSGIVAYLGAFPIAYRDDTIKAWQGLL VKLNLEYDPDYSLQKILCDPITMGQWTNVQKLPNDSFSIDNAIILKNSTRWPLMIDPQTQ ANTWVKHMEMKHGENQSLVIVRPTQSQNVLSKTLESALQFGQSVLLENVGESIDAIFESI LQQKIIKQGSAYKLKFGDKMVDYSKDFKFYMTTKLARPHYPPEICVKVTMLNFQVTQEGL EDQMLNIVVKIEEPAKDEQRQRNIKEFFENKNKQKMTEDNILQLLQESKGNLLDDEVLID TLQRSKAESITIQDKLKKQEQDREQFNQIRNFYREVAKRVANLYFVVLDLSLIEPTYQWS LEFYIILFERAIRESIQGKENRSKNIIDKFQISLYESICRSLLEKDKLIFSFLMTMKVMQ SDGKITPQEIRFTMVGGTYTDPTYHHPQQAEWISKKMWCLITEAADVLACFKGLPESVTK NLEVWQEIYDSSEPQTQKLPEPWATSLSAFQKLIILRIIRPDKFANATQNLIITEMGKQF MDPPPFNLEYAYKDADAFTPLIFILSPGADPRLEIQALADKFGFRQNFIPLSLGQGQGEI ATNAIKGAVKDGKWVLLQNCHLAPSFMPELERIHEQEICAKPDVNTDFRIWLTSMPSSVF PVTLLMKGIKMTYEPPRGLKNNMLRNFSSIDNKSFEQCKKPVEWKKLFFGLNFFHAVCLE RRKYGPLGWNIPYEFTSADLAISVSQLRNFLDTFEDIPWEALNYMVAEANYGGRVTDPKD RRLIAILLKQFYTTDVLQIDRHKLSPSGTYYIPPNGVLEDYKEYIRNLPLNDQTEVFGLH DNAEISSAIIETNFITSTILSLLPRSTGGAGTSAEDLIKEKCKQILAKLPKRFNVEEAAR KHPVQYNQSMNTVLQQELIRFNKLLQAVTQSLIDLGKAIDGLVVMSADLEQVFNKVFDNQ VPDIWHKVAYPSLKPLGSWINDFIERLHFMQLWIDNGAPPTFWVSGFFFTQSFLTGTLQN FARRYQIPIDTLSFEFIVIPPSSQEYDLTKPPEDGCYVYGLFLDGARWDEENRCLNESLP KILQYSVPYLWLLPSEEKKDWDADTSVYECPVYKTSRRAGTLSTTGHSTNFVISIYLPIS PDHHPYHWVKRGVAILCQTDD >CAK93776 pep:novel supercontig:GCA_000165425.1:CT868674:37338:41122:-1 gene:GSPATT00026098001 transcript:CAK93776 MKHLGPIQKINTPSFDFQSAFKEHQEVEERIKQETETQDPRKLVMNLLNDRDNQQPIEGT LTSSQFGLKKKINIRTTRQVKSQVPLLTGQIKNLTSLNFNSPSNTLKFDMDSQFNSKRSN FIPNFINSGRNFDVEVKGTLGEEFTEFQMYDDDSQPEDIPKNKNFSYGGRMANKKLKDYD CWDEDKTPEQWIELCLKTNPPHAKCPLFDHSDKYIWTDVEVLGYQNGRYEVKVLRSNKIK WIGRLSLLFFAEDPIKFEQRVELCKQRQRNADDEYRFLKYIDSLPDSMTSILSTEMQKKI DEFTYFRELPYLTKEDPSKTAPGFPQIQTLKDESKTMITDPEIKRKQLQNLDILKKDLVK QVEKEYIMLMKKCSILKDMEINKNDIKWIQLRIRNRFELTKKPFYGLCKQFGSDTKVINY ESQGQSAKSFIKQQDNWAFVPTRQTIQSLHYSKLTVVVNTLNALTARSQLYQNFPLLNVL LNHQSLPMTLSNFESQQKQHQVQGRQTLQVQWRSTIVGDIQDKLREKYRFYQTETEDYLD SDLQKLLIRIDYMFTNYIRENVVKWNCIAWVDFIKKFTTPKQGEYWRINDYPLMILNLEV NLSFKRSKKNEKKVKSYKCYIFSPSLQSIQAALLKPLDLLLESVNSFNRLEKDLVPLVDI DQKKEVKGRLRAYEIENDQDQIWVKWARDKILEYIEIGFQKPNEMLQRFREYSFLLEKPV SSILKSLFGDISKKPIITSLDKDEIQKKLNDFINAKLQIQRLCLDEKNEQFFQIKTRIAK ENLIQKANEFVSSILKQCSEIVTDNISRLSVEYNDMSERITKQPKNEAELVELKTYIAEH EVNLAKKKQEVDCLYDYLTIFEEMSHTFEDKNLYEFWNLYSFPPEIKNHVIEGQRKANLQ EQKFIENLDNEKDKFQGELRELAELYLTVQKFDDYTKAKENATEVMSLNERLQKAKEKVE SFNERERLFKSPESVYDELEQLIKNFAIYYNLWTYFIEFEMEKGDWCTGSFLKLNFTEID SKVRTNQRNVNILIKAFSDTVQMIQLKLKSQIDEFKEKLWLIELLTTEAMKIKLNMWKDI WKIVGIVDQETNDDLSLDTLVSHGLMNHRSDIEEVSRRAEKQWQIEKNLNLIQEKLKDQK VEMIPYKKTGTFVLKSLEEVVQCFDDQFNILLMLKAQPQIKAVLHKAQALEYKIVLIQDT LDGWTKMLKRMDVFRTNLYI >CAK93777 pep:novel supercontig:GCA_000165425.1:CT868674:41213:44450:1 gene:GSPATT00026099001 transcript:CAK93777 MSFSDDSEDEEEIKKRLYADLDQVSVNDNQTERSFIFEQHNYETHDYKQSKIWLEFMNQN KQREEMLDKIENDIQLMKQINQGNQCIEIEDYNGDRLKVELNDQLPNEIITQIKEQPQQK IILHEEILLQQQPTPILDLQKSSTSLTVNQNQVRAPSAKQTKPNKQNLQDQKLELMYQQR EMMQMEIEDNYSREYQDQWMRQLFKNRLYTLPEEFPALKPIAFQIKIPDFSIPVFKTPSI QIPQILDLVEDNLAPSNTKELVFTRLQNIKFQKFKYVETQQEAKPNKELQQLQQIDSELK YNIVYQDYLKNQQQLVLSDTVEDIYWKKVNNLHQDLMNTINKLIQKFPKYNSYRSPFTEY EQPKTNLDNISIAEDNSNLNLFQQYIQNNQVSKYTRKLEIKLENLTTLDGIQEMKDIRKV ILSCNQLNDLSPLSALNNIIEVDLQQNNIQSYNQLGKLSNLRILRLEMNHIQEMSKLPNC LFLEVYSLKGNKIHSMTNLENLEFLKQLYLYKNQLKSVGNISKCLILEILDLSSNQIEIG LNENPFKNNQCLRKLILTKNKIQHLPEMRLLLLNELYLNKNKLESLDGIIYLPSLQILQV QDNQLQTCYDTEYSISYIPNIQQIDLSGNRIQSFGTIINFIQRCNELKQINYLENPFLLG LSEEVLQLYRYMLIINCSQLIEVNQALLNKKDQEFLKDKFYGFLEPPQRRSQQLDLERSF NKLVNQLNNNTQIMHKINGLEQRKITKIHPFTYTERFKIIQILNDLTFIKNNFQINVDVD KEISDFNLKYQKSLFKVQSLLIKWIYRFKRRQKYYKSKVNQLIIVQSLIRGYIARNQPNV KQFRQKNNKKKLHIYAIRIQKAWRRYIIRKRKSDRMKNIKFEDSELDELGEIDVDFLQQD IDLNFDLRIPQGIDLQQVFKQSQNQHQILQQQITQQAQQKVQQQQVPFKQSASSTKKPQN NVDAQMRTSHLSGTQSQSQMGQSKYSEKTTKTDSLPTIGERTKKIMQNWNFKDPAVAYTI ACKLEKEQKRKSKTKELNHQERLERFKKH >CAK93778 pep:novel supercontig:GCA_000165425.1:CT868674:44475:46577:1 gene:GSPATT00026100001 transcript:CAK93778 MGTSAQSHMNSTSFFKTFRSQFRPIEIKGEKIDGQVYVCDANQEMIIEYPNRILDQESDE LWHQSQSMIQHIQAPYIINYYGSAERQSQEMCSSYSTIFSYYEYIPHNLQKEINERKDNR EQFAEKEIWYLLWSLSQALYELKQKGYNHKDLRPVTVALKRNGMVKLCPIGVLQDQKNSV ARFVVENQQTYIPTTLKKQLINQSQPQINWNKIDSFALGHIILDLMILDVPVLVDNQQIS QLQMLCYNRFSQQLIRIMEHLMLETDNQLLVEDVYYLLKPYSERINNLQDFQINFEDVKQ LAIPLNSMSKLRLKSIIETSYKQSEILYESQQVDNTQYQQQLKVNIQQQLIIEEQKQQLL LQQQKYQQQLQIQQQTSNQQQYTNTVLQQQIQQQLQQPNINQQAVLIQQPTITQQIIPQP PPIQQQQVITQQQPPNIIQQQQPSPQQHVVIPRPPQTQQQLIQSPDLRKSVSPQPQKIVN QQPQLLQRLLMQSQQIPQPPQVIPPKQQQPLPIVTPISPVNPIKIPQQYYQPQIPPPQPP PVAQPIQNVYVPPQPPNILQQQPIQRPVVQQNAPIQLPVIYLNRPPPTNNYNQSPPGQKI PVQLVQTVAPMNDQFDREITVLEYQPQRNQPLRASNQVPQTQQKYSSPQTRQSNNFDRIR NVIQDSDELLQQQHK >CAK93779 pep:novel supercontig:GCA_000165425.1:CT868674:46848:47273:-1 gene:GSPATT00026101001 transcript:CAK93779 MSQSSDITQISDNNSPAQKSSLPPDVVNMLKAQKDDVPIGKMHQAVLPATLLSKRQIEFK KSNLSHYKIWNSTSNEDMQRINEIYKQFRRKFGRNAQHLDEFFTLISRTNQDLELVKQLI LFEDKFLQKYIQTRSSLLKCD >CAK93780 pep:novel supercontig:GCA_000165425.1:CT868674:47342:48671:-1 gene:GSPATT00026102001 transcript:CAK93780 MEVETQNLTQEELELFKQLKLKEQELEYLDIQEQYLKDDQKRLKRELVRSREELKRIQAV PLTVGHFNEMMNENYALINSNGGATLYVRVLSTLDREKLKPNTSIALHRHSHSVVDILPT ETDSSIQMMHVTEKPDVSYQDIGGLDQQKQEIKEAIELPLSCPELYRQIGIDPPRGVLLY GPPGTGKTMIAKAVAHHTTASFIRVVGSEFVQKYLGEGPRMVRDVFRLARENAPSIIFID EVDSIATKRFDAQTGADREVQRILIELLNQMDGFDQSTNVKVIMATNRPDTLDPALLRPG RLDRKIEFPLPDRRQKRLIFQTVTSKMNLSEEVDLEAFINRPDKISNADIAAICQEAGMQ AVRKNRYVVIQKDFDKAYKIVVRKTEKEFNFYK >CAK93781 pep:novel supercontig:GCA_000165425.1:CT868674:48783:49463:-1 gene:GSPATT00026103001 transcript:CAK93781 MIKNNTNLDALNGLKYELQIIEDQKTQELKDLKLFFKTLQQKVWDLKRKNYNQKICFIDP LLRDSLLSMQHLIGLKAEAIRQLEDEKYNQQVDREKLVVLHKYQESGVEVHHAYTKYIQS ENLKGLRDLPHSYKLLELDLEIEVERKRQLKQQLESQKKQTEELQLETRKKLEEATSFHH LLQQKIDQEKN >CAK93782 pep:novel supercontig:GCA_000165425.1:CT868674:49510:51159:-1 gene:GSPATT00026104001 transcript:CAK93782 MDEFQTFLASLGDQLSAPISDDYRKQFKIRGEFIYPQNKKFDQICQRFCQSFQVSFLEDE KLRSKRKWDNSFKLFLIWFLVKYFEKQNQIAIRPDARDWIIFEHILKIDKHTLQQRWITL INPQMKSTNWTQEEDDFIRNQMKQDQKNKHIWTQIAVSLYEKNLQGHIRTPKQIRERWMN YLNPELNKEQWLLKEDLIVLNSVVKNGKKWSQISQQLNGRTENQVKNRYKSLIHKICKDD ECDEIEMIKQYIRKNSAHMDSNKQGIIGKRGRHKKGMRNKEQKADAKKQKNIPKIIKQEE QQSQQSFVQQAQQQMYAQSQQLQLQQQIQQNQQLQQLQQLQQQMNFNPEEMKLALNLQST FNQDDLKNPTPLMMLQCLTSPGYQYFENQCLRQLSPGIVDEGDCHQNSSLQLPKIANMYK VSSASPFIIGSILASPFLVNDQFVQQNEDQVGATSNQTFTQQISTTPYLNLNYLRQQQMN GFSKQKDLDLLQENPIQSFNKRRNINQQ >CAK93783 pep:novel supercontig:GCA_000165425.1:CT868674:51320:56185:1 gene:GSPATT00026105001 transcript:CAK93783 MQQFSNQTIISDQTHIQQSNRYFQTSDKQDLNTFQQLDTGFPFQNIFDLSIFEANQSQQE QPNNSIKEMVNSMLASISNNEEILGMTSANIDDDQFDDQLDDLEFRECLYELQQKALNQN CILHQENYKTQVATLLMWNQLYKNPNKKVGVLTTTIQKADNLAKQIKTLLPRLVETYLGD QSILKKAQEFCDNLQIIHPNKCTDLWNNLVWQVMLQENNIYVMTSEIFVNSLRRGYWNFT DFSFIYLDDCQSTIFDNSYNHLFKEFYFPLKSSLQVPIIVGVYNNSMYANNKFIADEQIL KDLVQLCANMDARFININIEAVKQRIKETKITISTYKSQIDESKIHKMLYSNNQYRKLNS YLHDKEMSNNISYMLKDFTDVLSEFKSNHNQSANISEKIIANYFEQVLLKQAWAVCFELG QYPFNLILKFIIQQFQLYFNTKQLQCTEFSIHNKLEWLLTETRQLKNQNTLSPKIKLLHN LLTQTYENPQIANNRILIYVKHQNLAFYLLKTLQYISQSKSQGLKIGMVEKIKEESDQKR MQIDFTYIYQELYQNLQRSQIQFSLSDLKNELVQLDQIFNLDQLIYESKQNCELDQCQVV ITSQLDVNFAFDFQQLICFNPLPHTIYDQLMRQMKDKQLQIIMMREDENTNKKQKIQFNY QSNFYNPQKVEKIIDECTIPQREQILQKAIDNLQNKAIHNMHYECYTIQKSGALLNTNWA CNLIEYFNLQINLEKKGTKVSKYAIYQLKNGQQKQSDHYIAFLLLPNQVQNTIFYGNKAQ GAKEAKASVAFQAAIQLYQKGYFDCHLNSYIQNNIGQNIGAHQVDPSVIMTKEQLNIQSK YCLIIRQKYISILRGMVKERKYYHTCQKSLLVNEKQESIFIKQKCKFQKESIQLIYPKQF QEKLKQCQTFLKMLDISLLEEQTISQDEYLELYKKYIESIIYEEDLISTQNDHFTRARSE IKFKIQFKIVDYGLIIISDQSKYQLIKKNFIVNQFLLALKSNLFKKLIEPKLKIMQDLEE ANQYNVTPNYILNNLNQFYNHITPILLNVKVQNQEPKLILRKKRQEKMANTGLVVQNLDQ VILNSLNVSAYKLEDLMLGSSYYKFLITIQLLLNYPTLDSKILEQTIKHFSSITYIRNCL MESYIFLYLQIYDESDISKALIGFERFDINYDVLLSQQSGDFTESPFNQKDIMKISDIDF KQFLQQSLQIIEKEDYQQTKGFDWLRILNITKKLEKQISSQKDLKQLCPQHIWDNQNVGQ LKSIYQKFEEIIKYQFNSFELLFQALTDVSFKMIINNEVQQQYMEKFQSKDEFQGWISES ELQEYIKQIDQMNSIAQSSYQNSDLAILGKSLWDYALMKILNEMSLDNASLVTLYKILKG ISFLTYAAIKLKIHQYLNSSFQKQLQSFVDLYEKTKDQSITFHISSIPYNENSIMLRNAF LALVGAIYIDSDFELAVVLEWIKSLFTQINVDELYQPEFLQSRQRYQFYQWYQKHFGNPF NFDLIKIPHQDQKKIGQGMFLYMPYDQKEGQIVNIKGEKFYQIAQLHIYAKCKSQAWDKL YELIEH >CAK93784 pep:novel supercontig:GCA_000165425.1:CT868674:56227:58192:1 gene:GSPATT00026106001 transcript:CAK93784 MKQPSADILEMEHAIGYSGRIPRSVILHPNGVEFICIAGASLIITDLRDAHKQSFLQGHD DQITCLAVSNKGELVASGQKGDNSDVIIWDYHRKIQKYRLSEHDYEVVCVDFSHDDRLLF SSGNPMDKRIFIWDCQTGYIVGSVNHTPEPTTIARWGGFAKDIKGRDTPKYQFATSGNKQ ICLWKLDPKLGVFEKEVINTGNLVRDYICMEFSKNREEYLLLGTTSGDFCVFQMKNKFFS HQIVVAALGVMSIRFVDFTRFIVGAGNGTLAMYQITEDNKINQLHKTDLGGAVNSITVRV DGLESLISTDRGLIFRFNTNSFQKGLHSENHTGSILDMSYPPGVSDRFASASEDGTIRLW DISEYYVIAKCQANVNVVPLSLVYRDEVLLSGWADGKIRMFRSDNGQQIWQIDNAHKTGV TTLCISNNLKFFCSGGGEGDVRVWEMRTREMVSHLKEHTHKVTKVKLIANETQLLTSSRD RALLQWDLKTEKRISAHIQRMGGINSFDTVPNTSVVFSTGQDRKIFTLGSQLNGNPKKGQ KFILIQGDEFTGGSNCSVKLWDLQTGQQISEGFGHSGPVNTVQFAPDGKQVISGAQDGVV IVWNVFL >CAK93785 pep:novel supercontig:GCA_000165425.1:CT868674:58296:58884:1 gene:GSPATT00026107001 transcript:CAK93785 MLKRPPVPKFHEECNTPKRNQDMYELISDIIFKMNLNAEVEKKSISIFNVLSIPNSYMHA QAISYNYKLPETDEKLQYLAKCIQQQHSTLITTLCQKLKIDSKATTVCVTLLRQMQPLIQ KLPKPVQNAIVVKIATDIIYLKQGGININIIANSANITTEQLDSNINRIKPFAFQIIQDL FNYFNHHSI >CAK93786 pep:novel supercontig:GCA_000165425.1:CT868674:59594:60981:-1 gene:GSPATT00026108001 transcript:CAK93786 MIQIKDSLPKEWFDFIESIKILNPIDEETVKQFVKVRASQFPSDQQMKQYYEEVFSKSTN DSNRKKWHQQDKILLIWSMTKYLMSQNRSDLIPNDRDWEYISKILCVDKQLVELKWISLL HSNLKISPWTKEEDQILTDIASQHYSKNNWTELTIMFNQLSSTQRYPKQIRERWNNVLNP SISRSSWTKEEKIKLIQLILDYGKKWSKIQSEMNGRSENQIKNQYNGIIRNLKRFNVQEN EERSLLKAIVQNPDQKLSLTVTQFMSDFLAKKEAQKRIDTPLLNTEGSNLKKPKVEPLSL DNAISATNVSLTEKTKISQESSNQIVQTTNLFQQQNNHFSVPQIQPQQICYGNQYGMFSF PNAYMNYAPNNQIYPNYHQFPVNLGFQQQFQMYPGYF >CAK93787 pep:novel supercontig:GCA_000165425.1:CT868674:62552:63395:-1 gene:GSPATT00026109001 transcript:CAK93787 MSLQKNGSEYAHKKGIRRATINTFGYIAKAIGPQDVLSTLLNNLKVQERQLRVCTTVAIA IVAETCGPFTVLPALMNEYRVRELNVQNGVLKSLSFMFEYIGPTAYSYINSVIPLLIDAL TDRDLVHRQTASSAVKHLALGVQCLGCEEQLMHLLNHVWPNIFETSPHVINAVMEAIEGM RVSLGPGNILLYALQGLYHPARRVRLIYWRIYNMIYVGSSDACVAFYPTFPNDQYNSYEK YELNLTL >CAK93788 pep:novel supercontig:GCA_000165425.1:CT868674:63431:66210:-1 gene:GSPATT00026110001 transcript:CAK93788 MTEEQGKQRFAYTAPKQILEEAAQIGEDNQTRKQNLDKVEEKFKYKQKNRQLSPERKDVF NDQGGENGRTYAEIMIQQDLENSRSEIENKIKKTETLNKEEKKLVKQQVKVEQNVAQQIK QERSEWEQESKDVSKKPQKWETPSRDGPQSSARASRWDNTNKLQATPGRAGTVFGETPTP GHMEIGDTPYKYGETPTPNHGEKEHLWLVNHGFGGGMTPHTPGTVMRTPMTPGQLGNMTP DRVYQFRLEKEMEERNKYMTDEELTSILPGPKDGYEILRAPENYKPLRSSLKKLLNAKDS IESPVQYQIPESIRIEVSATPSHPTIGQLPAIKPEEYNLFSALLQPINEDELTPEQAKER KIMALLLKIKNGTPQMRKSALRQITQSAREFGPAPLFNQILPLLMSPTLEDQERHLLVKV IDRVLFKLDDLVRPYVHKILVVIQPLLIHEDYYARVEAREIISNLAKAAGLATMITTMRP DIDHNDDYVRNTTARAFAIVASALGIPALLPFLKAVCQSRKSWQARHTGIKIVQQISIFM GCAILPHLKSLVEIIQHGLKDEQQKVKTITALALAALAEASFPYGIEAFDNVLIPLWEGI KTHKGKGLAAFLKAIGFIIPLMDVEHATEYVKAIVPILKNQFEIQEEEMKKIVLMVIKQC IQCAGIEAVYVRDQIMPEFFKYYWSKRTATDRRNYRQMVETTCEIAAKVGAAEILERIVG DLKDENESFRKMVVETIEKFINQLGVSDIDSKLENRLMDGVLWAFNEQQSEDTQTMLSGF GSIINAFGSRSKPYFSQLGGVLQWRLSNKSPRVRQQAADLIGKIAVCMKNCQEEARLGRL GQLLFECLGEEYPEVLGSILGGLKAIVNVIGMNKMSPPIKDLLPRLTPILKNRHEKVQAE LH >CAK93789 pep:novel supercontig:GCA_000165425.1:CT868674:66370:67884:1 gene:GSPATT00026111001 transcript:CAK93789 MQSIVQLDCLKHNNQQICMLDIGKSTLNGSRTLCQSCFNNLSQKENVIFLASFYEKLNQH KDKEHRWIEDKKQELHKKLSPLIKHLQEAQNRLIKILEFAQTEFQQFQSIIEQSLNNMQV KLAALGQNPTISQLEELAERIGKGDRLIPFDFSAECEKMLTNRQQNSLGEQSRRLQELFK EIKNIFSYLENKNINEFVLAYESQVDKLCQSIGVNYQDRTVAISEDKDISLWNLDNYAFD KIDYLKGHLEKVTCIQFSQQQNWFVSGSDDQTLKIWKMNKDLWCCTQTLDGHQNYISDIK ISNDENTLISSSFDFKIKIWQQKDQKIWFCSQTLDNHKNYVYYLSINGSNTLFASSSWDF TLKIWQLKSRKWEQYRTIEKRQNEWIKRICFLNDTQIACQIVGQPKIVIMQVIGNNEISQ LQEIPLTENDDEYSYNPMIYFQKKKLIILKNNKSTLILQEKNNGLFQLIHTIKGNHQSPT IITTGKSLIMFEKDKKKLQLFKTY >CAK93790 pep:novel supercontig:GCA_000165425.1:CT868674:67910:68836:-1 gene:GSPATT00026112001 transcript:CAK93790 MQKFNGVRSLVNLSKFRASDHHLKASHHQPNRPDLMTKVDIDKYRVFINMHPEAKERFFA TYTWLPKITPLYNSTIVKYIATSFSSVQAEAVSDVYFPPKLHENGINIYTSYRFGKPFRY GRSLEIVGGIFFLTTKYPLYYALVALFGYTVGFNAYFYELTRRTVIRMDLLPHTHQIAVQ KVGAFGQLITKLHQISDLEHVDFSEQEIKENYFWNLHYHKLDRNLIFKDKSTGEYLTFDS EGWWDRQALEHELLN >CAK93791 pep:novel supercontig:GCA_000165425.1:CT868674:68916:69939:1 gene:GSPATT00026113001 transcript:CAK93791 MHNYRGVRPSTAKMNTLPPETENLEEYMKILYDHQKACEKAGKYLEADCAKQRLKDLKIK YESRAKLDIRDRHEQEKYELTKSHQEEMQNFNAFWDEKIQEFEQDAQKLKNELQQKQEDE MQQFLQDLEGSIPQTPKDSAELLSLKKTEEQLARQEEYLEAHKIQSRIQQISKEEQEKWT LMRNNKIKNLLQQQKIKQNNETNVLNQRIENAQEEQRKIKKSEEEKLIQKYINVKKELEG KQLQELQRVDKDFKNTTSNFIASRMSKMASQSGSQMQSEDQQFKGKN >CAK93792 pep:novel supercontig:GCA_000165425.1:CT868674:70742:71137:-1 gene:GSPATT00026114001 transcript:CAK93792 MEHRIQLNGGSTDYRNAFQKSYTIIIPDYYDAFERTETLFYTNGGSLFPTEQVKYFTNLK EYQKNRIFLHCYSEERYHTVLEMIVNEFNSNQITSELKKNFKVADLKQAWTEVITNEYHK LRG >CAK93793 pep:novel supercontig:GCA_000165425.1:CT868674:71262:71651:-1 gene:GSPATT00026115001 transcript:CAK93793 MKSSNNSNSKNNNFMKNQNQRIIVVSNQSKIQSLKQTQTQQLIFHQQSETERSAIKAEND QLKQWLQRFQQESEAEGTVSENEQLKNRLQVYQSEIEQKNTITNLKLKKIHYIFMIEDSK SLKGDVWEY >CAK93794 pep:novel supercontig:GCA_000165425.1:CT868674:71984:72595:-1 gene:GSPATT00026116001 transcript:CAK93794 MLQIKVFLIQYEQENKNKMPCERALNTSKHRSFFNQIMGQPFLFLQTNCNKEAIKISNKS KLIIAESLDKYFSLYIQSSNIQQQLIPILGLQYILGMQQLLALFIVKPLQLQVASNLQSQ KELNKKIKQPKILLFQFNQKNNLNSFYSINYVVQETRLINYILLYILLYQIAFLLYQNPL IQSLDDYKQQSQNLVFFLYKTVM >CAK93795 pep:novel supercontig:GCA_000165425.1:CT868674:73217:74630:1 gene:GSPATT00026117001 transcript:CAK93795 MYELQLGDLIGNVYKVKKLLSQGSFGRVYLGRNIESGMKVAIKVEKQEIKRGQLRHYQQV KILKKLRGISQVPQLLWHGKHNEFQVMITKMLGFDLIYFLKKHKRFSNECIFNIAQQMIE ILENIHKKNILHRDLKPENILGKRHSDQIYLIDYGIAKDFVKSKKQSQVKIPFIGTSRYA SITAHQGLEQSRRDDLESLGYVLIYLFKQKLPWSNYENSEYDRLERIGQLKSEIPLKEIC EGCPQQLYNYMIQVAELNHQQVPNYQKLKSIFQSKINHKQHVIFDWSNTQLANSKSSQDR TKNNLKQNKYDSVQQIKLSIEKMSSRHLHTITFHVDSNASSCFNSQQSSSMQHSFGSHQS VQVDFMNSDSSEHTQKQEINKISTFEAFLITDDIMPIKDQLQLMELENQDLEIKHTLLHY HSVYYNFKNPFQSLLQLRMN >CAK93796 pep:novel supercontig:GCA_000165425.1:CT868674:75483:76010:1 gene:GSPATT00026118001 transcript:CAK93796 MNVVMEGGLEESLEDNIIYAIAKVLDEIVQETDIIESPIQTVFHTNKKPQITIYKYIERI KMYSYCSNECFVLALIYIDKVQERNQDVVINSHCVHRLISNCYNHRFLLACILLSIKYND DDYYKNDYYARVGGVTLQELNQLERDLLTLLDYQLFVSQTQYYYYKEKLMKYAQL >CAK93797 pep:novel supercontig:GCA_000165425.1:CT868674:76029:76559:1 gene:GSPATT00026119001 transcript:CAK93797 MEMAQRLDINSLVHLNNFIFLVFSICTDKIVTEDFQCNDPEGIGFLHFILYGNLIVYGII FVIQFLSACNLLDTCTRKFGAVCITINTFVFFGGVIIFDVLSMLYGIKQHFFSTKSKCRE IELFTMCCAYIFVVWISVMIIGATNRIIDYFKPRNDLIQPLNQNPAVL >CAK93798 pep:novel supercontig:GCA_000165425.1:CT868674:76588:77051:-1 gene:GSPATT00026120001 transcript:CAK93798 MLILDRICYPKTILRTNNNKTIKHPINLKSNFKSIHTIVKQRMNQITQGTSMEQMQSVQY YYIIKRVGIKINNIKLSVF >CAK93799 pep:novel supercontig:GCA_000165425.1:CT868674:77388:78095:-1 gene:GSPATT00026121001 transcript:CAK93799 MSQHVQASVQYSFPKAQRFRRLHTPCSTDYYEPNYFRSTRASGFGYGNKFDFTKVQFYTE SYYDPASSFSNTKGASFGLSRNMVKNRSYLQNNDVPGPGSYLQSPQKSIGYSIGQRVGVC PHTTEMPGPGAYDPNIYKNRSISINKGPDRFSTESTFMPGPGEYNAQLIQTQPKCRFGSA KRKCFIDDAVRAAKKSGGIILFYATILVPGPGNYTSITQFVQLDKQKSRPSTAKH >CAK93800 pep:novel supercontig:GCA_000165425.1:CT868674:78128:81496:-1 gene:GSPATT00026122001 transcript:CAK93800 MNRLNSQPKSNNQGRMTPVEYMMHKNIPLPNKAKYPHMDTEASQHRSEIVYQNNISNYSN NSEGNGIQKILEEKNREIEFLKQAEAQSKMLTTQFQEMNQNHQLEVKQLLEQIQNYKQRN VDLKSQVEQYMTELNKSNLKYQECCRERDEYLKNFREYSQDYDIMKMQLQGKDAEIVSLK DRIHNIESSHQQELTQYSQTNEHWKRSLMDTQIKEITQQFNQDRIQSDIKIKNLENKNIE LENKCVLLAQEMERMRVKDLEQNKVVNKNLQNENNELKAKIQEMMQFITRYEEQINEMEF YQDQDQKDRMKIVVLSSEIERLHCVIEEQQQQTQSYQQQIQGLEQYEMQCQLLEEQQLLN NQLKEQLDQEVEKNQNTLQQQEYYQQEWTVRNQELQDKCAMLTTELERLNLVTRNNDRSS RNYQYEIESLQKQLENHFQIVEEKSNIILQLEMEISNYQQESMLLNSDNDNLNMRITQLE EEIQEIKDQASQQQSRRSQELRQQIEYYANELNRTTYNYNQANQDKESLHQEYEKINREY KDLFFTSQQKDIEIKDLYDQIQLIRDKHQIEINELKKQGEYLRRSVLDQQIRDLTEKFNN DKQAFDMQFRQLQQSKQQVEMQNSMLQQNLQELQYTVDGQLEIQRQKLQTSEKERINLLQ EIKDYQMELQRVSNERFQMKEDFVKERMKIVIYATEIDRLWSVIEDFTNQIKKTEEQNRL QNDQIQQLTLTTQQYHNTISTLELEKKNHLRENNMKEQSLENKMIVMTNELERTKNQLYE EQSRVYEQEIKQKSLLQEIEELYQQIESYQSEITIMQKNGLEVGDLETKFQAERMSWETQ KYQLNNQIQDYEQRVHLLSQEIKRLTTIGDERLHEIEELRFKFRDASLAENYEQLKTEYD LLEQQVMELEQSNLKLKSHTQTLEKQIQLLELSLQDKSREVEDIYNLMNKQRRQSESTNK EAENNRKTQQQLQQTISNLGQQNQQLKEQLQTVNNENQSLQSQIQYMQNSLHERDQMIQK KSSELTEKIKEIDSLKVKYEQKMNNSIIQSTVIRNSSITRQVIKTDQENFISDPVLKSKT QFNQHTVIRPPRQAMSQINGDPNNQQE >CAK93801 pep:novel supercontig:GCA_000165425.1:CT868674:81553:81884:1 gene:GSPATT00026123001 transcript:CAK93801 MESAFIFGCLFGMGIRMTVSRVIRQPFLYKCHQYPKYMFLTGLAFSGFDWIRRLSLETLC EKEELQEFLVRTARINQLATGAESVGDYKKEFIQIAVDEHIY >CAK93802 pep:novel supercontig:GCA_000165425.1:CT868674:82131:83193:-1 gene:GSPATT00026125001 transcript:CAK93802 MQYQLIRSNVEPQQKKISAKMVPTPGVVYQNYQSLLSQPFQFSQNQNKNFNPKKNDIQKV NSVAIQQSPSLINLPQLLKLFAHPYYLWTNYSVEAIANFQKLPPQHYRSGWVLEKVARSF MDQVKYADAEKVWKEMRQIEPNRLEGMDYYSSCLWHLKKQSELTYLAHQCLQISMQAPET WIAIGNCFSLSKEIDNSIKFFGRAIQLSKDYSYAYTLSGHEFSQNENFQQAKKSYDKATS LDSRQYNAWWGQGNMYYKTDKYDDAIRCFTQALKINSNNPVLPTFLAMSYAAKGEHLEAL KYFEQSEKLDPQNGLNKYQKANSLIKIDDYNSALI >CAK93803 pep:novel supercontig:GCA_000165425.1:CT868674:83221:84050:-1 gene:GSPATT00026126001 transcript:CAK93803 MIEEEGQQLKSQLEQQLVEAILDSLQNHMDQNATFLAERLVYERDTEEFRSLLAECYLQE NQPYKACHILKDCKSEFNRYQYAVSLYRNQKYKEAEVALVGTQFSNQFSIQTQNVPNGGF GFLLLGQIQEQLHRIDEAKHQYSRALDYNPTLWVAFERLSKIGESVAINKVFNDQKQRQH ETNRQQSCNIYKIIQNLLKNKTANFKSGQKEEETRDEVQINDSVILQSICLIVIFRQKII LNHQTFFNNWCSAISCSYG >CAK93804 pep:novel supercontig:GCA_000165425.1:CT868674:84094:85231:-1 gene:GSPATT00026127001 transcript:CAK93804 MADVPKHNDKSHKVVQFKEFKSYVLDKYGDADLQKIQKITSNEKAIKDAISKIIREVKEK LDLFEINEIKKMPSLQQLSEYVWTVRKTENLKDITADLLKTVVEFQVEKIPKYGFILEQI DDRMNKLKTEVIGMLKAQQYDLQITTLGNVKFTWSDQFKANIYAIKDEAKKEIEATTSFM GWSFACIQQQLTSQKRWETKLEIRAIETDLKQMSGSQLGQSQLKKQSYSFAVGVTLQKLR QQKGFEQQDLATVGHGFYLIRSDGWTCHNSDKNINWKQGLAFTPEPKEVILIKFDPTDGD LLFEQGKNKYQMKVERSQNEVFLFCVHLKGCKAKILD >CAK93805 pep:novel supercontig:GCA_000165425.1:CT868674:85708:86755:1 gene:GSPATT00026128001 transcript:CAK93805 MSLIVRQRVGMHDESVTHSILSKDIKKKKNQTRKRQPIKQLVCLVSIPKPFQEHVYIKSN VELKLALSYMPDHCLGGLKETPCTYNYRVSRTDQLDDVYFYTLELLDQANHSIDQINNLL FNQSDPHAQLAAKLVQERHQILNDYTEQPYISNEDLLNVEQIAQDFPYQCTIQKVNKDSS SLSQRIVNEQFYTLMGVSRDMMLNHLHETKTLPSIFDLGPCLKMWCDLSANSIQSARTFE TSINTYEGAQYKCTVEQRQMFKRTQVNPNQIVFIEFWLFKVDEPLKSYLMSPQRIYKNQI DYFNKKNTQLEHEAFLQSMKQKTTPLYKNKNPCGYKELSWI >CAK93806 pep:novel supercontig:GCA_000165425.1:CT868674:88445:91249:-1 gene:GSPATT00026129001 transcript:CAK93806 MPRKTKARSRLDKYYNLAKDQGYRSRAAFKLFQLNRKYNFLNNARTVVDLCAAPGGWMQV CAQIMPTSSIIIGLDLVHIKPIPGCKAFTQDITTPQCVQLLKKEIPQKADVFLHDGAPNV GASWAKDAYNQNDLVLSALRLASQFLKKGGVFVTKVFRSTDYNSLMWVFNKFFSKVEATK PLASRFVSAEIFVVCLDYLAPEYIDEKLFDSKHVFKDTETDMLQQQIQKEIVSRTQRHRS GYADDVHQTVYQMIDFEEFLHAENPYPIFIEYAGIKMTEEAKQKYLGLAKPPQDYEILME DIKVLGKREIIQLLKWRSKIKHFLSKQKKEQKQLEKQEQQESAEYEDLDEAEGEGQDDEV NEEEAEEQDDLDKLVDKQKEALEQQYIEEQKKELKEQRKQKQKQAQQEKKLSGRGIGFTP QEEDQELFQFSKHKNILKLGYVDVEDKQEEKLKKEKLEFNNQKQLDKNLELIYENKKQKK ENALERIRNKKQALESEQEEVDADELACKPIKRVKKQEDHLKVSDLKSKFFDKEGFQKLK EQLKTQKEDVFDNPLKNQNITQLDKKKKEKTDKEQAADKFKNDSDSDELEEKVMDPKQLK NLQKQIQDDLNQLDDNKDVDLLRRVDKKEMEYQKKLNAKITQDPLPGEDEKVKQFELNLP ISDMEKRRRQLKKIAAREEKKAKQASDKDPNHKELEIVPEKRIEDYDIDQLASNLALAKK MMRKKTREQIIENSFGRDKWEDQDLPQWFVDDEERHVFKMEPITKEEFQQEKQRLYEINS RVPKKIMEAKIRKWKKAQKKLKTAAKKAQTVFDTDGINQKTKMQQVRRIYNKEKANIQKE QERKVIVARKGQASGKFKSSRKVLTVDKRLKKDKRAMKAKARVGKGKKRRQVSRRKGKKQ KS >CAK93807 pep:novel supercontig:GCA_000165425.1:CT868674:91383:93005:-1 gene:GSPATT00026130001 transcript:CAK93807 MNETQINPENYYRLECVIGEGSYGQVYKGIQLDNGKAVAIKIVPSSGEIESLKREIQILR DCRCDNIVKYFGSYHSNGQLWLIMEYCSGGSVLELIKALQYPLPEEIIATILYQTVKGID YMHSHKKIHRDVKCGNILIDHLGNIKLADFGVSTQLVHTMADTDTVIGSPFWMSPEILLK SRYSKKTDIWSLGITAIEMAEGEPPYAHIHPIRAMFAIKNNPPNSLTDQSKWSREFNQFV KRCLTLDPKERPSTKELLQDPFFQKYCKSREYIQQFMLKCKKQIESYKLSKQKKQDEQQF QFSQEQIGVPLDTLIECEEEEDLGTMIINNIDDIQMNETGTMLEHQYQDADKYEKAMNRV VSTSEFGLQSLNQQRTPAIAESPLLKKKQIEDKIIQLNQEMQNEIQKVKLKYGEQISTLQ KSFNQMMTQKQETHKSVHEQKGFPLTANYCKQMEFKQKSSAPSTPIQQQNKIRLDQENFN LENQLKQTKFQQTNLQKLQLQIQNRLNDVPKLDNSQKLIKQLVNRKN >CAK93808 pep:novel supercontig:GCA_000165425.1:CT868674:93034:93956:1 gene:GSPATT00026131001 transcript:CAK93808 MDNIPQAQKKYVLTDIMKKRKHQSSLNEERARQIKERIQKKKQKTKDFVHPEKFVDKYRK QQTAYSYFKRKERKQNLETVDAKSIPINKTLLVVRIRGVKDISNRQQKILKELRLNKVNT AVFVKTTVDIWKKLKLVENYITYGLPTRQIINDLIVKRGHIMKDKEIIALKSNEIIEEYM SEKDIICVEDIVNTIANASENFDFVTKFLVPLKLNHPEGQLKSGKIKKPLTKQGEWGYRE ERINSLVENMI >CAK93809 pep:novel supercontig:GCA_000165425.1:CT868674:94104:95484:1 gene:GSPATT00026132001 transcript:CAK93809 MQQQTVDESILQQLRRILSFDFLSRNYFLLRKFDPNSGYVMLQSLAKEKSFRPLIDYPYG IEALVNILIQYNYSNWIFDQTFNVVRPIFAQERKQVQILIKKNQVDDFKTFLQELDLVKE CEVQEAEYIANKDMIKLFIIFDNEDEAQMNFSKIQQQKATYSIQNAKMEEVDYFTKFLNI VQSKQQQFQEYRMNNPRNYEDRQAEDQQFSHQQTQNQQQQKFYQHHQQEKQQQQDYTSSD IVNQRLNQIRSSDRIEFNYAPLQEQQSLFSFVPLVNPTQQVAVQYSTQFIEKKNSIEILP SEVVPECARKEDAEQIETQNNQMQITRETESNKENSVERNNQKSYSRELYQEKQHFKPGY QQNGYQQKRRNNYDYNQSKYHNNRKPQYYSIKLSNLDRLEDDLKEFTGYKKYQQRQQKEY YELKKEY >CAK93810 pep:novel supercontig:GCA_000165425.1:CT868674:95616:99050:1 gene:GSPATT00026133001 transcript:CAK93810 METINLNRQNYKVHSINLFHRQKSHRFPKFFSCCVLIGLCNQELCRQIPKFVITTLSKIQ EYRLRVINNCEIFYYILFNNNSYRMASQIVFKEKDYEFIYKTRVIQLQQTTDNPLRLDRP KVTIQRGRKANVQIRTKGNFVDPLSRAATQKAQTNPLSRPQTVDPLARPSAQPTQKIEQS QSQAQAKSVEPNKPQQQQQEGKLEIDAEEKQLKATGEFSIYWSEIREDFQNIFQIKTYDL EQPVPNPFGSNVQAMNQFDGQAKSAYSGDSKIEYQTQAPKQGTQKMILQKTYIQKVLDIR RDLKRQWINGDKVASLQLAIQSCKLLIDNDKPLFAPVKYVYIIDILETFGKFVIERLLKL SYPQYTDQKIAEISLQSVVGSNISETASEIGRNWINKIGSIRELLPRLYVEATLLKVYYF IDQTQIKPIFQRLIKQVRAIGDYINALYFALYLFRIGAELFSGEKDYLISTLKDFFIYMN QKTKFGKLDVQGDQYLRLFEPYLIQTFRQYSQNCTEREFKDIFEHFRQSTQNHFVLKKMI EQFQAVHISTLALELFSIMQAYPVDNKYQLYACFLPKIAKGLTNVAAGAEICEYVLQDIL QIKSFSLFLEILASLIELIFRSFQGYQKNQFFFLILQRFNDLFSMVEKDQVNTNQSRDTF IKLHQFIIKIFQDSQDISEILQIDTFITCIQFFPEDMKKLVCNDLLSMIINRDQKEKITD PMAVHSIVKLTANLNNKKSITKDEQKSLAKIINQLLQKIDFGKDLEQTLNLYAEMRAQFG NIKALDFNFLKGKRLSKGKISKRRQFHFFRLGIAYSFITIPTIDNPISRLRKYIQVAQVG LSLNLLSQSEAVIKTTIENLLELPETFNGRPIDEIVVPYILDLISFIIVVPDDPSAGYLN IFQGLLKALEQLKWNPKNGGIHAIVIYVNCIQYLCAQVQERLPYHFENVKSNDALFNKDS QFSLTILELVQQLYVKLGEQAQAILKSNITDSIENGLIVKQMLSCLNRLLLLLKFNKEAE TTFTIMVDLIYKKINELNRDRTGKVQLTILKAYFLQTFISIEKSTTFNFEEKKNQMVSIL ESAKN >CAK93811 pep:novel supercontig:GCA_000165425.1:CT868674:99158:100520:-1 gene:GSPATT00026134001 transcript:CAK93811 MYKLIFSTLILLFLLVLDHFFGGLTYNISNEITKFLQIQFEYGKETGIFEYILLIFSTAG DAECTNVLTILIWLKAQNKIQIFKLITMNAIAAAFGNLIKMVMTQPRPFYLDPQIKLDFC YTGYGDPSGHSLRSFVFYAILIETIVLKKYNHSENSILLDSEKQIYDQKEISNSELYNSI YENQYISYSKYKMFIATFAFLIGIGRLYFGVHFLNQIVIGWIIGGYILYIYYYCGLEKMI QKAFSNSLLLNQYRYLKILLVSLIVFALAFLLYFERHYEQSLIDMKQQWKQNILKQPLCT RGGGYNPKTKFETHDISGFSILFFPCYLLAFYSKQQMLIKIESDQILTQIGQNIQYLLTY IAMYWIQSFEKKATSRIGGPSETKRLLCKIFFAQFHYIKISILFLMIIPLGLRLAESFIK RQQFSRISQVDQSETEMV >CAK93812 pep:novel supercontig:GCA_000165425.1:CT868674:100922:102729:1 gene:GSPATT00026135001 transcript:CAK93812 MNIFILIAFIAINAELVRIHEEVKESFEGNNRWTIKNGIVKKEFCNSKLVFGGFGGQTVI SKLLIVPTHAYIKISVDIWSFNQWGQVKAYLDHVEIQSVQIQQRCSENDYYLSTFTSEFI HSGASIILVMSGNGEQNDNQNQWGFRNLEISIEQCPQSCQICDQGDVAETCQIWKSAANS WNAAHTNFMGQDGWISINGISGSSKCGGVPLIGGYQRFGKNQGLSKTIRLQPHYKLRLLV LWAKIDSWDNENGLILFDGKQVWNQNFNNDNGYQVKVCGNSEPKYHTLFKRIDITVDHSG DQVKIDFWSSLDSGSDDESFGLRDLQLFYAPCQDQCEVCKGELGSECIRCSNYQFQNVKG CSEAQSFYILERSFYAQKFTSLEGWILKETQQNLMITDYQDKTIVGGQNILGVGATAEKL FILPPHTQLRLEVTLYKIDLWDGENIIIEVDDQNIWDTQRFQDSNGFLYGTAQFGNIIYA SSIFKHDKSEALVRIRSTLDEGADNESWGFRDFCLMYDVATVVEISDALEQYLITLGIVM IISFI >CAK93813 pep:novel supercontig:GCA_000165425.1:CT868674:102769:104193:-1 gene:GSPATT00026136001 transcript:CAK93813 MTIFSWEVILTSIAFILYYLYYRKFKFFSIVEELEQHNIRNKLPRGKCPPSYPNGWYRLC RSGELQSGEVREIKLCGRHIAYYRGTDKTVYAVAAYCPHMGANLGIGGQVKFRSCIECPF HGWAFDGKSGLCVNSDKLDPKVVSTYCYPDIERVTKNQKGEYLQKISDGEVKIKTYLVKE LKGIVYIWLHSKESKPWYDLVNEQSEHLNLRAESVNYVNCHIQEIPENGADMKHFDYVHY SAMDIIPSWLVQLRWEMRTKNAKDPDFLEKMTHPVEKCRTYQKSLFDQYLVNKELIPYLN VLLLDGYVILFNKYKFHAVWATGFQMGPATVALYVKSAIFEVLFKQAIQPVEKFTQKVYH TVFTNNYLPYWVSAYLLHAELRQVIFDVKLWNAKIFSETLRYNMKSQADQALLNWRQWYS QFYEGSADFEKSLDVLEW >CAK93814 pep:novel supercontig:GCA_000165425.1:CT868674:104306:105343:1 gene:GSPATT00026137001 transcript:CAK93814 MQSSKLFTQDNHQEKSPIEQKEEQVNNYDQNYNQEQEPHSNDLKPLESEKQPIQVVPDVE EGFFNRIKGCSCRRQADEKTEENNDGCITKLDKFIQKYFTPTYLLLVYYSVFSTALLFSL KLIIQDQDLYHAEILGGLFLFVQVLKGLLIYFLNDEWLDELPYLDSTFENGWRLFKITFF VITNLDICYWIYYIYAQNKKDGTIEHMMTLCRMVDAFFFNFPLMIVFFYLQLQDLQTIQI PYLVIAGLNLLQIVNGFMHLNFFAGSSFLSIRWSIQLICELYVKLLLVESVYIQLAEFNI QFEYFGLFCLCGLIQFYLFSEDQDIIGQVLSIIYFPN >CAK93815 pep:novel supercontig:GCA_000165425.1:CT868674:105385:105676:1 gene:GSPATT00026138001 transcript:CAK93815 MSDLDCDAQPGILNVVVQKSTYSYIQKAFNFFQLLSLAFIFWLFGGWDNIMKNEILYYLF LVGLGCLGIISLNLLYVVLSGWKKNMVKP >CAK93816 pep:novel supercontig:GCA_000165425.1:CT868674:105888:106638:1 gene:GSPATT00026139001 transcript:CAK93816 MERYYYLSKFCYYINTSIIITFTTFCILLFIFQLSFLFNKKYISLLKLIQKLLSYFQINH QQKNRMLHYHSKILVMKIESQDFTANTQHFLNVSQNQYYTGTSSGLISKASILYYYLIRD SQRSNPLPEYCLCISFSPYLQQARNQGKHNNVINTDLIRSEIYNNRDQDSTAQIPNRPLI VILFFRIEFKPILVSSGLEIPITNLCCCKVVKKLSWSCKMGYSFKSVSMNSHSFSFDEVE >CAK93817 pep:novel supercontig:GCA_000165425.1:CT868674:106872:108436:1 gene:GSPATT00026140001 transcript:CAK93817 MKNNSKTTSQQERQFYWENKQLNQLIQILNFDSQVKVAIRYYEIKKLNIITQQLICIYKT IQSNINGIQEFKRNKQDLQRQLQVQMTLHRQIQNRRRNRVKSLKTKMKSLPNKEQFMNDV PQLEEEQPQQHQITFYKGDFENQNFPQLDQSTVFNNNAQSQLKEQGIGSSLDANVEIHDD QAMDVNGCRNKLRNNRLFQMEEHDPIIVAILDDLESKTSIHHPSSAQAKLYLGSQIMIKN RIIAGFWSKLGPYKVTNFHFKPFELHEDQIYYGEWFQQKRNGFGFLICDDFIYEGYWLND VYNGDGRMICKDGNIYIGEFRNGLFNGDGFHLNNEQIVYEGEWENGQKNGIGKEQMPDGS VFVGQFQKNSRNGQGKLYNNKEQFVFEGQWIHGKALEQGRVIWQDGRIFEGKWCNGMMHG HGVFIWPGGKKYIGNYVNNVRDGYGEYYYPDGKIYKGMWKKGLMHGQGIIIYPNNSHEKG QWKQGKRVVSQEKKDNEKSKKKQENKALLPL >CAK93818 pep:novel supercontig:GCA_000165425.1:CT868674:108513:110539:-1 gene:GSPATT00026141001 transcript:CAK93818 MMIIKIFRSEKILFALSSYVEQNIGRFYLESPNTTMEILYNDSDVTTPIIFVLSQGADPT SQILKFAKERNFEENLAIISLGQGQGKIATKQINDATTTGGWVLLQNCHLARTFMPDLEK LVDDITAKKQTVNPSYRLFLTSMPASYFPVSILQNGIKLTTEPPRGLKANLKRSLQDISN EFLDTAAKPEIYHKLVMGLCYFHAIIQERKKFGPLGWNIKYEFNDSDLDTSKTVIKMLLG ENDTVPWDAMLYVSGNINYGGRVTDDWDRRCLMTILRKFICNEVLDDNYVFCENNIYRIP EKNVVEEYIKYVESLPMTDDPAVFGMHENANITFQQRESDSILETILSIQPREGGGSSEK TPDQIVLELVKSIQDDLPPLLNKEESNKELWQINPEKNLIPSLSTVLLQELERFNILLST MGRTLQGLAQAIEGIVVMSQELDSMYYSLMNNEVPKVWNKVGYLSLKGLASWIRDLKERV KFMSEWLVTGGPNCFWISGFFYPQGFLTGVLQTHARKTAIAIDNLVFSFKVQEFEKEQCS IKPVDGVFIYGLFLEGAQWKKKCLADLNFGQMSMLMPVIHFLPLQQDKYQSRSDNYSCPV YKTQTRAGVLSTTGQSTNYVLAVDLPTLDQPPDYWTLRGTALICALKE >CAK93819 pep:novel supercontig:GCA_000165425.1:CT868674:110584:110961:-1 gene:GSPATT00026142001 transcript:CAK93819 MTQLYQLSSQQLSQQDHYDFGMRAVKSVLVMAGALKRADINQPEDAVLIRAMRDSNVPKF LKDDLPLFSALIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXLWL >CAK93820 pep:novel supercontig:GCA_000165425.1:CT868674:110987:115447:-1 gene:GSPATT00026143001 transcript:CAK93820 MGQDDPYMLTVVDYKTIKGDDKKTEIKEYYTISRKGLCHYINGKPTEFIPLRNWLRERQT YHQIKSLKFFTKFRKWKTLKMWKSNVIKHKTKTIQNALLDKYFLLNPIMRETLLTVRKNT YDMMETCRFIGFETSHQLTMSDSTFTLEQFKQLQDQYRNKVSGKIRSYSQACRKVVQSGY DKSLDILRKQNYVPQNDEQFGGNAPRDTGTNVFRTREIAYETLQFPDSMTYEKRSQLRKE CSKFLRFSYLVDFLAMESLSNIYTLSVRDLISKLKSLESIDDFNVTFEANNKPPIRFDEP LFVIKLDCTFDQIPGEDMQTEDIPEFIPQPFGNSTVDDFHILYHAHLQEEKTEEQKQAEK PQGGKKGKVEDDSEEDETDGGTYDENKIYKRTVIPNISKHWIIKKPQLNTFHADIVKCIG EGLNAIQAFERWSRHNDMTQYVAVLEEWDDMVGEEWGHPESNYLNPSDWLDSAPLETFTG VVKNILEKAFERADRYIEKYFNKHLLTYWEDQQININIFKDEKLAHPGDTFQYTIAVLNM QKEQFQKDIPIESMQGLFKIDSLELKKKLEPLPAELLKSFDAFIQPMLRNRCKELKEWLQ SSTAALKGATTNIDEFVKQSNSLKLIQKQLNKIKSKISLVGHIYNILDEYKIEVKKEDKQ AYVVDIIQAQNLLEQAIHHAEEGAESKLDRFARDVQHILIPQLEKQIGALDGIIQDKKYI DAGLSLDQAIKELGECDEQCKKLEADAKKYRHYESTLGLPTSQFQSLEDLRNDLIFRYSM WKSTKEWIELTQSWIDGKFIDINTDEIKAKGEYYTKIVNRCSKGLPANQVLDELKDKVFS FKDTMPVVLALRNKNLKDYHWVQIKQEILKQDFEITETFTLRNLMDMKVGIYQEQIQEVA TQATQEAVLDAQFNDIEQKWKALEFTCVNYKPENLRNKEVYVLTEIDELQAALDDFLASL NNILGSRYLKMLRKRAEKLQKDVLIAQETLDDWLQVQKNWIYLENIFASQDIKTKLKEEN ALFENVDKQFKAIMKKTNSQKQVHRASGLLDKFREYKETLNRIQKALESYLEEKRMAFPR FYFLSNDELLEILAKSQDFDAIQRNLKKCFEAIYRLEQPEEGARSVNGMISPEGEKIPFV KGVSTKEEVELWLMKVQDQMIESLKKRMKQGKVESETQERNHWLLNQPAQVVATISNLIW TYDTEQAINSMTDDSTALSKHYNLLYESLNGLTALVRGTLTPLQHKVIVALITQDVHARD IVDALTDENVSSISEFSWQQQLRYYMDENDLIIVRQVNAKLNYGYEYLGATTRLVITNLT DRCWMTITGALNIKLGAAPAGPAGTGKTESTKDLAKALGMFCVVFNCSDQIEYKMMGRLF SGLVQQGAWACLDEFNRIDIEVLSVIAQQLLTVRQALIRRDQQFIFVNPDKPINLKEEVG VFITMNPGYAGRTELPDNLKVLFRPVSMMIPDYKLIAEIMLQAERV >CAK93821 pep:novel supercontig:GCA_000165425.1:CT868674:115478:116063:-1 gene:GSPATT00026144001 transcript:CAK93821 MSFFQSQEYEDQDEHEQLRNVKSPNQLQLKSRLYDRGGQQKRPVTRTQQQLVPKLVAQQS KQKLDPLRMTMGTTFKQNVDELYRTKYLVDKLSGKFQIDQKYLAIMPEEERNYLMRKTGM SSLGSRFKLKPLDSDPRIQSPFKETLAHDEEFGVTEKETKSKPHSEQYNKCG >CAK93822 pep:novel supercontig:GCA_000165425.1:CT868674:116338:116550:1 gene:GSPATT00026145001 transcript:CAK93822 MVQLLLINCLTLPHDTLLKVVLCSKNIFSYTPVLITNIQPTHSPSKKGSPNKQLIGYRKF QEEKLRANCP >CAK93823 pep:novel supercontig:GCA_000165425.1:CT868674:116593:116981:1 gene:GSPATT00026146001 transcript:CAK93823 MMETMCLRSNVGNQVHVFSEQFGQNLRIEGYTRWDRPLILQFGIPSCYNLKDLTIQTVLP NLLRKWDLDGFQSFHLYSIQEVSHSLQAIKVHLRTSKIIESYKKIFFIDVVLNHVAKESE >CAK93824 pep:novel supercontig:GCA_000165425.1:CT868674:117153:117332:1 gene:GSPATT00026147001 transcript:CAK93824 MNTIIEIKPMKVYKSLTFIRQLAQYYYQYTYLESIQEEILLITRFQENSLSLFEEQEIL >CAK93825 pep:novel supercontig:GCA_000165425.1:CT868674:117673:118159:1 gene:GSPATT00026148001 transcript:CAK93825 MQHGLIPNSLKPLRYNKRDNYFLWINSILDYVIYTDEFSIMSLLQDQIQSIFESQATGIS FKEILTNDYIDKEGLLVELKLDSETAFIMRGNHKNCLTWMDKIGQVALNKGYPAASRPIV SKALLKACLDFIGKIYQMNKYPYPGLKLMNRMVT >CAK93826 pep:novel supercontig:GCA_000165425.1:CT868674:118490:118762:1 gene:GSPATT00026149001 transcript:CAK93826 MDRSFQCLRWILNSQWIWIIFLLGYYLKSFLKIHKQDIKKEIFYAQLALIKQHYRLRLIL IIIAELFLQDFAITLGLSKNIIEQSKNHCR >CAK93827 pep:novel supercontig:GCA_000165425.1:CT868674:119148:120171:1 gene:GSPATT00026150001 transcript:CAK93827 MLIEIILLLSSSSFALLFQADELGEQIHDCAKLFIFGTLITEYPWMMVGIITFVWIKECF RSKDSHQQDKHQPNPAIIIHHARDGATATSTENVPPTIEAVQIFDYATGESQYALIQKTE KSLLTQLGVTMKNTILLEKGRQQDASVNSKLISHIDQQKRANAQNISIIYEDNSEYQQNQ VKPHVSIPFKIGDFDKQMGEIIQAEILSGEYPMESKVVDTKLKYEQALISILIISVIPQV DGLVFRLVNSILFGVVLHSAVQRKEILTMSHLIYMIILLTGCVVAYIIGLYYQSINIRQT LLGCLLWSFQHVNPNLCLLVFPLPTLLYLEGL >CAK93828 pep:novel supercontig:GCA_000165425.1:CT868674:120283:121117:-1 gene:GSPATT00026151001 transcript:CAK93828 MISEQLKSGPSTKQLNSDDTLRVGECTAHKRQLEAFCTSCHVLICPTCLMFGDHKGHLVD QMDKATKDIRSSMDQAAKDGNSTPFQVGILRLEKTETVLVDIRHTKLTFEESKQKVLKEV EQTFATIFKLIKQRKDEVVNLINQHYEIQVNNIDTQEQIWMDKQSRAYDVIKLAKSTNDY QLLEKATYILESLEILRQTPTYKNVYIVNSIDTTFNLNNISLNLSEFQKGLQNWIKLGDS VLIQFKC >CAK93829 pep:novel supercontig:GCA_000165425.1:CT868674:121198:121671:-1 gene:GSPATT00026152001 transcript:CAK93829 MAEVEQLSEELTTLKRVVQTSLHRGLLAEGTIESKQAKFVVLADDCTEDTYKKLIVALAK QFQIPVWKVEKGALLGEWIGISKFLTKTKKIKSRKCSSVALKDFAIEVSENEKQFVEDKI KGL >CAK93830 pep:novel supercontig:GCA_000165425.1:CT868674:121771:123142:-1 gene:GSPATT00026153001 transcript:CAK93830 MKNIDPNVVFVKKDKWDYEQLEPIPCKQIEVPNFVPISTVAETTIQLPTVYIHSNIVYPD ENEYSCDEEDLEAGINPKVMQQLDLRRHLNSNRNYSKQYSLLNTLEYDETYYNKKAERLQ RPLLRVFMRAQENDYRMNVAFRPSKAYKQKRQKHLDIHSIDVAREILLNENLIALNLVRQ VRQRELLKLQLIKEEEFNFVNSFNNCNDLFGNLKELKRFYKPEEQLEQQQRDNRNKIFES CSNHDCSYQFNSIPLSTELIDFMDEQYQQIKEKSVMWPKNPTMLQSWDLDIYTKLGVHQL NKQLIYTNNNRTLLIADRTKKNKKKVKLMLVDRNRASIFDGVRANFDHFYAHYQKINQRQ EQIVDQQRRECQKVRFDKQKKEHQLKLQQKKEELRQSLRFKMRILQNLDQPICTIKIKHS DELKFQLVKDIHYNSQVILM >CAK93831 pep:novel supercontig:GCA_000165425.1:CT868674:123313:124549:1 gene:GSPATT00026154001 transcript:CAK93831 MFTTRFVYRFAKSVPVVDVTAFLAKKGDTSAQCAQVAEALHNYGAICIKDPRVNDRHNVD FLDMMEKYFESRAAKFYNDEKVEDIFPNYAYQVGATPEFVEKARTHNNIVKQYTKENEAL TPQPAPYDAKWRFFWPIDDSGKRTKDEDFNPPRFRPKDVPQFGERMEQWGKMMINGCLTV AEMAAVGMSIPADSFTSRMQNGPHLLAPTGSDLDRHKPGTIFAGFHYDLNFLTIHGKSRY PGLYVWLRNGERISVAVPEGHLLLQAGAQFDLLTGGYVTCGYHEVIYTEEAKKKYEENKK VGKSTWRVSSTLFSHINSDVTLQPLDKFAKQGLPPKYKPIKAFDQVQQELEAIKLKL >CAK93832 pep:novel supercontig:GCA_000165425.1:CT868674:125110:130913:1 gene:GSPATT00026155001 transcript:CAK93832 MLTHLPKCASLIQDERSLEYDRLTKRLEKSIVENSLYRLATDIKFLQGFYSVHSFNQEEK EKLFALLIEYSLQKYVYSTKHLFQTPCTPKKIKLFLSAFTKKICNNIQIKYEDLKQIYDE VNQNGLDTTFDNTDQQIRRGIQCLQEFFALFRHLIPPSYFPQLIENYLQGENLNQRLFRV VKSEPISTYTKSFEMLIEFKKSSHVVRQLDPFLIAQLISYRPDLPIDNYLDFILEDIYNN YIVLLLNIQNLSNQAPIQIHHGGMRPSDFIQPLVYLIGSSQTRSKVWDTIERMFLVISDL IHPNNATSNDFVFSFFYMFVKTFVERVEAQNQQQEKNQEQENVLENNIFTKDEQLFLQDE EMNDMDEEEEEEEDDNDKEKLFDKKEFMIDQEGIDKFISIVKPHLKYLIYQKTRFKIQVA GIYKSLCKLRPKEILPYVMEQIQLALERDDMKPLLIIHIIEKIFRPAIQFDQYPQIINYI PFILRQTTNFILDSGEQIWRFYDILFQYIPIYEIKDLEAQYPNLNLQEEMKIDDINFYSI TQEISDLALEAFRKYVSTFEYSDVKYHSSQRCSEIIFSTRNKFYNFVFQSSKSNYKEIVN IVCNYLDDKILNNLSDNFVLLLQAIILRDPNVLKDVLTVIQNQLLRQTKDHYEFNISNIT TIRNYLIIIYETIQFSGSVNKQYYKILKSIIDLSLKHEETKIQQAGQNILACLLMSQSAI HIRDFQFTNDPTIQLDWLKLKQKGKQEKYLPKWQEIDDQEFIKQIMDDYYHPALQQIREI ITQKGDNKSFVEYLKNDFLSQYEQQYQLDTKQNCQQIELKIRKNLSLNIDVIYAFLRPLY FRMKQYNENSSEAFKKFQDQYCFGVLNKMYNEVIQFCIDFVPVYINNGLALDGKLATSFI NIIKLLIHETSDKIQRLGKWLQVKTRSSDTNKIKFYNRFQSQMFYTYLLNNRIDQIYYNQ IMNQQNREMLLISIPFLFDSNEFSYKVNWIWLSSRMELLDDREWLYDLFKKLYEQLKTVF KNKWFNQQYIESLNETEKQKLSLFQNHFTKGFANFVSIVSNLNPGLFLDSYQIFDCAYQN LIDKVNRADFNLDVLSLVQKISQASVELNQADFDRKHILIIKNQEVQKYVDSIFEQRNQY WLEQQTKISKSLVSLNEKLNSIVEFWLSIPIDAGTSRQLITNGCALLLMRTEELPQLNEK VILKAFHCCISQEFNIRSLGKLLLYRLIQICVFKHKSYKYIARKQEDFQNANINLDQYNV YRFILSDDQKYYKLNDYHRKGKILLYNSLKYTEIQREEAKKHFKVLKSISPEQWHQFINL MIIDQSVLDQQQSQQPIMQFQYNQDKSSIANLFNDVDNQANEQFFYNSYMQAPIRFSLLY KKVQFMKYLFAITDYEVFNHTKHLIDQNNLDQLSFQRIYLSGLLYSLHSSWDCQYKQQII DYCIDKFEKIIMDQSRDEFKTFQYYLHVALSRCDLRKQEQFLLSILEKVQKENDNKKLRW IFLFYTIIESKKHQQIKLLDNILQTNVDYSNLKAVQTFIPLIIDQQNKYPHNIELLQEFG LLEKFRQQTEISVESKYFIFEISELFSKGLYILVTQFQQQNINGKINIIETLFEGWKSKK FFINPYILQKMQPIVEYLLTINEENNLQQLTQGLQIFSNIRIKECTIVLIQLTLNLLKVS QNAVRVRQLNFLKILTKEILHINRPEILENIAQYLLDENKVVMQSCFELTTQLIQQMTLQ EVNQQLEIYLKQVQATNKDTELQGKQVLMAMIMAHPRYTQSWINQALKVVLEKQNKLLQQ QKTFAANYLKLYRANQLMEVEDVQIQIEFIEKMSELSNPYNYFA >CAK93833 pep:novel supercontig:GCA_000165425.1:CT868674:131033:134367:1 gene:GSPATT00026156001 transcript:CAK93833 MDLQIKKLTTVINQLSNKLEQLQVIQNFEYKQISDVRKLLLEINQVNAEICLLIQSVISI DVSQLMDKIFMCLQNLLVHVLKSREQLNSKKIHQKSSSIQLVDACVQNQTTQQSLLGGVY VSKQGNPSLKVKNITQVPWYDTSQVIHVPKKSVDKYTCTTEDYYLNCSKAISDSGKRELE FESILQFANESIALMGEALQNKILRDYKEKGKPEELEKLMNAIKFKKDDLEHIIKGVDLE LLKDKVENYEFVESEIKNHIIQVQKETAERVFLYCKQLFKEQIKKGKYQLQDQLNRQNTF SKGDECRCSIYVGEIVSLQNKTESQSQLINKQVGDLKEKDRVIQDLELQMKLIDGQLKQN LLEKQDLKQKIDSQQNIQRRGSILQRQSSFMKNIQQGDEQNQDQQQSSISPNRKMSREEK IPLNRNTKSQISLPFQQIVENLDDDQISTIIQKDKMLERLIVLTNALDKVNKDRRLSQLQ YVVEQKGNRQLTDLLDLIKDIEENDLQFKDTKSFCHSQQDFDDSRSPINVPKHYHSQHIV DQGEAPPRQKFQSTRELNSPRVSHLEQIKEIDENKHILLIPIEQKVAQRKQSKNTSQSSF NDSNQQLDDASPESKQKHRVTRAQSNNNQKIFENKTEKVKRTSSIKLKINRKISNQNTSN DILSNPYGASTALPINSGSLTERLPLRQGTQQDDQFKFEKNIENDETQMKSSTNNHSLHD KPYHNQLQSGSTTQHHTPNNSSRLTKLKSINQNSDNISSKYASNQKSIAENSVQNAIQNL QQTKFQDMQSQPQEIFQIQEENDKLIKKQSLATHNNNNGAQLKQTSLQNNQVQQSSQRES TSINLNIINENKRPQKTNQYTNNPNFDDTNGESSNRSQRKMSRQNMSPNSNYKTVGNEPA NVQSYKQSLLDRLTQQNDLKPQITNHKTQTCIENLESKKQDAFIKDYIKKQKEDFEKKQK EERVMFDQTLFDIAEQQEEQIIKYALNNTQGYMISQDINDSIVKQMYQNLKDNGTQITQT INKFYQKQENQNIDFQDFQNFVSKFQNLHKRCGKDCIHVTRFYMRLGFIPIKYLNKRKAM RLAKPVVLPGFPKVT >CAK93834 pep:novel supercontig:GCA_000165425.1:CT868674:134368:135361:-1 gene:GSPATT00026157001 transcript:CAK93834 MSTISQFKPTLIKLETIIHQLEKSLGVEHKPSPFAEFIQLNTKQENEANPKQKEQPEKKA EQPQKKEQTEQKEKQPQKEKKPQQQQQPQGGKKAPAPAPQDLGPFTDFVNVDIRVGELKK VWKHEESDKLYCEEIDIGGEIRQIASGLQQFVPIEEMTGQVLVLVNLKGRKLAGFMSNGM VLCASDATHTKVELMRPAPGSKVGERVKVQGNEAIFKDEREEVLNPKKGQWEKVAPLLKT NGNLQAVFDGHLLTTSQGPIVSKTLANSNIS >CAK93835 pep:novel supercontig:GCA_000165425.1:CT868674:135409:136855:-1 gene:GSPATT00026158001 transcript:CAK93835 MFTGEDIQFLQQLHQLKEICKIGEGSFGKVYKAIDLTDKSICAVKVISKIVYNSTTPEQD IYLQLNHPNIVRCKRISENKKYYYIIMEYMEGGTLAQKMKEKHLNEQEAAVIMKSILEGV NYLHDRSIIHRDIKPENIMLAGTSVKIADFGLSFRFSSSEGTFHKLLNKKCGTIIYMAPE QLLILKFNQYSKQVDAWSCGILLYMLLNAGKHPFYNKDDTKYEFINKILNPSIDIPQSMT PLAQDLFFKLINVNPIDRYNVSQALMHPWITRKFHEKIPLTYQQQLDQFLKEQQIRQQFK LLFFLQYLNHNSPRTQIFQDSVNELEQENQKMEQNQTSASPSQNKFKILQLNNRIKNQCN TTARVESQGKFLDFSLIQKSNLKKSMENITVQDKEKCEKSQNCSARSLKQKSNQMINKFF IPTSFQQSFCASNKTNLKSHRKLLPPLKSK >CAK93836 pep:novel supercontig:GCA_000165425.1:CT868674:136884:138045:-1 gene:GSPATT00026159001 transcript:CAK93836 MKVVQLCTKEKYELNFVQNTKSKRWIELDIGIKQKVEVYANNELQNIDLIVFTIQIGCSD SVQNYFRQAYLWQMAENLIADVLLQPWNYKLSLVSIIKQIPSFLDRVLLNRFDKIYLQNI GQYYLGSSYSIDELKDFPDLARFPTIQQQNAFFQNIQVRLIGLSDAHFYLFEMIEGKDDY VRLIFRAPLQSCVQLKRKKDNSTQLSITWKNYKNKQEEQQIFTINEYDKFIRLFLRRLNQ YQHVRMTSNSYMVFGDQQLAEKQKINSIMKNLNQLENEIDKKFNQQTINKLMDLYQQAIE FYSSASDYLYEIYLNKLQTLIQRQDVQVILQYK >CAK93837 pep:novel supercontig:GCA_000165425.1:CT868674:138186:138533:1 gene:GSPATT00026160001 transcript:CAK93837 MNNDDQDDWYDSNLDQKEIERQIAEKDQQIMINQLEKEGYREGLVRTTEEEEQNLIKKGF NDGFAAARHQFKVIQTIDLVLQNQKFFSENIVLKCEQLKTQQNYDQVDLDQLLGN >CAK93838 pep:novel supercontig:GCA_000165425.1:CT868674:138603:139424:1 gene:GSPATT00026161001 transcript:CAK93838 MGNMNVCCNAREDLPHEHPQNKLKHKQDTEESEYEPNTEAYRKTIQSVIIQKPSLTFGEQ MIQDIIDLTDENKVPLNSENGWNCLIDQASVKLYAFEWKKAEKSMQNQGCILVRVIVLRA QFQLNCTIQQYLNVSIDDMKLIDKKIEEFNIVEENTEHNESIRYLAHKGIGVLKSRDFLY LKSQQKISEQLYIEAAKSIEDQTGYIEKKRTRGSINLAGQIVEQINLSTILIKQFLDVDL KTNVQLANLKNPILKEFVKYHESLQNHVNFQQQ >CAK93839 pep:novel supercontig:GCA_000165425.1:CT868674:139702:142004:-1 gene:GSPATT00026162001 transcript:CAK93839 MDEKNINLPEPVTKNLFDKSQEKREQGGAELIKVIQNATEKTIIEIAKHLKDRYISQQQF QYKKGGLHGLYSIAIDISKKETIIKNCLNYIIDPMVDCMRDKEERVRYTAIEYLFLTSKQ LGDYVLNKLEDIYSYLVQSFLDPDESVRKAASQLDNCLKSLVTSTAPEKQNFKIQSFVKT ISQQIVFKNQQVKLNLISWINTLNSIPHIELFDYIDGFLIELFLMLADTNNKDPFTNEAK VAARKQLEEFQRDLERYSKRSSFNQTQEMKTHRQIIHILLGLFLNWIMEYLKIVSNELDQ PSVQFNPLELSGGQIIKGIDLMSNIFEQRRDSVLQSVIMEKLNNILKIILSLLSLDSLQI VNQAQQINDLLLQIMDKMKASGKEFVDIMPTIQDMLKEKNNHTAEKALMWMRHLLNTQTE KLKPMIENILENLIERLKDAEAQVVENVMDVLARISHEQYFDMVIEKILDIFHKNVNLLN RMSQIIIKKLCEFWNAEVVYTQICNKLLENYVYVGDDNLDLAFTQQLVQSLEYLLITEPR LQNIRMKLKNYKYEKNQKTAKSTYEFFISIYKTFCYNEVSALSLSLLIEEYELTYNIILT IAEQETNVEILVQIARLTLLLESPVFAYLRLQLLESANHPFLIQSLQGLMMLLPQSPIQK YLKSRLKNIELFIKPDSDLSQNREIQNKLDTQLLLQLFKNSKQEQQDLKDNYAKTQSK >CAK93840 pep:novel supercontig:GCA_000165425.1:CT868674:142035:142337:1 gene:GSPATT00026163001 transcript:CAK93840 MGQEKLAALLLYRQLFKSLGNPLSRDQLRKYYKYTVQARVHGGENQQILKNLEIYLKAIK TQNLIMDAENIGKPKDYKADIQKVANYVGLRMPEAHQKQE >CAK93841 pep:novel supercontig:GCA_000165425.1:CT868674:142371:144227:-1 gene:GSPATT00026164001 transcript:CAK93841 MDSNIVTLIEQSNKYNSSKTNFFKTKLFVSNNHSPNREYSKQVAQTETVQYNRYQSKEIN SGRNSKKISFNTYLLNLAKQNIDLFPKIKCDFANIQMVDLQDNRFRVFPKELYEMKKAQI INLNSNFIKVLPEDLFDNFPSIINFSISNNLVVILPRNLSHWSTHLEILELSKNRIQKLY PLITLTNLRSLFIQSNEFQYIPIELQNLKKLNELGLDWFKYLNPPIDPIVNRPYIERLFS LLEEKQIKDVEDPSQINFSDEEYSSSIGMNFQDFLMQFSNLQLNILPNPQGGDKEYSTTI LHKAAVEQDIGALISLMSYYPEIVDCQDQRQNTALGLAIQEEKYFSAKALIFNGASVNTN AAKYGSILNLAIVKGQIHLILDILTQGADPNQMDEKGNTALHYCMACFDKDVTIYKQAFL ALLKKNINTNALNQDGWSPLHIAVKKGNTDAISAVIQHNSKKQSKFDLNLKGGKKRQTPL HLACSNGHFEIVAMLLQQSEVKLFCRNMLNQTASQCCLHNYRVYKLIKRYEYEQLYRLQK NSQLQDQDDYSVKDNDSYTDLDESIQLFDGLQLKQIKINRSVLGCRERATGCKFRFSSQP PQK >CAK93842 pep:novel supercontig:GCA_000165425.1:CT868674:144268:146397:-1 gene:GSPATT00026165001 transcript:CAK93842 MSEEQQNNNANQLPSLVNLRSNRNVSQVDLNFAQKSKTIQSENWEMLQRVKESLESKAFS NFFTSSLAKLPNFKSPQELAKVLADQFIQNEIKKGKKREAKSAIKIQVPQPQTSDSLPAL KTPQMKLDKNKFNPIRSKSRDKLRELEIIKEQEREKEQQNKENNKTSEKILKQKNKIFSK DTQRQKEQDKSFAEQPSPPIKLQKYIRHTLSIAKLQSPIPIDANQQPQPTIYSYFLGGGN NSELIKRVFDYRSDWHQTTNIARANFRWQQSNHGYKYFKLNWHKSHKELLNHFEFHPEIS NKKNLLVNVSAACEILKLNPFDITPVTFAIDFTDSSVECNISAFYNFYNKNAPEAKQLNK QDAQNKLNEIKKKLRIAPSKEKKQLEFQMRDTFTGPDYLWLLKPTGLNRGRGIHVFQDID NLIDLLIDYQYGYHEKQLETYKDENGQTQQKVVQYLLKTSSFVVQKYIEKPLLIKNRKFD IRVWVFLNTDLSCYFFKEGYIRMASEEYRTNDVENIYIHLTNNAIQQHSDKYGQQELGNQ LSFDQVSDYFKSKIDFRGKIVEKMKEMAYFAMRTVATKINKLNRKFCMEIFGFDFFLDEL FNIYLIEVNTNPCLEESSPLLQMLIPRMVDDAFVLTVDQIFPIQRDVVSKFPVTNYSDTE NMWQLMGELTEIQG >CAK93843 pep:novel supercontig:GCA_000165425.1:CT868674:146474:148269:-1 gene:GSPATT00026166001 transcript:CAK93843 MQSAQKLTQQNQDAFSQFFDKYISSSSKLQTHSVRELAQKLAIQYFSGQIPPRFQTENNM RKKNRKIVYKQIETDAIEKAKAQFKAKKERKESQLRKLPPVPKKQDTQERSLSMQPPLKC LSAQPKRSMPSTHFYYIGEGNNHELIKKLLDERQNWVQVKDATLKNINFKWQETEKGYEY RTLAGNLKDSKPQMLNHFEFHAEISNKYHLALNFKTFCDKSQLNVQDYIPISFAIECTNQ NLKAGLFNFLTFYRSQCPQHQLQELDKTIKSYQFKYGTQLETKGDQYPTLFAGENLWIFK PANMNQGRGIHVVRNLQEIIDIYNRYQNGYKEHLLEVKRNENNEIVTKIIYINTLMTEQF VIQKYIEKPLLIKGRKFDIRTYVMITSNLGFFFFREGQLRLATETFDAKQQSNYVHLTNN AVQYSHPQYGKTEEGNQYNFDQASQYFKMDFRKEVIQKLKQVSLVAFQSVKGKLNKYKRK NCFEIYGMDFILDSDFKPWLIEVNTNPSLEVTSKLLGSLFPRLIDDAFKLTVDRLFHQQK TASKYPFLNYSNEENLWEYVNQL >CAK93844 pep:novel supercontig:GCA_000165425.1:CT868674:148459:150059:1 gene:GSPATT00026167001 transcript:CAK93844 MTYKKKNIIFINLMIDNSQGNLYSTAPMTPVLSNTLPQVHKIIGFNAAIYENENQQQFVP LRKLLPISIDKLYSIIISLISIILEVLKRDLEIPNLNLDNIMMSKGDQTMPFIFSNQDKT KARQQEQSLVKQFSLLCNSINSQLGKQLGFDQDLINCDSLIQFQDALLIKIGYEQESFGQ NSEIIKKILNIEKIEDIVYENDHTKLYQIDVPKYILNTKNSDQIAVKIGYQDRQVYELRE VEIIEDFNNIHLPLLYGYIRYSDILVLFLKKHDWTFEWIATQFFQKRQTLTPKQIEKAFL RLFQQMIRAIKYMHSEGIIHRDIKPENIMFDKSNPNQQVFEIVDTPVNCVMIDFDRSIIM ESYDPQNNTAYEGTPFFRPPEGEQEEYNQTYDIWQLGFMWLVIQKEFYQQRQKSKNIEIY NQFKIQKVNLQELNLEIKEQENKMKKKFEELQLQGRLEKQINKIENLKNYRIQNYHSLIE QITLQTNFVTYDPKLQAIILKMIHIDPKQRATLNEVSAVIDELIQ >CAK93845 pep:novel supercontig:GCA_000165425.1:CT868674:150116:152004:-1 gene:GSPATT00026168001 transcript:CAK93845 MYLQKQKTKQIQEDRKLPIKVSIRIRPLLKKEQGQETIIKTENVKVNNPIFNQKKFEFDR VFDEASLQVEIYNNLNDCIKQAFDGFNGTILAYGQTGSGKTYTMFGSDWESQMNFGLSHQ ATYCDDLKNDFSFAGIIPRVIFGLFNNMPPNFYIYCSFLQIYNEKIYDLLQDHKLPMPLN LHESKLDGIYVENLTEYAVNNYHDCLTLMKRGERNRIIRQTTMNLKSSRSHTIFQLIIET NKVDRNGVLFKSKLNLCDLAGSEKINKLEALTNAHFIELKNINQSLTTLGKVIQNLSQNN KNKQKLPIPYRESKLTRLLQDSLGGNTMTHIIVNIAPNIYNIDETVSSLKFAQRAKNVTI QVQPNKFNANDQVLVNKLVKEIDYLKSLLNMKRSGLNQNDLHFKLMKMQEENERLREGQL SNDEVEKIIQENRKMKEELQKLQQQSSFGDPSESNSIVKKMHSDEGFVSDVTTERRPLAY NGMFIISNPSNQQKTEVQVRFKDGRNRVQLKKGYVEISEQQEQRQKRFLSEKRRVMQRLQ YLEQLQMKNEMTYSLLSSPSHFHSFQSNDEKKSVKYRLLEQQMIQKQ >CAK93846 pep:novel supercontig:GCA_000165425.1:CT868674:152448:153847:1 gene:GSPATT00026169001 transcript:CAK93846 MTKTFNGNLNNPKNLTLHQEGYFTFIRKRRAITNCQNSPLAHLISQDSLKNKFTIILHKG SKALDITNAVIEKVKNNIVGEGILLQRNKLRFYMYGQVERWMEAFSELCIQINFHEDFFL YRLISNSLQSKVYFAEYRSTKIDYAIKVFEKNKFDSVIGPKALKQEIEILRLIKNEYFTR LLNIYEGNEAVYLVFEQQKGGDLQRFIKDNDNILTEKTAFQAIKQLLLGISEMHALNYMH RDLKPENILLKNKDSLECLIISDLGLAEKVNNEKKQLFTVCGTPGYVAPEVLKKEPYDQK VDIYGIGLILYILLTGCNPFQSLIKDETFELNMIGNVNVDKVNCSELGKDLLRHMLQVSP NQRPTAQQALRHQYFRNQSTKDSFPKQSIVITKTKLDSIHSQQISPIAQNLNFDKYKAPP IKQQYQRQATPKIDIHQYNYTNQMNKFRKVS >CAK93847 pep:novel supercontig:GCA_000165425.1:CT868674:154005:155402:1 gene:GSPATT00026170001 transcript:CAK93847 MLKLHYLRGYAFSARQVHSQIAIIGGGTAGLNVAAQLIADGHAIPQQIRIFEPMKMHTYQ PGWTMVGGGLYDINKTLKPMEKVLPKNVIVSDSPVIKINPEENQIQTFDGENYTYDQLVV ATGIRTDFDQIKGITKAQQYLEEPNSPVASIYHYKYASKMNRLVDEFEGGKLVFTEPQMP IKCGGAPQKIVYLSHDRLRKRGIKSDIHFYKNSALIFGVPKYAEILTDVCQRKQINCHFK QKLVEVQDHVAIFEDQDTKELKSVTFDLLHLVPPQVPAKFIAASGLGDAAGFCNVHPNTL QHVKYRNIWSLGDCSSLPTSKTAAAVMAQTPILVKNLIRTWKQGLEPIPEYQGNGWVNLL GYTSCPIFTSQSTLLLAEFKYNSELDETFPIFQSNESRLMFYLKKDFFPFAYWYFMVKGL WGGRDGFRFFKGA >CAK93848 pep:novel supercontig:GCA_000165425.1:CT868674:155413:156806:1 gene:GSPATT00026171001 transcript:CAK93848 MSMISQSVELQKNRPKQLDMSSLYSEENKSSHVINHVINYYTQLENTSKIISPLYSMSNS LLKEPTKYECPNHQQMKAMTLSKYLYGHPPKLDNIMNINGFEPKLQLEMVKPVIDTNIIQ TIQTISEEDLQTINLDQINIQLTEIEQTLQQTDFHKVLATLTNIFEKLSESINYNLENEL PYQKHIVYQLRISVLLSKIYKCMGDYKNSIMWLQRIRENYRVFDPSLAGKLMLELSKLYF LNLQIRESQGILHEALLHFEKIQWKADIAKTLLLQARMCAWMSIIISVLLDNFDLATKLV YGAISLLREAYINDDTKLDQAYFILAECHYVSKKYDTAKEFLVKVIQLNLQAGKQEDHVE FLEIYNLLGLIHVQIFDLKTSYFYFCQAYKCINSNSIQKGQILNNISLIQKFFGQLELAD RCQKAATEIYAQFLQENHQIFKRLLLNSIIESQQ >CAK93849 pep:novel supercontig:GCA_000165425.1:CT868674:156840:158180:-1 gene:GSPATT00026172001 transcript:CAK93849 MFCRQLTRRFFNAKSREPIEPPYLELQRDFLEPAEFYQELSKHGVSFYTGVPDSLLKDFC AYVQDNAPPGQHVITVNEGTAVSMAAGYYLATKKIPCVYLQNSGLGNIVNPYMSLAHPKV YGIPMLYLIGWRGEPGKKDEPQHLVQGKRMNGILTEMGIQYDVLPDYIEGAAEVLDTAFY SMKTRNGPYALLVKRQCFTNYKLQNAAKNNYQLTREEALTEVIQNTRPLDVCVSTTGFLS RELFELRQRLNQTGEQDFLTVGSMGHASSIALGIAQVKKSRQVYCLDGDGAMLMHLGALA QVGSIGPTNYKHVLFNNQAHDSVGAQPTSNPSVNFTQIALGCGYRDAFQVQTKEEITKGM ERLNRNDGPILMEVLIKPGVRKDLGRPTTTTFENKEKFMHFLTY >CAK93850 pep:novel supercontig:GCA_000165425.1:CT868674:158201:160384:-1 gene:GSPATT00026173001 transcript:CAK93850 MFISLEQPLLTKKQPETRRISKNVQMQTFNYTQQDENVQQLEDVPITTKKFLRYTNGIEA YLNTFPSFNPDHWAIFSWRLFICFIVIIYFYLIPVFMFFGYEIISDNLRIENIAVFLYIM MFFLIFDIFITLNTGYYDKGQMVLDKHSIFVRYVTQEFLFDIIAIVSLILQVSFRDHYAF LIWVPYFFYFKYYYVTLVDSQVEQLLQVQRKLRAFYQILKLILTIFFLVNLFACLFYAAG DYWVNQRQDYGSWLISSGLQNDIYSLPIAVKYEYSFYWALTTMLTVGYGDVTGKNPLEIF VSIITMIFACVIFAMIVSAFQNVFSEITHHNMQFDKKMTDINRFMKDKNIDMETQQKVRR FFSFMFSEKVRDFNEQISIINQLGPQLKDEVIMQSYGLIFKRTFWTSQFSLDFQKKIAYL ISEQMYCDGEMVFKQNLCSLNGNNDDDCLYCVSIGHIQLYLQFEDQDMVFYEAEQDSMFG ELGFLTGNSRSLSAKSTTISQLYKLNRLEFIQLLQQFPLDFQYFCQLRDQILFGQYNLIN LSCFCCQSSSHLANDCPKSHYYPNKIFLISRIMNNQQERQPFKRNNVRQDNLNLRKIQKA QQQMKQELSIAYDQEEIDQLSKSFSVDFMHDTQSQISEQDINHEFDIVNEIVNRLEQKKK QALIEIDTKCSYKNYYPKYNAEYIIQHFKKDRRFTRTFTRFI >CAK93851 pep:novel supercontig:GCA_000165425.1:CT868674:160465:162919:-1 gene:GSPATT00026174001 transcript:CAK93851 MQLKTKLLLTQLMLQNLISLIQAGNQESKDMIILIVNAIGIILNLVIFCLIYSRQREDII NSVVQFHIIFQLEIIFYKVHSINTYFLLIYLLAIENILKNDNKCYQILTHLLSLYLFVRM TIQFYQQFATFEFILLVLWQPLNHAFLYLDKSSQENTPMIPNTPSQKMANPHAHLSYGDQ FQQDLLDEKSPHPEKNINVTLDEDLLNCLPYGLALIDKNYHVLHHNEKLLNYLMVTSTDQ IVNSLDQFLSNAELNSFKTHLNAKHKPPIVPLRKLKQSSANQYQVGSGRTLNQTNWLSDR LKVNMKKKESFQSSIHDEVTYQYVQFVMNEFQQNIHKQMNNGQDTQSRTSETTHMFQFHV VQDINSKKKHYQIKAYEVKIQAKSKDPVYLFVVENITNKEELKEITFRYKFQQALLNSFS HELRTPLNCSLPLLQVLSKKIDEHLNNTLLLPAITSSKRLLLQINDILDYAQIECQDFKL NSDNFYVSEIFNDLKELFQSECQQKQIELILNFNNTLRICSDKLRITQILVNLLNNSVKF TKQGGRIVLSVKKKDNQYVFSVWDNGEGISSDQMLNMQNQLLQQNGSNKLGLGLRVSQGI VKFLSGNGELQIKSQKGFYTVVSFSIDQQIKTGFQEVGHSLHDIEEIKSNSKDSVQKVYV SSKNFLNQQCKCPQILIVDDVPFNHIALLALLQVYEWKAESAYDGDSAIRKVKQKLQNTC CRAYRLIFMDIEMPGKNGFVVSSEISELLRKERQNTVIVMCSAYNGQENAEKAHESGMHE IVSKPISLDSLQTLLGKYFC >CAK93852 pep:novel supercontig:GCA_000165425.1:CT868674:163069:164573:1 gene:GSPATT00026175001 transcript:CAK93852 MKNNYSNLLEENTQLKQNIVELEMTLQELVRQDSLIEKWTVMKNFVLVSTEVERLSLAYV ALEKQYQQLQNSKDSNQNESKIKMLITQLEELNSIMISQQKRIDELNNNNYLQQQLVDET NQKIEVILEMNKQLKNNEQNLQQEKQSLQNQLQESNNELNNVMHTLKECEDMFKSTLNKQ ETVIENLSSQNTFLNNEVLKKDKSIEQLMKKNKELIQDVERIDKKYVEQSIQMKKVSKMF ESLEQEYKNYQQQQQGNQSEFYTLTKRLEHKNQILVEIESRFDKLSIVAKTQENQLKDNE LKIKQLRQQIMEQQMLIDELKNANKTTKHDDENEIKELNLQVKRLQEKLNEARYELEKES TQRNKLQQQNEVKDKEIDKQNSQLEQLKNEQKSLQKAVQDLHQTLNIQKELYQKSQAEQN SLKEQLHVLQENNQTLDLLNIEDQCLSLKNECQQLRNEAQYYKYQNYQYVSQIEELRQTK TYLSNLLMDRKYY >CAK93853 pep:novel supercontig:GCA_000165425.1:CT868674:165688:165990:-1 gene:GSPATT00026176001 transcript:CAK93853 MSQAELRDRLEVIKAERKAEDEEKRQEQSNLKMNIYHQFRKSIRDQGTLKSRVVVKNMER EAKILKQQKEEELRKKIREEQLIEVQNKISQKKQIKSAEE >CAK93854 pep:novel supercontig:GCA_000165425.1:CT868674:166249:166769:1 gene:GSPATT00026177001 transcript:CAK93854 MSEEVCYTEIKNKSSSKHFPLIDLTEIKPQVNFLESLLQKKYQLNKKIQQYTLGKVYLES QNQKAIFRVFQDSDLNISSIFQKKLNRTTVDDDVMTTSSQIANANRQNRKDIIFRIFEVE KPQRPKQIPLEEMELSLFGITQENNVFFTQQLKVPKESKQFLSQ >CAK93855 pep:novel supercontig:GCA_000165425.1:CT868674:166805:167891:1 gene:GSPATT00026178001 transcript:CAK93855 MEKLKLSQLKSSKIEKDGKIVKNSLLQDIGNIELEIQKMTYEYRTPVNKTQIIDSNNSLF NQKAIASSTNKTDVSKSDHKILLMNRNSISNDNISKYTKANLLSEVSYLKLAESMRNYSN QKKSVEVKITDKPKTKPYNILSTKYQSNINIKKKQNSDTQNSIKTQNPQVNKLSQQICSE RYKTIQIKSILKQTSLHTQDFNFLQTNLAADVSKQQDNDQHQIQDNFKDDNKLDKALKIY NSLKLLVHKGSSLQSQMKEQKEHKNQMAQ >CAK93856 pep:novel supercontig:GCA_000165425.1:CT868674:167955:168774:1 gene:GSPATT00026179001 transcript:CAK93856 MSFERYHPSKSNSEPDNNHCNHNGSNHVRLKYAPIKIIYNKVGDCDEKRKYPPLNLRFQP ADEITNRQDPTVTIPLSFLQQLIQQAYSNKQLRTINNPSIRIEENRNDAVEELIKQIEIQ QKGIYPIDEEKKVQNMLLQNHMNFMLENDEAKEMIDNFFQDNLNLKPHFWTWLKQLEIKA IQDMYILCHFDPKGQQCQDMFKFLFQKLSLEFYSKHAYAQILRSELSEKLKYLSQIGEIF NKIAKPQEFYYFKSR >CAK93857 pep:novel supercontig:GCA_000165425.1:CT868674:168826:169905:-1 gene:GSPATT00026180001 transcript:CAK93857 MIQNNITTIDGSQYEGGGQMLRSALALSVILQKEFQIINIRSNRPNPGINNQLLSQIQLI SSFVKSELQGVELGSKQMKFKPLDKFVPQDLFCKATTAQSITLILQSLLPISLNSQKPIQ LSIQGGTYLNHSPTVFAWTNIFLKLIQEMGIQMKLEVIKEGYYPQGGGEVKALIQPINQK LKNYVNIQYSKINQLTCTYLIPSVSAIMQFDNQIVNNLKEHSENNVLPIKSNVKVVNSKK FKKCYGVSLLCHSSKNSYDFTVINDQDKSIEETVHQVINQAKQYLQNQTSFDEHHQDQLI LLMALAEGKSEILIGKPSLHTYSLIYVIGLFLPECKIELRETDSKEQYLLSVQGIGYVF >CAK93858 pep:novel supercontig:GCA_000165425.1:CT868674:170034:170677:1 gene:GSPATT00026181001 transcript:CAK93858 MDSQIDGSQTKKKYSIITPAVRIAFIKRVQSKQSTIKQAAQEFGIKFSTSKAILQTYKRE GRVGKKKTRSRRSTFKIDSKKEEKFQQQQSNPKDHLSPQQPLPINQTQMTLPQEQAQQFQ IFQLGLQMNPYIPLQQNLYLEQNPFQIPNPQLMYYYWQLNNLRQQALSQQYGKILDPRLF ITPIQSSKWLDAKFNEIGTTLKDFN >CAK93859 pep:novel supercontig:GCA_000165425.1:CT868674:171397:172635:1 gene:GSPATT00026182001 transcript:CAK93859 MKHTLKNQINQSKTSMNHYDLQSIEHNKENRLANKIISSIESKISFVSKLKTSSFENQKK LSQDTQNDNNNNRALKNPKLQGNSQTMIKKPFCRINNILAIYTEDKQEEQPKKPIKSNLD LNQLCNQDIQIGNFRLESFLGQGSYATVRLCIEKNSKVKYAIKIYDKSKINDNQKMNNIK REISILKRINHSNIIKLIYAIEDRKSINLVMEYISNQSLAVYVKSKTKRLLPIQEGLYIF QQLANAIKYLHSKNIAHRDIKMENILLLTDNYVKLIDFGFSICIQDNQKVNVFCGTPSYM SPELVTKVPHNPLCSDIWSLGILLYRMLLGEYPFKGHNDKELYKAIQQNKVKMPNDMNQN ITNLIKGCLEKNVNQRFTIEQILCNPLFQQTVNIYALS >CAK93860 pep:novel supercontig:GCA_000165425.1:CT868674:172652:173894:-1 gene:GSPATT00026183001 transcript:CAK93860 MISSKKNQMKQKQVRVTTDYIKVPLHKKCPTQTSTSPYMKNSSSQLFQKFKSFTSSTSPL QKYHFNQKDLISTVSHFTIEKKQKQSETEQKEAIDRLLKWGKRKQSQIKEKQQKFQYEEG KNIWEKPKLSKETLKIFQSGLKHHQELNNFIKFKDQFTQRSSDYPPLQKLDKLKRGVKIV IEVDEEIEDQPDDINDKTQQFKCSNEIQSDTTGSLNREIRSKSDYTSMPIHLRYEYEIKL KNAKLKQKIDEKEKVFQQELELKKKYNSTAEQFEHFLSEQENFLKKKQQFYDSNLKKELE KIQEIDSQFSYKPEINFPPQPIKVSKNKQQDEKFNEKLHTLRERQQRQKNIYLFKSTLPD LRRNIKQKYYEILHKNEFFTTSQVQYQTES >CAK93861 pep:novel supercontig:GCA_000165425.1:CT868674:174078:174398:-1 gene:GSPATT00026184001 transcript:CAK93861 MEDVDVFLKSLGLDNKLVDDQPNARDELKEFILFKMKWRNLKTRIRFQHFVLNLLIWKKM FIAKGQAFALFPNALIKLDFNFDGLAEAMECLCREAEKLKKQTDMI >CAK93862 pep:novel supercontig:GCA_000165425.1:CT868674:175340:176473:-1 gene:GSPATT00026185001 transcript:CAK93862 MVGEQRTYDNIEDQIVVITGASSGIGMEAAREFAKLKATVIFACRDQDVTENIIQELVQE TKNNKLHFIFLDLEQTDSVISFVEQFKQKFKYLNILINNSGIADFDQENVSGIEKIVKVN QIGWVLLTHQLIDYFNERGRIINIVSHMYTWIGEEIDILKAFKGDLDYRYAISQYFKVSS SLYLQQLLKDQNKDIKVLLVAPGAVNTEIFEKSLKNQVILRSLVRVMKVIMDPFLLTPNE ASQTYLYAALRPYDQIKDEELYNFNIVSKLNEDVIRFNQGQEIYETSLKLGFKDMELPSI KISKLHYNQIGNNQKTSWPECVGKTIQQAIQIIKKDGPNLQIQVLPEGSIVTMDYRRDRV RIFHNPKGIVIQIPSIG >CAK93863 pep:novel supercontig:GCA_000165425.1:CT868674:176723:177389:1 gene:GSPATT00026186001 transcript:CAK93863 MILIIQVHKRLQQYFIQIIYVIIVIGSHSPHSICQLYGDSPQKVVEVQLILQIVELEESK TIEGVSVGLEGNSLYSWNISFAGPSDTLYEGGYFQALIKFPDDYPNSPPTFRFLTEMWHP NIYTDGRVCISILHAQDEFNDQEPPETRWRPILTPEDVLISIVSMLSEPNINSPANVDAG IQFRDKPDEYKKKVRKLIDKALENL >CAK93864 pep:novel supercontig:GCA_000165425.1:CT868674:177431:179431:-1 gene:GSPATT00026187001 transcript:CAK93864 MFITQNQKNKNAHQDIIDSDFQSNVINQELKSFVQKIQDRQKRLSELSKQLEETIQKSLS INSTIFERELRSKQKEMRKTKLENEKRVTKIKRDYDLTENSNLSKLLQKQQEYRTHYDQL YQEEIHFVKFLDQQTKNSSYFSVINSILNDSLIFSGQKLDNSSVLEYLTGQNKHKIPNFH NSIKLTIQQLQNIEENLQFIVNSNNMTDSQLQLLQQSLSNFINVLKTTQTSIDEILLEME LIQKEISDSDKIRKNNIILIQSIIKENQDQKESEIQEFIKLNDSLLKQLMSVVEINRRYS IFQLQSNEDLQKLSQLSEIQFQSICQIENKKQNKQGCASLKKIPTVLLSKSRKFSDHYKE LNELINKSNDQSLELGVKRDSMALESSFLYQSQQATNPEKPILNILLQKQMRSQSPNSTK HIEKKSPLIHHITYKTIAHVDKKTALPLRCSCPPQCLCSSQIITQIHQCNCQLYINSKGY IMCQQCQFSQQIQDYGFYCPQNRQKNKFKNYEDFLFSFWLHLTTLTINEQIVEFWDNLQV NCQRQWIQCKQIEFNDQMDETNVINLIAYCPSNSKCYHKTSQQQIHFCQSPLLINSLGEL ICRKCNFFGNPQFHFIQCPEQKGFYFYQNIDLFLNSIDLNNSNFESEKQNLEFLQKLRKN LLKKWK >CAK93865 pep:novel supercontig:GCA_000165425.1:CT868674:179972:181395:-1 gene:GSPATT00026188001 transcript:CAK93865 MQQSPNTRQILDLDFQKELYQEDLKKLVSNIQDKQKSYNDTRSSQNELVQKIIRICYQKY EDDIIRKRIELQELKQKSEEQKAKQDEEYSNLEMELKNKIVNVFIRFQKYYEKISQEKSR LEEFIVGDAIAADYTLILQSVHQSLSDFELAKDNECINQQTFLSLKSPNIQTKQHSKMSH LNSYVNTLIFKIENADQQILNSQSENSQIQLILSQKLTSLKQQIRYLQQFNHDCVQELFD LQQDFLTNQRNLKNLRINIQNTVTKDEERKCAEISSLEQQKSDMDKSYKSYSQIMLQGNT QRKDYEENIFRLISLSNSLIQSLNNSIEQFRQQLEALSLTPDIKTSSNISIKKINILNPY KKILNEKKSIYLKRKPQVEKLGSIKTDENNISTIFKQTSQSPNFRNQILPEKQTALPLKC SCPPEINAYLQQLIVYQLKRNHLVLGLSNFLLDQ >CAK93866 pep:novel supercontig:GCA_000165425.1:CT868674:181617:184485:1 gene:GSPATT00026189001 transcript:CAK93866 MSTKLRDLIRNIRACKTAAEERALIKKEQALIRESFIANESEYRPRNVAKLLFISMLGYE TDFAQMECLHLITANTYNEKRIGYLALTQLFNEKSEVLMMATNRIRIDLNNPSNYIVSLA LMALSEVCTSEMCRSLSGEVLKLLQNGTAYIKKKAALASTRIVTRVPEKIDEFSQKVELL LDDRHHGVLVASLQLAQHILQIQPDQKQRFQKFVQPMVRIFKSIYSTYSAEYDIGGVSDP FLQIEILKYFRIMCQGNVQLSGEVSDILTPVAANTNNNKNSGNAVLYECVKTIFAIESSN TLKTLGINILGKFLQNKDANSKYISLFMLQKVLKHDLQAVQKHKQTILECLKENDNSIKT LALDLLYVITNETNVKGIVKELLNVLLSLTEEDADFTKELTNKICQIVEKYAPSRRWYID TFIKILILAGNYVEEESSSSLIHLIIGTPELQSYAIHKLFFSLQENLNQEGLARTTAYCI GDFGHLLLKGDATAIDNTPIQITEEEILDLLTKLLEKPNQKNVIKEYVLSALIKLYPKIN KFQPQIAKLIQSQINSTSIEVSKRAQEYYGLLDVQWDQHRAGICEQIPPSDTHKAIYENK PIGDVEYDEYPPAKTELEQAKPATNNNDSTKLYGFDIDNLIGNQDQAQQKPQQQPQLTTQ LPGNLFDPFDITLNLSGTQPQTQPVQQNYLQFPAQGEFTYSNPQSFSQQQQQQQQQQQQQ QQQQPQPINPLQNLYNQNNNPYQQQQFPFQQQQQQQQQQQQQFQQQQPQPLVNLPGDIFE LNLQPPQQKPIPQTIQPQNQQFIQPPTQQQIIQPQLAEQKSLTQQAIAFDDGALQIEFRA VKVMRLYLYQTNITAYFNNKTASPITELQLSFAVLKYMKLQLTQPTSSTINGNSTGLVIS TLRITNSNQGQKGIVMKIKVSYKINGLIIDKEVTFNKFPQDY >CAK93867 pep:novel supercontig:GCA_000165425.1:CT868674:184566:185668:1 gene:GSPATT00026190001 transcript:CAK93867 MLFRQKERVPDVGKDNFREFMRREDDSCANTQNILPNFMNSTIQAQTKQSQTPSALDGSI KMLGNTWDAQHLGKFLQHSQFAQLGARTMKSGDTPQNMTLKNQSFYFRSQQPRLIEDNID YLHQHPINHMITQHPLQSTSQSFLKTKLLQIPEKHFDEVKINYQTQAELKKPGEYRKDLQ EAKQNQVKYHQWRSQFQDVNKAYKKAKLGFKSGLFALDNPTNEQTELYREEYDKLKKQEE HKTTQHFKRFKSLERFNCSNPSIEFDNVKHVQNRPNPEDPILHQGQFPVKNFEMHNDWMK KRAANSNVNTQNRIFGKTDNDRKVNSYRAQYLKDQEMRGREYNAITLVKE >CAK93868 pep:novel supercontig:GCA_000165425.1:CT868674:185690:186943:1 gene:GSPATT00026191001 transcript:CAK93868 MLTDCDFYSKQSITELQAQLAHKQKKIQILKQEKNSMLNRIDELNQKVHDQAEEFKKYRL KFRGLEKQHSHLKNQNENLKKDQSMNNETITLFQKGFKRDEFKKHERTVAQLQNDIIKLS NALEEHKIVRESNCEEITRQLIYIQELESQLNTYKSLNEDDQLKYNNQQLYQTIEDLNYQ LQSSIQQKDEDNQHQLQELQQLADIAKLENKELTLKIAQQEKLYQMQIQKIIKLCESVYD ELSNKISNKQEVNDILQKLVMSKKDFDLDLLKIESEINSQTCEELQNKVENLSSENQNIK QKFQATSTNKEALQMREKDLVHQIDKLQQQVERQKELNQMRQKTMSEQISALQRTNKQLN QDLDKITKEVQDLREEKVILQQTIQRQEKKIQTLSLSKYK >CAK93869 pep:novel supercontig:GCA_000165425.1:CT868674:187541:189526:1 gene:GSPATT00026192001 transcript:CAK93869 MSKSNETAIGIDLGTTYSCVGVFINDKVEIIANDQGNRTTPSYVAFTENERLIGDAAKNQ VARNPQNTVFDAKRLIGRKFNDPTVQKDIKLWPFKVEAGADDKPLIVVKFKGETKKFHPE EISSMVLTKMKEIAEAYLGKSVKNAVITVPAYFNDSQRQATKDAGLICGLNVLRIINEPT AASIAYGLDQKIKGEKNVLIFDLGGGTFDVSLLTIDEGIFEVKATSGDTHLGGEDFDNRL VEYCCAEFQKKKGIDMRTNARALRRLRTQCERAKRILSSANQTTIELDALAENEDFNCSI TRAKFEELCLDQFKKCIPPVEQVLKDSGMSKSQIHEVVLVGGSTRIPKVQELLKDYFNGK ELNKSINPDEAVAYGAAVQAAILTGTGSQKCENLVLLDVTPLSLGIETAGGVMSVLIPRN TTIPTKKSQVFTTYADNQPGVLIQVFEGERQMTKDCHKLGQFQLDGIAPAPRGVPQIEVT FDIDENGIMNILAEDKASKKNNKITITNDKGRLSKQDIDRLVNEAEKYKADDEKIRLRIE AKNNLESTAYHMKNTMNEEQFKDKFTSDEKRQLSDLVEQTQKWLDSHQNEEADVYKDKLK ELESKFHPIMQRVYAQTGAGAGASMPNMNRGPGSEFKPTVDQVD >CAK93870 pep:novel supercontig:GCA_000165425.1:CT868674:189694:190124:1 gene:GSPATT00026193001 transcript:CAK93870 MLQYDTDFGKIFYCIYTLHKSQLISIDRKSKLKDMLITFDEKMTALLTSLPKLNEHQVQE HLLMMADSQYDSNPNIDFELSEENYSIRSSHTLQQTRAAKPKKIIIEKSTYKLVNKSQFQ YTARSSKRTATQKLT >CAK93871 pep:novel supercontig:GCA_000165425.1:CT868674:190708:191318:1 gene:GSPATT00026194001 transcript:CAK93871 MLDQIDESIDMSIKMKSLKPPSIICPYSDRSPEQKVSLLKLRKNSKSFGDCTTEKLITIY WYDQEHDSTYEFSQGFSEILCLGDICNWVILKLKEMNLPKIDVEQTKLYLPKKKQGKPNE DFPSFRNELQLKDCDQTKFSIKVSYILRQVTPPQRIEPRSSYSNSLNKEKRIPITEQKKV KKTTNFFCFCNSVNE >CAK93872 pep:novel supercontig:GCA_000165425.1:CT868674:191970:192909:-1 gene:GSPATT00026195001 transcript:CAK93872 MNIQFQDQLKTYWSNYVDNYSKIQGNQASIGITLINMLDVSNASRIFESGCGPGLTIPTI LLDKQLNCEFVSTDLSEKMVESSKQRVLNFLTFPNGQQEQDSENLFKQISWRAEVANAED LSQYSSDYFDIYYGGFIFHLVLNPIQALKEAYRILKPGGKIGFSVWGRREIADNYTLLRA TATEIGYVFPKSHDSFQLGSDRAELKQLLESLGFQNILLWYQNTAANRFKAEQIIEGQNP GIIEFLQKDQDLYKQLLIRLDEKIQHKLTVLNEPLMQDCLLVIAQK >CAK93873 pep:novel supercontig:GCA_000165425.1:CT868674:192951:198668:-1 gene:GSPATT00026196001 transcript:CAK93873 MLNTLILIINAFIITFLFCPVWIKWLVRLHKKVLALQYSYVPFSLYNMELRYQYKKHIIV TVNIARIQIARVPNQWRFNVNIIDLNIRFIPNQAIKIGFQFEEQLYMERLKSKFLPYSQE KILHRKNKQIPFFTLLLESSAINCLFLTLSRLNIHFNVINVTLPKLNSKEKDLPEMVVKL GQTSVQSHLNQNGHLQWKLTSEGAKMEQCLTINVINLNAITKPGLIIDLLEEHSSIDIDI QEVRWCCKSEYFYTMDVLANEFWARPDINKILKRHFNEKGFKFLGKPREVKLNFAIFRIE FSIFNDSDADKKHSFNILTQKFYKYTQNKLVFEWQNLKYHGLYDIVETDQTKKGMIVCKQ FTVNTLQEDVRFQDITWNIDTISSITVHSNDNTKHFIRYGDLIINLSPQLIKFFTLIQTE LASEFRVAFVENYEQSKYFKKYNRIAKQMCCEFLNIDLNSALSNSYDFLVTNKFQINLIY DGAIINSITINKFHYISNEGDLNESRTQFDNCEITSNISQTDGLIKDLKMQTNPKVVNYT FNTFQLLVEQINIEKMLRIYQLQKVFRQQLSKFTSFKLTPLEKKKSKAIKPIIKEKIINC KEVKLKYLASPFLAEIELLEINTKDPNNIDLNQLSIKFQNSCLFSIDQIQTKNSAELMQI NIKSAIFNFEEDVRDINVFVSGIKQMNSIVSRRQIFDINQEDIDTSQLKKRRSSHDGVLK NISSDQETTTKIRSIFGKNTNLSICNFEVFVQDTKLNDALCRYRRFQEKFETVKSRQNES RVLEGLKTQQSYPLLHMKLQNLNLKLKKLNLTVNQVLDKITKLDSHPTQLDESFFEYFEL ANCEIQLEQIKISIRDYKLSLLDLRGMKLHTFLCLSRLNTQNIQIGFRIFYDLGLEIKQL QITAGLNLVFAFREISNRINQIMGQSVNQQQNKIIIKEQLSFWDSFRLKFHGKLHFQLEH LIFQITTDILPYSINCIKFSAKLINIEFLNWTVKGQADKLKLSRIPKIQKVLYIPRIQMV TEFNWFALKDQYDHYFYMRKPYFNQINNSLTDFISQQLQIEIKFDCIQYEHYKDSNFRDQ QNYCFFIHYQNQFMRWLKNRPILRHDVLRMFQVKINKFPQVMDNKVYEMEWNTYADYKTL VNYTYIKLMESLMIKQSLFQHTKKINIRVYSNKFRMFFTNSEKPVSHSETFLKHKDVPFI GFQTLFQSLKVNVQLEPNHKENGWKFEVQSIAAKCDQLVSSMFDGKQMLEMEQPEPLSQQ EQFVNFFDIQPVDYYQSELNQSQNLQNMTFHELKKLKKFLKQPFIINTTLTPQYHLFNLQ TDQSYFDDSLEDLKVRGRTSGIQIKQQQKNEFNAFDIFLKAQQLEYKQNQKITLQVEDEE NNANAVMTQKIIKNFISALDSQLLFTFYLQEIWSNVFESLEIPQNKQTKQNFDAQMEQTK PKSYCREELKLMVSLVNPQFNFQYHYANCQMILTSPKDCQIIMSEYILPFDSQEIDKKLV TKLIFNGLDCQVLQKHLDAKQTVRFTSQDYEKIIKCDYALFQIQSYQRRENVRDFTEISS QNCDKHNPNYWNQELRRPIVQVKVGQLNATMKKYQLDQFLDMLTFLEMAVTTKNEFENKE RFYRNKLKELNQYGIKQIDQLIKKKISENLEHYGNEKSKLDYAIKETSLSLLDEQEVSFI LFNIRQIRIIETLFENDSHKIDILLQDLDAINKIEQDPDYRTALSQQIKESKQQPFFRMI KKFYPLQIYQQKWFVIDQHDIKMNPLTIILTDELYDQFYNYFFGDESTQRNNRLSTEKKE QMKTAMENISKQIPDYFNSLQVSELKLVATFKHPSKIKRFKDVTIQLEPYRSPKQFSTTK EQFDEFAAFVLKQISTQIFSIIGQKLFG >CAK93874 pep:novel supercontig:GCA_000165425.1:CT868674:199723:200578:1 gene:GSPATT00026197001 transcript:CAK93874 MSENILKLQHLNSLFKSKRETKVDDNKKIPPESLIQYSNQQEATLQQIRKKQSLPVPALE SKPTMDELLNSLIKPRDWEIDGRRLMQTVSIVPPSRDDITNLQKLLDERLVSRQAREYPL CPIREELFGQCFDEIIRQVTIDCQERGVILARVRDDLNKTIVAYKSLYEGSMPFSLQKQL NAEDGLNKLEVQITELNETKQQLELRKQLLTNKKEALERSIKERKDANEQRRKAEIEFLK YQNSHLESYQKQVNPNKNQ >CAK93875 pep:novel supercontig:GCA_000165425.1:CT868674:200863:201650:-1 gene:GSPATT00026198001 transcript:CAK93875 MLLLLQEILKLLKHHSKKLKDIKKRIIILYKQIMEKNSYLVKVVNETKYYEIAVTLKEFK PKLAYDPNNRRNKELYGNEIARDNLLTKEVNLEASNPQKIKLTQKQQDYNPSNRKLTKNQ SSVFGDSPKQFEVKLIQQLKAKKLKTKSIYLKWSTFEGQSINQVKEFDPETFEKNRKEAE LQSSVFGEKSIKAQNVSISESKEESETNNVSRNCNFSSIRTTTILKIRKPKERLIPNNST WQQLALQKQERL >CAK93876 pep:novel supercontig:GCA_000165425.1:CT868674:202162:204462:1 gene:GSPATT00026199001 transcript:CAK93876 MINSTKISVLKHKKKELLKTKWPYFINLCRLQIFLALIQLLINFLLIGSINNYETFLIDT GISLIINIIDRCFLCRLNNRRKFRVIILLNFFQGIYIGISEKFIHDNELLQFNQPFLIHF MTKIMWMVALISTQPLFTCKIIIFLFYYFIICYSVQLWKTTLYDLIIVILIFIFYTVQEE EKFRRLICNQIIPEQIISQLPQPIFLIDLSTLEFVIYNDMFKQYLNSQKYESDITSTKKM LSQFQSYGNQQVFNIIDAERLNLFETIQIIKIQGQVITQNLNYQFILNDKEVYDVRFHNN IMYNEKRCLLVCLSDISAIIEKTKLSERTILKSKLIKSLSHELRTRKNIIQGLLKLYLDK NNDDSNKELICQAYNNSKIESNIISSIINYNLCLDNQVIIKYQFVKVNEILDKIIDNFKY EINSKQIKILDNYHPEDNHSLFTDPDIFEQIITNIVSNSIKHCDKQNQSILSISICKETN PIANSIPIPNPNNPYIPYLLKMSNCSSFQSLQELHIPQLERTLNIKIVDNGSGINTQKLK LIEEILNNDNYYQFTTSSSDGFYLGLRSCNLLLQKLNQETKVNIHIRSTEYEETEVVLKF LIHNQTKEYEQSIESEDCIQQIKIQKKLQNKCNCLRQIMIVDDEPFNNLVLEQILRSLNF KVIKAENGLEALSLYREQYNLCEMEECKLFYAIFMDYQMPIMNGLESTKAIIEECIKLKQ IQTNIIGCTAFSATDDINELLNAGMKNVCIKPINKDSIKRILSHLQ >CAK93877 pep:novel supercontig:GCA_000165425.1:CT868674:204475:206045:1 gene:GSPATT00026200001 transcript:CAK93877 MNQFINNNTFLYNSDHSFTFTPTQLREVSTEILQKPSKNRNQNDIKILQLATQNIKFFQE ILQEESIAVLHRCLQRIQLEIYPESTIVFEKGDKGKDFYIILSGSVGIYIYSNNIELHQQ NKLPIRQAIKEQVNQLIESGIRPKKEHPLELRKILLYEKIKKLFKVNELHDGDSFGERAL INEAARLATVVCETECFFGVLNKQDYREILQQAQSEKILQQITELQSVQGFQGMSRKLLT ILLYAFQSQEHRYRDIIYKQGSINKNEIYLILSGEYIITQDQKITMHNPLRINHQGVKQI AILQKGSIFGDKESFQKLEFRTQTITCNSQYGKVLCIQLDCLQQRLQANNELHLINELKQ LCEIKEQTREKRISCKIQSPRRSKSFMVSTSPNQKIDYIVKSKKEIFKQLNKNLKSRIQF IFDIALPRAKTPSNYSQESPSQPIRKRSAIDRIYLNTRNNSRIQKRQSLPLQMQTQYQNP EQKRFSFI >CAK93878 pep:novel supercontig:GCA_000165425.1:CT868674:206434:206646:-1 gene:GSPATT00026201001 transcript:CAK93878 MNQALKYVPKIKFIGSRANQVSHQHVAQASKYVHKVVDYQRRKMTQEEIDIINQGGFADL SWKKIKPINI >CAK93879 pep:novel supercontig:GCA_000165425.1:CT868674:207172:208401:1 gene:GSPATT00026202001 transcript:CAK93879 MQIDLLEQQIVKKKRSRSNKDNGDSIPAFLLKTYEIIDNPQNHDIIGWNEEGTAFIVKKV NEFSDIILPKSFKHSNFASFVRQLNMYDFHKTRHDNNENEFKHKLFQRGKKHLLSQIKRK TNDVKEQNSLSLIKNEQVRTGQSEIPEILMQMGKLSNKQQELEKLMKILIKQNEKIMKEN KYLWSELTKNKHKNENSEEQIMKWVLQSLQGTKQNNKHKTNFQTNNLLMLKQTSENDDEV QLEAQNQRNFETDKFQFDQLPSQIISQNLEQQIEKLSKNQIIQVLMSAITNNQKQEKKNT MKFEDDISFDDEYPVMKKIDLKQDNQDRRQENQLMVYSEPYFFHKLEDNYIISESPNPSR KNSYYDQDPIPQFLESEL >CAK93880 pep:novel supercontig:GCA_000165425.1:CT868674:209177:210049:-1 gene:GSPATT00026203001 transcript:CAK93880 MNFKEQKVIHKLIKAGYLEKHDQLTAQGLTNPWFNYHQLNKHNGDIHKVILAYNENQNKN EDKRQTALKTIENMGWLHKNQELIEKGFNHVNKNLKFLLITNGDVEKSIEILSKKKFKKF DKPVELMIQELGFTVQFEKLKELGYTNEKKIAKLLLKFEGNLEPILDKFLRIKNKDNCHS IKKSIEKHKTRKSPEEYQKFRKHRGGFKEKFAHLNGKNINPKKAYKFLSIFNGDVESAIQ WLNQMKPQAQSQGQMVLEQEVLAQH >CAK93881 pep:novel supercontig:GCA_000165425.1:CT868674:211210:213272:-1 gene:GSPATT00026204001 transcript:CAK93881 MDLFTRHSNNLKQNVNIIESVSSLSELAILGDQKESDLFDQFIEVKIIEEFVRILKSTKD TNIVNTILHQISMLITNIGKNIVVLLSLPQLNEFIIYNYDLTNDETQDYYINFIKILSNK VTRDNINLFFNQRYAQFPLLLYSQSFFNAKDNLTRTYTRHILLSILQIVQNSQMENELLQ YLQCYPFLQVLTNHIAFMKELLYKWSKDQDYFHEELKNMYYFFKDLYQIAPYLVDILDNL FFNIIILPLLQTSQQKDESLKQQSSLILTSFFNAEIKFSKRILISILILLFSQEIPKYQS IQLELNYVPTKDPELVNLCAKFFKTNQKESKDGERLNGFLQSITQTISYVSYNQLFAKEI PKQDLYEALQTLGYIQKLNSSILFKENLLFQDEYTNHFLNEEHYHSVLTLLETVLTSETL SIISKSQKQMFIINLLNVMQDEQQKSINTLNNCLKSIDIINQLLEDQDQNFFISYIRLKL LRQMEEHIQSQTIDYYRYFSQIVLSLQAKNVEILYKIPVTHQIIKFLLILDLSFQKNWLK NPFGQTKNPRDYNEISFNDGLIKLCNLEIITNSLHFHLDEWKLITQQDQKQIILFMNLID DEKLELVFTQESEANIFKQIVYERQQDSEQHAISQIIAQLQKLYF >CAK93882 pep:novel supercontig:GCA_000165425.1:CT868674:213729:214421:1 gene:GSPATT00026205001 transcript:CAK93882 MDKNKSKYTIPSFIIKLHAILEDLNNSLIITWAPQGDAFIVLNPELLEQNILPQHFKHNH FTSFLRQLNMYDFQKIRNQNNQQVFWHQNFLKGKEHLLVLLKRSQNKMQQKQKLNHQCFQ RFLIDEITSIKQNLNQDEQQFTHIINQHEVILQQHKQIYLDLQQQREQMENKYEKLTGQV QAIISLQKVDSTSQYLEGCSQEEEQSEFFYSQNL >CAK93883 pep:novel supercontig:GCA_000165425.1:CT868674:214697:216446:-1 gene:GSPATT00026206001 transcript:CAK93883 MSNFWKEIQNYSGCTDFEQLQYYLNLIYKYQVQLEDFQTMHPVYLAKLLHIHQLAIQYYE HSTEELIEYEKYNQKMTQDIVEQIRKTEKKLLESKVNIKAAQKKLSLELNQKEIFMQKII NTQLIQCLYCDKVVTNESQFDLHMKQYHKGEFNKKEVNTINQALQNQLLTQQLSNKVIQQ NQQNYIALNYQLLQFTKDQLSRETTNFMGNTLEVTGKIKDIDQTYTKEIKNLEEEISNKL NLIQLNAEKEEQIREQQAKEKVAQMEDKILQEFEKSKSHLLKSKQLNTMKNLGKSQESAV NVSQHHPSVAFYQSSQLHRGQTGIHIKSLANIEQDELIEDDQHYKTSLQQFKIQIKNPES DSHLQGQFEQSQLLSDSLQGSQRILVQPQTDVLQQSKFSKPLYKKNSGSEEDQSFNKNEN LQSQDNIDYLIENLDIYRNAVESQQNMLFELLQNRNKGSRSTYTDIQKKHYRQILEKNIE QDLKGIEQYDLKHLRKIYDELNKQLKDQQANVDQKLQLYSLNEESRTPEDIDSLRATQFL NIKSIKNSGKNLQNLSQN >CAK93884 pep:novel supercontig:GCA_000165425.1:CT868674:216491:217672:1 gene:GSPATT00026207001 transcript:CAK93884 MGRNKIDIQYLKDDRIRNVNLLFQLLILLFLEPLYNLNYYLILCQITFNKRKNGLLKKAC ELAVLCNIKMLLCFTDLSGNVYQFTSTENIEMEMIQSNHKKVFTKQDYPGFQKRKESESQ SEAESEQSVDQKRTRASSKQQQIQQLNHQQQQPNGQQQMRLRNKIENGEKIQQQQQQQQQ QQQQQQLQQQNQFQQDSRKMVKMENKQEDQDLQKSKIRVKNKMNNNDEMLVNDIIDHQQA RNFDDLYQCGNYNDDQSNSQQDHQDYKQQLSMFTNSNPIKLMKMDSLLNGLNNSKPNNNF ISEQYNKFFNKERIDSLNNSKVLQPSVQFIVPPQVYFNIPPSPISQAMNKVYVGVDEPME YNLGQSQYMDGFKKYSK >CAK93885 pep:novel supercontig:GCA_000165425.1:CT868674:219025:220177:-1 gene:GSPATT00026208001 transcript:CAK93885 MDQKKFIEAKQKLKDYRILQTLCQIDFSIYKLAKNKKTGDYILIKIYAKKSLTSNISLRN KVQQEQIFLSTLKSPFIIKTFDTFEDEDNYYILQEYCQGGNLISLLSRFRPDNDLAVFYA AQVVLLLEYLHSKNILFRCINSEIFHICSDGYLKISDFFHAKQMQKKGRTYTIAGFLEYI APEVICQHGHALSPDWWALGVLIFELLAGILPFYGDFHILFNQIQKGQIKYPDYFSKEAK DLISQLLQVRPFNRLGYIKGGVNDVKKHKWFKNINWKELAQKNIKAPYIPKINGFGDTSN YNYYLQSNEGEDIINQFQQ >CAK93886 pep:novel supercontig:GCA_000165425.1:CT868674:220910:221138:1 gene:GSPATT00026209001 transcript:CAK93886 MFNYRATNDTKNEPAVGAMKIIDKEYQHALETLNTEIYITYYFAEQKSECSRIKLILIMF I >CAK93887 pep:novel supercontig:GCA_000165425.1:CT868674:221214:222448:1 gene:GSPATT00026210001 transcript:CAK93887 MTIYLVSCVDPSMVQLSTEKIDELSQSNLGRFIIEMAQTHAEMRGPLDDLVTAIGDLENE LTAELQQLEDDFTRSTNQHQITQENLDINIGQTEINIFNEKDFIDAILLPSIDQTNQKID RLNGFINDNRDSLARETLNRQNQHQAYLDRVSEHQGAISAVDEALQLINSLINGNIAFTE KATIHQAVKRVNNKIAKTSTIHPVVEALLQLTQNFSDQGQAKKIRDLLQDTRNQLVSSLN QENTDEKQIEETWAERQKTLNTEYQEFKRSLLEATYVLATYQNKLKSTQEALNQNELDLQ NYNESLQQDKDAQAQEIQIYNELKAQYQIQLQTTRNAKDFVNSAEFSTVIRNKLNQGGLA >CAK93888 pep:novel supercontig:GCA_000165425.1:CT868674:222471:223444:1 gene:GSPATT00026211001 transcript:CAK93888 MQITQNSHSPPPIKKQHLKLHVQPIQLPDANVLNRTVGNSDPEISSKIIKTERITAFKQS KKFHIKQVQNNTHNRLQTNHPRLLLQENDGLSLILQKQSLTTTSEKIITKQLVTELKNKI DQQDSIIRLQEFKINRLQQELLSQKYYCSNLLAKIFQNDPKNQYINTLKVPKIGFQIQEN TQLSSLQPSLLKPSNNLDQTRIKNLQNQIISLKLQQQEFKNFIKDAFFSFKKIIQSFETQ SREKIQGVQTEHKLLLNSLEEIIKTNNINKTEELSKINQKAEIICQEYILSEAQKEVYYQ KCLSLLG >CAK93889 pep:novel supercontig:GCA_000165425.1:CT868674:223703:224353:1 gene:GSPATT00026212001 transcript:CAK93889 MDQGWEVSEEHYLEHLMQNPLFLKRQGSQQIDKPAILASQESPKTRMRFRTTSMAKLDES SKPNSPNKKPAMEPQVKVDSQIKSQQSSLILKTPIRQQKFQLYPQKISLRSTLFQTPLEE CSPIKLGRTLQPKQFNPVLQNINDIHYNGMKGQTRSVKSASMSNLLNNDERIMQKKRLED FVFKIRPQRNASILYVNSQSSLQTTTDDIVLRKIQK >CAK93890 pep:novel supercontig:GCA_000165425.1:CT868674:224471:224845:1 gene:GSPATT00026213001 transcript:CAK93890 MDQEFALPSMQNEQKYNEFMGHIRQLLDRNKVKSHNNINPLRIPNNFILNKGTKQGSRRT LFETKVNDEQSQQKQVQNQQILSDPTDSQTQRVLQYQQRIGLVRKHDKTEMQLRQIAQKH NIKW >CAK93891 pep:novel supercontig:GCA_000165425.1:CT868674:224869:226130:1 gene:GSPATT00026214001 transcript:CAK93891 MNQIVSGIQFNVGARYEVKKLLGAGAYGHVALAIDKKQTDPEKQKVAIKKLHLVRDEIDA KRVLREIRILRTMQHENILHLENLIYDDSNKELEFGEIYLVTNYLEVDLYKIIKSGQNLT DQHYQYIIYQLLKGLKYLHSASIVHRDIKPSNILATENCEICYCDFGLARQIEELEAEDN RCQNMLTEYVVTRYYRAPEVMLSSHEYSTAIDIWSLGCTFAELITKQILFKGTNYIQMIK LIFDTLGKPQDEDLQFITNSNAKKYVNSLQTKMKCSIGSVIKYTNPHAIDLLDKMLEINP KKRITSAEALNHPYLESIRDPDDEPSFEGHLDSQFENDSTILLKDLKVLILNELNLMKQI NNEPLINIQLEVEKREQIVKINQQKKQQLKQQQQQQ >CAK93892 pep:novel supercontig:GCA_000165425.1:CT868674:226433:227637:1 gene:GSPATT00026215001 transcript:CAK93892 MADLKFKIPPSKSLEQEKQKLLKEKDEIVFRFEQQWIKDLEEPGKYKKGIRIKNYVDGSK YEGEVINEKRNGKGIYHYSNGDKYVGEWKDDRFHGRGVYIFANGERYDGELRESAKHGRG VYLYVNGNKYEGEWMNDKKNGKGSYTYFATNEKYDGQWLDGEKHGTGMYIYTSGDKYYGE WRDGEKSGKGVFEYQNGSRFEGEFLEDKANGFGVMQYSNEDRYEGEWSGGQKYGQGTYFY ADGAKYQGEWKNENQNGHGIFYYVNGDRYEGTFVDGERCGKGIYYYLSGDKYEGEYRNDV RNGQGVLMLTNGDVFMGEWANGTKNGQGRYEYANGDYYEGFFQDGKRQGKGTYYWKNGQK YVGLWKNDRMEGEMDPIVAIKQSKFSNDYLIDV >CAK93893 pep:novel supercontig:GCA_000165425.1:CT868674:228080:229021:1 gene:GSPATT00026216001 transcript:CAK93893 MSLTLLNKKYQALMLREKQIEDHFDPVGRHKKQHHNIEDLRQDYQEDLENYGFSKQSFKA KKEQELENLQITPEKQLRPMTALISPVQSSAQRQRPITAPGLNTQTKQMMKIDENEEMIQ LPYQSETKYWRNTKKYDKTNQNVVRNHSALKKVSLGGDCENFNIEEEEIKNHHMIEKQIV KNRLLSPTFVSQRHQINDRDIQQLTEGFYQHVALVKGTSKIQASKAQQGFQLYDNLLDLM KIIQQENSNKSPIITKTSSQGFRPQTGVSRQIKSNQRSRPFSSKLQSVGVRAFQQ >CAK93894 pep:novel supercontig:GCA_000165425.1:CT868674:229285:229964:1 gene:GSPATT00026217001 transcript:CAK93894 MNFLDKRNKIEILQERAALYNKVQDELYEKEVPEFEHTKLIKPVLDQHIEEFATQQPPAN LNSLTRSLPKPPVSVLTTSALISLGLDNYGTMTTQMQDVNRKREDDFNHYKQLKKEGRLE ELVKPLKQQKEKDQATQAILFKVDHIKDYEDKTHTGYGQHKSAHRTYYVVDETMKEMINE PNIKNHLMKNDLNTFFDSYLKHKNTLRGAVKQPARAK >CAK93895 pep:novel supercontig:GCA_000165425.1:CT868674:230145:230451:-1 gene:GSPATT00026218001 transcript:CAK93895 MSESNYYQRFGINQSVYNSESPKQLTPILKIKHSLSDGDIDMRKDSYGNYIKHGQKQHRI QFREKNEVFIVENWKQYNTDMATQESPCLCTIL >CAK93896 pep:novel supercontig:GCA_000165425.1:CT868674:230478:232110:-1 gene:GSPATT00026219001 transcript:CAK93896 MDQIQDNADVIEPIFKKLKDSFCTHQTKCVKFRKAQLKNLLRGLEEMEQQFHTALEKDLG VTMFSSQMTSTIITKAEVENQLAHIDEWSKEVNVDTPFVIGPGSSKIIYEPLGVVLVIAA WNYPLYTGIPPMAAAIAAGNCVILKPSELAPHSSKAMYDLVTKYLDQSFYAVIQGGVEVS KKITTFPLDLIIFTGSPEKGKLVAKAASENLVPCILELGGKSPTIVDKDCNLNVTAQRII QGRFTNAGQTCVACDYVFLHESIKDAFINEIKTELKRFFGENSQNSNDYSKIVTEFHTSR LQELLKDHQGQVIVGGQVNVNQRYVEPTIILQPKVDSKLMTEEIFGPILPILLFENINLV IEFINSRPKPLALYYYGSNSHHKKLLEQKTSSGALVFNDSVFHLLNPNLPFGGVGNSGYG AYHGIAGFKGCSHAKPVFSKSTLNIYPFNIRYPPFTKHKLQTLSLFFKFAELPQRHVINA TLFFSFLIAMKVAQKKGYLKKFLELSKPYIDKLWKNANL >CAK93897 pep:novel supercontig:GCA_000165425.1:CT868674:232207:233790:1 gene:GSPATT00026220001 transcript:CAK93897 MFEIRIRSKRSHLQVYSKFYLFRSIEQFPSVSPEVQMMKYNHYKNRMMRIINEIQATKQR HKLGDQSLKQISFNQQENNFSNLSEESVMIEEKKEKKSLSRQKILHNLIYQQLQEEKRNV EYLQKQNSKLQHHQNLQQRKSETSFTKKKERESNKNKSSEEVDELERRNQYFITCVENES RIQEQLQGRFLKKNFKHDNYTERAHEKKKQQETLFQQTITERLNKLQLKETQAQTKVELY KKDMKNYFALKNSQIINKQEKRSQLLEFEQQQYIKEQCEKMKKFQETKSQLILDEKKKFK ERKEKYNKMLRKCQESNFEQSNKHQEGFSNTYQQLLQKQEQVLLNKERFLEQKSLVLKDK LQLNFEDVEFNRAHAQRIKLNTQVKINGNKDTKSQISSRTSDTWRMKTQLTQKESENSLW EKAKQAASLFKDPIQYEQYVEQQQMILLKKKKSLDKKFATAASIIKEILPQHEAEKLLMP TGLKNFIEQQSRIKTQK >CAK93898 pep:novel supercontig:GCA_000165425.1:CT868674:233920:234366:-1 gene:GSPATT00026221001 transcript:CAK93898 MSKQNLKATSSTLAESAYMTEMQLSQYNFSLKVANKLLQVQIEITPDSLMIQAIETAIDY FTQIYYDQDINENAETYEVFSADQKGNPLKTKFTTEQLLQEIECKSFALVTKKKGTLLSI LKQPILKESTIKCNKEIPKFRYDTTINK >CAK93899 pep:novel supercontig:GCA_000165425.1:CT868674:234402:236465:-1 gene:GSPATT00026222001 transcript:CAK93899 MILGTEVNEFVSNRQQSKIHYLQEENKQLQEYIIDLENALKLNKQAMALTMDGMNKRGQT SDSSTMSMCYTNYQNSQLEGVIKLLNEENEKLMKGFSNQQKIAQQLQTKLLLQEQISEEQ QNYYKDLIGDLEYKLIDLKRNIHDKEYAIQELERMKPIQEREGQLVKSIEIVTPSEQNLK LHEELENVRNLLNKITTEAQSITETNNTLREVNYVQSQSNQIAFEERNLQNENSPTQLNE LLVYERVDFVFKEFLDKTDDNLDLNREVQNHRGKLESLHQELYGTNGLGYGFSPEPQQLK YLSKNERMIYQEKLHKMEMMVKGFKELFEKEKNANIHIRQLYNTLLSKYESILDTNELII KSNQLKDERNEKQQIELHFYKKQTQSLMEQLRNRKTTFNSIVLNQEQDIAVNIFSPRFQQ KTQPNSQQSSTMKTQQQKINECDIKSSSKDLQQQHNQSQQAQKQQNVITQKPILPQLEIN DSSSEEHAKYICQTARGDTQQLKKLNYQQQQGQILQYYDMTKQECIGFLMSMFNDYFKKL DFSNVKKLQLMKQIEEKPLEKKGIKRSYSNPFLYFSNTNNIAFNQPPKQSVVAITKNEIQ LLLAELQSKKQHKNDHQEFGNDLFSVDVSKIDIKQNKKRLIDNDLSFISNFEQQD >CAK93900 pep:novel supercontig:GCA_000165425.1:CT868674:236496:238227:1 gene:GSPATT00026223001 transcript:CAK93900 MGSISYNNNIKRGQLVKCKFQLHPTIYLKKIDYFTGNCLFQCGQTCNYISIEDYSQLPIQ TYQRLFERLFSKDGDYFYKLRNKICCKTYNMRIVLQKNAPSRKQMKSALALLDRLNLYGQ GQDINKKEKLQKEVFNQKDLQHIQVSQQKQAKYRQIHNQEKKTLFDIINSSIQLCKSCFE ELTQTENLNFTLQENDLKIFQHLNKIKFYSNANLLLFHLNQIPMKSKNYSLNQFNEFLGI ALNKNQTQNELTVQQKPKGFLTLIHSKEQIQNAQCQKQGLANQQQQQKPQKFIQKKQKQQ SNFKNKNLINPETFTFQQFQVGPLVITIKDLGQSRDIIDFIYQYHMVVHNRPNTDEEIIK LYCRNYLNTQTVLEDFKQNIKIKLGQRCMEYRLNGKLIALGTIILTQEYFVSEYFFYLPE LKNFNFGVFSILVEIEYAKQIQKYFPKFKYHTLGYTSFRTKKMEYKLQFSGTEIQCPDTY IWTEYNDQVKAKMISQDFKIDTPIQVAPLDFSKVTVQLYDVNVKANLVCDDQNQDEISKY FLFYANELGNELMYDFRFIYNEEIFQL >CAK93901 pep:novel supercontig:GCA_000165425.1:CT868674:238428:240154:1 gene:GSPATT00026224001 transcript:CAK93901 MGCCCLYTSTQTSNSQTQKNQQESKHHCLTLLKKLSSNKSSCLYECGKYQYIYYLKPINN FSIETMELLLERGFVRNAKYYRKYNHNQSCCVGYNTRVNIQENQPKKKQKAIIDTFVNQV IKQYPKVDLEGYALLNQEGDYPQQMNNQQNKKQLKKIQKHIPLEDVQDIMNQVQQELITC SRLINEEIDLNLDFQIKDQQQLKIFGNSEDLGYYTNHNLILFDQNIRALKSIQMVQQQFN QMIGAKLNEKVILDKYKLLQNKNGYFNIVQIRSEQGIKNNNKKQGNYSELKNKQAKQPKQ EQKEIQKSKVIDIIDHKTFTVNDFRIGEMRVSIVDPYTSEENAKLYLDYMAKVHSQISNL ESFQTYYSTRILLNDQFLYNQGANVKLNLGQRFMEYRIRGKLIGCGVIILTRYQLFIIAF GIVAAFVEIEYVKKINKYFPDFKYSSLSYVIATCKAMNYKLQFTGLEIQCPDSYVWLKYN KELKKLMQSNCSRLNKERQNKMNYNQDFSQVQVSVQNEIHEIDQLDPQTQMGVMDILLYN KDVLGEDLIKGLTFCI >CAK93902 pep:novel supercontig:GCA_000165425.1:CT868674:240395:242857:1 gene:GSPATT00026225001 transcript:CAK93902 MKHNKQQSHYVLDFSDIEDISDNESESSNKSNQQQQINQTTPTPNRIELQIPNMQVKKET VEIPSILIQEEEEPVIRRKLDFQNQQWQVNFDELSEQDQQESDRSAVAIEIPRDPSPPTV AVEEPQYIEQYFEDQNVIEEIDIEQEQLKMEQRKEQLKQDLITKKHQQQKQIPKVQQVQK EQQQVQDVNQQKNKNSEDKSKQVCKQKFYNPKNSLIYLRLKGREDLYKKQEYVIDEQTKP QDQDELLEGLECINNLLIGLSKIKDKKVGVVEKQQKILPLQIQKHRLDDKGDHHKESKLQ KKDEKEKEKDNEQQKQNTKDAQKKDQQITELNIKQQQLQEAQQARQIRHEKQQQEKEKQR LLEQRQVYKILTKKQVNQGLILYKCQLRQKQKDSIKWLRYSEIALISKGESEIFNFEKRI SDQLFMQLHKANMRSVVITKQAMFDVVTIHYVKEQKEVEEAKQKDKGQVGKNERENQIVE EKQIKEKKAIQNNDKKKKNQDQQKNEVQQQKSDKQQQKGEKQQQKGDKQQQKDKQLEQLQ TQQLQQENEKDQQDAKRTKNKVSYLESDEEKKDEDLLDADSIQIFKITQERQRKIDKKDK EQKQPQSNQQQVEEQKQIESNELNQNNNNNQNKEQKKVKNLKIKECPLSRLETNDEIKKK EQINQKRKEKRRQEYQQYLNEIEQEQLVEVTHSKHKKQQKETKQQETNKQQIKSSNKGQK INNQQEIQNLDEQTNSKDKEETKQSNSTKRIGRQNTRNHKSPSPSKESIEPGYVSSKRIY ENRDQKKKRLKVLEDEESEFSQQNVKQPQVKEQKKRVRQK >CAK93903 pep:novel supercontig:GCA_000165425.1:CT868674:243191:244391:1 gene:GSPATT00026226001 transcript:CAK93903 MQSQFPSQYPQFDDLEEIRQFITSKTNAIDLGLQMPPYLSSFYQPLRKQTFQNYLAAKVS DPNQRKQAIYKYIQLVDIEILSLFSEQIIKPYQNLILVRHYGIPQDLDVEKLKLDNKTLG ALNDLASSIAQWNLQIEIAVDNITRVQLYDLWNPTGKIKEAQLTAEIKGILSPQDDFDFK TYSKHILIRLLAQGADKFGKYLYSNGLSESNLSYGAALVIYHTLVGKSALPWNVIIPNVS LKWLFGGLVGSLALGKVSTYLEKCDQVQQLSGCAEVFQEITSFLINFNETISVAISEVLL TVNEEQEKQKKQNLGNLIDQFLESPESIKDGQTEIITCEDIIKHEQDEWQIIQ >CAK93904 pep:novel supercontig:GCA_000165425.1:CT868674:244398:251302:-1 gene:GSPATT00026227001 transcript:CAK93904 MWDFVFEQCKESDNYLIGCNIYINKVGCTSQLGSSIAKVAYCKFDGRCQQINDLESEQCS DNLNRYGCLAIQNPHSICKWENNQCLTVTGQDINKIQENFNVVYYSSNACQYVTNYLVIH HTVLWELLSYEPDLQWEVNQYILNSAQKIDQDLSLLQNSYTRNQFFKPFMFGEKYQTESN RFRLGCNAIDIRDDDDFNLLINLSQDTFGVNHLYCKYVEKNTSFVIFMNGRCQQVSPALL ATTSFIDDNQIQCKQLSYQLCSIYKSKTRKCYIPNKTQEFTCREGESKVDLDQECMLQRD FEPYYRCEQIKYCYFDINKQYCSNFCFSLRKKPDCLSSGICLWQGIDENEQNDLLSCMPL YGCNQLGIDIYYCKYLQDYCDWQDGHCFSMSEAYAFSLTCQQAFNKYVCLKIQKSDQHCI WYNEQCINFIDYELKYLFNTLPYVYKMNRNMCINFRKDNFMFNPINYQCEYQVKLQINCN NRMINKYHCYNIVNDLCKWDDYLNQCMTILNSDTYYCNQLTNVSFRVCLNAKVRNPTNDC LYDWESFSCYEGSQQDQLIQEESDCYNVNVIKQFRQWNQGKCVELSIQEVNNIQCISWRN VNRNVCVMNVYSNQPCIYDEKLKLCYNDIIINNCSSNFNRFACLQSTSKCYYDILNDKCM DTSQEMLSQLSCTAYVSQSTCKSIETQNQKCMWDTYFAECRQYDQLFQYCDQFQLNRQAC TSQRASTSIQYHYYHHCQFNESTLNCEVRNDVLTDCGASQSINFHRCVQYTTGTCLFDQN KCFDVSNTSSRLDQLYLSEMLCEQANADACKQIANPSQGCKFTTFQKFDYIDTRCTNQEF NKSTCTSLAASTSTNKIICSKATDNCYYSTTSMKCIALTSSIIPYCHLLAMSQTACLQWT IGKCIFYQDQCQYHRTHNPVACEVRNYQACITSNQLKCVYNSNLKQCLPQSFDCIKRVDS ILTYSWSTCSMSAQNCYGFNSQCIVGQVNNVPCDLPGLSQSVCKSQNIYCEFLNGYCQFQ LPRTCNEIINKDQCVNNKLKGQYCVFHNSICFNVTELDSFTCNQFDYTSFHFCKQYPNCS YDFTTNLCQIKETTLSQNCLDNNTNNLLYQYINNECVHVESNIPSCLSIQNIQVNYNLCQ TISDNDNNQCAYDFNTHLCKLTYYSYFNSCSDIQSEIQCIFANLACYANYVNSVYQSCTS SSLSASSKINRYGCVQIETAAYLFNNDDWTCPTVSIRTDNLKLMHYTNQLNQKACYLLGS VYSPTLYLRWYNKECVELTLKQATYLTSCANLNKNACLAVARLNCQWNSNDNLCSEDTKT QFAVTSCEAQVVATNLNSQGLCAKISGLKCIQKSNQQGCESLATTTYKSVFKCTGLGLNR EACLTETKLANCYWKDDICEDANFILQKCEDDVSEYTCKNITRQICQWTNSKCSIKIEDF TSCQLSNGYINFCRNNQNLKCKYNQQTNSCESFSYTPVECDTTYSKFACRSVINKTCEWN NNQCQEILEITSCLSIYNQQSCLLNDVFSCIWDTNKGCLEYVLNHKVDFFNLPATANKNV CIYYSKQETTYVQYKCTNILNYNQIQYKSSIDLGDPIVNIACQSQLTLSDCLQLHTPNTY CQWIHNQCMNIQDDSTFSTLSCNADINVWTCLRITNPSQNCKWSNLRCLNYQEGDQFMIN VNINVCRNFSQNRVYMNNSCIVLDDIHCDSEGISKFTCVNNKNYACQYVDNQCVNFQIQS KYSQCSEITNVSIKACSLIPQLKCQYGNNNSCVNYVDNTKLEGISKFACLSKSGYYYWHA DQCKLIDIKLYCDDDLQVTRESCVLIKDKLCLFNSANQTCTSIYNPHQLTCSTIGLNKQG CMKVLYEPCIFKDNKCQYFDNLQESYISLSNVNELTCRMLANGYVEYNEIEERCVYQLTK TKCDNLNINACKQLSYCIWNHDFSKCGCPPSTEVCYNLSKQDCQMQNSCRYQQNVCSFKQ CQHLSQNECEGQTLNNLKCYLNKYKQCAQASRCEDIIDSYDCQNIYFKGTACLQIVNTSQ CYSYNNFELICKYSESCLNQYCIFDGTCKLKQCNNIKEQQECEFTKNCAFINNQCQLIKS CQEINDPAICNQLTVNNQKCNWQQQNLLDTTKICTSQSCSMLGTSSSLCHGNEVNNYACV LRNYKCVQCEFIKEVCECNSYKGICYFINNQCSSLLCNDLMTSAICSQSPKCEWSQLLNS CTLQCNYNYQEELCLVRKDECHWNQYKSFCQSGPESIPTLFNSTKSNQNYQQHLLLPLVI MLYL >CAK93905 pep:novel supercontig:GCA_000165425.1:CT868674:251538:253529:1 gene:GSPATT00026228001 transcript:CAK93905 MNEEESTSFHQLSANDRASNLQYMQTWFLNQKLAITNTELLTTQIFEDLKKQCELQIDIL QSSPEFQETKLLLNSFYAQAHRINLKIKRKTEPRSQFQDRIKYYKVNEDEEVEKNREILI EVKKKLLCVIRISKAIPFVINFIKSQNVRDKQYKLTLMVEDALLDGINWYLMLHNIKDSQ WNKELPQECKLKEILHQLLTIIWQQRQDLKNELNEIFFRLKKTQLIKLLDKQGEIGEKND EFTQQSTQILKQLQEFCSGLETIKLSPNKANGLYYLQRILLSYCWVKQIELSEILYHTRI SGRLDKLKQDKDHSNVIRLRQKITFLRFVYRIPAVKMWCEQNQIIPFEMQSNIPQYLQEE YDQFMSDKKEKLFSDTKEDYFIESQLKFLVKNFQLYKNKIRVIFNDLRFIQNKQEIYELY KEYVYDGDIDKVQNLQFQKQQKDIIIACCIRNLEIKLSNEDFTKSVFPLIKFNFLLKKEK HFQFQQSSYDKSYYSFLAKPSIVKIFLIDILALEQPFPYIFEYIYYEDGKLQQSMKSTTL QGQFFYCPQVREHLSKRYQFNIKLIESENRVTFTYLPTIHYDYVKPAATILWEDILLQDL KLIEFNRHQLVDEINFMVHSHQFQTIKQQISQESKYFSDHLKIMNELEVFCSLNYNGKKL RCI >CAK93906 pep:novel supercontig:GCA_000165425.1:CT868674:253733:254419:-1 gene:GSPATT00026229001 transcript:CAK93906 MMPPFTPDARNLGGRNMISQQIWNHLQQLKEISQQIPQILISPRKLPNSPKQSIEPMNCK RVNRKQDYLLYPTYLFRRKTISESFTSLSPKNIRDETQLLFQEPLLRRQKNLKSPQKIFP FSTIQLGSLYKIKTNEVKVNKKNDQIPWHQVELTVKDFNIEYEDLLNEIEQAILDFGIYS ATDYQILIQMILRLKPQIPKMDLLNIFNELIIKQQLQLS >CAK93907 pep:novel supercontig:GCA_000165425.1:CT868674:254584:255152:-1 gene:GSPATT00026230001 transcript:CAK93907 MNSPRAETLDQELSEAINKIYNGCQKINAKHLKIRILQWLDKVRTPTHNFIWKQNSLLYA RVLLEMTLEQQLDKPFRGVPPDGPLPRLDKFDVPQHIWQKIKQINIQQPMSPRKPLGMLT NRNFTPKLKTEPTQFKSTKFHNSQKSQKWDQIKETLEGANRNMREFKQFLENIQTEKLFV >CAK93908 pep:novel supercontig:GCA_000165425.1:CT868674:256337:258813:1 gene:GSPATT00026231001 transcript:CAK93908 MDQNNWIQKSSVQEFGIDKSVQQQQQQGQPPASLIRRVSKRNVAIRPTQETGMAQIYETF HPEQKAQTLDDIKFIIKCFQSHFVFSSMTETQLTQLAHSMFYCKLQTGEAIIKQGDGASS FFIIQKGKINVLVDNVPRKELTTGFGFGELALLYNAPRSATCMAVEECFLWGIDRHTFRK SIETIMRSEQEKNRKYLESVKFFNQLTREQKDAVAGVLISQKFNQGEIIVNEGDQASSFY IIVEGQCGVFNKDGQQIAVLNPSDSFGESALKHENQVRMMTIKSIQKDTKVLALGKDMIQ QILGDQVQSIIYKNICKWALNSSKLFSKTQSTYQDKLLEGVQIKKLAANSKVITKGDKVG QLVILLDVDAVDETNAKVLKGSILVEDTLQDKLQGTSHVKTFTIDGEGHVAIIDYESFRK AQGSVEKIQQGKAQQSEKVNAYEEQIKSQPFKNLIYLNKLGSGQFGSVYLCKFKELETLF ALKYVTRAHVQQFGIQKHIQQEKAVLELMDHPFILKFYRSYKDNENIYFLTEYIPGMELF DAIRVIGLLSKYDAQFYAAQMLLQMEYLHTQHSIVYRDIKPENIMVDDKGFLKLIDMGTA KSFKNQQSSKTFTIIGTPHYMAPEVISGKGYGYFADLWSVGVCIYEFICGGLPFGEEAED PFEIYKEIIKKPISYPHFMSDKSAKPFIEQLMNKIPEVRLGGSYTTLKSHAWFKDFDWEK LWTKSLKAPLLPGKDKIMTPAQIQTGQQRGVLVHDQIQKDTSGQKKVLASAKDAEWDSVF >CAK93909 pep:novel supercontig:GCA_000165425.1:CT868674:258923:261757:1 gene:GSPATT00026232001 transcript:CAK93909 MQISQNLRRCINFHKVVQQLYESGEINFKEKNKLKTEFNTNNEDILYLIEEDMDKEDFKQ QLVEYIKSKHQSFKIPNSPGLRRKSLLSESSPTIGRKSGFSKESKITEEELIDHITKLRE ALFEVYQSRSSAFTFLVQDHLKSLSQFISKISNKSPQASLNLLQEQNIAEDIEQYYKQLK NQFLNTHSLLINDNIVSVASKLVQNLLNCDEFTFINPQYGIYDSTKNSFNNIESDDYLQD LISVTTNVNLLTENQSKLSLMFAQYSRTYTLRIQDECFFYHQRQVRDTLLNLTLDYKCLN EVQILSKLISKATIEARVQLISPIQIGNMIIDIGVEFVRCSKYLFINQIINLLRTKYTIE KKEAESQGSFGSVSCTNLSPTSKQAKFTKIQFKDILPIEITIIGINLNKKDDLQIYKTIT NLYTKYLKFIELSYDRIIFYKYFIKSKDSIMLEFDKEGKLAFLSRPIPPSLSREFQLSYC PRGHYYQIIKNEILLKVIEQHLENKWNIQYTDQLYEIFMKARNKKFKGFAIIFSEGFLQT RSLETEAKKIKLRQEATQYLSNLEQNNPEIKDTILSFYIPSQVQVPGIIHKKKKSMINGL IDTIQINLIDLESIEKEAICIDQIDSFDFNIFKIENNSIEKQRIVYQILNRNNFIEIFEI DKNRLCEFLGELEYRYDKRRNPFHNYNHGVNVMHSCHVIMNKMINQPQYKVLFDQLTKLS LILSGLCHDVGHTGRTNIFEINNFSEKAIRYHDKNVLEQYHAATALKLLLKERMNFLKNV DFRQFREKFIQNIIFTDKQEHFNLLKFFEANNEKDIKIITGMIIHTSDFAGASAKWPISK QWSLRVNQEFQAQFEEEGRLGLPQQPFMKDLQKISVLSKSEIGFYKFFVRPLYVSLSKFM DHQLQDRIDNIDETIIEWDSLASQENQQQ >CAK93910 pep:novel supercontig:GCA_000165425.1:CT868674:262050:264003:-1 gene:GSPATT00026233001 transcript:CAK93910 MAFVYQAERNMLNKPSGAPSNVKQIQLKQVGPGSYLSHEQFRIKQGNAPFDTQVTRTQQL RQDNSPGPGSYNIFNDVQGYISFINFHLRQNIVLQSSQSDVKVVEKPQPQSVFASQSKRF HHPKTMLSPGDFSPGTYDTNNSGTKVIQQQYTSQHYIETIMKLNKYQSIPSIPSSNQVYG YLEKGTHDLEVNKFPFPQFTGLQQDSVGPGQYDVKDAFQQNKYKGSFWHKSKAPRLAPTI SKEKELMVGPGTYDPNTSVVPLHKLNPSGNFQSKSQRMFDSTKEEKQKQFMKIFFDKQKN MVQKNGANYIEDDEYVFCDDTTPGPGQYLGNISNQSAISTNTQSTTKIGKNCFGSKSRRF QEKKMPCYVGPGDYELEKDFIKEAISSKQTPFQSTNLRFEAKGQEKRPGPQAYNPKITLE DRLIKKLERAPIGNFGSNQQRFKPQEDEVPGPGAYDQHKKEVKTIASVFTSKTKRGDAAP VSKDHFPAPGAYDVKNYTIEQMTKVEKEEDPDLAINKPAFGSSIPRFMHKEKKPIDEVQD EQEDEQIRMHNSSSLFQKKKNPHPPFNIKENRFNYDKKDDNLPGPGEYFDPKEKVWNKST FNILFQEI >CAK93911 pep:novel supercontig:GCA_000165425.1:CT868674:264051:265403:1 gene:GSPATT00026234001 transcript:CAK93911 MKNGQQILRDDIKKLELIHKRMNEQSIWKNFKIESLLQEINNRIQIILQILESDYKREQQ SQIFRIQSLSDINTQMLENLDSDLLYSFQLEDMCKEQQVNQLQGFNNKQIDDQEYITRPQ TERIQKKTLQTQSLPNQDKKIISFQNFADHQQRKVDNTQQINEKGYNQKEIQKNQEIEQK SQTQKQNKQFQQPDQQKGINIAQINNSKIQQQQQQQQQQQQQQQQQQQQQYKHNNLPNTF KMKENMNKFKMTIVPQAQAIGNIDKCPICQDQFFSGIGAQDEYVISLSCSHQFHYNCLEP ILNHDHIKCPICNKIFGKMIGDQPDGEMTVLKIKKICPGYNSDSIQITYNLWSGRRNGKD YQGATRIAYLPDNQKGQQVLSLLRKAFDQKLTFTIGTSLTTGQDNCIIWNGIHHKTSLDG GIQRYGYPDPQYLDRVLDELKQKGIVPNQS >CAK93912 pep:novel supercontig:GCA_000165425.1:CT868674:266467:267850:1 gene:GSPATT00026235001 transcript:CAK93912 MEYLTNEKLLKQQQSALFSINNSRSNSVSRFPIPSSRATHDPVQPLRSADLFNRLEDILK QKQMSTTTRKRQKQISCILNSQATHEAKKHYIENSCYKSPNRIQEVPSTSGFLNVYNIEP RSRKQTQPAYIGSPLLNQQNQSAKKKNVIQTQFQESYLQNRKKKESLKKFQDEFNNMQEK IKIRNHSILNEQPENGGRVDKQELKENKMEINISAILNKENNNNTINKLNQQLQLQNNHL QEQLLFEKNQNSKLNLLINNLNDQIAILNKQLNQQSQQSNEDQANRDTQIAQLKQQIQQL DSNLQQKDNEINKQKQQILEFNNVYKEMQELENLCQQMSYLSMQTSQQNLLILEIKELLQ IQFNIIEKVTNHKDFPIEQLLIQNKKKRPQIPKQVDYQELSQETTRIMENLVKQIKSSVD QLTERFIHDLLI >CAK93913 pep:novel supercontig:GCA_000165425.1:CT868674:267882:269121:1 gene:GSPATT00026236001 transcript:CAK93913 MGNVFCYERDDDNIEWKQQLESTCQVMKKQMCWAEPILIQLKDLRVSNKYQKQLHTFAQS YDESSLAEIQKSFRSRQSSKESRNIQTIIKRTGFTEEDLVENFIKPSRASFNLSIQSITP SYIYHEKTSNVGMGGSQVNFEDQMCFFVQITDKIKEHFDQPNELGVMIIHFRNSLNQQHQ NRSFQYLHEQLTLFCRTLYDTIVIYYDMISIKKKYQAHAVLLNEETMLNFIVNYVMSNEG IYQVLYNSLLKEMSEIQKQTEQCFKQNKNITVEDMEIPKDFQLKSYVNPYGKAIQTLQKL GKKYGPSSKVKLIINFSQQIQQHAREGNENRGTSLLMQADDLLPIIKYILIKSQIYDIDV HLTYIEKLITNGMLNSTSGYYVVTLQAALTSLRSNLNQQQFNQQK >CAK93914 pep:novel supercontig:GCA_000165425.1:CT868674:269400:270982:1 gene:GSPATT00026237001 transcript:CAK93914 MQQTYNPDERMHIELFLAARQLPNLEFFSKSDPYLELYYSLAGQQEQFLGRTETAECNLD PNWEKTFEIEYWPDLIQMLKFQIFDQDRMGREFMGEAQVTIKEILQYKNSLIQLQIKRYD KPAGSLICKAVLFKESNHSVQWQFSGINLKNMDGIFGKTDPFLKFYLFSEGVWCQIHQTE YIKDDLNPKWKEFEVSLQRLCFNDENKKFKIECLDRHEKGKNNQIVGSFETSIDEIFNKN VDSFQLIQPKGGSAGTIKILNKKRIYRANFDDYIKQGTKFNVIIGIDYSSNNGVPSFPDS LHTYIEKNNIYLKAVNDVAKVLEIYDIKKLIALYGMGAEPHFSNYNCNSYQTLFPLTGDF QNPQVTGYQEAVNNYQSKLRDIVVKGDLQLEDFIKYVQTVAEHNAGKLIYTIAVIIGQEQ ITDLQVVQNLILSGQKLPYSLMYVGVGEDDFKDIKQINDLINQQNPPIRNNFTFYQYQKE LPSSLLKKQLLNDLPKQVVSYHQ >CAK93915 pep:novel supercontig:GCA_000165425.1:CT868674:270986:272375:-1 gene:GSPATT00026238001 transcript:CAK93915 MKQSQIFIILVSCNVVCNLAMSIIAPFYPQFAKSRDISEDIIGIIFAAHPLGQFISSLIL GKVITHENRQKIMVIGISQQGLGLLMFGFLYYFESYWVVLLGSFLARLIGGIGASMFMTP FYAFIPQLFPKQIEEKIAIAEVSTSIGFLAGPILGSALYQLGGFILPFFFFAACSFGLAV LLIVFSKSLDVPEVDLSQSIILHQSIQNQESIIDADFSISYLTLLCNYPVVISFITNTLT LSLWTFYNPTIAIYLLEQYDIQEEYSGYWISINAATFGVSTIFISKIKSHKKYFMYFGLV ISGLLQFYMGPDYSFTNLNPRLLYTMLAWSIVGFTAGFPYVLTLPQLNQILIKDYSKYPT QCANIASAMYVPKIYSSFNSSLAGGELFGPILGGYLTKWYGFQRSASLLGFAVLICCVCY IPYLFYLDEKLARIKAKKSKKVGLKE >CAK93916 pep:novel supercontig:GCA_000165425.1:CT868674:273014:274451:1 gene:GSPATT00026239001 transcript:CAK93916 MNQNQVLSRLSCKDINDYSFIKMLGTGSYSEVFQGKNKTNGEETAIKVIDKVFLAKQNKS HLIYLESNALTSINHPGVIKCYATYESNTKLYLSLELMNWGTFRDFIKERQLTTREIQFY AAQLVVILEQVHKRGVVHRDVKPENLMMTQDKFIKLIDFGTILLFKGNEVIKEESDIKFC RKSSFCGTGEYLSPELLDQNCCGPQSDLWALGVIIYELFTGKTPFQSDVEYIMFQNISDK EPNYDLIKDQTAVDFIQMLLTKDASTRLTEAIENDCDYSILKQHPFLSNVNFETLWVEQK ETQEQPKFERKNILRGKTTLVNDGVSLILSGIVDKHSGVLMFGQYTPRTMQLIQKHFSQE LFYYNPYKKKNHQIELIKAKCKLGNDGEFVITSNNKKYFFKQREHPATQWVALINNAISY NKQFQQ >CAK93917 pep:novel supercontig:GCA_000165425.1:CT868674:274804:275479:1 gene:GSPATT00026240001 transcript:CAK93917 MARRGQQPPQQQQQAQPAQKNQAGKFNPAEFVKPGLTEEEVLEIKEAFDLFDTDGTQSID PKELKAAMTSLGFEAKNQTIYQMISDLDTDGSGQIDFAEFLKLMTARISERDSKADIQKV FNLFDSERAGFITLKDLRKVAKELGETMDDSELQEMIDRADSDGDTQVTFEDFYNIMTKK TFA >CAK93918 pep:novel supercontig:GCA_000165425.1:CT868674:275517:276590:-1 gene:GSPATT00026241001 transcript:CAK93918 MNPYCTMHKEDIVNFCVDQNCQTRCLCKQCKHEHETVPISYLIDNIFVKETISIVNLFKV MIQKLVQSIETDLTAQLQEIQYEKPPNEITQLSAFLKKKHQIFVILKDSIQSKLQRVIEA IKQPTSSTKTQFPSSLNSLPFMDRQDGNKQSSNLKHFKSEEHIGNSHPEKIDENLMLDKL EIPRLKTFNEENWPWRLEQGAQFVMIFTALRNFKLLGFKQPMLFCSQVLNHQRKPVNFNV GLYHKKNLQKKPIYTESRTIKHKHEKVIDRCYDIVFKTPQKIQMGKEYSLVIWSNSGFYS HYYSLPAPANDFMEFQQQDYNDHPKIKRSELVHKVISTFRAGLIPAVYIDPRQENDQ >CAK93919 pep:novel supercontig:GCA_000165425.1:CT868674:276691:277218:-1 gene:GSPATT00026242001 transcript:CAK93919 MAALSLDLFNTDSYIHNSHMEEENQDEFCFQSHQEYTKQPTTTTKQETVTIKEADIKYKN LPKMIGNNLVKWLKKKYPDQSKIPKGLSKIVEMRENEKQSNIKIKDLRESVISDEDSKVV FQEYISDQLFLDLLSSNKVADPFSYIPGISNYFSAANEPEKMKGNYMMRSLFISQ >CAK93920 pep:novel supercontig:GCA_000165425.1:CT868674:278099:279418:1 gene:GSPATT00026243001 transcript:CAK93920 MNSTDIYDTTLQFETDAYSSSISLKCDNLQYYPWSSTSYGQFDVTSEQRRSLQCSDTNFT IQLSNDFNKEEILISVYDAKYFKKIAIFKFLSDNTYVPITPLTEDQAQLSALSYTNNDFN ITLYTAKTIYLEVFKCQNSQSQLTMKGYDSNYLVHNVTKMSSKEAFYDILQANQGVYYYR LSQSYYSSPDVFIKYQTAPFFSIDQNKIKEYGDKYYSVYAYVSSRKIRVSFPSVQVTNNE NVEFTVLVSRDAYEGNYVNCVNGDFNLFYKYAQTYSSQLRTFYQQRNYGTQDVEVDFPNS ETGWYNVRVTAVVDHGYIKQTITYGKYTVYQDYEIFPDTLLVHILAPIIISCIALIGLIF GACKFSAKKKQMQQMELLQQYQSNINPQY >CAK93921 pep:novel supercontig:GCA_000165425.1:CT868674:279454:280252:-1 gene:GSPATT00026244001 transcript:CAK93921 MQKFKHTLVTFKLCPYSMRVLALMCYKNIKFEIKFIEMYSKPEWFLKISPLARVPILIIG DDIVLFESDAIMEYIDEMTPPQIMPSDPIQKALDRGKFEYASEIMKNMSIFVFSQEEEKF FKHKELLKTNFEQVEKWLQDKKFMNGEQISLVDFCFIPIFVNLSLFKPVIQQCELTKNFT KFNKYGENLLQLPCSKAGRVPDYELLVVEGVRKVNSYLYRSNPCFFKSLQQNSNCQQGK >CAK93922 pep:novel supercontig:GCA_000165425.1:CT868674:280637:283123:1 gene:GSPATT00026245001 transcript:CAK93922 MKRRPQSAIIAKIIKDRQESGMNNSQLIYIKKQTPQQVPPKEYFEDQEQMYFANLSLKQQ CNELKFENSKLKASVSQLKKQIIKIEKIDKYQANCDPLGVIQGGEGSIVPILKAKVKEQR NQIEELQTDLHQQYKSVKLTKLSELQREIENQQNEIMKLRQVIQSALNINDQDLVNDPNI IEKLSKFTITVHQQENSIQQLMKQNDKLKLEYQEMSNDNAKLLEEMKQLNFEKINYKKQL DEQTITESEMYQQKKREFLEMKLAATLKQLDTLKGELSLYENKSKLLQKHIKDQEKEFKQ TIMELQKQKQNLEEQCNKKDIIIQDLNDRINILDLLKQKNSPKPSESPLTLQLKKSFFPP TTYRDQMTQFPEKQNQDINQDVNCKPINKNKLENLFQQLKFKIISQKLTKERIHFTFHNK EFIQLSDAIQVFMNAPYNFQKSDSIILGRYLIGEDQGLSNSIQWQDTQEVKEIVEQFFNH PKIKSQYLTFQTILKQNYYSRAVNEKLIDDFILLLKNNFKFTYEQIDYMLMMNYIYSNEV ENIDINALRQFIIQECDLQTTLHNLTYVCQDSVRYVPKQIKKVSYSDDDEFNYPMVESKN LKSNQKQKDDSKIEIKPPELSNQVQNIITSEQYLTFSFSDQQQLKSSESRKPSNHNNNNS DIFQYSQSLLPMTTLKRPSDLQQMSQDNSIQFNITNQFQSPQTNKKRTNQITEFYPGKEI ADQRNIQYNVDNDTQITDINKKEDDYYEEILEEYIEEL >CAK93923 pep:novel supercontig:GCA_000165425.1:CT868674:283289:284970:-1 gene:GSPATT00026246001 transcript:CAK93923 MQINTSLIQAQRDALTPILKPDIRIPFKILCYDSYCEKRLSTIYRVGDLREMCITLHFNL DQIRERLHGVTAIYFIQPIQTSIEKMVDDFNRDLYSEVYINFSSPVENTLLEYMAKELSK ISGAVQKIQKVYEHNLDFVALNHNFFNLENQVVNGLFSIIIALKIQPLIIFQKNSKIEQI AKELIEKLKQFEINSDYPLLAIILPDRDIDLNTLIMHSNTYGALLHDTLNIQYNKVKLED QVYDLSPQYDDLWLQACNLALPYAIDKIDNELNDWNTQYQQVSQQNQEISSALNAIDLVP QMNQQKKMVDSHINLAKQLTQIAKQKSLDKFYQLGQSILQNDTVAINDYPQSEDTQIEQS KEIDKLRLLILMLLNNYNQQEFKKFEQLFSIKNEKYLNILSNFKQRVASNQNNKQQSAYK SLFAAITSKMPNSGKGLLKNVQNFLSQGKKSAIVQMLENLFLNELKIDELQSILTMYDSR VKNISRLEFGLLQTIKGILVCFTDGACYNEYAELSEFAKSIKKVIIYGGNKIYNAEEYLL QFQ >CAK93924 pep:novel supercontig:GCA_000165425.1:CT868674:285129:286402:1 gene:GSPATT00026247001 transcript:CAK93924 MKAAYIVSAARTPIGCFLGKLSKVKATDLGATAIKGALSQVSIPQDAIDEAILGNVCSAG IGQAPARQAVIKAGLPSTTPCTTINKLLLGSQSIQLGQSNIVITGGFESMSNIPHYINAR QPLKYGDGKLLDGLATDGLSDAYSNVAMGVCAEKTVSDLKLTRQLQDDYTITSYERALES IKTGRWAHEITPVKVSEKEIVTEDEEVKRYQKEKIPILKAVFAKDGTVTAANSSKINDGA CAIILASEEALKKYNLTPLARIVSYADAELDPMDFCIAPAKSSAKALQRAGLKLANIDYH EINEAFAATVLANMKLLDLPLDRINVNGGAVALGHPIGMSGARIILSLLTVLKQNQGKYG LAGICNGGGGATSIIIENLN >CAK93925 pep:novel supercontig:GCA_000165425.1:CT868674:286893:288298:-1 gene:GSPATT00026248001 transcript:CAK93925 MIYDSYVKLCIQQEEDTDGCSEIVNLGSAKTELYKKDDYQQYIINMVHIPHLIVGAGPVG LILGHYFKRFNIPFQIFEKQSFLSAHPSAHYLNMRTMEILDELNIQLPLENIQHFSNYVY LRRIGEQPLNSTRQLIDYKQYSYTSYAHLPQSLFVQHVQEGIRDKIQLNKEIKKIEFKDK IIKVNDDITCDYLYGCDGLNGFVRDYLKIKLIGNRDLQRFLNIHFTSKQLVNRLEQYNSM LSFIYNSDITAVIIKHRIEDGTFAIQIPLDLLTGKTDIQEVQHLKQDHVLIKNLINKTLR NQVNDIEIKSVGFWRMGAVYAEQYYKNNCVLVGDACHGMPPAGGFGMNTGIQDAQNLAYK VKEQKDLLYYQQERQQIAQDNIETALKFYKKSLDIAKSFTFDINKLKIYQSFYEKHSRFF ILGWCETRGISYRIGSNLQENISE >CAK93926 pep:novel supercontig:GCA_000165425.1:CT868674:288369:290149:-1 gene:GSPATT00026249001 transcript:CAK93926 MNCYQHNSQTTHVCNKLDCKYKLACNSCVNQQHIGHVDTNVTPLSKFVDRMLYEFQRSEV ERLKRIYFKEDIIQHIKKEFQYLKEKTNQILNQREQKLISLIDNQWIHSKQLKNIIDHIE MMKDGKVYEEGLQLVLPILQQGSQDVINKYEKDKIRIVTKVREQINQVKTVLDGLFYIGN QYQLDQQQIKLENALKLENTLKSREDRLFQSAIHLSNPKEYHTSKPYGTYQEFVDSLYPD KQQEYIQSIDYTSLLARPTKFDNTQLQFEKTENFQLYGVIDHLTYVISCIRMKNGQIVTC SKIVSFFEYPSLKLMQQLGNNQNIKQVVEITQSLLALLTQTQVLMYEKRKDKWIPKGEFI TPNIGVINKIGLINDLFLILVQTQLIVYKLKQQIIADQPIEYLFINLTPQVYMHKYDCCS FAIFENKLFSADLGGRLYQWKLGNVFHVDNVIQSSNNEVVGIIPMHDSRLITIGTDGVIR LWDSALQLVTTVKHRSPFTASVEGNELDTFYTGDVSGKIQKWKVTVLIESLIELDAHNDQ ITDLQFSTYLISCSADKKVKIWITQK >CAK93927 pep:novel supercontig:GCA_000165425.1:CT868674:290221:298001:1 gene:GSPATT00026250001 transcript:CAK93927 MVPFIVLLPFVDKQERKQRVIVSWYKVSYLSIINWIFYCIFTYYLGYKFFSEEILKQFKL IMKCHLIISLTISVYFVIGLFLSLLNSEIQLVMIERLNFNSEIYIFGYESVFALMLCQLL LYLAMVVFLLFIYWNAQMPQYEYVEQNENYTFQQRKTSYLRQQSIMDKIIMLIIVQLCLN DGLITLFLVLCMLVWLAIHFHILNKRSRFRYCQIVIKSIIVCSFVALLMLILSGQQNYSF STLNGTLNIYRIFRIFGILIMTTILILSMRAYHYQMIIGDEEEIEDLEKEIQKDMRLKIS SLEILLGENYFGVIMILSVFWISKQFSILRGLVYLILLVGQLKRKHYKATRRWQCAIAIL YLAFVQFFLLKFAYFQYLGVYEQPQFNPNELFAIWNYFYNRFNIIMIDLFYILIVSWLVY SQQTIDDRRRQKKINDLDSKDRPNREQKQSCWSNFKSNLSSFSYQIQKSFLIHFDEIVII YSLITALSHADIFRGVFLIFFILYAFAQLEKRRKFMRNHLSIGYIILFILYSMQLMFLGS LQTLNFIFKFGGLDEVTQQFKFSFYFIYKYYDIIIGCVLLTFQIQVIQKSDHYQEYKKKL NDYNLDYLRQILQTNGLIFCQIIALIVAFIPPANLLSLIFIFFFQLFLYLYYIHGQLNII MDRLYQYWPLMITLTLIILIVRYTYTIKFFYYFVELYFQQPTLLSDFGLDPNFNTLKLAG TGIALLVLCAYKRAYLAPLIEQQKQQKQIYKKGTLAYYIYCIHIKLCVYSVYHIQKVTFM FSFFISVYQQSYIGFLFGIMIFLIMLNEMNNNWQNTSIPFLVIIFVQILIQYIFQAELLR NAQGQETISWIGIENQYQNKYWYLLIMFHLSEMLQVLNVMFKQQVNDYLQLFLISTDREI SNLNLLQQLLHSLQENIIQEQNSVESDASQQQQIELQARDENSQESIFYDELDEQNERFA KIQSYYILKSSMQQEQFYYRVYPFYRALKFHQSVDNLFTQIGLEVCLFFIVITAYYQRNF FSVFYIVVASAFANKSHLLDRHQKLGIFGQAWGALCILQMLEVIRKYATLQWTPPSWDVR KPWWYFSYSCDPRGKESFNNDDPDDSTSDFMKCQTDWNYWLSLQGYTNWDLWINFIALLI SLCYYRHFKTVQRRQQEQEIQDHLHPEVEQIQANVMDKNDFTYEENRTKITDFIKFFIFC YFYKFALIIFLLIGMSSDIQSYTEVISCIYFFLAINLLYMSEKLEKDRNIIWGKLILFNT IVMIAMSLYQAPFIKCPIIYENDRYYYDNVECVQIQLNNHYYNSDFFIFLQDEFQLLAKQ TNDVFKKYEGVSTLDVIYMFLSHLLGLNKTLDFRFGFCKETLMAFFFLLGLLQRNIWAHP YMKQYVDPYLNRRQVQLQLVEGIHLKRIWDFKYVQAQKQVHESLQQRVQNRVEKWDNFFQ FDDNKSLKKKSFFYLTPRREEQFQIIQFTEERSEDNQQHTSFDSEKFQGFKGEPKKLIKA ERITQQNKAPVIHLYDACCIVKNSINKKDLRLRLKKLMLLEEKRQLEKVYLNDRIGLTNY HVGVIDEIDRKIIQVDNEIAQLFKVQQLRKSISMRDIKMIPFEEYKEDRKSFEMLSERDE RLQQISEEESAKDFKKPEEEQSDDENSIVQPQKSFSEKLKDLIIYILTHDTYIREQEQQQ NEIDKKKLSVLIFVFVAHYFQVVCVLLMVVNACFNANIIALFYPFSAFLYGLLENPVPSR RYWNFLLIYTIIIISLKFIYQMPIFCDSPPYRFIGFSQEEACEPRVTTQQEKITRIDYVI GIRKYQGAETFMNAIWIELLLFIAFLIQRYLLQSQGIWDYMKLSLDQYFIPQFQQQVEDQ LSEHKQESSSKESEQESDDFSENHQVQEQQKEQQTVMISIQDTCTSVWQQIVKYYYRLFP HALSTIYIQRKYDPATKQMIIKDGVVLPEQIKVGRDFYAPGFIICLIILVYFLLFYPNII AKRSYAHITQGQSQRFSSEVIFVLLVVIAIIITDRALFIMRKVTKDPRNDKQNQSGNDIQ KYTLMIKVAIHFILIIVVHYYFFFVIPQKTNKRFQESYYLIFAYILVCIYFMISSVQIRY GYPIQKYTQIFTNSHKPANSMAFKIYRMIPFLYELRVIIDWTFTTTALDLIQWFRLEDIF ANLYICQAYQDSRIENHKLGDPRSSSDKCLNGCLYSMFIVFIIILPILIFSTLNPAVEIN NITNGKFSIKAAFFGSDDKLFFTLFQVNDLELLDIPNEQFKKIQKIYGEIETSWQERMQK VRIKRFSDLEWNLPENYRDQIRNMIKSQQYQVEIQCEWVFQKSDSQGGAKSFTGQSIVQA PDTFVNNFGKILAPRAPDAVFDIRELVPKFLYVGESAETQALRLEPVNIREEISYYQDIR LIHKKTSDFVFFELVPNDDSTDLKQIGASSQNRVEFFVLNELAFGSLIGALSTNMSIISI YATFVLTIGRFLRFAYDKISTRVMFEEMPETYELFDLCQSIYIARVDGDFIKEEIFYELL IRIYRSPEILFKMTGASIYDRQKK >CAK93928 pep:novel supercontig:GCA_000165425.1:CT868674:298037:300284:1 gene:GSPATT00026251001 transcript:CAK93928 MSSGSEKLDQVHQHHLMAPLKPFFPNQNLEDKRIRTAAREFHDFLKEAIDEEHQHLLNAD QSIINDEIVKMALPETKAEIKELIYELKRIQNEEEQLLLHFIGQAKQLDNNDKTTPKRLK DYFEGFYYEVMIRSSDKKKLYEKVLLREEQLQKHLSQLEINLNKIEKNYESIKKWHEIRS LRQTGRFKNSTTKIQKIQIPNNVSVQALSQDFLTKNVNIQQQEQSVLHEDVMTKPNAITS GYNLEYKPIQYKEIQSDLKEIEIDETSVYDWIVDIDLITTIAKNGWKVYLSKKFADAQVK QESEIPNYGFTKQQHKAQWEGAAVAVVGLYDKGKTFVLNNLTSSNLPSGKKVTTKGVSFK HVNVDSGTQLILVDTAGSYSPVKITNELSIVEKEATEMFITDLVFEISDYFICVVNDFTS LDQRYLDRLSRNLQNSPNKTFREIIVIHNLKEVESPEILEHVWSTQVTQIYQNGSIQRTK VASINPINHQLQEKHVLWFKTEFTRHVCLVNDDSYLGMSINPWVFSLLRYWLKAVFVPVN RQFSVVDSIIQFSKTKLSSYFRTILTLQIQDTDDFLVKTIVTQQEVQDSNVRIPQVSIDS SGLIMTRPDSFLPNTDIIATDQYIIYMDVPGLTKNEILVYRQNVVTIIKGIRKRPYDSEK LERSERKYGEFALSFKIPEIYERKWSTFTVENGVLTIVYEKDKDDQIVRQKKQE >CAK93929 pep:novel supercontig:GCA_000165425.1:CT868674:300324:301446:-1 gene:GSPATT00026252001 transcript:CAK93929 MSFQEISQQIEDMTNFLLEQSDDSLVNASPTNREQINLIIHDQKVKQRISAMLKQKSRQY PHQYLTVELSSEDNYEIELDQDDIKQVTRILYQKLRFVKILERSNKSIQRSMAPIIIKFN SVFDAFIVYKLLNKHQIKELDVTIKIEFTSQQDLLEIMEIQDSNQQKFTCRYDVQIDNDK DFQVARKIIGAKGCNMKKIIDQYLVKLRLRGRGSGYKEGPEKRESQEPLHLCVSSKHNHL FLRACQLVEQLLIKIYDEYKMFGLSKGKKSFNFGIKKVQQPLKSVIKPNFMPELPNFNFS NNQFVDFNNENMMVYSNLPNQLM >CAK93930 pep:novel supercontig:GCA_000165425.1:CT868674:301485:302028:-1 gene:GSPATT00026253001 transcript:CAK93930 MKSGNIKTEAQYAKANFYYSDGTGRDTYVQFDNGGLTLHAQRCHQPPIGSFQPKKSTKIY RPQSSNKTLHYISNGSGRDNYILDSHGGLMNTCADRHWLVSFKQGLRTPERARPRSGAKT PQYSYQQRICRRLSVPKYTKIPFEKQLQSEDII >CAK93931 pep:novel supercontig:GCA_000165425.1:CT868674:302032:307877:-1 gene:GSPATT00026254001 transcript:CAK93931 MQRTLEQRQTTEQIRRNNNQNNADLLLSVYELTARSSLQIGQRPRQQSQSREKYKFKQCE QKKVDLMSISSPGSQKKLPLSPQLKLQSPREPGLIFNLIRAANNAIENNTDDKIIRTYMQ IRTQVEMRLDVLESADSLAVQLEKIKQENDTLRKRVSDNINSQSLSNLAQLKMQLQKAEK KAESRKVCIKKLYQLLDKSEKELELYKSGKKSAKTSFSKKNELNEILNGAYPKNKENFPE TYEPLLLSLIKDLDQKNYEAIENLSKNNSLNGDIENEKSTLIQNSLKSFKHMCEQKEEIL KYSAQICENNQDLWKKFLEIDLTCQKQISTLRQAISELETNIKSYYQYLNKIGHHSALKF QDAYQFQGVIGDSIAKWLKQLGEIQEIINQRESEQYEFQQFQETLVMRLKEIIQNNKIVH KQNDFKGLLDAINDKLFNQSEEILIINKELNNTHIKAKQQIEEIQSKYKLEFQNYELQLK NYKELHDQLSNTNKELLLKSESLQNEFNRQTQISVSALQNKQQEILNLNEQTKKLKEDIT KSQELKLTYNEQQTQISQLKKEIERLNQVILQNQLKSSQQEESQLTIIEQNKSEITRLHQ LIIDLHSQIEQSDNQISLLTEAEQILKDKINKDNLLFQEKLKKLQEIISNKEIEHSALEE EYKAIQSQNIEFYSQQQQDQDTIKLMKKDYQKNQEQYEQKINEFIKLSENQLNEIKDLSE KYQQTENERRNQEESISKLKKELLKQTNDHQKQVKILQDQHKQSQEILQQKLQSFERLNE QNQMIIGEQKKLLNESQIQLQRANLENETLLQNNSESMQSIKDQYELQALELNQTKQVVL DQQELIQNQQLLYHDAQQECSKYKKYVEQQDNINKQNQSELNKTIQDQTALINQLKSNLE VLDKKLMEKNMSTEQLYQALSATQNQLEQLKLESTQLNNNIQQQQQTIENLKSQLLNEQE QHETLLQQMQNQLQQVQEQNNQEKESKLLEISYQIQDLQNQLQQQKEQYEKVIEQHQNQI KNNQDNLDLELQQKENLLNQINQQMQQQIQKKEEEKQGLLEQLSTSENKIALLEQKNKDI YSQSSSNQQLYRQLIQQLETLQQESQNEQQKWKEQLQLKDEKIRTIEINYKSEIGKLNDQ FKQINEKRNQIEQDQLKMINEEQIKEQEVLQLKEQLKQKQNEVDQIDKLLNEKLLDNEEL NGKLMLISKEVSLYKDQNQDLQQQIFGQSELNSKTISNLNQKIEEQNKLIAQLQIQVKDL ESQMNLQLSEMVLQQQDLVKKQQQQLENNYNELNGIKNQFSDLQNKNAEQLKQIEILQED IKKKENQIKDLHNSVDQKQEAIDNINDENKLKQIEIDNMFNLLKDRDQEIQNQIQILSNQ KKLQEKLEQEFDEKLINLSQSNQEQTELKQLIQSMQAEKEKVTEELQQKNVNLVETLKNQ QITYQQLNDQLLSKEKETQEQGILIEQYQQQVLQLNEQLTMIMEEKSQLNLKIHQMRTNI EKIQQDLEIKNIQVQNCDLTAENQKQELESQINELGNQNRELVMRLSELQEENTDLIEEV RRRENEYRLKIFEIGEKNRIENQEQNKINQILQQELSIQEVSEENVINALTQIKNEFQEL LDKQSQYSTLIFQLYSELLTEDEEEDVEKQIQVISNQIVGLIDVRDKYKKIQNEFEGEGD ILMKIKELKQSEQKKQSNNVQDVKSIEAYSQLLDGLMQEFLHNRVNLTMQSMKSLLTEQQ RQNVNEYRKKIGEIQNKLLQAEKQQKCIDDTKFNLQQYQQIVDKSEQKAMYYEMECKKLV QQIQEMKQNLPQRMSFNDLDQDQSEQHSLNILNFSLRQEILYGDDSFFDNISQIQDEDVH KKCQQQIFHLVEECQLLQEQLNMQKEDKNIEIK >CAK93932 pep:novel supercontig:GCA_000165425.1:CT868674:307973:314160:-1 gene:GSPATT00026255001 transcript:CAK93932 MQNPLFYKNRLQRGDNLSVKFIVSLSQNLEYQKNVLKFWDQIGKNPQGKVLTTELLEVIT AIMKCVICLPDESLKQLSQQYLESMTDVDPNLPEYSSYQVFVDALTQLLFQLIIQPDLSS VIYLLKTIHARIYRRQLIDVKDDTKKDIDYHILVKIHNADKIEQSLKSDSKKSYFPIYYT EQYIYNEEQLQSANVIPSRIIKYRLAPLNEIIPIGMSCECIIYALINNSCQQTEYKHFTS AQYPFAKEIKLVGNVTETFLLTLPNSQLVPEIGLQFIIEQNDDSYGLHSQYYKLCKQITR DVQWSQYPLSNYEEEKLTINIKSEFFTEEDYRIDQKNSISKKRQLLTSQLQTFDITRSSN PDKRQPKNIPSILRTFLGDLNQPKNQQQLESALEIIQEQQSNVQLPSFQSYLSKFISPKG QLPIDSKNPYEDDVIEYANQAPPQIFLIGKPRSGRSTFAKVLAKSLDLEYLDLEKGIQRI YAKVAENENNPQMDEEGNPKRIFESFGGEKELNQEQLEQLFNVELQQDLPKNKGYILDLP LENQFWVNSILSNKMVLPKIGCRYFTHVVNLEQSDEDVLHFAARIMEKQDDLVATSQFDR EEQKRPKIKYKDPNDPDEEDDQGDNPDDEEKPPIIPEKDLFYRPLDQEFLVESLQSFQKS QQKLLSLYDHLPDQNIITLQSAGLTTQQLVDRVSAQILKGQPLRPLAVKLEGGSEKDLLG LNLTDEKVYRMWSQWQQVDAVELVLKDKIIPGKAEFACEYAGRVFLFDNEENQNKFINYP RKYLCKPPQLPKTYIVSVQGPKCSGKNTVASQLAEYYGWTLVDVEDIVRKKVQAQQERTK HLASTFDPRVNDIHLTEAEWKEFYKGNAIKDVLPMVLNYLNIPLQRKPPGWGEPKKEEEE QPPEEDEEKKKKEAKKQQAKKKKEEVVEVVEENVKPVTPPPEDVPTKEIIPLLDNELKVP ETSKPKGIVIIGYPQTQEQIDQLNLWGIKIDKILMLQDRSEEAGQLLLQRNPDLLIEQEL TQVNALSALLKEAYQEENVKEVPIDGTKEEVWSRVRLALDPFYIRADDESLVRVPADVQE GEEPIPYGDYANYCPVTLQKTNWLVPGKEEIIALVRGRVYRFYGEKEQQIFKDNVQLYVP SQITIPQPRIMFIGVRGSGLRTQLKILNRLYKLPVLDLKANLIQNLEINKKNRQLNRYYL KGFKPKELDAEGKEIPDAEITEDPADFDRKAHEIEVLNKILDCAGVIINANFFDVSEEIV STPIVDLLVEAKKLPEYVILLKVEQENFFKRNFNQKVIEDEYQTKMEELRQKRYQERVEA RNKAIEEGAEELPSLDAPQGEEEDPEAPKLDDMIKEQKDKLQLQYEADVAKIEELQKQFE DLKINAVILSTDTNIENVTERITSQLENVMDREYRENMLEKEQCQKLNLYPDPTNYKLNK LDTYEKLYTHKQSKYGNRNAITLQNVPHCRDYPVLYRNRIYYLDNEEQREQVCQRPYKYF YKPTVPSDVQIKPTIFILGKVKSGKTEIVRLLSEKLKLARVKVSHLLAEFVTNQTDLLAV NIKNQLKEGKEVDTQSIIQIIQKRIQLADIYNQGYILDGYPRTIDEALALSKVGIIPTVV FVCDKDDEYVIRKLNPKFGGIDHVVHQRLQANQTSLLAAYYQSHFNNVRYLQVGQVSSWG MISLCTKCIQASLYSRALVARALITQEPVRVSSLTLTEEQIIQKLSPLYNYCPVLIKAKK NYMASQLRSPYLLFYDDQLFFLSSEDTEQDFIKNPTHYCNNSIEKYKIPRQLTVGDKFDP YPEYKGHCPVELINNNLVKGLSNLVIVHKNQQLIFANAHNMQLFEMNPQRYKHARLPDKM QLGELQAVKNIAKKVAKRGDCTSYLELHLKNIIIRVLAQLGYQKPKYPTLSCKETVLKFI AISLKANNKNKDAFYRNKYQEKLEKFIKNCTLAQEIQEMYKKSQESEIDEETLLQKVEQF DEFMAELQGQEKQKYFQQFIK >CAK93933 pep:novel supercontig:GCA_000165425.1:CT868674:314188:315161:1 gene:GSPATT00026256001 transcript:CAK93933 MGGGQSKAQKLQSQRRANKPQLPQHQTPEQHYQFLISEPISRYLWGTQFDYETLISEILI SISIKRFMDYLQYFKPHIRYIKKAEKLYNDVTQCCLYEAKFNDQDKKFMIGVLILFFSLY HISKFQVIFSSSEKKWWEGNYFQSLQKHVELPPKDNESYIQTFAVFMSDYFQNRFQELKP QNDNKKVDLVSVRVLADYYLQFLYSDCLSYLTDADQFVKEYAQSKQENYQTQGRFKLESN IKIITKQQKQQQQLLYQQQLHNSKTPSQQIEDMERQFKFLSDHSMIENALIEEQNKELTQ QVQETPKQE >CAK93934 pep:novel supercontig:GCA_000165425.1:CT868674:318152:319774:1 gene:GSPATT00026257001 transcript:CAK93934 MIQSKMIEKAEDFCCSMNHAHPIQLVVCDTSLGKNKKLLCSYCIDNLDSHLNNAISFSKA SRIIEDNQKKKAQLVESAILMNLQQIQELKETLIQLKSKINLQLDEFIQNSTEWMQSLLN FGQQNVNYSFYEELDNIINQIQFGDFDCKALHNQIQRINYSWNKKISSNLANFKSFELAK KCQQFLFNLENCSSDKDQIQQINLIQGQQESEIVNQNQPDVNFRLIEDVQKQMQDCYAIV FNNTGSIMVSTDGQDILIWNFAQGQLKQSNRYRVHQLSHHVQCLVYSKRMNSFISGSWDK SIICWKQINSNEWQWSQQYFQHTNWVFCLILNKEEDQLISGGRDNQIIIWNVDLMKNELT FLQSLDNTAAVYSLSLNESETILVSCAKHNYLIWEKIDQRWQCKCKQSVPQGNQIYFMNN QQFLWVTSGKEVDQILIFELQNGTFEQNQDKTIQLNNNDQCENVFVNFPIIYNKDKKILL IRHKHSIYFIRRVNDAEFKILGSLDCGIEVIQGSMTNDAQYLVFLDRKKKKYTSYELLFR >CAK93935 pep:novel supercontig:GCA_000165425.1:CT868674:320422:321497:-1 gene:GSPATT00026258001 transcript:CAK93935 MCNEDSLYGLWYQCHLNKVQNNDSMKIICECDKFGDIFLSTSTNFNLKNENSTIQSLYDP QIASSFENFLTLEIYISSLSFIFLVIYTMQIYKDYKNEQEKSDIEQIKLSPRNNFHINKL TYQGNFKVFKDKFKQIHQTISLFNYKDQNIKLSYQILEVLSQFNLLLTLAIVEFEILDNQ ILYICLFIILNPVIILLMRFIYKIVEAIYRFKRIAAFISQFLLIAFLMTPNLITYVLNLM KYILYQFRITILSEEYKVAIIFAGNIIVSQVIFEPVIVFGRIFIYRIIAKSMKNMELNPR FIQCTFLQCIVVQKKYSKISQGFDIQLNLRYQIFVRLILYIGLIFKFKLQ >CAK93936 pep:novel supercontig:GCA_000165425.1:CT868674:321510:322532:-1 gene:GSPATT00026259001 transcript:CAK93936 MTQIFEQIAVKPAKINCTSIQYQNFNLQGKVSLLFEAMNQKCLDLHSKIYLDLLQESIQE DYNDNILRFQSLKLYKQSLIQSMQNEPLDNLTIDRCFDINSTHVFIMQNTTQPEVDLTVK IQNLKQYTNNLNRTLKYFRKLKIQFQDELQLNQYTWNEQVFQQYQSSQDCLRNQLYYLDD IYSNFSNINLQNEVLYQAIVDLLKFIPLISEETQNTIIVNKEPLVFNGNEIIWQLKRRTR SSFNSQFNIEPAQEDFLVDYIQLESLQFQKNPLRFSSELKELLKTQSNDSTLKIYTQYYY LTQLKNVYHNKFISYENFSSIYGTNFGSYQVCKKYYLIFI >CAK93937 pep:novel supercontig:GCA_000165425.1:CT868674:322691:330556:-1 gene:GSPATT00026260001 transcript:CAK93937 MWLIGLILIYTVIGYKDYQQYYEGVFGWQYLQCPDLHVYVPDMHPHQCVSYASICQGVTE PIIKTQSNGTQYVCHPRFQPWGAGGFPYRDKYSVHCGLALGMSLVMELLSDGHYKYYCQQ YTYYDLEKRCLIFSQYQGFSKCLFCKLPYYGYQCNDYYQYYDRRYTDPPLCNSNFNIKAC YGNCIECSQTKECYLCRQGWQKKDSNDKSCSQGNLVTILIYRLQRISRLLRRFILICYLW QLMPTWILQGDELISSEMLWLLYQREYFIGNFLPCNAPYKLTSSFTCEECAWGLFLRYEQ QNNYDKKACHYYDWNCHPSRQQVNYTADQMGSLSYKTICDICDPGYYQGSEQNKCECKYK FIILIVVRQFKEHCLLLDPLGNNCIACLAGYALLPDGTCELVYCDQKCSTCLDTNPSYCT TCDILETKIAVNGTCVCLPYFGMAQNNCITCTEGLCNECEQQDFYSCISCKSGRNRILAN KLCDCITGYYDPGNDDQICLACDYTCYNCNGPTNQDCTECPDENTSNRIKIESVCPCKAG YADLVIKESKCGSCHPRCKTCFQAADSTSNQYCLTCIPGQNRVVSETFSCECQVNSGDLN GTLDVCIICHYTCGSCDGTQNTNCVQCSESSNRELTPTGECLCKLSYYDDETENIECQKC HYSCVTCTNNTEKEACQLCPPTRKPSSSGSKFECICNSENYFDDGFSSQCQLCHQNCLTC NGPLLSNCLTCDTNFRQMDLSSCICPIGHYDIGFLECAKCHYSCQFCFENTFDSCIVCSF ELNFRVLKGNICKCIDGYYEESGIAQCKKCSYRCETCETSAEKCLTCPLNPLRVLDPVKG CYCPGEYYDKQDEIACQRCHLKCKACDGKEENNCLTCDSVAYREFNDNECKCKPHYFEME VQECTACSGLRHMPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXNVLAILKIILINNTCKCITKLNGGSVSTFEYNGMIKCQKCHYSCGTCGGIEEGD CITCIESEQRLQVGSTCVCKGGYFDAGLPECQKCSYKCQECSKQSESCTSCVDNSFRQYV SGFKKCQCIQRYYDDGVNEICKQCHYSCLRCNDVETKCELCSFESNRIFNDQFFSCDCNI GYYDTGVENCQNCHYSCLTCSSGNSNSCISCVEMNTSNRVFYNNTCQCLFGYFDDGKSTQ CQKCDIQCLSCIEQSYSCLSCPQTRKIETNCKCQKGYYDVGLQLCSKCNSNCLTCEITSK NCKSCDSDQFRELNLLTFTCDCLQGYIEINGICEQCQSSCKTCSKSKTNCTSCVQYRFLN NNDCICNVGMFESNVDKQCKLCDRTCLSCANTNTYCLTCSIENFREFKSGNTCECRQGYF EDPVTLNCEQCLSSCLTCALQYDNCTSCDTDYNLALVYNKCVCAKSYYFDSLTTQCEQCN IKCLDCKNNKECTQCRLSTRHYSSDQKSCLCNDGYFETNQQNCQCNQMQINLECHLSCGT CQNLNTYCLTCLSEFKRILINNTCLCQDGYYDAGIEMCQKCNNVCKICQSSASTCVSCYD IEHQRYFSGNKCLCKAGYYESNTDKCQKCSNECLTCYGLADYCTSCDINSKRIDQSIIHK CPCIFGFYQDQNQTCQKCHMKCQSCVNQADQCLSCNFQQNSNRLNLSDQCNCKQGYYDDG TQLKCQLCNFRCKTCIFQENSCLICSNLIRTNPPTCNCMDGYYEDEQLICQSCASQCSTC IFQPSNCLSCNPGRIGQDCKCIDGYFEIGQLLCSCIKLLKLLECVFQCATCELDPLNCKT CKGDRIQEPQCICQYGYFDDQINEDCQLCDVTCLECNMNGCLSCFANRILNEDMDCIPPP NSISYQNTPWCSSKFQIIPLACEVAVIKAYLSDDLSKIIIHFDFPLNPKGFRSQVESIYT YKLLQLFEVEFVQSLGQNSVCYLNPDDNQELLISLGENSKILVGDEILFKSSTLSHINCE ITLQIFILNTLQMPINPLPPQIQYHIPLHQLNPLADNSVYLKSIKNNGNRKLDNIIWACQ VKSTDDSSTLKQYLDQLNFVQEYNLLIPKLTLPKDAELSFKIYYENFIHISSFSEFIIYT HSGALPQININAKSSYFVYQTILIGVSAGNSDQSNSKSNFKYQIQLIEMDRNPRISLSSQ LNTSVYSNSFEMIYTTIPKYTLSPNSTYTFLVTATNIVTNQVQKENLTIDILFAGFFCQF SNRGIQSMSKDLILYIECKDLDTIFDWNSDPDLYIQVACMDLQSNSTCQNEQKQIINVNQ TTPYQFIRRNSISAFTVQEWSVLVTKFQQDQKFSLIIVYLDDDFPMLDLEFNKGYLMRKI NNYEQLNFTFLIPFDQKPYLLDLSIAIIYNYEIIEILQPKYISHQFKIFNSIKELNLGDE VNIKFAAQYTNNIMPSLNNLKLLVNQPPQCSKLFITRSNNLALTDIIVVSTCDLSNDSPF KYQLKLF >CAK79310 pep:novel supercontig:GCA_000165425.1:CT868329:1216:2156:1 gene:GSPATT00039328001 transcript:CAK79310 MEIELSKQLCQQIETEILKVIDKCQELLSTFSNHAKKNIEIVLLVGTTGAGKFTLFNFLS GADFKIQDRELQLKNPSNKFSEMKGGMSSVTKEPKYYFNSEYNHLLIDFPGFQDTDGELD QLLMELLFQRVVTSMPIKVVYVLKNNESTLPNRGIQIIEFVDQLFKNSNLSVNKFNLLLN CYLEELSDEELIRNIRMELKQANKNNYIDNILIVRKVMNSEDLNIYFANGKRNEQWSKLE KIKPIQLKPRSIPNTDILSKYLRQQISSTIYQNQLLDVGVGVEADAQEGKQEVEKRELAE KLIQ >CAK79311 pep:novel supercontig:GCA_000165425.1:CT868329:2604:3527:-1 gene:GSPATT00039329001 transcript:CAK79311 MKVKLILGEYAYLYHGNLDLQDINNFIKTLTQYSVIIKWKDLDDEMIRILRPQDLKYCVD ITSKQKQTLRLYLQECLPSFQQFNQKQFQYQQNQQSMLQSNCQQIQASNQSVIENPILKK EMTFAQDSSQPFLDSYEKQKYFQQIALTVIQEVYPGLQIDFDDINEKKKIVQMNEISQHY EQIEQKPLRKIESRQNTEKMTPQFYEKRQDENYVPENENYSMINQNKQSLNLKLEENSNS DTVHSSRQNENFGDIYFCDYCSEQIEVEFGYKCENKECLDLHFCQTCVDKLKKEIHEHEL VKLEKGN >CAK79312 pep:novel supercontig:GCA_000165425.1:CT868329:3814:5151:1 gene:GSPATT00039330001 transcript:CAK79312 MQIIRNRAIVNCIPRFGFKYLSDSTFLNEEHLQIQKAALDFAKEKMAPHSAEWDKKHHFP KDVLRELAAMGFSTIYVKQESGGVGLDRLTASVIFEALSYGDVTTTAYLTIQNMCAYMVD QFGNEEQKKTWIPRFGTFDAFASYCLTEPNSGSDSKNMKTFAKKDGNDYVINGSKCFISG GSVSDVYLVMCKTSEKDVSCILVEKGTPGLSFGKLEDKMGWNASPTAMVLFDNVRVPQSN LLGKEGNGFKMAMSALDGGRINIASCSLGGASFAFDLTKDYLHDRKQFGQPLAAFQGLQF KFADMATNLVTSRLIVRQAAQMIDNKICYQNSCFNIANEALQLHGGYGYLSEYQIERIVR DLRVHQILEGTNEIMKVIISRNLLK >CAK81646 pep:novel supercontig:GCA_000165425.1:CT868410:485:2631:-1 gene:GSPATT00039464001 transcript:CAK81646 MKYLSLVMSLLCLTALSRVQSMQELLEQTKDQYHLEQDIDTFKSILEGDLDIKKEKGTSN QLPSLVKGNQLSYQEDSIQQSILLAKGIKSEQNLTNSNYPNTTYNYTSSSNSSSNSSSQN TTNQNSTINYDNGSSNNHNPINSRYIPSRLQLKVRNHSKLQQGQIKNNTQNVTYYNSSSG TYEYVVIYNNTETQENNTESEYYYYQNSKVVTLERDYDDQGNVIYRNFNFNYSLIVAQKS TNSNFNENKSAFGTLVLTNQTISAAYKTNGYNNRLIENNNGDSDYENLVYDFNGKVVYSN QTGYYNYGYIGYGSNNQWQFNGSEQNIDEYRYDDLRGGHASIDRDQNASHRYSSQTLDQN EYQFYSNLTSGNNSSINHYRITDTKNITEKSNSEERNNDTISNFDYKYKSFKQSKSNGTS LRYKQYSASNDDELDTYLNTVYTDSTIDYDKNKTILNYNQTLNKTSGHYFDNTTIYSGAS NQTYENVEGSKSRYAYDYGDHVSQYITGSNHGILNETNSEYTSGPNTTVTTLDSKVTTGG SYNYQGDLSGSSQTTHTQSTVEESKQNFNQLRGSKKQASGSWRQIETAELQQSNSLIIED AIKEINIKFNPQQDGYQFDSVISVQEQIVSGINYKIYLNYSNPKFEQQIYEVIINSIPWQ NSSNQVVKSARFDQIENWTINGWLQIKITKEFYKINLF >CAK69144 pep:novel supercontig:GCA_000165425.1:CT868065:1:671:-1 gene:GSPATT00037581001 transcript:CAK69144 MGNKSGSEFGSIMIRTEKSQYIAGDVVKGNIYLHIIIPGYHGNVVQLQIVGKEKTQWTSG QKQSRRTHHGKNLFHRDTFVIHTFLDQNLMVGQFVFPFELHLPPNLPGSFESRYGFLASL NYKVKARIRSASKSINDVKHKQDIIIREPIKEILQGSSKQETANLTTWCCKKQGSSTISA QVEKNLYFAGEFVQITYDIDNSDCNLNIE >CAK69145 pep:novel supercontig:GCA_000165425.1:CT868065:5344:6948:-1 gene:GSPATT00037582001 transcript:CAK69145 MIDQFVKGLQKIDRFGAIYRPPIIDIHPEYKSVLGGIATFILYGSSLAYFLYQIIQWQSN QLLPKITYIQTSYEKKYYNIDEMLSTFYIRKNNKVDQIDPFDPGNIILQPVLSKFENQQL VDSYPCEYRLQDNNDIYEVILKNIELNLNMNYSDDDPQIEYLLSFGTCMEIYLLDGQKCA NQSLVNTYMNQKSHAIILNHYVKEYNSKQKRIQNVTKQFLGILTSNSTLYFQNQIRLSKT EVDDGFLFPSNSNKEFPMDVIIISQTTSTDSFYNVFGRATYLVMAYSLSEVIQEQYIEYP KISEVLADIGSIVSIILVLSYLFIFLNEHQLEKESISKVIQMYYPEYCNIQQSQNWYGKI VEVKYNNIIIDKDEYISFYNKIQRIAAKKLSITNILYTLAKLQFLLQSTYPSDKIKLAHK VGIKLKQFSKISTPEIIQEQLNLQEISRNQSNDHIQIHDISNVNQNEQNNNQSSMVQQET INKDNSKSIFLKLKDEFHLLSDEDFQLFTIDEPITIDDDIQKSDIYFENNYIDL >CAK69146 pep:novel supercontig:GCA_000165425.1:CT868065:7552:10158:1 gene:GSPATT00037583001 transcript:CAK69146 MNQIKIEEESSLNFDPFRIPANIDLAKIHQVSSITNQKASMCGENEEKSDHCPCCGQHVQ QKEISLCQNRLDLAFLGQGMPLFFEMTQQLTLLACVLFIILGIPCLITNIKNHDCIAEDN QFYQISLNGLCNENCPQNSTDFLSITKLTHSNECKTICAKILDICLETSVMQMSFANKQF DETSKFVQSILVLCSILVFKFAMIRIRISQRNTARVCDQEFVSPSDFTAMLTNLPRNEYN EAELKDALLDFCYQFDDKGRYEIIKIIIAYDITSFVQCSREKMCLEKKIEKIENYYKSYH RYPRSLKNNYLSSLKQRIIFLGEKLSRIESEVEKQQYAHQTQVAFVTFQTKEQLQSVLDQ TKLSYWEGVWIRFKYYFINQQDRRGFYFKNRIIIFCRAPEPNDVFWENCGFNFQYQIQKR ILNFFITIFILAASFTILLGLNILQSQNLSSFDDAIMIFVTLVISLIITVINQIIYHVIK LLGQSEKHFTKTHHDVSVATKLAIVQFFNSGIFTKVINILVYNFQNENPDAHAATYAYSR QGGVISDAFFLLIVNSFLVPIFAYLDPLYLYKLYQQYFFKVDNTFNQIEANRIFEGPSVL LYEHYSYVCLSLWISLLFAPLLPISLLFCSSGLLLYYWIQKYLLLRRNCKPPFQSFHLNR EMMNLFELSPIILAVGQIWTDYIFSSSSIILTINFISLGFSCLELITPATRISKLFDRKD NLYTEKDRYQDVFLKLPTDYDRTNPLTQQNAILEFIKAKTLKDSNYKQPQVMDTKTALYK YIQTGGVQFMKNTVALKLKISMMRKIKLIRQARQLGAMALQQCDEEISQDQQEPIYTQAP EYIIVEFTYQFYS >CAK69147 pep:novel supercontig:GCA_000165425.1:CT868065:10529:11849:1 gene:GSPATT00037584001 transcript:CAK69147 MSDIKEIEVEKKFIEEYFKQISTSDIDTIKRYLLYVKQRKRGNENEKVPIRTFINVISEG KGKKAIHFGASRGDLDVFKFLVKKGADVNLLDDEKNNPFLIAVQHNHLSIVQYLIDVHHV DVNYSRNTITALHLAAQQGAIPMIELLLSAGANINALSNYGTPVSFAVAYQQNWSALHLI KKGADLNIVQNEMPSLLHLLIDQNNQELFNTIFEEFSDKINVNIKDHDGWSALHLCAEKG LLKFSQTLIKHGADINYENKKQTSLDLAVQNENWDCVEYYREFALRKEPQIPASLVQLTD DQVKVLYNEINVEKGEANKLLQAEKYEQAVIKYSEIIEKSKQIVDHYRDEIVKIYTNLAN AQLKLSKYKDTLATCKQARNIYYFEGQAYEGLNEFGEAAASYFEALKIQQEPGMKALFDQ AIKRGKELYKQK >CAK69148 pep:novel supercontig:GCA_000165425.1:CT868065:11850:14124:-1 gene:GSPATT00037585001 transcript:CAK69148 MYILQLIYATLECTRAPLLNLILLLISLVISLFQIVLLTKYSNLNIYAQFAINILSVFAK QNDQFMVLQSLVGFILFMENDQKRWHLNILACSSIHVTLICIYSQNNEVQSYLMSVFTVL IVTYFSWAIKEQKLQKQSSKGSLLTSRMPNTIKSLVLLEDINFITHKAIVLENSTTLEIR SCTNELRDILIRDEVSEENLLDHMRILKVDKQTQKNLNQKIKGISLRQLINKCQILQKTT DILIIKTNFILLEYYQIKLHFERDVIILKFEEIKEFSKYIKKNSCYALMNKLFQSFSHEF GTLLNQIALNAQNGLAQFPSMKEQFDSIYNCVVMMNNMVKDLKDFHQLRSKTFQLEIDDV NIDDIFTELQTLFKQHAVQKKISLYLVNKVTVNFKQDGQRIKQILQNLIQNAIKYTNQEG QVYVTAEKEDDKRIKFSVLDSGIGISESVASNIEQMLGNDLILTSKLSEGAAGLGLGLLN SNYLIKHLSSFKPAHKEYLQFKSKQGDGTKFWFCLWKYQVLESPTSQFESKSIKMIDKKV FLHQKSNLAYPLSSIASNPKSCPSQIKKLSPSKSCQMRPQPQIFFPSTLKDDNIMIIEED DRTIAEDPKPNHLPGQRARFPSMSSPECQFVKLLLVDDEPANLFPLRIMIKMLGFESDIA QNGYQAIQQVESRLNQKCQYHLILMDINMPQMDGFETTRQIKLLQPKIKIVACSAFSDMQ TKYQAQSYGMLSYLEKPINKENLQQILEMYL >CAK69149 pep:novel supercontig:GCA_000165425.1:CT868065:14154:15127:-1 gene:GSPATT00037586001 transcript:CAK69149 MTQETFDNLNQTLETEFKSEQKISQHTAGFGLGCYLSQQIALKLSNLPVTAGGGLKYVRL EKGIRVSFRVKNQPFEIFYTSCDISSAKSGILFNENGYFDSRQSVIDLQLTNRHKPADNH LLLTLTKKSSLLSASFSPNQIIDCVEPELKQDNEIIERIRQRIITRKREGQKQSPTFGAS VADIASVKTIREQPHILIVDDEMINIISLKILLSQFNIKCTSAFNGLEAVNKIKESNEKF NVIFMDVNMPIMDGFQATEQILKFDNDNTIVACTAFSDVETKTKCYSVGMKYYINKPVTM FELLKLLNHLNLIIQ >CAK69150 pep:novel supercontig:GCA_000165425.1:CT868065:15617:18305:-1 gene:GSPATT00037587001 transcript:CAK69150 MLKTPSLKSFSNPSERNQSEQYIQCYTNKIVRQVQQLNSSYYEEDQFDQCQNTNNIQQFE DYMQNLVAIISNPPNSQYLSKYYVLSTENETITFISETHPETLMDYIKLRHQNNAPMNED EIVHLAYAILNGLSNINILYLSPSYIIKKWKIINYMEQMVHQNQRQFLESEDKLYLAPEL YKYLDIELNDCDDFNKHLSQEINAPKASVFSLGIILLQCIYFEFKKDNTNNYQEILKNSK YSWSLRDLIQQMLREEDERSSIKELLQNPLFYEHKQVEIQPKLSNQMSLAVFFQFVKNKF FNLDWADQFFSMEEIIYISDLKEGIYPIGELKSEINSQITQIIQNCPNNCTLEELIATLT KIANPQQQEENQVVQPKKQQFLFRKWFKKQEQKSEDMQEQVLAPQEPTYMAQVLLLKLIS QASEKAFQAFISKVIHFMGGSGLIWQNIRKAFWPRALGFESLEAQRQIVQLTLELCEYLN AQKQKDIIQLEKDLNRQKYNKRTQDAIRSTIRGFINQSVSNCYIQGMDSISYILLEAFNY DSELSTICLNEIYKKRIVNLPPEEEQVEISEYFGQKLQEKILLYNWTLQYFDPGLSNHLK ETDFKCETHIVSWFSTFYAREFNLENVMKIYDYFLISDESFEILLACQIMLELKSTFEIK DSEGMLSCLKNLQNNIQLDNCLQNSLKFSTQLHKTFFILTHQDEEICNQMKEENEYLQER PWEHPLTFKQLQEQQTFSISIHDFQQLLREQKQSFNVLSLDMRSAKDYEQACITGSLFAY YDKKKINNIQLLNYFELRGLVEEQSIYYIVVICDTDKQNVKEIMDYLLKQRIKRLVHLKG GIQAALLDAQDIIKVKQKAVLPPWIKSQIDELKKKNIQ >CAK69151 pep:novel supercontig:GCA_000165425.1:CT868065:18413:19771:-1 gene:GSPATT00037588001 transcript:CAK69151 MNENDILNEYRRGSLSSTNQTVDTPTYEEMDWDAFQQLLISERVEVKRKKIIINREVVIS QVLKLVGIDSVIQFKGGSLLFEGMSIDSIFDEECSIQGFQIEVQQMSPCIKVHCRNMMIM ACSVENFQNKGTFIQFDNSLIINDTKFNGFQVLFACEGNGTILSINHSIIQSDCQQQQSV LIHANNLREFQIEIINSIIEGSSVIITNSRNVEVRIIDNEFKNQQTSISIENIQNKSIVI EKNVFFRSTDYAIRMNNIVVDRLQLFKNTITKCRIGLFMSNVVEICQLVQLIKPISLKQN VMSSMEQTAIIIREVVCMEIDEINIQDNTIGMDIDISTSKMPDQNAVNPFIITIKNSTIS SNRIHGIFLSSNLLFNPINLQISKSAFFSNCNALNLSHQGEPNDKSKPEFIKQDSRSIQI VANSKTIAFATLTKITNFFSPILTLQQLNLII >CAK69152 pep:novel supercontig:GCA_000165425.1:CT868065:19783:20559:1 gene:GSPATT00037589001 transcript:CAK69152 MNINHQINFYFANRQYTLVWQDLDQNILNEVVFFVLNDISSKNKLNNLTFTDSNLSPIQQ SLALFLQSQHIFINNTPPITQVQECEFQNLYEILPNQLLIQKFKNKRKLESVNYQMQTIL NLNQQFRETSSNIKDNNNMINQKQSIERSANTYPITKIQSSNTQPLNKRQTELKSYTIEE VKLPIIFKVQQHNTQKDAWIVLQDNIYDVSYYIEKHPGGREQILRGVGKDATFLFLQHHP WVNFHYILEKFQVGYLVR >CAK69153 pep:novel supercontig:GCA_000165425.1:CT868065:22130:24609:1 gene:GSPATT00037590001 transcript:CAK69153 MILAILYSSIWLCNTIYEMMLGIEQLLYHGIQLGIVLCFLMLQYLQKSRQSISYMIPILL LCLNILNVNEDMNSEKLYLFLLPPLIYDHLNKDEKKKLYFIIFKFLHLLTMCIWKALGDL NRGQPIIITFILLLFTYYLQKQYDQQLKRTEGGLEDTINIKTITDTDRIWDSQNYLISII KLDGKLNLLTSNNRAQDLLKHINLQELLNSPLLSVDKQTRLLMQEQFSCRFPSIQDLKEV QQHYSLNELLEQLQQLQKYPYEFEVFSIRGYNDLHLKVFYLEPKSFTIVLQNMEEYNLQI KKVFTQTTMQQLFKSFSHEYNTSLNYILALAQVAECHEEVPQNIKEQFFKPILVNGKVMH SMVLDMMDYNSILGKTFSLQVAVFNIQELILDVISLFKDQVSKKNLEIKIDFKSKITQIL SDRNRIKQILINLVSNAQKFTLQGSISLKVETCLFQKNQQYVVFHVEDTGIGMTKAEQDR LTFLLQQGVPSIQKISKNTAGFGLGLFISNKIAEALSQQRFEKGGGLRFETQTGKGFHCW FSVYPQTVSPGVKPNNPKSPLIMLNKKIIIDTRQTEVNAGIETLKRGLMRAKFSHLLGSN GQINDGNQIRQRRPHSQIRFVQELIDAVSTDTVNNECSVEDYQTRVKYMKSQQYQQQQQS FLLRSNQSFIECRCPNILIVDDEQINILALSILLEQLGLTTDQVFNGRECVDLIYSKQRK SIYEHVLLAFCGKCTDRQYQLIFMDINMPILDGWEASRQIKKRFSIPIIACTAFTDNETK EQCYQNGIDYYLSKPVKKESLIQVLQYYRIL >CAK69154 pep:novel supercontig:GCA_000165425.1:CT868065:24805:26499:1 gene:GSPATT00037591001 transcript:CAK69154 MFINCGEFLRRIDQFGASYKPSYAYGEVQYRTSLGGLLSIILYGLSLAYLIYEIVLWRFG RILPKITSLNTEIETYELSFDKVPLASFCLRRHKDIKDQIDPFDPNHIVLLPMLYELIND ELQDPLPLFSTKKSEKHGTTMIELKDVKLTNNQHESLEHPDREYMLILQQCVQSILPQGL YCADVDTIKRFFNQKQNQLSVQTYVNQFNTSTKQLDVVEQYYYASIDNKTTYFSQITICT SNVSVDTGFLLESLEIMDFPSSAQQYIQQMDLDYFTHTFHQDVYVVFEFEVGTLQSTVVI EYPKISEVMANIGSIISFFLFFSQFAYVINEKNLESKVVRNLIEMYYPQFKQITFEKNLF GKIINIKYQNQEVAMSFLKTYEKLWRIASAKLCITNTLYEISRLQFIISSISDKEVIRNC HEIGIRLKNLEMEPNALKIENNQNNSNNQILRQDIIDNNSLDEQNQNILKIIPQNLVDVP QSIVQFSKLNQSQSLFLGKNLQPQESIDAELKLSDEDFYILMQEQSKVKDFNIVELDESV TPQTKSQNIIQRQSN >CAK69155 pep:novel supercontig:GCA_000165425.1:CT868065:26737:28425:1 gene:GSPATT00037592001 transcript:CAK69155 MINNFSEFLRRVDQFGANYKPSYGYGEVQFKTSLGGLLSILLYGVSLAYLLYELILWRSG QLLPKVTSVSTEIETYSLNFDQVVIAEFCLRRHKSILDQIDPFEPENMVLLPMLYKVVED ELQTPTPLLSKKMSPKHNMILIEIQNIELVNNEHEDLDQKNIEYTIMFEECDQTVLPEGW NCAKEERVKEFFSQKQNQLYIKTFVNQYNTSTKLLDLIEQDYYASFDNKTTYFTQMSLET SNVSVDTGFLFESVQKHEFPSHITSYTQQMDLDYFTHTFGKDVFIVFEFELGTLQQTTFI EYPKVSEVLANIGSIISFFLFFSQVAYLINEKSLEDRIIRSVIEMYYPQFKNVKFIQNWY TKVVGVKYQDKSIPFKKFMQKYKDLSDIARQKLCLTNFLYEISRLQFIMRSNYDSEILSQ SHTIGIKLNILESSPDPEEKSEIQQINKVHDFHIENVDDQSLEEIRVHPNNNVNSIKILQ NNQVTLDVNAQSVLNIEQLQKPQTLFISKSNLQFSNTQNNDQLKLNDEDFYILMQNQQEI KLENSKQQSTIYLNKIQDLNGK >CAK69156 pep:novel supercontig:GCA_000165425.1:CT868065:28457:30551:-1 gene:GSPATT00037593001 transcript:CAK69156 MKLGIYEVGFIPPAAKRQFYELQPYSQLQYLGKNLLSAFFIALLDYFIAQNKSQSMETLA KPKRIYTKGDNLKIAQQIYNLRIGNISMKEFFIFLKNIDINNQKGQQLLEEFCELQELRV SVTNNKITIESIARLFSISVNLLGQQQLGYTSKQKIFLLLQTDGYYLIKQTSPLIQYIIQ AGQPCNICYKKFDNYFINSNCLHINCRKCLVEKIKKGNPNSNNQVVQCSCSCKEKILIKD AESYLNEELKIEALRQQKDQQLNLQKSQQFTNDKSKGYGYTTEVLDKNQKPVQQNKPIEQ IEQQCNYCYQPSSKELFVNKQCSHRFCTDCFKQRITSKGQKCVVEGCEIIIDDLLFQQRV QLEDDITRIQKVVQTQKQQLGKCTKCNNETQISRLYKDKRCKHYTCFPCIHVHVEMQIQK QPNTYNFTCPSCDNIYGVDFDTFYEQQQLSQLEERMKYEELFQQEKEEREERELKEFQAL KQQQEKQIIPDKVIQYPSRFINYKQLQQVDQDEKSVNSQSGNDQVSQSTQEQKIQKDFKQ FEQGECTMCFTSFSEYNLRQEIDCTKHKIGVCCSVKFLQCPQCEQKKVNTSMIRIKPKLM LQTFVQKLEFMGQSGIYNSSMIKYNNVNADDQTRLNSRANLGQSQIKSSQRNSTMDTQIF RSQAPQSKEVGVYGGWIQILSGQINQRMSL >CAK69157 pep:novel supercontig:GCA_000165425.1:CT868065:30943:32146:1 gene:GSPATT00037594001 transcript:CAK69157 MATKEHSLYKLVSKDQAEAFAWSFEGPNTEAVLYPFQFPPIRPDEVRIQQTYFGLCYTDC HLVNQDWFPISYPSVPGHEILGHIVQKGDQVTQFQIGDLVGAGFIRDSCGKCKQCIVGND QLCGQVGNEHLIPIPKFGGFATHVQLPAKWTFPIPNTIPQHLAPPLLCAGITVYAPLKRH FGANKKVGILGIGGLGHLAIKISAALGMQTTAISTSADKEEEARSYGATDFICTKNQEQM GKANGTFDMILSCASANTVDEFVAYTNLIKSGGDFVMVGIPALTKVELQLPFYALVVRQI SFVGSLVGSRQENYEMLEFANKHKIYPTCEQFEFENFKGAYDKLLNGKPKYRCVVKVGNA PETLKQ >CAK69158 pep:novel supercontig:GCA_000165425.1:CT868065:32205:39205:-1 gene:GSPATT00037595001 transcript:CAK69158 MKSLIKLTVVCLIQLTNSQWLTRYSYLTQNQIFTYNNESYAYLYSGYFVSESGSTTANFI TCTAPPTSYITLNKVNQSAKQIYGFSFMNGYFVSVDLYFQGTWSNDNVTLVLGSFVYNYT YTLPSSNPLTSQFCDNITADIRTINFTIEMASQSYGQPLLSVSNETQGQVSIKNFYISSL TCHPTCMSCTGPNFNQCTGCYYGVPTNNICPPCPSNLYFKQYNGCKNTCDIQSPLFSNGI CQNYQIYTFEQVNIYHVTSFENFKWSLLYDPKHMDTSPTILAFNEYVYGVFKFNSGLCRF FNQIAYYQYDTQLIGIKISIIAFNEIPIECGIQFKINQTYFGSIYRNTSGIQMHKLNLFD TTSYGPYMSYTSAIKYELITYLDIPKYPFIFSAVGNFTNDNAGWGIYSVQITSGYCPLYC QLCEVSFKCKTCNPGYFNYRDGSCIRRCQNPNQQLNGSYCQDYDDETPYSMFLVQEYINT ANDPEQYSQYTLICQNGKNFLKGLDIYYSYWQSYRVFGGPFVWAQAKFKRVHNILDPHHS LTIAFYILYGPTFPNDGQFIYTIENNSVYKSSSNLSSYYSDGSKYDKVYERISHNINTLT IQWECYGPNNEPINAYCGFYNYYIAVHKCKPYCLQCSDQNTCTQWNSTYNSAVVKFSQAE CDINQFYDKEQVRCIQCPMPCLSCTSQLDCLSCSSTYTLSKLGCTCKQNQYEQSNQCYDC PIECNQCLSQTYCIECLISNNRQLQNGQCICIDGYYPIISNPQCLLCHQFCKTCTGPTSN DCLTCNNIKQNIGTACKCPIRSYYQDSTQTCSNCHSSCQSCFSSAINGCLTCNLSLNRIL KGLKCECKPGYYEDNDTCTNCPITEDNSLTQCYKLCNNNQQIWHTTICSSCDGGFQLQYG ECQPICGDSQIKGYEQCEDNNNVFDDKCYNCQYQCPAHCLTCNLFTNLPCPDICGDGYIT GIEECEDGNTIQYDGCYDCKFQCQPQCTKCIKGECQECGTVGWFIDPTVTPWQCKERCGD NLIVGIEQCDDANTSDADGCKDCKYHCRIGCSSCDYNTNTCLSCEFPGFAPYYYFCTNIC GDGLVVTDPYGYYYEQCDDGNTTDQDGCSSWCQFQCQPSSICPTCVNNRCEICASQYTLS SNKICLLKCQPSCIDCDTNGKGCLACQLGYDRIDNFCYSICGDGIVTDDEQCDDGNFILG DGCHFCQFNCQDSCLNCISGLCYDCLDGYELILFTCHPICGDALLRNDEQCEILNTQVVQ NNCISCQFTCDINCQLCQFGICQQCTKGYDLSFNQIQCIKSLKITSTLIDTFQIYIDNTC VKCENQVYFEQVEQKSQLNTIPFAFCQYNLKLSPNICTLDYNLNKCTSNCKNCLLQKCIE CEQGYYGNNCVPKCGDGIQVQEEECDDGIQYLFDSCLNCRLQCPQHCKQCAFGVCVLCQE GFYLDIVSNSCNSVCGDQIVALDEVCDDGNEFRYDGCFQCKFQCQMECLNCQFGKCLECE TSLILVESKGSCEELKQCEGLAGLYYDNFLNDCVTKCGDGIVVGSEQCEDQNSIQYDGCY ECKYQCDNLCSNCQKGECFECQVGYYLNGQKCETKCGDGIKIGQELCDDYNDIARDGCTS CKPDPFYICEEDATLLSYCYKCQENCEDCKLNINKVECIKCKGGYFLKDNSCNLCSEKCE ECENTPNNCTKCITEGCKKCDNVSGLYLDKQLKSCVTKCGDNIIAGQEQCDDGNQTDKDG CNSKCEIEKEFLCKDGVCILPPKKQVILTYSNSTTTNDIDLTLEDIYIKDVCNKVKVWIE DFKIKEYQFNITQKEVQKLMGQSCQITFKFFKTILESNLIHLTVPLQENATRILEEDVRE VVITPRRLVYYNEAQKAQAQTIVATSSTFTFLLQLIGPLAILLGGFNFFWTILDILTWIN NFYFLNVDYPLNVKLFFNKLQWGDVFNIPDIISLNSPDDPYYFQAPPKFTEKDVNPLFLN NLQLFFGLFLLAIFAYICASGVVSIIKTHYEPNFRKKHKISIFAVNPGHRSIYQITPQQL ATETIEIKNKEMPSIIKAIYKETQDFKYNFRAKLLQIVGLVFLDICLACVLQLKNKCNKE YAIIQLNIFLSVVGIIFIYVVFRLYSFVCSQHRILYESKVFSKYYCSLYEGINTKQRLSR NYCYVNLMRKALFIFFTVYFYEVPLLQTSLCCLTCFLNLALILYQNPFVNKSILVQIAVP DFCIFIIVLITVLLAIHDVSIIFSFDQKYFIGWIILFFIVSSILVQLIFLFKQFFIDMKG RLISLKNMSCCLRNNKSN >CAK69159 pep:novel supercontig:GCA_000165425.1:CT868065:39730:40651:1 gene:GSPATT00037596001 transcript:CAK69159 MDRLNPSSKDCIVPLIEENSPCIQTNKPEIDPHFLLPQFTQMMQNPDFFLQTVQLEQLKQ MQSSFYSQMNNIPLITEEPRVMDLFTQQLLQQQLILNAALLNQFQGVENINYSQTKDLVD NKIKRKHIKKSHNPSFNNGTVLYSIQGHWSAQEHQVYLTFLQQHKDVMESSELKKTNKIF KLMSDIIKSRSPSQCRSHHQKFNPYSKYLVNNNIVRRKMKEQMLGDAQQQKQSKLENDNI QQQSQDSNQKIEFQQQDSNQKLESQQQLLESNVRDDQQQLSGQE >CAK69160 pep:novel supercontig:GCA_000165425.1:CT868065:41049:42656:1 gene:GSPATT00037597001 transcript:CAK69160 MKQNKKRLSYIKNQKPANIEWILNLLDQIPKYQLQQSNLKSATQIQLQGLQDCDQILKSE LYSFNNKTNKFQQITFSLYPNMLINNFGEFLILSTCIMIKKIIQYKEFIAQGVLLYNHHG NLFIFYESYVQQLNWEKQLKRFCKQQNFSQKYTIKEKLSIPNHFVCVKNKNNRIYTVQMI RFTHLNEQNYEQLMNEINILMCFKQSGLNQFHALFEDGEILYILYDYWIGDTLFKQLQQG LLLTMQQISQIIYQLIKVCRFLTINNLYHAAILPTNIILLRNNNNAQQQTKITLFNFSFR DVNQVPSIIQKLPNAWIPPEFSCLNVKQDFAQIDLYQIGVLLYYLTIFLPENNIKQGPFN KTIDNFQIQYAWLKGLQHKSPIPYSYSLVDFLCQLLDPNPQTRITYSVAICHQWLINQKH QQNPIEKDNMKILASLRTILELREQNSNDYASSQRFDQKLEQHITSSSEEDDQVQTVDDY LHRISKERNCIPHYINLMNIITPCKHPSRKSLNDQIQFV >CAK69161 pep:novel supercontig:GCA_000165425.1:CT868065:42926:43854:-1 gene:GSPATT00037598001 transcript:CAK69161 MFRKSLFRFSTYNFISVTQKGKVALIQLNRPKALNALCDGLIKELNQVTQEIDANPEYGS IVITGNEKAFAAGADIKEMQDKSFPQVQNIQMLASWENLTNIKKPILAAVNGYALGGGFE LALMCDIIYAGENAKFGLPEITLGTIPGCGGTQRLIRAVGKSKAMEMTLTGEFIDAQTAL SYGLVSKVVPAQNLVEETLKVAEKIASFSKPVAALIKDTINEAENIGLREGVKYERKAFY STFATQDRKEGMSAFVEKRKPSWTDN >CAK69162 pep:novel supercontig:GCA_000165425.1:CT868065:44725:45776:1 gene:GSPATT00037599001 transcript:CAK69162 MQIDQQQQSSTLEKEAESPKRKEEVRLIVFPQKFLNPLLTFRMNGDFHLYADSRYIQSHH PLKIGISCQNPYKKITNIVMDQFSETITLFYTQLDFSQTPWEYVVKRLESYGDFEMNKLS LYIKKYNEQLNSGLKQENNKTVRTMNQLLQTVSASYRSKGSYQYKYIKELDQFFISSVTY DIKLIQDLGFAIPQFIEQCFKTGIPEISLKPDSTNDQYYKNVVEFAKPLIFPDEEQDFYL YSHRYPHGLKTSVSFSIGKVDKSTINDELVNFNFYFDYKPTINTKESVLTTKKLRSPNSI SFYYQIQENQYHRCGYKKTKLS >CAK69163 pep:novel supercontig:GCA_000165425.1:CT868065:46747:48944:1 gene:GSPATT00037600001 transcript:CAK69163 MKSQSIYKKSNQIRSTCIKSIEANQEFYLAVIEQQMCVSICAYNEQTGEVFVTQFLDCTT FNITSSTILRFAPKILISIENNEKSMFFKQLEDELNDTLIYFLPLNVLQIKFELNQFSKL VKQLNQLQLCYTALSYTVNQHLNIMINNLPVQFYNIKEVLVFNIQTTLSLDILNQLYKLF KPITNGGKRLLKANLLQPFSTLQMIHIRQQNVKEMLSKDDSTIQALKSHLMKFKQVDTLA CQLQSKSNKLQDQLQQIFKIYNFQKDVINFTHYLNDQKLNNIMDIDINGILMSDEFQNIK QQIEQYIDVTLQLNNIEEDTLFFIMLNEKSNNLKQNRLQFIQLRQEINNLFQRLLSCFCL NLKCKKLKSNDNSIKCLKSGYIFELSVNEASRNNINELDIKRIVEKENDSISFIDKNNKK YSILTEKLKDLNNKLNDCTANILSETQLQVNNLYEQMQKLCSWIFSLNCLISQFDIALCI RDYTIQNVSSKQQMIFPQFEYDQFVIKDASYLSSVSLHDVFNKLTISFNQFNIIYDYKEC LSEQLKLIGQLIILSQIGCTLPCSQFKQQLLSCLYTHFQKYTIQNLAERQSSFTAEIQSL NNLLVSELSDSLILCDSLAISSSHESNVSFSISFLEAIFKKTHFGLFGTQNSDIMQINDL IQCKCMISNNNQIDYIQQNQLEQILDEEFNLDYKLKLLNKLQQTFDFPSTFCLIFERNFN KQYV >CAK69164 pep:novel supercontig:GCA_000165425.1:CT868065:48988:49969:1 gene:GSPATT00037601001 transcript:CAK69164 MNLLNCLDLSQQIVKQIFRSKYKSKVDTNFNIECNQIRKKWSNNGQNHIVIQFYLCKSRK QYLVEEWNIICIQQQISDHIQEQFKKCEQLASQTPLCQKQDNQIDHIIHLNENKRTDWID PSLILTSQLQLSNKVTINVAYLSTLEVIEREIQESTKDSLPFRRDRFLSDDIQQSNRLRR STDIDGLRQYKKNKEILNKVMNRMNDSFSSTSSKNKSTQLLTIINKKDDIEILSSPEDAQ KIIDEDENGDYEIQMIIDEEPIIKGQTVIIKAQQQQFHPIIDYIFKIRNKKHNYNTQLID TMNFIKNQY >CAK69165 pep:novel supercontig:GCA_000165425.1:CT868065:50327:51828:1 gene:GSPATT00037602001 transcript:CAK69165 MAEQEEYSQCQGLGYTLIKHSKSEIRKISDPIYDFIYFDQAIWKVIDNPVYQRLRNIKQL GTTSWVFQGANHTRFEHCLGVGHLAQQFITSIYKNQPYLDGEEDKRRNIKLVTIAGIVHD LGHGPFSHMFDNLLIPKLTNSSELWCHEQASEMLFNYMYDKYSLGLEKDEVNFINALVHG DNNKVSNGKKQWFYDIVSNKRNGIDVDRVDYIRRDCHHLGQPPSIKDFQYLMQESRVIDD EICYPQRYAFNIFDLFNTRYKLFKIVYLNRISQCIEQMMCDILLSVNSIYKFDQIIYEPE KYCKLNDSIIEQIDSAEGPEYAKAQAIIKRLKMRELYKFVSEALIPQSTILQSNEKIRDE IMAYMPSSAQVNIDEIYVSQGKVGFGSNNENPINLVHFYKGSDQDLKIQGNDTTTSFCLP KQFSEKFIRVFVSNVQIIQPVEKAFELYCKNKLGFSPINQDRYQTPQKAPVVTDFSQIKL T >CAK69166 pep:novel supercontig:GCA_000165425.1:CT868065:52108:54844:1 gene:GSPATT00037603001 transcript:CAK69166 MQQATHVIYELEDDEDNKQQTSKQVDKLISLLQQIKIDRKLGAVTYKKWGTLYNYYFSFN LKKARLLCYKTKTSSSYNNYYSLNKGDFVKDESDIDRFEKSLKKRKNYESPPDQKLIIYV RTVKGRIFKLVIDENENYDKIVGYLQICFSSKIQTVTSFFALLGVGLIKVNDYEFKLTTG IFVSLNDWSKTNNNKYLLNNHDQGLNLLISNIELLGGIIGSFYRTQSIFGIIFNPFTQTI DIVLFDNQKQIILLSYFSPKKLLQGLFKLVKFSRKQIQPSGRGQLLKLRTDQNAIQETHY VEIAQNENVKIYIHNEYLNISDYELVQGSMFTSQYVDDQNDLDADKLISNTALEFYKKEY KLINSNEDETKEFIIVNRQQKQLNFNNIVSSRCNKRHISITTEEIVDENQGQGIQVAKSI KVHMHKSEQSIQKQKYASLPKLELIAEFQTGYFNQIENIDQVKQHLFKQEQQQQQNGKDV QNTQQEQNKENKEQKILECQQKEQDNDSDEIDLVKFSIVFEISEHCPYRDYSSIMNYAVS FKKIDYKDEYRVSIAHKSGGTACQDKKIIELARSVGKNMIKQVGQKLLSGNFNLTQVSFP IKAMIPKSALEKTFMQTILFPLYLNKAASLQDPLERMKLSIVGLLSNYIQANSFLKPLNP ILGETFEGGYEDGTQLFCEQISHHPPLSYFLVYGPKKSYKFYGYSLYEAKAGFNSLTILN HGKRTLQFNDQKIQCTFSSEHYSGTFLGTMKNESQGSLQFVDEANNLKCVVQLGKVKNKP TDYFEGEIKRGKTTLNKLFGSYMGFADFDGVRYWDARVVKPFAMQILKSSLESDHAKRTD RIFMVQGDMDKAQSEKERLEQLQRKDAALRKAQRQND >CAK69167 pep:novel supercontig:GCA_000165425.1:CT868065:55818:62770:1 gene:GSPATT00037604001 transcript:CAK69167 MQFLLIIIVLTYVEVLYCQWQNNLALLTSNTLFTQQTGPYASQQSGTFLQFNTQITAYFI TCTNPKTSYITLNSQYPSVETDQNYFLQSGYFVAFDLFFKSTWDIQVIRFKFGSFEYQYI YYEPSDHPLTYKFCDNKIVDVKTVNFSITTTNYEKIQFIFSYFNIGWVSIRNFYISSFNC YPSCSSCSGSGFNECTDCYFQTPTNGICPSCPTNQYYAKHIGCKPICDIGSSLIKKGFCQ NYPTQSFISTQFTPNVSSPENMKWSLILDPLHVDNTLLPNIYVNYQYIYGIFKYHSGVNR FINELSSNYSTYLIGFKITLITFNDIPLGCGIQININNTYYGSISRNDQGIQAHQLSIYE TSDFGSYPTYSSVKKYVLVTYYDIPKNPLLFSAVGNFSDNSAGWGIMQVQATSGYCPQFC KLCEVPFKCKTCNSGYYYYKDGTCISSCSLPYQKIVDSYCQDYDDETPYSMFLVQEYINT ANDPEQYSQYTLISKNGINFLKGLDIYYSYWQSYRVFGGPFVWAQAKFKRVHNILDPHHS LTIAFYILYGPTFPSNGYFIYTIENKSPVYKSSSNAQSDYSDGSKYDKVYERISHNTNTL TIYWECYGLNNEPIEAYCGFYNYYIAVHKCKPYCLQCSDQNTCTQWNSTYDQNIVKFSQA ECDIHEYYDKEQVRCIQCPIPCLYCRSKLDCLSCQPTYTLSKLGCTCKQNQYEQSNQCYD CPIECNQCLSQTYCIECLISNNRQLQNGQCICIDGYYPIISNPQCLLCHQLCQTCTGPTS NECLTCKNILNIEQIGTTCKCSIGLYYKDSTQTCSNCHSSCQSCFSSAINGCLTCNLSLQ RILKGLKCECKPGYYEVNDICINCPNTEDNSLTQCYKLCNNNQQIWHTTICSSCDGGFQL QYGECQPICGDSQIKGYEQCEHNNNVVDDLCYNCQYQCPAHCLTCDQSTNLPCPDICGDG YITGIEECEDGNAIQYDGCYHCKFQCQPSCTKCIKGECQECGTVGWFIDPAVTPQQCKER CGDSLIVGIEQCDDANTSDADGCKDCKYFCRIGCSSCDYSTGSCLSCEFPGFAPYYYFCT NICGDGLVVTDPYGYYYEQCDDANTSNYDGCSSWCQFQCQPSYICTNCVNNRCETCAQYY QLSENKICIPICGDQQQLFDENCEDSFILPYKGCQNCIPKCQSSCIDCDNNGKGCLACQF GYERIDNLCFSICGDQIVTDDEQCDDGNFKLADGCHFCQFSCQDSCGNCISGLCYNCLDG YQLIQNKCHPICEDAILRNDEQCEIIDQSISEGCVNCQFKCDVNCQICLFGMCQLCQVGF HMPPDGQSCQRDYQQQDIQLEYCKLQIGNACKQCEDFAQLNQITKTCSVNLQYKKCMKNC KLCLDQICLECYTGYYGFKCIPQLGDGIVVEEEICFDQNNYDTIECYSKCDVNCISCIIG ICDLCLQGFYLFNNKCIPNIITNILYVNDDLDLCGDYKLSINEECEDGNTYPFDGCYQCK FQCDVNCINCQFGKCESCNSGYILNNNYMCEPECGDGIVIPFTSEQCDEEDEGCLNCQFY CQPYCLQCNVHQCFQCFNGFSINQNECIPVCGDGILLSDFEECDDQNDEQFDGCFECRFQ CQQNCEICEEGNCLREFCYDGTYWLKDQCQAICGDKIIAGDEQCDDGNQIQYDGCHNCQF QCNQQCNICEEGICLECIIDYALIDALCVLNQKKLINNTNPGSFNNNSSINSNGNSNNNK NNTINSNGVKQQESVLESNEICRDSECAYSKKPNMKLTYNYQQFSYQYVDISFDQEIKFS DSVIKQQELFNISILDLEPKDYNITVNTIQEVSFDLQNAFYQVVVEIFPQLVNRPILFIS LNQEVINSNNQTLQENNQTITLYIPKVISESIKSTSIKAQQSNKAFMIGAICLCVISLIS GESSVFVETLNVLQYQSYLRFINIEYPENLFIYFQAQDLLSITSYLQFFQLDDYLDFITR KEQQYVDLKGKFKEYNIEADLFTNIFPQMIQFLGLITLLRFTKKIHNLLFKLLQYKKVIY YIQTTKSKILMAIINFILYLGKSVKQLIRIRYLFNCDQIRQLIYLNSWDLIFKVILQLNY NRIDNIRSILTTVFAALIFLYYFYFLLQSFKQCSDIYNKNTKAKLEIKFITLDMCRTIFF HIVLILFQDQQFLQCLLLSVSSLCQCCLLYKYKRCSKWDKITSILIEAILTVFSLSLFFY IEIEQVYISYENKVTLGFIHMNLLILSLAIVLAKQLIPKIINICKFLFQQKKPKVATEIL FF >CAK69168 pep:novel supercontig:GCA_000165425.1:CT868065:63489:63956:1 gene:GSPATT00037605001 transcript:CAK69168 MGCVKSNGNKKLEDMKQGFVIRILHPENRTEKQFKYFDEKQKSDLIMNVMNGICFSEKVS DKCDGNFISVYDPSDDRFHYYIQKLDGIEIDNSNEPLKGRIWVPYINEKRSDWDILVENN TRISITDHLVWKLEAVKK >CAK69169 pep:novel supercontig:GCA_000165425.1:CT868065:63995:64358:-1 gene:GSPATT00037606001 transcript:CAK69169 MARKNNKQKKKAQHEFILQKEQEEQKKRELKQQKKIEKEENKWIDDLDDLEIDSEEHVFG LKKKIKKDKKNKKTKKEKQVMKKPESRVDSARRKKRERKARKHPISYSKEIEM >CAK69170 pep:novel supercontig:GCA_000165425.1:CT868065:65279:67057:1 gene:GSPATT00037607001 transcript:CAK69170 MFICCNNKPKKENKSVKNGSTAAHSDAESIKQSKCEEYTNKEAIQEIKNIEPMNEKDKKL QEIQNIVNRIKVYRNQGKFDMSIDIEKAFVTSLIEQDLPTTHFVECRWAVNYTKFLERNI DDIPPPINNHKLLTEDNTNKEIVVIRSEKDTQLLPGLKPDRHYVLLNDRSWNFIQLLYGG GPTIIIDLDITVMSPDIFHDDLTNVTTHTANPSKISFDPAIEIAKYEIQNLEESEITNIK NTNGRSIPQKTNSIKINPKPYELPYVGLNNPKYYCYMNSILQCLLSIKELNNSLIKYPKQ QNKKFTMAYQEFLKVVQNSIPGSSISVEKLQNMCLNKFKYTQQQDAHEFLLYLLSEIQEE LVGKKQYKKEEFPNAQEAWDIYKSRNPDIITDLFAGQIASKSYCSKCKQISEGFDPILDL NLPLQKNSIPKEYKLYDCLQNYFKEEQINDVWKCDRCNFVNKSVLRKIKLTQTPKYLILH LKRFTQIPRSQKITDEVKYPEILDIKEFCEENVEYTKYTLKGVISHMGQLNGGHYVAYTQ RQNQWYNFDDNVVTKDKTNQHLSDKGAYIILYEQR >CAK69171 pep:novel supercontig:GCA_000165425.1:CT868065:67118:68828:-1 gene:GSPATT00037608001 transcript:CAK69171 MRTTPNSVKETASKASTQDYKKQQSQPTVSIPESSQLFHIPQKYCQQRFKPQPTISKSIE EKRYKANKNNFSEINNRTNSSSKSRISAFTSNQIEDESMYSSQNALKLKKLIGDSQTLNA HLYISKSNLTCDSTSPGVRQNKSGYKKQNDLDIAQINMLREKTLMQKKAYQLQFTQSKDL MDQLLNLDRQIQGTVQEQSKKLLYLKSRGLWEPFKDLFNKQFARTSLQYKSSLNLLQIQV REIEQQWQDITMNITNNIFELMQNSAVLMSYEQDLKLNEMIEQMRRERDIWQNNYKSLEQ ERDILLETVSQLKQTLEKIKPQQQQQQSKKGKDIDQLETHQLKEMAQLMQDKIQEMSEKE SKLIKLVLAIKRSGIDIEKIYNEEVLNEDSISEQQNQSIREKKQMITKLEKSYNDADNSV VNDSDESSFQYNGRLEDESIVESIRRFENRISNNAYALESKSQVRMKIDLSKCNQNQQKI QQLQQLQQQQLVKKQQQQQQNTINKLKIPEQDPLGFHQEFMMKFNEFSESWRLQVLKDEK KINQ >CAK69172 pep:novel supercontig:GCA_000165425.1:CT868065:69350:69652:-1 gene:GSPATT00037609001 transcript:CAK69172 MGCVTQKQCPNQKQNKFRFHSQSFSNVHQNKKVIIESPNTTNNNNPILHYRSIDITNDEE FILKRDKKYIASNKESPTTTPKFNQTNPMCIGQRKNVKVM >CAK69173 pep:novel supercontig:GCA_000165425.1:CT868065:70930:71253:-1 gene:GSPATT00037610001 transcript:CAK69173 MGCRVQKSKNQSNKLCQAPQEIPESARIVQENDGQTHGQKSDIRETENFTSQLQQVEIGK RLKHMASGDELNKFINKKQTNPQNHFGYIKNSPEQRRKLSLMNSPKL >CAK69174 pep:novel supercontig:GCA_000165425.1:CT868065:71496:73973:-1 gene:GSPATT00037611001 transcript:CAK69174 MKSQAFLITILLQQDYWCITINLLSTIPRLVKLQKQIQFEYNIFNQLSLLIILIIKAILL VHVLTCFWLFKLLNEEEDSFLEQYLHSLYYVLSILTFNSTLIDINDHQSVIVYTVISFIS LLFLAYFLAKMIAIIKEEELEQQLSEFMTQTNLDSTLKQKILSHLLYQPQYCHDRFISKL SSNLNQEYKVSQRAKLLYSYFKHFNKRTIQKIIDNSYEIVCQPDQTIIQEGTLDDCSLYF ILQGQVYLKSKNNIKLQVWKQNKSFGEIEFYTQKPRNFTVQSEGVTRLLKIERSTFLELL NFTDKQLFIQQRDKIIFNLGLPIECACCLQDDHIITKCPMLTYRPDKSFIVKKFTFPHKQ PRQEYKRRVSKDMKALNFYQCASQYEVAFLKLFSDQLHMSQLSQSQFAYDDLAIRDSYIS ARSLTKLSRDRSVVKSTSFMKQQSAYEQQSFPNNTDNIFSNLDQDKEFQILNGKQDKNYD EFASLARTDQQKRTFATAGFGSQSLAASNKDLTQKSLNIILEHENSDSISEPNSKSIEEE NVVESPQDKFERKNNDPKLTFNYNFETQMNELQKQNQSPPRLSRLGSGSIRQQSSRNSTY KYPDVISQRENSSRSLTYSPIPSNSQYKSGKPSSKQHRKSTFSFPQKLIQQTRQVEITNF DDSRSQQQRPSIRKISTKTGTKVSVIPSSFQPFSNVDLPVYANNPFGLNNFDAMCTFDDY LPHNNYEITIYKYFIDKSLRANKFRNIKKIHISKYLSDYQLSKMIQLLKQKRLKTVKSQQ KLE >CAK69175 pep:novel supercontig:GCA_000165425.1:CT868065:74048:74720:-1 gene:GSPATT00037612001 transcript:CAK69175 MINQQVNSTKTEPVQINYDQMKGPFQYAPFTIDHPGQPEDDFSVKDPTVKTLDRSRLCLS PFNVNHEVSFLFSFLRFLMKGFPSSKNQATFNYWHSPKKVKRLLKVSRIRRNSNPDLQNF SKPKSTSMKKQGNIPIFLIWANRTAFHHVINVLSFSCPVAQFKTTQILESHLFIDNDSSG YNHSVKARTHLCYISHSQTCRCPLELNFNICKQWIN >CAK69176 pep:novel supercontig:GCA_000165425.1:CT868065:74758:76494:1 gene:GSPATT00037613001 transcript:CAK69176 MLVDYSDDEQEIIDNKPKSILVNPEVDCSHLVKKKEEEKALELSKTYNFLGQKKNHLTGN VESIYYNDAVFEEQFHKFNVYGFAVDPNERNRRVIACNQKQEQLSSLMKEGYDVDAADPL FSKNVLAGMHKEDKLKQQELKSNRVKANDPSKGEFMGPWAGQQDEQFENIQMNEEQQQLL DQLEEQRKQKIDESKKQEENFVPYMEQHVSQTEQFGGRQFIAPPPELKYVDHTCYIPKRC IQTFHGHTKGVQVIKFFPKFGHLMLSGSLDNKIKMWDIIGNKQCVRTYYGHQGALRDLNF SNDGRTFLSAAYDKKILVWDTEYGKVTQTINLQHFPYCVRLNPDPAKQHSFLLGSSDKRI KQFDIRSGQQTLVYDEHLQAINTITYFNQNRKFVSSSDDKKLFIWEFGIPVVIKHISDPE MHAVTATAVNPSGLNWVGQQSNNLIIVYDTKAGNFRMNRKKNFKGHVSAGYACGVTFSAD GQFLASGDSEGRVFFWDWKTAKSYRTIQAHDNVCIGVEWHPIEPSKVVTCGWDGVLKLWD >CAK69177 pep:novel supercontig:GCA_000165425.1:CT868065:76494:78313:-1 gene:GSPATT00037614001 transcript:CAK69177 MNTEANTPKPAQVLMEIPTIILEVKKAHEQYPNIEKEFEERTKKFSNLTQTMTVNFECSL SKEPIKYAVYLAECFKSFRHYDTLIDLEQFLKLYFSNATQQAAKVLQCPICKSQTKFEKI EQAFFPHLLINQLRSKKQCGEFPQHMMYNFVDKTFYPLHKGKMGSLQNAYFEQIAKLMKK EIMPQSQFYQLVQKWNANFNFLFYNNCSLSSMKVMIPVRSQNCNHFEVYDLTALLHHFDK KEKQFKCKRPDCNSIIKEEDLCLDQDLFNSCLKSYSFRFSFIYNKEKRQLEDILEEKQDI NLIKYRSFTKLSEYLNYQSKIYKIVDQIYTSLINQEKTEEFYQKHSLDKIASEKLEFKFC QFTGQRIELPCRCIRCEQIQTCDLRYMSCILYQFQNPAEGKMAGNIIDHCPLCKNPFTKK QKPKDTALHEQVYVDVKMMNFITITTGFVNINQKDFINYLNNKLITKVIVKNDQESILKG KQAITTIQLKCPISKKKILRPIRGNNCTHAQPFDQGIIDLHQNGTIDLNQIKCPICQTKF DYFIEDNFLKDQLQIFFSYNLEECDAVRLSIMNDKIQIKPRNQ >CAK69178 pep:novel supercontig:GCA_000165425.1:CT868065:78505:78855:1 gene:GSPATT00037615001 transcript:CAK69178 MGTSCSCKSMFSECTAEITRHHTLSQKSEKQTDKDNSFIQISDNTDRHFILQQYPERFII VYPSDDENYEVLKQELIEINENCSLIIQEYKDYTQNSINYQELSSQQPCSFNDFKL >CAK69179 pep:novel supercontig:GCA_000165425.1:CT868065:79283:80290:1 gene:GSPATT00037616001 transcript:CAK69179 MKQLKSQAKKSYFDNQKQKSHCRSYTLQSDQDSILDKLNISTVKILNEMQFTKSKFVEVE SDFQIRQKSYELEKQKKILQMKEEQLRNEQMQSKGKPKINKTQQIQEMISNYMEASKNSK FGDLLLNKEKNMQQELTSNIRYENQGNHENNDPERIATQTLESTKMYNEQSVTRRANSYI PIHERVKYIIQTKNDKIQEQQQQQQQEIEEYYQSLSFQPLSTKSQNIKFSQQDTETFVSN QLKWNQQRDKWIFEQQLKKENNTPKYSYRPTISPFPVRQSSVQRKHSKSINLSFFQSTAH KTEASPKKIQKQQFVFQTDLRIAKRREKEQKKFQK >CAK69180 pep:novel supercontig:GCA_000165425.1:CT868065:80914:81255:-1 gene:GSPATT00037617001 transcript:CAK69180 MGLIICHNIHSKNKKVSFVQDNADYQYRQGQKQSLDLPYRHYINKSNYIASILHHGPGKF NQKGSVKLMNGIGHSSIMQRRFLEKINLNTAYFHSKPLVIMNSQ >CAK69181 pep:novel supercontig:GCA_000165425.1:CT868065:82244:84215:1 gene:GSPATT00037618001 transcript:CAK69181 MLNPTNANKLSTSSQALSKSVHFSLGNSQAPVNFSDMKAVSGISKSAIDHPQVGQVTGID CGIHMKQLKGFCIEQKCIHERRKLCVGCLWAHTCVHKVQVPEFVKLLTDKLAIAEFDFFD KVLKVVKKDHLQNEIDEMFLKIKMDFDNHLNDLYSQFMYWTKHGMGEVIKKGFLADFLLD DNVIEQIMDLVLKGRAMEAEDETIQTCVELINADPQEIKLLPQQLQTTLVECEEVIRQTL HQLEQSLITFKQTPFDFKHVKEGVEIPRLRIPGTQGNIQGGGGFGFGGGFSQIPQTNFIG TASQLPRTSVPPTTTHFQNRFASGLASQLNAKLFIDARDLHQSQVWAVCKISPQVIATGD WQGNIKIIGLTMEGQYQFMQSLNHGKNVYSLLLSDTTTLISAGQNQKDEWTIKIWDLERS QLIKELKGHINYIFSLTQPVPGTLVSCSYDETVRVWNLQNGQCIKVFKEFKTSFNDLLSW NQEEVICCSDDKAIRLLNIQTGEMKMQLFDSCFVNGIARVSQNEIAVGNFKGEILIINVT EQKIVRRMGSHKSFVWRIKMIDKDLIASASFDKTIKIWEWQSGQLVASLEGHQDIVRSIE LIEEIGFLVSTSDDKSIKVWRLI >CAK69182 pep:novel supercontig:GCA_000165425.1:CT868065:84221:85109:-1 gene:GSPATT00037619001 transcript:CAK69182 MSNHPSQRPPQPVQNAPHQPLAYSPPRTYAPPVQFASPSYYPIQQSVVAAPVQYVPQPVA VQPIVQPVAVQPAQQVIKGESRIEYIPYEKSVIEYEEVRQRIQVPREKYVTEYQAVEYQT EYIPQVFYDKVTEYVPVDRFQDRVEYYPVERQVVHQQQVVAQPVVQSVVQQVPQYVAPVP QYVSPVAQPYVAQSYVQPSYVPSRVAPVYNHAPYQGRPVSQPRRFSPPSKPVQIQKQPQE KKKTFLENIFS >CAK69183 pep:novel supercontig:GCA_000165425.1:CT868065:85383:87305:1 gene:GSPATT00037620001 transcript:CAK69183 MSALRVVLLGVLGHGKTYLFNKITNANEAVIYGGKSVTKQIVIGQAAHGEFEVVDTPGFD ASEDKLLHAAGVIAALAQGDVNRILIVVKCERTDIMIKNIKKVIGSIQRYKDLITIVVTY WDEQQRNIRHRYKSQESQQQQELEAKYQIETAIKNNFNISSVIISSFEDDPIIITEKITK IILLSRFTKIHLQESEIYSQFDLISVSEESEMEFNKSISQIRSSFRKISKLFLMYIETQQ LNENYLIDKLHYLSLSIKSIANEFIEKFERQYGDYMNETYDTDGINVRYLHHIYLKKELR LDLEQVIKKAQSKMKESQIHCFNWIKQCPYCGLVWIKVVGCDNETTCGNRVDSYFDDLLV RTQNEKRFKIIVNNDSVDIEILDEQKSEKKLVIQQDLNIYQILFNSIKDNPVLSKNFKIY YSFFNSDSDSDLEQLKVELVISILGLQRVDYYIKNIYEVDQEMMINEFKFLLRESGWFQS DFPNLNFYKQKSLGCGNVIVWKDLAPLSGPLLQELLSPELLDYFNDQEQLLKDEADDIAK ELERTYKNLMNQAITEQLKNIKVIPKLLNIMETLDDTVKQKQEQYNQNLQQNFQNHIEKT KIEQQNVKRRYSIKMSIDDNFNSDTENADSSRIEVPKDNH >CAK69184 pep:novel supercontig:GCA_000165425.1:CT868065:87474:89177:1 gene:GSPATT00037621001 transcript:CAK69184 MKKFRIALLGSIGAGKTAFFNRITSSNEPEISGGPSVTKNVVVGQATIGEFEVVDTPGFE SESDKLVHAAGVIAALTLGDVNRILIFVKFDRDDRMISSIRQVLSPIKRYKDIVTILVSH FDHSRNIADDQDRFSQRIKQEFNIDSILFYSILSFDNNDISMKIINILNQSDQKSILLQD SEIFSQFDFMQLDDYNQTKLEKNQSAILRQFRKTARIYKSFIESIDLNDPLLIDILHELT KDIKAEANRHVEEFEILHGDELNEFFDVQGVNIRYLHHIYLKKQIWLDLNNVIKLAQTTM TKCQIHIYHFIKLCPYCKQPWMKVFGCDGETKCGNRILPFEQDEIIGQASAPKKFEIKII DNQLQVDIVRPTSQQYSTVKEEISSKFNQIYKYFKNDIHFQKHLGQNFTKTDLWNLMNQK FGNRLDDICQRINDENKGKQLQQLRIYFYSFFKVENADDNSNKSIGCGRKFNWSEQPPLS GPELNELLSQDLIDFFNRDQEKLLKDEAYFLELSYKKLVKDAIEEQKKTTKILSSQFSSQ QEIKANNIQSNVASANKEYNYFKTKIY >CAK69185 pep:novel supercontig:GCA_000165425.1:CT868065:89328:90953:1 gene:GSPATT00037622001 transcript:CAK69185 MDVLRVVLVGVIGSGKTAFFNNLTKNQEPVKQGGASVTKQVVVGQCIFGEQFEVIDTPGF ESDEEKLLHAAGVIAALSIGDVNRILLIQKFDRDGVMMNALQQVIAPITRYRDMVTVIVS HWDLSENKEQDQQHLTKKIKDRFNIGSILYYSKLNDPKVIALLVQNIIFESKLTSIKLSD TEIISQFDLSTLNYESQDKLERDKEQIIKSFRKTAQVFIKYINEEVNLDDPKAVDILHFI SLEIKNIANKQVEQFEKQHGEQLNEFYDTNGINVSYLHHIYLKKEIKKDLEKVIKLAQEK MINSKNHCFQCLKQCPHCKLIWIKVAGCDGQTTCGNRVYTTSDSLLKNSSTKCRYEIELE SNKLVLKEIQFSNTQNTSQKSQSDSNQDYIYQIIREDKYLQKVLGQNFTKDQFEKLIREK NDMNQNLMYSFLRLKPDDDIIKWIYQQLDIERDIEKQNDKKNKRFGCGQELIWSELPPLS GPELNELLSIELIDYFNDQAQLINDESKFLEAAYKDLVNQAIQEQYKTKKVIQLQKQSET Q >CAK69186 pep:novel supercontig:GCA_000165425.1:CT868065:90960:91844:-1 gene:GSPATT00037623001 transcript:CAK69186 MNSRLLKIFESGFLGLLGFTLIAQQQKRFQCAAPYFSFISHSELQQFRLRFPSLYVLEKF QVEHLLSVIRNQTTQTKDFRLYSDRLIRLLMEKAISEHSKKLAPPEGSQTQTPAQEIQFE NKQFCVVVMVRSGNAFLGEALKVLPGASVGFILVQEHPQTKDPQLMYCKFPEDIDQKQVI LTDAMITTGGRISTAIKALQSNGVNQENIAAVNIVSCEKGLSKVLHQFPKVKVITAGVDY ALNTIQDHRFPGVGDFGDRYFGTVDQ >CAK69187 pep:novel supercontig:GCA_000165425.1:CT868065:91970:94583:-1 gene:GSPATT00037624001 transcript:CAK69187 MVNFSVDQIREIMDKQDNIRNMSVIAHVDHGKSTLTDSLLCKAGIIASKVAGDARATDTR EDEKERGITIKSTGVSLYYEYDIYEQQDLRKVFDQLDRFPRTLTAALRVTDGALVVVDCV EGVCVQTETVLRQAMQEKIKPVVMVNKIDRAILELKHDGETMYQNFVRVVDMVNVIINTY QQEDMGDLLVHPELGSVSFGSGKECWAFSCTRFARIYANKFKVEPLKLQERLWGDNYFDA EGKCWRKDNISGSGKAMKRAFVAFIMDPICKLANAVMEGNMDVANKMFETLGLKLTQEEA KLEGKHLLKAVMSKWINAADTLLEMIVCHLPSPRKAQKYRTSYLYEGPQDDAIAQSMREC NPKGPLIMYVSKMVPTTDRGRFFAFGRVFSGTIATGQKVRIMGANYKVGKKEDLFEKAIQ RTVLMMASRVEYIPDVPCGNTVGLVGVDQYLMKTGTISDHPDCHLIRSMKYSVSPVVRVA VQPKNPGDLPKLVDGLKKLSKSDPLVLCTTEESGQNVVAGCGELHVEICLNDLEKDFAGI ELIKSDPIVSYKETVSATSNIVCMSKSPNKHNRIYAQATPLHENLPDAIEKGQVTPKDEP KLRAKALNEEYDWDKDDALRIWTFGPDNSGANILMDKTSGVQYMNELRESMESAWQWSTK EGPLCEENQRGIRVNILDCVLHADAIHRGGGQIIPTARRLYYACELTAQPRLQEPVFLAE ITAPNDATGGVYNCLNTRRGTVIEEEQVAGTPLSVVRAHLPVAESFGFTAHLRGMTQGQA FPQCVFDHWAIVNGNPLEAGSKVNDLVLSIRKRKGIKVQLPDLNEYLDKL >CAK69188 pep:novel supercontig:GCA_000165425.1:CT868065:95067:95917:1 gene:GSPATT00037625001 transcript:CAK69188 MNAIDELRKTKFTEKLEQFILKKRRAQTNLDEYQTRKQKQQPYVPEDNTDQICSLCKCFY VNYVTTTCQHNCCQKCLFDHLLKCRKKCPVCSRELKGDFAFPCLSIDYWIGIAQKNNKDY EVKLQEIELWKERGRVKEFTVGMRLDILDTVFVWCQGEVKDIRYGKNDQPKQVFIHYLGW DKVYDEIIDVDSFRLAPLGTNTQQKNVIQYKQSNVETIQRSLNINQNANSNARETNHRSL IMALASQFLSLRNDLFNNQN >CAK69189 pep:novel supercontig:GCA_000165425.1:CT868065:95933:96321:1 gene:GSPATT00037626001 transcript:CAK69189 MQSYKLHVVSENLKDRHPYVEPDFKDRYDPSEVYHAVFDRSIPKLIEILLMANIESFKYR DALITLNEMVDHQEMKDQMISQGNPSLQTQVWWELLVHIYIIKISRSENKQSFYWDVQQA Q >CAK69190 pep:novel supercontig:GCA_000165425.1:CT868065:96379:97404:1 gene:GSPATT00037627001 transcript:CAK69190 MMQENSVDGLYVEQLLEEMAQISLCKSNLTKKMIQSFMQSKEYPKFTVYLLEAFAKIVEF DNGIFFFLNCGTIKRFIEILSQENYYDQSYTQRITYLSLEVLSKICANHEGKEEAIRENA INVANRYLDSPLLEEAYFATILIMNCTINLDGKKQCVHVENDEIIQKLISLLNKDFSKDV KQALTNIADYPDGFIIITKLLSNSYETLDDLLGHRVVIALAKLIPRGLENYDEYKQYGRT LCKFLRDYNEAIYVALEETVKIVEILMEFFYYPDLVRDVTDSLLKLMEADVESREYALNY LETHNVNSKEIQSISNKILELFPH >CAK69191 pep:novel supercontig:GCA_000165425.1:CT868065:98929:99868:1 gene:GSPATT00037629001 transcript:CAK69191 MLPYLPNPGQSQQQLNVLNNQSQGNSAQKMKNNAENPITVDDDEPAQPTKKCYNVQCKNV GDKKIKSKRHDILFFCDKCSKLYNKGNFCDFCEQVYGSYDDEAGWVQCDQCQKWNHIACE QKYRNQNIENEPETTPYHCLTCSKNIKKTKPVKKQEEQQPPQKQRPITEQDDARNREKNI TFVATKDNKIQYTYRLNLLEEEIKVDLDLLRNSIKKAKKLQMQSPPQIFQQHITSSQQQS QQSQQQQEVQEQSSLGSRSLRRRINQKLNYRDLVGEY >CAK69192 pep:novel supercontig:GCA_000165425.1:CT868065:99907:100918:-1 gene:GSPATT00037630001 transcript:CAK69192 MEQQILLQAKIAFFLGNFNKVLEIWQQNDHDDDYYYFLVSRALIAARELKPKTIRLTKKP SSKLLEITDIVSRFMGPLIEQQKSDTTVDEDRKQIEDVLKKLKDIIPQQNQKMIQIICAY MSVNSCEAQYALQLDMPKDAFQQELLFLQFMIYLRGRRFDLAESTLLDLRRFDDEDILTY LAQIYLNLYNGQPEQAYKSIQETKDRFGDSSKLMNLMITCLIHQNKFEEAFELGQKVKTL IIDNEQFSDRQEIEVCLSNLIILCELLNKQQQKEEYIQVLEQINKSCHFLKRYQEKVKKI EQLS >CAK69193 pep:novel supercontig:GCA_000165425.1:CT868065:101627:103435:-1 gene:GSPATT00037631001 transcript:CAK69193 MNYPKRFSIGKMHQREKGIYQKDENCTTLPQNKKTQYQIDYIEQGVKLQDVSLATFYLKN GLPYRGLIATENIPANYLLVKVPRQLIISSQTAFESSQRAFFFKHREFFLDHEDGEEHAI IAFLIINKREGIRSKYYRFIEQLPKDVNMLLFWPEEKLKLLQDENLIRKVHKKREEYEQT LLTFKKILNTNEEEFQWAYTNLYTRDFGHNLKYKSMVPFCEFFNHECVDVHITLLSEDDK NKQNEFNNRKYRSKKNGKNQRDQGFYADEELQSVQSSSDSDNSLDLDQDESDLDYFIADQ IIEWTTQKELLEQTYEILRDYVYQQVMGYQQQSNGRARNVAKKIINWKEDEDFDYFCINS QKTENFKKGSQVYFNYGRLSNRELLLRYGIALEKNKYDHVYLRIKTADLLKSGVSRIFQK QYLSIKLKYTEFPFNLLKLAKAINESENRDCYDPQSVLNIINIQTELKGLAKSIQLLQEF KAEFKEDLSKGDLLLKDQKLDYDEYFALVYRLEKQRIIQHNIILLQLAKDILLDPERLEY TSGSHESSQYQYTYRIILKKYLSQILKQ >CAK69194 pep:novel supercontig:GCA_000165425.1:CT868065:103469:105695:-1 gene:GSPATT00037632001 transcript:CAK69194 MKRHSIGKRFRKVKGLRKSDPNAVVPETPKQLQDYIDILTSKGVQINKVKYAIFQTKNGL KYPGLVASEKILSNETLVSVPRDLLLTTRHAFESPLKQMFIDHPQYFSNQFQSSWEDHQL MAFILYEYQRGPESEWHLLISNLPRDIDYLVFWSHEEQELLDDEKLIKLARKQYSEFLLE YETLKCITDKYPQHFKPETVTLENARWVYTHLVTRCFGKYLAYVTMVPFCELFNHECTDV FYDFEYNADNPHKSEESEQTQVKELKEDEELSITSSEGSYHSEDEISDSEYVTDTYEQFK EFNFDDFSEQSTQKFYEEFSKTFDIKQLENEEEEEFNKRIKDLQDQQIKMRKDFNIKLNL QREVFLLSRDCKTFVFQNIDYGDNYSIFFIGQMFAKLDKSIQEYITGGKAYFMAREDVKR IQLTCNTYRNNLYAFQKDVMKQPIYQTSYFQQKRAKGQNQSMDTVEQILSQPLDPDCEYY KQVWEKDKFDRFVMKASSKDQFDKGAQVYFCYGRLSNRMMLMRYGMSLEYNKYDHVHLRI EYLKYLQSNEAIWLVHKYQISKFKKFKLKHTTFPLDFIVFCKSIYWTFNVHSLDSFFKIQ DLKLERKALQLALEILVEEISKFSDKLEDNEKLLHDNTLGYHEYFAVIYRLERQRIYHHN INLIKICIVVIDRMLDGVPFEQATEKTQFDFDYCETNRIILKKYFEQMKYALYLPK >CAK69195 pep:novel supercontig:GCA_000165425.1:CT868065:105730:106801:1 gene:GSPATT00037633001 transcript:CAK69195 MRSSEQQITTLLIEGSNKQGQLGITQQQTELKEHNYGVKILNIACGGYFTLLLLETQQCC LLGFNITKLIDIPKVELIACGQWHMVIRTNNGMYIWGNNDDGQLGLGDYEPRNEPEQLEI PLGNVVCGSYHTLIYQSDFLYSFGRGNKGQLLVNKDKSCVPIKIEHQNIKFVAAGDYQTF IYSNQLTLNGKVLHYNGIIKQLACNTDYSAIVTPDGIAVFWDKSNTIKHHFNNVEQVSMF GSRCYLLKKDGSVELLDGTIITKQIIKSIHTGEQHSLFVLLQNSFDSIVNETLLLSDHRD VQSLQQESRNYLFYERKIAELQLSLAKKDKQIVQLQSELNALKNNFNVQ >CAK69196 pep:novel supercontig:GCA_000165425.1:CT868065:106819:107563:-1 gene:GSPATT00037634001 transcript:CAK69196 MADTKGSMVAPLSKYKLVFLGDQSVGKTSIINRFMFDTFDGKDHPTVGIDFISKTLYYED RTIRLQLWDTAGQERFRSLIPSYIRDSAVAVVCYDVEVKTSFASITRWISDVRLERGNDV IIFIVANKIDVLNERVISTEEGANLAKECDAHFIEVSAKTGNNVELLFKQIAATLPGTET SQMVNSVMNNPNQSNNVKLENQKNQESEKVQTKACC >CAK69197 pep:novel supercontig:GCA_000165425.1:CT868065:107616:109222:-1 gene:GSPATT00037635001 transcript:CAK69197 MKPQEIPQQAAQSDHEFDKHHHHESQADDHGDEHHLREYTSKELRSIKIQGVICLIGGIA LHFVLGTFYLWGGISPYVGAYMKDRDPSVTQSTLQIIFPILGIGLFSVLSFGVKLAQRIG YKTMIGLGGSIISLAFLILSFINNIYAFIFIYCFMVGIPSGLVYMLPIICGWKFFPFNRG LVSGLIIAGYGFGAFIFNFVCKAICNPNNEEPSIPFEEDGKIKKYFSKDVFQNVPFMFQM MALSYFILTIIATLLIKYPRDLHLEYQAVLGDGTKKPSGINHEKSIDYHPTVLHAECETL GQGIKSRPFWFLIVMVLCSVIFGLLMANCYKVFGQTLGIDDSSLTVLGSVQSVCNGGSRF LWAVLFDKYGFKKLFLVISVINLICSATIGYINNSYAGYFIILCLTMFCEGGLLSCYPAV SAKVFGHKVGPVIYGGLFFVIGISNMLGYLLYKFGEPKIGYNGVFWIVFGFCCVAFILGV LFKEEHEWKKEKK >CAK69198 pep:novel supercontig:GCA_000165425.1:CT868065:110081:112154:1 gene:GSPATT00037636001 transcript:CAK69198 MFNNDYSCTYIDHLNDPIQGFCLNSDCQCSQKQFCLKCIQEEDKHSKHKEDCKGFNEILG LITKNIATFSNLYKELEGLFIEVRAIYDKQLKEIDATKNKFITLQQMLEEQNYQELRESS NLSMLRFMYSLTWNNKFGLNNSYKSELLIQDPRFKNLKLLLTSFEQLKNQTPNNGGNSFL CVQQQGPDLEMSYLNQSQQLFEIGLQYMQEHEWDKADQVFKRSIDRNQQDYKTLFFYSWV LIELNKCQEAQSLLEQSLELNRNLSIDLLNWCGWEKNTSFNSVLTIAQAYALELNDNQEK AILLYDQAINYDKRQTCAYARKATLLVKFKIYDAALETLNEGLKITYNKAFIHYCKGIAL FESQQLNEALNSFKIASQFDPNFGLNNFNVGNILRTQQKLQDALIYMDSAIKSDPNLLKA YTGKSLILCEMKKYDLALDCLEEVLKINPNYEKAYHLRGNCLKQQRKFQEAIQQLDKAIA LDNKYVNAYTLKGNCLSQLKQYSKALQCYDQALQIDKQCIEVYINKGILLQDLKKFKEAI EQYDLALRIDPNCPLAYKNKGVILETMKKFEEAIICYQLAIELGDADSDQIKKWIGSIKV KKGISWIFGY >CAK69199 pep:novel supercontig:GCA_000165425.1:CT868065:112291:113817:1 gene:GSPATT00037637001 transcript:CAK69199 MQNQNIKSVTSRQDVKPIKANITREQFQTLTKLYNSGRQQNNNVERDRNQQQQQASNQQQ QQTITPRNNTPQSCRTAQEVFIYSKQKKMKYFLYQKSNLKEQGKMQNNSQVLPKTKNSLH RNFSQLEKSASALLTPLIQTTTTPQSKQKKYNLMSIDQRFPSNQFAQQIAQQLKKKNETN KKENLKVSLDDFITQVSRPTKTLISPFKQTKSLSPSTRVQSAKPKKQIYYVSSLVEAFKH QYPQTCEQQLFKDHAVQTFNCVGFCMNLQESDKEIIQSKILNLPPKLNCKYQKTVVFDLD ETLIHCNENQSLKADVYLPITFPSGDTVQAGINIRPFAKWILQELSQICEVIVFTASHQC YASQVIQYLDPKNQLLSAQLFRDKCVLSPDGVHIKDLKIFNRDLKDIVLVDNAAYSFGVH LENGIPIIPYYDNKDDKELKTLYDFLVEQVLPAPDCRLVLQSTFRLREFLKYNEPKLAIE KLF >CAK69200 pep:novel supercontig:GCA_000165425.1:CT868065:113949:114360:1 gene:GSPATT00037638001 transcript:CAK69200 MRNLQKVNQKTQTNQENKINNKQLKKSKQEIDQLYEESVKQSQENLKITVKVADKAVQQM EENVKSSNANDHKKGFLTLSKQEKEKRKKINKEIELIKEIFWNAIQNEPLYCKCQQPSFV SMVMCDNQL >CAK69201 pep:novel supercontig:GCA_000165425.1:CT868065:114465:115294:-1 gene:GSPATT00037639001 transcript:CAK69201 MKQQQIQEDSDSEYKQEVVDKSINDKETKVKVTYKQVQVRPGVLERQKWEKWGEVSNLPR GQFREGDMLVEAELRIQAANNQEECNFVIPPPKQLFVVSFDKESSKPQANQQVGPRQIGQ SIQFEQAPASSQWLVIVSNLVQFEYAETFQDIIRAKDDFRGWLRKQLDQEEGRFSYYINF LQNRRKQKVYSLDGLNSHQPLIQKVMLKFQDKNRAEIALEKLNGKSYDGCILQLELQEQR PR >CAK69202 pep:novel supercontig:GCA_000165425.1:CT868065:115307:116816:-1 gene:GSPATT00037640001 transcript:CAK69202 MALTKVVLLCIQNKKQLTITHDQIYKHFSQYGQIEKILIFEKTQIWKVFLETKNKETAQN LIKQCNNNILNMDNSLRMQLYPSNLENVTFSDSNSAGKDYSKSKEKRDSYNSTDDEQTSV GSQGEQNPNGNSTKSYQQQNIQQSIYQYQQHLQQRLYEQQVLQMRLQTQSLDLQVPDYVN TIVSAQWVDQFVQLGKLLQSQYLLQYQLIDNYINYIKQESANALSEIHNQQRQQQLQQQL QINNTLNQIYHVEQEEKSRVIVAKSFDDSVTTIDMLYNIFSIYGNIDKMVYQKDKSTLLV EYHLQKCADQCIEKLNNVVFQGQTLQITYSILQEITFLDELEELGLVEKTFYEERFIGSE ATNRYKPKNSFVAASPNDTIFLMNLSDELLNIESIQPLLETEFVDYKFYEDPKQKHSCAL KFKTVDDAMYFLAKNHGKVMADRKILMTFSKKPM >CAK69203 pep:novel supercontig:GCA_000165425.1:CT868065:117833:119672:1 gene:GSPATT00037641001 transcript:CAK69203 MIFFQKSKCCVCAKDLQALKLRKSYRCKMCHQDVCINCSENRVKVQIPQNQLYAKPNDFV KDFNLPQRVCDNCFRDYSYYQKLIQEYGLKWNTRSLLQSKWIGKQERKIKIQIGISESDR EIIDKDVITGRSEAFLFNYSLREFITQCQEGYDQTYIRESIIKVLQLFVTHYPIIGYCQG MNQIATILLCVSDEEGAFHIMNYIFKQIIPPRFYSNSQGATLIGYQAELYFLKTLLKSLN LQNFDQLSNFLDVSGPQMLLTLMLQVLNTSSLLVTWGEMFKRNSFIPIDQAIILSLVQAS RTFDLTKQGIIEEIGKNIKYSDLSQIFSKEGAYFTSFERQVQIEQYYSQTSRSWVNNEKL ILFRLKKITNFDTEEILEIQNEFKKYCMESRSVSISRHDRQSIKQSAQLTDSSDDDTDYL QNLQIQQVKLQKYGINKEAFLDLMEQFHQHQTKYQILDRHKYELVFNLFDENKTELLDFR EFLICLSILLRGSFEQKLKMFFTAHTGSSLKDQEFQTLLSIIIPQDLQSQDEYQSFLKRI YKPQYSYQDMLQVSQDPFVSDNEYKRERSQTSVIIAQSLNHIKYN >CAK69204 pep:novel supercontig:GCA_000165425.1:CT868065:119876:120627:-1 gene:GSPATT00037642001 transcript:CAK69204 MAEQESVATVKFANQPKLFGKWDYDEVQVTDQCFKDYIAVQTSKSRVFVPHTAGRYQRKK FRKAQCPIVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGG AREDFTKVGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAA SNEDGQKSYTIKKRDELEKVAKTNR >CAK69205 pep:novel supercontig:GCA_000165425.1:CT868065:120701:122481:-1 gene:GSPATT00037643001 transcript:CAK69205 MNSPFRISSARRSSGCRSECKQHFFTTNKLILKQPKDKEKLEETVMHLKLQINLLNDNNA KLRFEIQHLQKQLNKQEKALQMNKKLHIDSKMQTQQSDYTKAFNEEIFNISSQTCQIIAY SKQIKELENTVQQKNQQIEDLKRDTRKTRYTELQVLPSISLQIQYDVIQKEYEELLKKYQ AQRHISQFANNDELNKDNIYLSKQLYDNQQLIQQLNAKIKKLETELHQSNFRRQQIERLI QEKDKELSLTHFEYSNPKKTIADLNKQWQQKLDLQQMSYQRQEQLLIYKQEQIAELEKKI TDLEQQLQQKDFYHKKDIDNLHLVIATLKDQIFQLENEDKRKSLPVIDQPNESSDRNKKH ASVIINKKRIQKVNFHEISLMLLELQMKLKLNEIPFNRLDQFIYSKSVKGCLTLSDLIET FKQQPFELNDEQATLIARFIIEPEQEEWIYYDVNCSNDIVIAISIFKNLIKPYELISGEE HKVLDAQLCQVMKQYQNKIVSYLQLQGDHCNIQEFRKALDYNDVNLSPKLDDLLMMKIYE QFRQLSKFKYSIIFDILK >CAK69206 pep:novel supercontig:GCA_000165425.1:CT868065:122525:123210:1 gene:GSPATT00037644001 transcript:CAK69206 MDLQHSKSYAALTQQSSQLFKPKQLSFKQQMGIQQDQQSSIVAYADSQIIDTGTYDANND RIPYLNQQLYSFKSYRISTEMINGSPIDQIQLILRENENLKRSLNQKQQIIESLNRSRKV KPRLDFSDFKKNSRSLVQPQSQQESIKPKNVEIKQSISQNYNKRIKLPKIEDSIPTKEDE CNFTFANNFFNNNTCKNQKINFKQVFTQSHLKKKFFT >CAK69207 pep:novel supercontig:GCA_000165425.1:CT868065:123228:124505:1 gene:GSPATT00037645001 transcript:CAK69207 MTEYCDQIVTSQIISDVIKRAPILETKSISYKVQFKSTDTEPHLAWETVKYTFEIIKTDL IHGIEENHLFTRRYSHFEWLQNELETKHIGRILPSLPEKTQNYDKDKRKYEFLYYMQKLL DHKLLRNSDVLQKFISKEFKDFNDFQEYINKLRDQNTLINRIMNTGVSFVSLFSKCVSFG SSTILPRVPDKQDLDFDEISKEIQLSKQQTEIIYSDLQKIVQKLQIQSKSLIISQEIFSK AIQYILVIECQQNDEFMKLKRITKIYENFQMHLKETFIYRLETCIRDYVQALKIINEYRE LKDQIYKDSQQINNINIGKSKLEELKYTLSNNKQKVEQIYTNFLDDLPMFKQTSQLHLKD IQSNFHSELSAFYFTLKNLKQL >CAK69208 pep:novel supercontig:GCA_000165425.1:CT868065:124567:126664:1 gene:GSPATT00037646001 transcript:CAK69208 MSSSNQQDESYDEEQEDDEVETVQENGLKQLFKNQEQFMQQINYLNEQAVIKIKLQKFKE AMKLLQQSEQMLEYAASCGKTIDKNLIIVVLYNQACGYQWYKKQTIFSQWVLDKCSKYLS GVIYNMEESMKEEEQDTNNLASAQESDASLVKKKAFLARTLLQHTAILSQLGKHKLALQS ARKAASTMREIFKIASQFCKDWLNKNGSLGSATTANSTLTTKSKQKNNKFQMKDEVEFSR LVIDASKDILQDLSKQQDLDNISNNEQLILREAKRQLYFWRNNPENNEKHIRKELRLDQK EDDYRSILGVQNVQEWIQTFNIGFIMHMTPQIYEDFSSQGEMLYEISKRLLLEKIIYLSI SYFTIATELRFIELDKAKQQGIKDINTDEFKLSELYHLKAVEIACKNITCSSPYINHLIT SYHKHYNSNLDTIQEESMMSMVSEVNYKEQKLQKLKQMQIQTQRENQSILKNLAEVKLND SSPSGKFVNSFLNQQSPLKNNRNISDNVKPQTSLMEQMIINKRRQQMPSDMSPKQKISFF NATSNNSCERINEKLLKTQETLPIQLQPYKSAKTTFNNLIKQNQNSQASSNHNNNGLLKA FFFDNCRTERMNASSNQSPRRVGRSPEKSPDRSQISLKPRNSVLGNKTPQNRPRTDTEQC KYQQLFKRMSYQV >CAK69209 pep:novel supercontig:GCA_000165425.1:CT868065:127507:128901:-1 gene:GSPATT00037647001 transcript:CAK69209 MFSGGFRGFPGGFPFGGMGEEESSNSQAEVDNKTLYELLGVAPQSTTDDVKKAFRKKAIK EHPDKGGDPEKFKKLTEAYEILSNPEKKDLYDRFGMEGVKNGGGGGDMGDIFSHFFGGGG GRREQGPKKMKAKMREQQVTLEEVFEGKMIHLTHKRKRVCDGCEGKGGANAKTCTTCKGR GMVQKLQMIGPGMYSQSTGPCNDCGGDGTIFPEKDRCKKCKGNKVIDQEKVIEIPLERGV PDEHDYQFYGESDEVPGVMAGDLYIRIKIKKHDVYERKGADLFMNKKITLVEALTGTQFT LKFLDGTNLHISTKPGEIISPAQVKTVKKKGMPCYKDAMSEGDLHIKFEIEFPLPGQIKS DQIEQLKKVLPGPKQQAKLEAKKTLFLEDYDETHVNSNPEGGKKDEEDDDDERGHGGQRV QCAQQ >CAK69210 pep:novel supercontig:GCA_000165425.1:CT868065:129611:130714:1 gene:GSPATT00037648001 transcript:CAK69210 MFNQSSKSTSLKQPLPIIQQNIEKSVYESQIYESIADSQPGSEIQALIQQKKIQDQKPIY LNIMVVGQSGLGKSTFIDVLLKKKLGTGQIIRDSTLQIQEIQGQIYNNDLSLNIKFIDTP GFRHQYGLRSWLKLLSTYIHNQFNTYKLRQTQQYESKEKFQQLSQQDLDERVHVCLYFFS GPRIQAEDLQALKKISGLVNVIPILAKGDSYTKNEIIQLKQQFNDLISEYHIDLFKCQCN NSYQEKGQFGITPPYVIISSIEQFQVGNHFIYGRKFPWGICDIFNPQHSDLSILYKLLIG HYCFELISLTEYLYNKYEQKEKEKLKLQLSNQNWLRNLLRYFFNL >CAK69211 pep:novel supercontig:GCA_000165425.1:CT868065:130821:131502:-1 gene:GSPATT00037649001 transcript:CAK69211 MIEIGEKEKDMQKEGLVMPMEMYMLETSKRMKEMDLEQQNLMMKQEQKDIWQMGNYEAKP KLGQELKNSDGVYTMCNGQLIYKERVIHFQVLIQFKLQEMEINMSEIGYRIKLMAKENSQ QKKALYMLVSQKIIDTSVQQIDHRYLLSIMMLIFLQLVYYFLYFLIQCGNQLQLYCSSIS LLLVFKFSPAALRVFQTEILIKLKKLNIDFSLKLS >CAK69212 pep:novel supercontig:GCA_000165425.1:CT868065:131630:131955:-1 gene:GSPATT00037650001 transcript:CAK69212 MSEIKSALQSNIVHILENKPSKNIEGFKQLQLESKRKLIEEFKQLYIYDEKGNLRRYCKQ RILLMVADTKDNYLMIKEVGVEYNIILTMTS >CAK69213 pep:novel supercontig:GCA_000165425.1:CT868065:132152:133340:-1 gene:GSPATT00037651001 transcript:CAK69213 MKTILLIALIAVAFTARVQERNLAKITTDLKQSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQVRTNEHNSLVVSLEQDIQDAVIDVNNTQDTLDNLLFPRRVQ LQARIEQIQDNQEANRKNYDEAILVREQEHEDFEFQIAELNDATTAVDDALALLQTLTNP SLLQVKRFQNSLKNIEAKIKSRSKMAPMIKALISLASNQNFSDQGIIGQIVDALNEFRNA IVDSINAQTAAEAQAQADHEEYLEQLDDEYAEFQRQINRVNVDLTATNEKIDQMTEFRDQ RDADRKQYTAELELENNTYAEETDTYTNLKNEFTRELGISEQALSVVQSADFSNIQV >CAK69214 pep:novel supercontig:GCA_000165425.1:CT868065:134787:146808:1 gene:GSPATT00037652001 transcript:CAK69214 MNKVILTLMIIAITYATQAEMKSSHFKTRESSLSFQDANDINTNKFTCQMGFDFYDYTII ELSKWADIIEHRDQLQRDLTIIDQISRLVDKAKHIDDSQQQRMFLQITGSLQNSLLDMTL AQLNHQWARGNLDQCIEYMRQFQNTVGHTERVTMANRIMDYLENMKYQINRNLNECAQYR QSSRLRQKIRDLQMRKRECQENYSRNNRRDISNQYPYNKKQNYEYEYGQYRSNQGSSVTQ QDITQIKPNRHQPYNRQPIFYNDDEVCPQGEEDDSPKNLTEEQNEDSVEKVEERVDKVEE EPKIESPEEQEIEIEPSPDSNQIKEEEDEQKLENDSNLDDKKPREIIIEREFGPDSEHQN NYDEPEECTTAEQTELELDPTDNNNSEQPQQQDDKDEQEVEREQNQLEKEQDKEQNIEKD EEQDNNRIKKRIKNRIKNKIKSRRMIRIKNRRMNKMKNRKKNKKKIRKKKKKNRKVDHQR FLQMMMKSLLKENQQRQKVLELHLMVEQEINRHNKNSQQDKEQEKQKQQERTQDKEQDRQ KSQDRTQDKQQDKQNQQDRTQDKEQDKQKQQDRTSDKEQDKQKQQDRTSDNEQDKQKQQE RTQDKEQNKQKQQDRTSDKEQDKQKQQDRTSDNEQDKQKQQDRTQDKEQNKQKQQDRTSD NEQDKQQQQDRTQDKEQDKQKQQDRTSDKEQDKQKQQDRTSDNEQDKQKQQDRTQDKEQN KQKQQDRTSDNEQDKQQQQDRTQDKEQNKQKQQDRTSDNEQDRQKSQDRTQDKEQDKQKQ QGRTQDKEQEKEKEKEKQKSGPLEIPPDDDEEPVEGELVKTEGIGASSDGRIGNQQTQQQ KQQLQNDKVSEKKKLRDEESENKLKSETLQNNDHESEEDVYEQDEVDEPDGRGGTIKVKK IVKRRVKKTKRISGSSSSQSSSGKSSSISSSQSNKSTGGSSSTSTQSQNSQQKSSSSDYK PPRYGDDASEEDIFEQVEVEEPDGRGGTKKVKTMVRKRVKKNKKTTGASGSSSSSSQKSS SSGSSSSSSSSGSSSSSSSSGSSSSSSSSGSSSSSSSSGSSSSSSSSSSSGSSSSSSSSI SSSKSNKSTGGSSSTSTQNQNSQQESSSDYKPPRYGDDASEEDIFEQVEVEEPDGRGGTK KVKTMVRKRVKKTKKTTGASGSSSSSSQKSSSSGSSSSSSSSSSSGSSSSSSSSISSGQS NKSTGGSSSTSAQSQNSQQKSSSSDYKPPRYGDDASEEDIFEQVEVEEPDGRGGTKKVKT MVRKRVKKTKKTTGASGSSSSSSQKSSSSGSSSSSSSSISSSSSGSSSSSNTKSSSSTSG TTHNSNEHTQSQVSQESLNKSKVSQSVADKLTQKYSEAESREQAQSSTSDSRNIQSGSAN KQQIASGGSSSSSNSSSANKSSSSSSSSSSSSSSSSSSSSQKQSSSSNASNAKSSSNSNT NNSSQKSASQASQSQNGKQASSSSKSSSSKGSSGSKKNVVKTEDGEEVWEEVTVEEVTEY TIDSDGNMKVISQTSTQIPKTKTYYLNSIGEQQINLDSEQNDENDFKNSFENSKNESIPD NDTYDLNDFGNDYLYEKDGDKQEDNLQENEQVLEEDGPNNVQEQQSEIIVDPQLEDDQDY IYYQIEGDSIIYYQYDAIKNVYHKVKIVDPEQKKSLIIQDSQFDDVLDDVINENKDQETL PAEDAIGQEKVNKQEQKVSEDEQKAEAQKKLSKENSQNQEKNSSNEVEPKDNKNQPEVKN TEEGEQTKQKEDLGQDGKQLQKQEDQTEEKIGNRVKGLIKEENVKIDSISSEKDQIEVKD EKEKSLKIQSLKEEFNPNEPTQYEPPKHKVNVRPKTEKVNIPVSTKYVKSSYDGDVAEQF EFADRELLQDSDEYGYGFWVRYTSNAPKVHVRKPETYYFLSRLTSNQEYKDLAYYGDRTL AIFMIENSFIFSTYDHQEKKKVKDQVVALNEDLDSMWYFITFSYSTAKKSAVGFVIGYGD NNKILKAEIQCRHVPPQYFKLLIGGKHMTYEGFNGQFANIFYDIDAPAFVDTEAKIIEII KTISNAPQQVTNLIDLEVISTPKLFNANTASDSVILDPQESQLIIEEYSVAAWFRWIDDL KVDSENTFQIFNLRSNEKKSQGKGILGDRSLEMHYIYGGGSQSSVYFNTYTIEGNKAKGS SYLSKIVQSPNYIWQYGYMAYDNDKMKVYGALIRPGKSNEITFDPIQHKLITKLYFTLGG DDYVSPFNGKIGYVGVYLGPGAYRQALNFGQQFQYGDGAMNVFQLMKPIQYKEDALDPNV VRDCAYDGESSLVDKILIHDDQKLRINGQSEYSFGMWTRWLSTMPKYLVQRGAVHNIARL GTEPYLIESVDGKLKRANNRAQTPKDQTLAVTLSKEAYEFYTYSAKDEIEFTKLEGWWNY IYFGYKRFGDKGTARGYVQFGVDGEIKEVSFDIYHDYILEYVEFVVGKSQAPFFNGQMAK IQCSIGPGAFVQSADNLRLYTQNTLPEKAQIHPVSRQTQQLIGTPVNEPSNQFQFDKFQG ALEYAISGWVKWSGIQKFGKVSHIITMAQKRLADLDGKNEETLKIQRSDLSYIYSTYNCK GEDCSKIQIKEQQFGEYWDQWSYVYFGYSTPLKKAFGYIKYIFSDDKFLLDDINHFYLAV FSVIIGSESVKFQGQMKTWVINIGQGSYREGGFESDENIKVHFGFISGTDHIKLQQAGQE AHHEEQVLECSANGKEVPLHVQFEQSDKLNLQGVSEYGYGFWARFQHFANKGVLYQQPQW MGMARLTSQKDYKDFDQPGDRVLLVLMGKNVYHFSTYNVQPASNNVNGNIPYAIESESEW TYIYFSYKRISQTLGHAVAFTSFGEITPGIQMDVLHNLLQNYLQLTIGHAGKYYPNFNGQ ITTVRFNLGPGAFVENTAGIMARIKNKDPKPDILSVPKIYEVFVGKQDATKLKIDNPVII EQEAREYSVQLWFRWFKTPVKPNQVIYRLSANRAENDAQKIGDKVLMLTHIGTALFSTYT LQDSIMNVPFECNIPRQSLEIWTFAYFAYSKKERKVQYYLKADAHENKGLEPIIHAVSSK FWLYAGRDGLLENFNSRVAQLTLNFGEGSFRKENFLQLPVYLLSTKLFSQEKKNNWENSG KIVFGQPQTVKFVDEPDRPIESMQEYSIGFWCRFLQAWPERLYRLQQEMQLVRLTSNEQI EVGKIAMGDRILASHVIQGHFQFSTYDLNDDAPNELRTIPYSKLEGQWNYIYMGYQRVSQ LASYFVFDGKEIQQAKNQDLLHKPLGDYVIFHLGGEPDIPGFQGLMCKIAVNFGVGSFFG LVEDVKKTIDNSFALDQQLTVDFIHKEKHGQQELIGKLETVADDVGGAELRGDTWSQVGE YSISGWLKIAELKGQNANDCQILFRVTNNDKEHLNDKRFQGDRTLYASVCVDSIKLSTYT IHGLKDWNEAKFLEEKVDLGHNKKAWIYIYMGYNEDIQEVHALLHLFDQDKPLIFKGVQH YVPHYTGIYVAKDPFTKRFQGDLQKWVAQYGSNAFISIQKRGYEDMLTNYRALATNQKYM WFSKEDGVIETEKAITQVFTTEVESVDEYSIGVWTRWLISFPTTLTERQERHNIFRFSSN KEDQDKSELGDRVLAAFLTLGNYEFSTYDVLKPSNAVDAKLPYTELEGAWTYVYATYKTG QFYGMVLFREQQKAQHIELQVQHKALTGYSKFVLGAKEFGFRGFHGWLFDPRIFLGQGSF INEGQKVVDMVLKLHRKLPVQPLDAEDFKWPVQMIDTTLQDEINNKKDKFSFTFNDKPGL VEYSYGFWMQNAVLTPELNDEYRGLVRLSTNNEGSDERYIGDRTLALFTKTDEIVASTYT LKDPTFEPVTHTFKLIPYQWSFVYFGYIPGRARIYILGIKGPEEQILNVKHAIPNSFYLN LIKDQSHPLFFGKFYGFKLLFGQGSYLANPQEIIEKWPYDPKALPPPPKQEEKILSLNSA KVDRAQNKDHEKFEE >CAK69215 pep:novel supercontig:GCA_000165425.1:CT868065:146849:147844:-1 gene:GSPATT00037653001 transcript:CAK69215 MKYRSFNGINYKNDQFFQGSSEFVDYYDEQQSVKVRKTVDQELYMTQRLGLNFFYKLPLN KDSPETQNYILTLYFAELQYQESNARIFEVFFGNKLVIENLDIYETVGMQTAYSIDLSFQ KIGQQILYKGEQINGALSKNQELIIRFKAIKSQAAIAGIMLKIGDNEIEIANNETPRNTL KVMDGFKRLSDESLKIIESEVKEKQKDLQKIHAELDDPNNRRGLKKEIKLVEFSIKLLIL LSRSPFGIVLASSFFGISLIALFQLFYNDKQKITELSKTKIQKQN >CAK69216 pep:novel supercontig:GCA_000165425.1:CT868065:147974:149288:1 gene:GSPATT00037654001 transcript:CAK69216 MNNNGFIVYIIGTKAAGKKNLSLNLGLNNYEIISCDSMQVYKDADIMTAKATAIEQTIKK HHGIDLLDLEYEGFNRKQWRDMTINKIEEIQSKGQIPVLVGGTHYYIESILFNQGEETQL LYEDKIQLNGKEPFEYLKEIDPLAVEKFHPKDSRRILNSIKYFQNTGLLPSQQIDHHQDQ FKLRSYNFLILWPKWKKHEDLKIKVAERINEMLDQGGTEEILRIFKRLENKQNKMGVLHS IGYQQFQKVYQYYKEQGFQYPNVDLKFKELLKEGAENLINDTVLYTKKQIQWIKNRILGN SKIDIIANRLFLLEFESAQTLNEQVILPAQKIYNLFCQLFQVDKLGDDQFQISQQNLENI KLLTPEMITKYNQTQQLKSRTNWKKQECEICNKLMNGPFEIEQHFKSRTHNINVLKDEQL QKKKQKID >CAK69217 pep:novel supercontig:GCA_000165425.1:CT868065:149874:150698:1 gene:GSPATT00037655001 transcript:CAK69217 MMSGKSPINSMRELLDMKLNQVRKINRQQGDNIKLLKSRLNHIQHQDIICQKNISRTSNE IQSIISTKSRVNEDQLRKLFLEEIKQKKLEEYSQRAYISKMERLKRSKNVQSCNFLSIQI EKQLYSEAYSFQNKEYKTNLSIAKLQTWNEIYGTNFKSHKLIRQAHLKHQQDKIIQLQLK QQEGQYNYKQKIIQEAQQFKERQLRITELEEAEEQYLLKLKVSQSKNRSMSAKKEEIKRV PAEKISISKNNLFRIN >CAK69218 pep:novel supercontig:GCA_000165425.1:CT868065:150705:152559:1 gene:GSPATT00037656001 transcript:CAK69218 MDKEFQCCQCQLEFNLQDKSPHVLPSCGHSICQLCVKQYLQSQLQLICNEDNIECQVDRD FKFFPINQSIIVLLRKKRPVQRCHTYVTPEDAIPRISAEDVSEFSGDSQQNIQLNKSISQ SHSCEILPAKENQGDLCQIHSKTLELVCQEDGEYICVNCALFGNHKFHNYKPIDIYVKEM EQTLNDITTIYEVVKDMSSKIEQKNYAKEFETKMLQSKDSKLQEIDLRFNELFNELNQIK EEFKSRIENNYNIQFDSNIRKIESEFTLLKDQADKWLSQTGHQLNYFFVEKIQNQKLKMD AKEIGNSKVNGSQLISKIQYQFSQIETCVKLIGEQQHFFVPIENIKNQFPHENKQQLDQL LLDREDKLTDSDIIYQDIKDEKLTQSMYISQQPTQPASPQYNVGKNNYFSQTLTPGQFQR KDRSVTMLQTEPSEISAPNTNRNKLSSSKFAKVQKFSKDPKLHDKIINTLAMFEKFEMID FSTLDVTEPLIQAIDEALKNRKIVKVLKMSKCKLTDDLFAKLLTVIEESSVTALHLQSNA LTEKALDCLLNIAKVKKHFECKQIYLNGNINITQAKAKKKIEEIKKYGIQVTI >CAK69219 pep:novel supercontig:GCA_000165425.1:CT868065:155330:156252:-1 gene:GSPATT00037657001 transcript:CAK69219 MQTSQLSSQQQIRKQQFEEYLNKQADKLKTLKDRRQEIKLQTKDPKELQLHVQKEFRLLY DNIILPINQKAIKTEEQIQQLIDEFQVLFQYYVDINYALIAYDKQQYKEQLDQLECTIFT LRSQIIPRQKFRFSKPFPKGIPQQSKILEEKQNVLDNDSIVITKENYQESITLENGSLLL KNLENTTFKVEGSLDTFYLHSLKNLKVQFGDVKGSVWVDKCQNCEFQGSMHQLRIHDTVD CAFIIYVTSNPIIERCSKLSFSKLGNKDGNLFDQVQDFNWLKQEKSPNFSVI >CAK69220 pep:novel supercontig:GCA_000165425.1:CT868065:156292:158313:-1 gene:GSPATT00037658001 transcript:CAK69220 MEGYLKKWVNFMYQWQNRYFILHEDSLIYCQSKGTPKKGQVHLSICSIRSVPKDPQRIVI NYGMSEMHLRASSVQEKQKWLDALLSAQQRFTSKSQNKLLVQRKKNPFYFIEGAPELDLK RIHTTLTQFWVMQAQLEEQISLNNTQRISQLIQELKHTTTACAQELDEEYNKLIEISKKL QEKLRETNDQNPPDHDDLYEEEFVPTEQFMSFKMDEDEFYSINNELYDDRQLVRRLSSKK MSVAGVSPLFQVLEQLRIPQPIKYKNLINNEAFKDMIIGIDETREQLPAFKDPNESIKFV SLLKDMIGKDLTKIAFPVTFNEPLSMLQNLCENLEYSEILDQADQFSNSCERLAYVMIFA ASGLSATINRVKKPFNPILGETFEFSCPKFKYISEQVSHHPPISVGYAENEHFEFLSDNN VTTSFWGKSITVTPLGWVNIKLKKYQDQIAFQRCKSSFKNLIMGPQYLDHYGEMKFKNEF TGDTGTLKLIEGSSEASYELKGFVKDKLGTQYCTIQGKWNSEICITVAGMTKVVWVRNAL PQKCDQQYYFTRFALQLNHLNRQLIKTLPPTDSRLRPDQRALENSNIDFAAIEKTRLEQK QRLARKELHEHKKDHIPRWFLQRNGKFSFGQEYWKVKETPEFQTQAYDIF >CAK69221 pep:novel supercontig:GCA_000165425.1:CT868065:159880:160642:1 gene:GSPATT00037659001 transcript:CAK69221 MTHPLRVDDFVDELRKYDRKEDFMAVMRTYEQRYYDQFEQFANNNFPPELQRQQMPKQEQ LFKQQPQYQKPPIVRSSSANKLDYQDQYQIFPQQAIQQPQIPQRLQSRGEIQDPFKRPQE QFDNRLSPVAQQDPFRGLNKQQYITQQRNPAQIPTQQVPFSPFLGQPVTNNAQQIGLPFG NQVGIPNNNPQFQNYGAQQHQFYEQPIYQQQQTISYPKTGTNQQQQMDAFLNDIRSQRIQ KQQQYK >CAK69222 pep:novel supercontig:GCA_000165425.1:CT868065:160771:162239:-1 gene:GSPATT00037660001 transcript:CAK69222 MQIIKLFNKSYDVPTGIISSRFLTKNGRKITLILSDSKLYRTSDKGVKMVSLSSHLRFQI KSNKQQNSLLLETDLLSKPIEYQFIEQPGMWEIFLKQKLPLMDYQKYYEIEKLIGSGSFA SVYIGKSKADGTKVAIKAFLKKMLMLQDPTQWREQIDNEVKVMKYMNHQNILKLYDVFEK KAQIYLITELCRGGNLDQAIKKLEEPLPFLTVKVIFRQIVEGIKYMHDIGLMHRDIKPGN ILFRKPVSLKQFGLSAQDISSTIQKQLNVYQFCGSYGYMAPEIFICEEDKSKSYNEKCDV FSLGCLLYELTTTKPLFSGNNLKQLNKEYDCFGNRQLLNLLMKMLNPKPDQRISCSEVLN HPVMQVEYDEYGCPLFKDYKKPVALSIQKPRASLKSKSNAILPQTSRRNETQSFKRLSQV LPPIKRLSTEVHN >CAK69223 pep:novel supercontig:GCA_000165425.1:CT868065:162302:163133:-1 gene:GSPATT00037661001 transcript:CAK69223 MRIIQKSLEEKFELQFWESHKKKMNYIMQQNIVLEEHSLTSINWQLRVKMAIQLADGMLH LHKLNPPLIHRDLKILNKLLEQTYDSNRINIKIADFGLARAQADNGEQMTGVLGTFLYYI YNNRIGCSRSVLKSSIYNKSWCLFIGSKKFSSYEIQIKLHINKQFSTNPSAIMKLVTVDN GRSDLSLIQTGGSSIFERVDDQMLGQRSYKKTNFFRSFIQYLKGFQQFVNLLVCKRYNFL IL >CAK69224 pep:novel supercontig:GCA_000165425.1:CT868065:163149:163428:-1 gene:GSPATT00037662001 transcript:CAK69224 MCKIQEFNQPILNQIQKSLVFDKIDQPQSNGFQIVDYFHKQCKNVSEWMFNHDQLKLELQ KEQEVVVLQIKDIGKAEKLLQKR >CAK69225 pep:novel supercontig:GCA_000165425.1:CT868065:163459:163734:-1 gene:GSPATT00037663001 transcript:CAK69225 MIDNQKESINVKNTELKKNQSNKQSQREQEGLNLSDDNHHHIMNDQQNEDDDSEQLLNKP IEFQQTKILAPLSNQNVQLPKYCQMMRGFQI >CAK69226 pep:novel supercontig:GCA_000165425.1:CT868065:163964:164398:-1 gene:GSPATT00037664001 transcript:CAK69226 MKSSKDKTRTQFFYKFIVFLFKYFIGESEFSQILSKVFEGNYAMIPFFNNKNGMQKQRLS VVRLSNMMNLWKNYQTSLPTYNFRNSIQLIYNQVLQLLWQMNYTIFSVFTHIFYALKQST NYINGESTYSSVVKQAFHALQVDY >CAK69227 pep:novel supercontig:GCA_000165425.1:CT868065:164537:164904:-1 gene:GSPATT00037665001 transcript:CAK69227 MQYKQGLIQYFYSGVQQQIHLRSQSNNEILNRETINQNKETDTSHSFEFKDFQQPQSSTR LTDFWVAVCLEEVDAAPCQQYFRYLHCKAWQKKEKIPKFYEKLSNRIQLNL >CAK69228 pep:novel supercontig:GCA_000165425.1:CT868065:166126:167443:-1 gene:GSPATT00037666001 transcript:CAK69228 MTTIQMIMINNRFQTPMGVQLLFKIIIYKPLISQEIQAYRYYLLKFLMIILNFKPTSNKN YYTFARKLRNNSLIQRMTIKLTIRTFLIYIIRRRSNMIQILDIQHLFSFVNIQLKDVLKL IICSKLTHNLISIQYNLPSPEYLLLLLKQTLKMRLWVTRMLFNMIYKIKLRSQMENSHNQ EEHLSQMMVSLQIYATMRKLNRGIILQKLFRSVSLVILILLLSQIKKRQIFLYNAINDFV YFERQKIIINDVHIFTIYYFGKYCNIQQQELIEEIQKIFPYNTNQQYDFSASMRNILIEL FQTVNCSKILSVLLITLNQINDKSEIFNVFLKELEKQKIFSQERLKQGATSPSTIKYHNH PSSVNRIIQGKQIQHLLIEASQSQSQSQASFNILLPSQLRNSGYSSYEQQAQSAKFQDE >CAK69229 pep:novel supercontig:GCA_000165425.1:CT868065:167512:168923:-1 gene:GSPATT00037667001 transcript:CAK69229 MQTQPDQERLNTQANQPQEPIQNKTPFFDLESSGDSLEDGRVTNLYFQRFRMLDLSFFVF NLIGTGLTVITLELIHYDQQQEARLVILWVIFISNLILMGLALVRNQAKLKWRESKGDVY SGFTKEHVELLMEIIIIAASPLPFLDSISFYFTNNFVEGDVNHTQILQVYYYANELLCLT LAFRVIFFIRTTLLNSYWHSNRTDRVCSLYASQADYMFTIKSLMRNQPFTVNYSAMILLI FVFGYCLRICESPLNRIDVSSNDFSSYANSMWCVIVTSTTLGYGDYYTRTLLGRLVMSVV CILGNFVVSSMIVIITNESYLTTLENKVVILIDRLSLKKQMQQEAAMIITIFGRMHYAKR HLDLTEDEFTDMSKKMKKYAIQLKLTTRKYVAARALGSQLEEINSGFNSLKENLKQTSQL QEELIKANENLIYKIDNK >CAK69230 pep:novel supercontig:GCA_000165425.1:CT868065:168975:169139:-1 gene:GSPATT00037668001 transcript:CAK69230 MITNSNQQRRYSKYFSSFLILNLRLEILIFSKLLVILSNIPKKVGFKFLEIQLM >CAK69231 pep:novel supercontig:GCA_000165425.1:CT868065:169200:169685:-1 gene:GSPATT00037669001 transcript:CAK69231 MQVIQEMIEEFNTLRQQVYEAEFRIQQFIDDEQMCIKQQKNQMDEQQSQIQKQNAKIQND QLNKITLALTNSLTRVIKDLYYDEDKDLIIIKLQEHGIVIELEMKQNELKRIKLQQVGRR DYTLIDSEHLNIEEMHLKFLERPDQPFSSIIIPYLEDLKLI >CAK69232 pep:novel supercontig:GCA_000165425.1:CT868065:169720:170490:1 gene:GSPATT00037670001 transcript:CAK69232 MNNLKCQSCFKFIAIVRCKECDINTCFNCDENLHQDKKDTHCRTTISFQSQSANQPQDEN LIEVIKQKKKQLHELKEKESHLTKHYQDRMISAKKKYELQISALENRLQQAQQFMNEVSQ ENGEIDVDNMQSELENLEKSLKTEIKLAEEEQKKLDEKTLKVDTLLDRVKKATDIEQQQI SKMNEVIQIFKACSEQLQKEKDLLMLDNEKLIGEVEIFAKFFDENGPLMEELNAQKNNEQ Q >CAK69233 pep:novel supercontig:GCA_000165425.1:CT868065:170509:171677:1 gene:GSPATT00037671001 transcript:CAK69233 MSKGDKSQNIFDYKAETLDYWKQTIETLQLSMQFDEKYKFIAPTLIEHVLATLQLMIQVK GQYSEDSFIIALSKYAEFQQKNVKKFMTSFVNSFQLLLKGNQEICYFTIMLSPTELVKAS SDQFSFYLKLRKYIYANFINERETKVKPMNFDQWVSVVSKQMDNSAISLVGNRIREEADE KSKDKILKNNVRPFDLLIYCYELQSQRLQQQPQQRQLATFSPSQQKDEEVPQKSTVQPVQ QQQQQKQKQQKQTDLQAKMKEVVLIHENLASNYNKLANFAQQTQAKLSKALNQIKQGQQL DETEIQDLQNILKFKDFLQTDPELTLRNSGVYEFF >CAK69234 pep:novel supercontig:GCA_000165425.1:CT868065:172178:173075:-1 gene:GSPATT00037672001 transcript:CAK69234 MLKKNAKHKGIKFIEVTYEMLKQNKNRDIIRWDEDGVKIQILDRELLQELVLPQHFKHAN YASFLRQLNLYGFISSKDEQGAITYYHPSFAKQQVLMRNIFKKKNKKEKQNDFNCCSFEE NELPNQMKALQCEQVKIQQKLFSSIQYQIKIKNSMKLFLQTQHSLAQEGENLCKQFIENL IYLVKGMKQESKIGFDLILQQQFPQSIQEDPQSTQVISEIADQSNQYLFSPTPLGRMEFD QEQITSYQNSLQLMGQFSEFGFEL >CAK87748 pep:novel supercontig:GCA_000165425.1:CT868633:1349:2030:-1 gene:GSPATT00039822001 transcript:CAK87748 KSYNKIKNQIKQYAKRIFQLIKLKKNQMREYKFFNYQQNQIYLKLITGSKYLILYKRRLK HSSNSLFQFIKNKVNGKGNLLMVFKSKSNYIFGAYSPCVWKLCDAGQYGEDNTISSFMFS QIYNLIYPLMQEYKSYAIHCNTNLGTFIWM >CAK67258 pep:novel supercontig:GCA_000165425.1:CT868052:2819:3064:-1 gene:GSPATT00006564001 transcript:CAK67258 MRGVIMIIIAIIRGREEYRFHNCYEVSYKNCLNWQANFFRQGVKAHIDFQVVSANLLEYC QFISYKKPPLPINFDLNEFLQ >CAK67259 pep:novel supercontig:GCA_000165425.1:CT868052:5462:5761:-1 gene:GSPATT00006565001 transcript:CAK67259 MTAQNLPEQEAFQKWLFGFEKQNPFLSTFEYLYMVFHQKKIKKEEITCQNKELFNANQSK TSILTKQLNQLISRSLQNSNDIRVGKFGIFNGSVSSQVK >CAK67260 pep:novel supercontig:GCA_000165425.1:CT868052:7468:8598:-1 gene:GSPATT00006566001 transcript:CAK67260 MKISGAFTIFILLTIGSCLQKSILITRHGARSPKVYTEIDSTYYWTIQPEDLTEIGLQQH FNLGQSKNGLSIIDINGNCIYENLEIQASTTQRVIMSTIGFLKGLCPNNYQEILKRYFNE YYAKYSTNIEAWNQIMTSDFQNPLELELHYFERPNDFMFHGHKKSVCPGIKDLKKKIESS EYFKSKEEEFKNRPEFDEVFDIIKAAYPSKSFKKKSITLSDIQDIFDDYQSNQTQGFLFP NPSQSAILYMQDVVRFLRYYEDNCDSLEQFAQLTEPFKWIISELYSITPLSWYSGHDTNQ VAILSAISDFKPIIPFASQLEIIVKNETVMVYYNRQQIQTKFCSDGYFCTREQTVNYLMQ FIHPNLNSLCGLEIII >CAK67261 pep:novel supercontig:GCA_000165425.1:CT868052:9215:10940:-1 gene:GSPATT00006567001 transcript:CAK67261 MIFVLLLLISQTNALYSKKTYRTRGFNAIDIDLEKYDDLKQGSTTINIILENNSLSTVPF LAYCNTKNQQIIKSIVSSPDVIKKQIDSNKKLCVFDNNALLYSQRVQQIVLSDQINDENE WAYNKFSIYKLNEKQISIYIYSSDDSQYTIIIKGSQKGECYNQCNNQGLCMSNQILFCQC NEGYVDSTCQLLSSVISAPMQFKFELKEKSFKNILTIPLKSNPASLEIEVSADASIKTYI GCQHDKDFIPFSESNSFQQSEISTGTIKYSQDDIQYCLDNTNKIEQTLGFRMENFVILLL VNDQAYKTNVKLKLYINTNQDDTSGLEIYYILAGALGALIIALLIIICVIYFQRKTRRIN NGTQNNLNLRSFSVKGNTNRSLRQDYIPVELYEQIIQEYPGLVEISDCQICLVEFQKQDL VKLTYCLHLFHSTCIDEWRKRNHTCPFCREDLNKQKRIQQKQEDQIYQLGVISGDAMQID EQKLAEFQRREQRLKHLQCNFYFILIETSSPDSANAMQQNGNTPSPFLKGDLITQQLCVQ SGN >CAK67262 pep:novel supercontig:GCA_000165425.1:CT868052:10986:13579:-1 gene:GSPATT00006568001 transcript:CAK67262 MNNPSRFRQSSNTQSTNYLPAWKQNDSEERKKYQIILLPVNQPREDYIISSIYQMFNTQD IEVNKLIYYYFYKLKESGPHQFLTNKLYQVQQEELEFFIPQLVYILIKNDSPYLEKFITT LCNKSIALYQLIKWCWVSYSDRDRTDKNKMKRLQRIDDQFEQSMLTLNNDHNAVKIPNLQ LKSYQSVVDLYLNNLIQMSLILKTYKPEERKPKLKNFITRANVALEKYRLKHQEYYFCQG ITVPFTMSKDQNVAVHSNLVVRIIEGECSCFNTKKRVPYRIVVETIDPHELKYKQPKKTA NNSITNNRSLANLDDDTMEDFLQADLNQPNKQEIEKKMEELAKNRNKKEYGDLMKITQNK EPKNGMKVFKDMMSAVYLTKKEKTTENEQDQELILKEQIMKNLQIYKQCTNAIEEEKFQK NRQRFNILFPEKTNERTQSCIPKLNSKYRQQGQRKDQNYWLKLYKQNSRNGFRHKHKSIK QLTALGRVRFFKELILFCPKQEEVLKKIYVHLQYDLIEKKLEKNKVDIHQDGIELHSKFG PWDDLWEDRAEMIKRDSPYQHFETYRLKPIIVKGGDDLRQELMTMQIIHKMYQAFQSHGL DMYVKAYEIIVVSASSGILEFCADTISIDGLKKKYPACKSLVHIYKEIFQQDFEEAQMRF IQSLASYSIISYLLQIKDRHNGNILIDSKGRIIHIDFGFILCISPGNLNFEKAPFKLTQE YIDLMNGRNSDLFLYYKNLMYRGFKALQGYVDEIIMIIEVMMQDSDLPCFERFDIKEFRD RFKEEANDEELQKYVDKLIDYSDNNWRTIQYDNFQRMTNGIMP >CAK67263 pep:novel supercontig:GCA_000165425.1:CT868052:13586:14234:-1 gene:GSPATT00006569001 transcript:CAK67263 MGKQYAREPAVAKKSCKARASDLRTHFKNTYEVARALKGQTLAQALKYLQDVLQHKRCVP FTRFNGGVGRTAQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIELWAAEKDENVKKETNNQQVARQSRKQVARSKL SIGA >CAK67264 pep:novel supercontig:GCA_000165425.1:CT868052:14272:14704:-1 gene:GSPATT00006570001 transcript:CAK67264 MSEEHVEQRPETSKCSRLDKRRMISQNSQRNRTAIQSRTAQNFNPNSYNGMTLEEYFRNK VQENVNAQVDKKKKFLVTSNEFFKEFQNQDVMRRTYMGDSKYMNMKQDMKKKTQDYDKDL FQKYANKFDLGNGFGK >CAK67265 pep:novel supercontig:GCA_000165425.1:CT868052:14856:15255:-1 gene:GSPATT00006571001 transcript:CAK67265 MHQISTKEGKYLYGLYVLCQGNIISSSQKGYLKDLLIQKDEQILEIVNEFNKYECISELQ TKLLEILVGKAQLITIEICQANLKVQLVILQFINNGMINWDYQGVTDRRIIIFGCLISWG SRKAK >CAK67266 pep:novel supercontig:GCA_000165425.1:CT868052:15598:17248:-1 gene:GSPATT00006572001 transcript:CAK67266 MLTFDKYPFLAQLGLKAKNFGASLSGTWVGDGEWTTSYNPNTGEAIAKVKLGTLQQYEQG MQELLKVKNMWAELPIPRRGDIVRQIGDEFRKQKEALGMLVALEMGKIKSEGLGEVQEII DICDMGLWIIQIIIWISNPIRETIPFYDGIMESLGCCRHYYSFQFSSCRHLDQFVVMFVF GKEHHQQIYHQSLVPTSFMKFLKEMDCQQAQCSLFVEMVFKLEKPLQVIREFHQYPLQVP LKLVKSLQQRWLSRLARSLLELGGNNAQIVHEDANVDLALKAAVFAAVGTCGQRCTSLRR LLLHNSIAATFIQKMVKVYGTIKIGNALNDDTLCGPLHTKGQVEQYKKVIPEIQAQGGKL LYGGNVIEGPGNFVQPTIFEVKADHPILQHELFMPILFIVRYDTLDEAIEINNNVPQGLS SSLFTSNLSNSYKWTGPLGSDCGIVNVNIGTSGAEIGGAFGGEKETGGGRESGSDSWKTY MRRSTCTINFGKTLPLAQGVKFDI >CAK67267 pep:novel supercontig:GCA_000165425.1:CT868052:17306:18709:-1 gene:GSPATT00006573001 transcript:CAK67267 MLAILEKFDIFGVPIKLKTIKQQEQFKTSQSGVIAIIIMMLSLAYFVYVMQQWINGQLIP KVTTYEWENGEISFTLYDLSTQINPFTKQNNIIMPVLLEISGLLVSAQPRFLYSTQQVDG DKNVITIDKGKLVLSQVDGSKADDQEEIKQYFIFFTKCRSDWMEEGGYCADQQVIDDYFS INHGLLFLSINLQQYNYENLEFDEIKKTQFFAFEESSPFYSQVILQKQRTTVDKGILFNS FEYFDVIKDYQVITQAVTKKFAQDTIKTVENSQLEFETLGTFVFRIDNIEANETILMPKL GEVLAQIGSIVNMIMLLKLLSNMINTTMLESAILHQIIEIYYPQFKQVQITKNFLGQVKE VRYKGVEIKLTQFKHKYNELLEIARAKFTFNNLIQELSRVQLVLVNQIGMENLKKIFKKE EDLKFFEQDRSPQTQCQVQNLVSVSPEESPKIQEFERFVLFSSDFSD >CAK67268 pep:novel supercontig:GCA_000165425.1:CT868052:18775:19587:-1 gene:GSPATT00006574001 transcript:CAK67268 MQKSHNSVYQLKIVNTETRSDIVYYQIQVQYSINISGSHGVLKKDIKNLNNNFPHFQLKS FLENTDPEFISTRKAGLQNYFKTLLQIVDADQCPTLKKFLTKGEQKHIKDQQQQPFKEDS IKQNDNLGNASSIEKIQQQKQQENERIKKELKEKLASATQQIKSKFVDLGNLVNPPEESD IKKKRAIYDQIRLEPKNSQFNIDIPKPSQQSKMMIAQSTIEQTQPKLIQLISQLQAGVES IIGV >CAK67269 pep:novel supercontig:GCA_000165425.1:CT868052:19812:21345:1 gene:GSPATT00006575001 transcript:CAK67269 MKLLRSIFYKQQKAKMDVINKESFFDDPDAPVFWNVTEYPSKDAALDLQDTMYIDQNSEL HKGTLGISNHYLLYFKKQAVKKWVNLDNATIEYTKHQKAGLGIKITKNKQYFEFFGDVEL WYNYLKQFCIQRNFSHHYTLLKKIGQGNFADVREIHIIKVFKAVNKNDGTEYAIKCFRKA KLNETVDRLAIIKEISIMKKIQHESIIHLYEVFEGDEFLFLVLEYLKGGELHQYMKKSPP FSEEKCAKFIFRLLKAVSSIHEKGILHRDIKPENIMLRNKEDVDNICIGDFGLADYYSPS GQYLFTRCGTPGYVAPELLQDKTYDFKIDIYSIGILMFILIAGKSPFDGKDYDDVVMRNY YAKVKFEECKLSDIGMDLLQGLMNKNPIKRLTAEEALSHPWFASENLTKVCQFKIRKSNQ PTLKKLAYFDTNIKQYSAISTQFSPKSSLSNLSPYCVTKCNIEDSPQTPNTPLILKPINT PRTPATYKLRQVRRTQIPLQQL >CAK67270 pep:novel supercontig:GCA_000165425.1:CT868052:22102:22371:1 gene:GSPATT00006576001 transcript:CAK67270 MLQEYVQKNPFFSEKDCQGHLQNNQNSCLSSFQENHAQRHKLENFIFKQLENLEGLCLTD FKLAEFFDDEGNYQYKRYGTFGCVAPELK >CAK67271 pep:novel supercontig:GCA_000165425.1:CT868052:22875:23408:1 gene:GSPATT00006577001 transcript:CAK67271 MGIVCGQPLKSKSLEFHTSRPLTQSIKQDQENVEFEDQDQCFCPSLTKNYILNPKFLFTE ESKCEREEQRLNTDINRNSSLLSLGIQSEPNNLNEIQGKQKNQNKLKPDIQNSKRMSLEQ RFKIATSPSQCRKRNNNTPKSPLTAMLQTNNNSTKQKEQLILTKGKRKLSNRDQKLL >CAK67272 pep:novel supercontig:GCA_000165425.1:CT868052:23878:25102:-1 gene:GSPATT00006578001 transcript:CAK67272 MKTLLLFIVFIGIRAVRRKDDEQFQANQEILHNDPSFDELEEIVENPLGAKVLQTVALQI KSGEGIDSIVSLLTNLKSDLEGKQIQQDGSNAAIQSQCKSDMDSYSQRIQLAVNEINDVE FKVGRLESDIEDYTSELDLKQSQIDTFQGAENSLRDLRLKQSANYNKRLGQLKEMINAFQ VMLPKMHELYDKVQLSDAESFVQEEAFANSFVQLANSGPSNPILALVQVTSMMDSRSIQT IIEKMEVVRDSLITSVDEETALEEQAIRDNDVTLNEIFNAMQALTREKAADDESLQDTIR TRDQQQKRGSDAQAEFVASKAGMKQRRGQCQELLVQYQQNTIQRSREIDIIKKTKLNVVK SFIQEQQIS >CAK67273 pep:novel supercontig:GCA_000165425.1:CT868052:25324:26705:-1 gene:GSPATT00006579001 transcript:CAK67273 MGNYCHKEETPQSDLPTLRKSIKGKNVFQILIQTNTQFIEQCQDLYFKQFHKNIVEKDIQ TFSKFMNQKMLDSSIKGKYPTEEEKQVISNKISRDIAQKEKHIYNQLIFHYSQFLNELFE MGQNQQSKPISAYKAGDIRINAQLNKNISIKRTLSDNHRFQGKQLPDQNTSQSKNIDQNI IRQFQENKQLATTFLDQLSCFFKLLYLIIEYVYSNYIVSIFGSSIDSFTDRYILISKVYQ KNIIKANPFIQKLITAAIQIKYETQQSLIKSEHMSPAILQSKFIPENVDSILSGGELDVE LLDSIEQNLKYQQSDQKHQNFHYYQTFSTIDRIVNCKMPWLKFILIGKLDLQIVEIFLQA RSQSQIAHFVNLIKPEDSKVEVLLYILQRYMAATKNLNLSQCYYELRWMQEYDNVLHQKV KTITCPYFSRFLSLYEMALKNYQRKQTQLLYN >CAK67274 pep:novel supercontig:GCA_000165425.1:CT868052:26787:28543:-1 gene:GSPATT00006580001 transcript:CAK67274 MGCCIKSNKLNKIQVIKTSNGVNIFTSTTDIHQIYTFGKMMGLGVFGKVMMARMKSNDEK LFAIKIVEKAKLQGKETQLSNEIYVLQKLDHPNIVKFYEVYQNNMNFYICMEYCGGGEIL KRFPQHQNSLTEKQCQKIALKVLSAMAYMHEQGIIHRDIKPENILFGKKDLNSEPKIIDF GMAITLDESQSKTRMNCVGTPLYVAPEVIDGYYSDKCDIWSFGVMLFYLLCGYPPFYAGN KKDLFYNIQNQELIFDRRHWNFMSKEIKTFLKRILCKNPLIRPSAKDLLKDPWFNVSLDS IDKPNLRPPSDYFEDCRSIYQMLKNYKNGAKFKKEVMKVLINLMNEKELEHLKKVFQKID GDNSGTITYQELQRAFLSEGSRVSQEEIKTLMMAVGFENDDETEDCSSAKSYKPLVIKYS DFLLACIDERKVLTKDKLLSLFKYFDTQNKNFITKENIQEILARHGKSLTDTKINQMIYE IDPNHDEKISFDEFCQMMSTNVPAQFIEFKEGNIEHQMVSPNRKEVELIQFE >CAK67275 pep:novel supercontig:GCA_000165425.1:CT868052:29744:31230:-1 gene:GSPATT00006581001 transcript:CAK67275 MIKQQLLQICSVDSFFNNHTSLFQKSCPDPFIAQYSSEFQISHQNRLIIKQLSICQNFIK LGEDKYINLTDVCMQKIVHPKTGLFGVRLSKNGASFEMFGQLDEWINLIKKHTIQLDFGQ KYQLFNKLGEGYSTIVYRAKNKVSKQEFAVKVFDKKRLLTNNYESKKFLKELKIIRQLEH PNLLHFYEIFESNNHIYMLEEYLKGQKLSQFFQNSQPLKEEQVLIVMKPLFQAVQYLHDL KIYHKQICTSNVILKQKDDLSNPCLIGLTHAEQHTNLEQDDYQYLQVNVEKQRQYYIKLD VLALGIVMHNLLTGNQMHFDQALGDALMNKFQGTTDFQFLDPPLSDQCFDFLTIIFNQGS KFRTCQQLLQHPFFDRENQMSNRNIPIQFLGTLNQNQAMFRISSKQTQMSPKVAKEVKVI PIRKQFNIISKSPQEKPNLNSDYFEPPKTHLMFRRGSRQKRTLNFNNHNEQSNDSIN >CAK67276 pep:novel supercontig:GCA_000165425.1:CT868052:32876:33372:1 gene:GSPATT00006582001 transcript:CAK67276 MADLKEKKVEDEQQTKKIRMIVTSRSAQDLENFTTQVIEKARGIQRDQGQQVVFKGPVRM PTKHLKMTVRKSPCGEGSKTWDRFEMRIHKRVIDFQCTLPTFKDITNFKIGPGINVELNV EQ >CAK67277 pep:novel supercontig:GCA_000165425.1:CT868052:34718:37802:1 gene:GSPATT00006583001 transcript:CAK67277 MLRFIGRNLNRYNFSELQKFGNSFLAGSNAEYLDNLLDKWSQDPNSVPATWDAYFRQVCE SNKFDFTPEPSKGQTISFQADVFLHVNSKQVSGVRKLLSDHFRVRLLINKYRHRGHEKSM VDPLDLEHIQQIGKVKGYTKLDYREYFTEEDLDREFYIHDEVSSGISKEKQLMKLRDLIN YLEKAYCGKISYEYMHIQSTEERDWIREQIEKFEEFLPSKEQKLKTFERLGQEHAFSTFL QKKFNTSKRFGIEGCDSMISGLQALVDSAAQAGVEYIVFGMAHRGRLNTLYNVFQKSAEE IMVEFQDLKGIYNEDIWGNSGDVKYHLGSVHNVKFGEKKLRLEMLPNPSHLETVDPCVYG KVRAIQDYHKDRNGDKAFGVLIHGDAAVAGQGIVYESLQMADLEGYKSGGIIHIVSNNQI GFTTVPKDSRSGLYCTDIAHAIQAPVIHVNADEPELVDKVFQVATLYRTKFKRDIFIDLV GYRRYGHNEQDQPKFTQPIMYDKIEKTPPVFVKFAEKLIAQEIVTKAEVDQLMKKHEDNL EVAYQKSRKMDYNLKDWQPVPWEMIKVPTLWGRIKDTGVPINILKQIGDKINTIPSDFNA HPQIRKFYEERLNSIQKDQGVDFATAEALAFGTLLHEGFNVRLSGEDVQRATFSHRHAVI HDQKNPNGPSFVPLHAVIPKGQENDRLSIYNSHLSEYGVLGFEYGYSITNPNALVLWEGQ FGDFANGAQIIIDNYIASAESKWDVDSGLVMLLPNGMDGQGPEHSSGRVERFLQLSDDDP AVFERNLGVRLKRQMRNSNMQIVQCTTPANYFHSLRRQLRRDFRKPLIAMTSKKLLRLQA AKSKLNEFSEQARFSQIYDDPFPELIDEPSQILRVILCSGQVYYDILKKREDLKVKNTAI VRIEQLAPFPYEFLQAVIQKYKKAHFIWVQEEHQNYGPWFFVRPRIQSVISKTQGLIQQQ IQYIGRKPSGSPATGFHQLHEKEVQAFLTKAFEF >CAK67278 pep:novel supercontig:GCA_000165425.1:CT868052:38068:38563:-1 gene:GSPATT00006584001 transcript:CAK67278 MDDLLKEMDDSNSETSIVDFNLASDDDEEEFNTDNIQPIQVISDLVEVTPLKEQVSSLQG QQDPQIKNNFENYAEENVTSLPVYHYRYKPKLIKRKQPRECNLLQGYQIIKTFGKQAHHS FKNVQQKKEQAIIKITNYFFNGVEKLRNL >CAK67279 pep:novel supercontig:GCA_000165425.1:CT868052:39215:39965:-1 gene:GSPATT00006585001 transcript:CAK67279 MKKIFPSNTQNGISIVDPDQTTVQRLHQSQTSKAHFSVVPSEPSQSSYEIIEKNKIQYLE FYGQYTEQRFMENMAGLMPISEKLKLLENFLSMFEEMMQCLNTILNNLIQINFTSQDFYS IYNTTPENVANTLYKDIKTLEGLHLELQYQSSLRYLETVLEALDLNPDQSKKLYQLDVKQ WSSQCYAHLNQHITSRSMKAREKTCCCCKV >CAK67280 pep:novel supercontig:GCA_000165425.1:CT868052:40614:41259:-1 gene:GSPATT00006586001 transcript:CAK67280 MLQSIIRFSFNKFVPSKVTCWNCKSNEFINRFICGQCKYLKEPSIQLQDVNYFELFDLPI NINVETRYLENKYRSLQLKFHPDRFVTMPPINISYSQEYSAFINEAYSVLKNIHERAEYI LSLRGFQIKECSVLLDLEFMEEILELQSQVQRGENIAQINQKNSKEIEKMTKQIINDISN EHYEKAYEIILYLKYRQRLRDQILLHI >CAK67281 pep:novel supercontig:GCA_000165425.1:CT868052:42240:43555:1 gene:GSPATT00006587001 transcript:CAK67281 MDKQLKYIKESPISQKQCLRVPKYKFICCFILWMLLLIYLIQEWNNQLPKIIISRIYQAD LLSQNKGIQLKFLSQTLQSFDNVLVVVHNTNESDQQLIQSNKEGSYNIPFGQFEIQICNE SNHNITCANQNQIAAILGDSIEIEILLQITQYNYEEQTFFQVPKSLKYYIHKNTQQLGHI QYQIVQTEIRSNDLFTQSQTYTQIEDAVLTFSSVNYASYTLSKMKIGVDPIITKKVVAYE KFYVFALQLISMSSIIYFINLMLKNLQKIKRKKLKLLDIISIYFPQVQDYRIKFNFLGQI SSVTTLDGEIRNLRQWRQFYQKYSQSAKEKLKLKNIIYYISRLQYICMSLQDRDAMMNAH TLGIKLNLQQEIQNETTTIELNEIDDPIVLRGSDMDLLSQPTGPIFLGESKIGFYKRNRG QNMNKKFQLI >CAK67282 pep:novel supercontig:GCA_000165425.1:CT868052:43569:44482:1 gene:GSPATT00006588001 transcript:CAK67282 MSQKKPQMQVEQKVPENIVKKSARDTKLREAVAKRRTERLAANKTRRAQWEKTAQAYENE YKAADKNLVDSLRKAKTEGGFYVPAESKLILVVRIRGINTLNPQVRQTLRLLKLRQLHNA AFVRVNKATIEMIRKVEPYVTYGYPSRAVIKNLIYKRGYAKINGQRIPITNNNIIEQSLG KVGIHSIEDLVHEIVTVGAHFKEANRFLWAFKLRGPRGGFIAKRRSFINQGDWGNREDLI NDLVKRMI >CAK67283 pep:novel supercontig:GCA_000165425.1:CT868052:44669:45672:1 gene:GSPATT00006589001 transcript:CAK67283 MLQPRPPFPSGQMDLILGKTETYGALFLSGIEAALNPQLLQQNQIGAVLTVGTELANLKF DCEQKLIMLHDTAYDPIRRHFEEAIHFIDEQRKTKNVLVHCYVGVSRSATLVIAYLMQIY NYSLQAALTFLISRRPQINPNPGFMQQLQQFDFELNRRRQQRPASTQYGRTYNDRDRRES MEVRSTRQFPNQSPLKRVNQKAMSTKSLFLTPKKSETQNYFNFTPAVKPNNSMEIKQIIK SAQNLDSAVLRQSNYFGNNTKKLAETAINGFKSASSFCKTFSNHFPMAKTQMLAQTQTLL KRTQGSGLCHKGRQPIRAQTKIEYNI >CAK67284 pep:novel supercontig:GCA_000165425.1:CT868052:45675:47316:-1 gene:GSPATT00006590001 transcript:CAK67284 MSEAMAQSFLVTQFCLNHPNEQLTNFCQTADCLKALCAECIESHKKYHNQLSTDADIESF VNVKQQCQKKIKSGLVEMQKIQTMVNQYGQTEYEDQTIKDIKKAKENVINLVNQYFILLE DQYKQYLESQTNSQQIFIQQQENVQNFINELENLYVGLEKCLQFGHKKKLAQIQEGYEKF IKFAIKTNPSMIKVNQTNLQHIQQALYNYVQIDFIPLQTNLLLNTSQISQIIQETYLQQN EFFRNKYKLLHFFETGKSVLWLFDLSLPDQVWRPVQISNYVEVLPFSKSLITPDGQIYLT GGSLPNKKKSNTIYQFNFSNYQLQEIGQMNSGRSSHGLIWKENQLFIIGGYLDNLQITNQ CESFDCLNKRVIKLPNLSYALGSPSVSIFNDTVTVVGGIMQNMQINQQIEFLQKDTWVSC SVTSQLTSMASMMCSIQIEQNQLMIFGGYLENNKGSKECTVLEINDRTAKVVQTKQLPQA EGFWNNVPIIHQGRVLALQNVVLDNQGNCAYDQRRIIIFDGTFWKYNDLK >CAK67285 pep:novel supercontig:GCA_000165425.1:CT868052:48090:48786:1 gene:GSPATT00006591001 transcript:CAK67285 MSFYPGFYPQYTQPLAASFAAPLAYPQASYVRPVATAPIYTAPAPIYTAPAPVVTQSVVQ PVVQSVVQPVVAAQPAIKGESRVEYREYQRPVVEYETETIEVKKPVTKYVTDYYPVEYQT DYIPRTVYETQTEYVPVQKTVPRVEYEAVEREVQRVPAAPVQYAPAPLTYSVVQPVQSVV TQPVAQYTTPLTYSTVRPAYGYPYYY >CAK67286 pep:novel supercontig:GCA_000165425.1:CT868052:48816:50242:1 gene:GSPATT00006592001 transcript:CAK67286 MNRFVVKKKISSGAFGVVYLVFDKQTNQDAALKLEKEDNEEMRSLEREVEILKQLNDAEG TPKLIWYGNESEFNIMVIQLLGRDLAYYFRQQKKFSLKCTIQIAHDCVVILKNIHNKNVV HRDLKPENILMSKDNENIYIVDFGISKIYCIQGEHMPFRNDKTFMGTPRYASVAAHLGHE ISRKDDLESLFYVILYFLRGSLPWQNLPVSENERTKAVGEIKSNIDLKELCINQPHELVE ILQYLKSLKFLDQPNYQYILQLLNQIAENNQFLLDGIYDWTEGLIKSTKKFSSLESKKSF EFNKIKELSPGNLMKSSSKLSQQIIWTVNDQLQHPQVAPSSQHHLLLPPDPNRKPGQRTD LRHLSNSSSNSNVGTFSSMILKYLPSQIEIEKPTFFPNWNKTDRQQESQYQDLMDENNFE IPLNQKYESIIQSQALDYHNKFNKFLETSN >CAK67287 pep:novel supercontig:GCA_000165425.1:CT868052:50718:52246:1 gene:GSPATT00006593001 transcript:CAK67287 MQNNDPIPLYLLTKKTNVDHLVGSKASRTHTRVETKVSTSISNSQNSQRKTSYYNRAPLS TKNSFSVHVDTDEGGGVKKIQSYQNINNKMEKFSQQNRHLICKFYEGIYLIGNTTLGKTE ILYQYGGCHLEQLLPDLVFPYGAKDVQKKLSDSQSEINEILYKRHVSENNPNCFILPIKT SESFEKALINQLIVFNSYLKCERVLCIVSYLPLIKYFIDFLIEVMNCAKEERFNNLTFTQ YIQKCPLFETLPIQIPSQQIPQSYYEFHDYHVKQLKILNNALSLGLVAINIILERSVIFV APNVQQLGAILMLFLKHVKWIHQMVASIPPKNIELLNVPVPLLGGLVLDDYQCVEQLIDE YPNVVFVDLFNQHVRNSQDFTKSLVYIFLVRQLQGKSYYEMGQILGQFQQNLVQETTRDE YIIKEFRQTQYFHEMDQMKQSMNVRNIPSMSQHVKRQQQTFRKF >CAK67288 pep:novel supercontig:GCA_000165425.1:CT868052:52456:54126:1 gene:GSPATT00006594001 transcript:CAK67288 MQQKLWIPIPHDFNIQNQRVYIQGEFTKENGKVRVLYGYDQYILSSKRASQPKKCLKLEF DVKFEILRKPIPKKDKDDDSLGPIIGLQLLRDNNENMVKLRLNADSQQILAWRSYLVPRI NQWQFHNMFRVYKKIGKGNFASVYQAERIEDNQSMAIKAFAKQGAYREENGKNSIINELK IMRQLNNTHLMKLYEVYETNNSLYVAMELLEGGSLYDLIKDKVKINLKQIQQIIVGILLG LQEMHNKEIMHRDLKLENILFKKPNKMESVVIADFGLATYVNEPEYLYCRCGTPGFVAPE IINIKDMKSKYSSVCDIYSLGLVFYLLLTGKSAFNGKSYSTVVKQNREANINFDIKQLQN LPGYVVDLLKQMLEKDPKKRVNVERCLNHIFLYDTARQMIEDENQDDSLDEIDENIQIQS MNDNFSQFDLTKNVYSPSQSPGLVQSPGLVLRKEIKQQKQIDSQKAVVADSPLIKGKIES VDSAKTIGTPTKKCSVFSPSPTLKPSKFSKQTQNNPLLKYAKKD >CAK67289 pep:novel supercontig:GCA_000165425.1:CT868052:54594:55511:1 gene:GSPATT00006595001 transcript:CAK67289 MNQQIVSHSILEINHYNRVLEGNKRYVAKKMAEDPSYFKTLSKGQNPKYLLIGCSDSRAP PNELTETDPGEIFIHRNIANLVIPTDLNLNCVIQYAVEHLHIHNIVVMGHTCCGGVKAAM TQDSVGGLLDLWLNQIKLVYEKHAELIESFADENDKITCLSQLNVRAQVMNIWKNPIIQK AWQKGNPVMVHGWLFRVETGYIEELLIDQHTPEEMCKVYALKFKLESEKQLSKHVSPTGS PKNNAKKRFQNMQRKLIEHINQLKENKIEDFDLETKEIGGFIESSKDL >CAK67290 pep:novel supercontig:GCA_000165425.1:CT868052:55604:56031:-1 gene:GSPATT00006596001 transcript:CAK67290 MTEVEKQKFRNDSEFYEIVRTFHELVTEINKSFHKGKKQYHKKNNHHMKQGQIQKKTKRI QKQPIKLEFGRNQYHIKIAYKIFYLNSTSENSLISMDKWNPLQQSMKIVKQPEDIDIKKK YVQIVEQQSGKREAA >CAK67291 pep:novel supercontig:GCA_000165425.1:CT868052:56283:56690:1 gene:GSPATT00006597001 transcript:CAK67291 MDKNSKLLRKMMEIQQRNNTLKQLPTHGSLEALRRREQVKISSENQTILKRLQSASSAYS KKTWVNDIERVKKYRENLQRRTRTNDDFNLLAAQEHVKRNLTSQSSSRSKAFQFIRTQNK LRAKNLNI >CAK67292 pep:novel supercontig:GCA_000165425.1:CT868052:57440:58990:-1 gene:GSPATT00006598001 transcript:CAK67292 MNSFLNTISKFDGFFVSFTPSFGLREQRIFYKTVWGGMATIIILTFVLVYSLNQLILWGS GDMIYKVSSQQKTITEGSHLEQLFVKNDPIQLLSLEFMSSINPFESNQMIIIPLLYKEIE EELSMVIVEEDFENILYVDLNNQLNNAFNVILVKCIGNEDFLIEGQECASQDYSEEFFDQ IGLISLITVYNEEFDISTKTYQQYETLIDLPFDTILSSEVQIITNYEVIEANQGYLFQSF EEYIVNKGCTSQIYTYTKEYHKKLYERDLGIKEVIGTIAIQMNSSIFYVRNQYPTISEVL ASIGSIIQTILLIRYAFYALNRKQMISFMKSTILINYYPEWKEIKNVKENNINSSVLEDK KLNKTSIEKFDKDVSEMLNLKFDYINLIYEIHQMQKILQLITPSDLLLNIHSNESKLQIQ KDENSNQFSIKNAWSSFEDYSDTIYQNHFDPFLFSYYWKSKKKNGSLYKIPSNENEQIPK QLKPTQIQENADQVVDELRNQDSKIHIDNNDQIIQD >CAK67293 pep:novel supercontig:GCA_000165425.1:CT868052:59604:62059:1 gene:GSPATT00006599001 transcript:CAK67293 MDKYQISSTGRFMYEKHEQNFRLSKFSGLYLYPAIIIMMIIFYCNYVTIGCVIIATYFYY RNKHKWRFLIQAILYLAQLVQLNNNCIYQHIYTCITNLVLVPYWPMQVLFQIGIIRQLNQ IYILCFFIALMRAIEILKRFLYSKICNINSHQYEQDSILLNLPHHILYFDENFNELFTNK SIKFTPESQEILSNYMKRKFYGIIQLENQEKELTDYYIKPWQGNFILIQSHFQSEENAFY EQMYEQINKLYVQLNQDYTKWNNLRAFRMIKESDLSVLGYCLSECLHLEHQVRSTMKNLQ PEICQFYLKVSICNIIESMVLKLYEHFNIINLNFESGIPEIVAGDKKLLLFIIQTLLTST QFCDKQKGQLSIKCIIQQINSEKSGYDLELTFNFTCTPSVIKLYSQVFGVNENKLTPNEE WLIHCLKKCKQLMKQNQNEFNFQTDGDNVIITFTFLSLISSNKLEQISQFTDLTFTRSVL DDCHYQWKEKIQILPTKFILDSKSRKSLPATKKQQTTPFSKVSINFPEIRDEVVFILETA LQDAKEKGLLDQFHFDYQDNNFKCAVSEYADSIANSPPSFITPQVQRRKLQDLAKEKFKN LLKEALKPKKKKRLSKRDCKVVFPRKNDTTCDLQCGPCKVNFHNSILNNRSNRAFIKWSH RCNISQPPKTVHEILCYIPEIKLSKDLIKNFGNSSYDKIEIKEPIIVLDIADLIRIYKQY LQQGKQFYYIMLFVKKPQEIADFADIVKKNEQDLVQQYPKYQQTYLIGITEQSLKPSLYA YLKYIIPFGQWSIDIKQIKLYIAKQREIN >CAK67294 pep:novel supercontig:GCA_000165425.1:CT868052:62216:64081:-1 gene:GSPATT00006600001 transcript:CAK67294 MDNRLEKNVNKLIERYKKTSLQIDQMIQPESQNSPPIMVYHTRSRQSKANINDEESSSRE KKNHSIEQISRLRETLNAKENQDKEKQERLLILNKELKMTLKDYIQANKELEGKLNQRER HIKQLEFELKSLQDSLAKADNNHKDMRLKCESQDKIIEEIKQQLHASRQQLQSKKDKIQL LKKQIKQQEKQYDEQSLNFGKELERVQKLCEEFSAEINNQAEQNRDLEDEIRELKNESVQ KDEQIRYFDQLVQDIRFRDEDNQKQIEYLSKLLQSQKDQTQQYQSKFEESQSYLSKLQQN QQNLAINFEQEIIKINNQNKDLFEKKKQKINEQRQKVEQLQNKNSDLQRQLDISDKKCQI QEHELKKLSQISQELKSINDQSHQKLNLNEQKINQLLLEISEQKQTNFNQKELIVDLEQK VSQQRTIIHQLTGENQNLSDSVNQLQNYSKNLEFEFQEEKQQKEKQMDEIEQKIDILFEQ LQSAKDELKDSKQKEQDLKSKLKQSELEVENQVQKSLKYKQQTDVLRQTIKNLEDKFKIY DTQTQQVQVQQQKQEEQQNQQKLKVLEDIQSLIKQHRKI >CAK67295 pep:novel supercontig:GCA_000165425.1:CT868052:64822:66559:1 gene:GSPATT00006601001 transcript:CAK67295 MMMEQYSHQNTFVCVEKQCHLYRFITNWDQLQQHNQFNHKVISKQKFDGKVAFKLNQFNQ QYRMSVQEDIYQLIHYQEERIIFKIQELFEFFKKMYLNIVQEQIYFDDYSQILSNSLSIE ADIQKYIEIFYGQIQSPHQMQVDLLLDEIQAKLNVFEGDCLNLLEKNFAMGNQYSNNIYN EGAPEFNDQIDPEPNLMKPIGIQENHYQTQFISEEPKQMIYEIQQIQHNQIEYSQSISQQ QPTINSKQQIEQDDQNNIPLKGYKQLQQQQQQQQQQQQQPLQQQLYQQPQQLQQQQQQQS SQLQQPSFNYSYYTEKTSSIDEDRLQFKLPSQTVTIPKNTNKQSNKEYIYVAKDKYDDYD DYDEVDDTKQQQKDRDIKQSNSKSIFIGKKFDLDNSPKRLKFSSNCQSFSCQQQGIGLVE GIVTLKIDAEIRFKFSKTQIKDFQAEIGILNVDKKGRMKGSQIYSMNEIGDLVQNKKVFR GKLKIALKQEYLVSYSAKERMLYFINGKTEEYLDLDNVEGCFKFFVKVFGLKVKILN >CAK67296 pep:novel supercontig:GCA_000165425.1:CT868052:67101:68328:1 gene:GSPATT00006602001 transcript:CAK67296 MGTCCTNNTQDKDAFTAITAPIYINNENLEVGMQTKIKESNETPSSPIRSEKIDDENYGS IKSYRGVMINNANVFDEQTSLAIKIFNSLGPFPFLAVKDQTLTYVGPVQIEEGMIYQGQW LDGRRHGFGKLLCSFGSIYEGEWNDDQQHGFGRLVLPSGDYYEGQWISEKAWGIGKYVSI DGTTYNGDWVEDKQHGKGIEYWNNGQRYEGTYQYGQKTGYGIFEWSDGSKYEGELLDGMP HGNGEYCWKDGKKYKGEWMLNQMNGEGVYTWPDGKTYKGNFEKDQRHGYGELDWSDGRVY KGYWKNGRQHGEGMFTYNNKVRKGVWENGQPIKWGQSEILSLQ >CAK67297 pep:novel supercontig:GCA_000165425.1:CT868052:68569:69727:-1 gene:GSPATT00006603001 transcript:CAK67297 MDPVNSTNKDDASVQARARRRRNDQSSRDYTCGCGKSYLSYPALYTHLKYCNLYMGRQKH QGSPPQGTTQPNNMNPKANRGRPKRLDENDLKEGKSDDERSISINEPDETIEQVLLFLDS IGNFRSGTKYEKEEEKQLYLLNSFPKDYFISNQDYQSIYNNIKDITNERVKNPDQDPLMK EEIDKDKNIKKTQISKILAYFLVAIGPLLNEDAYKEIATFIVLFSIALNETGYQALQNYE QEQYQQGDTDKKTQSLVKQDQNMNAAYCEEQNGEHILLITNEFILSFLPQNFPKLGNIEK TFRIFGSPDEKLKNAVYVTQHLSYWLYAMKFTNSRLALYTDDDQ >CAK67298 pep:novel supercontig:GCA_000165425.1:CT868052:70684:71850:-1 gene:GSPATT00006604001 transcript:CAK67298 MKSLILIALIAVAFTARVQERNMAKVQADLAKSTYGKALLHLVELHSMAGGPVQELIDAI EELINDLEEELETLEFNFQVRTNEHNSLVVSLEQDIQDAVIDVNNTQDTLDNLLYPRRNQ IQSKIDTVIGYQEENRKNYDEAVLVREQEHDAFEAQVAELNDATASVDDALALLSSLTNP SLLQIKRFQNTLKNIENKLRSRSRMAPMIKALITLASNQNFSNQDVLKSIVDALNEFRNA VVDQINDLTAQEAQDVIDHEAYLEQLDDEFSDFGRQIDRATVDLTATNEKIDSMVEFRDQ RAADQKQYTAELELENNTYAEETDIYTNTKNEFTRELGVSEQALSLVQSVDFSNIKV >CAK67299 pep:novel supercontig:GCA_000165425.1:CT868052:71906:73067:-1 gene:GSPATT00006605001 transcript:CAK67299 MSVCNKNYLQETFPQYVHDQLNKVAEENVNTDKSQRIFKIIDNLSELKILSFGLASKLKT QEGFMYFPEIISEKYIIEFKEIKSCNLRKNESSYFQAWAFANLDLIDMYKLQTFLRILCI LAYFECKKYFEVLQIDYKSKYETDLFKVFLLLQTQKQEYKIEADIFFLQLIEKCECKNNQ FISYMSKFCKEKNMLKCFGYLESIKSTNKVSSEKRKGFQKFKEQDQLKKESLQESQQVSQ QMLIQTQNPTQIIRRQSSIFPRKSLDQQSQMIQFQEPTKEMIKPTGMNRPSLTMRTIKDG INHKYKVIDVKMHNRQQRYLQSETNQHRQFHEEVCRKLEKVQMRRITCWNSDCLAPETPE IYSD >CAK67300 pep:novel supercontig:GCA_000165425.1:CT868052:73344:75720:1 gene:GSPATT00006606001 transcript:CAK67300 MLHDLLFSLLGKPGSFVKQFDNSPFYIDESLNLFSKPEIVQLNQIVNLGYHYQQIDNFLQ NQEEKSSYIKALVFGIDNSLNDYRQTILTLEQEYLNEKMFIVSQLNVRLQAYYQILLNLH TSINIIQQHNMRGGQILDYLYERTLTGNDKLQLIYQNILSACYEVLFQQLSSWLQYGQIL DTSDEFFIQRIDEKKKTDQHEYNWDTSFTLNIQMLPLHFITLQMADKILFIGKAVKVLKG QQELFINEHQQLIREIEVYDSFTFNRIIDQLQKQVGEQLVQVIVDKQELFIHLKNFYLFI LLNNGNFIQQFLSDCQSILQLPQTQQALQELNFNLHKMDYDQTEKMDMFIYNNGFEYKTF QSLNNLQIKNNVIQTINILRMGPARGPEDWSGVFRDVKQSIENGFTLQIVFKFKQQLSKP LGGYSILQVPQDLSTSLVLLFCQDEENGVFIRLKLDQQKVSSLSVESKIKFKEEIIYKWK LDKIDFSEGDLHSLKINYQNDELSLIIDDTQSDTQCKTDILPPSQWKKVAFKFQKYFQLE LGRCMVGIKQYGQIVDLYTWKMIGSNFLNDASWSGLTLKYQVDYPLSLLITPSLQEKFQL FFRYLFPIRHAQFELQQVWIKLTKKFKKKDCKYILGMHTQLMHVVNAFYHYFQMDIIAVQ WSKMISRMQNEINFELMRKIMDEFEQSVSNQLFLNLQQIVKNIFQIIKFVHKYIELVNRI ELQHHSLINKECYKLMVEFDTQFIALFNLIQLLTKSTNQTALFQLLTRLDYNSYFDNKTN EKF >CAK67301 pep:novel supercontig:GCA_000165425.1:CT868052:75764:76282:1 gene:GSPATT00006607001 transcript:CAK67301 MIRLTHSKSVACFSGALWGPIHERPIVDRVMSTSQWPVPYYQRIFKAYPVRQNKQTWAMN LAGAEIHDINWYCAKQALSRTLKGRQAVEYVENNIPTQSYIVIQKDVSRMAKAYVSDLSL FLSVANKESKVILDSVELI >CAK67302 pep:novel supercontig:GCA_000165425.1:CT868052:76293:78679:-1 gene:GSPATT00006608001 transcript:CAK67302 MYLSDEQLVFRQIDLFGQNISLNLNGEDQYKTGGGGFLSCLIVAIVAIFFQSNIIDFLGK TNIAADTQIVFEENPDRIKFTSDNFMFAVAIEQSTPFNTNPFFNITIKARTYVRLSNGTT IKYENLTNLIPCTLDRFQKIFDKYDLNFTDQYNQLGLSTWLCPDLNYSITVGGRYSSEYL DFAKIEISDCKNDTSKNANLTWHPNCASKDVMDKWISSEGSYRIRMYFDCFSSRYMTNTV VNPSKPTDYIQGYLDDEVYFQFVPFKLSRQSDIFFKKYQINTDQSLVPVFDDEQVENVFM KEPADFRDITTLGSATDKQYIALYFRRSPYTKYITRQFQKVDDLLSYLGGFVNIVVVFLG FFVAFYNRQQYLIELANKVYDFNFDNSKNSQKIRNDDLVKKITQIKKKTQTPKLLELQDS NLPINKKSLTQPLQDDEIRIQVMNEETPHNTTNQKQMIKNTSSEIKSEFQRQQEEIVSKL GFKSRKEYLTSQISQVLTRAQPIQFTFKFLCHQITGGRCFKDKTSILLMKAVDRINSDID IYVILEKVKEINLLKDLILDKNQQILFNFAPKQTIALNEQKKLPSRVEVYTQKLTENSNS SMSVGQVAQLAMKQFSEAKKANLPISIKAYQKLYKAYDKVNDPQKELGRMNEILIEKLGK EIRDIFEVSQFIDFDLVEQKLSHRIKYILRRKVKQMRQSKSRSQLKKEFDDESSENFGLF SQERNNKADNSGILQREILFPHRNF >CAK67303 pep:novel supercontig:GCA_000165425.1:CT868052:79110:79615:-1 gene:GSPATT00006609001 transcript:CAK67303 MFRQSPYYYEVRQEVFKEINNDLAKELSLMKISDQRLDQTVPITKKSVSKQEFISYFYKK QKQNEQVMETEEETNLVCKNCDTKPLNANTICVECSRLACQRCIYSCYYCGQYCCSFCCI KIYLHNGDFVSCLHCSQNQ >CAK67304 pep:novel supercontig:GCA_000165425.1:CT868052:79654:80486:1 gene:GSPATT00006610001 transcript:CAK67304 MNKSPNNGFKTPDKSGSKLSQYNGKCVCQICTCGKDKCPPQQLHVNPSHFDTTNRKSYVK WDVKKTAPIVPEQYRINYGTQSFVSSYQRDYTPQKTPERQTRITQETTIPYHRPFVSSTE YNQRYQPYQTLPISLKPKYYYQENPNKIQASTTYSENFRAQPISNQHQIKQHDTSFTPTK IQFNSNSKYQEDYTAQKGNRPAPIKHNNQSPFGGVNMEKLSSYQKDYQRLDDSTYKKPEC PIRMLPVPDRNKSPNKHLMFSAQKNDWE >CAK67305 pep:novel supercontig:GCA_000165425.1:CT868052:81075:83033:-1 gene:GSPATT00006611001 transcript:CAK67305 MEFQCCQCQTEFNSANNSPYVLPSCGHSVCYKCVEQYICGGQQLKCKEDGIECNVHRDQK CFPQNQSILTMLKKRQSSAKTLATYQTPDDEHSQNSTKEMAEHFSEKSTSQFTPTEAPPK TSICQLHQKELELICQEDGQCICVNCALFGPHKFHNYLPIDQFLKQIELTVNEISCLYKQ IQNERNNKEQLEQLLSLGFHQQLCCIKSKIDLYFSDLNKQIDEIKMQTLYKINQAYENSQ EIILNKIDDEFSLLQIEADQWLNQASYQLSQLVEESQQLKFRKFDQIIINSGQTILKQFN LIKESITTSIDSTQKSHQIQVPIQVISNYISQLMGLNTNKYETNKQDTVLLLPSNLSDKS QSNDQSDFLVPERQITEEAIKVIEKKPLKIDVGKLKRNSGVFSPSQYPTQPTSPAYDVAK QINFNSVQSQFSKQKERSATLSNCELLETSQILVQKQSKFSNTIQNSNQKQPKKFTNNQK MNEKLSQTLTVIHQDKNEIIDLSQIDFNDQIIQILGEYLKGTQNIKVLKLSKCLIVDDLF HKLILNLAESKIHTLHLQQNLLTEKSLDHILVFLKQHPETQLRLYYMNQNSIIASKAKKK MDELKKYGVQISL >CAK67306 pep:novel supercontig:GCA_000165425.1:CT868052:83033:83590:-1 gene:GSPATT00006612001 transcript:CAK67306 MSTPQTKSKLVQSFGRKKNAVASASVREGKGLVRVNGAPIELVNPAPLRQKALEPLLLLG QVRTGRLDIRVTVRGGGSTAQIYAIRQAISKGIVAYYQKYVDETQKREIKDLLLQYDRTL LVADPRRCEPRKYGGKGARARRQKSYR >CAK67307 pep:novel supercontig:GCA_000165425.1:CT868052:83756:85376:-1 gene:GSPATT00006613001 transcript:CAK67307 MIQSSTRNRSEQLIYQRRQQEQQVGKLSTKLRQEHHEKTFAAWENKGKDIANTQYTKNRL QQIRAEAEAHKNQRKEKLAALLNAEHDQYQQEIKAMVETPEQVKERMMKEVAELKQRKEI ERSKQAEAAYERRFRDNADELRLVNQQFNEQQAVAYRNMQMMEKQKMLEDQYEEEMIYAE LYRREIIKKERLEKEKEIQQKAKVDERNKVLGIQASMNVNKFQRIKEEIEQEKQMLREEW KREEERHKQREHQYLNYKKEINQEIALNNEQQKEYKKQIKQEERKQDKEMIQQVLEREEA IARMEQAEKLRQKEETRQFLLNFKNRTNEYSVNDQLKERLINEENTRQWENKEAKWKAED DARVKLMYEVYAQRAENVELKKQIIQDEKNIKQQDKVELLRQLDLYQKELEEKQRIEQEK IMQTKHNLLNQMDEKKQRQQLLRDKKQQEEEDLRRQKEEYDKKIEMEKAKGRALLDELRK QRPY >CAK67308 pep:novel supercontig:GCA_000165425.1:CT868052:85573:87053:-1 gene:GSPATT00006614001 transcript:CAK67308 MIDKDFMQDLEEEEEQQIAEAKQQVEDEPTCSLLKDNKFLQHYQRVLEVSAYQIQDETIN ASHEEYHLILRSNEYSTIIDQEILNIHKQLKDVYHKKFPELEKIIINPIEYVKIVRLIRN AIDLQAIDFSQLLSGQQVVAVNIAAKQSLIGQLSEEDISLVDQLCHKIETLDSYNQKIIC YIESRMKYIAPNVSALIGTQLASKLMAAAGGIEKLANMPAGNIQVMGSVKKNMLGMSRAM HNRNTGYFGTLEIVQKASGKLQNQIVRMLATNVAKAARVDNMKTCPKGNVGEDLRIKMMK RYQKIQEPPPAKLEKPLPIPDENKKRRRGGKRFRKQKERLAMTEVRKYANRLKFGLEAED EIKDTGIGLGMLSQGIGKVKLHIKKDKPIGLSKKLQQRLAQTKTQSGGGTGGLTSSIAFT PTQGIELVNPEAGYLSKVPDQYFNRESGFRTVLKKEREFGRQH >CAK67309 pep:novel supercontig:GCA_000165425.1:CT868052:87184:89587:-1 gene:GSPATT00006615001 transcript:CAK67309 MRPKESDVYKRIRLLGQGSFGKAYLCECLKDHSLCVIKQMDMRYLNDQEKKETYREFRIM SELKHPNIINFREVYKTVKGKLCIVMDYAEGGDLAQVLKNTDGYIAESRILDWFTQMCLA IKHCHDRKIIHRDIKTQNMFLTKDMRIRLGDFGIARLLNNTRDKAKTMVGTPYYLAPELL ENKPYSFKGDIWSLGVILYEMCAKTPPFTADSLAQLALKIVRGQFQAIPNIYSSQLRTLV NQLLTVNPEKRPAVHQILKMPIITNRIKNFLSETMKRSEFDHTILHNQQIHLSDTTIPLI DDQDAKGDVTEQTSKENHIKQLPGIRSPAINLQKQRKNSDLKKLPEIKLSKPERPPITRQ QTSRQQLNQNPNQRALPSTPEVGILSKQKNGRFITKESPDSYQGSDQVIEEGTKYSEQES PKFFKKNLEIKPHIKNLKGLTKLDQIQKIKLGLKSEDECKKPIYRVHAQPKMFEQKKQQE RKVSEEIVSSHKKQEELQNQQSHLEKISETPEQKLLNQSTISDFQNSEENQDNNKKQSIL TPAFEIHKELQYQVLDIIQKKPVKIIKESISKTDEEKNKKKIYKIIYKDPFQKKPAQKRN SEEDMKEMINELKNVLSDQQKKLEEPNLQNEIDSEDSRIYSEESSDDLRDDADTLPPQTQ VNTWMQSNSEAVNISKSQPEPRKKISSNQRSLKDKLVVELGQHFEQLFRLAKLCSHQEDL GKQHIKMAIMDNLRIDENRAEICATLLVTLATIGY >CAK67310 pep:novel supercontig:GCA_000165425.1:CT868052:90748:95335:1 gene:GSPATT00006616001 transcript:CAK67310 MKKTLITFLLLMVVVISTKIEASELRTQGMTYTEAQNLDVSRLNCHIDFEYTIGELARWV DIIDNRDQLIKDLAIINQVISIVEKAKGSISVIHSSMLLQIQSKVSKSLQSVFIQNKWAA CKVEDALEYVAQLNGTQSIHFDYHLAIEEKIALANKIIAQLRQTQDQIHQYLDQCSYAKR TQGLRQKISQLQTLKRVCDQEIKKPTIIIDNDPDDEVLNFDEEPEETIYYYFEEEDVETP PDYIYHEPQHYTYPTYQDETKKPQKKQVKKIVPKEVVDEIKGNKRYVALGHEIEGEVKDN VDEQQNQQEETQFVVSPAKVSKIEGLNNEDDGSEKTDGDKEKTQGEEEQKEQTNGEETNE NTGSEQEQIIVETHDKPLTQDESEIKENVTIDESKNVTVDETKAGNADDDDTTTEVKITT VHKTEQTDNESDETKDTDSQEQESTEESTDEQTQKETTQQSQGTETTHESGSSTENEGDV VVDNQSKSQDDEHVISIGSSRVEHKKDVQKQEGEVQQEGEVQQEEGEVQQEEAEVKQEEG EQKQEEAEVQQQEAEQKQEESEQKQEESEQKQEEGEQKQEESEQKQEEGHTHEQSEDHKD SQKNQNDEKKIKKQKISDDRSLKIQSLREEPNPNEPTQFQPPKHRANSGRVQERKVVAVN TKYQNSDFDGEPTEQFEFTDRSLLQDSSEYGYGYWVRYTEHGVKEHSREDGEYYFLSRMT INEEYEDFSFYGDRALAVFMFDNSFVFSTYDTSEKLKTKDKAVVLNENMDSMWYFVMFSY SNPLRKAVGYIASYGEGNGIYRVEIDGTHIPPSYIKLIFGGKHMDYHGLNGQFANIFFDI DAPAFVDGDEALDEILNTLSNPPQSVPAMIDETVVQKPKHLNGNDKGEQYHFDPQESQLI IEEYAVGLWFRWIDDLKVDEPNTFQLINLRSNKVKSAGKGVLGDRALEVHYTYGGGAKST VYFNTYTTKGNRAKGQPYLSKTVESVEFIWTYVYFGYDNDGGRAYGAVIKPGLVGEVKFE GIQHKLVNSLSVTLGGDDVISPFNGMIGYVGIYLGTGAYRECLEFEMTFNDWEGVMGVYQ VGKPITYIAGDANQARDVAYDASENVVDKIIIHNEEGMKINGQSEYGFGLWTRWLSTLPK YLNKRAPVHTIARMGTQGYVIESIDGKLVRSNANRPSTPKDTTLSISLTPDSYEFQTLEL KDDIEFSQLEGHWNYVYFGYIRHGDQGLAKGYVQFGVDGEVDEVIFDTFHDYLLEYVEFI VGKTSAPLFNGEMARISFSFGPGSFIPTMDTLKIFIQNTLPEKAQIHPVARQTLQLVGAP QQLKEEPIQFEFDKYQGAEEYAISGWVRWSGPLVTGKVSHLITMAQKRLEDLDGKNEETL QIVRGDQAFTFITYSQHEGEYKLATQDEAYGEYADQWTYIYYGFSQSKQQTYGYLKFTFT ESEFRQEKVNHFYLAVFSVLIQEKQQYTQFIGQIKTWVINIGDGAFREGGFDENENIKVH FGFVSGTDHYQITIGWIRSTS >CAK67311 pep:novel supercontig:GCA_000165425.1:CT868052:95505:99260:1 gene:GSPATT00006617001 transcript:CAK67311 MGLSRLTSNKDYKDFDHPGDRVLLVLLGKQSYHFGTYDVITKSNNVAGDIPYQVDSESEW TYIYFSFKRISQTQGHAMAFTYYKDTTSGIQMDVMHSLLNDYLQLTVGNAGKYYTNFNGQ ITTIRFNLGPGAYIDTKQGLLQRIKNKDVMPDILGPTKKYEVLIGKHDVTKIEEDQHITH INEEAREYGIQLWFRWFKSPIKTQQLIYRFTSSNPDSLGDAQKIGDRTLALFHTDGIEFS TYNLNPLSLQNTYEAKIPSQQLEVWTFVYFGYSKHHQKVSYYLLADDDEHKGLEQALHVV SNNYWLFLVKDAMTKPFDSRLAQVILNIGDGSYREDNFNTLQVYLAAPKLFSTDSKFDWE ADDTITLVSGDPDKQGLKITFSEPDRNIESVQEYSVGLWTRFLQAWPERQWHTPSEMQIV RLTYNDEVDHGKIAIGDRVLNAMVVLENYQFGTYDLNDDAPNEISTIPYTHLEGRWHYIY LGYKRQLQQANYFVFDGDEIKHAANEKLLHKPLGDFIHLILGGEKDVAPFQGLFTEVAAH FGVGSFIASGEDLMKSIDTSFALPQELTVDYIHKQKHGQQQLIGESDNNEGSESTGDTWS GVGEYAISGWFKIAETQVKKEGEINSPCQILFRITNNDKEHLSDRKSQGDRALHAQICTS DTVKLSTYTLKGLKDWNEAKFLEEKVELGYSKKAWAYLYMAYNENVGEVHTLLHLFEEDK PVIFKGVQHFVPHFIGIYVGKDPHSRRFQGELQKWVAQYGQGAFVDVMKKGYEDTLPNFR HIQINQKYLWFEKEDRIIQTPEKVEQTFTSETESVDEYAVGVWTRWLIAFPTTLTDRADV HTIFRFSSTHQYQDKSELGNRVLSAFLTKGNYEFSTYDASKPANAVDAQLPYENIEGEWT YVYAAYKNKNFYGMVLFKDQQKAAHLNMEVTHQVLTGYAHFVLGANEFGYKAFHGWFYDP RIFLGAGSYISESQKVVEMIHKLHRKLPVTPQQAEDFKWPVSLLDTTNQDDLDSKKDQLN FEFTDKAEMQSYSIGFWFQNAMLLPEMENDFTSLVRLTTNGPDLAADDKFIGDRTLAFFT KTDKLMASTYTIKDPSFEPLSHTFDIKEHQWTFVYFGYEKPHARAYVLNPNGPTEKIFIA QHIVPNAFYLRVVNDLGHPSFWGKIYGLKVNFGEGSYFENPLELIDKWPYDPKKHSDIDK QGDKVLSINSAKVTKQKSDDANE >CAK67312 pep:novel supercontig:GCA_000165425.1:CT868052:99319:100067:-1 gene:GSPATT00006618001 transcript:CAK67312 MSQQFYSKYRHLFEDEMFDQVKLGYDMQMAPQQQQIPQFKFSQQQPKFQLQQSPIKQESP FKIGQLQSQYKQPQVQSFQASSQERTTLSRKNFNEERIRKQVMQDNIFKQPSSEQWQTDR GYQLNQPQQMQHQDQPLQERKQQQQTYNVFQDQDILDDYAPKKQRVQVQTANDNTQINNV HKVYLQRLNDLDYIRKKYLDPKQLSRDIDQHNPYKAALMQRILAQMNGNQRKSRLKDALK N >CAK67313 pep:novel supercontig:GCA_000165425.1:CT868052:100117:104007:-1 gene:GSPATT00006619001 transcript:CAK67313 MENRAKEIVNSILSKSPLTISKQEFQEVSDLILDYKLNGYVMALLRMQEFYLYFDIPLSI KHNIDLISHMDEERINFFELIGYMYFNKGSYINFYQNLVLFKYKQIARLNQQNLYILHQV IGDIIASIWWHYEFLKQLVQRLYSNQYSQLVKMIQNQQKGIDEQINLLEFSKHSKYFKLI INNSLTYNLNNDQLSQNADEFIQTLLKKDELNYEDILNYMKQSPAISVKKRIIYHKLLFY YWLISKQHQLQKEPQKQKQSALSQSVIQFRPTQSQYASSRISINQFQSGIFQSSIYGSKI QSKLSNSSIRYRKASNSIIQYLQENVINLEESLQDSDLFMQFDAYNEHYQSLAESLSIYE IGDWNDLKELTQQSTQILKSVLENINIEKLIETHFDKYFSLRSYSLVIDSTQNFKQHIQY VDEQVKIFQYYQFPSVTSQFYKFYDFIKPKSQIEQIEIIRLLSLRLQFTKQQVNTIYRCL QLQLPDHFIVMEAAMKLKSIQTTHNCLKHRDWLSQIDQDDWLMLKAIVFQQTLIDIFYLI VQCCFEQQIFLNTWQRILKNLFQIITLSKANDILLHFFEYVISNEKDFSYFLNLISVTEP PKYSNNFYQEILDFLERVLNLENQQEKVYILKGFQVIYPFPNIFKIDFLIGNINQQSKSL IQYIKIKQNQDDNETLTQLCYQLYSSDQQFYSKYIPPEDTPGFDLHFTAERELSETQAKL FHLKIVEQLNLPKKEDVINEIQKYLNPLRGSCKSVIKELDQNIFGHQIRDISDQDILEYV LNHKKFIENHVDKKISQVKQRIQQKLRKIEVLIEKYQNTRQIVNQMNKKFKFPQRSKYYI KSEYHHLIEPGSLVYKFIFELILVQRSIQGSRLIESDSQAGFAIPRNQNEDNKRSNGAQV EQQNKNKSEQDIIQYYLQIGEDGRIPILKMFLDQLEVEMNTYILELKQYQQDLIIRDLDD QISEFKKIQIGCSESCPFCGRKCDQDVLKKHKHKCSTGHQIRSMKGILIDQKLSLLTCEE LQDDYYVYDQQVQDLRKWVDLKLRYKIWNFCNFKNNEELKQHQLNYQTYWNYKVGKVICN YLNCRFFQKELEQWNQSHHFILVIDESGSMAGQKWKILMEAIQQCFIELRKYPNNRISLI QFSDDARFVVGSNQPEEIPQQEQVKQFQMMSGGTNFENAFLLVFYAIQRCISQFDFQTVV FYTDGDADYPNVSMDLFAQVNQELRQKIDILICTEIKESKSLQKVCQVFQQKMGKGTLKE NVKIDQIGQLLQEKVCQKY >CAK67314 pep:novel supercontig:GCA_000165425.1:CT868052:104783:106547:-1 gene:GSPATT00006620001 transcript:CAK67314 MELRAKSHKNTTFVLDIQESEEEWLSINKTAINQFSSCIKVSSKIYVKHKFYFCLQMSQL KLQDCSSSCAQTSLSKIQTMIHGKQPDPISAQQQENLINLGNSDQKRRNSCAGDRFIPMI KKKFNILSETKAPAQDIASSQAALEMLYKQQILDQEPVMEPENGSLKFVNQNNFQYKNEH LHYIDSIDPKNYNSPLVDHKYFALPETMSSYYGKYIRKIPKVPFKVLDAPQLQDDFYLNL IDWSNQNTLSVALSNCVYLWNAQSSKVTKLLDLSNDIVTSVGWSLRGPFLGVGTNNGEVQ IWDACKLQKVRTYKSHVARVGTLCFAESMLSSGSRDKSILQRDLRQKEDYFFKQTAHKQE VCGLKWSPDSQLLASGGNDNKLYIWSAAQHDKPIFKFTEHQAAVKAIAWSPHQHGLLASG GGTADKTIRFWNALEGKLLSKEDTGSQVCNLMFSKMENELISTHGYSQHQIILWKCNGMK RIATLVGHTSRVLYLAMSPDGYTIVTGAGDETLRFWNIYPQSFGESQNNKCQLVPQNISI R >CAK67315 pep:novel supercontig:GCA_000165425.1:CT868052:107226:107599:-1 gene:GSPATT00006621001 transcript:CAK67315 MDSDEEKQVDLPPIRVRSSELNQKFMKDILLSKTNIYHILLELDELFKKYTLDKDVCVEL IKHIKTNQEYKKKGEGEWQCIIGSNFGCSLSYDLELLTFFDFLSNGKSVLLFKSG >CAK67316 pep:novel supercontig:GCA_000165425.1:CT868052:107641:110531:-1 gene:GSPATT00006622001 transcript:CAK67316 MRQIYEFIVIHIVFYVVSVHIDLKQQEFNPKDIYQMWMLFNIFFASIYIITKKLTLILVF LLQSYMYFYLSKYNPYYVQAATVLIPIALIEKSNLFIILNQIGAFLISGPYNYNQQILFV ILIIALAIYIYAKQHVEEILTKKKQKVDQDEKFLQELPFPLFIVNIKSQIHWSNKMAREL TANCGVKLGGSLKEIFQDQDLFLQYLNQDSKQLIVQMNTVLIQQSLEQSLIMQQNKNQSQ QQQQQQTAQVITENKLAKFQSQKEDNLQKSIHKYLNVACLTLNSLKITEQIQKEILTVLI KPFKINQMRLFMICINANKTFQQNKVLQNHLIQQIKQNGQQMLVSLQQDFQKWDNMRSLE IIRESDLKVISQSIVDLYKIIFEFETYEVSTSFFPQSNLINFQFKGLVTYLIEVFAGRYL QKFTSINLYFDNSIQDKMKGNSTNFSLIFMSILELIAKYSGDKKPSLKINCNLDQVTDQN KAYQIQLQFLWRQDDELDQIFKEFFHQQVMPMNVDPQVQRYLMTIKKLQSYSAMKIFYIE QSVESIELLSELHIFVDFYISDSEESNLQVYQPPQLQITFSREFVGQNPNEIMWQPKKQP QVIVDKNHQAIIRPIKPMPPTFFNKQNLPINVDAKQPKKQDENVEDEVAIQKKQKVIREL IQAQFTKVAPRLSEKIAYLIKKKLESQANKFQDIQFVGDHEEKLDREDYYQGNEEQSDCG TSEGKGNSYDDEGQSQTTPNLDSVPTNRRFMNVPFQNEFSNIDSRATNQMLQGSDLQIIT YKKLKIEQIVEEIKSNQIDTADFSQITMNELFIQKIADALEKNNSLKTLKFVKCDLSDQI LIKILDSITKTQIESLHLQYNLLQEKGLHHLISLKQGLKEYPVKELHFHSNLVKEIQISK EKAQLSNLGIKVLIG >CAK67317 pep:novel supercontig:GCA_000165425.1:CT868052:110551:111042:-1 gene:GSPATT00006623001 transcript:CAK67317 MSEVEEINLESNIKKPRHQKQQNQSRRIRKTKNNFNRPRDNSQNRNRDKQRRNDWRQQNG ANPRDLYEIDIYNFLAKNQISDLMSDIRSRCGNLVKLHIIANNIQENEAETKVVLKAFFK DKKSADECEFQYNDAKLDDLSLRTERKY >CAK67318 pep:novel supercontig:GCA_000165425.1:CT868052:111078:112005:1 gene:GSPATT00006624001 transcript:CAK67318 MAMENIHQINSKEDSQGHYAIISETVISIFNKLGAQVLKTNPRQMHYFEMLQNNYFPGYK IQSVTTLAIFVYTLIFTLCIFGGIEKQGQFLEISDATLQSHGGLVTNQIKNEFMFQQLFT SIFLSRDFYHYFCIVFLLLLLLSSFEYASGPLVAISLYIFSGALGALFGSSINCCTDLIY LHANTAIYGLIGAFLGCIILNWCTLEILNEMRAFLCCFMWILLTFVLVITLSAINTNHND KYGQIGGLITGFFLSLAIVPPMNQDSYEDKAKIFGWTFLGIFAFISTLMIIVM >CAK67319 pep:novel supercontig:GCA_000165425.1:CT868052:112818:113852:1 gene:GSPATT00006625001 transcript:CAK67319 MSLSITKHPESFTINSEPNPRECSNMYNLITSFEYSQQCRAFGFNASDNIWVLGYGFEIL VCQKENETLRVDQILQGHTSCVTNILYSNKEDMFFSTGSWNDNVIVWTRDLDGIWNKQYL IYKEGQTREFEVVQLILNENEDELIGVMDYNFIIVWKKTPEKKWINSQIIPISQNELAQI HQVSYDIQFYKIIIITQNGQVQILAKNQLDLWKKRQSINLKAIKGKKQYNIDNDSLVIQG LKGEQLLFNFNRENELYEESQREVSQTLNQIFEMNTQMSQSQEICALRKNKLLQILKKDT KNLYQQIQTIQFEQNFFYQFSKNGQYLITWDYSKQIQIWKYKSD >CAK67320 pep:novel supercontig:GCA_000165425.1:CT868052:114144:114873:1 gene:GSPATT00006626001 transcript:CAK67320 MGDIIPNQLFVAGYSRSKVQDEKDVRDIFKKYGAIKEVAYKGSYSFVTFSNEQEAQEALK STNGQTYNGQKLKVDVVDNRKGRKTGPNEEDKCFKCNKGGHWARNCPNIKSPRRSRRRSD SRSKRRHRRSESRSYSSYSSSRSRRRRYQNKRKRHSRSPRRDQRIRKRSVSPKRSPSDSA SSKRPNSNSKS >CAK67321 pep:novel supercontig:GCA_000165425.1:CT868052:114884:116528:1 gene:GSPATT00006627001 transcript:CAK67321 MKKRTLQDLSEEQAQINQSYIEINSVQKELYLENQKRLKEKQKESNPIVVIEDDEIKQPV EEGELQLSFPIGTFCLNCLTQYPTDDRQPHHLPFINILYENAHIDVKTQKPKKTLESALM TCFKFEDELLEPLVKSGVQLYIVNDNDNLNKKLEIIERYNNYPNWTVIKPSKLSTNMFGG AFHPKIWILKFPKFIRIVIGSQNLHVGDWTIWSQGMWIQDFKIGKSELDQTSQEFKSMLR EFLYEILPTSHKFEDLLKIKYDDYDFKDVNIRLITSIPGRFVGNQLFKYGMMRLQSVIYQ ELCNNKMEIPKQVCVTYQTTSIGQMDNNYVDFVLQCCTGRSTKKINQMILNQQEEEQSKL KLIYPTADYIENQTHGGVDFANPLHLKQQSYESPKFPKHLFYKYQGSDHYYWHTGNIPHL KVMIITGLDEDINDQTSIYIGSHNFSQGAWGKMEKNATQLFISNTELGVLYPPKKNSAQI KQQIIEQLSFKFPPLKYDKDDQPWIVEAYYERLSKEYQQQNK >CAK67322 pep:novel supercontig:GCA_000165425.1:CT868052:116543:116754:1 gene:GSPATT00006628001 transcript:CAK67322 MDSEVPKKGCKCKNGACCKCAPVRRIMNQCIQNNGEEKCQTFRDSWKECIAYYKSLG >CAK67323 pep:novel supercontig:GCA_000165425.1:CT868052:117072:118372:-1 gene:GSPATT00006629001 transcript:CAK67323 MIFDITGLDIAIGQISLNFLVSLSYLIRSKYNQKISQKSQKIILKQNTKKQSEEVFQTKL TIQNQTSSIKKNVSALRSSKPNEIDQNNSKKNQVDNYPQSSGLLLSPSNKILENDKLMSD TNRQTHRSSLVPILQTQKQQITSKSSSCSQNLIQPAVKPSSDQNKSIINQLKKENPSFAG SKLVQCQQCKADNSVEQSDDIITIERKRNMSLPSELKEDENQEKIMEKKQQEEQRLKIQQ YKDTEYSQYIQLQNHFLLFSCIFFVQSIIHKINSNLSLYEEINLNNILEIYLLQACIGGF YYNVFQALIIIFLEKVLKCHSLITRLLFSAYNISAPFYLYSTMQEQSKLINLEFLLMIGC IYFLQFLVFIFCRILNWKNYPLTLFLTF >CAK67324 pep:novel supercontig:GCA_000165425.1:CT868052:118397:120194:-1 gene:GSPATT00006630001 transcript:CAK67324 MNSEVQDIIDLYLQIVSNLDLDPQHDVTIEQLKNERNYCTIFRIMFPFLEKEIDNIQKER NPTGEKLQGLIEFLSYPVLTMDLSHISGHSIAQGDLRHLYNFLQILLELSKLYKDQVRST SSSQQISTPPEQISGNDSSKGADYDQLSQEGSAKVDTKQLPRDKYGQGQKINAPQDKTGT SKKQPQNNQKEASDRKEEGKKVLNSKENQLIAIGNNLLPVTQQQQRIITQDPNIVTKQKN KQKKKKQPREKEKQEDQFDFDFSGISEIDYDFLDQHDEEEINQLEDDDPTKVKYIRELQK DNIRKLLQEKMKGGEDLEDRSEIDDFIDEQIKVIKISLGKMKIQPPSDEKETMEQIIKKR NQYKEFLKDYLKTYQQKQKKQESQQNKSIQSQKQQNKMNQKKKDDLKKEFENEHLSMYYK LRDEKLNYLRKIHRIIFELEKRKIIDEKKDHLQMRRQQNIITRNALASVENAYNDKISML KDKLQNERKERHVAGVAQKSILSKLEKELKDEKIKQIQELKDIWRQEKERFDYLMKDDGE LEKRILQIYKKY >CAK67325 pep:novel supercontig:GCA_000165425.1:CT868052:120287:121418:-1 gene:GSPATT00006631001 transcript:CAK67325 MICSFDLCNSSISLDSLIKGVTIQCLWCPNAFYCCDDCRLSDSKHQSKCRGIQQTVLVDR QNIFKEMQLLEQIGQGSFGTVHKILIQGQLYALKKMNKHQCSKEVRIHKHLNHKNIIQFH YFIEDDEYVYIIMEYATQGTLTQNRENCDIQNIFIQLCEATLYLHEKGIIHRDLKPDNIV LDSFWNPKICDFGLATYESVISSFSGTFEFMAPEVIKNLPQTQKIDVWSLAAILYWLLEG KPLVQGNQIEKMQQILDFKKPQFTLISNPFIQDLLNQMLVPDPDQRISLQGVLNHKWTLS INTIEKEIYDRSTQPSVQKQQQIEIQQQLHKNDSSMLQKFISLFGCGGREKQF >CAK67326 pep:novel supercontig:GCA_000165425.1:CT868052:121836:122941:-1 gene:GSPATT00006632001 transcript:CAK67326 MLSQIKVLEFAGLAPTVFIGMVLADYGAQVTLLSRLDSQPQNSPMNRGKKSMTFDLKCKQ DIDIVKHMIKDSNVLIDPYRPGVLERLGLSYQVCSKINPKLIYCRVTGFGQNSPLKEKAG HDINFISYGGVLGYCSSTGTQKRPAIPGNVVGDFAAGGMLGVASILAALINVQKGGDGQQ IDLGMAQGSAYLSQFILHQKNEKLWSNGIGNNLLDGGTHFYNIYQCKDGKFMSVGCLEEK FYQEFVRGLIAGGLSQQRGQFVLENQLNQTEWENLKSEISKLFESKTRDEWENIFDQYDA CVSPVVELEEYETKNYLGENVFIKRNGKIEVNGQPIFSDYQYQIKDIPKVGQHNNEKPKL >CAK67327 pep:novel supercontig:GCA_000165425.1:CT868052:122970:125718:1 gene:GSPATT00006633001 transcript:CAK67327 MWNNTSFGILLLELFALSLFLMGYFQVKTTFEDINEVESSNDKPMKIILLLVDALRIDLF ANKNFTFYQNMKENQEEYQILYYGISSTPTATQLNLQSITTGNFPAFIDFGSNMAAQELK EDNVIYSMKRNNKKLALLGDDTWFHMFPKSFDYKFVSESFDVRDLDSDDNIIINNIEDLI KENKYDFIVGHLLGIDHSGHSYNDSNQALWNKQQQYSDLLYKIYNQMDNQTILFVVGDHG MSQDGNHGGDSPYEVSSTIYAINKQYKFNKKLFDQAINSQQYINQQLIDRNLYIRQIYSI NLAPTISYLMGSSLPYSNMGAILTEMVNTKEQQENSCKENLIQIINYLSKLAKVQGSINI NLQQYEQNLQSKQFKCQQIQEIIKELQFELKENVKTYDYTLLFLGLSMMVILFAFHILKQ SEYLFNKQFSNQQQYIFYRLEFFVLIIPAAILIDLIFSHSSSIKFISFYLILAILKQILQ PLTQMQNIRVILTKVISPLPHYRQYFMIFANIITQIGIQVLSVNRQIVSSSNIFGTLLNI FIILFITQFKLIKQIHYVLLALGLLILAEQYNLPQESKKPFSPLTIVSINFIFESFWIKF VLPIIFLQKILYKLNFKIYFYIFLGLAQFIHFYNNCPIFNNILNQIFEGHLRIITIYLPM LLYISSLAMIFIFRDFSYFFLIILTVSGKQGMMIYCCLFYSVHYLTKFYMCIDRAWLPPV AGATIQLMLNYSWFCLGHRMSFSNVKFQDALIGSESFNVVFNTTLLIISIFGVFATINII KNITLQILVDEQSLQQKFPIQQFNNRIIDFLIIVQFSQVTFAALHNMLNLYHQNIIELFA QRIIYESIIFTIVILVRLFNTIFEKTICQKQYEFYFQPYQKLSNEIKDKNKE >CAK67328 pep:novel supercontig:GCA_000165425.1:CT868052:126123:126383:1 gene:GSPATT00006634001 transcript:CAK67328 MTRSIIPSGQANERVDTNQQDANVIIVCKPLNLALKSSLKGDKKGNQQKLKVLRFAQPVK QTYIVENWKKYNHSFEEEKETCCKLF >CAK67329 pep:novel supercontig:GCA_000165425.1:CT868052:126576:127831:-1 gene:GSPATT00006635001 transcript:CAK67329 MKKLSKGLIMILINFWENFNLIHICLQDNIQWEKEHNQDYTLQKLLPQKVQIKASLAIIH GFGEHSGRFLHLADFYAKAGFEVYMIDLRGFGYSGGARGCATQQQLLQDVKVLIQQVNPS LPLFLYGHSMGGLVVLAFTLLNPAIQIAGVIATSPLLGFPSDRKLDWLKLNFVTTAGKKL EDMVVNSMVNPTALTKNNSQLKHSFGDRLMIPFCGLNMAASILSQVKMMKGHSHLFNKPL LILHGKQDAVTNYHDSVHFFENCKSQEKALKLFENGYHELQHDEECDELMTITLDWLQRR LDNAKILGNVPTVINVQPLKRKSNNKVKCILLILIIAYFIVSLKYKNLAQGSKLKKLIIP LLLFKK >CAK67330 pep:novel supercontig:GCA_000165425.1:CT868052:127881:129993:1 gene:GSPATT00006636001 transcript:CAK67330 MSIVLETSLGDIIIDLHTQVSLAAKNFIKLCKSKFYNGALIYNVQKDYLASIKSVNPATT VYNQPYFEDQINPLLRHNQYGVVATSNSKPHANNSDFYILLTQNAPQLDGKHTIFGQVQE GFEVLQQINRTHIDDDGRPLLNIRIKHTYILDDPFEDIPNMLYPSRSPSPNYKAEGRIEY DEKVLIEEDEEQLLQKTKQHQAKKRAVTLEMLGDLPDADMKPPENVLYVCKLNPITEEKD LEVIFSKFGLIKNCEVVRDWKTGSSLQYAFIEFETIAACEEAYMKMENVIIDERRIHVDF CQSAHQQWSLLKKAQRKKKELNLQRELAQRSKNKDHSFIESLELKKDYFHTKANNDFVID QQELQKLQDQQLLQLQMQLDSQQKIQQQTQLKQEKQKKEKKNKKKSAEKKNKRHRSSSQG ESDSDSQSLKNKKQKDKHKNKNKHKSYDRDDKDQQKRKEKEKLKEQEKQQDLEKQKEIQK QKELEKQQEKEREKEKEREREKEKEREREKEREKEREKEREREREKEKERERKRDREREK EKERLREKEKQREKDREREREKERKRKREKEKEKEKEKEKEKEKEKGKQEEQKLKERQQS KDGGKNKQSESNQDNEGNQKDNSKLKQEQEKQNQTQQNKPPMKSTQKKRQTKSSQSSNDS SSSSSSSSSSDSDSSSESSSSSSSDK >CAK67331 pep:novel supercontig:GCA_000165425.1:CT868052:130055:130889:1 gene:GSPATT00006637001 transcript:CAK67331 MGTCGGKHNNNKQIQDNLIYEPSLPADKQTPIEKLNYILSNPIIQYLQTKRQNYDTLINK LVLQMNIQKSEYLSYIELLIGHFKKIQAQSDPVKELIQSAITFMNQDFVQFIKLESLFCE SDLQLQLKTFKFFIDLYLILNIIKPEQENDSWFDDYIKIYEEQCHQFSSYNDQTSVQIRL LKHIQKQFIENLQKATIKISQSQKQQHLVKLSSLKDEVISKSTIQSEINATHQARQQNQK YKTPI >CAK67332 pep:novel supercontig:GCA_000165425.1:CT868052:131435:131812:1 gene:GSPATT00006638001 transcript:CAK67332 MKNFSISMITIVKYQPRFNFAGLLKQKDLGDEKLYFTKEDEKIMSKLMKKIQESNENQID GDENEQKIPKEELQKIFSKYRVTYNEALLKEILMWRKNN >CAK67333 pep:novel supercontig:GCA_000165425.1:CT868052:131821:133338:1 gene:GSPATT00006639001 transcript:CAK67333 MNQPPISVDSSQINLLKNLDDIDDIFNGLAQDDGAQLDQDFEDFLECFSDDEDSEEESNF RPFPSFRNVTPSVQFSTFAESDQVIKKQTEERLLLAPPGDHQFPVYDDQQQEDSVDEDDD LSDFFDSFDINNTHQNKEDEVNYIEIKYFDETYKIPIFTAKLFEPEQPLEDRLLFENTEL VLSKTEMHDFHKHLENILTKDQKNFNDFTFSVMILKIQSALLADLLKSFLKMKDFQQVWL DISPSFICQEKVTQFGRQLKQFQESVNYVIDSNSNKITSRRFFDKQKPAYFQDKKKDNKK KELTEEEKILELQQIPYNQNFNPQSSLVCILFFKAQALFESWQQFLHYKKNSEKYKEISN RFARLYGHWKTVKLLKKFAKFTPRTKQIYIKPLKGDEAQDLKEEIKFYQNFLQMKTLGII NNLANSPTLNINGGQLKIKQLNQIRVPQKQKYISQQYLKYTQELF >CAK67334 pep:novel supercontig:GCA_000165425.1:CT868052:133374:135570:1 gene:GSPATT00006640001 transcript:CAK67334 MIKHHLKFKIPKQEVIQVKNQTKSSFYITRRIIQTNSDNAIHVMNNKVVDQVINHKKKNP VSSRAYTISHYRSYSTTPTNIKGQQQSQNLDEQVQFFNSERQQSISVLSSQFKIATSPKP IRVVSLYQISPLQEPKSNPLVYQDKQYFYSQFHSAKIVNFNQQDQKFNQNDDDFICQNSF IMNKRAKNKSQIFVNVTYKDFEKKSKYVYQQNQQGTQKQQIFLQKVLKIIKDSQNDKSDP KLLVSKFSKIWKENFSPKQFQEQQKYSQSTMQFKWYFNQFIEKILNQYRLYQYINKNLEF IETKKNNQLQVSVHELRRFYIKNHNNKITHTQIEDQQFRYKKEIISFGMIDILIEIKDEE FQSEYFQQNSQREIAGKKLEYFYCEKIQDTQQYQISPIISKLDNQSKNELLSVDYTEMDK IMNQSKKDQFVPKIQLDNVEIRRFNSKITYIKYSDIIMGNYKNNNEIVDLEHDNYQEYQQ QQATLNMDKKAMMMNLRKQTRRTKVSVSSLQKENEIIQKQFMAPGYRNNLLASEQQPKMN MKRSQTKSIHSIAEREIDQSNEIIYTNETNKGNAIFKCYRENFQPVKYQQQLKLQNLQMF ENTMRHESSSIALVQMMIEHNFIEELIDYNKKNPNFSLNSRNAYGTPLIMVAAQSGNKEL VQYMINQNVLLNVKDLEGNTALHYAIRCGYYEIADLLLLNGANQELNI >CAK67335 pep:novel supercontig:GCA_000165425.1:CT868052:135660:136352:-1 gene:GSPATT00006641001 transcript:CAK67335 MNCMSDEFQQKFQQLLQLKDIYSTREVLPQRVQRLKLFDINFQLKQIRHQQQKRLDQIIN SSIKSQSTQQQLSTEQTVSKQYSSLIIADQQNICLSCDKYIFEKKVELFCHNKFHHSFHS SCLATIMKHQLQQQCIQFTCLCKSQIKNGQILKQRALDLEVYINKLMDNQLYFMKFHLPN IKQCANKECDFFWVCKIPEKKRSQPHSNSHSPLKTYRLTYVNYCPNCRFQ >CAK67336 pep:novel supercontig:GCA_000165425.1:CT868052:137322:139437:1 gene:GSPATT00006642001 transcript:CAK67336 MKKTIKNEEPLREKLSQTMFKGSSDNNQPILIKGLGQEFSTNILENIQRTHSFSKPFQQA PKEDSQNQPKTPTMKNDIGMIQSIHIKKPPKSVLKISRFVGDAKWPFFRVKKDETVKQFW NRRTQQDHHRNWNSEGYLFEGIMLRIGRKKKSVKGYYFKLTYSGMLMYFKKESDKEPKGF VQLSMESRVIISYQKTKKGLNVPVLYIERVEGIGITIFDHQIEQTLKLCEALQEFCLMRG YDSVYTQIDTLGNGAFATVFKVQRKRDEQQFAAKVIYRNMFDENKHKDKFIQMVYNEVIA LKTLSHPGIEQIYEVYQEKDKLVIIIEYCQGGTLYQFYKSKGKLSEKQIAQLIKGILDAL FEMHSNGFVHRDLKLENIMLESKEHMQIKLVDFGFAEEINEKELISKAGTPGFLAPEIFR GYPYTQKGDVFSAGCVLYILTAGYAPFRGKPSQIQLLNSKGQVNYEKSPWPDVSVELKSL VKKMLEPKQEDRFTTLEALESSFISHYTKITSETNYKSFQMVSGLDFHNKQSVKSIKNSE SNGSKQSKEFQLDFSIHTNDIKSLYQKNSIKTLQSQRSGRGTIKQSMILKQSISVPKDAI IEQYQQKRKQSHPDRASCKDSDNDIDSFGELLKLQSMKFTTFEDFDALNFSQINFLTETG QVFNKIKRPW >CAK67337 pep:novel supercontig:GCA_000165425.1:CT868052:139498:140101:1 gene:GSPATT00006643001 transcript:CAK67337 MSKRGRGGQVGIKLRITLACNVGAVLNCADNSGAKNIYVISTFGIKGHLSRLPSASIGDM VLCSVKQGKPALRKKVMQAVVVRQRKPYRRREGYYIYFEDNAGVIINPKGEMKGSAITGP VGKEAADLWPKIASAAGSVL >CAK67338 pep:novel supercontig:GCA_000165425.1:CT868052:140431:141075:-1 gene:GSPATT00006644001 transcript:CAK67338 MILNDSLPQLKMEKTAQFFNPRKTILKQQTLRKLQPIVDEQTVKEFSVPTFSKKLSQKEI IDFDQIVQQQLQIYNSQTNILQINQNFNNLHILKSSQQSKRSQTPLKSLLEVQERLLLPK YQSTHLAVNEQSKQSTPEKYRRNIRVLLNPFNFERKSQEKQQNRSSSQSLRPSKSQGRTF LQRKAQVGVLNRIFSSRSQEKI >CAK67339 pep:novel supercontig:GCA_000165425.1:CT868052:141398:143091:1 gene:GSPATT00006645001 transcript:CAK67339 MYVIQQIEDIKDQLQTQQLQPLKILLSENRLKAMYDNDQLDSQYIISIISEEHFKPMMDE YTNKVLINGAMPPLIKFDQYNQIRNQIIELYKVFFFGDPLSQLEREIEFRYLALKQSKFF KYQMQQRSKDKCLWTHSQQRRVELMKNWMARDINLFDDLRPIYEPLLLNQKIKIYQQLLK IDDASIKEKLQFHLIEKHTLQKSKRRLVTIAQTLFTRTMLYTNCIEFLRVLDVPPDFHIE LSIINIHIWLICNRLQQINTRESNNLATLLFKACDRHIANEVDKIHLRKKHDLVRDIEAY MQTGRKYLEYHFNRNVKTVKNNYFKLDALIWSIIFFEKIPRYSDKVFLVAEYIKSNYDFL NTLPYESFEDCIVEFDTFKMRIDYKTQLLQVNPPLSEVEFEQEFLSDNKVKKYYYSFEDQ ENKVIELPKFESEIKSLLERRLDVLGENIRYIIKKYQNLETFDHFSTHEEQIKQEQKKQK YIWNTQVERDPEDLRKLIKQRRIQQNNM >CAK67340 pep:novel supercontig:GCA_000165425.1:CT868052:143462:144830:-1 gene:GSPATT00006646001 transcript:CAK67340 MLNKIFRSFPCFSTIFPKRNFAIDYLQDVEIITRDYYGSLRNILKSFSNHKINLSDIETL KLNRTAKGQNIVVKLTFEKIDDYKFNELLFDLQQRYDEVLIDNDSQIPLVPWYPRNDEDL KTIGLIMEVKEENNQDHPQFKDHEYRKRREEIAKLSQQHLIGEPVPYINYTEQEEVTWKK IYSILRERVEKVMSQRYLRNLVKIENALGFKYKIPQLRDIDAYLKAETGFRIKATHGILS QREFLNALGHRVFCCTQYIRHHSTPEYTPEPDIVHELVGHVPLFADKEVADLSQEIGILS CGTEQKDLSRLGTLYWFTLEFGACKENGQIKGFGAGIASSIGECDVSNIQYLFQNFPKAN YEKFDPFIHADRPYPIQTVQPVYMYTESFEEAMQELIIFGKSLQKPFGLYYDFIEKELKA TKRVKTHLNNQ >CAK67341 pep:novel supercontig:GCA_000165425.1:CT868052:145493:146511:1 gene:GSPATT00006647001 transcript:CAK67341 MFILLLLNISFALPDFYHQFDSINLNQYSNCAKIETLIEDPKVDVITINENAKFKAFLLF GEHARELISPETGLHLLDSICNNKYDVSKYQIKMVLNLNPLSRMRVENGEYCLRLNENNV DLNRNYDAHFKQYTDMEQQTNSGPEPFSEPETRAVRDLLKSYKPNMFLSIHSGSAGLFTP HAYSMEEPQNYEELMKVLNVIREDHCPMCETGLSSKNVGYLAFGSAMDYAYDEIGIKNSY LFEIYHKDVNMINDLRVESIKKQKRSFLELKDDSSNQECFDYFNPKEKEQYDWYTQNWSD AIIKTLGLVEQQSNEDIILAHN >CAK67342 pep:novel supercontig:GCA_000165425.1:CT868052:146722:147433:-1 gene:GSPATT00006648001 transcript:CAK67342 MSTKIEGSEQFYSELTEQGDDSNILISNQDPITLYNKFIKVYNLDDNKVNGITLNYMISS KVVQFIHNYLRNYLGIAVFLLILILLPFINLLFYILLLVAWIKLTQNYAIFQQNIGQVMD PFANMIENQDLCEMMKKNYVIFNMELKENEGLHFSTKVKEMIKNRSNGNNKIKYTIYNQT LKEQFYGYPNSRITYLKWILVSTVIVIIQITLMIIYISKI >CAK67343 pep:novel supercontig:GCA_000165425.1:CT868052:147612:148299:-1 gene:GSPATT00006649001 transcript:CAK67343 MKQCLNKLLVLLNLACEAIFQKMIEILYIGDIIRPEIREPVIPTLELQPIDQSIKQPLTL HSSSSTPVFTKNESIYRRAESEKEFRKCNIPNLGDTINKVDSYSRDISLLNLFEDRQDPH QNLNQLDNHNNRNKLQPIIEEHKVFNNLENLECEEINQNPENHQQHIQNQQNNQHSQEQI TQDQFYSIIDNPNKITQSEQFFSIIDRSSFRHSFNKNLPKK >CAK67344 pep:novel supercontig:GCA_000165425.1:CT868052:148340:149587:1 gene:GSPATT00006650001 transcript:CAK67344 MSDTESELLECCKCGAQVDQILSLQCDHNLCLNCAAKAVAKWGTFTMYPPLVCQICSSKT QLDPSAVQVLLEMNPQSSCCSEDFEQRSEILETKQSQQIQQADYHNQSQQQSLTNITCQQ HLTESCVLYCFTCDAQCFCMECYLQGLHKNHEVRNISKSFDLIRENGNQLQVSLQSCCEY LLHEQCKVVNKKQDLVDLVGQAKMQITTNFEDIYRTLKLKEQEMLQAADELVADKLIEIE GLMAKIKLQTDRVNQYNEQLVQYFGSSSPNVSLCVEACNFVVKEKKKIQNLIEQVRQEKI SPTIQGKFCLDSGSIQQMISDVRGVKLQLVSLRGIESINPTQREQYIYQKAVEDKTRDSM IGNNQVLREEKENNNSISFIERSSQKKSLKTNDNRDFYTKFHEAKRTIMNQKSFI >CAK67345 pep:novel supercontig:GCA_000165425.1:CT868052:149764:151024:1 gene:GSPATT00006651001 transcript:CAK67345 MAKQQLIHSKPPAITTKLQIPHRTTVEQVYLKRLKETEAFIPKEEYLKKQENINKVMDTQ SVQQLKYYSHINEIRSVKEFLYISEKLKQKNIEKKMNERLELRGKLNKIKEIEVLKKLHY YLEKKIRLFIKDRQHEENPKLKTFEAFRNAHQDDKKVMQFPIELQKELFIKLNAQSDIMP SKQEIVSPVSPKKHQKRNTQFDFFNADVSDSVVHNEEKEQGTSKFLTMKVANKLLGMMII ESSKGKRQPIIWAQDKKKELEDILNKPYGGKMNFNLHSFNWLIDNALDRTQSLMNFKKES INRITTMDERVEFGGMPNNYLSIQNKINKGIDSITKTFNIRPKSSQVLRQRKELKNRRQI TTEYNITDRIKENVLSQAMRNQNVQSQCKTEGMFFQNDSYVSHYI >CAK67346 pep:novel supercontig:GCA_000165425.1:CT868052:151053:151291:1 gene:GSPATT00006652001 transcript:CAK67346 MESREQIIQKEAQIQLLTKVFKQDQICFKKCIKAVEKRLTEDQEKCLKICNNKVQVAYDF LHKLNDKTLE >CAK67347 pep:novel supercontig:GCA_000165425.1:CT868052:151366:152773:-1 gene:GSPATT00006653001 transcript:CAK67347 MQAKLNVNALFTLVPNKCDYTFLYVIGRGGFGRVWRAEHKKTKHQFAIKEMQKCKIINKK SIGSVMNERYLLSNLRHPFLVNMHTAFQDRESLYLVMDLMTGGDLRFHICKNRKFNEEQT KFFIICLLLALEYLHHNTVIHRDIKPENLVFDRNGYLRLTDLGIARIWKPGNDGDTSGTP GYMAPEVMCRQVHGVASDYFAVGVIAYECMMGKRPYLGKTRKEIRDQILSKQVTIKTNQI PEDWSEDAADFINQMIQRKPVNRLGFYGPDEVFAHPWFKNVDWDAYLTQSKQAPYKINSN SDNFDYKFANIKEPEDEAQAKQNAELLRRDSIQEAFNGYTYKSQNSQQTIQDQTNSKPTT SMSQQITPTKKKLLDSEPQVELKSQKKYHLVSTPRFNKKLNFTADQPLTERGQAKKHFDF >CAK67348 pep:novel supercontig:GCA_000165425.1:CT868052:152840:154007:-1 gene:GSPATT00006654001 transcript:CAK67348 MKATYDIIPSDSLVQLINSSYELDQMTNKWMFGEEDHQQVSPPHHDQIRKYRQLDKHNES IINNNKDLFNVNYSKSVYVNKINSLIEIAPTCQESSLAIKKEQKTYLKTKKQFDKDNGYY HEEQGFDDSNKIKLAKNRQSARDSRKRKKIYVELLELKVAELGKQIEVLQKNLDQQNIFI NHCVKIPSLISDFNLSYQNQFQVLTQNITKKQLQILDDEFGVNSEKRDYLCNIIFNTLVD HILPFDLKKTIETAINNLGIFKVGEQQEIKQLIEFQQQFTQSYKKFELAAFDMAKSYEYI KREAEKLEKLKHQMVQLLGPQKYVKNILDIQQIQQQQIIVKEEDINQKGYTKYEL >CAK67349 pep:novel supercontig:GCA_000165425.1:CT868052:154555:156141:-1 gene:GSPATT00006655001 transcript:CAK67349 MANTCLYSLIKAADDSCQYTKDNCNDQTIFPFTHYYFCQLDENWVILILIAPFLFFILFN FLARTVDDYLSPAVTFIAEYFKMSQTFAGVTLIAFANGVPDFLCAVLASQDDDGILIAVG SIFGSGLFMTTIIVGAVILLSGVVKAEKVPFMRDILFNGVAIILLMIFAFIGQINHYMAI GFCSLYVIYIIVVLVNENSIKKQQRQDEIDSIEERKEQEEKKLLAQAEEGNQAIENADEE IKKELAESIPESFKKPFGEMTFISKLKYVYITSLEFVRKVTIPASNQEKYDKKYAAIHQL LCPIAMIALLGSFSMEIYDIKVWIILEVFGLLLFIYQLIFEIPIIILSIECVVCSIIWCK QVIQVLIDFILLIQTITGVSYSYLGMTFLAFGNSTCDFLVNTKLASIGYGLMAMTGCFSG TVFNMLCGFGGALVRLTAIEKYPGIVSFDLFRDAKSGSQTDIVNILDIKCRLQLG >CAK67350 pep:novel supercontig:GCA_000165425.1:CT868052:156731:160350:1 gene:GSPATT00006656001 transcript:CAK67350 MNIRISRQILIDLIDRLIVKQLYYLITNLHKPCYQKKQQDVIQYQLKEEDEYKRLQKLSL GIRDLTLRISTLQSFNDILSLKLLICAYPFQQRSVELLVSILFDRFKDQQFVNTFYQAAQ EVAQLQEQRMVLSQKSLSKISYVYNSMTELRKNSQNASFSKPVTRKLLEAKKFCVQYLKQ IFQMANDLKMDIEKLARIFQIFIKSTQDQEFRLTIISIFNECKHEIFGNREWVTTYYEEI DQYFLQNHIRIPKHSINDNISDKLSRVSFKIIDDQVSFDSDESVEEKIELQIQKWLCDTD TYDIQELNDILNGSEYFSDLIKYVTQPQKCELIKCWDKHELQNTEIRDNTYHKYSQKSLS FQQTIRSYKALQVLIKEENVAFFNQHIPQNVIQIFNGIYDLINDYSINANLYHIAQLIDN TLLREPKLGVVQIIDLNLFFSLTQYIYNPMISSILENIININIDKYQLGSFFQEQLWKYL SKTNWFKFIGQLISKERSQSGIDQGSKGEVTLTILSTLKALSQYDSKQHQNLGVHSEEKV KLTNFLGSLQAGKTPEMFYTINQQNWQLNLDVHENINIKQIHVQDIDNLKQFNQERNNNQ FVKQYYQDLRNQRSRKSLISLNDSNMNSFVGNSFLEDRNVVFKQSIDSNTSINNYNPKHN ILINIKKNQAKFQEKFAQSNSFQSTPSQQVESPGLQILTQIQRFDVNEDSKPKALSNQKK SPFLYNQSKLRLKTEGNSTFEGFSSSKLKTIYPSYKTQIKNTEYQKQLENLDFNFHYFLD GLRLLQQSTKIMIDFLKFNKEKVKQTLNRLDIASICKSFLNEELFNKYFQFYLLNILDIK KNEYNISDECGVFINFIFENIIEIKQLCECSQNICNSFMKSIEYLCKIIFDIHKNPNGLD GSTLKFKKTLLITTLNYGFQLIHQTNQELTERYILKYLNESVLHILIIWFFDSHANNVFQ RNFYIFLNHILEQAPSSLISTIIFKIGLVSSLQNAYSKFYVNGIKNNANFEDLCYYIKFI TQLIMSVIRRRNLISIERNLETMESWNILTNGKSYLQPLLLSKNKSQFSFLENQISLEKC SNGQNIQKQIDNKLKEKRVSDVVGLRKSINLTKLLPLSPGMPNKIQQQSVKT >CAK67351 pep:novel supercontig:GCA_000165425.1:CT868052:160505:161014:1 gene:GSPATT00006657001 transcript:CAK67351 MDSQAKVSPDKRDTLQLFQKVSQSDQKSSYQINKENMDPQISCQIQEVPKEKRRRFRMPQ EIFSSKKKYDILKLTKQNSDKNYEFIVIDEEQLGIRKEFSEKIRDVYDQDDDVDTTDSVL QQLKEVCLNDLIDGVRQNQPESVPNIINLWRVKRHYEILDRDENEDIKN >CAK67352 pep:novel supercontig:GCA_000165425.1:CT868052:161045:161658:1 gene:GSPATT00006658001 transcript:CAK67352 MQYKQEFSISIGQLEEDLNCDETSPSRQFDSFKLINQMPIKTKDSVEQKFKQIKRRMNHH KSDIHRPAQLQCVDQKNNQTEKRGATYERLPSDLQIDSQIRQAKKKNIKVSEQCLSRNNV LESNSSSYSSKLGSKQNCVKGILKKQTLDSSFSDNNSRSSSISSKKSVKFNLSKPEVRMT LNQWK >CAK67353 pep:novel supercontig:GCA_000165425.1:CT868052:161683:164415:1 gene:GSPATT00006659001 transcript:CAK67353 MACSYVFSDDKSFLQDLDYMLEQSDQCPSLLKCQSCSIQLQKQQSDAKSNVSCADLVDLE RGGCILQTKLGPIQYGMPPETIKDCLNLGMEVPTFYIIPSKRFDKRLGISTAEFEFPAYF NFFCKKKQITLICTADTEKAIRTVFQETLLGPVDHPDLALDFYHKFPKSAYPDFHKERSV FSRNPSNLDEKLTIDHLLKFCLFDENNTATITEGEIIIKIIKDSNSFKILENGIYLKSIQ DTFTFPSQYYEYIVTKPDDYRAESMKNIKSKRDAAKESCPTPLLVRQLPEMTVEQKVENW VIGNKYQRGGEKKLSVVLWDQMGGHVESGSAILQLDIDENKSFTPPDFGVTILGCSHGFD PKGSTSGYIFWVNGRGIMVDPPPFASYHMKQMGIPSILICAVIISHCHADHDAGTFHKIL DDTRVEIITTRTIMNSFLRKYSAMSNIDIDSLRKLFIFRPAIIGIPLNIYGAYFNFFYAM HTIPSLGFSVKLENKSIYFSADTFFDPDQLLVYKNQGILSQKRYEHLAFVEFKEDLILHE AGVPPIHTAQSVLGKLPNSIKNRLYLVHTAQKDLKKELGLKIAKTGIENTMILIPSNTNK HLTTIRRLDLLSTIDIFEHLTLKNVRWLLDSVTSEEFFPGQDVIKEGMAGDRFYIIESGL ARVYSTQKGQEFERYYQVGDYFGESAVLSNEGRRGASVEAVTHLKVLILEKHDFWFIFGD GLGGQGPIIQKMKQLTAARRSKAVHCLFKNSILSELTPSQKTQLEMIMREQEIEKGTVLW KVGDKPEFAFIIKRGTFAFYDSPEQDLEELDSGAYVGELKAMIENTAISTSIRATRKATI FKISRKDLISFANKHPGIYMILIDTKYLE >CAK67354 pep:novel supercontig:GCA_000165425.1:CT868052:165405:166659:1 gene:GSPATT00006660001 transcript:CAK67354 MNHYDYFNPLESDSNSSDIQYDKEDEDEKKFDAQMKKQKGNTKNTYINKISSLIKIANSE QVEEKGGKKQVQAKSSNQLFGGASMVDKEDKLKKNRESARNSRKRKKIYLELLENKVTIL SEQLESFQKVNDSTADLAMKLQHKISQKQEQDQNKMVLFSNLQNTVQNNVSEMNIDTIIE SLNKKFGAGSFERQQQLDHYFNQIYENCLSPYLNYIIGAAKTEQDIFNGQNGNSDSGVLR NLKLTEKQKQILLKKHKKLLRFQNDLTNTLSSFQEIKNQIQIELSSYGQTLEQLRKELKP NQVGKFLIEIEKKDMQHHFREQFEKYFGAEMDEDDSLDLYQFMAEHNYCNTLGIDIQNTY HIYKQSKQFLSRKYDVDEQKQQQNKDE >CAK67355 pep:novel supercontig:GCA_000165425.1:CT868052:166869:168610:1 gene:GSPATT00006661001 transcript:CAK67355 MNNNNIIPIQLLQQLRLPIRNKDYSTSLQSFNQFLGQAEQYIKLFNQQNLDQIKIVFGMI LEDLDFLQLKPVIQIWRVNFTDLFLNTFNLSFAKALCQYYVSLSECSQIFKTPLFNEISK QICQIFCILCWDKDISDEIRHSKCLRSFIRMLRVNCTDDLLACLVIVTKNSKNSEYMTIK KSQDQLLQLFRNNINRELVQLIIANMCFNNDQRLLFWCQGILQVLKLTLDASSLTLIWKL LYDCPEVIDELLKYAEFFNHLYKQINTINEECALMIGIIRKICENNEQYKLQHIENIVNI FSNFIENNQMLSQKYEQQHPSIRQFIFKEIFSFFGIVGNQYIQPQIIPHIISLIYQNSSD NRLLTVGIGMLTNLSTYSQILNIIQNDKLFYQIILQILEQFHDKQQLIEYTLKLMINTLT NQIISIQYAQPRFIMKLFFIWHVSPENVSVNNLIIRVLRGLYVITNANAQILSQCINELQ QRNNTFDFVDLCSLTINTNLQQNRLENVVEIYMLISIIPNLKLNSIKFQNTIKQYVEIMR AKGELKKALQAVSHLPIEDIGLQF >CAK67356 pep:novel supercontig:GCA_000165425.1:CT868052:168978:169807:-1 gene:GSPATT00006662001 transcript:CAK67356 MLSLNKLIYLNPQPSYNYKTFDGHQKLKLLFIRTKQNKHQIPCLFIKANSDEYLLYFHSN AEDIGTCYEFTSGLSQGLNVNVICMEYPGYGIYTQAEPSQQQIEKDAEDVFIYINLELRV PDSKLTIFGRSIGTGPACFLASIYQPKALILLSPFTSIKAVAKKHYVEIHHPLQDQFNNV QRANKISCPCIIIHGKLDKFIPIQMAEDLYKNLASKRKTFFYPEDKDHNNFNFQYDLKVI FQNFIKEIEQLNHMILPN >CAK67357 pep:novel supercontig:GCA_000165425.1:CT868052:170426:170941:1 gene:GSPATT00006663001 transcript:CAK67357 MYQVSIDKSLETPSLQEPNKLKVIKNYSELQDSNAVITIKTKGNKFILSKLYPYYYIKHN SLLLEYISEHEIKSFLDDLNRIIANNWPPKKLILLSYLLIPLSCGFSLLCPYYCFNNAER FVAWKIEEYNIKWEDKGLKLKWQDEMIQIHLYNKRGRPDYDDYHDIDVIIS >CAK67358 pep:novel supercontig:GCA_000165425.1:CT868052:171296:172164:-1 gene:GSPATT00006664001 transcript:CAK67358 MLKHLYDTDCVTWSPQGKLFQVEYAMEAVKQGSICLGLKSSENVVLCSLKRQPSELAGYQ EKQFKIDDHMAIAIAGLTADARVLCKYMRTECLEYKYTYESHHPVGRLVFKVAEKSQHKT QSGAKRPYGVGLLVAGIDPNGVHLFETCPSGNYYEYKCQAIGSRSQAARTYFESWFHLFE KSTLEQLVLHGLSAIKKAIMEDEELNEKNVEVGILGKNLKFTHLNAQELKDYIAKLEGFN PNSQIQQE >CAK67359 pep:novel supercontig:GCA_000165425.1:CT868052:172493:174016:1 gene:GSPATT00006665001 transcript:CAK67359 MLQNIAQKREKFIIGLKKKAREETFRKNRFPQSKNVIPFSSSNDIFQSSYYEAFEKGNQQ KKFNFMNDDLEIGETMTDCIFKVQQLNNYYNEYDCEPDYDTTKDVERIFKIFNMGYPQID KSCLHILLAISAGNHQQVQPIHEYIQQLTDYYNSTYYTELQQYILDIFANLACDCYQCRD LILVLEVPKHLWKYTLQCKQKCLFDDFYSMIINLDRMKPEINKFKLIQLHQILQDLYIQL YNAEEKKQILKIMNRIYSYDKSKLDLSFLNYLLKGDHENMQLSGEIFKYFQYVSLLDNEI TLNFQSQMAHTIIKTINKYMEQDNISRAELKSLVKKGFVTLSNFITDDPQNVNEFWEDIL PYAQNIPSIFLEVDDFFLFLHNLIKFSKDEDVIKLNRDYKLIKQIAQEINDYCEEKDSQF LFNILSILYRLLNIDDANVKIFNEEDCESRILYIQQMNISDENYELTNMILDRLDQ >CAK67360 pep:novel supercontig:GCA_000165425.1:CT868052:174367:175745:1 gene:GSPATT00006666001 transcript:CAK67360 MNLFKQKVTYYYDEEFGTFNYSTTHPMKPLRVAITDDLVGHYGLKQYMNCIVRCINLVDE DVLTQFHSEEYINLIKIITPENKCQHEDQLYRFNFMEDCPVLDRLFDFCLCQTSGSVGAA CVIADQKSNIAINWSGGLHHAKQSEASGFCYVNDCVLGILELLKTYQRVLYIDIDIHHGD GVEEAFYLTDRVMTCSFHKFKEYFPGTGHIDDIGHDKGKYYAVNFPLNEGLNDDSIQLIF KPVIDSIMENFRPDVVMLQGGTDSLSGDRLGCFNLSIKGHGSCTEYLKKFNVPIIMVGGG GYTLRNVPRCWTYETSLALNVPIPDNIPDESDYKIYFGPEYKLHLPISNMEEQNSKEYLE KNISQRIQNKFEPGCAQIDHYAIGKESRQKIDYQELFSSYNDKREEMQLEQNQDQQE >CAK67361 pep:novel supercontig:GCA_000165425.1:CT868052:175772:177998:-1 gene:GSPATT00006667001 transcript:CAK67361 MIFGYNYQQNKDLNMLRLVSKKSFSSTFNVLDTFKTLKSVITAPIRHIKSYVRQDGVHSS MTPNLTYQAFDEVLHEYYAAYSGNPFHLQVYNNLDNIQTVNFGTVDNPCVIFTADTPFRY VGCTGLQNEDDYEQHEIHLFMLREGPLQRCPMCGQVFKLVRLRQQEDEEMSYYRDSFHPI DIFELDNENIQSINIASLFESALYEQNIMVTMDNDVHDRLLVDPAYRMWRFQHGEDKLKY IDQQLKQAGYNEERIYRNPKYKLPMQKATYAAVIEAEKVLAIQERLERKVNRFHVREYLD FANHARRERRMRLRQKERWENNYTYFYGGLTEEEQLYNDYFETDQELYKDDEQVEQRIDE AVAQVDPKYSPLRFDFQEAYTHNPEEDQTSLLEKKLWRFKYRLAFDCTKDYQARERRLIE RHLARMTKDPEYVAVFKNLQSAVKSENEFALLSAEKDYYNLAAKEGFLQYKDYFEGDSSA EIELVEELYKASPLAFSKIFSNKKDSRNSAKRAWNDSLGLIQNYVLDFQDFSSNIVPKAQ KLANYAGLQNVLPAEEQELVKLGLDKTEQIARQKIAQAQEKPQLNEPPKQAEKQASPKVE QQQQQQKPQQQQQQQQQKPQQQQQQQQQQQQQQQQQQQQYNNNNNNNSNNNNNKRKGPFN KKK >CAK67362 pep:novel supercontig:GCA_000165425.1:CT868052:178310:179300:1 gene:GSPATT00006668001 transcript:CAK67362 MGSCSGLCSKFTVEKPIIVNVSEIEVVEGALSDEYYHLSAQMSQQVEEKQILRLETGAIY EGELLNGVRHGRGKQIWPDGSYYIGDFFNDKAQGHGKLVNISGNIYEGSWMDDKANGVGK FMSTDGSYYEGEWLNDKQHGYGKEQCSNGSFYDGEFYKGARHGKGKLVTKDGCQYVGTFE NGVISGKGTYKWADGKTYEGDFKHGQLWGKGVMRWEDDREYVGEFKEDKRHGFGTYKWNG RKYMGEWLNGQQHGKGVYIREDGQQREGIWQYGIRVRWNDKLQTQQEQETTQN >CAK67363 pep:novel supercontig:GCA_000165425.1:CT868052:179352:183998:-1 gene:GSPATT00006669001 transcript:CAK67363 MKKKQVEHRMILTTIPPALDIGFTAVTSVSNLVFMLENPNDYPVQFHFEFQKFKITPERG QLAPGSKINFTLTYSPQQAEVIVASIIMHVQYEEPRVIKVSGIGKYPFLQLNTKKLNFET LLIGKTVTKEITIKNSSEVTAQFQITKMIDDEFKDNAFSLDYYSGVIPQKSTFLIKVTYQ PQILNVSVIRFKVVCQGGNELTFECVGSAVEHAVYLSEKSINFGEIKIGNQATKLLTIHN DSDLAASYQLYTDMNNIFSFNKVRGLIQGKSFDRIIVTFTPRHTINYYERVYCVASNQIK FVDLIGTCYDLLIKPIPLLQQHIDNFRRRVIQGKLSEVDFKYMENSYLMKVNQQNQSNLQ GEWQENANQTVQYKELMLPPSSDYRLIKFSEDFIDFGYVECQTQSGARELELHNRLNCKL TVFWTIQTHPTVNGEKVPVFTVSPETQSAQANSKCKFSISFRPTKSSYYYFQYIQFFAIK YNPKLTKKILDSVKNNKGSILNDSFGNGANLKLSQTGITSQKNTIDFTSKEMIPTFSGQI GCVGHSFGINSQPYIPIVELRPSNKLYFPPCTIEESVYQTVEFINKSDTPIYFNFSPDPT RTFRVYPNQGLIFGKSSQMIVVEFVPVENKAYNQTLVCHMNHQSSNQITLQAIGYCSTPS LKLQNGGKVFFPPSFVGVYSRQKITVHNESRVPMSYSIDVPEKYQNELYIEPPSGQIKPN EVLHLDCQFIPYKKKKEYRIKVPMTATEILADNQNLIGYHIPGSGNQDFPLEQRKPIELS YQFEIFGQGTDGELELNVKQIDFNIIKVNFNTKKYATLINNSDCTFYIELVLRPKSKDRD KIDHQMISLINRSFTLDLQNGIIAANSKLDIGIMFNPIEVCEFDLVLDVIATEKNPKAPK GPNYLNKKIVSQKCKLEIKAKGNYPLLKIADVRNDSISVATLWENFQINQINTELGKDLN EDEQKFLKIEQLTFDQAQQLQKRLRSYDWNFGYLPSKPQVKSRKIVITIQNIGGTDLEWQ FKLPSDHQIELEPWADPGEPTEEDTFEKAILEKNIFQIRPKGGVIAPKCFKDIELIYTPC NLDEQLKSKGISNESHFLRVVLQILNGKPLVLNLKGTTLAPLEGRLAVKKNSFELPETPV GLLQPVKYPIEIQNVGSSKVSYKTLVQELDIDGAIIDSQFNVFDIQNPQGSLLPNEKQYL YCLFKPLEQKVYYFELLVEVSDMVKVIQPVKLAIQGRGYANQPKNQIQKQAIEIPRQRSH QSPIGSKVFFSLEEIDFGELLPLKSAHRMIILYNQSSDRKFVFDFGVSQFTLSNNRPGLC CGDEFLIEPIQGELEPQSFIELKLTLTAASTPSVYEGECECTISWENKNQQVNTSQLSQN SQAITVDKETLFLRIKKKSSLNVELVNSFKQPPPPIHNAMAHPFQQLLGQIITEVLTDSH TDQILRALDQQPIILYQPEQQPKEGEDRQVEDLTIPRDYKDYKTMFLEDEFIELTDLIME NTFFNIIQETTRKECDLLRISKTFVTPANK >CAK67364 pep:novel supercontig:GCA_000165425.1:CT868052:184005:186645:-1 gene:GSPATT00006670001 transcript:CAK67364 MNLLILSYLGYLILALIAYLVIAPIPKLLYYKIKYGSAVEIYYFPFIGLIGQILIDLVFR SDVFYSQKRLVLKNNKVKLHISNDLKGGVHFTLIDPKLKKLVFFDQESYKKMEGMPFADT FADQGLLFQNGKSWHKSRQMLTKHFTNQDLLVMVETLKQECKKLQLKDGPIDILTVLKQL TSTTITKTFFGSENNEIADLGVQVNKNMEKIMLFLLNPLIVLRCFALKLNKSTTPSFLLS SGEKAFLRELQAQKQRIISEIEQGIKKIKENQTLQDNILTTMCKEIINNNITKEEAVHQY FTLLIAGIDTTSHIVKNFCYALGLHPEVQERLRKEINSHIQQFDDLRPQQLSEFKLLDNF INEAYRQYTAAPSLFNRIALKDIQLGEFTILKGQSMDLNMMMSHFDPSIFENPLKFDIDR WNSPVLDPYSFTPFSAGPRNCIGQHLATLELKTLLIFLIMNFNLELNNGKIQLGLSNLFL SIEKYQQIIMSSNPYFLILHHQYDQALQLLVSDDYETLVTKSIALYHLGKYDDALSTLDK AIQLSSDRFEAYYRKGLINFICGKIQQAQLDLQKSLQFNPKHKETQQQLLKCELELKNIK LVQTAQEKIIQQFKEVPQNSEAQRTDIYSTSGKLMYKWYQTDLKVGIEIHHALPNSADLK YQFEKQRLQLSFPIEKGNNFELDLDLFAEIIPETSKAKVGLNSIEIIMDKKDKTLNWGSL QRKAEEQQQIPIMEQAAYPTSSKKKKDWSKIDKEIEEDINKHKEEYGEDPLNSLFKQIYQ NGDENTRRAMIKSMQTSGGTVLSTNWDEVKVKDYERKDRPSPPKGQEYKKLG >CAK67365 pep:novel supercontig:GCA_000165425.1:CT868052:187478:189144:-1 gene:GSPATT00006671001 transcript:CAK67365 MIIWQAIRKQFHNLRMRDQIFLVKICAFLISFILILSFYIVQKNVIIGIYENSSTIVLQR QDKKALNLLLPQLNYYLISKQYHRIQLLTSLGSLYSLLDHFHISEQSKDSLKCETEKEKD FLFSIPQLCLTCYLCKDGNQLPQMQKYQDLQKFSRLLSEYILLFDTTQHNRIFYVATGDI QVGFIYPQVIYDWSFSPQSKNWFVNHLDKYQQEKDQKYFFSKLYFSGTQFIYKQTISYSL ENQYTKALDAIAGTDFDAEDPDMQLIQANTYLLNQDGQIIYRKVNLNLPVTELNFIYEEN KTGFNQTDWNEIFNFANKNPSISNCQLDEKQILCRYNSIYKKPIKIVANQIPGNFTLMMF TNASFEHVLQENFLELELLVQQANERAFYIQIIISCSLIFISMIIVIFIFRPILRVMTTA KIYIKKMGNNLDKEIFKLMNKKKKGNSVFNNLELQIINFSDILTKNQQQKGIICRQIEKY QYSKQMDQSTKIELLCECTKIQLTNQISIPTKHLQQMISQLIKQFNQYNHLSKTVELSK >CAK67366 pep:novel supercontig:GCA_000165425.1:CT868052:189345:190949:1 gene:GSPATT00006672001 transcript:CAK67366 MSDKSKSPPTKKLIKNKMKKQFSNEKFSTKNYQPSRFVVAERLQPITYKAQEILSEFQTK IEDAFFSQPNQLKSVQPSQQRSAKQPKEYKKKPLRRQENDMQMYKDIFVQPKADALQELK EKEKDFEALFHKGQAKQYDKILNIKEDEDKPVKNLLGSLLNEQLMKSTAPIDNIDLKKEI DKVAQMDLPVQPETNFQFFDQQISVQPPDLPNASIASPLTPGNGYAPSIPYTHNVKENNR TSIKDLLVRAKAGMQAGDIQKEAHLSFYLGMVYESSKNHNEAVRFYKKFVACAKLMEDKI GMALGTNRVAFNYYNAGNFTKSIEFHKQNLQYSDQENMFTGFYNMGLAQRRLKNYEESID YFSNALEWAQKRDEAESSCISYGQLGIVFLEIKQYDQAFENFQNCYELARRLKNHKLQLD CLLNLIKISGYLQTSTEVQTQILKNAILCANHLNEKSIATLCLCNLGVLESKPPQPNQNY DDLEYEQF >CAK67367 pep:novel supercontig:GCA_000165425.1:CT868052:191234:191527:-1 gene:GSPATT00006673001 transcript:CAK67367 MKFDEIIKKYVSPIKRFDHVLPNHYTAQDVYNYYEDLVIEIVKQAKFNEIESFTKIRFTR KFAEVAQTQDQMDWLNDRAKEISNVAMSLFKLRFPQQ >CAK67368 pep:novel supercontig:GCA_000165425.1:CT868052:191661:192065:1 gene:GSPATT00006674001 transcript:CAK67368 MSQEQATQVSAYSAYQNKQHQLCYSLETSNSMRILRSIKKGISVSKVCEENLLNLNSNID RLEISKDDYYETTTQIQRGKMPTIQQIIGQLQRRVTQMQNLAQMRLEQNSSDSSEDWVPD FREEEEESDYFEQQ >CAK67369 pep:novel supercontig:GCA_000165425.1:CT868052:192078:192879:-1 gene:GSPATT00006675001 transcript:CAK67369 MEYISKSNIAKIVGNTLVNASTTDRCKKIISWSWEMLGSILIMMGSPLSVKGLDSDDDCK DKITAKETEKEFTLVLDLDETLIRSEMERTSFLDEEIIVKIGNTIEKYYVKIRPFARDFL KALSKYFELVIFTAALKEYADKVIDYLDPSGFIKRRFYRDSCTKKDGVFYKDLTKVNSNL EKTFIIDNSLSGMSLNPQNGLLIKSWYDDLKDQELKIYDAMLKKNVKPKENIVQCIKQMK RKYPKNVLN >CAK67370 pep:novel supercontig:GCA_000165425.1:CT868052:192924:194187:1 gene:GSPATT00006676001 transcript:CAK67370 MQNNIIDQNKIVVTVKDPTINQNQEEFVLYNIEGQDNAGDFQIQHRFSEFFQLRSLLAQK WQYCYIPALPPKVVQGNLSLKVIYQRLMMLDHFMKQLSNFSFLWYSHEVQAFLRQQQLRK SNSNEQQENYANLLISIFPHFAENVIDQEVETKIDQFLLFIQNATPILQNYKVIMKSKLT ISKQHFEQINIFQTFFIPEYEKILETNVEQIRSRQTSKIFQEDQSNCVLSDTDKQFYIMY IQIKIELNEFQVLIELIQKREQLKLQIQTLNEQLQRKVKYVSEIQNNGLNIIKQLVTTKE DEIEKAFLNLKQLEKELTIQVSFFSLITNKLASDIQLLKKVFSQNYYQVVREMSKLFKQN AQKDQNISFYQNLLLEIEKSEL >CAK67371 pep:novel supercontig:GCA_000165425.1:CT868052:194448:195547:1 gene:GSPATT00006677001 transcript:CAK67371 MKSSFQACRSTYNKSHRNYSVRPTSSLAEENQVRNFRLFSQTQGCSITTNQPQRIELPCF FNTPARFKNMNKNKIQLSEKQNDPKSLQTPELCIYLQAQRRLKDYEMLAFACKRAGKIKD EGRAYYSMGVLLDNMGKWSQAIQQYERFLKICSKIDDQHGCGLAYNCIGVDYQFMAETNP KFIEKAIDFHKKHEEVSDSNGKFLASINLGLCYDVNSQLSMFYFQQALKHAINMSSKLGQ TIAIANIGRIGQKGLYDNAEKMKLFIEKFLQLANELKDTESIIKGHMKLGAVSAQMGSYT EGKNSFLKALEMVENDRYLYQEAKCGFAISNAEMNMDQYLREQAQLLKQ >CAK67372 pep:novel supercontig:GCA_000165425.1:CT868052:195624:196851:-1 gene:GSPATT00006678001 transcript:CAK67372 MTESYLRQQKLVTHTWSPDGQYVALSIKNTSNADIYKVGQLEKIGTWQKVSTLKDASQQI NVLSWSVDNKILIGSDDRSVYVYRNVNNTWSKDLVIITNEKAILSGEWAPNGQKCVVGTA CHKAFVLFFEEKNNWWHNQQINCFYSSVTACRFHPSGRVLGLGSTDQTFKLVSCVIQDNI NSEDQSYNGLFKDIKTFGEILVTINLNGWVNSIDFTQSGKKFAVAAHTGLIKQYSFNDDG SLIVENEDTNATYIKETKPFNKILYLNDSTMVGVGYDRKPVLLNCEPTLKFTSIIEKCLA AEGEVQKSGSIAAAKQMFSGKGQKTEDDKFGHQTAIACINKVNDSLISTSDVNGIINFWR I >CAK67373 pep:novel supercontig:GCA_000165425.1:CT868052:197075:197938:1 gene:GSPATT00006679001 transcript:CAK67373 MLNQVNYKSETVLKCYDINFRQNIVAFVEMYKMHFLYFNVLKLTRYVLTNSFIKVHIQSV FYARIVINIDILMGLLIFIFVHGNKAKTLQFQFQPWLSLLFQHSFRFLNWMMRWQKMGYQ YIAQYFHILQVYFTYMFDIKDAHSFKRGQDYLLKDLTQKCIIQQEQYEFNDEIINSMYV >CAK67374 pep:novel supercontig:GCA_000165425.1:CT868052:197959:198927:1 gene:GSPATT00006680001 transcript:CAK67374 MIFEISNYCKDISNCFISSNINQSQNETLLLEKIQSLQESLKEFDFWFKTQFNNEELLVN YIYTELLEVRKINKVVQFKKIDEKSSEIKGLSIDSQKSAETQSSICSAIFRTNYRTYSKK LQKKGSLLMELLNNNKEEQINQSNLQTPPKSYSLDKIYYVINFEINCLKQYEITGDEELQ LQKIQDKEVLRWILETVQAKNHYKQILQCLINSAQDLANYQTEDFTQAFEKMNCQDSSFT NDEYKRSISNQSFYMNQDAFKQLIVDKIKQNMSQQNEKNKKQKQKKTIISNQYDTTDSKV CMCSIF >CAK67375 pep:novel supercontig:GCA_000165425.1:CT868052:199975:201912:1 gene:GSPATT00006681001 transcript:CAK67375 MGNVCCRDDSRQQRGYLLNIIVDEIDTSPNPEASKPPESKVLLSEQVSNKAGIEENSAQI ENNKCAYSEVHQEPEQQQQQAVVLKEEQYKNSNILQSQKITTEKIKAEIMKSSQEVDSKS KKRIKLGFDIFVKQKEGSIGQHYNFGKVLGQGAFGKVWKVTHKTTGLIRAIKQIKKSSII KEEEERLFSEMNILKNLDHPHIVKLFELYQDENNYYLVTEYLSGGELFDRIKKMSSFSES IAADYIRQILLATLHCHEQNIVHRDLKPENIIFISEDPQSQLKVIDFGTSRKFDHQKAMS KRLGTPYYIAPEVLGHSYTEKCDIWSCGVILYILLCGYPPFVGKTENQILEKVKLGKFTF DPDDWDTVSKEAKDFITKLLRMDANQRLSAKQALEDPWLVKYTPSTQINKKVLNNLRQFQ AETILKQALMSYMVTQMSTQKEIQDLQKEFQRLDVNNDGFLSKDELLKGYLQIQTDNKLV EDEVERILEMIDINRSGQIDFSEFCMAAMNQEKLLSVQRVEQAFKIFDQNGDGFISKKEL EAIMGDLGDDVWNQILTDCDNNGDGQISYEEFVKMLKNKKL >CAK67376 pep:novel supercontig:GCA_000165425.1:CT868052:201912:202549:1 gene:GSPATT00006682001 transcript:CAK67376 MQQTVVAIDPSVCHAKLQSEKTLCGLAYFDDQKSQRGIVLESLEYFRLMTFFKNYNYEGP SDILLIYLWVVSAHMIKTIENDQDQQAAAKKLDEIAREAIPTRSGDKKNFLGGLLKETPG ETDKIQAYLKGLKDILAKEIIAKFFKDGKRTFDARFWSGIAKRKFMGLSYTGL >CAK67377 pep:novel supercontig:GCA_000165425.1:CT868052:202820:205733:1 gene:GSPATT00006683001 transcript:CAK67377 MESLQKNINIVKCTKLYHFYQEIWSFLLNYDYVSLSISVTDITQYNYIVQFKVYLLEKSF KEQERLINQIKKVDQNEKDLKREKLKQKLVHQYAKESFIILFEILNLKVPIRASLKPNQD TQALDQFLVQKFRNLEFYEYTYLSKNLLIPNNLNNNQGNVTRDKINQIKKQVKPDDEDSQ IQIEQEENNGNLFSVNEQQSYLFTQCQQPEDLRNNLFDYQSQAVQWMLYREQRISAQTLN LQGQKQSLNKMWSQIQLDDDIYIYFNELTGQFSEKAVPSKDVKGGILADAMGLGKTICSI ALILLGREMKQQQLNDINSEPLGKKVKLDKEAGNTLLVVELSVFEHWIEEIERHTKLNKL EVYQYYKPQSRVKEIKLEVYDIVITTYGVLKKDFTKNGLLYMYEWERIILDEAHVIKSKS TACAKAASSIQAKSRWCLTGTPIQNHLEDLFSLFHFLQVETFSDYYWFNHYINKQQDKAA KFNLLHEILRPLLLRRTKQSESIQSSLNLPSKQHFIVRVKMSNQEKKFYNTLYFNTQKYL KEFFGIGQQQKTKKIGYMHVFQLLSSLRQCCDHVGLIANKLKNKALQQQQQQQSTNKQKS ELVIKQFIENAQYNLERSLKAQIQSLQQKQEQAEAQQQELEDDDDFSVQDEFSENSSTAD EVKPQLDLKEIENDLKLKIQQLDKIQIESQDFENTQRKYESIFEKVKNYDCAICLEPLKE KSIIYYLSCEHIFCSCLESLPKQNETIVCPICRQEIENKDKIKLIQTKPAPSPQDDWYKE STKINEVLKYIEYVWKKNEKVVIFTQWISIMNFIEGKLRVKGIEFRKIQGKMDKNQRKAS IKDFFEKQITVMLISLKAGAYGINLSCANHVLLVDPWWNPAVEDQAVERVHRLGQQKQVQ IVSFICDNTIEERVLQMHKMKRQLFKDALQLKLPNQEFSFQDQIEFVMNQQMES >CAK67378 pep:novel supercontig:GCA_000165425.1:CT868052:205734:207393:-1 gene:GSPATT00006684001 transcript:CAK67378 MSYQLQPKPLQLYYYLPYIHQLAIPMVPQYPICNFPQQMSSIYYPAVPGTSQDNCIVIED DNMQPPLNQIHSMMIQTYTNRESIVLQDQAMQQEQPVEKITPQTNNLNENDPHIRITVYK QHSSQTDSEFILQNDRYQMRLTLRILGSQYKKEIIIGRKRQQEDKQDTCDIYLPHGDKNV EKVHCKILTDKGFTFSNTLTQPLILFFSLFRNNPHASKLPFQVIKHIYSFIKNKPQFYIS DNSTRSGTFMKIKKDKLRSIEQDNTYLIGADTFFHVLELKSKPKQNKAKKVKEQSYFYNA LAKEQTRKGAKIHGLTLEETEMLNVAMNDMKTTKQKQRTSHKLSEYDRPYLKITFENQAI HQIQTHIFVANYNQESVYKIGRSQECDVLVNINTVSRRQAQIIYKNNEWLIHDGEGLRES ANGTWQSLQNFIHKNHEKKCQQSRLQLIEDQMEIKISENIVKFDFVNFGTTKRRKLNKVL IQDLLNLQ >CAK67379 pep:novel supercontig:GCA_000165425.1:CT868052:208056:209158:-1 gene:GSPATT00006685001 transcript:CAK67379 MQTQENWLDNSIEPFQKFEQAHSNSIECLQFNPSNQSELATGSHDKLIKLWDVTKQKESS KFTGHKEGVWSISYSLDGKQIFSGSPDKSILVWDAKSGKNVSALKEHKNRIYWTEVSDNG LYLASGGQDGHLILWDLRKLKLIKDLQISMDIVYNINFSQQSKYFFTGDSMGVIKAYDSQ KIEEISSTKPTQKNKCYAIQSLKISEDNYKLFVASKNQSISEYNFDGKKKELTKANQSAV HCDSVHCLNFDKDKRRFATGARDGAARVWQTERKGAVYNPLYNLIGHKQRITAIEFHGTG KMIATCSWDQNIHLYKI >CAK67380 pep:novel supercontig:GCA_000165425.1:CT868052:209426:209866:1 gene:GSPATT00006686001 transcript:CAK67380 MEIESHRHQKQSTFQCSKDRLKQINKVSQQEASNTLYKKSCLFAFKDQKIKFRFPLADEH NLDNNSTQQEIIEQSSQIKVTGKHNLKTQDFATKSIEIEEENKENINEILIEPPSYQVSK ITIPTQTGFFKQFTQQIYGKLYEQQF >CAK67381 pep:novel supercontig:GCA_000165425.1:CT868052:209956:210462:-1 gene:GSPATT00006687001 transcript:CAK67381 MKPNDLLQEFTDFRRKLKADIQKTEFSKSPQKNYTKTQSSPQKSNSGFKQKINFSKHKNQ LQERCNTMASTQNHNYFSQTQNLQFQCITHDKLNSQQQNMSSLKSKKQSNNKILNITDRI LSYTQLNNFCFTLQQIEDEDIRELPIEYKQLLKQLAQLIQKKIGATDF >CAK67382 pep:novel supercontig:GCA_000165425.1:CT868052:210529:210821:1 gene:GSPATT00006688001 transcript:CAK67382 MTDTAQQINVKLCDMSSELLKDAQYIILENLKKHSNERDVAYYIKRELDKRHTGPWHCVV GKNFGIFVTHEEGYYLQAIKGQITIVVWK >CAK67383 pep:novel supercontig:GCA_000165425.1:CT868052:210879:212043:-1 gene:GSPATT00006689001 transcript:CAK67383 MNRELEETSQNQENKISKEEEEKKFKLLKQHFRGQFKESYKYIGKQYPQDFGKYLVCFYS LQQRDQQCEVNPLFVWLTIVGMYEFFNSIRYLAVLYSLQMNSKDIFLLVMAEMLTKDSNE QMNLQGMEERNKRNQIKTLIKMKLSTRDIRQEELIAEPPFTQCEIIHQAEALNKYTRLMK VYNQFIFYLIFTGGNIAYFQSDSNDCDDSLNNVTFVLLLIGYLFSAFLIIGFGLAIISMA LYMPIILFLFIFKSVCKIIMRLQTKSKFKKMNKFQYRCCPELSSIQQCNICMCDYEDNDL IVQLPCSTRHHFHDHCLQQWVIIKQQCPVCRKLI >CAK67384 pep:novel supercontig:GCA_000165425.1:CT868052:212141:213781:1 gene:GSPATT00006690001 transcript:CAK67384 MYGLNYRIPHSRQDSGQGKREEKSALKQGNQGTLMIQNNRIEQNDHSPSPLFHGIQKFSV QSSQSTSANHSKQSSISKPKQTQQMSFLNYLMLKKEDEEQDKQVTQHQQKQQSFQQNNYF TNDLQIQRNMFNFLYVIGVGGFGKVWKVEYKKTGQTYALKEMSKALIIAKKSVNSVMNEK NILSNLKHPYLVNIYYAFQERENLFLALDYMQGGDLRYHVGRMRRFSEDQTRFFMACIFL GLEYMHSQNIIHRDIKPENLVLDKNGYVRITDLGIARLLRPDNAQDTSGTPGYMAPEVMC RQNHSFSVDYFALGVIGYEFMLGRRPYTGRSRKEIRDQILAKQVQIKRSEIPDNWSLESA DFINRLIQRKPSNRLGFNGAQELRQHTWFKNFPWQKLYNKELKAPFIPHQSEDNFDARQI SIENEENTELIQQKAMMLRRNSIQTQFDGYDLNNFTQTSEPIKQQLNNINY >CAK67385 pep:novel supercontig:GCA_000165425.1:CT868052:213817:214425:1 gene:GSPATT00006691001 transcript:CAK67385 MDEELLDNLQAEEEDDNEISNFPLFQQKQIGEFVKKILGDNINTQKAFRDKFNRCLSLFV FYMSHMVTVMKEDNRKKKHEKKKVQVTKEDIIMTLKLIDFQEIAETLENLQLLQFQEKPI QQENQLEQELEGNEMREIQNVENIEDELKEEENNDNNEQVQDNQDQLIEEIDDDDVENGQ NNENEQ >CAK67386 pep:novel supercontig:GCA_000165425.1:CT868052:214487:215044:1 gene:GSPATT00006692001 transcript:CAK67386 MKKKNLFLAKKAEDSTLNQYWFSEQTIEFLVDHIESIYQNGQKIAFLSTPSIYCSLKNQE VKQNSALFEFDLKLNKEKGFVFYDFNKPLEGLEQFKNYFDIILIDPPFITEEVWGKYAQT INYIKKEDAKILCCSIKENAKMLYELVKVVPQQYKPSIPHLIYQYDFYCNYEHEILKKVN DEIGF >CAK67387 pep:novel supercontig:GCA_000165425.1:CT868052:215067:216338:-1 gene:GSPATT00006693001 transcript:CAK67387 MDQQTEQDKLESIATPGVLDKYQNAGKITNIVLEKVIAKLQPDADIASICAFGDQEINGE LQKVYNKKGIEKGLAFPTTISVNQVCGHYSPLKSESSKLVKGDVAKIELGVHIDGYIAIA AHTVVVGEDQVEGQKADVILAAYQSVQALFRSIKPGVTNTALTKIIQQVADDHKCTPLEG VLSHEVKRHFIDGNKVIINRETQEQRVDEEEIQVNDVFVLDVYITTGDGKTKESELRTTV YKRALDRQYQLKTKHGRAFMQEVYDKYPSLCFSLRAFEDEITAKMAIQECAKHELLNPYP VLTSQNGIVAQFTITVAVLANSTLQVSGLKLDETKFKPAHDLNDAALKELLKLPMDKDSQ KKRHLEQKQKA >CAK67388 pep:novel supercontig:GCA_000165425.1:CT868052:217777:218401:1 gene:GSPATT00006694001 transcript:CAK67388 MYDFTRMQKLVDRMQKLQPPNPPPDNRFLPEELKKDKIVEDPQKNVKQAKRQLLIKNYKG SNGLKPIEDERLLLIQKENYLKKKQRELEMEQVKQELAEQKKQEKLKLEQETQRALLVVK EKKEITQVQKEEKIKKRNDNEFYKRKQKANNSIQMSSIDASYVSQNLNSSLIKKQILDYH TLPKEQYNRIYRQPVSPPK >CAK67389 pep:novel supercontig:GCA_000165425.1:CT868052:218816:220115:-1 gene:GSPATT00006695001 transcript:CAK67389 MIFLISLSLFHLLFGQITYDAGLYTGDSAYCQNGNIVTKTISFVGTFANIPQVIISLEYL DMGQGGDFRVETQNINLNSFDLHIVCISSVYIWKLKYQWYAIDDSRIEVINNFNMVNIDN KQFDHLNPNANSAIISFVSIGFVAEIDFKLSVAIIQLYRQLKLQLLKSQLASQKQIKSLQ IYTKSDIKLFWELKKHSLVCKKSTVQLLMIVEPLLRNQIDGYLQLTMDWKLVHTLDKDLR VHKFPNPTYLRHVHYNIQQGGGTFIANYHQRVWLAYQFTNEFKAFLCLTLRISQSLDMEV SQKPQIYVDISELNQSLQSSQYLFLQKTVNLLSFKIYMKCIKTKTIVSQFLKCQSCSTNQ KYYLFSHYCHGQIDAVTYFPKFQLIESSYKELNLYISLDRITITQTLFNQIKSTETLLDI KFKVD >CAK67390 pep:novel supercontig:GCA_000165425.1:CT868052:221009:222818:-1 gene:GSPATT00006696001 transcript:CAK67390 MYFRERRTQSNQKQYQGYEEAKNQLDLLDKFKNPTYDIPMSHQPSQKSGIRQLKQMDNSF QIPKVIAFSEEKLNEEVAQMQQALDKQSQTLKRLLKEQKEIDRTQVLKRELDHLKTQVHT LHLKLPKLPQQEQLYEIKHEITSLRYSFFQQAHQSQQPIIQPPQIIYQQLPQPISQQSFL QAYSPYNQQYLHSGSQQKYHPYQYLMNPYKHPYNPDSQRDGQNSEEKRSYSNSIGKAKVK TKIKTQNSASQVSNSKRSSRHSIPLVSKGSNQKTKQSFFIENNIDFQLLKKEEKSKLRNV FNFVRLAMRWKIYCQPNKIYWRKLHKHQAQCKAIIQKTSYPVALKRIKDWCKMVLAKVDN YLSKIKEIDFINPEKPLTEKEIDQSYMQLTISTKYLMSSLLTYCTSDFMIPELKFLSYLQ FFDQSSIDRGLFMSRRVLFWKENQLEMNKTQQQMIVGDLVILVQILPALMEISGSYFLIK CMVSLVQMHFMKYFDLKIVNQNPNYRLIQLNVIEYVNGKLTVKLQKVSNLEDERYILGIY DEYQFQGFFQKRPHFQDDMQKTLSEIHTNLLDALIAK >CAK67391 pep:novel supercontig:GCA_000165425.1:CT868052:222944:224024:1 gene:GSPATT00006697001 transcript:CAK67391 MFDDQLKALIQKNNLKIEQHQTKMMIRFPQTPQVRDSQQQSQFFTKSNNKSSSIDKSYFS CVPTRKSTKQSFFVPKSVVTSQYLDSQAFQVYQTEDIQNTQIQDYGQQIQHSQINISDNA DNSPMNSSILNQLRREKEIISQQHQLLISQMSREIENNKRQYQKSEQTLQQQIIQLQNEL NAYKQYQTKSLDLEASLQQIIIENNELKSKLQEQNKWLFELQDQFELIQTKMIDIKQKIQ DTKHFSNQLSQIAQYLLNKQTPPLDFLVFMNNQSKQLQHEQQQETEFRNRKQQIKQQHFQ SNPFPIAKESFAQIYQFQIENSMILNQIVKDLRNNVDRYSQQYIAEVGLHLM >CAK67392 pep:novel supercontig:GCA_000165425.1:CT868052:224122:225545:-1 gene:GSPATT00006698001 transcript:CAK67392 MDVKGLQLFAINGIFYKQLSDKQKVTITLTYQEGEFPILLICDDKPQDDKINDYNSIQKE NCKIDINAYDQKVEMQTLSLTKKEKSQTFQANFNVYYMDNSNIFIGAYSEHQSTFNISSK ISSLYNCAKECKNRGRCANGVCECQEGTFGDDCSVVGVNIKDQIKLSPNTLYYQDLYSTG TSFQRTISNSIPIRQQCYVEMPFFDEGSLIITNTLEISNEKIEECKNLTQALENESQIKL NSYIIFKIYSEFDVSIISNDGKGDGLSKIMMMIFIPVFVLIFFLLVCCGVKFYKRKLDET QVPIKVEQQNEEKNFINLYIPTHKFEQVTELIQEEVNIKDLYCSICLDAFSSEHDVKITY CKHIYHSECLTLWIQKTKVILINIQICPLCRAPLDEKTLAQLINQRSETMIDQILTKIQP DSKQIKIFTLNSLSSLNGPNTQQAFQNLNYQRSLVFVEQ >CAK67393 pep:novel supercontig:GCA_000165425.1:CT868052:226548:227735:1 gene:GSPATT00006699001 transcript:CAK67393 MDQEQDPQSQNSHIKQSQDTNKFESNQYEFNESIEDEKSALKQLLQQHSNKIQEYFQKIK DDVQKNTIKKFVEKQLKVFSQDVEKILEQLLERLISEKELYITQISYEKTQKEQELLELT QKYNEANNTLLLGSYNDNNICSKIVQINKLLEKTCQTTIQASQQLDNQSLDQMQQQIQII RQSITGNTQIQISNLQAQLDEKDRQIQQLQKQNQDLQNKLNNNNSSNILMKKIEEFIQQY QNQCNQADLINQKTNQIVEINKELNRLNTLISNDNEKLKSQFEEEKNKAIQKQLEGCFKL QDRSLLYIQLGLKIFQQYYRSHIQQDQNIQGQIDRLNKFHQNYQKKENFKLKNLGEVEQF YIKQESELKALFDDSLKEYKNLINEITQRRIRLNV >CAK67394 pep:novel supercontig:GCA_000165425.1:CT868052:227903:229193:-1 gene:GSPATT00006700001 transcript:CAK67394 MKGGKQSKTKTIWDQVKNEEKDFYFNFLTKKYRNLQKKLKDIADLEELQKTKELKPEQVQ KIQNKDENNERIKELEAQVSNWLQAKKEAEQSGSIITQEAFILILEHLSENQDTLELLSQ DHQSLYELAKQLQNRVNNQQKKQDQQWKGLKLKHQQQPETHHHHHHHHHHEETTQQVHQQ QQETTPQPDTIETHKEHSVQQEQYVLIKSSPKKIFQDENRQQVHQPIDQLTNQHTEQQEQ QGKQTHPQQEVPQQQQAPEGKEEHQNDQKGNHQHPRDGHRHHQHHDDQRKGYKKNYHNDR RNNNNGDNNKQYRGNKYHNRDRPQREEWQEKKEIQEHDQNNDKQDQNSSDEEYITIERRT KKPQQKPSRGSNRHHYDNKNRQQRNPGDNHETADAQQ >CAK67395 pep:novel supercontig:GCA_000165425.1:CT868052:229411:232482:1 gene:GSPATT00006701001 transcript:CAK67395 MFSSLIQDQSKYSCFRGEVKHVCVDLIKTDQKKGIFNNDIKSEQIKMIHKSTIDKRTFKS VTLSNSLLCLLISDPETEKSAAALNVDVGSLEDPVDRMGLAHFCEHMLFMGTDKYPKENE YQQYISKNAGSTNAFTSELNTNFFFSVGNQALEGALDRFAQFFISPLFSDSCTEREMKAV DSEYNMNLQNDFWRKFQLFHNASLPGSQYNKFMIGNLKTLQFEDTRARLQEFHKRYYSSN VMKLVIYGSQPIETLEGWAQTYFEGIQNKNLAPPSYNVMPFDQTNMGQLIKYVPIKNQDH LELIYIIDYLYPHYRSCPGKYLSHLIGHEGENSLLSLLIKEDLAQELSAGPSNTMKLFSE MTIRIKLTQKGLQQYQKVIQYVQEYIELLKQKGPQEWIFKEISAIKKLEFDFLEKGDPFN YVCTLASRMQQYPIEDVLRQPYLMEQYQPELIQKITNQLTGDRLMMFLSSQTFSNQLGNK EEYFGTEYSQSKFLEDVTSVFKNAANNISPKLNLPPQNIYIPEHTNVLPLQNGLPLFPEL VLQNEQTDLWFKQDDRFQVPKTVIQLRINTIETGYGKLAKTEAIAKIWLALLKNHVREFN YLAEMAKIDATLQLAANGLEFSISGFSDSISRFVIGMFQKIISFKPQDYQDLYESTFVKI TQELENIKRSQPYQQVHSLMTVVLREGSSFETQELLDQLTNITFDDVIHFSNNFLKRCRF EWLIMGNLVKEEAIQIVQKSLDLFKAKTLRYEQVLQIRPVMLNETEICNYTYDLTEPTET NSGIVVHYQIGKPDLRTQLYNEILQTIMKTPFFSQLRTTEQLGYAVFSLLSDVRGIAGFT FLIQSNVKCPNYVQQRIRTFIKENLNKQITEMTEQDFEQFKSSVKVQLLEKDYSLIKESV RMWNEVQKHQRLFDRRVQQLNILDGIKLSEVQEYFKTHLIEKTKQFEIHAISPTHKKDQE EIKSDSLVYNSSDKFKKRHGLYPDYFYQ >CAK67396 pep:novel supercontig:GCA_000165425.1:CT868052:233109:234722:1 gene:GSPATT00006702001 transcript:CAK67396 MQPYKRVCVQESNIPYSFSEQDEKIGIDYSNFIQQMEGPYHQLYEPIDKQCIGAGGQGCV IKVRCKLNNEVRAMKVIKKINEDKNENFRKEFQNLKLLDHPNILKLYHSFEDDQKFYIIS ELCEGGTLSQYIDDHYPLKEAEVLKIMKQLIGSINFAHAKNLVHRDIKPENILIDDEVAT SIKLIDWGFSGMIQKYEKLSLKCGTIHFVAPEVMEESYDQKCDIWSCGVVLYILLCNDPP FQGTDSKEILFNIKNQSIEFRYQSWKQYSTLVKDLLKRMLEKNPELRPNAQQVLEDPWFE SNSSEQILSQDFKESMLQFNGYTEGQGNSLTQSRFLQAIVLFIATELVHKDDKKVLNQIF RKIDKDNNGNICQMKSLGTISKDELKIALLQIYSKNQVDEKVDKIFDFLDVNQSGSLDFS EFVAATCKLKDIDDKIRVAFDVLDKNKDGYITLDELFQFIGREDYDNDCKEIFNQFDQNG DDKISFVEFSQTVQKYVNICKQIQY >CAK67397 pep:novel supercontig:GCA_000165425.1:CT868052:234788:239019:-1 gene:GSPATT00006703001 transcript:CAK67397 MNRRDIVENELISIKDYSKKYPQLCKVLESNLLELDSHSLLQQLLPQIVSSKNIKLIQQG IGLIQKLQHLSSLNTNDLDIIIEYFNISKQQQDETIDIRLVSTLIHIIGPDIIDFQNSNQ ILKVLDMLIYFSSSNNPIIAQSSIQGILTISNVLEELLQNQQNNNAVLQSYFELLELFFK IFQDKEQSFIYVSNNLILCKDIFIIILKCGKYLQQIKQFHTFYHETLYTYLKSIDLVQES NIMNKINTIRLIFNYIQIVDDKFDLIAQLMTTYSKLQQFDQSKIAIHDGILCLYSNLTIF KNLLIRQPFGNNFSDYNDLILKSLYQYSLFGIKEFFTQQSPKQNILINKLTASYIECNLQ MLPNIFVQQIKTLIQIITENIQKFLQLDEFQNEGTGLLQEIKNYSRLIQSQVFNGENEDQ MAEIQETENDDIRFKTFFDKLWRPLLKIMKQILKIANNQQYINLLEYLQSWTQFSLEQDQ TNTFQLLIRFLAAQSAPLSLKYDENDKWLIACKVFEEILYNNVNFLTAKTWNLIFQTLQR IEQVVSKSTSIKMTTELFYRSSQYQDTTVFQMVDGLNQLALQVSERLQISQKKTFDSIYK SFAIDKLLLIIDNNWQRIHQLWTIIDALYFCLCSSKVMEMRLNAIHKYRDTIISGIEYSK NNKWKWGDKWVQYLLNPLSELIMLPYEDLKDNILQIISNLIHEHHKYLPSDAFQIFVLLF EVLLNDFFSKEGIQQELSQQVQKQLIFRTQLSIQCMFEILSNHIEGLDNTSICKLASILI QLDKESHFNFETTNKIIYMTWQIQERLVKLKITDHEFWQISMKLFKNCLDNQDDLKYASI HISSQICCIAQESQFTEMFQILEELIQYSMEYFLKVEQHQLLFIQQTPRFLTLPMETPKF SGSIKQMVFDKSTSLQLFKQQFELVKLCISRMIDVIIHKSLYPKFYYYLQLFNQAQCVYV KHEIAMACQKIIKSNSDKQLIIGAIDFLITIINNEQTLDVEDVQFYIENKTFQIFCDVIK LTVTINHKKGLHALQLLFNFLCQDQIILHLNDDNNIFKYYHKSCLQFDMLEEDIIAEWFH FLNQQISQLSVNAKHHLFIEFLINSFEALISKRQLNKNEIEEVNKFIGVLNDFLSKCQLL MNDNSEKLQLILFPSLNNILIRMIPFLDYNQIEQLFEPLITSQLANSKKRTQDLVSFFVN DAQSFLNSEQQDVVVQLFTNYYINKLKISDPESQQLIIISLVAILERDNSIVEKFSLSIR VSLLNSIHENFQFFLNEENTSLEKQLNIQSMLDFLSRLEKDDLKEFYLTFVKMIKCESLE IRTKLITILSKYV >CAK67398 pep:novel supercontig:GCA_000165425.1:CT868052:239153:239695:-1 gene:GSPATT00006704001 transcript:CAK67398 MSQMEEEYCNFEDEAAQVSCSRSPKSKKIKKSDSLSPRKNIGHWTKEEHDKYLQFLEDHD HLKKNNKIFKPMSEIIGTRSPSQCRSHHQKFNPQSPEVQRKSVKLIKINQDPKVQTQLFE ETNETLEDEKQIKNNVRLVLYDDELAQDENEFNLDDFI >CAK67399 pep:novel supercontig:GCA_000165425.1:CT868052:239735:240636:-1 gene:GSPATT00006705001 transcript:CAK67399 MSASKFIYSSPGPKTQSAISLAGDRDRALSPSRAKISQLSEKLSNLQHSIDEDQAFKKET FEQKVKVLEDRAIKQQQGDESKFKLLKEQLQKVEEGAQNEKIIRERGDEKLRNKDLKGLE LVLVKELQIEKINRKDYEQKITKTTDDRVYSLRLDLARQKKYREETEEKNAQEIGDRILQ LQEEVEEERRLREEGNQQVIKRLGDSILKLQEILTTEKKQRESAQAQMFRMLDEMNAYLN GELNAEKNEREATEESIINLIDQTCNRVENSLRK >CAK67400 pep:novel supercontig:GCA_000165425.1:CT868052:241049:242148:-1 gene:GSPATT00006706001 transcript:CAK67400 MSQYDNPNNCLDGFIEQLNKCKPLTEQDVKWLCEKAKEILQEESNVQPVRAPVTICGDVH GQYDDLMELFKIGGNVPDTNYLFLGDYVDRGYYSVETVSLLLALKVRYKDRITILRGNHE SRQITQVYGFYEECVRKYGNANPWKYFTDLFDYLPITAVVENSIFGLHGGLSPDVTTLDE IRRLDRIQEVPHEGPLCDLLWSDPDDRNGFNPSPRGAGFTFGVDISEKYNHTNGLTMIAR AHQLVMEGFQETHEKNCVTLFSAPNYCYRCGNQAAILEVDEHMNQNYLQFDPAPRRGEPH LTKKTPDYFL >CAK67401 pep:novel supercontig:GCA_000165425.1:CT868052:242238:244533:-1 gene:GSPATT00006707001 transcript:CAK67401 MKSKYNPQEKKLTVTIIMINQNISYDLNSNNKPKYYISNTTCHKENQKFFNGSNMSPLVV ESSTPRKRLISGKRTQQKANCSNNNSNNKIQNRQKKSSSNQRTNLTVKTGSSIEALKELM GKSNLKQLLQINTDKFKNAKEISFIETKKSNSSEFGYDNRFNKHFQQYNQYGIKTTTNKS GSKDRTTLQKVFSKIIDQEKSVSPSKKVDWSKTKLPLTPKECIQQFGQYLTDFEKQEIHG FIQIYCIGMTSKKIDQKQSNCNDGFDDSNGEYLFSPNDHIGYRYEILEIVGKGSFGQAFK VFDHKRQQVQCLKIIRNKKKFTNQALVELNILTYIKEKDEDNVTNIVKIKDFVIFRNHVC ISFEFLSINLYQLIKNNNFQSLSLELIRRFAIQILNALNFLNKHKIIHCDLKPENILLKQ ENKSGIKIIDFGSSCFENQKIYSYIQSRYYRAPEVMFGIPYDTNIDMWSFGCIMAELYLG YPIFPGDDEQEQIAYILEILGMPDNELLEIAQRRKVFFNDSPPYQPLCMQNKGAKLKVPG SKTLSAVLQCKDDNFIDFLKQCLVWNPKNRISPINALMHVWILDGLPSQIRAQHVQYLES QLQCMDSNYKKQETNFKQGQQGQQKLPIHHISEPEMNQENKKPNQIRTFSRDKELLLNIS QNPTPNNKKISQSFHSSKNSNKQQLNFIQQFPGTTKYTNKKTHYFY >CAK67402 pep:novel supercontig:GCA_000165425.1:CT868052:244728:246101:-1 gene:GSPATT00006708001 transcript:CAK67402 MFSTQNQNHRKTASNSKSNETQKKSGNQYQSRYPNNQILQQLSCLKMLKKLLLGTSKSLN KNNSKTRIFTEGNENQLKVHTSASPTKNNPYGQSASPPQRNMSSQAKVISNLLNTNMNHA QYKRQSRNQRNQSADFQQLQAQQQSRQDRLLKNNGKKKFNSYGNLNDQVYFAQKGGLIEC SSTVQLVNNPDQKLKNSKGSFSLKNFPTPINICAQQITLQEFKEKLNELRKDIRQNNAFE ELQKTQKKQQYDYHKYDHQESEKLFKQEITQRSKSVDSQSSRSRLDILRYSKDCINQNKI YKQQNVKEEEFIINTQSYLTQKKLESKIDQLVFQVQILKKKSEELELQNRFLFENLTKFQ KESDYSAEERNLLMNKLDQMIGMQRRQEENLNFFKQIFVKGYDQSRRIKTEQQQQQQEED NLQLNQKTEILSKQRPFVKSAYQGLEFNV >CAK67403 pep:novel supercontig:GCA_000165425.1:CT868052:246569:248027:1 gene:GSPATT00006709001 transcript:CAK67403 MIKFSFFHLRNPFIHRINFSSSTAKAKQQVLTKQQEQFVNELKNTYKSLADNLQSEESVL NLFKKDSEEEKSEQKGSPNEKAVIAQYMNILNYSFAQYYQSLSPEEQLKRSKEIIKSDAL KKDWDTFLKENRDYQDYSLFDKNLLAIKYSHKDSDPRDAKYKNNLNFYGDLDENVYERQQ KENIYSFIYQNPENKKVARKMPERRKIRLAFRYRRARRAARARELISRKVKDNQKWLLNR KNFSKKRRRRVIAPKLSFRAKFQLFGLFNEGWTVRDLSIKYGIMPYRVKAIIYQKRYFFD EVFPHLPFEYVRDLIAIELYFEKQFGAVDYGVDLQQMRKVESGYLQTNFKTPDRNVDIAK LSEKEQERIKKLFEEKKSKKYDIVTENFQGQGNKGYYLKSWIMHKTRSRHSVNRIFERII KDSDKPYKLPVTAQARLKEGPRIASTHFGQK >CAK67404 pep:novel supercontig:GCA_000165425.1:CT868052:248168:248913:1 gene:GSPATT00006710001 transcript:CAK67404 MGQSSITVIDPNQNNNYQRQNSVQEVEDQIKRAFKQASSQGRLTRDKFNEALGIFESMQL KRLRDSPLADRLFQLLDKNEEGYITEKEYLEGITTLINNKDKRILYSFQMIDKNKDNKIE FQEFYDFVKESWLSAFRLLGEKVCSGQNQYQLTQSKINSWAQGQLNKLYNYVQEIFMKFA QQSQSMDPIVFKSWVMSNDFGFIKAELGNESVQIPLHLYRLEEK >CAK67405 pep:novel supercontig:GCA_000165425.1:CT868052:249045:251051:1 gene:GSPATT00006711001 transcript:CAK67405 MQENGKEQDKSLRITLCKKKQQDYHRDYRSDGNLPIFAKFVGVLMGFSILIIASIVSIIM WDMSFGFMEISNIFVWTLCAFLFYIDYRSIFKFSKQLRLALAIILIIQLSSGIVFGIERN LEKENRGFDELTVEASVYLCNFVIMLVINVISLFFPKFDYQKVSKNSDDNIFIRVNSLQQ HLVNDDSLSSSSSRNNSIVTPNQRQSISKTPKRSQSFLDQLEELTKEEGSQSSIGSGIQK QKQEQSGIIDTTYGLKKEDEAIQKEKEQKELMSQIMDMHKQLDEKLNKLKQDNEVNQQQQ KLPQQQENEQINQEQNVNQEQLSQQKQQEVILKSKYEIQTIKIEGFEEIKKQGKRFIYFK IFYFSNSKQRQVRTEHNLKEFQQLRLALQQIYVDHSFPEIPERKPNERLSGKEVASRSEA LEKFLQFIVKHQMQCPTLDKFLEETSGPIHVEDDKEANIDQVLKDKSFDDFLEVRKASVA SKQSYLEKDYNDDSFDPKSSMAASSYDQSQFFQRNNDRFSTTITQGGGNGHKFIIKEVKT LQQTTYYKIEALINGQTVATAQKRYNEFKELYKKLLDRQYQIPSLPNEPPSKQQDVVSYR QEALAQFLNTLYQNKSVKTNMVFKDFVGI >CAK67406 pep:novel supercontig:GCA_000165425.1:CT868052:251086:251408:-1 gene:GSPATT00006712001 transcript:CAK67406 MNLNLRYNSKLQRVMQNFRDQEKTQQNKANQEQQKKQKIWNMGGLSKENQDKLKKLMGAK NESDDDEQEKQKEEIEKRNKELELQYKRAMQRQKQKGIGL >CAK67407 pep:novel supercontig:GCA_000165425.1:CT868052:251421:251675:-1 gene:GSPATT00006713001 transcript:CAK67407 MAKQFYLIFSDKKIKLKRKEYILGRSKAQASILIKSESISRQHAKLIVGKSSITIQDLGS ANGTEINNRAIKPNQLVLLREGMK >CAK67408 pep:novel supercontig:GCA_000165425.1:CT868052:251845:253031:1 gene:GSPATT00006714001 transcript:CAK67408 MSQEVQELQEALEYQVDVVLRLEDERLLLQKENNIQKEKLKELNTMLQKYLGQQLLCIEK HTQTELQVKQNFDVQTQEDEIISDYSLSNSTVSVINQQSFTQQQDQSNSSKLLDQAKSLV KQSASRIPAKKLKFCGDNYKENKDIKDNQEKKEVKPANTKQLDSQSEALTREIVNLTKQL QQLKLQQRSSQDTIKKYEKDSSELVKLLEVKNEMLNKLRNENAEMAIIINQDKFKSVRQL DQELKKERDDKVKFQALATQKTEENQHLVEELKQFREVLSKLTYEFEKYRSTYNQSNVSQ SKIEAVDTTFYQEELKIKASTINELKLQNQQLQEEVDYFRSLSLQQKKIAEKQEEDLDFY REILIKHKIIKQ >CAK67409 pep:novel supercontig:GCA_000165425.1:CT868052:253635:254127:-1 gene:GSPATT00006715001 transcript:CAK67409 MVVKSLAHKKIVHKRTKRFIRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKSGY RGDKKTRYLDQAGFRKLLITNEKDLELLLTNNRVFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKVRAEEKKE >CAK67410 pep:novel supercontig:GCA_000165425.1:CT868052:254941:256075:1 gene:GSPATT00006716001 transcript:CAK67410 MDNVESIITEIFKNEIQIKRIKKELQSIEQLQKEDFKITLKALSPNLQIIIEMPPIRQLN QQQPIKFALYLDNRFPFVFPKVHILSQITKPSLSDGRDYLENIIQGHWSPQILLYDIIKI FPQFLETIKKSQNDRDYLLQLGKYYENQEFDIRIGLENVEYLQCKQIINGKQYPRTLLVS DSHLLNFEYQSKELMLLNYFSMKNLQDIQKIQKNILLNWQINDGSLIVQTLTSANINQLH NSINSNKLGQTARRLNKDDVTLQKFETIQINELLQQLSLNEVELSKNLNKISLNNLMASY QQAIEYYSVFSDEDYKQYVTRLQTLLGREDVQTILASPVK >CAK67411 pep:novel supercontig:GCA_000165425.1:CT868052:256729:257541:1 gene:GSPATT00006717001 transcript:CAK67411 MAENKPINAKIKIVKTNHQISSSAANPHNTYLDGREAQELSQSNRPEFPSDINIYSNILE WYIRTLGDELYKLAFINKEKYYRIKVFWPQDYEAFLLNDPKNTMQVENANQQRPKQIDNV RYYLNQFQGFEIEDYFDIIIFPKIDKAQNNGQRLQLRVLVNPFTYYIQEYSEQKFQMEAT YSKIEGLELFVEYESKLFFTNTIDILQELTNHILQKYVLRQEYQQDKIKITMDQLRNRMA PIIKEETRVVLYELYKDL >CAK67412 pep:novel supercontig:GCA_000165425.1:CT868052:259297:260634:1 gene:GSPATT00006718001 transcript:CAK67412 MIGKKEIQISNATRDRVEACRLYIERKYAKQIQEEQQQLEGWQQLSKLMDALHMNPKEQE IIKKEILKKEAEQMRKKRTKLSIEDFQPLAIIGRGAFGEVRLCRHVPSQQIVAVKKMKKH EMIFKNQIGHVTNERKVLEEAKGNNWIVEMKCSFQDEKNLYLVMEYLAGGDLMTLLMKKD ILSEAEARFYMAELVQAVASVHKLGFIHRDLKPDNILLDNNGHIKLSDFGLCKDAEIHFD KPVFSQKFKSKQTRREKAFSTVGTPDYIAPEVPLQQGYDGSVDWWSVGVILYEMLVGYPP SYTDDPSTTCQRIIRFQQSFAFPEEPKVSQLAKDLISKLVCDANTRLTYDQIIRHPWFGG LSIQKIRDMKAPYIPTIRSELDTSNFDKYEEEEPWINKNQKSTKKEMTFVGYTSQTRRF >CAK67413 pep:novel supercontig:GCA_000165425.1:CT868052:260846:261268:1 gene:GSPATT00006719001 transcript:CAK67413 MPLSKHQNFQKSFLNNRLQTEQNESFHNTNQQQNLITQGNIGNFNNENTNPNSNAQNIKN FIFSKIQQHTINQPQTSNFSNIQKNVQFNELQKLVQNQKLRPDKPDQEQTKVFSNTNNNI SSLKQNIINQFRAVSPLTKR >CAK67414 pep:novel supercontig:GCA_000165425.1:CT868052:261430:262609:1 gene:GSPATT00006720001 transcript:CAK67414 MQELTELKNLVIQSLEANGSLAKIRAQIRASVFNVVDQQEGNNKKPSPFFWENNKAQTIY ELGCGRDMLELIKEFFIFFEMHYTNSIFSSESNLREEINRDQIAKKLNIDATDSTKPLLY FLLKNRQPEKKSEEKVQPQKFAQPSPKEVQIQQQQQQQQKLQDQKLQEQKRQDEQKKQEE LKKLEEQKKQDEQRKLDEQKRQQQEEQRRIEDQKKDQLRKQQEEQRKMEELKKQQDQQKL EQQKQEQQRLEHQKQEQQKKEQEKLKEQQKQQELLKQQQEKEKLEAEKRKVQAKKFEHRN YEDEKFDNEDLEEQLEGEDLRDSQLQQDQFQESDEYMQQSQSQGIDMTVDSEALNEFDYY EDIEDMD >CAK67415 pep:novel supercontig:GCA_000165425.1:CT868052:263344:264996:1 gene:GSPATT00006721001 transcript:CAK67415 MFNNICDQFPVFPEKSIFSSWFQSIESKEELQEHLKAIQAYLAQINKMIIYKPDAINYFV CNTFDPERLKCLTFSKLSKEILYSNYRKSAQIKKCSFNKVFRVSLQQMALTIHQYLIPQS DFAKNEYENFKRAQLLITDFTYVVKCFEIGHIVKNKPFFNKKIKRTVYNKFIKMDDIQYD IIYAVEEWVEQPMNQIIFLRAQTHNLFQLDVIVEAIITLVSVAQYFQFLQIFQKQFSVSY LFYDENKGFKVGGLSPILAYKKKYHLQYDSDVNDYQALQPPENNTTGSSYGFRNNFNVSS RIDVWQIGIVILSMASLTLPAEFISLAAIEDKLNLVSFQYGEMLGSLIRNMLIRNPIERF TLVDVASAAQSLLPMKLEYLKYEDKTERIQITSLSQQHLEQLDKTFKEKKKRKYIIQMTI SEPVVQQKFLFYLERIKRENVIQLHINLSPQQIPDDLIEKVMAIIIEYKHLQQLVFNLRG CSISEIACLNIINQANIISQLKQLTLEINGIQIAQIPETIIRVVVYNQ >CAK67416 pep:novel supercontig:GCA_000165425.1:CT868052:265024:266270:1 gene:GSPATT00006722001 transcript:CAK67416 MKAIFFRFSTYAKFAEKIQNTKFATIYNYETQVKPALSIYESMGFNEQMIFRIFKNRGLS LVKEDALNDIGQLNELFKNRLNAEAKQMRTILFKDPQILSHSIEKMGNYLDLFEKQLQIS KEEILSMLTAYPLLMRNFEVNYEKFRNVFNTYAHVNDKQFGQILVNTPFLFSFNLERIPP NFRVMYNREYKTKEIQELVQKTAEFLALKNHDFDRLLNHYDVLIPNKEVQHQLLVNNHKL LLLTPAYMLSPKINLFKEIGLSIQQIGQILQICPNLFLKSVQTLKLKLKFFEKHLNFKIK DSPHFPQILEFDYWTVLRPRLVILNNLENAMDNLKMDQDEFIKKYNCEQKYQELLNEAPT KKKIDADFMIRKYHRYCHDRIQLLH >CAK67417 pep:novel supercontig:GCA_000165425.1:CT868052:266517:271411:-1 gene:GSPATT00006723001 transcript:CAK67417 MRSKLFKQIIYIFSAIYQVLQFDNQSIYVSMALSFIQMFQLIYLAFALKTSYLWNNTQLT HTITQFLSYFTILLHIVNTDFSIFLAFLYFFFSIVLVTIMLLFLVGILDKDAPIILIKIL GYLLKFLLTIGYFPLMQIFFGYLACHEKDGIQVMVYLNSQVCWTFEYSIHAIFAILSLIL SQGLISIVSLICYQSKKTQMNAFSQRTGRPYSFYHYCILINIMTYQLLETPQYISVILIV FLINSYLLFYLVKSTQPFHDKNIQTLWVIITALNFWTSFMLTFAAILEGYYFRKSLYAWL IGIPFLTIIIIREPSEQVDLFAANIHPHESGDHIIQLCEYLLNMIQNAHNDHYIQLELDA YIEVHKNACPKPDCVLKARKQTEKKKSTRQGNQILLDVINQIFFYGIKNFPTDTHLRLHY AYFLMDYLKLKQLALTELQQAEQSNVSFDFSFIIFRFKKIIEEELQQQQQESSIHLDVSN EMTFLAQSKQLMNMVERTALLFIDFWSQLQEEMPDTGKLLYLGTKIQQFAQIVEDQWKRI KKLNQKHKKLYLLMGKYYKYVWNDEIKSQQMFEIEKNNKNSEFKGLYILDEMGNSSQAIL VCQTEGDQLGIIQSINKAACSLLGYTKTDLVGRHLKILQPKIYSKWHTTIMTRFLENSDL NQLQEAKEQGCKIIFVKNKAHYIIQCLLQVKIIQTQNQEIYLMAQLIQDVQFKPYCYILC QSNGQIENINSMCIKVLGIDNKIIEMKRLNINDLFPNFMDIKEDYQTRQGEKLVYTPSQK LSKDLDFIKYSSENIQLDLHEQTVFNCQVTEIQFNFLASEKIENRVFGYIIKLDLIKPQT NGLQVVKKQLQQQQWFKFIPQNIYQLEKVNITDTIDNSIKFDSSIIWESAQKQSEETVTQ EQVKQQEETNVLAQGIRTVRLLRDKLVDIDDQQPEEEDEESQNNQIILAHQDDLDEEQSV LQLNQNYSSKSLIETEIKQSLLPSINKKMQMLINTLLVIIIICIFTEYFLGTKLNDDLQM NIPYLRQNNERVAIFLLLQSVIQDLKFLNYGYSPLQQILTNNTFIQIQRNNFKINLAQIE ELELNLSLAEVTFIQEYEFYETEFKSLNVQMKNYYGDLQNYTLSETIEQLISVAIKLNNS DLTEFNDLNPLIFYFEFNSYNSLALAQHISQNYYYYNILSKSSLIDRSVNTFLIIISFMT FILFLASLCYFYQIYHNKLEIIQLFLDIKENQIKQIYNNCELFLTDLQIGDDDLISEAEE KPVEKGDEAAVLNFRPKRRKHKDSSKEFQNQLFISFILNLLIFFYFLFLEFQVEIVVSQV QRILPVLNLTSSAEVFNRFADNALRQFIYDPSYLIYNNNGIDELRKITVQLYDIDAEIHQ LHTDTWDLFEEKYKTAFYDIFINNPCSIIASIESTVTEPVCNSFYGGIMQNGLSIGITKF VEDLRQTIQNFENRNSSQKQVFDITDDPDLNTRINLINTISVTSMRRMQKIFIRACYRYL ISIMESTILQHFDQTDVLRLAVFLCINILLLIGVIFVWIPVQLKNNQDILTTRLLILMIP LDLILRIKSIKNYLRNKIYH >CAK67418 pep:novel supercontig:GCA_000165425.1:CT868052:272582:273268:-1 gene:GSPATT00006724001 transcript:CAK67418 MILCETRRRQRRMAVHAETEEEYLQKLTKQDESRQRLTEHQQPSPQLEGFIINHDFSFDP FGSEPIFLLQKTTIYKSHFLLLICEDFSMNLKRLQSILDSCKLNLIKLSRDFRQSNRQHH RRIDIIKQYHFKIRLLCSHNYLWKCNPQIPYLDTQSLKSLRNFQRIVLSFIQCIRCCCQQ RKQQQINFILGRIYKNALIQLSSLISHNFGL >CAK67419 pep:novel supercontig:GCA_000165425.1:CT868052:273319:274989:1 gene:GSPATT00006725001 transcript:CAK67419 MQIEVPVVYQFECFRKGKNGNKKYIGFVRQDRLDLCKLIFQDNQPNFKYSLPIQLQTHIK WEVEEDNQEGKKHYKIVSFKFQQVKQINENHVAKITTFCGDEKTLATLKEHLRNKIIFQR IQDFYTPLQTLGKGASSRVLLVRHKNTENYYAAKCVDKSYVNETENGIESMFQEIAINND LDHPSFIKLHAVYEGDNTFYMVMDLLEGKSLHEELNNHKNGFSEDIVRTIMWQILTGIEY MHEKQIMHRDLKPENIMLLRKDDLNSLKIVDFGLATYCNVNKYLFPKCGTPGYVAPEIAN LTDKTFKYDKVCDIFSAGVIFFKLLTGQDLFPGVGFNLVLKLNKQCKIDLTPLQIKKIDP FITNLIQKMLEKEPTQRITATQCLQDPFFLPCYQETGIQGPAKLTAFQKKQMFSTCGKAF TTEFQNENLKGSPQDRIENKGSFITQETTYKPIQQHQQKIIQKFNTTEFDHIDPTQSPSM DQVKKKFQQGEPIIEEDEK >CAK67420 pep:novel supercontig:GCA_000165425.1:CT868052:275717:277670:1 gene:GSPATT00006726001 transcript:CAK67420 MNEYQSYTSENRKSKQTINTIEELSKNQSFRILKLQTSGLGKMNNSSKRNPQNFDVSQVS TSMPLSQKNMLSFLAHDESKRPNSYEQLFQDLQQSKQQVNNLKDENTRLKTKVQHNEREF LKYENLIEDLTTQKKSNNQDLQIISLRKQVKDKSQELEDKMKELEILKRNSKVCKLQELE VIIPNKSQIEKKVYFEQTLMMKHMLQMAQDQIQYQQSKLEQVQQLESQLDICHEQMFKLQ QQNNEQFEIISKKEQIIKELKIQLKNSSIKQQQINQKQQQIQLKYDNLHLLYQSIKGVHN NTQPKDSPLKFPKDIVILKLHQKRVTMNQYNKAIEVLKQAKNKQEFGQMLQIDPFYLSET ESQQVVDKLTGTQSLSQQFITQLSYQSLEGLDEQQAQQLINKSLQSKKDRVRQYWIQYKY KKVGRDDIIKFIQAMNFNWDQQTTSYFLLEIFQNNLSNNNVTNNSEQNSQSMRVSIDFIT NPFSRQSHHKSSNEVQQQDSFIRDLNEQQSNQQVIENFNPNDEVLINQFFPADEEDKQFE IISSNPQEKLTSKYQQENQIQEVNEEDMLSSKPQDEDNANDIAYLESNQQEELVQEVKSL EILNDYQQ >CAK67421 pep:novel supercontig:GCA_000165425.1:CT868052:277745:278956:-1 gene:GSPATT00006727001 transcript:CAK67421 MKFRFSSMSIAQFQERQRIGWSRTRKWMFYAGLALIGVEIQGNYWNTDILYTFNQTPKNL QIIQNCTSLRQGVYKPTIYLQTAFLQSLYGSKFDPVPYVPMTRVEVPVENGLITIDSLPV NQKFLRSDKSENPKQKTLIILHGLTGASECNYIRHTVLNANRKGFRVYCINMRGYANSRM LSAQPTDFSKLDDLLAGVNYIKSQNPDAPLYMLGFSMGSLQLVKFLAKYKDVIKGAVAIS CPWDIQTLAQEIKKPTKFIYNMAITKNFIRNMKWNIDVYKKSGIDVDQAQKCYRTEDFDE IVTKKLLGYESIQDLYTKINCVKEIEQIEVPTLFVSSMDDPVIQSQYIPKDAILSNNNLI LALTKKGGHIEWFTGFKAVRVRIL >CAK67422 pep:novel supercontig:GCA_000165425.1:CT868052:278969:279319:1 gene:GSPATT00006728001 transcript:CAK67422 MIIRKPTTITLKPEDDYQEYEDFKRRQEDQKKSQMQQKKEMFPWSQQQQAQIINQAPKNT RRSIQVAQEPTIEFEPDSYFEINNQIPVNQLFRMINPSPDAMQQEPQ >CAK67423 pep:novel supercontig:GCA_000165425.1:CT868052:280212:280595:1 gene:GSPATT00006729001 transcript:CAK67423 MNKCKVGFNNRKYQCINTGQRISLLVDILIEGLSIKQAAKKNNIKFSSAKVILKLFKHEG RIGKVKENQLTQTDNTVQLQQKSQLNNENMQLCKNLLKQIEDCKARNNQLQQELTIYQQI NNQFNLE >CAK67424 pep:novel supercontig:GCA_000165425.1:CT868052:280610:281343:-1 gene:GSPATT00006730001 transcript:CAK67424 MSFLQPKNKFQKQLADYLNETSFKKIKEFSDIKKNKKLPLPIIQAIKQTPDIFSLQTQDE VQINLDDFKFEPQNKQKTWQTQLIEAQLKAQPIYHQIYGYCLVQSKFDLLMERLQLLRKS LFKKKMPKDDIHKIEEFMPFYILRRMAMQLPTDIYDMNSFCQDRSIAEAFLKEIKYFLKQ QNIDKSLIFYHPKMYEQSDDQLEYLLAEFMELDKQILSGYEEQIKVHPSKQLKC >CAK67425 pep:novel supercontig:GCA_000165425.1:CT868052:281541:283174:-1 gene:GSPATT00006731001 transcript:CAK67425 MSLDTLNGIEYLGAHLKFKVPGYREKADGQIYYRIVLQYTLVEPFKKSDLEYTYDNCIAL CKTLTLQCPDLPQLPGIGMFGFKPNTLDSEKRRVDLEKWLGALIWRCDVLGSESFYNFCE LSQLLNNKWLFQPKPSLKIHYGHAFPISDFHYEIDTQLLFVLTTDDSISSKMQGFMGIFG KSLKPSFHTQLICYRLDVNKEGQAVAQTLWTVQYQHRGTMIRWEEKLTILGIGFDDGHIV CLRIATNKQYLEYSEFCTIHKHTQSINGIAFNHLTGHCYSIGRDKCIITTDLQNPSSILK EKEFTNELTCMTTNQYRLFVGDNIGSIYIFSFENGPLHAIHQIKQDVTMHLTSIQYCPIK NYLICGSKEGYLSVFEIGKQGKEKNSNQITLLKTLPNKVVQFSISTKEIYVNYGNCVVIL ESTNMKYLYSLDAHDKDITKIQLFDSVGLLMTSGKDNSIKFWQYKFFPHILLNNAIIPYR KEPITEQKVQIRQQGGEKNKQVQQVQQQMKPELEDLDHWQ >CAK67426 pep:novel supercontig:GCA_000165425.1:CT868052:283186:284342:1 gene:GSPATT00006732001 transcript:CAK67426 MFLGRRIFRAFCSQAQYNVPIFTSSKGPHDHKHLDDVLLDQMVKGFKKAYTDKFLLDFKK VVLPAKRVRSLDDHIIGLWKQHEVAVVIEGRDEFEDIDIVLDYYQVAAVKRRICANVAPI YIRIPGHDGDIRCTLGEIIKDPKSGWPFKVTLRRYIVGRPNLLYVPIAILPNNSNAALVR GTDYDVHIEGVWINSYNATYPTKFFVDAKNLKTYRPYKLGDLQNTFPQGILLHERYKKLI HWNVATLARDEDDKFFEEAEFQRSKKDDDLLIYRKPDDEGEETLEGVMLYQKKKVTVEKT QKKRKEKSLKKKVKQANQELQKEVAAAKEAKDAAAKEAAAKK >CAK67427 pep:novel supercontig:GCA_000165425.1:CT868052:284343:286177:-1 gene:GSPATT00006733001 transcript:CAK67427 MHLRTSSQGVSPQIEILQSERTLLNTPVTQSALFKTFTEMPKAKAFSKTILRIPSPRARL MMQLTHNKVQREQIISQMTERHQEVISDALDQGTQKKLKIRVVQKLKRRDHSPKKRVNLK EGARVYQMPNLALPTVEAEIDHPPQLTFYGETQEFFRSARSFRPSFTESGSMALYGDSLY LYGGIAGDGIRDQMLRFDLSNFIIQVGFQDWHVINAQGEMPKNGRAALTVVALRNHFIYF GGSCKFNIKLKVRECFNTVFDYNASTRFWEKINVQGDYIEPRRHHKACIYGWKWMLVYGG VNSSEQVLNDTALYNIEKMIWKQWDVKSTPICCHSIINISQSSKFNDNTTDLIPVETIYC FGGKNQDGNSTNIMKKLIFYPNTTTAIAWETVQTEGKPPMPCHNHTMEFIKKIQGIVILG GQRDQIINGCLESSECFIFYPSINLWQQVLIEVLLSSKIAVFGGIGNGRYLEPLINYIET DQTQVQARVTKEQFTKRQNQYLLKSQKNSLEKMESLTYSPRQFTSFHSEGYKGQGFRFNT KRLSCIAQSTRSYLPQKRQVLVRYDILIGELGNLEQF >CAK67428 pep:novel supercontig:GCA_000165425.1:CT868052:287176:288330:1 gene:GSPATT00006734001 transcript:CAK67428 MYQSSPEKRKQMQMHGRPMEDMTCIIDCGYIGSLFLGNIESATDLAQLKKNRITAILSIC VSKIPFTVSSQMKQYEHIILEDSENENIYRYFNSSFEFIDKGRQSGNVLVHCMAGISRSA ALVAAYLMRKHNMSSKEALQQLERKRWQVYPNDGFIKQLLLYEKELNQQEQEITYNWNGK IIYNQQNNIKSQTSSTDRLITKISKFNDDEAISNEYSKIRKKYIDEEKSLDFMQKSNQYQ LQQQRKTQFEILNNGINIQIQRLQQLSASNRNLNAFEPKQTIITDNQQKKRALMDAINNF SKNTTNNKGIQLETTLYVPKKQEFSSKYLPTTTRDQPQNYGFKAANNYQQKLDTLLNQFG KKSTYQYN >CAK67429 pep:novel supercontig:GCA_000165425.1:CT868052:288359:290051:-1 gene:GSPATT00006735001 transcript:CAK67429 MGICGGQGRKKLSKLTLEQTKDQIGTTLNNENPGSSKQSRFVKQLTDFKVDPSIFVTLKK GDLYNFYKIDQLLGEGTYGKVSLVTQKGTGLQRAMKQIRKDKIVIEQKDSMVQEVSILKE LDHPNIVNIYELYEDETFYYIITEYLSGGELFEKINQIDHFNETIAAGYIRKILEAVNYC HTRNIVHRDLKPENILFESKKAHSSLKIIDFGTAKQIADSNKLSQRIGTPYYIAPEVINK RYDQKCDVWSCGVILFIMLCGYPPFNGQNQQELYQRIQSGIFSFDEPEWEDISEDAKNLI KKMLVTDPEQRISASEALRHDWMLINQKGKKINSKSLEKLSKFHSQSKLKAAIMQLISTQ VMSNHEKKKIQTQFKKIDVNKDGTLSREELLKCYREIYEDEVKCQEIVENLFQQADVNGS NQIDYTEFIVAFAKKEQLTAQNKLEKAFRLFDKDGNGQISKQELQEIMGGAQLSEGEWNN VFNELDLNGDGVVNFQEFTDMLIRNANEQD >CAK67430 pep:novel supercontig:GCA_000165425.1:CT868052:291014:291682:-1 gene:GSPATT00006736001 transcript:CAK67430 MSFNKPQRFHKKPFSQYELHTDRPSKIPSFMKSSEIISNCKENQDPLHYSNSSIIKEIQD IEKMYQGKIDSLKRLNAKLMSTIEFMEKTLECQKSMLQEKQSIVSIHFRIIQIMKQQNEI SSYRSILSQNTQVNIKNLKTEIEDEKIKTDRLHYELKDFKYKIEKEKPLVHLFKKIEQTQ EEYNQQNKIFMREVLQLLDKPRSQSFYRQPSKQPVKKSSFYN >CAK67431 pep:novel supercontig:GCA_000165425.1:CT868052:292189:294145:1 gene:GSPATT00006737001 transcript:CAK67431 MKAKKQQDDAVLEEDLVRTKSQLDYFPKWQELKPLGKNIHRRSYHSCVTWDNYIYVYGGY ETNEGILDDLMRIPIPSSDKPLEWQQVYRNPQKEVKGPGPLRNHTAVVYENKMYIFGGKE NLIQPCSKLWIFDFQTEKWDEGEDCKINNHAVCIEGHNSCVFVQEDTASMIVFGGFYTDK GYVSQILQYSFKKEKDMWSLYQEQQKTSPQGRAGAGAAILGSDLYVFGGCNYEQRFSDFW KFDLINKKWEQIKTEKSPGSRSSSVIVTHSQGLYLFGGIHDITHEKNDLWMFKNNDWVLL EEDNSRRQVNDDLNDSLDKASQSKPNKQKEKAKIQDIQNRTLFLLGEDTELRSPQRRGSL KNISLPAGTQKISTEQNNVSQATNNMEELKKRRWQQKKMQMLAEFELTEEEKQKFRSSSP TTEQIKNSINLITNQDKGGQRKISSPMGRKQQQAQLIGKKPCARDGHSVVVAGEFMIVFG GDRNLMSFNDLYMYSFTMPTKPTK >CAK67432 pep:novel supercontig:GCA_000165425.1:CT868052:294296:296265:1 gene:GSPATT00006738001 transcript:CAK67432 MDNQQAQSQFNSNQTFETKSKDTQSQGDIQDQLFQYNDKQMSQSLNGFINNIKVSKDTKM KKKNHLKNEQRAELEDQRRAQLQSANQQKQQIPIKQQQLPVQQQQPIQKQQQPQVFQQKP QQLQKNIINLPQTSYQQKLQGQKLATFGMINGYSPQNYNDDDPILYQYHKQVGLNMNLEE LIDLRVQAQYDYCKLKKSESQRLPAMVSIITKDIEQYVKNNSSIEAGIDLLCVIDKSGSM EGKKIASVQQSLVQLLDFLSEKDRLCLITFDGSAQRLTPLKTLTQDNKNYFKKAIYSIRA SGQTNIAKGTEIAFNQIQQRKMKNQVTSIFLLSDGQDQGAAEYIQRQKDVVEDIVTIHSF GYGSDHDAALMSKICKVGQGSFYYIEDVKLLDEFFADALGRLSSALAEKVQIDIKCAPFI PFQDIKIQKTYGDMWKQIEQERLYQIKIPQIASDSRKDYVFEIALPPYSEQILDEQRVPQ VVQVFLQFSNTFSKQVYQKVSFLQLKLYNEDEQIGQNDANADVTREFLRVQATEAIDLAR MKCEQSKNEEAELLLEQMKQNILTDEKFAKVSAQAINDIDQAKNASKRKNYVNFGMKQMY QMSVNNYQQEGLNAQFDLQGKQMQQLDQGKFQNKKQLKMVQEVQKRKP >CAK67433 pep:novel supercontig:GCA_000165425.1:CT868052:296311:297619:-1 gene:GSPATT00006739001 transcript:CAK67433 MSLHFQYLGQGLSSQKTMELLQKHCSSNHHNKIADFGAVFDELLEQKKYKDLVVQTLEII NLSPDKTLLQPIYSAIQYWMTKLESKEQQVTVDLFLKHIEQINEEAIFKTKIVAQLFNTL SSSQLSQNLFLKLLECVKRWNTQQLIISPIIQNLKQFLNLWTLSDAEVLKILNAIIDLID PQDQQYVKQISEYILRNLNTSQEQYIKTFINFQNINQSFLKLCEITQCQNYQVIEKTQVA SLIKLVLSGDLSGVQKYLEKEADYFKSINLNINQYLTQTRIAKFIQLSGQKQSYSYQEIA EALNIQLEQVEIWVIHAIQSQNVSAQIDQSQQRIFILDNFKKLLTKEDWQNLHNKLSGLL TKLKIVQTQ >CAK67434 pep:novel supercontig:GCA_000165425.1:CT868052:297668:299144:-1 gene:GSPATT00006740001 transcript:CAK67434 MNSIIDYCYIRFQFVKTTKTSLRRKLNGIAQVNKLKQERTQKPLLQKRYKVTADETDSRI HKISLPSLTKFRKTEFNPVENSNYSIQLVAQPISILNQGNGLRIPNRLPKLQSKNDISKS KYDIQFNQTPRDEDLKPKQKQKKTSFTPEQRISESPEKTSSYSKKKGGAKQWQGLKFVHK TKAGCQVNKQTKTNQDAAIVFPSNIESQNYGLVGICDGHGVNGHFVSDLIKQRLPSKILN SNLVYLEFQLQSQNPDMEECFRNAFELTNSEILQSEFDTALSGSTTVIALIQQNQLWTAN VGDSRAILCRNSNGWRAIPLTRDHKPSDEAEKQRILQAGGRIQNFFGNSVGPERVWLSYV DAPGLAMTRSMGDKIGAQAGVSSVPEVFQFTLQHNDKFLIIASDGVWEYLSNEDVMNIVI PYYEKGELDQAGEKLMMEAIHSWKKNSPARDDITFIIVQLNN >CAK67435 pep:novel supercontig:GCA_000165425.1:CT868052:299271:299737:1 gene:GSPATT00006741001 transcript:CAK67435 MRVSESVGQKLRRVNIDYSQFELPENSLDYYLKPVKPKLNYSKLLHKQQSPHREIPHTIS CRIRPQIITQAYNDVINQTSLKTLPIRQLIPQNNNRSFVLKHKKPSIEARYKQIMEYNVE EQFVELRRLMTRQKKSSSLGKRVKFLQ >CAK67436 pep:novel supercontig:GCA_000165425.1:CT868052:299763:302374:1 gene:GSPATT00006742001 transcript:CAK67436 MSLIDQLCQNILEFRGTMIEAQDLVKAFLADIMIKIFGVELRLQQQVFRNCNKNWKVVEQ NLISYFQNSPIPIQTLFNENKYKNEGFISSDDEETIKHSKRVVVKRTKSIIREAPQNDNF KDEQIRIRDQVIQDITIGYYKDIQHLKEMFLRKERQQDDDIIDATYYDITKSFPAEVQVY LQSKLKEMSQQARSQQTKLQTQINQQQIEIDKLKKTISQLVSQADIVQQIKAILIIDRDM NRFWRGIQDVIGNKQIFEIFEKRQAGYGIDYKQIDELINQSQASYRVFSDYKNKIDEQYL LFTAQVDKELQLLRNQVKILTDKQNGQEQEKQKDFVLVRQTLKSGIEYVYEMKEEALKAN FVQKLEQYAESILQKDTLSQVKRCIKGHALYKWMYLAKCEKMQKHKVLRKSQSGSINIFD VSCNPRGLLDKRTDDIKKQLQLLEYNLSEEQLQSQQLNVELNQMKAKHQSILMNYEMQLH KYLYLEQRTLYLENQNKYFERIFSLLMRRIGYPQFEVNERNINIILEKADSQIKQFQNKI NQIQNKDIEDIHELTSQLIKKTIIRNKFNQYNKSTSYCQTDIFDVSMKIEDCIKMVEQNQ FQLLQMQEQLRLQAEQEYQKEQEQLQQNNDDIPNVLLSDNEEELKEQKLVNKISQERSTE MDPTYMNQSDFDTKMLNPPKTADNKQRGQQLFTFISKKKTISLSTKNKEIQTEIQVDCRA NLINKIFINDLDEFKKNVKEKPMFKEMKKVPQQSRPELQNTFATLISQHNVNKNRKQNNI FLNSINHKPIQINCQQKLKTEPSGLEIDQNVTFTNDRIKVVYTPGKKKVRSVSKNV >CAK67437 pep:novel supercontig:GCA_000165425.1:CT868052:302394:303706:1 gene:GSPATT00006743001 transcript:CAK67437 MYRIVLALKCLLWFLGKWEVDLTWLPYFSNPYTQYSNIREAKYLLANNYNPYNTDGIFQK PLVVYGLIYIDNDHIFLIVDILISFLIVQLFGAKQGYRKSILLFYHLNPITFANIILKNT NAFDHLFLLLTIYSALKIRLLSPILFGFLLYLNPQYFIVLAAISVIFRRESSTTSKFALT LIAFLLVSVLTIGCLLYASQQITGDWKFVQKTYIDYYFPKDHRPTIGFLWALFSGLFSKY KSLYHAFFMLLPSCYILPLYNLFVKYAKREKEYLGFMIGVSLFCSFLYFQYIAISDLIII FILLFQRYDWLFNSLPTIVIVHAGCLILFLGGGLQLTWTHSFTGNPNFTFFQVFVSYVFY VILVSEGVREMLKFRTIQKKEEKEKMKQDEEEEKLEREEKEDDQIGKTKVKGD >CAK67438 pep:novel supercontig:GCA_000165425.1:CT868052:303753:304561:-1 gene:GSPATT00006744001 transcript:CAK67438 MKVGLPKEFKVQIVFNNDILNELEAERPSDEMIDRYHNLFESNKIKLKASIVMEKRGRGR PPKQKSPVMEESIEDEISNGDDDAPDDEFEEEMDLENDVNLSVSSKERKFTKRQMHMYSL ASLEGSEFLQLPSKKTKSKKELAFTEEEMIYKSQKELQRKEKLQKQQEEQKQMTVDKILN EQGRKQKQRQQQEDKKTEDTHKYRSLPPDDIIIKYKSNSEGTFIIMPKNMNSSLPHPSKM IVEDDKYCSICSNLARYQSMQS >CAK67439 pep:novel supercontig:GCA_000165425.1:CT868052:305117:306683:-1 gene:GSPATT00006745001 transcript:CAK67439 MALLGKTLKGRTTKPGGTEQKRNIKIRQITRSQQEFEESQKQAQFKKEQLRSKIREEQKI VNFNTKKLMAYWRRTMRAVKTEQLKQDIELYSQNNKRELDSKEAFIQMLDKNLDEAEDQF QIALRNHLIHLENFHLLQESRNRALSEEFERDIKILQEEFQIEFDDMTKTHKQQVKELED MIKTVEEEEKRKAELAKNQHQTNREETKNKDVEDTSQMKQQLEDKQTKFYNDLEQMHQKY QSDTAKKTEDHTKYYDANKDMSKKIERLVRSIASKKAKIDLTKYKILQHTKECNARNQAL KKEKENIAKNYQDLKLKMNKFREEQQRLLKELVNNSRNAVLKLTEYKELGEKILKTAELC RRLETEREKVMPFYEDTVDMDQIPENLKNDFEAIEKEQYEEFAYLNNFYKRYNKVLLDIL AIQKQKEALQNESNQLQSLLKQYLDGLSCNDDVLSNPNPLFVKNFNIDLGEKQVTGDLQQ TIIEGVFNVRSTQMQLQGQRVGPFQ >CAK67440 pep:novel supercontig:GCA_000165425.1:CT868052:306728:308355:-1 gene:GSPATT00006746001 transcript:CAK67440 MSNELPKNQSIGQYNFSRTLGQGTFGKVKLATHILTGEKVAIKILEKQKICDQSDIERVT KEIQILKKVRHPNLVQLYEIIETPKQLFLVMEYVNGGELFDYIVQNQRIKDVEAIRFYSQ LISGIEYLHKLQIVHRDLKPENLILEGRGKIKIIDFGLSNFYHQDELLKTACGSPCYAAP EMIAGKKYHGLQVDIWSSGVILFAMLAGYLPFEDPNTSQLYKKIISGDFKFPKYISGEAK DLIKNILNVDPQKRYTIADIRKHSWFSFYNQKIPTGLIVGQHRIPIDPDIVKQMIQYGIQ VEYAEKCVETNRHNHVTTTYYLLLKKHILAGNKTIADVNSEQFEPQKISIRQSTQTDRRY VNQINPLPLIQRKSRHTQQRDDSPVDTKPRLNISVNTHNTIQQQQSPTIMNQSVDVKRKD MRDFQKTTLLETSLLQQSNDVKVLKLKTPVCRNYIMGDYYTRFERKSRNQDRKKSMDQDQ TCFRTFYKGSPIPKR >CAK67441 pep:novel supercontig:GCA_000165425.1:CT868052:308411:312101:1 gene:GSPATT00006747001 transcript:CAK67441 MNFHFPGTYSELHEHHFSDRYRVRSKNQVNHMDERLILMRINQFEDGFNQLATLIEDPQY FDFLYYLVEHLDAHPNQKVQLQLVEILSFQIQKLYPNHPQAFKMTLFLLLNVLQQKQIQG QQLRRALKMIQQLFNANAFQDLGREGSRYIFDLVKMAIEIKDAQIREVWIDILVQAIALW QSYDMNLKNRIVHLMYEQESVVPNISKFLLGCSKNDKLKQYTVDQIILLVNYITEKSTNQ TESLAVKNLKELLSQTSKEMPKVYYQQLSAFIGLYDNENYHIRNGLSELITNVIEYLIKE SKEQDSDELQLSNANNLIKQLVDRHMDKTALCRSNVLQCLSQLLNSNCVPKQHLQTIFAI SSSRLRDISGYVRKSSLQLLKSIVRYYRFLYVQSQGRQNFWSQVEVEEQISKNREELQNI HKDFEVVDKKFQNGEITQEVVNETIKFIKKKAQETIKIQEYLEEYQKFLEGMKTVITQVL QLCQSKNQADVIHSIKLFSYLQKYNYEVANQGLRRMILLVWSQDKSISQEVIKKFWKLFL RDTKKTRQIVLSLIDLISKSNLKELISLEKIMLSYETEQVTNYKFPSKIFHVLWEYFGSQ EVDQRSMLIFVRILLTRNSSYLNLEKVAQIYNLLQKYNKKDPDWIIIKELATILSKLDRT QNKNQINFNKSIDLLIILLIKYQNSQDMNYFSACDSIIQLVPNADNPELIFETLLQDLGF KSNRMDLEVEEQKKMEELHLAHAIHIAGSVSLQLLIFIDATHNSLKNLKNEREKQIGKEQ EIDKIQGGIEGEFEKLHGIVDQIQDLKLIQQNLLSVFSPMVKQILEECLNQMETEEASTR QQPPIVQVSLITMCKFMCLSETYCRDNIQMLFNIMKSPLIDQVMKNNVIISIGDLLHRWP NTIQKFHKQIYSNLSDTSAAVRRVTLLVLTHLILNDMVKSKTSLSHIPILLNDPHPQIPA MARYFLNELQKKEQRAITNAIPDIISNVQDQNENVLSQISQYIDKSQIESIVDKLITILG TSTNQYEIKNISILFNHINLSQNSLQKLLDGWEEYREKLRDQFVFNQFITLIKKLKRTLP QDAKPLIEEYEMKVEHHDKETFENRRREKTTKKKNVKQTQHENNNDKEQKENKGGNKQQQ QQQQQQQQQKKKPKKKREDDFMELESDSDEMSSESLVIKKQTSQRRKKNTTKNEDE >CAK67442 pep:novel supercontig:GCA_000165425.1:CT868052:312157:313895:1 gene:GSPATT00006748001 transcript:CAK67442 MEQGSNYFFNCPQKQHKAFWDRNFDILLNQHENFQISNDNSTTFYKKGRIFKKWIPRLYS VQGNYILYGDTKMKGFRKLDCVYLHYSLLDNNYRYQLQLIYCGFILDLYTDQKKDYDNFK EILQQHCILTDFHTQFSLMKQIGFGSSAQVYIARSNYNKQYYAIKRVQKNYQIQQKKLEQ EQSLKNEIQIMKELSHPNIISFHQVFETNKHINLVLELIQGGELLKQGQYKSIRDARMVA RQLALCVDYMHQKGIMHRDLKPQNILCKSNTSDVLIADFGLATHIKESKQLYYRCGTTGY VAPEILMYKEGTKMYNEKCDIFSLGVIYYQLIYNTHPFKDTQKAGILKKNMAVEYKFEDS IKVPQSCKDLIAQMLKSNPKQRPTASQILRHDFFNESLCELSYPSLISSIQDLSEQKKSG QSFNSQICELKLSTFQKNGQTVFEKIPLLRYNGTPNNHELKERSPIWNKRIELQRLASQP RSKAQSLNTVKNPEIRGNKVSIFRQQSKNSENDLDQSHQYPYTSIINRCKIKNK >CAK67443 pep:novel supercontig:GCA_000165425.1:CT868052:313923:314670:-1 gene:GSPATT00006749001 transcript:CAK67443 MNQLEDQFNLQKFLWLQDAIIYDLQYFQYHFNFLELCRETTKIFMQMGGILKTAFQDIIS KCNIIERNERNFKHVHISLIQYILLEKNMDVAKYNGENCDHLKGTEMDAYTDYESTARTV LRLMWFLDYVAVLLEKLLNKPNDSLGSICAEAYNIALAPHHPFAVRFAARTGMLVVGRYV TIQTISRESLYKIIFQNNEDIYSTLQLCCHNFTKIKDRLWDLYKQEQLCDLP >CAK67444 pep:novel supercontig:GCA_000165425.1:CT868052:314727:315747:1 gene:GSPATT00006750001 transcript:CAK67444 MNHSDGAQSPPLFGMYPLPYWPTSSQLLLMMNFQNPLMSPIHNLGSPILTSNINFPQPTI NFDIRCDQSQIMKDASQVKQPQQYFSQIQTIQQQIEEMNYDDITLENLEQLIHLLFADSS KIKKIMQKLKEKKCAKVIKILDTLTKKIRSQQKSREELIKFCLRKAFREIFHQIQEKNTK SKLNLKAASKLFQQSFQAEKSKQISLPFRKNSKIKTMNNQFLHELFQSKQFIDQYKTFLD NLDAIIENDRNKKIKALAEKSWEFISSNKKSYTVKRLPWSLKNIEKLKETANELLNYCDE QQRL >CAK67445 pep:novel supercontig:GCA_000165425.1:CT868052:315989:317489:-1 gene:GSPATT00006751001 transcript:CAK67445 MSTNQEAMATFYSPKFEQQCYLCQHGLHGVEQQTHSTGIIVGEPVVVYSTPEVLVSQTVG QPIPVKRGISQSNQRNVQVTTPQREILQSNQIQNSPTLIQSQQIQYNQPRPIEHETRQTY QIPIEQQVIQKAQLQNSQQISTFYSGKYEEQCYLCQHGLHGKEVTTGTQNNVVYQTPEVI VSQVVGQPLTSRNTTTVQNFSQLINTPPRNNFIQSQQLQQSPIQNIQLTVPQNQQQIIST TIQQIQQSPVQNTQLNVQQSQFIPQMIQQTQVQQIPQVQNYQVIIAPQNIIKETTTTAVQ EQQYTAQEQVEMFWRYKVYELQERVYELMNQISLQKQGSLQHQRTGSQVKKSTEDSFKVE QAKQEILQLESQVKVKQKTSIDLKNKLNLMIYRQDPTDEATKFKEQELQQLRQKYNTLNF KHQSNVEDIAMTQAIIEAIKSGKGYKLVSVREQQQTSSYNKSSQGQQQYNQII >CAK67446 pep:novel supercontig:GCA_000165425.1:CT868052:317839:319919:1 gene:GSPATT00006752001 transcript:CAK67446 MPNIYFALGLFLIVCNLDLGVTQWLPTYEAFRDEKIDDLNGTNLKQANVGWVIENQYEST FDVVTKCGPIQILGGLKSFGSQTTLTKMIKIPPHYRLKFVIQLWKIGDWENDKIQVFVDG VPWEMKWGFTEGAKQLCGGPKIPNSDKVYDVEFEVAHNSPTATIVIASTQVQKADKQAWG MRNIKISFKECPSQCGICHNDKVIECKFWKQVDLSWFRTDTSLEGWNLLEGRQKSVQCSG IVMFGGPDNIGSKAVLTKQTKNLPPHSRVMIKFTLWKFGQWDNDQFYVHIDDDQVYKQTF QILDGLNICGNSKPGYGQKLVNIEIIQKHKKNDMTIKFSSSLKQDPEDQSWGIRDFFAFV AECPKFCRSCFGSGDNECLKCEETHQLIEGKCVNKDDWFILAKEFNEPSSFKKIREWQID NIDPIQSEVETSPITQCGKDVNIFGGYKILAKKSQVSKVYTNIPPHHFLRLRITMYKIDR WDGEELDILGDDKLVWSQLLGWNDPGQSNICGDPKSPWKERIMFIDQVVEHNKDEFKLTI TSTLQVTADIASWGFRDVMLLYSPIKECITVFSECNYQGEQGQICENVEELNKFDFHIKS MQIPEGLKFVGFKNAQFKGDRVEYTTNQKCLEDIQYSFIQRL >CAK67447 pep:novel supercontig:GCA_000165425.1:CT868052:320075:320864:1 gene:GSPATT00006753001 transcript:CAK67447 MSNNNNGLNDLELLRLIQQEFQQEKSPVYDQISEQYSSVSDDEEIIIRDPSSQITDESSL SQQQEKKKSRWKYCCQQCTKSDKGSIKPKTCVCIVPASQRRIQIGEQGCLSCHCTGCSIE DEKKKQKKIKKKRKSSSDSSSDDSSDFKTVNGCCKKCMKAFSKKGKEAKSCLCQVPRAVR KKPLPPHGCQYCGCHGCNPEDKKKEKPKKNSRQLSPVSSDLNEQNLNNFQNARNIGL >CAK67448 pep:novel supercontig:GCA_000165425.1:CT868052:322217:322913:-1 gene:GSPATT00006754001 transcript:CAK67448 MQQPNEQFDIQNHYDVHQQEHMKKLKEKLVELKEEIMQMPKDPELFKNHQLPLARVKKIM KSDEDVRMIAQETPVLFAKACEIFIIELTHRAWQFTEDGKRRTLQKTDIATCIYNTEIFD FLMDIIPKDEIKSNQVPIKKPQGQDGYFSNVSQHLIQQVQMSQQRQGQQFPLTNFSQYLG SGQR >CAK67449 pep:novel supercontig:GCA_000165425.1:CT868052:323704:324612:1 gene:GSPATT00006755001 transcript:CAK67449 MQINSKLRLFDIAVNLTDDQFYGMYHHQKYHKPDYKDVLKRASDIGCEHLLISSGCLSDL KKTRELCQLSPNYYTTAGIHPCRAYELNKDYYGYMGELKDQLELALKEKKLVAIGECGLD YDRLGRSTKQEQMIAFDPHFDLAEKYKLPMYLHNRNTRDDFYDIMQKNRHRIVGGVVHSF TGPLDELQKILHLDLYVGVNGCSLKTQENINVAKQIPLDKLLLETDAPYCEIKRNHPSSK YVKTQFQAQFNEKWKEGQLVKSRNEPCKIIQVLEVMSELLKVDQEKLSEICFQNTLKCFG LA >CAK67450 pep:novel supercontig:GCA_000165425.1:CT868052:324633:325580:1 gene:GSPATT00006756001 transcript:CAK67450 MYFIQKQHIPKALSFIQAVEFLAEYLGKSSEKRIYTYFLALEVLKLLVKLRKWALVDKCG LMISENNNYSETDYQEDEAAIQFQQNLGSLEYNPSAVNEKSIKDELKSIKDPIPKFNLGY YDVIQDKQTSLLTMLPIPNNVKQKDYQSKLNQLRIQIGEILHLFRPLIYCALILKYGGDS YTPYFISFFIDILRLLIEFRIKIYRKSQKEELAIRAKEAIICYILRNPFYGSIVKQIILS NTLGKVFNQQGWIYRLIIALIELRSSKCLLL >CAK67451 pep:novel supercontig:GCA_000165425.1:CT868052:325848:327769:-1 gene:GSPATT00006757001 transcript:CAK67451 MRNVFVILALLFCVANTIDMGEELMKREILTKIVDYAGIEMPHELHLLCLPCKLMMKQVQ KFSSTILLSTIKKEWTLLCPKFKDAAFCEGINQTFIDQFSVHFLNNYLAPSNACQNLGAC KLEHEPQTIKDYINEVMSDKLSREEQRQWQVLAEENVLNQEDFTIAQFADLHIDVEYSVG ANAFCGAPFCCRDENGKPKDPSKGAQYWGTYAQCDLPFRTIQDLIKFTGEKIKPDFIIWT GDSTSHDVWHQQQWNQTLPTKMITEEIKKQIPNSQLYAIYGNHEGYPADQYDMIGEATQW LRDEVADMWKQYLTQDAYYQLRRNGYYSQVEESRNLKFIALNSQTCDLLNFHLMDGITDP RGMLKWLISELKDSEAKHQFAVIFAHIPPGDTFCNSLWADRFSVVIERFEHVVTGIFYGH THQDHVQHIRSKIDGRYVKTLFIAPSGTTFSYQNPSFRVFQFNGKNNQVQDYVQYRLDLA KANKDGQNAILNWEVAYHFLEYYGLESASLVHVSSLPYRLAHDDELLKKYIYSYSTGSEV LYKQHLKDLKNLFLKKSTKSFFICGAETSTLDDWYECIGFFEKFKESSFLTFKLLEKFIG KWLKE >CAK67452 pep:novel supercontig:GCA_000165425.1:CT868052:327837:329000:-1 gene:GSPATT00006758001 transcript:CAK67452 MLNQYEQNDDEIQSENNDEIINFDQFEDKEEEIINTSNDKLQSIQYPFEEQKQVLKQEGI IENVIEEMVQFKPEDEPDNIAKFGSMHCEEDFQSWRDENKQNNQDQQVQQIDTMTERQEQ QAQIIYRPNLIVDMKEFLQKPIDQSLDITDNHQGQKWNKQVSSQIPIVFEWLTQQIFMFS KTIGFQLINSLYKFDRKHKSCLGKLKGYRGVFRLFDTGDNPKDAKFLDKVRKELCLINFQ NSKQTGQIRQSVIVPKIKDGQPCEFKPLNDTDGLLKAYHSLQYKDQIMHFMNKIPIYNNE KKIYQLQFSDRVTMPSVKNCIIHDINCTDKNKFVLQFGKCAKKTYSVDISHPLSILQGFA ICISQFEKKD >CAK67453 pep:novel supercontig:GCA_000165425.1:CT868052:329180:329923:-1 gene:GSPATT00006759001 transcript:CAK67453 MVAQNINKKERKIHSYKVLGTNQKKEALEELLNDPSQENFVLLSKKFDTTTRNLRRWFNQ GYMRKGGCGRKKINPEGIIRLEEWILDETRKLGKKISRNQIKEQAIKIFNIESFKASKAW MDKFIKEQNLKLKIRQILLEKGVLSKCQVQKHKQFQDSLKEKECERSTTKKQLKRIKLEE MKAKYIKGKLDQLLTQDFEIGQNLIKQDTIKIDNNNKEDDMYFTASFEQEARPFGENYGE QLYLGFD >CAK67454 pep:novel supercontig:GCA_000165425.1:CT868052:330266:332367:-1 gene:GSPATT00006760001 transcript:CAK67454 MQSEQHRLSTNSSGSVDIGLLLKKYKQANTKLSPLPLEKFEQVALDSNIDLLQQLPDNDL TQFLGFKELNQGAVSELKRSLLQSKRMWNNYSQSLFTEEQQDQPKYYTSRKMQLIHNRDT FRQIKEKYKKQEETQIEEVRKYFQKIQSKSPGVKSYPSMQYQDLQRIEQIRKQIRQGNQS ELITKSKDAEQLQRRLNESLINEIKIKKQCVYIYKDTNLNWQPCSRNASTMLSYDHKLYL YGGAGSKQAEDFCYANIDKQKYKWNQIKLDEKSSNGYRGNHSAAIYRNFMIIFGGEVHQV INDKRISSEITSDIKIVNLITNELKVLKQTGIIPPRKCHIAEVIGRNMIVQGGIDLKGQY LRDVIAYDIVTQRWSQVLTEQHVCFPDGVAFHKSCAVFHNTQIELYKNDPEVKFNYQGVF IFGGFDKNGHYLDKLIKIDITAKPINFEQVQTKGLSPIGRCQHSMNYLEQYQVIVIYGGK NDDLNINGFLNDIHLLDMKSLTWISVEIKGSQVAGRCGHSAACIDSKLYVFGGCNYSGFL KSDLLVIELDPISAQQFGQQDVVVEKPIKSQLKVTFKKQIHKDHLTEIKEKIDQICLRPQ KQSLFLPKPRRLSDLLNSLNLKELIQPNQQEKDRDREKDQQQKSSRGSNRQSPRKETPRM PIKNIKRTMTTIVNSN >CAK67455 pep:novel supercontig:GCA_000165425.1:CT868052:332728:333252:1 gene:GSPATT00006761001 transcript:CAK67455 MKEQLIMTILFGQNCQKLLDQYLITFFIHRIIQTKKIQFRKHQNNMNQNNRVDQLDSLET DEELDFQEFERPQLVRRNGMINSISECKGYIILDKPVENIFQEEQCPICLESSEQLYPLK CGHTYCQNDIEYMIDISKQSNGLFQCPICRAYQTLDQYDEVYHLKKQNLTNCTN >CAK67456 pep:novel supercontig:GCA_000165425.1:CT868052:333531:334667:1 gene:GSPATT00006762001 transcript:CAK67456 MQSSQESRTFQCNLCSTHVPQICQEVHNVKCTMKNLQETTLRNQLNEQSQIPQQQNFPQQ INFTEKANNQQQFQQTTNSSQQFRIGIISQQNQQNISSIIQSGTLNAANNVTRQIVQSNA TQEQQPAQTQQFQISRISQQTTSSNISNQQFQRFLELQRSRQPQNQQTNTLFRTNYGQQQ QPVQQAQFPQQQPSFIQITNNIMTPTPNISQIQQAPRFQQVTRSPPQFNFSRSSSILQTA NFTQRTQNIVPSDDSSNEDEEIHEVSGLNNFDLLKNYTDEEVNQMNDEQFYQYFIHKQIM ENHLDEPDIQIQRVMEQQNEFDNQCVICQETIKSEEETMVLVCEHKFHEACISNWISCKS TCPICKTRI >CAK67457 pep:novel supercontig:GCA_000165425.1:CT868052:334750:335983:1 gene:GSPATT00006763001 transcript:CAK67457 MQQEAPEVQTIFEELLKPVLERIEVLKEKDQSNELQIKNLFDQMSELKTLIGNLKPIKEE EKSNIKQYVIEIVKQEPKKENHQNNDVKKVERPSTAANSKNPAPAKVKDPKPVTAPKPLS QSTIVEKTTTPVDIEKNQPKSPKEGNQNKTKQQQQPQQQPPQPKPKPVVQPQQKQQQIKE TKETKETKEQAVKQPPQQKEEKKVEKQPPKPKTAQPAQPVQPEKKEDKKDTKKTDNKASH KQNVKQPEQKATDKKSDDKKIVKKGKQTEDTQNKTEQQQTDEQFQQQQQNLVKTQNNSEQ KQQDEQPQQQQVEKKEFIETQTEAPEPQNIDEPKESSEQQQQNQDQFVENQQIQEVNNIQ PEQIENQEQEVA >CAK67458 pep:novel supercontig:GCA_000165425.1:CT868052:336017:337439:-1 gene:GSPATT00006764001 transcript:CAK67458 MINYFDFSDYIRKIQEMPFVDYTSQMFHITFLSFQAGASSNNQKVLKKSFYLIDQVHSAY QFKNINLKELQQIYNDYINGKRKIDFEVPDEALQDSKNSQLYCVSSLLNKYQRSAVLSQF KQDIASKQKFQGYFDQNENTDKFINQFQQSNVQMLEKNGNKEATDSSIQNNYQQVEIQIP QIKEIYYLAIDQSKQYKQQQYEEYRNKESQKSQIFSQINKQSQIQQSTQCSICLENVQQD KYALTACQHIYHKQCLENLINAASEFPIKCPNLECREEILRDDLENIVSSQVMDRLEKIA FNQYLLQNPNVFQCPTENCKGVYEIEGPIQVCMICQNLFCTRCRRLYHEGICGEESFINA VQEARYRQCSQCQRWIEKTAGCNHITCKCGFQFCYLCGTVWVRGTDCDCRNRPQQNDINV QQIPHDLNQIQQFVNQQPQRQSNSQKVDKVLNKLGLSFVKKIFRKW >CAK67459 pep:novel supercontig:GCA_000165425.1:CT868052:337465:338622:-1 gene:GSPATT00006765001 transcript:CAK67459 MGQCQMRNERISIQCLTNSTGDRFDYESISNQKQQFTVQNQDSYIIRNEETLQLINELPN ENSEVLLFVTAKNTIVAGTSQQQGNSTALTNTKFPFKLKKNWLTVRSVNKYPLNVNDIFR LGKMTFRISSLSFNPLTEPELLNQSKADSNDQCRICLGNTQSSNPLLNPCKCSGSLKYIH LDCMKRWLKEQTQSSKQYQSEKSETYLWNSLKCEICQESYKVIFQSDGVTYHMLELLKPR YPYVMLEFYTKKKDNQVVQINLGDRFTSKADGVMVISLGEKMSLGRARENWIRLGEVSVS RFHATLQIERIQEQDSLILFDNNSKFGTLVKITEDMPIYQDLEVQVGNSLFKLQTSNITC >CAK67460 pep:novel supercontig:GCA_000165425.1:CT868052:338651:339481:-1 gene:GSPATT00006766001 transcript:CAK67460 MTERAQRIFEKLQTIQNNVKGEKQSKFKLILGYLQSVELAIEDVQKQKNQQFLDIAEKLQ RLKSIVDIEKEARDQVDEIMRKEILTVEKNCKTLLKRFSKERLEIDKGEFSNLSQQVDQL SVEIQKEFQQKSETQNKLLEIQNEQIQLFYQDIEQKISIREEIEDKIAQQFNQLLDELKR IFDCQQKQREQREEEIIGILKKIYQSAYDACKRSRNERERNEDLFVKLVEKVVEKIKREL IDSDF >CAK67461 pep:novel supercontig:GCA_000165425.1:CT868052:340009:341716:1 gene:GSPATT00006767001 transcript:CAK67461 MLPNDEQQRLYQMFQQMAGPDPYGRMLQVPNSPYYPCWGPPFPQPIMPPPPPNQKFQFPE QLAHEQALQMNQLPPNQSGLNLNGINQGLQNMPPMPMGLPPGFGMPPLGPGQMAQGPFGA GSMGPPPMGGITGMSGIGGMNGMGPGGMQLPGMGPIPQGPIGQMPPFDFQFKQQPPMQSP MNPNQVMAPQQQPIQQQHIPQQQQIQQQQAPSQQPQQQSSGQPNQSNQQNLEQGFSQVVN EFQLKILNMFVMQNQMLLDFKNKNANLENTITSILDEISNLQKIVESKFDNVQADDFMSR LSIPNQEMTQNNILLKSLQCNQSDFQYQLVLTNDLEQPLFKDKNFNLYIALKDMKGMNIK NHNKIELEVQLYSSDDKPVLLISNSQNQAILRQPEDNIWLEEGISNIEKLQINEVTSHYQ NGWIYLVVYPIKNDNKIVQNSISPSQIKPLIYKVSVKSKKTQKKSSRSRSRSHERLRRLE KLDDRSSSESENHIQLEIKNLDVNDEIQQEKENDQDGEQKQQQEQQQNNEQKKDEVHQNK ESDL >CAK67462 pep:novel supercontig:GCA_000165425.1:CT868052:341755:342434:-1 gene:GSPATT00006768001 transcript:CAK67462 MITPQEQLDIIIKKITKSLLGKCSTTIRTLGKTFRGFESFDKNNRMDKDEFLIGLKQNGV VLTKFQTDFLLNYLDRNRDGSIDVAEFFYLIRGSPNENRVQVIVQAFKKFDKDNLGYIVA DEFKGIFNAKNHPKVTRGELTEDQAYIELLQYFKEGNKSGHITLDEWKEYYAAVSADIEL DDNFIALIKETWRLK >CAK67463 pep:novel supercontig:GCA_000165425.1:CT868052:342676:344034:1 gene:GSPATT00006769001 transcript:CAK67463 MLLQFECLRKHLIFDKAYILQCYEREVFIGENRAKPKYYFEVSLKNFIVFHTEQDYFGFS IPYRGKLKLFHANSKKVRELKLLLSGKILFGDLNQFYLQKHQLGHGSFSYVNLLQNLETK EMVAAKFLSKQWKHKTNTERMKAIIQNYLKEIINENNIVQSLNHPNITKIKEVYDKNSEI VIIYEFIDGDTLEKYLHDHCGSLSHFDIQSIMRQILLTLFYVHQQQLIHRDIKPSNIMIQ QNHNIKIIDFGLATKIGVTNNDICGTVGYIAPEVLCITTQQSVYSFKCDMFAAGAIFYKL ITSHDLFTEAKDYANFQLKLDLLRKLNTPESGIDLLQKLLDFNYKTRLSAKEALSHHYFT ESLRVSAKKSLQMSQQNILLANTSQVSLIGTSETPIQNVNIFTQNLNRRFRFSIQLNN >CAK67464 pep:novel supercontig:GCA_000165425.1:CT868052:344162:347691:-1 gene:GSPATT00006770001 transcript:CAK67464 MNELSEYPNIQFDNSLYNSLKTKDSRLILRQMRSAIEEFNHSKHFDSETFTILYYGVQQI QKDDILEFLEKEVLKRQDALEFEILQMKFLQATLDDQNKNRKENLYQLQKETLTSFGQYD KHGQMGIETILQFYKTKIKENDVKQCLQNQARKIIRKENLHNYIKNRLIQIVFNSEDCDQ QISDLLIYCENTAFVTQFITILAQHSLEILQNESNSLKQVSKVFERVSAQLPNLFNQNLP VFLQFYDSECYCLRNAINTIITNILTEHLNPSKVEQDVEMKENQLNQRLFLIQKLASRII DKNAYARVHTLKMLRVVCSQNLIPPSVQLMMFPLVIARVQDVSSMVRKAALAFVKQITKF MKNLFVDGLQMQNFMKITEIKEQNEYLGTEIHKTLIAIDQILEDKGNAQTEAEFKELEDE ENLVVSNLREKKKLQSVFIQYQEFMELLQKLLPNIELLLNSKCITDIYKAISLISFLFKQ KIENTDVGIMKMLIHSNNPQIVNKLSKKFHMLFIENDNDQVPINNLIHLLKISSPKEQIY LEELIRYMIKQKMIQETTIEIVWTNFMRNYTINQHEQLNQYSKLVRVMFGQQSNLLTRMK LDQISKIIDGQKKEINWITVVELAKLIQYLEDKVLVKKYFQQFSLILYFYFGTPNNLWFV ACQEILNLIQFLPVPESIYDYIIKKFSYKVFSMGMTPKRSTQDFLEEESTLVFNNQCIQL SQLLFIVGQSALAIILHIDEIENQLTHLRNVEEAKENELDKIQGGCEEEYEYNCVYLQTL CKQSVIQKGFYQNYAPIVLEILAEIEEENVQLTILHKSAGLCLCKFMCLSEQFCEEHIEK VFRLIQNPNSDGIFKNNLIVAIGDLLHRWPNTVTSYCRQLFDGLSNQDFIVKKTSLNMLS HLILNDMIKIKREITDIAMLLTDPDENIQQSAKRFFFQIQKKDSRRILNSLPDIIFTMSN EESHDKYKTFLMNTLKYLDKQTEQLVEKLLRRLKENINDFEVQNIVFTLSKLQMKESLAR KFYECYPYYQERLENPQIKEYFQVIIQKLMKCGLNQENKQILLEFEELLNRGETKRRVLG SKNKSQKSTRSHTNSQRSIGYTNILDQLYTQSTEF >CAK67465 pep:novel supercontig:GCA_000165425.1:CT868052:347752:349282:-1 gene:GSPATT00006771001 transcript:CAK67465 MGNCYDSRKNTVVLAFDQAEYTQLDQQFQYYCELSSETRDTKQLNQRSFEDIFSENPAFG IKLFKFLELYSGSDGFVRKEPLFEFLELLVKDVQTSVPAFKNLERFELMSLITLQNYRYV KSKEELAQLQLTYLDTLSVIKDIIKMYQHAQKNAPTNEKYIKSLVDQLYKNESGSLSWVQ LMDFISKQMPGTKYLIKKYFQAKFMGKQFNNTIPVVNTPSYFVTDELFFQLLLSTQSVLT DCNQLTLLYSSVAHQGGFNQMVQSLKDCKLPTIMFFQHEEKYEQKTKQQIFGALTNLRWY DTRQYFGTKKDCIFCLYPHFKIYRSKRRGEQNYCFLDSNKGIGFGGKNGEGCRIWIDKDL QNSYCTQTDDSYENGPLILPYVKKLQINIIEIWAIQHPTDENDENFDIKIDLKDPLLQQS DEVQFPNSNADFYWVQQQKKVQSNSGFYWENSNVS >CAK67466 pep:novel supercontig:GCA_000165425.1:CT868052:349341:351280:-1 gene:GSPATT00006772001 transcript:CAK67466 MSFITQIELLVFIRNFRNVDLFQQGIYRIQISIPKHLPYLTLDQLGITKQKKQRDQRLVG QDIRDQYYLSSSFAIRYEDEEVQMIRIQINLCEGCIFRIEQNTFAQLNSVELQVDLLFLD SKSAETFPDQEALPLNKLTSQTYVIHSLKQINHNYLPITFDPNTACVINCFVFSLPLNLK YQQRKEGLTMIDYIQKYKRKGQYELLQQKTFDYLESIFKQFIQQYKETIAEFITEPTKFA HYLSQLACLGEVEYNSEDLERQINSISLQLYMLWYVYIDVVSKVYAKLMKKLKFKYISEL QDLYEEFVIKITDFPQFDKTLKNNNLIISLRQLDQRILPILPITSTEILDNLPILLKYSQ NEVKQYQLNQKKAESKHLIVFVHGYKGSPFDMRRWRNIIKIYYPKCFTLLSSCNQREGEE SIRVMGHKLSIEIQAQIQLMDGIDELSFICHSLGGVVARSALCNLSMHQNKMRFYVSLGS PHVGLFVKQNSLVKTGLWFMTNFSSSQSMAELQMQDASVPQNSYLYYLSTVQSLEWFQKV ILVSSTQDDFVPFEVARLEKSTRVPQDKQQIYQTMLDNIKLPECTRVEVNFIYTENDKNW DNFIGRTAHMNLVENTTMIRMIVTQSRLLD >CAK67467 pep:novel supercontig:GCA_000165425.1:CT868052:351384:352806:1 gene:GSPATT00006773001 transcript:CAK67467 MGTLIYLQPSFKGPEPQYKTINYSIVPKNQSLEDHIEKPQLEILQQLNEEKKCRDLVEIH GITLEKTKQFYADKIKEYNDIIDLKHIKNKRNQSQSVEKSHNQTFIRFAGDEGKITNFHI SINSKNLIPLLNEINRRANNEQTIDNPLSYRRSTRNNQNNTLKTSNNYTNIKYTLQTIRP KQPQNITQRLEQKLINFDRLNQSQISPMNQVNFNEDLSIDVIKKRKSFSIDLKFKRRNSE KKKTNTYSKQQKQDIPKVDLSQLISDSFRQNISSSCQYEDDQAEITQRDSQFNNNNLELT QIQYPPLETKIEQLNQSSFDQTEKEQLPDIYKDISKYQLFIQIDVNQYFDFEQRQKVLKR RKEVVHEILNKQKPKFLHICGSKFPVINSEQNWNNYNNLRIRITERELQQKVHQLRNTKE QYQDFENLTMLSGPPITTSYVTNNLKSDYQDVKVTKIMKQLNTFKQ >CAK67468 pep:novel supercontig:GCA_000165425.1:CT868052:352871:353942:1 gene:GSPATT00006774001 transcript:CAK67468 MQNKNKRSITYDLIENNNQDHAMFYKGGLMKNKKPYKLKLELKPLKDEISSTQFGEIVEN EDFKKIDELDRDSKKKYQTNNKTSLKLPIIYKNRSKSSQVQTYLQPYSNSDAEIMDNSKQ DKISMRPIFKSINQILSKHQQKTNQPKNNTEFENDKISNDQSILKQFSLVLRLSQFLSQN QNDNINIGKYVQEKIEQKRINNFRQLYKNAVKYQSDEEENGCILSSKELQQVEHIKNRRK VILKMAQRKSPKFLYPLGPKIRVPNFSLENQYFQIQQNLTSRAQGGQLSPKKKKTYNFED DISIQKLDSPPKDKCFPTSSMQEDYLNIKIQQIVKSFL >CAK67469 pep:novel supercontig:GCA_000165425.1:CT868052:354164:356469:-1 gene:GSPATT00006775001 transcript:CAK67469 MNNNSQNIRKTISNYTYENNDLIGSGYSSKVYKGVNTKTNQVVAIKVISIQQLTTQISKS LLKNEIQVLSLIDHPNLMKIYDTFETKNNRYLICEYCNERDLAEILDSTQFTETQTLEVF QQIAQGIKALHDKKIIHRDIKPANILKSNGSYKLADFGFAVIENQYESIIKKFNVGTPMY MAPETAQNNVYSEKSDIWSLGIVLFQMIYRELPLFSKQENELSKKHSFLINKIKNDTITT PKTKELMLNMLNIDPEKRMSINEIIANLSIQPKKIQTLSHQSIPYRSIKTSYISQDLQQS RSQLEDQLLNNQSLSNNAGGISENQLKEHLNFKSHEIIGLQNLDSKKVIKINQVAQLIDA KKSHTDLEEKPYDLTLQINQINSNLLIEQPIKESYTQKIEAIPKPQQQSSIKFTNFTLES RLKNQKSLELIDMQSKNQELIPEQQLKQTSQKEQEKITAIKIPITTQNFDNNSNTHGLSG EENSTNDTINNHQFSNCHQILQEKQKIIDISQSLQKQSYSVQNSNSIQQKKSPVRVVQSQ QNIMTPALKSSGLSLQKNPQENKQNCYFQTNTTSQFRQFASQTKSKQNVITDKFTSDFNS NIQDDKEEFLSASIRPTYKFLLYLNSVLKQFDCINTEDKQKCYFLIRKLLGIKATYVQKY CPSNKQGIIQGWIDNFLSFYSKVETVFYITPDKQFEQFFNKDLSEFRVSFSQLLLHYLQK INIVKLQKDFQVIQEILLENQKQNNDPIMFARRWENDQI >CAK67470 pep:novel supercontig:GCA_000165425.1:CT868052:357632:359271:1 gene:GSPATT00006776001 transcript:CAK67470 MEWFLIIVTIVMALLLLFVNFYLLVLYCHPDDSGWGSSLVCKVFVIIGMTLTWAQVLMLP LDAANSRGLGEGLDMDFFWKFIYMLILIFGTLFIPFAQYYYESDDEKSVGQRCCAALYQQ LCFVIIVALLLFISYAFLRFADIPVYISVKSCAQPTLFLDSETPFTGVANSLQEDSADTL EYEVSFPVYVMAFMSLFGYCLFVLFGGVGLTALPVDLIQEFINRPKKLTTAEGNQKKSSL KRKAYELIEEGNKIKDYQKEAVNQDGWWARYREKRRVRTQFTRYKNAVLCLDRDNEIFKM ELKYFDTNPVVWYFKFVIGVIFCVISFIWWLHILLFIVIRDSDGISASPFLNKILIGLED GNAGFLCVGIFGFLCIYLLWCTQKGNIKFGLRIPFLFSLHIMKVNETWMNTFLFNVQLML ICSVAVTQFCTKAFSQYIRLSTLNMLFSTQIQYLRFFTYLYSNNVFEIILLVWSVLTMIY LLIRRSDKPKMLKEIEEMQKQEFK >CAK67471 pep:novel supercontig:GCA_000165425.1:CT868052:359353:361225:1 gene:GSPATT00006777001 transcript:CAK67471 MADTLTDPKLELIKLAPYIYFSGKGINDFGFYEDCTNQYQYAVIQFFVPTTPASVNIGIC FTKQCSISDINSSIQTLKKWILPIAQDISQVDLTEYELKFLDSQVTPQPQFATYILISCL IGFLMLQVYFTYFHKKDMEVPQQQLQQEYEQRDIQEVSTYFDEEQQLINHANKTKQNRKG IQLNEFYELYLNLDLKDNYQECCKPQESRYSSLNGIRVLGFLMCVFGNTGISMLLQCDFY QVQHYSKHYILLIVFGCIYSVEIFLWLSGFFAVLSLVKLNCLYSLLLRICKIWPLMVLII LINTQIIPYLGEGPRWFYIERYTKCGKWWQNILFINNFDDENYCTLWLWQLSLDVQLTIL CAIIIILYKRNKMAAIILTSILLLVSQSYVIYTCLQKEYGIPYFAVFTTDIYKAFYYRPW FRAPPYFVGMLTAFFVINFKRKLKLWFQNTIQILGFLIILYLTVGWYDAMQFGEDFYPKL YAQIYEGVMRTIYAIGITLFVLPNLLGNNNIIYQLLNYTPIKYVVKFTFVGYLLHMLVVE VVIASFYRSLDFNIQSIGQVYVGCVLIISGFSFIFRWLIELPIQIKHSVKEKSQSKLYVQ KKNVDLI >CAK67472 pep:novel supercontig:GCA_000165425.1:CT868052:361323:362214:1 gene:GSPATT00006778001 transcript:CAK67472 MFKAILKTVKQEKTKSSLSEQQDIAQIPKIEEKQCQTNFNRQILEYLPYQVPNSIEAIFY IKEILTLQQQEYLMNEIYNQPKRWVDLLHSNRRVQKYGGDVKDEGLINVELLPDFLSQLS NLCFLDDNTLKINHALINEYAPGIGIHPHFDGPLYHNFVNIFSINSTCIFKFKKEDQSLK LFVEPGSLLIFTKQAYTEWLHGINYHHDDTILMNIKYNQVCNTDLINFPQTSLYQNLQKV DNVIEYLNSQPNYELCQIQNDNQFTHSLIIRRLLRVSITLRHVPYKRI >CAK67473 pep:novel supercontig:GCA_000165425.1:CT868052:363034:363660:-1 gene:GSPATT00006779001 transcript:CAK67473 MNQQAQYYQQEYQAQPQPRIKKAKSFDEDDNDNLEIIKQGYGIHEALRIDILEFYKSCRT QQEYKNPADFVKHRLDGKYGPYWFVFMWEHKNGLNAQYSYYNNDDKVLEFKSNGWHVLIY SFNQTQQPAQFKPQPSAQTMPQYNTQFPPPLYDQRFDDYQVDPRYPYSQMQQGPSQYRQG GWYY >CAK67474 pep:novel supercontig:GCA_000165425.1:CT868052:363848:365604:1 gene:GSPATT00006780001 transcript:CAK67474 MEPHLKLFLDQRFQYDTLAVKLEKYIQNYEEFKSLYFQNGKSQSIIQQLQQQMTELESRI EQLQQTDQFTINEINKKVDLLQISKDQHLQQITLLDKIQSQSLQSIENFRQQNQQFKQVL LENIDQVNLQNNIAVNNVQDKLLEVNLRIDNQYSYQKDLTLKNDRIFEELSSLNNKMIQQ ISQTSHDQKNIELLQQIVPKFDELLAQDRYVTNELLLMKNKQSYFENYIEKYFPLFLQGT ISQTLHNCLDDNNIYRLAKFEEEKFTLLNTIIVEDKGTPDLDKKIHEYTAFIEAQLKRNF QILKNNVNNQSIKKNEQSRESQNVYPQQKNKQDFSGIQNLLEKNEIEYFIQQRLNEFKKQ IDKNFNQLSTTVDTLESTYDLLHQKMISQFELIKTQHNQQILQIEQQLQDLNEQFSAIPL FIKEINDIQQQQQQNIKKLNQFQILNSEKNQSESQLNDQRFTLEQCQDILNESIYQLKNE NDSIYQESPIKKLSSQLNADDRKSVRTKTASQSGMRKSIKSMYSSSQTRSSNDKRKFYLL GSKLQQEVCQSMNLSILQPRKTNSRILFQSKAYVKD >CAK67475 pep:novel supercontig:GCA_000165425.1:CT868052:366264:367385:1 gene:GSPATT00006781001 transcript:CAK67475 MITDEPTVEITKEVLQGLKIQKVYKDFLKEINSIDFSQNGQYLVACDDQVVNVFDIANMK KIRTMYNNTQEIEMVKFTKDQSHIICVTKNEPYEIWHWAFEENQILKKFSGHTKIIYQLE IYNQIILSCSFDDTLRLWELNQQQDTCYAVLDMSSKPDNRVIGAFDYSGNSFGMAFVEKE NGQSTNCVQLYQIRKFELGPYQVKKVSGTTITQLKFSSDNQFLLCVCSDGQILILDSYLL NTLFDIPGNADRMNVNVCFTPDSKFLITGSNSGYLYMISIQNVNKTQTQSGQLIAKVEGH QRKCRLVLFNPKYCCLISTCRNLVVWTPNQIL >CAK67476 pep:novel supercontig:GCA_000165425.1:CT868052:367413:368970:1 gene:GSPATT00006782001 transcript:CAK67476 MYQDNSEHYEFINSNLVIEEIPEEIENDKVIVQEAADENKIKVILGNNQKLKQEFLIADN FSTFTQVLVISTINHNCYYDDPFFHYILMMTKVIRKALRRMLVPTCIKTKEEFIIKQNNL FQCFYQDINIGFITSTGQIKSTAFIYVHKTIREFVIKKIVIDQKQERVRFLMHFFKIENI IKIPYVQQDYKNQFLKTNKFKNEFIILSEEIETHQSLFQVYKLVDDQIYFVKRIHLRANF SSSFMNVFNTKDVYINIAKILASLSHPNVIRLYDWWIEILNFQPYLFMQIEYCIYPRYQC QAKNLLSYSYFYMNPMPHKQKQKHIQDIILQIIQALEFLKLRKIHLIDFKPENIMVTITV TGDLQVVLADFNPQITNQKQQSYLDYTFQALGTLILHLILTFPGDATLRNNYITKFLNSK FEESFALLDSLAQKVKNRNREFSFQLYKNLLLLVKSIVTDSHFHDFHELKTTIEKIN >CAK67477 pep:novel supercontig:GCA_000165425.1:CT868052:369287:369991:-1 gene:GSPATT00006783001 transcript:CAK67477 MNNLVGSIQKYKVSQMHSDLPFIQNRKQIRAISLQQIFDKVKQNCQQVETNINNNNNIDQ IHTISQKNLNYDIHPQTERQDTKLRSQSLTNRLQRQKDSETMLTQMDSKKTAITKQITHV TSNSESKPPKQGLHLKKIEINKQFNNEVINSLLQMKLNKQSESDQKFNNLIQSTNNLLSQ VSERPNINKIRQAKKNDLDKIGHDGQLLSFKQFAKLQGFKLPSFLQM >CAK67478 pep:novel supercontig:GCA_000165425.1:CT868052:370521:371840:1 gene:GSPATT00006784001 transcript:CAK67478 MKIQSTEYRVPYYQVYRGNSKHCLQGRVVMGYSRIMFLFTFIYLNVISLLQLLRIGPEKR VFDVELALILLTDTFMILTVFRDPGRIPRINSQVQKYSDCYLIPHKQRYGGEVLVVNQNK VHELKFCDPCQIYKTRSTAHCRRCDNCVEGFDHHCLWLGQCIGQRNYCTFYLFITFLTIT QILCICVQIKHILSLSDVRRIEFIIYCILTIGLFVFATYLFLIHTYFILINKTTYEYLTT NSFVVNHHMLYFYQGDGSLFPRRLIRFTQSIWEKLLKPNRILFQSLDSKVINPIMQVYHD VPSYIEQQRRQQQLQFQMNDTLMKIILEDKTKTYQSELCSDKKMVVNQEFLKGDSEQNSE HLNQLSQECSQRGEQKDLKMYGVPKSSHVNQRDRSVKQTQSGRSKQYDKLNKDVNEIQLI DKLD >CAK67479 pep:novel supercontig:GCA_000165425.1:CT868052:371859:372577:-1 gene:GSPATT00006785001 transcript:CAK67479 MQYQQQIQNNFQSIVELYYHQAKLSGENRVTEIKASTKIQAWHKMHKLRVHYIKIRYSTV IIQKFARGYIARMLMKRNNDSRYNERNIKYFSYHATQIQRHFRGYHYRKYYINWSTRKAY LEFLKTKNQDFLEELKKVEVDENQQLKVRQEQLARTEFESLAKNLHHLSSTQTIAGVYNR PFGNKDIVFDMDVESHLKVVFHSNYEWQKKRQMSRYTKSNKLNQQNKLKPLK >CAK67480 pep:novel supercontig:GCA_000165425.1:CT868052:372665:373225:1 gene:GSPATT00006786001 transcript:CAK67480 MQIILRTFISITQNPNQDTNYQCLISKSHQTQKRNTLPKCQQPSSRFGNKRRNQSLYKQE EINHLHSLKLEQQKMEKQIYVNLKKENQQPKTNEKREEPVKPVKSSTTAKIKGDKYSEYG LFENGAFTCLKCQSRVKRTQDLLKHWTMQHGRQAIPRRKRNFVNEDTQCVKKISQETLKS EAQSDL >CAK67481 pep:novel supercontig:GCA_000165425.1:CT868052:373275:373966:1 gene:GSPATT00006787001 transcript:CAK67481 MSATQTLYNYQCTNMEHKAPITNFCACIDCLKPLCPECIQDHYQYHISAKTPAAVQSILN TRTNCERKIAKAIEQLKKEYEQYDIQYIFNPDEILTQEISRMNDVKLQMFEIINIFFKQQ ENLLENKVKENQLKVRNIGGIFQKIDSVIQQLEMLRNNLLTSPDPFTHFHKACRLDVKSL LDRYKSDLKKSIKSKELGNQIYLFLRSHQCSHR >CAK67482 pep:novel supercontig:GCA_000165425.1:CT868052:374121:375230:1 gene:GSPATT00006788001 transcript:CAK67482 MNTAQNEPLHFQQKQTKPQMYNVGDLDDPLYGTMANYDFSIVSPNYFAGQSAFLHFFINQ AKIIKICNLETKQWLTRQIDYQVPGFHKSIVAPNGDVYISGGVINSKDNRKDPIVRKYTL DGKIQQVGQLTHPRSSHSMVYCNQAIYILCGFDEHSNLTSSVEKYNISTQKMEQCAPCNK PANQPACCTFNDRYIYKFGGNDENGQILLIIERYDTFTKKWEYINPEIDSLESSKFQTTF QSASCVQINQTNIFVFGGYDNEDRGVQFSFLLNFEQDIHKIRQVDTRPLLYPEGFWNNQV IIHDHKLYVLQNIEIEGNHIDENNRCLLCFDGYRWSELQYQNSI >CAK67483 pep:novel supercontig:GCA_000165425.1:CT868052:375380:376139:-1 gene:GSPATT00006789001 transcript:CAK67483 MSGELPKIGNKSLFTTYVEKKNAEEEAMKIKNRIDQIRQEREKILKKIQSEDQKAEHIYR HRLELQIKKEEKIRQKVEAPPPFSLSVSRAQRETLKKIKSEMLFRKKTEVKEFRDWHKHD LKETKLQRNMDHDTFRNKVIQWKWEEKQANQNTLNKLKDRRDKIRYDVELEKDKVIKEKI DQDQRIRELEQIEQLELSYLQQTMLRENQTKEKVNLAQSLPPKEFESKFISGSAKSSHQW ESP >CAK67484 pep:novel supercontig:GCA_000165425.1:CT868052:376222:377369:-1 gene:GSPATT00006790001 transcript:CAK67484 MSQSQNSQISLSPQKKQQQYFPTICLKTTESPQKGSITNTNYLFSQHLQNKVNNRKVKRN KELQLEQAYSIRKYLQVNSFAQGDSNMSHSKISQHISITEQKDTNVDTKYSISPLRQLSK IEETLSVKKLFDINHTQPEINTKHKSQGSTHNNCILELDQGLEEGDQKNSLRVQDQLIIS PILQNNFKEISENAQSKSQSPNKLNVNKIAIFQIVLKFNQQNKEYKKYASQMIRMIRNTQ QTLMKEFDQDQLEFDREFKLKKLQRQKERKDILQTAQEGTKNRQLKSMLMALKGSQDKII SDCPLFLSLGKKLSSQNSQTTIQSRKLSHQKNYQHIPFDKQFDDKNFRKHSVKSEICSLE TSLELPLQIQRLM >CAK67485 pep:novel supercontig:GCA_000165425.1:CT868052:378289:378885:1 gene:GSPATT00006791001 transcript:CAK67485 MININKQIDKEYFTYLKIVKETLTFILPSSTKYEENFQQLKVMIGDIHIVILQLTNWLIF YYSDAKGEPNQFSLNISNTLQPQVQNYFIRKSNLQGMQIYYKEKQLNKLEILIRNRIFQE QKENVLVKLVKNRDISILIYGYLKRIDMMNLELSCFNVREFFVENSYWYLLYEWKYGLTG FKLDAFSWKNKFILLMRC >CAK67486 pep:novel supercontig:GCA_000165425.1:CT868052:379043:380426:1 gene:GSPATT00006792001 transcript:CAK67486 MNCLTHSGVFKSLWTRPLYRAGNLYTWGAHSLGCGINHDSQSDQLRPRRIDAFNGNVQKV YPSEYFTAVITDNGDLYTFGNNNYGQLGLGNTEEQQTPQLVKYFRDKGLKVVDVALGSNH GVALASDGNVYTWGSANNSFLDQLLCRPNGLGDNYANNLLQPQVVEKLAGSPKGKFVSAG INYSIVVNENNQVYVWGAGKRGELGNGCSLNFKYPDQIWLTQSKKIKSCFAGTLALLNEG IVVGWGRSYYGSLGVRQQEYVVTDLENYSPTPVNLKYFQPNEKVVDFDLGYNLSLFLTDQ NRIYLSGYDETYVPRPIDLPKDEKILKFSASNNSFAILTDKNFYTSNEYVIPQQKRNLGF LFKSQPRELFESTNIEQFGGGYRVRYAVVNN >CAK67487 pep:novel supercontig:GCA_000165425.1:CT868052:380480:381157:1 gene:GSPATT00006793001 transcript:CAK67487 MGKQYAREPAVAKNSAKAKASDLRTHFKNTYEVARAIKGQTLAQALKYMQDVLQHKRCVP FTRFNGGVGRTGQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIEIWAAQKDENVKKEANNKVVARQSRKQAARSKL AIGA >CAK67488 pep:novel supercontig:GCA_000165425.1:CT868052:381180:382278:-1 gene:GSPATT00006794001 transcript:CAK67488 MISPEHLSDKYEDQFSRLEKAMIELFKYENLLNNEYLVRKFETDIAKPDHYLLQYVVVQR ENSIKNQYVQNEQNDRKQYHLILTAILKKYNNQLWNFDHGLLLIRPYFRSERKRILIKSS ASNIGNIKESLRSAGQNREQLQFEEEIYQADKSLIKLTLTCQSEQCADEIFTYLMSNKSK LQIDSVMMQSVDYLDEFHKQINLKKCAMIQNKKPHQHDNYDEEIERHDKGYQTSSYSKGR GRQNYGQQDSQDNEDYKNSRGKGRFDRKHGNNGSRQNNQYYQKGESQQQYQLVPKSTVHK TPKQQVKQDPIDQNDFPTLGALQN >CAK67489 pep:novel supercontig:GCA_000165425.1:CT868052:382345:382976:-1 gene:GSPATT00006795001 transcript:CAK67489 MLQTHITTIDPAEKRMKDIKEAFDQFDTDNKGTVSTKELGTIHHKLPFLANILKYLGQDP TDEELDNYMRELDPESTGTIDFMKMMKILTKAVKDDDTIDELMASFRVFDLDNTGTVQTA EMRYILMEMGEKMTAQDVKDILKEMDPDDSGMCKYVDYVKKKYEDLQVAKAKAAKLKAKK KKK >CAK67490 pep:novel supercontig:GCA_000165425.1:CT868052:383100:384417:1 gene:GSPATT00006796001 transcript:CAK67490 MKGICCLLIFALAATQCFGLYEADSKVVKLTKDNFKTLVLESNEPWLVEFYAPWCGHCKA LAPEYNKAAKALDGIVHIGALDMTTDGEAGQPYGVNGYPTIKYFGVNKGDPIAYEGERKR NAIVDYLLDKAREFALNRLGVEIKPEPSNDDTKVVVLTDANFDEQVLTSQEAWFVEFYAP WCGHCKQLQPEWNKLSHQADIPIAKVDATVQTELAKRFNIESYPTIYFFPAGNKQNTHKK YEGERNAAALLKYIKEQKPVDGQSQKAGSDVVNIKSDESLNEVCKQLCVLGFLPADKVEQ EDAVQVLKKTALSLTGRANVGWFVGEKFDDFEAELNVIGEGYPQVVVLDLSAKKHYRFRR QLTVENLNEFVKGVIKKTETGQSFSNLPKLNAQKTDL >CAK67491 pep:novel supercontig:GCA_000165425.1:CT868052:384437:385023:1 gene:GSPATT00006797001 transcript:CAK67491 MLLLISLLLLHQPVFSNFVTCPQLYTSRQSCESQKGCIFYDWKQSTCYYDCPSYPQQECI LLFNCKWVDQQCQFKACLDYSEQGPKTCSNTPGCNWYWNKCRQQDCQDIGASTQAACSKT YCVWDEIGTKCVNKACADYTKPICQQLTQCTWSSETCTDAVFSNYGQLLMTLLIILLS >CAK67492 pep:novel supercontig:GCA_000165425.1:CT868052:385104:385490:1 gene:GSPATT00006798001 transcript:CAK67492 MQNIDIYSTRNRKSEVSDVSALQASTHKTMLLELSLPKINIIVHSFEPKLKNLIKADGDQ TDINPETERISKRQNHRLDMFKNEIVKGQKTHRITFRDQIDGQSLQQIKYFHKEPQEDLD ECCPCNIF >CAK67493 pep:novel supercontig:GCA_000165425.1:CT868052:385612:386861:-1 gene:GSPATT00006799001 transcript:CAK67493 MQTKVSLIFHIGQQLLINSQQNLNCGVLESDGNSCAIAAAKLIIKQFGSIDITKTSLNTH KFEKIINWEAYQQARDSYIYIRELEIYRNPHFYLWTRFFTTAPIWEIEDHTYYIIELIDQ DLNYFSFSNRRELNKKHAIEQVILEGQHLKYHRTIVFLCVKDFDQGRYHAPKMYIDTIGL FNGIYRRRQERWLCYNVTQGNYPDGDVLKQTNVIIIPGSTSSAYDEKAWIRSLQNFILNV YENYNHIKMMGICFGFQLLAQAFGGIVAPCKNRIKHKGFYYYGNEKIRIKNDLFKIGCFQ ELAQIDSIIINKAHGDLVTKLPERFINYGSSKTAENEIFISEDLRIFGMQSHPEYSSQQI LLFISGIQSSEGQRSFGDYYNDSNNKHFVKEKGDQLGLLMCNNFLQS >CAK67494 pep:novel supercontig:GCA_000165425.1:CT868052:387431:389079:1 gene:GSPATT00006800001 transcript:CAK67494 MKYKLLVLFFISLDMAQEWEIIYESFKDSNSKDAIGWTVKNNYNQNLFTSCNGIKLFGGY GVFAQNTLVSKYFSLPPHFKVKVDFDFWKIDSWDNEGVYIFVDDKLWAKGYSWWQGSQIC GVGDGWNEVIEPISIIISHNSESLVFIITSSLDQPPSDESWGFRSFTLSILRCPQGCLFC QDTDYNNCFYWISFISLWHYSIEVDGWMKNSDIQPAIGQCASIGLVGGSINLAPNDKLEK IFTNLHPHYKMVLKLQLWKIDSWDNENFVLEVDGQIYNQTILRSAGSYQICGSSGQEQII NIEITLSHHQSECKITMRTNNNSITKNAYWGIRAFNLYLAKCITGCDQCLGPLITQCTEC SKEWVIYQNFCTYPPPMLFLQISITQLKVPQLNWIPIQIHLLEVDQKIVSQGNFTLLFKN TQQILTFQVDIKCIPKKAIKSLFYSNSFQNLQQYQYRKNCLGNFNTAIYNVKYDLKIIAE QQLIIHTSDTQCLIYQVVRVADELAYIRILEIFLEDF >CAK67495 pep:novel supercontig:GCA_000165425.1:CT868052:389839:390880:-1 gene:GSPATT00006801001 transcript:CAK67495 MKQRRYSNFLDQDEEFAQFQYHSLISKNNSQNYQSKNNRISEFLQKNHNDRNQQVNKINT NNNNIEKAKSLKDLKRQNQLYQIGLKFKKITKENFILNQKLVEFKDIFGQCCTCLIGNEV EYPQFQNNEVYYLSGVQIKESLKQNKDFQIMIQKGKITQYPPDEQVQQTKPIEQEQKDNN LEINSSTQNYSIINQFQQIEFPSQNSTIKTYFNILAIVIQQFDKKQIWSQQKGRFFDFIK VEVMDQDGQLDSITLWNTFLEHKFTPYQIVFFQNIELKTTPGSQYLQTIDGISKITTDDS QLQFLHNFYEVKATMTRHLEVIKFKKLISYREILQQNPN >CAK67496 pep:novel supercontig:GCA_000165425.1:CT868052:391122:392112:-1 gene:GSPATT00006802001 transcript:CAK67496 MSEESQYSEHPGGWIEWFCAHEDHQFLCEVDDEFIRDPFHQVGIKGKFNFFNEAISMILQ PTSPEDQDLEDERFLEVYQEASDIYGLLHARFIFTSKGSAIMRERFLQGKFGHCPRIYCE KQNVIPIGLCEDLKTARIKVFCPRCEEVYMPKKKCADIDGAYFGKSFPQFLLMTYPDLHP KFQLLPDTQIQVDFQPTLFGFKIAGKQGSKIKKYDQQLQYNHIITQPIVQSVESNILQQE QKQYRIQKQELQDQQQQQQQSDQNKDQPHKKKNKKKHKQ >CAK67497 pep:novel supercontig:GCA_000165425.1:CT868052:392230:393249:-1 gene:GSPATT00006803001 transcript:CAK67497 MNNQSNPKGYMGGPYQGNIYGQQQVNPQIKDLFQTLQKEFQYQIIKAPNDYTQSAIVTLK NCQIRIDQNYNIGIPPTCSLNNAPYDYTKFYQWNNGSNHLALVHAIQDQFELHSKLLSNP IVQEYCDFVTSLHVDDCVNKIKNMPVAQMLPGLSQQEYQVWLNSDEKQQVLMNLLPQIQE LRNLKEKMLKYVQQNEIIAGLIEANDSEIKTRVERLLTRNNQFEEEKNNYLQLSNRSRAL SERFQNSKVNFLFQQMCEQYDELADKLLETSQSEDYEDQANQYFQNRKKYQKILVLSEKF RQMKHI >CAK67498 pep:novel supercontig:GCA_000165425.1:CT868052:393698:394376:1 gene:GSPATT00006804001 transcript:CAK67498 MIQKIILQKSILDSLLSIHIFKTKINQSPFMKQRGQIIVAIIILLDFSLITHQQCLKRQT TMRSITRGKYKNSLHISNGALISSTKENFFYKNNSGNCLRDTIYEVSGQTGFMYCMPGFS IQLKLLQKYELNTLKLWIWDRDNRLQTLQLYVKVGNLETKVYETTMAQSVVTITFPDQFV EEFRIFNIAGNTNNPGLHLIKVEAYYKLQITLLQ >CAK67499 pep:novel supercontig:GCA_000165425.1:CT868052:394685:395783:1 gene:GSPATT00006805001 transcript:CAK67499 MFRKIIQGFSKYSHRSTIPSLLKDPKYTKRGILKPKGETKMLRKPSIPEDIPNAHLYYDH SKFIEIQKNNPPHKLKYESTDLDFILSILNNDTFIHTQDFKLWKAIKQLNIHHPNEVKKN WEVFDRAYRVILDNARYLDIYNFRSFTQVSQQYYSDDEKVWTVLYRISIQFFVGWEHQQT PLLSKIIEKTHQQSFLFCFHIIMQQVRRFNIDVSNIIIHLDYLMRNHLRFYKIHSEETYN KVENVEDKNQLGNFLAHFAFCVQQRKDIFNDVDKEVKEKQVEEFLHQGQKLCLESFDKLT AGTKKNLQNSIAYGRYQYKPLFDNFK >CAK67500 pep:novel supercontig:GCA_000165425.1:CT868052:395888:397558:-1 gene:GSPATT00006806001 transcript:CAK67500 MNKYLAYQFVGKSDGLHKLPDHIQKIKKSLGFEEKERKVIEELIPQLKQRIRAQSIPEKP IPKQLQINNLAKKLAYLRQEYDKINVELNKHQSFRTQIKNPKTSFEQHFFQIEQQIHIWE EQKSKLSEQIKQIKKELFFLENQSDTYTSKKIATNQSVLLSELVTIEKKIPTPSKLPKIL NQESPMYTQPKAFHSDVKMLNNTNDSNLIDLLESDEDQDLRPRTRVIKMKQKQLPTLEQI ISQLDQFVIKNDKLQPHKEALKQFRLAQYEKYLYKQPHIQMNINYGQKLSLTHKRIKNIK YGFAAVFFCFCLIKQTKISQQRYQLISYSYHQNNYQKVNQELIFFVNNVIAKQILFKFSR LQQLQKAEKKQHKMMIPLIAEEIVREILQKMTNQKFSNQFYIFLNSISEEGFYPLQNYHS DIIKARFQISKYFSYLLRECKKIVFIELVLIKNIIFYGLFIKAKELFGQLKIDADNELIN SVTLIFLELFLRTLQSGVINVNLKHFSYASADGPYVQKYLKELDLIKMKILTTLQIDDML KPFIQMIEKQFLNYQQ >CAK67501 pep:novel supercontig:GCA_000165425.1:CT868052:397776:398577:1 gene:GSPATT00006807001 transcript:CAK67501 MQLRQSKSRKSIDDQDIGQKKQQSTRKSVGQTKQKRSVSKDKENNKKSTQLNNKVKNISE TFKLKDILKLKEFYKGNEEYEENTATRSTPQKTECQTSNSRFSNCNCKQEIIEDNQNLKQ EILEHLEKIQFIVQKNERFMTHRSQQEIKAESFLSQDKSSRKCINKVQEQQDQQEDVLNN SNIYNLNYIIQQQQLQISNLKTKLFCQEQKFLEKQSQYEQEVLQLKNQILQLTSGMEMLK EQIHQQQFQRQVNVQTKK >CAK67502 pep:novel supercontig:GCA_000165425.1:CT868052:398721:400530:1 gene:GSPATT00006808001 transcript:CAK67502 MEQSNVNTGDRINDNSSSEEIDLELQDDETQVNQECPQEQQVLSHLLEIGEFIDYLDSNT NKWITMKIVDIQNSNIRLQSPYSSNTFWIQFSYEIIRKQWIPGVPLQNTRVEVYNSNKGW LIGMVLQVDKDSVLIHHQGYKRIYDQNYQLKDIHPLGQNSNEPGIGKLLKQQPQSILRLQ HRFNLYLQLQNLLSMRKKYKEMETSLQSILSEQGMLIREVQGDGNCMFRAISDQLYGNEI YHKELRKFAMQYILQEKDYFQDYIINGNVEEYVEYKSKDGVWGDNIELQAFRELYDIPIE IYVCSKEPLKTGLEANPFNKEPIRLSYHGRSHYNSIKLKDKQHSALLGSLEISQYEKQMF EKLQEQKNKQNANNNNRYGQQLSRAQFENCISNELDIIFNESKRLFQDQQLKNEQTAIKE SLQQLEYEEEEEQLMDMVIKESVQEAQFNQQYPDQQQEKQTQKLQQFQQQQQQQQQQQQQ QQQQQQQPNLESEILRKIMEQSKQEQEQQFIQQFEDTQFQNALKESEKTIYEDPMNNPVI AQVMAMGFFTLEQVIEGYAIHRDDPDMIINYLYENFQ >CAK67503 pep:novel supercontig:GCA_000165425.1:CT868052:400559:401223:1 gene:GSPATT00006809001 transcript:CAK67503 MDDQDKIQEDECMNQYCTIESQNVYPAKFSDQILFFCKECLELYTEKKCCYFCAQVIMLI FQVYSDQNENFLDGQKWIACDQEKCDKWTHLSCEAKNGIQGIECLVEDMKFKYTCPWCRI EDQKQRNMKPLPRSINRKGFKFLEKIHKRKGSTYEPPANNNNNGTSYFSSSYSSKSSYLD ELLKKNGGFSQQITQEEMQIDLQKMFSLVKQ >CAK67504 pep:novel supercontig:GCA_000165425.1:CT868052:401507:403019:1 gene:GSPATT00006810001 transcript:CAK67504 MKSSYASLKDLLPLMQNKQAKIKIKLQASQNYEESCTCNQMSCVICSRKRSSSMISLKPL KHSIIIDQGKIEKQGVAQLQGLISRKLSKQSSNQLLQQTYYGGKLNYDQKDSSTNSSTWL LSKSHSRKISLDNYSQKETIQLKTSQQQITTISRLTFRFIYVIGKGGFGKVWRVEMKQTK KEFALKEMIKAKIISKRSVNSVMNEKFLLEHLRHPFIVNMHFAFQDKENLYLVLDLLRGG DLRFHICKLKRFTEDQAKFFACCMLLALQYLHSNGIIHRDLKPENLVFDKDGFLHLTDLG VARLNKDSIANDTSGTPGYMAPEVMCRLEHSYPVDYYALGVILYEILIGKRPYNGKNRQE IREQILAKQASIKEGTPNISSKAIDFINRLLIRKPQQRLGFSGIEEIIHHSWLKGVQWAK LLNKEMKSLYIPGCIDGNSDFQNQISADSEIEEDCQSLLRRKSVQSLFDGYKFQ >CAK67505 pep:novel supercontig:GCA_000165425.1:CT868052:403209:405129:1 gene:GSPATT00006811001 transcript:CAK67505 MSRKPIFLQDKQAQQGYMYNQPNQIQTGRQADTRIANMKSTEHLLMAPQHNSPFSALSTA MQSQDASFLSNRVDESNVGFQQIVKHVNQMIMEKSQAQELKIKELQNQIDSNRTIIFDIR GQNPINAEQLSIKFKQFEESIRTQIKKAQEELMSQQVNFQNDYQNKFKELQDQTDNKLKL IQKDNQQNLVKLKEDSQLRIAPQNNLSEDKTIKDIQQKIKDLQLLFDSQHNEFTLYDQKT KQQLAKLEKNLFEQSQKISVQEEQTIAQFKTFQNNQQNNIAQASKKLSDDIEIKLQQVQN IIQQKTFSQSDKLTELTLQQADLNKITQGVFDLQNSQKLLSQQYQELTLRIDQHLSQNSK QEIYLKQLEQKVDQNKDENDNGITQLKLELSSLTKQFERIKQEYKNQFEYLQDLQNKSNE EIRKSHQELLFSFKQTEQTNWIDEYNKKKPEIQQAQVQVFNYEEDSESKSDQQLNELSEQ NNIEQIEHEFEKEAFMDKLKALSPSKNSQFQEEKIVEVKEEDQHQKNDQKGEDEDDDEEN EATYQLDENGFLLDDEGNYLLDENGQMIQLNETQIEYLRKQDMVQEEDN >CAK67506 pep:novel supercontig:GCA_000165425.1:CT868052:405421:406036:-1 gene:GSPATT00006812001 transcript:CAK67506 MNTKNQRIPKFVTKLIEILDNQSYQEIISFDQKGDGIIIHQQELFENQILLNYFKHNHID SFTRQMNNYGFKRVKNHQGKYEFKNPYFQKNNKYSFYNIALRNLIHLVMKKKQEKIKIIS QFLALKSELNSFSKELDQFNFFASSYQQSQSILTESQNKAKLEMISISQKNLELEKMLNS LINEKKLY >CAK67507 pep:novel supercontig:GCA_000165425.1:CT868052:406315:406992:1 gene:GSPATT00006813001 transcript:CAK67507 MSLMNYSSLQFENQSNLSFEILKLDIKNNFLVYQNNKYMSGIAKSAKGGKGGKGGKGGKF GSGKNKKTPQSRSLKAGLQFPVGRIHRYLKQRVSAKNRVGTTSAVYASAILEYLTAEVLE LAGNASKDFKVRRITPRHLQLAIRGDEELDILIRATIAGGGVIPHIHKALLGKQTPEGGQ PKE >CAK67508 pep:novel supercontig:GCA_000165425.1:CT868052:407852:408582:1 gene:GSPATT00006814001 transcript:CAK67508 MILITTLITLIYAAYDGTDYLKGEGNFGVEQNWIMNQTEEYMETEFVTEGDGKFLKLKKK EESELSFKDGFYHLFEESQPQHIRFWFASGNKEIEDTNLRLKDTATDKTAISFRCGYAGF VRVNDNQMITFYNSSVSSDAKIIWTQADILLNWDTQEVLVFMNESYMGSTIFYHSEVTKV NEVMLYNLKPNTTSWWKNIKICKERCDNFEFTQIVVICFALFILLL >CAK67509 pep:novel supercontig:GCA_000165425.1:CT868052:408582:409314:-1 gene:GSPATT00006815001 transcript:CAK67509 MSQYHYLFKFIIVGDGSVGKSCILLRYTEKQFRDEHDTTIGVEFGSQVFVKNEKTIKIQI WDTAGQDSFKSITRSYYKGSIGVLLVFDITNEESFHNVLKWYNEVNDSAAQNCQIVLVGN KVDLESHRKISTIQAKAFADQHKMQYIETSAKTNYNIDQLFENTAMEIVDKIEKKQIEFA TEDSGVKLGNYYKQQNTKKQEDDCKC >CAK67510 pep:novel supercontig:GCA_000165425.1:CT868052:409346:410677:1 gene:GSPATT00006816001 transcript:CAK67510 MDNPETLKILKETIDKLKITKENLREIRKTNQTFPRQTNTRLLDAFQSFAQFDRAPIWMM RQAGRYLPEFKEIMKNRDFFDACQDPFIAAEITLQPLKQFEIDAAIIFSDILTLPKAMGM EIVMDKEKGPIIKNKLETVDDINRIKSPDPESLEHVYDALYLTRQALQGKQTLIGFCGAP FTVFSYMVEGETSKMFLKTKKWLYQYTQETRKVMDLIARESAQYLINQVKEGGAQVIQIF DSFAGQIPPIDYIEFVIPSLRILLEGFKQECPNTPLIMFAKDQNGVEVILAFLKMCLGET PLIDGFQLDSNVSDDVLIKIIESDRTIQGNLEPGVLYGTQQIIKKRVSQMIEKLQTTRRY IMNLSHGLTPDHEVEKVRQFIQESIRQSKLYKVREILE >CAK67511 pep:novel supercontig:GCA_000165425.1:CT868052:411582:412210:1 gene:GSPATT00006817001 transcript:CAK67511 MNTDELENLAQIMNLENDRLQKQQNASVWSQQVCTIIFQSIYGLLFYLFSTTPGYYGDES SCIQLKKFSYILGAATLILVVIHTLLLIYSCSSKSISFLLSAEGVMNTVYGIFSLVIWIM LWIALAQKEECGSLTTLVWVFTILIILGVFLSCCLVCLAAVATSQK >CAK67512 pep:novel supercontig:GCA_000165425.1:CT868052:412298:412808:1 gene:GSPATT00006818001 transcript:CAK67512 MDSKTRQQAAWLTLITSAVVGGSFYYFSSHEELYESDDCFKLRNYTHNYSIFCITFAIFI ILIWMVSRLIKDEGCNSGIDCIQLLSGIVGVIFIILFAYALYLKEPCGNLRTFTVIYLII TSILFCCGCCGMCCALSTLKQ >CAK67513 pep:novel supercontig:GCA_000165425.1:CT868052:412857:413460:1 gene:GSPATT00006819001 transcript:CAK67513 MSFQRGDRRHDFEVQCPYNKKHQMPFSKLWFHISKGCKDKREKGHKYDTCPYNCLHIVIK SGMERHIAQCRYNQLDSKKAEEADLFKEMQLVAAQQFGNNPPEKNVPQIQQQQQNKYNNK PQNEIPDWRKVFEGDSELEDDIKEINEISYQNYDDEFDDSFKFNKASSVFSKSFQSSAAK EKSQNDDNDSYYY >CAK67514 pep:novel supercontig:GCA_000165425.1:CT868052:413504:415603:-1 gene:GSPATT00006820001 transcript:CAK67514 MSNSLKQSVPLAASNQKGYNPILKYEDIRIGPSIAPSNSQQIKDKPYFQHQDKKLSQSQV QKQKGQQESVIVQHIRIIFMFYVQFGNKTSIQYLKSSKFIKAMADAEIITQEITSKDLDI LYFQTTKNTETLTLDQFKDLLLKIATKLYRIPEQSKSFVLLYKNHLSKLYEKINDQTDFG QDLKRIEACRSLIDEQFLEIISQVQGLLSKIHGFIFQDQSQKISQIQSQYMHFLQQFDII PLIITQTKGQMIFFDLFHRDSILNLKNDGKYLFNFSKFVESLLIISFHQIQEDNQNGLDL FYQLLEKLENSSGFQNFSKQNNQTKNEQTQLVMALSRVNSVKQSRVSTVYQGQQQQQNSN LKQSQQIVEESKQLQYGSQIPYEKELRNVFEYYSQIGEPLNLVNLKSIKYKKLLQNCGII GTLITQVDADMFYIQSTPKKRLDSTKTPKFKVNKLYDNDNQNGKMDFINFFNSLQLIAEK FNGNDIAGLIEQYFIPHENQLRIEKQEHILQILMLILDDPKIIRLYEIMQEHFEPYYNHY TKDLMTLSGFMKFCQDFELANSMVSKGQLMHVFKAIASLNNTDTIDKHQFVEAISVIAIL IYNNVNDNEQKIIYLLERITQSDGAVKVSKQLGIMKNPQNTNLIQLFRYQSYKEITNKEE VNFNNIVNQIQDDF >CAK67515 pep:novel supercontig:GCA_000165425.1:CT868052:416007:418059:1 gene:GSPATT00006821001 transcript:CAK67515 MLFELNQNELRSYQEKYDKVVKAKVNLDFKKTKKIIIGQFSITEAQADLILKKVFSRQNS DELDREGFFTTLRYIQCLQNKVDIETCDIKTIKLQFPLRVNSKQSLKKSFQPPNPEELLD KSMIALKNLQNLVKEPPNLEKKQSSTSQEIKDNPNQEIQKEKEGITENKEKVQLEVVNEI EQCNEHINQIQAQNNTNQQSEDDQQIIQNNLEDVTQFKEQNKYHVITEFGNIDINESNDI QQNDMNFTFGDSKPILQQSSNNLQLQITESYEVPPKTEEIKPDFIEISEDEEDLPKKDES KDIYVWISGYQSFKDGAFSQTYYTYTVCSEIRTDPLQGTYTKYQVNRRYTDFQMLQQYFT KSKLGDIIPSLPEKEGVISSIKSMVVENAEFISQRQEQLQLFLQYLSKYKEDQILLSFLS NDKKFDLILKELRYCKGDNQDEDTFLPDPDEYTRKQKLKEMIAGKSIMDIVDYFKGEKEI EAKFQEEYRQVQQHYKNLENKKLELSKFKQCLNQFYEPIEKFSSGSQLQVSSFITEENIK YAQETQKLFQSNYSKHQNSIMNQVMQIEFQLNSLTYSFEQIQKQIYKWFAEKKRLREEKS SNKADLQKNDSEEGRLQFVISKTNETLIKLVSQITEDLKGLLKDFVEELTQN >CAK67516 pep:novel supercontig:GCA_000165425.1:CT868052:418123:426949:1 gene:GSPATT00006822001 transcript:CAK67516 MNKTKAFYSFITTIRECLIISRQTSQILIILFRILAYSIFMKSITNIEELGIQINSKKIM KYQWLLVHVRIFNIYRYQHKLNKKQLKFQNNINVTSSYYYPNLACANCEKPDNLKWGVKH HEMESVRNYVHWDNLIVKTNRFNVSTDISCTQEMPVCNGKKSQLCSPYQQVIDSFTLYCM DCVEHPYTWSSNRTCSYEYTIESGDFMKGTYVRKSKQYTELYYLGPSTSSGVNLDSITRD VQYQYETYICSGCKRFCVPGVSGNCNSITSPYAEDSGIMGVECLLTYEFKNQLCISCPSD CLKCSNGQCTLCNDGYMIQNGQCKKCGNGCLNCFIVQLQTVCTKCREGMVASLDQKNCEE CGNECQRCEYVTYASNAQYRYPTRTMIKIQDRMKQKDYIKRCRQCSTKSFVSHNGIDCVT CQIPKCDLCYYNIRGDVTTMDYDFEPSKGNDLVSNLLCFKCISGYTVSANKQSCVASALS PDQCDRKYQDTTLKCRQCVNNVILNQQSNICSSSCTSYISQCSSCFSYKSLDQTQYTDGT GQIFIRAAQTLYQCIQCSNGYYPNIFTGFCDPCPNNCQKCWQYSQTYNFTDYLYKMQPIS NSLKETFLNDIPIKVQCTSCNFGYQLYGNECLGCSSNCQVENQIVSDDSINSCIFKSTYA FCGECYDTFNDRSLVSDKSECIDCPLNCLACRDREPYEITNLYFNPDNSNLLQYGRLCYK AQTMTMSNFQSVSYNSYLNLPILCIYQHADSTNTKRCRHTAQITVHVYCNEDEYNSIYNT LNSAQKFYHYNLNDYYSLDFEDIASIEFQYLETFQSYSTKNQATLEELTLDILFTNSIDF KCTFQKSTQLITEFHKNVYALSKFQVNIQSAHSQPITFYITDNFYLQDFPFVMIKNINIY SNLQNDFGLVITNNISSTYLQIQNCKLGYDQNKYKDSQIFTLNLTNLNQLELKDVYIQNL QHSDQITVNQLKINNYVFEFSNVYLKSSQFIHNTIFPLTPYSIVNINNLVIQDCIFTNSS LFNNQQEYQIFVSKFSINQLIIKQTQFYQASSIIKSSYISTLSINQLDIIGNRFNDTELF KCNTYQIQNVYIYMNVFTNYSLIFTTADQVPDTETFYNQIKNQGFEISNLKFVENTCYNI NCLMLLQTPYNSEEIYSDLVMQNFYIFNNYVELSLIQRQSVSSAITRVTEMKQVKLTNIV IDTQLSITVISFQEIASLEVSKFLCDFSKNIIGKKDTSPRTKIENSSIIQYPFNNKLPSN TTCSYQKQSFGSYNSYCLDVQDFIYGVKISDASLKGLLGIDNGFIYIQSYESLMKQTSNF IIQSATKNKLDFYYGYNNEVIILENINIFNTSIAISNPVTIIGSVIIESDQIQTILIDNV QLIGNHLHQLVESITMEISTCFTILSPNSMISIKHLVAQNNRATQSQYGINIIKSQSLRV FSALFNYTNIMESNWLDRFDEYQINNYSQFLGQFNIESEGSNIYIQCKNLELDQTQFLNG KSLMGTAFYFLGQDLVSIAINNTEFTNLSTSLEYVDQAYGGSLYLNLQKSSYEIKLNKVT MNHSVSRIAGGCIYVQTSIYEQIISIDNSQFIQCQSIQSSLIDIQFSVSSLILPALSIRN TNIMNNNFEGFLRQLSDISVEEEQLIISGISLIKQNYGIFEIQQSQFNDIQIQGLMELKN MFMVILQDLVIKDTTVFSQSLIEITHYTKYSTVELQNVVIDNITEQKVLTKEQFIYKFNS RCQSISSMFELANTQTCTEQEDLRQFYKDIYDYRQISQTKLDNSTLYDYYDSLSYSDKYA LDEIKLSTSREIKSLYSYRNVNFVENVITQQDFSLCYFTNLQFTYQIDSIPHYIKLSHIK STNNINMNSVTIKNSFCDRCKQGLILINNYDLSAHNTTSIFELTCQNNIIGYFGCLVITT QTIPQLNITYSNRILQSSTATQKYHINLQQSLFQSNSAQIGAGVSIIGVSIKIIDSQFMD NKATLVGGGMAFVYDSESKNILDQFNNNYINNDAQLGSAIYMMNNNLNNLENSQIFIHHN SNTQIEQMPEGLGLMMLEGQMMDISTFQENDGDLNDDNIIDNSNLQIIDIVSVQSTSIPN FKSNYLLLPSGQQISQYKYYYEDTQEQIPYNWIFRIYNLDKNKNIIKSVSSTETCTVSGR LMDELNLDFTKSFLLNFTSYSATFFDESSNSFNFDKLQIYFDPFLADNLFLQLKFQCTSI QIPIYNQQPPYNVLSYNKNYALYLNLKTIPCQIGEAYLEQKCTACSQANTYSVQENSVQC KGINSIQMDMVTPVRIKLKEQYWRPFPKNDNIEECYNLKSNCLGGWVPGDTSCFDGHVGA LCEQCDIYGIRGPQYSVSKKYTCGACQDTIQSNILIIIAISIFTLVTMIMSVKGNYEFIE NLIHQQVLQSIGARINIQQNNASIIMKQLTNYLQIIASLTTFRISIPAAFVSSMEVLGNP TQSMAYSLDCFLVTFATMDLLYFRMAWALLMPLFYMIVFFFGYFSVVLMRIAPFKIGIIY TTFIYMFIYLQPTLVGGFISLLSSRTVSGIEWVQSNVSYQYYTDMHQYYIYIFIGPNLFV WSLMLPFIFMHLIRRNKHQLESMEVRKRWGFFYHEYTNQAYLWEFVKIFEKELVIISLTF YENKIVIKGLMIFLIVFLYGAFSFTFIPYKQKKLNFIDQMSTAACSCSLCLGVLIYASMN ENLDYLQYIMFLIIIIINACLIALILFHLLEGYINKFDDKLDIVRAKIKLKFPNIEFQYP CLKKLLINKKEMKEKVINYWAILRYETREGIKRRRNDKNSPLLIERTKRSGQLSQDSSEK DIDIKQGNSLDQQVELKSPDIENNDDVKLLKRPSSNYQGLMIQQQHSLDSSQIHLGKVYP ETNSDVPTTRKVENSLEKIIIKKPI >CAK67517 pep:novel supercontig:GCA_000165425.1:CT868052:428295:431467:1 gene:GSPATT00006823001 transcript:CAK67517 MKSLNLQFGDNRQNQREDMFLANIVEKVQQGKIGLISFVDQAQFIDLMTQKHKKKAKVQP AFNKIFAAIQINLAKHQQSQQKIQEVSYEIKTISSTRQLGYRGSFNISNKSGALLLHKDS NLKEHQTNEFSDKEILDQQILDEATKTERSKRNLRLSQITSEKNEIKGVRTIQTSRDNTQ DQFLKQDNLSTARNEKLNYNLLTQEQSDQSQSQIKMESQQSCLIPTEKLRYGLNTNFTSE ISNGQVQNQSATFNNQQESNQQQPLTSRKQSDVLLKSIKGSYPQKAYLISQQENSSRKTL TPIQQTKLPPVLDPNQRHNETNQQTKSVSKAEKQQNVIKNQKATHRQQQSQQEFKQQKRI QHKPQQSLAAKQKPLLEQGKQQQQQQLLTQETSFCGNINNSQKPSRRDIDERQQESPQFL RTDLIEDMVITNSPQQSQPKDTRQTTHFKQDINALNISEHSFQSKKHTIDQYDINSLMPP QSSRTLTSAQKDKIDQRNHDSNNSETDQKQHKNQLTVTFKRGSKRMKSHNVQGNENEYDQ QEDEKKRRYSQERLFKSDNRFEKLEEDRYQYTINNLEQSGSSSDLNNYENENNNNQINIQ VSRCDNRENIIEEESLDGSMRQHQAILFSTQDRMHLKIGDNSYLPQSSSRSVAKSITPNT PVGSVYTAQHSTNGTVQNTQVQRKRKQANQHKRPQLSNEYSQTKISIQKQKSEDSYYEKE YTNESSQEPERSSRLKPKKLQQKLQPPLTQIQQQRRKSSTQVSPMDPRKAEQMEREKQKQ LDYQKQIERMKLERELMEQYKIPEDMPLPQKKQIIESLIGELSHQHDQEIHYQVSLYNER QDQISNIQRNYEEKIDFRYNIVNSCYYEMDYLCDHKLKLKQKDQEAQKIIHLKFQDQNYN NQPKLNQNGDKEEEEEGSPFKKQSKLVCNFDPVEIENQLKRRGEFMNETELLKFLKQFQS EFDKKVYQCNLDHPIQDNRQDDKQFTRGLIARNFRRKQTKNITSKIEFNNYQMDMNKIQY VKEKATKIQKLGLYLLMNS >CAK67518 pep:novel supercontig:GCA_000165425.1:CT868052:431807:432319:1 gene:GSPATT00006824001 transcript:CAK67518 MPNVKQYKSDTQISHMSTFTILCKINPINPPDTPKEIKTFKLNKDQQNQIQVKQCSKQSF KQDDSFIKQNENQYSEKYKRRRPILVARHSKSLSCIKKEKSVRFHLEKDESSFQFYIFQN WIQKVKKTNEQICSLKRFDEDVSLNSNGAKKIFEKIHKKYESKLHFQFPF >CAK67519 pep:novel supercontig:GCA_000165425.1:CT868052:433574:434256:1 gene:GSPATT00006825001 transcript:CAK67519 MFQMLYSRIIPLHQRRNENVQLVENLYQIIKRFIQYFEMGKFLKPGRLVVMLAGRQAGKK AILIKANEETTKDCKFPNGLVVGIQRYPRKVTKRMGQKQIRKRTTLKVFIKQLNLNHIMP TRYRLEESTLKEVRDRIERVKESELKNVEKRKDLRKNLRRYLAEKYRTLPAGSLADKKAQ SRFLFSKLRF >CAK67520 pep:novel supercontig:GCA_000165425.1:CT868052:434256:435399:-1 gene:GSPATT00006826001 transcript:CAK67520 MTHILRTVERPGSKLNGKEVVEAVTIVETPPLAVVGIVGYIETPRGLRALTTVWSTKIAK DTLRRFYKNWINSKKKAFTNYNKANAEPKNLETQLKRIVKYCQVVRVIVHTQMSKLNLRQ KKNHVFEVQVNGGTTEQKVNYAKGLLEKEVKVDQVFKQNEQIDVLGVTKGKGVAGVIKRF GVKHLQKKTHRGWRRVGCIGGWHPANVRYSVARTGQLGYHHRTEMNKKIYRIGAAGDKNT ASTEADLTSKAITPLGGFPHYGEIRNDFLMLKGGIVGPKKRVVLLRKSLVPQTSRKALEE ITLKFVDTSSKIGHGRFQTQEEKAKFYFTRTQRKLKQQQQQ >CAK67521 pep:novel supercontig:GCA_000165425.1:CT868052:435413:435562:-1 gene:GSPATT00006827001 transcript:CAK67521 MSHRKFEAPRHGNLGFTPRKRTKHHRGRIRDFSLQKTTNHLQFT >CAK67522 pep:novel supercontig:GCA_000165425.1:CT868052:435849:436620:1 gene:GSPATT00006828001 transcript:CAK67522 MQANQVQFPNQKYKTQLCRHFITNGNCALGARCQFAHGRQELRANANGFQPNSEFIMHQN PQVAPPLKVQPINPMIANYKTQLCKHFNPQTGQCKNGATCTFAHGEQELNQMNPYFQNQY MLMQQQIKQQNQAQIQAELTQQILIMILSNMEHIFPGQQDIINVLKQGQEKAKQGDNQGA SEIIKLIIHDEQRTKEEKQQYQQIYNNAQRHYDSKLKEYLNQ >CAK67523 pep:novel supercontig:GCA_000165425.1:CT868052:436667:438994:-1 gene:GSPATT00006829001 transcript:CAK67523 MQKYFNKKSKSPFLNDKSPKIGNSSKDQSINNENFTREQTIEKLILQLDLIRRKHEQEKR EMTEAFNLQIQTKIKQHQISENEYQQKNQLLEQLLFQEQSKTIHLTRIFQDKQKSIPTND KDQQKNKFQQSEISQDSQRNNTKVQTKQTPKDNSVKMLLDIINNIQANVVRHSKIKRLNI SWIDYEILETDLQKQKDLSKSLAKINQIFDKFFSIINDNSAPSLSPYRVPNTPKQFNNID KQVITTKEHAKAKLCNIQTQTTEIITKTHKLEQTDISNKLSKLIQTDQIEDPFNNQKQIK QTNQQSSTSQLIKCQNQAQQTEKIEVKFEVCRALSISLSNQINQVKVKQISTEVQTDLID RESLIKQIKHNSKITEKDEEEDEEQNNESKKQNLKFDKEIENDSESIFDCNKPDYDSVIE HHEELVDLRRECQEKNEKIINLEITLRQLFKEQGQFQFDNLITSEQHSLEELSSNKWLIK LKDHEAQQKQTIGILGQDLNLLKNTIKAIFNDELQVNLELNQFAVQYYDNDFLENYKLDI MIKSIQFPEKIIVNSKLNFEHQQFQKFWIDFMISHCSVFIYLCKNCNEDDFRMIDYLKEN NKKLIIITFSDLQIENFYNYNNYKQNIDYQILKIQENKNILSSVCKEILQSDWDWVDVED SLLVQLQSHIQNIIGNEDCTQLQIKEDGIFEISVINDELNYDSILSFPYIKSKEKQDDQI QLCLELWEFGQIQDVKCVDTQILIVIDSYILLEQMDIKTQLHSIDDNKILLSITQ >CAK67524 pep:novel supercontig:GCA_000165425.1:CT868052:439445:439876:1 gene:GSPATT00006830001 transcript:CAK67524 MKSENSGTLHHGYLELMINHSKQQDISNNTNAKQGHWSQEEHEQYVQFLLRVKGSGDTQR KGQPLFKRMSQIIGTRTPSQCRYYFQTNRSHHQKFNPFNPRLRKNHKKRQLIKAEKPFQR TKQLIRQYLNKHKIHSDDENQKE >CAK67525 pep:novel supercontig:GCA_000165425.1:CT868052:440393:443513:1 gene:GSPATT00006831001 transcript:CAK67525 MMKNRMLQSLQQKVVYHFDDNYNKFYKEKNENLVFFQVEIQIHPTYTSKHFKVSSSSIVQ YLEEKKMEHKIQGKYVQLRYCPFCPKHNIENPSNQFVMGINLENGSYNCFRATCQARGSW FDFKNFMNGNLNSSQQQNQQSVQQPTQKLNIFEYHQYYERLKLQEPKIVYDYLIGDDWEN NQRGIKDDVLKLYLIGIDITEIYDPQQGSYVQAPLIYFPMFRYRNQHERNKLMQKALKQK KDEVLAEQVMSEETKQNIKSQLDNQFDNLQYELVSCKIRAAGKDLKHIQKIEPMNAAKGI FGMHLLKQDSTQVILTEGEFDAMAAYQMTNIPAISLPYGINHIPAYLIEWLDQFEKLNDI IIWVDDDNPGRINSQKIARKLGNARTRVVQPSLLDPHDYPKDANDCLRFYPDKVMTYIDQ SKCLLKKNITQFTDFKQLTKNRILNFELSKGTQSQTFTTYNNTTKGLRTGEFTILTGPTG SGKTTFLSQLSLDFCKEGITTLWGSFEIKTDRLAEHQLLQAYKTDLIKQKDLIDVAVQKF ENEMPIYYMNFYGSTDLDQIIDTIEYAIYEYNVTHVCLDNLQFMMGTQVGGNRKFDFQDE IIEKFRRLTSNNDIHLTLVIHPRKVDENEDLTIASIFGSAKATQEADNVFIIQNRPRYRV FEIKKNRYDGEVGRVGLGFDSNVKSFFELTSAEVKELNEEKTTILDIINKRKQEGRHFKQ EITINDKNPNNDGGNNEGGSPNKGGNHNNNPINPKISKKDNLNAQKIRLENIEIPDPNIF KDLNDDEEDQMAQLDKMTLQYANQEISEIILNEKPVKQALDEIEDTEKIAKVESLIDNSF VEINEKKQVHQDSTPDAQLNQGKMIRECQKCIDPSNDYLEIWKFDQDSDYGLQPKIGQYE PKQEQQAQPDNNQTQSNYNEFALFNSDPLNKFAAQKINKELDQISQRDEFQPVDDQIELS NQYTNSKSKSKRASFIHVGSKKPSKQQLDL >CAK67526 pep:novel supercontig:GCA_000165425.1:CT868052:444424:446296:1 gene:GSPATT00006833001 transcript:CAK67526 MNNICITQVKTSLGDTGNFNSTERNEKHRQAYSRVLQLKKLNLIQSETRIETPDKDIDEA ELPLREHYYRDTIKRSATIFKVAQEEMGRYQTEWHWKFFFEFLFYHIMFYNLFGPFMVIF FWKWPGMPLMINMRFLKHHPQFYFQLLLWMGSLAGGYWYVFDNRNIITFTEILFTQFALL IRAVVIAAKYATLSKERIKLYKSQVLSEEVFTFDLMMWDWRLQTPKVLFLEQLRFLKRRE LDPDFYKIDFLCQPHIKTLRQVIQVEVGFYSEWLEQQEESQVAPIIDNTFNCFFIFGYLV NSYQKIHTPGGFSKYVVLQSLILSLTPCLLRLQYFCEIEVGAVDIIRIIVNVLTTFQGFF GSFVFLQIGLYDLQRKFYILDQCCYMLNIKEQKYSSGKKLLPTINFNNPITLQAWSMLRG MAFDYGRTYDFRIQGFYSLIFIGWIFLFLFGIGVLLDFIQIDYFQITLLSEMLVILTGFI GYYLWHGAKLNEYYETFDILLEDVRNMYVDMLRKKEQYFILNLDVTNAIHKKFVFLLKSQ ARSVETITQYINVIIEEIDDAIRQLNYDERHTPFKIYGIRITLNFLQSLVVAVCTLVGYA VQQRMQNVDVACLKN >CAK67527 pep:novel supercontig:GCA_000165425.1:CT868052:446726:447268:-1 gene:GSPATT00006834001 transcript:CAK67527 MRKLKFHEKKLLKRVDLYNWKKEKDHREAEIIKRYNLSNREDYDKYNRMCGHITSMISKI KLLSSQDPFRVKLTEQLLERLYNMGIITTKDNILNCEKLAVSAFCRRRLPIVLCKLKFAQ TPKEACTYIEQGHIRIGTKIITDPATLVSRSQEDHITWSDTSKIKQTIQQYNNERDDYIG >CAK67528 pep:novel supercontig:GCA_000165425.1:CT868052:447289:448571:1 gene:GSPATT00006835001 transcript:CAK67528 MKKILHFFEEVETTDSRKSFEAFTRCKGNIIFGAKNDLPNIIGTFFLIVAIIMLYLIFIF PAALDYQYNALAIIIAICCILPITTLLNVTMTEPGVLLKGDLPDPKQQQQQQTVEELEST TQSNKNFAEVESIRNGSNHILLVEGSQNQIELNLEVPSIYKVRYCSTCKIMRPSKASHCK FCNHCVEGFDHHCFWVGTCIGIRNQRAFLIFLQSSLIVAILTFCQCSLNLYHQYLDIYNL WILMFKEASIPIIVIYGIYFCCGCWTQQSYLNVIIIMIMFILPIIYSAVLINIEDAYKDY RYYDNPFLTFLVTIILLVCCCFLLPFNSINCYYISLGKTAKQQKSEDQFYIKKQSLLKTK HSSSEVFQNLVKFYTSPIPISRNQ >CAK67529 pep:novel supercontig:GCA_000165425.1:CT868052:448717:454437:-1 gene:GSPATT00006836001 transcript:CAK67529 MFNDQKHQNRNSESQAVIKVKTEDQTKQKSKIVAILKSYESLKEWSDLGQWLLKLQAIFK SYQGTYIPKKKLLCKRLAQCLSPIWNKAIHENALTLYDLILQILCSDSEYDLIQDLPLFS LGLFPFFGFASIQCKPMFLSILEKYYYPLKRELLPCMGGLINSIIVGMEDTNEEMMKKVM SSLDQACESVGVKQFFGLLWITIIRSVRSRLGAYKYLMKRWTRQQQIELNENELKEDDKM PNKSALVINALLASLDDESSLVKRAALDFMTLHCKIQDKVFSQQEQLILIEQALLLFQKQ DHAVIRRINNWLIGEEELSENNPNILYIQEGLKRILIEEQGDPLKVLQNWYMQHPETVQL TLFQIGYSLAKYIYHKTVQYKNGNQNEKLVELLKGGERLIESISSHSELIIKSLFEQLNK HMKDQKQDQIKECILIFDFCLNNLMIQQFSDLSKIEDLQLFQQRTECLQEFIIIIIKELM NLTCEQVFETSISQLLLEQTVDCINKIRKRLEILENDKELQAVLGSNYNKQVEPSKNSIL VQLNQIDIDIISQYCNYYIQINEYSINNEQETLFPILSLILLRSQLYLMKQGNYQYQEIP SWIKQLFKSLDQGTNKINLYSIQILIELLKSASLHQNIQSLTDMITKHSYQDLEPFPNIL SLCMDQTQNHHQNNNNQHNYIKQIFEKLWILLDQNYHDQMIVEFLLYITENFQHVFVYVI ESSLKQGDQRRFQIYCRITNTYYKRHPNKNTGVGIIQMLNFLEHENPLIRYNTKTWLSES APFFFRILDPIMLRLINFQKDIEKKNEFSLDLAVDSIKKLQSLYSSKEWFQPYIEKTRPT FYEDENINTYYKLICLTLIQFIVADFQNNEYTKINAISAELLEIVINNTINEKSKTQILI QYFNTILNKFDQVIKSKDQVLQLQLLNLVKVFLLHTYELQKDLSSENKVKLIDIYDQQLF FDNLVNGLKLAKVYYLKQRYLNTIVVGIYSISYLLPPKIINEKIILILTSLLALLKLCEY NNSQIVKSFRRVSNVKILKNQKQEQYNNNFQQVNIQQLNNEQPSIKTTLSGDDFEEVMSL SNSIRAIICYFFQFKYDSKEIDQRPNAAGVLVDLFTLNLFFKKADKTLKLKKQQEQLQFE NFPDTCQMLLKYFPQIIQSYLECWRCSLKWDHLFTKGCFIYEYDKFDQFNKQLKDQLFKQ EEDYSDEDQIQQSILQLLKPCSTHFTTTLIQSFLLIWQNEYSVNFYADPKINLNMCKLIE IAITLQISPDDFIAAFINTQQVQGIIQYYQKNKYKKGPYQFNYDQATFENGILFFLYTYF SSVLFQNLKNRELIRLWNGFLQFSKSLLQSRHINTICFLLEIIHLMSWKFSPKDVLEEFR KELHTQLRQILVILAEIASMQYQYVTFTSSSLENFSQSQFDQNLQLIVPFTPTIFELYTE YYQLRQKDVEKTEEGWNECFIQRNLFDPYQQKGLKLEQQDFSKKCSIIALKTLKRLSQVT LINTYEVSRGERIVENTKEFMALIFPLFEDRENQIIVESASELLHSILKLSKDQLSQIFL NDILNIFNKQEFFKCTGRCLKFWTEIIDIISDKTDLLTDQLGNNSKILQGFTGLFQSKSA EILKKTKGFERVCFIIYSGGVDKYQSKLSSLLDAILTVIKDINQQHEAITILIIFCIRIL VLRLSQSSLTQVFRKIWPYLISMLIQIFDRKGKQANPYLLISGLKLIELFSLFQLEEFYF YEWIFVFDYFGITINFQQVEQQQQQQKVKITTPYKFIPYMAYQFPITANYNVDYENTLYP DQYQQNLLKKKRLVKIKDVQEVQEFESKLRQQALYLCQHLIDINQQRVEVSPQSLEEIIE AEFISLDDYIGRIQ >CAK67530 pep:novel supercontig:GCA_000165425.1:CT868052:454490:455474:-1 gene:GSPATT00006837001 transcript:CAK67530 MSYSDKHKRNTSPVLRTTSEDRIKQNSCKESIQLDQQIDKIQQYLSKKYDDRMKFVEETL QEHEEKFESFIKLIKLLQHFATSQEQENKNIRNHINISMEQLYNEELNYLKDQNLNIEQQ ILEIQRSLGEEQPQIQEFETIVNKKIDVIMDEVRQSALKTDYQKIESRLQRLEHNIKQEA YLKGSDSDRVLNNSLVYQNQLNDLKIVLDSVIQDQELTKNHLKQIEHDLYSIKQKEKQSY LRTSITKESQNTETDVMASRPIRDPKENIKNKDNNKRNKSKSSQSRSESRNARLETIKKK KQESVKDLIKRHKQQSNKQY >CAK67531 pep:novel supercontig:GCA_000165425.1:CT868052:455584:456341:1 gene:GSPATT00006838001 transcript:CAK67531 MHKLLKEVVKVYTQFQKLGPTYLDTFDNRAITEATFEAVKTKQLQEYVKKEFKPIGDVSM LPIHLEPEHRFTIAMFALRPGVRMPLHDHPNMFVLSHVMNGLGEREAWDVDPIDHHQQIE FRRNKGDSRIVKGIQYPKLQLKEGDNCYTTPNKCNLHKFSNIHESELFIFLDVILPHYNG VDRVINFYEPFSEDQLELMGNPKTYQRHPIDVDDIREFIVQ >CAK67532 pep:novel supercontig:GCA_000165425.1:CT868052:456386:457039:1 gene:GSPATT00006839001 transcript:CAK67532 MLQPLKEEELNEIYTWVDQIPLSRPKKNIARDFADGILMAEIMHYFLPKLVDMHNYSQAS SVKQKKYNWDTLNTKVFKRIGFTLSKDQVDSVISCQSEAIERVLKFVQAKLEYYLENNTN SAEKQPQQTDSQTAPPPLLANEWQKDQQIFELRETIEVLEQKLHRMDELMKQKDAKILRY QQLLQQNGLL >CAK67533 pep:novel supercontig:GCA_000165425.1:CT868052:457920:459558:-1 gene:GSPATT00006840001 transcript:CAK67533 MDQQSEPNSQEQVIKQNDEQQVLPQTVLQQQQIKEVETLDLQVSISIEDVIIKDAGFGQK FTAYLIKGSDNQGSFEVYRRYNDFFELREQLVKKWPGCYIPPIPEKALASGNDLETVQIR RRLLEVFLVAISLLPYIYISEDFQQLFLRSSSQDIAKTYQQQKPPTTSEIIERLKTAFPN LDVRDQQNSEYMLAISNFSGQLRKTQAILKTYIDQSNIVAQARKILQDEKMNLFYGSLPL YEKTILNEYVYGKEQQLVLSNPSNQDEYKKVLEDIKESHKKTNSINNLTDLFRYELKDAE SMQQTLAYRDQIVIIRAKQEQKMREDQAELAKMAAKQQTLTTITNSTYNKSKDASQVKVE TRISEGQLEIDKLSQLYNIITAIIATKEIDRYRKTRVNHYHKFLRSIQQSETKLYQAEND FLEKLIQESQKQLQQSNSELIQRQEQQQQQYHEQKQRQQQQQLQQQQQLQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQELPDQVQTQ >CAK67534 pep:novel supercontig:GCA_000165425.1:CT868052:459736:460524:1 gene:GSPATT00006841001 transcript:CAK67534 MEKKVLFIRHAESEYNLAQRIAKNSVAEVKLEEEDQDVKYSSKYCDAPLTKFGREQCEPS KFEDLRIETVVVSPLKRAVETAVLLFQNHPNKPKFVVEPYLREMFMSGCDFGIRLQETMN EYPFINYDRLLSNPIVKEHPNMWSLEFIYNQKSADVLKQYIQETQYGSQACIDKVLEYMK TNPIQVETFEENTKRVEECKKYLQFDGPFVCVSHSRTIQTLTGQFIHNCTGIEVSL >CAK67535 pep:novel supercontig:GCA_000165425.1:CT868052:460578:461038:1 gene:GSPATT00006842001 transcript:CAK67535 MDQLDIDSSKFDCQKYVSEFLKNHSVQDLIQRHNQLQSEIKEYDQDIQSLVFENYSKFIS SIDTVKKMKTDITQIDQKVETLAQSMTKIAQLSRRIDSQLSIKREEIIKLDTVNKDLSRL NSVCNFSTHNPKRIRSIQIQQRKEL >CAK67536 pep:novel supercontig:GCA_000165425.1:CT868052:461289:462991:1 gene:GSPATT00006843001 transcript:CAK67536 MDDEEAVLRHYQKIIKERYLTVAKELIDKAAITKSSEVVNLMDYLEEKRYDYTVEELEEY KQMKEKEIVNSDSAIQGSILWLINQLCQIIFKELKVEVSFLLIEIRGTLRDKSLEQLSTQ CIKEIIHGVFQGVTDKMSQKKINNVQVNEVFVKLQTELQSLFENNSIRQQLRTEIIDKFT ENVENICRGQVFTSFSHLRVQFLELLVFAKNDLQRLQPTDEIKREIMTLITVIKQKLIAF TTIRLLDLKAFVFSQQQSYLREQETYLNLIQASLIEFVKFVGKIFAFRSISISQEQLNQL FNDNYIIQQVLPLLNTNDSTYYYLFSNQFLQLYSKTLSNKLFELVSQVFQGYKIRQPTDQ ELNKLKTYINGSTQKNLMLFAQAQSEHWINIIFQYLNQENVVYQQQTTQKILFNEVKNFI EALGLLFPDTKRGLVKKVSIIQQSTFNIQMEIVMVRKCKILETNINDRNSLLITVVKYVL KVLLQKVRGTYFSEDLFKQFQVDLYFVMQLFYDMVSVDEEGLIAGFYMEILDCAGKNCKN DVKIDPKILENLSLQARM >CAK67537 pep:novel supercontig:GCA_000165425.1:CT868052:463003:464203:-1 gene:GSPATT00006844001 transcript:CAK67537 MIINLFNQPEISKTIISNLNSKDLANLSSCNKYFKHQLNFVLKKYLEQRLVHFINSLKEI YNFRKKTIPVLPKDLYKVPKNMDIKIKELGVQVIKIQLKDLPREFLSLNWQSININAILP EECKLSTQDNKAFKYNENYIVINRSLIDQGLNYEFSEINEDCSKEILEKIAQSSEFANYQ LDDMQSILSRQKEILERVNQNKQKVIKQKQQQEPNLNQLDQVQKFAIILCHGGYFCLGVF DNTGCIYHKSDHRYVVRGKAGGRQLTKDSHSGSNIKSIGSQIRRAQEKKHQEKVNSILNE SLPFLQACQILFLQAPGINQQILIQNEEPLFLLKDKIRSICLTAKKANYTEVERVYKSIT SVYLIQKEESFFF >CAK67538 pep:novel supercontig:GCA_000165425.1:CT868052:464422:465096:-1 gene:GSPATT00006845001 transcript:CAK67538 MLKKLKLEIKDVTEKFRSNRKYTSLERVKEVEKIVLTEIHNKDLEQKELLLHLALEIGHP LKVKSIELKEELEKFLQLVLIELINCKENLNKDASEYYQCLEAINDKLYTIVAYKLRQLM KYEQFKFRIPRFGNTQKLLKKKINQLLACDINHSFLQEKRDAEYVEQIRKQYQEKKTIRN LTYGAIFVYFGILFRQKKWFQSINKHYRYALFGFVGAVLFYQLQ >CAK67539 pep:novel supercontig:GCA_000165425.1:CT868052:465226:466003:-1 gene:GSPATT00006846001 transcript:CAK67539 MNLQIECQGLKFNFVGVQSLEELKSRLHQAEPSFVLQSLTYRDEDDDIITLSDENDFNCL LTKSFQIVQADGQFNYQCTLNDVKQIEKEIESIKQQFEIQKKINSINNKIQFRCVIQEQM MKFHICQFVAIEEQEFTYDEENLEQFMNKIELLQRSLCEQFFESYQSMRLNKLIELKENQ IRGKDNLLELSKQLNQLEQIKTQQLSYLNVKGNYNTSTQGDTKSELQNL >CAK67540 pep:novel supercontig:GCA_000165425.1:CT868052:466253:466777:-1 gene:GSPATT00006847001 transcript:CAK67540 MSYSQEFSPLSMKEEMFFKNETESTSLESQLQIANEKVNQLMQYQQQLRYILNLYLSEEL EHLHYKYSEAQQENEILSSQLRQGPDEMKFLNCVLDMVRVCHPSDKQITLKYAWKWLKYV VDDYIELRKRNKSANSQCEVFNNPKKNSIVVGDLLRKNLQVTESQYLKHSKYNN >CAK67541 pep:novel supercontig:GCA_000165425.1:CT868052:466943:468251:-1 gene:GSPATT00006848001 transcript:CAK67541 MISQSEVESINRYLLEATGTLDNIQRTFIKKFESEPNPYPYFYQGLCDNIFTKGLRLLTI YLLYNSELKSCLQDIYNFTLNDFERKFIQDPQIYAGITMKTLLEEQDKFTSNDRPISKDY SQSQIAQNKMRNLNISLIFRKLRQNSNNDIIQQQNGFEIEYLRPIPNVVSNRFDWIDLND MSYAIWDYSLQINSDKLSQIKEIIQKAHNEQISEYELQLFQEYLKKDNYNFLKNNGLINF NLGEIIEKNLNLAFFLFSKYFPNPEFLELMDNLVQIDVTPNGLEMMCMIVQQFHIPQEYL NYYINYCIQFCQNIKEKGQQVRMIKYFTIFLKHLINKKLYKTSDLVTELQAFSIEFSNIG ETSSLFKLIKSDLSL >CAK67542 pep:novel supercontig:GCA_000165425.1:CT868052:468353:469543:-1 gene:GSPATT00006849001 transcript:CAK67542 MFKIQQLFEENGIPFETKLDPRNYRKILDKQFTQLIQQQESEQINLEEVLQEQIQLKQQL AELIRKVHSSGKPRKKNANKIKQRKYEIKTCEAQIEQIEQQLRDRQHYQQATQEEVELLG NKIAEIKEEANESREELQRAAATVQELSQSYQKLVNQSNQIRNIYLNLQQEIKASQQKQN QLQAASLHYEQEFEKLCKEQQRLDPKLLQKQQKLEELEQLKNKLDMELSQKNNELQQSRI QLKEFEDEFNKTKSYDKLIKQEVKAQESLQMERKLLLDEFQRICSISGIDQLAQQSDEGL MNELDQKIQQNQDTIESQEKMLDGLQSEINLLMEKEETFTIRRNELLNRYHGLEKQMDDL AKKYAQFDK >CAK67543 pep:novel supercontig:GCA_000165425.1:CT868052:469918:471348:1 gene:GSPATT00006850001 transcript:CAK67543 MKINDHNDKSFHSASIHKASSLLSLIEDVNNQQVHNQNILQYTSKNKGFNPQPIISSAVA REVEELEQTYKNQQIKDIQQIGTKPFYLILSSLRGPLYLTLHKSSIIRATWRQGFTALIY LPISIYELKNKKNYSISFKDQEFNLLMASVAQAIFAVSLSISVTHTHVSYALMFYNSSLL FYCGFKIIAKQHCHILEYFGFGVALVLLVVIFTNHSYTFYSKQDVSILDKIIYGDLTALF GGGVSTLYYAKYYSKLITSCPTFTLFAIIRALSTVMLIVMTLTFDNQHNFFEFLEPVLFT QIFLNALFTGVLMNQLQSYVQLFVDPLTFNSANFFQPLIGSIFSYFLGQESLPGSLSVIC MILYSIGLLFIQIGRSKSETEDLNLDIEMRQLDTVYFENNPLLSIFQSTYRERDDQMKIS LYKRKTIQRLQTKQFVDYSMDQ >CAK67544 pep:novel supercontig:GCA_000165425.1:CT868052:472344:473389:-1 gene:GSPATT00006851001 transcript:CAK67544 MILILEECDNHFLSCIRYSVLAFLSLIIAVVSINWSFHYYKANGSIRYEIAPMICCCLQA IIHLIQYTIYNSNRMIISASLLQLVTFILVSQSLANLRYRFKYADDLEKRKNRYLRLMVS KGAFYLIVFSLQFFFFFKIDDSSCNNYFYYSLDLLEVQILIITIFTQIHGQSLIYYIRRK EESLNQNQDQYSKILDSCSMIKNTLTMKLQQIKLVIWAQTITMITFIVLILLMYNTNREL FCFQQSKEQTYSVFQIGIQIFFTAVQQFPCFYIPYAFYYAEKTRSVSKATVIDFNIQYDE STTEQQIQRSRKPSSFEDNFVNMIHSAQQND >CAK67545 pep:novel supercontig:GCA_000165425.1:CT868052:473770:475825:1 gene:GSPATT00006852001 transcript:CAK67545 MSNQNDHHSQVEEHILKQFEIQDFKGKGAYGIVWKAVDKQTKQQVALKKVFDAFQNQTDA QRTFREVCFLQQLTDHENIVKLLKVIKAENQKDLYMVFEYMETDLHKVIRAGLLSPLHMQ YIIYQLLKCLKFIHSGELIHRDLKPSNLLIDSDCKVKVADFGLARSIAQSENENETPVMT EYVATRWYRAPEILLGSHGYSKSVDMWSVGCILGEMLLGKAIFSGSSTLNQIEKIIELIG RPKIEDLETINAPLALQVLDGIQMQKRKSYAGFFPNASPDFIDFIRQCLNWNPQKRMTID EALKHQLMKEFSNTEDEKTLKSIIRIPFSENKKLTIKDYRDKITLNCEQILQEIEQNTFD KNIAKQYVSTPQSLATYMNNFESKQKHHASNHQASQPVDKPKKLDSFYKPEAANIYTSQK KSDYSPTLKPTMPSNPQNISTHQVQQKYNSNQNQTYNQGLRKTYTNIVNRPHNDINKSPE IKKKTENLITNVTKSYSFHGEPYDRRVNNASFSTQNKNENKPSTSVSYVRPVQINQLSNY TTAQNFSQQKEMLRPKDPIMITQAQRSKSFEKSPININPTSPYQRQKTEVPKPKFIPQSN INNSVAQVQKLLQKCCQVQNYQQHKKTSSAVDPAKQQQQQIQQTINYNYFDIRNSKFM >CAK67546 pep:novel supercontig:GCA_000165425.1:CT868052:475940:477156:-1 gene:GSPATT00006853001 transcript:CAK67546 MKRRESIAFFDSGFQNSILKKTERKKSNTNSKLHELKGEQFSQSPLQATLNTIPVDQFEL QYLARSNKQSKQNSSGVSFFNLTTQTQLIQTTAQVFHEKYEIIKILKENKQCQIVQCRNI FNKEYVVAKICLQSQNVKIFQVFQSEINSEIKVNKTLKKYPHTKLMKLLEIITEKDTSIF IYEYMAGGTLYEFMQQKHLKLDDSEIKTIFKQLLKALKHLHSLNILHRDIKLDNIMFKTK GDIKSLKLIDFGFATFISDNKNMEFRCGTPGYVAPEIYQQSVPYNELCDIYSLGAVIHIL ATGKRIYSSKLAPKEICELNKKNQYVISDNLKCPLLYDIIIQMLKDAGQRPSVEKCLIHP YFTKLSEMKNSHHDSINQQQQYIIFLKNI >CAK67547 pep:novel supercontig:GCA_000165425.1:CT868052:479375:480714:-1 gene:GSPATT00006854001 transcript:CAK67547 MKVSDTHIYYCYLQFSNQGQFSQQKFTIYDTYLSIQIGEANELKVEYCSQGAVFEWKLDD GNQCEVIVITENYSYTYRGSKQTIQCLKQYLDGKVFYGNFMSQYKEIQILKKTKQGEVMF NLMFRLSKVIAKRFHFTQNLERHSKSLVLNELRICQYLSQFNQESILKLREFYQEKDKII LIFDYCEGGTLYSFMAQKNFCPSFVDIRKIMKKLLFAIKFLHKHNIMHRDIKMDNIMLLE NNNTNSIQVIDFGFSTFIDDKPYIIERCGTPGYIAPELYSEGPFDELIDIYSLAQIFFTL LTGRKFAFESHSFKTFAQLHQKQQIIIRESTKNEIIVDLFLKMTASPEKRFNSTQCLNHQ YFEKTSKIKQLTCPKFPLLKTPFQLVKQKEQQHLKDHQDKFINQK >CAK67548 pep:novel supercontig:GCA_000165425.1:CT868052:482240:483604:1 gene:GSPATT00006855001 transcript:CAK67548 MQQIDEIQQKPFTRIALVGSTRCGKTTLLGVLTKGMSDDGKGSIRNIMVNYSHEVKKGGQ TESVVFELIGFDKEGKQILSNELPTNKGQYQSQVFQQSNSYSYLIDTCGDTKYFKHSLSA INKCLFNLLIIVIAADEGITRITVECLLICQLYKIPFIIVLTKCDLIQSKEDKEKVIFKI HNQISNNFLYRKLVIAKDPKECANLMNLRLKKCDNQKGMICPLFEVNNINRDGIELLLQF LFHFQNQDHLHSEIKSAEQPFMMDVFYTCNVKTIGEIPFGLVKSGTVKLDQIVSVGLDEN KQIQCAKVISIQNIRTSLNQARSGELICLILQPIAQQIIDFSKIKIIADPKINIPYVKSF WANISMLPGPTTVTIGFKPILELSNITLQVNLQEIIGETQFLKSGDCALVKLQFLDETYQ PVYENQQFILRSSHSIAYGQVVNVSQSDLINDEQ >CAK67549 pep:novel supercontig:GCA_000165425.1:CT868052:483875:484544:1 gene:GSPATT00006856001 transcript:CAK67549 MKFLVALILLLSSVSQCEKLKVSAYIESLCPDTTRFIQSSLLKALQTPQFEELVELRLVP YGKASQVLQNDSKIVFQCQHGDLECFGNKIQACGFDALNASQQEQINFLTCIQKSRKRQQ EDFENEIRQCLPDLWEGVLECAKGTEGVKLLWTNGEETLNLDPKLTYVPWVTVDGKFDSK AHEQIERNIVKWACQIANKQKDNYTQIEACEEYQ >CAK67550 pep:novel supercontig:GCA_000165425.1:CT868052:484569:485945:-1 gene:GSPATT00006857001 transcript:CAK67550 MNTEQLEQIRLNALQLLDKGKTLYMSDQIFETKKEGYSLMIQGLEELIKYAKLETKKESK SLVKQQIKMNLEILEKLKVQLNSLEKKNNIEQNQNNNQENQNQQFKDAQASKPDLFNSIK TNVKLEDIIGLEQAKKYLQEAVIIPLKFPKLFQGIRNPYKGILLYGPPGTGKTILAKACA TESECTFLFVSYCDLISKYVGESEKLIKQLFSYARQKKPCIIFIDAIDSIFSDRECASGE LRSVKTQLLIEFQDFSYDQIIVIGETNLPWNIDMSVRKRFERRIYIPLLNHDERLILLQN KLKEIPNNLNLDQFKCLAEKLEGYSGSDIINFIKEAQSEQIRAIQKATHFKKQFTQNQTK YMVCSLNDPEAEEMTLMDIPSGQLLLNENISYSDFLAVLPNLKRDITQQQLMEYKNWTDQ FGYQG >CAK67551 pep:novel supercontig:GCA_000165425.1:CT868052:486291:487741:-1 gene:GSPATT00006858001 transcript:CAK67551 MYQILIFLVIGFSKKLVEEKFTLIDLKYEYLFQQNHGYVIQVTKLSYQVNQFEIIQFPLN KNYFPTFEIVDKNISIQTSSYESYAQKQHIRKLWLSQREIDQNLTISIYSKMENVYKNIT EADTKMSFKFDKYQIEQQKEPTCKFPAYGLNCDQSVNYIDVDLLVQINLNKQSWFFAYYQ LSNLDYILKISNSNSQMGISLLSGVYEAFIQQPNFFQGYQLIDRKENVNINLKNYFSNIK DSNEFIIYIGVFNENIEREHSLILELNQIEINEFPLWAILIICAVILSGIIVSILIYYSY KKQYKKITYVKPVLEVAHLNKFMPAQKMLEEYLTKECSICLLQFEKKEKFRITPCNHIFH DQCLQDWTKKNSQCPICRQGLKEEEIQQFFAKIHSNNNESQSEINKKPSVQFIPLTNSDL TYQTGNKSDNSPSNNLCMSNSGRQLSIQIMRDEILE >CAK67552 pep:novel supercontig:GCA_000165425.1:CT868052:488237:489315:-1 gene:GSPATT00006859001 transcript:CAK67552 MQNTKLSESIAQLDCIKKKIHKIKTHNEKLQNNMKLCPFPPGMQIMAQNLYPIKLSIPLA SLNIKQTMLEEQILWDFEEDYSEEQLYRNLFAIIVDLLEEKYPNKITKLTAFETHKIIED CQIQVKQAIEDHQKYKHIQDILAFESFIDKNDSMINLQITTSKIKETIQWDLENAFNYID EFVHAYCYENKLDKDNFVLIGNQIREQIQKAYEKRFRIAQKLLLEDQTEFKQKLISFLDY PSFEPYQPIRDLPQNNELNTFFKKNIEFLPLELQMLYGQKLHPKQILELGVDQDDTKKFE KEFNQKSKYLEQTILADIINKTKQN >CAK67553 pep:novel supercontig:GCA_000165425.1:CT868052:490669:492785:1 gene:GSPATT00006860001 transcript:CAK67553 MDEPFLKFLAESNYLESEQSSQEKHQKLTKCKTEHSLQPIQYINSNSFTVSDKKFSFPAN EILEWETLQITLPPEVYQFLLFMKTQCSNGIIVYTNEQIQGLLDDSKVIIKNQLDLFQKL IDKGWVSKTTRLFNDIAINFYALSVKKLTYENLVWIIRSIKRDLITPTERLVQSRIKECY GLNSKSQKHSIKFRSSNGKLIELPLLQVKNIKDPLIQEETYGIYIYQQNWIVEDEFQPEL DKKQEWTIFIEFLMDFFAKTNAQNNKILKGGRYGCAQFIKLLGPKKLRDLSLGRLTLYVQ MAVNKNYIRYNKTILIQESREKSEHTTDSSVDGDPQIKIRVKELQEQLIELLLENPDGVS LAQIPTLLKERVNFELNLIELGFPKLKNFIESVKDVISIENSGRNNFIAKLNYSKLPKKF QKLKQSESFNQKYIYTVLQMIKSILSKHKYGISINQLYYDLSQQLGEWFNYQRFQCQSFF QFLQNYAENILVIVCQKGNQYLIYERDLRFLPPPQPAQDINDPQFEYILSEAIQCSLGLS IPQSFFSSSWLGKVGDSQIRQKSPPRIEDSHQEIKDNLKFIDELLGNENKQNDTYSIQDW SEKSMNPLGMISSINYGHSEIQDFDLTKELQFSIQQQQQQQQQQQQDIKKK >CAK67554 pep:novel supercontig:GCA_000165425.1:CT868052:492903:494199:-1 gene:GSPATT00006861001 transcript:CAK67554 MNVIFVNFFIRYLWMEYYQTIQYIVQSRYPYMDSIIKFEEYKGVINCNDDYVICLPQNEY ICLEELMELTRSQYIKIYIGQVILIVNRILEKLNKLFEGQKMTHGNLCPKNIYIQLNEQK FNIIPIQVEIAKIHFVNYAFVNQNQFQLNRIKDIKDVKNSIISWISQYKTISDIKDLIGT LDQQSSLGSIIKLFTNFENHCLFNLLIRYDCIYPWKFKNDKFTFNEDQRKNSLNKVQKFY QDYYQQQDRYIESWFKHRYDIHQDHPFQLEMKEIYKLILAHNQKDIFRIFQIQSVEFFNE YYENSELDGIIRQIKENPIYKHQQIHQYQMQYIGDQIDHLAQRQVSFQQKIQNIWNTQLK LKLLTKQQIASAYKILLAYELSKINCDEMKEYNKIYQIICLCLEQQIDQCLDVQLKKKNT KIDE >CAK67555 pep:novel supercontig:GCA_000165425.1:CT868052:494230:495904:-1 gene:GSPATT00006862001 transcript:CAK67555 MQDHEKIDYFDRLNQLVFKIMYQSNQPGYSINIQVYCKQLNGLRYGQSYQLIVFTKDPDG VFQEFKNRIILMIIMQCLNFYGLKYLFEMVQPLKFDLYLGQQFIGQCQANLGEILGDKQR VFYISDLMNQRNGMITFKTERSQENIQKIKFDIYLQLNNSLFQSLLSLFKEQQSLSLILY KKIPNKQVILQTEAKPKSSQLNWKKIETSFEILCDNDPLQSIFCEVIQHEGFNQQSLGEF EFWKYQIDKNVCLFNITNLNNEIIGRSMIDQFEYDIEGQFYNYICMYISCIYIEQGTQLA LIIAIDFTESNKNPYNNESLHSISKESQYLQAIEQVAKILMDYDSDKRVPMYGFGGIPRY LQNFNKHCFPLQGEAQNLEDIRRIYEFSVKNIQLDGPTCFNPMIRQVMKWAQEDLNANGN QYFVLLILTDGKYMDEDQTIMSIVDAGNLPLSLIIVGIGDSADLKAMDKLDGNNGLWDTH GRQAERDLVKYVHYNKVKNDQGKLAKELLDELPKQLVLYKSKGG >CAK67556 pep:novel supercontig:GCA_000165425.1:CT868052:496481:497761:1 gene:GSPATT00006863001 transcript:CAK67556 MDQIKNVESYLDNLLGDFQATKCTKFAQQLVSNKSQKIFQELDSRQLFINQNQQHLDMSF RSQTAQISIPSRKIDCFGQIEVKTFTKEEIDSLRKERGQRAQIKVKQIQEAQEKRKQDYL ESWKKTQQIQHQKPLFQLLQEQETAQIKLQQEIIQKRLEQIKQERRPLNKIDFEEHRKKF CDLIIQPRNKSIESQLYNQTKSISPEKSVYYIKVEQEKNKQKLLEQERKNHLLELQEKKK NFSEETKNRFKPKLSLDKQNELLEIQSKLHHRSDFQKYQVLANKVRQKKISYQIQDTDED SKSLNTFTKNKDQNTSYDIASQDTQLPKFKHKSFVIDTNTQQQNQNYWQRVALNTNISVK DKNLLIIEEAKKLEELAKKQEQLARVTGYDSLQADNLLISSIKAKLTVLQN >CAK67557 pep:novel supercontig:GCA_000165425.1:CT868052:498151:499785:1 gene:GSPATT00006864001 transcript:CAK67557 MNRNDKQIEHYRYNSKKGRIGKGSYATVYKGFDTKSNQNVAIKQIYKADLQSADNEEHLL NEINMLKRIKNPNIIEILDVLQTKEHYYIILEYCNIGDLDSLLKQKDTIKLDDHITFLQD ILNAFTTLIRCGIAHRDLKPANILVHQEGKRRIFKLADFGYAKTICNYNAQILKSNLGTP AYMSPQLLKEEQYTTKSDIWSFGIMLYQIIYNTLPWVGKSEYDLIQKIVNIPIAFPQTPK VSTVCQDLIINCLQVNEDKRLNWDDLFRHPYVSHYFGKVDIQQNNSLKQMHTLTQSLRQK IGSEEKMDQFLIKLKLKNNQWELNNEEFSMLIQLINENESISNNDIQCLFQECQIDGKVC YKQFKNCIFNGDPKRVKIVRHLSNSNIKILSNLDVALTQHNIRELFEQFATTKQDTLERH QFTKMIKMLANTNQLTNQNIKEIIDFFDDNGDGMIQFSEFNNVLRYVQQIDRNKNSDNSL DTITELDEMSFIQDHSNFKNVNQKFERRSDQKISLCECVSF >CAK67558 pep:novel supercontig:GCA_000165425.1:CT868052:499975:500482:-1 gene:GSPATT00006865001 transcript:CAK67558 MQQSNPILLTISNILDEIIKETDSLELESNSIFHSIAAPAISIHNYLQRISKYTHCSEQC FVVALIYLDRLQEKHANLVLNSHCIHRFLLLAIVTAIKFQDDDYYKNEYYAKIGGINVKE INKLEQEFLEYMNYELFIDEQQYQVYENRLLEYGEIEMP >CAK67559 pep:novel supercontig:GCA_000165425.1:CT868052:500531:502301:-1 gene:GSPATT00006866001 transcript:CAK67559 MQSLLQNISKDSELKQKKIPVGELQNILHQYNITNIDMDEEQEFTYQELYNYLNLIQYKD SLQYISKDQILTRTQNEWNIYTIQGGENEKDFHDLVQERLAKGFQFDVNDCYFANPDIFQ YIQLCLDEIKQQQQQQLTNNTQMQKPRPGGIRSQQDLQIQSQNLTLKQKDNSILEGIYLE PESQAKSNEYFFYEYYTNDQISKLINRCGWKSGDDHKSFNVEDFCKQCISRAEYTRAAAI ACVHFQFQKAIQILRDFLPNQDKETYQILMALQGIDEMKTLYTNISQKEVLKNIIEICKV FLENKKFTDPYYELIAEFLKKDKFAEYLNYGAHRISIFDRIGLWGRFCQGRKPQIQNMLD NIILNGKSEISIQYLQQYLDDKGDLQLCGIISIYLYILDYPQKDKLIKIFNSYIDYLLKQ DIPINSLLCRIKQTELEYNKAFLNEAFKQYLTPQISMCCNFCQNPVGQPYLEIQRRGNPR RGNLARDDNPRTNLCASCNHALSNCVVCAQYFTIPNNQDIDRKRGSNQQRLLDQLKIEQA MVWCLSCKHGGHQSHIEEWFDLYQKCPVYDCDCECSII >CAK67560 pep:novel supercontig:GCA_000165425.1:CT868052:502352:503705:-1 gene:GSPATT00006867001 transcript:CAK67560 MQNNVKKQNSRARKGHKQRNLGIKTNCDSVKFKSNQAACEYVQATTKKVKNENAIYPIIT EETPNSEKRIQSQQNSSKLENLIGDAFGKDLSSFTFNNSLENNQEEEQETLNEFMRDQVK FEEIYSYQKNYLNNQNEIQSHMVAILFDWLVEVAHSFHFKRETFYLSRNYIERYLLRQPN VLIAKFQLLGVAAIFIAHKCEEIYPKTLKDFHRLIQDQYTIQEIEEMEVAILKCLDFRMN PNTPIFWLNYYTKLWDEYIVDKELNVSLKERSNESYYRYRELVQLFDVCLIDYRFKKDEK ITALSLIYLVIAKSMQIFEDYGKMASQQAEIKCFFDSNHEYNQIFRQFIDQSDLYLHFDR KIEFKDLRDVVCETTKYFILRFDNALPRVLLNNQDFIDELQHEELLSFQTYNRNTIETIN YLLKQKQ >CAK67561 pep:novel supercontig:GCA_000165425.1:CT868052:503910:506075:-1 gene:GSPATT00006868001 transcript:CAK67561 MNNIFRFKSFMRTPMFWFSQPIHKLIRNIGVSAHIDSGKTTFSERVLYYGGRIHEIHEVK GADSAGATMDFMELEKEKGITIQSAATHLKWRGHQVNLIDTPGHVDFTIEVERALRVLDG GVLLICGVAGVQPQTLTVHKQMSRYKVPRIIFINKLDRMGANPWSAIQSIRKRLSLTVAA VQIPIGQDQTFNGLVDLIKMKAYFFEGLKGEDVKETEVPERYMEEAKEKRQELIETLGSI DPEIEDLYLNEQPISEEKLKASIRKNCQDHKFYPVFMGSAYKNKGVQLALDGVVDYLPAP EEKQNFGFQISLDQKEEKKIEFKTDPKLPFVGYAFKLEENKFGQLTYVRVYQGKLKKGDY VYNMKTKKRVKVARMAKMHANQMEEINDVEAGDIFAVFGVDCSSGDTLVYGDMNYQVLCS SMFVPQPVMSLSIKPSKKEYSARFQKALSKFQREDPTFNVDMDKESEEIIISGMGELHLQ IYAERMRREFEIDVQLGQPTVNYRETITQKQQFDYLHKKQTGGAGQYAKVIGYMEPLQLE EGQFSNQFENHVIGTSIPNEYITAVEKGFYESVDKGPLTGYPVVNVRFVLEGGETHVVDS SSNAFMTATKYAFAQTFRQAGPEILEPVMAVEIMVPAPSYQQAMVGIAKRRGSVTNTESR GDMFVLNADVPLSQMFGYATELRGFTSGQGEFSLEYKRHDPVPPNEIETIVAKFKKHKRG Q >CAK67562 pep:novel supercontig:GCA_000165425.1:CT868052:506454:506862:-1 gene:GSPATT00006869001 transcript:CAK67562 MEEKTMQMTKLKIELNAQKQQQQVRLKTFENHRELRAKLEYDDLIKDFEEQCNVLDEQYA EFQLDEKAKEEQEYNSEVYEKSMLANLDNINFEAPEAVEEEFN >CAK67563 pep:novel supercontig:GCA_000165425.1:CT868052:506886:507431:1 gene:GSPATT00006870001 transcript:CAK67563 MVQVKRQDRRKVYEYLLLEGVIVIKKDMALPLHAETGVKNLEVWMLLRSLRDKKLVDLVF SWQYYYYYLKAEGVKYVRDKLGIVEDVIPATFKKADKKFEDDAPETRQRGGKGGRSFGRG NRGAPRRNEETEQPAQ >CAK67564 pep:novel supercontig:GCA_000165425.1:CT868052:507437:510048:-1 gene:GSPATT00006871001 transcript:CAK67564 MDQDHDYSFEHLQELAKQKNISYVLKRDGGKQNIDIQKIAERLQNLASNLQHININLIMW KVIQGMYEGITTVQLDNLAAETCAYMNLVHPQYSLLAARIAVNNLHKETSESFSEVATKL HSFTDKYGRPAPLIADDVYKIIMENKDIIQNEINYDRDYQYDFFGFKTLERSYLLKEGKK PIERPQQLLMRVSIGIHKEDLQSAFQTYHLMSQKYFTHATPTLFNSGTPYPQMSSCFLLD MVDDSIEGIYETLKRCALISKSAGGIGLSASKIRSQDSYIRGTNGISNGLVPMLKVFNDT ARYVDQGGGKRKGSFAIYLEPWHSDIISFLQLRKNHGIEEQRARDLFLGLWIPDLFMQRV KDDSDWTLMCPNECPGLQDCYGQEFNKLYTDYESKNMGRVTMKARQLWQEIIDAQISTGL PYMLYKDACNSKSNQKNLGTIKSSNLCTEIIQYTSPDEIAVCNLASINLQKLIKEDKTFD FDKLLEITKIITINLNIVIDLNFYPVKQAEYSNKRNRPIGIGVQGFADALQRMKIPFDSE DALELNAKIFETIYYGACQTSLELAQKLGPYETFTGSPASQGILQFDMWGVSPKLYDWNT LKQNIAKHGMRNSLLIAPMPTASTSQILQNNESFEPYTTNIYTRRVLAGEFVCINPHLVD DLIELNLWTPQIKNKLIMNNGSVQNIEEIPNNIKSLYKTVWEISQKAILNLATSRAPFID QSQSLNIHMAEPTMSKVTSMHFYAGRKGVKNRYILYITIKGMYYLRTRPAADPIKFTVDV EALLKEGGQIKIQSSKQELIDESASKKIVTNEGKTVKKGKPQIIVTEDGDEVEVCLNCGS >CAK67565 pep:novel supercontig:GCA_000165425.1:CT868052:510145:513708:-1 gene:GSPATT00006872001 transcript:CAK67565 MKNKGQQQHTLAVKNGSLMNPEGQTINGLIDLIKLKSQPKIVYYFDFLVCIDQSGNPFIF YHDKNRYINIPTISPAQMISYIDNKGGQLAIALQNKTIQIVSCQNGRNLKTLKGHEHLIL SLHTNHTQNLLLSVSQDQCILHSLDSFEKVHSLFSKGSIFVGASFLPSSTELATVMADRS LSIWNLSSFEIISRIQLPEQFNIKFCQMVPSIDGQRLIINCHSNKIYILDNNNNLITQDV NQGYGNGVLLVKPIKKQQVLMLTKNFMIIQIDSSGSLIKCMEILQGKTPTHFDVYQNKIA IILNTGEIALYDLNKLNKQNQNLNSTIGVNMSTMIKENYADTLNFNNTTTNIDIKKVGNN TNTMQGSFSQSVVSKKNSKSNSMSQPINSHTLGKIYKNSEDPLKELLNYQRLQQFLTQYH VFPDDKRSLIWRSLLNLPMNQEAYNNLVNQGIHPAYQKLADQYPLQSESLFSRLQRTLSC LAYYCPLFAEIDYLPQLVFPFVKLFKRGEEMLIFEATLSLLIQHCQRFFENFPNAPVSLL QFHDQVFNQVDPQLYQHMKQYLGYSPIKYSWPSIRQLYTNLLNKNQWIQLIDHLILYNDL PQMIVLFMVEYFIYFKNTIMRMNDQDQLDLFFAKSNTVEIGRLIESTINLSKSLDMDQYQ INLQFLLPLQKDQYQLFSIYPKGSVQYQARVREQLQEDEQRLIQKQQQISRLQQMAQQME QLDQLFQEKQLLTMQAEKDRQEMFDYQVEMRAQKQFQQDQQVRSQRIKQLENLEKNMNQA VQKQLDLQQQEQEYLNKQFRKTQLADNFRIVSLQEEEALQNLEYQIFSKFQQQMEQRTKQ ENQRKIQSQLEFRSKEKELLDQIKQEQWKMDSQQQQIKVEQLKQLRMQQLQELENEAQIE EVEYQERIHSFQQDLKMIQLEREKKLRDQMFSEQISKEEQQLRDKKQVEDKKRQSSVQRR QQFEQEMERMAKQHQEKLRMQEQQLMEQYKKSQSFSNQQYQPNYYQNSNYQNNFEQSSGD IREPMTSQKLSSALYDFQSSQKQQQFSPSMLVEDEREKINQLRNQLRDEMQNIREREHDE QLQSMLREREQEIFKRTQQIRDQINQEVENKNNSTYNTGQFQKQQQFYSPGSSSQFSLYK PQQEVDIEPRDLSESQLTYSSGFTSPGQRY >CAK67566 pep:novel supercontig:GCA_000165425.1:CT868052:513748:514223:-1 gene:GSPATT00006873001 transcript:CAK67566 MNLIKNKNSTQQKPGLSFIKQLFNKKKLEVVATNLFYTSKEEYSEEIRKQHVSPRERLIT REKQSSRQNTLRSHSSLQINDLIECNLIKQKAQIRLNSPYKFSRAKALQLLRDRQKSPCL IIKQRTLPVYSVTAIQTSRLRYE >CAK67567 pep:novel supercontig:GCA_000165425.1:CT868052:514807:517220:1 gene:GSPATT00006874001 transcript:CAK67567 MQKANIIFFYGPPATAKSYVAQQVAKQTGYVYFNLEEFYSKVKAQSEVEKLNKLMQVFQA EPKNYVVDGFLDKKAQAVVFFEHYQKPRYVVYFQSSKDEVEQNIRLLSTEDQKKSRFQRF SNFLQNRDELLAYLKKFQFFVTVDAVQKGLSNNFQQSSDLIIQSIMSFLKPKVLVALTYN NEELAEVYLNKVETELGFKHLHLEALCEEELAKGTPQGKQMGAFLNSGQVVPPQMQIELL RKYLYDDPQQTKFILTSFPEKYQEFRTFEDKLFPITGLINFLKEGKSVSFSAKINPVLHY SAEGKNLIIQNEDLGPFQSFLTKRVKYGVVIGPVMSGRSTFAKYISQKFGLNLIEWGETT INFLKEKSSTVPGEQVEELTLPMIIKYYREFFSNVTNEKYVFDGFPPGCEKPEQILQFLN LGQASWILNIGVDQTNYWIRYKIKTDLDAQDITDDQKEILITNLKAHEEILKQVQVYVQQ QPDCKLFKQDTNYSLEQNFKAIDQIFGKRVYLASILANLDSDIYEALKLIYVNIAAKHRM AFVDVEQLIAKNFDKLSNDYEMRWTKQNCKNPSNFTPETVMKLVKQYIDELPIQSRDVLL WGYISADQQGDKQQQEQIFPRATDELMMVEKSLGQIKVLYAITEQPLNSEINDPEWVLEK PEVPPPKQEGDGGDEEPKDGGNADGEENVPKFNIYNYQWTKSEVPKNMAQIFMKVKQPNP VQLEVSDYSFKLIYDNFDELVQSFQENNNLTYYAQIQLQQPIMD >CAK67568 pep:novel supercontig:GCA_000165425.1:CT868052:517613:518468:1 gene:GSPATT00006875001 transcript:CAK67568 MGSCCSHSENRESNHHGGQEQQRMPRAIGRQQQNGNAIQDVNEQYKIYFDILEQQVSGKG LKRTQAYKSHIDIGQIEKLRNVFWETRVEGKQEIWQILRSIINEDEETARLLVQEAELKP IKDSLQHVYDKLGQKYDVPIFCINDPIEYSNEKFEDRGLIQNYGNDSVKLTIRSVNLNGK DIVVECKQNQSVVDLKEIISVELQKGHQIQLESMKLFYRGKEMQDNYQVGRYNLNKEAIV IAYLKILQKG >CAK67569 pep:novel supercontig:GCA_000165425.1:CT868052:519246:520478:-1 gene:GSPATT00006876001 transcript:CAK67569 MQEQLDRVKLQLETEVKQLALEFVREQYLEIFLYLYVYFLISFYLENILSIIQIVICLIF IDICNICNFYNRPMCLNLLLLTNRIKGVLLFRIIYICFNETMFYFDFLFDVNTVSIINVD PKIAYLLQQKKILRICCILIVRIAQRMSQQFNVLWKIVILVETLSITLKLDNFVAWDWIQ VLWLFLILLILNGVITFVSVLYFAIKVIPDLIKKTEKQKNAYYMQFVLYQTTILLTNLFL YLNLFALIIILPILFVFLTSFTYMKKKDLEQYYANFIFNLEMGKDLEEKQQPYEQPTILQ RVSTNYYKPIITNMGQRQSSQPPMLSNDLQSVNSEESNLCIICQDRIGEKIFMPCGHGKF CAQCIGNTEACFLCRIEIAQVLTVQQEHVSFGIVAK >CAK67570 pep:novel supercontig:GCA_000165425.1:CT868052:520955:522143:1 gene:GSPATT00006877001 transcript:CAK67570 MRKLVSVLLISLTLASQIQGPLSNPIMNELESTHLGKAFLKLLSLKSKAQQFDFSKLYAA LDDLQNSIKQRLADEQQAYQQDEVQYFTDKEFFSGQITQFQNEIASLEIDVTDFTESRDL LQIALNGKVDELKEVQSLADGLAARVKKEEGIFKDQQNQYTNAVQALDQALQLIGQLRDG SFIQNRSVSFLEEGLKQLQHQRIMYGPLITAFTQIMAPSFNDSEASAKVQKLIQNLRDTI VKNKTDLENAYQQVRAIDDHNLSQYNQRISNLSTIVIPTIQAEIQTRDAQIQTKQNLLRD AQTNFKTASDNLDNTNNRWVERTNEHNKLMDQLLTQQSLFPKIVSELEGAGVRRR >CAK67571 pep:novel supercontig:GCA_000165425.1:CT868052:522145:522865:1 gene:GSPATT00006878001 transcript:CAK67571 MQNFKRVVILLSKTSGRDKSCRILQYFGKFCAEQLKELKQDELSLKCKNLSSNMSLTRKV LRFGRTIGIIISIMELSKQKGNKAIILNKILMNISCFLYFLVDHTHWFCKIQVIQNPQLE AKADYWSDALWCFEAFFDCVALILEIREEENKSQDSKSSQRLFNLRLDLLRAFMDLLSAY GFISNGKMPGKWIGFFGTISSIIGLKQQWDAAK >CAK67572 pep:novel supercontig:GCA_000165425.1:CT868052:522904:524354:-1 gene:GSPATT00006879001 transcript:CAK67572 MDSSFYQPYQLKRNSIRNYLLFSDQLDYQYDCLELENDQWASTSIMKGFPQYIKIRIQDY FPLKIFFNVGAFKCNIYFSFLTSQPSQKNHQKHVVIKQQKIIVIKKPEEHKNYLFFSLLS DYSTSIKLKAWFQTSQYDRSYFLCYDGREQLRMRSGSQNNNNTEDESFNTNKNISFNMQS VGKSLINESVPPIRVFSDNRRINSVKQRCDQVSLKNKILLAQQKKLQLLSQNVIQKYQRM LVQSYKQLNQQRMMKKYINQWIIVLNFLKYIDTLYAHFKINKILRCMNNSPKHYAQRWKY VHEKTTSLNLRVLMQANLTLSIFSNKLSDKISRQISDLILITLNDTFFIKTQAQLQQSFM NFYLKVRQIQQFYIAQRNKFYLQMEQMIQNIRQRSPQILISKQRMKRIIFLFYEKLCAKH KVEYKRFLELEVPNVIILTSVFVIFSKPKLNIMKNEALLLQIIQQTE >CAK67573 pep:novel supercontig:GCA_000165425.1:CT868052:525503:527150:-1 gene:GSPATT00006880001 transcript:CAK67573 MAQFHKGFTWTQCKSTLKTKTTFINLRQTVTPNQGPVLLKIDTERELKYTNDLGWNWHKS WNIPNISVKISLQNEECTQWINCQVQLLAVKMLQNDLFEEVGLEGTTQYDIIDGKAFFSG VKFNSTTYNHQGHRFQLLILVKERENIILGLQSPPVFVDSRKSARDEHRQIQYIQPFEPS YLERNFCKKEKHFNDVVDAPIENNENGLHNYLTAPNIRNKIKHPLFMALKFSRCLNIYYQ NNLETDNYLIEFQKQLMSKYQQSQYTIVFQSNNNRIRKKIEESLTQLFGQSMISVVERKY LDETQFKKLEFSSDDYSSIYPQLQELMNQYSQDQFNQSVKQQQEQEQQQEQQQDMNIEEE QQQQQQQQSIQTEQPIQQQLPKILTHSERKSAFTKYLDKLPTKNFEEEKQEQSKRMEKLN NIREMENYDKVQKLVKVEEELNQNRTQYQQYYQQQQQQLQQQQQSYAPINSFSILLQQKL QEQILQYYFIQQQLMLLQKPPSV >CAK67574 pep:novel supercontig:GCA_000165425.1:CT868052:527494:528815:1 gene:GSPATT00006881001 transcript:CAK67574 MSENVLEKHWLSKVADTLQPFGIGGLSGCVATCFIQPVDLVKVRIQLKSEKLGPNAGSEI SPFRVFSEILKEGGVLSFWKGIDSALARQVFYTTTRMGIYKTMYQRSKQANNGKEPSFLA KSWCSIVAGFIGSLAGNPADLALVRIQADSTLPVEERRGYKNVFDAFYKIVKDEGIAALW RGSTPTVIRAVVINVAMLGPYDEIKEHLNHYFGTKDTQQTRLLASAAAGFLSSFCALPFD NAKTKMQKMKKDAAGVYPYSSIFDAIGKTAKREGVVGLWVGFPTFYFRIAPHTMITLLTQ DWLTDKVNSWRKKKH >CAK67575 pep:novel supercontig:GCA_000165425.1:CT868052:529498:529779:-1 gene:GSPATT00006882001 transcript:CAK67575 MQGLPQKKCQLLFKEQIPVKKKSSQFVKLKTLTLSNWKSKELTSQLLSPIKYQPPPIMEP RSQSRANFFQSQHIIIKIFDGKQNFMKKQYFNF >CAK67576 pep:novel supercontig:GCA_000165425.1:CT868052:531271:532371:-1 gene:GSPATT00006883001 transcript:CAK67576 MQYGIIFMFLSTVTCFNYLPEIEIDLSATPKQRWKQAVRTILDLHGYDNSFGPVFEFHNK NTFYILNTDDYSTIANSIRTHYPEYSLELDGIVEEFNRSEITFEYLSAWAYFHEITHISG EMIESTGVLLSVGDQIIHGRNMDQAPLQARNIVLHLIIKKDDKYLGESVDWQWFKLGFST LLKYNVASLDQNWKFSDPISKDQLLAFIQLGVPSLAWEYRHVLVNDNLSTFDRVVQYLEQ NQVACPIYNVIGGTSRNQGVVISKDPFDMNPTISLNTSILGQTNYQYLVQTNYDHWLPDP QSDQRRTIAEILLGQLQANLRNEFGVFTVMDTYPIHNEDTFYTIIMNVKYNRLIAFGQPS ITQTDN >CAK67577 pep:novel supercontig:GCA_000165425.1:CT868052:532587:534051:1 gene:GSPATT00006884001 transcript:CAK67577 MGCCFTKKKNQLKPTKAYEYINKNNQNDKQQELEQSFIQDVEVIIIDNKEEELERHSLKS TSDIHNWEQGEVLGQGAFGKVVMGLQKNGQIMAVKQVFIQNQIDDKVRQLQKEIEMLSKL QHPNIVRYMGCEQKNQFINIFLEYVSGGSVSTLLERFGCFRERLIKTYLKQILLGLSYLH AKNVIHRDIKGGNILIDNSGRCKLADFGSSKQLNDITHDSIGSICGTPNFMAPEVINQEQ YGKKADIWSLGCTVIEMATGQPPYSEYKDAIAIMVKIGKSTKPPPIPDQLQSTEAKDFLS KCLQIDPKKRATADELLKHPFLEEPKQNSLLKKTPSYTINQKQPQSYKYQPKNSFLLDAE SQDQQIEPVSPQFQGQQGQSSTETNQIKVKKKKEKPQYQLVIEPEQNDCILTGQETNIVD NRDKFQFSEFNELNPKETYIKPFNKENQDNLQKELDLILGQYIG >CAK67578 pep:novel supercontig:GCA_000165425.1:CT868052:534930:535917:-1 gene:GSPATT00006885001 transcript:CAK67578 MEQDLKGAVIQSLQTTDDTARTKRPYNKISSELRAQILHALTVEKIPLSEVAQKFQTKPC TCKAILLTYELEGRSEKKTSRRERVEIESKIRIVILDPSGNKHQTYENAKYSKLYTEDKE LSVNEEFNIQKKVVQEVTQQLGEFQTSCYHLQSQQSQTQNDERAPDNILRGLNMGLHLVT SKIREGQIAFNKKTTKENIKQNNQININNNNININNNCNNNNSNQTNNYNKIENENEVPF QINYTQIQSFLKNVETKSESNPYILDQLNQLTNQTIFQMKLIQKIQQRDSQIKQFQQQVG MIIRKCFECQS >CAK67579 pep:novel supercontig:GCA_000165425.1:CT868052:536415:536882:1 gene:GSPATT00006886001 transcript:CAK67579 MKFVYNNQKHLMNKGIKLSMFNKESQYLMHLLSLRNKMILNQNKDAIQKHITQNDFEMKP QKFYLKAINLKNLVNENQQQVCLTKEYSKWKSYVLKQIKVVKIDQFLCMNNPFNQITLFQ DKAQQKYDLTQHKLFLNINQIRNKKFCIKLKKNQF >CAK67580 pep:novel supercontig:GCA_000165425.1:CT868052:537210:539236:1 gene:GSPATT00006887001 transcript:CAK67580 MLNLLFILVISTCSAANNSSVCLDKLKELIELPFDEFLETILPYYQLSGDWVGKFGYYDR CYRKDHSYTSVEIDQQLYKQYYGFCHSNKCSAKDFNSKDGQENIKKILNDSGIANLINID PDTITLNFYDPQTYFPNIGWETYVTSGILLILFIMIIIDPILRFITACQNKHTPDVRDRR SSSLQSPYGLREGLQDKSQYQPTSIIKDFSIIMNYNKIINLKTIDPNLTIFNGIRAISFM MVAYGHVNEMTAISTYITEANLQYKSWVIILLYDMMYAVDIFFWVGGFFLGYVMCEERKA KTLHQKPLSIFLSITHRLMRIWPCYLLCIAINSYIIPYLGSGPRWFLEERATQCPGGAWK NALFIDNFYEDWQLCFSWGWYLTCDFQLFLTCLIPILIYCFDYKVFSKILIMLMIIGTLG WAYYLSVNYDFLIPGRNTYNPNYYYKFYVSSYARAPPYFLGLLIGILYREFKQSKKSGQA TYLQQFRDYAQRNGQRLIMQLCCYGLGFGIMSYLFFGWKKEFNQIEMSWPKWYQHIYHAF CRFLFTFGLTLIVLPNLVGAYDLLNSKFMNNTLFKFMAKISFTMYLVHLMIILILTETFY ETPSFAQLDLLTCFVCAVVLSIVFGLFLSLMVELPFGNLDTRLIKIMMRPKRKVDTLLD >CAK67581 pep:novel supercontig:GCA_000165425.1:CT868052:540082:556973:1 gene:GSPATT00006888001 transcript:CAK67581 MKKLSVYFLLILMIAMTCNSCLTKLVQEQIKLGSYVQMHDLEKVGSMEFTNLNCDQDLLK LQQSLQTWIEILKNKDYLEDDVNNLSALKLVIANIKNNQNPELPKLFQYKQSYVELVNSI GSTEQTAFDQWRQEGPYKLIQSINLIEQAESSQDILEYCTQLDSMINLLINEREELKQQI NTSQLVVDQLEQKSKEVQQALEQCKLQSQQKPQVNQPEIQQPIKIEDNGLIHESSVDQEK PVFENTIEKEQEFIEYGYGYWSRFLTLYPKRLENGKDAPWYFLSRLTSNKNYQNIAMNDR LLAIWLGQGFYHFTTCDEIQNQPNVVQNINHHDDIEGQWTYIYYSYNSVSKQAVAIIQYG QEQPQQITHNVLHTKSKYLRFLVGGKDNNQYPGFNGQFSSIFLSTNPGVFISTIAKFNES FKSVKLPTQDFESIFRVQLIDQEVTRNPSLEGDYMAVGGGTKKFPLEYSISGWFKWEEIV QQVWHSVFRVQINKPSTDKFLGDRTLCMFIGTAQGGIFHFPTYSYQNMKGEGTSNLVNNI HHNNKHLDWFFVYFGYSKKLKKAFVQVRLSTGIETLEYNDVNHYYTPQFHTYVGKDEHFP GFNGKIAYVNFVLGSGSYRNKPDFIIKDDLFGYEVGDTNLIKKVQKVNEQKIPADDVKLE EPIKPEEVKPAFYDNEDREVSFESTAPVLEKEYEDCPQVYGYGFWLRFMHAYPKRLLQGK NAPWYFISRLTSNRKYDNVQMGDRLLAIWLGQSFYHFTTCDTQKNEANIISNIDYPADIE GVWTYIHYSYSKQTQMANSFIRYSQSDIQSSSVQVQHPDVDYLKFIFGGNDQNRYPGFNG FISKIMITISKDNFLSTKDEFITFVSKLEQPKIGLTDFTTYQLIENETQRQDSDESLVKT LNDNKQRFPQEYAMSGWFKWIGPQRTPWHNIFRVQIQTPSTDIYLGDRTLSMWLGSIEGG VLHFPTYTYDNTNSKGNPNYYKNIKHNQRHLEWFYVYFGYQKSTKLAYVQVAWPKDTQDI LYQNINHYLTPSFYIFVGKDNFYPGLSGKLAYVYFSLGNGSFKMKNEITDVVESYLKGNV VIKPQISNSQKKEQEQSTQEIKQVNSDIKVVNQEVKQVNQEIKQTNQEEKQTTQETKSVN QEIRQNNSETQQINQETKSVISETKSTNQETQQVNQETKQTNQEVKQTTQETKQINQETK QTNQETREVSQETKQVNQEIKQTTQENKQVNQEVKQETQQVNQQTKQVSQETQQTNQETR QTTQEVKQTNQESKQVNQEVKQTTQETKQTNEQTKQTNEQIKQSNEQIKQATQETKQITQ EIKQVDQKQQTAVPYDHDTIKEGYSDTPGLKPGKIDQSEQQECQEQTNDKDTPLDRPIEK KSVSRTVQQQEQTSEEAQAIIIDSKVDQSLSNSEQNQEIIKKVDQKVESSQNNAQETEQV TSKVTETTSQTNSITQQTNDQSSITNKQTQQTNETIQQNNKTIQETNESISQNNKTVQET NETTQQNNKTIQETNETVQQVNKAQQETSQSTQQTTQQTTQQTTQQTTQQSTQSTQQSNS QTTESTSTQTNQEINQTSQQTDKTTSEINEQSQEQHDFKIIDHKNEETIDQQTIDMPTQE DDYCVQDQDDELEDVKEIKIIPGQTYENQISNDKEGKNPIVDQGITVANNYQEYGYGFWM RFLTLYPKELIKGKDAPWYFISRLTRNKNYENIKLGDRVLAIWQGAGFYHFTTYDTDGLK PNVLLNIDYPNDIEGVWTYIYYSYSTELEQAVGHIKFGNQKFTTIKQNVKHAPTTYLRFI LGGNDQNRFPSFNGIFTKITFGIKKGTFLQTSEQLIMKLNIHEVPQQIGEVAQSVRIVEN QEARTSENMYEYKEIKNKKVKFPSEYALSGWFKWSTLMEKEWHNLFRIQIRKESTDSFLG DRTLSCWIGKTQGGVYQFPTYTYTNMIGNGNANLYKNINLKDRHTKWHFIYFGYSKIKSQ ANAYVKWQEDEDQILYENVNHYYVKRLYAYVGKDKFYPGLNGKMAYVVVNFGLGAYKNQR QFDEEIFNFNLGVRELIKRTQDVQFQPSISQEKMEQSGFDSDQASFEQEENGERPFMQYG YGYWMRFLTLYPKKLLNGKTAPWYFVSRMTWNKNYNDINMGDRALAVFLGKGFYQFSTCN LITKNTNQEQRITHPDDIEGVWTFVYYSYNKELGKAVGFMRFGNGKFQQIQHTQVTHQDT KYLKLIVGGTDKRRYPGFNGQFAKISFSSKEGSFVDQEKVLKSYTLEKRVQKIVTMNVLG DQQQRKTGDEGVFAQSDKVDLPTEYAISGWFKWLQVDKQEPQHSMFKVTIRNPSDDHLGD KTLVGYVGTNEIQFSTYSFENMDGSGNKDLQRRIEHKNRHYRWFFVYFGYQHETSTCHVH IQWSDEMSQQGFVHINHYLTNQFYIYVGKEPLVAGFNGLITQVKFNMGEGAFKQSTDYKD QDNIFGFDLQTIRKEVKTFDITKSKEKIMIDSNSDEESNYLKVFNKDELDEVFEYGYGFW LRYLRNYPKVQIEGLKQDWSFISRLTKNDVLQDLTLGDRTLAIWLGKGFYHFTTYNQQIS NIFTNVNHPADIEGLWTFIHYSHNLNKEQSVSFIQFGNDKPVRSVQQSVQVIPTILKFYL GGRHLTYKGFNGQISGAYVSVNKGIYIDNEEKFQDLLKSIPQPTTYRAELIQNLLVEKST RFEMNSEAKQFEFENQKFSGEYSWSGWFKWSSVIQNAWHLAVRLSTLENYENKNSLGDRT LCLWIGQQAGGILYFSTYTYTDLYGSGNPNSVQNVQYKDDIAKWHFIYFGYSRVQQLAYG KVEFGYRKEQVNFEHHHHYLPNKFFLSVGKDKWHDPYLGNIAYLRFQSGQGAFYQGEFKE VKDDLFGNKLGSMELLKSKIKFDIDKDAITKMFASPQVDQKEPIFSKIFNEEELDNVSEY GYGFWCRFLTRYPTQLIEGLKADWSFISRLTKNQNKGDMNYGDRTLAIWLGKGFYHFTTY SHKYANVVHNIDHPADIEGLWTFIYFSHNLYKKESVAMIKFGEGKVIKGVIPAIHQAPAF LQLYVGGNNMKYAAYNGQFAGILFSIGEGIFLSDESDLIKIIRQIEKSQMIIVWKLTTKD MIAKPKQSFVAQETVFDDVPLVGQYSWSGWFKWKQMGQQFPWYLMVRLAIIRNTSDIKLL GDRTLMAFMGQGYIHFTTYTYKNIYGDGTPNIWQNINHDGEHVQWHFVYFGYSRAQKLAY GKIYFDDRVESVSFKDTNHFVPNLFALTIGKDKWFNQYNGDIGYFRFNAGEGAFQMENHD KAQNDVFAFKIGRISTINPNKPPPEEVRKQEYFDNSLDSETVYTFEISKDIYEQGSYSHV PEIIGGRGEITKNDEQIEEEKKAIERQEQQLKKEAEKFQNEKSVGIIENHYQFPDQDKQR VISDEAVDIVKTNSEYPKKDGDPSNKSQQQQQEYKSDWDGLRFYGYGFYLRYLTMYPQQL RDGKNAPYYFVSRLTWNPQPQDIGMGDRVLSIFQGQGSYSFHTLDRPSNNPNLRTIIPYG DIDGVWTFVYFSYSQVDKKAVAFIKYDDSAEIYRRDIVCNHGDVEYLKLILGGKQGIYQG FNGQIANYAFKYGKGAYINDFELYKRILSNRIPHPVADDYKFNQIKIIETQAGFKGDEQT DSIKEIESHFQNEYAISGWLRWDQPIGVPYFALFRLSGFKVEDNNDSKLGDRDMMLFKHT QYYIFQSYNYHNLNGGGDFAISWQVNHQEWEQFWHFFYIGYKRESKQLYYYVSFIDDEFD QVHNNIHHYIVHKHYLQFGRDYSKFYSKHRGFTGQATQVLLSYGKGSFQTKPFNNDIFMY LKGVKELFSSLELITRESDRNKLLIGDANKQGTLMDLEINDGTDYNIRGLNEYGWALWCR WSRTLTRPIQYKDAWYSLARIANRKGHQDLQVPGDRTLATWIGMGFYLFSTYTRGVLQLT ESIPYGKFEGQWNFIVFSYRKNLAKASVLFGQDSTIVEKEFKVKHNMIKDYLRFVVGSEF GYKFFNGHVVNIQLRMGSGAYLSKEEIVIIANGELGLPAESKYDQTRKSIEIVKDRIPNS GQGREFDIKEDVAQGKLEYSISGWAKWVDIPAIQPLYMLYRVAYYDYNELLNLQIPGSRV FQCHKAYGYYHFNTYTVDQENGGAWQIVKNVDYDITQHKNWVFFYQGYSHQKQQVHLYIK FPGFEEHYTFININHFIPQRFKVHFLLDRWNPGFNGFLESWYFNVGSGSYKESGYGIGEN TETTFGFGLHKPAQPKNWQFNDNYACGFTQQNVGQEVEYKDDLQIDGLVEYGYGMWTRFA WNTPIKMINKPTWTALSRLTVNPNYQGDAGQLGDRALAIWVGPGYYHFTTSTRGNYNVVH NIEYNQMLDGQWNYIWFGYKRINDKLGTAIGYVAFDGHLIRKTNLGNILQQPLNDYLYLA VGSSGRYFLDRYHQFNGHIQKVSLILGPGGFFENEDLLRKQIPSKPEFLRNQKQFSKIVI DEMKNMKREEWVPAPVEFAEEFDGAYEYSVSLWFKWTKTYRVAWENIFSVSYNEQNIRAN HAKPGDRVLSMFQYADHRIFFSTYTTPGNHDQFAHLYTECPVDIPSQSEWVYGYYGYSRD QNKVISYLQTKTQKCLKALSCMHRVPKYMGLYVGKDGIHTPYNGKFSKLYFMGHAGSYIE GNFQSFGPFAAGGISITKPAYFWSDWKERFEIAYDQNKVIELKAEEVDEQTSYSIGMWTK YFTAIPKRLLQKPDWLHLARLTINPNHKNYENVGDRTLALFIGRSYYGFFTYNSANNNPN IVQNIRYDDNFEGEWNFIYFSYNSELQLATGFVKFSKLDKIQRIQFRDIQHKPIDNYAKL VIGSEFQYQGFNGQIASLQLGFGKKFYVHDSDALNKIILEFFKPPGEDEDDNRKVNIVEE VKERAFNNGPEYREILDQKGAEEYAMYGWFRYTSTALKTPNNCIMRLTNNEQGYRGEISR VGDRTLLVMLQPGEYVFATYSLGNIDNGQIVNIRKPTPYKTNLGLWTYIYFGYHFQKRKA SGIIKYPSGYALVPYDNVLHMVPNYLLFFFGGDGMLGGWHGQMQKVNLLFGRGSFVDSTK GNFAEKLPNLQGVESKPLIWDGIDNKIIEVPLPDRPALDIVFNKEVNGFIEYGYGLWTRW LTTIPKRIVEKAPFHQLIRLCSTEQYEDAAQFGNRVLAIWVGKGYYHFTTYNKIGNKIST SQNINYDDNLEGEWNYIYYSFSNKQQARAVGFVYFSEQSGTAISRVEFLNLEHVALNGYA RVVAANKEFGYQPFNGMIADLQIHLGNGFIGSREQLIKEIIQLNPKPSMNIQSKMDVNLI NTEVIIKPEEKKEMKYKYDQYKGVNEYAVSGWLQSKVMGLTDAYRNVFRLTINPPEFQKD KQNAGDRTLSLFQSKTKLAFSTYTYGIFDTQGDDSNEFNRIINSGNSNGEWVFVYFGYQF KSKEAFAYTLFLNRQDSAQFNNIKHFVPNQFFFYLSNDGYYPIFDGSLFDWNLYFGEGAF NKKPKQIINQWPIEPIGEFEGVISALLSNQGLNSMKIIRPEKKENEEIVFKNNFPKSGTI SGNPAPRSGKGNILNYCQITLIRIV >CAK67582 pep:novel supercontig:GCA_000165425.1:CT868052:557645:558326:1 gene:GSPATT00006889001 transcript:CAK67582 MQNNYDQLLEETKTKILAMVELKPENQWTLESNKDGYVIQTKTNPENGLKINRTETNADV NPEEFVNFVIDMTRKHEYDSHLQEGKLIEKVDQNTFIFYAKGKPPAILVDARDFCMVSRV YKLGEHHFMTISKSIEHPQAPLVKGIQRGEVVFQSWIVKKLPNGQTNLISIANMNPKGDI PKALINQGAQKQAEGVKKSIEYWIKNIKK >CAK67583 pep:novel supercontig:GCA_000165425.1:CT868052:559188:561580:-1 gene:GSPATT00006890001 transcript:CAK67583 MIPKLQGIRFRKEEQERMEDQEIELDALNKVDKQLEMLENNSAWKSKPLRIIQKITIFIT RLKHNSTTYRFKLLKKNIFLLIRDKASSFQFYLYNFMLFQKPSRWIQIKYEAHANNPLWW RFWCFIKSDETVLLPADKFLFLWDLLMMLVTIVNIFYVPLQLSFNLNEDNMGSVFTLFST LPSCIFLIDLILTFFKGYYDRGILQRNKAKIFWHYIKGDFALDLAIVLPFILSWMGYSAA NYLMLIRMTRVRRTMIVIEEISNFKEKSAIIYQLFCLIYSLLLISHFCACLFHYFALYEV DQGYTHTWLHQQNIFDEDLYTKYFNSLYWITITSMTVGYGDIVPVTTPEKILVTVITFLV TGVFGYALGMIQSIFYKMAEQTNLNNSRLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFK QDEELDLDELMGQLNPKLKQEVQIAMYYRYLKHSKLVGTNFADDIIKKLCFCIHEKTFAP EEVIIKKDELPNQLYIVLAGQVKSLILEKSIKRYQQGNLLCEREFFYQDVMQYNIVASSF VQVAYLNLSEFQSIIQNHRSSFEQYRYAIDNTVFGQNTNLIICEACQSHHQFKNCPLIFF KKNNGKVLAAYHTNDYQNRQTFARKKTKYKQQRTGILNGAYDHIIKMRTQLGVQVDQAFL NKIGYPGYEQQHESDEEDDKFQSPQARRQSFSQYNEHLPSISHQSLPQDDKQNDFHIDKV QEYEFYYPHNNITKVSKIVNKQQLLRRIMDKILNKKNLFAGLVFRQVVQKII >CAK67584 pep:novel supercontig:GCA_000165425.1:CT868052:562186:562877:-1 gene:GSPATT00006891001 transcript:CAK67584 MSKQSNQVQNKQIAWSNEKQRKKKLILDSPDTKETVTILPKQQQKIPSSSKLPKHFISGP KKKARLKQQTQEECPICMQNLDDVQNVCEIDVCKHQICSTCIKEWAEKYKTQCPCCRAKF NYIYPIKEGKRENIPIQLNLNLPKWNQEEDQFYEDQSEYDDEERCQVCQCSHTPYLMLIC DRCNDAFCHTFCDPAQLEFNVPSSKWYCLDCRRSKFIYYKHK >CAK67585 pep:novel supercontig:GCA_000165425.1:CT868052:562901:563236:-1 gene:GSPATT00006892001 transcript:CAK67585 MYYQKIKEETGKKIKSLKRAINRLIVELYQEDQINNLDQKSLKQMNEKRLLIDSCSQINY SIKHEIGLLFLKANIYHFLEYNELSDEILIILQRNPDLIVYDGVYSTLIKH >CAK67586 pep:novel supercontig:GCA_000165425.1:CT868052:563744:568221:-1 gene:GSPATT00006893001 transcript:CAK67586 MSFINLEQLDQDNSLIDYHNTINETLDSLANTIQVLQNPQICFVSDTNIAQLYLQQICQK FPQAITFTLPGGEGAKNLHFANELAEYLFSKRFCRQDLVIAIGGGVVTDLVGFVSSIYMR GIKFILIPTSLLGMADASVGGKTGINNIYGKNQLGVINDPYRIKVCSQFLQSLDKRNFLN GLAEIIKIAACFDRKLFERLECYDYNYLMDPNNQSSLLDIIKYGINLKLSIVTEDKYEQN IRKILNYGHTIGHAVEFASQGKLLHGECVSIGMVLANILAKEHGIDTSSYENRIRNVLLK YELPIEIPEYVNIQDVLKYLQFDKKVFKHEINFVFIQEIGKHKFNTTPVNMDIIKKILVK SCVIKCSDIRPSKPIHGSKSITNRVLLLSSLSEGISSLNNFYDSDDTKAMLNSLQELRLC EIQTHSKHNLILEGCQGQFYQKEYTINVKESGTCARFLLPIAALIGNVTIIGAQRIYERP IQEMVEALNLNVKYLEKEGQLPFKVIDGKLGKHIKIKSQLSSQFVSGILMAAPYFPNDET LIEIIDCKENETIVSESYIEMTIQLMNIYGVKVERISKTKFLVKKGIYKAQTYDIEPDAT ALSYDLLHIGLNGGSIETKKISKLQGDAQFLDVIEQMGMQVVREQGFYKIIKNQDLKPQD VDCINFSDTFISLALLMSSIEGQCIIKGIENQRVKECDRIKAVTENLVKVGVVCLSQNNE ILIRGKRYQKYNGYRKDVIINTYNDHRIAMAFSILGGHFEKVQYQYRIIIDNKDCVRKTF PDFYNHIQSLGLNQQALTYNQEQEFLYNYQYYKEPLYIIGMRGAGKSTLSQYICQQLGFD YISIDNMISNNVNEFITKFGWEQFRKCEKEQFIQILLKYQKNVVVDCGGGIIEDEQIQQL LIGKNVIWIEKDINELIEDLQSQNRPSIGNIMEIYNRRKPIYQRVSKYIFTLPSRKYIQQ ITSNYDITRYYQRINELYLNFIKGIQHLNFPRNKIYVQDTNFACIFYEELTIVDHQKIHF INRNHNLLEVRMDKIDNIQEQFDKIRQQIYNIKFYLEIPIIFTLRTKAQGGFYTGTSYVK LIEQWQNSFIGDYFDIEMDQYNNVMITQHYYNSIILSQHLFEKTEKLQIIEFIERMKYIS EHNPNTICLLKLAINQDAYPSELTYQEISKLFMGMKFVIPYLVVSMGPNSQLYRTLNKFM VPLSCLTPTAVGQGTIQQLRSIRSLADFVITQNYHIFGDDLSLSRSDLLHQRNFDQLNQQ HNKFYTKVSIKKIEQAKSYINDINFQGASITMPFKEEIQQYLTEQSIEAQIIGAVNCIIK YENQVIGFNTDWWGMFWPIFKRFPKNMQKCLILGNGGTAKTAIFVAAKLFLLQVYLYGRD PQKVETLAKQQKVEFMRQAEKTHKFDLIISTIPPGAELPLCEEWFDEKTIVFVANQADDP LLKKPNSISGREMFEAQAIGQVHLFNGK >CAK67587 pep:novel supercontig:GCA_000165425.1:CT868052:568318:569503:1 gene:GSPATT00006894001 transcript:CAK67587 MARSLTILAICYCKSPKEILAQVNKDSFGNSILSVLQLQLATGGPVGEIQILLNNIASQL NGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGEAEVEVRVV TSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQIDAIDEASKIVQHLQAGVAFAQLKS RFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLVASRASLLAT EEKQAANWEVQKWSLIRRTQEIKNSIVQFKVTIQEAVEDLEDQTLFLEDAEDSLAIQERW AAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQIREEVF >CAK67588 pep:novel supercontig:GCA_000165425.1:CT868052:569541:570058:-1 gene:GSPATT00006895001 transcript:CAK67588 MYLSFKNKNQISNDGRILAVGAYDQKISLWRIEDAKLILIQQLRLQNNPKRILFSQNDQD LLICTKDGLVYCFNNSKQREYKEYQKIFNIKGKIRAIEFNNDASVLAIGGETNALQIWQK NQDDQWILVDEAKQDNFLETISFANNPDVIYASNNNELYIHHIM >CAK67589 pep:novel supercontig:GCA_000165425.1:CT868052:570121:571275:-1 gene:GSPATT00006896001 transcript:CAK67589 MFNPQKLYIQQNSNFEINQFSCNKHKQPFTFIDLSQMCEREYRMKCSECQLDLNCIPFDI FGNLCENIYQNIDQNNFQVARTVLKKFQKEIEEIQGLLQQLNNKLIRISSTQRPTSNCLE LLNSFVNCIKKQSNEKLGAFMNTQQDQILKKFGIKQFQELAEVLSKNTILNQQNYNYTLK FKDIDDQQLAESNQYFEFTQNLKQQILNFKQENDIKNVENIFAQQVNQLNGQIIQQQQKY YKAQRIYEIQKSEIMLLKENQSIADIQLNFDQSMLYIRFMEPNNYLSIWKYDPEKKKWNF STQLQCYIQSLIDFKMSKLENAFVTCGEDSRQNPAYVSNLSQNNNSIKIWKQEGYTWIEK QIYKPVVQNGHGFSRNQLSSFEQI >CAK67590 pep:novel supercontig:GCA_000165425.1:CT868052:571687:571872:1 gene:GSPATT00006897001 transcript:CAK67590 MKQIIRPIRMCTPIIATNNYLNIYNLNQFFLRMSLENNDDDLEPLKVKEEQNIRRLIKNL I >CAK67591 pep:novel supercontig:GCA_000165425.1:CT868052:572679:573290:-1 gene:GSPATT00006898001 transcript:CAK67591 MKYLFIYATFLVSVQCFYDFIPDDIITFTLQANQEDVLLENITEPTLIKGAYQVNHHKDV IDFSVKTPAGRTVYSKLATNKGNFTVQATEIGLYSIIFNNKKKANQLLTYAVDVVKDKDD KIKSTDIDPLELDIDYIYNGLQELYYDHKFQQIRYESSTQQVKEANKKIYVFTVIETIFI VLVTIWQIWYIKRLYNKRSPLLL >CAK67592 pep:novel supercontig:GCA_000165425.1:CT868052:573324:574462:-1 gene:GSPATT00006899001 transcript:CAK67592 MISFLILAIQAYGRNLQQGGNPLQKGEKWNQTNQALDQIFEHISTNLTQQQGDELELIEG YIFNEGFKYYTNATYDEKQKIFLALIGMVDELEKETEQDHLRLEKDVEYFSQLNITDKNI VLTKISQNLDQTLMDSKKEFNSKVVLQIINKEIENFQSNPDRSTKATWDIQEYKQIQEKN EQELEEIEYQIQEFLDQGLSMEKIREKITEFIDYFFSNSTLIDSNGNNLDEMINDVKQQE EQIQPTEQMKQYRQKVRELIRELLKEGKTEDEIQKEVDDYLSKNGVNDLSDEERNLIKLI IQKEILRNKRQQDYLNDEETKTAVVPQDYRNICYYFIGYISRFSDQKTFNEKNSKEIDWI RIQDNAQDVQQNE >CAK67593 pep:novel supercontig:GCA_000165425.1:CT868052:574681:575082:1 gene:GSPATT00006900001 transcript:CAK67593 MTSELSEDSSFYDLKFPRSLTTSVRKQGQEDYFFELKEKQKQIEDLTYQVKLMAANNQTV NLQNQMQQSEIERLLRFINEQQNEMKKMAQRDNKKQINTRSIKMQLINKMCQWAKQFPLD QQIQFEQIVQMII >CAK67594 pep:novel supercontig:GCA_000165425.1:CT868052:575268:575909:-1 gene:GSPATT00006901001 transcript:CAK67594 MFNDSIDIQTQQKDQQNIPSQYPYLVYNFPSTSLMEYNNSQIPQLQIKNSNDSLFKENMQ IPGFFQGISVPSFQFNNSNQFYLNEQKPPLVPSMANALASVFNEQQKSSLQNDNKSNRII IGTQTLCNIEMIFVQRYFDIKNQIFCHPNMKYTLNEDRSISNSAWLKDRIKNRYKKPVSY TFDKVDQGIYVRFNRYFS >CAK67595 pep:novel supercontig:GCA_000165425.1:CT868052:576258:579304:-1 gene:GSPATT00006902001 transcript:CAK67595 MRQTEVEQVKKFQFFNQENIQDQRANGDPTAPTLNDIGISDGCGIGTKLFLSSQSKNLIY VYEANSIQGYFQPHNESFRVMKCTKENRDGKLVTFGIDSENNQKSSYVKFWDPNTTDYQN IKPIKVILVNKVGQPLQNFNCFCIAKDLSAMAFGLQDGQILIFKAKTQNLMTMEFKDQII QTDQEPIKSVHLSRQDQNLNLFCTTDSNIICFQNMQNRKKFSIPAGALFDLTAKGTLIGC PKDDTSTIVEYSDTKKEATWNVDGDKIEVRFFKQNYLIMLISPRQDKEQVDQSVQLTIFD LLNNYIAYYKKFDKIQRFIPVGDYLYVITQNNRGEKNLIRLTEKENTHKIEIFFKNNYFD VMYRFASNQSSDKTLLAEISRLHGDHLYDQHDFQGAIKQYINTAGILEPSYVIGKFLDVS HVDFLIQYLAALHHEKQADKNHTALLLNCYVKQKQITKLEEFLKESSFDSDLFDIDTAIK ECRQLGHIDLALRLAKSRQKNEAYLSILIETNKDQNNKEQNRQDCKSALMYIREEIQLDE KAQYLKEFGQQLMKAEPELCLEIIQNLVLLISMVQNLKKRVDSQKGIESISILTPEELKV WRYFNLSDEEIKKVFSITFGKPDEFLHLFVVNDEYLESYLKFLIENCKTLPNEKAIFHRY FEYHLEKYQLFYKDESKIGIRDNQLQSKEQGIMKLLENQENEKKYDKNHLLVLFKMYNFV PGIIFLLKKLQMREELLNFYISLKENDQIINLCSEYGREETNLWIQALKYFAKPENSAEN YIEKVLVLVSSLENLSPLLILNILSKNKNVNFKLVKNYFTNKISKDKKQIDDYQKVVKEK MKKAADLRAEYKKLKTQAKVFQSTKCNCCDAILSLPSYHFLCGHSYHEHCIHTERACLLC PQDTQMFFKRKQEFIEASKETKPFKEKLYAAADKFDVICEYLGQGIISNQKAD >CAK67596 pep:novel supercontig:GCA_000165425.1:CT868052:579423:589723:1 gene:GSPATT00006903001 transcript:CAK67596 MFESLLEKVLNSVLGQFIEGFDAQNLHIGIWSGEVIISNVSLKADIIKMLELPIRLCFSH IGKLKLNVPWKSLTSSPVEVMLTDLYLILSADHPDLWQYMNYAGFKKKMEILDKLKDSII QNINDKSKSKDDKEDGYVKKLVLKIIDNLQLSISNIHIRFEDTIKNEFAWGFSIKAIETF TCNSEWKKQYFDRTKEENKKVPLQKLLTLVNIGAYWNQHEKRFLNSQDKEVILREMDEMI ISTQDPKSHPKYGQFVLLLNAQGRLFQNTSGKFDSPEFSLSIELSGIDVALENLQLQQII RMAELFSLYQNRLNKQKKQRLQLSNQQIEDQKLLFIGLYEKILRSPDQSIKALSKDENEL FEQSVSNLSIEILCETAKEMIKVVQKELAIKEAEEKKKKSGGWFSWGKKKESDLLDQKEK DELTSFIDQLADFQDIKDIRRPPDYVWLSVIFSLKTGSVHILKQFDTKREGIQLTYSGFD GSFEMKDSGMLMKMGLQFIGIDIVTEPIQQGIVFYSEQKRIAFLEQINNQKFIDFTFETN PSHHKGVDKYIKLETGALKLIFNPIVMVRIQQFTDFQVRDETLKNAAWDQMEIAQDLAAQ QMAQSQLSPSILFIDMKIKSTIVLVPLPNTQENWLLNIGDVSIITPRIDELHYDNFEISL QDFTFKHYNQIDQCHRSIQQGIFLENVDGSYSIIQNIRVVIRAQILRGSSKPPKELPSII IDGELPQLDVFINPSIYTRILKIGECFVEPIRETEEVIRKQTKLSIENVQTERTALMESA TKVGKIWKRGQLLTWSQYTGVLSGGYIYLFAKPKDQLPEFHFWIRNSDFIDVSEQEVGMN NAFYIKNKYGDTLVAFDKPKMANDWKEAIELLRTMPRVEKEKENDEVAKVQSEKTQESRP MNFKFVLKGFGAHLQDEKQQDWFNVVISGLNAGANLFDGSINLDLKLRDLYVTDSVQKYM NPLLKYIVKSDPDPESNELININVQQISNKDKRYNKKNLIIGVTFGSLSVIAKPLVVAKL LVFITPTDNQAEDMQTYQGKDVEKQQQDQAKKELENNSQHQNVNFDNMQDIILMDVSVKI RSINAILVHRFTTLPLAEAKIENTEIGLIKYVDEMQLNGSLGNLQLFDLTNYPNTLSKES EYTLINPKQMIGVKHQQQSLLKVKLNLFSEGSKKIINNVNIIINVDMSQLIIHVMMQPVL RLLDYTLQQLLFALSNPKQTINPIVKQKEVELDQEIDQYHQTRAQTKKAQTILLSEDETA YLNKQLQNPPAMQLNVVINNPQVILKPNQESNAAMVIDLGTILVSNQRRKVTNRIVTKDQ QFPVWVDVFILNMKDIQLYQQNGQNKREFSLPFDFNISVEIFGMLQQYQLFYPKIKFDEK LIINCFIAPMILQMTHSDYMFLMKCLFHNIAYDDGFDNMIRNNHPQYFQAFFEQQKQQEI KLKEMLLTKNSDAQLSFQLDLENFSIFLLKDIQPKPTPFLRMMLIMMRVSFQKCNNGSAI VDLKIRDLEGSNFVLEKTGLFNELPFIGSMNIQQTYSYEQVTNLNGLVRGRQGTLSNANQ ILNMASRGLLSQGQQRQLIFKFEDAYQQIEDKPQYKLEFNLQMKITGDKVIEIELSDFKL QLHAGPLFEVLALIAMDDPELTPSPGKYVVAQQQIQQGIQQQQDQIQPAQEPQQGSLQIT VNLKNVITCIPTQIEQNVLTIRGIFEIKMKMAPQRSLKDIEKEIKQNDIPENRWHELNET MRIEANLKRLEIFLCKSYDLSKKDDFKQVKKREILNPIDLEVILSKSSVILQNFQGFAEL TDLRGSITPINLKVSFQDLYTIKEGLNYQLSQMPKQPEQQQQLQQQGINQEKKNEEISQL DASHLNKMQLSAVKIDLDIEKTKIIVLNDMGNCYSPLFDYEMDTIKVTLDKNNLFLKISI TLPFKLSNFNPLCSKWEPIIENTGFQIEIFQSYIQGLGGDISNLITIEQMKDFDKFNLTL STVCIQTVLRALKIMEKIFNKQQQEVHQSFVKSFGGGTDDDSSHSEDNIIQVSPYSIKNL TGYPIVVEFIDNLRLPSLNLHINQQQNLIFDDEIERASSGQRRVNVTIKADKVPFPIKLI DLDKISAKLFEQDNLQAFASVKLDQLTNQKILSLYAPVVIVNKTSKSIIISISDDKHQQT MKLSQQKIDQELSHMAPIPLGFVNPKIAIRFSECQDYTEDILVDSLIKQQDKNIEIETRD GQYILVKVSKDNDNQGKFELELQTPFRITNSLPLDIFIQMMNHNKETTFTKKICQQQTIE DYQHGHYKCSYLRVYIEGYYWSDEFKLQAAEELQQKNDDCISKLSLNDSNNNPTTLLIFE SQKQQDYAKLTREYVIHCSGYIINKSGIPLKYFTNNNKNDLTPLGGMESINTGDPLNRQI VLLGTESGNILSLSHTSTNQKISQFPIQISTIGEPEIDVIISNEEDTNQLLQTFLGAKLE LGRCNKKYTLFNKIITLEPRFIIINNCNQDIVIASDEDLFSQSVPSNQRIIFQSHYNSKT KIRNPCQFISMNLSNNDYQSSSRLNISAIGILYYQLRRKDQPKERLYFSCDIRQEGCSLY IIFNQLPQDQAPYKIELPVENLELEIPELDVILNSNNQVTYFAWDDPQRLQKALNVELRI RDDPNGDYKPLKYTLYPDHIGLFQVYTFRPPKGSKAALISVALSILPKGCQKQIQITTAT QEQVKMSKLKPKQDFLRQLKQQNSLDNEDEDESRAQSVSLQSRQSSSENSDKIENRINLK LNSFSLSIVHNSDVKRRPAEFFHFTCTNIEFVTISTVLNTVVQLRVQYINFDHNAEFFVQ YPVVITPQKYALYHVAPKQQQQQQQQQQQQQQQQQQQSDKYFFNVMIIKDNRVSDIKLFQ NITFEVDPFEIRVEQLFISNLLEFIWAITSFQGQIYELDKNQSGSFVMEKQYQVLKQEEE KEIEYNNQKNMQSFVQFDEEWRTKKLPDPQLPTYISEIVISPIKLNLTVQMSGKGDLNMG KLAIFASVAQAVGVVLSDISEAPIFFQGWKIENCFDTGSGITNKMIQFYKQEGIKQIGSV IGSLSIIGNPIGLLNNISTGFKDLVDKPAAGLTQGPLEAGLGLAQGATSLVSHTIAGAFS SVNKITGSVGSGLANLTMDEDYLRKREKQKMHKPKHIGDGLGQGAKSIMTGITDGITGVF LKPIKGAKEQGIKGVFTGTAQGLAGLIIKPITGVLDGMSQAAAGIQNTVSYFDDKPNNNR SRNIRPVYGLEGYIQDFNSIDAEGFTMIHFLKGGILQNDRFVAAYLIVPDVKEPENKFML VITFEHFIYMSFKTKKKVWILETNEVTHLEIVPSGIKIQVNKPQKQLKNKYVTQIQLLKE EQLEVFKTMQGVREAQGAIQK >CAK67597 pep:novel supercontig:GCA_000165425.1:CT868052:589891:593418:-1 gene:GSPATT00006904001 transcript:CAK67597 MENYHILHLIGEGSFGKVYKGRLKKSNQIAALKFISKRGKSEKDLANLRQEIEILRRLKH ENIILLLDAFETAGEFCLVTEFGQGVLFEILEDDRKISESEVRIIAQQLVRALHYLHSNR IIHRDMKPQNILISADGVVKLIDFGFARAMSTNTQVLHSIKGTPLYMAPELVQEQPYDHT VDLWSLGVILYELFVGQPPFYTNQIYSLIQLIIKDPVKYPDNMSSQFMSFLKGLLNKTPS QRLGWPELLNHPFIAETDQEKCDRKRRLQQYNQWAGVEDEVVDKRKRSLTPTREIIIYDQ IKDNRDVSPKRMPCNDEFWVRCEQIANDVQGATQLRCDPGFLDKLLQVLTPPKKPALYSA LKVFGLVITKGNQQEGLDVVKNQQIPIQLITHIRNFIRQGNLELLSELIKTIGLLAQATF DKNIGIDNIFIKFVGMTAQILRVGQNNELINTIKTIGLFANQASLNPLRSVLFYKELVDN NLVNEIAKLTKNNLIIHKLATQVISVLIHPINGEIIHFPWKKGYSTQVQNFNESLPLFES LRNQIITSYADVDHLKICNYQDEQQNLIRISVLRILLQMIRIKKDIQISKEIINQSLNSQ ESLLQGTALLILVQQYRNKNYEYQITFQQVISTFENNIQINPIVSLAAVQLIAELLQSET SFINQLLNYFTQQHPYKLLVELVNPTKKSNRLDEIRKLEGSSFGIPSYGFVDGVITLISK LLFRYNKEQKKLTDLFILLEKYEIHQLIFSIFKNITSRNDVSPKGFVFLLILIHDSIYSN FKALGQLMFQDNSIRTLCLFLKDVQLQNIQEWPSSLGGGIGCVNKIGEQIIKLFILALQD QNNSHIFKELNSQDVVALVLNLVKNSQKEQINLIISFISKLVWNNEEDKYFAQSFIQNNG LAILQKYSVMSVDSINILSQLARISADYYPNIHQMNLYIELKQQIQSPDYNLRSKVCNLI GNLCRHSSYFYEQLLKYDLINLCIKCCSDPDKNTRKFACFAVGNAGFHSDRLYDNLRPVI PQLVELLKDQEEKTRSNAAGALGNLVRNSNLLIKDFIKHGALNQLLELVKSDQTTRISLF SIGNLCQYPECKRKFEELEIRQIIEQSLASTNDQQIQRYGRRVLIKLDEN >CAK67598 pep:novel supercontig:GCA_000165425.1:CT868052:593891:597589:1 gene:GSPATT00006905001 transcript:CAK67598 MRTRFIKNGQQSLFLYLVITFRIHLLFYIIMKKSSLILLLFLICSLQGKSGQNIFLAQQF VELQSGIKLQSLEELNNLDTGSLDCSPGLEQVSKSMEAWQEILADPDQIDNDIDNLQKLK IAVSDYRQSEGEKTTLLQYHSTKGSFAFVQLRQEDRDTLLQQWRQYGQDILDGQLNLLDE AASKEDADQCCDKIEELINKLISEREQIREQCKKPSITINIINSKSDDVQRRVESCSKDG IIVNTDDNKKVVIRPGQGSAPESEDEPVSASALVSSSDSEDDEETVNKKQPSDYTTDKPV RTTEVENEDEEGSEDLVEYGYGYWARFMLAYPRFMPKGKDAPWYFVSRLSSNKNTDNVNM GDRLLAIWLGKGFYHFTTCDKTQNQPNVVKNVDYPVNLDGVWTYIYYSYSSEKKKAVAYI KFGDDDFKKVEHEVTHPTTKFVRFTVGGTDEKRYPGFNGLLSTIYFSAKRGVFIDNDDDI QAKLQSMKKIPKDFIPDLVTYKVTTNPITRTPEDREIHQIVGTTSTPKFPHEYGISGWFK WTPTDKQQDWHNIFRVQIQTPSTDKFLGDRTLSAWVGKQDGGIIHLPTYTVTDLEEYSSQ KQTQINGSLFTLDTLDHNRKLRLTSDGQIQRIIKNMKMLDISLFPNITSLQEEINISQDS TETLPWLHSILEKAHLNQEMISKLKTMLSMQQSDKSNCQENNQMTKLKRRRMKTMMMPKV DETKESEEELVEYGYGFWARFLTAYPVRLLNGKNAPWYFVSRLTSNQNYGNIAMGDRTLA IWLGQGYYHFTTCDKKSNNPNGVWTYVYYSYSAQENKAIAFIKYGDLEPRSIIHNVNHPA TKYVRFILGGKDSNRYPGFNGLFSQIVFSAKEGAFVDTLDDFNDHISRTIIPVHDLDRLY KHELVEDTISRKVNDNPVYDELGGGAQKFPHEYAVSGWFKWEQTQQQVWHNVFRVQINKP STDRFLGDRTLSFWIGTAQGGIFHFPTYTYTNMNGAGNPNMVSNIVHKNRHLEWFFVYFG YSKNERKGFVGVKFTGGIETIEYNNVNHYYTPYFYTYVGKDKQFPGFNGKIGYVNFALGS GTFRKTPDFKHPNDVFGFDKGESTLLKKPESKKPQPITDETGEKQLPSAHNENSPKVIKE FRSDVTFTEYGYGFWARFLTAYPDQITKWQECSLVLYGKIDTT >CAK67599 pep:novel supercontig:GCA_000165425.1:CT868052:597610:605871:1 gene:GSPATT00006906001 transcript:CAK67599 MGDRILAIWQGQGYYHFTTNNVQPANPNVIRNINYPADIEGLWTHFYFSYSNTGKAVAIV KFGDLDPVSAEFPVKHPDLKYLKFILGGKDQNRYPGFNGQFTQVIYSTSVGAFIDSVDNY KKQFADKPSPLKGGKVPLSTTRIVDSQIDRQVNSDPTKTTVETVFPKEYAFSGWFKWSQP TTPQQAWHNLFRVQISYPSADRNLGDRTLAGWVGNGGIIHLTTYSYRNMNGAGQNNLPQN IPHKNRHLDWFFVYFGYNKNDKQGYAYIKWKDSDDNLDFKDINHYFANKYYVFTGRDAQF PGFNGKVAYVSFNVGEGASRRGNDFSHPDDAFRFDKAGQLIPKVDPSKPKVDENTVHVNK VDNNSPRVDEILRSNDNLVEYGYGFWSRFLTAYPSRLIYGKNQPWYFVSRLTTNEDYDNV RMGDRALAIWQGQGYYHFTTCNKQNGAVNVIQNINYPDDIEGVWTYIYYSYSAEKKKAVA FIKYGSENFKSITHQVVHPTTQSVRFILGGMDEKRYPAFNGVFTKVVYSHQIGVFVDSID KLQAGVVPQVPVDLSNTKIVESPIERSVQVAPTETTVGKDDELPKLPEEYGLSGWFKWTP TQQQVWHNIFRVYINTPASDRFLGDRTLSLWLGNQQGGILHFPTYTYSNMNGAGNPNVVQ NIQHKNRHNNWFFVYYGYSKVNRQAYAYVKWTDSEDSLNYVNINHYYAPKFYVTVGKDKF FPGFNGFVAYVNFNLGKGSFRKGNDFTHDGDFFGFSTGKDKLFQPPKVGALPEVEKTVHA SKTSEDAPKVDRTSPEGQDNLVEYGYGFWLRYLTTYPERQPNGKNQPWYFVSRLTWHKEY DNIRMGDRTLAIWQGQGYYHFTTCNIQNNNANVIQNVNYPDDIEGLWTFIYYSYSKNENR AKAFIKYGDGDFQAVDHQVTHQPTQTVRFILGGTDEKRYPAFNGLFTSVYFNTKVGAYIG NVDAAKKFVNDFSPIPRIRVTDLISKPIVSDEVERQVTDKQTEATVEEQRLPDEYGISGW FKWNEVAQQPWHNIFRVQIKTPSTDAFLGDRTLSLWLGTPEGGILHMPTYSYTNMNGAGN TNYWKNIQHKDRHTKWFFVYFGYSKPKAQAYAYVKWSDSEDSNTYDKANHYYSANYYIFV GKDPHFPGFNGKLNQVTFNIGDGSFRTGNDFTHPKDAFGFSTGLDKYSKKGDAPSVRSED KVLESAENSKPPVLDKDATSDKNLETYGYGFWLRYLTAHPFRQLSGKNQPWYFVSRLTWN KEYDNIRMGDRALAVWQGQGYYHFTACNSKTGNVNQILNIDYPADIEGLWTYVYYSFSVD ENQAVGFTQYGTSEPKQIVHKTSHAATKYVRFLLGGTDENRYPAFNGLFASVSFSTQNAY VGTIDEFKKLVASVPMPSQGLRDLTTYKLSEVITRTPTDDISKEVTVGGGKEQFPSEYAI SGWFQWKPIAQQPWHNLFRVTLKQPSTDNFLGDRTLTLWIGTPEGGILHFPTYTYANMVG GGNNNYWKNIVHKNRIREWFFVYFGYSKTKAQANVYVKWTDSEDSLSYDKANHYFAPQLY VFLGRDKHFPGHSGKIAYVRFNLGNGAHIENNKFDHPQDVFAFKEGTEKLFKRDEQLLPD EPTKDVYENGFEQKQPVINKESPSESALEEYGYGFWMRFLTSYPQRLPNGKNQPWYFVSR LTWNKEYDNIRMGDRALAIWQGQGYYHFTTCNSADNNPNYIQNNNYPEDIEGLWTYVYYS YSEAKNKAVGLIKYGNQDFQSIKHDTTHATTKYVRFILGGNDEGRYPGFNGIFTSVTFGT TRGTFIDSVDQVKNYLAKVGTPASELPDLFNYKIVDSIQSRAATDEPVYKVVGKENERFP HEYAISGWFKWQPTAQQPWHNLFRVNLKTPSTDAFLGDRTLTCWVGTAEGGILHMPTYSY TNMNGGGNTNYWKNIQHKDRHTKWFWVYFGYSKPKAQAYAYVKWSDSEDSNTYDKTNHYY APEFHIYAGRDKHYPGHSGVVAHVQFNLGKDAFRTGSDFNHPNDVFGFGKGKELIKGPAE FKPKDADNTILTNAASQVKPVIDQEAKSDAPFEQYGYGFWLRFLTAHPERLINGKNQPWY FVSRLTQFEKYDNIRLGDRTLAIWQGQGYYHFTTCSIAPQNPNLIQNIDYPADIEGLWTY IYYSYSADSNKAVAFIKYGDQEIKSITHKTTHEAVKYLRFILGGNDANRYPGFNGQFTSV TFSTDGAFVDNPAKINAYIDNHKAPSVVVALQTYKLVEDAISRDSNAEPLEKTVQVGLPL EYAISGWVKWKPTAQAPWHNLFRVTLKQPSTDAFLGDRTLTCWVGTAEGGILHMPTYTYT NMNGGGNNNFWKNIQHKGRINDWFYIYYGYSKISRKAYAFVKWTEGEDSLTFDDVNHYLA QTLYLFVGRDKHYPGHSGKIGYFNFNAGEGAFVTGNKFDHPKDIFGFSIGSDNLLTQKDA ELKPGVPVAEQLPNGSEDKKPVIEKDLNADQNLEEYGFGFWLRFLTAYPARLISGKNQPW YFVSRLTQHNQYDNIRMGDRTLAIWQGQGYYHFTTCNSVNNNPNVIQNVDYPADIEGLWT YIYYSYSDDKARAVGLIKYGNDDIKAIRHDVTHPGTKHVKFILGGNDAGRYPGFNGIFTQ VTFSASIGAFIDSPDQLKPHLEKIGTPNSLLSELTNSVLVDSQIARDKGTDPIEKTIGSE ATRFPHEYAISGWFKWTPTAQEAWHKRFQSYPQITINRCLLRRQNFDLLGWYS >CAK67600 pep:novel supercontig:GCA_000165425.1:CT868052:605912:616787:1 gene:GSPATT00006907001 transcript:CAK67600 MNGAGNTNLWKNIPHKERHTKWFFLYFGYTKLQQKAYSYVKWTDGEDSLKYDNVNHYFAP QFFVFVGRDKHYPGFSGKLAYVNFNVGQGSFRGENDFKHPKDVFGFEIGQNKLLDSKRGD FNPRELQKGQALQNDFENDKPTIERDEQSGDLPFEEYGYGFWMRFLTAYPKRLISGKNAP WYFLSRLTYNDKYQDAGMGDRTLAIWQGQGYYHFTTCNIAPANVNLIQNVNFPEDIEGLW TFVYYSYSVDENKAIAFIKYGDGDVQSITHKTTHPAVKFLKFILGGNDNKRYPGFNGQFR TVTFSTRSGAYVDKLDTINDYLKTNPSPSTLIPLQSNQIIKDPVQRQAGDDLVQRRFGGD DNKFPLEYSYSGWYKWVDGPNLNAWYNLFRVTIKDPSTDQFLGDRTLSGWIGFGNLHVPT YTYANMNGAGNVNHWKNIEHKNRIFKWFYVYFGYSKKDQLAYAWAQWSTGEKDSQSWDKC NHYLAPDFFVYVGRDKHFQGLNGQLGAINFNLGDKDHSERESDFTHDEDIFGYNAAFTKK QPAQFNLDSRVAKVLTSTVEQKEPTFTKNFNADDVDNVSEYGYGFWLRHLNNVHPVPMNR GIQVDWTFVARLSKNEKLADIGLGDRVLAIWQGRGYYHFTTYNGGNPNNVNNVNFPQLLD GLWTFIYYSHNLDKKQSISFVKFGEADIIKNVIAAEHLPPLLLKFYLGGQHLVYKGFNGQ FSDVIVSANKGVFIENIDDIKALLEKVEQPATYVLDVKTKQVIVKEAIFNDKTEQKEIVY DDLALAPEYSWSGWFKWTFIPNQQAWHLGVRVSTLQSSENLSFLGDRSLCMWVGQPEGGI LHFATYNYQNIYGGGNANSWQNVKHNDDHARWHFVYFGYSRNTRQAYARVEFRGRLEERP YKDHNHFIANAYSFYVAKDKWHAAYSGVISTLRFNVGDGVFRTAEYEKAKDDIHGYDSGR ALYFKELPKVDLEKDLQKGGLDSPQNGKDPLITRKLSGAELEDNVEFGYGFWLRFLTQYP IALKQGLQPPWSFIARVTRNQDLGDARLGDRLLANWFNSANYYHYCTNDGGNVNSVQNIQ TGQDIEGVWTYLFFAHSDAVDQSVGFLKIGDKLQKIVTQSVIPVPQFLQFYLAGSQLNYP AFNGQFAHVVFSAGEGIFKKDEAQFNAWLQQFEKPAQFNTNLVTKHVIEDPKEFKQDTPS DEIIYEDLALVGEYSWSGWFKWTPTVQQPWHLMVRFSIHQASENIQFLGDRTLNAWVGQG YFHFTAYTYVNLNGGGNVNQWQNINYENDHTKWHFIYFGYSKVQQLAQAKVEFKNRVAEL SYKNTNHYLPNKISVYVARDKWHAVYSGNIGHLRVNGGNGAFNPTGYGDAKDDVFGYQIG KDAFLEKEAPVDELREQEILDSAFNQEKPVLQKEFKEADLEGVSEYGYGFYFRHLEQYPV QMRDGRLAPYYIMSRLSWNKDEGDIRMGDRLLAVWQEQAAILFITNDLPGNPNLLSRIPV AEREGVWTFLYFSYSLEDQLAVGILKFDGIDEVFHTSMKCNHGKRSYLRFTLGSAPPHFY PRFNGQIANYAIKFGRTGFVRNYDSLKKYLVNRIPHPGQEDKALKTLKHLEEEKNYKGDS QEELVVEVPNDLTKFATEYSVSGWLRWDQPPIGAPWFNVFRLSLYSTEANAEGRFGDRDL SLFKHATYYHFQTYNYQPGLPWIYGFDFPHEDQHTQWHFFYTGYSREKRQIYHYLSFLEA EADKLFDKQTHLVVNKHYFSYGKDFKKFYASHRGVTGISNLVNINYAILNQRWRGQTLMH FSFNKGRDLYTPKFPQKEFTTDKTKLLECIFDKAEPNVLLKIEDSKDVPTRGLQEYGWST WLRWSRTGPKSMPWRIVWHNIARLTSKRNHGDLTQQGDRLLAAWLFTNSYYFSHSPKGVG EQVQHIPWKIIDGEWNFISISYKKGEVKAYVFQKGEISDFTWKAKHDLVGDYLEFISGKE FGYNFFNGYMWGLSLKLGDGAHFADQDAVKTYVTGAMKLPDEFRFDQTRKTLPIKKEKTK IEATAEPDIITIEPKDANGKVEYAISGWAKWTDIPNIGPWHLVYRVTCWGKDLVGNMDKP GDRTLSMWKGLGFYHQTVYTVDQVNGGAWSIPQNFDYKGSLHKTWVFIYQGYSREKQKAH GFIKFPDSEEHRDFPQCQLIRAHLLHCLMAQGLMASRIQWINGKLTGYDDGESELLTFGF GGRLVAELKPWKVDDIFDQAWGPDQKVGKEVEMTDDSINGVTEYGYGFWSRFLWNGPSKL VDKPAWMALSRFTINQNYQGDAAQQGDRTLAIWVGQPFYHFTTYTPGNNNVVQNVQYGQM LDGQWNYVWFGYKRFDKTGKVQGHIVFNGEQVRSTQFPVVTHNPITDYAYFSVGSSGTKL LKNYHAFNGQLGLVSLILGNGGYIESADELRKSMPGMPKVPELNAIKKTVFEDIQTMKRE EATMKPYEYADEFAGQQEYAVSLWFRWSSIGRVAWENVYTLSYHDQASRANHVRPGDRVL SVFQYVDHRIFFSTYTTPDNHDAFAQIFTEAPVPTLDQTAWVYAYYAYSRKAQSVVHFMK TRTTENEKKLGCMHRVPKYLGLWAGKDGIHTPYNGKYAHMYLYAGPGSYREKDYLSYEPY IAGALGIQAKPWKWSEKKDQFDIADKQEIELEATAIDGHSAYAIGVWLRYLTAIPKRILE KQARMSVYRFTQNKNMEDSAKVGDRTLGLWLTSGQYGFRTYNLANNNPNLSQDINYDDKL EGEWNFVYFCFSSAKQQALGYVKFSSTGDIRRVSFPEIAHKPIEGYGKLYLGATFTYQGF NGQMAQLQMLFGAQGYVADTDALEAMIKGTFTAPELDEPAIQTIKLQDEEIVRKVDEAAV VKEWPDQYQGSLEYAIYGWFRYSSATLKKDNNVLLRLTNNEPAYRKEAATVGDRTLLIMY QPREVVFSTYTLGTVDSGLVANIRKPTPVGNNFGVWTYVWFGYSWPKRAASGVVKFPTET AVVPYENVLHMVPKYLAMFVGSDGMLGGWEGPIRKVGAVFGKGAYIDTKKGNFESLLPEV QSLVIKKTQWKPEKEGLVYVPQGEQFDKPGFDVTFKEEVGGVSEYGYGLWTRWLMTTPQR VNDKSPFHQLVRLTNTEKYEDNAEFGNRILATWVGKGYYHFTTYDKKTSKISIAQNINYD DYLEGHWNYVYYSFTSKDQPRAVGFVLFGDLPENPVGRIEFIDLQHMPLNGYARVVVANN EFGYPAFNGMISDFRVNFGQGFVGSKEQFLKDIIQAYPKPNIKVPQRTDVNVLREQKNFE KDDPNPIKNQYDQYQGVLEYAVSGWLQAKKGSSEETVKSIFRLTINAPGYQKDATNAGDR TLAGFQSKDSFILSTYDYGNLDTNDDDQNDLNTKFQIKENQGEWVYLYFGYSSKFRKGFA YALYLNREDSFQFNGLKHFVPNKFWFYLGADGFNQPFEGAMFNWNLHIGDGSFNIKPKSQ IELWPYEPKEPIDQVLSVLLGNQGLSSIKLTRNVPQSGTVEKVAGPASGKVEVGTGPKSG QVSSADGPKSGQVDSANKPKSGQVDKLEGAKSGETQPGAKPQSGDQVVNK >CAK67601 pep:novel supercontig:GCA_000165425.1:CT868052:617139:618854:1 gene:GSPATT00006908001 transcript:CAK67601 MQIYRLIFLEEQGSDDVKSMVLLLVKWKLSFLEKYQSYVVVILECEFLGYKDISLDLSTF NPDVHLSETKKIKYTILKTNLNKPPQQVEPQPLKKVKIENFVFSKPIENSIIFDENLINQ SITMNKSYLKYFQDQQTISELLVNKKKSWVLEGRIVDKSDMLEFKKKNSNVLSQYFKIII LDREQGIIPGLFYDKSNLKMFPILEKGKVYLFKNGSVSKDNSNYPKSITFTQFSIIQESE NDDLPKIPMISPKKIYDLQGQPIDSIVDLVVVIQEIKTLSDVCRQLTVLDQTGRIVIKLM GKQYVTQIFKKGEIIICKGLTYKTQQNFAYLTSNYNTIILNDQDYNNEVKSLRDWLQEKD IDHIMKPSKNCLKVNLEQLEECVLKKLNEGKSKNNEQMIVIGYLTGMSIFTYPRCPSNRC HSKMEKVPQRDTYRCKKCYVENNSPQMSFIMNVTIMDEHTKIEATVFDDYAVKLLGLSAD EYQKLTEQEQRMILYISKFRQQQIKLQVLFNDYNGSIRPQYKVVEITDIDYKELADEEMK NFEELDYLLNVSQII >CAK67602 pep:novel supercontig:GCA_000165425.1:CT868052:618916:622228:1 gene:GSPATT00006909001 transcript:CAK67602 MQFHLETENNLIISDFRPEDCIDFLSMESISDVGIQFPKPQNTKYNVEPPPNSKDLKEKV ILSPLSPNFEGLKDYEQQILLPSQNLTQRPIQQKSSIKLINNNHKQQTNFSGSFMKYFHT RRFVNRILRNKHMFHKLQPIHLEIINDASSHYDTEIFGQKSHSFKPLGLMKTLHLSISPS LTKKIISKYRQMSNFVLSKLQQILHQIPVIQPENKGRIIWDVVLSITRLYFIILIPIDMV FEERLLYSDKLIIVTTLQTFLLILDMFINFNTAYYQFGQIVSERSKIIHHNFSKGYGLDA FSVIFLICLLFVDWDQIGDYEPFVFIGLLSFTVQYQNITKLTRIFEEVLNLNKIQAGFLE LAKLITLLLYILHISACIWIGCGRISSSVTNGTSWMVKEQVLNEQWIVQYLRSFYFCTVT MFTVGYGDLTPQSNLEYVTCIIFIMIFSIQLPYSVNTVGAIIDEISKYSEQKLQKLRIIN TYMAKKKITYELQVKIRQYLAYYWECQNTQLSQEVKGILEQLSENLRDSLMLEANSIILN SCDMFSKFLSPGFTKALVNKIRYLDVQPENIVDFADQPDSYQNYYLAFIEIGTIQVIQDQ NNCKNDSYIKQLSSGQVFGLYEFITGTQCNEFYKSKGFTKLLVLPRNQFLKILRDFPEDK EKYCQIRDDLLYNNGEQFLRDIGVQCYMCNSRDHITKFCPMVHYCADKEKIIKSQTYIIK QPRVKQKRKVRRSQFNGKSDQKIIMEIAQYFKEDFWKQCQYYEINDIDLEQQQSPQYSIT SDEIKENIKSTPQLHITKTSQVIQNKHIKQQRIGLLNEIHQSRRAARQNTISPLMKFSTN QQIADQYSQNEMKVIPRAHSSLIENVSQQESQITPEKQEEEDGTAQKSNQQIVPIKVEQF QTKKRCMPQPQQSQFTKRLKNLKIEIEKDPQKKMSLFTTTDLELQENCSALEQRIIQLKQ FQEQETTVVQLQNLSILIQNQLNDKFMCLENFDLQKDFQFYLHHNNFTQLEVSNCYNKHL IENLSRFIKYLFYPFVYIKKYSKLNVDEDHKLERQRKTMSFSKKKATVVIKFRDKAKQII NSRRIVPE >CAK67603 pep:novel supercontig:GCA_000165425.1:CT868052:622828:623679:-1 gene:GSPATT00006910001 transcript:CAK67603 MSQEEYQKQEEAPNVNGQPIIGQVQQILKRKNKKRAILNPQQSTGHWSEQEHKTYINFLN VHKSVMESSDQKKTSKIFKLMSETIGTRSPSQCRSHHQKFNPFSHQVKKRQKGAGRKKKE SNSQVDKANNGFLENACFPQFMFQPTAPYFPQQPFDFQMMQLQQFPFMMQYLDQTNKDEE KIVQQDPSGYFPQFIMNPQQVYDDKNQFYNFGFPQPQQMQFNFNYFQQLPNEFYGTNSAE IIQKDHQSEDQ >CAK67604 pep:novel supercontig:GCA_000165425.1:CT868052:623755:624406:-1 gene:GSPATT00006911001 transcript:CAK67604 MDINIGETLFIIVGAAISISVGELVSWFLVYRNADYKDLVSRIETAVTKYNKEKEQFVKE TNAKNQEKRLAQIESQIKGLNYEMTFKKMISNAAVAILSIVTINSIGNYYSGIVVAKLPF EPFWILQQITHRGLNGEDLTDCSYIFIYVLSALIFKSNIQKIFGLEGPKMPFGPGGPQPS LFKQ >CAK67605 pep:novel supercontig:GCA_000165425.1:CT868052:624419:625722:-1 gene:GSPATT00006912001 transcript:CAK67605 MNLLNYDFIAQENLKFLKTYKYKGTDQSLLYNYVLSPFANWCLKYVPLNVAPNTLTLLGL ICIIICHILFYFVMEDNFQGTIPDWLLWTTFILHMIYMNFDNLDGKQARRTNNSSPLGMI LDHNFDSMIIAIQGTNFVTCLQCGQSILAFLLICVPTYPFYIIAHEEYYTHEMNLPIINA AAEGTVFVGSLFAINAIFGCDFWTQKMPQFYNLQFNTVAIIIFFVVVAFGLPFVFKKITK FVPLSQALKSQRYMLFAGLVLFYVILFSPSDVGSRHMRAILYIFGFTMSKAAGIVAVYHV SNQDLPNYLNSIYLFFVLLFNTIYGQIFGQSLIEEGLLLQGIALITVLVHVHFLYNITRQ ISQALKIKVFKINK >CAK67606 pep:novel supercontig:GCA_000165425.1:CT868052:625736:626500:1 gene:GSPATT00006913001 transcript:CAK67606 MYTTPPFSHNYSNPVLMKDDVGKPKPSTYNLPKQDYVYGLPLIRDKEGAKEVTMTWKFHQ ESQDRIPNRDFAELNKMSVFNGSLTAHDMYKYRQTHDARLQVKKGTNIQAIELPEEEFRY GRKNRPSTPMKLVMGNSYGIEAASITLDKYYKRAGSQESKMTNTIVRPNKASQLFHESNH KKLAVIKGTEKKEPFKMEKFKTVAARTDTNLITKKE >CAK67607 pep:novel supercontig:GCA_000165425.1:CT868052:626521:627624:1 gene:GSPATT00006914001 transcript:CAK67607 MNQIKKLLVENLNYIFNILKPYKHQKISSFVEIQLQDFIQLFSRLQLIQTKLQQLFKMCD TLQKSGMALAQSQKQILKEKSEIQLQMQEIEKLLYDDTQASLQETQKQVHDISELCGEAY KNLLDNQSFDLTKISQIQAPQIDDIGEWDSKLESSRDKTSEFDQIRKAFQVLDKAKLFEP SNLQMLMKCAENTDKQIYMDFFLQVINIQRKQQESNSKLVDERSPELREQILQMPICQEF SFESPLPSLREQEQPQQQFKHVKLEDLLKIEERLISDTSPNKQNFVKKQPANKSNIDNSF YSQHNIKHLIRRYPNNNIQREKSPSEHISRSSSSLLVEQSQSTRQQKEVFKRKISQPTSI TERNGNI >CAK67608 pep:novel supercontig:GCA_000165425.1:CT868052:627661:630286:-1 gene:GSPATT00006915001 transcript:CAK67608 MITQFEEQSLLTSPTTSKILQFTSDNILICGSGNRLMEYNLYNNTVKPIIQTRYNVKYFS VYNQQYYSSVDDQFNILCNGKHIKSKNQQIKQIKHSPDGKMIAIAYLNKLIIYNLEMEKI KVHSNKHKINGICWSPDSRFILSWGDDNSVIINNVFKLRLYTDIMLQAHKFKIASAFFLG SNVQYIITIDVLGKVCLWKFVTDYVTEQYEARQKFQKTKAQHHLGVENDQSEYSTDELLY MSDFEKNVHKGRFILEKKINIKEKLVKHFAAIKSVAYHTSNIMIIGMKNGVLTLFKIEPS EDPFLIQIQSFQITQTQIDNLAINKNGNWIALGMRNSGQLIVWEWRSQSYILNQQSLSYE TTCCTISQDLTTIAVGTLGGIIRLYDQTSNFCIAKFNDQHSSKVTGIKYCPSKQGVLISC SLDGTVRAYDTIRLKCFRVMQPEILNQLQCLDVEPSGDLICVGGFDPYEIYVFSLQTGQL VEVISGHQAPITSIKFIQAEEKVLLISGSWDKTIRIHDLYARGMKEGSGGDSMLHNSEVT ALATRTNQIAVATMGGELVIWDVNESLILFTFDVHRDVQGGRISKETLAAQKNQNLKYFV TIEFSKDGQYLIGGGNSKFICLYDMKYRILIRRFIISNNYSLDGMKLKVNYKTIDQENKN IEESDDERQKDNLPGAKTFDVSKRSSKRVPVSVFNLQFTESNREFVVSSSEGVSIFGSAK RRQYFDPLDIDETVSLEEIQKQINQQNYTEALILSLKLNEASILDQVFNSIPVDFIINTV PAIPESLIVRLLETIIRSMQIHRPVESCLIWIKQILIDFAPLIKGSTANKKVQNMLQEVL KQVRLDFNRIKNPIRKCVNILEYLSS >CAK67609 pep:novel supercontig:GCA_000165425.1:CT868052:630298:631154:-1 gene:GSPATT00006916001 transcript:CAK67609 MGQCTSSDGDPQPGGRAVLKAKIREFPNIDFYKGDYPINLHPSVNFHQKHWMNNTQNHQL TKFYSDLESDKQYMQWLYPNFFQSFLNMNSYRLTPQERNKFVQDPDIMKQYRQNVGMFLR FLGIIRNERQELEIIDETQFDQCLFTYTHNLNRIQRFISSMSVLGMREEALEFLHLLQNK LSKKHKVYISKFAGFEPLQEEGGLDSTQMSDPNNKKSFAEYKGNYFQEDLYEEPWVTVAI LSKEAVEIPQQENSINEKEII >CAK67610 pep:novel supercontig:GCA_000165425.1:CT868052:633048:634094:-1 gene:GSPATT00006917001 transcript:CAK67610 MNQQTDLKRFSYKQVENESVRQYEFCYSIDINQENTLLIASAKAKINVYIFKIGNIKLLA KLGGDKSYIKHLKFLNKSQYFLSGSLHGFIIVWSKSLLANPKYIQKIKTHQSQIVALILH PIIENQFISASSGLIQFFQYSNHRQWFSVDRISEIDQILGISINMNGTQIVTSSENHRIQ VFEHQQSFLQQKNTSAWLLKQTINIKQQGFRVCFLDNDTFVFQPVTQLWNGTKHMNIYRL NQLNQYKKENEIPVKGASQRCAHIFPSLYNQSKQVLLMKNGNYTNIFKYVDRSFKLRYKQ VLTFWTPEVSGTLSDDGKYLITWDKNSRQIQIRIYTELNANEQEQKDE >CAK67611 pep:novel supercontig:GCA_000165425.1:CT868052:634984:635803:1 gene:GSPATT00006918001 transcript:CAK67611 MPRNSQGINDGTTLICTACTTSYSLVSNVCLPSQNCQQFSTTTGNCSQCAPGYYLNWQFN SFVSQVSTDAIPYFNNFPSQCSACTISNCLTCTGPTVCTTCQPGYFWQTSQYLQQPSNGS QGSCQPCMQGCSTCSNNQSCQTCFSGYYMVFPYSGINYCQLCSTVAAISNCLTCDTXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNCIDQYKQKFDKQ NQNSFLFERYNQFKRLLLRNISIYLI >CAK67612 pep:novel supercontig:GCA_000165425.1:CT868052:636674:637450:-1 gene:GSPATT00006919001 transcript:CAK67612 MEHSDENSFLFKTITQQYLSFDTIPMVYQKKKEIFIMVSNSNFILAVSFLLAINKSNLKT SELIFQSFIQTSPPFDKHNFTTSQLPQYDKGLLLFFYSQTLNLSNQQQMITFYNISDNQN VKFLNPLLFQGGYNFTLDTTLLSAFSFIAQSNSSGQILILYNNGSILELELNVFSIKINL SKQREKSDSFELYAQNYYNSTEAEINLIIIKQHIFETTSFYILFGITLIVIVTSLLLYFV YQKKDQDVDDFDNSEDEL >CAK67613 pep:novel supercontig:GCA_000165425.1:CT868052:637800:640732:-1 gene:GSPATT00006920001 transcript:CAK67613 MFIFVYILFIRACQVINEDYYLYPTKEETLEIPMLNFFQGNDLIYKCSNCPQDVKIVGTM NHRNNIENNYTFKSVSPSTDQIFALTTEPSLNIYLKINGSLILQKVININSNLSCFSVTY LTVNNIILDCYQNETLILYNLKNTTLIQIYTSNSSLPNQTKLGSIINNTTVYFIYGQFFS LLDQNELKLTILYEIKKNTLISTDSLTILAYNFVVSQRESTSQTIFIQQLNEILTYVISQ SGTLVQGNNILLYQDIFYMGYYYSYDEYYQFDRIMLIVLDDDQDYMYLVDIFYSVSGYVK LLESKQFLKKVSRNAQIFMNQQFIVLHYNNHRISILQNQGFNKIIIRNFDLHNNNTVVYF EGSTQELLIFGDHIEIYTLQIPTLYFASNEMSGSFSIQAFEIEGNFQIRKCQTVIHYLQV SKIDSSVYQIFVQPQLSFFNLLQFPFIYWITFVSGSLLNVSNMVSNPSLGSFSDSTLQKL HQSLDLTQSYEMNATTINLIVFFIVAINNQTLDLYQEGTILPVSSTDITKKNGSVTQIEI TFAILNQQLYFLVCLGIGQNMIQIYEYDRQLSLVNITTFQTQSFKQFQLLSNALVLLLDT NQIVITTFDNQFFILLDSKIFNQIVGSKIDFNPISIFINQQYQSRILYINNQNSFIIGQI TERFNFMLISIKKVFFLIQNLQVVNNQLILSYIQQDMSVVQFEVWNVKKLKEPYFQRSMR SLVLELNKDSIFFYSDNQFFYVQIGLIMHIFNPTLSEHSSLYYRIYIDGLQFASTATDSM SLLYFNQSLFLLSPILLQTFYNFSIYSDFLSEQIFNFTISSQLNQQSKINSLNNSVTIIN NYEQIQMNHTQVNVSQSQSYIEIFRNNISVEGQILQFKMVNKNKNQDNYTLTNYIQYFNL TYDQANYNLITQLDNSWNIQFLLMNNYSILNYTNKDKYFFGPYKKCIASTAYKFSLFAL >CAK67614 pep:novel supercontig:GCA_000165425.1:CT868052:641515:642873:1 gene:GSPATT00006921001 transcript:CAK67614 MNMRFLPSKQLLIKLNFQCRKATQTAKFQSINKIMNQLLSLLQLIFFIAQSQESGKNIYT GFSGQNWDISGWYIFNPYKDLNYDPYDYPFSQCNGTKLFGGYCVFGVDSLISSKFELPPH YSIRISLDLWNWDGETFQIVLDSETEQKPFFLWEGQQMCGNQGEIFLEFNTPMIITLPKH CLLNLDVIMTSTLNQLAYDESWGFQNFIIEILECPQDCIFCTDLTSSCYFWRSVKSYLPD LKPEEGWESDNQIIMASECLGIKIYGGTNLLKGKRIDKILETQIPHFKVQILIKLWTFGV WDNESFSLLIDDKLRYIKKMQSDKFVLKPYYLLETKITNVDVDQFHSSPKIKLSMITENN RHDLAYWGFESIDVFVGICSIGCQECTGELDSECVICLNKWINVDIGCIGIDLIYQISPS FRMCLS >CAK74903 pep:novel supercontig:GCA_000165425.1:CT868207:2:2980:1 gene:GSPATT00001105001 transcript:CAK74903 EEALQNYDSAIEKNPENSDLYYNKAITLDIMNRFEEALQNYDSAIEKNPENSEFYKGKAI TLDHMNRFEEALQNYDSAIEKNPENSEFYKGKAITLDHMNRFEEALQNYDSAIEKNPENS EFYKGKAITLDHMNRFEEALQNYDSAIEKNPQNSDLYYNKAITLDHMNRFEEALQNYDSA IEKNPENSEIYKEKAMTLYIMNRFEDALEHFNSALQINPEETRYYIGKANVLSEMNRFME ALRNFDLAISKNSEDSTYYFYKAMTLCKMIRLEEALQNYDLAISKNPEESQYYNYKAVTL IKMNRLREALENFEVAIQKNPEDSKCYAGKAQTLHKMKRLDEALENYNLAIQKNPEDSQF YNNIAIILSEMNKLTESLKYFELAGSKNTKDLIYYFNKANTLLKMNKLEEALQNMELAIQ EDPEDSICYNGKAVILSQMKKLEEALEYYEYAIQKSPNDSNYYFNKADVLHQLKRYEEAL KYYDLAIKRNPDEHYFFNKANTLIQMNRLEDALENFNYAIQKNPESQQNYIKKAHILFIL KRYEEALKYFDSAIQKNPDDSKYYCDKATTLYEMKRFQEALKNFDLAIAKNPEDSTFYFR KANTLIEMKNFEVALKYFNLAIQRNPDDSKYYFNKATTLIQINRFEEAWKNFHYAIQKNP DDSMIQDLIHKMNKFQEEFKIQMKTNPIIQAYTLNSFNQFQEALKFVNFRNRNSNMADDN ELKANILKSMIKIMEVLKFIDELINRIESSKYYTEKAGMQNKKNNEPQNYK >CAK74904 pep:novel supercontig:GCA_000165425.1:CT868207:3016:3699:1 gene:GSPATT00001106001 transcript:CAK74904 MCRWKESSELYKIFQQIYQNQEFLNKIQSSYIKVFLGNILICQYQLTLQSMIEIYMKSNI NIFIIRKQQIFHLLEYYSFIWQGLSPIIQQEELMAWAQLLHCLIIILRQILTIGYQQITF KNNLHTKTAQNIHPQWVFRVLYQSSSLKISLLFFFFQALVKFDIHFQFPQVQIVITHNPK LCKVFKNPVLYHIFDSPINLSIRSNPSSFSYTVQENIINLLYQINLH >CAK74905 pep:novel supercontig:GCA_000165425.1:CT868207:4619:6937:1 gene:GSPATT00001107001 transcript:CAK74905 MKNHIHCQGRTEGIVKIKGHKNLDFQDQFGIKVCRQGYLLEEISQSLFQVAQIEKINEII ISQLLLEVVTILADLQEEEIALPYITPDQIMRMKDGRFKLFDVNHAISVIGMDSSVQREI KSDINYMDPDTFAASISGQISTLQNYFKSVVYSFGLIILEFNCQQQELYNQHRFSNHRKT EEQIAFMLEQLSIMNPNISDLVNQMVQSSKNKRPNCKEVYDHMIEIKNAIENKVEIQMGQ EFNQQQDNTFNWTKQFTVSQPINENFFSQEQFGETNKQIQHQQVQRFQDSLAYSDNCQTM QFVQSGIKLPENLRNQIKQWQERQQQIQSQQDFTLRQDEPTSNHLESSDEIEQNFYNQMT FAQLVEILNRFPLIKQINENDLMINDEYNIDEQQNIFRCTYQNQEKVVKIYRNKPASHIF QLLNNINHIQKLKIYGLCIYEGYYLQESENGSCLGTLYLITQQMNCDLERALAIPQIHQK KQKEQVILNISLFLKAYNEHFTHGNLKPSNILLDNSLNIYVTDYGLSEVKGELDDQLYSS KPSCVYSPPEKTLRNTLSNTSDVWSFGIIISDILTGQKMNNTIIQKLGMKDHTYQGHLSV DKLDFFPATEQNNKMKNLLKQMTLYNCEKRITSDLVHNELKIIFQWTDQSNFKSNNTNNF YPGNKISQSNIQKNNYVQPQPQLYSINQNQQSPSFTSIKQTIQSNQNKIHRNTGLSHKIP PPSARQQRFDKSGGEFYIQGKTVNLQPQDGGKQKKSQ >CAK74906 pep:novel supercontig:GCA_000165425.1:CT868207:7406:8537:1 gene:GSPATT00001108001 transcript:CAK74906 MLNKIFIRAYFQTVKAVRFTFDEIQNCSSNLNAKIEEAYGSHGLGLAIVSGIPNYSKMRL ELLPLAQKLAIQPKEYLKSLERPEAFHSKGWSCGVEQFKGKFDKSKGSFYNNPNYDTPHD LGKEYEHLIKKGSLIRLDNVWPNRIPELEGAFKNLGRLMVDTGALLSYHIDKYIYSKCNT YEMGKLYRYIRSGDSHVGRLLHYFDGPNTDEWCGWHNDHSALTALTCPIYMHNDKIVDYT DKEGGLLAKNRYAEIMKVGMDPDCLAFQIGETAQIVSGGIVEATPHCVVKSDETVRRQLN RNTFAVFMGPLFNEVLNVPKGIDKNKALNKPAYNIPSLLGRWDENMTFLEYSANSFKAYS >CAK74907 pep:novel supercontig:GCA_000165425.1:CT868207:9586:13053:1 gene:GSPATT00001109001 transcript:CAK74907 MSKKSTQVMIKSIIISEARGKFKKCQICQKEFGIFHKEHQCKRCRRAVCQNCANHHGPIL GDAGFSKQTHRICNTCKEESDAIKKFIEQYKIGLGKDTFSIDWLKASGLTVDIAKKEYEF AQKENDKAKDKGEFLKMKQELQLAMIDFWLNLNYSLREFLFYLLKDLELDQLQQQICRVL GAILLQYPEIGYGPDQVILTIFLLCFCSEASAYTILTILYSDIIPSYLYPLNLRKTPYDY QHEIDQVLQVLEQGFKIKSSEIQNIKPFVRTRISRYVLPLGINFYQFQTSFYMINQILFG TKTGYDNFIKCIAVSYYQQFEDIKIMINAQDDAEAQILRNVKSTQCEKDFLLTKVIIFLP HKRVTQSVVIQRSNEKKTSNQIQPEHNRDVKVEIQIKTDNSMTEYAAVQDKSFQELRRKS VEVKATPEADEEKTNLKQYIEKIEETLMLKHKLVTELQNRIKELQNQPPQINNTLDVDKQ LIEANEQLRRRIQEQDVQISQLEEQNKYSNKLQEDAQEMVTSIQQSNKELEQQLETSLRT NKDLYLQIKDLKNQSSKLEPPSPLTPSREKNYQINIALVQKKNEEQLQSKLDEEQQKTVQ LQQQMNQLNKELLGLQQLNQDKLKIIGNLEVRLIELDSTRKENEKLTLEIAALFKKIEHH ELVIIQQQEELDDLKAKYDHLFKQHEIQSEELDQVRIEKRQNLEEYDRKENELLLIIEDL KNRLAEVNKQYQTTSDQLNQCLDNNNQLQNLVQEKDETIKLLEAGIAKGVKKIAEIEANH HKQQDDDRKKIEELNQIIEQNNKKIKDLEDSIAEKDAKLEEHRKEFKALKIIFVSLEEKW TKLDNDHKDLSGKHEKLIAECEEWKKKFKDQDGEHQGLKEKYRIIVIEYEKVKGNTNDKL NELKSQNEDLQRKLKALEDKHQLLQNQHQALMDRLEKETQNHIKKVGELDLIIIGLEKKA HEYKTLSENQKLEIVNLQAIIKELEAKIGQTEKDQQNRHQLLLVQITQHLEKVTKLENRN SKLELDVLELEKKIQELILYNQDYKNEIIKQDEKITILIREGQTKESEILKLIEVIERQK LELIDYEKIIRELRQKIQELELIIVEKDKKIVEQEKSIKKLQTLLVQFKTLSEEMASIN >CAK74908 pep:novel supercontig:GCA_000165425.1:CT868207:13545:13967:-1 gene:GSPATT00001110001 transcript:CAK74908 MQLWLPSSFVKHQSRILIIQANLFTFEVAQFHFNHFVQNFTLKFNYLDFKKLVINGLPCY GILNEEFRTYSNLSISSPLSQDIILAGNRFSDTISAKKILSNEKAANSDPKQSKKIVNIL KSCENPISLQFQKNASRTLQ >CAK74909 pep:novel supercontig:GCA_000165425.1:CT868207:14107:14508:-1 gene:GSPATT00001111001 transcript:CAK74909 MFDNQVNEDVDFHNSNNNYSIYALKSYILSSFYQFYYQIVQDIFYLKFVFLINRSQFHLI QFDGKFFLYQNNFLVKVVFSFQFKLQIIPLVFHIYSHFHLLLLLLWDFQNLPQFSIPYLL ALFIQAYIQFIQF >CAK74910 pep:novel supercontig:GCA_000165425.1:CT868207:14968:16090:1 gene:GSPATT00001112001 transcript:CAK74910 MKRFLTSSSNKLLIQQLKHLQDQINSKEREYKIEIQKVISERDLKKQRVIELQKQRLRFQ ISNLNQELHQQFFILKQLNKQIKDYEIQQNQSRESKLENNKQQQLRWDRLLKLKCKYQIE AQNQEIKSYTARLEEQLTTITELKYKVYEEQVEELKKSRLTIKFNKQVNSKSIIKAIDNH RICKINTMIQKRDMMLNSTVCDLSQINKLNTALYNNDKWNKIKDKLFRKQLLDYNEIADQ HQNKKKELQSLTDLLDSKLSTIVSYETKRLIQQINQRNQINNQDLWKIKVMIIKVDMNWL TRVRYLQRKRQRDKFDGSQQNRIAGINLFSLEENQNLRERTLPFLKYLIIKRVRYLITIA QH >CAK74911 pep:novel supercontig:GCA_000165425.1:CT868207:16305:16724:1 gene:GSPATT00001113001 transcript:CAK74911 MNSFQLRSRLDDLEGQFKQSKIIIKQREQQIQLLKSLLDNQKQHLLKRKGKYQNNKPVSN SNNQENMKISEYQFIIEQLTEEIEKMKRNPQGLGEDLTELLTQITSVFLKKINQLSLLFL IKLHYFKRKQESNNKKRRW >CAK74912 pep:novel supercontig:GCA_000165425.1:CT868207:16895:17211:1 gene:GSPATT00001114001 transcript:CAK74912 MYFKLLLDSQAVSTKFEVELKSYQITQVLKDKLYISELLLKTPLFTQQQIQRFAEPKVSI NLRKYQDQEDARLILEARNQQIWWLKNLIKESKFSQP >CAK74913 pep:novel supercontig:GCA_000165425.1:CT868207:17315:17929:-1 gene:GSPATT00001115001 transcript:CAK74913 MDIHKILELENENVQLRSELRSLYEELDQYQIYFIIRKSTDQKLIEENNSLRQEILQLNQ KLQQSEQQKQSTTLKLIDQQELNIKLSKTIINLQSNINETQQNDIQNINQYAIQNNSNNN YMQQLQVLRQRYQNKNEMDSQYKDQEIQKLYVQLSELQKINNNNRLSRPLNKENMIKSQY RPRGISEKKFNNFETNFTESRLFH >CAK74914 pep:novel supercontig:GCA_000165425.1:CT868207:17991:20868:-1 gene:GSPATT00001116001 transcript:CAK74914 MEQEIIDWANTFENTKSIDDLKTGVALCQIISKELFQDKRQCELKIRVIKCTKQDYQSCI RNLTFALALLEESQQVQVKHLTGQMLYQNPLKIFQILQQFYRSKSVSSASSKPPKAPQKQ DEPLFYVDENLVQPNQSIQMTYNEELIANKYKMIKPNSPYQETNNNQQRSQTHQTEYAEN SNRSKPQNQSNDSISNLTPIKSNKDSYNQKLKYELQVLENQIAKEQQNLQQKNNRECKSK HSQESKSQHDELNQDQNFNQQQQQQNSITNEQKQQLIEWLKQIRLIKSNAQGLEYKLPKI CKNGVIFFDLINRLTGRDEVLKGALRNPKSLREIKHNYRRVLEYLKQLEKMSYKYLSSEQ QLVDGDEGAFWGLMHDIKVYYTNCGNAAITNCSQSVDASLRQTQQQQQVQQNTQLFQQNS QSKLQSQITRSQKKQLHKQSFADTQLEITIDQPQQSKSVKPSTANRSPVGPYSRIHNMKQ EYDSVNRSLNSLMKKSQIGSQNGTPVNQSLQHSRNFSSSKQQQKARSTSISKNCVTREME LYVQTYFKQKGLYNNENLFTDPIRNGNYIIQLIEQPVTKNNFKSILEVEFNVDSALQATK AIADAGNLPAWIGKIDKSSIMQLDKSAMGLYWWLLKQQTKKIEPNINQFTLPYRQEEIDQ LKIVTLHFAREYTSTIQNFNDLIYQCQTGILLCQIETEIFQQKITPIYTKPIGEKQCIAN IRKALDYLKGKQIGQRFVWSEKLIYEGDQLIILGLFEDLRRYFDGLPQRQGDSYFEDGPY LKKSIFQSKGNQKVEQIIQQEPYSLIQKESSFVQPQQVNKKLIFDDPPQFEWLNNFGFKI NWNNEVLEEFKDGNSIIICTIVQRLENIQFKGIQQKPNTNAGCLVNIRKAFSVLKEKLDM PLELVIEQEKLLKGDKEYIIKLLTVFKNIYKNKLKSK >CAK74915 pep:novel supercontig:GCA_000165425.1:CT868207:20905:23336:-1 gene:GSPATT00001117001 transcript:CAK74915 MQRQDSQKNQEMIVRFLNFCATLIQKNVKGYIQRQRHKIIMMHIRRFKAILNGFIQGYRT RQILKVPDVAKLRQNIIETDDGTYGNPQIRQKKIRLIRMIENYLQNGQFYKKLKRVKSSQ RQFVPNQYLDNEFVSQDQKFQQNYYKKPSKQPLQANKVEQQYYDQLIQNEYSEQPQPYYQ EYMQQNSQIRDQSHSNSNLSGQYQQSQRIEYQQDERPIKSKNQANFAMQERYTPEKNSYD DDRPICGKGQYNYIEDERPINAKNTNTYNNFDDERPINAKNANTYNNYEDDRPINAKNNN AYNNYEDERPIATKKANAYYEDDRPVAPKNKGAYNQYEDERPIKKGKDTYQFEQEQYLDN DRPIASKGKYQQFEDERPLGGKGQYDIPADEIPISGKGTYGNFEEDAPPKKQKQPPRKKA EQKKENKQVEDRQQNLYDNQNENEPPLGKMQNDDFNDQEKPKPKKKDPKLLENLKKRTKY DPRKAIQEAKKKQEEQQQLEKVDVEEVEEQQEVQPQQQQQIPQKKQVLSQQNLQERQQSP KTSKLNLSATPEKQGPSVEQIGECSPKDDASDQKPKNFLKRKSKQIPLKNPKVDPKTVKS KVKNCWNAGNTMDDQEFDGNEDIPQSPKAYINNKSPSRRFEQKGSEQQLNYQQQQIQQQQ LISQQQQIQQNKSQGPLHVQVQLVQENVSQYQINPSHQANQQQQQKRQSIQLDELERAYY SKYTQKLETTKMNLKVLDQERQQNPRGVPIITTKSRFFTSFRVNDFERLLAQLEDQYNKL QISK >CAK74916 pep:novel supercontig:GCA_000165425.1:CT868207:23377:24538:-1 gene:GSPATT00001118001 transcript:CAK74916 MGNQQLSESQNEFLEKKLDPNYGEITIYKNKANNMIFAEIKQVYSSDSLAQAIKASLVKR LQLNHKCLIKILSFDSGKMDDFCSSFTILSITSEYLNETLQNDIHIRKVHKTIYSEQELC YILYEISNLCHYMKTLNNEIIDVYPHRILIDDKRQIKYYDQFLENPKLSNYYQVLFGQRD LEYVAPEQLILLAEKDKYDINNQELVNVFCLGLMMVSLISGQRCVEFYNQEKLLFKRDYV NRLIDKYCSRYQYSDFFKSIIQAMLKLHNEGRPNYQSLLQVLHPQQDSFATFLNPIGKQG EMLESQFHSFRTQGSVSRSHILSSDPVDFSEIDRRILSARQKAQKTLDQVGLDLKLSNFG TTQINIIEASE >CAK74917 pep:novel supercontig:GCA_000165425.1:CT868207:24590:25748:1 gene:GSPATT00001119001 transcript:CAK74917 MQEVILTNDAKAKVLHDYLSQTYVPQLSDHLFKTDEYLKQESEDFEKIINQSDELTEELV EKKTIISRTVKQLIDKIQSEQEKLKKSETYKKGKKDELAAIQKAIDSISSAMKETCSKID SKELNKIGQLPQGMDQFMSKLFHIIYNEDQGKFNWADFKKKVFDQDKGADFQSRLANFQF KGVSQEKENLLLEMKADPQFQKVFQDPQYTKAFLDIADWIHFAVSGIENQKKKDQSAVEF ERIDKEFAGRKVELESYQRTHDFWVSQLKYVEDQQVLLHQLQPNIKQVAQEIQGSKVLLE QHIGKFIQGAEKVNELMIPNI >CAK74918 pep:novel supercontig:GCA_000165425.1:CT868207:25757:26589:-1 gene:GSPATT00001120001 transcript:CAK74918 MSLSQRITVTQKGRELQEQLREQNRLPQKPALVKDSQDSLAHFFDKELKAQDQLNSYASL IQNRLKSPEQIIEFLETKFQKQPLFVQKEMQKVGQRIYHYGNRDIQSQAVLPQLDFKQKQ YMKNKYANYSENISSRQSRHKFEEGLTKKVNFMLFQEGKIERMITKYEKFNEKAKLIPQY KKDDKKGEDFMKQLLQRMDAGQETRVESLQEIRQQHQAELSLLEYQNLQKERLRQTMSDL HKSKYGRYWNKLKLSPKTDK >CAK74919 pep:novel supercontig:GCA_000165425.1:CT868207:27239:28585:1 gene:GSPATT00001121001 transcript:CAK74919 MKFDKLHSHNSTPKTVEFASTTKSTFVPPPLGLDIVTMPNNKIFDLFLKGSISAKQMLKM DHNDIDNVPRIAPRRQQTRHKSIKKNQADQIFSLVERFQQQRKQSVIIAQNPKPSHPPKL QRKETLMQLTSSTKELIQPIQQSRFRAPVRKFSQRSTSSGKEEQLIKRCEKLSGERLNVE EKELLLKKDITDEDAWRMMNVFQKKKWFFDYVHRNLDQQSKQQQSQQEIKVQAQPISLFQ KLRNTVLKQTEVKRKPEKQCQEYYNHIQNIEDQIKYHVFNRDLPEQIRNQQKPSVKMYRS ESEVSKLNIRNKFIEAADKFSINQSNPQVLKDFFENGSQTCRSQPRISKTKLTSRKVQRP QLSRENDISHESQGDSYKSITEFRLNELYHESKLRQKQYKSKYDTPIIDNGDQLQRKVKN LVRLGNLQNTILNVVSFKLQQ >CAK74920 pep:novel supercontig:GCA_000165425.1:CT868207:28643:30148:1 gene:GSPATT00001122001 transcript:CAK74920 MLQNKIYQPNTPKRGVSHSESFKKKLLKLNLDSLKTHETETQMNSDLLTTSRAHHNKSIS STQFQRRNSKYPAILQQLQQQYSTAFKNKELDKAIDAVVDVMILHVVRDNILGLLNAYIL VAETYLKFTYYSSAIQTYTQLLHLCDICNDVEEVQKSFRLKVLLKLSNIAHNLKMHETAV KILKKLLQYSWHFKDKYLEVVCFDKLGQEAYLQANLEKAQFYHCRAIWGRSEPENSNHYQ ISTQNIGQYLKKLPKGIQHIDQNLVSKATFLPFTFISQTQNQQTINNALQYYCGIEITNY YLKNMNVIKQPHRFLSHVTPEASFQKLFITSQFEFEISTPRQAKQDSHSNDLLRINPQDL FISVLQNSNDREEVLYMNKVKQQNKMGGFIPPTSYRIQRKDQPKIDKKLLKQPLIKMINE RLTSQQNFTEIIEERYRAARMNHSRVPLKDQVRISHLSPNRDLLNYGECLQATADPDQMF ITNILF >CAK74921 pep:novel supercontig:GCA_000165425.1:CT868207:30252:31671:-1 gene:GSPATT00001123001 transcript:CAK74921 MNTCFLQNKQRELTEKKVIEFMRYLFGIYYQSKRITFEEKDWDQLEKWIAAFKKMWFTQK PIHKVIHKIGSTEYSTLLLLPNHNIIRSITNSQNTMLLKNSSHCYYNENEYLIQNFWNQC SDVINQSMLKFTGKMQQEQSFIAKRPNDSKIWDKFLGFIDPVYLIPRGQGKLSNQERQML FECDQFFYTIPSAKFTYSEFDIQKQKVQSCPGDGSDFRNIKPIVVSDEFHITPNFAKALF DGGWLNQILVDYFIRQYYPQQQLINHREAKYQIVLMDTRQAQDIFSSQITQVDQINLEYA NQFFNPINCQENKSLRLVILINVDRCHFICICIEEDTIYILNSMNSTWSDEIVGRQISKI YSLFREKQRQLRNQSCFIEQSANFLELEPKQKVVKVPQQRNAYDCSIYTLFNSMQLIKHT DISVTEINFEVGPKQISELRLQFLHELVNNNAFLSNQKKWYLNSR >CAK74922 pep:novel supercontig:GCA_000165425.1:CT868207:31927:32524:1 gene:GSPATT00001124001 transcript:CAK74922 MKGFLQKLMGQLKQEPNLTQAGQFFKKVSGTSTHQQNASNPHIVDKIEQLNRIIIQGKQR DFLMFRIRQVITEAQLGHNISPKLQDACEVVHYALLNNIPVLISKVKLVHPEFDLSDQKI VDEREFEKQKVEANPELKSEIEVDTFANKDQTEYYDNSKHKWKQQQNKKR >CAK74923 pep:novel supercontig:GCA_000165425.1:CT868207:33086:34350:1 gene:GSPATT00001125001 transcript:CAK74923 MNQLKDTIQEYMNRNVKPIFDQLLAQLLLARPDDIVSWCINWLQQLQSTNSKAKHSEAQH YQSEEEEDEEDEVLPIQKETKKTNRTGVSAEVYGQFNKKEDFKPRVIEKNKDQIDRIRKK ILNSFLFQALDEQNLHTVIDAMEEKKFQPGDYVIRQGDDGNELYVIDEGELECTKKFPNQ PQEKFLKKYLPGESFGELALLYNVPRAATIKAIQPVIAFALDRATFNNIVKDAAIRKREQ MEQILNKIELLQSMDTYERLQFCDVLREVKYLRGDKVIKQGEQGDTIYLIAEGELEAYKD GQQEKVYAYKSGDYFGELALLKNTPRQATIIAISDCTLYYCDFKSFTRMMGPLEQILKRN VERYEHFLK >CAK74924 pep:novel supercontig:GCA_000165425.1:CT868207:34554:35078:-1 gene:GSPATT00001126001 transcript:CAK74924 MKLQKNYTKQTQRYILQKGGENPEMEIKEYKENLSMLMRCLLLLKRLKLKFNKRKNLFKL QDKINITFKITCKFQYRFKRKKFYQVKSNNNRQQIARPSSKKRSISYRYGKQRVNQYPQT EFVIDQKRPFELELKKQELRKRAELLKKIKQDTLKCIDEINIIQYHVYYSSFNC >CAK74925 pep:novel supercontig:GCA_000165425.1:CT868207:35134:35259:-1 gene:GSPATT00001127001 transcript:CAK74925 MIKAMIEQLLTDIGVENEEQLGNASKQDQKIKIIKSSLSNC >CAK74926 pep:novel supercontig:GCA_000165425.1:CT868207:35808:36234:1 gene:GSPATT00001128001 transcript:CAK74926 MGVRVTYRRRTSYNTRSNKIRKVKTPGGNVVVQYPNKKTSASTCADSNLNVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK74927 pep:novel supercontig:GCA_000165425.1:CT868207:36266:36987:-1 gene:GSPATT00001129001 transcript:CAK74927 MNWKQVYAFSEHRTKRILSGNAKFKEHKSNIHSPRSKTFFNIQDSQHSHKDTMIGLQRIS KEIVESLTKALTPNFKVRQNSPKPIPQEQEQKQILKSNKEPEIIRQPLEQMENVKQNKEI ENVEYINFTKLARKISQQPIKEIKIQKNEQKITRQRSQDFHSKQEEWLRKKNEKVFKQRI EQQCQQQRKENRLSFLEKSQTDRFQ >CAK74928 pep:novel supercontig:GCA_000165425.1:CT868207:37000:37412:-1 gene:GSPATT00001130001 transcript:CAK74928 MSEQQDHPSAKKRIRRCTKHDETPRPYKCGCGRGYYSYPALYTHLKIKHEGQPPAGTQIP SDKHYGSRGRPREKNFKFEEESKHQVFIDEDKREDNQKDEKATINQ >CAK74929 pep:novel supercontig:GCA_000165425.1:CT868207:38136:39159:1 gene:GSPATT00001131001 transcript:CAK74929 MSETTQWIYKSKELLKPIVETQLIGVSRAILGLPMDHFFDRFKTLIQASQNSNFKQLFFE SYYRNGIFRGIFAGFSSQISIQLFKQYYRWPMMIFIPKFYMEVLPTKIKEWAPALHKGLA GITIALFESLVTCPFERVKCQLMTQQQSKSVLKYMWQHDGGIKGFTRDLFTGMEVMVLKQ VVSWTNYLYWDHRVRYFFKERPSSKLTVQEIVLCSIFTAIPNIIIVQPFDMIKTQFQMEN NHQIKHLSIWNAFKKVYLEKGIPGFYAGWQMRFCQFMFQALLTTPVMDYLERQHGIPLEV L >CAK74930 pep:novel supercontig:GCA_000165425.1:CT868207:39380:40904:-1 gene:GSPATT00001132001 transcript:CAK74930 MSKLSQVLKSHTDVNGVTLFDHINSFINEVLQSNHKDMNSIELLSDFTKKNRFLFKKLSS ESEVNNLKDKIPEFADWVKRVSQLLKGQTVAQMPTILQDFVSSARLLEAAGYGFGEEETY QIQLSIKQLSIETNATEMTFFGKILCRNSDYYVARGVVPKLPTDYLAPNAEPRGDGVNYY TFWVTNDILTDWNELPLVTPDQVKVAREIKYVCTGNLNQELKTYPPFPGKEKHYLKAQLV RILHANLIAPKGLYQQKDDNPKELEFVEDFKIPEFAELQNPDSWVHVPAQILKQGRITLY TDGLSDDEQKALLEANPDLDSETTRLKGIAEDRPLEPFYQTNWLIKTFGDQMIYPPKDEN SGNNVYATVILKNYTWSGAVTVANQNQWAFFYVGYGFKDTQAAYVPIQNNDLQLEPEDKS EFPEPNPKNPPKPPEPEKVEGQDGQDGQDQQADPDDQ >CAK74931 pep:novel supercontig:GCA_000165425.1:CT868207:40921:41886:-1 gene:GSPATT00001133001 transcript:CAK74931 MDDTQQYKKIKESLLLMNFLQSKERYQKSEKIGKATYGLVYMAKNNQTGEIVVLKKIRLD HKDEVVPLTSIREISLLKEVQIQILFFQKMQFMMNQELYLSLSLWIQIQKSAWKMQLSQL DKVQVKKFIHQILQALNNCHQNRVILRDLKPQNILIDLKQAFSIYHEVITLWYKASEIYW DRNNTQLLQWIYGLLVVYLLTWLRNDHYFLFKIFKMMGITKKSTWTGVSIQEQFPGWPTH NKSCCFFVKGYYKFMSIRIRSTCQDDCL >CAK74932 pep:novel supercontig:GCA_000165425.1:CT868207:43072:43316:-1 gene:GSPATT00001134001 transcript:CAK74932 MSSEEEVLLSSLFFQKMKQLQALPIRNYLDQTVVPLLLQAMTEVAKVRPPNPIEFIANYL LQNNPEKAQARQQ >CAK74933 pep:novel supercontig:GCA_000165425.1:CT868207:44067:44399:-1 gene:GSPATT00001135001 transcript:CAK74933 MLSKRKVSSQNQEESSNSIEQLCNKIRKVVVSEVGEKYIQNHSDKGVKKKRTKRKSKRQD EQQLIELIQNDPVEVEEQLSSIQAISESQSWVFEELFEEINKLNTHQEFE >CAK74934 pep:novel supercontig:GCA_000165425.1:CT868207:44482:47081:-1 gene:GSPATT00001136001 transcript:CAK74934 MQQNSYKANAGIGVTFNTNLPTSQVLKQIAQNKDQTQQNKQAQDQELALIAQQTDHLRKR ISNIFDLPIKLKKTQNFMIEDIGLKVDYLFKKSHLNQGNVDYLSDHIKRLGDRINQEMKK KQEQYHSSIKIDNFRNFSQIQQEFQKNKQIYNSFYKTELKTTVRQKERLVDILTSSNRTE KLVSHEIQLNQYNEAILEKLFIDNHLYQVLSNFIKNKNDLSIYEKLFRTLELFETNHNVE MRLLADQFKNISNTLNQQQKCEKIIRNTVAHLESEMLKQVGHLDLQNLNRKFKEFYLPYL QTTELYQIGQFFPSGILFLLMRCGKANEAQKILSGLISSDQRIAQQFLSFFDLQKQEILN QNDVEEKSRQQCCDILLQQCYWLLLDVSDAYDVFEQTQFQKDRDFYIWLTLKTTHCSDQF VVYERSEQESKKAFFHWKLIDLHETIPQSTVSLRINIILQRYDYILSELYKYQNQCLSQV EYFILDTVLSDLHMKTQQNAQAEKQKKFVIDNLVNFTQNKHPLISCLIMSVCSYNIEAIA NLLQKSNHVTQVLNEKNVRDNLEIIFGKEELLNITNEMINLFFEKNLHNSLKEFQQQLEK SYTMNQINDCHTILILKLDEMSVYLKQLLNQNSEILLETAIYLYHETQLVFILSCLIVNQ YCIIRLGSQIEKRQLQAKNLENLHSKIKSCAKESKLFKYFYRFQLIEIFQQAYLSMRQES INLNEINQTLFNMNIKELTKSLIENKQVHLIKIVFWQILLLIDQKKCNDKSHFIEKIKEL NEALLKFPESQGIKICREDVQFSQIIVDILNSYSKVLKMY >CAK74935 pep:novel supercontig:GCA_000165425.1:CT868207:47503:47800:-1 gene:GSPATT00001137001 transcript:CAK74935 MNIVKTIRQAFQFSRVRYFVQQKDPRINADYLDSKETKIPNVFTNPENINEDAEYSKVTL QGKEVKSQEKLRIKAKLKNCKEINGVIAYI >CAK74936 pep:novel supercontig:GCA_000165425.1:CT868207:47864:49320:1 gene:GSPATT00001138001 transcript:CAK74936 MIAIVQTPSTVNSNRQSKTPGEYNHFRRILKTRQRFPSSSDCKFRKSETAPLKKQSANQV MEKKVLQTLQDRPKTQTDIPERPPEYYNLFNLPYKNYKYQRPPGQINVEEYLEGTYKLGK IIGIWDKSLLHKKLNVNNLQIKRSNYTSDHLIKARKPQGPSSCLETIYIAKLQLDNEQSD ETLIKMKSMEDNLKQDIQRYIVEGSAHPLQIKFPSQSYILNRWTRQSIGQEQPQCSKCEL IPKFTFQKNQVNNSVESFKRSMIQFQSQDTSSQVTELPQPMKFYKFDPKINSILHLYKTK GMTTFCLRESFLCLKKPIIGDRLLLSFDLSPQNNDEKVSYKQFCHFIRVFIHKKANLKEM IDSVLNFYDPEKQQKVTNREFININRILCEQFKEKHPTVKPIYQELIQNFKLSKVISDEN DTYFDQQAFKHVFISNQMHIQDIIDLISDE >CAK74937 pep:novel supercontig:GCA_000165425.1:CT868207:49352:50881:1 gene:GSPATT00001139001 transcript:CAK74937 MMKIEEVKSTTKTQRIAHHSHIKGLGLQEDGTALENASGMVGQQIAREAAGIFVDLVKSK KLAGRALLMAGPPGTGKTAIALAVAQELGSKVPFYPMVGSEVYSAEVKKTEVLMENFRRA IGLRIKETKEVWEGEVIELKTEEKDDQTGYGKVVSAVVITLKTSKGSKTLKLDPSIHENL TREKVTIGDVIYIEANSGNVKRVGRCDVYNSEYDLEAEEYVPLPKGDVHKKKEIVQDVTL HDLDVANAKPQGGHDFVSLMNQLNKKKKTDITDKLRGEINKVVSKYIDQGVAELVPGVLF IDECHMLDIEAFTFLNRALESTLAPIVILATNRGYSQIRGTDINSPHGLPVDLLDRLLII RTTPYNLEDIIKILAIRAQTEGLKITEEALQELSNIGNQSSLRFAILLLTPANILAQTSG REEIAIQDISEVHELFLHAKQSAKVLEQQADKYIS >CAK74938 pep:novel supercontig:GCA_000165425.1:CT868207:50961:51656:1 gene:GSPATT00001140001 transcript:CAK74938 MNTAKVRNRVLSQQKLKSKNQRINGQFSEPNQLSTSILLQTHEKHSFPKAKRFPSVSRES SPKMLLLCDTKTKRSTSFGFGTKLIKPLDMEKRDKLNPAPGDYQLKISQNTKSFSFGNRF VKKHRQEVPGPGQYQYKLLSKTPQFSMYEKIMYAYITIFSDKQVLPQQQASFVTYNPKEA ITLNQRFNQISFGIGDRPKQTLNEQIPGPGSYQCKSLFDLICEKRKQKVSA >CAK74939 pep:novel supercontig:GCA_000165425.1:CT868207:51731:53266:-1 gene:GSPATT00001141001 transcript:CAK74939 MAAINERVNSDQVVTILQSLNYTQSQINSIVSQITSEIGNTINQIDINQFQQLLQPIEQE IPIQKLTSEQIYAIYADFRQALHFQNIEKVRQILSMRIVLLNSATYQKDIDLVNRIDPQT RQISTFIAIVGSDEDISLQILKYLCELGANINYKDNLKQSILFYICRDGRTKLFDFLVSQ GINISDTDSYGQTPLFYASRENRIDIIQRFIKLGSDVAHLDTLSCQTALFYAASKGHYEA CRLLIEAGCPVNHQDNKKKTALYFAKQSQKKEVVDLITASMQKQKEDVVHQKKEESVKGG EQKQNKKKQKEVPKQQYKILHTDDKGQQRELTNGGFQQFSIQYPDVASLILNADEFIDEN MINQFKEDEAWEKQAKKIIAQIWKAKGAYLFREPVDQQKFNITDYFDIIKKPMDFGTIKN KLNVNAYKTLREFHADMMLVFDNCGIYNGTQNAIGQIGVNIRNEYLSLIEQNGLNKYL >CAK74940 pep:novel supercontig:GCA_000165425.1:CT868207:53434:54005:-1 gene:GSPATT00001142001 transcript:CAK74940 MAAQPTPRIIKETQNLAKDKVQGIEVTPDPGNFKHFFVIISGPPNTPYEGGVFDVELLLP DDYPMSPPKCVFNTKIYHPNIDNLGRICLDVLKDKWSPALQIRSILLSIQVLLSSPNPDD PLNNDAANLWKTNEAQALMKARDFTLKYAKKH >CAK74941 pep:novel supercontig:GCA_000165425.1:CT868207:54585:56360:1 gene:GSPATT00001143001 transcript:CAK74941 MMNYSPLRQKGSYNVGQSRMSQDAQPNLKQQNAFTNQQQLNTSQHQKIQSQTLQVNTTTQ QQNVVSQQSFQSIRSVRVNQVSTRSIHQQSEAQVSTTNVIVPAQQQPLQQSQQIRREGPR SLRNYITSSPQGPLSHKSNKSFSLSRPAFVEYYPPVYMPHVEPIYKQTIIENPIKVVDLV RFEEMWSKRMRGIEEMLETKNQVIVQSENFELRAQNNPDSQTIIDLQNELFRVKTQLEER ERQIQLMKQQLQNARDQIEFESKQNRSASNILTSELNIKITNLQQTISNLQIDLKSVQEM AEKYKLENFQLKAELQQNSSMLNGKDEIIMKLKQQLKSSLDQYNLDAQSGKNVSQQIINE LQFKLNSLQQTITSQQLNLNSKDDLIEKLRMEINQLRQERQHMQDLCNQKDDTISKLQME LNELYQQVDQLTEEITTTQSVKTYEEEAKIWKQKFKELNDTYHACQEKLMVKEAEYESLS KQHSQQKIVTSTTVIKQNSSRNVLNNSEYTQSSFTQNNFTQNEFDKLQTLQKPLMM >CAK74942 pep:novel supercontig:GCA_000165425.1:CT868207:56362:57997:-1 gene:GSPATT00001144001 transcript:CAK74942 MPRSSKVSNRSFSHGKQGQLNEPIIITLQREIDNYTRKVEIERRKLFNLEQQHRQLQEEV KSKVQSVKKLKMDSIKKVLNKDQAESKSLQFQIDQFDKKLCKSVANIEIMKSNIDIIRKE RNQLLQAMKEIEQNHEQIHSRLISTQSQAQRKVHDAQITQQQMFDLKTKNEIDKSEFQEK FDKLKAELKEKQEKQNEKDKISKTNRLKATNYSTFDTGTLLKRRLQRIIANNKEKVKMID TYQRNMRIIDEAFNQIKEATGLTDIEEIKNNFIKSEEQNYSLWTYVDVLNQEIDALEDSN QELKEKCEIQEKENIERDRILTATPDGERKRKYIQRIIDQKQDEIDQFGNKLSQIQPIIE DILQKMIKTRFNHDPTRAYTFQGGFQLNESNIDQYLAELEGYINMLVLFKSNKYKRDGLN QNKFITGLLLEEIPTKEFKPKQQLNQSSVLPSQGNEEGSDFQKFLNYNEFHEMAKQALKE GDGQNFTSTKKNKK >CAK74943 pep:novel supercontig:GCA_000165425.1:CT868207:58284:58901:1 gene:GSPATT00001145001 transcript:CAK74943 MNSLLVSDQPYLDQQRKSTISPEIRNNIQSTLHRRTNHSQADLITPITVNQNHKKKAITK GLQQLLKSSPYLKLVQQKSQQQLQIQDSFSQQYLKGHFPTSNQLSSQLMYKADNHTPSLN STTSSSIKPRVRNYAKDRLQKKSFDSTNITSNRDKERIMISQLQNIFQRSSILLNTYRDE LQRHITEKQDLIKQIQLLEETRIMQ >CAK74944 pep:novel supercontig:GCA_000165425.1:CT868207:59204:60677:1 gene:GSPATT00001146001 transcript:CAK74944 MLNQQRLDQQDTLRNEYQSTRPISQQFVYTSGVQQVPFAGQQVQQEEFVFDGRNQAFNQQ GVQFPNNFVTAQVTNYPSINPCNPCQASPLHMQIVVVNREEIEAPWRLECEYLQSVITEL ESRQLETKVVEKVIEKEKIVKDTESITQLENELNRLRLSNQQEVSDLRRQISELQMQNAN LNNQLKQKDQELLLIKTSSSSSESRYKQLENELQNWKNQCRNKDQELAMLRDQVQDLQDA NSKLQMELSSLRLIIQQKDNELEKYRVTSLELRSAANESRDAQSRIRELEMEVNNLKGLL YQRTTECEDLRNQLSLNDKEYSSKINQYRFQISDLEQEILRLKTEIEKLRQLLANRDHEI DDLHLRIQYLEEQGTTVVQEKVTFLSSEIEVWKQKFIKINHDYNECQEQLMMAQAELEAL QKQQKKEVVVEKRTVTRSTVGSSSIQKQY >CAK74945 pep:novel supercontig:GCA_000165425.1:CT868207:60766:62652:-1 gene:GSPATT00001147001 transcript:CAK74945 MPKIENTTGMPINLIIDELKSDDIRKRIHSVKHLDLIASTIGPERTKNELIPFIQELLDD DDEVLIELVESLSKNFIELVGGQVQVLLPTFETLCRVEDASVREKAANQLKKCFATLPDQ KKVEELSMGIIKRLNDSDYYLAKNAVAILVPAVINQVSQNNQNDLINIILKISQDQIPQV RKFSSMYFQDLQMRPSFKTPQIRSLKMNRILLECIYRTAFFQKQQTFVLNMYKQLAEDQS WRVRYYFCDKLADIGDSVGKEGYRKNFQNYHLKFLQDNEPEMKSIAALKIERVSSLMDAD DILNKLIPLLKSIQQDSNSFVRNSLAASVLSLSPIIGKKNTSEQILPIFLTLLKDQDSEV RITLFKKLSLITNVLGVDSLSQSVIPALTELAQDKNWRIRASTIEVLSFFARAIGPEFLS DKVLKLLLDWLGDKVYSVRQTAIQQTAQLIQILGIAWADRNLLTKIWGFQSIQNYLQRLT VLFTITQIASSLNNDYILKTILPLLQSMSKDQVANVRSNVCKTCILLAKEKGGNVVEPLK KVLQSLCDDQDAEVKYQAKSALESL >CAK74946 pep:novel supercontig:GCA_000165425.1:CT868207:63471:64250:1 gene:GSPATT00001148001 transcript:CAK74946 MGNNCSDKEQINDKTKLDQLNCLNKLKGMAKPKLNSLINTSQLTQMDIPNAMLQSPTKPF IPKHNEIKSLVRVPSEDSLFFSQFNPSKDTQNEIENNSIYQLKKYPQPHTVLKSSLKCKS KQNQAQSPKFQSPSPYVKQQIVCHSHSPIYSTSKAEIKTKKSSKNSKKVNKQQELKPKRK YSDAPVQKEKNKQIRRKISDIRDDHNFIIGVECFSPTKFRNYTPTSILKRKDSDIETNSP LKKQVRFKQEGINLKLQHI >CAK74947 pep:novel supercontig:GCA_000165425.1:CT868207:65201:66022:-1 gene:GSPATT00001149001 transcript:CAK74947 MGRRPARCYRVSNGKPFPKSRYNRGVPDAKIRIYDVGRKKANVDEFPAAIHIVSDEKEQI SSEALEACRVAINKYMIKKVGKDAFHLRCRVHPWHVLRINKMLSCAGADRLQTGMRGAFG KPNGLCARVKIGSILYSLRCKDASINHAMEALRRGKNKFPGRQKIVVSAKWGFTNYFRSD YLKYKANDELQYYGTHVQKLRQHGKLDKLKIFQALRK >CAK74948 pep:novel supercontig:GCA_000165425.1:CT868207:66164:66485:1 gene:GSPATT00001150001 transcript:CAK74948 MKNLVVPLYRMSAVTPKRYFILQINQPTNLQTQSLFDQGHLIFAAKKDQGMMYIFNTKDE VIPYDFVTQDNSIKDFKIEEIELRTQGESKQLSHLYKFR >CAK74949 pep:novel supercontig:GCA_000165425.1:CT868207:66494:67832:-1 gene:GSPATT00001151001 transcript:CAK74949 MDQFLSVRHSAKSFQFRSSPCSKQSFQSEDDRDTALSFDITPKPKPKPLQGLSPMSSAFD NEDSDKGSQRGEAISKPSIPKLSRFSTQRVITMNEGDKDEEPEVIEKEVKQASNIQSQMH DEQTKTMEEQLKKMEEKTNINPKLKQLKSKPLSMRNFQKSWRVQRRQVIGTPLSTFDIQS KNRRVGLIYSRKCLKGPSEQFIEKKKVILSKINPNKINTIFLDLDETLVHACHSRETHTV KLQQQNEDGSEIGVGINIRPYTTYFLQELAQYYTIYIYTASSQPYAQTIVNYLDPHKQYI SGILSRSNCMETKNGFFIKDLRIIQDIDLNRTLIIDNLVHSFGLQVENGIPILEWHDNQE DLELKYLLEYLIEASEQPNLKEFNLTHLQLDNLINYAIKQ >CAK74950 pep:novel supercontig:GCA_000165425.1:CT868207:68100:69580:-1 gene:GSPATT00001152001 transcript:CAK74950 MNNVHQKSINTKSKIIIQFYLTKNNKNGGRVKLLIKVPFIFGALQMKYQKTLNLRQFTSL RLYNFMADEVISKELKLPLSSGYSYELDMNKKTQIKVYGFSEHVRNLFKKLCSCMNPFRD KSKSFLELTESKRFEIAKQSLLLSIKNMYQDTLFEQAIQIYLPQLLQKDSHDPAKVMEQI DQITQQEMLKDVRDIMNNVQYSSLFIGNIDQSEATELSDEVQSCTKNKESSSTQDNIQDK VAIIDLKGKNLIDSRFIESGNDDDINGVTLNYYQIGQRKQMNQAFIKLLEPILNQQAYNY LRTDLQLGYVVAVEFKTTACVDGALILVQGSTEIPMKVNQIIDDFLDQFSAYLEQMNNRE FQHLKHGVVTDLKENPQSLSEEGDRLWQYISAGTIEFEDRQVAIEEIRKISKQELIEFYR NSFIDNKSKLSLQLYGQGMVTQMMNLETQAEFNEFISNTKPEEAQLFDPHTASYYQCEFE ATQI >CAK74951 pep:novel supercontig:GCA_000165425.1:CT868207:69697:71356:-1 gene:GSPATT00001153001 transcript:CAK74951 MPQLFYQIKLSLVLLILLSSLPVFAIQQNTIQFEEAINNLSFLQLDNEKQQGTIIKPLID PKSYKYIELENKLKVLLIHDPNSEIATAALDVQAGSWNEPSEYPGLAHFCEHMLFVGSDK YPRPDYFDELLAKGAGRSNAYTDAQPILIIILKLLHNTQIKPQIHLLIFLLILLFNEDLV EREKNAVNSEYEMDVSSEDWKIQNLFTLFADPKHPASRFSLGNDEVLKKKGIENALKSFF EQYYSSNLMSLVIQSKVSLQDMERLIKPFNRIKNQNLQPSQFNAFPYQFGFLCKYKTEKD QLTLNWQLKGREQFTHQKPIEFLDYIIQNGNLVDFMKEQNLIISLSSEVFMEESSYTNYM MEIVLTEKSQENEEVVAEITKIIFNYIQKLENWLSDDEYINQVFKEQRKISKLNFNYLTE QLDTSTMARILNRQKPNEVLSSEFIIDTLDKDLILDYIQQLKNTQNLIILIGNHQYSYSN EKVDEKKSNKQAIYIDSYTQNKNLMSILLISYPNRMKNYKECLINPQQNEFIPRQCGINF IV >CAK74952 pep:novel supercontig:GCA_000165425.1:CT868207:71409:72290:1 gene:GSPATT00001154001 transcript:CAK74952 MNERKKWCEVCKMLIPYTRSAIQDHENTAKHKKNKEYHLREQNKKARIEHKSQNKAYDSI PQTSKGFKNQNQKKEEHNYHQAVENEYTGENQQQQTKVADQLGFFAEGKVWILEKEDDTG RLRFRNTITGTINYEKPMGLMLEDYEEEAWEEYQQNPVENVDKELVVKVGQWEVVKTEDA FLNKFKVETSESEEGQAELNHEEQLAEKIEQDIKGGTIKNQQELVKEVLIFGQIGKDKNR ILQQKLIELADKDVELKDILPQMQQKNNKNEEINTAGLFNKKSFKKTKVDYFQ >CAK74953 pep:novel supercontig:GCA_000165425.1:CT868207:72417:74448:1 gene:GSPATT00001155001 transcript:CAK74953 MFQTRPHLPSYATSQSTYRTQFHNKAGSETRKAIDHYSYAINEEIGRGFSSRVYKGRDEN TAENVAVKVTQLLKVQVIDMKMVKQSIHSQLLKNEISALKAFNHKNIMKLHDVFQTQNNT YIITEFCDSGDLNNYIKKKGRIEESEAIRILQAVVSALNEMNKKGYIHRDIKPANILLND NQPKLADFGFAVPAYEARIQGRNFNVGTPLYMSPQALRQQGHTEKGDVWAIGIVFFEMLY GRTPYNASSEAALISNIQHQQLVLPSSPIVSDESKDFIRKCLQIDEFKRWRVKDLTEHTI MQSRNLSPIEKRKPLKQIQNITLPTENSQVKKIKRSQSQNIRENTVRDFKPQQIEDKRKV HEENQKKLEEQILRSASQNQLLLPQKIVNTNAFEEQVQPTPPLQKQKSITLDFKQNNEIL FCQINFCRFLYKFSQHLASTKVFPTDIRDRLLFLMGKNIAIKINKLSTILDKENKSENIF NLTDFENYRKSESYKRFCQAISEYQDKYLRHFEKVLKLANKNNFQKDSIIGVLCNNNLVE LDSFYKTTQQYLRLSITEIKQNFQFIKFDKQEQSLPEDLQMHAFILQGLVGYQDLIQKVL DYPSDYKQFQKASQPELFIERKPGSISYNQLEQLM >CAK74954 pep:novel supercontig:GCA_000165425.1:CT868207:74483:74914:-1 gene:GSPATT00001156001 transcript:CAK74954 MTDAVTQSIPRREKTWAELAEEEDEYLEELQNQIQNEQSQLAGKQLTNFQKRMIERISRY EKPIEVGLQQVPERIREEDILIAFKQYYIRDCSFKRPNWFFYLPKEQAIKLVEESNLRIT IKGANLLIVMLPLKI >CAK74955 pep:novel supercontig:GCA_000165425.1:CT868207:75884:76493:-1 gene:GSPATT00001157001 transcript:CAK74955 MSLVLEKADSFRHIIRILNTNVDGKQRLAYGIRSIKGIGRRFAIQICKVLRLDLTKRAGE LTDDEAHKITEVIKSPRSLQHFQDEISRDGKNYQVTTNELETKLREDLERMKKIKCNRGL RHHWGLRVRGQHTKTTGRGGQTLGVERKKK >CAK74956 pep:novel supercontig:GCA_000165425.1:CT868207:76873:77343:-1 gene:GSPATT00001158001 transcript:CAK74956 MQIRYPIEVIKKKIVTRIEYKIRWNTGTITYEPMTDLTPEILQIVNQWELKQNKKKKRII VGKSNKKTQKITRKQQNNIMPLEEIPPQNQKSIPHRERIVENGVVKEARKISGKIEFQIM LKEEQELRWTNLEEVKTRIPIALCDYLLQRIKFSGK >CAK74957 pep:novel supercontig:GCA_000165425.1:CT868207:77545:81010:-1 gene:GSPATT00001159001 transcript:CAK74957 MSYRSSKPLQSVKNQPGSFFPKQPYSPAKSHIEHFRSENPTPHNRKQQQAYPPRQESFNL SSGESLEQFHRKRQNSNFSQDKKAKNQLFNILFNKTKRNVSFCKDTMKKQTLQNPFNNQG QNWYLPSKSKLSQTMQKKPPKINNSMDGQQTVDISFENILIKGYQDHSGFQSDTTKPAED YNPRSIKLFEKGKQLLREKQIDEAIEQSIYFNPSLLKKCIEVDTRLLEGHYFLGIGYLGT QLYQKAIAEFQYVIQQDAVHRKNIYLLLAISHKKMNELDQAIQILNKVITMFPRYYDAYI YRGKLHTKLNQIDKADQDFNIAIQLSPQKGLGYLGKADCLRMQCQYKEAIKYYQKAINCE QAVGNAAILKKAITLYESQQYEECAKDLSKLLETDPTNSEAYYFKGLSRLKIKNNTEALL CFEQAIKHNNSKKAVTKSLYEIARMKLEQRDFYAAYHTLNRSSMLDTEKSYLEKFKLFTE AVIFLMKRKFQDSMLNFQEIQNNHQLNDFLKPLFYAYRAYGQFCLSKHQKALDDYKYLLE IQPAESSVHYNKFLCEGILKVQSGLYTDGMELFQRAQKIFQKKMEPTFYLAVTYINQSFK KKNDQYKDVIKGLELLDKANSMNDQNANLYYVRSIVKCFLGQVNEALMDIESAISKSEDN VAKYFYFRGIVFGILKQYKHSLNDFGICLTLDETFADAYLNRAKCHFLNGDSNSAFQDLQ SCIQILQDDPRMHIWADAIKAYSNNKDFKNNPKLLQLRAECYMVLGDLNQCSEDINRLYK LTKEKTAEFDKDMLQALRIIFKDDQQIYFNEESENEYTDFNNNLNKAINILNQLCRGNGK LFQQFHVYIFRGVFLFHQEKYEAALRDFQMAKSQKELSITEKKLKQRGSQTDIFQEEEFH ELTVDEEDADLLEILEIKFNELICLVILKQYKKAKEKCKQLIENINEAKQEGLQILLMHI EQILMRNQQDIDYQRVICLYDEPKENIICFQVPIVFVDGLKIRLSFSLPKLSPPSLSITF DKSLVQDLGPLSVENKPEAPWIRREQQDDMIIFTENVQLVDDIRLETEKDDEQPQQQQQM LEITQIKNNLMLDKDIEEKLQRFFEKKNKQK >CAK74958 pep:novel supercontig:GCA_000165425.1:CT868207:81442:82639:1 gene:GSPATT00001160001 transcript:CAK74958 MISRTLKLYKFSTKIPTGVFDFANVQQGLTAPSSIMVEDLITRFSAYTPTNMYNSLDEYS RELLLYLANDLSWGMGWGILAISMGIKIAFTPLMFSAQLNACRMKLIEPESKNFQNMIQR AMRAQDFKASRAAQKQFKLFKRKHNINMLIPGLSILQMPFLFTWFLSLRYVCSLPDKYED LKSQGFLWFQDLSEYDPYGILPIMSSVFTFWNISLNPNMQSQSTVPFAKYYRYVRFLPFF SIPVVIFFPAGVNLYWCSSAFCHLIITALARQEKIRKIFGIPKYLPGTLLERQNNMNIQT IVKAVVQDRADLNSDVATTTTTTTTTINPPQKQVQTDAQHIMHEAKSGEQKVKIFSSKPK KSK >CAK74959 pep:novel supercontig:GCA_000165425.1:CT868207:82647:82880:-1 gene:GSPATT00001161001 transcript:CAK74959 MNKCYLPGVIIKARMILYDGDLLKLYLSSAEDKLVLLFAKHKETLKSMVTLPCDEMLCVE SGLKEKESSLTNFNQLV >CAK74960 pep:novel supercontig:GCA_000165425.1:CT868207:83209:84588:1 gene:GSPATT00001162001 transcript:CAK74960 MLNEKVIGDYAYLISNEYKVGAGQFGHVYKGYHKITNKLVAIKQIDKKLVKGIYEQMLRH EIEILEQLNHQYIVKMYAHYETINNFYIVSEYCETDILSIIKQKGKINEDLVIMYVLQIA EALLYLNQKQIIHRDIKPSNILIHNGEVRLADFGFAIQQDKLKFEDRNLQVGSPLYMSPE TLLKQEYNHKTDIWSLGILYFEMIFGVVPFFSMELDDLIRKLKQYQSDHVLVFSHAISVG STEAIRNLLAYDPQNRCDLISLAQILQKYLRNRRQMTSSVHLDKRQITPQMKRRNTPEIC SQNNSVIISGSKGLLKINTISAQKAFKQTTYYKINNQQEPKKIGEQQKNDANTSQKLGVI KQKDQNDIINMKNEDFLTFLIDKMENLKTIQFQEGLSKSLSECQLFLYQYYGRDQEKLKF IKEKLSNL >CAK74961 pep:novel supercontig:GCA_000165425.1:CT868207:84614:87102:1 gene:GSPATT00001163001 transcript:CAK74961 MQITDEQKKMMAITGMAILFIVLLCLIDWVTVIYFGFLGHFVIQNSFIKFQIFLVGLYVF IKNTIRLFVFPGSYWLWRRNVENRYAKALAKRANKRMEDLQNLIRKLLQIPDTKEIYVED LVPIKKTLQSFLNTFSSLNYRKELNEQQKYLFGLCQQLEQSLKDFLIETKSLYDQLDNPQ DIINYCKQNVKIESELCSNLLIILDSLIDQLQDIYVPKNFLLEAERWIFNKTLGTVDQMR VELENTFKTRRFKVQGYDGKMIDCLYIYASEDDADNDRAVIFCQPNAGYYEYMYYESEWI DYYLKRRINMFLWNYRGYCESQGLPNTKDIMKDAESICDYVTTQLKVTQLIFHGESLGGM VACHLGRVRQCNLLFADRTFSSISKIAQVGFSKYASFFFKLLTSWDYNSAKSYVECQSYK ILALDQKDEVIPFLSSLKVDVTKQIFLREFGGQYQGDENFKQSDQRTTFFQKLLRFIPWI PTSESTVFYDIWYSQLLNKQEMLTFFEANKRIQFIMKDLQTEKSKKTLDDSYSSYLNESQ EIEKQYSQNQNSTVDIDEVTQLAGPYTLSEKEKSNESLLKFVNKVYECFESFETASMTLN DVFSSFRESQQYDVFLDYLLSIFLWGSYLPLKNIPKKKMNTVVCQKLAFARFTSNINKIE TLIKNEKNNLDSPNGTRLIQDLELIIQRLKLIRENFKKTLKNQLQHPSHQSVQIESKDSE ERKSTSKIHESLKGYLGSFIPLGCGHNGNLNQTEVEVLDFHMLQAGVVKY >CAK74962 pep:novel supercontig:GCA_000165425.1:CT868207:88173:91026:1 gene:GSPATT00001164001 transcript:CAK74962 MKSVQKPTQISAMTRQFSVSKDMGNFSMQSPDKQIYCPKTQSQAKIQQYGPSPYIQSPQF DAKQVSQNNIMIQPSPPQKLENSYQYKPSIKNGSITNDIFKPNNQQEAIKSQNEFKPSYE TYKYEYNKTFAGTFQSDANKEQITERKSNTSKKDGSTHIDQKENYQQFQAKSPFTDNHPT VGNSRLSSQNINLMQSKPKIQTEIYRISGALRDLMSSIQKMMGDGKLPDQINDKMIEILA NFRKLEEIANSEPMPASDNDSKISTMAEDYNKLKTILEQLQTKMTALVQENQKLNKNLIE QEQKVTEEIKKKLLAEEKANQANKEFNRVFECLQINQKENEELKNKLISQDSFKNLSIQT SNRVQMDEINNYKMKNQQLQNELEQLEMKYKQLLTEKNNQKEIPKSPSILKSIHSSSTKQ SEQLELKLLQLQIENDNLKAEMAHNQVDSKVIDSKNDMIQKLEDKIKSILKEKSISEEQS IQICHNLEIMNEQLKNGLQNKESEVSSLLKQKNESERDHRNQINYLNQMLKGTEDKVQVA KQDYQKLLDEFKQIDLRKSKLQEDLRNSEKKLDQLNQELAFTKGELKKSSDRNHQFQAQH DQMNQQLQQQSQLQQQLLQQIDGLKQSEQQIRMEYEKLEKQMQAVKSEMKYQVTELNEMI SLQARKTQEKERQLNELIEEMGGMRDQYEVQKKVCQENVNDLERRLKNQRLQQEELLQVK EQEILDLKQTMDQQIKMLDKRNALSSFDYDQREQQLQAELLSKNDQIEGLKSEVEKVRSN NFNIQEELLRQGMQLNQHQATIANYKNELTQSRQEQQHLSEMLKKRKEETEQLHQNLEEM RRELQSKKMSDDSRRSVLRQQDLQIALENLSRENLSLQQKINGLSNEMNRKSRELSERNE EYQILKRKYDETVANLERLEKRWGEKIDLHRKN >CAK74963 pep:novel supercontig:GCA_000165425.1:CT868207:91062:91643:-1 gene:GSPATT00001165001 transcript:CAK74963 MPKLFKNKKVGNSMMVNTKDSIESLEQLRSEHSNLSNTISDLEMKRQLIEDKLRELSIKL NSFYQHSDQPNIPMLIYKLPNDSHQDQITLNQEVYIQTNDYQEFIPHLQENDTKIKKELF NYFSYKSDVGDLFNDLDDTSNLKKLAEEFGIKSNNINKMKQQIKIIQNYLLNQSFPTSWN NIYNKELFDKLEI >CAK74964 pep:novel supercontig:GCA_000165425.1:CT868207:91682:95683:1 gene:GSPATT00001166001 transcript:CAK74964 MAEARFSEIDNQFAGGLDFQKIAKLDKLLSKVDHDFKQKQSKDLTKPKLMELMKTMHEIY QIVLYEISEMLEVAHFSELRQTLNKIYNGQNLIVHYILKINTNFEVQPDKMLESLQKNMI QARRKSVDQSEERETNKMAHQIVQLQPVVKKMVKKVFEEKGTDTGEDMKNARNQDHLMKK QKELMDIQDDLDEDSQKISEFLKNRKLDLMAVGESKAQANLKEVLKDLGKKRRITNEIDD EAAEIEEQQQKEREQIFNLENTLMDSIRGVQKQAQEKAIQDIKAGRALMKNGQLVYMEGV ASQTDKMKIEIEFQEKMQQHRKEGAERESKILQLERKVKDLGIEKEAYIQQLSNINNQLT KANLESRKLQEQLKSKEKASGEQQAVINKTDSEKIKKLVEDNIKMKFRIKNLIDSVAGLE KEYNRSLERFRLFIQNSNISEDQKKLILNSLDNLLRFDFNEKLNLQEMKELMVVDDLLKD PLFKQICGSDISAITRQVKKDELTRKQKLAKVPFYDPLFDAEFLNPGEKIITRKVMKLVK RQNSQGEWVDEEMEVEEEVVVNAQGEELRARDKPIMVQQSKQTQQFLDQFGGFAVGGAKI KIPTKPITKDGRQVQLNEWFEDSSGKKVRKVQAKDGEETYEVQEEYIDENGKKQIRIKQM KVRKDKNGNDYVEEEFVDEKGRKQKVAKRVTKDKDGNEIIEEITIDEQGNKTTKKQKVYK DKDGNEVVEEEITDQFGNVTSVKRKIVRDAQGNQVIIEERTDAKGNKTIATIKKNQFGQD IIQEQIIDKNGKIQQVEKKIVVENGIAITEEVVLDENGNKIIKRTKIKKDENGNDIIEEE TINPDGTITIKTSKKKKNPDGTFTIETEIMDQNGNVTVVKQRQYKDKNGNIITEEESVDP QTGAKIITRTQKDQNGKEIVQKQIVGADGKITNTQKQVQMDRDGNPIEVEIIKGDNGQQQ TVQRKAYKDRNGNEINEEIITDEQGNKRLIKTRVFKDKDGNNIIEEVTTNADGSKMTVKK KQYKDKDGLEITEIETIDANGNKVVIRTKKGQNGEQIIEETRIGKDGKVEKIIKKFNKDK NGNIVVEETKISSDGTKTVKIIKLGTEDREIQTEGIFDFSDKSQSLLDQILEQLGNLGLE KSKQEQIRNWVMIKQQIQIKRTTKQQQQMQQHDSDEEVLKPRPSSAQSNQSLDSLDEDQP EEDQSDKLYQRLMQSKNGQMGEMLRQIRNQLGKQGNEQISIEEFREYMKKMRQIHARCGD NCPHLRRFYEKIGFATRKYKRRFLKMNLTKMDAKTKLPQLQNFSTIK >CAK74965 pep:novel supercontig:GCA_000165425.1:CT868207:96014:96738:1 gene:GSPATT00001167001 transcript:CAK74965 MQKSQLIRVLSDSNKCPTPFQMTRQLSQETLTGFSQCLSNDREHVRLSRQVNQLKKENEI LQIKIKQLEQQDFKTKIASLEQKNKQLEVQLDAYKKLPIDQITSLIDDNERLTSMVENQS NKIKQLLTQIDELKETSFQNEGKNDLIIAMIQELENQRLYVQDEHKKQKALNQEKQMIYI ELQLAKQQIKELNQALERIKMQNLESSEPCDVLYQDYLKSNDAVLNFLKQQY >CAK74966 pep:novel supercontig:GCA_000165425.1:CT868207:96769:97317:1 gene:GSPATT00001168001 transcript:CAK74966 MNNQERTFIMVKPDGVQRRLVGKIIQRFEDRGFKLVALKFVAPDQGLLTQHYADLKERPF FPSLLKYVSSGPVAAMVWEGKDAVKTGRVMLGETNPLASKPGTIRGDFAIDVGRNVIHGS DSVESANKEISLWFQPQELVAWNTNDHGWVYE >CAK74967 pep:novel supercontig:GCA_000165425.1:CT868207:97405:105524:-1 gene:GSPATT00001169001 transcript:CAK74967 MKPQQNLKYGSIVRIQGKTDSGGQGFISAKGFFDKAVYYQTYEDLNDLNNFREGLFQLLP RGSFEIHDEYYKTKQIFVLYERNRNKGLLYRVKSEKEQFLAMIENKMNEELYFGAEAIFK HIESGYYLVSSDECSDQRSDSFKIKLQPYLSSNIIFKLEACKSHQKTGRPIQTKEQVKIL SDSSKFWLEKSLKAPVQLDHKPPLSDLRPDLKGLSNESHRYELIISHNSTSSWKIDQYQS YEEYIQPSNLIQDRDLCVFNFTQNNSYLCIDLRKNSLALKQIDQKTQVPIDCLWEITFTQ EKNEQKCQQTVPQFQSKPIITRLPSVLATKVSLPLSLIGLPGALQSSGRTSANVAAGAGP YVGQLTQQKRNKHIYQTILLRHYLTGKLIKCAERLDDDDCIQAFIDEIGTPIDLQLANNH DQEFIDGSYVNLVHDDNTLTLIKLNQHHTQQSFGQIKDISSLGGFFNKHTQTEENLFKTA FKGKKTTDAFIIKRVDCNYKTELIQAFSALEYLINFSGALKVNHQYFASMANLEQIKQIE KLLAGLSKFIINLEIDEEEDEEVDAFVNSRRQIIMKELYYIEILIEILYSLCYKSGTKKL LFWKHQKQLIKTLFSETYSLLTKLIQNNNANKTYASQWMEMYLHQYIMVSEPYIQRFLAE ILDNNVQAIKKFLNQNLVIRIIEIIGSQVPHEKYLKILSSICVSNGLPVKENQSLVLQQF FLKIGFDFCFQFKIDEQQQLQVLCPIQKTNKFRTFEEFYRESQKVDSFQQWNYFQSYFDL LGDICMKRNKQAKKYVDDNFPLQVLLKILVEAEKNSRFSIMRPILKLIRYAYIDNVPFEK VKRIKRVQIFSALDDEYPQFADVIDANHPLQELNVFVLVQLEKNKNLWKNIEFQRTLQEI LKIIGCQLDFGFYVNLDQIEQILNYMYQICSSINEFSFGSEKENSMLSSQQGTYVQSFID HKLKATRLSESNSVKISCKQLACEIIQKIFDFEANLRVFEAAKLLKTLLIELKIIENIEQ QQQGSSRNIKSNTKIKALFTSESSKPNAKSIADKLKKKSTEEEKIFYDPQNWISKFKLLL QKRTLADVEFFELIFAELSFYENPLLPKYSIEILKRAYGQRKELISNFEKIIIVVSGKTL ELSELSSLIFNKFDILTDSYFIEMYNENSPTFQKQNIIWNLNQQEAQKAGLIQNLQRLNK YLKKDFDKSKQQVSLNYEDYYPDNRSRIIYHERELNYRLHQSLLIAQELHIPILNFIKIF VPSLSEIYWKLLHACYDYLTLIIWNHMENKIALSKYKESMYPHLQYNIGMIDFLKALFAN NKPLIYSQREIPNVLQMVLKQCDSQPLSNYYKSKLLDFLRIILIFNSSYIPQNQTLTLQN LQENSYANLILSLNLAASDIMATQNSEQSFSDVTSQSSEPIIENLILTEDQVSYYINQYQ LEYSRLNKDQIQFDISPEITYMYTFFGLFSQLVEEQHKVNQKKCRKIHTFPDLIKLLSLS QQVWPLKRYLRAYTNRLYYCQGRDVVTQFIQVDFITILDDLECIIELKSSQSLSFFNQVT IVNPIRYKYLISYIYLYLEEILITLHYVFNNIEFLEDLEEQLQLNRGKNKLIIHLQIFDL IQKLILIERFYQKQNIGHLSKIILNILHSIVSTFDLHVLKIRDQLFVLTLKQINSYEKAM QLNSSNLIISSEAIDSEIRNSLENTSRFQHNEEEYKKSLIDSIFSVDRFTQGKQALGNLV KYWKNTKRSSLQPEDLNERINHKLKRVINILSLSSSFQIFLEEEFLEACKKMLSIGQESM LAYQTKFEVTSLKHYIQNIIHMSISNEAQFTDDLRIFFLKMISKLITEKNQANSIQLVQV DQWPSEYWIDYKQEIENAQNFLVDCGAADLVIALFSEQKLEIRLDLLSECLLFLIAFLLG GNTKAQNAILEKLKKDEQNTVLTNFRVLISKLTQVINNNFSIITQEQSESSELIQTVDNY DFYDVDTQTMIRLNVIDPDDAKETAFKKLCLDVICRFFRVMQLFCENNNVEMKKYIRQQT DQKNNPKILSTNFIEFASVQLRVYFKILSKAIIVIPQSMLDFVNEVIQLPCVDNQITLCN TTFFEDASHMAQFFNDKNNQIQRLFENSEDLQELQELQNKILQAVLSVLEGNEEKIYRDL SNKLEAQFLINFLKNNLESLNITNSQDLEQVLQLQDKVFDSEMQKILNVCIIQQQMTQHS DNKWLVQFQEKMEQFPELPKIFEKLQNLTHKIEIIYQGKKMKVFFPFHPYFHLLSEQTKQ ELLFTVNRETQRDKLVGMLSQSEILFYELEHNYKLNHFRIPITQQNLDLLQNLASTFAVL INLAMIFFYTVVVKENTYFLYSNQINQLIINLLSLGQLFSQIALFIMVSLQRVPIAIEKN RQKKDSLLSYIVFFKEETCLILLFLVIISFYGVFFNSNFYVIHLVEIFSRNSLLKNVFQA ISYNAKQLFVVGLLGILFVFAFSVISFNVYFDDIYQGEQTETCNSLITCMITLITSGVIG NSMINWDPLKFFFDMLFTVFFGLLFTNIIQGIMIDTFAELRDQRQKIEEDIKNKCFICAA SRTDLEKMNVSFDEHTHQLHYMWNYIFYVKCLKLKEWTEYTGLEYWIHAKLESDDITWFP ESVNDDANLTDQMSKMEQILSDVIVLQNEILRRI >CAK74968 pep:novel supercontig:GCA_000165425.1:CT868207:106442:106836:1 gene:GSPATT00001170001 transcript:CAK74968 MEMPQNNKAIGTHQQDNIYGYLLQKQDINGKFNYSYFIIGEFSIFILIMLNHSQFIQKYY TQLISQEKINIIQPKEEKEVESLVSVFIQEITKVGEYLVQNIYQARKHKQNKKRVLAVTS VLF >CAK74969 pep:novel supercontig:GCA_000165425.1:CT868207:107009:107671:1 gene:GSPATT00001171001 transcript:CAK74969 MYENDNTDTADSHYKVNNEQIKNEELIEELQKYWRLVINHMGQDYQHLLKLSSDSDALEL VQSLMILEDIRYNDQKVPQNHDLENEIMQFRQQITNQKNELESKTKLINELLQKQTQLHE QNQEMLLQLIKKEEENSSKIAQLQKEIKSNNRIQHRIPSQLSSARLPNQQYSQSLKSSPQ PREFRQLSNYYSTKLSKLIKVSGTHNNNNTIKNNSNIVYQ >CAK74970 pep:novel supercontig:GCA_000165425.1:CT868207:107746:108534:-1 gene:GSPATT00001172001 transcript:CAK74970 MQQQEINHALKNPFQPILKKVLKVDEELERLSSETFYNPFDVLYLGMEATDEDIKKMFNS FSKLLHPDKCQDPRAKDCWQIVDQAYKTLMESEKRKVYIRIMREAREKTEFERLRENKRR EKTGVAPLPPDTFESDFQKQCKNLFSEIEDRKQHLMRLESSQKRYKLDEYERRKMLEQYK VLTEEEWEKTRDDRVNKWREFNNKKTAIGTKQSNKGIRPPTENIEARPSEMPTKKGDFKN IKLD >CAK74971 pep:novel supercontig:GCA_000165425.1:CT868207:108578:111728:1 gene:GSPATT00001173001 transcript:CAK74971 MSNMLSEQLKTQYKSKSVYWRQEKEKLLQTFQPRQNNSQSQQRSRTSERKSIIRNLVDSL YNQGTPEKNKSIYYQFQSQITQQKQQQQCQSSAKKQHTDELTLSTIINKYQRQVENCNQK QVVYDPIAEQIIESFQELKNRSVKKSEMQKCQSEQKIIENSAHKQNIFSRINQIREFIDQ QLGTNADESNKDIKYESQYQSDVDQSSGNLRNKSVEFRQFLDQRVSVGRDSTNNRLNHRI NRLRSIRSCQTLDVGIQVSLQDENLMQLNSQRQKQQQESYQQFNDQNNSQQNYQQQKTDR TNNSRRNNQEQYEVQNTQQQTSPQQSHNPYYQVEQSVTSEYQLPLQQMLQQQYQNEDSIQ KSKNSGRMSRRSEFLQKARYSTNVNDENKERFSVNIEEIKKERFSENERFSVTSNEINGK DGILANLDFNKERFSVRSNEQNVQNKVRNSIQSNFEEDFKDKLILKLNKEDVALPIQPRC TNKENVGEPSTQISKINKNDQEQKLGERAENKLNTIEIPKIIQALNFDNIELSKPCNGTQ ISVDTNRFQLEIQQIMEKQNETQDVQLKQNELINIQQDFALTTNRSQLSVPITEPTYIQL NNQINTNFIRVEEEIEAGQPDIRIIENATGITECNLQYSEDTANLRIPTQISNNEEVKFK PDSYVTCDIQFQQIKQDYQQLNQLQSTQSNQMNQSSFEQWLSQTDQNKRIFNFNCQSQYF QKEKCDHSTQINKSESDSDEEIQFIKKKQQKCQFNQGVTLLVRKITSILKIRQIKSFYEI KEYSLHQSQQQQQQQLQQFKSSLHTYQSPIESQYSSQFYSQQQSLTSLPMPSVQTQFDFA PLVTKEQAPQATKEQAAQEKISNLLKTIIEETGSDTLRMLKNKSNLSPVAKTSEKKRLNS RNNSRLRISKKCSTASILTSMQSPVQEINDRIKVNFIQEMGLESPTQKHTEHAELHDCSP IAEPMEFQDVSVLMKQRREMKQIADSNNGSNIINNSYNDQRHCQILSHHIAIQKAKIAKK FQQIHYKTAGQQQFRKENYQI >CAK74972 pep:novel supercontig:GCA_000165425.1:CT868207:111750:113447:1 gene:GSPATT00001174001 transcript:CAK74972 MRQKLQLKGDTSLSQSAIQRRNSNQRYKSPIQGRKLFLSHVIQTPLSQQYGQTQQQQQQH QQNQIIQGRSHSQSNVVFQDEIPQKWPIIQLPFLKNTEPTIFFQYPPVCEDKRPLVNARP MTEEEIKKYSLTCKVLGSAPYKCVLTSFDWAGFKRIDEDDEDEEWNVQWGIGNKTTLRNM NRFQKINHFPGCWNMGRKDLLWLNLSKFKRKYSKEYNFIPNTYLLQYDYDRFIMAQESAQ KDTLWIKKPCADSRGRGITMVSKKSKVKRDKNFLIMDYIYNPHLINGFKYDLRVYVLISC FDPLRVYMYKDGLVRFATQKYSTNSKDLTKRYIHLTNFAVNKLSPNFVKNQNSQKDDEGS KWSHHAYRKKLDELGVDSRELFNRIKDVVLKTCIATEPFMLDSNAKSQEHRNGYFELYGF DVLIDENLKPWVLEVNVSPSLNSSSPLDKKIKTSLISDVLHLVGVPLWDKKNYKISSPPK YNQRNIKEIPNINTSNCLNKLSQNDFNMLIQTVEEVKRRGKNFSCIFPNPKTIHQYMDLF EFPRYNNKLVERFYQDRCNWLSRFN >CAK74973 pep:novel supercontig:GCA_000165425.1:CT868207:113492:114641:-1 gene:GSPATT00001175001 transcript:CAK74973 MSLAIEQSIDYSYIRLPFEVIVKCMNINEALEFKSTRKQLEHDLTITLSQIAHINSSEKA DLVIERIRAIQKALHENQEHEKQYVESYLQRLKCEECGNELKLQRSLIDNLLREGYFKTA QKLIQSYQIQVLQKQEIILEANTIIKDLKNRSIKNAFKWYQQNSSKLKKLNSSFQNDLVF QQYIEYLKQDPTMALNYIRDYQIYMNQESIQKCMGCLLFIKQNTMPPQYQQYFDDKRWEL LIRQFKQELYDVYCFPKESPLLSFVKCGITTLKTQYCDQPDYQQVNRCPICNKQMQELSK DLLTTQKLGSTWICRISGELMDENNPPMMLPNNQVYSQKSLLQMSEQQNGQVHCLVTKQT FKISECVRVFLT >CAK74974 pep:novel supercontig:GCA_000165425.1:CT868207:114730:116209:-1 gene:GSPATT00001176001 transcript:CAK74974 MTKISSKDKNTFLLFIFLYFVQGIPLGLWSSTLMMILLEHGVPYTNLAILSLAIYPFSFK MLTAPFLDVYYIKSIGKRRTYIIPIQYLMAIIYTLLYFTKISTWVYNIEFLTLIGFILIL LSAHQDIAIDGWVLTAFSSEHNHLGATAQTVGQMIGVIFSTTIFITLNSKDFCNSYLYAT PQETGILSLDLFCLINAFYLIALTLYVQFFTTEQSKEESQEEIEQQQIKTVFKNLKELVL NRNLQFLFLHLLIFRLCFQPILTSTSSLLIAKGLKKEMMAWVQTIMIPINFIIIAIIGKY DQRGNELQKFFHYLTFRIGEAILTYSIFKLFPDILNYESIYQYLYLITFGITSSFLSNSI FINHGSLFNRISDPQVGATSLTLINSIHNLGGASQITESLSILSLSFLPYDVVAFLTVCF GLSYYFILRKPLVVLAEQNQKVWQIQKKQCD >CAK74975 pep:novel supercontig:GCA_000165425.1:CT868207:116228:116608:1 gene:GSPATT00001177001 transcript:CAK74975 MGQEQSVIIWLQSQNLPSNNLVVQLHMQNYEKLTRLIEQKLSDQTFTIDKRINQMDDTLL HYAAFKRDKKLVQYLLSKGASPHCKNSVSKLNRYHQRNLTPGDVTDDQEIKLMLESK >CAK74976 pep:novel supercontig:GCA_000165425.1:CT868207:116690:117238:1 gene:GSPATT00001178001 transcript:CAK74976 MSAKKNTNQFEEGSEEDQIKKKVKRNEEQKKSNDQNDDEFEVDSQQEEDEEIEDDGIQTS SHSSIDLQAYLEQRDQIPDSDDDEEDEDDNKQKQKKQAQTKQKQQKVSKKKQDDDDDEDS DDDDDDDLEGEDDDDDDEEDEDEKPPQKKQKVQQQQKTKKQ >CAK74977 pep:novel supercontig:GCA_000165425.1:CT868207:117308:118081:-1 gene:GSPATT00001179001 transcript:CAK74977 MINIQPRTRQEREALRDKDRIEKSRVDLRVGFEARGLGVGTLIHQAPPQSTLYVPGMDRV ILENERFDKDFAVADKKQREHEVWQREKIIERKRWEGLDRETRKWDYQEKVETKDQVKLM SHTQQLTQGKRNSNGLAYNPITLKYDNSEQGNLLRQYDDKAKVRQFVRAHNLDARGNTGF NILTGEQRGGVDQIVPNHLRSNYQQRLRDVDEQQNIKHYAIQQQLLNQYE >CAK74978 pep:novel supercontig:GCA_000165425.1:CT868207:118111:119160:1 gene:GSPATT00001180001 transcript:CAK74978 MGGSCCKDDTLETNKEIRNPPNKPIFTHADNLPPRSEQNKSNQEIRTHLLKPTIQTQNQQ VQIPVIHNGLVKETLAKLGEYQIPSSITNEGLEVTPPIQFDDGSIYVGGLKNDLFQGYGE IYWDDGTHYCGHFNKGTKSGHGRLVYTDGDTYEGEWLDDKQHGKGKYWYSDGGVYDGRFL NDLKNGFGKEVLANGETYEGDFSNGTRHGKGKLIMADVVFEGHFERGQMVDGEYKWNDGR KYSGQIKGTKIHGHGEFWFPDGRYYKGNWVEDQKEGQGEFHYSDGSVYVGEWKQNKQNGY GKFTDKNGEVINGFWVDGSKVK >CAK74979 pep:novel supercontig:GCA_000165425.1:CT868207:119279:121123:-1 gene:GSPATT00001181001 transcript:CAK74979 MYLSQKTQLRLANLITTIAEGEKKTETYRQVLAEQSLFEPYTAFKRLDQQKAGDLTIADI GYFLNENKINASIAQADYLFRKLDQNRDGRITYPDFVMFILPKEDSRLRHIVSQREHYSV KTNRFLPAEVEWGLSKVLHQEIQNYNSISAAQEILTGSADFTSLDAFRCIDQAQFGYITI EALQDFLKQNGVRLSFDDLLAFFRVVDKDADGKINYSELLEAITFTPDYFQKERQLTDQL RRSRERIIQLEKENEEFTNLQRSRERVEELKKSREYLEELKKSREKVEQLEELRDSRERL EDLKKSRERLEQLKNSRERQEYLKNSGEKGYDLKNSREKLEDLQRSREILYELKSSRERL NQLEDLKKSRERMEQIEELKKSRERQIQIEELRKSRERLEDLRKSRETLMQLEQERNNSD KMEELKKSRERIEELQKQRKNLEEQRTYQERLEQQKKERAEVDLRRSQEKLSDLKKSRER VDQLEALRKSREQERNIEEMRQSRERIEQLRESQLRIQQLENQRRSLERSRQLEKIERDL DYEKKRSQERIQRLELEAELERKERERALEEEINFVQSRIYDTPKRRY >CAK74980 pep:novel supercontig:GCA_000165425.1:CT868207:121193:121585:-1 gene:GSPATT00001182001 transcript:CAK74980 MQNIIDDIYYIIYNIRDPEIPQTLGQLEVIQKEFINVEGSRITIYWKPTVKHCSFALQIA LSIRVKLSQELLNYKSYKIHIIVKDNLHNQKSQIDKQVNDKERYLAAMENEYLMNFINQL IY >CAK74981 pep:novel supercontig:GCA_000165425.1:CT868207:121622:122854:1 gene:GSPATT00001183001 transcript:CAK74981 MKSQPLQQINLNTKHQKKKSINGRNIYEIGGTQFVVDERYECHKQIGHGAYGVVCSGVDL VKNKKVAIKKIQNAFEDLIDAKRIVREIKLLQFFQHENVISLVDILKPESRTGYNDIYII TELMETDLHRVIYSRQELTDEHIQYFMYQTLRGLLYIHSANVMHRDLKPSNILVNKNCDL KICDLGLARGFEIEDENKTEYVVTRWYRAPEVILQASEYTKAIDIWSVGCIFAELLGRTP LFPGKDYLEQIQRIIAVLGTPSNDEMKYITNEGAIKYIKSLPKRTKQNFSTLFQKVNPTC LDLLSKMLTFSPFQRYTVEQCLNHPYFDGLHSKDDEPICDSVFDWSWDKMELKKEILQSA VYDEATQWQQKHKINTKKF >CAK74982 pep:novel supercontig:GCA_000165425.1:CT868207:123268:125129:1 gene:GSPATT00001184001 transcript:CAK74982 MSSKSYDRQKILKDEASVGLTKEQYEKKYLFHRLKERFLHSSDLSLETITPEGHENIYIP MDIQTPTQADGLSYEDEQALRMHGAQICFQACNHLKLPLTTAITSLVIYHRFFAKNSFVD YDYREISMASIYLAGKVEETVLKTWYIASTFSSVFQKQKQTPLDIIIKQEKLILRELGFE LFRVSDHPHKFIESFYHFIKVDKQVAQKAWCYLNDSYMTDLCVHFPPQVIAAGALYLALR ICNHPMPSQPWWILLEATLDQIEQVAATIYNIYEFEKIDFRQARRILAKANRVAYVIQHS EIYGIPEKIEKPLEAIKSTSQLQEQQQITKVEQQKSPVDKKSMKKRSRSNEKKSKDKKNK SEKKKKKDKDKKKEKKDKKKKSRSRSKSNEKKQSKKDKKKDKNKKELEVQEKTKTKDPEQ VVQQDRTQVESKMEIETPNDCNNNIKSNNNNIQQSSTGNELKKDDQLCQKPIAEQTNKEP NPQAQHVINDVQQPQQLHDEKEQLKVQLPLQSKQDLIAQIKAILKTQQLQKDKEKDQDKE KEKEKEKEKEKEKEKDEEQSPDELDSEEDEQLKALKLKMLAKKRNE >CAK74983 pep:novel supercontig:GCA_000165425.1:CT868207:126060:127026:-1 gene:GSPATT00001185001 transcript:CAK74983 MLNLKESQDWKKGKKLDSATLIQLICFFLLLVNHNLNGMTQYSEASIFQADQFRIPEEHS FVDEYFNFGDTNNFAFSDIDFEMNKLIPIEFPFIDNMDIEDQFTTGQQQTLQFKPISMQQ QYDILFEFKSSIKTKVIGGSDLFSKVLDYANKLQLNKFKSIPKINDKNQKKINHSTRIKG NKPHKFMISKLESWDVHLKSIRTHFMQKIFNKLWKVPTQQILKAHCFQGKSSQQNKIQII AYLQNEIEQIEKQYSDFQLKQEIYQNIIQRMIFCLDLATQLNNQADDLIFDILFKGFIAE LFHY >CAK74984 pep:novel supercontig:GCA_000165425.1:CT868207:127461:127995:-1 gene:GSPATT00001186001 transcript:CAK74984 MKGNDRPIKMNPTGKYNLDFIDWNNEWAAVYTNGYRHDGKMDCNCYTLIKNAPFRECRLR PLIDQLQLLDIQFIQSEEFERFEFEQEDLKNEENKLEEQFVGQIKKMKMGKSSKNCSICI KDFAKGEIIMKLPCNHIFHEDCIVPWFQKASKCPNCKFDVKEHFKSQQQ >CAK74985 pep:novel supercontig:GCA_000165425.1:CT868207:128372:128963:1 gene:GSPATT00001187001 transcript:CAK74985 MSDEFLTCAKIIEFFWLLLAFVFFFFAAYAKFRLKKDIHLDIENLNSNHTAVKYQVPSQQ GEAQEIHMVDQNEQNSGQLQSTTQQSREPPTKLEEFYKKSLSVTSKIFPQLAIAIICILN GASHAFAVACVILYFVSIILFNILQIQDCLGSQGMKNMFKLSNNIWLVCLFINYLASSLF >CAK74986 pep:novel supercontig:GCA_000165425.1:CT868207:128964:129569:-1 gene:GSPATT00001188001 transcript:CAK74986 MSQRSYKLNGPPHLFKPTPIISILITDEQVEKEESPKKEVIQQQSQKQLEQQFQYTAQQS EELDSQQQNPWIKKNFAIEAMNLTKIKNSDVNNSNNGILNNSFDQKNSFIETSKQKPILK NKNGQDLSNLSISAGSQRSIKKVSFDKKQQVWYTKYKN >CAK74987 pep:novel supercontig:GCA_000165425.1:CT868207:130028:131759:-1 gene:GSPATT00001189001 transcript:CAK74987 MNNISDIVYENASFQMVCQSKHSHLDVTYFLYVIDDQLILSQELNSQQPKYVLQINLQSK IYWNQDKQKITQMGFEYREEVKYFEGNCEEFKQLKKLLRNKVMYKDVSDFYLPMKQLGRG GSSRVYLVMDKCDKQEFASKNVEKKYLKEDGGFEALFNEINLMAALNHEYIVKLEEVYEG ETTFYIILEYLKGSSLHDLISKGIIQLGWDEIKSILFTILTAVAQMHSLNIMHRDLKPEN IMFKKPNDINGLRIVDFGLATWQTAATYPFPKCGTPGYVAPEIANLKDLTCKYDKICDMF SVGCIFYKLITSKDLFPGNDYHEILKLNKKCIINLDTLSLYSTPQSAMDLISQMLQALPQ QRISAQQALNHPFFTGSFIDRKMKFQQSKKQLNNNSKPWQTSTFKTEKSDRLQLPEIKQK SKQKDDENPEDDPLRVRVPTLNSPRLAQHAKKKNLALNENSPTEFPKKSAFKKFATQDFD PLTPDTQSPDSGRLNYSNSPRLIQQSVIKRKFTYSKFNQQRQQAIYEVDDEQKH >CAK74988 pep:novel supercontig:GCA_000165425.1:CT868207:132145:132592:-1 gene:GSPATT00001190001 transcript:CAK74988 MQKLRNIYKNFYENVVKGGQKIDAPPKYNFKGQKQDFKDFQRGPQLTREQLAQNQKLDEE LKPQSRELRMKNAFYFCIYMGWFAGVILFVMYRLGSDEIGDMERSAKERIRLRESLKKEN QR >CAK74989 pep:novel supercontig:GCA_000165425.1:CT868207:132638:133745:1 gene:GSPATT00001191001 transcript:CAK74989 MGQQCCNPKNGYMPDQIPINIYTPESMDKGLQNSKYILEEVCQDLDNDEYNNNQVEDEHL PSDAMKLQDIPKLDNQRAESIRQQLGPIKLTFDPQYQVYQPIQIVNYKPNGDIHSIIIFE GQWYKQKRNGAGTQYYPDGSIYEGNWCHNKHDGFGRIIYADGEYYVGEWRLGQTHGEGTL VTKELTYTGIWEDDLQNGQGYEKKSNGTTYEGSFKNGRKHGSGLVKYSDNSQYKGNFVED RYEGIGEYKWSDGRTYGGEWKNNLMNGKGEMRYPNGDKYIGHFKNDKKHGLGKFIQATGR SIEGEWWEGKLNGEAKITEPTGESFQANFKDDQII >CAK74990 pep:novel supercontig:GCA_000165425.1:CT868207:134107:135564:1 gene:GSPATT00001192001 transcript:CAK74990 MSSLFVKCLQCNQRPATIKCQQCKIGQVVRLCYSCDSQVHNRQGPISQLHKTEIIPYQEM YQKAPQSAGQTNLGNKFENTQNAQKKQQPQQSQQPQGQFKFTATTKQEPPQFTQKPVTNV AKPLQQPAAKEINFSGTRSNNKPRYDQKEEEQYNSSQKNSDQKGSLNNQLKEEQDTSQQL KKELKQAQDQLNSLNREVEKKIKASQQDLEKKLNDLKKEGAEEKKKTQQLTEDVKKMLKP KLKRNQINKKNNMKNKCQEMEQTINEKQQQIDEIAQEFQNYNLEDIQAKMEEMSNDINMK DQIIEQLQKQLEKGEGNQNDGEDGDKEEIIQQIEQKDLEIKKLEELIDNFKQLYQHMLDE KQVIMEENEKLANENNQFREIFSQNLHLFGIDPNQLEEEGEGEGEDGDGYQQEEGEIIEE DETNDTS >CAK74991 pep:novel supercontig:GCA_000165425.1:CT868207:135737:142280:-1 gene:GSPATT00001193001 transcript:CAK74991 MLFTIFIILKVSLCDWRLIYSNFYSESISTANDWDFKQNCSVNQIQGSSIKQCGTNPLDF LRLKDDRTKLEKQFYYPHYQIRLLTDVIYFRSLPGTNSQFNIFLVDSFSSSSIFQRQYQQ NDLQKYENVCQYTCGGGGGGGGCSNVDDKRVEIKTVALDSINHHTPTFNISYCYMYQDSD MRIGLRNILLYVKPCHFSCLSCSGSTSLDCLTCYQGTLQGGLCLCDEANSYVSLLTGCTQ ECNRDYYLADTSKYCQFDPRIKSKVSYFTSNSLSNGNLLPQDPWTFIPDPFYPRNQQMLL SCGGTDLIGKFYNYEGIQIQLAQNKALKFIRIRASFYFNGWQPDSQLIITADSYSRATIL KTATNYTYTQSSLSYFSSLSCSGLNYDLVRIETVLKTYTYNPVIKFQAITGLTSETWAFR NVTIDYGLCQSNCTSCETYSRCLICDSGYQLYRGTCVSSCPIYSILLTNGTCQDYEDLIE NSRYLVKAFYDMNTTLENLGDIVDNFTDLSNAIQTSFTGQLYSFVPQKSVLGGVLVWKSG KFKKSFYSLRPHYQVSYRLNFTFGDENTGWFKYKFHSFQSASITNPNTGAYNSVGGNKKE TTKYYEIIQQSHTGSQLDIELSADTNQANLQDAFMYVSEYFVVVHYCAPFCSGLCHGPLI GDCDSVYTGFNTTNYCASNEYLNFDTSTQVYSCLTCSLLGCNECQSSTVCTRCEFTSTNQ FYLAQGQCQCYPSAFLSGTQCIQCDSYCESCFGSNSNECYSCVTDFHRSIFEFECNCIDG YYDDGYNLQCLPICGDQLVVDGEDCDDGNDNPFDGCNQCQLSCQEECSYCQRGKCYECKD NYILIEPIYYCINNCGDQIIVGIEACDDGNIYPNDGCYECQFQCYEHCTNCYFGICLECD QENGWYLTGSNCESVCGDNIIVYGQEECDDGNFNPFDLCDSCVLSCAEFCDLCTDGKCQK CKSGFQYIAKTQQCIPICGDFKVVGYENCDDNNFVLFDGCYQCQFKCQDSCTNCLNGQCY ECNTQGWILNLSTLTCESVLDDNIISDEQCDDGNITPMDGCFQQQYECQDSCIQCYLGYC LACQSNWQLDMLSHLCFPICGDGMTVGDEVCEDSNSSIYDGCYQCQIQCDQNCQICDFSV CQVCQLGFALVNNRCIEVCGDGIVVEQEECDDQINDLDQICNQCKYTCNVGCKVCVSGSC ELCEDGYLLQDFQCQSICGDRIISGSEQCDDGNSDPFDGCDQCQLLCQQECLDCQFGKCY DCQVEYLVDNYQCVDLCGNEEISKNEQCDDGNLEPYDGCYNCLFSCDQNCQVCQSGYCLQ CKNVQWQINPIDFTCQPFCGDTFVVGSEQCDDGNDVRYDGCYECFFECQDSCISCVEGEC QECDVGWDLINKLCTPLCGDLLIVGNEQCEDGNDIQYDGCYECQYQCQDECTLCNKGVCQ ACDTLGWTLIHNQCFPLCGDGIVISPIEQCDDGNQQQFDGCYDCNYQCQEICGECIDGKC YKCNELGWLVEKFICVPYCGDGLVVGNEQCDDGALVSNSCINCKLYCDSYCIDCNNGICN SCDVGRYLDNNLCLPLCGDGLYVHEGCDDGNLENGDGCSDTCMVEKDWVCINTIFMISTC FYSVSPQMKLELLTQNPENVEKVLITFNQPMVLKYNESFDANHYFQGNVSNLVFEQYLIE FNFTVQPEYQTAQAISVQATITFYENIEDPILIVILNQTAIVSEYQTIVVDNEKELKLKT PIVISQGLLESSQSAKSFTNTIIYFMVALSALCILTGSFEMFWNLMDLLQYLSYIKYVNI QFPANLNIYFEVFKLISIQPIMDATGISAIFGLLDGNEDQVVQTSEKFLADDINGYFYTN FQSSIFCLIGLYLGFFIAKFMTSLLYRIGPYHISLTGYYVGKVIYVLRNLLRQSKQEFYY NGILRMVMSNYYDISFSVFIQLVNFNTTSAILSINSYAALIVFCLQMAFFAYMLTKQISF SKEMTVSKKEQYSALFDGIAESQNIWVTQYNTILLLKKQIFIFLIVYMEYNGTLQAIIIA FSQTIFLIYVCKLKPLSNLYEYYKILVADSFLAFNTLLFLAYAYRIQLNLSIDDYLLIGW FHIASFSFILVFSLLCDLKNQAAQIYKKIVALLTIKVPVQNQGATVIFY >CAK74992 pep:novel supercontig:GCA_000165425.1:CT868207:142851:143578:-1 gene:GSPATT00001194001 transcript:CAK74992 MKDLKLLVEQFLLIDFYMLCKKQSLCSQELSRQISRGYIQQQSKKKPIQKVIQKYSESVH KQKQQNNRLIILKQPSFFYRQRKNQLKYSSTYWLVEFVHGASSSQMIISLNQLSFTNKIQ VGLSNQSIRTKRRNKHYPDLRRIIQNIQQSENKIIKSTYKLGFFTGCLIRLFLPNLIYYL FEKLNKMHKAQKKNTSNLILFKKCSAKELINIKYNDKEITELKIEQFIKPSKI >CAK74993 pep:novel supercontig:GCA_000165425.1:CT868207:144584:144751:-1 gene:GSPATT00001195001 transcript:CAK74993 MNTQSNIRYGDDIVVIIQHDKTRNSQINLDKQPKRIRYGQTKEIWNNESYSNQMK >CAK74994 pep:novel supercontig:GCA_000165425.1:CT868207:145323:146479:-1 gene:GSPATT00001196001 transcript:CAK74994 MYKLFRKILTGLFKLLSIIRCLRSTIVQYVAFNPPEVGYLIRNRNEVIKTLTLSPLHEER SRTLDEPHSPLIQAKQPTRQSYQLTKEHLSIISPKIKYYNTLQSVEYKQQLRNPKNQYQY PSQEFNFISNELKAMEIDNNPGLPISSYVLESQSGNLIASIYIEFSDSEQIILYSHGNST DIGLMFDTYVDIVMECKINLFSYDYSGYGQSTGYPTDINLLYDIESAYIFLIDQLQFEPR NIIIYGYSIGSGPSTNLASRHEVGGLIIHSGLSSGLRVIDPTIDHTSYNDIFPNLDYIVD VSAPVYLLHGGADSMINVVHAEQLAQKAKHLFSVWLVEHGGHGDIESQWKELYFKRLQRF TRNVYLTLFQIVKLHML >CAK74995 pep:novel supercontig:GCA_000165425.1:CT868207:146901:147677:-1 gene:GSPATT00001197001 transcript:CAK74995 MSRQIYVGRLGSKIRREDLQQEFEKYGKIKDIDLRSTHAFIEFEASDEAKQAISQVDGRR IGGDRVTVKQRDDRPSGVRGPTTRDVCFNCGRKGHWANECKEGDLRETCYRCYKKGHIKK ECPVSRTPSDAKRQRRDRKRRRSSSSSKSSSSSSKSRRRSRNFKKNRQNRRQSRKSSSES RKSNSSQTSRSSSKNS >CAK74996 pep:novel supercontig:GCA_000165425.1:CT868207:147717:150032:-1 gene:GSPATT00001198001 transcript:CAK74996 MAIESYDFLVTEIRVSLDVQYLSYLRKEMKLKNLSLKRICQRLRELKLYQPKGNKDRNWL QIYSNLGRLLKEGFNQLYNVVQADLMEQSEELIVAAGISLKNIKCYSKELMPLVLHFIQL YNMKYADSWIPTFGNLIHQFNYKDYQDHIEKIIIEMSTPRQPEVGRLIGAMLIIQVANLL GDKIQGPILDRVRQLCNDNDSEVRAIIAGDVFSCLIERLSPCLIHQYLQDKFLPLIYDNC PQVKKQMIQTLFVHYQKLNAIECVFNATQMFIDCLSTQNEDVLSTSLAYCGVAFLAIKDQ VNLEFKQNLINLFVKFGQHQSQIIRYYYLYNLPGFLALIKDVQLNCQLIQPFCIIVDEDE EENRIFACSILHELIKPFDYSFISTQIFFGITNVVGSENLKLILQIQFAKIIETLLNEGI LASLDKIQKQFKAIFQDFAKLFEKCHHNYINNERFLTQVKHFMRFVKPRQFVKYYLPILY QQQTCIQNESLAMEILAHFFYTCQDYEIQQNIKDTMNQQFYKGNSNKKIKYLWFINALPK YISKKQFNYLNFNIFVNLYADKIVDVVINLIKILPHVAHYLIDQSQYKLLKTLKGQTISK LVDEMIVVIESNQIHIDEVKEKRLQEKEDKVQQDYLNSLKANKQCDSSQSIDSNSKYKKR PAPKLASQTAKKQQSTSQMRIGVKDNTSQPSSRSQDRSTNQVVARHQIEIQKFKSRPSGF NKF >CAK74997 pep:novel supercontig:GCA_000165425.1:CT868207:150684:151787:1 gene:GSPATT00001199001 transcript:CAK74997 MDNQEQKQYQKFDWEEYERKRAIEMEQYERIQKEIKETQERSRQTLQSLEVTFKNLDDAK AQARQTVENIDNFKKQFSDAMDKLLDKIGKSMGANSIKADEQNPKTAKEVTVEQAQKLLT KNVLILCGAGLSHASGIPTFRGKDGYWTKGTDTYQCQKVLTKDFLTSNPDLCWEWHRDFQ KMLINKKPNAGHTAIANYKKKNPNTLIVSQNIDNMLTSILPQKQIKNGHYESIYEIHGNI KYMRCFKECTLEQDELATLYEMPDLTKNLKPKCPKCGEDARPHILFFDESYTNENCRFQE LQDKYQNYDTIIVVGTMLETGCAKSTVCQFISKKATIIEINPEPIIEVGNTYQIKGKSEV ILPKLLK >CAK74998 pep:novel supercontig:GCA_000165425.1:CT868207:152145:153332:1 gene:GSPATT00001200001 transcript:CAK74998 MKNILITVLLVTIVTASLRGSGRKEHGHHRDQYSERQGTYSNLGEEEYIAYASEDGYTLY DSGDNYTLYDNHNSDENDDIYNHNDSEDKYTLYDSDDKYTLYDSEDSYTPYIVYDSESSS SSSSSSSSSSSSSSSDSSSDSESESQEFEHRRNERGHKFERRGPRGGPKRGPRGGPREGP RGGPRGGPRGEPRQGREGPIEGPRGGRFHNRDQQNNNKNCTRPHRPQDEFSRQVFQNLRK TGQVILDEWNPTEDPSLKNEIRAVLIGNLENLLPVAEVLIPTEVASGPAEPINVVQRQHH SHPHPPPPPPPQPEDEFARQVFETLHQIGFEILEQWRIEQDVEVKNQIRANLIQQLSDLI PAQSSEVSTTILDNPLQLEIEQVNPTPRLADENGN >CAK74999 pep:novel supercontig:GCA_000165425.1:CT868207:154822:156312:-1 gene:GSPATT00001201001 transcript:CAK74999 MNYKSRDAPSFLNKYLQKDINPKRPFESQNKSYQKYDVSPLIKQPVRKTLELNFSSLEEQ SLKKQAVLPNYEPTKCSSGRNGIIRAYAANTNQGIVRDYNEDRVSIILNIVKPQNRATEN WPKCSFFGVYDGHGGAACADFLRDTLHQFVIKEPEFPWNPVGAIKKGFEAAENHFLAYAL DQYSKGIQERSGSCAIVCLIVGDVCYVANVGDSRAVLSSQKGKRVTNLSIDHKPETEVER IQRGGGKIYQTQGVNEEGTQVTGPVRVMPGRLSVSRTFGDIEAKFEQFGGNSKVVISEPD VKIFKINQDHDFIVLGCDGIFDKMSSGDVVNIIWQDIQNNTKSNLHSILSTAVDSVLKEA IYKKSSDNVTLLIVAFQINTQKEEQKEIKYTYSNSVERIEETFHIHSRPRISQQITKRND ENFSQFSSLNYCNQATSMHNSNKSALVQNFQNRLLKQQQQNKKSSLDEVTNKIKTSYII >CAK75000 pep:novel supercontig:GCA_000165425.1:CT868207:157064:157269:1 gene:GSPATT00001202001 transcript:CAK75000 MDMVRGLNGVDWDDIYDENNKKDGKQENNKDQKGQQENMKQNLEKAIKDLKQEEQFEEKK >CAK75001 pep:novel supercontig:GCA_000165425.1:CT868207:157745:158704:-1 gene:GSPATT00001203001 transcript:CAK75001 MIQQSEQQMTKKINVIIYGRCESGKTELLKALKKENLKFNNQLYQEDSDEEIDEEEAMDK FESDLYSSQVTRCSFSSENYNIYFEESKIVYSDVLMKAQNFEVLMFLFNAKKGVFENQID NSFFFNFDAVKLLKQNYKVIFAITNMNSCNWSKERFDFIQNTLQSLITNLQYTVIPIDSK SFTNISKIECQWYQGKSLIEEITSTKLNNFQDILRIRILDVSNRCNSAYECKVLSGQLKQ IENPLNLNSTLQKTSVQVIRVMDSIDNEQSNISQNDLIQVKLPYLLILDLSRSKYKTENW RCFITSTLYVLFSQQRDVS >CAK75002 pep:novel supercontig:GCA_000165425.1:CT868207:158779:161482:-1 gene:GSPATT00001204001 transcript:CAK75002 MSQITNLSQQTSSQIGSSSQNFQFQNNQSKLQQEFQQMETQVKESEGNYYPQQDQSNGGG IEKNIIYERKQEQEGMKFGCSTEQNSQSKRSNGNIQSMRQDSEMEQDSTQGSEMQTQSQQ QQHAQSSSSSQQTSYQTQTIVTKTITTFHEVQQSGDNGQSDAVAEYEMMNGQGGMEGESY EDAYGLYKYGGYNLQADHAKLGGGLMLNKYNFSDASLKEKQFNSSAGKVQLACEAAMAAA QRRQDEAAAAGGGMASWELQMKAMAELKAQYQLSAKITIKQKSFLMYGYGFRGKEGKPQK CDDEELNKQKCDDEEINKNKCDDVEQEKPKQDLNFAVCEAECIEKPKKKQPEDLTNAPCD PDEFTKKKKPEGPEEPEEKPCDPEEFTKKKKPQDPEDPEEKPCDPEEFSKKKKPQDPEGI PCDAEEFTKKKKPENPEEKPCDPEEFTKKKKPTSEQQIYFPQDLSRSKNTPRIQSPDSFF KPRSENFIRLMPRSPQQIVYPVSSVQSIILPKFVTCEPVQVAKQPILTQCLSARQPLIIQ EEPAPVILQGVQFGTPKPLRPNESYMAVQPVQKQLVAAQPQVIQPIIQQQVVQVQEMQPL QQFIMVQPTQKAETYSQKHIQVQQPHLIGQHVQLAAQQPQYTTTQPVQIMTQQPNFVVAQ SQQVTQVAQPIVVMQGIQQEIISSPTMQQQQIFTNAKFPQQDGLSPIRQKQIVQQPQVVL QPTQQKQTMLVQTQPILQQSVPMQQSVLQIQPQQTIQQVQQFPQVTSPLRQQPLVLQPQL QYTTQPVEILQSSQSPIGQKQFKFQPFLHKV >CAK75003 pep:novel supercontig:GCA_000165425.1:CT868207:162406:163830:1 gene:GSPATT00001205001 transcript:CAK75003 MQQKEKQESSDEEIEVQPQQQQQVVVKKNTQYNQQLIDKLNKPHQFWQTQPMPNINEMEK LQPGPIQQGVLAEVRKDPLNLIDKFEWFNVDLRNDEQAQQVYTLLKENYVEDDDNMFRFD YSIEFLRWALLPPGQYADWLVGVRVNQKLVGFITGIPVTLHIENEQNKVKMTEINFLCVH KKIRANRLAPVLIKEITRRVHIKNMWQAVYTAGIVVPTPISQTRYYHRSLNAKKLIEVGF SSLSARQTISRQQKLYKLPEEPKTPGLRPMKKKDVAQVTKLLNEYLKNFKLYFKYTEEEV KHWFIPRKDVISTYVVEKEQGIVTDFLSFYNLPSQVIKNPKYTHLKAAYSYYNVATQTPI VQLMHDALILAKNEGYDILWIMKNFQRKLMFCPGDGQLNYYLYNWKLESNMLKPEEIGIV LV >CAK75004 pep:novel supercontig:GCA_000165425.1:CT868207:163886:165093:-1 gene:GSPATT00001206001 transcript:CAK75004 MKALILVGGYGTRLRPLTFKCPKPLVEFANKPILMHQIEALVKVGVQEIILAINYQPDTM KEQINKLQDLYKVKIICSQETEPLGTAGPIRLAKDHIIKDNPDGLFFVLNSDIICEFPLD KLLQFHKQHNHEGTIFVNEVDDPSKYGVILADETGRIKDFIEKPQEFISNKINSGLYLFN VSMIDRIPLKPTSIEREIFPIMAKEGQLYQYILPGFWKDVGQPKDYLAGTVLILESYRTH TPDVLAKGNNIVGNVLIDASAQIDPNAVIGPNVIIGPDCQVKEGVRLKNCVLLKGVVINA NSWINESIIGWSSTIGKWVRIEGVSVCGEDVQVKDEVYINQSFILPHRGITSNIYNKNTV IM >CAK75005 pep:novel supercontig:GCA_000165425.1:CT868207:166128:167757:1 gene:GSPATT00001207001 transcript:CAK75005 MNQEEVFFKKQWFILSHQGKIEQFYDINLKKVIGSGTYGSVVKATLKGTKNQRAVKVIPK SKVKNPDRFKKEIDILRQLDHPNIIKLYETFEDQRNVYLVMEYNNFISIRLCEGGELFDR IMDKGCFSEYEAYEIFLQIMQALNYCHSNSICHRDLKPENFLFLTKADDSPIKVIDFGLS TLFEDPVTSKQTGQKLTMKTKAGTPYYISPEVLKGSYDELCDIWSAGVILYILLSGVPPF YGDSDPEILDAVQKGEYTTDIPELKAVSESAKDLIRHMITQPSMRYKASQVLKHKWMIES NKPTKCYKFILLSLELKLNFAQLKNFNGSNKLKKVALTFIASQLNEQEITDLGKLFKQLD KNGDGVLTIDELREGLTGVTDSQQKDLANIIRSIDTDGNGTINYTEFLAATMEKSLYMKE EKLYQAFKMLDLDGSGKIDKQELQTVLGKSEKVIDEKYWDDMIREADKNGDGEIDYSEFI EMMDKFSLIS >CAK75006 pep:novel supercontig:GCA_000165425.1:CT868207:168005:169207:-1 gene:GSPATT00001208001 transcript:CAK75006 MNHFQSFENSNLQEIDPAGLDKNESLPLNTKNSSRRAWSQKEDNQLRCAIKLYGTNWLTV ASALQNRNPSQCAQRWKRIKPQNEYSKRQIWTKKEDQLLMHFVQIYQNNWVEIARNIPNR TSKQVRERFVNKLNPEINQEPFTDAEDKLIIEGFKNFGSKWCKISKMLQGRPENIIKNRF YSYLRKHYLKIDNPYYVIPQPNQELSHSFYREHKENKVKKIKKLKKFPKLANSKTIKIQQ KSQSIQFKSNLDDQNQKEDQMPFKASKNQEKQGKLQFRMPDPQESLNLVKTEEPLENEQE AKLQKEKLEYFSSNFQPNSFHQTNIQPLQCLIYYCSPQLSMPFIPSFFSTPLLQYGMASQ ETLLSRSQEIKSKNSS >CAK75007 pep:novel supercontig:GCA_000165425.1:CT868207:169242:169417:-1 gene:GSPATT00001209001 transcript:CAK75007 MKWLGYIKNGLVDDLKLSIKITTQYQRFLKKLVSNNSNRESCLKMI >CAK75008 pep:novel supercontig:GCA_000165425.1:CT868207:170198:170766:1 gene:GSPATT00001210001 transcript:CAK75008 MWNYTPASKICHMRTQMEAYDKHLYSVRNAKSIVKTTTPYKPYFLSVCSRYQNKYENNEI NKCNSILFDKILDIDIRQGDLSQSKMKQKFKLSTSRQSTNRNRGLSIDLENSKISDRIQS AKSQYSKQRQLRHSSQFERYSKNISQNASQILYLQNRENISL >CAK75009 pep:novel supercontig:GCA_000165425.1:CT868207:170966:172324:1 gene:GSPATT00001211001 transcript:CAK75009 MANYREQPLSKGDYHNRVPNNNYHKTTKQLYNEDQNNIENYQQNPRKQHFCLETEDQDRN NRQYYQGNNKYDEPFSNNPYYNRAALDQVCSKSIITIQDQPNRLQDDHLRNELQRDNYNQ INQQQEPISKYYNNDQRDRYQEVKNYYYNQNQQSKSILSPKLYSRDIYDQQQEQQKQTQN KQSINQQQKYQKINECVQENPQFGQIQDNQQRQYHYQSQPIQQQFSYQQQPSKEFSCQNA QQYVSNSTRQQVNSTDEHQSQRDVQQQQQRQCVSYQTQSPQRFHQDLPIQKQQQFQQRLQ YLEELKSRIPKDEIFYQQVDKRMPQTQQQYRQEQNERINKINPIAAVSRMQRPNDIDQDT YHNSQGQYQQYQYQQREDYLIQSNRNNPNQYLNLYMSNKYDDQGSYQQRNNQHKRTRTPN RYSEDSYERERQQFIQQTSHIKTNSQTINKRK >CAK75010 pep:novel supercontig:GCA_000165425.1:CT868207:172433:173773:-1 gene:GSPATT00001212001 transcript:CAK75010 MLLTFFQLLWCCSSLMLQFVEDDKAKCLDGTLGSYYFQKGSEEGQNKFIVFFEGGERLIL GNTEEEYLMNAVGKMQTQQGSSLNRASAFEFDGMLSQDKQKNYYFHSWNLIHINYCDGVG FQGYKSDQVNYQQHLLYFRGELIIRSIFDHFMTKFQKAEIITLSGCSIGGVAALQWEQYL TSRIPENIPILFVPDSSILFDIQSIDGINLLQQSLKIMNYIANYETQVPHSKCANNYPNQ NWKCLYFQNLINFIQRPVFIIQPFYDQNFLYNYLDIKCIKDQTLENCQNNEMDFIDLVYS KFHQIIKESLIKNSNTGSFVPSCISNCLFVSQLSFSRSWTIPEGSNRTAYQTLVKWVEKQ KLNQNHQGDFGLIDQVPWPKNSACAELSLLSQIKLIYIILQVISITFF >CAK75011 pep:novel supercontig:GCA_000165425.1:CT868207:174156:174545:-1 gene:GSPATT00001213001 transcript:CAK75011 MTISQKIFFIIFPMLTLILFVLGIYFITQIIKKGKDQYAYIFGVVVCIPSFCMLTYLFVT MLIDAVRVKFVNTWTILSQDNRNDTDASIKPLRVRARSQSLPQIYPEQMTQEQHFGKTIF KYITRQQMI >CAK75012 pep:novel supercontig:GCA_000165425.1:CT868207:174684:175172:-1 gene:GSPATT00001214001 transcript:CAK75012 MLIKIRPKFQNTTNQTFQNSFNASKQQICPQQEETIQDTNELGFNVYHPSNNAKNLQTQK DEQMIIVEVSIQNHHLRILRRSKSLQIQIKRPLKKLKDTLKPLKLFWKEQKIHRLKYDFL ISSKTQLAEYVQNKINQINLSLHAVAMDLSSIFIWIVQRRGF >CAK75013 pep:novel supercontig:GCA_000165425.1:CT868207:175716:176705:-1 gene:GSPATT00001215001 transcript:CAK75013 MEAYSKFDFEGNDNFQKYLLNVYPLPPNLDKIKRKWYKTYIDPEFDINIESQNSNQNTSQ QTTQNEKPQKQETHQQPQQSQQQQQQQQNYENRQQLPSIPIWTIIEGMLKVIYFPALLFL LSPQLHKYLNFGSIFICLLAIYRLQGLPKKNYFKEYIIKIIQLEFTSNIFFIISLFTIDS FAFQLPIALHFMVGAAEFWTKINHEQGISLKVAKYITTESNRSEIILTKQKIEIYLFLYS VVGIFIKKTSFVQAIIIFQNVLLKTKFNKNMKNAQAYIRVWYADKLSENRILPEGLRKIF SIIWKGYEKLLTLF >CAK75014 pep:novel supercontig:GCA_000165425.1:CT868207:176821:177900:1 gene:GSPATT00001216001 transcript:CAK75014 MQTRLTFTIGQMNKEIGNRHIIPAYQVEEEQIKEESPLPQQGESPLKKPVNLVKQAQSLA PVYSTISERFQICKFLGKGKFSDVYQAQDKQSKIIVALKVIPKATISKYGMEKQLANEIK IQGYLDHPNILKLYGYFQEWSKVVLILEYATDGELFKFLKKQPKKRFTEQTASGYIRQII EGIGYMHSKNIIHRDIKPENILITHSLLKIADMGLSTYNPANQVRQSFCGTVDYMSPEIA AGRDYDHTVDLWAIGILTFELCTGETPFYEKKKEDTMNKIIYSGFEFPNFISEECKSFVR ALVQKDPKKRLSIFQIMQHSWIQKYEKESTIFNRELLNSMVKLLK >CAK75015 pep:novel supercontig:GCA_000165425.1:CT868207:178197:179129:-1 gene:GSPATT00001217001 transcript:CAK75015 MSQVCQQNLIPSILNSYASNRNELGSFQRVNSPGLPNSSLRTSCKGDKKKLEIGLITDRK LSESPSLRKAISTENFRMLKRNDSIVETPQPWAQPPQMEHLIKKNYKLIDRFESDQLCIK IYMSEENQNQILFTGISNEMTASEQNKKEILQNLQQLFKVGIEGQNKYIKLESLEKDLNL DNQRYYGINAKKLYNSNKRIATQGNDTKSIFDRSLSISTIKDSKSITSNKIKKDIEIIEQ GILKYKLNAKNKQNSKQPFGQYNSTATLKTKRTGCKSPLLSAASPSSKTQRKTDQKLTNK VKFNTKSMHA >CAK75016 pep:novel supercontig:GCA_000165425.1:CT868207:179368:180825:-1 gene:GSPATT00001218001 transcript:CAK75016 MFLSESKKCLIGAVLIKIGSALLLTWGKTNVYFYSFYKQTEPDLSIAYNTLPIAIMALPI ALITIFSVKIAKKVGFTLHIRIATTMQCASILIAPYMPTYLTFLFFYIFLYGIGYSSVAF PVFSCAWSHFKKSEGRVSGLLLGAYGFASVIYVIIITYVSNPNNQQADLQTEHKTQIYKY FSGSVAQNAQNAAQLVSYVASLCCLIGGFLIRPYVQTTQYNQVGAGDQKIQSFEEETPKQ NDKDVQQDSSVEMDPSQVAVNDLPLEIASDHGLPQIKIKQSLSAAIKSIQFLTIICCLSV LTLFLLTLGLNYKSYFLSKINEDFFITFVDLVALLASSFANILWGFLIDRINFKILFAII YFVSGIGAIALPFSAADKVSFFIVYVITMMFDKGALVVTGPALIKIFGDDIGHQLFPLTY ISSLISVVVGPVSQFILLKYFSFDIILYCQGSLILLVIIFIIRLNMNYQK >CAK75017 pep:novel supercontig:GCA_000165425.1:CT868207:180889:184101:-1 gene:GSPATT00001219001 transcript:CAK75017 MSLVKSFELALIQNDVLSKVLPILKFINHTIPFPQKHSSINEPRIIRYLLQLHCQIPDTI DMILISLLNIFKHSKEWINGCFKAIEEYAQLPNQGNGKYVALEFLETVLTEISELDFDID DRCYAFIIFLKHAENEKLVRAIMKKVGQIQQQLGNRMRSANQLNQLIEETVLKLYQEEVQ KNSDEFHYQTVAFELQEKGSQARKGSKPKMSLMAMNILQSQSLSQKIPSKQQLNNDNLNN SNSDDSVKGSEMNLDEILIQHKPRIDSEQSEYLADIDALQNLFAYITNPVATEPGLIFAT DALANDIQLPCDDNQYKLHVIKPDKLTTLRSYKAMLFLTDYHNHQMIMQINQHTMQIAFR SISGFFQNQQSSGNLTHIIGLLQFYLKYELKETAYNFLYFQFHQQFLDYLCTLTLLILDN PLYLDFLMRFMDPNYSKIPQDLRNYIWQFLNRQGYISILVSKITNKEKEGVFPKRKDITK EGYQFLKHINDYKLQLLQDDDQAAITYSISSNIDQYLGPLIPGKTLIQNKQLLERKMLLS SHLRRGSDLSSLARQINKVIIQEYIDLTELNQQGRDIDRLQDVVQFYKDISTPAETPTLY NVYRQTSEGIKNIQFEQRSQRSQLTEPPLNIIQLQNTFKLPSLNIQPTTIITDSITLKNT QRKQKSMTFSENILAQTISKRSDQPQYMSLVGVNDNSSTFRQMNVIQTPTSLAKLFVHYP NQDNSVVDTIMKEEYTKYETQNDETTSFRNSTLLKNIIQSMQKFEYHYAFDELLDDQYIL FEKLLNHYSITQTALFQSSYEDNSSNNCQTILIDLIQRINKKPMNEKLTKIYIAYLPRIC RNLTNMHKMQNQNRNNMELFTNQNQMGSVRIFSTKIIDLILRNFQQRNHRIYLKVNDTSS FSLNEQFHQLPNPFYQQIFYSIMKQLCLFAQEKQVQAILFKANLLEGISQAFHKNVINKV MIKQGNVEVYLIHLALLSQLIVLVYELRDDLQVYKSSLLRLQCFQNLKEVIDMFKNNKLI QSQALDIQKQLRKKK >CAK75018 pep:novel supercontig:GCA_000165425.1:CT868207:184136:185909:-1 gene:GSPATT00001220001 transcript:CAK75018 MDLLKDPVNDRQVKTLKPPPHRPLSRNLMFPDKLKNKPDWKLIRDHLQKEGRIAKEDLFK LVADCNKLLKNEGNVLYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPENTKYLFLGDFVD RGSYSIEVIVLMYAIKLNFQNSVFFLRGNHECRQLTAFFNFKEECLYKYDQETYEMLMDS FDLFPLACIINSKFIAIHGGISPDLKSIDDIKKIERYHEPPRQGLFCDLLWSDPVDQEQG YLDTAWKSNEVRGCSWFFGGDSANKFLQRNNLISIIRAHEAQLDGYKMHRWNGGQDFPVV ITIFSAPNYCDVYNNRGAVIKFENNTLNIQQYQYTPHPYLLPNFMDIFTWSIPFVSEKIT EMLYHILQTDIQGDDDEQISEQDIQQFKQLTKQAGLQKQSTTGSSQGAQKNTEKLKNKIK FVSTMMKMQRTLREERESIIQLKGACPDKRLPRGILMKGKDAINDQLADFTTAKSVDLIN EKMPYAQIPQESIQIKKPSTQRKK >CAK75019 pep:novel supercontig:GCA_000165425.1:CT868207:185973:186852:-1 gene:GSPATT00001221001 transcript:CAK75019 MSESSLSQNDFYELKHVRTLKDNPSKFLSKKKQTQFYLNRAMLDKEYIKTDLSCECSECG KCSQFSETIKKQYPFCETRWQKRRRIFKRFKAATNAIIFILSYKVEAIKKFRKRMHILKA VRNLTTLKKSQISAPTQLQQQPLISIPHSRQSQRIKTTPDEETFFHHVINSAREPRKSQV SIYMNQMLKNVIPKSEIHLKPLSYLNQKQIKQNNAKSITQASFSHHNYSQVTSNPQKCPT VHMEQIVNNQYKTNNKDLLKLIDSLKVRHRIIRCKK >CAK75020 pep:novel supercontig:GCA_000165425.1:CT868207:187553:189210:-1 gene:GSPATT00001222001 transcript:CAK75020 MGSCQCRKFCESDPINSNLHIQEIATNDDYRVNNQEGAAKDLFIKIKTWQKESFSLFDYE NQSHLKEQNFQISKGGYLIKNNNELQWIDDDVDWNKSVIKQEQILFRIDKNEGIFSIINK RGECKQNYEDDKQEQTTKYHGDNKDFQQSENLDQLEGDEFHQPNDIIKKYSHHQKISMSQ RTNTKLMEKGSKLWLVVRSIQQMMSNNGIKLKEGDVIKMGRVKFKIREIQLNQRQLIQDS DSAKSSQSDAITCRVCCSSAYSRSNPLVNPCKCTGSIKYIHLNCLKKWLKSKFQTKQSDH CVIYMWKNLECELCKFNYPPIFKSDEGIFDLIELSKPTEYPYILMEITQKRYEEMQENNM NEDTQWNQCNGVYIVSFENNNNGQVKFARSNELKIGRANETEIRVNDISVSRNHGTIKLI DGQIYLTDNKSKFGTLILIQQGVIPLIPELNGIEMQVGRSVLQFTLGNSNANQQSQQQQY LESEIFDKIIGRNFEDEEFDYLQ >CAK75021 pep:novel supercontig:GCA_000165425.1:CT868207:189210:190041:-1 gene:GSPATT00001223001 transcript:CAK75021 MEFEVQENQANNSIQGIINLQPQVNQVNQVQVQQQEIQIKQTDIQVSSTLEESVAVTLLR DLRQIGIKLRCVLVPTMSTDNARELRNWDLWGPLVFCLMLALTLSLSGNNDDGPGIFATI FVLIWVGSFVVTLNAQLLGGKVSFFQSVCVLGYCVFPINLGALFTLFFQFFYLKMFLVIG CFLWSTYSAVGFMKLLIPEQKRILALYPVILFYLFLSWFALIV >CAK75022 pep:novel supercontig:GCA_000165425.1:CT868207:190682:191611:1 gene:GSPATT00001224001 transcript:CAK75022 MNNVLKHRLFTSPDYSYQKKDDEDELINPMVDSFFSQKSQNTLKSNSSPPKNIKLILRSD KKTQYIKPQQYQVQRDCLDIKSKQEKKYQQLDCLIPQIKLVQRNEKQRRIECFNGEEVTI DQKIYFNKCHEIRNIYYMTSIGYKLGIEEVEVEKEPQIQNSVSISEKMIKSIRAKKQFQK AKNKITHIKLVSEISKSFSDETKRQKLDREHSDHINQLLNDPSAIVKSEHQLGELIGQTK IVTTYKNQDNYQTYQQPNNIIIRKEKKASIHLSKSLIIHVFCTIGILILIVILAYAKTIN GFHQQNEDL >CAK75023 pep:novel supercontig:GCA_000165425.1:CT868207:191671:192418:1 gene:GSPATT00001225001 transcript:CAK75023 MNTLNNMTNPYNMPSMPYGGILDPDGCRMLARKIFQTYDISKKGYIDVVDVGSMFTDAYR AQNITIKPKVNETNGFLRAINKSAQNRVTLQDVEQYCLKKFCGTSYQFKQQQSANKQPNY IEERLEVARSLFKKLDADGSGYITEDEVYEIIRETYRQMGMKYEPTVDDVRSWIHMTDSD GDGKVTLEDYENLVLKSLQQQAISYNLS >CAK75024 pep:novel supercontig:GCA_000165425.1:CT868207:192440:196039:1 gene:GSPATT00001226001 transcript:CAK75024 MDNQEQVLIVNYTQLQSVQSQFESINEQLAKLIPKKKYKQIYALLSKFFDRYDHSSQIHL NDKIHFQNILLKALNKIYQKCMLKDMSRKNIIDIKIWKQKIAKYLEIYPVLISKLTSNFD MIQIETKHSLRDQIRNSMLKDKLQQQIHITNNNAKLKLNQIVTNFSLEQEYDSYLQNIMV SFRLLSYQDIKPQEKGRYAKLSFDISKILLQGKGKRASKLSQYILCATNLLIKSFENNND MAQEILEEVNSSITINYLTYLVQQLEANNDFKRKLKCKWKFMKLLKPLTWFWMQSIYYYQ SIFKFEKCQAIMTLLKWLSFYYLLQNDELANYIQNVSSLTYSKYKELIIFNSVIEAVCLD DDVGMPRLKKLMRDNQEQNQEKQQYKQSVNYYYNVNDKNTSFQPEFYYCTPQIKALAQQK KNTDAPFIDIPVENLSSMDTRQSSKTLKCPKRLNTSFNLNVQKSFRGSISQFSTSFSEKK NQSMCANSQQVQQIQQNTVPMLDKMIKKLIEEKIVLDNIEDKKSQSQQLLSKEKLQQKEN DFYKKLLKYKTQFSKFVSPVKMKSNQEVITKQDLQMRVIDRQTLVDSKNSQTITFQTKQN DQDTSLLSFEKPGASLGPLQKLKKIIFKHPEVFSLQKLRNKLKSSKKWYNKANATRISNT RRSIMSQKSKDHITTDLNQLRDRSQTQLRKKLSETQFQLEAVEKEMRSYSVKIKYSRQNA DQPLQLDNKYQILNEQKINLIYFIDIFPNFLEFEFEYNNQRKNIFQKMEEDQNLVSLSLL NDEQDQKLLEKISSKSKKIFKIVYPNKYLQCLVFFSKQLIKYKIYNRKEKSLEELSKKFV RCLIDYDEKIICLDQITEELGVERRRIYDIINILESLQVVKRKCKNQYSWSGFKTIYSTI EQYANKQVHFDITSHKREKSLEVLSAGFIKLFMQQKSIWTLEEAAKYLGNEVDQNKLKTK VRRLYDIANVLKSIGLIKKTHLVSSKKPAFQWVGKEGLKVFQYNLKQHELKNQAQESKEN IVNVPTPQVQTVSKPDSFNSYNSYVGKCIDLLIQQQLNDRKQQTEQKIKEQNQNQVTVIT PKLRKTYTIIPLRVTTPKKALQITPKKNHSNSHTPNNNKTVVGSLIGKFNQNI >CAK75025 pep:novel supercontig:GCA_000165425.1:CT868207:196105:197800:1 gene:GSPATT00001227001 transcript:CAK75025 MRRDLSTQQSQQTVENIPQIGTTFRFEQANQVLSSVLLSNSIYAIAVLLFSFQLSFDGNL LSVIYCIDSKLLLQLIHQLYFYRIFLHFNITEKHTLLRLISTLVEGSYLLVLNLYQISGT RDLLLLSNIFPLLNIFLSVILKLNEGNQYFKQSISQINLFHVFRNIALFIISIFLSLKLE GYFDIQWLYALWMFWILFGISASIVIYYIFVVLALGIQSVLEQNSRLKNLVIINFWILFF MISNTSMLLLIPISILNFHNLGNYGNIIDTSRIILIINQVVFSYFTVRFKPEMVFSLQSY LSLNDSVNPLNTLPTQQGEIQLQQFNNKDFGESRVSEPQVHIPKIVKRISKTYFGFEDLP TDKKSETQLSSKKPSHHKALSSQIQRCSDDQNEKIKLSSLINIKLSGRQRSIADLENNSQ DIKQQVNVPSSISLSSINSCCICFENEPNALFMQCGHGGVCYNCAIDLWKNKEECYLCRS KIERVLQIKMSEQQKNIYQVVAATELNQKLKLEQKTYTQNLQQ >CAK75026 pep:novel supercontig:GCA_000165425.1:CT868207:198076:200725:-1 gene:GSPATT00001228001 transcript:CAK75026 MNIQLAHMVVSQWRSFVNKRKEVRAILIAQYKPSYIRVLQSYKEMKRRRFQQYIINNASN LIYKALKINLWKVKHLKQFLNQNQVKRFYILKSQEQIFQNTFNLHAKEVNVKTIRLHVQS SCQYKNLIPLLFHKIKSAVILFNCHQLKKTFGETNINKDVIKYEFLYNFIKYVKVKLPIK QFVFTNQERTIHQELLKRIDKFQLEEYNLLKYIELDNPKILKKVYQYNSQNRDRQLHIFF DFQLKELAAVCRIQKYFRAKKDIASNGMKYQAIMKLINQSRAVYVIQRWFRRIRWNHRNN FFKEISFYVSQIPNSNLYLDMEIYSKIQEIAKSQQHSLKFLEQYNTIVADNQSARLQFKS KSFDDSVQSTYVQSLALFDLLNVPIVPNWLIREIQMVYINEDKLIDYSVIKQEQIQTKWN CRNREYLNKNRESLKELQYEKPVDIYGLLHFGASISFDYINNRDYIKFTYVSTSEAKYRV IALALLTYRFKFNGTSILMFGDNIWEGTQQQKTTFLSRYYQKIQKIYQVEIQTLEKSQLY NGKQNQVILCLDNFQAEKFKFDKQTVQLQFFNEKSRIIENFIWMCPELACKQKYLRNQVS IQLNQNSLKNSSHIQQANSSFGDIQQDYKSFIQKFIPQQSLDISPQSGIIDGSSNSTQVP LKFSIMCLENAEIQFQQPKFKRRTEQSNQEFVMTSRFRTQNNSLNNSKIKEQNDSQNYSC IQPKRRQFPLEDINEHIEYSQLKQQQQEMALIENKEKIVKIKQKNLNEKTFIREFQNFAS LLQRQEQKIMKNQMRTARNNTLEKSQKTESIKQTIKSQSVTRREDYQDVSIVFPKIEPKC SKKQTINSRLSNYHRYAVFPEELYAISTKKDTFHS >CAK75027 pep:novel supercontig:GCA_000165425.1:CT868207:200746:201936:-1 gene:GSPATT00001229001 transcript:CAK75027 MIQNVQNKPKLLNQIPEYILNNQALNNAISQLPKHYNFEIHKTISRIIETQERLQRQNIL INLQFPEGLLLYSCMISDILTTFTGCETFISGDVTYGACCIDDLTADEMQADYIIHYGHS CLVPINECAVKTLYVFVEINIDTKHLFETIQKNFTDKNIKYYLMGTIQFNTYIHLLKKQL EEDGYKIAIPQEKPRSSGEVLGCTSPKLEVSQDSRNIVIFVCDGRFHMESTMIQNPQFEF FQYDPYTYRLTIEKYDNPKMMNLRYQQIVQCQKMQPQLACIIMSTLGRQGSTQILQRIEE LLKKHQIQYFTLLISEINFEQLNQLNEVDIFIQIACPRLSIDWGVQFQKPLLTPYETYVM LGEADWKQIYPMDYYSNNGGAWTNYYHKQKVKLNYE >CAK75028 pep:novel supercontig:GCA_000165425.1:CT868207:201977:203108:-1 gene:GSPATT00001230001 transcript:CAK75028 MEKLTIDHFTLLKVIGKGSYAKVILVKKNDNKEIYAMKILKKKNIEKRKQEDHVLGERNI LVEVKHPFIIKMFYAFKNDVKLYFVLEYCSGGELFNLLQKRKVFTEDQARFYAAQIVLAL EHLHNHDIIYRDLKPENVLIDAQGYIRITDFGLSKRNVKGTKDAQSVCGTPEYLAPEILL KAGHGKPVDWWTLGAIIYEMLSGFPPFYTQNREELFESIKFAQLKYPVSLTPACKSLLEG LFSKNPDKRLGSKGAQEIKDHPWFLNVNWDTLLKKLYKPPFIPLVKSEVDVSNFDPEFTE QPLESHDPNSLSIGGESIGQKYQDFTYDAKLE >CAK75029 pep:novel supercontig:GCA_000165425.1:CT868207:203198:203871:1 gene:GSPATT00001231001 transcript:CAK75029 MDSIFGLQGGDFVIVACDGSIAHSILKIKETEDKILKLDDHKIFGISGEGADRNSFGEIV HKNHCLIRYKTGGYMNLQETAHFVRSMYAQAIRSRDGPHQCNGLLGGVDSDGTPSLYWLD YLGSLQKITRGAQGYANYFLLGLLDNQYKPNLTKEEGIEIIKKCIKELQTRFLIAQNKFI VKIVTKQGIEAFTI >CAK75030 pep:novel supercontig:GCA_000165425.1:CT868207:203871:204389:1 gene:GSPATT00001232001 transcript:CAK75030 MTFQYQHHILEEIFVAITGKVIISIIFENFMNSNLFETTYLLIYWIDSEYVINSNFKSLF WIKINLPLTLNPNRLIYLQFNFVLYLAIFQEFLIFLLMSFQIFLLNLKFQIYFISIFFLI IFLFAQFIHFFSFLFSHYIRVSLSKLFFPPFNIQIIQFAKIIQHTTCIILYK >CAK75031 pep:novel supercontig:GCA_000165425.1:CT868207:204615:205291:-1 gene:GSPATT00001233001 transcript:CAK75031 MKRILGLFRFGSGGHGHHHVKLNTVQNWISNDSGKLVCITGFTDLHDHPHHDNTNPYQHL VDAPFFSFERFYWSDPYFHADETDPLANQPHGYLVMDDPLNQQNNVELPLYELIFVFSGA LGLIVLYGHSNFLYQSRGEQFLQTHITRELLEEEVRKIREETSQLEQRKQRLQELLGQNP >CAK75032 pep:novel supercontig:GCA_000165425.1:CT868207:205350:206116:-1 gene:GSPATT00001234001 transcript:CAK75032 MSNYSLMFKYIIIGDTGVGKSSILLQLIENEIREQHDATIGVEFGAKIIKVNGMNIKLQI WDTAGQENFRSIIRSYYRSAIGALLVYDITNKNSFHNLQRWMEEIKNNGNANMVIVLCGN KIDLESERAVTYEEGWQYAQSQSLIFLEISAKQGINVQSAFYQSTQRILQEIDDQKILLG QDPGIKIGGQYKKRDKEIQVINTYEEAVQLKTIDTPNGNNCC >CAK75033 pep:novel supercontig:GCA_000165425.1:CT868207:207157:208580:1 gene:GSPATT00001235001 transcript:CAK75033 MSITVEDLAANIETVLASLGDEKMVNWEVVEQTEHNLMKHFDQLFERLYTLKDSLQRVFL INIKNLRQYDAKDLVQKVKDKKLEPIVLKSLTQYAFKNDKDELLLNPSLIKGQVNSIVLP WVGNNLSVTDKIKETNEWLDSKLAELEVFKPKETQESHKTLDVQPKNEGNDKNNYTFKSD KLNEIASQIQTMHIPNTNNMISIKTYTQIVEGSVELAEPEFIRITLENRKARREVLYSDG AKYVQLLLDYVNDIENLLMKAQEEICKKIDISQQTLEQSEMLLMERGLGQHVFMLQASAR QRIKDKLPKQKQVQMNATKEIIRYQIKLLNEKQDFLKNMIDKLPTNYESGQLVPMVLNLV MGDMIFEEHSYEEEDYISNLENPQLFQDPDMMELLKSIETGVVQLLGKTAFAQPPGGMMG NIPPQMLQKQQQQ >CAK75034 pep:novel supercontig:GCA_000165425.1:CT868207:208647:210076:1 gene:GSPATT00001236001 transcript:CAK75034 MSQSNPSHNYYSQGVSDKFKPPFNQKLLKEKINELQNAIQRTEKEYNQANQISDKTNSKA KQSLNSFDRTQNQKDSQIENLIVENQILVKAIQKLETKVKNQVQLQNENQTLKQNVEDIK SENELLKQKKTLKNDSKLSSDAALGILAAKRSQEIQILTNEVKETKQKNQNLQGKLDKLI LENQHLQSIIKNSENQQLRSDSQNNSIKKNNFVEKHSDQNQTKQNEQIFQLQLELDDAKK TITQLQKLNKANHYTQQSSNYKFSNSNDKISKSQSLEPSHFDKDKRMKELEEKIKKLQFE KDSQLYTTKLECAQDLKQFQEKLKQEYNQKQQFENDYDKPTQDKVKKLSAENTKFQIMLE RQNKEIMNMERKFTELLMQKEELQQNLLKEQQFQGYLKQEKSLLQNSLKEKDKEISELKD DIIKLSKERQQLKDQIEKLKFEVKMSQIKSSNRPQSHQSETSKRNR >CAK75035 pep:novel supercontig:GCA_000165425.1:CT868207:210197:212136:1 gene:GSPATT00001237001 transcript:CAK75035 MRILIVNGYGKSETGKQQFERYCGQIRKLFLNQKELLDTETEFFSRDANDLDEFLYDQDS GYSKQEAANQFNFIDFVFIEIDANLRPWSKHLKKVMILIRMCLRTNKVLLATAGAMQALV FFVATNLETPVEVVNGPQGGPLGDMSKLSKSLDQMTKHEYFLDSITGDLYGIYFDKVESA NCWIPIANCGIHSRRAVEEFNTVGKYMLQAPVYKPQKIKEIYGLYTSTQDEEICLIKKNF VQNYLFKDVMQEFLVHSKHAWDIHPFNVLNPKKTFVILADSSKGPQVITVNENIVGLQFD INPKYPETQLILRNFIIHYLIQIRSCVNKPLTIQQANNDLRKNKLDLENMTKQQAESQQK GERTVFNFEVLTKFKHVGFTGKKAKKLEIVMNNAINDQGQLQEAMKTMRQKQSPRTKQST EETDLEKSKFAKFSTKLNHEHRDTTEKFNKTAAEIRQMLHPNIDEQLLPENEPLWVPGTM NTSMLASTGQRIRQRPQSTTQSRTFKLTRVQTAKSRVSKGNTTKEDYDLCREHPIVRCSS PYITNIERDRLEQRQKDKQIIGPRSIRTSVVSTIKGGTPFILYDNPYSNPADFKHRDENK SRWVGGQNFRVC >CAK75036 pep:novel supercontig:GCA_000165425.1:CT868207:212156:212756:1 gene:GSPATT00001238001 transcript:CAK75036 MDQKKSLSDLKQALAYRNRSKDIIDVIAKGVQDIKFFKEKQQLFDPALYCQMLKKIQLKE FKKGEVIFHYGSNNVEQFYVILQGQVAILCPNDKSITHQQVIEEYKKGIDSNPQQLRRQS AIYSPRLSAFNNRALKDIIMAQAYGQFFRNQQLFNYIVDFLQIFKKSIKNPQLKNTLIIP TKYQQINGWIIW >CAK75037 pep:novel supercontig:GCA_000165425.1:CT868207:212789:213751:1 gene:GSPATT00001239001 transcript:CAK75037 MCCSGDQFGEIALIGNVTRTATVLCTQDTLMITLANPDFQAILQQYHDQVKNEKISLLRN FELFSKLSDKRLRGILENIIVQKPSMFSVIYMENSIPEYIYFIKQGEIELLKVFPKNKTV SITLLQIGCVFGHEEVQQSIPREYRAISKSSQCELYLLPVETIQQFDKKNSQSVEKKFHH ERLERLLSDKKSQKTSSQIPQTSIELYKTVFEKDYSSLFSHALLQKRYYFMLLRIISPKH RKMLSEPFTPFFDIDKKSFSSKKQLTDRKTINTTTTRYNKENSTRQFSTQTTINIHRHLF SPKVSKRQLDTIMPFKKILQ >CAK75038 pep:novel supercontig:GCA_000165425.1:CT868207:213901:214023:1 gene:GSPATT00001240001 transcript:CAK75038 MMSKKSEKKKLLKIQPLVQRIRTKVNKSKSNSIIDFSFYR >CAK75039 pep:novel supercontig:GCA_000165425.1:CT868207:214424:214841:1 gene:GSPATT00001241001 transcript:CAK75039 MKCHYKVCIQKERKKEEPKQRQEGDLEEEIDEQIQQLQKGRTKIIKEVFEKCKERAERKN WKLKIKIRKKDRNNSQMTGRSLFVNDPSLFIDDDETEKGYEREEQIEKMMRKMNKTINKN YMKGMKLIIG >CAK75040 pep:novel supercontig:GCA_000165425.1:CT868207:214888:215926:-1 gene:GSPATT00001242001 transcript:CAK75040 MKKKQQQPSQPQCQTPKSKHIIQPIASPNSNSNSFSQIFQSYYPRTPVRKVNQQKKDQLG QVMEKGVTKYAVVTQVTFNSTTKFKSLPLQEHFAQFQVYDKRNKIADNEWSLLNLKSSST LSNKTQLFYTLTNVFQEYKQNPNNYFMISNALFLAFFSYSNQKIPYCLFITRQDALNKQL QKSQFLEQKQIESTVLGVETPLSVLFPTNPTQFPTKYTLSLIQRKHILYLFNIILNIGIE KETMDVAANFTFHNSYFNSVQTYNGVDQIENETQNLFDNNTEYKISFEGLFNIQQIIDLK NQLQKSNPDIVVSDIKLSPLTTLINDKLLEQINNELQ >CAK75041 pep:novel supercontig:GCA_000165425.1:CT868207:215995:217431:-1 gene:GSPATT00001243001 transcript:CAK75041 MGACTSTKQKRYEIVRTLMPYKVHIMAIIWPKNQHNLFDFESSSYCVKEIEVDFSAQLAT VDKELVALTKSEWARNSIEIANITLNEQQAFINSISQQQDHKLWAVLKSEANQFQSIDVR CFINIKHLDLKIGDIVKLGRVRMQLLEYAFMPTCDEATQYQDEQEEDIQTISDVASCRIC FSSKASETNPLISPCKCEGSVKYIHLECLQKWIGIQLKIKQGEHFIQYLCKRLDCEICKF TFRNTYTFQDKSYSVLKLPKPKSSYITFKITNDDKSKEAMIYVVEIGEKTELKIGRIPDC DIKLRDISVSRSHAMMKLIPTSKHTAENPDYIIRVQDCKSKFGTLVLAQDEDLLVIPEDG KSPILFQTGRVLLQCVQKKKINQKPAYKHPDNVVLIQIETKPQLDQQEQMQDSFVTHDEL TAGDIIINNSQNPQKPQANMDVKEDSICDER >CAK75042 pep:novel supercontig:GCA_000165425.1:CT868207:218968:219547:1 gene:GSPATT00001244001 transcript:CAK75042 MDKQKSELYDTALFKKFQECLESKNELEIYQNTHTDKFYKAMPCTIKPRKIKNNPLMMAQ MLSKKIVYKEQNSSMEINQQESNRTRGCPRFSSPSRNDERQQQIRSLIISRREKQRCPSI KQDVFKEANVQLNHNIFDQPKKIRTPKYTRAELYFSYQLRDSLRTPRSGLTIPQYLAGKI KSIK >CAK75043 pep:novel supercontig:GCA_000165425.1:CT868207:219626:220495:-1 gene:GSPATT00001245001 transcript:CAK75043 MPLNTVEPITQIKSKKLEGIKQIIQLAFENCDNTELRKLFKKILLRHNQNELAEEVYETT KQLDNSDKITQFLIENQNDPEVFDKLKELNVDYQDELSQLFKEKADSLDSFWSLAFSQPE LQPILLAETKNKSIKLKIQLVQKGYLQIEQINVSEISKLKNKKELLEILLLLVHLHKKEF SFDIAKQCLLGQTELFELFLDEYYPLLNQEEQQQLLNWLEKQKQFCPLKYHKLRKLDFVQ LIKLTEYHPNVDTWKFVLEQTKQQFPQKITQVMTSIQFLDPQIRNQLLK >CAK75044 pep:novel supercontig:GCA_000165425.1:CT868207:220552:221116:-1 gene:GSPATT00001246001 transcript:CAK75044 MADKIRYTLEKFIPDLLALQKKQSRDILHKREEFEYMLQRRTHNLSNYMQLLDYEYGLER LRRQRNKERQIKKVTTRDYAIVKRIIYIWDRIMNKYRYNIDLWKQYLSFCYIIESKKHFF KVITKALNFNPFNTDLWLAGALFELEKAHNPIKARKIFYQALRINNKNIDLWGSYLDFEL N >CAK75045 pep:novel supercontig:GCA_000165425.1:CT868207:221133:222429:1 gene:GSPATT00001247001 transcript:CAK75045 MIAKKSENTLLIILRYFREGKCLEAMSCLKRIFAGKEQSLLGLDLEQTLKQLRLENDSEA CKKLNENARLSHPGWVDLLCSLLLYYVERERGSAHVIDHFENLFKNTKWLLDEQRQQIFQ FLCKQVVSEQYKIVQIMKRKAKDSEAVKRASHAQETLESKSLQIFQEIGREVNTKNMQKL KLLNANQQMKIYFKGFKFDRAADCDKVEKEQNLLFTSPKQDQVTYHYYKGRILIFHSKFV DASQHLTQVLQECPRTQKGISCAKQVLKYLIPINMFMGRYPKKELIEQFKEYDQLCTCVR QGNIAGLQEAIDKYRQIWIKRSLLILMDQLKVLCYRNLCRKVWLINQKSNKILLNQFQKA FQISHPMNSNPTLSEVCCIIANLIYLQLIQGQIYLNNVKDVYAITLSPDPFKNRPHEINW QN >CAK75046 pep:novel supercontig:GCA_000165425.1:CT868207:222446:223757:-1 gene:GSPATT00001248001 transcript:CAK75046 MIDIDYKRIPQWLQERNKLSQEWSKKYKALQLKQIEILGESKSTYTFQDCSEELEKLKNS DEGAQKTIFGGFSSKSIKTMENLLKLYQKENLHLINMGQALVQVLTYDIPGIKKQLILND QQLSSTEQRIIDSQVQIQNYKLQFEKQCKKYGIEGQNIEEEVPNMILQIPPLFQEIEQLA LNNQSILNSIEYYRQFSVYTNPSLDKSNIITHLESFVLKGNQKPLDWEIIEAPKTEEIDW MKYIQPQQVNIPDSELLNTQFRSNLIINLNELIGFYQARLEQLKQDQTLVNFDAQNKLFE LSQQEISSYLNVLHQLLDKLISPWLRQLLQLKSSVKTQQRIIKNLEEYAISIKKSESNII HSQNKIADLKSEQFKLQNQLRDLQSQVRKMKQFTEKQFTDLFKTEIKLIGCDC >CAK75047 pep:novel supercontig:GCA_000165425.1:CT868207:223967:225188:-1 gene:GSPATT00001249001 transcript:CAK75047 MYYPQPYYPQAYPQYRYQPYGVRPQVPQQWRPPVYNAPQYPYPGLRIPPPTYPYNPYASS IIPPTYQPQPMLSQIMASPQRQFQSPDRLKGAQSPSRNVLMQSQQVPKSPAPQLQSPNRQ FLTYEQVQERIRNAQQQQPQPPQNQKQYSPQPKPNQQKQQPQASKQKQQPTQPPKKEDDL DKRYQNALKSTEEIIKKYTVDDSGSKGRQTNQENHEAEDGDLQELALQYEDGFIYRGQGY PPQTRSGFGILTDSDGQQIYAGYWKDNLYDGQGRLTNLQTEELTEQIDWNNMTTIGNGWA SYEGNFSQGKMHGQGTLILTNNEQYFGEFEDGMIHGDGEFTNLDGQVIKGKWDQGYLIQL SEQD >CAK75048 pep:novel supercontig:GCA_000165425.1:CT868207:225218:225565:1 gene:GSPATT00001250001 transcript:CAK75048 MRDRSSSEDNNYPKGSSSAKKQQVQKNKQNNYTFEDGQYFFEVNTDFLLNFKLTSFKKVS VSKFKGNVIISIREFFSKDGQSLPTKKGITLQLDNWEKFKQYIAEIDECVNKLKK >CAK75049 pep:novel supercontig:GCA_000165425.1:CT868207:225736:227022:-1 gene:GSPATT00001251001 transcript:CAK75049 MAVNDERYVFIVEWFDTSASLIRSYNLTYFMSDKTIEMFDLKNKRIFLKRCEYPSIQLKD LYVGSIVTVFSRQLKIVDYADVFTRSKFEVQRGKTFGMIKPDAYTHIGKIITAVERNGFV IGNLKMTRMQIGDAQQFYGEHRGKPFFDELTQFICSDFIVGLELIADNSVKKWRDLIGPT KCQVARVEAPNSMRALYGTEGVRNACHGSDAPGSAQRELDFFFSDKSNLKSTAVFNNCTC AIIKPHIVLEGRAGQIIDIILSEGFEISAMQMFYLDRATSEEFFEVYKGVLPEFQAMSEH LTSGPCIAMEIRQENAVKAFRDLCGPHDPQIAKTLRPQTIRAKFGIDRVKNAIHCTDLPE DGILEVEYFFNILQQK >CAK75050 pep:novel supercontig:GCA_000165425.1:CT868207:227573:228193:1 gene:GSPATT00001252001 transcript:CAK75050 MNDRFLQLRNNYCYQYNKRFIKNYEQPQCSQRVKTDTPPILKQNKYTYHSPRKFIKTTQA SFFDHFKKFPTPKPTAYQIYLQTLLDNKIEIQAKQKQQPSFTVHNVNGQKINTYRQIKDT INNQSRRHHQIKSEIKMPQKVNQQNKSQFNSPRKLQESFKQISIKKFDRINIARASNEVQ IIRSDRIQTYDDNFDDIEPWNTSEIK >CAK75051 pep:novel supercontig:GCA_000165425.1:CT868207:228219:229050:-1 gene:GSPATT00001253001 transcript:CAK75051 MQIRQEPDLDCESIKNALRNINQRLNNLQKIIPPEITVEKDPKIPKLNLKKIGIISEENK QIQKKVTANLGTNNQNLLEQQNKAPFKMRSQSFNHHIESTSLDINLKDFYCQNAYTTHVQ IGKTQLNNDFEFQKRFQEILNKQNDNKENLKRECHQLIPPSHIAQPKVQQITQHHQSKIN TTPVKILTKKSQPNPQINHIQNQHPLIHQQFYVQQPNQQYVKVIQNYESSNYPIIQYRYA QHHNSRSSSFHNLENYQVEKI >CAK75052 pep:novel supercontig:GCA_000165425.1:CT868207:229101:230333:1 gene:GSPATT00001254001 transcript:CAK75052 MIFRNLKSPLLSKTFRSQIPSFGVLNHEKFQKKVEGKGKVSKFLYEFWEILYQGTWDQCV DAKLMLKYTITQKKTAFMLSEITRIRKDLVKFIPFSFFLIVPGAELLLPPYLYLFPNAFP TTYLFDDQLAKRYITRDRKQMNSYRYLFQLMKQRIPSIENIRNNQDYNAKGLQELVLKHS HLFMTKLDYREFNSEQLVHLCKFLGMEFFRGTYTIMKLTKLFVNLPVYFTNLFYWLTRNP ERRPLNSVLKNWNSLLPFPLEDLKKSLLLWQIKSHLKKMERQDKAFRLNGFGDNKFESIR QFARERGIPALVMQKENPEQDFEYSKKQFKRDYIDFIHKEQVFENLKIWYAVLYYERYHN RMVEDYEERVRKYLLH >CAK75053 pep:novel supercontig:GCA_000165425.1:CT868207:230738:232367:1 gene:GSPATT00001255001 transcript:CAK75053 MNQEDVFLKKQWFIKSCEGKIEQFYDINLKKVIGSGTYGSVVKAALKGSKQQRAVKVIPK SKVKNPERFKREIDILRALDHPNIIKLYETFEDQRNVYLVMELCEGGELFDRIMDKGYFN EAEAHIIFLQIMQALNYCHSNGICHRDLKPENFLFLTKAEDSPLKVIDFGLSILFEEGPQ KPGTQKVSMKTKAGTPYYISPEVLKGNYDELCDIWSAGVILYILLSGVPPFYGDTDPEIL EAVQKGQYTTDIPEFKFVNDSAKDLIANMITSPDKRYKAQQVLQHKWMKEKNKPNKELKL NYGALKNFVGSNQLKKVALTFIASQLNEQEITHLGKLFKQLDKNGDGVLTIEEIREGLIG MSDDQSKELANVIKSIDTDGNGNINYTEFLAATMEKQLYMKEEKLYQAFKMLDVDGSGKI DKKELQQVLGKSDKIINEKYWDDMIKDADKNGDGEIDYNEFIEMMDRFSVMN >CAK75054 pep:novel supercontig:GCA_000165425.1:CT868207:232414:233063:1 gene:GSPATT00001256001 transcript:CAK75054 MDNTSTDQKENPDIYQVEQEQDQQQQQMEQIQKEKEKCLELKNKAGLLFSQLKYEEAADI YNEAIDYCPLEDLNMLSILNSNIAICFMKQSDFESALEHCSKALEFNPEFVKALMNRAEC YEKTDKLEEALEDYKKLKELSPNDNFIIKKYIDLDQKVQELQEKRKNEALKGLKDLGNTL LNKFGLSLDNFKMQQNENGSYNIQFQQ >CAK75055 pep:novel supercontig:GCA_000165425.1:CT868207:233065:233698:-1 gene:GSPATT00001257001 transcript:CAK75055 MNFTKIVFNFAKQNFRIVEAEKLIFDKTNKSLIYRLHNGENQLQWCLRGLSFFTALNCIL ASQEYIQPIFGGWNCASYTLCTVVGFAGLIFLKIFSKRTIHELRLLKTGDFVEIKYFNAF WTPRIQTVHVSEFANLTESYFNYHKVDLTSVGKVWINIEKNEFAEQFQDQSVLMQILSGV PIRLDQSKKIKKQIV >CAK75056 pep:novel supercontig:GCA_000165425.1:CT868207:234715:235285:1 gene:GSPATT00001258001 transcript:CAK75056 MQKRGKVNDYETPTRKIKKRNFIDPQLKLGLINSVVIDHLPIYQAALLHKIKYSSAKHIV RNYLSDTDNFLSKQKKRGRKIIQNNLKVIIDVNSGKIKITRSTQTILPTNQQQNNNQTIL NNILSDLSHNLLKEINKSLNSHIKNKQYYRLEFPMINLSLEEQLLKMKTILNSQHEQMNS K >CAK75057 pep:novel supercontig:GCA_000165425.1:CT868207:235712:236338:1 gene:GSPATT00001259001 transcript:CAK75057 MKINSTSSEQRKKTQAQKNGKIDGHQIVQNNQTFEIDEEFFKKKEKRNRKYFLKRSISLL QICKQSGKIDFSVGSDFLHIEIMQGGHYPLNQQNLKDICSEIHLNDAEFYQSNLTYSLNN ASKCILKNFRNPQSEQNYKSFTQIQQQIKEINAFCENNLKQDYKNCQVDSQQLDSILKQQ NNQILQVIQETKQILMEQHSAIKNTLQC >CAK75058 pep:novel supercontig:GCA_000165425.1:CT868207:237819:239303:1 gene:GSPATT00001260001 transcript:CAK75058 MQSEPDQIMEKSPKGRFIRFNEQIGKGTYKTVYRGYDEESGCEIAWNVIHLDQLPQQEER KRISEELSILNNIKHPNIISFINAWVSKNKSEVIFITEIVHGGSLKKHLRKIQRPRLKIL KHWCREILKGLEYLHSIVPYPVIHRDIKCDNIFINTHNNQVRIGDFGLAIKLKQSDFTQS VLGTPEFMAPEIYEEKYGPSVDIYAFGMTCLEMATQKRPYEECTAPNQIYQKVMNRIKPK SLDLIQNQDLKDFILKCLEDQEKRPTATELLNDKFLQEQEDDHQHVVILEEEQSEQNLQS DALKYNPVFREQLDFNNSILVQLNDGDEMHIKITFVDQSAYNQEFQEFTKLIVQKYSDLQ DNQQFVQFQEQIIKFGGVEKVLWVKPSEESKKIMKTQFLQSLESFQQTISPLLQDVDINE WELIEQQLLVQFQQQRKQIKSKQPI >CAK75059 pep:novel supercontig:GCA_000165425.1:CT868207:239553:239994:1 gene:GSPATT00001261001 transcript:CAK75059 MSNKNPIMQDNAQTNNSLIKENESKVINNKSQVQQLTEEQKLQQMDQFKKFLQQTGISDA FQVIFAEIIDKRLKEEDSYKYTADRLREIGKGLSTEQMKAKADMQKKELAEKMKKK >CAK75060 pep:novel supercontig:GCA_000165425.1:CT868207:240146:240522:-1 gene:GSPATT00001262001 transcript:CAK75060 MSLRAKKPLQARKIVCQYYPPALGLVYKRPDNKTKMYKIQFNEMVQINDADKITSFLFDQ HSLHFNEQNVSYEQILEIVQLILSNQKLMKSSSLSLKKKQSPFTYNQAFSDEEIDLI >CAK75061 pep:novel supercontig:GCA_000165425.1:CT868207:241679:244257:1 gene:GSPATT00001263001 transcript:CAK75061 MSARPSGYQKFKDEEAFVSNRQEKAVDVSAGALGKGAGAADFPIDQIPPNFELAELHREA WNVGTTKLSKRQKRNLQKHQKTVSNSNENELIGKICDCCARQVPNHLLSIGCNNRDYSFL GAGMPLYFEYIKSCILMLLIIFVTSGDYNIITNMAFGKSCKVLDKEHQGQDIDEKQFCQL SWATQTSLANKRSGDQFIDLQQMLNLISMVTLILLFQYFRKEQRAFDAEIDSQTQYASDF SILLKNIPTDPTGLQNGDFDEDLKTFMEQYVQTYPKLPEIVDYEQDRKQYQIQQKKPVTD LKRVVSVNLCYNLDEQYQLEQSKQQKIVQKQKILSTLYGQGKEPQSSDVLNDPSLAAVEQ EILAIENKLEELEKRFIDGKDVKNYFLGQAFVTFQWENDAQSILSEHKLSKFQRLIGKKS KLIYRGVPLIVEEPPEPTDVFWENLHISTKSKILRRLFGYTITAIILAICGGLIYWLSAI QAESAEEQAEAIKKGDLSPNLKVKFIAQVASISIIIINTLLAMIIKNVSQFERFSTQTGY NISLASKSSLAQFINTAVITFAISTWVTKNIYGAGGLVYNQSYVFVLNAIIPAVVSFIDA GTVTKWVFQYLEKKKGNKSVKTQKQLHELYERPAFDISVAYATILKNMYVVAFYASVIPL ALIITCFALLLHYWVEKLNISKRRSIKYNYSSQMSIEMIEQLELVLPIYCGTNLWWEYAF LGSISTEAIIGICLGVGNAILPMHEINELIFPLQSEIDEHLPIQEAEEGFLTDYCRENPA TAETARQQYKARVEKHKQQKQSMDKMFGDA >CAK75062 pep:novel supercontig:GCA_000165425.1:CT868207:244729:245449:-1 gene:GSPATT00001264001 transcript:CAK75062 MSLKQEEAAQVRKSSRQKKMTENFKEMLQEIKEQKPSAKKSDQKQDKCQQKKVQEQKKVY EYGHMTDWLDCIYPHDNGQTFDLEIQFCVLKPKKPTIKKPKKEQTEEGIMSLIQNLLTNL NQNPEQMRRQSEQQIQKVQTTDDGIVVYHPYFKKIEYQSKKPQQIDSIEPTIELENLKQK FETIKKTFRPLILI >CAK75063 pep:novel supercontig:GCA_000165425.1:CT868207:245463:248168:-1 gene:GSPATT00001265001 transcript:CAK75063 MEDLGFSEQDRDALEREFQDIINELANEPALERFRQEYTKLHQAFRNAYDQERRLLRKLR DINSDILNNAGKVTNALKFSQEDSERIKLLKTELDNTYKVIEQAQMREDKLKQKIENFQA EIKHLSDLVEQGNALQSQTNKITELQTQKEDLMKERDSLQAELIKNRSETGNLIEKLKLL ENEKLILDQSSQQLKKNLQEMQEKVDKDEQRRNNINEELEQLRQNYIKTKKEYEKQKEDL SKVQVELKKQTETLQKKDIDKEIEENELKNLRDQVTDVKKIYKEIMAKKEENEDIRESNE AEIIKLKNEKNNARTHLNQIEKKNNNLNKKLLDLQKQRDKIIKDKEVAINSIQQLDRHVT DTKKFNEQDQQLLEELRKAHINFANHKAKSEEYNSKLNEKINLIDKEIESYEKEILQLQE EVDEREKQFVINTKKKEKFGKDAALINAKFLHSQEEIKLKDNLISEFQKKNIETDNKLKQ QQHLYEEVRSDRNLYSKNLLETQDEIAVIKRRHKIVQHQIAQLKEEIDAKEVALAKEHFE HKKKDKTIEECCRILEKNRKEIEEKEETIKNYVGEISKLHFVLKDSEIKRQKLKEEYETV VSERDILGTQLIRRNDESALLYEKIKVQQETLAYGEQQYRERLSDIELLKFKISDLQRER LAKLPFQRKRQTIYKKDLVEEKLRVKALSEELENPLNPQRCKKLGGSDPDVYEMKQKIKT LQRRLIYKTEQVVEKEVLIQQKEKQILELRDIMRKQPGLEEATQISKLQEQMKAKTRQMK SLAAELNMYQAQINEYKYDIERLNKELQETNRKYLEQRRREQIQQEQQQLMEQNQQNQQH RMVIYYNMLYYLRRERLFKLVFD >CAK75064 pep:novel supercontig:GCA_000165425.1:CT868207:248330:250279:1 gene:GSPATT00001266001 transcript:CAK75064 MDNEPKIIKKIYVYPATSIQQMKSAQEYQQINRQLYQDQHIQKLMDMCLSNQMQSILNSF DYEDLQQPLKEVQYFLKDLKGKFIQQPKNKIKEPLISKIPNKKTNYLQKTQLLASIKQKL TQMVQESKLFPSLINFIKIEYDQQNYQFNVCKLGLLNDAAEIMLQCGPFGILNAQIKSPT NLTITDLILELFRQLNHYNIVEDKEIAEEVWFSFNRAKNKTHLSEILSHEIAQNLSYKDM EIQREILILQGTIQKLNYNAQFINNQREKIIKVLRKRVQVQLYHECQVRKIAQPEILPNL TLSIKQYRSTHGVFCGCALQFPIVMIKKFSITPGLEDVCKNLCLSILQNLDTINFCLAIT YEKYLSSRLYKEHNTFQVRTIIQNALEDIIHKMETDVNLCKFLRLAILDQQFIKFYNLLH RYNTINQNDTSIPNDKRDQTNQLILNAVHCILEKVKNLQLENNIIEQKYEIALPPEKIIK VNMKKHKTNQSDKLLFYIKLIASDLNKLKIPLFEIIYYITAMNNRIQFRNDLQPKSTIRF FTYPHIIYYIEELYGVKTIVEWESDMSCEIDYFSLANLDLSIDLDEEYIIEQQLIIMERC LKRDRARYSWALEYLGLKCNELLLETKQLQKSKIRKCLENLYLEVKRQK >CAK75065 pep:novel supercontig:GCA_000165425.1:CT868207:250386:255994:1 gene:GSPATT00001267001 transcript:CAK75065 MNIVIMLIIINQLFYSMNNRSTIFLAFLLQVYTARFDYGQTSVRNVQEIETQCQLNQFLL GNICFGNYENNSDCHYSCQQCNGNQADSCTSCDTLTERILNDSKCSCLESYYALESQATC RKCNISCLTCKGGSESDCLSCDNKSTLVDNRCVCKAGYLVDRNMECSQCDFTCEQCFNSL FNGCIKCNPSSLRQLDNNECKCPTGYYSQTGMQLCQKCNDSCNTCDSQNSCLSCTEDKIL QINTCVCQTGQVLIRVGNWNLCQNCHNQCETCFGIYSYECSTCKLNRTLQSDNTCYCDDG YYLSYNDCLICDSNCLTCVFTSTFCLSCQFGYSIANNDKCCHGSNIFKDNECQCSNQYYL DSSGISCPYNCLKCDENLVCSECLDKQFIGTSCDENCLRVNCLECDLDGICTKCLQNYQK NLIGDCVCVAGEYYFDGLDFQCKKCSQQFYKCKECDATGCVLCDEDYFLDSGSCVSCLPN CKSCTSLSICDACYDGYFDIICDQCHASCKNCIGSQKNECLECSDDRIPTVVNILGDGRN QVVCSCDSLQRYYDANFNCQNCHTTCNTCDGGSNNDCLDCFASQNRVLVSRKCVCNALNN YGDLGDDSCYIMNCGYGCADCHYDLLTFICQTCHTGQTNRLNDPVANCPCQDGYYEVSQK TCKQCPKKCGTCDLNSSNQPYCTTCSANRNANDNCNCLIGYYQNLDVICNKCPDTCVYCL AADKCVQCEPNFFLKDGMCVCPLRNFYYRSTCSCLQGYYMHLENQKCLPCHPKCEQCEFT STNCTKCSENYYNPPDCTCASGLYELDSKCVPCINNCHLCKSDSECLDCPNQLTLIQNQC ICQSGYFNNPGSQDCNQCSLQCVECNYHQDNCLKCKFNRVSPQLCVCPIGTYEVDLVSPC LNCNSVCSTCELNANNCLKCSLNRADPPLCKCKMDYFEDKDQICQQCNSRCKVCETSPEN CITCKIDFSLPPNCDCLDGYFYDISNGCVKCHKTCQTCNGSQDYHCLTCDTARLMQLQNT KCECQKNYYYQDDNCYLCSIEIDVCQPKICGDGIKSRQEDCDDGNKNNRDGCSRDCKLEA EYYCELMPNLQIHSSVFMSTCTKCSQNCKVCNSSRCLQCNSGYFLTKSFECSKCDKHCKE CAGPFQKDCLSCIKGIDYGFTQKCAFCEETLGLYTKGLQCASLCGDGIRKSDEKCDDGNT INQDGCSSTCQIEQDWGCNQQINTLSVCYQLNLSIASLEFERVKDFYQSSRFGLIQFSKP MMLINQSIIESWKQEIVNQIDNVDYNINSTTNYNQDGHLESISIELLLHKSIDFVQYQIN FTNYIIYDAIDQYPLKSSFLEKELLKYKQLSSQTLSTTKASRQFGSTVLFILGGIALIGL LMGSLDFYWNVLDNLQILSYITYINVNFPYMHNQFLDIFQFARFEFTNDFFKFDIINGLD YHQNKDYPLIVERNVEYNLVINLSSIIVLWVTPLILLFISKFNLFIIQKLLINKFQNVRL TQKVSSLKFLGYKVVSFIHFISLKYCANFYYNIILRVYLSSLYDLNFSIFTSAYCWLWNQ SPNFVDQVSFLGAMSLLLFQLVVLFMLSTSLKASSYQITSKHYEQYFGSLYEGIKSVKQI NRQIQFLQPLRKIMFMGALILFFDTAIYQISLLISIQILSSIVLITLNPYMNFLETIKGI TQDVGLSLSLSLILFYYAQDQFQLTDQDTIEKLSYIHVGIYTIMLSTTLIIDLYQQFKII SNKYKYLQRLTQVCQKRKPDNQQSNRDMFIDLSQENGIKLQTNQFCFTNLRIQ >CAK75066 pep:novel supercontig:GCA_000165425.1:CT868207:256029:257871:-1 gene:GSPATT00001268001 transcript:CAK75066 MNSSLIHNVNGLDRLQMCNSKDLLTQFEEGEKVLLSSNIIKVNQNNKKQERIFLLTNRFV YNIKSDSKILQFFGKSIKIQRKIRIQLISAISVSNIGTEFVLHIPQEYDYRFQSSDFRKT ILETIIIVYSSVTMSKMPFFFKDDFTLIQYCTTEKDVKKGIKRYPIEKPIELDVVEFQDY QKNKPVPQQLIYKKPGLQKQYSTTLISIEQFDLIKVLGRGAFGKVMMCEKKDTKELFAIK SLRKEHILDKNQVEHTRAERKLLEEIDHPFLISLEYAFQTAEKLFFVMKFMRGGELFKHL RDKKRFPEATAQFYAASILLAIEHLHSISVIYRDLKPENILMDEFGYIKMSDYGLAKFLK QGEKTFSFVGTPEYLAPEIIRQNGHSFEVDWWSFGILIYEMLVGRPPFFSSSQSLLFRAI IESDIVFPQQLSLSNHAKDLITKLLKKNPVERLGHNGGGATIKMHPWFKDFKFQDLLEKK IQPPIIPKLYDKLDVQNFDLEFTREEAMNSVANLDPKLVEKFKEDFGGVTYVPNNVLN >CAK75067 pep:novel supercontig:GCA_000165425.1:CT868207:258067:259509:-1 gene:GSPATT00001269001 transcript:CAK75067 MPQKEEILNNQKPVKEPVQLVQQQSLPNKFTNNYIYPQSQTKQKVEPKQEEMQRQNNSAS YMSNTQNNKLKEQISEEQDQNNELRREIQQTKDQIKNINSEIDKKIQQNSREFEKKINDL KKESTDEKKKITTLTDEVKKLTEQVKSVDQQTQKKLDLSKKQYEKQIKDMQQVINEKQQQ IDEIAEEFQNYNLEDIQAKMEEMAGEIEEKDQIIEELKNQLEQGGDRREDNEELNQQLEL KDEEIKKLEGLIENFKQLYQQMQDEKQAMMEENEKLSTENNQFRELFSQNLHLFGIDPNQ LEEEDGEGEQEGEGEQYEQDEEEN >CAK75068 pep:novel supercontig:GCA_000165425.1:CT868207:259632:260378:-1 gene:GSPATT00001270001 transcript:CAK75068 MKLLIVILLIVATSTTAITINSKCTKQVTKLTPKFSKLYTNVNEKKLASSIKELVGIVKS LVSIQSSCSGAEGVDLSTFKDKIGTCLKDGFELASNGYKSYTEFEEDGFSDMLVDSLIDM SHLVEPVITNCWGDEVKSVLGFVLPDECISVIDDAAQVTLQIIEQKERPLLMTKGLLNLR KIFKESKKVCPFVETLIPKNDEEDSDFFGDESDESDESDESDSFEADYEQSDSSDGGDDL EALFELFE >CAK75069 pep:novel supercontig:GCA_000165425.1:CT868207:260620:261162:-1 gene:GSPATT00001271001 transcript:CAK75069 MGNICNQKITSPSKEFDFGEVIFGRTYIYGPPSIEKPAPIQILKFLDQDFNYQHEQEQCC SSQMIQLKDQPLLQLTDRDSKPIDVKLSVEENLLLREMPGSQEQDSCSKDDRNSEFKDSN QDNTKYKSILKQKNKNTSNPQSPKNKLKDSHTSGSQRSIKKVTFDKKSKVVYSSFRNIKY >CAK75070 pep:novel supercontig:GCA_000165425.1:CT868207:261669:263399:1 gene:GSPATT00001272001 transcript:CAK75070 MFPESCKSRSIGSINQSCHESHRHRQHLINSFGFLSKHESRNSYYDKEDQQDQLLKIKQE LNQLRLENTQLKSQNIYYQKDLESFHQQLSDDEIQLKTVRNNQRFKHLLKLLQSKEVEIE NLKRKLKMDEITNYQQQVSELQNMVELQKEKLLGNQRNILAPQFAILDELEQDNIKLVEI VKVLEEKLKDYDQLKKHIITQQVKIQQQKQLINELLKEIRQYKERDLLFAVKHNQKSKQD QQIKKLLSDIHKLSQKRQEDEAYIEQLIDRHRQEIKKYDRRIMEKDDEMQLLQERCESLS KALQEEQIQKAKLKRNEKSSSIVKPSMFSQHQIAGRASGDYSLITSQRSLSGVGKSKYSK INKQDLCEIVKIIKFSMINNKVPFDELDTYLFKNQLETTLDELKDSLQQSIFNLNKDQSC TLANYLFDQEDDINFDKPQNNSRIRSILKTLLDNFRLPNNTVFDEIKANLNANNLEMVCS QLQSKFQSPRNKLNVNDLFELFKENDIKLSKASLDYIESYFFKLNQQLCVFEIDQFKQLL DSLNN >CAK75071 pep:novel supercontig:GCA_000165425.1:CT868207:263934:266072:1 gene:GSPATT00001273001 transcript:CAK75071 MQQFPTQGEFQEDFDFKNSSLLGQGTFGKVYRAKALKSLPPGNYALKIIETTSEQAYELA IQELNLYQVITYHQNVIKVYKVYSWIEEKKYVLVFAMELAHNSLKADIEERRKASQEFGD IMLIDIMNQCLKAFYYLAKNKHLFHRDIKPENILVSCRQPYTVKLADFGAGKENFNGQTM LNTLVGTPLFLSPKLYVAYSTNQAGKVKHDLEKSDVFSLGITFLQMILLLSDKDLQKLND PIIYEEKTGNAIDTSDDALKKKDCQGWKKLQFHISNIRNPLIKACIQGMTEFSERNRFTW LKAIKALNPEYEDDEKDQSVYPAQIRDEQTKAIQFYSNQCITLRYLGSVNDLSNHKKIIS ADSQILAYSNEGVRLISLQGVSECIFTQNVSFLSYIPTLSICVGITQSGEIFGVNVKNKT FYCEKIKNFQHDKITVMKYMRKDLCILGSQSGEIMILAFDTQPPCVIKKYKDVDRSVVDM IYDDENKQIISSHENFMIYGKQLVYEQSAKYQYDSQIDNLQLISSERFAGLCKNLSQIPI FHIKDGNMNILHKYQINGNNIPLALTLSDNYLVWVCEKYIGSIQISAKLGQQKEDNNDQL MVNASKPAKLMCFLQIDKTIIASDGLKLHKYQVGKKQQDKTVCQGCQTF >CAK75072 pep:novel supercontig:GCA_000165425.1:CT868207:266130:266928:1 gene:GSPATT00001274001 transcript:CAK75072 MWIRGPKKAKVEAVATSDDLINIWKDRKDPEIKEIDEYPLWLLELAVPLDSIDVASIQIA ANDKAYHPPASHVRSIVRSIKRQRIILMNKYTSLNRDGDVIGDDDLNDQEDQLEHIQQEK LAEEAAAEEAQRLKELEEDDEDTPKARKPAIRKEEDDDDKPAAGKGGDKDKAAAGAKGGA GATGAAGKAGDTAKGGKKK >CAK75073 pep:novel supercontig:GCA_000165425.1:CT868207:267206:267956:-1 gene:GSPATT00001275001 transcript:CAK75073 MKIPDDLQREEEEIQSEDQDNRSQFRSPPKSPHRKLERATKLKFINFVTLKKPLKYTSDQ ITNRKRTSSKRRKPQTEQKPKIIVCNCKKSKCLKLYCDCFTAGVTCGKDCNCCSCHNNDE HPKEREIVIKQIMERNPSAFRPKVESKSNSEDEQDHKPRHFKGCNCKKSNWSKKIL >CAK75074 pep:novel supercontig:GCA_000165425.1:CT868207:268009:268467:-1 gene:GSPATT00001276001 transcript:CAK75074 MHRTKNRRNPALLNFLTALVASIFLQNAGYKFCHFIYKNLNLNSVGEIHIQMSSDFKQDG TPFTKLFQRLEKIHQSPVFNADCVQHQDDVYIKDQSPEQVCKKLEFTPCLKSPKSISTLF SIKSLDNQKGKYIHYFSCQINQL >CAK75075 pep:novel supercontig:GCA_000165425.1:CT868207:268718:268958:1 gene:GSPATT00001277001 transcript:CAK75075 MRFNIIHEYKWHFLFSYEINLFQKFKQRKIWVIFSDIKKERQLSLPQLIFQHLKHQLQTM IGFQFQGSLQC >CAK75076 pep:novel supercontig:GCA_000165425.1:CT868207:268965:270391:1 gene:GSPATT00001278001 transcript:CAK75076 MDFHLDMISKQTLWEVQNQEEQIGKQINTEIDYTNFGVQSSRCSNSRISVIWSSSRKNDL LGLVNFDNYICRIHICWISTLDISTRMVDNFGIQRFLRSWCSIFRSRLLLKPRRFRFDPN TTLQFQRHSPIYIAFGSILVFAGWLFYNGGVVAQGANSKYTQGLVAVNTLVAGATGGFFA FIIRYFQYETTSLVALSRGIISALVAVSAATDDMKPWTAFIYGLLAAVFYSVLAKVIPKV HIDDPVEVVPVFLGNGFLGIFLSAFFDTKAGIIYGFGAKLLGMQLLGLLIIFVWVAFFVL ITLLILKGFGVLRIDAETENVGIDKAHCLGEAIVFANQVDEAPLIPQTELVKLGNSQIGS GFRPGFR >CAK75077 pep:novel supercontig:GCA_000165425.1:CT868207:270455:272101:1 gene:GSPATT00001279001 transcript:CAK75077 MYIKGIWNQVDQYQQFTQPELSIRLQKISKNSNFVSLHCTNKEMVLFKGPNYGKAYKYLR IGFDYKFQVLRSPSLLINPSLSEWKGENIKQIGEILAIKLFRENSIKQCEFKGSLRDLQM LREFLGKRMNQVGFHQYFKVHKLIGQGSFASVYLATRIEDGMKMAVKAFCKNAIYKQEKG KDGLVNEIQIMRDLEHQNLMKLYEVYETHNSIYMGLELLEGDLLYDLHKVKRKFTSWEIY TIMKGLLNGLAYIHEKGLMHRDLKLENILFRQPDNFNSVVIADFGLATYVDHTPYIFTRC GTPGFVAPEVINLQNDTQTYGVICDMFSLGVIFYILTTGQPAFKGTSYNTIVKSNRAGFI DLQTDKLNQSSWNLKDLLCKMLQCDPQNRITSRLAIQHDYFRSFDEDEMALMQTDDDPGL EEIITKLNSKYIRLDLKRLNQSNDDHEICKTQDLKVSQSQNDQDQLNMVMRTPLLIGRVR FTEGSPNQSIQSWDLNLQSPGQDQNQTKWLKPFLL >CAK75078 pep:novel supercontig:GCA_000165425.1:CT868207:272188:272935:1 gene:GSPATT00001280001 transcript:CAK75078 MQNILTQQSQFFELLDKQVDAYKTLLDQLNTSQDKFNNLLTDYLHKFQSINNRLLSQGRP EVSLSQIQIKRNDELFTNSQLNISFNLRSLLDKSYKYKLTLADPLETPLYRDRIFQLRVE LKNKNGELVRNLNKIELVVAIYSQEQYPKEIKVNNKGEQILKGHLCVPLIKGTAFFTRVQ IREVSSHYQNGGIILAILPQFKHNDEPINGRDIQPLIVENIKVKAKKFSERHIPVYVNQ >CAK75079 pep:novel supercontig:GCA_000165425.1:CT868207:274832:275358:1 gene:GSPATT00001281001 transcript:CAK75079 MQNNQQAATEEQSKNQEVKQETKLCPLCQTFFGSAKTNFYCSKCYKTIQQTNNEQQPQQQ QQQQIQEDKAQPNQEVNVQQDPSKCYVCKRKLGISGIQCKCKIVFCNKHRLPEDHNCTFD HAEKARQLLIKNNPLVDHKKLEEL >CAK75080 pep:novel supercontig:GCA_000165425.1:CT868207:276619:277356:1 gene:GSPATT00001282001 transcript:CAK75080 MGVAQTCMKEKNSTPQIEYSVPMIFKRKPQGKKPRKQNILIPPLSQLGENEESLEQLELT LQETNINFSIHKTATFNSSSANRQSIKINPSLNFSLNTTTPNSVMQSMLPNSSFQETNQN NQEPIQQKQQQHKESLFKMRSEARNDRRDNFARSLSNNSRAQRAQKDNDESSISQRKDSE TNTKKSILKNKSPIQANQLKRGISLKQQQPPLNFDDTQSQKTSKSQKRVKFDPKIFRPKN THFLQ >CAK75081 pep:novel supercontig:GCA_000165425.1:CT868207:277621:278442:1 gene:GSPATT00001283001 transcript:CAK75081 MQRILPYAFHGLDYLYEPQLARFYNLIPAFYTQKLGEGFLNQTTQVYSSLLQSIAEQDKE FLKQILEPKLYHATSHCMDLLEQKQLKLQYVEQDQLENEDKQDQEEEEETQQPQQQFSFF SKKIQKNQSNSSPYHIYQEKDYKCNIDVRGVFGVKINRIENQNTRFFQIPAFPVTPRNYF VNIKNPFGLYENQIIVANILIHTSKKLIAVDEQGKVVHGNSDNNQFQYHKLRLESYVPNF NWVITDIDDYLKGNPYF >CAK75082 pep:novel supercontig:GCA_000165425.1:CT868207:278853:281519:1 gene:GSPATT00001284001 transcript:CAK75082 MNEIKQNEYLLKNRKEKEWLNRKQLKFLRDTAVTSDDSGDEFEKQKQFEIFIKQKKQFQW EERSITIFSLKFGKVYSEVICLKINHYLMQLGNLFKKIKKNLTPLPPYIITPDGSIKMIW DLLCLSFVIYEMISIPFQISFEIEISKEISMTSLVVFALDILLNFNTGVYLDGILSMKRE KIIRNYLSFWFWIDLISTFPYDIIIDESESLIQSAKLLRLFKFLKFIHILKLLRLAKLKK IIDLLDEVIQNIRILGIVITFCKLFVFVLFLAHVLGCIFHYASREESNSWLGDNENADWQ IRYIYSLYWGIATMTTVGYGDISPTTTTERGLGIILLLVACGGFAFTMNSIGFALSSLEE RTNVRKSKVNVLNKYMKQANIPDGLQNKVRKYLEYVWDSHQILLNDITSLLSLELCKDIL EQVNGKLFGHIDIFWQFHSQKFLVEGIIPILEDKLYLPEEVIFNEIPSTNYDLYLIQKGE ASIYFMKTNIVIDNKTKYDYFGEISFFTNQVRSASAQSKQFSHIFILNQSKYLEIAKIYP RDLQQYFNIRNSILFEKDYSFLQVRCYVCQMDDHIAKECPVLHFQVNAPHFLSFLHQYYR VYQASYIRKDRIDFNARSSSYQIQQSQRRFLQINSRRQTQVNSKGPRNSFFVDYYDVDFE DLYKNDKQPSRLMATLKNTYFNNKNKKKFDLLNMNIDSPLSSKRSIGAITQRIMIEEQQI DQIASDRFNDLLDQLNTIKQKKRSFTHIHFTLLPDFEKIQIYNNFSQWYNIENVLERYKI VQQKGLMSQIPHKYTRFGLEEFISYQQYYCFYLKKSDIYRYYTKCHKNQGDVYYGFENGR IFNLNRVEKKRSKYIKVDKFRRQDFVKQQKSNV >CAK75083 pep:novel supercontig:GCA_000165425.1:CT868207:281735:282636:-1 gene:GSPATT00001285001 transcript:CAK75083 MKKGAPKYQNKVAFKMRFHDHYTEKIQNASLDRLCELCQAQIEWKIKYGKYKTPHDLSRC YKCEKKNIFKAYRRLCDACSDELKQCSKCTEPIEINDDSSSGEEMDETLKCLRERSKRTV LRKIEKGEITWDRHNKKFIDSESGEQVIVQYKKDYIDEEDEQLWEDNDNDDNEDDEDDNS DESEEEDNTKKNKKKVQIQQQQKVQQKKIEQSKKQKAKKVESSDDDGSNSDESDEENQFN QIQQINQKDPSVYSDGSNEQEKIIRREKNFRFYFDEQYQLIQDE >CAK75084 pep:novel supercontig:GCA_000165425.1:CT868207:282687:283299:1 gene:GSPATT00001286001 transcript:CAK75084 MKDQLRKETISPEPEKQKSLNFHRRFTSSQIESAGKNQISSKHLTNKISMQGLQQLLKVA PQNKVLQPKVYSQMLIHESFSQQYLKDLSAAYTPNQQATLQNNFFKKASLSQNSTMTSSI KPRMKSANKETIKRKSQNETTQLLSTRERDRQTIVQLQEIVQRTNSLLQQYQNEICRHIK EKEDLVNIIQQLQRL >CAK75085 pep:novel supercontig:GCA_000165425.1:CT868207:283717:285062:-1 gene:GSPATT00001287001 transcript:CAK75085 MQVYNSSFDELMLKAKDNSSEKQEYKVYKQRWLVLLAFCAFTFCNALGFVQYTPILKMAA SYYDVDEDGVAWFSICYYITYFLLAPFSIKPMDIRLDYSLFLAAFLTSSGQWTIYLAHQN YIIAMIGFVLIGIGQIFILAAPAYISDRWFPVHERTVSTCLGSFLNLLGLNFSIFYSSIT FEKLLNQQDEIIKEVDFMNLLYSIMNTVAFVIHLLLLLFQLQIFMQIILASEKMLIMKSF RRAFRNLESILDLFSMSMFIGAAWAYMVVVAILLEPFGYSQKEIGYVSIVYCATGTIGGT IASLYIDYQLKLNVKPHYDILNKAFMTIGMLGILVKVLLIDVVNDTWIIIFSGAIGFGLN SFLPLAIQCYVEKMFPCFELVLTTVMIFSASF >CAK75086 pep:novel supercontig:GCA_000165425.1:CT868207:285136:286557:-1 gene:GSPATT00001288001 transcript:CAK75086 MVGPEEALKTQEYKAYRWRWLVLFAFCTFTFSNALGFVQYSPILSLAAEYYGVTDQDIVW FSNCYYITYVVFCPFTIRPLEKRLDLSLFLAALVTTLGQWFIYIAQQTYSFALVGFILIG MGQIFILAAPAYISDRWFPMHERTVSTSLGSFFNLVGLNFSIVYASITFQNVTDHEEIVD QIDFMNLLFAIFTSLAFIFCITMIRNKPATPPSISAASEKMLILPSFKRAFRSIESIMDL LTFSMFIGVSWAYMVVIAILLAPFNYTTEQIGYVSIVYAATGAVGGTLASIYVDYQIKNN SKPHYDYLIKGFLTIGVAGILIKALVINYVNDTVIVVLSGIIGFGLNSFLPLALQCYIEK LFPSFELVLTTAIMQMSNLFGFILNYILILEVFLDVGLWVILVAMGPFYLYLMFGYKTKF LRLEMEQQAAAA >CAK75087 pep:novel supercontig:GCA_000165425.1:CT868207:286597:287770:-1 gene:GSPATT00001289001 transcript:CAK75087 MEIIEEDTWVCLEDNRNESKIVKVNEKKMVGWRKHKFDIGVLLHKQYNTFWKVDKTNAVV EISSDQFYDNTDLDNQIDDANIEITKTNKDLFDFNDSQKLTQEEILSLKKQKEGEEIVDE VIQNSKTFEQKTEFSKAKYLKRKKEKYICCFMVLKTTIENVHKTQFLETPQKLNFMRVDS LAFFMQLSSITQNSNILMFDNANGIAVSAVAERLDGEGSISTVFWNHTKSNLRDYWPIQQ MNFSEEILKSIKLIKNLEDIEKNENKYTHLLIAGEFKFTEIFEKYLKLVAVGGIIVVFST YLEKLHEVAEQLLSSGLCGKIDVLDSFMRYHQVLENRTHPKVEMNAFGGYLLQTYKLK >CAK75088 pep:novel supercontig:GCA_000165425.1:CT868207:287873:288516:-1 gene:GSPATT00001290001 transcript:CAK75088 MLFLLLILQINAIEFNQSLGKREITCFGDSLTEGLTVIGEISSTIKDFSIKIYNPMRFKK EGYLHQSQNELIQQFKFKVKYNHNIQICIQNLSTSTILYSLKYSQDNEEQSQKIDTQLPM TQLQPVENLMAQMKISYDIIKGMFSSLSERQDQRLQQLQQLGYRIVISSIVLLLVTVLIT VFGTYRYKKVMKSKKMI >CAK75089 pep:novel supercontig:GCA_000165425.1:CT868207:288630:289977:-1 gene:GSPATT00001291001 transcript:CAK75089 MDKLYSFKAKSQVGHIKQVINQDQKLQFYSEEEGESTNSQDNTPKTNVKTKVKSPIQQTG YQTDDSDSGTPKNQKQRSKQPQAAKMSRFSNLVNTKKIDGDVEIEPEFSDNEKKQQPVQV QQQEGNYNIEEQAQRIQQKLTQQPQNPKLNKTKQAVSMQNLNANSDNEQSKKNSQNNGKD KLSNHPFRHLIFGPNINEQAFKKHLILTQRGLIYARKCLKGPSDKFIQSKKVQLSEAYPK KDRALILDLDETLIHSCAQRENPQVYVTAVGDFGEEAKIGINIRPYTSLFLQQLSQYYTI YIYTASSQAYAQAIINYLDPTKQYISGIMTRNNCMETKNGFFIKDLRLISNKELKDMLIV DNLAHSFGFQIENGIPILEWHNDQNDQELKYLIEYLVQASNFPDLREYNIKKLRLDDLME FHIEE >CAK75090 pep:novel supercontig:GCA_000165425.1:CT868207:290142:292580:-1 gene:GSPATT00001292001 transcript:CAK75090 MGQANSQNLQQSKMNVPKISQLQFWKQSTDIRYGEIRIFKTQDQHAVAVKDHIFQDEEQW NQFKLIQEKQMGNKQSTPFLIQMIDLQHVTEKELCTQLTQAHSVYEYFDEYLERVINELS ETKQYFEEIEIVAMLHCTLMALQRLNDQQKQHGDIRPLTVSVTSFAKRSQFRQNHPFPVF KLTDIQEMTDMNSYRRCIAKQQGQYNLSPEQLSSLKQKVLRPNVDQNKSDVFCIGLTALQ MATLSFVQDIYDIPSYTFNIEKLDDHLYFLKSQYSSDLYEIVESLLVMAPDNRPNPKQAH ELLHRYRFQLEDYFRFSTIAEFIPNYSIDFKEQSGGYGIQKQRVVEQQQYALQNQDPNNL YHQVEDLDQRAKVALKRSQDAQIRFQKSPTKKLKVPLPNWDPTKSLQQYQNLQPITLQEI LPLQNKQMNIQSDQIIIQDPPSNRQSFFKKLTNPQQYQQHNFNEHEQLIHNDDEYAYNHQ EIDEQPKQSLVEQVELTNSNLKNLQQKPITNQFDNNQFQQQSLFQSINTDQKKFPQQQQQ IQQQTYSQFQQQSYQYSNQVQSKQLQNIEQQSQARSSTYNYPSNQINESIHDHQRSPYAL QQRQSLALSQDKVITQQLTGQQVEQTLQNLQNRQSIRQDSFGVGSQRPQQPLVVPKSPEI EPKNIFPQFEQERQPQYNQRSPIQPLERIDDDEQEPNYNPHLTESNDSQQAISVQSKPQS MRGTMVGSQTQLKVPPSAASRQQRPTVQAQQTTSQKNIYNLSQKKSSKIIKK >CAK75091 pep:novel supercontig:GCA_000165425.1:CT868207:293519:294223:1 gene:GSPATT00001293001 transcript:CAK75091 MQHPKFTKKKRFQISGEDFIKLEQVKVRLQYYSRTNYKKLKYEDQIYHVGQNLCIKGDNR SEYVAKLIKIVKLYDDEDNCIPLIKVQWFYRKNELYGLPKDQMDCISENEVFKTNEFDYI EIESIVGLAIILSYEEYDQIEELNDNIYFTRASFIDRKLHPSIEQWKQVCICHKPANPDL KYVFCDVCQKWCHLKCVGLTQDQADKLNKYVCPECKN >CAK75092 pep:novel supercontig:GCA_000165425.1:CT868207:295739:300027:-1 gene:GSPATT00001294001 transcript:CAK75092 MNLIDSLSNEFQQLLSDQKKNQVLKPLIQGIIDDIKKHKSQQNLPIQEIIKILVRLPESK TNQTSGIALNIILKLFNTPILQEADLHPLLHSLYLFKDEIIENVQLKLVQCSVHLIHKEI VNINNPECIEKLICIFFTLVISKNPIIQTTSMTGLMKILDFLYAQYSEKPHRNGVLIFLQ LIQGMKGQKVPFVVQHQYSKGICRDMIFQIVQNLGPFLNKDEQLSKLIEEISASIYEEMS LDSADVQFNARRIRDSFRMIVSLQRDISLLKHISLLFTRSPSYGYVRYWILEGILTLLQD PKLVMLLYQGPLQEEQQQQQQQQQSTFLQHLVFIIKLSTEQEPLYTINQSNQQQTYAKQK KLYEQNILNLTEIPIYNKSQFTQKSIECISHFTDSILQIAYEKQIGLFSLNYKLSQSKIL IEDNCKEVNQIIETTQQLTLKTIQNLMINLNDEIQYQSILNSIQTWINLSGSLGQNKTRD AFIKFLSSLCVAKQNTTLTKNQLQSAKTLFNIAQLGNLLDIKSWYIIMKAMQQFEALLQK SQNQNTIQQESHPEIYQQEIMLLNNILEGLFSSSNVYEDANLLHMIEAINQVTLSLMEQF NNVQSLVDSKSIQFGLQKIHQITKQNWFRINKFWDFITAHFLCIANYKHKAFRESALEIF SCIVQQGFIYFLKPDQSLCWEGDSWQSHLLSPIQQMINIPYADVKETLLNIIFKLIQNNG HELNILGFNTIIEILLISCDETEPTGYVNIGFHILELLIGQFMHLLDPKTTRRLLPLIKQ FRQRTTEQNISYVSVGLIWQLADNLSKICTNQTSQTEVEELWTVVLQSLKDLSLDNAPDV RQSALHIIIQIILINCGSFRINFQIDLLKNIIFKILDDLIGRVAQLQQLQVIFHMKVPKY LKEEDYPKFTKFSEQDLQTSVKTQNEVMVAWEDTLKIMIQNLTKFLKKIGQQEDQEFKQH AQQLYNETFIRLIISFKINNLDLRWEIIRLIKENTEIMIEKNLVEQFDWAKEFILCIQEF IGQKIQDNRDVKTLINKILPEVCELYVLFLKAHNKNQNSFPEELIEDLYESYQAIVDLPI NIENISNIKIWMDEKQLHDFAEDFYIHLSNQKDKSKFYVFLLLNMKKEGGMTKLQDLVIL KYTQLLSKYISDKQLTDPELIKQYVDICLIQLSIRQNQQKVNNVKNYNKTNKPLWVQVLP LIIETIQICQNEEYIQMLAEQLNFAQQWDKIVSIDGLKSCIDVELQICDWLLSQEILDRE IINYWELLSRNINLYQLEDLFYETRQKLMNIIFKKPKNLMTLNQICQSLVTQFMKDEYMS GSMPLSRKRVQEIIQLLLELQSLKDLNLEPHPLLQVFECLVELITTREIDIKQPLQNLFK SVALKIRSHK >CAK75093 pep:novel supercontig:GCA_000165425.1:CT868207:300290:301203:-1 gene:GSPATT00001295001 transcript:CAK75093 MSTLYLNKFETNTLNSPLSDRSHSNNKYKQLTKNYFQKKYLLLTAGNEKSIKAWRNYLIN SFRKKMEEFFNLKKLILSPQNRGRKLKLGRLDNPIKQFCYLSDEIIALNALAKQKVPGID LIQEQDKLIKTNYQYLKNSDNVDYDSKMQSMIQQYEVIINRIQKEAFKIHLPNYIQVKMQ NYNDFYDVDNKLANPYFSSKLYDSCQQLKFQNKKLVKNSQQISICENIQSLNIDEPIQKK ENKIQPQKSSSKPLVIEQNLTTIFYIMIMKMKWHLQNKLANQSQSETNV >CAK75094 pep:novel supercontig:GCA_000165425.1:CT868207:301505:305911:1 gene:GSPATT00001296001 transcript:CAK75094 MSEDQPEANRMSVKQLAGKFQSMIKTTTPLQQQPPPQRKSEVFTRSSMSREETNKIQQLV GQVQQEIKQEQQAVQSQTQQKDENNTQPILINKSDNLVVDSQFQQQSQVQQQKNQTLEQD DGNSKFQNNKFRFEKEELKNQIEYFIHIKQKVESKNSKVXXXXXXXXXXXXXXXXDFADD SPRQGKLKARIQRYENDEKQYIHIEARKQELEQNLQLKQQECLQLQQKLGTAEDDFRKKQ QVILDELQKTIESKTKENSSKDSKIQDLQENLVLLSEQIKEKDLKIREVQEAEQKEISDI AKERIKLIGDLHKCQAELQELQKTNAQQFSQIQQLTNKATQIQNLSKLEIDKLKQLNQEQ QDKLQENQSNIELMNNKINELTELNDQLNQQCDQLLKNKEQLEKELVQSKRDVEIELKQN QEFIHELQYQIQNHKNEQLQLESKFQNEQFELNQQLTNLNEQLQSLTNENQQLIKDNSLL NNEYTGYKKDKDQQIDQLNQLNNQLNNDLDQARQQFNLSQQEIEQKYSDQIQNLNSTITQ LEDQKQSLLKKANEEKLQLQKIIATHQQELSLEKDINKQNEIKFTNEISQHKDDLLQKQM LIQQLNDKVHILQEHSKSQETNISKNIDDYKILLDQNNQQITQLSEQIRQLKKQQKQQEQ DSKTTISQYELQMKQYLQELTQTKIQKNDAESEKQSKEAQLTQVIEKQKSQLSQANTTIQ DLNYQIQQLQQNIKDQDEQRLSIVSSKQSAIYEKEHQITSLDSQVQKYLNEIQSKQEVIS ELQRLLEKQKQEVTSLILERERTQKNSSQQVYELQAQIKDLNYEINQLKNSMVQVENEKN INKEEYNQATDQLKEQINRQSTMIAELQEFLKDSNQKELVSTQKATQQSLEINQLQLEFA KLKNEQTLLMQNNQSLIEDLQLKYQQQKQKDQDEYNKTSQVNLQKIQNLELESEELKNEN LKLKDQIEQLNQTINQLKDEYHNQNNLVQQTNSDQQRLQNEINEKSHRIEELELLNENSK TQIDQLNQTMLGQLEMIQFQKNNIQDSQIRYNQLLLENQEIQAKLENQILKENSYFKQIE ELKVSFEQLEQANLQQNDEIQKLQNQLEIENKKRENVEQEYKLVTEEFNDYKEQAEKSIN ELQNTLISCKNESKQHINNTLLQQKQKSELDQKLAKLSQQLQSERSQFEFDLHQIQENFN QKNKDLQLLINQKIEEIQKQQQQLKKQEKLEDMIFELTIYLKKNQKIKFKRTKSLNQTLE KQLEINSSQLAQFQNEKLKLQTEIKQLSNQNQQYLNQIQKYQEYIQQQQKDLEKNQFINK TAVLNDNQGQILEKELTNLQKKLVQKLNFYKDLLSDKKNTDLKQTVNEDLITIKKDYIIA FEKVGIVVRGIDELEKISGSKNANELNKLNRILREFIQKFANSVQ >CAK75095 pep:novel supercontig:GCA_000165425.1:CT868207:305949:307471:-1 gene:GSPATT00001297001 transcript:CAK75095 MNRMKKLIHQKGLLPEKPSQNDLLNEARLAFLKKQKTKKEQEQIELFMLSLSFVQKLKTQ YGPLIVADLCRNLSYMRIPAGTQIIQINKENRTFYVILSGKVSISIYVQKGQRKSSLKVI QNNNITQHSSLQQIQQASTTGTIHTQPSLKGEEEQFSLQEIKTLEQGDSFGELALIKDNL KATATVTTKSDCEFGCLTRKQYIDILGKISKNLHHEKLVFLQTIPPLKQWNDEDLKQLSY YLVLKHYVRNSIAVREGADNSQIFVVKYGVFHVVKQFQGQQLILSELQESEIFYQHPSEL KYQFSLRCASDGSSLYEFSHYDFKKYAENNNLEDFVNLEEQKQVWRMKKFEERVYDVRDV HHQEQELIETKIEFKRTIKLYEELKIRCRSAKRKKTLIKVEENQIQKTQRQAQMALKKNQ ILRFGDDSSENSFTLPAIRSTSRKKIYVDLIDTEKSFETLDQYNIGDSEKYQLYFDHSHP WRNVNPKKQMFN >CAK75096 pep:novel supercontig:GCA_000165425.1:CT868207:307641:308343:-1 gene:GSPATT00001298001 transcript:CAK75096 MICDIINIALRTPPQKERISFPNTTASPISSSDSSVESKQISKLQIPRPLLSQDEKFEAS LCSFDFKLLMPDEGSIFNQKLLTQELIEMRFILDQDERQIYSKLQPFYQSLDIQEQDILN DDRTTLMLKNIPKYMRPTDLRNLLNKDFKSQFDFLYLPSDNNKEGNLGYAFVNFLYPETV FNFFKKYNNNKWSINDKVDKDIYYKSKSAN >CAK75097 pep:novel supercontig:GCA_000165425.1:CT868207:308367:311189:-1 gene:GSPATT00001299001 transcript:CAK75097 MSSQEPPQKTNHENEIAQDSKQATSKKVLKQMKLDYKQEPKKSIDGKLQFGDKSICRLCK ALTMTAPTIICIRCHFKYHQECIRTQSKEPQIKDGIKWHCFSCLERIEQRKIKDSIPEKK KQYNQIQDFFQKTVQPQGDKIKKLTEFQQLYPTYVQQGRVSFPILDEYLTAYQGLFNIEP KKKPALRVDPGIPQEIFEDVLKIWDAYNNMNKIISDILQEQQIEAQSQSNNIGTLIHFRD NLALYSQKSRSEIYFTLQHNPQELILFFCYFYLKQIIEDLDMEQMQKQQYKIPYWQLMGY MWHTNRQRYYQLLRDDIKSLPTNISKQGVLNLPDDLIDYVDYSNVNKLAKLVIVLVDGLE SLKKTQFLYQQRIEYILSNNKIQTQLGIQIKEQRSKQIEINAEVTESDGHFQVAKSKLLQ DGLSRAETQQLTKEMENANNQSQILKQQFQNIEKDIKILTQRYKQQEKELAIISITSLVL NPSVFLGYDNKNSQYYFFLWESDKIFVCMRHSVIDDDISQWGFYDLTDINALMEILCQKG VRENSLRNNILELQRAKLLIGNQEEEQKVSTSDKNNDLDIEQNQSSSTKEQKINSLENLD IDLLQQEFLNIENMLTEYLNQRSSRWCSSEIRNSFLNSFQNVNQKKLDKNYDEQNLAPFC KAIEFFVNNTTIQEKLEIKPEDEINGDEKISQFIKDDESHQQLNRKRKVIEDDSSAEQPI QTAIQYLEQLEMGKVKVRRLPMKLFGTYYETLRLNLIEQLKQDYNLVKLKICLEVLGQIV QDYINRKNTQQVVTQIPEKTKVDEVHNNRQAQLAADKEPEIKVQNLRQRIKSKSQSRKVQ NKWEDQCKECGKGGKVICCDTCPKVFHAKCLGLKEIPKGRWNCLVCLSNFERQVKTRATI KKLENQ >CAK75098 pep:novel supercontig:GCA_000165425.1:CT868207:311392:311975:1 gene:GSPATT00001300001 transcript:CAK75098 MYLSVLFLLQNAAKFNEDSYEKFNLKKFKGKHDISCQEFKQLIFDTRQQFTQLNGEQLSC VVDLMKQDQLVDDDNTDYLLITIKNVEDEQTRYQLLDKIIMPTSNLAKEQVKEIEQIIES CQQHAMGLSIMGKMIAFYNYQNRQIMNKFVNEFGVTKTNAEQLGAASIGLALGQYKDK >CAK75099 pep:novel supercontig:GCA_000165425.1:CT868207:312033:312368:1 gene:GSPATT00001301001 transcript:CAK75099 MRIATGMGLTNNGNVEFWNDFIEQFRIQLKTINEDLFTQSLQVITQQNVTSLELYEAEIE YYIINSTLELKTQCLSMQLWRENNQDHNNYTPNQNKISMKCNCLEMIQFYT >CAK75100 pep:novel supercontig:GCA_000165425.1:CT868207:312483:315787:-1 gene:GSPATT00001302001 transcript:CAK75100 MLSFHRQATKQLLLNKGDKKPSHPKFVFGVPKETFPNENRVAATPESIKNLVKDGHQVLI ESGAGLKANFADNVYQEVGAKVVDTNSVYDQSDVILKIRPPENTKTLKENQTLTSFIYPA SNKELLNQLQEKKITTFAMECVPRITRAQTYDALSSMANIAGYKAVVLAANEFGRFFAGQ MTAAGKVPPAKVMVIGAGVAGLSAIVTAKNMGAIVRAFDTRLATKEQVKSCGAEFLEVKI NGEVVDGAGIGGYAKEMGKEYLEAELALFLKQAKEVDIIITTALIPGRPAPKLLSKELVE NMKQGSIIVDLAAETGGNCELCKPGELYNHQGKVKIIGYTDLPSRLPTQSSTLYANNIGK LMQYIVGTIKDPNGNMTQVDLNDDVVRQSLVTLNGELKWPPPPIITSKSELQQADTTKAA TGHKKQEVKVDPYKQAWKNVINTSASLMAMMALGYQSPNMEFLKMLNTFALAGIVGYQVV WGVTPALHSPLMSVTNAVSGIIIVGGMELMDGKYLPGSLTGLLAAVSVAIASLNISGGFL VTQRMLNMFRRPTDPAEYNYLMALAGATGVLGYYGGLYYGIPKEPLTNLSYLASSIACIL AIGGLAQQQTARIGNSFGCLGVGLGVAATLGYKGYSPELLAQWASMVMLGATIGGTVATR VAITDLPQLVACFHSFVGLAAVLTSIGNYLHVFPHLAEDPAALVHKLSIFFGIFTGGITF TGSLIAFAKLQNLLPSNPTVIPYHNQVNIALAALSVASLLIYNQTGSFAVGMTALLTATA ASKVLGVTLTNAIGGADMPVVITVLNSYSGWALCAEGFMLDNPLLTIVGALVGSSGAILS YIMCKAMNRNLTSVIFGGFDVNPAAIQATKVEGTHTEINVEQAVEMMVNSKSIIIVPGYG LAVAKAQYPVADMCKTLMDQGIKVRFGIHPVAGRMPGQLNVLLAEAGIPYDIVFEMDEIN DDFPDTDLAVVIGANDTVNSAAEENPNSPIAGMPVLRVWAAKQSIVMKRSMGVGYAAIDN PVFYKPNNNMLLGDAKKTCDELTSKIKDHFK >CAK75101 pep:novel supercontig:GCA_000165425.1:CT868207:315868:317369:1 gene:GSPATT00001303001 transcript:CAK75101 MRTANSNQAQSSLPDSNEINEYIKEYLKYSNYSNTLECFEAEIKSKQVSNKMLNKQQVIK QTGEDLPRIFQLLKSDNMKTKREINLEKEQKQFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNENLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLFKNYQDKN VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATEKFDFVVNLLDINNQSLRH AIASLISVISSTLRGVEYLTYNGNMIIIEKIIKILKEQENGSVTQRFCLAILQKASIKDT VIPTYVHNEMIQWIVNLIQKSVNAKIHVFCLDFASATLANIIHTPYTLQYLEHQPRFSHQ VMEQLLKFIKDQIQVSVLMHVLICLSYLSKENFAKQMQECKFVERISEFVEYYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETLELNELKTEDRIREYENEQGELIFECFQDE VS >CAK75102 pep:novel supercontig:GCA_000165425.1:CT868207:317598:318237:1 gene:GSPATT00001304001 transcript:CAK75102 MNFPEKQKDGKLVQQADRETNQIKKRKPIDSDTKTNLIHSVVKDHLPIYQAAIIHKVKYS SAKHILRNYYSDTANYFSAQKKRRRKIICGGASILVNSNTGDIIIYSQQSQPIPYLNNGV NAQIKQKVLDNLGLSINSELTYKNSQKFLMKQNFKSIKQDIKDEKIEDKLLSLLRTLNKQ HKEMVS >CAK75103 pep:novel supercontig:GCA_000165425.1:CT868207:320408:321444:1 gene:GSPATT00001305001 transcript:CAK75103 MSIRQESDLSCELLRSTLQDINNRLNNLQQCVPNPHNRRDKMQKEATIPRLNLHKINSAY KENQLPLKKVTATFGDKIEQVQKIDEKNLEEISKKPQIKMMAQQTPQKLRSQSINGSQKA LTNQNNHSIQFKVSNASRLQNSYDFQYYQSLHQSHEKPLKSSFIGSQYNQIAQKDETVEY VQPTLLQKPIIFDQQQQNVQTRNNSLLSTPVKQVANVSQGQTPLRRGNSSTNQKLMQTNL SQNQSYQCFQVQQQKQQQQNSDLIKLLQTHSNGYQQSQIQYHSVQNPMNSCLNSQNMAQF KVSHHRNASNNMRVPMEQMLYGNSMVRL >CAK75104 pep:novel supercontig:GCA_000165425.1:CT868207:321589:322917:1 gene:GSPATT00001306001 transcript:CAK75104 MKILVALVIALCLFTHPIVCKKHYNEEEHSAFEKSHKKLSLQDDPSYSKLEEIQDHPLGS KILQTIALQLRGNESLSAVSKLLNDLKGDLEGKQIDADNERAQIGSQCKKDLQNYSQRIS LSINEIKDAEFKAKRLNEAIAVYQAEINEKARQIKVFQAKDDTLRDIRRQDNLDFSTRTT QMKEMVQAFEVILPKLHQVWDVAAQHKAGSFIEEEAINEALVQLAKIGEENPITAMVALT STLEPTAVQTLIEKMEAIRDSIKESIKAEEDAEAKNARDTDTILAAIFNAIESLTREKAS DEEALQETIRNRDIQDKRSRDAHAEFNAAKNGNQQRNQQCQEYELQYQQNTIERDKQIAI IRDVQNIIATKIEVVTCFVEENNLF >CAK75105 pep:novel supercontig:GCA_000165425.1:CT868207:322921:323448:-1 gene:GSPATT00001307001 transcript:CAK75105 METFQNISKSPGVTYQLFIRKTQIRANNYKKVGCPIYVSKMNLQQSPRLNCQLKSQINGD KKYKLRSNSLKYDYNNTYRQTYNKCIINQQQINQVPEKRRVESMEESIYYGQQYKHYTQP QKEFNIVLPQQLLQKQKQKQKQKPKIVETQAITEPSLIEALQPWESYNNSLAYYQ >CAK75106 pep:novel supercontig:GCA_000165425.1:CT868207:323776:326273:-1 gene:GSPATT00001308001 transcript:CAK75106 MGELGDASHTFRQYNVDILIGLFIYIRQHFRKKQEHKTLVMDITFIVFFIAKCFYEAFNT HQTNNYFGYFYISILFHLFQGTLRSPFCKIALPFLYCGFLIQYLIIPQKIEKNRDSLLFQ LFFLGIALMNDFAIQISEHKLNQNYKSQYEEKIQQLELLSDIIYKLNLGILAYDQDKKLI YRGQYMDFIQGMIEPNQIIENNMDNPNRSEIQNSQSMRLIYHNRNQSSNVEFLDDIKVSE YIINETVNKQTVSIRQIIDNGNQQQEYESIYYKVKLFGQNLEKFKYHLKFTQITHNKQPL FLFTFQLINDISIYSAFKKDYKFRNSLISSLSHKLKTPLNSVITYLENCLSNQFLPKDLI ETFIKPCYWNSKILLYLIQDILEYVSIYSQQKPILTIKNIDIHKLLFEIKDLIMTQCSIK GIQFTIKYNNEDINQLQAEKKEICCFIQSDLNKLMRILVNLLNNAYRYTPQGGQIELTVK EIKTASSRMIKFVVQDSGIGLSKQAQDEINKQMQIHQQLTQSSRKQSILQTQSLDRGISY SSMNLKNEVLGISLKITTKLINQLNRACPLIIESSPGQGCKFEFSICDLDLPSASQQQSA SRQRKNESMKSNNQIQEFFTIQPDPISNEQVVIQQIRKIDRINPSKEKTVNTISRSFSRQ KSKSHKSIPSLCLVQKRSTQNLLSETGNIVIVDDEPFNHITLEMILNNLGYHKIIHCYNG QEAVNLVSQQKCIRTILMDIDMPVMNGIQASQIITDLIDREKIMPLQIIACTAHEDEDTK QLCQDAGIDQIVFKPIFPQVLKDALQIKH >CAK75107 pep:novel supercontig:GCA_000165425.1:CT868207:326723:330778:-1 gene:GSPATT00001309001 transcript:CAK75107 MSLSINSKIFQIQNQEQINQLVNFLKLNGEFTKGEFHFVDQEQGKKFSSFRSAFQTIQES FPDFKLKFTNLIGNVQSTTDANLKMKCEDTRQTNQTKTNDQTISIDQCRTFVSQQPQMAV QQQVEQKNQHAEYNQDDSLRDQESRVSSEEMGDDNIEDDNPQQLVSELNEDNDESELKSE VIHELEYQESKKLQCNSELASREGNDAEIKILGLQNPQIIKLQNNFILQIIEKFFLQEWT QLIKQLNLEQKQMNLYKLQLNLDLDQIQNGLEEFINKISYVEIEFCYYTNYTMIAQSKIN EYLRRFEIFPIKIADNFYLVSKIQTLSYLQEISQMINKNYRGIYLHFSSLKPYKQESLQI KDLTQCRSLYYEKFLSERFKEYCMNQYFDDQCFFLEINFSQIKQNRDLFEKQIKKYIQCY IKSPFLIKFTPQCNMADERQIDAWFSEEVQDRQSNFVYLRKGSSFLLFGNTVDLIRVFQK IIGKGQTQQTQITQTTIVIDKQNLFQPTFDAQKIFDLDAFVNILDQSGYKKIQSSPQDLI LQNQTNNSFNYLLKVLVAICNFRLQNQDEKKLLAIYTKFDQNPQKSQLCKLDNELFFLSQ VGCQNGRTDNFQVTINISLNNTGVFSHSKIIELKPKYLDSVIYEYFYQEFQEKLVQKNSS IQFVKIEEGIYKLQCQNIQLIKQFEDYFSKFVTLKVTLNEELLRVFEFSSYKKQEFSKKG VYYKVESDGLIFISRSMDAIKIVKHSLQNYRGFIITMHSLKSQNQEEKPENYQLVSARIG YTKYILGDFIKKMNHQQQNIRIEEIEVKEEQEVSAFCIFHLNFDIQRNNFNSQKMELATI QLQEDIILMMQNLYFFEIKADQETGKALFSNIIKNPQIYVTFKQQYSDYYITMLGNQKIF KDLSENLIKFNENQKQIVQFSIYIDQFCNFQNIIQFAESKKKLKQDFEKKGFEVDDQKTK WIIQSKRPSQALLILSILVPKMAEEDESRYLQYSNEQNEQFSNISMIKNENSSFQSKSFF LQYQDIFEDWQQKLTSNSIYQNDQLKVNRNENNKSELQQQSQQQQQQQQQQQQQQQQQYQ QQQQQQQQQQQYQQQQQQQQQQQNQQQQQTILSFQHEDFSQQNLILQQSQQTNQFQFEDL PRSKSPFDNQDSHANLSKSQISNSQSSEIIKNSFQFSNIRNFPFEKQCLLVEFQKNQRQI VPPIDEKLIQHLTQNFKNEKFEYFEANVDQDYVNYFNNLAQQTINLYIIQRPDKEYHNEF SKKLLYYLNYEALLEKFMSHNSLIIICCKANKAARYQIPHKDKSYQICLKDSNQVLPFLW IRRKTNGN >CAK75108 pep:novel supercontig:GCA_000165425.1:CT868207:331217:332986:1 gene:GSPATT00001310001 transcript:CAK75108 MNQLNESVKELTEYQQPEYKANIVDLNNQGKQMERVQERIADVEQTPKKETNYSQEMKSG KKDDNQETRSLVQQQGQEKQWIIKQTMFVRINSKKNVSEFYTIKEMIGQGGFGKVYKVVH RQTGMIRAMKLILKSKLKKEDQEKLLEETSILMDIDHPNIVKLYEMYQDDNSYFLISEYC DGGELFEKIKFVLILTEKEIASYMKQILSAVSYCHSKGIVHRDLKPENILFDSKNQGAAL KIIDFGASAKLVNDEKLNKRIGTPFYVAPEVLNGSYDEKCDIWSLGVLLYILLCGYPPFF GHSEGEVLAKVRKGTYQFDSNDWSRVSMQAKDLIRRMLFYDPSARINASEAQQHAWISNN KAKGQVNNLSLKRLQDFDSKNKLKYAILQFITVQVVSSQEKDDLLKIFQDIDKNGDGTVS KEELLAAYLKIYKGDALAAQHIVEELFPQLDANKSGKVDFSEFVTASINRDRTLSKKKIE QSFKLFDLDGNGYITKQEINELFGNEIDEKMWEDILKDCDTNKDGMISLNEFITLLESKI QQNPKLL >CAK75109 pep:novel supercontig:GCA_000165425.1:CT868207:333396:334454:-1 gene:GSPATT00001311001 transcript:CAK75109 MIMAIIQILLQSFFSNHIVTFINIHLLCLTKIQLTSQSYKKAENFSYINHRHDLQLFQIN QVYLAYQLSFKDDAVYFPILYFQFMFICYIIYYFGRKSIMLATFNLAYIQREINSTIQLK LSKLMFAGLIFFFTVVFILNYIDYFIQQNNYHLCQAQFFNVITTVSFLLQGLFLLQIRQF TRQINKNMKNLENVNDEADKIEKMIKKRLSQIWQLINNIQQGFQQQQIQQDPFVSFVQNV YFVLMNEILRNQPERYTCYYVKLEDDPTLTNIINTALELSEKMLSFFLPYYAALIIFWPK KSLNQPSNGRQDSTDIIVETYVIQATEFPDQSSSRE >CAK75110 pep:novel supercontig:GCA_000165425.1:CT868207:335279:335775:1 gene:GSPATT00001312001 transcript:CAK75110 MNSQALEATKTQSVKDYKAQHKLEDRKKRVQQYKEKYPEMTPMVVQKHPKAKIMSLTRPQ FLVNQTVKFSEFKNQIRTKLQLSPQQTLFFYCGNNIISDDISLQELYNKYKDREDEFLYL NYSDCEVFGNQID >CAK75111 pep:novel supercontig:GCA_000165425.1:CT868207:335852:337606:1 gene:GSPATT00001313001 transcript:CAK75111 MENKNLSIYLLNFVTNALINFDHGIIPACTTDMKLDLGIDDIGLGFLGSSVYGGLAQAYL ANLKLSIQLQSVYYVAYQVYLYLFQLKIYFSYFYRDFQQDSFKYTILIYLVFLVVFFPVW IDLFGENHKTMWLTYMQLGVALGTVSGYLVTSLSLYFSQSWVYPYYLQILLLIPCFLVYS LSDEKMLSKQDQGKTDEQKEDFKTIFLQNLKTFFSNPIFVFSMLSLSSLFFVVTGVQFWI TDYLRNVLLMPYEVVFKSFLIISLTAPLTGVYLGGLILNNFGGYDGSMAIKIAAFEALLA SISGAFIPALNDGAFALLFLWFLLFFGGSAVPAIMGIMITSIPREQRSSGNSLSHLFQEL LGYLPAPVLYGYVQQSTGGSTSRWGMILLSTVGFFGFFYIVLAIVWKSKQTLQQSPSLDE SELRDRVPQLDIVDKKDTIKRSDFAQIRMQTSIQSGKSITIPIQQEQINNEGQNENQVSL IHRNQTFKTRDAYQRQASVFQAVTNFSILLGRGSLDNDLFSIKNNQERKQIQDQQKEIEL QVAGGYYLVSEGRYVKFEEH >CAK75112 pep:novel supercontig:GCA_000165425.1:CT868207:337700:339245:-1 gene:GSPATT00001314001 transcript:CAK75112 MKNSKQLWFMWSQSQNLSQKYEMETKKVLGSGKFGQVIKVKERLSKIYRAIRSIPKAKVK HKEKLKSDVNQLKNINHENVIKIYELYEDDRNIHIVIDLCEGGSLFEKILEKYVLSAEEA RQLFLQMIQSINVCHKYALCHRDLRPESFLYVERKAEDMRIKAVDFGFQLLYVDDYIKKQ NGIVVESSRSGKLYFTAPEIFEGRLTEKCDIWAVGVILHVLITGELPFNGQTDAEIYKQI QNYEITLKVEKPVADLLSKILIDPYKRMSIFDILKHPWIQTPIDKTIFLNPNFKQMKELS NQNHLLRIMMNFLSEQLQKDSLEKLKKIFEELDVNSKGFISVSSIKDTLKDQPEYEEINL ILQHSEIQDDKINYNNFINQIYEKRLFLKEEKCYLAFKQFDLNNTGKITVDNLQNVLKSV DQFKYISKQFCESLIKEVDKNNDGEIDYLEFIDMFCKKI >CAK75113 pep:novel supercontig:GCA_000165425.1:CT868207:339261:339847:-1 gene:GSPATT00001315001 transcript:CAK75113 MNDIENGQIEDEEVPLSSNEVKQLKRKKGSQYCFWFRNDEPLITIGPHWPFPLFLTIVLI LGTYYIGLIFYKSDILFRIVQSCSGLFLILTFLITALKNQGINYKQSNTAFTEHWCSICS MHKSKGTIHCKDCNICIRGYDHHCIWIGKCVGEGNQFAFNVFILAFLVFFLINYVLLLI >CAK75114 pep:novel supercontig:GCA_000165425.1:CT868207:340016:340600:-1 gene:GSPATT00001316001 transcript:CAK75114 MKSANKSISDIFFLNQRTPLSDIKNQSTYLDYYEEHQQQIQVLEKKLLEQQFINSKQAEE IESLKSDVQIAKKLIDSTQNQLDQFYQEMKQKEEQLYNFEKQIREKEMELINKELSLVNR QTQTEETFLQSNQENVEPEPQIDIDIARHLFEKRIHKEYKAIQEKQQELQARENAIVFRE NKIKEFMSKLSSSM >CAK75115 pep:novel supercontig:GCA_000165425.1:CT868207:340845:341408:-1 gene:GSPATT00001317001 transcript:CAK75115 MQQGSLIDKQRRSKERFVYEANNLVQQWRDLFENGYRDYAGHFIKPSLKEAANLVGCSKK TLEDYYSIIRKASQIINIKECLNMKMGYLRQLLRKDPIFNVAAPEIIQEEHNTSQNDSWN NMIIEADDEVSKASIDIDQFINTNQTDQHNYENEFTSITFNSDWKETQIDDEINPAQPYQ EWNFYDF >CAK75116 pep:novel supercontig:GCA_000165425.1:CT868207:343221:345075:1 gene:GSPATT00001318001 transcript:CAK75116 MMYTLTPQQAQISIKIDKPSYYPGEIIKGVVYMKIKTKDIQAGLLYLKLCGQEKVNQLDS YNQFFSYKQFIYKKQKKIADFGIKMPIIACKKYFELEVPSLYPSFTVNYYKLVQCRILYF LSVSIQSEDEKNLYKVPKKHRVVVHILQKLPIQNVIPIEYSEVSKNDKRCCFSTGNTKVK IQLNKAQYVFGDSIQLNISVDNSQSNVAVQKFELKISSQLVVLYRQQKRKLSNYFDIYLQ ELNEQIQAHSQKQIATKIHLPTGKPDNYTSIFPQSTIKTKRVSYQYILTVNVIFSRQLFF KVENLVASIPLILIQHQKREKNNIIQSMDNLSMLGSILETNQKLNTSQLKKFIYGDHNSA GYGEQDGYVGPERKYNPIESLDEFALKDTKGALEQLMFKKQYEEDSDIDEEFENEIDGIS MNDISINQIQSSQDQQQKQRTIFNQKQQNEFNCPNSEEFKQVEGIQEHLQTIKEEQESKG TQYNLNNIQPSFQVQKNPQKAQKDSHQIQTFGSVNSGLDNYNYEDVKSPNEQTNPRKSTI LKKNKNTEQNDQREQKQLKVQFVDITSVDTLDGKNGDKMTTQKQKR >CAK75117 pep:novel supercontig:GCA_000165425.1:CT868207:345189:346171:-1 gene:GSPATT00001319001 transcript:CAK75117 MNEQTISQRFYEDQSRELREKYQKAKDGGTTLGNSQNNPKRDKAQRILLLIMILYSIILI TFVLLLYWVRDFRYFCYGILNDFSEQGQIIGAVYIGMIGLWFVLTGFNVVLYDILAGYLI QPFWFALLTVSIAKFLAKYFGFLIGRYFVRNYIYMAMNENIYFITCYLATERKPVKVMYL IQFLAIPTIFKTYACGLFRITHWEFIHPTIFGTVVWAGFWVYMGSNLESLSDLLQSGDTS NYVPFWLKLGMFITIILIIYYFIKLTQSIYQEIQNDASLAELSHILEKEEEEEDKLIHQQ LESDL >CAK75118 pep:novel supercontig:GCA_000165425.1:CT868207:346422:348009:-1 gene:GSPATT00001320001 transcript:CAK75118 MDKKGNFERIRNKLKSDLFKNENQQKQNVEEIINQCPQILEYVHCSSQSEFKDDAPKIID YLSNKKQLEQMKQSFKNIYQIGKILGEGAHAVVRQCWQITNPDETYAVKISRNADPEITE IMKQTFLNTVSLNHPYICKTNMLYIDPNMECSYLVMDYLPYPSLQHILKQRKTLDLNETC IIVRQLFEAASYIHKVGLCHRDIKPDNIVFDNDTNTIKLIDFGVSKRFLVAEKNCKDIKN NLLWTVTGTMPYQAPELWVGSGYSKQIDVWAIGVVCYQLLCGKLPIDQENQMESFSTQSE YTGHLQQPEFLQLPSLVIDFIKRILKWNPDKRITSAQALLHPWLYQPKIFPKHIKMRSKD DIVLGSSDKPLLGIVRSMQANMKILQQSVSNSNEQFIDKRDLTKNHGQIIIAYKETSQQI REKSIHFQQSIHSINLTKCSAKPSTDDVKDLFDVINFCDSSSSFESLEEKQQLQAQQQKQ AQQQQQQKIGFSQRLGVIKEEPETPYSEQLVKTTKEIDQI >CAK75119 pep:novel supercontig:GCA_000165425.1:CT868207:348602:349536:1 gene:GSPATT00001321001 transcript:CAK75119 MKQFVKLLIILGESEIDIELTRQELCAQRLFEPFTSFKRLDQNNKTKLGVKEFYEFLQDN QEIHLTKQQLDTLIKYLDYDKDGSVSYNDFIKIILPQEHPELTELAMLRSSYQIEKNVLL PSEIESLLNELLLCILTWRDSFEKVKVELLKEDYNNYMDYLFQNHQQLSIQQFYKIINEI QPVKQETVLSCFKYIDQNRTRFITKSDVILNLLGIPFEQFTHKQQKQLQDTQFSSYNLSP RQTYLQISPRFTQLPRNERTISNIENILSLSTSNKNKNGKIISFKSRTQLSQLN >CAK75120 pep:novel supercontig:GCA_000165425.1:CT868207:349603:350060:1 gene:GSPATT00001322001 transcript:CAK75120 MSEGQQIKENTQQNEILIGMPKSGNPWKKLSTKSSTRTKRFHKVSWEEKQKQRQQKKELQ DYLKEYKAEKEKQIQEEKLRKKNKKKQDELNKYKTADLQIIKESKNIKKWTKKARKTLVK LPAEIFETLLEKQRRR >CAK75121 pep:novel supercontig:GCA_000165425.1:CT868207:350098:351331:1 gene:GSPATT00001323001 transcript:CAK75121 MNQDSSYQHDYTVDIKQLKAINRKQSQVWDTMIESYQKKICHLKQKVKIEKTQQMQSENK QQLYQQQCLAAANAYQLDLFELRKKDLKNQFESNKANLNEQIDEIKKSYEQISKNLQPLP QEQPKECSFIAAASSDYTLVLALVKKNNQRTFISLQSFYPECYISNYQDCENISVIAIKD ISYQAFRNQIQNKLLLANADNKTINCYMVSYDKDKLSKELIFQQELEHNIDLIHITKNLQ VFVISKLGGFVYLLNKNKKKLIYQVGHVICESIYLESDDILRVSNQFMIITISNLSSIQE DYEYVELRTEEFSALLYFHTNLPSRHLKYISHPQIINKQYFVYVKKNQVRVYDYIAKDKK KFNVSTQNIQIEFLNYFEKTNRLVFCDSQGQSYKVEKFTNLIG >CAK75122 pep:novel supercontig:GCA_000165425.1:CT868207:352546:353866:-1 gene:GSPATT00001325001 transcript:CAK75122 MNQVYLISILIISAQCASFLEEQPGMQNKIYSIKLERTQSQARKSFFDFITTGQHFRSKS DIMDDIALTQTTQKESIKLYNFKNTQYTGEISFGAQENKFKVIFDTGSANIWLNSARCND YGCKNHKQYDGQKSLTYEHLGYDLDVEFGTGELMGEINADTAYVGNVQIRKQEFAEIVRE NGDVFAYSDFDGIVGLAYPTMAAYHFNPLFDNIMQQKLLDRNVFSFYFSRQEGTRTSELT LGGWNQEHFVGDLHFHNVANKYYWLLEAENILVNGKDVGICKRGCKVIADTGTSLITGPS DDLYDVIDALNIDENCKNVKELPTLTFVLDGIHYDLDAHDYIMKIDSYGNEVAYGSFAST DSFLEMGAGCECVGTFMPLDIPHPQGPAWILGDTFLSKYYSVYDRDNDRVGFARSK >CAK75123 pep:novel supercontig:GCA_000165425.1:CT868207:354204:354730:-1 gene:GSPATT00001326001 transcript:CAK75123 MSTYSVYIFYFFFHLIESIMVIHQMGFFEKTFNHQLLKIISHSFWTLGLLTQLVFYLNRL RTNFRRESEMKQQIQNGISNQEFITQIKALTNERYQYGLLILRIIGDLTCAMQKAQIPEQ ILNTRFNRGLVALGGLMSSAIQIYLQAKSEDKKENVCEV >CAK75124 pep:novel supercontig:GCA_000165425.1:CT868207:355176:355842:-1 gene:GSPATT00001327001 transcript:CAK75124 MFSERKVKLTLHLPKLESCAQFESDVYTTTSRSSKDCQLLIGILSISKAPKSDRRIVHKH TVTQMNTSNQLHLYTPKYESLQAKRLKNIPYELKYFTPNLPNKNFKSSHLMNNKLLETPK VTIQNKDKWLLNVHKTRMNNAFSLGKSKRMQNKHLKTEQQEEHNQITRQNLNFIENEQIK RLKKQRNDTQKLIAQFASQFNSGAKLNYIDENF >CAK75125 pep:novel supercontig:GCA_000165425.1:CT868207:356076:358429:1 gene:GSPATT00001328001 transcript:CAK75125 MQYYCQLHQSIFTSVCIQQDCTKKGPLCDKCTDTHKNHVMQNLETYFNDYTKYLNMEYQA QILQKLDQMKQYFHDKISSLQIDVNFIDEKRKKEIMKQLKQEKMSPQQFQSKVIELIQRD QLKDREYLEQFFTQIVEIEKNMKFQFSQLPKKFIQAQHHHQPSHQSQQIINNKSNLSSEV RELSPQLSNRSNKSKEPIFSQKQTSQSPLSKQLLQRDLMPVGSFNNSKLKNYFTEQDSQV SPQNSFKIVKPFDIDKSSNYQSRIEGDRSKSKSQNASFDQFKKVEPFKNVQQSQIIEVPL TTKFEKSEQLDLLYNQKQSSNNLQELPQQQIVGYYSNQRTLTQKENKESLQKSPSNTKVQ FSIKEEKQVQSNSNPQQSEIYNQFQKSQVYESQNFDFGQKFQNQNQEVQLFQTPQQSTII REKETNNFQNGMKLSAQSAISGIQNENKSQQDISFISSKSLISPQIKITQNLPNPLKIEK DETLKVHDKSVKDLCFMDDDKIITCSKDSTIKIWDKRTKQLKTELKDHTDQILCIAFSQK RIIVSGSVDKTVRIWKPSPSWRIAFVCQGHQERIRCVDFVGNFILSGSDDTTLKLWDLDG QLQHSFKTNGRVSAMITDKNLVIVGSDTKTKNKQQEIIGHKNLILCLQLSSLQNKRILLS GSKDHFVKIWSYPQIQEIRTFEDDYSIHSLFFDQSSQYLFLGQMGFEQEGKISIWKLDDQ PKKKQEIISNPYGCNKVLYDGRYLYSAHENKRMEIYSINEL >CAK75126 pep:novel supercontig:GCA_000165425.1:CT868207:359184:361381:1 gene:GSPATT00001329001 transcript:CAK75126 MNFLQSYNYCLYPFRPQLYYNLYAENIQEQNQAATNYKQEVIQWYIWFCYIYRLFTLEQS ELYKLFQIKGQLKTFPIMKMYIHDKQFQPSNYALNQQTTINLESKIDDQFFINTRIIHKK TDELYDKLIIFDDESFLDTIVVREPYLENLNGFLELLDELSDKYFLNSSARTNLEKAEDP FWFHQNSFHSCSAWIIKEFEKNINFYYNTQRDKKKKYRFQNQMMNKSNSKDIQEYFERNL ANNKEKLNLYFEQIQADFKENPQSLENIFYDNIFSGTAIKLLKPQIELSLNFQQKCHTDS NIIKSMLITQMPDLLDQKTYFLKKFYQIVIQLFQEHLENELFSSELAIKKKKDKKKQKKK KSEKTEYKKALDPIELNQRLSSKNLQESNILRFQRSYSQNNFAYSYVTPPNTPSAWENSD DQSEVNAQSYQSQNQKQQNNERQHKVKESQSIIDYEQANISQAFVENLQNPTNDDFVEYT QTITLNILKTVYESLVDENAHKEELIREKKKIKKKQKTVWRSIPESRDEQMQLQSPESEI TRFSVETQAQTIRSLCSNRTASTSEEEKKQRLKSRKSAQQFEQQETESISSSNQTQDTSL KEQIENVQQKAQQKLIEQISYDIIEFTDNIMNDYEEMLPFRLLAFDRIKLVIQKVFYGIP DDMIQQEYNHYQDYLVHVLLAQLQLIQTQTSALVAFNL >CAK75127 pep:novel supercontig:GCA_000165425.1:CT868207:361565:362323:1 gene:GSPATT00001330001 transcript:CAK75127 MDTEIHKWKKLKQRIKSCIKVDISFNFSGSTYDSPHSGFITTNLVKQWMKEYPVIQQLVL ILKSMIKKLGLSESYTGGLSSYSLIIMVYSFLRENRIAQNQIGEQFIDLLKYFVNEFNSR TTGIGLLADIKNPQSSYFFNLQDYCLPQLPITIFNPLNRKLLTSSCVHIGKIFDFFKVTL NQLEQKREFYCNYVILGKKKQQKLNKTLENFITNLLESIK >CAK75128 pep:novel supercontig:GCA_000165425.1:CT868207:363036:364369:1 gene:GSPATT00001331001 transcript:CAK75128 MEINQDTLNFLFKELCAMAFFQQKSQNELNDLYQYYTMQQAKLMNQFYHDQVINEQELKL VNRFIARISEAFQLMVAKRQIIRCKESKFQPTIYNCLTSSFEGKNNLICQLIQAIYRECR LQIEMLTNKNQKIEVKRIHSQDNNNDEDKLQNQFYSVQVTNKKNIQNDWQVNIKNNKKLN NYYSKVFPKLYDAIGGVDKYIKHHYARGQDEQILYFQNRDQNMDRIFNQLLQQNQHIKQS LNWSVNLDQEMYNNKIEQKLQKLKLQQLIPSHQLSTQSSPTKPSQPSNKSIKQNAPTSPF KNSIINNSKMPSINVKFRSISQNVPMSETNFKSQNMFLNFQQQTQQNPQSYQYYSSETEK ANLFSKIIESYKQNQFQLNKIKLEFKPKRQHCSVLKSKLMIDLIPLHNSKLKPKGTRNFL QQVPQIIN >CAK75129 pep:novel supercontig:GCA_000165425.1:CT868207:364671:367245:1 gene:GSPATT00001332001 transcript:CAK75129 MGCGTSANSETVDTDSRKDIDDLMGKKIPKFLIQPQYTTQGVQQSEMSDGEDQKNDIQGD YHRQGKKAQKKFKGGDEQNVQIVENIIKLDKTIQKSDRQMIEKSFKSHFVFFNLTQQAID YLIKNLVFCTQKRDQFIFQQGDQATSYFIVQKGQAEVIINQKQVKVISEGDYFGEIALLY NATRSASIKTITDCNFWMLDRGTFRKAVEDMMIKEYDENRKFINEVTFFSFMTPEQRDSI AHALITTKFEPGETIVNEGDQADSYYVIKSGTVGVFKGKKQINTMGPKDSFGEQALYEKS TRGASVRAESEVKCLALGRDNLTKILGDKVQLIIFNNIMRWSLEKSSILNQLTKLQQEKI TLKAKIQNYKKGQVIFQKDTKCEQLVIVLEGTLQSDDDKIGKGNCFGDQFLSQNKKNDLL SSDYLMVTDGVLATISFIQMFKILGGDFETAIQKKFESHEVKIKNIANRADASHIRVEDL VFIKKLGSGQFGWVYLVKHKDIDSCYALKSVSKASIVEQSLERHVLQEKMVLELCNFPFV MQFVRTFKDEISVYFLVQYIRGMELFDVIREIGLLNKEQTQFYIGTMILCLEYLHSNGIV YRDLKPENIMVNSDGIMIMIDLGTAKQISKAKGQRTYTIIGTPHYMAPEIILGKGYSYHV DLWSVGICCYEFLCGGVPYAEDIEDPYEIYEEIMNNQLKFPHFFRDRSAKRYIEQLLSKQ PEARLGTSYAGLKAHAWFDDFDWDKLFSHQLQPPYIPPKERLIGDFEIDKKFQQGKLVVS EIKTEQQQQKIKYRKELAKDPNWDKSF >CAK75130 pep:novel supercontig:GCA_000165425.1:CT868207:367255:368017:-1 gene:GSPATT00001333001 transcript:CAK75130 MKHNQQLPNAHLRKHWTRFVKTFYNQPAAKRRRQQRRQANALRASPRPVELLRPVVRGQT IKYNGVQKLGRGFSLIELKEAGLNAQFARTVGIAVDHRRRNNSQEELAANVKRIKAYLSK LVLFPRVAGKPKNGVVKDSANEVVAQPVTQNTNPEVITFQRTPKREKATVISKELRAKNV YRRLRQEWYNAKFVGVKEKRKQAKETKK >CAK75131 pep:novel supercontig:GCA_000165425.1:CT868207:368746:369618:-1 gene:GSPATT00001334001 transcript:CAK75131 MNPNTPFNERFAKISEKLNSIQLQHDSSKAHRIDTVCGRITGVEERIQDTITSYNRKLHT LKDEIVRLQKQIEEENNAFETQFEQRVREVAAFESRITTKLEQEIALRKDGNLKLQGYLD EKVVYLKSDIQTEGKIRQEQVENITTSLENDLPKLYDMVKTEGQDREDNDNGTLRRAGDE IKRLNEGLGNQKKLREESEAAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDACN KIYRAAKD >CAK75132 pep:novel supercontig:GCA_000165425.1:CT868207:370855:371273:1 gene:GSPATT00001335001 transcript:CAK75132 MQIAQNLGIKYSTAKAIVQVYQNEGRIGKKRTRDKRIFQEIETYILLVNKQTGKIEKRRL KSECNGLKKNLLDASHNFEEAHYSQIAQFLGNYNLDLSQRNIEHQNENVFNLVKILNEQY KQFQEYKENDQ >CAK75133 pep:novel supercontig:GCA_000165425.1:CT868207:371932:375091:1 gene:GSPATT00001336001 transcript:CAK75133 MEKCYKLIERQMYAEALASLTQILKREIENPQLETIYLIQHILSQSQRYKNLQIKILEIV KQDENEEEVDDDKYFNLVLDLINLYIDQNNIQQAKNWSKQLKKYVQGIQYNESLLYLVEN LDSMLQSKQKNIDLKEQLQTYEELSELERKTKYFEQDGMPAYLIPTRWFNKWKSYVTDTA LELPNENLNIEKEIEVLENIFNVSNDLDIEEQITAPGPINGFQLIQAQSTLELDPFGPKS YTNYILKENIREGADFILVGHKILEYFKNIYGGFEIKRLIVEHSQTHQKYVDLVPRMIQY IILPNEEKHRIRLITANSSDLILDLCKKVNRILKLNNEVRWWKFNDSQSQQDFIKNLQRR ELYKQISAKVLEKTTMIDEINFSSSDLFICEIKYGRDWQMSEIDTKSMSSFKSKINISDV PKIINDSRKGVTGLQNLGNTCFMNAALQCLSNTYELTEYMVSNEFFQHLNCDNPLGTKGA LATAYAELMKIMWYGNNSAVSAYDLKRVIGKFAPQFYGYGQQDSHEFLSYLLDGLHEDLN KVLVKPFVKEVEITDETDFEASRIFWNNYILRNQSKIQQLMVGQYKSTLVCPNCHRVSKT FDPYMSLSLPIPSYTLIQLSMYFIYQNGKIPLKIQLNLTSDQDANYIGQELQKSLNIEQE QMNFILLKDHLIRERVKRKQNVKWIQEHEGQLFIYQVEKEFHQLSDDQVHVDFFYCNKTD RSNVNEQIISYPRQFVLAKQKNIIDIYFKIYQQFKPHLILVIQELADSLLNEGVNIEEYC QSVPETLEEFIEEMKQFKVELYKLVHNGKTLEYLNQDTIENFKQKSLSIHVFLNPLFGKS GLHNLKFQRCKDFNNEQNRQLRKGEYTLEDCLRSFAKEEVLGKGDEWYCNRCKQHVQATK QMEIYRAPQLLIIHLKRFRSGNNRISSYGGFFYSGGSQKITTMVHFPKKLNLNPFVLSKV NDSDPIDYNYELYGVDNHFGGLGGGHYTACAYNQLINKWVDYNDSSARLTSAEVESEAAY VLFYRRIDSQKCSLGR >CAK75134 pep:novel supercontig:GCA_000165425.1:CT868207:375123:376059:-1 gene:GSPATT00001337001 transcript:CAK75134 MDIVRWGLYGNIYTETYEHLSDGGPKCYNDMTNTFRISISTISAIICFLVMKKCWAKIKT SQELTRALGKKPSILEYLVGLACWGTFIVQTGYKLYTGRGVFMNNPCHIVLLMQGYVLLT KKHKFSAVIFICQLRWLYGVFAALKFPVTTGMELPLEVEFFWIEHLLGAFAGPLALTLSG RFFFFEWKTFFVHQMFGWDSHVLYQRIYMLPISLMTWANLNYMLCRPDHDPFFPYIGNWY YVFSEIYLNLLSIIAFVIVFTLTWVIRKIIRYKEKQD >CAK75135 pep:novel supercontig:GCA_000165425.1:CT868207:376073:379190:1 gene:GSPATT00001338001 transcript:CAK75135 MTYQPTVYEQQLIRDQGEDFRIRVKSAIKKFDSIANFLKLSMRNIIKEMVKELLSVDDET LVNNFYFKYFTRYEDQHFRFMMQSLNFIFDQETHFDFNNDLNYKYIAIIYTEQIRNYLKD QNDKGLSRLWNKLNLLKKQKETDEVEEGFWDGLDTENCSQQSKNKKFGKSNVNENENEFC HTRKEMQLKDLLIQSLQTKLFQREQNLVQTTQGYLKELQSLREQLFRKNQTLISPDEPFE VSFMDFTEVLDANIKKLFTTHIIDLKFQFNNRIIDYIKLIQAQREEIKNYKLQIQKLNQQ INNIDGPSELLKKLFLIDNNPYRIWKYIADQKGNQFFKEVFEQQKAVYGINYQELNKLLM TNKAFEREYQLYKEQILSEQLVFFERVQQEIEDIKKKYIRLEQEKQAIENQRASEKFQYL TLAAQYYDEKIKQEIEILNETKQQQLQAINLQTTQIDKIKIKFAFKLWLVMSKVHKNEDL MKILKENEYFDEKLKSLQLQNQEISQLLANEKKANTNLIAKSTLLELDLEKQKKDLNHYK FVFDDWQQQNLMLQEKLNQKEKEYQLLNSFSQKILRLIDSRLANLKPQDINLDDKSKEVV RSIMNSIKQLNIKSLRSIEEIKQQGQLNLEVYQTLTQELKKHQSTQTEGIVPTQTKVTES SDEQNKKANIIQQSQHTQGEELTQQQQSDQVIKKNQQQIKPKLIVNQPHDSQEEMQQQKE FEEQSTKLSPLKVLQNFDYKNAIPQKKQSPDKTGKRFQEYQDYQTDQVIQLLEVSEQVEQ EQNQLQKKNQKRAEQSKSQNQNRKNCFTSQLQKRQDDSNQQNLMSSTRNSRSFRLRASSQ NNNSSIPVVHEKLYADSQEKQERIKNLKENLELLEKNHWDKILDLFSQQPSGNYVLNKCI PSKIDEAQFQFLETSTYFSQQHKKYYNSTKSRTPNKEKYKSWIMKQTGCIDKMFETLNSI SKPNSNQADSIINQSTLLPNHIFAFKKKS >CAK75136 pep:novel supercontig:GCA_000165425.1:CT868207:380480:387815:1 gene:GSPATT00001339001 transcript:CAK75136 MLNSKRARWIFQTIADSFRLKDANLVAVSFVVLFCQEFLKDKTKLEKFLEGEGPSKIIIY YQIQDNQQSDDMKENQNEPSLFIQSGDQEKIKEKAVWFLRMQVQTYICLSIIFLIFQNQI FMNFIQDSRGQDRLIWGEVSPSSISQLHTVMENVYYPLIINMMDEREWGQCDEESLKEFH SHTKKFSQEVSEAVKLMRPGQELFKFDPEEVQKYSNSNNEQEKNQFYERKFAQWIAIINN KLAEDQNPKKDSPDAGPEVELNYWKTRMQEITNWSEQLKGKDFVTSTNRGEENINKLIME YSRLDLLLTDKLNEAKDNVKYLSTLEKFLDPLYKGTPQQIIDTLPALMNAIKMIHTIARF YNTNDKMTGLFVKITNQMIKNCKERILNGGKNQDIWNRDPSELNEVLRQCIQLNREYKDS YKETKEKVADMPKGKTFDFPETQIFGKFDTFVRRLVKLIDIFQNIQQFQALAKHNLEGME QLTAKFNQIIKDFKAKNHDLLDTNSNKFDRDWVEFNVEISHLDMQLQSFIDNNFNRLRTI EYSLKLLKKFEQTIKRDQLKNSLVSKYNTILHNYATELDKIQRIFTDQKSSPPIVRNIPP EAGKIIWARHLFLKITGPITKFPENAIDQGELKKYYGGYNTLGKQLTIYEMWYYQNWSNE IERSKAALQATLIVRHDENKKLYVNFDVEIMQLIREAKVLDRQGIEIPESARIILLQEDK FKMYYNELLYVLKEYERIISKIKPICKTLIGPHVEDLELKLRPGMVTLTWTSMNIDSYLQ SVHAGLNKLEQLIITINDIIENRIENNLKNISKVLLVHLPQESKPLTLDQFVNLQEEYIK TKTDYLMSKNLEVERAVDDLLSVIREYKLDPHVEYADPTETIRIKRYYFWYLYQALLNST QNSLNAMKYRVCGKKGPGQSSTQNLKPFFEVDVQLFNNQVRLNPSLDEIQKAINRAATAV LRCSKNLYSWNLQQKESKGSFYEMIAQDKEIVKVILLLTGSIQGTRNKVHDFLSSFKKFS WLWTENIQDNINSFSKKNPTLQDYEDALKKFTSIEEEIDKIEASHKIGAMELKTGNLCSG LKVHAKDWKNFYCQDLHKRARQLLDQLTDLTNQYSTKLSKEVKDIDSLGYVMECLEDIRK IQAEIDLKFNPVQDMYALLDIYLPGGISDKDEMDQRSMLRRNWDQLISQAEIKGKELQQK QKNYLKQLKKSIKEFIQEVNDFRKDYELNGPMVENIAPKEAMERLRRFEDEFSVKQKFYQ INRKGEDLFGLQNQSYPALKKTQDELANLKKLYSLFSDVIDTINRWKEESWADVSVESLN QMEENFTKYTAQCGTLPRDLREWQAYKELKLELDNLNKILPIIKELKKPSIKPRHWMKIV ETTGKQLNYENPDNFFISDIINCELLAHEDDIVDITDSADKQIKIETSLKDIVDRWSTQE FKFSIWGKREVPCMLNGLSVQEITETLEEDQMTLSSLNAQRYVAPFKVEVEIKIREFSDV SETLDMWIKVQKLWTALEPVFTGGDIARQMPLQAKQFAALDKNWMKIMEKAFESKKVIIS CQNDMLKDFLPDLQKKLEDCQKMLEAYLEGKRKKFPRFYFVANQDLLKILSQGSDPKSIQ EDFEKLFDAITKVTFGKNTEKKGSTELVIQQIMQSAGKDEEIVDLNQNVKCEGNIEGWLK TLELQMQSTLRDITRTACSACFTMGLKDFCKAYCSQVALLGIQVIWTQKITEALEKTGRQ ENKNIMETKRAEIRDMMAVLSAMCLEGYKSNLERTRVETLVTIHVHQRDIAQELKCKDIN DFDWQKQTRLYWKQDQDTCVISITDWDQGYAYEFLGSKERLCITPLTDRCYITLAQAMSM YYGGAPAGPAGTGKTETVKDLGRTLGVFVVVTNCSDQHRYRDMAKIFKGLCQSGLWGCFD EFNRIDLEVLSVVAMQVEAITTAKKQHLKEFMFPEEVAPIELQQQVGYFITMNPGYAGRQ ELPENLKALFRGVSMMVPDREIIIKVKLASVGYNSIDALAKKFNVLYRLCEEQLSKQRHY DFGLRNILSVLRTAGNTKREELKSDEEMLLMRSLRDMNLSKLVADDIALFNGLLQDIFPK QSDIKKKEYPDVEKMIPQVIQRKGGLINNDKFQLKIIQLYETALVRHGFMLVGPSGSGKT TIMSVLTDSLTDLGLPHRITRLNPKAITAQEMYGVKSEISDDWIPGIFSTIWQKSNSRNN KHTTWITCDGPVDAIWIENLNTVLDDNKILTLANGQRIPMTENCKLVFEVENLNNASPAT VSRCGQVYISPTDLGYEPVWKGWILQRKLDARVDESEKLNVLLNKYFNTHLILETIDKTC KNPVMDISYVLRVYQTLNLLNGILRPLVNANKTLTEQDYEKVVVFAMTWGVGGIYEVQDR ILFHEFLSLKRCPNSIQSQRW >CAK75137 pep:novel supercontig:GCA_000165425.1:CT868207:387928:390858:1 gene:GSPATT00001340001 transcript:CAK75137 MPTVDSFRAEMILSYILNQPKASPQPPVSCSYVILVGGSGTAKTSSVLMYANKFNKEKML FKRINFSSATLPSHFQAAIEAECDFKIGKDFAPPQNKNMTVFIDDLSMPFVNKWGDQVTL EIVRQLIDQGGFYMLDKAQRGNFRGIKNLTYIGAMQHPGGGRNDIPNRLKRQAVIFNMIL PLSVEGIYGPIIKHQFKAKYFSADVNRAIDTLTGATIQIWNKVKATMLPTPAKFHYVFNM RDLSRVFKGILSVRKETINTAAQVGPMKSDVFLVGLWMHECERVFVDKMTNQKDKDQVCN YIKDIALDLYNHLDSEIQDKYSKEKLFLMCDFLKEDIKNEDGLVEVEAEKIYEGVNSIDR LRLRCNSLLQDYNDKYPAKKMSLVLFDDAIKHLLRISRLIKQPRSNALLVGVGGSGKQSL TRLDADILKNVCYQIILTKNFSEKDLKEEIKKLFDWAGHLGKQVTFILTDSEVKKEEFLE YINMILSTGEVAGLLAKDEKEVWLADVRNDYVKEKQLGNIDPPQSDLYAYLVDRIRDNLH IILCFSPVGQKFRDRSRKFPALFNECTIDWFLPWPEAALVSVAETFIKNFKELDTTNDIK EELMKHMGNVHIMVNSVCELYFQKMRRQVYVTPKSYLSYLNSYKELYLKKYAELDLQENS YKIGLNKINEAAKQIEKMGVALREEEAQLKEASEKTEKLLEDLEKESKKANQKNDEVEAT TAQCMKQAQMIASEKQAAEKDLAAAMPALIRAQEAVDSLDKKDIDEMKAMKTLMDIMKAI IDSIVVYFMGKLLPVQSFTVKISKVDYQFLKDSFDEGGKSVLFDMNFLNKLKGFEKDGIN EETIELLQPYMSQEWFSEEKAQSASKAAQGLLKWVKAIYEYHEKSKIVKPKKVYLQIQEG RLEMARKELAKAEKDLNEIKEYLAKLKETFNKQMEEKSFLEQKSNKTKKRITTARQLIES LSDEKARWGQGATENS >CAK75138 pep:novel supercontig:GCA_000165425.1:CT868207:390927:394481:1 gene:GSPATT00001341001 transcript:CAK75138 MQNIDSYWQEIISGVPVTPGLNLTQFLVDDATVGEWNLQGLPKDELSIQNGIMVTNSTRY PLLIDPQGQGNYWIRQKFADKIEPFRCITTLNHPKFKDNFLKPCMQDGLCLIIENIENEV DPMLDPVLEKQIQVKAKKNKYIEVGGTQMDFDDGFKLFMFCRLANPTFSPELSAKTTIID FTVTQGGLEQQLLGKVISKEQKALEDSLNQLLADVNQNKKDLQRLDKNLLERLTQSSGNL LEDEGLIEVLGSTKTQAKEVSVKLLDAEVKTREINEKREQYRPVAIRGSALYFTILEVSL INWMYNSSLEQFLKLFNDSIDQSERNTLPSKRVDNIIKYLTFHVYKYVNRGLFEKDKISF ILMMCFKVKQTDKKINAGDVSQFLKSGAALDPKTEKQKPFQFLDEKQWLNILALSRHHFN GDQMAFFRDLPESISRNEQQWRQWNDRNDPENSPIPDFAERIAADKEIGPFISLCLVRSL KEDRTLVAATHFINATLGKEFTAPISYPIDSIWAESSKTDPVLFLLSAGADPTSSIDDLS RKKRKVFCEKVSMGEGQEEAARRVIKNGFETGMWVILQNCHLGLKFMEEIETIVNPEATI NDDFRLWITCEQHPKFPLGLLQKTIKVTNEPPKGLKAGLYKTFTTIITQEFLEKVEHPNW RSLIYTICFLHSIVIERRKFGPLGWCVPYEFNNPDLEASLAFIEKYLNSFLSGPPSQSPN LNLNMNVIRYMICEVQYGGRITDDLDRELFNAYGEDYLKEAIFGNEYVIAEAPFDAGGGT KPTKFQYKIPATTQMPELIKYHDVIKQLPDIDNPEVFGLHVNADITFRKKESTEMIITIM DTRPKDSGGGGGKTREEIVQDKARELLAKLPSDYVDLEVREQVRKLAGPKNLPDKGLTVP LNIFLYQEIQRMQIVIAICRKTLSDVIDAIDGQIIMTPDILEAINSMYDAKVPLTWVYDA TGVEISWILPTLGAWFSSLIDRNKQLNDWLKSNRPNHFWLGGFFNPQGFLTAVKQEVTRM HKGKPGDKDAWSLDDVVQSTQVKEREYEQIRDIQSEGVYVSGLSLEGCKWSRNGLDESEP KKMFAPLPILYVTAINKKKGADAEKNSSTYSCPVYKYPRRTDKYLICRVGLPCEGTGAHK WKLRGVALLCSTE >CAK75139 pep:novel supercontig:GCA_000165425.1:CT868207:394928:397644:-1 gene:GSPATT00001342001 transcript:CAK75139 MKIKLKYYDDWGEEQICIIKVPSKGTVDDLQAEIQKVTQIEVCNQKLYLFTKSQMMEIEK HSYLSSIQFSPESIVFVKNVDDQFSINSSFNSQKQQQQFKSCNSNSLAADLQNTLNDSFR NSFKNNQFKSSTNINQHNDIEKFYEFIQTNNFQKAKHLLDNYDKNKTLLLNDLSVFGWSS LHVAIVTGYEKIVLWLLSEGADVNLETNDGWNCLSLAVCLKLKQIVLFLIGQPDININKA SKHGTALHLAAQIDDADITMSLLQHPNIDVNIQDKTNRRPIEIAGTQVRQLLKYENELKL NTVYRNSFMKSMNGINLEDLQKDTFIINKPTRPPILTGKVLKVNYFKLIMYQRFLIADPD SGTLVRFKTEKDIPNNPNEVIALDQINEIRVAKDEWFQEDQYHYLEIKYSGNHLFIAFKE TNAARRWYEGLKNCVGYARYINQNFLIRGSTDEIKNARRAYNLMLQKPNQIMNILDSPAI EQKRYIQQQQKAKKEKFKQSLASVSEAEYEIDLVDEKGSQKKLSNIGLSSLSSFELIGKG SFGKVYKAKYKNLYCALKQQEKSMLIKHDFLNYTLLELQILKTIKHPFIVRLYFAFQTQN YLYLATELCPAGDLARYMTRGKILDEYTAKFIVAQIVLAVEYLHSKSILYRDLKPENILI DSEGYIKLTDFGLCKQGHVGQEIIAKSFCGSPAYLPPELIEGIGSSKATDIYQIGTILFE MLTGYPPYFNTNIKTLIENIKYQPLQIPKGISATAADLLRLLLVKSPKERLAQVDLHKIK KHLFFAEIDWTRLQAKQYKPPGLTLRNNCNNSRNGFKFLENKNDLDDIDYKDGQEKLNFI QNWNISFI >CAK75140 pep:novel supercontig:GCA_000165425.1:CT868207:397831:400374:-1 gene:GSPATT00001343001 transcript:CAK75140 MGCIGSTSRNLQQPTFSAILTEIFELVPREETLKEFNKKSEIMKYFNEFDWAKIQPQFKL EVKTLIDHIESYKIRLIDSALYVESKIKLSQNPIIIKMCMHILQELILNLDKFIQLKEIP VQNQLYEESKIEISQRSIQIEHLQTLTQVSDNFLKFELAFSNIISQLINDKMTYAMQEQY NFLRVWWSQFLETLESFSNKKANKQFQSENIQCILTFLEYIIGTKLGYSDQFEWVTKTNE EMSAVQELLDLISDPFVVMSVYKNAFLRIPQERLFVTKSQKALFNILMKDHKKFASQPIW HKLTETFLERALNQQLYEELFQMLFNNFDEDYWKNPQDIFDITFLVLEVAKEINQLSNTE LFIFTKLVDYLDSPNDKNPFESPSAPALMKKTSEYKSFHVTSNVKNSIIKLLISLFNSIE TNQFILFQQTQTIKAVLNNLRINPDAETFDDTKLCLIALLKQISSDTNSVQSFIQQTLTF TYHYNLSLMNNTAINLDILINLLIILHECILKIETFNSTIIITEEMIVMLIEIAEYDHQI SLKCAQILTSLFLQAGKINSNENNEINSYIVPNSNIIGLSAYFLSNSVLISYNEPEYVKT LINQFFDFIPGRLTNQQIVSIIAYLIDDSTIKLGNSKLTKSNRKVNFDIYKDKELRLCMI IKLISFLDDEMSKDFNELLYSFLGAQFQIYKKKGFGAYQDLASLLQKNNINTEIQEILSK CNSISTIDFEKIKKQFKDNLSNQNNQNGIINLDDIQVKEDNERGSILNQSFQAPSHIELQ LDESFEQQLQTIKKQKMKQEKLEHLTTEQREMMEY >CAK75141 pep:novel supercontig:GCA_000165425.1:CT868207:400561:402005:-1 gene:GSPATT00001344001 transcript:CAK75141 MINLVEGVFVASDESNLAYFDLLKSAYNEGNAALIYNLFSRDTQKATSLEIFSSQIEAIR NRQGIISYWVYSTVDKEKPSVLLGFQKHLGTGTLFWSYDQKGKIASFSMNDHMQPSMIQW IKHYPNKFSFSVIADNKVIADYFGYREQTLMSVFKIIVAIEYCKQLNEGKINEEDWINLN EVNKFYIKELDLSHANFLQIWEQQGKLKEGQLQLKEIAIGMIALSSNACTEYLQTLLTLQ SIENTVDQLGLKQSQIFYLSSFLSVFTKDEQSKKEEFIQNIQKLSQNEVYLKSMEIHDQL NQNNDLAKDYLSRGKQLLDGEVLKIQSKYFTKSTTSEYSKMLDKLNNGFWFNEQFYSHFY ALMGYVSMQNPALAKEYDHIGVKGGSSAIQGDDFCVLTIGLFQQLKKAVPFKRTAVAFFI ESLDYETEFKLILEQYNEFIALISLNGLYLDAVSKEMAQDQILL >CAK75142 pep:novel supercontig:GCA_000165425.1:CT868207:402486:404259:1 gene:GSPATT00001345001 transcript:CAK75142 MKPQICLLGIQKFAKDKQVLKQLQEQLGDKFIKPSEIIKPKMKPFCFLQFSDEDQKNVIV KLIIKNFVKLIEDNPELKLRIGEVHNENAFEKQLEKKIKQQKQNEDEVKQFQEKHQNRDV IERKDNELALQTAPLYQVKYEEQVEQKMAELKKVIKKLRNQFIQKNGKEYAPDWILDTNL IKLNDPITCDEQFRFNYRNKCEFTISYNSQKEVCVGFNVGSVQKNNDSVEQPNTCPVTPA VAMEIANKFQTYLQSSKFQPFNRQNGSGVWRMLSVRKSDRTKQLLISVSINKDNLQQDEY AVVCDELMTLFSTSYGEFSLQGLLLMHSNKLGQDLASSADDTKILFGNSYYQEIILDKKI NISYNAFSQNHTPQCDKLYQVIIDLCKNKKITKFLDLCAGSGTIGICVSDQIQDILAIEM NKSSCEDACENYKLNNLQNCQIICSKVEDVIKKVAQDLKSFEGKQQLMACLDPPRAGVLN NVIEAIRTCKGIDHIIYVSCSPPQVIDNLIQLCLPQCKKNKAPGFKLVNVTLVDMFPQTS HFEAVFYLERDYGILSELM >CAK75143 pep:novel supercontig:GCA_000165425.1:CT868207:405006:405518:1 gene:GSPATT00001346001 transcript:CAK75143 MSDKLEYNNQLVQYGFQGKQFPVSIKAKQLSNYPLVMASLLVITIKLQKQKQFPRKIQLQ SPLNRKISNHSFKKSLSIKRISTPKRKNPTYEDALFITLKPLTFNQINDSRAQTAHQFRP EQDSLKDTGKLWSCQSKRASHFFRSRNRSAHCNQNQQQFKITRNGRVEFL >CAK75144 pep:novel supercontig:GCA_000165425.1:CT868207:406055:409470:1 gene:GSPATT00001347001 transcript:CAK75144 MASQLDENLLVGSTLSDFGMTAKELSNLFISESVRDGSSLVQLGRHGKIDGLMKKLRTDP KKGLDSSNINDMELRVKNFGDNKPEIKEPKALLEYILENFEDPMLRILCLAAAVNLIIGV WTEGWKEGWMDGMAIFIAVIIIVSVTAGNNYVKDQQFRKLNAIAENRNVNVKRGGKIVST NIYELVVGDIMIVDTGEKLPVDGVVIESSDLTADESSITGETNPIKKNVPANPFLISGSS IIEGTGEILILAVGENSQWGISKKLMTQQAKDDKTPLQEKLGILADQIGEYGLKAAVITF IAMTLHLLYDAVFNEYPLFSAHAIKEILNFFIVSVTIIVVAVPEGLPLAVTIALAYSVGK MKDEKNLVRFLSACETMGGANNICSDKTGTLTENKMTVTNLYVEDTDFSKLDPKAIKNST LELLCEGICLNSMAHPQIDESGKFEHIGNKTECALLEMCYKFGYDFRQIRQNMGEKIKKK FPFSSEKKKMTIILDPKGDRTQFKIYTKGAPDMLLDKCSHYINAEGRAVVITNDYKQKIN SIIKNYASQSLRSILLLYRETMIQGRPSKPEEFNNVEDLIDKSYTIIGVTGLQDPLKEGI VKAVQQCKEAGVTVRMVTGDNFDTAVAISKKAGILPPNYEHHDDSLAVMEGKTFRQMVEG LGYEKDEKGNEIPKVKNLQNFTTIAQELRVLARSSPEDKFLLVTGLKQLENVVAVTGDGT NDAPALKKADVGFAMGIQGTEVAKEAAGIILLDDNFASIVTAMKWGRNIFDCIRKFLVFQ VTVNVVAVTMAFLGGVFLKESPLTSIQMLWVNLIMDTLASLALATEPPTDELLTRKPYGR KEHMITPGMWRSIICQAAFQLFVLLIILFSGDSIFGIESSRGHRLDEEYNPVYQEHYTIF FHIFVFLQVFNEINARKLKKTELNVFDGFFNNYLFIGVIVGTIVVQILIVQFGGKAIKVT PLDFGHHVACIIIGMCSLGVGYCIKQIPDQYFQSIELFKEQVAPEADPDTIQGKIKRPST FLRKKRAIENKQPRKGSQEIEMKAGNSGFKQ >CAK75145 pep:novel supercontig:GCA_000165425.1:CT868207:409518:412520:-1 gene:GSPATT00001348001 transcript:CAK75145 MANIHRLGDDNDQQDNYQNIRSNGGSQMMSMFGGIDGDPRGENFFHMLKKSFCPKLKPIS FTTIISALIIILYITMLGVGGVNKQDRFLSVYQKTLKDFGGNDPNDVKYNFEVFRWVTSL FLVTDFYNLVIAIFMIIICYSIVEATQGLHLTMVVFFGAGVSGAIFGDLCNICKYTTYSE TFACIYACVGFLIGYIILYWQKLDALGDMKCALVCFVAMVVIFVMMFTFGSGQNSFYDNL GELGGFLGGLFIAMSLIEVPQSGDYESICRKVGYGFLGVQVGLTDHIIMNNLPFNKWTLS FISKQYEDLYESEMIKYRQSYFRIIDVTILLLCIFFFIFYLLQGGQIVVMVILAFSSLVI FLALIYAQKFASKVGYYYFLMYILSLAISLYIASQSQQKQQFLYGQATAIIAIIQFLFSH IKLRMLTLLIVPAVQLYILGLFTVQDFGNIILTFMTQFLILLYSHYNEFMFRLAFSYMIY NLKLKDISQGYIPHSFIAISLNARNNSFQLEFQNQISQTNLNVEDTKTLIEMLRNTYVVP KGQIPTCVDLQNINIQKSSELSIRRLGNKKQTLEEFAFYKCKQNSSDEQQQSNEQTEQME GVFYNQIKGSNIIVNIDIKSMNYGKNYLLIVVKEEKPLQQLPKSEEQIRFLSKVIQYASN QLSVAHQNFYKEIIGLKLSSLDNHKFWQIKCMNLSIMNQFQNFFFFVHSASINNALAAYS KINIKTFLFNLQQHFSYMSMKQKMKFHYQLYLEDIKVNVNSKFLSQIIVNIFEQCLKQAN SNSTINLNITNELNLNPLQNNNTLEKKCQQDLEFIKKVADESQQSQSKIEVQKLIKFEFS FLTSKKIDLKLETNLILNPQTYEDFHFNNSQELQLNQPITNFLLKKIGPYNSIQYSQSIY CGQQCEQTLMQYPPMQDLIQQQTLYQNKISFYIYTDQTQLTQSFIKYTQQRSFLQA >CAK75146 pep:novel supercontig:GCA_000165425.1:CT868207:412759:413379:1 gene:GSPATT00001349001 transcript:CAK75146 MNIKHPVELLKKKIDPEAIMYKFRWSNNSVSIEPMTQLTPEMLVLVHDYELKQLGHDPQE PKPQKHIKQDTQPITQFANGKKPEEQKREKKSNEKVDKAEKVVEKPQEKQKQQLSQKDLD NALNNNIGNGPHKPRKSKPCQVKQVRHDNGRVDFLVCFEDSADQKWISLDEMKEKAPVAV CEFLLGKVKYGGTMNKK >CAK75147 pep:novel supercontig:GCA_000165425.1:CT868207:413776:414419:-1 gene:GSPATT00001350001 transcript:CAK75147 MLEKSKIKQILLDKSLGQILKVDRPIESIQKDEDITPLKSFSQHFHTTAGREFVSIPCFK TRIDQNSDSNWKFIRPRTPSISFNCKPRVTIFDEKRDISQQQGLNISLSWEPIQKPIPLN KQTKRKPWFTLQVLHDPVADYQKPKPICFVNYTKATYNKERPKYNHQIDDGKVAQTYLKL KPNFQRRYIDFSKLVNRKNASPSLIKF >CAK75148 pep:novel supercontig:GCA_000165425.1:CT868207:414444:416469:1 gene:GSPATT00001351001 transcript:CAK75148 MLSVLPDKIGKAFGNGTLSISSNRNNKLAELINSKYTEDLVEAIRILYCQYLQNKDLTLY MSRIINLLPREDLELKRLCQILISEFAAQANARTSKSQGDVLILATAPIFKDLTNLNTSI KLNALKTLSSLRIQELTPMLFTAIRKIQADKNPLVRRSVALSILKMHDLCSDDVQPDDYN DIINFLLKDTHQTRLYAIYVIFKITGDLSILHKYYFQLIKDLLNFNNFDLERALQLLYQY SVMYFKEGDKDLIKLLDACEILLRLPYPGIVLAISRLYLQFPQESRIKKLIRTVVKFLNI GSESKFIILQMLHQLALSYPQYVKEHYRALSILQIEKLYCKLLKLEILSLLIDENNFNNI LEELLYQARSNQPQLVAVAVKLLSKTTIKFPKFIKRTIKSLLDILKTGSSDTKNHVVASF CNLISTQDNKLPLLAICLQNIDDISDIRYKATVIKLLRQEIEQIPTIAAENLRKLSLNFS QQHENVKYEILLLSHQLQTIHQEQQVELLHQYIMTLAKFDSSLLVRDLFRTLAKSVDFKE DNVQEEEKSSAPPVETQKLPIGSLSHLVGIHLPGLKLPTYLNEDTSEKRKAEIIVAPQKV EQPQQQQQQQQQKQQPQSQKQQQTQQVENKKEVLKKVEEDVKKHYQNLDQVLNDFFTD >CAK75149 pep:novel supercontig:GCA_000165425.1:CT868207:416529:418701:-1 gene:GSPATT00001352001 transcript:CAK75149 MQFIKDIFNKVVGTQQPLVEQEIFDFVILGTIFKQDEAKYTKLYLNFDMLRSSNSEFVFD KLTNDEFDHILIVRLHRASKSYNNAVGSKEGDFYFNISFFKSIQYQPLNDSIDEFSIDLI DIKGIKWSVRIHFPKNTKQDNLKILNFKLYLNKLIWIQKTKKALPKSITELDNLIPSKQC SKFEMPIQVHQKQMNNTYMRVDRSILSLSTTRTILDQETIGDVINYLLQQDDNSIQQIYA GKLYILYTSSAEIRLISPLVIMTLNIASNTESRIELYNQDRQLLLIQSIKDLANFQVDTI ENFLSWVNFDGQNQIVLYLKFDTLDGAKSMASILSKSKISMRKKQNLLLETSSSFSQISI TTINVNESYNQQVQFKKQKKYIYKDDLSTIKGGLKKATTKKILQNEADTLIILEDKTISF INYNRTYQINQHIKFDKTYFDSNSKKLVLYIQFQYVLHIIDLGTETHDIIKLNFQIADVC SYEKQFILLGNQSVYVLIEGKSIQKINEIDQNLIDNYQIIRCSINGSIIIGKFQGLVLVF DNIQTMKQVNTFEGLGDLIQDIVLSTDEQFIIINNSQYIQYQQIITNHQNGYKQKLDMKP SPIIIQLHPEDLILMGIYNYPNFQHVRMDKKNKVIAAQFENLQVIWNVQQITHQQQNSYQ IFILPEEIFDQQYREEDIIILSESKIFIRECS >CAK75150 pep:novel supercontig:GCA_000165425.1:CT868207:418715:419607:-1 gene:GSPATT00001353001 transcript:CAK75150 MNNQEEDQICNLIHKRSLSRQDNQIYAEDVDKEMVTAQIKSIQNQQIRFQFHQSNKQLVP EKKYDNQINDMVNMKQSTFKSVFQQIQHLTNEQSKKRVPITSHKMLKSRTRLISCSHKVR ERFINILKQVLFVAKYKCRVNFWFEYPIKYNNSFYEQIIEGNYDKIINTLSEDPYLVHSR NKDGQTPLHIACICNNALLVKLLIKNCSNIEALDNKQLSPLYYAFKSNSNDCFKILLNFK AKPWSPPGGKLDQNQMNVVQKNLLMHARLIYIFTIWKIKK >CAK75151 pep:novel supercontig:GCA_000165425.1:CT868207:420733:421360:1 gene:GSPATT00001354001 transcript:CAK75151 MKQAIQRFLLISKFVFRMIPNLRIVECNIILQNFASLYFTIQEGYYGLLDIPLVSVAHNS YLPNESTHQFTLILDMDETLIHFVNQTKSMRLEYLLLDQEIMQIGFLTKSLLINKSNLDY IDNMPYLSRLGRSIAKCIIIDKIAANYQHQEENEIQIKIWCNDPEDKELVKVGAILRQLA KGNCEDVRDALQMYNKAYVQ >CAK75152 pep:novel supercontig:GCA_000165425.1:CT868207:421411:424779:1 gene:GSPATT00001355001 transcript:CAK75152 MSSFRPQSSQVISVKSPSRIIPAIANMGRSYVIPAAQNLPTTVIVKEKNPGSYNNDKEVD EGARDELELWKKKYFSLEARLAKYEIDNEIKDELQSRILGSQEDVKKFQIDYTKAQADLD NQKSEMNKLIIENQKLLNQQVEVDRLKKLVAEREDTISQLLQKCKEYQEQLQELEAFQQA LQDMHNQNQGKEQMIESWKQKYTENDSKKQKEIDDLKSKLAEFNPAEVQNLKDQFQRERQ ESQNQLKKQQTDLADALKQLDQWKIKYNNLDLKHQQLSSAQKESIARVETLTQEQNKLST QIHQLNDDKQKLQIELEQTKGQLKLHQGLIGELDRIKRLLSDKSTELQQTLQQSQQKDID LNNAELTISELNQKIKSLSLLQQENQRLQNEIEEIHRENEKLSQDNSQLLKDIDKFKLLE QEKQQLESKVSMLASEIERLKVQLKQKNEKILEQQEDLKNLQEQLREIEELENQNQQLLK DLEQKDKIIEELEQKLQELNVLEQKLADANNKIYDLENKVAMLSAESQRLRYLNDQKTEQ LKNAEEQLSDLNILKEKLSQLQNKYDAQQQVNQNYQDELEKLRGQSNQANTNIAELKRQL EEQKAQNIVYKQSNSESVIAELQQQLSSLQQSYKKVSESNLANEEDPTLDLQNRLTLLKQ ENQRLNQTIQQKNQEVLNHQQQNQNIQKELLELDSLKVQIKELHESNNVLQEQLQNEVKG RQDLEQKIQQTESEKYDLQSKCAMLSTHIEGMKYKLDKMENVDDLKKRIQELEGQLAEMQ ALELEIESLKDRIAELEKELKLWKQKHDQLDQSYQQLQMTKEQMENKLAMLSSEIERLKV LNKKKQDEIDAQNQELIKLDQEMNDLHNQLEDINELRTELGSLQNQLQQQIDDNQDKLNE ITHLKQQVAEIEGLLVNQEDLQNQIKKLQTESESKDEIINQFKQKLTQLESKIAELEDIK YKYEDKMALLSSEVKRHEFKSKKLEDKSNELTTQVDHLTADLNEADSKIVELEKDLGQWK FEYSKLNSLQYKIDEYSFLLVVSFAEIEALRSQVNSLNEQLNGHNKTKVALALA >CAK75153 pep:novel supercontig:GCA_000165425.1:CT868207:424817:425419:1 gene:GSPATT00001356001 transcript:CAK75153 MNKILRGFSTVKSMKFIKDKQPIIKISELPSGIKIFTEQTAFPFASDIGICFKAGLRNEL PSETGSLFSLNQHMYHISENDCLENLQLSKLFSEQTLRTGCMLDQTYDSELSYWKCQFIQ EDFDMIVDVLLKLALTTKRIAKETRDQFSQMSIPQERVLNRNIQMTVDEAIKMAAFGKHP LANAKTSAQILPKQEDFSRF >CAK75154 pep:novel supercontig:GCA_000165425.1:CT868207:425426:426267:1 gene:GSPATT00001357001 transcript:CAK75154 MLTSQDMIIGYAGVWSHEQFREYIERKLVNHQQFFQRQLRKQTTPEFLSQAYALSDEKND SIDIALLFKGNKWSDKNMATQHILNQILGSSSSWSTGGPGKGMRSRTTLNLMQSISSVEE ASGVSQLFSDAGIFGLRVQGPPTSKQELYSCLIDEFRQLGQPMSDEEFLRGKNIAITLIN LNLERQADRLEEQIKTTFLMGQNAVPLYESLLESVTKEELQDYIKNLINNSEPTLMYYGK NVQDIPTLQQIKRNLRR >CAK75155 pep:novel supercontig:GCA_000165425.1:CT868207:426518:430139:-1 gene:GSPATT00001358001 transcript:CAK75155 MTTQIKKLSKLDHTVPIYSPRSTYKQILHTDETLLADLTLNFDPFTINVLRTEFLIRQGS MEVTEFILVVKEHLLSWQLEISNRDIKLIRQLVNLFEEIDLNGNGKLEWEEFTNYIIEKA TVLNNIKSKQDEIKLYTKSNMKPYKKFTQIITRVIYIKEIDKIAFFEEGSDEIQFMTPDG GFGLKPLKIVPISDKVVTTQAKKDKDKQNNQFIIVKKEDTIEKKTQILAMLYIDDPKYQI LLTSTHDGYVRGWRYQSSGFVQANQPDNDEEMIEHHFNNDIYSMTWDGINEILYCGQKEG QINIWNLKNDTEIQFEDISHTDVVMDMIAMPKLQFMASASLDHNLILWNTLSKKRERTYK EHTRGIVSLAFNESLILLFSAGFDHNLCVWNPYIESLIFKIQGHSSPVIGVLVIEGTSQI VSLDQEGIVKVWDTKKFNCVQQFSVETQDEKHKFNPSSLCYIPKPLKLIFGGRSIYSYEY DKNYNPNSVDDYVAVCCKFIENQMAFFTPAGTKIKIWNALTGDVKKIYSDITTTEITAFK LDNLDKRFIIGDSSGTVALFNVINGAKIKNLPKHSGEVVTIVHASDIGAFFTGSMDNNVF MSLDNEFGESELLRAFIIQDGQLTYLNYDPMMKHLLAGTNSGQIRFYETDTNKLHGVANE FKQGEEITSINLIKGIPFMFVTNSQGRISIMSMPPVLHRFVKIFTFTNVDPEIPSQLLGI SNAVFSYEKKMLFLSDDKGFIKCFEVDWLVDFLIHVVNEKDKEDVATKRIKGLKTTQNAR QMLVLPKIAQREVKIKWITRAHYEVIKSLEYVEKEDFLITTAFDKKVKLWDAETGKFIDS FQQNYDRKEPRPIALKRSGTEEIYNVELNERVDLKYTQFIQQQQSEEQTGQAQQIQYIEE KIQDPLGLIKSPQEEFNPFFQLEKIDQSKLTTLKSNPEWKLEIGFKEYYEKYEQKIKTLF DQVKMKEKEVHEKQVKQGIHNRHFKVQNGQLEEDKQFENNHKPIIKDDEANNNHSNYQQA PPQFNQKIRLEQVLSRYKVQSKDQHPNSQRGNQQMNLDSLINQSDIVKELKQQHSDYQEN YKFGSKGGNLTPQGKSTQVPPLFKSGVQKQAKKVDPQLFEKLYKQNLKSKAICNSDPKIF LSEAECNAASRFVTVLEIYDITDERSQKFKEIKCRSKVKLPRLQ >CAK75156 pep:novel supercontig:GCA_000165425.1:CT868207:430456:431637:1 gene:GSPATT00001359001 transcript:CAK75156 MYQSKVKNYSIMKELGKGANGQVYLAIRDEDNQQYALKLQQSEITKYELEIIKYILLIYL IENQEIMIQKMQQEVMSFMEYYCIAMDYCDQGDLQNFLKVHRNSAKIQEIRDMIFQIAFG IWELHQFNIIHRDLKPLNILMHIQDNELFLKICDLGLSKISKGIDQHTVNIGTPYYMAPE LIQNDDDLNYDSSVDIWALGVIIFDFFSEEQLFSGKNINNIFQNIRNSDFTHKLDKIKDK ELREICEKCLKRDPKQRVKVEEILDCLNKQKFENYQKILHAESNQIAQSQIRISNTITQN QQDPKYIQSKVQQPSQILEQGSQYHKQQIQLQVSILSKIRDKKFANQLVFMLGEKNEAEI LKELKIKGDQLIENSQAQQ >CAK75157 pep:novel supercontig:GCA_000165425.1:CT868207:432146:433157:-1 gene:GSPATT00001360001 transcript:CAK75157 MRKKIEKTKKKPWTDYEDSQVIQLVELHGPHKWTFIASKLPGRIGKQCRERWHNHLNPLI KKQPWDPDEEWILFLYHKAISNKWAEIAKHLEGRTDNAIKNHWNSGMKKRISEFIEKLQN IKQQFILKGLPSYFDQFQIEFEKKALEIIFLNKTYVSLITDSEEENEEPKKQPVLQSKES TALRKQHYNDSKIRTILKHPRRNRMHKKYLLFKKQQKDGANSNKQYMLQWTQTPQKYEND DYYYTPAAFNKQRRLSHSSFKYSQQQSYLNESFMEREELKQNLFL >CAK75158 pep:novel supercontig:GCA_000165425.1:CT868207:433823:434985:1 gene:GSPATT00001361001 transcript:CAK75158 MSNPSHLKINSIVYIIQAPLGQGTFGKVYKAQQFSSKKVVAIKVQNQINESEKALLLQFK EKNFKNLVNIYDYEEQHNHTYIVMEYCSHSLYDQIQTNTINPKDTRYVFKQISNGLYELH SQGLAHRDLKPANILICQLQDRGHVQEMYKLCDFGTSKNSQRLTTACVGTPYYLAPEQLQ QQTYDKSVDIWALGAVMYELFTNTPLFSGSTVLQIYDKIKNYEINAQIDNLNDLDIKYKN LLKSMLKKNPQERISIEEIRQKIEERVSMSVERKPQTNPANCQFKELKSLNLSKPINIPG QIQGQKPPLNLNVYPKKQPQFQQPNNTQPPILQNQLQNFNQNTQTQNQNLKQFQIPSEFQ WQQRRSVPELAQNSSKIGK >CAK75159 pep:novel supercontig:GCA_000165425.1:CT868207:435055:435544:-1 gene:GSPATT00001362001 transcript:CAK75159 MKILNINKLQNQQKNITQSLLQEFAEKSSKTFISSIKQAYEERNHLNQVIIKYEPIINKI YAEVLGFKMQQMKDFIDNSDTNNREQIVTLIIHIEDVLQEIEKHFAIPYDKKYIEEVCKM LYQFVLVYEITIPEVVQVEGDQNSSCRWCQKCTIS >CAK75160 pep:novel supercontig:GCA_000165425.1:CT868207:435587:436284:1 gene:GSPATT00001363001 transcript:CAK75160 MKYHRMNMEEQYSSFEKTIDYTIIVKKEIQNDQDEDTGLLSEQLETCESHISSNSLTISN SQINLAQAQEQVGPLEQKLFDKSHQAEDKEGEEQRNK >CAK75161 pep:novel supercontig:GCA_000165425.1:CT868207:436315:436684:1 gene:GSPATT00001364001 transcript:CAK75161 MIADDQREADFVKTKTCFEFANTRLSTKIAELRADVQYLQQQLLELNNNIAINQDLVNLK TKENSDWQQSCNDAQNSDNGLTDYRNQQIVIIDECLSLLQGHDSEIVQFIQKFV >CAK75162 pep:novel supercontig:GCA_000165425.1:CT868207:436826:437216:1 gene:GSPATT00001365001 transcript:CAK75162 MNFMNTHSQFNFSRKSMPRPLISRQLTREKSQPNFNPITPSTKFRISTTNQQLNREKIQK ANGNYFSQHDDTSGSTKMSKAHTQSILKKHEIEFKQSLFNQEDREEELQFTLLCQTLEKL L >CAK75163 pep:novel supercontig:GCA_000165425.1:CT868207:437288:441111:1 gene:GSPATT00001366001 transcript:CAK75163 MYRQYDYPYSPSKSSNTIDDMEQRLNAVINANEKLISLQTLLLKEKIQENDQLKENIDIL YNNNQELSEINIQLCEQIKRCKNQKCASLHQKNHNEMERELTQLKELIVSKDRELANYQQ HFEEELQLEITQKDYIDKIKGLEEQNQQLIHELDLVTKKLIESQRGSLNNYDNNYQTQQY EQIIEDLQQKIEQLSKFLSQKDEELQQNNNKQYLVQIEQLQIEKITQSLQLEEYKNKINK LQQEQEEKNNIIDQLKKNYSLNSSRNEIIQKQNMIQNKHQYIKIDQNVLELSDDIETTVK RRTNFDYQAGKYNQSGKGFLEQKSPRSQFSDQDSYLFLCEKLQQDLQTEEKQYNDLANKK QMADIENDRLINLIKELEYSIQEKQLLIEHQDNEIKSNQRNIRKKESEHKKTIIQQQDDM QIYEDKLNALENLRKEELRIYEQQISQIQSQLKQKDIELKKLQDQTKDKHKLQAKIQSLI EENKEIQQNIQIKDQKEEDLKTKVALLQQQLKTKELDKSQNSNRIYDLESQIIQLKLELE QNIINAQLQTEINQKNEQQMKLKEFQINKLQDQLKEASNKQKEIITERELENQRNYQQID EQNDLLRKQEYEIKRLQNLISQRPLEKNLNIQSENLVLKEQIEELLNQEANLEQKVIILE TQLKGKDQEIIVTSQKQILSNAELQTNMKKQEADFNDKLIEKEQQIQKLIKENQSLNQEN EQLLHKIKNHDSRNAQLEMKEQQELESHRLEIQKKIDQIPLSDDGTTLSELNSYRMDELK TFASQLESQNKKDLIQTVLDQKIEMVQKNDQLNNQVQIIEELKSKIKMNEEELKDQRELV ESKQKQLAELNSFKQSISSLENQLKVKEQSLKKAQEENKELQQQKQIVIQQKKQNETQQQ ESKKLQDVIQNQEQQMKTKDENLKKLQDQLRELGKKNEQLSKDLNQNKVLKDEVEKYKNA LNQKEEEQKNLQNQISNQKKQDDQIKKLQQQLEKETKTKKEEIEKLQNEINELNQELQQA QQLNYNQKKLEDQVKKLQQQLDQQTEKSKKQLQDSEKKQQNLQNQLKETAEQLSEWEEND LTKEEQIQKLVRQVEEYKKKEEMFQKQGKTVKELQEQLKQAEKVNIELQKEKKNSQAEKN GQKENLEQEIKELKEQITKLQKLNNELVIYENIIQVDVRKMQELQRKIECLENNQSQQGS KQNIETKSQGVTPRKQIRGSSVMKQNQDQISQMTLEQLQQHVKKLEQIIKEAGLGHKIN >CAK75164 pep:novel supercontig:GCA_000165425.1:CT868207:441152:443856:-1 gene:GSPATT00001367001 transcript:CAK75164 MMKRINNSKLTIGFKQIASMINHSTNFQSYIPDDSDKLNDSSLSLSAYEPSQVDIMEQVE QTDDLPAAQKSRIHQQADLYLNQIKNYFGSQKLPLIKLDRKGLLQKYQCVTNLTDSLLTY YSNLEKSFDLQNQASLLSKLSKKEPLSTLDQIDTTQQHKILFQELTNEQQLLLNSFIQVQ GRNIIVPHWNNIKQQVKQLNQIFQQQRYQILKVAQPQIQSIQKTYLDEYKNIKKIKNQTQ SQYDEVFRKNQNYEGQKQLLEKDLESNNTQYRELKKNKTNPEKLIKMEMSIKLSTQQLST IQHKLQSSKYELKELQVAITKTNQQLNEFKDNWDRQFIVMYQTVIRSLLQTHYDNYEQFL KSFKNILKLQNALNDSYLSQSQTNPPNLLLHRQSRTVSMDEIDPPRLMRNEKEELQELIK KFKFYEDQIHLFEKYDGECLDFFVEIGEVIKKMISDEIKIHLVQLKDIWITDLFTKSFPK DKQFYQNLFAQIKLITNNFIDDRTKLYKEYKETQVSVKERINNITYLFNCILKDSEKFNP GKVDGFTDYQRMKQQFRQNYLQYQQEAEQLKSKLSQYENKYKQYIDQHFEIISKTKFQFI LSFATLSRSVVNHTMKMIDEFDQTYQQQDQIVKSLLDHQTNISFTPKEIQINQTQSLLHN NITTIPKISSRSNSQLTRQPNDTVNSMKETFVLKQLIRVLFLQWTKSIYFRQKFMTELYR SLNKKRPSQLDEIYVTFIDIGGEPPEVLQFIPQKNTDSCIFDLELSFRGFFYMELETFVT ISWAKKHLPVTIKVKITSLNGRLRLCYTPNFIGRSWVSFVGEPSMNISIEPTISKYNISV ANDIIKEFLIYKIKMLTYPNRETITVPLAEFETEIPQSALDKIKQFVDKMKN >CAK75165 pep:novel supercontig:GCA_000165425.1:CT868207:443930:445749:1 gene:GSPATT00001368001 transcript:CAK75165 MQLFWSKRGIKKAIDIINNAVINFIILYMSIKQKLYQTWHRFLQTNFNSYLRSAPTPGKQ RLYKHVDIQNEFDKYEKERRKQRLQLIEYKNKLKKRVLEKEIALIKQFESSQIDFVSTNA SLENSNISKDVTMDEQRRQSLLKELEEINNQLTQIDKEKEELKILENDIAVLEPNMVILR GIEKKTVLTMINYIEFQHRHVSGLVLNPETMKNIETVHYHVMNNLPIQREKLYEVVPELF QEEIGELDEHKQRLIQENRPTLDVQETSVIQIIEKSRIPESDDPIVTLLNEQREMMMFVY NKCVIFCNKYDDWIEMKLKQGFPDIDAIIENLLLRKDLSGIDLEVYEGYKELEKINDDYH NLLELNKIKIRKEQNKLEMPPFVESHELLRRVWTEKWEAHVKNSLDFSESILIPHNTYES KLDKVLADYKKQDIQDLIEQSNQALKEKLGGDQPVQYYDRKEKDLNLVKQIQILGDVMHQ IPSHEEELKELQKKAEQFDKLQIDDKTQIYKSEEGRILLTELPKVLHLNNKDPKQYNLLF WAEHFNIEPQKLRNIFNFIHYPILEQQNKEEKQKILKFIYQ >CAK75166 pep:novel supercontig:GCA_000165425.1:CT868207:445768:447174:-1 gene:GSPATT00001369001 transcript:CAK75166 MQEGQKLQKLSTLPKNFSDWQVGDDYEIIKQIGSGSYGQVVEALQKSTNKKVAIKRLTGI FDDEIDCKRILREISILRELKHQNLIQIIEILEPSDPKFDTIYVVMEYAQSDLKKLFKSP IHLQFLHIQTIIYNICVGLKYLHSAKVLHRDLKPANVLLNEDCTVKICDFGLARSVQGID ASDQALEEEIARQQEEPKKKDDKKGPRMLQKQNKLNAKAVKRELTGHVVTRWYRAPEVIL LEKDYTAAIDVWSVGCIFAELLNMMKENAPTFLDRAPLFPGTSCFPLSPERSAIAKKGGF PYSNTDQLTVIFSVLGTPGEKDMDFVTDKKAIEYLKSFPKKPKVGFADIFPGAPPEALDF LDKCLQFSPKLRITLDQAIEHPMLQKVRDKKKEIVAPGPIILDFEQEGELQIPRLRELFL REISKYKR >CAK75167 pep:novel supercontig:GCA_000165425.1:CT868207:447385:449724:1 gene:GSPATT00001370001 transcript:CAK75167 MLSDQQILQIVLAADSIMVHFQYYITNEILYLIIGLSSALFHAIIFIIEQMTRNQLSKLL LNILKLAQILIVSQMLYESWRIYVGIQIVCIIKFNQKLTRIMIFTLFCIHSTSLTFADIN YLATGIVRNCLCYILLLSLFKKHNYTSDQMEEIIKQLSSDIFVFLDNNFNPENEQENFQI IMNDHVFRSGDERSDRITTNRDQNTNGIQPKLIDEKNTFEFKTIFNHLKNTKANWQDQIY ETQSDYLIVVKKVFYNQNISSSNFLKCLTSQQDHFFILQKKQKPVLIKKQPQEDNSKDNM RILSKVSHDMRTPLNAIINMQLCLKDQIDESLAERYLKPSLNSCRLLLNLVNDILDQAQL QNRKIRLVFKKFNLKKLINKTISIFDIQKEKKELIILLNYDANVPQFINSDKNRIRQVIM NLLSNAVKYSMAKGKIIISCEYMLKFSTFILSVSDTGLGIKPENLEKLFQEFARVEDKEN LDKNPNGIGLGLLISNELSRLLSSNNQGISVQSQYQKGATFSFQILNQKLPDEDLSDSKI SDGAIQEVNNLPESHFFQQYSCSFKSKEFTMPIIKQLSFDSQQIIPANNNQSIKKYNLRV NSSNLLSSNRLLPFNIDCEKSYQRKSTNQSNQIIEQTNNWLDQTSKQPPVLIVDDNEFNI IVLQYILEQLYLTCDSAISGLIALKKCKERALSQYKLIFLDIEMPEMDGLETAKKLLEQD ASLKIIACTGNRQTKAQLETYKQVGMLGAIEKPVTKANLKELLLNLNTQRNDAQFTHYF >CAK75168 pep:novel supercontig:GCA_000165425.1:CT868207:450638:453376:1 gene:GSPATT00001371001 transcript:CAK75168 MNKILLSLLLVSICLCQEETLIQLENGLSLTNLNQLMTMDFNKLTCQTNFIQVGHQLKMW AELYKDEKVIHHEIRLLSKAHKILNQQRFDRLRNTNTLHSAKRILAALRHNTHKKENTIY QQWRVNEIKQLKHSIQLLQTSKTEDGKKQCCDRIEKLINKYLDERKHIAKQCGNSDITIN IINDAEGKIEVVNRNCQERESDVKSDRVDSNEKVIVGQSQQQKKEEQNSITEKKQEQVVE EHHESTESVIEEIAEEEEVIGEEEVEEEIEIEETIIEETVTKTTSTKVVELPKDDSNEVV EGKIVSAQGVGACGEGEKYLYILQSCYVQRGILINKLILNMSLEDKQQFLVDEIINKGYD SEDFTKYMDRKKENGGQDLDVWLMDELKQAVLDYQKMKNAMMQIVDDDIGFKKKIDCQKL IGTEIGNTNNVQIFIENFDKKDTGFFSLSKSYVNYRIVTQPLQWAVTRRYSDFEWLREIL TKQYPGVFVPPIANKTPTRQFSDAYLVKRMKFLETFLNHILNSTILTNDKYFCEFLRMQD EKEFKSLQNASEKVQKTTKLDKVFSETGQIEVAFNPQTDNYIRAAGNLMTSLNLDYDVQN YFFIVRIMKQSKKMLQDFEVVSTTMFQMGESFEVLTNHINQFNSSVQEPEKILKFEAVTI TLNNMMMIWGRNFQNYLNYIQDNFRNFFKYHDKEISQLKEHLLLRQQSQAEYLKYKERLD LKKEKFYQLKEFNKWEVSKEVLDELKLNIDNKKFCLSVMLPKETSQQNDLRDTYGYYNLS AYNEIKRVFEQNIDIYAKHFIKFADSQANNLTKMHVTWADIQGNLQGLDLITQHDQKVQI MQQPKPKV >CAK75169 pep:novel supercontig:GCA_000165425.1:CT868207:453385:456919:1 gene:GSPATT00001372001 transcript:CAK75169 MLFIINKNSILILLCTNIKSLSYQNKILLQRYPTLAIQKEKIQKKKQNNINRIIQLNIQY SILFYKIQLKNKKIQSLPNRIISSSFDIYQTTSNPLVMKEKSYLLQKEETIRTIYYSKIR ISRLFRFQKKQLKKMYNGLNTVHILQNCCLSGIHLLESINEIQEQYIDKLAIEDENYRIT LFNIISNKTQKTLLSHQKFSRILQSFNILIDQKDNFDCSELFIEKRCKPKLILLQDQYFL PIHFKNQQQTIKVTSEIQNIYKYFLKQKIIQFKPKIILLGIEIDNNFEFESYYLKKIIHQ LNKLSQNSLIIFPIKMSNINKDKYFQTLVQCMNALNTYKQAKKNHIQDNDRAMKSKNYLP YIERMSQTSREKQYYKLQLQSLNSIHKLEQINDDKSQERFNFPVITPENEIVYVILQDSE RKEFIEIDSNTKYYVSYMQEYVDNKLTMSIIQNEQLYWGEINLNQIQIKNENESKNKIYF QKIAVFQNNPNSSFLLDQYKFYQVTLGVNEIDQYIGTVIIYNFEKNQIKVDQFSVQNEQR MKCHIKGFTVIKHSKTSFSVLSGCKDGDLYQQIGIKLDLVEFNSLKLYQFQKQQSRLPKG YDNPLIVKFEPNSDQGQNFNQFLYFESQTKYFASPFSPYIYKIFFSECNSICQSQPFSDI MNAHLFQKYSKLFRSDQINLKYQNPMSNRKKFDLIVFDKTFERTNFKEFNDNKDITLQKY SQQNYLHFKFQYSPNSLLKIDLKMDSFLLQNSIADLNKICLYNNWLEDQKFQYVFLYNRL QHIYLLYYQKDKSRQYSKRKFVFYNQENPTDYIPFDEVMINDYYNSMMWVVRKYYDRSDP YLVVYSCHWMRLQDIKDADINAQIKVKMDKLFEINIQSKVIDLIGLEVQKSNNTLLICAS TFICKIQISDMLNHQESIQNQIQKGTFLYEICQAELNICQDSPMILLNLNENKIALFYQY CMVNCLDEKQGQFQIEIKAKIWFEKSEYKQNADNYLIYNSSYDFKDQDDLEQTKDQSLIK FSFNYEASDTLILAKILLVQLTGSSFEFLIFFEQEFGYFYVGLYQFCQNKNSIDCMRMIK LSKDTIQFLQSISTNKYETLFMQDQLTYLIHSESTLQLFFFKYGNNQKQEESNQKQQEFS QKQEESNQKQLLLN >CAK75170 pep:novel supercontig:GCA_000165425.1:CT868207:457220:464953:-1 gene:GSPATT00001373001 transcript:CAK75170 MNRNLRSNRAKKEQILKKDQVILCKVETEFTLYKLLQDWNENEEEFHVTELVPFEKKKKS KQQYKCNGYTTIPSQTKWVDIEGISVIHSDETIYVVDMEIYKQSQRSLIKDLKQKVKQSQ KKFDDDEEESNDKPIKKKARKSAQQKTQVESQFSQYEEESSEQSSSAEAEPVPKKQRQSR KTEEKDKKKGKKRKRKMNENLKNLKSFSTQFEGTEDHFIDYDSTRMNNKEIIRAANTGNK ALMDQILFEAKRISNYYDRWGPEYDISIFDILFRRQDKQLLTHFLQNATKRNRFYADLPC QLKEIDTGYNNKQAYGVKLRKVALGRGNREGNNAFVYDLNYHFDSNQVCRRLLEIETNCE MYEQLFIYLKSSKYDHYLIENVAFAVRCGNIKSAKFLIKYALTNNLDGYGFNYLHHDALD DNFSTYKLDQIKKISITKKTQNDFLITPIHCACINPSEQFLKYFMEQTMEYNIQDENGSK PIHYAAVSQTSNCLEYLLANGVDAREGNKFLDTPLMLAAKYGRSHNVKLLVVNTNLKAKN KEGNSAIHFASQNGHVECVKILIENGLLINFAGRNRMTALHYAAAYNHLELVEYLLDEGA RINAKDKFGRTPLIMAARNGNLAILSKLLYYGADFKISDSSKNNAIHHAAAYGFLDCIQT LIEAGADQNEFNSWKLKPLNVAQAKNHIGIVKALLKLESTDVNCIDDDGLTLVAGLIKQF DQSVEQFEFIKYIIAEKKADINIQDKFGKTCLQHALSCTFSDLLIDLIQLLIDHGADINS QDKDGRSSLLHAINGQQSKIQDKLIKLLIANGANINSQEKENGLTPFSLLLSKKNYKLCL ELLQENQIDLNIVNREDKTLLHIMIENQYFYDDLGIQLFQIILDKINPSLLHQPDIQGFV PHLKVIQIFVTSIASKIEQEFQSNIVKAKNEIFQDIENEYKYKFQKELLQEGQQAQKTFE ERLSEQITQESIMMPNKQEKVIENLFKNQVNVSGGLFQNQQTVGQIQQVQQQGLNIPMNT MVQQNNLFGRPQNQTTFGYGQQQQQSNRFAQPQQQQQNIFAQPQPQTTFGFGNSNNLFSS NQGSSVFQNQSSNFGFSNNNFGTSNCNYNNNQGYSNYNQTPQQQFQNEIQKRLQNADCKL YASYFQYDVISVQVGISVKKQNQLEMKRIEDGYFYQNQMFKQLKHLIQKGANINSNVMTQ RSYNQTAVQNNTNIFHLIFESFPSISFVKELLQIFPNEMLTQRDQFGTPLHYFFKCFNHN LVILHRNDETSFSFLDYVIKLGLDFNDRNSHGNTPTLMIALKYNYSWHALLVKLISLGGQ LNDVNNQNQVPLNQFVEKTDLQTVKVFLSEFKVDPNYQDQKGRTSLHFAINFSNPNANAS FQMEQQLIKFGAKCDIKDIYGRTPLFYSFTKFNEPTSTNEIDPFESVSSLLAFKECDVNV LDRYQRSPLHYAALRGSAISGRYMIKMGAAVDTPDIYKNTPIAYSFFKHANFSTMLIDNK VDVNKVINIVSLSDLRQQKEKMYREEIKTDPEIDQLMIIEDENERVKQAAQNQQQQLQVQ IDDESDDDYQEDDEYSEGNSCDDGSEKNVFYSRKRDKQKLQQQQQKILPQFERSQSDKIT QRERLILQKIQQKISQDLDLPQHLHNNLQTGEYSYFSQAIKFGWQGVAYLLISDGYEFVN AIQDAINANQFQLVLTLLMKVKNEKDLSKLNSNGQNLFHLFAIYSAKVPADLLSEIVEQF EQKNISPYVQDNNQKLPLHDAISKNNDLLVEYFLYNRKCDPNLMDNTDNNAFTLLFQRNQ NYNFDRMMQLGLKMDVKFKILNTNSYIKPFMYLVDHLKIYDSWKLQKFVDYGIDINEQNH QGQTALNIAIKNNNLEFVKFLIRQPSFNTQLQMRDNEGKTPIHHVVLPLDFGSYENVEML KILMTIFDYNLKDNNGLTPLDYALIFDAQLMKDELLANNAHHTRSLRQQRMATSVIATAL WPDHEVDFEEDALQFLEKKNIQIEAEIDNKQIVDQQAKIAKGDLSVYDDQDYGLWQCLLS KVDIVNRNFHKNVFYKMQILFDKNRNNYILFTKWGQIGEQGQFQMTPFENLETTIKEFCK IFAAKTGGNNWKLIKSGEEEFDKKPEKYQLIKCQNNKSYKSLLEPFDLSEQSPYPKCKLD TSIYKVIEQFSQVKLYQQELQSHQFDTGFVPIEKLDKNTILKAKEILLELKEIVLEVNLY MNQIDVDLKRLQQYYSEINDKSARYYELIPKVQMRQNLLPLLETQEIINEQLQLLELLLN VEQAIKILLGANYNLNSIHPITYCFNALNIKMLTLNTSDLEYKMIQTYIQRTQSVTIANI FAIERKGEAQKFDKFNQGPRILLWHGSKISNFMSLLALGLKIAPSWAVNTGAMFGKGIYF ADQFSKSYNYTQDYSINHRYNQFYGNQNNTNQYQKYRYLLLCEVSCMNQIDAYNEPHKNC LEYQKPEYTLKAVGSKGPDPMSQIKMPNGCVVPIGQIVSNSIPHQIQERTKNISFYTQNS EYIVYDESRVKMRYLVQIYQ >CAK75171 pep:novel supercontig:GCA_000165425.1:CT868207:465143:466969:-1 gene:GSPATT00001374001 transcript:CAK75171 MQKILKYPFTHLNKSQPFFTKTKIGLGLGAGFSYILYRQGRERYQQMHFSSMYNQIPDTE ALTQEYFGEKNSKQLSLFQRMIQSLRRKIRFMHLLLKFLPLAIALPFSLIFKAYLFPYWL KYLVYTLQSAGPLWIKLGQWASHRGDIFGEEIIKALESLRDDTPPHSLEKTNQQFEQAFK KKIKDVFDEFEEKPIASASIAQVHRAKLNGEYVAIKVRHPDIIDNLVMDIRILYKIANFF SQTLKIKQLGMPVTFEEFQKTLVNQTDLRFEGRNLKVFCEKFKNNPHVIFPRPIEEYISA DILTETYEDAIPLTQFLKQERTEEHYALANLGLKAFYKMLIYDNFIHADCHAGNIMIRIK DLPVPKTFNEKVQQQLWFLQDSVYEIVDDLIEKGLTKIAEYQTSSQTMDQGNMLKLKQEK KSDERLFHTFLRCQGSTRKDVQIIFLDPGMITILNKSDRASFIKLVMFVTLRKPYECGKL MLQLAQYNQRQIEQKVQDKFMKEMQDLFTNVCKVPLAQMNIGQVLRSMLEILRANGMSIE GHFAALLTNMIVLEGLAKTLDPDLNIVAKAASFIIHIRTIDKTIDEIIQQAL >CAK75172 pep:novel supercontig:GCA_000165425.1:CT868207:467013:469874:-1 gene:GSPATT00001375001 transcript:CAK75172 MNRLSLITHLSDKEQMLNQYEILIQEYRNQPDSINVIAICLNNIAALLNKNELLSKSILR FVKQQLLKTLPNALQFIIFINSAKIYNQLGLHSKAFLKAEMALQFYEKFAFSQLNQSEAV LLINGYITLAKSYEMATFEDLAKIRRKSKLLQNGFKSTQIFYENSKKLLVKYVGITHPFY KQIVGLSSQKSALQQRSFIFQNEQNQNQFASRKQTTIRIMRLLDSLKSDLPRIVEFQEIY SQTPQKAFSRLKTTNEDSINKGKFYRIKRISKIEDDQETTIETKKKRKSFKMTSISNLEK IITNKVEQQVQLHFQKKQQEDQMSNSKIDLDQKYLHLQNEINKIEKEKQGFEKQGYEWKQ QIKLLQEEINQLRIKIIDNEQVHIKEAKEQHFRHLEQIKHLNEQNQQLKLQMNKTFHQDK NYTTYNNSIDDHQCFFFTDNFTGQMIYIPLLQNISFIFKQENIQIEVQQLQQTLKLSAKI NDKILNEFIEISQLQDFLQYTQYIHTLPYPIKYLNNFKTFIQYLIVPFVQIVSEQNETKI AIWPQPQGLLLEQQIIFLNDNCQWWIHNIGLMWFRVTIYSQADFIIQLDIRYDQITFQSY FKQENLDEYEMQEKEEILQYLSKINSQQIQILESSKNSIHNTYYLPSVQILDKQKLIQLI NQQIKYIEQFLNLQNIHSTQQIKVNKTVQIQQISIQFNENKSQIQAVLLEEGKCMVRLRN CYLSHAPQNKQINADGCFEITNEFLKQRYGISFDNLLRNEDKIYFYEKLLESFTLQTFLK PFDEDDDELFKSNGILLRELNFGGTNKIFYDSNNYKIPINFSLIGANDIPQFVKIDLYNE ESIEQHCILLNITEEYWIRPVITQMKQDKKKKQQKYNKEFKVAGQYYLSQILQQCGWFIL EHHIYMNEDKDSIIKQANWNNILI >CAK75173 pep:novel supercontig:GCA_000165425.1:CT868207:469921:470418:-1 gene:GSPATT00001376001 transcript:CAK75173 MSRTDSTKTSGQQQVKKSLISPLKEAFNQLTKSYRFYISNEEQIIDIVIDLPSHTDLVIA ISRVLQVASKDYPIDQNPRNYEAYIAKKNGRPKTDLPSYQIDLRLEETQNSVFSLVHVTF QERKPKRKSTYDYTNNYSPIKPEQTKDIDEIKGQKNWFLRFLGCG >CAK75174 pep:novel supercontig:GCA_000165425.1:CT868207:470547:471707:-1 gene:GSPATT00001377001 transcript:CAK75174 MDLNSEENKKKLNTAFQQMKTKIQIEERRASEEEQKRIEFEEKCHTLNNQKTLLDQKLAK TEQAFKRKCEELLEKEEKYNELQKKLDFFNMNEPKKGFFNFGSDEVKEYRKKLFAFQQEV EIKSNELQRLHELLFETRENTKNIEKNYQEQIGNLECKIKMQNEKIEEEKKVKLELEKQV QQQNQNIINKESELKECKQQLNEQDDEIRQLKEQIQQQQQLYNELQITLIHKKEELKKNY QETDQLKVTLASIKENLFNIIPQLENESQNSQIVLGLKQFVDKTFGVDKQRDQKINQIKQ EQELQKELLVQKDGYQVFQKAKDRIIKMGKNMEQLEKKNKMLQDRIYILENKRAQGKGSE YLSDKISVM >CAK75175 pep:novel supercontig:GCA_000165425.1:CT868207:471736:473807:-1 gene:GSPATT00001378001 transcript:CAK75175 MKMESSFYLNGKPLVQPPLTIDQQLNERLSRTGYKIEDINRLNERPTLQIIELDGLTSGY LNNNQIFQMLKQQIMTWNERVTLHRSQQIELKDPFVYDNLYRQLKNSLLEVVSTRNKQQQ LEFLNKVSNWFFRQLPKSQKQQLTQSLIEKKDYTFQDIPISYNESMYASGIDDYKSKYRS MHPDIDPPEDRVKSYHRKNLLSDTSTRPGTTPGIMGFSQHSRPYTSQSTYKMVDQVDKLS RPRTNQQYSVIKQQSSWMYDANLPVFETFEEQQKSTKRQFQMEESPLKADKPTDRDKIEG IEQELEEDEEQSPDKVYGSQKEIQSQKDISPTKKKKKKERGDKYISIAPENKTFKADKDT ELAYQIGQSSRLGELQGTFHNYDPTNLEDKMAAARLNQYYQEQRIKEIKEQREDIEMVQS MKDWAVNKSRIDEIILQSEYLQSMGSQFSNVGIKVSDIYESKKMDLAEEKAYANYLNKTS YPKGRMVQSAIPVTKIIENTVLPTQVDDEAEDFQSKNAYLEQRIYSQRLDFLKKARGSWL PGGVSNHPKADNIQNNRSLSSSCFRSARPSKQFSTVFKSNSIKSDIDKQISEINVLKNRL ASEKRFVPITILQKSIVIPQGHLNPQKVPLPKPGVLLANIPDTGKKIRGKKKKSKK >CAK75176 pep:novel supercontig:GCA_000165425.1:CT868207:473831:474711:-1 gene:GSPATT00001379001 transcript:CAK75176 MNNHYEPYVRNKRDLKVPYISCQDYTNLEQASKLIPALKQINQANRNLADTEAYAYILRS NNDDDIHKSIKYGIWTSSKENNEKLNAKFLEAQEQGKTVYLFFSVVRSGQFVGVAKLTSG YKEESFQYWWEIKKWKGHFNVQWLYVKDVPNKHFEHLKNSDNVEVTRSRDGVFLNWETGK EMMKIFEEFADKKSILNDFTVIDEREQALRQYKYQMQQQRNQQQYYPYYQYQYYDYQQYQ QYPQYQQYQNYQWQQPQK >CAK75177 pep:novel supercontig:GCA_000165425.1:CT868207:474830:476059:1 gene:GSPATT00001380001 transcript:CAK75177 MRNSKKTLLVVGGGFAGMTIITQTYKQFNIVLLDQKSYFEFVPSVFNAFIHPESIFDLTL QFKQSKFGVIFIQGRLTHIEENIAYYEGGKIEFDYCAITIGSNYTYPIKSAIPKLSDRFI ELKKTQQKIIDSQTILIIGGGTVGVELACEIKASYKQKTVALITRGKILSTMPKSASDYT KKRMLDLGVEIQENYKGPSLDSNFDLVYNCKGNTYDSVRLNDNFEMFDPKKQILVDDFQR TRTNQNVYCAGDICITSQNETKTAFSAEMQGEIIAYNLKHPNKQIKSYWIPNTYIISLGG WKAVFVFESFSFGGFLPYLMKLFIEVVVVNDFRGIIGFNTLHQIMNYFVYVMLYIYMILQ LLFAIAPLGSKIKQDQRVELKRIQQEIEEFKKQ >CAK75178 pep:novel supercontig:GCA_000165425.1:CT868207:476118:477165:-1 gene:GSPATT00001381001 transcript:CAK75178 MNSAFLKQIEEENKILEQELQQLLRQEAVRFSFSQKRSKEDNENDNENVNPNFRNEKGIL SKIDEETEEEQPNRIEPRRLLESPYKPGPYKFENHQESIIDNLQDSINNYMEKRSSSIAD IQSHRNSQKNIENTTKQIKSLEMRLSGTREALKSMEKEIKEKNIIIQTLQIDLAKKQKQI ELLSKQQNCTSTPVKSEFNNESFQEIKKQCKEWEKKYQECEACLKENKKYTQRLQELNQQ LLYKLKQYEQEKELQTSELDQQKNINSQIYSTNTSILNKYEQIIKSQQEQLQSEDDKYRQ LERKYEELKLNSEQFLLNSRDLKSQYEHMLNTLQSIANSY >CAK75179 pep:novel supercontig:GCA_000165425.1:CT868207:477527:478111:-1 gene:GSPATT00001382001 transcript:CAK75179 MENDLTFVCISDTHCQNVPLPPGDVLIHCGDFTKKGSKEEILAFIQWLIKQPFKYKIVIA GNHDLSLDKESYQSKLKEYHHKGLNFNDEELRQTLKDNCIYLLNSSVVIEGIKIWGSPYS LEFHTWAFQLKSEDAEVFWSQIEEDSDIIVTHGPPLNHGDQANIQGQLKNVGDEALLKRV FINQTQIPSFWSYS >CAK75180 pep:novel supercontig:GCA_000165425.1:CT868207:478644:479189:1 gene:GSPATT00001383001 transcript:CAK75180 MERREDDVQYFKVDKSNGQQDKIILTLFSIFMNALIGSLFFYFSTHENKYGGDQCKYLRP CAFWFSIYCFFSLFAWIVLNPYAIYYKHDQIFDYANIVEGSIKLVFFIISFIISSHCGQL AVLTATYIIFNVVVFLILCGLTYFIKPKIEE >CAK75181 pep:novel supercontig:GCA_000165425.1:CT868207:479216:480660:1 gene:GSPATT00001384001 transcript:CAK75181 MQQQSISDFDNLEQEHQLIDQVNQKSKSAKFKQYKQGQYYGDIQNNVRHGYGLMTYQDRY YIGFWNNDRKHGFGKEVLDKGDQYEGQFEDGKPHGEGTLETANGVYSGQWVQGIKQGYGR WRGKNKEIYAGEWKFNKANGYGRYDYQDGGWYEGEFKNYLKYGKGKESYANGDFYDGEFI NDKPDGFGVYRWADGSSYHGTFCSGVRHGKGYWLKNGDPQNHESYDGEYVNDLKSGHGVY RWPSGNVYEGEFFNDHRHGLGEMRWVDGSFYKGQFHNGQMCGQGELKRNNKPIIYGYFEN NKLVREQKKKQIPKTMNQSQNNSYDGKRNYFSLHQSFNQFQNAQQIQKFHFKSESIEPII RQFKRDCNTSIDDMHKSENQANQNSFKISIDLDDSQGMNNQPKFKSLNTTSRAFQNQQES LLSVTNRTQATKKVIIDSVKFKRNQISFRLQSLNQSTRQTQNSLPKQGAN >CAK75182 pep:novel supercontig:GCA_000165425.1:CT868207:481148:481374:-1 gene:GSPATT00001385001 transcript:CAK75182 MNFDAQTVLVTQMDKKRIIESIPFQESPTMLLNYKKVLATRIIEHQRSKFKGFDDSDQKF >CAK75183 pep:novel supercontig:GCA_000165425.1:CT868207:482072:483315:1 gene:GSPATT00001386001 transcript:CAK75183 MSSTLRADQIIYFGTSNTPQIQCRFHEDYYIQNFCKKQECSLPLCPECIKIHSEEHEQER VTSDIDLFSNCLTEQYNKSLEYLNLWAADVQESSNLSEEVQKAQEFQIQKYQEAKKQFYK IVDDYFKMLEQQIINETQKSTQDLIKLVRSRHRIEYLEWKNQHENLIKLNSEKCLPFLIK IYSDPKQTPQAIYQRNHQEHVEQLNKLKLNIRQVVVNDNLNQILALLKQYIYLTQAVQDS QIQQIQITNTVQPIVQVQPIVQTQPIVQTQPIVNQAINQNKYQSRVLQPFSAIREYTPLQ PVLYQQPPIIPIDPLQIYPQQFYHPNPVLQEPMPMNWPIVPLQQSTPPQIIRSPQKLVSS VAEAGILNGQQRETMAQRYYDTMLKNEIK >CAK75184 pep:novel supercontig:GCA_000165425.1:CT868207:483349:484898:-1 gene:GSPATT00001387001 transcript:CAK75184 MWQIQNGALQRRRCHLQRRRQVKRQVIYNIQWPQQQQTPEQIPQKPPENQKQLQQQQSFF IKPVPLKTDKTLSKITTLTSGTNFFKQIAVSKKFADKMKANIVQGQTNEKVQISQQEMQV MSDKYGETVRILKRGDGFGDRALLENVPRALTACAQTEDLFLLILKKDDFDLIRQQFIQQ MKEKKSLIFGKFPVQGDYSSKQLEQLAYSFDVEQFQKNCVLTIDGQYKKCFYLIQFGDIL LEKVINDQLAKICILTKGQLLGEEIAMNDDGCCEYNATVLSNEATLMVIHKQEFLLKFPE ECKLWVQQEYVRKTKFRTIFQTSNMISVNKINQPKFTAVKQYIEVKYNPKKIRSKEREDK MDIGTIVEEKNFIYKLKYQSDPEKDAGSALFGTDLYQSPKLGFTPKYDHPNNIAMTSFKQ QYLYKLCKKKRRQMILNTPPLTARTITNYQSVISDRQSKHKHTFSTGINSNTPCLSLSPI NMNSREIM >CAK75185 pep:novel supercontig:GCA_000165425.1:CT868207:485133:487067:1 gene:GSPATT00001388001 transcript:CAK75185 MLDSVSTQLKTETDSVKDNPPSKFKQNFMQNNQRFLNTKKLTYSNKKIAISNQKDAWKQM FGNFLHDINLKQKKDHTSKEAKEHLQDPLVNEVPIFLPCSNKQFKLQFYKYLEGEQSINE LLKAIRFPRLEAIQLDDQKKQRRKLSIVQKIKKMKANELSKTTDKAPQLDVGGSTKQLKA QQLRLIDQRRFTEAGKLMELYQIKINNKVQVLRDFIQQRIKLRQQLNKEQREEEIRNIHF IKTFFDITNDYNQHILDQQEELQDDAQYYKKLVPCQKKLKQLLVNYDNVQPIIRHQEKHF DRFDYPSLGMLKNQMNQYSKDYLVELEQQKQIQFKTALNQVRKLLEQQNIKFQSELRKSK IKSNQNSKSQSPLNLHNQDSQNLINSLSDCPLDVIVTSPKRNINSYKSYSQLKSSIADPI KRKDYVITKKPFYLFTKQADGDKNSKNTKGLITNFDVKLYDYIHNRNIYTLNKQVQQNTQ KFHTLIESQQEQQLNEQLDTQLNQLRKKRLKLRQHGSLEAQRRIKTEKQQDEISDDQSLQ SIYEVNLDVYYDQSNQLSKKLREKGDIGFAKRIKQLIRLEEVNTQCLRTNIQKLNKSQSS LNK >CAK75186 pep:novel supercontig:GCA_000165425.1:CT868207:487157:487779:1 gene:GSPATT00001389001 transcript:CAK75186 MDAPPLSEDELNEIYNWVDTVPLSRPKKHIGRDFADGVLIAEIIQHYVPSIIDIHNYSLA HSVQQKQYNWNTLNAKVFRKMGFQITQKDIDAVIQVIPEAIERILKVIQVKLDMFLEHSE QNQVQKQEKPNEISKNQNQVNHSKPVVNNKQNDKDLVIQDQKETIEILELKIQKLEQLVK LKDSKIQQLTQKLQQAGIK >CAK75187 pep:novel supercontig:GCA_000165425.1:CT868207:487796:488428:-1 gene:GSPATT00001390001 transcript:CAK75187 MAEKEVHQENSNYNDGQNLYTSNQQNDDSFEMVIMSNQHPENEWIKKEQIIVSYLKQLGL KMKTDPLEKLEHFYQKPKRRPNKSSTMTFNTTSNVDQSNQEDDKCNGILQKMSIEMNSIK QRRTPNTTPQSSPLITKNSEQQEPIKKQRMSIFQKMQNQPQDLTPDEEELQNNIKRNQQQ IIDQENGLQKSETDSSKSDTEKNYFQNLCG >CAK75188 pep:novel supercontig:GCA_000165425.1:CT868207:488838:489431:-1 gene:GSPATT00001391001 transcript:CAK75188 MNQKSNKVSPNQKTMGFRFNCAINLELLKKSTRMALLKMKYQMPEESDRIKMRKRSLIFA KSQLESEKKPSPSKSKFFDTIKTRCSSIADDNNQMPTKLVFQKYSKQLDNINYGNPQIFQ SACSQKEQSDTLIFPFQRSIYPQRKLVRKQRAETQYTINSSINQPSPNNAKIKVKSLIAI QERFGATGWEVEPSDDL >CAK75189 pep:novel supercontig:GCA_000165425.1:CT868207:489521:493162:-1 gene:GSPATT00001392001 transcript:CAK75189 MRPISSALKLKTNDSSEKRLRSAVQFKLADSQKLKNVLIKQSQKSQQSLSQQSRLQSGTT CNTSQRTGYFTHRMNEAEYDSGSSSAFRGQIKVRSTFHSNKNDLIRRVDSQFSLDNRQDQ SPQETNRNAIPALQNRLFQQGSRSQQKQLIVTQENIDSQEVKRRMKFDPQVKQQVIYFKI LNISNIGLKNYLFYLYKQQKYLIDLIKSKAETQLIDFSQQILTFSIGTLSPIALIETLSF SASMLESTSQLDWAIFYHNQTRIMANYAKQTMDKYKMKSLIGLGNCSIKMQQYEIGIKFF KKCLQYSWLNNDLDYENEVYSKLGVCYYYLGDIEKVLFQLIKTKFYHERAITYDYEIESS PLRQMSSDTLKIFLSKNFTRNYAETINTPLLNRLNLPLPQDILSISQQEIQNESPTMSNP RTINESKVSSTRRSSVFESNPHVKMFSAMQVDGLKIQQEILSTQEFEVEIYTPKHSFKSE SKCFDFIRNKKIHPQDIFHDIKYKTNPFILSDLGVISGQNDENPIENPQIYKLPLDQQID IRLKKKTYVDIQSKLKKLMDYKYHIKTQMKNKILITHRNIENEKRHYVVDQNKVLESVQR NFVNLIENLMIYFIRKILSIIYIIIYMYPRQLKKQDKQKQSSNISDFILNKLLSEFGQKP IDKELITCYVRDYNSKYSSFNESGVRALKAEIQEAVKKQQIEKEAIIKTLKQQSNSQQLQ NMDDKQKNENNSKQNTKALTQGLEQQNTQRRNNPIEIARLDSLTSDNKQKSVYQLDDDEQ DEWAAIIKYDTALYQKEQEERKQRELQLRQKLKDDLDKQLKEKAELKKEEEMKEAKFTQL NQQRKQEFEQFEKQKKELIKLKQLEEKKLRDEQVQQEKHKKRENYKQSKLQDDEMLQQLK FEISRESQELNKKRQQQKDKFQQILKENEQLRQKAQEELKLQKENDTKLQQQQLQKLIQD DERREQQKRERDDKIKQFMSNYSHQVLTKQKENEENEGKYMLEQLKKQEEQDRQQQQYKK QKEKEKMDLVKQQLQLQIQQKQQKKLSEEEEQKLLLLQQKLELMNYTQKEKAKQLYIKNN YKQNQEDIKKQIEQSRNKSAHEKMTNLELLHNKSILRNIAEEQVAKTKFRKVNVSMK >CAK75190 pep:novel supercontig:GCA_000165425.1:CT868207:493212:493923:1 gene:GSPATT00001393001 transcript:CAK75190 MISKRALNKGPQSFKVHIRRLPPLLREEEFYATIQEYLNDIVMKYYVKGKLKEQEKIDSR CYLFFNCDAAMSRFIQGYKKVFCDEKGIYYQPDIGKALLQNQQDHLRNKPKPLEGEYQKT ELYKRFLKFLEEEQLQQQEMENAQTQQLKEEPINPEDKPKKFEKVKTHIIMELEKEYQKK KELKTQIDNLTTTKNIIKLKCEEDGRIIERTFIFVPKAKN >CAK75191 pep:novel supercontig:GCA_000165425.1:CT868207:493996:495678:-1 gene:GSPATT00001394001 transcript:CAK75191 MQDGVDFIGLDGLLDFRMVTIDQMEQGIQLEILEITHNYIDNKLLIKGMILILFYFFITT LGQQTININQDYLQNGELFELESSQLYDVIQEIENGTMFFQVTSVDTQKYIQVELYITAP GDGEVNLVFAISKNNLTTIQNKTISAAYIDANGYSLKKNYHNIIIPANSFRSGDKFYITN LKMENETTYQYFIGIKKSDTIPCPKNCNYPLNGFCNSGTCDCNQDSIDLDCSKKAIKIPE DIKLENVTISGTGYLYFEQTIQLEGIILEVGIQNTLISDYSSIIVYLMYENFKFGVPTPN INNYKTSFSSDQKSKYANVPLSELNKNPDLNRFERLLITIVAPDTCQFYFNISIPPDDSS LNTNLMLIYFLVSLAVVLVLTWIIVTLIRYRQRNRVQNEIQLNQQQLSQQQRRGQKNGIN SQQLDHYMRKILWKNLSHHPKIKERRIDPKQFEACTVCLIEYDEGAICRVTPCVHVFHAD CLHQWMVEKKHETCPMCREDLNEQALEKFAEQEKPNNPENQVLKKGEYKQQNQNGLMVIQ ERNE >CAK75192 pep:novel supercontig:GCA_000165425.1:CT868207:496289:498705:1 gene:GSPATT00001395001 transcript:CAK75192 MNQRTQEIQLKTNYHEISLLAGRPIYEYELEIINSTPELIQAAFRQYRPQILQLLTNYMS IDNKIYSPKIIDGIEKTRSNHLGTLQNQEEAEQIVSIKFINRLSENHISKNQIIARLIKQ VVRNQFQMVSIGKTGSKLFWNSKTIKQQENNLEIWPGVECIYQPSAFQNFKPKLIIDCAF KILRYRTALEELDSLKPHTELIIGQIVMTTYNKKFYQISGLEIGMNPKSTFQNQNGEAIT FESYYSTKYNQKIIPNQPLLKAIVTGKKDESQKEIYLIPSLCQMTGLTDEMRNNFNAMKK ISEITKPSADTRMRTAQDFIQQLQATKIINKKENTSKEVLKEWGLEIKKDCIQVQAQRLD PGNMLMGRDLKLNLRDSNTNLERQTQTQMFYTPPQKLITGIIYNSKFGQQNLKSFLQHFK SACQEFQFDAFLTPKAKEMQNDKEDELSRQLRDLKLEADQNQSRVNFLIFLLPGQKKKAR LYKACKVISMATFGCASQVIVEKTLQRNTRSIVNKILIQLNAKIGGTPWALDGMPDMFTN QPTMICGVDIFTKAGRKSQLAFCSTINRQFSRYYSQVITSGEFCSHLQLCLKAALLAFKQ ELSVYPKNVIIYRDGVGDGQQVAVLGTELPQYKQALKELELKDVSITLVICNKRVSAKFY SGGQGRAENPPPGTVIDNKIITNEEAIKFYLISQLSRQGTVTPTLYKVLYSDLQGIEQTI KILTFKLCWLYYNFTGSIKIPAPVRYAHCLCNFIGDNYDDRDQMKFLPQSDLIHQKVLFY I >CAK75193 pep:novel supercontig:GCA_000165425.1:CT868207:499485:500492:1 gene:GSPATT00001396001 transcript:CAK75193 MSHRSLSIDYSEKLDTFGDGCLPETQAMSSLFLNYLKTQSISESDSLRKSKEFLKKLQTA FVILSWYEAIAFFGCITTMIISYEFQLVYIAIGLFIKLFAIIRLANSASKQKKYVGLKSS ITRKVFIHFLKQNINFDPENRIEIRLIDQIIASKLTFKVILKEFVKFHIISVLIILQITI GIYVIYFYYILSEFQGNKYLIFSEFALITLPLTLTLIALISILIFIGFNSLISLIHLIIR AIIKICVFIPHVLRSLLKIFRIKQEKHFVLMKYIHNSLTQKDTCSICLCSIQNQGILLPC KHLFHIKCIEKWFFENNSCPICRSKITNLDKNQEV >CAK75194 pep:novel supercontig:GCA_000165425.1:CT868207:500772:501156:1 gene:GSPATT00001397001 transcript:CAK75194 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK75195 pep:novel supercontig:GCA_000165425.1:CT868207:501844:502382:1 gene:GSPATT00001398001 transcript:CAK75195 MKTHVEVSSQRRKGRRAQLGAPSNLRYKLMSAHLSKDLRKKYNVRALPVRKDDEVTVVRG THKGTKGKVSSVYRKRWVIQIEKLTRTKANGMPYQIPIRASQCIITKPYLNEDRKQLLAR KASAKVSTKGKGEKHTTESTKKAD >CAK75196 pep:novel supercontig:GCA_000165425.1:CT868207:502393:503666:-1 gene:GSPATT00001399001 transcript:CAK75196 MEIKYNRSLSGVPENQQQQPLRRITKTYIENRDPVRRNLTMLYNEDTQQINKSMLSSNND LRRKMLNQERVKRSLNQDVLEDKENVPQHKGLTTKLYTLPQELQNISIKPKFDHTIQENK NLQKCYTDEIFFYLKEQEKKSTPQEFLKNHSIPSNLRAKMIDWMVEVLCSYKCTDQTFFV AVRTLDFYFAKSEKQLEVSDLHLCGVTSMFIAAKYEEIHPMKLSVVYEKIAHKKLTTDQI KKKESDILQTIGFDLVGGTLFDLYNLILTNCFIESKLLEKNYKYLKKLCLYLSKMVLFDY EICGKNNYTLLAAALIFVAFKIVEQLETTFNADSQIKDVAQIIQVDQDQLVEVAAKILNL AKNFEKHFPNLENLKKFNGFQCRRWDEQ >CAK75197 pep:novel supercontig:GCA_000165425.1:CT868207:503803:506186:1 gene:GSPATT00001400001 transcript:CAK75197 MKQNNGQTPNNENLRVVIRVRPPMAREIRDGKFISTVQVAPDNLQLCIFDYHAIELVPDE DLEQYVSNPSNYTLHQFTFDYVYDQDSTQEQVYNTTAALSVDSTLQGYNSTIIAYGQTGT GKTYTMHGFSFNPNSDQLGIIPRSLHNIFNHIQMKSNSSTTFMVRASYLQIYNENISDLL RDSASLNIREDKKRGVFVENLSEWAVRGPAEIYQLMRKGNAKRVTASTRMNDTSSRSHAV FIITVEQIEEKPEGKSAKVGKLNLVDLAGSERVRVTGATGQRLEESKKINQSLSALGNVI AALTENRGSKPHIPYRDSKITRLLEDSLGGNCKTTFMAMISPAIDAFGESLSTLKFANRA KTIKNTPIVNQDGDQGALLRKYQQEIQKLKSELEERSKQPLENLVNELEKEKQKALEDKQ EAQSAYEQRSKDLFKETELRKQLEEKISALNSQMLVGGQKIEETPQFQSALEKQQRLIRQ QYQEKLTELEKERQSIEEDKAQTDKYKQLLLKQRDIMIALTNRLNDRDETILQLQEELDV YEKLQKENEDQLHSQQIRLEQFEELFLENKIDIPLVLQNQKQFNKEKVVDIQNDLQIQKL ESIPVQTLHTNQQVQSDALISYELKNQIEINKQIQFDLKLTRTELERSKNEIEQLKKKQN TEQGTLIENARKSVDKILELLTSEQSEQSLGNVAKELNQLQRMLKQGIQPSRTHVKGQYS FSKSTSDSPNKIMLINKQNDSMAKSTGQLVKLMQMKPQPKQNQSPVLGSKLKQKQKSVED LWNQI >CAK75198 pep:novel supercontig:GCA_000165425.1:CT868207:506251:506853:-1 gene:GSPATT00001401001 transcript:CAK75198 MFSIILSLIFPIYCATQIDIFIESQCPDTVRLFKRMKTLLDYEQINEYVQFNFIPFGKGN EKLVLNKGYEFNCQHGNKECYGNLIDSCVLEQLDQIEQLRYLTCIHQEKQNNEELINLSL KKCVQNQECVYERTLKCVQSKFGNYLQHKAAKITLAQNLVEIPWININGQHIPEFDKKFK QNPLKTICEQIDCEKIKVTF >CAK75199 pep:novel supercontig:GCA_000165425.1:CT868207:506999:507439:-1 gene:GSPATT00001402001 transcript:CAK75199 MLETNHDTITLAFTQPQNKCSIGVGAIIRKNNQILLVQEANGPVRYSWAFPAGLLQENET IQAGIKREIQEEIGVNSQFKSIIFFGQQPSSRWSKQDFYFGCEVEILKEEFNICKNELLD CKWWNIDQVHQLALTPITRIGLPFIL >CAK75200 pep:novel supercontig:GCA_000165425.1:CT868207:507496:508754:-1 gene:GSPATT00001403001 transcript:CAK75200 MEQSFQSSFYGSLNFSQQMLYQIKQMIGRQIFRAFSSTTAPYVWVNKHTKVICQGITGNQ GTFQTEQALNYFTKMVGGVSPKKAGSTHLGLPVFKDCKEAKSATGCDASVIYVPPPTAAS AIIEAIEAQIGLVVVITDGIPQHDMIKVKHALRSQSVTRVIGPNCPGIIKPNECKIGIMP GYIHQNGKIGIVSRSGTLTYEAVDQTTRAGLGQSTVVGIGGDPFNGTNFIDVLERFMVDP ETEGIVMIGEIGGENEEMAADWIKKNNPKNKPVVGFIAGITAPPERRMGHAGAIVSKGKG SAPEKIRALEQAGIRVVKSPAQIGKTMLEVMKEKGLA >CAK75201 pep:novel supercontig:GCA_000165425.1:CT868207:508768:510110:1 gene:GSPATT00001404001 transcript:CAK75201 MFSDPQFHPLKKLVYTKLNIQETERIDISSILDAYVHKYHGHSLLRDDVFLMLAISIIIK KRMKKLKQQILEAKESIFNDNNNNNNKNQNLVTPIAKKRKVQKQRREQVVVDNQLIKLPN YFSQTQNSQLAKEESILLPGSQQTSFHSQQQMMQIEEEGIAPEQIFVPDYRDLLKNQGLM QQLHQQDDNIFQTEVKQANIENGVVYNLYQQMLEQLHISIDAESVCNEECETKQNTRKKI KVQMDQGIRKQKIQNYKSQFYELEDEESHLLQKLESYLINEYKIQHQDQLVNQDEMLQQI FTCLNDSSYQNQLLSMVSILNPEEIYLNQSCESNNKSNVSVKSVLRSRNLSEDSIKSLKR IHFVEEQHLEEIQEEMSNHYNTSMSLFQEKSSYDKAVQFYHILCDAQSLTNVKITQLNVE KFGPISIQYK >CAK75202 pep:novel supercontig:GCA_000165425.1:CT868207:510175:510491:1 gene:GSPATT00001405001 transcript:CAK75202 MSANQIITTNEKEILKNIIINHDSKLVQTLDTIYKSQENDKFEKIVAEIKLYIKSMRQRK PKYFKNKQMRVITDETDKNIYDDIIAEVSSDSDSMS >CAK75203 pep:novel supercontig:GCA_000165425.1:CT868207:511199:511946:-1 gene:GSPATT00001406001 transcript:CAK75203 MKQSEQVLLASQQPGLIDTSTKRLISKSSTQFQQPSFQQPVVTRRIHRKLVPMDGEAEET VVQPIQPPPQPIVHPVQQMIWPVAVQFNEDDALAKIREEKMLGLLERQSEMLTNLLQKYK RLEEEQTNKIQRRIKELERENEEIWQRRRQNYLIYNVSQSVDQKLKEFNDFQMRPFLDQG QPVLLKPIHQDDRLFFDKKKKKPKPKKVVEVDDGLVQDPDTGELWDPKTGEVVQQSPPFQ >CAK75204 pep:novel supercontig:GCA_000165425.1:CT868207:512201:512889:-1 gene:GSPATT00001407001 transcript:CAK75204 MAQEANYGDIILLCGDLHIGTRMEKIHEKIVSALGVNKLQHVLCTGNVGNKETFDWLKQI SPNFHCVRGQYDDENNEIHNDQKVIQIGIWKILLIHGHQFVPWNDEETISVFLKESSCDI AVFGNSHQSLISKFERKYFINPGTMSGSYGSIKQDAVIQPEFVILECLGDEMGVYKYKLI NGELLIEKCTITK >CAK75205 pep:novel supercontig:GCA_000165425.1:CT868207:513181:515644:-1 gene:GSPATT00001408001 transcript:CAK75205 METQILRQYLSSNETYQKTEEFKLKILYSDIYFIKQLQSSIVKIIKDPFESPNTKLMALK LNKELVETFNSNYIYALQKTLLPLFEDIAKYKYTSDDPDRGVTYFLNGECPNSDNRDLQV TGEQFFRVVLECIRVWSRWFPLDYQSYYLSSFKIVYEKLVKLGVKFPKIIYFENIQENIP HQLIPLSMIIQLRELLKNQVNLSTKQIRNYLAMNPAHLFYQHKYTTYSDFFYQIKNKNPV LRKKVLRKATFLLEKEFENLENMKKDPQYNPISSDTLQIENLCLQNLYNALSIKVPELQT QVIQLQNKVVSLEKQLLDREQKINELQNEIQQLQKQFSLNINQFLTSNDLSNSQLFQTDV TKLKLHFGIYDQSYEIEKLKSLVYKSDFKIEELNEKLDETIRKKNQLQEENKTLNQTLLV LERRLIQNTKQPIISTQQCLSEYRPNTMTNISQTGTMVSKKQKNSGFQNSLLLSLRQQVL GQQLYIKALQNQLIKFDKFLLHSGHTNSVLSYNTASTQYKVPMYSPKSFSQPKSRGSNEI TSPFVDYDGKIFAILPDAFTVKFRQSCLLKKSILYSDDNLQIGVQTSLQSNQQLLINVVL HNRTKEQIQNLCIAFQKSQNLQFQAYPANIQQSLKGNEQVSQKVFIQFEQLPYQLLEFSI NYKIQTQLHSIKLCLPCTVNKFFNFLEVPQECPQSYFYNSKIFQTSFQNQIGHLLPDFQI NQINEQFVEAFARIQIEKSIFLISISSKNNQMQIKLNGNYSDKLVECIVSTYQGLFMKR >CAK75206 pep:novel supercontig:GCA_000165425.1:CT868207:515680:516235:-1 gene:GSPATT00001409001 transcript:CAK75206 MKASKYQIENRDYNIITCVDFNRQQQSLPPLRKLGQAQDHPFPRKAKFESAQEQAQMQQT SYSKANNSQQDIKQVQPGSMMNVPSVKFDFISFTQGKQQPFHDLKQELKILHKKKGGMGE IADLGGLCYPNFNREYQDKFKENQTIFRKQKGDYPAVTDPKFSYGPFMKPFKKFKF >CAK75207 pep:novel supercontig:GCA_000165425.1:CT868207:516270:519126:1 gene:GSPATT00001410001 transcript:CAK75207 MAEVPDEIRDLQSNVALSMLTDLFKQGKINQEQCDSYKKKFNKLHDTVVQTFKNHHFLWE KSKKLKTQLATEKQNFETAINDQANAQEKAQNLNASLKKTEAEYEMMEQLIDAKQFQCEE VEHEKSIILTRISEQEKMAKSKALPEMLQKDAEIERERDLLLEYKQKIESEQKSIDEKTE KIEQLKIENDEKLNKISKLNDEYIKIKDDPNRFSKNAEMLKSANKIMLKDLQGYKDDIGK KNKQIDDLNMEFKKLQTKLQEYETQIEEEKKATETNQRDQAIQNDLSAKLKEEISDLSSQ KVANDIEIRNCQLEIRRHRDTVSSLKKAIDLDKKELKKQQTQMQQINDTLHEQKMILENI KKEIVNLKYEIEKQNEIGENIAEEYTMLEGRVRKVKDKAEEKMQEQTKVDTEIKKFEKQM IELQNFEAEGLKRVKALTATRESMARKASSALAEVRETREELKIKELLIMDLQKKAQETE AKEHNYKSLYEEVKQARNKYVNMIQNSSQDLAELKERIKILQNELEILKNESQEKERTLL EYRHCLQVEVHKKDRSHAKLNKLEYQRKAKKEIIDQNINEIQKLNMIISSLEKDMLNLRK QYEQVCESRNHTGIVLIDRNDELCIMYEKCNIQDNILKSGELEIKRLEDDIRMIKIEIQE QKRKIDVARKDILQIPQLSSKVIQLKDELELEKKKESQLSEELENPNNQQRFRELGGEDP DQEALDAKIHVLEERLNNKKEQLLEKELILDEITNLSEKLRKQALDGRLSTLELSEKVNE FQAKLKDLTRKMMATIAELSMYQATAIKLQQEKDELENVVQDARQRLEKSLPPLPEHEDE YLRQQKAQIRYLEDRLEKEQQEKMFEPFSCKTMAKQRVQQYIPDDNIGAPLPKNYGKQAP FYPPESNAQLRFYKKPKVKEIEI >CAK75208 pep:novel supercontig:GCA_000165425.1:CT868207:519143:521111:1 gene:GSPATT00001411001 transcript:CAK75208 MINSQSQPNHLNQSAFPISEPTLEQINRKQYGDTLRQQIDQKKMRQQQEKIQERLLELQL ANGQTNSGRGGGGAPLRDPYGNITTTRKNPMDPNLQSAQLFGPSNSSLTSNQFDNMSISQ FPSQQQRLITSNQSMFNLPVSNNSMNQSQLSYIGEQIALNEKRQKQFMLQRELVQQMEDA DRKKQEEKLRIKRDKELDDLRVIREREELERKMRDEFGIDKLEKRNLQETNFDVDGLKAL KRLEMLKYQNELIEQQNRKNAKTGVRQRTPVQDAERNYKDQQNDLMKSRIEQRIIKELPI EVEKTVRDTINAELQRLRQEMNLQTNQVSEQVVQLKGQLLKANENRHYNEDQLRRLKEEL RQTQIVDEIRQRELYQAFLSQDKTRQIIDTTQRLMTPESVKFTFPKRPRPYYNLADPYLG TNDEANKDLNQIFNDTTQMVPVSYDFGSNNYDKDRIEDKLLYSKEEMKENGRLGVEMNPN DLQMPEDYLNRQKLYVAKKYVDTRPGNNYDLASYDKASISPQTNYVSLISSTHPHYIMEE DEVKDVIHKYSDKLDKLEEIDAHQQRKNYNQMDSALQDLLEQQQNKINRIDQYKEHFEYN DYYSPDYQGTKFYNKMANILSN >CAK75209 pep:novel supercontig:GCA_000165425.1:CT868207:521244:525590:1 gene:GSPATT00001412001 transcript:CAK75209 MDMQEQQLNVQVAVRIRPLNQKEIIAKEQSCLKSDRNSIILPQNGKSFSFDQVFNQDSSQ DQIFQCCVTNLILRCFEGYNSTILAYGQTGSGKTYTMGTSSIDHDCGMIPRVVYFLFQEI EKRKQEQDINITCSYVELYNEQIIDLLNESIIQTNVQPTIREEKDHTISIQNLTTIPVTN AQYMIEILNRGGAHRTTSATLMNLNSSRSHAIFTIYFEINRESEEGSLKAKFHFVDLAGS ERLKKTQAIGKQMEEGININQSLLVLGNVIKTLSDQKKSSHVPYRESKLTRILQDSLGGN SNTYMIACISPAASNYEETINTLKYASRAREIKNKPTQNRDPHAAQVMALKQSINYLSDQ IKQYQQLLVENNINTDSVKINQIAITDLIYQNQQQSCTYHTDQINKLKSQNLSLDQKLSQ IQKDFLLLQKDYSESEIECFKAKKQRDQVLKWFQDARKLLIQNNITSNFVEDTNLDSYYN EIFNLKKIVQEQEQKIQQLQQRNDTLIKEAHRDQKLLLLQYHKQNKLLNLGETNDEYEMD ETEFLENDQKIEEQENHLIEMDKQQTEIKLQLQNEIKQDYHKQIANLELEKFNLQRQIIT KQDSAQINVLKQKIQEYETKIQEMKQKETMSKQLNKKLEEQESQVTHLKNNIESMKKQKV DLLKKMKQENQKYMKEKDEQQKELIKTKKLKIQQDTILCKLRNENTKKDIQLKRKDDELL KQKNEKLVIKQHNRYNPNQSAVQYDTFEKQIDDLFNELIMGQQAEEQITQEYKKLEEIQE ELQIIQNKICAIQIKIDQLEFDIAQSKNQLNQETQKQLEIELGDFQEKRENIKETIEFQV QKINEFRAVTQKSNEYYTTMMTNQYFKDLPNWCIRSFRYVIDNWVKDHILMSDYAQQIED LSQANQLLMSSRPSQMRKSIRSSTPNDDLKRQLNEYKRKLQASQNTFRTTSLELDYYKNF YNEQINKQQKDKSIGLKAQLQHSNSNYIARNYYPKDVKNEQEPQTLSRNKSIGPISSSAR VRSSCSMADYINCSQEFGGYELIKTFYGHDQPILSLCHKENLLFSGQYKQVKVWDIETSQ SLSTIEQSSHCRAIHYWAERDSIAISHGSQITLYDPQTFTSQGLLKSTIEEVKAMTTING LFVAVGKSTNSSALNIWDHRQNNILYEFEKGSDILSAYGSNENRELIYGTLKHYTKRIPF SKNKYGQIQQLSPPQLDKVTGVASFSNFIVSCSLSKRMLLWNQQTGLEMQTNDSIHKDLI MTLAVDKSLKLIYTGSKDGQIKAIKINEEGKFQLVNEMNASTQPVNVVNVIQSNNYVVSG GQDKLIKIWKPSKQLLQQQNLIGEFTIDDKV >CAK75210 pep:novel supercontig:GCA_000165425.1:CT868207:525665:526948:-1 gene:GSPATT00001413001 transcript:CAK75210 MQSEDNDWQKVVQTTTRIDQQIKNIKLRLQSALTQLIIQSEEQNKISNPNPIIQKSNPPL IIPHNSRSIEKKDKPALRQCASKSPIRTPDTAECPSPISPFSNGSAIRMQLKQVELLSPK YQNISDRFHIGKFLGKGKFSDVFQAQEKTSKVLVALKVIQKTVISKYKMEAQLAHEIKIQ SYLSHPNILKLYGVFQEQTKIVLILEYAPDGELYKLLKKQPNRRFTENKAGNYIAQIVEG ISYMHKMKVIHRDIKPENILISLQFLKIADFGLATYSPESKPRQSFCGTIDYMCPEIASG QDYDHSVDLWSIGILAYELTTGTTPFYQSSKEDTMRKIIEGRVDFPKYVSNELQDFTKCC LRKDPQQRLRLDQMAIHKWIQMNHQAGGQYDRMLVQSLVTILK >CAK75211 pep:novel supercontig:GCA_000165425.1:CT868207:527030:528291:1 gene:GSPATT00001414001 transcript:CAK75211 MNQQADKLRSYAQDNVVFLLQRLMEDILSQQPKNVIDWSIAWLDKKGRDIVKSRQRPNAQ SSSSDEEVIELPKRPAAARKQRASISAEAYGQYNRKESFQPRVIVKSQQQKEIISKRLSQ SFMFASLDSREKDIVIDAMEERSYNVDDWVIKQGDNGDNLYVVDQGELNCFKRFTKDGEN KFLKVYYPGESFGELALLYNAPRAASIQSKTNSVLFALDRQTFNHIVKDAAMKKREKYVN VLKQIELLSMMDPYERSHVADAIKSASFQKGEYVIREGEQGDIFYMIEEGNLIATKTLVQ GQDPVKVFQYKEGDYFGELALLKDIPRQANIVAETEVKLIYLDRHSFKRMLGPLEDILRR NTDKYQKYEQYWITQGK >CAK75212 pep:novel supercontig:GCA_000165425.1:CT868207:528291:529325:-1 gene:GSPATT00001415001 transcript:CAK75212 MKASLVCILILTVAATDFESFQQALEFDPQTLSGDHCGNDDQFTQQYEAFLPWIQLLEEG EIEMQEDHSVLTQARDKIKLVREYIQDLDEELEKDQTNNNNNVVEQPTQQPTQQSTQQPT GQSEPQPVQPAQEVQEDDEEEENDDPFGWGAGEIPQHLLVDSKKKHNKNLKGHAKVLLEV MNQSKSLKYKSKIKRIVAMATSLDTDMGTQDLQERKNRKLELCDLILDELINMIEFLEKV MNDYQYQQEAYDIFKKKQEEIVEVANGCGARVISLQDTYSTSFVQTQPLKRNKKQNKEQK RKRKH >CAK75213 pep:novel supercontig:GCA_000165425.1:CT868207:529388:530893:-1 gene:GSPATT00001416001 transcript:CAK75213 MEIGLFEYTSKIIWPEYDYLKILIEFSAIYILYSVYKASVCKYVNSRAYTKITRIEKTNN EEEVKKQKQKTQEKQDKQEKNQKQGKRKNSEKEQQQQSKDGQKQQIKQESQQQKQENHSH ISYKELLKQEKHNKSLQNKEKQKSSSKITHPLYLSSVKGFSDYITDFAYNNRYLIATGFD NSIKLFEMEQFFKNPEQPKYLFHTLNDTHATAICISKKEEIAYFSANNQLFNLEFYPKAE DKQYFKVIPKTKNCHKSDINSLHVDENDKILISTSSDTHIKVWNMKGELLKEINTSYGEH YSSCFMKGFLVVSSWSVDTSIYEIKFNKDHTFRTLEKEEVLRGEKTSVLDASLNEHGTLA TLCNKSQCRVYRLNTERKLKEDAKLLTKVELQNISAAAVNENILVVAADRNVHVYNRNAT ILLQFQQIDQIDNAANGAYIKRVRIHELHSSLKNKNFYVCFVWGQEEERITVYDFTKYHE >CAK75214 pep:novel supercontig:GCA_000165425.1:CT868207:531002:532273:1 gene:GSPATT00001417001 transcript:CAK75214 MSQSLSIHVESRKMFVLQQVNQLEALLNKWISSNNLNEENTHSSHMEEQVTQSQTATHIS HFQGFLTYLRSLSIDELACHTIKSLQQHFDYMQQVLENEVKLYQQRQFLKDQEKQDEKKG HKFSKKSNLILKNWLYKHFSYPYPTKEQTLQLAEKCNLTYKQIQIWFINARGRINNKTYE EKKFKNIVKYKYLSINKVQQKPTE >CAK75215 pep:novel supercontig:GCA_000165425.1:CT868207:532417:536374:-1 gene:GSPATT00001418001 transcript:CAK75215 MNFNINIKSPLIEEFFGTLSNAGQIYDLVDYEYIQILTNDKYSLLVVKTNFDGALDQRIE MAEQLVKHQKKINQRLRDLGLMGILVDIIKYEIINIDDEVLIICKTMRMSKQKGLVNLGL ANCLEFYTGLDLKGVRQQIVMHIDDLGMNKIMPFTYMEGILNEDNQELIANLPQAEQNSI INQNGNGAHFSKQSIIVIIYNDQLVQFKQLSEMQDIHILKYLELTSGVKICDLIKKSRSN VINDKIHYLNQEGETIAIGDVGEKGNIQLNIKIKQQCYTLTAEWNNMKELINQRIDGQSF EQLPKNLFTPIDDGILSYFNHYEFDQRGSLIFLNCSLKGDVKNKVIKINKQIKRQIWFIA LKTILTILQVNIQNAIYQDQKLYLRTLCKLNQKIPITQVEIQADLKEEDFNLILDQCNLN PHLESLILDGSLFKDAILSGLIQGNNLKSIKELSFKGCPNITDEGISLLQNFGVQKLDLS HTQIYLTVPQNLQILNISYSKFKEETLLQLFRDKSLVNLISINISGLASDKLIQVIFSCH YKELRSLIANECKLSLSVFHHIHDSKHVKQLDLLSFQGSITDVEESIFKKNGYALLGASK TKINKLDLANCLINDKLIHQMVEAGKLLKNLRAISLSGCRYISDKSIYLLCDAHYTLTCQ LKSLDISNLPQITESSLEYLTNKPLKNLSDLNLAYSTQIQAEHLKKAFDTGKFNQLKYLG ISGTSESGGQADIFKFSIPELCTYYIRNHLSEIQLIEIYTSTKNIKNISTAVLNLLQTQI LTKIVTTDQIILEPETYDLFKSCIGGERLLLNNRPLQLDTQDKSEQQNQLTANQFYERLL KQNQQVLINNSEIKFIKLMFNFQSITKICFKKTEIIDKDILGICQNLILTEINIKKCKKL TFKSLIYLQKLSETLINIDFRGTIFENLYQTLEHLQYFPQLLYLNGGKLNIEIINVTETN HVIQELQHLPTLWMQLEQIKSFKLTIRAHYIQTFKFIFKTLSLLLNKNQDFIKSMSLQLY QFKVIQDKSLQLFHNSLLNLQSLQHFHLGFQSMKNISLLTLKNMFISLSNLDSLKSLSIS LDDCELQFVDEDLFLASTVFEGIKNMKLQRFSFSSNDTVTYDQSIFSSLFKYMSDIESLK QVNLSFQRHAINRESLSELFQTLKELNLSSLSLNFEQSGIKYTRQIWAELLEVLRMNYSL LHLELNFNHTFELTDMDILNIIDELYCMKLTSTCVSLIGCYHNEKSIKEFTNEDVKNYQF FI >CAK75216 pep:novel supercontig:GCA_000165425.1:CT868207:537472:537768:1 gene:GSPATT00001419001 transcript:CAK75216 MNDTRENEICEQAMNQVESSILKYLCDELKLEQFTDPQLKQFIYEDSKKKQIFSLRSLDS DTETQDSLSCSSSNRCSLQLTRSPLILKRLSVPITEQI >CAK75217 pep:novel supercontig:GCA_000165425.1:CT868207:538519:539487:-1 gene:GSPATT00001420001 transcript:CAK75217 MLVNQQSKVNKENLNPLLIVNQQEQLKLNGILPKNHFNQGITNRYQSTVSCYQQSLTKSP SSTTLQEQIERIVEKLKQKQEKETLQQNVDINKYSIDEMIDILKNDRLLHIRSLQIQPSS NKNRQNSPKTIFPPLQLKQNFQSFETTKSSENETTTHKFSLKPQFHQLPKPKPIQKKESK DIIKPPEESRKKRTISLVIDPPPKKIQMALQNTHRIVQLCSQFNINNITNEELHQLIKTE GFKLQFECLVQFSNNNNLDCRAQLQNFMTSQIKKYKDLRNAELEHQLQKENFNNTLEVCL TKLKSKF >CAK75218 pep:novel supercontig:GCA_000165425.1:CT868207:539796:541578:1 gene:GSPATT00001421001 transcript:CAK75218 MNHQDNALKGVLKQQLIVDSESGPYKSIQEAIDKAEPNTVIKIAPGLYSSNIVINKPGLR LEPKEKVGDIILVVPSQPTVLVDLVGDQVCTLIGLKISHSGTSEEVEELEKLIEGQEIAK NLFGGHEDGGPGMESNPDEEFVSKVPIETSMNCVVLLNGGKLIMEDCFIALNFIVKSFKG ILPGVAINNGAEATLTRCEIKGTSSKSQDAKTIGILIKLGELSVIESKVHNHTYGGILIQ QSPGNKTIKIFKTKVLQNKKVGIHVVGADAVPLIEQCKIENNEGPGIKVGIGNKAKIYQN DIKSNVVGIEVMSAEPQIFCNKIEKNFTDGILTKIFEQLRCDCKIRSNQTISGNKENGIH CTGLNNYTRIEDNSFIGYNKKAGIKADTESRITIFKNKILRNLGQGVLLVETSSAVIEKN EITENIKANIALGGSNSVDTFIVENKIMGGRCEGIFLIESGKCYIFRNTIAENNDGIVCI TAVPNIRHNNIQKNKSNGIMILKDSRPEIIKNNINDNDGIGLFIRDKSHGKILKNVIKSN EIELVVERRNPSLESIVQENEISGDIRIPQTYDCILQ >CAK75219 pep:novel supercontig:GCA_000165425.1:CT868207:541672:542978:-1 gene:GSPATT00001422001 transcript:CAK75219 MGPKCPCIYPPLPHKNLKYISFRERSPIDRNLIRNKLTNISKPLLTKIKYQSRPPQQIQY QIFALFNLLGEQSDQWFRIQKLLNNYEQTVNQLTQLNVNELNKKQIERCQYWLDEYDKLN KDNQITVFADVAKGILSKIVEVEEEKHQILMRELKDACIKKYNDENEVDEVAILQVTQET QPDQPTVQKQNDQSMEIGTTDKVNQNVLESPTRSTKNNISMNHPHNSSISNIRRFLENSS PKSPLNLSFCKSQEKSFGDFNKESTQKQPKCQAVNGGGVYNDIISLKKELEMIQRDISRL EFKNKKDEFLLNRQNLKNHVQKSNEVVRQELLNVRAQTQNDESFTDNQRKEKLKIERNNS TFRKELIGQKSPRNEKYIKYVEKYSQKYIPILDRIQQQEQELRSVQSQIKLADKKRTMEE NKSKKIL >CAK75220 pep:novel supercontig:GCA_000165425.1:CT868207:543643:544999:1 gene:GSPATT00001423001 transcript:CAK75220 MQEEENNQQPSRKIKFALPKGHFLFTSESVSAGHPDKLCDFISDSVLDACLEQDPNSKVA CESACKNSLVMIFGEITTHAQVPYETIVREAIKQVGYDNISKGLDYRNASVIVSLDQQSQ EINQAVVGSKHEDEIGAGDQGLMIGYASDETPELMPLTHHLCNKLISRLQECRVNEICPW MRPDAKVQVTVEYKRVDSSFYPVRIHNVLISQQHDEKITHSEIEAELHKHVLKHILPEKY VDEQTQYHLNPSKAFTVGGPYGDAGLTGRKIIVDTYGGWGGHGGGAFSGKDPTKVDRSAA YAARWVAKSLVASKLCKRVMIQVAYGIGISEPLSICVNSYGTHAEGLDDDALSEIVQNHF DLRPGVIIRELKLRRPIYAKTASGGHFGRNEPEFTWEHPKIIDLNAWKTHKAEQQQQNQ >CAK75221 pep:novel supercontig:GCA_000165425.1:CT868207:545265:546053:1 gene:GSPATT00001424001 transcript:CAK75221 MLLQQSRKSVAQAQQQTASSHTIKMISEKWKRISSEVDNQKASRLQQLQEKMKEIEKQAL TVNNHEIKQLQDSINTLNQNVQDHLTQDYNHKTQKAYENSKISLDKSLQQQSQQSIDMDK RISHLLDWSNLQDQLSRNESQYDFALQNKLQTIADSVNQIEDILESEREQRLQSFEQIEG ELEQNLFQLKNYITSESTLRRQKENKIFDMINDVHQNLQLMLQDEKKERQIMTNNLMQLM DDACIRIDRSLSSF >CAK75222 pep:novel supercontig:GCA_000165425.1:CT868207:546073:551578:1 gene:GSPATT00001425001 transcript:CAK75222 MAQSLSSQLGQLQHQQKQYASLLYTQQVSAKTDLDTIYYQGCKGMNKLTQIDTRFSRFQE SLFNVQNKGITRQLQTKQQNADLDKEIRQCLLLMSQYWTFEGTHSAMEYLLRNYRVHEYL VDEVIIYFLVYHNTKLYVRLLQNLVDQQRNSMWAFLYEPISRGQTIPRDLLIRQMQLDYR ILKKIIDYQCLLLQKVEVQEEIVFTVQDYLYTEQEIQNIKLRQPNQLAFLYSILQDLKVT TGYMDQLRGSFGKLLALCIENCTDENKSFAYLIFNLQLFDPTKILLTENQIENTAQWIYS QVQHNDNLLDLAILFYQFGIKTTYETSLILLSKDLSKFFNNGLLLIEIIKQLKDIQIPEK QLHQLIFYLKHQLSKNDTHFLDSIVSIMHDQIITVVRPYLQDTQYKHLFPKSNQIHLTFN NSEQVIKQLNQKDADLSIGTLVNLIEDSYNYFIKSKKIQFIKILNQSLQIAETQFPQTVM FNTNKLYALINNISDQTDNRDLIQSLLPIFTYTHTKQVDANYYESLLLLNVHFQIETINE FSKSNKILKQVSNKEQLIKLIQQQDEKLIESLLYNNQVQKLLIYKQLQIQLSYILQDEQK LQKFINEYTEDDQFIAKTMQSLSIIQLLNLENSLCIKFIKSIEIDPQLFFNTELNHLGSL KILLHKSSRNVNFAFKLFLIYDDLTVEQQELYLQIAAELKESFKSDLKIQKIQFSQSLLQ QTIGSLIKNRKEANFTKTLGNLKDQNALLDLQTLYLENFNDLRYRQLRSICSTFTKTKQS IKQDKVISLIKFIETNFKYDLLQSYLNILKYYGSIKDQNEEIDFSFLKKTLFKLPKDYCA VINEYISQANLFSAEVRTQMIIYVFSHNLKNKQIVVQDDLDFDLIISLLETPNLDILTHL VDLLRANIQHITVESIRKCFKLNINQPAQIILISQILYDYLDHHQINLLEECSSLQKKVL DQNLDLSLQINYTATTSCIRLIAKHTQINKCKSAFKSLTYPDIATYGQHNSLLFYRIIIE QFQNHNIKYFNSCIFAYFISQLIQITSTMDVNQEILQNFGLFSLEQWWVIMYILSTYYFT SEYIKLFKEQVNKFSPQIKLHISTALAESLFYRTQRHQKLLQIKLNQKLTQLQTPNLESC IKKVGFSLRGQIKPIKIKLSLLRILELVEDLQAQLSNYLIQSDLNAKQADQLKSLIVHIS KFSNVFAHSKLKALSERVRTSLIHSMKIETLVPLIKNCISIKSVSHILLQAFNDILRLDL IKIQKQQIQLIRDLISQIIIEISLPTPNLSTEMLLLLNYLYSIQFIQKQLQQQGQEIMSV LIKLLDSQNEQDQSLILNLYSLLSQIDSYPYLNDLNQVFNMLITLLCKEENIKKLDNNQF TYNTEQIIHKVSNKLILLNTSYALIKSFKGLISKQHLTDISVLYLIESDKQGFQCILDNI ENRVHLDVYINSILNQARKLKPFVVFNCVEYIAQLISKCESELVSEQLMQLNKEVQSIFD YSREQYIDSELKNGIFEADKLEYQINQVYIEIVMKINDVSFKKLYSNLIAWSRKQIQEVG YNFNKYRRIQFFRLSTQTSDKLGKYFTKYYSYIWDAIVNELQTFHNIFYETPKQTKLQKR TNDECDMYYRLELLLNRHILQSISSLCQNDCEQFVDTEKFEKLSDPLALTLDCPKVQQYE SILQYIDEWITPTILALFQLINDDYKWKDLHLKILQVISRHSNKKNETSSFIIIAIIKLI HRLIDQLDERYLVLMNDLIPYLHQLTSTNLNSEELEKRVKQLIVRLSQLSGEDIVTALK >CAK75223 pep:novel supercontig:GCA_000165425.1:CT868207:551863:556068:-1 gene:GSPATT00001426001 transcript:CAK75223 MHKNYLPEIHQSHSTHHLESKYKQKIQSSNSSIEWDQLINTSIQNSVKIQVLPKASNALN LSKLVPSSDVSYLKSTKRNFAKLGTSIYKDPELQQTKYDKNMQSFYSTLYRKSSSRLPFN TNLEKEPFSGRLLDFTEILSDNKKATGLQRYFSEILKLEMQQSHSEKEMVPPNRQQAIDL KEWLFMMITFTKQESPNINTRELAERIQLIYASCLRELIKQVSIECTERGELLQVVWDFY VELIGQIIKCYSEQSKESEKSYLEQMQRIQAFHDTQMETQKVKYQEYQDQIQKIRNESSD SVDELNLTKAKMLLLTADMRQLLQTNEAQKKEIDSLLLQIMLLRTQDQSDKKQENQTINV NLSQGQSIVSHLLNFKETNTEYKFKKSRVKNTNFEKFEQLRQQELQLKSELIDQQILEPD IEYNDQEVQADIEYQQEEIQTDLDMMALEVQEQKLQEQEVQIQNLEQQKEQLNQEIENHE NSSQRENQQSDQKQNLLEAQIQQALQLIQDSSIDSKQLICEILNQSISQSQQLSQRIATL EREDTRYKIELLEKEDFNQELESKLSQAVADFIDIIKKKKKQINTLLKQKSTLLDTLNQV SSSYNIQINLPEFNDEMDMSQQKDQEESQENFQEFEQSQNLDQDNDDIKIDEQDELEYQF TDRTKIQENEQENKIQQNNNQIRNNEDFETGSQQQFNQYQNNLDQSLRQNTQTSQQNDEL QAVDQIKINQNNDKTTNKNQKNQLSNPQNNDKNKKQKNVPPLISKNQLNKTEIDKKSQIN EQNQNSVDKKNIEKNNYQNSSSQMKQNEKPEKSFSQHTKQDTQQQSQSNSKQNQQKKQVS SQEKQHYSNSNIQKQEKERTNEKNSSNDLNQGEQQLQVQNKEQKDQNYSINQNPQFSKKR NSKVHNQEKSITMTQNDESLSEDLDLESSQIIQNNPQGERIKQVVNQYKDQKPKIKNKYN LIVLEPKNQKRFRRIYSQNTDVANNLLNDLKSRKKQNKKIQFSILQLQKLVLQILSDICK QAEGYKIPFHVCIYDYFKNKYGFKQVAEKKIKQVYQFIYYEKDSHIKVKLLAQFCYLIGE MDEIGQKLLTESYQFFSQKNDLNYGKVELLLNYEQVSEFLSEKSQRWLSQQQIQQMLHQY RNQQQNNQRYYINHDSLLLKILECYYSNKKDQQTTLESLFNAADLDGNKLIEFSEFKTLH RALHQDKLSKTQLLQIFTNNADFQDENGDKMLTLPRFTEMSIELGIFQKEHVLKYSEGSE ELKNKWEKEKSNIKYRFLRAKKFQKVRHTFQELENQIKNQEKDKQTTLWVSFKLLNEESQ RVLQNYETNQCLFELLPELQLIMYQNKVIEQLE >CAK75224 pep:novel supercontig:GCA_000165425.1:CT868207:556152:557799:1 gene:GSPATT00001427001 transcript:CAK75224 MGSCGGKSENKQQQMSKEQKCKNDLNFKIALAISKFEVGPDIFINLKTGDITDNYIMNKI LGEGSYGQVRLVQHKKSGQQRAMKQISKKKILKEQEEAMFSEVSLLKNMDYPNIVKLFEL YQDSQNYYLVTEYLNGGELLEKLTKLQTFNERMAAEYMKQVLSALAYCHAQNIIHRDMKP SNIMLASTDPQSKIKVIDFGTAKRFVNGQTQTQVIGTPLYLAPEVIDKNYTEKCDIWSCG VILYQILTGKFPFESKVSNLQQLFSNIKSGKYNFTSKEFTTLSYEAQELIKSMLQFDPNK RPSAQKILDDPWIKEKAREEKISVDVLNELGKFRNESNMRAAILQLIAGSVMSNEEKDQL TSTFQSMDKNKDGQLSKEELVLAYTKVFNDELKAKHLVNQIFDQIDQNNSGKISYTEFLV ASAKQNIILSKTKIDQAFKLFDKDGNGVISKAEIQEIMCGINIDNAEWSQIIQQCDKNND GNIQYEEFSSMLLAVAKI >CAK75225 pep:novel supercontig:GCA_000165425.1:CT868207:557830:558081:-1 gene:GSPATT00001428001 transcript:CAK75225 MSIDQILKDQEQEWWQAGKEDDYNVLNKIQRTSCRPIQRKYLECLKQNFDEQMVCDQFKK DKDNCLNILQYMKIKEIQKKLIK >CAK75226 pep:novel supercontig:GCA_000165425.1:CT868207:558094:559505:1 gene:GSPATT00001429001 transcript:CAK75226 MLFFLSVVIINGLQLKLQPFDYQKGEITDTAYSVSLQIAGSNYQMVIDNINPYSWIYSKN PSNNNQCNNCPQTVQQFDCKETQGCEKLQNSEPITLSNTNKCILAKNTVMLDQRSFDNFQ ICLSYDISNQQYKQNGVLSLTKLTESPNKSNLFYQLEQHSLQQIYQISTSQGQERIFISE QSEYQLKKTITYQNQAQDQWKLQFQLFSKEIQFLKKEDNQFITFDLTTKYNYFTQDIVKK IITQIKNYQSLFCSVEDKRLMCLCSKPDFVDKIPKIKIAFNGLPNTYILPLNPKFLENEQ KCQLDIFQHSTSSFLGNQFFQQNGAIFNSKDNTILIGQSNQDTEDSYSFSNPTLYCLLAS FLMLFGLLSLIFILNKISSEQLSKPKYEQPQPKPLYVVSNNLNKFKPYNLELSTNQQMVY SRR >CAK75227 pep:novel supercontig:GCA_000165425.1:CT868207:560014:562007:1 gene:GSPATT00001430001 transcript:CAK75227 MRRQRFLSPQERVKSPPAHVNLQLPIKRSLLMQVRIQNNKTGPYVFDCSQVIGKGQYSVV YKGYEQNKPNQIVAVKVITIQPNNPIQMYMIENEINTLKLLDSPHILKLLYHNQNNKSVF LVTEFCNKGSDLLQKLQRSLISEEEALQYTLQIAKALQTIHQHNIMHGDIKSANILLSDR CVLADFGFAQKIDQAQQTFIIGTPLYMAPEVLFDNEINCQADMWSLGCVLYEMVFGQSPF YSENNEILKMTLSKFKENGKLSFPNTGVSKKIQALILYLIKIDPNQRLTAYDTVVLLEPR SVDEQIKLNENQLKIKQELLNREDKDAQVQMPQQQSINFNDSNANSNSQSNQTPIQDIQS TPDNQKNQNGGNNSQDEHRRSTKNSNSSAKRKKLLQQLNNINQRKVNSYINNTPTQQTQQ QNTQISEVKKVLHKNNQNPQQINANSNSANNNITSNNDNNNNNNNNNNNNNNNNNNSNNN NNNNNNSNNNSNNKTISLLDLVNYFYKNLNQHLFILNLQNIYYLAYLEEQSKQQKKVIFV SCQNDYFELEREIKNKYPQWLKEEEFQKIFHSKFVLTQDFLKYSLNYLNKAAEDPQQDEK ITEKIKKTLQIISILVSNEKSSSLQLDQQIIRLHRLNSKV >CAK75228 pep:novel supercontig:GCA_000165425.1:CT868207:562226:564283:1 gene:GSPATT00001431001 transcript:CAK75228 MLKHALRVMQVFRPKLLHCVPLQTLSIRGPLFTSIPVSYFSTTLPKHKKLEMPALSPTME TGNIQKYLKKVGDPITAGDVLCEVETDKATVGFEMQDEGFLAQILVPEGSKGVKVGQLVA VIVPKQSDVASFANYKDSSSQQCSAASKPAAQPQQSSTPQRAQPAATGGAFPKHSKLGLP ALSPTMEKGNLMKWLVKEGDRISPGDVICEIETDKATVGFEVQEDGYIAKLMVPAGSKDI KLGTILAISTPKKDNVPSFTNYTLEGAAAAAQTTQAQPPQQQQQQQQTITNETPVQTVSQ SGQRIFASPLAKEFAKINNVPLEYVKGTGIDGSIVKKDVERFLSSGSKPEVQQQQQVITP PQQQQTQAPSQEQPAQQTPPPAQAKQQTKPAAASKPVAIEGNPYVDTELTNMRLTIAARL LESKTTIPHYYLTMTVTMDKVLKVREELNKLQKVKISVNDFIIKASALALKDVPQANSQW HGTYIRKFANADISIAVATDAGLITPIVFNAGSKGLGTIASTVKELADKAKANKLKPQEF IGGTFTISNLGMFGIDQFIAVINPPQSAILAVGKTSKRFVPDEHGQPKVESQMDVTLSCD HRVVDGAVGAQWLQRFKYYIEDPNTLLL >CAK75229 pep:novel supercontig:GCA_000165425.1:CT868207:564661:565711:1 gene:GSPATT00001432001 transcript:CAK75229 MSSKPKYLLKKVTKTNDQQNNMFMTQTLDQDEAQFYKDGKICSYYIVGNPDLFKKKTFIL KQSEQSNIPSKPSQRVIQKHQRHKQDSDFIYEDMEASDVEREVERVFQQQKQPEQGVKMA LTKPDQIYANRESKIMMAFEQQQQKWQQRVVQSAKACGRDPKQSLFHHIDAARQRYEDRK LLDLIQSDSEKYGNHLWEMQLRAAPGELNNKNEKAVFEIVKKNKAEQVYERPEGFKKRFE EKKVVIEKIIPNLSVKELEVVGQNKLIKESISMLNLAKNQKNVKFNNEIPLSSKRKIILS APFEKKKQKEEPLYYQDVLAMNYNKRDLRQQGQLDICKQIF >CAK75230 pep:novel supercontig:GCA_000165425.1:CT868207:566219:566759:-1 gene:GSPATT00001433001 transcript:CAK75230 MEQKPKLTFGEINSKNLEQFKIITQRHYQFLIAISFIRGFSLIKTFLHQPHYRIFIMILQ IEDQDEVKTAYIMTFGVLDAYRRLGFGSQLLYELINRINSYKEIRRIYLHIWSNNDVGFQ FYLSHGFEKTKYMKNYYTNIEPPHCYILTKRLYPDEDPPIQYIEQDFQN >CAK75231 pep:novel supercontig:GCA_000165425.1:CT868207:567308:568062:1 gene:GSPATT00001434001 transcript:CAK75231 MTKRKPQFDIGKEEDDDEALPVVGVNNTPEEIQERKILKIRGPKGKNDNQNTKIVITAPP PSFEQAESDQKLSTPPKKFQPTNIFNSQQEKLFFNTQPLNSLQLPKQQNNNMGLVSPIIE FKSIRKSDKSITSPNQETNKKIEILDNKLKKIKIDDKEHENKEITFTIEKVGDDHKIRVE PDIFEGLIEKEKTKKENNIVRLECKKEKEVHALQLEFVNEKDYEQFNL >CAK75232 pep:novel supercontig:GCA_000165425.1:CT868207:568070:573156:-1 gene:GSPATT00001435001 transcript:CAK75232 MITLFLLLVLTRTQPCSAPQSFITSTIIGVCSPDNSVDTYSYPLTISSGNEFTVSGWFQL DNKGTDKQGLFSVQQNGMRIGMVVHDEIVDFLYETQTVSKPFVYTVKRWFLAVATLSYST GYVNFYVWLPMNDIRITQAPTSVGVNFNTPITSDAKLNLMYFNTNTIFCGRANNVLFYDG YYENDNNYNWINLAFLGNSIENIYHFTFEDQIGQKVKGSQNNGYLGSTRGVDIGDPTPGQ YIQLSSSQYVTLPSALLSSTHQSISFWYWSNIVVNTDAFVEPVGDTTKEAIIIRRVYGGS QDQFQILSTATAPYTIKLNYGSVKSPISLTPTVTGSTWTYISIASAYVDANLGISKIYFS AYVNGAIQTHTQSSLAIKFDESANDVIFVGDLKNAFTSGTMRLAHLDIRSGYVNYYSAST VKCKTNCLHSINSYTQQQCYKCSNFLQSGSCVASCVAGPTGYPSAILPLCRSCIYQCKEC SSAAVCTSCNNGYYLQTSNCVLASNCNVGYLADPVSKKCTQCGDGVLQGAEQCDDGNFIN DDGCTNCNIDTNYSCSGAPSHCQSKCGNSVLDTGEQCDIGQLFINGCINCQLEAGYYCSG TTCKLLCGDGKRVNEDCDDNNSVSNDGCSQCKVDFGYQCNGGSSTNKDTCSKQCSNGILD QTEQCDDNNSNNNDGCGYCRIQLGYQCTNNVCISLCGNGLIDDLEQCDDNNSLLGDGCSY CLIDYGYKCDQTLTQCDSLTVTCGDSYKSLSEQCEDGNLEVGDGCSETCLIEPGFTCVQG LYRYSLSICQPTCGDGIKKDKEECDDGNLKDGDGCSRICKIETKCGNSIRESNEFCDDGN QIDMDGCTNCIPDPGYSCNLIEGGTVDKCNKCPDNCDSCTSDGQQCLYCFNGYALYNYEC YSQCPQSYYYNDICLACPENCLECYANVCTQCSMSTYLFKEQCIQQCTDGYFEQQNGVLG NSCIKCYAPCNICQNEVVCDECDDGYRIEDGSCVSCNFDKNCIKCDVDDCLECEIGYQPD GQECIKIDPDCGDGLHALSEQCDDADLSGGCVNCKIKSGYNCILEQNEGPDICYELKPVE VSAYIDEIHFDKLYLEFNRPLSQMYNYTELLLINIPDLPDYQYEIKQLDLYIIEITFTYY DTVQHIYASLNFTQPNNIEDKFGWSLVGQANDSNSKIAKYGLIKTELNYFIYYTDDEVYF SDTLSYITLSFLILSLISAFSYSYKIGNGQVWQIIESLQLISLLRLIKLRYSLHIDKVFD VLNYCNFSFIPNLFLLMIDVPKQNTIKFQIEDYPSQFLLNAGGRYISFIIYALVLWIGVK ILIKLIHWEPILNRLEDIDEYMKFQAFVRMYEMMFLDLMVSCIQTFQNYSTTFDIINLVL AAIFIVLSIVAFVLTYRFILRHNDAYTFEWLHIYLGNLYDGYEIRTRFGRLFIYVPYVRK LIYACLFLLPSQFIQIIVLCALRIVIYFPLYKFNPFISRILLYRQTIQAIFDTLCLVSGI LYLNIDDSKQIKVSDGWYMMMAILITIINYTLWNIVLIFVKVKSPNYLLDDFEFEEEPKF KNNQIVPMGYIPPEDGQNNAQIVPEGYENPPDVGIAQIVPEKQPKDQDIISVSSNVQEAN NVQDPIEEKKRLEEERKRQEEEQRLKEKEERKQTYLERKATQKLKQQEEEKDELVDDFFG >CAK75233 pep:novel supercontig:GCA_000165425.1:CT868207:573300:573939:1 gene:GSPATT00001436001 transcript:CAK75233 MQDKVKILMLGERSVGKSSLLNRYIDDKFYDTIQATLGVEYKQKVITNGESQLTVQVWDT AGQERFRYIAPIYYRNAQGVPMVYSVVDRDSFNQVQTWMDYLKDQVDNQLISIILVANKC DLENRHVSTSEGQELAQLYSVRYFECSAKTGAQVKEMYSELIQQILSKRIQNNEESQRLR NFPQDQVDNTQPQKCC >CAK75234 pep:novel supercontig:GCA_000165425.1:CT868207:573951:575579:-1 gene:GSPATT00001437001 transcript:CAK75234 MHTYDITPDILTPRFLEACRREGIHENELIKKSKAQVTQMVKSKDASLTDEFICEIEKHL EDKRKQKIDLVRAQRQKILQENGTRSKSTHNQSQLNFSSISYAEKQSKLLENIKRRQQIE IDKMIEQEQLKEQQEKDMQFKLLKQQQKEQQFQEELKRKREYNEMKKQQLEMEKLKKEQK EKQIQDQKLKENEVKEQKRKQREIENLLRTKLEAEFKEKDRKQKQDSILREQEQFQFEQQ KLQEQKKLQIEDKERKRLQLIEFKNEERKKFADMERKEFEQKLEKVRKYNEEIMERKRLD YLKREAQVEAKRKDFEQFLDYVKQQKQKQNVDKQQQINKIMQLNFTKEEEKRKKYEIKQQ EYFKKKELMDEFQRQQMEEKIRKYEEKEKKIKLILFNNEFMQEQQRNELQQKLNRTQEKL GSLNSKKDLENKYRTTQQIIKEIDTEDNLRRMEQLNGYRRVKLEQKMTKEEEKCQQMKKD REQVGKLADQIRKEAEEKRKLFMLDYEKRKRMQSDGFRKMNSSMGQ >CAK75235 pep:novel supercontig:GCA_000165425.1:CT868207:575764:576426:-1 gene:GSPATT00001438001 transcript:CAK75235 MGNNCLQQNQTINQKEKVIRINVYPDLKYPSKQILHQSIQNIQQNLDTFEEDFFKSEFIF DFTNDNITNHCSSKQQLERIQDEEQNDMQCPSLNFFGDAQIQFQYQINLNTSQEDPLNQA FMQEKKQERRSQQKKKKQFKKLVQKKVELKGILKQRTPSPSSFSNLSNRSGKHSVTFKLS QININNKNTRSLSPIIQSHNSKQKNVHHKSMIFMKQFPYL >CAK75236 pep:novel supercontig:GCA_000165425.1:CT868207:576689:577173:-1 gene:GSPATT00001439001 transcript:CAK75236 MGNTQLTDQQILLYKNETQFTPAQIRRIHKKFQQLDTNNKGFVSATDFIKIIQHHKYSPL LIQQLIGRKQQEIDFISLVRLLNAIQFGDKNTLLTKIMDQDRDGLIGTEDIQKTITVLNP SCFKNSYELAQQVVNDNEKLTYNKMIKLLIDD >CAK75237 pep:novel supercontig:GCA_000165425.1:CT868207:577319:581328:-1 gene:GSPATT00001440001 transcript:CAK75237 MNKTNCFPKIQKAQSTVRNFELKQAKLLIKTDWDQSINSSIPLSIRAEMSSHRMKTDFTN KNFSSNSDVSYLKTKHKKIQSIESIIYKDPELSQTKYDKSLHSFYSTMYRKSQNKLQFNN KIDKTTIKSQWLDFEDLLKDKNFMENGLQKYFYDILKHEIKQSSNQSAIPPNRQQALDLK EWLFLMIQRTKDNSKNLSAVQIAEEIQLIYTTCLKEIINQVKIECVERGELLQIIWDFYI QLIDQVIKSSNQQMQGFEQEMKNKVTEQQNYYNEQLQFQELIYNESKNQIKQLQFDYDRA LDIDQQQKEQILELTSEYREALEIIDSHRKQIDDLQLQILMLKTEQAETKKESEKINIQV QQGQSIVSHLMNFQAKIDTNQKYRIKSPFKSKGSQSPGRSPKKNSMIFDRWEQLRLQENQ LKSQIISLKYLDFDKDYQPSQSKIVQISGTDDKAIQTEDLVLDSQDNTKKKEKDLQNDSN ISIDENRKPQQDDLELRITKVFKLLEEQKLDENQKQLFYKTLSETKDVCEQNKSLSQRLI SMKKMDSRKKMELLEKEELCQELEIKLQQTVQDFISIIQNSKRKLRNLVSKNTQLVGTLK EIESKYEIVINDVKPIEEEESHLMNLINEVDGDENAFSLGKESQNQNDDKSLQIYDEESI KSSVHMIDSIQLQKRPIQDFKKAISDTNLNDKLEQFENSSNNQINSKEEVQDHKNKGHRK KQSEYVNIKNEEIYKDTKEQIQSENSKSDYLINFNQKNQLSEENKTLKSSTVNKTQINNQ KIKSIQQHQQQQGDQKFINQQQYHTRNSKNQLDIKFENRNSKHSISNNTITEKDEVSQMK QSQRSQIESEKMELDQDKNCAQRIKDVISQYKDKQLTKYSSAHKTINFNLLQETKQPKRF RRVYSQNTDVANNLLKHVMNSKNINKTLSFLQFNKIINQILSEVSKQSEGYKIPVHVCIY DFIKNKYGFKQVAEKKIKQIYEFIIIEKEKNTKVLLISKYCNFKDEIDEVAQKLLIETFV FFNNKIESSKNEFLITYESANEFLNDKSQLWLQANQIQQLQNQYKVQSQQFGINKYCINY DQFIMKILDFYIFNKKDYQNILEKLFKAADLDGNKLIEYQEFKTLYRAIHTQQEEGQNLL QMFLKNADFADDQGDKYLTLPRFTEMAIELAIFPKDSVIKYGEGCEKIKDHWKNEKNSIK LRFLEAKQYSKVKHTFEELDNLINNDKKEIQHVLWVSYKLLNEQSLRVYLKYQTKQCLSE LLPQEILQIQQQYKQLDSIE >CAK75238 pep:novel supercontig:GCA_000165425.1:CT868207:581715:583072:-1 gene:GSPATT00001441001 transcript:CAK75238 MFLTCCPNVYSMVLKTQINFIDNEILLSLNLQPPFKAFLKKDFLHIYQDDEPKFSLPISF PNLIHWVYNKSLVGFKINNTTYLTDQAYKLKELLNGRLFFSRIQDFYTPLQILGQGSSAK VLLVKSKLGDQYFAAKCVEKNELMYQEIEINNQLDHPAFVKIKEVFQGETSYYIVMDLLS GKNLQQLIKNQHGGLTLEQSSKIMHALLSGIEYMHSKSIIHRDIKPENIVLQKTNNLTTL KLVDFGLATYCNLKKFKYPKCGTPGYVAPEIANLTDKDTTYGPACDIFSAGSVFFKLLTG RDLFPGTGFNFVLQLNKKCHIDFSPLTLKKIPLNSILRELVQKMLSKDPKLRPTAQECLN HDFFTLTEKPSNAHKKMFFAQTRSATLTVDFSSPEEKPDYKGSFVTNDIVPQLPQMPKMV MKFNTTEFEQYD >CAK75239 pep:novel supercontig:GCA_000165425.1:CT868207:583165:584065:1 gene:GSPATT00001442001 transcript:CAK75239 MSLIQFKREKYGIKLRRDALEKIFSLKRVINSPDIVQVFQKQLESYETDILEAIEILKQY QNIKPEYVQLWLFLLYDCFIYLDQQYKGNEILDLLLFSVIQYPQGIIQFIEYQLFEILLR YQDIQALQIIETILKSQIIRSRDVQIEPLVNYICSYIPELTNNILNILILLSHNIECKAV IIANDPIMNMLLMENNQFQDERSRILSHLSRGNVKMVYYFYNSKDKLQSLFYLNTKHCLK IIMRLICYDETKKILSIMREQRINIQIEKISSQNYITYKNEIEDILQEFQQ >CAK75240 pep:novel supercontig:GCA_000165425.1:CT868207:584108:584839:1 gene:GSPATT00001443001 transcript:CAK75240 MDQKFENWLPLESNTILLNKYLANLGVNTDFANFVDIVSFEPEFLIPGSLGALFVYPDSP AINNYFFEQGDKMFEKPIPHSLYYMKQIAENACGTIALLHILANIPKEYQFIINEESFCP QFIQNTINMTPEERAEYLKNCKLEVKKKDGSVKSLQDAHKEVAQENLEDPNIELKAGHHF IAFVWHNGSVIELDGRKKAPIIYADCQQELFLEKVIEICQKHYIEKDLKEIGFNLMAFQI GFE >CAK75241 pep:novel supercontig:GCA_000165425.1:CT868207:585893:586716:-1 gene:GSPATT00001444001 transcript:CAK75241 MFTEQVSIQSQISIKRCYKEASIEMASNPANPFHQWIIKLDGKSIKTQKRNILAVPSPQL ASYIASEFNNQNKNMSLLSMQLFLLASHAVDLDFDASSRDIMEMSFIGNFENDVILKRHQ SQDKLLQKESQTFEPLIAQLNRKFNVEISSKDNRNQEFLNQLSKIKLESFIREMNNWQLV SLNSKIENLESCILGLNLQLGSIDITKALALRKSLIEQKNSMNKSFEKSYYESNLKVNLE AAQLFSQSITTQSIMY >CAK75242 pep:novel supercontig:GCA_000165425.1:CT868207:587318:588678:1 gene:GSPATT00001445001 transcript:CAK75242 MDLDFIFFPAPKDIQNDHQHGQILWIPKYPKLYPAFQNYVPRTNKKRGVFFKQSELDFED DHFLIDEPTETQLQPIPNFQWKPITSRLRKRQPTDANSPTFDSNEGSPDVQQKLQKLNMQ SVQIITKKPQLCRMSSNLYPIKKTRIDQSICFSQPLIKLPQELIDLKQKNQDQSNDEQPP PRSNSRESHQINGHIPCMYVNSNKHSPNILLYFHANCEDITQSYNFLVHLRENLQVSAIA VEYPGYGKYKNEQPSAEAILNDAEYVFNYITKRLGYAENRIIIFGRSIGSGPATYLANKY KPACLVLMSPFTSLKAAVRDYIGSWAQFLIRQRFDNLDQIKKVKVPTFILHGKADNIIPY SQALELYKSCKSDKCILHLADDMDHVSYRLYKDLINPLTEFLLQIKYYQNSSQGPKMPTV LFYDPTIE >CAK75243 pep:novel supercontig:GCA_000165425.1:CT868207:589223:590226:-1 gene:GSPATT00001446001 transcript:CAK75243 MTDFIRWTYENRFQISIDLAAGSISGIANCISSHPLDTVKVRMQMSDDGVLKTLQKIINN EGLKGFYKGMSFPILSIPITNAVVFSVYEFWRKFFIGNSNKQLTYFQTAFCGSIAGSSAA FLSCPIELTKCKLQMQEIEKIYKNPIDCVLQIYKKEGFKYIFRGMHATQQREILGYSAQF AVYECIKDILCDLSQKAEPSTANLLISGGLAGVSCWTIGYPQDTIKTILQCQTCTDQRIY KVRCFDGGFYDCLTQKISTEGFGSVWKGYSVCVFRSFYANAIGFYAYELAKEQLTTLYQF >CAK75244 pep:novel supercontig:GCA_000165425.1:CT868207:590470:590874:1 gene:GSPATT00001447001 transcript:CAK75244 MQNIILVHCKKKNYQIDDKGTFSNQSCDYNDYAVNVCDEVIKSNGIGILTCGSGVGISMM ANKVKGIRCGQVNDYDSTLKAIEIGCNVISFGARIVGIEIAKQIIDTFLSNYHKIENNEV EKEISRIKEENYKK >CAK75245 pep:novel supercontig:GCA_000165425.1:CT868207:590897:591306:-1 gene:GSPATT00001448001 transcript:CAK75245 MKQILSFQNTRSFDERKNESDNCKYLYPNDILVVVEQLNTSKLPRQNFIKFKMPNTMTII NVLQYIKSKIKLSQYDSINLYCGKILLRIDQTLKELYQQFKDLDGFLYISYIEMNSFGIQ F >CAK75246 pep:novel supercontig:GCA_000165425.1:CT868207:591728:593493:-1 gene:GSPATT00001449001 transcript:CAK75246 MSKDIADEQGLSGQSSRSSSPQEQSRRKRQFQIHFFIEWKYIKSEELKNILEDVKSQYDI KSIQLNRNNQIPGLEGTAFSIIDYDDNKLNSQLEAINKILQLIEQKKNPNFEMMMLIPEG TVSYLIGTSGKQIKNIQQETKTDVVVNNAINKFSLRSVKIVGQANCIFNAIKLITNKLHQ RGITEDDYIKRAEPLDPGKVVTKVQLVFLNIIVDYILKNKDLEKKYQLKMKAKSSNEIPI KNKLKKDEEILQLVGTLKNVQEAIKSIVRRISSQFKKLDFDIRVVMPANFASKLIGASKQ SSYIIFLKRAAKQKNLQTKPKEHKQKQCPIRMIPIQDNLDCLVQVIGSVEHKLEATVLIL EQIECFKNGGPILESGKYINENFAQQYKNSVSLQDMKPKRNLLLPALLEEQDPDRNQTKD IKNKENLDQLGTSNQQKSSNQQKIKQNPFQTKIVVPIYLIEEIQKKLNRIGKEEGVHIQA GSREFRDELVLKLRGEMKNCLTVIQYILSEQCKLQRR >CAK75247 pep:novel supercontig:GCA_000165425.1:CT868207:593616:599932:1 gene:GSPATT00001450001 transcript:CAK75247 MLEKLSQKISGGTTSKSDACEICGKQFDWYNSLSSTRKTKQNQCKRCFRGVCSNCSQDKA IIITHDAKTPHRICKICKDDQQQQKQIVEGENCKVGQLSNVGRRWVSIIYGDKYFLIIAR IEIKKIQEEYQKALPSFVSNSNYEIKQQIQTNLLRIFKENRQSLNYSLADWHYRCCANEQ DNILVQKISNILTCFFQSKPVRLTKGLVFLTTYILYFCDEPISLKVLQFFHEKIIPPRIQ YHKLEQDESKPILGEVDLLLEMVNESSKMEKSVQQKIKVILESYSTQMLTTFMINSFEFY LGFFIFNQLVINHNFQMSEKFLAYICRDQYKAIQEINVDEFQRLILRNIQLDKFTAFLSQ EDEKKIKYRNSIAQKEQQLQQQQQQNNKIDDKEVEKLFEALIQLVDEDQSNKLESMQNAS KFDWNKTQIKELVKSIKKRNSVLQTQTHLEDQLKQYQEKSAEQLQQQQIGQGFIQSEIEE KNQLIKQLQDKIRQQQQLISNYIEQTKLLEETHQQSMKSQEREYLKMIEELKNNTILKSN KESFYEEKISSLQLEMERKIKEKEEFIKSKEEQQEKEIYLISEQYDKIKKDLENKDILYK KQQKEQENLFEQQLRQECQKYENTIKTLDEKYQYQIENLEKQVIEINQQKNQIELETQEK IKNLENLLKKNQEESQNLLKQNLETYEGKIEQMQIKQQNEIEGIQNRLQDQNQKENQNKE NIYQQEIEQINQDYKQQINEISQNFKEIIQRKDESIKQQEQKIQDQDSKHQEKLQSVLEQ HRLELESKEQSIKLLKEESSQYFTQEIQQNTESYEKQLEQKDQTYQQEINEINQKFQETM FQKDELIKQQEQKIQELDQQYQGQLKTLEEYHKNKFQQKDEEYQKQLEQKDKDYKQQINE VNQNFKEVLEQKNEIIKQQEQKIHDIELKHQEQLQILAEQHKVELESKEQSIKLLKEESS QYFTQELQQKDETHKKQLEQKDQDHNIQIDEINQKFKEIISQKDDQIKQQEQKILDLDLN QQQQLKSLEEQYKNELSQKDETNQKSLEQKDEEYKEQINEINQNFKEILQQKDDSLKQQE LKIQDLDLNHQQKLKTLEEQHKNQLEQNDEAYSKLLEQKDQENIKQINEISQNFKEILEK KDETMKQLEYKVSDFELKLQEQQKYLEEQHKLELESKEQSIQLLKEESNQYFTQELKQKD ETHQKQLDQKDQDHIQVVNDISYKFKEAISQKDDQIKYQEEKIYNLNLNYQEQLKSLQEQ HNNLLSQKDETHQGQLEQIDLDHKQQINEISQNFKEIIQQKDDSLKQLEQKIQDLDLNHQ EQLKSILDQHKVELESKEQSIKLLKEESSQYFTQELQQKSESYEKQLEQKDQDYKQQINE INQNFKEVLEEKEENLKQQEQKLNDIELKNQEQFKSLAEQHKVELESKEQSIKLLKEESS QYFTQELQQKDETHQKQLEQIDQDHKKQIDEINQNFKEIISQKDDQIKQSESKILDLDLH YQQQLKSLEEQYKNEISQKDQDLKQQINEIQINYQNQISNVSQKLQEELIQNDQLTNQIS LLQQIYDKQLLQKDDEYKNLILEISENHLRMIINKMNLIITNFNNEQILNLTLENEQLRE QIVTENKVTAQQQQIYIKDDFNQQQEQLSNQNHKLINEDSNNQTNTDFQIIEENLKSLIN EQNEQISELKQKDFDNQNIIESLKQNFESKQQELQSKYQGQIDACMKDYEDEKDKMQNQF KQVQNDLEEKYKLEIFELLETISKLRFQIQDIESSLQNTNAELFSQQTKLKQIIQLQFEE QLKKQASEKDEIISNFEKLRYQYNQTLQLNQQKDSEIQEQKSFIEDLKKNIDETNQLLSL PKSTYNNFKQLNIKFEKEKVDQTGLTQNTAKELQDNLLQLRNQLILKESEIYSLRLQFID ANSQRLNYFYQVQNYIKEMKLMSEKLQTYDQSDVQQITSYYEEKIKLLDQSYEEQMNRLF QQLKQNQDKEQIAQSQSSQQSQKGNAQQGGSSQLKDTKITGRVQMLEIQQESKNEQPEDC TVF >CAK75248 pep:novel supercontig:GCA_000165425.1:CT868207:600275:602076:1 gene:GSPATT00001451001 transcript:CAK75248 MQYQNESEQLNRKQQLLRREIYDKGYDCQAFQDYMEQLKVNGGQDVNIWTYQELQEAISL FQTTNQPQMETIELDDVSYRQSEFDDHAQFEVPQNLDEQLPQVKQEQQLQNQKQQNQIVS NGMHEEIVAKPSVDNERFYQKVHLCKLQDKKMILTANEINVQITKFEKIQGGLLSASYYI YIINTEPIGWNVQRRYNDFVWLREVLNKMYPGRYIPPLPKKTVLKNDQELYLIKRMKFLE KFLQGLFQIELIRHDKWFYAFLSIKEEKDFKQIQKLSTQLSRVTKLEQIISLDGQVQLQI NDNLVTYNQESSSLINSIDLSYKKLRKESKQLLLDFDQLSNTIYNMGQTCTDLYQFSNKF NQSISQGKISKLDILYISMNNMLVQWGNNLTAQIKIVQEELCSFFKFHHHSITSLKEFIK QKEQAQQEYEKFKSKLELKKHKLFASQDFNRWEVPSIQIKALQDSNLTQNREVCLAIMLP QETTLQEELKNIFAFYNYQQFSEINSHLENTVTAFSEHFIKFCSLQKEMLAEQKLVWDKS IVNFNNLHYPQQIILKKT >CAK75249 pep:novel supercontig:GCA_000165425.1:CT868207:602134:603493:-1 gene:GSPATT00001452001 transcript:CAK75249 MRKNFIILALLIAISQQSFTFIDQTNFYKQLEPLTDFYSETGYVGVNDLENGNNQFFYHL FLKEGISKLSDVKKDDNFILWLNGGPGCASLMHIFQNVGPYHAYKKGDKDYSVKKGLNTW NKVAHVIFIDQPFEVGLSYSNPHRNVGSSDLAGLYLVEFFRIFFQQRPQFKQTKFYVFGV SYGGHYVPAVGAALAKSNLEMNFQGVAIGNGWTDAFLQYQSYAPMLYSLGIFNEQKKTFT ENQMAKAQADVLNGQYLKSTFDGFAGIFYILTKFTGQISPYDYQDYLNEGFGEDLFTEFI NQHRKQFGAPDDLIYQPCNPEVEKNFEVDISVTQKTNVEFLLNQGYKVMIYQGSRDIICN TPSINYVLNQLEWKDIYEWKKQTKYSFKAKREDFDVEETAGTIKKYKNFYYATIYNAGHM APNNLPIASLKMVTHFLNDDDIWN >CAK75250 pep:novel supercontig:GCA_000165425.1:CT868207:603628:604485:-1 gene:GSPATT00001453001 transcript:CAK75250 MDCQPEMTYISQSNQFIIVCYENEILLYDEQTNAKMKYSQTDLCVQELAFDQASFKIAVN QGDNSILILQVHKYEIIKIFQSLPAHFNRIKGLIFFEKGKKLISCSHDEMIFIWNLETFQ VIHQINQLKGKIQHQLLFHNQKFLTTISSDGNICFYNLENRKLFYHTNRVLSKVQEICGV KQNLMKNEQILVQIDDKIKIISLNKQKTLRVLQLEGEFMDALFCFNDRVILIINYVEIKL FDYQSCTILKTMPQNGCFYSLDKENNILVQSDIYGSTYQKLILSI >CAK75251 pep:novel supercontig:GCA_000165425.1:CT868207:605068:606016:-1 gene:GSPATT00001454001 transcript:CAK75251 MSQEKLLQHIEDEPQSYAQQYQKQKQQSPPPSEEQFESKDPQKEELLKKIEILETRLKNT IDDKPYSELIRILIWEALGTAFFAYGIVCSRGNDVMLSVYLFGAIFLIGKITGGHVNPAV SMSFYSSNEISAFTMRVYWAAQVGGAIAGALAAFVIVGRVTSPYIATQPIEWMIADFCGE ALGTFIFCLFIHIQVHPQTQLTDNNLIGIGIIATALYFGRILTYHTGGCLNPAMGVGLGI FESLQDGNWDRLINIWIYIFGPFSGASLASEFYRSVYVGLLPKKQ >CAK75252 pep:novel supercontig:GCA_000165425.1:CT868207:606056:607855:-1 gene:GSPATT00001455001 transcript:CAK75252 MIYIIFLVCSASGAKSSRFLNPKTDVIKETIQDYNYMANLNQSILFQYANYYGTYSMSLK SGGNNEIPLAQSIDGNFSQGCHPYSRKIAQQQLFNTTPRLPFETPETLQGIITSVYAANY FVFILRSDFKLFIMKIDYDKQNYDIIDFTQYQIVELKKEFDNINGKSQLLNSELLCSQYL KQGGQIFCFIISEHGVLQFSYNQIDENMVSISKLFNLKNETINHIFFSDENELLFITYPT AGVDVYKMNPNGDLELITNILPNGLTNLKQAKMDETSNYLFILNERLGVHIYNFNKQTQS FSENNMYIYIKGGDTFDFHRNTMFILAQTEDSLQYALEIFIDFKLEQYYFNQIHQFGQDV YDVYVGNLFVLFVGSDRLHQVIYHSVYKDFDAQHEQFYFSDIDLIQVDELNPPWKQQLFN GKAFEDGILSKVNLTYTETFLVGISENEVSLFRIRLIPPWVLCTAFEPKIEYYSLTLNST VCPSLKDQVQNQFKYCQVQANFSFEGVSVLMYEEHQSYFILVVIILVSMILILFITLLIC RKRLYQKLSDQDEKQIEEYSNREQKQLDTENIKTMI >CAK75253 pep:novel supercontig:GCA_000165425.1:CT868207:608424:609530:-1 gene:GSPATT00001456001 transcript:CAK75253 MLLELEAPIKICGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGRQSLETICLLLAY KIKYPENFFLLRGNHECSQINRIYGFYDECKRRYNIKLWKTFTDCFNCLPVCAVIDEKII CMHGGLSPELTNLEQIHRIMRPIEVPDTGLLCDLLWSDPEKDMQGWQDNERGVSYIFGPD VIANFLKKNDMDLVCRAHQVVEEGYEFFAKRQLVTLFSAPNYCGEFDNSGALMSVDETLM CSFQILKSQEKKNVPQARPRTPKYVN >CAK75254 pep:novel supercontig:GCA_000165425.1:CT868207:610114:616795:1 gene:GSPATT00001457001 transcript:CAK75254 MAKEIEEYFVKRLQTYLLEYLQDFSQNNMAKFSLGISSNIVLKNLQIKKQALLNFSFPMY IIDGKISQITINMPLNYKAQQPEMIVEGIDLQVCTIQEANLFVSNKDQQSQQGVENLKQH KLKIWEEQMAKYFEQLSPPNWIQKIVDGIYNNMSVQVKSFYLRFYNYSIFGCETQLKIRA DIYIKATDKQFQQKFNGDVNTIYKLIEIKKLGIMYVKASKRKEEQQLLSPIDISIKLIIN KNQDEQGKPFQNLTINIDTPVIFQLNKESKNYLLKLNEVLQNLEIVQDNFHFRPKCEVKN NYGEWWKYLINAVMQNQKNHKLDLGYSSRRLVLMKRYIELYKRKQTIILVPWLTCWTIQD ETKFKKCEEQMSLKDLLKYREWAFQEIRIEAKRYYNSSKEGQNKQNAKPMLELWTNTIND QFVLKEQKKRDDDVPIELEDDEKINLYEILERDKTQVLSSYLKGQNNDPDQIKTQIYLSV HSIFLIILECRPANVAQYCPKNTKIFSFCQCKKCIQLIKKPQMDKQKTSSFNQNNSISEV VKQTQRQNDDGSFHTAKEIDSFYEEIEDLQKDDFQFSQDLSQDQRNNNSQLKQNFDFNRT NKLILIVSLIGIKVPVSIYQNGRLKTNENENSTIVIGEIKILASGMVPKLMEFQNKYNEN TESQSPLFKKKDNPQKQKGDDNFDQKQFSYSRVRVSEFVNDVFEVSVTKDQSFFQGSDIC FETFCEFLSQNQMNNVSCFLIDYENDKHGFQDFGTEQFKNYDKYFVVNDKEEKDEVDESM LLHVIKQQKNENKWAFLKQAALQIYDRSSQYENDNQWIGCLNKQKFLEEVHTKLIDIIKK SLFAPFLEEFGDKVIPLCIINLKDKLTFPADSKSYNLSISLYLEGDQSDYKISPQNEKRK KVSSQQTLDEQSNEQISIILQKFSIFISSKSLSSLLDFSQSMDKTSLSQGQLYVTQDHKL LECILKSKPLGQENTKPPGMKFSIQFVDKLKIRIVSDQISNIIKTELKFQIKNFRYSTVK IDDLFQNIVNKSGQTLLTIEQFKIAHKYFSQDYKNKNEELRNYEKEQQTDDKKSGLSSGK FPFSKQTSQQQSQSADQKSQQKGQKNQQQQPKSRDPMFHIKGIKQTILKTQIVALKFQAN IENHALLTDSKLYVQIPFLNLRINDSCISFIELLLILKNNIKVKQTPQQKHKIGQDSLLK AELDTLFHDHKEQSSDCKHCILKYRKTVELTNILFGVISEITKSADVPCWFHILHNECQK LGNSSNLSVRHKKEQGIKITFQSTQKASNHGQYKSILAFPILVITRDIGYFKDNIMIHCS ASKFKESGISTSKSRFDQENEINQFEKQNQKDISSQKGQSAQKKEANQASTQEKQMKETN YVLVQPALKVKEPLLFWQKLDDKFIFYCRSNEKDMFRLDYSKEYEDKSLEINSLIQDDDQ MRLNDPNLYILLYYNKPLRTELIQNKENFQRNEKNSKKKIFFSLQMIKAIFQNQHAACNV LTTFQNINKIQSILKKVQDIVNYGYKLDENVEKQQLQDSPIKLLDEMIVSANISNIYLKS NESFFKLSQFKVILNLEYMSQISNNAKSSFHKKNSSSIVNQEVFSKVKSNITQVFPTDDL IIVKCHSIILNFNMVSIIDVKLIHVQKFSDALQLQVEEIKISITNNLSKQKENLLTMPKR DRLTQTKTGIQQETKKFEKPLAICIKLEFQNLNPKINISVHQGQIQLSQQRVSDLLIGLN SFYLLNIEESINLRQHLLKYIFLQELEIMGNDQPKDKLQRQQKLSFILELKIEEFQVVLQ EKDKEFFFFEFHQISMKKKLIKNQKLKPQPSQGENVHYPNLIKQNGHQAMKILLENNIIH LENVQFFMISRFLNELDAFKSEVEKIIQKNKDELLEKYQSDFEFQMQLKQQENFELNKEQ FNYQVLIKNSQIIVPQSSSDKNQVKILFDYADVKVKKEKKLSKIPEIQDDKIEVLQQQKL LVDYEDKYTKISEKQLEFQEIYITEIKANFRMVEVDYEMYCDQDDQNSIKGNLLDADSVE VEMNLPSFEQFLGISGWKYKDSCIIKPKNLKMKLDLGKLMKVQSYIDQNLSEKSSLFEFH KSSLQKINFDLDLFSTEASLTRYGQVEQDKLEKHKNAKLYETQKHRQSKQFNTHNQTKQM KKQNYDS >CAK75255 pep:novel supercontig:GCA_000165425.1:CT868207:616847:620962:1 gene:GSPATT00001458001 transcript:CAK75255 MPELFIPVYFGSFDFQNHFITAWADDLDKKRIATGSINGDIIIWEFDNFILKPLIKSTPH LIYRVGGVTALQIMRRPFAEFQDSNMNCAIAIHQDKRIRILSMLDGKQILISDHDQFPYT KQITQIYPIGDRTSRYISCFGEGTALYIYDLWKMCCSKIFQIQDEFDQPRFTIFTSIGAD FAVIDSKCRVYKIDTSAYKKTERQNYLKYIEKKAQEKKKNGIKKSLIRMSSSFSLKGLPQ SKIINTVYNTKSQIMYIVYSKSIYVVNSPFKETEQQREMFSIQSSTNLIKFRNVHLFKSQ NKTMIVIEQLNGHTSFIYEKDIQDIISLISKSYNQCITLDFNGFSFSKQSQSYQGQLLLL VNQQLTPIQVSKYFFQKIIYTINNLLFRQNGCIIEIYIPDSINYEDFRICSLQQDNLFDI KLLSEGFMLFLHSQSRFINENKQFEIADLPKKLIDLSIFEQNDILKSLNENLPIEIQEQL NQIQNISIYTIGLYNKTDLFAIFGTEQGQIFLIPFFYEKNKRWNIYVNKEFEKNIIFLKF IKNSIFAVDQTLKLKVFSLSSIFGKFDNQSADFFSTYQTILPSNIKQVIQIRQLFQESSN STFSNNDALIVKRKLAKHFVALLLEDDSIVIYHTILNVKKFSFHNQFKINKGQVKLYYVP HLLIFLFSKGVEVSVWSMEKGSFERMINIQQLQEYLNIDTLLTQKLSQMINLYDFKSFKT DQVSQFDLLEYSNRSYQIQYNYSQELKQTSPQYFYDFFGTLNKIQQNTFEFDEILHILSY EDQAISYRNKSITIFEPQEMGDMFLLYKIYLKQFKSTLQFDRVNKLYKQQKEQRRLDSSS SYYLIWFDCKKNIELIKYSMENTASLKIPFTYVHFYHTLNLVPIFKCQFGLQGMAEGFSR LIQSNNYQISGLMSTQYSIAAALCLRQIKSRFQISEINNSTSLLSLSRYSLDNSKDIIEI SCQLISQQIKKMDSFCNDIVVLQRTSKEFLQLFERSSSNVYFSLEIMCLIIQTYLMQQMM SNIEMINYVFNRIMSGFTHQLCLKKPNIVFVFIKLLEDGMKNFHKCIQQPKVCLQTLIQI YYYIYTTYKHGFSLKEIINATINKKSKDKDKDKYEILRQYQSMSQLDLQRIKQRLRILIM ALSEYFFEDFKGTIKEMINQTDIYPIIACSILDLLHCLSKTDIKPNEILFILDLSIKSID QNNQQLRRYTYKTFVKLVQYLDQRFEFISFSQKKLKLAFAFEGLVQIYDLRYGVKLQPLD RYQSQITSIAFDSKGNQLASFSQKDQNLKIFQLKPTSSFLQGYENVYIKLTHQFNFLQPL HHTVEWDKDCEYIYLQHEDESQVFKID >CAK75256 pep:novel supercontig:GCA_000165425.1:CT868207:620996:622481:1 gene:GSPATT00001459001 transcript:CAK75256 MDNCCGIMMMQSSHPSFIDQYKNMVVTTQVAESIFHSQSCSFHPLTQNHTLILTSGYLLA KFAEDINTFDTDQIKKHVKFGLLKEQNKFVELNLLCLTYEKSIVDCLNQFDIDRHVGYVL YLEMLNSKETLIIPKYIDGPLKEGQQLISISSPFAIQGQEMYKNYFKKAIISQIITNNKF EQQLIVLDLISQTGQEGGAIFNSNGEYVGMILQNVQIGQAYNRYFTFCLNWKVINSIFQS NFNLLSIQQLFLQSQLIDQMFLASNFVQSDFGQIGSAVIINDKMNQKSYILTASNFLINN GKGSKILVGLNQIKGILLEDFKKSYNITLAKCGEYLQSSLFIEDFINYKELEVGQPVYLI CYQEGVFQNQPYISRGRILKLIKNEIDENSTIITNCYLKNCLNGGGLFSEQGKLIGLLSN VVNYMSEGIFCGLTIFTPILLFDQLFKDLYYQKQITEFTLQKLRMIQQLSNHSPYVQSQY GQQNPKL >CAK75257 pep:novel supercontig:GCA_000165425.1:CT868207:623622:624617:1 gene:GSPATT00001460001 transcript:CAK75257 MTLKSGYDFYFIDDNNKLYIDYQLLQDNFDAAKEYYSQELITLVQIMLTIDPQERTWENI IEQNIRIQDTQQQQILPQIQPIPEESEEESIIQEKAGCDKTKLLNMLESCLIKSRQLIKE NESRKSKRPSQDNITCSQSSPQKEKEDVNSSLLMLMKGKHSKKNSTDSGGSILKEIPQNK QKQRQKSQQDIFEKIVLQNAIVQDQNIMDPNDLSNLMQIYKSYNGEGKNKMMHGKGHLVF QNGAVLQGTFQDGKVNGYGILLINGQIIVGHWENNILVQIM >CAK75258 pep:novel supercontig:GCA_000165425.1:CT868207:624729:627712:1 gene:GSPATT00001461001 transcript:CAK75258 MLPPQQSSVEAQANLFENEQNQQLQQSLELKMNIILMATEIERLHKILISKKDYYEKKIQ DLIDRELQDKEQIAKQAQAITELNQQIQQKNDLISQILQEKSQQEMNLLISQQLFVINTQ FKNAEIYIQELQKENQLLKQQFEDAPSLRQKLYDLEQWYAKLIHEKNEEINLLKTHQPHI VQYAPQLNIINQLLDQKERELLQWQYSSQQVNLYRENQRLTQQLKFLNDKLNLLLFDNEH LNKRLADIQSHPSNQTTQPQYATLRGDSQCTAPILHDFLATKTPRRYKQQFQSILPTDFT NSSLLSYRIIKRPQSVGSGSSIHFLGSPPQLVYHQNQYSPRYSRKSLQNHSAQFNELLRQ TQIIQNQPILTIQNIETQIQFLNHMLLIKRQELPTQTTPPRKIYKILKTQGNERTSSPNY TPPQFIIMKQPINLSQQKIQPSQQQFIPQTARLLPQNQLQDPNNVIKYRSFSAETKRELS PYAVQYQQQLIPQASLFLNQPQQAHQIQYKYSPIQRIIPQQNLQNTIPKQTQRSVSPQSV QYMPYQTQPQAPQTIRFQDLKQYQSVNLQPLQQHQDPQQHYIQQQQNIINYNLISQSQPY LPTQFGAYPQNPSEQNRSPIHVLAYPYDSSPQNPEDLNKTRQIQNPISQNPYGLFMTPNN VRKPVISNSNSSQPFSQVRVKRESQEPLSIRKQKGSSHQSNKSLPAQVLQSTPIDNSQVE PFELCNAPQEPDEQEKEPLKPEEIQKSPQLQDPSEQTQPKTDPQEQPIEQPKQEEQFQQP QNLNDQGKKNHQNPENLNKKPQKPYILLNKPQNPNTLSKPNQSSRMSSGQPIPQISDNQK DDISQPEDQKRSQPASVIKGLENKKDSLLQDLDELRKYKQELDRLNDLLSQAKLENEKLK EIYQQNDLNWQKQFQQKYTAALQQLQLFENKLAIQNKEYNQNIQKQKTNFNSLQQKFDEQ EILIDKQKIEINKLMQN >CAK75259 pep:novel supercontig:GCA_000165425.1:CT868207:628402:631277:1 gene:GSPATT00001462001 transcript:CAK75259 MDKQLLIDQIRQLNKDISVSKSQIQEFQLSTPQEQIEIFTSQQFSKKNDELRQQLDQQNL IITQYNQQLQLTQLNYDKKEEELNKLKINLQNVNGQLFQLQKQSTVNLKNESDYFSQLQD QIAQNSLLSKQFKEEKDILKRSIFDKEKEIQSLNSIQKNLECQIFDIKQQNLNLIQQLKD QESIYCKLQENQHSYYLDPSKDLQKQLSIKQLEIQQIDAENKKLNNKLLNNQDFIKKQQQ DLMNYANEKQKLYLQYQQETSALQNQIKRLQQEILNQQEIQRQLEQIPQKIEINKPILTI ESPKAKYMSKSLNKSSSSCYSTDDLRGKYYNCSLYATNNNRISYYSSLRAAKSNGDLLNS HEKNLSTSEEDLKKQIILWQQKYEELLTERYSKQNLITPKKERLTQEFSVLDESTNWKQK YDELQGQIQQQNQNNKDKVIEQLRLKNELLYYELHQIEIEYEQLRNQINISQKQSANDKN QNNLNQQRTIDQQNQKISNQQNEIEQLKYKLKQVIESKNILEKKSNSQQNEYIQQLEAQN FDCLQEIQSLQIEIKRLQESNNLMKQQINDQVINLQSGQDQNELNIEEYLQQIESLKSQI NSQQTQIFQLQNQLKQSKIKESELEQKFKYAIEDLEHVQKMYNEQRQSCQEQQRQLQNLQ QQLQQQQQKHQQSQQQQQQQQLEEQKQYSQRSYQIEQSRIVETYAEQQTNNQQDEQYRKI LYELSLIKQQNLSLQTQLQESTQKQIALMQEIKQLQIQNERLNQSNVRLHNEQQKFTMQS DQVNKINDQFKELQFENQKLRSEIYYLQQEKQQVIISFESKLQQYSASANNKIRQQQIDD LKRELALLKRATLKSSGVDMDAERLSYSTQITQLKKQLEYEQIKNKELQQKLQNSSQYQS DFGLVERLNKELQDKIVELHQVKSEYRNAIKMIHSLEEQVIERLEKEQNS >CAK75260 pep:novel supercontig:GCA_000165425.1:CT868207:631527:632868:-1 gene:GSPATT00001463001 transcript:CAK75260 MSEKIDSNILKKYEIMTKIGKGAYGVVWKARDIKSQRIVALKKVFDAFSNPTDAQRTYRE VSFLKQLNHPNIIQLIDTYPAENQNDLYLIFEYMETDLHIAIRAKILQPLHRRYIIYQLF KALKYIHSSGMIHRDLKPANILLDSECKIKLADFGLARMVCTLEQDILTDYVATRWFRAP EILLGSKSYSFGVDMWSIGCMIGEMILGKALFSGTSTINQLEKIIEVLGMPTPEEIQSLG GQNQLFDKYPRNYKSNLKSILNCDDDELDLIKKLLVFDPNKRICVQDALRHPYLKEFYNP KEEITFKGQLILKLQDDKQYPVSSYRDLLYKQNLDLTKILTLVNKQKSLIQNIQSNRSDA LKKNSSQQQFLNQQFAKSAFMKSNSTVNIEKSVNKTAIATLHNSNSKQAQNANESSSTQI KNKLRQICL >CAK75261 pep:novel supercontig:GCA_000165425.1:CT868207:633422:633931:-1 gene:GSPATT00001464001 transcript:CAK75261 MNFYSIDFEEQDQQEFVQEPLLQQQEQIMYYDYEQSEQNEPEQLNTFDGYLQEEPVLLFN FAEEAPKKKSRLINRKSKKVKKADSETEASQSNRSKPRITNRDYLKLQQCQRLKIIITQM ESLLQQTRTQIFNKYMQNRSN >CAK75262 pep:novel supercontig:GCA_000165425.1:CT868207:634878:635126:-1 gene:GSPATT00001465001 transcript:CAK75262 MAYELNQGKLSFSNSQKQQQSQLTLRESMDLITLEYQNLIDKFSQEIYLEKQIKKEKNEK KSEKLRNIPTFTNLLQKTQLDR >CAK75263 pep:novel supercontig:GCA_000165425.1:CT868207:635145:635384:1 gene:GSPATT00001466001 transcript:CAK75263 MNEDAKELQHFVQKSCETLKIDQFKGKETECLVQCGYGFAASIHYQGQFMKYLMEQTEGV G >CAK75264 pep:novel supercontig:GCA_000165425.1:CT868207:635779:637128:-1 gene:GSPATT00001467001 transcript:CAK75264 MQINCQQKDQQILLFTVFRKHFFRDQSYYLKLSKKQILMSQECQFVKTKYVLDLSPDTQF EWIVRNQQLVGFLFPYQNKMKEFFGDSHNLTELKQILGKQILFKSLTIHYKLVRYLDSGA FGQISLQQNYINGQLIAIKTLINTNKSAQYLIENEMKILRALNHPNILSIQEVFLTNLTY SIITDYIEGKNLRKLILENSELQELTILQIAQVKILKLFFQQLFEGLSYIHKMGIIHRDI KPANLMLSKNGMLKIIDFGLSCYIGNQFQENPKCGTPGFCAPEILQNIDNNVAYDYKVDV FSAGCVLYKLLTFKGLFDASTSAEVLKKNKNCLFIIKEQGRLFDLVKTMLKQNPLERLSS EQALQLIKSMIEDDTLDVNIWYRLQLNSNQSTQSNIILTPKQSAQSKSLQKFQSTPNYSR RSFFSSSLKTYR >CAK75265 pep:novel supercontig:GCA_000165425.1:CT868207:637396:638088:-1 gene:GSPATT00001468001 transcript:CAK75265 MQFILILVLALTTLSQSIDYALSIKNSNSQPWERIGEMKVSRSNFQNAQINLNQNRQQIV DRKKMNENTYALLKLQNLQDEKSYIAHIDGKQLSLFNQKSNYQEIITLVLSNNDLLSFDY ALKPVRKNAETYIQVQVKDLEVAPQGLIPQFDEKIPEEEEQKPQGIFGIILQYKWYIIIG FFVFFLFSQADPQQLNGTAQQPQEQAQAQRRRNK >CAK75266 pep:novel supercontig:GCA_000165425.1:CT868207:638285:638854:-1 gene:GSPATT00001469001 transcript:CAK75266 MQIDGFLMEAKKRFDQNKKQQTDHYVDALLEMTKQRDEYLKRNIQLEIIVNELNEQIEKL KRQTSIIPSASQQQFSSPLTASEEVIQYENQVNHRKKSSIYSMNTQDSIINDEDKEDQLM TFLHQLDEQQANQLYSLFSSLNTDLDSKINKNEPLYQNLISNTNQHKLVEQPSSFSFSQK WNDKENHYF >CAK75267 pep:novel supercontig:GCA_000165425.1:CT868207:638888:639125:-1 gene:GSPATT00001470001 transcript:CAK75267 MKQKKQQLGEISFNKQYNESQLREIIQKLLAKDANYRVEIAKLKEQNMETIQKYHKALKQ IGEMEKVQKKV >CAK75268 pep:novel supercontig:GCA_000165425.1:CT868207:639271:640773:-1 gene:GSPATT00001471001 transcript:CAK75268 MKNRYEDLYETKNQLLGQGAFAQVFLGVKKSNKQKVAVKISNRNLAISQQEKMILKQLSQ YRHQNVVQLLDIFDQQEALFIVQEYCSGGTLYEMMTTKTFSEEQIVNIAFQVANGLSFLH SKNIVHRDIKPENILRQIDEQGMEIYKITDFGLSSVKLDRMTTTKVGTAYYVAPEILDKQ QYDKSVDIWALGLIIDELLHKTPFYNGMSEDEVFFKIKTTNYVIRDQQYAQATIFDHKKN VIKSLLLNSIQKDPKLRKDLNWIIQTLTEYYLQSPSNFEIQSNTNNDRYVFADISLVSQS TLCEQTQNFQLPSYSNDSQSYIEECPFHHQPIRYRMPGINDNAVTNACQKCLQIYGIFDQ QEFQSYLSQTNCELNSFSVDSYFSQNEIIIEALSYLNQFKLFQEKERLVQELRGIVIKHH RILRQEYVQYQEDVKSMNTNAKWINEALKLNLTISQDMPNKIILYLISKCQERKEISIQE CRQFIKEQLKLLQQYIESIL >CAK75269 pep:novel supercontig:GCA_000165425.1:CT868207:640850:643182:-1 gene:GSPATT00001472001 transcript:CAK75269 MQQPQGQQPIQPIDFYQEEYKDAVRMSWNTLPATKLQSTRAIVPMGCLYTPMKDLESLVL VQYSPLYCKCGAILNPYNQIDFRNKQWTCVFCSCKNMFPKHYADHITETQLPAELKKSST TMEYILANQQAAPTSFLFIIDTCIPQEDLQAIRDSIQQSLSIIPPDSQVGLITFGRNVFV HELGFQECPKCYSFKGSKDYTTQQILEMLQVSTKPEILKRFLVPLNECEFSFNSILDDLQ CDPWPTLPGEREVRANGSALKIGSTLVESATQFSKILFFVGGPCTTGPGQVIGLKLEETI RSYLDMQKENPNTQYLQKAKKFYNEIAQRAIKASLTVDIFAFTLDQFGLLEMKQIAEKTG GVVVMQEKFDSDVFKETYKKLFDKDASGFLKMGFGSKIDLFVSKDIKVQGGVGPCISLKK AGPMVSEVSLGEGGTTSWYTGGLDRNSTILFLFDLSSTKDTSFSQSAYIQFVTMYRHSSR LQRLRVTTIQRRFADHNNIMDMIRGFDQEAACVTMARVGILKAESEESIEVLKWLDRSLI RVVARFGSYRKDDVSSFRFPQEMMMYPQFMYHLRRSHFITTFGASPDETTFYRASLSRES VQNALVMIQPALLQYTIDDPAANAVNLDIQSMKPDVVLLLDTYFNVVVWNGENVQKWIEE GYYDNPEYEYVKELIDAPNSDVQYILEDRFPVPKLIKTYFGHGQERYLKSRVNPSVNTVQ NENVESGNYITDDASLKVFTDHLIRLAVQTVQ >CAK75270 pep:novel supercontig:GCA_000165425.1:CT868207:643417:644322:1 gene:GSPATT00001473001 transcript:CAK75270 MIKFVKKVVGFGAFLIILIGLALLGFGVYVLYKQVGLNYADLYFNTASWLKTLSEYGLLI LGAVILITGIAGAKGSSQKKTSCRGCLLLTYQIGAILFFVLCTGLAIGTLIYSSDLFGQE CTNKDYFKLVDTQIQQAEQIFCSSYCQCYITEETLNRNQTAFAGKNYTTDKNVEQKIEKI QECPGYEVNAYSAAVSLLGTAEQLLNCAGWCTPAPYYIFSDINDDSFNGESCFIETKHFV ESSGKTLGYVLLGLGIYFGINVLFVLLICCHSERKHKTDYLLYET >CAK75271 pep:novel supercontig:GCA_000165425.1:CT868207:644894:646904:-1 gene:GSPATT00001474001 transcript:CAK75271 MLKFQVNIKGETFDFEISKTATIAELKALIVEKQQISAAFDLYIFDQLLSEEGTVNKIIY NCKTDCLEVIFKEKEQLKKFQQFYDQAKPLVLWKTILSTIKFIDNLEEQRKRKTKDLDSL TKVLSFFQNAPKLIKTKTSDLIANFTDRVKQQTMLSSHIKMQEDDQVRNQNNDTVLNILN NMVNKEERGNHHKMQLKSNKKKNKTPKLSPQQEQYLVRKKKAISLLQDPNQSEKAKMLIQ EMKKQKEQLKLKRQEQIDNSAEKIELSLEHYKQEREFLMQQITQDKRTRIIERLNENQKN HELQRQFNKQSQQLINELKNRMKKQQDSLKNKDEQLKQIYFEQAKLKLQEKRKLAQPIRL SELNQHEEHYLENREMLKLQRDQKKLEWEIKIKSETKQLYKDQKVLQEVMKIDSQVKNKD ILEQRKRQEFKVRKQKYSEIIQEFHRPSNFSKMDGQQSIDDYSINQSRDSRVKQVIRYQN KSQFVDQLVNQGNQKNIKKIQSALSQEHITQKTKITESLQQGKVPDANTYFHLRVHTPQK IIIQQSSAKKQPDYLREFERERKSMHQSALPSLSGLNKKMSPQQEMRLLQRLEEEAKKKE QLAHISQDDDLQIEATDLYLKSVQAKLQFLDKKLSNF >CAK75272 pep:novel supercontig:GCA_000165425.1:CT868207:646913:647658:1 gene:GSPATT00001475001 transcript:CAK75272 MNHIKAFALAKWATAEKLELIGMEGEVGLVQDLSDTLMILKTKTISQFQKIDSLPSKKGR WYYLPFNKEIIQLVLATSDCNQYNIEMLISAIQSKIVLISVQTNQLNGNIILNDNQKDNI LQLLNYFEKQYNKDPKQIKQIMQVLDNTKLSVQQNIEKLINNKEQLSQIEIKSLELEDTS KVFYDTAAAVHRKNRLNRLKRRLIIGGIAFISLSFICYWIFY >CAK75273 pep:novel supercontig:GCA_000165425.1:CT868207:647957:648490:1 gene:GSPATT00001476001 transcript:CAK75273 MPPKKGNGKKKQDSDEMESEESYEPKASKKIQKQAQKKSNLSAKKQQDDESEDASDSQEE QVQKKGKQKKPQPQTQSKSTNNAKKKQAKDDDEDENSESVENDKDNFKLYQKKETPPEDD PCRIYYESLYREKPESILALKYCLDYGLIEEENIQKAHKFYEKYKSKQK >CAK75274 pep:novel supercontig:GCA_000165425.1:CT868207:649071:649955:1 gene:GSPATT00001477001 transcript:CAK75274 MSRRRRCAFDSDNLDEYIQLATIGQNQTNLFYDDKKLKWVNGLKQINDQEYSTKQIEGFE HGHNQIDYIDTSELPPDIHLKSSDEVITHHIMILGDINECIKQSLTMFCMQSRKNSNEDF NEELISPLKNKLCLDLAIKRIQGKDSVHMVKFLMHDTSIQNYAPIINVYFLQTAIYILAF DLDQQDFQPQKEQDMISYIKQRAVNVKHIYSVYLTESDISDQRANKYYCNRWNIGAILSQ IIEQVISQ >CAK75275 pep:novel supercontig:GCA_000165425.1:CT868207:650738:652096:1 gene:GSPATT00001478001 transcript:CAK75275 MMLRSLWRSPAFSFSYYSNLDLSCPYPSFQARDLTTTHTMHYITKPPCDPKIMTFGAFHT DHLLEIDWSEKMGWSRPQIVPFKSFSIHPFSACLHYAIECFEGAKAYRGPDNSIRTFRLN CNMYRMKQSAKRLSLPDFDGGELERCIEQLLKVDRDWIPDRPGFSCYIRPTLMATEEALG VRASSRAKLFVVLCPVGPYFPSGLKPVRVFCNTSTIRSAPGGVGGYKVAGNYAPTVLPLK EVQKIGFHQNLWMLPDGLVQEMGVCNLFFFWKNKQGEKELVTPILDGTILPGISRDSILE LTRNMGKFKVIEKKLYIQEVVEAMEEGRMIEMFGSGTAVSIQPIEAIGYNDKIYEVQYDQ KLNAGELSHELFDILTNIQVQSEYQFQTGGGEHKWVRSI >CAK75276 pep:novel supercontig:GCA_000165425.1:CT868207:652195:653989:-1 gene:GSPATT00001479001 transcript:CAK75276 MQTEQFFFDSSNTNDKNQWVKLDSIKRPISTIRLFEGTLFMKSKKQDVFKPKFFKLFSDR LNLYKNGREQKEMAALFLTNVYLDLRFLGEQEKDKFPIILLNGNRKLVLNARSQESQTKW IEQFKKTCILNNYRGVYTNIKVLGKGTFAKVLLAEKIGNKSKFAVKTFQKLALMDKTNTQ RQGLLNEINLLRSCDHPNIIKLYEIYESGDYIYLVMELLEGGELFDLILETPQFIESKIA LIMFKIFDALEYLHTKNIMHRDIKPENILLNDKSDNFDLKIADFGLASYTESELQIKRCG TPGYVAPEILQDQKYNEKVDVFSAGIILYILLTGQAPFYGNSLDDVIEKNRACQINFKDL KVSQDALDLLYKTLEPNPQNRISSLEALSHPFISRLYKRQITSMDDIPLDDLPSDNYSAF ENMKKFCQNDMRFKVSKLKKNELLQQTPFMGTREYDPIKASFDSWLYEKTNEMESKQTIR TPQIQQQQSSGSEFSILSTPESRRDTQKFIQLNPLQQIAYKQSPNQSIYSPNNQQKEQIQ MNFKKANQVRDQLKKISF >CAK75277 pep:novel supercontig:GCA_000165425.1:CT868207:653998:655840:-1 gene:GSPATT00001480001 transcript:CAK75277 MQNPNIPQYEFDITIYKDYLKNKIIALFETMPGNKQLILSQRVLQLLKLSIENKLLQESG IKKTLTIENITQIENDITQIFIIIPPKLDVTRKIAKMIKAANGPNNTYKLVFWPSRTILA KELLEQEGVLSSVEIKDFSFDLIPLDLDVLSLEMPDAFRDMMIDQDLSIYNYVADSINRI QIVMGNIPNIYCKGDGAKMVYDIIKVENSQSDQNQDSQEVESLIIYDRSIDLVTPMLTQL VYEGLIDEQFGINGNLVSLQKKVFGKEGQEGEEYQILQLNSEKDPLMYKVRGLQVASLAK YLYEQAKQQEVEKTEFQKEKQTQLNERIVQQAKKIKLEQESNSRHLNISGKIAENIKTIS FYKLLSLEQGIINGDKSERTLDYIEAMIQLEMDLNKVVRLLALRSLVDGGLKQKPFDYMR REIVHVYGFKTIAFLNNLSKAGMVYKSDAKQLFFKLQEQFKLLNVDIRSDDTDPKDPAYT YSGHCPLMARLTEKVFDKGSWKPFKTQMQLINGFQLEPERPIKLNIPGKKPIVIVYILGG VTYGEIASLRLLGKQFNKEVIICTTNMTNGTKLISSLRERI >CAK75278 pep:novel supercontig:GCA_000165425.1:CT868207:655840:656718:-1 gene:GSPATT00001481001 transcript:CAK75278 MKLPSINKPTSAQSANRQLPPRQSNNAISNLEKNLFNKLNEYDDLNKIDTKKNQVVEVKP NKKIENSYDEMDSFARKQNALKNYSQTEKIKDLKSSQQKQPQQQDTVFLTHNQQVKSEES NNDQEDSNSDSSVEDLLQRSRALDKQKKVMLNYEDDSEVGQLNRMLFQTDIDLDEQFQSM CNLKRTISQMQDSMKSLGYGINEKLMDELNQQYEEMIKLQRESQAIEEYQMKESNSKNKM PALRSHSVNQTKKQKK >CAK75279 pep:novel supercontig:GCA_000165425.1:CT868207:656857:658000:-1 gene:GSPATT00001482001 transcript:CAK75279 MQNERLQRLLRSKGSSYITQNPPSVSPYSKPTQTSTLQPNKYLTNYAVQKLQNQSTHITI TPSTERRIIPTPSRPPIAFVPHQSSSVSPIRVVQLSTERVQQPQEIHEVHHFERPIQVVA VEEMEYKYKFKIQQLEQMVYQLQVENQRIKINGACIEQSIPIDNPNKITELERINHNLRL SEDKLKLELKQIKEELQIWKDRYSQLQRQSSNQIGFNEELRQLKKQIMQLEEDNNDLQLH LRDKDQEILKLRTILNEKDDIIDQLNDQIQELQIIQENYSRVETTLISLQGEVDVWRKKF KEKNEEASELSEKLIMAETSLEAMKKRQVVQVKEVNVTKTSNNKIGNSMAQSIEAPGRFS TNRGSHYSNKQQT >CAK75280 pep:novel supercontig:GCA_000165425.1:CT868207:658084:662606:1 gene:GSPATT00001483001 transcript:CAK75280 MGKGKQKVESSEEEGGNDYQKDDFVVGSDEDIEDEAQEDQDESYDAELSDDDMELIEDNK KSTHQRRLQRIERDDDEVEQEDAQADPFQEQLSQEPIDENEQNELINKLFDPSQREVAFL NQNDEEIIKQDVPESIQITYMKMTNGQIMQNAREEASEQQWKWIGEKMVSQFDIKNIPDV LLGIKMILEFYRKENLDIMYIYTYKRHLINSALLLEHYWKIRELDYEWEHFSNFKNRIQK LIDSIPENYQTQKNTANLYLQKAKEIEDLQNLKDYIDYIILKHNCKRIVYLEQELQRENQ EKQLLGQTMEQEQDQVNSKTELIQKELQNLSLNGKKKAHTKEKAMLEYIKQRVDEAALNF CYTSDEFFVKLQGMSVPMERKIIQDWDDLWSHLKESTEFKSQDSGTEYVKLLQKYLMMEL YHHPFIRDYISQIYRENLLISTEPTQLGNREITAVSYFYPVKRIRHRQYKYMEDQTWMQC LRAEQLGYIKINFAISKDKDHKKEKIPKDDIMVLLYDKFVKIDDQQKEQENILRIRDLVL RGILQEIWYPILERQIKYKLQTLSSKHIVRMCQQKFKQLINKQPHISEVGNNQQMQQTIN PDVKIMSLVIGSEQTDSKKYIGMSVIDYKGEQQTLQIFNYLTQDERRTEMLEQIRKEQAL LDNFFEKYKPDLVVISANHSDCLKLRADLRKKYQQTSSVWITLADSQISRIYSMSEKSNL QLPDIPQILKEAISLARYKLNPMAEVLNLWSDAIDKNGCLHLNLHPLQAMVSQQVLLDAL KQTATEIVNQVGVDLVDCTLHKHLADQMQFINGLGRRQAKHLLTMVKGLLSKSLFNNNYE DERLIYSKLEQDQKEYIQIQRQDILKTQILKKVVFKNVQGFIKIQRGIQPLDITRMQIKM YSDAVHIAKCALEVGGREDRQNTIVRQLMSQPQKMEELQLEDWAKQREQKDGSEKFTLVA QFMKEELTNPFLYKFDTANKEMSNSEIFYAITQESPYTFRKNSIVQGQITKKIESKEKSK DTDKLLIKLSDNGLCGTLRREDMLQQEFGIGQIIKAYVKKIPATENNNKNDSLNILIIEL SLKSDWSRWDQEYLKPSKYDPWPYSNISYEDYCTKVMQTFDQSKFKDFDQPTIPQDQMPN KQKQQQKLILRNINHPKFKQVLLKGALEYIESQPVGEYIIRPNQRLKDHLTITWKFYEGV ISHLYVQELKTAQSYKPQLVLNGKNYESFDEIYESYITPCNLHMEAVVNNKKFHHGSMEQ LERKLREDKEKDEEIIPYGFCVTDKAPQYIVLMYMKQKNKVEKEYIKVKPEGLSFHSVMQ NNLKDLTLWFKKNFRTQEYKDYVRRTKPPIPEPNDRLNQYNSQWEVKKEKTKEERVKHEE SSYNVVCSKCNKRGHNANDCRQSRDKGRNGGGDSKMGCHNCGQNGHIKKNCPKLNNPRRE RSNSREREKMSTKKNQFQTKVEDTQQMEW >CAK75281 pep:novel supercontig:GCA_000165425.1:CT868207:662855:663467:1 gene:GSPATT00001484001 transcript:CAK75281 MKNILKDKTTNNPLFNLLSDQQQEISQTILVDDPIYKNNHYYYPIRTGNIVVNRRYREFE LLAEYLFKTQPEILQRPLPQKEQGINYLISFISENTQLLKLRKQKFQIYINDIKKQLPNN KIVVQFLENPSSFFELIANFDYSLIQQQRYLEKMRKFYETKWLKCESGELENKKEFLQIK IIQLDQIDKRIQQFCI >CAK75282 pep:novel supercontig:GCA_000165425.1:CT868207:663753:665966:1 gene:GSPATT00001485001 transcript:CAK75282 MRPQTAKPKLATNEYTKEIEFLKQQKLIAYGGDPSNLPQIFQKNPAFLVLDELQAELFNA RCHDTGETVSIERAKIFKEEFNKINSKKFPDVLNLYSMRLGVTTLIALANQKPIQTTINL ADNTLGDYAVHAIKTLLANSQIKNLNLASNMISHIGLGQIIDDLCKNQTLKALDLGVLDG SIRKNALGFEGAKHIAQLLLSNKKIETLRLQDNVITTAGGEFIGQALKTNQNIKHLKIAE NDLKIAGIETILLNGQNLESLDLSKNNIRPTIKFQEYLMNNRTLKRLNLEFNDLGPKGVE YLANGIIQQQSGLISLNLRGNQIRDEGLQVLSAAIYESESLSELDISLNDITPDGIRYLA DVLPNSQIKVLNLSKNLLGDESMIMLCNNRIMEKLDVSSSRVADQGFMTFLTFIGESTNF THLKANDNYISEKVEKLILEIIEKNQVIIDLQVTGNRLSLCCLNRIQKILLRNQKILEEK EPSKIRTEIYRLKYEQKKIQIAKDKLSQQEKEILQIEDKKSQVIVEMERIKQQEQSKRAG LQERITDLLNQIEKKKKIIEDKQADFERSKQLKQQELDAQRNDYQVVYQKRKELEQEFER LQKELDTQEQKFKDEQFKLEDAIAEKKAKGEEIEKQTAQLREELMKLQKQQFEQNNQAEK EQQIQQQQQVINEKEEKAENDKQLEQGNKNDEKQKPKKATKKGKKKK >CAK75283 pep:novel supercontig:GCA_000165425.1:CT868207:665999:666433:1 gene:GSPATT00001486001 transcript:CAK75283 MRQPLDYNHQWLQKKKKKKLRSNIAFGSSQDKLKAKQLPTLPDYDINYTSVEPNSRKAII AKSDKSKRSLTEISRSYITPSRMRLPELVQQQNQGLVLKPCIFQVKEYTPKMYEILPYTA NELKECFNNLLYYIQIDQLGLNTK >CAK75284 pep:novel supercontig:GCA_000165425.1:CT868207:666445:667170:-1 gene:GSPATT00001487001 transcript:CAK75284 MKFLGLALLFTITLCQSRLSVDVYTESLCPDCMEFLLNSLTEAVDTPDIEEMVHIRVVPY GNVKRVYNQATGKWQFTCQHGAVECYGNYVQLCGQNILATKYPNDNEILQVQWAICIDRR IFKPYTNTRFDEAASLCAADFGISGTAVKECANGDQGYKLHLAAAEETDGLSPAHNYVPW ITTNKRHIVTEEDLILEDLVAWACTNYQGPKIEACTTRSA >CAK75285 pep:novel supercontig:GCA_000165425.1:CT868207:667234:667923:1 gene:GSPATT00001488001 transcript:CAK75285 MQSILSQCGALDEEFLNLVPTIETMVQELSVVSREFVSSKLEFLKKYSSSLKSSQIDARI HRNQQTRNLIEYVKMHRQSKTKSVSQICTSKHKQKFHTDSGWSGIQNCYSTSCSTIQTSE FTTVSQQKNNKTLSIKTLKQLITKQKKHQQKTNEQIFRLINKCMNLISENSHLYPQYPSL LEEYQNIRKNNSSLEQKLNLEKKSQEVKENFKKLIQSQESFKSYLNSVI >CAK75286 pep:novel supercontig:GCA_000165425.1:CT868207:667940:669770:-1 gene:GSPATT00001489001 transcript:CAK75286 MHNLPSISNAIKKFNVYTRPVSLLLLKEQGHRTYLGVFLTSILVSLVVLVYYSGLESLIV RNNPSAISYTTYSEDQKPFYLAPQNFSIAIAQKGNDLMASYILEGSIGQTDGLTIQEIPM SKCIDFQFDDPTLNAYFDKSDQIWYCIDWKFLTSIVLEGGLESSKDKSLQIKVKQCTSAS TQCIAPNIMDSDQFLLKMTSANTDISNFKKPVNLIGKSFVLTSLLNFTKKLNIILQPQLT QTDNGYIVQDQYEETMLSISNYYETIQDKSSDQQIFQINISLDQKTFITQRSYPRVYQYL GDIGGFWEIIYLCSLLFIMPFNNLSYRVSLLNELFNFEMDYDNKVHPDNKGKAKVREILN KSVQLEKFNRMSKVAEHDANQKSKLLDQISSDITQFFKEQESRLDLQFFDYFGCCQCKKG GKRELINFSMGKITHTLDITYIIKKLQEIDKLKLILLSSDQIKLFDYLPKPKLGLHMKAS ENEYCSILKPEQSDLDKALEAQKAFQQLQDQDDEITPKLVKLLDEDLVALFKLQLNKGVN RSLKKTGQLADAVQQLMIMNAKEKEIEKTSKKKKKKNKESSNSENSESSGSEN >CAK75287 pep:novel supercontig:GCA_000165425.1:CT868207:670083:670783:-1 gene:GSPATT00001490001 transcript:CAK75287 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSDGNFSWASEGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKQFYLKKYN IDLGAKKTQKKDQPAPQEVKKSNSLKKKLEARLKDRVIDNLVAEQFQNQRLLVRITSRPG QSGRADGYILEGKELEFYVKKVEQKKK >CAK75288 pep:novel supercontig:GCA_000165425.1:CT868207:670849:671840:1 gene:GSPATT00001491001 transcript:CAK75288 MKQNVTHSYQIMDRIGFIKNMISTHQKKLITEPKRSSSYLETTQTEIVRRSRDSFHKQQS HLNETSASDYLSIQESANVRLLREELKITKGLLDKRSIEQNQLLQDNQGLRSDMVQMKEE NEELKYQLKKVVREKEDYREELTFKLKKIESLNEEIQRLEKSQNQMISDLKEAREAMQNI RQYETEIKSKEKQLLNDWQKLEKDKLLLKDRQSQLLILQEQLQLEVENIQSLKNRIILKE KKIVNVEQEKQNRLKEKEQQLQLKEKLISFKELKVEKEEKLKDEVDQKLLILEMNEDLWK KRVQAEFTKIKEVQQKLKIIK >CAK75289 pep:novel supercontig:GCA_000165425.1:CT868207:672075:672617:1 gene:GSPATT00001492001 transcript:CAK75289 MKYIPLTQHFQTVAMLYEKCADLSSQIDSLQSQITNNDGQNGQMKNEKVEKQSLIIEDLT SRVPEKINLQPQIEKRVQQKDEIEEEKQNQEKTILKIGKSIEKSNKMKVSLTCDHCNKEF QTERQYKKHQYRVRYRGQDKSKSKSQKDQSKIKEKKEKKDQQDKRESSSKKSLETFQICL >CAK75290 pep:novel supercontig:GCA_000165425.1:CT868207:672748:673201:1 gene:GSPATT00001493001 transcript:CAK75290 MSEIQQQMPHSSKLDQESATNAKNHLLLVNQKNVTELSNELLQSYKHEIDQLKQELHLMK QRITNNNEEIKNTTQPTLDAMLRDMRQAINTQKDENSKLQSQITELKKEKSQIQQLIIAA TQKVAELEHQVGNYTSA >CAK75291 pep:novel supercontig:GCA_000165425.1:CT868207:674649:677366:1 gene:GSPATT00001494001 transcript:CAK75291 MNNSENQSQQSEQTQTIDYSQYLNGDRSQNTDYASLLERTIFQLLKKYPDVFTYNQHQVY DGKSAGANYILENGLLLGNGAYGSVYSTVNPKNNQPAALKVQINCNYDQVIMQAEEFKVQ KQISIQFPECIIQIQNKIFISQKYMNTYRLYAYLDLGLGNLKEYQIQLKKFTEDQFNNLF DCILDSILKIHSLKIVHNDIKLENIINTQKNGWVLADFGCAIQYATPYGEYPIVGTRAYM QKQVRKALNNNLKKFKMNLFNKDIYAFQLTMLQILYPEDNINDLQQILDQQKLVHPKINS LYNKDYLTIKSQFLQQKFIRTAVIQEPILSTEYLKMKLEKNFKLSCQYKAYRDLQDLPYS KDMNYWTQCMMNLANQSDYREQEFLKKTMILDSFVDKYTFQYSAQEIFEEISFEDLSKFE YDLYYDVLEKRGQRMLQLMLCQGYQAVLNTPNCSLKFQEAELLYIIGEWEQAKEAINSIQ NEMELENDEMLLKFICLKARLNGQWINIREEIIPYFLYYKDIHQILMEWKYILIDSIWQD QSKCIFNRLYDRKNNIFFDDLNTFKNSNQQEPFNFDFFKYIKVFDFCPENFFSSQDTLIK LMQKRNYDKYTIFYQGWISCEIMSYHDEYLVFIEEFIQFIEQNLEGYYFNWIIYDYYSRF LIYKGQFSKAKLYFYKAIKIVEKDCIYNQFTLFHHLFSLQIQEDNLESVETFSKNIDLLK QMPNSQITLYLFVWLMLEIIHLCEKQYSFRLANFFNQAINILKAQLVGHTLGLRIIYAIE QDLASQKKDFDAFQSATWQYLPDLIEALNEDYEEEVLNSFQQIYKLIEHQNLRLEDETKH TSRWLQSTIVFQSIGFKIHKFDNHFVAAVPCFYSRSCRRQGNERKLQEAQEIFKFTLMNH SWLFF >CAK75292 pep:novel supercontig:GCA_000165425.1:CT868207:678258:679327:-1 gene:GSPATT00001495001 transcript:CAK75292 MRRVQSAAPNLGKITNSSIEFSMEDMFRIATKQTSAFGIEGYEVPKKYADPLKQMEDRKF SAQKKGQKNLGYVTKRGHYLEDQKKIYEKLPAPNKYDIVKPWVIQAQSTRSRSAPPKRTT FIDQIFKEAKLRGVPGSGKYNVEKPLDEVLKQVEEQKKKKIEAADRPTYLNEIQHLAVIN PGPGNYNPRPISKKLKKNETKPSDFITKHQEQAKKRGKSALPDVGSYNPEPLSFTTFNKL SVNTKKKERVDKHTFGTDVRFKDPKKSKSKQSLLPGPGQYPLIVQWQGKDQDKSKAKIKS YMQSTTKGIERSIYY >CAK75293 pep:novel supercontig:GCA_000165425.1:CT868207:679734:680642:1 gene:GSPATT00001496001 transcript:CAK75293 MQYDSATLKLIKQDFNQQFEEKIHNFQEQINKQFKFSCLFCFIILVIFLGNFNDSESNHI KLHFCYNVWIISLFILHLLKAILINQQIRLINENHIIIALWRNVIQKQENDLELQDLTGI ENQTSVNEITHINEGILFPELLTKLDYSQQIFQTIEHLLDFILLLSAILILIINCQQNSF QIDELIIMIGFVGFSIKRNFQYIKKYSYILITPILFPFIVILISIGKLAKRFNRHILQKR LRKKSMISDQIYENCQICQHPIQIKECIIQLECKANHAFHYECIINHIQHSFVCPVCNML IK >CAK75294 pep:novel supercontig:GCA_000165425.1:CT868207:680687:680791:1 gene:GSPATT00001497001 transcript:CAK75294 MNQDQLQFDFQLKALFMFNPLAIPTVPKPSEQVI >CAK75295 pep:novel supercontig:GCA_000165425.1:CT868207:681468:681868:1 gene:GSPATT00001498001 transcript:CAK75295 MNNVTDALARNVDKLSTKLETYIEANQKQDSLRYIYFNGINLASKVSNQLNIQKLSSENL RILQQVYEKLNHCNQYVVRNAGMWFFGIKVVDKRVIYLQTATISLSKIEEEINKFKEQFF PNMLF >CAK75296 pep:novel supercontig:GCA_000165425.1:CT868207:682206:683381:1 gene:GSPATT00001499001 transcript:CAK75296 MNTKALSLQKNRVNSEQWKLLQRDPQLLKLAQQLNRNRTQSNISTQKQVKPGNQTVSRIK LDSLKVDSLDDPPCQQEEQAKLKQTITSRSAQFTRKVNLNNHMPIKKVHHGNYFFDKIKE ILMSDLRDNSPIKKMGRDALNQIHMMITYQEFIPEVSLQYQGKSQKKLKIAFDLDETLIH TEPIQKDKVYDYQNNEFGVFIRPYCRHVLKELSLLADLFVFTSANQKYAKTIINLIDPEN TYFKGHFCRNHCITLQSRIQLKHLGILSNDFSNIVIIDNSPIFYMGQPYNGIPIAPYIDD KNDNELLKLLNFVTEKILQSDDVRITIRRFFQYDMFRQFSDGVQAFNILYN >CAK75297 pep:novel supercontig:GCA_000165425.1:CT868207:683640:684776:1 gene:GSPATT00001500001 transcript:CAK75297 MHYNNHNSKTSDAEGEIQKWKDRALKLESGFKVLNDLIQELQQLMDETDDMRNNLEKKIM ENQQLVIENSRLKERLQNIDVSDKVFSNKLFDDHDIKEKEFIIQKLEQEIIDKDNQILKF KREIESINRRSKLKEEELHRQYQAKLEDLQILYKNDTSDSQQQKFQMTKKMAEMEQLLSF RTEENQLLVNELNLKNEQIVELNNHNKLIVELKNKEFKDSQLQYQLSTQQQIKQMEESIA QFKRSQDSNLSEIEQLLQFKQQMTLKINDLSSTNQHLLIENESLKSTLLLFQKQKDQDDY MYEDQKKKESLHIKRYYETLLEQVEKENRSLRLLLEQKSRELDDLWNQSKRY >CAK75298 pep:novel supercontig:GCA_000165425.1:CT868207:684830:685165:-1 gene:GSPATT00001501001 transcript:CAK75298 MFPKLSNPIYDMDLTEKNKYQKDLMFLDLQGLKIQRPAQPLFNQQISNKNHQKEDPSILR IKHDRFYNSSVPSLPPLYIIKPFRKDGKGGAYIKAQFIKNKFENYEKLFQS >CAK75299 pep:novel supercontig:GCA_000165425.1:CT868207:685811:686546:1 gene:GSPATT00001502001 transcript:CAK75299 MYSYAPLGVSYASPLATSIARPVPVGYAAPVSYAAPVSYAPPISYAAPVSYAAPQYSPIR GESRVEYVPYQKPVVELEEEVRTFQVPKQKWVTDYYPVEYQKEYVPQVSYEKQIDYVPVE KNVPRVDYLEYEREVRRAPPAPVSYASPLSYSYVAPVAPLRTSVVAPTYNYGYGYAPTYS YAAPTFGYGSVYRY >CAK75300 pep:novel supercontig:GCA_000165425.1:CT868207:686849:688178:1 gene:GSPATT00001503001 transcript:CAK75300 MNANSFLTTKCTSFSFTQYKVRSRSIQHKSLENSRSHPSQKDLLEKQKQQRRSVHVTTLL QQNLNINQMFTKPIIDELMWRQSELYMNQFKIQLSYIVDSGNLQQFGAIICMTAEILLKI KDFNNACYYFNQYRILNTLTKNHAEKAKALIGLANCAQEIKMNREALTILKKALQYAWLS KEYELQIYEKLALNYYYLGQSEEQYYFHQRGLLGILESDESPIKQFSCESLRDQLKKNNI EIKTLCPQLLNYMNLPILSRNELLSRNPNSIRSDQVKKQVIYTVTEQIEIILQKNEEFQY QINTPKYQKLIKYHNNKKGDEFRVVNQSQSSYSKERRQYDLTNTTIYKLPYEVQVNNRIQ HPYIPEDINAKLHEQLRNANRTQKFGLRNKVILNHQNREDMHYRKNGACLYRQLYAIFSY YSQLFN >CAK75301 pep:novel supercontig:GCA_000165425.1:CT868207:688194:689339:1 gene:GSPATT00001504001 transcript:CAK75301 MGICFQKEDKNQGNQIEDSKPGSSNTLPVDAQQIKLNDQLNLVCLEDFISNKVVGRGSFG KVMLVQHKMTKQYYAMKILRKDVIQQKGQQIHTMNERQILEVAQHPFIVKLHFAFQTPEK LYLVTDFLPGGELFYHLRKSKKFSEDRMKLYAAELILALDYLHQKGIIYRDLKPENILIG VDGHLKLTDFGLSRINLKEGERTYTFCGTPEYLAPEILLGQGHDQSADWWSLGALMYEMI AGAPPFYSNDKGMMFRNRLEKKIEMKPWFSEEISNLLTGLLINDATKRITIDQIKTHPFF HSLSWEDVYHKKIKPQFIPKLKDELDLQNIDPIFTEEEINDTPINTIKGGTFDKFTYANS QIFKQ >CAK75302 pep:novel supercontig:GCA_000165425.1:CT868207:689875:690367:1 gene:GSPATT00001505001 transcript:CAK75302 MGLCSSMHHETELSASLRLKAIQIFQKIDKENKGVIDKKTTQQFWQSNFAKINTEALFKA VDFDNSGDITIQEWLTFWKIVKKTGYTEQEINEEVQQMFYKQLDELMQGKAWVQFRVVDQ FIQVDKNRRRSQIPQIVMQEQQMTLRKTKTAEIK >CAK75303 pep:novel supercontig:GCA_000165425.1:CT868207:690906:692605:-1 gene:GSPATT00001506001 transcript:CAK75303 MLNKLTLSFKSDAIEQEFRDNQSIKVKEEFNLFTIQCLLFFPFYLSSYFQSEYFNLTSIL IIFIIAGLIIVFKLIIDSCPKYAIIILPALQIIFAIVWSIDNLQSSLQVSQYQWFYGFQS FYFHSAIMQQGYQILPQAISILGLYILFLILYMENSLEFIALSLTQLSVLLGLIFLKYTN LKLKRLQFIDNRERNKWIKIIEQIVDYELIVVEFDKRQDQIIIKQINERTKKKFQIQDNQ ELRKILRDMAIIHSGSSHAKLKTESLEMAIREQFCCNFEQLIPSYDVSSSILKQEFRVKL IQYILQDVQCVILAFDSQSRQEIKQTLSNQKLQESLFIQCSSKILSSICTFNNKLLVLQQ IVNLQFYRIWLDNKHLLLTQRSQFKMPEVIQQLQNTLSRQIIFKNIPEFNDSFQLNLRAL QMVLVGLTQFVDSDFQVMIKWSNKILFSHLTFKMETKKVLFSSQVYNLIKRQLDFDDKNW IKNKQKILECIQILSEKRKISQEPFLFTLIMCEYVLYSAFNQSTLRLQTKKDKRLKVSFE ILI >CAK75304 pep:novel supercontig:GCA_000165425.1:CT868207:692718:694097:-1 gene:GSPATT00001507001 transcript:CAK75304 MWSISENSEFQSEISQFQSKLTDNDKDNFKFCYNIYKSLKQQIIIAQQYFSKFNFLLEFY DLLEQFQSDKQIEEYMKSYYYLLPIIFNNSIYSGILEETSYQEEEIEKLIKFTNKLNSLE GIEQINKFFKNPDDLKLKSSIQRHSITYTDEQQICRIPYQVCYAYFYSFHLKFQQNDLYV CYQNYKKLKIALRKIKELFMQERKLYKLMDLNIKNLKSQKIPQLKLIDLNINSEQIKQSF KQKIHFFQFDQYEIEYLQQFSVFTHCIANHNFEKKNYFGLSKIAVSNNNLLQVTSQGDDY VIQFELKDLSKNEIFHKFQLKSRGLIDIQFSQNTKYFYILQSFVFTLYEVVNTSELLNLE INLDYQEERYVNARFYQNDAKLILLSDLQIKLLSFNGSNFQLDKIFNIYNITLINEIQII EQQNSKDKIIEIKGRLNMKNSIYLQINNDFGQLIQCFIF >CAK75305 pep:novel supercontig:GCA_000165425.1:CT868207:694147:695541:-1 gene:GSPATT00001508001 transcript:CAK75305 MNSPSIPNKIINNQYVLKKKLSAGSYGVVFEAEDLQKKQMVAVKIEKKEKNSTLDREIHI LTRLLGTQGVPKLIWSGIDSNSNILVQQLLTKDIGAYLKEYRTFSLKTVLIITDYLLQII RRIHNKSVVHRDLKPENIMYHQKQIYIVDFGISKLYRDNNLKHAPFKEGRSFVGTTRYAS IAAHKGQELGRKDDLESLIYINILLLKGTLPWQNILSQNNKERQKQVGDKKMKMTPTEIC IDLPIEFLKALEYIKSLQYQSDPDYDYLIQLFRKLAQSRRIEYDDVYDWTQSQNQLLASN QKQETTIKQISSLQQFERSPRATQNKCQSLKIPSTYDIFQDRKKSVQNTSQLVLLQDELN KSVLNTYVLSQIVNDHDSKQDYISQDDVNDTLFQRYNQLKTQVFQIHHLQNIKNQTHKIA VN >CAK75306 pep:novel supercontig:GCA_000165425.1:CT868207:695837:705321:-1 gene:GSPATT00001509001 transcript:CAK75306 MELPPIPVQKRQSQLGADNLVSQQHIYNPQTQNQETAKPPKRNFNLKVGDIVYFKSYLID DYKGVISGDGIASNKLECIQILGKNQQIISQSKKCLQQKVGSLSFQKSLFQVITGKKYHY QNFLEQERSKSIDDIREQAINEAINLELCQSEYERRLKELEDKAKEEIIENKRFYDLAYG SNIVYGQEIQLKHIYSSCILSFNSANLAKENCCRELSLEEIPNVNSNFRILSMNQVKNPG EPILYGDQIIIQNSSQNKWFMNIQKPSQKYDKKDGLEVNCSQIGFPLKIANYIDSKTEQE LKEQSLKQKALLSGDVVTIKNRYLGGYLSIQRQVRITEGLDQTEVFINNYDTNISYSKDQ FYFNVEQVKNEKINYMYKLCVDTSENAENNLNNLWQIQHVNSLMFSRPNYESVFLIRHVC TGLFLEIANHNASLTYDGLRQECQFHLRSKKNSDDQILFSEAYKLQSFMNISIDSYVTQE NSVIVCLDDRVTVQRKSQKQNMERATFLLKLATQEQAKTAFRINSLQEYLIQFYIFLQDW GIVKTSTQGLEDIRTYDYYEAFNNQKRLYDEIQQLFQTLENLKLYLTNEGQAQINEQQQF KQKTLMDNDIINLLFAIQRLCNFMIYGSLINNNSQVLDKTPQKIAKSKLDPIVSSSQKLN CIQEIYDVLSLCVQKNQDTSNYVLTLKMNKESILDFLLQQLKHQRKHISNLIKESVRYTD MSESKENIKKWVNQLENISEDNIEDQTLYIEILSLIMIDPYENPNILCQNACRRLLFGTK NQQEQQSPFHNVLVSLDIQEDNNNLYPIVQFYPKRDKGMYMQYVNQFGQNNQMFCQLYLK FIDKQVRIAKRFLSHSPSLIDVVIDFFTIETLKENQLVKQEDAKLVVPIFQKYENYLMNV MGLYSSLCKGRNQKNIKCLMKNCFLNQKFLEICLKRQQNVKSELRFEKTLIDLFCNLFLD IDPLIKISQNYDPFYNNTQTNTIKCYLSDDIDQFNIQNPSGLYFYENNASKALKRSDDYQ SFLHQKETKAEHQQLKLYAAKMLSRPHINDIRLYYLEMFTKEEYPEHLLIEASYDIFQTK KSNQLNKPKFDYSRLAYLQLHYFLGLLTIIKNSINLGYNQLDENQKIFSILPNIFVALIL QQIPDMRIHRFSENHSFVSNLNKLRESVDDNIWVSTFLPSAEQLYQKKQSKYRGLDKDYK RMEQSTKIYMQFQRNWILYLLIWTYQYCNDDIIKTQIYLEALSILKIFEQLKLNLQILEF LFSSSQQNESNPSSPSVKDLDQFGFDEVINQEVQVKKPKTISSKSIYSVFKEPDGNSICG LLFTCLLTSGKRTKLNEELLQRIIKSFHAPQYSIQEISQVEIIDNQQELRYFSIINGNSN SVQMISPKEAIQLSKRALKFIQSQKDDNLNQKRATSFDILKGYSNRIIEQFEMFFKPNSQ DLQYLKSFQNIVRNANVHLIFLKFLVDPETIISSKEIVFFYKRIVLFLEYFIIDNDTNIS ILANNEYLQKILDVIQMSQPQADDKFYIPIKVTKLAIKIISSIPENQHDNLINQIFERIY KLGNQLTQSQEFYLKVYSTRDEEEEIKFIKRRNHENAVAYYSLIQYFKILRSMTKSYEKP AKQMPLNKHLIISKILKNTFLRIVLDPVNYYQDILIPELITEEENVKDPLLYHRMKLHSE LITLLTECCQFYRIGIQELQRILLYEQLKTILLNPKGEYIVKRAYLQCLFELYINQVREG QFVNDTVESDEVRDILSRVIIPELDQKQVCKYLEGLSKLANQDRNMKVIQRDLRDSIQRQ KLTYFENKLSFDSKFVQDQGILRDQTEYWKYLRKNGIIHFLVYTYDEMKDRTDLENPENS SLSDEFAIIKQNVQKIKEIFNVLERDFRVKKEDLDLDDYRELITSIEEIIPQRKITKFGQ NFKIGFQKDKNTKLVFDKIDTLKEDQSGEKKLQEQDNVQRIENLFRRNFKVYLIRYKVNI NQFNEYIENKANESERQQKILDTCNIYKTYIQQSDIDEIFKVNIEQIKRLEQVNNRNRVI SDWELFKQAMRELFEIKTIQIGINQQVQAYLRQQEGLENLKQEFGIASHKLIGKQYKKLG GQLEGNEDLISDDIQIELLIKTLEKQNTNILNEDNVQEFLEKCQGIFLNREIYLIKLCKI FLLLKRPTQDDIDSLTEAKDEKSKEQIRLKQQIFIKYQNAMVDSDLDILALEILNDSDDD QHKIEALQLLIYLLDYGNDYVQKKFYNILKQDQVIKQKFFVFLRGFFLTDINSRILELEL CEGNNTEYKILCLKVLKLLQALCENVNVDFQKFLVRQYDDDSYQANINIVNEVASLLADL LEKGWSVFYKLQEIYRQALESLVEFSTGFEENKKELCKNTRLFTLLNQILQKEDLLSFKQ LQEENRNIIKENLLKSQNQEEDLIINLNKNQLDFQERKYNSYQTLQSFIKLLLLITQGKH DKQCLKFILETVNITILMRISRSIYQDRIKPKQRNIILDNICDESKIGQHQYCSNNLCHF GLRTDEDNLLMQTGFNIFIICLTLSEHFPKDSQLEIFRFDEDQDEQDDLIDLSDPLYDDL QRKNTASSQKVVPIFVKNQNHDKEEQSALLSNQLRQPQQNEFKQIFEDISNDENFKFTRK QQFFKFYRQFTGRIEIQNEQSELQKVFFQKPFICNFVTPNIKQHIIYEIKRDTDEDRMQG LIDQADFYQVQMRHSQQINNSFIMHFGAVYWRLLKDVSFILCLVIVILLIFMHDIVVNSK LGSSQEAPESETKVPGQNFVSYLNNIITIIQLVLNLIIVFFCAIERYPISITYNRGETNA KRVQILKKEAGFSIAWLTMKYYSIVGYFEQEFQGQKVSESTFKKLILVIFFDFDNFYNIW MFGLTVYAFFNPYIYAVLLLDIIKRSEDLQNIIKSITSNGRNLAIFSFLGFIGLLIYAII AFSDFDWMFNDEDGVYGQTFILAVTSTINFGLRSGLGDSMKTYPEPYEDPTLYWGRYFFD FSFFIIFNILFIQIIFGIILDTFGELRDERQALVKEIEGKCFVCSIDKNDIDTNGSKGWH YHIYLEHSVYHMLYYIIYIKNKHPNDCNALEKFVKRCLDDKETIFFPFRRALQIEDQNND EDEFNGQSES >CAK75307 pep:novel supercontig:GCA_000165425.1:CT868207:705558:707598:-1 gene:GSPATT00001510001 transcript:CAK75307 MITFKVILPDQSEGEYQISKTSSVKEFIQIIREQNSDKDWNNQELELHLFDQLLKEEGSV DKIICNHQIDSLTLKARKREGIKKLKTFVSQFLIKMSEKTESGMNGFKIALKRSTSIQEL PKTIEKRNIRKLLTFLQVATNKIVQTSRQKSQTFAEKVQQNEKGKHLTTSQDPSLINQEE DPIKNNNDTVLGLLDHLEKPNNQNFYHKHNESQAKPKSLSPEARKYLERKKKAIENLNDP IQSAKAKQLVAELKRQRRELEERKNKQLHNESDQLEESIEKYKMEREQEKEYLNQEKRQK IMERLSQMQKHQELQLQRNQHQKQIINELKNKEREKRKQQEDREEILKKQYYEDAKMKLQ QRKKLAVPIRLEEINKHEEDYLNKKQLLKLEKDQKKLEREIVIQQETKQIYKAKDILQKV IDVDSKFKNKDVVEKQQKAEKLLRKQKYAEIVKEYHKPNIKVQTDIQFSRAQHNKSDNSL QEEYIDFLKEGEGKQSATKVDSIRNKLHRQAQQGSERNVHKIQNVLNLQKVQKTKVTESL QKGFVPNPSVYYQPKPMKSEAQLEDLQKKKPINYLKELEQERMNQQGRLGQLKSNSVPRL QQDYRSIMERGKRYEKEAQRKEHQAYYLNDEKLKEEADDLFLKSVQEKIRFLEKI >CAK75308 pep:novel supercontig:GCA_000165425.1:CT868207:707735:709138:-1 gene:GSPATT00001511001 transcript:CAK75308 MDQVFNHLIKRATPQPIVKVGTPWQCIPIKNDKQARDTTEVHMANQGFNELAQFDKFMNL EVVWLNENQLTSIKGIEQNFRIKHLYLQKNKISTLEGLQNLRHLETLSLFNNELRDLEKN LLILKEFPGLTTLDLFNNPVAEEPYYRNRVISALPQLQLLDRSIITVQEKIKVEAWYKDF KAEVKIKKKGQKKQKLLPSQIFSAGEKLLYKEVDEIKAKREQQKADEIQMERTRIKQKEK TFDPNKFPTNELKEQLRQRLHDNPMSLVNEWEKGKLKKIFKSYDKEKKGSITQDKLQGLY GDLMNDIANIGKVPAVTFEKFKEIMSEDPLPWDSFCFQLNHVDFERAPEDLLQKKIDEKY KEFNRRLNAGKKAEAKEFMIEAVRLEAARDKDEHKLPEIIPDDNTKIRSDCFDFSRYKYK QNFTDTAKYLLQ >CAK75309 pep:novel supercontig:GCA_000165425.1:CT868207:709150:711019:1 gene:GSPATT00001512001 transcript:CAK75309 MLNKCKYLFICGAIASGIGKGTINSSIGMLLKQYGYKVSFLKIDPYLNIDAGTMNPYEHG EVFVTGDGGEVDLDIGNYERFLDIELSFYHSLTSGKLYQKILQKEREGHYLGKTVQVIPH VVDEIKNWIRNLTTVPLKHDTVEKPDILLVEVGGTVGDLESTCYYEAVRQMINEEGKDNV GLIMLTYILTLNNEQKTKPAQNGIKDLRYTGLIADFIICRSETELQIQQRDKLAMFGNIS KDSIFSVPNSQHIYDVPEVLQKQRLAEHILDKFKLPLQKVPNIQQYTKFGQYLRELEQQK PVTIGVMGKYMKNLDAYMSLIKALKEASYAIKVNLQLKYIDLVEFQNSPNPEEQLEKECD QFDAILVPGGFGFNGFNLKIKCCQYAREKKKPFLGICYGFQAAVIEYAQNILGVKDATSE ELKEEQVGTNFVVFMPEINPKLFGGNMRLGNHETFIENKESIAYQIYGSEVVEERHRHRY EVNPEKIQTLNEKGLIFSGKDKKTGSRMEILELPKNQHPFYVGVQYHPEFTSKNFKPNPL FVSFVSAAANGEYRKSKIDTNYNNQI >CAK75310 pep:novel supercontig:GCA_000165425.1:CT868207:711028:712680:1 gene:GSPATT00001513001 transcript:CAK75310 MVIIYRYQLYQVFQQYHQVHSIFIDFITFNVGITIYLSSPSFSSISSIQQTLFISSQIQF HQSTGIPKRPLFLFEIPKFNIKIKMKNSNQTKLLKQTLLSSEASLVRTQISVQSYLKSSP NSLNRFLRSSFKVYSEMKEDIIQNQQCKLSLRYEQIYLLMASQKLFMYLQKMQEYYKKLQ KQEAFYIILRLAAIDYSNCSSFFKSSQGCNSPGIVSKDIGMEKKIQNQQLIKIIQELQLQ IAGQEISKALYLFQTKILKETFHQLKWIIKVSQHLEKIKLIIETSNQRNALNQLKQKTTQ ARKFEAGLLLLNLKIKKIILSNQFYFFYSFKVQSIQHYAKKTQKPKKKQIEVSFKPQDES LKLLKLYQFFIRYCYRYPFDQLRKQQYLSQQFDVPFRSSNILQRLSNNQISQVIPQQQSQ IFESIHVAENQQQEQQEISQSQFQKPKVEIKQTGKIARSIKLYLNEYEKQQLQIQQSRIK SKLYKKPKEAEEIQVNNQKRQLNNKKQIICLIFSIIIFCIALVFLFQ >CAK75311 pep:novel supercontig:GCA_000165425.1:CT868207:713724:714596:1 gene:GSPATT00001514001 transcript:CAK75311 MSSSSSSRSRSPRKNKKRVYVTGYSLKEDQHDIKKLFKKFGKIEEFAWKGKYCFIEFKDP EDAEKAVKKMNKEEVKGSVLQVEMARGNKPSKNNGLCYSCGHSGHLQVLYQYDIFYIYSF TYFHSAKNCRHRSSSSSSSSSSSESRKKHKKKHKKKKSSSSSDSSSSSSSRDKKKKVNKK RKSSKQKSKSSSRGSSKDSHNSESSGSVEGEKQESNNIKDDKKPQVEENQMKGADGKQ >CAK75312 pep:novel supercontig:GCA_000165425.1:CT868207:715044:715262:-1 gene:GSPATT00001515001 transcript:CAK75312 MSFTPRKVKSLNKELYLTSTLYNSKFQRTFTNEEISSVSKKLDFDQFDNQMQNPTSILKM NSLPHKGISQIR >CAK75313 pep:novel supercontig:GCA_000165425.1:CT868207:716405:718603:-1 gene:GSPATT00001516001 transcript:CAK75313 MIFYKRRRGIHFTFALKLLLAASFSNDIYLIVISSVNLLAILIDMLMNRKQTPIWKYIRI ILLLELSFNDQPFGPLIIASINYNLKYKIVLLSFYILRASLIYFHTFKIIDWVYTGIIFV SFNVYFYLHDIKQTDSKLNCHSQRKLTQYEIPSQNDSNDVIDFFNYIPIGICLLDNNHNI VNSNNKAKKYCSLIKEDNLQQQLLIMIHKAWIEQQQNPTALRCERRSIITSFEEQGSDFQ LRRMKSFSQTRKKAISLTLHKQQSNFIDFAQIVNKFKSSGIIKNLNSLKYRDQTNQKAYE IKIYETSKGYLILLKNITKKEKQIDMKDRYHFQQMLINSFSHELRTPLNCSLSLLQTLKQ EIKSDLNDTYLKPAIISNKKLLHQINDILDFANFEVQTFKLRPYIFKLSHLIQTIEDYFK PECQQKKIDFIISACNDAFIRSDFDRILQILVNLLNNSVKFTRKQGVIKLNIQKVGSLYQ FEVYDTGCGISTEKLLLINKILQNTEVDLARRGEEDYIQYVGLGLKVSSQIARNLCDNGE LFITSQLNQYTNNRFIVKDQQSNDEALTIPTDEGIQEPYKSNLKRQCNCLKVLIVDDIPF NHLAFKTVLKYFNIKCDSAYDGSMAIDMIKTRYQTCNCTYKLIFMDIDMPGIDGYQATKE IQQFLEQQNTSTVIIMCSAFDSKENIDTAYKCGMKDILPKPIENSRLKTILYKYYF >CAK75314 pep:novel supercontig:GCA_000165425.1:CT868207:719065:720726:-1 gene:GSPATT00001517001 transcript:CAK75314 MISQLILSVLLGITFCNWTRTPQITIDKFNQTDQKISISTEDFKHLKYPNAFDLELYYYE GLIDKKDITKYQKINDTQLYQQGCSYFERKIKVEDQLQIQLPDESKFNFTDMVVVGQQAY LIRSDNKIFKVQLDFNGYELKKMSVQSQHLDYSEQIAIDQKKKSHFVVANDQIFVVTEKG AISFQYQGWQNGNLPPIQFHKLEEVSNINYVHYDEKYQRLFIVAGKQGVLVYKIQEKNLN HAYTITGNLNIIKVQTKNDQLFLLDDKIGIHFFTLNENEYTDNEFKIPLENPISFVYNKN SFLVIAQTGQSQDKIIFGIEILFNFKNQDFYYNKFYLEDMQLKEVQNCGNFQFLIGYDVH KVIQTNVYRGFVDENFDYDTDFMIPLLQKIEEIEGTDYEKADTQYHYSLALTPHYLYGLI IKDKNPEIVCSSIKQIEQSYAILINSTQCEKAEIDPFIVCHEEHFINLVVNEVLLDSQSQ WYAEVFLIVVFAIFILLLICGYRMCSKWRVIMQKMEEQLKKQENKKKQYANLQMA >CAK75315 pep:novel supercontig:GCA_000165425.1:CT868207:720946:721551:-1 gene:GSPATT00001518001 transcript:CAK75315 MNSNTKITLTIEQLTELLMNPQLPNIQSSLQSLTEFELRNNKVDLMKIVSFVDQDEFQAA STSTSSKNSQNQQMIEKINELELENTYLKDQLEQQIQKECQLRQQMNLCLKESDRLKMQN QHLNKQLDELERIINHNKLTSNRIDPISKSVHLDIKKALVVKPLTIAQPLRKFSGTSGIV AQHPFKNSKDLNRVKTERSNL >CAK75316 pep:novel supercontig:GCA_000165425.1:CT868207:721730:723429:1 gene:GSPATT00001519001 transcript:CAK75316 MLNLQTILRGFEENGLKQESMYKADEILAKLDIMGRKEFDRDIAEQLFQQCKPTQLNLKM VYRLADIGQTIIDAMSIQGEKAKNAELQLRQIQQNKAICENQLAETSVYSDTRYLFLTLL CVKNIPLNLKYTNCHIQLTLGVINQIAKPESQYDKVNPEFNQDFEFSVPPLISTLQIQFF IRTENTLPILWGSAQLKIQQLDDQVIKQVELKLVDPVGRELGSSVEMEAQIVLNKHQYLQ AQLQKFEQRIFTLDNDLIEYRNNLEIIERPFKAKQLSKEQTNKQLSSNIFNQQLHTSQNQ QEQDQNIYEMDQQQNQLNSPQEQKEQIWSILQSDKQLEIQDETPEAPEILQHGVIIFTIY GLITLFVCSAKPSFLDVLVCHGLMFTILMDRFDPFHIKLVGAGLLASILYDILWMKQYHL WWYSDDQNNPEWGLNAVNLLRFVLIFTYMQFLYKFVVCYYLYQFHRESIDPTKRYVFTIW KIQYKVGKSRESVSWQ >CAK75317 pep:novel supercontig:GCA_000165425.1:CT868207:723432:724214:1 gene:GSPATT00001520001 transcript:CAK75317 MNILRFVYRYSQSLSYRLSEQGQGFSYQINLHCNDTVKSFWHDIPIYPVKDQYNIINVGI EIPKERLAKFEVSKTIKYNPIVQDQKKKKNSDEKELRYYAQFAPFNYGFIPQTWENSTVD LHDGFKGDDDPLDILDLSNQSNLRPGDIFQAKIIGAFCVLDQDEIDWKILVLNTEEADKL QVNEYSDFEKKNGDISRLILNRFRYIKTFDGKKENTILFNNQIFDAKKAVDVVRDGHQQY LDLLKNPKLNQKRKEFQITQ >CAK75318 pep:novel supercontig:GCA_000165425.1:CT868207:724298:725583:-1 gene:GSPATT00001521001 transcript:CAK75318 MAQALAAENYEFKAAPEVVKPKTKYRPQVIQQSDDQKDRFRFKFILSSLLGCNIMFDKRV IRGNTYASVQTQGDKKLMTLKSFKKPTQKAQAPQAQTTYLHPQTPRPFQNRVNIDIQTDE YLEILTDKPPEQEMDAQTDYYIDKPPDRLFVPKKNGIDKETQIWEGDLFDFDQEVEPILQ VLMNKILEQSRMEVLEEEEIKLMKLQQKQHEKQKADVFSNMQRLEAVQQRLDQEEARRKL QYETFLKIQKQSHQKIICRTLSKSLIGPLKQNTFKKLQDLGVYRDPLETALLSEYLPWMY SNILTDLIDEDGNKSSFNNMLFDVEDSLTNAHANSILRRKQFIENHYEEIARKKREKEEM KRQEEERRLKEIEDQRLAEEEQARQLEQQALEQQENQQQVQQ >CAK75319 pep:novel supercontig:GCA_000165425.1:CT868207:725647:726739:-1 gene:GSPATT00001522001 transcript:CAK75319 MEVEKVNIWLDCDVGNDDAMAIIMALFHPKSNLMGISTCFGNTCLENCTINTIRILSSVG RSDVPVYKGAECSLKSVRATTKMHGNQGLYAADKLIQSFEPLQNIALYDLIKKRAGEQKF VIVITGPQTNIAILLRDHPEIIPQIQEIVFMGGASGFGNATPNSEYNIYSDPEAAQFVID TCKQHQLKLVMIALDLTYTCQLVESLQQRIKAINSKFSDWCLEMLQEFQAAYKAQEFDYP PIHDPVAVFYALHPELYITKQLFVAVDCESKLCYGRTVVDKHGVLGLEPTLEFGRKVVVE EFWNQMIEAIRLAAKNSKLE >CAK75320 pep:novel supercontig:GCA_000165425.1:CT868207:726772:729166:-1 gene:GSPATT00001523001 transcript:CAK75320 MSKRYFINNLDSPLGQEFYSQLVKEETDEGVHMATYTIDDPNKMPPKGFKKILKRYKPKL SRKKMLEECDVYVYDVASATQTDLDFVCDIFRNSKTTLEEQKVLILVSSVLTWSAMPDKV KPKVEKKPDEEQEGEQQEQQEQQQKKDEPDADENQEPKDDENQDQEQEQQQIQQQPINEV PEVIEYVPWEENDYKLRSASAQYSQLKEWEDMVLSLQKENLKVIVVCAGLIYGKGELLFQ KYFKKAWLQQPYKLSYQPDGNNKVPTVHITDLVKMIVKVSESIPESNYIFAVDNTQDRTQ KAIIQGIATGVGSGWIEQNDDFFKDFTPEEMDCFRLHLDCKPSQMFIGNEETPSDFEWHC EKGIAVNAKKILQEFTTIHKLRPIKIIMESKAENMRFQDILTMISDHYRIPVINQEQIFK DAQDPNYVFPSEIQEDFNEYWPTIQEYIDSQAPAQLSEQLKIYYKVIKWRLSQNDCQNRG FILQNFPNHFEEADFIFYPNKQKLKMKKKPKKKPVVTEEEQKPPQEPAVDENGDPIEQEQ PEDQQQDEPQQEEAQEEEQQEEEQQEDEQEGGPKPEDFFPESYIVIKPLGKTDNFEYNRK LINFFSERNLDAYFIDPRKKTNHDTFEDLRIYIERNGRPYNYLQNEKELIVLYFNLQRIK DLTIKYTRKQSIQIWKEITKVKKDKRQDLVTKYTKYIEEQEQELQQVKQFPFRNYLMDFV VPVLNEGLVEVAHILPDDPVEFLAEYLYKRSFNVDNE >CAK75321 pep:novel supercontig:GCA_000165425.1:CT868207:729208:729824:-1 gene:GSPATT00001524001 transcript:CAK75321 MSFAERVYRKTFQKKAKKEVKEKKTETENDDNYGLYYFENDQDEIYNLAEEFLGKCILLN PSDDDEETQKRNKIRGNEFFQLNQKKQKNICSIEINNEENQQSQENDENYECLDTRSNSN YDDDFSKDDALMVQLSEINYLEKLDNIQKKSVGLGTKKYYSCKQKFNKITKEHLVPQIKK KNILKKNLKA >CAK75322 pep:novel supercontig:GCA_000165425.1:CT868207:729973:730689:-1 gene:GSPATT00001525001 transcript:CAK75322 MITISNQELTPCRLYLLKTDKLIIKNKQEEGLTFTFIQQKSKPLKFEEQCKFDCKIGNHY LICEKLNLKAEVYVFKTQYERDKYQAQEEEFKATKSEQQYDSEDVSNENDEIMSEEIGIL PQRKKKLLKWSTAETSSTYYSKKENQSTTSDVSEQLLPEKRGKRKGSDPVVQKTELIVKP KIQRGTNQINNILQIQQFAKTSSKRQIAISQDQLSLLKRNWDAYKLINEYLYNQYSYQ >CAK75323 pep:novel supercontig:GCA_000165425.1:CT868207:730714:734065:1 gene:GSPATT00001526001 transcript:CAK75323 MLSLIQGDIIQQKADAIALPSDIALLKAPGLKQLHQNGQQQYNDSITNQKPFSQIQQTSV ITLPLQNNQFKYIIYCIVPKSDLNNQELQLSLLLELLFENIFDEITFLKLQSILIPVLGC DNADFTIQEFLMAFQSIYAKQRDNLKDVNLIFVSQSQQEYEPVRRFLSIKTPNIANPNFD SIYKTVAQGCQTSLTETYNQFHQQNIKKNVENQKPEVQEGSEIAQYRRDYNSKKQNQQNQ GNYQAENSHNQETLNQKIRQHNQNPNEFQQVGTFNQSNQSIILKSSPVIQSNKSFFSLII YIDELILEQKDLIKFMLQVCCDLNQLHYKLLQDQEILEQSNQVFNYKLSYKYSMIPKELF PFCPPEMLENQQISETNDSYSLGIIFKRVLEIINYKSNQEDQQNMIQIQTLLDKLISQTT QRPLIDDIFSFLLSLQLNEQFIEKWTQDQKNINYFYRKRGIWQKIKDFKNQIMMKASFLN NQGSGNQQYSLIQIDNKPQDQIQLLNHQESTLFTKLQQNEFNLDCEYAQREQNYKFCQID CVVISVDKYQFKQNLPSFIKFDSKLDYVLDKIITLAQNEKEHYLQSIRQYVPIFGCNLVL LVFCPNQDQTNGRQNQLVNCLRDILLYNLKYQKITTFCLCLDDICKQLSANQNDLSMDLL AKAFDEACAKIPDPVIWNEIQFNNQKWIVVKKTPMKIKILEQSIIIHNQDITQIKGVDAI VNVADPNLKNRGGICGAVFRAAGENLLEEEINMLFNKLGRKQPETSEVIVTKSYRLGQEN GPKYIIHAVGPKYNPQDPQKSKEQLNTCIVNILQKCQEYKITSVAIPPISEKNFDFPKQI CAQIFHAALLQFQFQNPMSIHIIDVRDKVVDIFKIIFKGEKLRETIHSKLDFAPPTDCLV CPINIQNYNSEALKRIIELAGPSFQNELEEQIKTKQNQSNLKNCQSFLIQGHKMKFNNIY QVLLISKPLNIIDKQTLLYQVYFSILVETFLKNNITSITILLYSILQQCLTSQEDFIKYC GKQAINILLKAIQDFEEKYQQECGKITILSNAAQFCSECELIFK >CAK75324 pep:novel supercontig:GCA_000165425.1:CT868207:734729:736024:1 gene:GSPATT00001527001 transcript:CAK75324 MCITQLYNLECSQTQSKALSHPFSIIETSTIRVTKNDIEIQDNNINKMLTLNIDDIKFMT WIKHENIYEVTFQKKQNFKEDKFIFDIYHGKLGYQALITRIAQPNFDDNYERIETIKRIQ NGEIMKCRRRENNEIFVAKVQQNNHFLIERELNINLLLAVNRHENVASMVEYYFEDDKII LIYPYFSGGTLNQLISANANNISQLKVKSIMKKILSGLNHIHSLGIIHRDIKMDNIMLEE IDDHKCVKIIDFGFSAFKDNLNYLSEKCGTIGYFPPEIINGQFYNEKCDIYSLAQVFHTL LTGNPMFDRNMNRQQIIRLSKNNLFKIDYRNIKEVKAQQLLFKMLDFQEKRYDVKQCLEH SYFSKAVNLDLKIKNVETLKFKSPF >CAK75325 pep:novel supercontig:GCA_000165425.1:CT868207:736421:737227:-1 gene:GSPATT00001528001 transcript:CAK75325 MQRSIGYIKNRTKELQLKKQKYKSPDLNSSRRIYQLPPKWVDKMEETRDTIQKVGILNQE IERLKTSKDVGPKAQFNEQLHYRLKSEYKNLQIMETDDCENDLEYKIKQNAKMCLGISLK EVTPQLRSYQNQMMRQVQLERPAQNFKVDFEQEYIETLILDRNDRIKSLGEKLKKMNELF IEMNRLVIEQGTLLDRIDFNIDQTFTRIQKGKQQLVQANTKQETSDRAQKCICVLVGLNI FIAFLFVIKHTLL >CAK75326 pep:novel supercontig:GCA_000165425.1:CT868207:737264:738848:1 gene:GSPATT00001529001 transcript:CAK75326 MKNKANSQIPFPVAYNKMNHFQLPLLGKKKRKAHTKNPFKTKIYYIPDDTVMRVKCLDGF LMINVTNNDNPHEIIRANLSRLRIQSSKPEDFQYFLNLQDLDVSENSLQLEDLTSFPALK NLKICANNIRNIEITHKNTFESLQHLDLSFNYLNKEAISSLKNITELKELYLVRNELLEL PIDMAEFTKLELLDISDNAFQSNQTASVLWEVLGQIPELKTLNISKNFLRGIHTERLVVG YFNKLETLDFSYNIAENQHNLICARNFTNLKKIIITGNPFGITRDHQGLEMEIHARTGGI LINEEIEKSYLKKPKVKRPPCKFEQLKRVENDILKLPVIEQSKDGLLLNNEEEQSQIDEN QEDNMFVTENQQIKQQQQKLQQQYQLLSNNQKPSTYEEFKKLAQEILGDSKEYDQNQNSS GRPVLDLTKAYQQLKSVINKPEVVDYEDYKEPNYMKPTASIPRYKYQLDDPEDESIQKRT DNNNLNSEENEDFNLELLQQGQNQTQIQQ >CAK75327 pep:novel supercontig:GCA_000165425.1:CT868207:739141:739721:1 gene:GSPATT00001530001 transcript:CAK75327 MQRFSVKIIKENIVKLVDVDAIVNAANQELLPGGGVCGAIFQAAGRELERECQQYIQQYG IVPTSKLAVTSSCQLKKNNIKYIIHAVGPKYFQSSSPEDELQICVNNILNQSFNVLELKS VAIPAISSGIYGFPKGLCAQIFKLVIEEYQKDTSNKQGEIILCNFDQETTTIFQKVFQQQ NSF >CAK75328 pep:novel supercontig:GCA_000165425.1:CT868207:740361:742182:1 gene:GSPATT00001531001 transcript:CAK75328 MGNNQSQKNQTKSKKKDPLLFLFGNNKKQIIFSKLSLKTLSLLTSFLTIQEYHNILLTSK ATYQLFIQTSGCFQIECQRLLNVKGDLIISQNWKKIIQSIVFIPIRGIPFSDAIKSVRHQ IKQIPIIIEQKVYYSGFQKAILYFQNKSNDQKFSFNEYQESIEFANKNSDLILKLRQGLE VYIQLPQLLMQIYNLHDYIKIYSLYLEIKFQKCNLQQFIELWHHYAGWISILEQETARLI VQFNNIIDATFPQYRLPNYTVRQFMVSQWLKKSDRGEIIVMLREEFRLKMIESRNKNIKF QELRDYVQYLIDISTNQTNIHQYGYYNFEYCEELNKLVQLAVDLSPLLTIDYKTDENMLI YIFGQYIYDYYIFELLSTFRIEQFKQQIREHQQSSQKMTKLVTKHLEDLSLSSNNLFENQ IQGFNYHMSMQYNVQFKIRSLFKQISYLDEDICQTSENIQPESLEISQISFASTNCSSIL SSIKNNDQAYHYVKNYQQELFHNIELFYQKELAISQYIQDAEPRNTFIYDIPELGQYLDL QFTQIMDLFQNKQQRSIANPQSEKRLTMSIFNQSMNQQQICTKELSQAEQLLINFLK >CAK75329 pep:novel supercontig:GCA_000165425.1:CT868207:742280:742870:-1 gene:GSPATT00001532001 transcript:CAK75329 MRNLTPEKAFRLKKQNIFELNFSEEPKSNKIHSRYSNLQPQETLFSAYTKNRVIKLKETH QMHTKIQSLKSKLIRIFKDNRQQSPHDMFTDQHGKLILEELKESHVLNMSGLQRMVNSSE KNPFFLNQPRHSSGLRKCYFRYPTDAIEKFDRSTAATPQPLVRRYTPMLKQRVRNSEQWN ELNAWQTKDESTTNLF >CAK75330 pep:novel supercontig:GCA_000165425.1:CT868207:743260:744955:1 gene:GSPATT00001533001 transcript:CAK75330 MSQPYVPQEFNKEDEELKCHPSQSGSTATPTLFENTNTAKAFSTAFFEDQLHQEDDLFDE INQQLNQVLINDTYRPSLVSAFQADMSSYLSHEKLTKNSRKMQLEYQNANLKEKEYVFNT YVRADIENISLDKYRHFLLEKILEVGPPSHKNVILDRIFQSINKLIKDLYACKVMQKGLE MMISHPNDSPYQLENYLNFIHSDTPQMKKIYVDKIANQIIQKSLEVLEGNHLYKLLQVVS KYVLQQHNSQVLNNNNEKFELSTDQYGCLIVNKIIDIYPKLFDAQTKTLCNEIITRAIEN SSGLTRRQFANYIIQSILEKGQEVHKRLLMDQYLIKDFIPMSMDKYGSNVAEKAIVYGGS QWRTRLWEEVTVSESSFKKLVNDQFANYPIQRLFEYLDQPLRQEYLALLNKFSDNNQLNN HGQIVLKFAQANYNVKRYTQKILQTEKNKQIKNSQKNQNQKSQTKNQNLTNNPQNQQQID NGFKQMQQEQFQVMMMQQMICYCKNRCHNYIIKIKIIFIMEQ >CAK75331 pep:novel supercontig:GCA_000165425.1:CT868207:745508:746949:1 gene:GSPATT00001534001 transcript:CAK75331 MSQEISKEILALSIHVAAATSAITIQTQQRMTLVTNSVLETVGQTMKIINFLMSCSQKQS HLEAVIEEQEDDGQKDSKTKDCLNEQFFKTVQADCFNDEVMENQKQKENCPTDIILRQAS ELKGQSILKSNNIFSAEYPKCYVKQVENLTPIQIRKPSLLSQQLSQIKVDKLKEAEEQRE LMKLIESVDPIELIPKLKSIEIYDEYKRSSGYKDILVYSNKQQIVINEQEEEQQLMDSSS KSEWYENSSVEYRATSYNTNESSNLDHHSYKWKSSSFGDDNLMQECGFQFQSSVFDFPFP EDFCADSQNIHTNIQNIENKNQHTPKKRRLYCNNKKVPHWAENLEKVSLHQNQQQNLSQN QIFGRMKQRVLDIAKQFSFNRLNKRGSSAQWHISNDKYEQMQKQMMKLQQIQDENIVKLK QHQEDSVQKNQGLYAKTQYFLTSIKKKILNSFEKEYKYKNV >CAK75332 pep:novel supercontig:GCA_000165425.1:CT868207:746971:747751:1 gene:GSPATT00001535001 transcript:CAK75332 MTYSIFIQTPEGNQLHFRGSEFSDIKVFVGQELGIPEDIQKYHIGGRLLSNISQLSDLCT ITLFLGLQGGKGGFGSLLKNQAQTRRKIINWDQSRDRDGRRIINSKNTINLINYFQNKKD EPTKIKKERDQVELTQATLKANESNIKLDQVYIRRNQQNEKNIENSIQQAKGNYKARKQA EKVKEKVELDFLNEIDQFLEDEKLEQQEEQLNNTDEQMNKSESVGTEEPEISKKVKV >CAK75333 pep:novel supercontig:GCA_000165425.1:CT868207:747751:748805:1 gene:GSPATT00001536001 transcript:CAK75333 MKHVTQTEYGGPQVLKLGESEIPKPLENQSLVEIKSTSINRADTLQRQGLYSMPKGVTPI LGLEFAGYELDENGNRTRKVMSILPGGGYSQFAVVNKDHLIDVPANIPLEIAGGISEVYL TAYLLYKLSDLRKGDSCLIYAAASGVGQAALQLGNIFGVNTIASCSKGKVDAIKKYTKNI IIRDDTIEEQFKQLQNICPQGVNAVFDCIGKQNYKLTVDSLAIDGKWILYGLITGGLVEN FNLASLLHKRISLINTTLRSRPDEFKKNLIEEFNQNVLPYIANGAIDIPVDSITKIKWDQ NGINEFIRLHTEMEQNKSAGKQIVAFE >CAK75334 pep:novel supercontig:GCA_000165425.1:CT868207:748811:749838:-1 gene:GSPATT00001537001 transcript:CAK75334 MLSTQVFNSFAQRQSNGIIKLLAYGVFFGGAYGCAWHQWKGGQQKQLYEKVENEITEWKP VSINGLNASRYPWVQNIRNWEYKLVKLYGYFRDERFFVRREREGRDGFLVFAPFVTALQF NDTEQDPEQTTKSQVMVNLGWVPKDNISDIQMGQEPIGTTTYDNVPHNEDDDQLTGFNRN IANMEEDYQMPFVEFVGMVRKGEEEDILKGRRNWPREGVYNYIDLWFMSRLYRSFNLTDS SAAYIERLVQEYDEESANLYPIPATKDNFDKPLPTPQTHQAYSLFFGLSSIMSLALLAIR R >CAK75335 pep:novel supercontig:GCA_000165425.1:CT868207:750395:751500:1 gene:GSPATT00001538001 transcript:CAK75335 MQNKPSFSQSLIPLVCLDIGGTLTKMTFAAKKDFIINCQTKQELKIQNENEDYEIHFLSH PNSLDVLIQHLEEIGFIVDGKSHIQTFYITGGGSFKYYDQISNYGNIVRINEFDALKFGF KLLDSIKYKNTYFTFNNGIEYLQLTASIYPFILVNIGSGVSILKFDNDEQFARISGTCLG GGMFLGLSHLFTGINDFDELLKMTKKGSNAATDLLIDEVHLGFQSPTRDKNEKHVAVSMG KLKQNSNLNQSDLVKSLLYMTTYNISQIAFLHSKLHNIERVFFSGHFIRNHEETLQCISE AFSYFSKLDQQNRHPYFIKHDGFIGSLGSFWNGIQTYKQNNH >CAK75336 pep:novel supercontig:GCA_000165425.1:CT868207:751600:752248:1 gene:GSPATT00001539001 transcript:CAK75336 MLLQFLLLITEVQSISLKFKIPPGHQQCIKDNVAQNTLIYGQYESSTLLYIFTLNVINQQ EIENTIIEYSSQAVSQFHHVMQESGEISMCFEVDDYSDIATFHLFYESGAEIYDQDQLPK KQHVQNINETLEQMEQLQQEISREQLLIVDREHIRKISFVDFQQQIVEFTAITLSILIIV AVLQAIYIRRYAKYKKLS >CAK75337 pep:novel supercontig:GCA_000165425.1:CT868207:752471:753468:-1 gene:GSPATT00001540001 transcript:CAK75337 MSTLYLTGPQLTYDSLDSNVARKLKDICKEMEVLMINSNSKFSSLLQSQQNSFYKAFKLV VEQMSTDFKNLQQKFDEYITYHENETEVVKTQNKLIFFRDECQVLNKLCIDLKHQNQELK QKVKDLEQEINNHKFILVKQISKNSKINEEIEIMKLQMQLAQHTQQLNKNPDQNVTNDKK LKVPHLKLNNRYHTELTDYDHQSISTFSNKNQIINLQKDTKQRKNLSFVNKTSEELTNAQ SSKQHLYHIDQFFEKSFNSRTKSQQHTLKKLEQTELSQKVPERSSSNLKYNKVSNQYYKN NPSISC >CAK75338 pep:novel supercontig:GCA_000165425.1:CT868207:753507:754170:-1 gene:GSPATT00001541001 transcript:CAK75338 MSICSNQCVKEDWDEKSTSTDEKDDETFFEIDVKPKKKRFETIEQKKQYIEEYTKKKKTE LCKNYQALGYCKFGDECSFAHGERELQPKIHLHQNYKTKACVRYFNEGFCPYGLRCQYLH NEIVNQQKFDRYLLNCYKQNGMKPPISQKLLNTSERLDIQRFQQIFNNFQKQGLTIHLHN RSKFLKKLENQQTLSS >CAK75339 pep:novel supercontig:GCA_000165425.1:CT868207:754286:756580:-1 gene:GSPATT00001542001 transcript:CAK75339 MQQQLLKPQSKEHALQILQQGFQMYQQQGHMSFNENANVIQQPERMSFNDSKMKSHPKVH NIFDSQRRIKNNQHQIEQSIVGNRFEIPVLPSGKYLKFNVLSNWGDKQQVGLNGIEVFDS QGGNITRYIKMPMQDEYIEKLKLIDGYQAVKDDKHIWKTKNLKPEIIIDLTANIKISLIR IWNYNKSRIRSYKGVRLLKITLDERLIFYGDIQKATGDLKKFQDNCEYILFTQDNKIINR IAELDWLNKRAEDYSIQILQQTMSMQQIRPNTGTKLQSQNTRQQQNEQSPSSKLYSSRLY QLQNNDQQQQALQIQRQQQQYKEQYIQRTSNKQEKVQMQYPEYLTVSDILLEFNNGSKRF LGLNGLMLIDDRNQQIYQEDILDSNLTDYDIQRLFYSNNPTLVDSSNMVWLKQKKIYFKF KQLTKLSMIKFYNCNQIISESTFAGPEIVKITYNGRFKIFNLRPAPCEEINFVQTITLTQ PNNNSYTGNLVSNYELFNQNYIIAYPPCGMLVTIYLFNTWGDNNYIGLNGLEIYDHQGSA LLSRKIIPYTIHSVPQLEDDPRVVQNLVIPPHDTTCQPQRSFLAPFINTPMEKLKNKNAI IIQKARDLERQDINKIYVLFDKLTCFSGIDFYNYTKDWQRGVKQVHIYINNRLIYQGNIN QTFQDIKKNIFNKTTILFSDSNQIKQQLNPYFYQFPEEQVSLIDENRQLTQREYQQHFPQ QLIRPKTSVK >CAK75340 pep:novel supercontig:GCA_000165425.1:CT868207:756603:757176:1 gene:GSPATT00001543001 transcript:CAK75340 MFINNKTPNTITQSQPDLQEYQDFFNHEDPRRNRSVTLYDRHKKDNSNHDQKLQLSSNLQ NLSLTKTTYNNLKTIYQFTKKTLTQINQKQEITLSQNFVTSIKESNLFMYNVAQKISTFI KTDKIAVVPPHKIIGNWMQQLKSKCLKKKSPQNSQYPNNNTGQRDEDDDLIII >CAK75341 pep:novel supercontig:GCA_000165425.1:CT868207:757377:757586:-1 gene:GSPATT00001544001 transcript:CAK75341 MFLNQSEYDLRVFLSSYSDALHCLKQSADKSTLRKNYENIANRLTIYVKQKKVFYQQKVD VWRSKFIQK >CAK75342 pep:novel supercontig:GCA_000165425.1:CT868207:757981:758989:1 gene:GSPATT00001545001 transcript:CAK75342 MKSEYILQIKFDKANNTFKPGDQISGGVTVLFTDPIMKRLEIQQFIWKSEGTIISQNKET HKDLERMSSQLHPVLLHQLDGQLTKDKYILQEKNTFPFKYQLTPYQDRKILETYVGVYIA IQYSIEAELTLSNGLKVTSTIPYFVYVPGQGNDRIKPTDKYPRVEHFLITPDKLLGNSST MNKSQNAKFRINGLIDTTICLFQEDFHGSLIIEECDSDIRTIDLQLIRVEKLENNLGKIS EATEIQLIQIIEGNATRGLEIPFHMIFPKFFSCPNFQFREFSVDFEVNLVMILFDGFKVT LNFPINIIRK >CAK75343 pep:novel supercontig:GCA_000165425.1:CT868207:759032:759805:1 gene:GSPATT00001546001 transcript:CAK75343 MSKADAKNLKEEIKKLYDPLLFYQHGDNPAYKRYIEIMQENAQEGKQIDPRTYFVNKLQR IHVARNIQDFVERDLKCFNFIRIFPVWICTTGFFLQAALTQRQMFLPIGQRGITSIKQTS FFYNFGYVGLAGYGLYLFGASYLWWQVTKMTAVKFYKHCLLGERQWSYERERQNNTYGNY YFKDVPLSCEENFPDLARGEIAKKQRPKPEW >CAK75344 pep:novel supercontig:GCA_000165425.1:CT868207:759885:760097:1 gene:GSPATT00001547001 transcript:CAK75344 MDLFEIQPESDLQKTFHQQFHKRVSIQDNQHNYKIFGFNKCFTKSKLIETIRMQKSIQEF CNTISINRSI >CAK75345 pep:novel supercontig:GCA_000165425.1:CT868207:760416:760892:1 gene:GSPATT00001548001 transcript:CAK75345 MKKISTTHKIEKEAKSKMSISTHSLFNKVQQKMIINKDSDTDKLRSVLEIDNNKQKKEET HKQHKQTPNYGQFRIKTESANYYFASIKQKKSPVNIPHLCLDENKKKSLSILESKTPRLL LARSEKYNQKQPLTQRLMCTYLKKENTNSKLIKSSNKT >CAK75346 pep:novel supercontig:GCA_000165425.1:CT868207:760904:762065:-1 gene:GSPATT00001549001 transcript:CAK75346 MRLARFGIPTILKRLPFTQLYKFKPNVVKSVALGTLFAGLTWKQALRLDEFQSTVVSDVN EFCCVLVLELIEGDANLQHEALNALKEVPKLIQKKSNEDDELDPVTVGVGFQTKFWEKLT RTPQADYEARAGDYGSMPYGKGNVIVHVKAQNRSLAFETIQKVVKSLPKGSYRVVSERYG YKYQDGRDMSGFLDGTMNPSLKQQREKVAVNERGGSYMIHQIWHHKLDWLDKVTEEDQEK MVGRKKSNSFELRPLPIDSHVTRTRSEDNKRLLIVRQSQPFGTVTGDHGLLFIAYAKDLT NFNTMLDRMTGKGDGINDQIMKMSINSYGNYFYVPSVQELQKI >CAK75347 pep:novel supercontig:GCA_000165425.1:CT868207:762291:769771:1 gene:GSPATT00001550001 transcript:CAK75347 MLYRIIEKIYKQIPLKYQQRLKDLELENLQNMRIEITNGIVQKTFNYEVLTNRIQLIQVF LIFNQTSTVIKELIRILFKLETLPIYPLIYLILLPDKLNSELYIIIYTILCLILDLLISF LLLFYVLKERWKFEETINKQECRILSPIDQLLQTQNQQPSQKIEWQKLKVGQIVCLLKGE MSPANILILESSQQQVLADYITKYPCPCTFVNQASKTKGIMTKFLINLSGWIQFSTSHRG TIKLKNDPKATQFTDENVIYRGQILNQTDWIFGIAIQVGHGCFQQRDRFYNWNHYNQNSF FIFLITLLIFIILIIPKLIYVSNFDIASYSNAIIICLLLFPQNYFLINQVWLLIQSIQTR LFQSKQSKNFTSNIQQQGQTKTDPILQEYEKKVLVQLQKIASANTSLASIPQVKKNPNKD LIYLFHQLSNQAIFEFIKTDVVVFENPQKLLKKNVRVCMLFHDKCKYYFNYEKLQAIIEK TTPTQKINYEKLLLDTNRFSAYDEQKTQDIDLLLAEKQQPTLRVIDEKKSFQKIGFLKDQ SKASQAQKEMKDSKDLKKSGGDQKINPYSSFRKQTARNLFQSNIQPQQQQKEQHYQQQPA NNGSFMSYQNSPKLQRQKSNSHISQANVLSNQKSVTGSLKNITPTQQDQSSDQIVGDIYS EQDFINKLLNKSDVVSNEILIMLLLCNNVESVYDKEEKKIENIYKNSFDKSILDFVKIFD YKFISSAVIDNYKIEYKQDKITKKAISIQGVIKVFDIIATLHPTENRSETLTILVKDPES FELEEGVLLYTRIVANDSNSKDERYPYINDIIDDMFWDGQKSIKYYKKQLDSQQTEQFLT KLNSINETYGNREQELDLLYQQIESESELLFIIGLKQFIPLKNQLVSQEITNKYLNHDKL FRTLLKYNYKSCFLMDKYEDLISFLRTYHVAEKKTIEHFIEPNTIQFKFRQFIQNIVEKQ NIFEVYQQKIVDNFLIINDQTLEAIVKDDYLKYHFVVLFYFSSGVGAYGLDEKQKGKFLK LLQITERYITSIGSALDNQYFFYKSNYSFNVLQQDPQCTLSNPNFLIQKVDQLFKLLFYY CPATYLNYESIILIQIYRSFLFGFTSYIIDYQNNFGALDELILFYLIPSNIVTCLLNYET FITQRKFDNNNFEIYRKTLELIKQQNIYIKILKIIFVALLDSILVEICFNIFDTDQTQEI QNYSVFIYLIIEIVEKQKYLISFFNSSYENVKMLKLIFSFIILIFLLILVYALITIDILY QFSYLNFTYWIFGLIFSVVISFIFQEVLILTEFNLSCPYDISFHSEYQVEIEKINSALRY LDVNVSNRNQPKKFIERLFQGKDFMDDLLVKKIKGDQQMTDQMEKDLKFTDKRTEKDFQN SLKPQKYYIYLIYEIAIFGIRLYQQINNLNISYLVISIIQFVSMTLIPLVKVSKYQPIFL LCLRFIFFVILHGLMDTKLIETQMFIIGFSLSHHPLLGIYGYYCVCTIALILDIVMVGVF NEGNPFYILNHGLIIIEMCLPLAFQIYKTEFLQRYQYILQNKLLDEYKKLNDALGLLMPR FIKERMSKGQIQISEDQGDVAILFCDIYEFDDIIKNEQIRVVDFLDNLYRQFDQFCQANE LQKIETVGKTYMAAGGLKDYNISDNLNPTERILDTAMQMQESVKTMKYGDNKAVILKIGI HYGRVIAGVIGAHKPQFSLIGDTVNTTSRVCSTSEPGIITLSQQAYEKVNNQKNQFKLRE VEAKGKGTINTYQLVKTSKKKQQTTIKMADDVAKSPNCHTPNQMFKKIQENLYTKKKTML KKVSFSEERQDFGNPLTIQNTIANGKLTTNLAPLAVQPLIRASSRSIFNSSYQMGLNLNN EQEQEINNLNVMNNNNILNNTVNNTLKDDRDKIKVEPTNINNIQGDGLKSSIPLGGYGAS GAYSKRVSNLAMELHPMKSRKSVYKRAGTKTNIGEDPILNMPIGGQKMAESVRVDLYNIK RKKTRVIAENKLDFIEKHDSQSANRSPKDQRNTQNMDELEIRKLIKIEQSCYQNQYDIKN MEEQVEIEKYGIQESDYQSENHHLYFTIYDQYRDYDRKQVQWFIIFIVILLIIKNLFYFL LKSINIELQILFICQSVICLLLTIAIYHINRGIILKWLIVLYFLIFSIIDILIIDVHSSD MELIFQVSQITSVYLNLFYLQIYNQQDRIKLSTLYILLILINLIYNENILEIIFYSVSVF LSSIMIQHKELKTLVENYQCFSQFESKNAKQTQILQYLLPQHIIGRFFSTDANTSDNFID VFENCTILFADIAGFTKYSGSVEPEQVVNMLRILFQQFDEECQRFQVYKLYTIGDCYVCM GIIDANNRDPVGEAINVVLFGLKMIQIIQQINKDPQYQHLNMRIGAHTGRVIGGVVGTDV VRYDIYGEDVTIANKMESKGQEGKIMVSQATKDIIESDDECVGIFDFEFAQDVFLPQNNT TISAYFVHFDQNSDEQ >CAK75348 pep:novel supercontig:GCA_000165425.1:CT868207:769908:770307:1 gene:GSPATT00001551001 transcript:CAK75348 MGRTGIAVGLNRGFITSSLTKKQLRRRPSQRKGTLGKRVLQVRKIIQEVAGLSPYEKRII ELFKTNQPKDLKKAGKLAAKRLGTNRRGKRKIELIQNLYRAMRKQQQKH >CAK75349 pep:novel supercontig:GCA_000165425.1:CT868207:770404:772253:-1 gene:GSPATT00001552001 transcript:CAK75349 MNFKEQSGMQLFYDSATSHNRHHSNVEFQQNSSNVNSFLSLQSPTNRKTSECNGLEKKIL SSRSQIHRMMENFSRNYDLIQQSDPIEHIVEFTPQLSPIGSVAELKKQIDIKHDGSLTLQ ELKSNQTSIIQITRFRFNYFYVRIYDRESPLQAYVRSENSKLQTFKMYISTVAQFPTSFN CEQAIQSKYFKFADQQQRDTFHMKNLYISMYSEEDCLIAINFIFGHYFKKKVKTIKEELD SDKYKSKLYSLNTPRLDQIQMDKIANNLNTKQYQKDCNIIFQLKEIEQKIKISQRLLKQR ILQAGERKRVIYEDKKMDIISKLKANESLKEIRNIQKQIEIQQKLKIRNQIIWFELIKIA QYAAQMKEMLDKMKLHNKYLAKGRLIVWQYKTITMIKIKGMGATVEDRSKFKMCLSVKIF TQQLRGFSKVRAIKLILQFLHTTHLYIQCLQKHHYFVEKVNYVKRVFKNLKQKHKAYRDR LWRLLNKNGQAIILELINKHSQIKKRQYHIDNILLLQIMDDFIKEKKQKCQQYAQNYIQE KNKKKKVLDFTLSMMQPEMFNAPAYEDLIEIYKKYAVKKNIAIHDSHN >CAK75350 pep:novel supercontig:GCA_000165425.1:CT868207:772295:772735:-1 gene:GSPATT00001553001 transcript:CAK75350 MRNQGVQTIETGAYNFFLLPPITEPLKSEKSKILSKNSQQKFKLRSIDAKPTILFKQEQL KQKRKNQDLLLHQLHLPLIRPELQIAQSKTKEQNFSAHRLIDNIQLISCISRARNLSYNE QINKTERSAQFSIRGTQSQIQSRILS >CAK75351 pep:novel supercontig:GCA_000165425.1:CT868207:772875:774655:1 gene:GSPATT00001554001 transcript:CAK75351 MSAKVVENYSLLEVIGSGQYGKVYKAVNIKNNSLVAVKVVKIEKFKEVPKLEEFTMNEIQ TLARINNPHVVKFIEMLKSSRNYYFVYEYCNGSTLEAIIQEQGVQTEKEALYYFRQLVQA FQSLVSENIMHRDLKPSNIMLHNGSIKLGDFGFCKALNTAQDLSTTMVGSPIYMAPEILK GQEYTIKADIWSLGCVLYELLYGICPFEEKTMAQLMLAVEEREISFLDNVNVVSQTTKDL LLKMLTKDYNKRINWKDLFERELTYAERIKNTEQQPNQIQQELTLNSQRNKESKAFKYLL MERNKIFYLYKVVEEVLELSKDERDEQRESQSVYCGYLLMKYICYLIEMIRMNLVEKFNV SAFPHLAKKHELQNDITKTFEYKSFCTLIQKEVETARKMFKHYQEEADKFFKSQTNGQHI YQGTEFAHFENELQRELSTSEISITFMKKLVLKYCEDMKSQFLQQFLDKNPQSGSKQLLH IEKTLESLILDEFFENCMDIQQIDLEQQNYFAILNKYTKADLLQVITNKMDYIRHKLYK >CAK75352 pep:novel supercontig:GCA_000165425.1:CT868207:774926:775177:-1 gene:GSPATT00001555001 transcript:CAK75352 MTEELNSSLDSIELEIYHRPNPKIQSIINYLRKIELKFCTDPRKKQEEYRSQNNQTPKDD FGLERNKFFNRRQSNKAIDCHIL >CAK75353 pep:novel supercontig:GCA_000165425.1:CT868207:776255:777806:1 gene:GSPATT00001557001 transcript:CAK75353 MRSTIKPLPQINNRPSIDEEDSYPGRLHTIQRKLKFGSNTYIISSNTTVSESLNKKQKQT PVTESQLLRQIDTKLEMHKKDIEQRNDMIISIEKGFESMKQELVKEKIQNEEKSKQLQEL QASYQAIQLRLKQAGDSSKVNLQAEKDREKINSLEISIKQAQAKEQELLQQIESLNQQIS QYQEKLKSKEESNEEFKKLKDQLHKAEDLQSKMEHQITDIQKNIEKEVNEKNNIKKQYDQ YRNDSQLKEQTLNKEISASLIQIKQLEQEKLAIMENLKKSKDQNDQNSKLNEQILSLKLQ LSELSKTKDKEISQLRQKIDEQSKNSIELNSQLEKLKQRQQELQQQLQDKLQQLESLRNQ KQLLDQQNQNNQSDINNLNTQLESLKEQLSKPKNTKQITDTITLLEKTDKDIQKNLSCTF CNKFIKQPVTIIPCGHSYCFECKKGYNKECFKCGPKLKIEAMYRNELLDDIIAMVKLIEQ SINSMKQVTKQ >CAK75354 pep:novel supercontig:GCA_000165425.1:CT868207:778047:778259:-1 gene:GSPATT00001558001 transcript:CAK75354 MSINNLSWEQVENPSKTKFKQLYLNKYRIKLGLFSNHKEQLPKSVLILSNSLETQTQKYF NQRIRSSHQT >CAK75355 pep:novel supercontig:GCA_000165425.1:CT868207:778615:779448:-1 gene:GSPATT00001559001 transcript:CAK75355 MATQPLLETLPKDFHKMPPNYDFSHKGINWKDYEKDFVLRTDAVWEKDQLKDWFRLYTKC FYFDTTANKYSLMEPDDVYTILFEGWALEDCLFPFRGTTPTGRTNCFQVGLPPKQKVYVP YPTYQSQQDYFTLCALRFQKWFDCDQAEHFKMDKTEADYLKRAKVYPCYAMFYEAAYACT DDMFDFLMELAYTRRSNRTFEYNHFQHEMRRPPTIYDSPKNAERIKKTY >CAK75356 pep:novel supercontig:GCA_000165425.1:CT868207:779597:780527:1 gene:GSPATT00001560001 transcript:CAK75356 MTNYMDPEEDQEIIDSILNALESDEERSFSPSMTRSETNRTYSPQIMRQQQYSIKKIQSP IIGFKLDCWAIQPFSLDEDSYLDGDLILKCKDQQNSRKIQSLFEKGDDEQKEYIFFQILP GIVQLANDIFGNYVVQRILEQGNEKQRQLIFDQLAQSILLLSYNTYGCRVAQKLLEISYN TDKFEQMFSILQSQIRNLVVDINGNHVIQKIAELMKSQKIDWLIEGVLGQIQKLSNDSHG CRLIQQILEQSATPQHIQRIIRFIG >CAK75357 pep:novel supercontig:GCA_000165425.1:CT868207:780623:780928:1 gene:GSPATT00001561001 transcript:CAK75357 MIQKNLVVINLEGKYGCHQNSNVVDKSVNISSFMRKEILKVFINNMNIFYRLSNNCYGNY VIQNFCKQLDYSQLLKIHPESNSLNTQFGQHVLQTLQKLKN >CAK75358 pep:novel supercontig:GCA_000165425.1:CT868207:781068:781868:1 gene:GSPATT00001562001 transcript:CAK75358 MGKDKAKDKQRSSSKDKKKEKKVKKEKKDKKEKHIKKEKQQKNDIIKKKPRKNQWGKYGT ISNTDMYIKREEFLMWLSDVKMLNVEAITPQEEKKYFEEYVECYNTATFPSQKFYNFKAW FEKEQLKEKIQQAELEMQTFDDEKEKQKEYQEQKELIKKQKLQREYQHLHEFQNIAQDMQ KQKQIQDLVRHYNSIGNHEVALRYYKQLNPIHTIDAPQMQQQPPMEYDYEYENF >CAK75359 pep:novel supercontig:GCA_000165425.1:CT868207:781954:783851:1 gene:GSPATT00001563001 transcript:CAK75359 MAKIIDNYILIEAIGQGTYGEIHKGRNLISKEAVAVKTIKLDRFLNDSLLKEMIINEIQA LKKLEDQHIVRMIKMLKSSNNIYLVYEHLNGGSLANYLQEKGRLSEYEGRQIITNIFKGF KTLNHEKIIHRNINPENLFFNDGIIKIKNFFCCKSSTSQKIFDPENYLYAAPEILLKTQQ SQYEDKCDIFSLGLVFYKMFFGTLPFPENIAQEQLVELYRSQDFNPIIEDLSENTNYILN GMLQIDQQKRIEWQKLFHEDQVPSTSGLVNSINTNFASNYQINSQVQQFETVGQQNFYIS QQSIQNQITTSPNELIKRRNNLQDKENTKANKENDNISLFTKTVEKDSNISSYQQQSQQQ TNSNQQINNLNGQPNVIKQIQTQLFELRYKFNILIQGIIRIEQLESWSAKIKTEICMIFL IKKAIKYINEMIDICQNSDRQWIMSKNKNEIVKILQKDYDELLSQFRFIKQTTNSQHEEL GSHEIIEEQYIDNVLIMIAKQISMDLGQVKSSMRTNSQIKSNSFNYEQTSQRNGSFIEQK QNIANQQIFAIFLIDLVKGQIQDEQRPYFKKIEESKLAEILDFKLKAI >CAK75360 pep:novel supercontig:GCA_000165425.1:CT868207:784411:785756:1 gene:GSPATT00001564001 transcript:CAK75360 MEIQGETRVNQKQILFSSQNAEQSEKTIFILIIIVCSLMFINSLNEILYFIHYSYRLDSE TYVAVKKRTLACLKYNYLLIINKRQFSNSVHNHSCSQIRISILVQIFQVFRKKLIQGSLQ QNDNLCQFLNLKDYSKYSKSDIEKKQKLIWQEIAQDWQKYQRDVKVIQLAEFGIPFSARR FIWPLIVGNKHQITLELFEILSEQVQNIIIDDKYNYGRISSMKLIQKDLGRTFDIPSLRN IFQSGGPLSGSLQSILESFCVYRPDIGYIQGMTYIASILLTYLDEYQTFIIFSSLITHPQ LLPIYLVDSNLLQVIFQQFQKLIKINIPQTYQILKQYNIDCSNFLLEWIITLYAKTLNPD IVGRIWDMMFFNGTNVLWKTGISILKLLSSKFKDLETTLLLLKYPQVNEDELFYEINLVK YPVDVSEILSNLQL >CAK75361 pep:novel supercontig:GCA_000165425.1:CT868207:786476:795703:-1 gene:GSPATT00001565001 transcript:CAK75361 MSQPITYSSLISLSLAKFPQVYMYTDGFMSNDFELISFNSVHGNLFHDFQNTVFRIIPVL NFKWTQKLLKEIVQEDFQKLSEIQVVKQRKGQIQSLRTNLDGEVTSNISLTSKLTGVPLI FGSSTFMLVHVTSLKFLTLNDENPEDLHFQLKDFPEEGSIMKFTPCLNFQKLRTNIVYNK DAVILSSTKVVCNRPPSLYTFYKGQEYKYKESKDKQLKKQFQQEVKRSKIIGSIEYQTRW RVEGYHCASNNEKQSEFDDYLHVGDVIALYLSELKLHVNALKPYDPFKKILQILKIENLT SAEPQTKQKDQLLKLTKTQQHLDKEFNLNQSDAKILKKILIEDVVVTFQNFNYFNSTESQ KKLLDLPNSCFWKIESADQMSGGRVDWNKIYRLRHYQTGRYLQITKNGNLVDMELISEPN QNALFKFSQIQNSEDSEVTYIKRDSFYYLLHIETGQYLGISDEVNEVNPKIEQLSKEAEV EVKQAYLSVVQNHYTTFRVKKIDFSDMWETYMLQYSLPFFLEAIDYIQRLHQKHIVNSTI AYNDEDIKSKLHFYQIFLKLDRMLDMYCEFVNNKLISNISAKQEYSYIVTNRQNVVREQN IFILLVWMLFRCFPSRQIIEKYPQKFEAMKIDFMDEKDFIFRVQSFLQSNTLKHHPRMLV KLEDGHNKRQIYLSYKVYMTIKDFCKDNKSNQRTLMKLLNIFYNHIGLGDQIVDTLANSI SKTKSILQQLPKTMISMPQKPNQDEHQNHSTSQDKSQVQGDQIKVMDQSNLSMTAHNKSK ERVSQKEIELQREKEKEQQKLKEQQEKQKEKEKQQKNESNSLQAIILKLLEFPPFSKPDI IYLLATVCQSLESPVFVNQNLIYRAFFENKLIYNHAFMKLTYKFGDLLLTCLDKNDQPVT IKFLDFFDDPRLESGANYQRQFEYIKNELNLIANLCLSRNTLACKTFQQTFLFNKTLPFV ENSNLKEEIRAVFLKLIRVLYIDQEPYQTQKRPELVREIIYDKSILTTKLIKQDGGILSM LKMNANNYVSFEEIQKRQLEPLISKDTILYQTMRVKKLKQQQINWERIQKEQAIDESEVE ESEEVKKFLQSLIKILIQHIQESAQKLTSLKQGRLIYNILTLEVIQIVKLIFQFGFFNYE YIKPPNQHTKKSQAIMREIEKQIKNSEIEQIIQLLAQLLEYDEQYNQALENANVQRKYIE NKLKRDEKKKKNAFDDLANLGNINLDMMNLLDGAEKQKKERKIIHQDIEMEIINDPLFRK VKQLKKILDKCSNKTFSIKQEDQFTQYEVQIKMEICEIFILMLGMRQDFLIDNAIDFFKQ QFVPYQVSMIDENHNYTDLLPELGIEVGEDVDSIQTMKEYCEKFQTVRNFDIILDRPFYE TLILSLFFSTNAKLSNKIVELIEKYSSQRYDLVQNLTELQVVVSMETIAFYKKWSRLLNK LKRNIPNCQAWLQLDTPQKLNGRNETNFQKNLKLVKKIIDVFQENAGDNQRMKIKQRIFK HVGGFDSMLELMLSCMIMIINNRQVFTFKEAPTSFSQYQQHLAKLILQLLECILQIMVFF GKNSIENQELVFHKVVPHLTKYRRNNFNQSQFITDLLNDNPKLTQHINRDREIEYFFKLI KKHGRYTEFIDFFLIILKNAESNKTGQSNVLSMHIINFVLDKKYFEFCNPFVPQPKLKGK SLFYQHKPQSSYLGKFIIMLAQCLKNYIGVSLTYRAQQTFKLNLLLESLVQISSEERETA FEQFNQLEVKTCIFEIIMVVLERAEKKNLEIMSCSEKIQEFLVLESQFLNSRQQFSNAQL IYLFQKQSPFLLQYFKAFYKDAGAKLFQNAEQSKIERKKIQEYGKAFLNALNHMSQKTIM DDVPKISMVEDLCRALKIDIPKSFRRPVNSDDEIDDDVEEQEDETDDKAKQISMDVSNEN THQKGSFTTGPPLVNENTARAFLQKFKSLKAKQLQTDKKDNEDSESKQINYYWQLFVSLL SDNEQVHEAIKEEKQIFANSVWNISQMFQSDIKSDQKDLVLNQEDVVKNLLNYIQYWQVN AADKKSVLFIFKALKQILKNPFRSDDLDELRERQEILNKLNATKILMQLLWSEDENDLQY LTTLLSLFCMMLKKGNLVVQTTILEYSKSNQECEKLYFKLNLIIANYINSNPAELKQQGK LQTKLICKTLQFMQLLCEGHNLQLQEYLHQQTNSKTSYDLVLQIVKLQQCIKIDNKNYGI VTQCFDTITEVIQGPCKTNQIALSNSKFMEYVVDVLSENEKLFETQEIQKKQEAKLLGVI FKQVQTQKKEFKSFNQMGQTKEQQGIKRNKIMDPTKPYNLTLHLAKLARLKLKALISLVS LVECCDLQDNLILRIIRAIPIRVLTNNMSRQYFKDEYKEELFGRAEQLIVLTEEEEKYEL VVEIGFYIYILMQIFVASKKGKDILQEDIEMREILNEFDVDRADEQSTGLFSGISKLGGQ MLQVGLGGLQKFKQGIEEVGKTDEDRRKEKEAQELEEKKKNKELLKNSIRFFKKNSCSIE IVREEKVYQVFFPKLPYCHQLPKDLKTEFHDEVNRSSAKTKLNYLMTKSESIIKTMKHEE YLRILFNINPIFGAIASQGKLWELCLFLTTIAINLIILFSYSQFLVPDQYLDNDSRIQYY RLYEPRLLWYDQLSITKQIILILGILDLAFSSLIVFFFALKRAPLKVYHIWVGFFSTQGF FKIIIRLIKNSLVSIFVLLQDFEILYYSAYILAGIVGLAAHPFFFCVHLMDFLKLDQLKT VVQAIWKPKVELGLALMLLIIIEYYFNILAWIVFYNQYPASGESGQEYCNEFWRCLITTF DWTFKFTGSIGAHLQDPATLEELQKQAVLQGDEDASYDYVNADQTAIYYERFFFDNLFNI MLVFILLNMIQGIIIDTFSSLREDLAEKNKDMEFKCFVCGFDMETLDKSSDSDKGFAFHI KYEHHMWNYVFYFAYLQFKDPTEYDGNETFVSNKMENLDLGWLPIKKAKCITDENQEDKK KLEQLDLLNKKADKIEEDLKKQTEKVEKIYKSLLPE >CAK75362 pep:novel supercontig:GCA_000165425.1:CT868207:795861:797559:-1 gene:GSPATT00001566001 transcript:CAK75362 MNEIHKGQSNEVPQLKYISYEDKLEFYRNVRQWHNKFVYQQFDLSGLKGIHLDKNEKFNV LKEQLTSFNKQLQQAEVSKITPQNFPSRYNFHSQILQLLREALLSTNKEQQEEFILKIYE WNNQEQQRSLKSRCKHTQSVDFKYESSNFDGTTNDETKRHFLDFSEQKQLPSQIIQENIR DFKQQQRTIHKEIDPPDVRLQSYSRKTLIQQQLQISQLKQESQSSFFPTQNNVNRFSIYD EQQQQEKDTENEENRMPIEPIKAFLKDDQDMSIQRQQSKSFEIRQMYQQYQPSNSEIEAS LQQRWITNRQKEYKNQKEDQELVNSMLKWSKNKSRIQKEVDRRQDSSQFGSRYQQLDYIP DSPLQTKKQYYIGYDPKVINLKQLPIIVNTSKNNSVRTMIEMEESVSKQKIEITKKKYTS LLNLSNIDITPMKAQQSLSVYGISDQKNRSISQKNKIASVIDAFSPEKVSEEQLKEVYEI KNRMAKHKIVVPLKKIMTGLIAPTVDKNNLQDKIPDIGSMIMSNPFEVAKKTKKKKKKKK E >CAK75363 pep:novel supercontig:GCA_000165425.1:CT868207:797761:799954:1 gene:GSPATT00001567001 transcript:CAK75363 MRPSSASGCQSREQEKTNKEQNKSSNPYKLPIGIKSNKPSGSTQKMDWEKLYEESHQLKL IVNQLRDENIKLKTKNVNLEKDLVKCTNIIEEMEQTGNMKRFYQTPTQDNQMILNLKNQV KQLNEQLNDSKQELQNMKKTSKYTKLTELEIECKSFQDETIRLSQIIEQLITDNIYQKQF ENEQNKLKEMLMQREQLIKQMQQELEYYMEENKALSEKLQQMVQAYQELDKIYNKYQNEA KNKLKIKDKQISDLKNDIDRISLNTKQTKQITIKKPNPQKFMDKSLNSTTKRDQSFKINN NTNNTSNNNNILSSSVNRSVMDLIDEPVKIQDLSEIRLELRLRLSSAQIPAMKLDRHLFN QTANSIDFSSLHDRLTKQPFLLDKPDAMLFARYLVEKHNQQYPYNVNINLTQQNEIVKSE FMNIIGFWPIYDKVESKILEICISKLFINILSLLEQKLNGQSFKYIDLFSLLDSIAKLQK QQSLQQVEYQYLLLKVIKDCQNIRKLNGSLILKYLKELCDSNEQEDENALEELLNYYKGQ QKRNCFFFKQLRLKQEQILYLFEVDQKVYDPQIEQGIDKSSQTYLMKHGLIRSYSEPNFY ELQLLQVDEDEEDGEQLYQEVFHNQMKGKQSHEDDDEEKYYVEDNDINDDDYQLDQNSND QNEDYSESEPKYQIKNRSNKSDNYEEDEFENELEN >CAK75364 pep:novel supercontig:GCA_000165425.1:CT868207:800205:800664:-1 gene:GSPATT00001568001 transcript:CAK75364 MKKLMKSHQQKIEFAQSEKLLRDLDLYQKIFLQTKQENKKQQSLAIRRLLPNKQYSQHQQ QSQQLFNNPNLQCFNTQFDQETSYSNHQNQEKKRKKIAISMIDCPKIFKISNKTQYPPQN KSLQSVTQKIVIVNHQGRPQTYYMK >CAK75365 pep:novel supercontig:GCA_000165425.1:CT868207:801611:804308:1 gene:GSPATT00001569001 transcript:CAK75365 MKQHENNYFVKSLIKPFSQVSTNSAVTRSIEQKPVSYLTFTRTKHRRKNETAIKLPELQP SSLRAKTEQDQPVINELKKSIVIISRKPSGVFQSQRIKTQPSIQVWEPEVKEFEVVKEIK QEKPKFVDVLCEELILGQKFPQFKARNQIKCKIKINELEGFQDRSSRILRKIIQLLKTHR ERSEEKELKSKTAIDTQMLKSNIFIQTQTQYLPQQSLTNSKEMLFQQQLSAIPKKHTKFS SQITLTHQDESIKKMKSIIEAIKEKSQDKGKQVQVSATTPQFPVNNKKKIKVLVEYPEEQ KQNKMEFFIPHYMEEDQIRQQQQSNEVIEIKNPKKSNIYSLVSKNMFNKKLNKIQNVNDQ IFDEFNSDKAAQFTRYYLQNYVLKCLNQNKMKEQKDNETIPNIMRQDSKLDQQLFVSKVL FDQSIIQDFQTTRFLDINSFIYRCPEPFDSQNSSMLSDYSYLTNKSQQDYSYDQGMKLKY LSSSKLLNPRACQEKLIKFIISTISDDSKNVLLHEQIMAFDNNIEPIIHIDYELQCQPHL EAINRLFLRTNTKDRKKYLQLVYKNYENICIGQFEDDYKHLINTQEKRFIKYCYQQQNNS VDNTSIKNSNMLKSRHYRETTIETIPKQANLMTLSLPPATKSRSESPSNISETKTQKNAS QNQQPVARNAFRKPSQKYLQVQGANKIQSQSQISKVQNLSVVKENEQQIVYKETQQIQQK SKQSDVKQQSMHPYSLLFRNMIIQQTKNEDKTLIEKIFIMVEEHRLSDLKEILKLEATMD INYQNEEGNTMLISASKCGATQIVDYLLKNGADVNIQNHNGQTAMDVALENYQFATADII FKYLKPENKSF >CAK75366 pep:novel supercontig:GCA_000165425.1:CT868207:804491:805513:1 gene:GSPATT00001570001 transcript:CAK75366 MNQCIIWIKLLLRKCLSIFQYTKIRTADSHYQMQQFNQVELNADKDIENNLKEELKNENY ETELATSDLETKIQSQKQQLIEKQEIIEEIPQPIDISTVRQFIETTPNIDIHNKEILTEL GNKSIEIYHSILYDYDGYEQLEDNQDEFSYWIKYIETPEKFQIHQMRYKYTLNTTIQKYM AFMKNLELQKKLDSSIDQFEQHYSDDSLQINYLRYKKILFMDPRDFLFAKFTKSIDENTV FEISKSIENDEFQPFNPSSKSTTRAFLLMSGNYIRQIEENKLEIQTYSECNMKLKLKPMM TKTASKNEIKKLIKKYRDHFNQQ >CAK75367 pep:novel supercontig:GCA_000165425.1:CT868207:805521:806226:-1 gene:GSPATT00001571001 transcript:CAK75367 MIKLISRFCTLQKYYPTKYVAPNLWVNQKYIPIFKQKELSPEEQKQKIYLRMAKLVPITL YSSYWLFFGSLDIIHTSAFLGLFFMYSKSRLTHLQQEAKKPEYIYMDKDGKEYVIIYKLN ASEPQSKCDDQKLREIFKLNENQGLLKFNVNDFTIVNSAAQPKSEYEFYNLFVLENSEEE NIKRPHNMFELDINQKLVLIKFTQNEYSGMNEYLNALMSKKQIIIK >CAK75368 pep:novel supercontig:GCA_000165425.1:CT868207:806306:807110:-1 gene:GSPATT00001572001 transcript:CAK75368 MQELIQNNLRQDVQSIDNSNLSTLQCQINIGDQFSKKVQALLEYGLYDQAFERVMNNKQQ IQENFQLLIELARLFNTFKQHKLALEIIKLSNVFDQQLDMHQDQQIIIFKQYYLETLVEL VDIQEALKETKNIYNEQVRKIIQDELHLIESQKRGQMHQHNHQHHHVNDPHHQQSNMEIP KDKRQPLFKLIGRKTFILLFILFLMISYSLKRRNDIKLVLEKAKMVLRQILNI >CAK75369 pep:novel supercontig:GCA_000165425.1:CT868207:807329:808629:1 gene:GSPATT00001573001 transcript:CAK75369 MLHLLNLNQILLQALQNILLSLNVYLKYIIFINCNQDLTQSITDFKAKYYESLHNLMSFF GQLSNGHDIILATQYLETHLKKYKQQQIFIQMKNILNLKLKALLETFPRDNNQKLEVLNQ ITSLKDSLMKIESTLFEQKQLLSPSQQYFITPHPKKGFIQTSSKNTLTPNLSDEAEMRGK GVFITPENRQQQPSQFDHSHQQYSSTESYSKIQNKTLNSFDKEQSENKQDLLSPMFGFQE QPCQSTQFFQDDIINKLLYQNYLDQNVADIQIDNHQQGQTPNILQQFQQTFQEIDSLQTT RTEQQDNPLESESLQKIRNQSVEPNIRENSISPNGKRLIQTSNSKESFSRCKSTLDTTNL LQRLNQVEVPKKINIMSKSNKENQSQINSKQLKQSKPVSFQKQKGLVTTKAQSQQNLIKK SKSN >CAK75370 pep:novel supercontig:GCA_000165425.1:CT868207:808665:810206:-1 gene:GSPATT00001574001 transcript:CAK75370 MISPRIQGSSFTPINTSNVFTSTINEDAQNTSFSSYHVTPRQGATPRQLSRIQEYNENED SKITDTDPETYREVIKELSTQLQMAILENRQLKDKINVLEEEHHESFQIVNTQNHMLQDS NFTLQEKINNLFSEIQQMQLQLEQKDQKLKDVRENNSYQNQSSEKMAHLIDENQKLIKII ETQQQEIHDQKDVIKQFEKAFQDTKGKVEQDVNEKFNNKCKEIDQFKHEIEGLQQQLQQY KEQIMLWSQRYQSLDQNYQIQYQDLFQKFNISQNQLTELQNIYQTFSDNSQRKIQQLVQE LDNAKVGTLKKQQNLKVQALEDRIDELEEQLQIKKNQIFKLKEELMEYQNIQKLTKQRDS DNIADKRVVEDQILEKYEQRIQQLEDKLIQQEQQIIVTQQHQQQQQYQSLPQQQMVLVQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYEHSYYARTKENKKLQLFSERKDNVRMY YSFAKENQQIENKYPRTALNYGNNY >CAK75371 pep:novel supercontig:GCA_000165425.1:CT868207:810312:810790:-1 gene:GSPATT00001575001 transcript:CAK75371 MQIEDGNKELLQLQQRRIELEYENQQLKTQLFRLGRDLVFNIHKEEAKVENSDGGCWKDQ IKYTLTHSSTQVLERQAEIKFLDQPKRLTLQVQSSCQEKENHKEFYLFSYSILQNDELED NPKIMATDSKIEIIFDKNQKNNSQLIQLKQ >CAK75372 pep:novel supercontig:GCA_000165425.1:CT868207:811298:811618:1 gene:GSPATT00001576001 transcript:CAK75372 MITYSPNFKLNPMTPLSVHHKLSLIEDEPDDLDLEQGYSKIQFNEKLIVCMYKPNQNVTN IQKTLLKLKGQQNIGWVNPILNDEKVRSSSILKSSQSPIRICSVSQ >CAK75373 pep:novel supercontig:GCA_000165425.1:CT868207:811728:812030:1 gene:GSPATT00001577001 transcript:CAK75373 MQSQFFQTYFRGLIERCVSVTIELKNGLQIQGQITYVDDNLNFNLVDPEVSDPLKQPQLM TLRNSFIRGSTVKYVHIPQEELQEEVLISACKNEVKKQKQ >CAK75374 pep:novel supercontig:GCA_000165425.1:CT868207:813316:814079:1 gene:GSPATT00001578001 transcript:CAK75374 MQGARFVETNLVQKMLRNQNYEFHKKKLDQIQTDKKPRYAFKDLPVLSKTQQLKVQSSRF QKSEQRKRIDEQNQFLTSHIIEIQEGKNSQFRSIWTVQNLRQKAYADTSIWSENALGKRL HSPLRRKQQQKIDEENQELQKRLELTKQILLEKQQEQIQKYEKLRDHMTRIKPKCQSSSM LKTQFPSVKSKSTTQLLNKQYQSESIIHHSRMNDLLKSEINISKISKLE >CAK75375 pep:novel supercontig:GCA_000165425.1:CT868207:814121:817475:1 gene:GSPATT00001579001 transcript:CAK75375 MSGFTHYKIETTDSRIDAYPPQRNYQYQQYTNSQLRTEDYRIQTNSIPQEQITITDQASF QKIIEAKDREIANLRESVNSLNNQISDYLKELDQWKYKYKQLQAEKGSSRVTTVYQGNDF EINQYKEEIIKLRQENNEYKFRLERNTGISSNDYEVNQLKMQIKQLEDQVKKNSYVSYNP RLTQIINFKKEDLIKAKNLLHQQYQSSQIQIQQSSSKISFGQDLDQFRSEREDYKRKIKL LEDKIQDQQQEIQRLNFNCDSYIQEIQQSSMRQTSKNQNAEIEGYLKRISALQHEVLVWQ DRYKATQTQEQVFAIEQKVTQKDNQIAFLQERIRQFELQVDQLKLEVDKSKREINGYRIS FESRKSDTQEVDALKRKITEMEQDIQILIQDLNERDQRISTTQNEYQEEIRMLRNQQQSQ YQQEFKLLKEEKEKYQQDYERLSNEYMIFQRQSDQYKNNSFELDSLRKRIIELEQELRNA SYQYQTLQNQNSQLQVQIQNQQSSQQNSYQLLSIKEEYSTLQNQYKMIQSEIQTYKDLIK RYQTEQEQLYQENQQLKSQKLQIEKNSYQYTSIQNEYQTLQDRCSWQQNEIQQLNEQIRK YRNDYESLNQNFLLLERESEIKYSQQNNNEVEVLKQRINQYEQRIFQYETQIQQYKIQLQ NQEAQFEQSFKERLRIEMQFTEQKVNQQGQFELQQLQQQYKQLQIQYDQLQIKYQESSTE LRSAKEQVIRQSQFESQSLRDQMQQLRQINLQLNQEISSLKELNLKYKSDYEQINQRFVI IQRESETFKGNSIEIDRLSSRLKEADYELQAKNQQINKLQLELRNLDMQIRDELESQFIS RLTIERQNLEDRLQQQSIQEIQQLTYQLQQTQMELNQYRSKYQQLEQQYNSTKIEITQIR SQSSNISNEQVKRLQLRVTELEHEIQTLNIEIERQKNIRQEQENKIQILITNITDYESRL HILEQENARLDNKVKKQIFTEKQNDQLVVNQLIGQQSSGIKKTNVQIVTRQSGINQVSQI PTSYNQYVDRIQSSNEIKQSQVSQRIQSPQPYVQNYQSPDRNYQTQKIMTTKSVKQAIMS SANQG >CAK75376 pep:novel supercontig:GCA_000165425.1:CT868207:817491:817985:-1 gene:GSPATT00001580001 transcript:CAK75376 MSSQINDILRNETIYYRKSHLLRKTTNQQNKTFRRGLIQDSLNQPTHPINYLIKNQDVFV PFIHERNSLGRLIKRPNLSSNREITNKQLVKSSLKLPRNKQFCTFLQTEPPNSNRSDGLK TKTQSTTDSFYSFFTLNKCNPNILLKSKNSEPFSFRKSFYQKQL >CAK75377 pep:novel supercontig:GCA_000165425.1:CT868207:818098:819025:-1 gene:GSPATT00001581001 transcript:CAK75377 MDSKENYFNFKQMTESFRNVKKYSQHSNTILDMFVEITQKLDKSSKDYLSKVIPIDDDKQ TLSQVDSIIIMNYFKQIVIYLIKTKVELEERTQQIESQTQYETLIQKLEADVRQHIRIEQ QLRIYTETLQSKIDDFVIEREQQTQQIKEYEQQIKQKNKDIVIYQSELRKAINQNQQRHT KLITEPIHEDYDTAYHLNKLNQTNYTHQRKASQFNEEKCAANKSQLNSYKNSPERIPIRK ISVTNYADTKAIKQPVFIRQPCPMSYSHLKTEVQLSYRSNSQESKQASKNAIK >CAK75378 pep:novel supercontig:GCA_000165425.1:CT868207:819056:820082:1 gene:GSPATT00001582001 transcript:CAK75378 MSDKEATLNEIGKKALADTEKLATVQRFGLFSSPVPLGLGDNSYDNKPRPARNEQGKPIT SPPNMKVTATQSGKIKSSYFSQLGFTTIGDKYIDPERKIRIQELEEKKKYANDDKKNFVP PSGYKELVGGVYNHEADYEIPKGPNNHKGGDGRVKIGPKNVTTNPGSSSLGHFPKHEKDE YNRYRQFEREKIFNEQKMLKDKQPFKSTIYTTDNFSTDKQIFGETNLPKPTQVKEFKPNI MKHEAPFKPSNPTKSGETGCLSKYPQYKGDPLKPTQRIDFVKGKDPFKPNHLMEMVRPTP SISCFPHNLKRELNNRMF >CAK75379 pep:novel supercontig:GCA_000165425.1:CT868207:820124:821444:-1 gene:GSPATT00001583001 transcript:CAK75379 MNKIFPQPSDYLAPMTEQEKVLLEKWKSNELGNEKKNAIKIRLFLYLGLSTTAYGLLGIY LVNSIVKDMEKSGIQRMEKEALNIADSIKRADFKYARSTEISSVGSLLYNGIVIWIGVDE NVCQRVCRELLKLSYIIIQRMQHRVQLQQINQNTNVIPISIMQSLKGLSKYFKKEKCTQI QRSCTSLSPKQNITRKNSNQVDNQLLNFVNSNENRSVPNLFENVQKKNVNAPRNQKVPKG RSSSIIMNNMSQEDWKSKYMQLKETLNQRIVTLEQELERIYGIENNATSIIDQLNHQINQ QNLQLEAYRNRVREIELDLDSKNQQIKKLTIDNNQFQIIINEKNNQIDLWRQDLRTPKQP FLTTAMKQIQSIFEQLENSQTNYKIHTPLSQNLSISSSSMVEMINEMKESS >CAK75380 pep:novel supercontig:GCA_000165425.1:CT868207:821471:822054:1 gene:GSPATT00001584001 transcript:CAK75380 MADQRNRSPEDLIYFFQQNYLHQMRRKTHQTILQVEIQQSKFNKSHSTHIPQPIASAQNL FDAYIKERQQKREINQIPKPQSKSSKYLNQILINNQSIIKRNRFASRQQQPDKSIEQIIS RKKSVNLTISDSNQKRTIEKSDWLIRPGKVHVDRKQIKQLRHETIFRETFNRLNGWQIDN DNDTEF >CAK75381 pep:novel supercontig:GCA_000165425.1:CT868207:822481:824068:-1 gene:GSPATT00001585001 transcript:CAK75381 MSTFYLFEAAAGLALFQCDSVDETNVKSKQIQKQFSDFAQFAEVCHLKAFQPFISAEIAL NNALAIHTGTVTQELVDFLSTNLPAVGKKSNFQIAISDKGLAAGLQQYLNLKSKMNEATA QVFRGIRTHFVDFLRNEDFKERDYIQAQLGLAHQVSRNKVKLDINREDKHVTQAISIIEQ MDKDLNTLSMRIKEWYSWHFPELAKIVTDNRVFTRIVDTYGDKKNINDEALEAIEELTTD AELAKQIVEAAKISMGQDISEIDLSTLKDLCIRVLNQFEFRDNIQEYLKNKMIAIAPNLT ALIGENVAAKLIAHAGSLINLAKYPASTIQILGAEKALFRALKTRGNTPKYGLLYHSTYI GRANGTDKGKISRNLANKCAIASRLDHFLIQPTEKFGVKLKDQMEQRLKFLTAGGELNKN TDIMDEVLAELKGEGLYFESEKQLTQKRQEVKESQIKKGCRRSRRRTSRITIRSSKKEEK SIQIIMIYKQYIKQKCYSQFNLCF >CAK75382 pep:novel supercontig:GCA_000165425.1:CT868207:825301:826040:1 gene:GSPATT00001586001 transcript:CAK75382 MALINITNIVFDSDTALFNSPIQMQITFEVMRQLDEEIEWKLIYIGSPTSDKYDQVLEQF SMPPLQQGTMQFTLMSSGPNFELIPSKDDLFGASAIILSVKYRKQEFFRVGYYVYNTYLE PELIENDPPQVLIERVYRQINTQAPRVTRMNIDWEGQMVQLYVNPQQNNQSFMFRIITNF Q >CAK75383 pep:novel supercontig:GCA_000165425.1:CT868207:826061:827138:-1 gene:GSPATT00001587001 transcript:CAK75383 MNLSFVSFLSWGLQEAKQFYYARSDFEQNKNKLAQLITEVTEKLRTFEQYWHQLENTNDN ENINFIKEVKDILDRSSQLKNSLPSQQSIVQCYQMRKEQINEKLLETLNTLEEQKNKIDL LVQNTRNIMQTVIILPPKQVKNNQIYKIQLKLKLKATPQIKKIFDDHPHQLKTEYNYEFE MEESGSSNKNIYTFKRNDFSLLGQFQSTLGLNIESLSKQNHCSLQMRYRLNFPNKKVQQN PLSETPTPASEQESVIISQQDQFNYSDKFSLFLIVNGKNAVKIIRDKSSISLNFKQELEL QKSDQIVFFQNSEDLPLLSYVVQNIHITKKQAQPYLEYQQN >CAK75384 pep:novel supercontig:GCA_000165425.1:CT868207:827354:828223:-1 gene:GSPATT00001588001 transcript:CAK75384 MQVYQDHSDASSNVDEIYQAKRRALIATPQTSVLSRFNYINSHTIVCFYLSFSSHIDDSH LRIHLLEYFRLKLHQSNLAVYSKIIINKIIPLLQTPNIVGNHIPINLEAYTLVLDMDETL IYYDGDKVYQRPFLLTFLKQMSRIYELILFTAGLESYAHRILNKLTMKQYFSYFLFRQHT NIYKDFYGKDLRKLGRLLSKTIIIDNTPECFSLQPENGIQIQTWKGDLSDAVLLTLIPIL KAIRFSNKDVRVKLREYKNYPGLIFPK >CAK75385 pep:novel supercontig:GCA_000165425.1:CT868207:828559:830042:1 gene:GSPATT00001589001 transcript:CAK75385 MIEQLKMAKIHLDNQFNQSSSHQAAILEEDLFDEDEIEQFRPNSLPMMSEGYGRQCAEKN IMIKILAMGFDQYYSEEILKSIIDEDFKTLDENMILNKVISAILILNNYQNEDQTQQRKH RQELIDMLGTMSPNLMSYLGYKSNRQSQQLLTVICGICMEQIIDDRCPEMDCCHTFCLSC MKAYLIDRIVNGQVDQMICPQSDCNFQLSDAYIRQIVDPDMMQKLRRFRKIKQLQQDPDI IWCPRVGCEETLKRSGQKKLRCKCGQQICRKCGRERHQGQTCNDQIDKDFKKTIKKLNIQ KCLKCKSPIQKNDGCNHMTCKTCKYEFCWLCRSKYSYRHYSNYNIFGCPGMQFTQRDPFK YPNLYRFIAILLILILGPPLFIVALVLGFIVLVIGLPVIFYMNYVPYHQFEEYSCCKKTT IIIAFIIACPLLSPICFLLVILGIVVAIIVGIPYCIYLFIDWLRYG >CAK75386 pep:novel supercontig:GCA_000165425.1:CT868207:830082:830694:1 gene:GSPATT00001590001 transcript:CAK75386 MDQSNLVWQWLNEPKEWKNQNERITIVTEPKTDYWSKTHYGFIRYDAPTYVTKVKGDFVF TCKVNSASKVLYDQAGIILFEDKENWIKASSEYHDENYSTLGSVVTNLGYSDWGYQRVSS QVKELYYRLKRKGQDFNIEFSYDGKEFHEIRVCHLHKETQETFVGIYACSPQESSFQAEF SELKLEFIQQ >CAK75387 pep:novel supercontig:GCA_000165425.1:CT868207:830748:831206:1 gene:GSPATT00001591001 transcript:CAK75387 MDKLEFSIVWGVPSKVIYQAILDPFEIMQYTRAPAIVEPKEGGQYKIMEGRIEGVFNKLV ENQEIQMTWKFNNWKQHSNVTLRLIEREDSCELKITQTNFPNDVDKIKLEDGWKNQIFVP MSKIRGYPIEDDD >CAK75388 pep:novel supercontig:GCA_000165425.1:CT868207:831215:832464:-1 gene:GSPATT00001592001 transcript:CAK75388 MGNCCPKGNVNSERSSVANVLVEEVEQTKMKATQPMQTSPNLASTSNALTVQKPKGQVNR EDFIKIDKLGYGAFGVVYKVRQKKTNKIYAMKQIEKERIFKNKLQNNTVLERNVLKQSKH PFIVRLKYAFQTNSHIYFIMEYIAGGEFYRILGQVKTGLPENVVKFVAAEVVLALEYLNT KLKVIYRDLKPENLLLTTTGHVKLTDFGLATMRRENGEKSYTVAGTAEYLAPEIVNKSGH SYEVDIWTLGIMIYEMINGFTPFRDSNNDFKMISQNIIENRPVYPEKMSSQSVDLIKQIL QTNPSERLGVKGDGYAELKRHPFFNGIIWDQLSNLKVTSPLKTFAERNTQKMDKQPQNFQ NTPCNPQSPKLKIDGITFEGEGGTLSSYF >CAK75389 pep:novel supercontig:GCA_000165425.1:CT868207:832959:833743:-1 gene:GSPATT00001593001 transcript:CAK75389 MKKQLPKSASQTAIQKPEKSQTMKTPERHGIPRNVNYYSHFLVEPYITEKDIEFVLQLRN IDHAEMTAKLARIPNQTFSRGDQKIESQKDKHLSRDVKYNGNSTPVLHLLKGRIGPTPHL SQAEFETGLRSYAKTDKSLVEKERNWTTVPKTQRKDAFPEFLPNYKEEMAKKKSVSISGG KLGKLTYNAFDSDSNYPPYLMKFHEKNLQSVKHMFVPAIKMSTVQWQDGLRPLEPIKKEK KPKK >CAK75390 pep:novel supercontig:GCA_000165425.1:CT868207:833917:835217:1 gene:GSPATT00001594001 transcript:CAK75390 MGSCCTGSQGQEKNCQIILQTQIQNTIISEYDIKYHKQIQFIQGKIVNQVQACARGYLVR KRYQNLIQKLRKQKMISKEQYNYSNELSFKDTFPFQDPKLTQMTKSQHQQIYQVKKLPKV PDYLSSRINKILLLYTNFKYDTEEDNKFNFPIYQLDDGSIYHGQWKNGHGCGKQYWQNGT FYEGYWAFNMFDGRGRLVHSDGNIYEGEFRNDKASGKGIYYSIEGLKYVGEWENDVQSGQ GMEIWHDGTTYSGEYKNGLKNGQGVFKWSDGSMYTGQFVDGNLEGVGQFKWEDGRVYDGY WKNNCMHGNGQFKWPDGRKYEGQYNNGIKEGLGQFEWPDGRLYKGDWQNNKQHGVGIYLG YNGIEKEGEWADGKLVRWNKGKARMSII >CAK75391 pep:novel supercontig:GCA_000165425.1:CT868207:835368:840584:-1 gene:GSPATT00001595001 transcript:CAK75391 MNQTNSNNDSSLIQNEDDQNTLLEQNKYESSAFSQIAVEYKYQGPDHPQIAELLELLDEK QRKPLSNQTLESFRQKVIYYEQKFNQNCTFMDNMCQISFYYILTIIVYMSIYFTYLTSVI SLKSDDIIVKNSTKLNIVQQLPEFGFMITSFTLAIIIIKNQVILLLLFVFGCIVPAFYLF YVFLDVFNLNNSPINYDMVLLLSVLIISVFNGIVVSVLQQIVETMYNQLAGALFSSGWCL FVIYLLGFLIFSYVIGLEIITAEKVINYIINSLYIPIFVSITFSYVLINARLFDWPAGII KSALDRIKIKILKQQIEKEQQQSQKLVQISTYMSKFVIYSIGAAYLTMEIIFYILLASEL KKTNVNYTAIITLSLELIIIPFYLFLGVFISVSDRKPQYTYLAVPLVIGAPIIFGFLKLG DYLQYEKDITNFTEILYYCPLFVNILWLSLTLMGLDKRRLFINSLGFVCFFIAIPIGVFL PLYNLYQYSSLQVISYIFVVIGMIVLLMVLCYFFYQSLKTIKRAKELADQIVPTFDEFKY YNLTDLAFCINSVCFLISYFIISYFFWYIFDQTNTATVTEGATMFAIMVITPIIFVLINI ALGHRSLEITYEFAQDIKELQKLEEQKNRKRIQKRFYQNTAVCCGILVPLTLFIPISLIT SNERASIFFEALAIGVPVCFLMYKFLVTIKNHSIAFEDFFQPFVSSLLWCCVIFPFGVIV PTMAVFFNNSDSTFQYFAQGAVGLGLFVCMIGVTGTSLFLSLLLNREEFERKKREILKKV LEMLRENYVLCDISVVGILYMKYLNKLQIIYTKLNAIKNQTQKKKQKQEDLKQLKQSLTE NLIQGEPINVIKYDGPELEYDHKLVSRNVYQEYLTLLEQELLDKQKNIIKEQELTGFKKY LMDCLCCRCGLEEMNELENQKNVEIIKLRDLFNLDEDTGQKMNDLIPQFTSVYKIAEETD TLIKKIDYQPEDKQILYKQKYKPVQEIKSEKTDSLSQIQIKKLNDKALGNIKSSDQYFSL QSSDQMIAEFMHEVFMEFANNDQGLEPSICFADLQEFSRMTELHFDLIDYEKYAKTIWVN QTYSLNEVQFAGLIKGMIKGYDGDLFDNLKQLVLDHIYPQLITSMKTLMINFKYSNKKVL QNNKNSDNPFLRQNFTSNAVQTEFTKFDAIKVKQVFQEEVANQSKINQNNAENRAAKLSK KQKEQQYEQGRQEIESAYAQKLPCHKKCCYSCNDWLRVNIIEKYFPNLAAAQPKPKIEKK PMLDHKRQKMPDWKIVAKLTIETLFEATEEYEKNLANQQQKSIEFKPTSSNILALIFKIY DLYSLASVAYNPQVGWFGSTSVSGSDTISGSAFYDSYAFFFYFSLGVSILYGLLGSLSLD AVQKNTFGQDENGNLARFPHIQFILPRVISILSGFFMTVMRTFMDAYICDYSSLPYKFAR ETSVECLTSEHYIYMGLGLIGVGIYYPLSTYLQPTFQFSDHSLDLKFKSTYIIIYVQAKL LIMGLSSFFNTLDSSFEYQMLFSIGILIFVLGFHIKMEPCFVKWYNIIEQMLILIIIFIY TGAVIIMMTGNNVIGIIFTAVAIAIGFLAIAIYLKRSVIRTGTTQKVSPQDNLSIIEVKP IPEENRLNIYDLIAESQLAQQNQKKPKKKTQDNTDFKEQYPNLDMTVGDPNRSSNLDELV KVKDEINMEPILVNKSNLSKLRL >CAK75392 pep:novel supercontig:GCA_000165425.1:CT868207:840782:842580:1 gene:GSPATT00001596001 transcript:CAK75392 MGDLVLPDKNKEREILQQFDRIKIGLVLLCTKIGKLKKIDENSGMLFSEMIIHFMQGELT LASEIGEKLKLKHKFIYIYRFLAFCYIELKKFEDAETCLKQALQIKPKKCQILLNLYELC MLKNEYQQAQDYMNQIKNLDSHPNYDFYLGITYQKMNEHEKAIQCFEMAHQRLQDKKYFM AKSESYIALERYDEALECCMIAFTYEPCDRNVHLILQTLIILLKISEEQQQMMQLKVEEE NNYTIETYKKKRDLEAQQTQLIKRQSKIKIKSNQNEINPTQSQIKINKQNQQIVQYLDAI YYTFTNFFSLYIHASQNCSAEVGKYLSTKQNIEIFNFTDIIRETIQKCYPDLKNLSAQEI SGLMILWHNKKIKDDQIDVFQNLYNIFSNLINPTLKQQLFDRFNEDFNQSIERTNKNKDF HQYLGQISTQVEKQGFTIYKSFGGLIGLEHAIMILGFLIKFPQLADDKDSFENLLFRGLM KEDFYQLLKSQSRLSVSLKLNQQSQQLEGLEQSVCSDSNFLSKFQ >CAK75393 pep:novel supercontig:GCA_000165425.1:CT868207:842834:844201:1 gene:GSPATT00001597001 transcript:CAK75393 MQQLIMMLEKLSLPKNQTPRMLSKSGRAYYDHESPNLIIQPKQEQNMEIECLSPTKQYTD IKLPFFSSQTTNKKEQTTRRVIKDASLNNSQFTSKESQIKASDKSNINKIRKTNYHQKQE INPQFQVYEFKQELKALRVKRTESFEWLQEDSQGSEESSFNQFKQINLGTYYMDNLRKYL KGLNLEGLYAQLYINHFVQQFHSLQLSKQFLQPDVKEIEHRSIQLQQIKKQKTLVLDLDE TLIHCNEQPQMKFDFKVPIQMPNGQIHEAGISVRPFAQQFLQECSKHFEVMIFTASHPLY ADKIIDKLDPTKKWVTCRLYREHCIQTQQGIYVKDLRILNRNLKDVVLIDNAAYSFAYQI DNGIPIIPYIDNAKDNELIGVIDYLKVLLQIEDAREINAKTFFLKQIQQCQSLDEAMRLL LVI >CAK75394 pep:novel supercontig:GCA_000165425.1:CT868207:844550:846902:1 gene:GSPATT00001598001 transcript:CAK75394 MNREQDSANSSADSIEMRQFGDQQLEHVNNGVQLQTAKQKALTISLIKNKKQVEDIYEYY KSNEIQPEEKLKLLQKVYNDDVVEHSADSKEVKQAQLTLPGFYRMCKQSAIFEQFTNRFQ FSIPIRQVYYFGRDGSQQQFCFSSMKYQSIFRLTKKCGMQGLIQFEFVPEWTIILIAVLA IVTFTLDMCLEFHMAYYSHGNLVVDRAKIAHRYLQTRFLFDLIATLALMIRSIMQTYSER WLYLFFYLKYPSLTRISFQFGEIVMLHRRVRTIFQIGKVLAVLQFVFILYACIWYLACLY ATNNGYNSWLTHEGNFGSISELTQIQKFFYSYYFSVGTTTTTMGYGDMQPLNTFEVLVGM GGILFSVLIFAVMVNIIFKILEEYAIYDIKRYQQRLIINRYMMVKDVPQQLRERVRQYLN EHWFQEGNRDIQGEQEIIGELAPELKAELQLASCGKILLQIPLFCANFSRGFLRELSSTI QEMNYAEYEMIFLGEDPQFLDDQSIYFINLGQIAIFPNNFNKQLQLKKLGKGEYFGEYSF LTGFERKASAQALQYSQLYKISREDFLCTLAKFSEDYEKYWMIKDKIEFQKDFSAIGQCY TCQSTTHYSTECEVTHLVVDVNKLIVFNQDQQNRVKFKRKEKKKWQTFVRIECFKGEEGE EVNHLQVGVKQEMEQKLKEIDHVQQEHLDDDEFKKFQNILKTNFDTYTNQYEVKEGLQGM DQAFQFTNYFTENNYLNQSEFINTQVQMKQPTRVSIIRSKQISQQRSRQASVQQ >CAK75395 pep:novel supercontig:GCA_000165425.1:CT868207:846976:849803:1 gene:GSPATT00001599001 transcript:CAK75395 MKLVCRLRPQPNNTSTSSINSRKEVRTNIFAGVLSNESPQTIVVSSRNALSQKIIQEYLK SDSDIMKQRQSIIENADLFQFDQVFGEKANQQLLYDQIMASKVNGLVLGNSGTLLVAGGP ESGKKYTLKGEDKGQEKGLALLVVENTLNLIEGSKQLKGKGKLFCSIALVNNVETVDMIG STRSQTIKTTWVKSGSEFKKMFNQSLFQYKQYVKEHPETKNCHLFLKFILQHDKQEISQL HLILLNEFRRVTQEAKDFQAKLKQLLIQQQDLQERELDTKALQQLFLALRETKFNNITAL FCVSQVQQDHITAYMTLQFAEELRQSAKGQQSQRVALSQIENQSRAQLGRDYGLQQQQQA TPKLSVIDEQQSRIIKPGSDQLNYSKSQSMIERQPSRQEANFTKQFQEIKELLKEKDDYK VLRFEKELRNLYDENTRLQSKVYQLEDSLKHRDLLIQQLENHIDENRRQLDLDNTQGPQR KQKDYQVGEQNQTLTQLNLQLVHSNEECELQKQKVRMLENEIEMMKDQEFTNIKHYEKRE DEFMGLIQQEQERNKQLYQELDYFAVELKGREQQLQASEDNIKTLNKVIEEQCKRVDDEK QKSKELNECIQGLKQKQEEYIKECNLTEQRFTKLKVRLDTENAQLKAEKEKYHQQYKDKL KKYKMAMKMLEQENGQMKMERVKLQTENDQLYGISKQLESQLGRVNSESTERNGGRQDHY EQDKVRQQNLQLNSQLKQADYEVSMIQQLQIQELSMQLNNAIQELERVTAEYNGLQKENK RLQKQLEEKQSEAKQNEFMIERVVQLSDKQLDDLEGKFNKLTAQVNGLQHEKKSLMTENQ SLKQQLEQLEGNDIVLEKRIVKLKKENKDLSNQITQLNQNMKEMIVEKHGEMRSPSFNRL QQQLQNQSYRSQRLMDNDDDSDSDI >CAK75396 pep:novel supercontig:GCA_000165425.1:CT868207:849882:856259:1 gene:GSPATT00001600001 transcript:CAK75396 MLQRDYKYRHISSWDIELDYTNAGYASRFTIPFKLSNPLPNFGYLRIKFPFALHYTNTNN VPDNVVVTFKQVIMLRDVQQAKGNYHCGEENEQSAQVFVNSGENNAYYVNFGVELTSNEY YYVIVQISGKNLNIQSSGVKEPIQLTTVTSISAIAMIIDYTPNGGIFALDLEPSSDMRSQ VSETVNTMNQIYNVIVGVQFKKQMNEGARVQLIFKSDFTFEGTCKLQNDIPYECTLTSQM VVYLIKQYILVNDWFYFQVSVRNPLRVTLPTTLEIRSLQQYSNTINERTVTDAVFQVKQV LLQTHKLYLGWGLEYSSRSSSYYPFPIMVFRGDANGKYNPYNAFKWQFAMASDLPEDIQL QIEVSTPMKFKSYLMSINHNLPSYPGKESKCIFSNSNKLSQSKIVCYNIGQLYLSTTYYI GCRATFPYDQFNLPLEQQFGSMNIYTVSNGIKDTLSVIVESNPVEYIQTNNNPDWLNSAY DGTVVSSQKMDISILNDPLTNTLGIIPNLDDGDIELIRLKFNLAIRIDKIITPAVTPVLG TQQLPYSAGIVIIANEKILMSSTDPANLECATLSGSQFFKYDYKSSNLANFLAITVASSD AQGLLNMFVYNTNELQTKFLQFAISNLQVKFHASLYPDEYLLDFYIGSFDQIESTYPIFK QSFLTNAYTITSPAPSYIKLGIVNYFSSSSSGNTGDYFPTMIRLSGYFQDTDVENAQTKI SLFFTNLQPMYISSDFENDNRVYCKYTGQTQPTCQYYSSGLLANGQSYINYERLDVEFES LPTNSADAFQLLIPVKTFASNTKVSFFLTAVVEINNLIQIRSSFRLTGKDNISSGQYAFP LSVAGLGNGFARAGVSPALESDGVTPIPYQLQVSSAITVGKNNTDLTFFIGHNDVSFINI NTVANDNQNGGGFTLVSTQPFYTIDSTIKAPISVDASKVSGCVTFEYLTKFVIFCPTDKT SDSPLTTLTIKAGNLPFNNGDKLPYRTVYAWSDKVNGLGVFKVDTDTLITLKGVVKILQV SPKLEIAQKQQRIQIKFYTTNPIPNLATIRLEFLETTPTINFDAINKGSRCSIETAFYGL LSHTCTVSRSTSGSYVYFLFKISNCDSCPWTNGQFTLNIWGLNSLHTGAVSKSQFNIRIM SEYLNTIDLSKVQGAIEYDDNPNVAYVAFFGNPKFQFYNRRAKGQISVDFQFTRSIWKQE YVLINIGGFFDDNQRNQKNIMCQVQQQGLPSYAFGQADYTLDRGSSVSGIKLYPIDDIIG SQIFTLKCYGMVIPYSLNPEPMDTSLILQGTYLIIQQSGTVSLDSLYDETILPIKNIQLT QKLNNLCGSTTDFFINVTTTLIDVKLGTSIFVVFPTTYPFIVSSNLTYCSLNNLFVACSV DVENTVILENFDISIPRGQEMQIRIYGAQNSAIFNDQANEIFVAFTNNVDITVLGEYGVI YDLNGQDMVTTPPNNILTLWIDTTQQYIRAFSTITFKVSFPAGAIDVSNLIQVDFPPAWD MIINYKLPTCSLYDQNNQTNYAKSCINFGNRVTISIKQSDYIGSQYYYLILGNVRNPDYA VCGINMWTITVLNSTYVFGRSHAPNFNIPIFPFISNPNQVTLKWIDMNTRQSIRTLQVKT GIFDQVIGLASDAGLFLRTFQIYCDNPYFIANPTNTIAPMGQAYTTFSIAGTSKAPQGLQ LIEFAKTDDFDKHTQLPFLRVFLSQKSCILNTEMSLYQLTLGGQALPILFNLTECQPASN LRIEASSDTDKIYFKSKSSITFGLNQKVGAFLLASEDSGISVGDSGLITFQVSGSYQKYY TTVDPISYRIIDINKKRPTSSLYSVDRGSNYVKFMVSCNQYAVVYYYISIKGSEILYSEE IQARTFLWTEPSIDDYYHQFFGLKNVFVPLKNYTVIVSGLRPATTYNITTVCKNVETKFS VATTLLQTTKSNNGKSFYLDFIFDSEIDLEFSTSITCFLTQQFKVPARLIRNSINTWCSL QRRRLLSTTSSNTYNEKNVRMYVSLIDFELQDTVTASLLTKGINKTQFISDLYDQVAGLP NLVKLSDLTALSTTIPIFQYEVNLNVSTNSILLYNISLDQEGFVYYAHLLRFETRQCPKK TRKFDVL >CAK75397 pep:novel supercontig:GCA_000165425.1:CT868207:856330:856512:-1 gene:GSPATT00001601001 transcript:CAK75397 MTILQQKHLFFSQKNNIHAQDAEYYHGPHFIFGSGSKFDLVNLSPHLMLAWIIIGRIIED >CAK75398 pep:novel supercontig:GCA_000165425.1:CT868207:856534:857566:-1 gene:GSPATT00001602001 transcript:CAK75398 MSNSNNKASYIKPFLFGGISGCTAVAIIMPLDTWKVRLQIYSESKGIMAKEMHRVEGLKG FYQGLGSAMLRQLTYATARLGIYKVIVDEVKINQKRDLSFLEKVGASSFSGLCGALIGNP TDICLVRFQADATLPVAERRNYKNAFDALYRITKEEGLPTLWRGSTPTVLRAIAITVGQL TTYDEIKQWCMKIFLRKKETMPDRIMASVGAGVVTSVLSLPFDNMKTKLQKMKVDANGKY PYSGVADCFLKTIQREKISGLWVGLPVYFARVAPQSIIILLVQDLLHHVFEKH >CAK75399 pep:novel supercontig:GCA_000165425.1:CT868207:857879:859792:-1 gene:GSPATT00001603001 transcript:CAK75399 MNQAENSELLEKLDLYISCRQLDDLDIVSDLDPYAVIYLKHNNHWTKFGQTELVKNNLNP NYKTSIQLEYHFEVQQHLKLEVYHYISPTQSKVKYLSRLQVVGIAETTVALIVASRDQIL MGDLINISGRRSGYFIIQADIIKQIKDEIILTLSAHDIKETRFLFWHGTCPQLRFYRYIM DDNNPVLIHETEFAKKTTQPKWNEINFSSFKLCGGDHQMNIKVELWDHRNNGKHLYLGET TFCVDELIEHKKWNRILEKEFMSKTNGKESSGVLQFNGFQFNPNYTLLEYCRGGLQLKLI TAIDFAASNGNFNDPNSLHYMKSNGAQSQYLQAITSLMEILICYDKDKSVPVYGFGCKPK MNLINTNKTLSSFALNDNPKDPEVIGLDGIVQCYIKQLPHLCFDGPAKLTPSLKIAMDMA HQLKLQDSDKYQILLIFTNGQVGDMKEFINEVNAQQNLPLSIIIIGIGDGNFDELSALNN QVKNIVDSDGNYASRDLLKFVPFNQLKNDLARQVLPQINKQLLQYMKVMSKSPKPINHKN LFNLKQTRQYPQPQPCVSQEQKFPSQLLYAPQLSFPPQISYPNQAQPLQTDFVLNQQAFI NQGFQQGLVQQRNQYPQQ >CAK75400 pep:novel supercontig:GCA_000165425.1:CT868207:859873:862112:-1 gene:GSPATT00001604001 transcript:CAK75400 MSSQQKFFVVRIKCYELSPIQNAGEINSQVVVKEQGDNKWNEKGKTEVQLNQRNPQFSTS VQLNYQFETSYKVLFEVYNCHQEKKHLIGYTEVNIQTLLAAKDQIYNTDICNKNKKVGRI VLIGEYQKYKNDMVELILCKSNLLPLAADSLSRKCCIFNLQPSQPYIKISRTFQDTNESN LVYQSEPIKFPDRRTWPEIKKMARDICNNDSDAVLQIEIFDKGRSNDIYIGSVNLSISQM LDKKGQRNLQHKGNQCITGQLSIQKCLFREIPTFEQYLQEGLQLNLMISIDFTDSNLEPT NPQSLHYFDVTNRRLSQYEQALRNVSEILIQYDHDKRVPLFGFGFEMDGKAHHCYPLNHN LEDPEVVNILGLFQTYRNFVGTVKFSGPTLFRPTIKEAMKTAKGFKEARSEKYVVLAILT DGIISDFDGAFELIVDCCELPISIVIIGIGDADFTLMERLNNNDLNEIDSQGRKATRDLV KFVVFNNYKNEQKKFAQEVLSELPDQVVNYMSTIGKMPGSREQKNQPFIAQNQQFDQYYQ PKGIQRQNTLKRLLGEAEEEYQPDERTIITQNQFIQQPFIYQQQQDQGQGQQVFGQHLYH QIYNNVQNPLLEQEPLTQKNLSQNLINSNYNPNPQTQVTYLGGLMSNHNTNLQPKQSVSH IIENAEFNNQHSEGSFPLMGNDDQQQQNAQRDEERAQNNQNRNQSN >CAK75401 pep:novel supercontig:GCA_000165425.1:CT868207:862272:863535:1 gene:GSPATT00001605001 transcript:CAK75401 MEQIQTIQSYYDSLIKELEKKFEEKLSQLNKRYHVMETYLKQKIKLLEAGKHQRSEDLEK VKLKAKIFSLEKQLQQLESRDNILHRPDSKSSESQAPQEVFLSVLDSVFKQLGNNFEYPY FLYPQIDSLIPNLIEILPTSLNLLSDMTFLLYKTKFYSHFLAIPHNKQLQDDRIKLKSIE QHVNEIMFCGGCHQNFEICFNPQTEEWKKKALEKEIDKPVVQPHTLQLTQSSVIQNYIIK AAKQKLESSYTKVIRDNNQIHHLNFSQQLKQKHVLFERLNCVILTFILSFTKDNIIYALN NITQDLKIDNQILLQQLIQQIQKKDLDMLILYKLKDYGFDIELQYSSVNFIQELNSKGIK LPLSEQYLKEQKKTGIDKELIQMIQQLE >CAK75402 pep:novel supercontig:GCA_000165425.1:CT868207:864002:865186:1 gene:GSPATT00001606001 transcript:CAK75402 MGYSQRQQAICNFEVYLDLDSDEEIVDHAKQNGTDIVLTTSKFILLDDALNVLNTYSTPN NGTHTCNLVSGHPLQNMFLMACQSEGRSPYFVAYKKTSNSTYSVFGEYQAVPSIQEVFNK FAIVGNTLIIPQKNKLVLLTTVIQAATWYIRTTSYVLDAQFFNTSSLNVTDFSLSSYEQD QQTYYRILTLDYENGVFWADAISRSNNLIPFNNGFINVKSSFYIPYTSRFRQVTPLNVSQ NSTQFIVQTYVDGNYQLDYTTTNSYLYLVTTLNRYKDWNPFGSVRTQNHIVAIPYQNYQK TVVINLFNIGYRLNEQQTNLKQEPADLLISASVESLILYFTSANQLVYRSDFDTFTQCTL SNYNVE >CAK75403 pep:novel supercontig:GCA_000165425.1:CT868207:865201:866086:1 gene:GSPATT00001607001 transcript:CAK75403 MNVSFEQINRSPLNRSVSKALYSFPKATRFKDFKDKPCPNIYNIPSMMSKRSAAIGYGQK SNFIQESITPGPNNYEIKTTLNISNGWTMPVGRDKGNKYEGIFIGLIQKTPGPGEYEHKD TKSPIKYTIRMRTESHKDKDRKPGPGDYNLPAALNETGKYKISKFRDSGAIILSPQKSKS FRFSPAKELSPGPGDYAHLGDIDPKGLYYCSKFADTKSTIFTRAKRELTKIRAVSPGPGA YRLPSEFGHYEKPNK >CAK75404 pep:novel supercontig:GCA_000165425.1:CT868207:866268:866728:-1 gene:GSPATT00001608001 transcript:CAK75404 MIAPKYIEQNIINSVCQFERFKSHRDRVQMIASDRSSSKKQFHMDSNKLQKIKTNQYNSK ASIQHMRDKMLYQENSKILDRIIKIKPSTRQHSASSIRSLNLPKRKKEAMKIVDENQKLM KRLQSTQGTFKNKDTLMRDFRRYPRK >CAK75405 pep:novel supercontig:GCA_000165425.1:CT868207:866753:868028:-1 gene:GSPATT00001609001 transcript:CAK75405 MQQHRKVSTDSKYLDLDIVQEYIKQDLDQNEYFRYEHDIDFDIPEQDEFFDCLDSIDEPP QIERFNQIKLHTMRISRKQRVEDELTQPPEKEDQLQLSIESMEEEFQYQDEMYDIKQIEI DKRKVISIFTLKQDYFDNKHNHTQFLKNVELLRQKNIHLEEFYTYIKELGLGCGFSLDQI RYELLQQFITGLVEIVKFIDDMFRFQSRWLEREPIITLQNIFQAFSFQWERFQDYTTALI DKSAISKIRSNNKIIDYDSLQFKKGIDFCLTQIDEQQNDGADFNIQQSNQESLILFKVFE LLRLNEHFLHISKQNQKAKRIKSYFAKCRSRIKLYLLLKNKVKFQFKNKRKSQVSLHHNI TEKYLFIDPNSIQLENTFHIFEEVSQPNLIRVKMQRSAIKNLNSNFNTIKDYDYYQ >CAK75406 pep:novel supercontig:GCA_000165425.1:CT868207:868059:869511:-1 gene:GSPATT00001610001 transcript:CAK75406 MITRQSRLPSFKNPPPISTPSSPKEQKKLWKTNFGFQNDISFISNRDKSPEQSSQKKSNS PQSNSITRKPFQLQLGIEQQMLNHHPKSRERRPLKNTIYSRPISRLIEDSRTTRMPSTDH EINRGNFKFHYVLGKGGFGKVWRVELVKSRKLYAMKEMSKAKIMAKNSVNSVLNERILLS QLKHPFIANIHYAFQDREHLFLVMDLLSGGDLRFHIGRMRRFSEQHTKFVICSILLALEY LHQNGIIHRDIKPENIVLDRNGYPRLTDFGIARVIKSENASETSGTPGYMAPEVMFRQNH SVGVDHFALGVMMYEFMMGKRPYLGKSRKDIRDAIIAKQIQLKKSDLPIGWTLEAADLIN QLLQRKPQSRLGFNGTQEIYAHQWFAGFPWKSLYEKQLASPFKLSKSCEENYIREISSEH DSLDQQIQENSIKLRQETVQNQFQNYSYVKEQQVTIKRF >CAK75407 pep:novel supercontig:GCA_000165425.1:CT868207:869661:870477:-1 gene:GSPATT00001611001 transcript:CAK75407 MIHYRNLPTIYRNDMENYQIRRFERATRFKLSQQNQIGRRRTVRIQPQLLTTSQKKFFVE LVALNEEKSKYLLFKFNKRGKSSESSTEGTLNNTAQLIMLSLPYFYANHNKRQAILKKWK QIIWGVRVILRYKKILKDQAYFEQLFRLPKRRKLSSPTSAYRSPKKRRLPAPSGDTFNFA IINKDFQEDTSRCKTSRKIKNQLSENFQKSIYYQNLKQQEQRLERLRTNSLHQLLNSQQN QSLTTARTQSVIIRKMRIKRQSIQ >CAK75408 pep:novel supercontig:GCA_000165425.1:CT868207:871537:872178:1 gene:GSPATT00001612001 transcript:CAK75408 MANQTEQQLNISNQDNLNGLDNQLPILSFLKRPKNKNPKKSLNSKQYEQQNCDYQIEVIN HKIVEKQFTIYQIKVTYGSLYWIFQTRYSLLEGLHSKLNKDVSQRLTKFPEKRLFGNMDQ HFVQKRKIEINQYLKSLIQYGKNEKPVKDFIKQSQKAAIEINDPCELKHFKLDL >CAK75409 pep:novel supercontig:GCA_000165425.1:CT868207:872191:873744:-1 gene:GSPATT00001613001 transcript:CAK75409 MLNKSQNSMQLCQLCKMTSQNPIQLICSHSLCLKCGLKIQIQENTSQIQNKFRIQCPKCN KITLTYDIKNLLLESNELYLITDSSEMEKEVLNESQFSLQKNQQEAIFGRRESNAYQIQE VFSKNSQMLNAQGINNNPQIAFNHNQSNKKKPIIGNEKTSPTKQFQKPAQQNHQKKQQYQ VPQPEIVLECKAKTQANTSNVQQQKLNDFLKPNSNTPVATPTTLSSQLLFQQTDNKKQIA QVQAVQQYKQKQKTQNTNIYVQEHQQSTINQNQSDVVFPQQNKKNNQNNLESVYHQQAQH QNSIFDKYNDNTKQMLFDDKQRCTIELNKEKENQFLKEISKDCQNSSNQTSDNSRHKRSS TGLIQEVEENASVQIIQSYFQMVQKNVQKLEREVINAANGKQIDPQIVYSKLKVLTSRIG DNDGELVQSIKVMQKLQQEILGLSTPSQHRKTSSHQNTSHRYTNSSNYGKNIEKSDQSIG AMPQELKIYKKFL >CAK75410 pep:novel supercontig:GCA_000165425.1:CT868207:873957:874830:1 gene:GSPATT00001614001 transcript:CAK75410 MTELDEFDSNLNAELDKLQKEIDSLSKKEYNQKNAAIKKCQAQVKSISTLIESYELEISN LDKVQSAKYNDSLRSINQRFQRLKSELEFKKNEGQTQENLFKGRSEQQPQRLEDMNRQQV IDMGDQMQQNAMNKLDDIIGTVNKGNELADQINMELDKQIAQLDRMYDTVMDTQSVLKRS AKYIKYFARQVYTDKLLMCLIGLIFIAIIVLIVLSALGLDDGKFNTPDQVKGSLATDSSS TTNTTTTTGS >CAK75411 pep:novel supercontig:GCA_000165425.1:CT868207:875596:876756:1 gene:GSPATT00001615001 transcript:CAK75411 MKIWILIAGAIISYLIKVIIGFFDAFKSVPIVNTYSHCQHLSTDIFGPEDMQKFNSTTII VGSGDYHKLWSLGKPILEQLGLYAIVDSQEQAPKVVKLGIKNFPNDISLYVHGIDIREQL DGVYIYAINHAYQNGGERVEVFKILDEHLNLEYRHSIIMDDKYNGILNDLILIEDNRFLI TKYMPYTDPKEGRHQITPLHLLKTVFLWVSQQRTSYIVDCKFQKEGTIIKPNCKELLDAP LTGVVLNGITWDRKNRVWAGDTIAKQLNEYEITPSGLVFKRFIDIENSIDNLEYDVDRNS LILGLIPKLHNYFSLDAFLKGINRLEKDTKFEYWGTVAEYNLTSDKLIYLAQNTELPKGV SGALISGNNLIVASWCDFTVSVCKKQ >CAK75412 pep:novel supercontig:GCA_000165425.1:CT868207:876939:878920:1 gene:GSPATT00001616001 transcript:CAK75412 MQMNYLILLCFSGLQYAEGLPIAKMDLQYQIKNYAFKKPENDKDGPFCYVYGFFTRYNPL IEINQLGDIGILDSNCYHIFNIRDTKDNNINFLYYDCVDYQKKQIQKTYTFVGEDTKIYK HTYLLNTFEYESYWYFLGVIVALAEKKVIITAFKNGQEFDTQEYSLSYQNYDTDSSYLIG GSLQINFNQTLFNPEISIFSYFPGNMRYYLPSTYNMKDNYDISVFGNRNFLCICTSNDIP NIPDTIISNLNQEYFISQNPNCDQFFFTTWIRIKEKIFYEKEIYYQLIKLSSHFQNPKLV NDNLSAFSLYYKLTQSLNQIIITTYSYTFPTINIDFSDNPFLIRQTFDIDMNIQLWHFLI VKKSKYSLLVTINFYEGRKVKEYSFESNVNHFNLIRFKVTSGNILQSINYLTIQLINMAL YNCDIEINPELCHLSCLECDGPTKYDCLSCYSTSNRIYNQKQKACVCQYGKIDIDGECKD FHSFQLNLSQGNEKKFQCTYGYFEFEDQCWKCPSKIKERLITCIECITNPKTWIKNPYCQ YNYVANNNQVPFTKQDEGIQQYFFDGNDLKVSENSEEQSDIYSDYIQTFAHFSNFCLKCK KTIYPKCFIFSYCFKCQIQISQPICTYCWALYQLIDGICLNSQHWQVPKVIV >CAK75413 pep:novel supercontig:GCA_000165425.1:CT868207:879622:884561:1 gene:GSPATT00001617001 transcript:CAK75413 MKESSQIRIKDVMIKNSIIINSSILSNYDNNMLYIESLQMIENQIINSQLIKFSKDLFCF HFLLRKNKFLQSILFEKQFSINYQNEIKLDDFDISSNIFQYFQVLIIDQNKIKAIKIELT NIDFRDNNNPKKEIQDYSFILSCTNILVQNLSILNTFNHRYFYLYNVSKITFTNVSVQNE HQEFKIPLNQDCAINDYQYSKLLSVKGFETLNLGFIKFANQITIDQSFIDIQSNDSIINK ISENITIQNITFTRNILTKISQGNYFSILSIFSDKVQSIHLENILYEENSYYQYTEDPKQ TSASLLFINSLNSSIVLQNIQCFQNSLTNSSNSFIAISSSEAKVVQIKVFYHNYLKMEFW NKYYDIELQNDLNQIEVNYMIEQILSIKNKGGAMQIIANKFTLQNGQLQYIIAKSSSVLD IITRGNGIVILEYCSVANSQNNLLSNQEQEGSISISSQNSYLTLMLQNFNFTEVNNQLAP AIISLKPSEISNNILIKNVQISNCFSLINMFLAFIFPAEQADQNQVNIEEIFIQQSQQGQ LQYNRQLNILEPIILSKITQDNAIFNIQGCNLKFHNVKFEGFALIGILNLINCKKIQIVN IELTYITIFYNLNLLHIEQVAQFKSIIQILNLKIYEISMFNNQHQILTPQGYPNFYIEHS KCQKLKIVSLPINGNVLEVKQNNFELIQSYSTQNGSILYIKSSNNLTKIIIQSILLKNND CKTCKNGLIYFQLVEFLKIQIQELSCNGNYVKQYGCITALSDKQIQGKLIIRNSLFINNT GGQGIAVSSKNVKTVLLNIKILNNTATLLGGGLYFDLNNKDFNIISTLIQYNKAREGGGI YLTSESILSEMNFANSLILFNHAELTTNNLQELPSHLDLSINNQIMPSDFIFDQIFTKSL KLSPYQIIQQGKEMMESKLMILSNQETSKYDLYNPKQNKFTSYISELSIQFKNRFNEQLL NFTNSTCQIIEQIFDIKKQTRLESINVSSIQFNQTTSKFDLGMLTFTFDPYNQTDKQYEI QIYCKTKNQIQELSYNIKVKSLLCQLGEFYVLNGCLTCQSKQGFYSVTYNATKCSIFDKT KFEAITSNSIDLKPGFWRPHQQSDLVNDCFKNIESCKGGWLVGDDICKTGYIGGLCEECD KHNIRGDGYYFKNDQSTCLNCSNVSINILSLILITAWVFLSTFITLASVEKTNQLFALFK LTQKFAHILFKMNLKSILLKLFLNYIWIFSVIFTFNIQFSFSFIFVNQISDTSYFLTRNL DCELSQSFEIDLIYARIIMMLTLIALQIFIVQVVVNIISMLAMVKLRNNIISITLIYMYI QNYAALINQLFSILAKREISNIEYVQGDVSLLFGSSTHSAWMYKFALPISLLFGLIIPIS LLLFLYQNRQLFDKIPFRRHIGYLFNEYSINRSFWEWVKLWKKTIIIVILIYFETNVYFK GFLIGMCLTIYQIIQSTYLPYIYPKLNRLDLISGQLCLMAIFLAAVQYLCEQQGDYVQAR ILSILIVLICFRFSFPYLHDIISAYYSKYKDKILTLLINILQTLQPTSSLILKLNRKLDQ WTQRSSRIEKNLKKLRWHTIQKKRQEKKEQQQICFPTLSLNKSGEIKFKLLNL >CAK75414 pep:novel supercontig:GCA_000165425.1:CT868207:884828:893247:-1 gene:GSPATT00001618001 transcript:CAK75414 MDQYYQIYKQLIDIDDINFDREDYYFYGVWSRYTPLSPISQVGNIGLFDSNCFNIHNIVG QASQSVSLLYYDCLDYNQKQITKTIIFSDNERKQYQFEIQIDPMEYENVWHFFQIFGQPQ KSRLELIVSQLEKNLIHEIIQTKFPFRNMVSQLIFGGDLLVKDSMITSIERGRKFCYFPG KLVLEKFIVENSNVIQNLTQQAIENFDYFKTCNCKQNSNYLISDIDIKWLDKSTFTSENT ICDSFTLQGWIRIVEIVTSSDEFTYYFIKLTANFDNTLSNQNLSPLQFGYKVTLNSNYIV FTTYKFSFPQVTIDFQDDPFLIQELIEIQNNITLWHYLNVKYLQDQISINIMFFQESNVF EYKKLIEVRQFHSPQLKLLYGNYLQQNKNYINLQIRNFAFLNCNEQFQSITCHFSCQDCD GPTKSDCLSCSEESNRVYYPEFKMCLCPFNTTDEMKCLSYEDSKLYLIEGSIQIKCQFGY FEFEGECLKCPSAVHSNVASCLECLLNTQKWSEKPYCSLDIYFSLDGTTQEEFSFQEKYY LFDGLEIYVCQICQQTNLYSIQEQYSDFYLNSLVFKQFCKSYDYLNCYECSIPFCLICEV TLIGQRCSKCIFNQKLIDGFCMSNYEFPLTQFCISPFYFTSKKLCKLCPIDNCKYCFEYS MDDLSKTTLYRNFETFDQDEYVRIGCSFCNEGYTFNFNLETCEYVKPQIQNCLKSFINFN NQEICTLSSLDFNIALEIVNCEKYKPNCLQCVLSPESIIKCVICKIGYSSSVISGDCYEY QLDASQITIDGDWTIGDGWVQRIQSFMMQFLPNKYFYPQSQFNSQITAMIIECNQGYQIS MQSLTCQKYCSSECLKCEENGYSFICTKCPLNYYQFPIRNQINGQCSECAQLCLICKPRS LDEIYRIQPSFILTEDNFIYTKNCIQPIQDTNVILDPYYNIAKYCFNQDCTQKAQFEVLF SHCEIFRVFWPFGYEFDINTNYCNQMGIDTITINFKFQIDDEFCGLLYSLSATTELKQKI FSLQKTIFKLSSPKKLNIQTNFPISITNFVYVQIVNSTLINXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCAFSDSLLFPFPCGFVIQIENLKIENCQ LMNSTILRYYTNILEYNQFFLKNSILQLNSFVHSSFLNSENYMDIKITNLLFKENYLSKS IAIAFNSNVTLDILEVISNEFQDSSFLSTLLILMKERIFQQYSTLQFKFNIMRDSELLYI FANQQINNLNLFITNLSLSGNITIPITDRNHYLFNIQCTQFTLLNVIISNVNDPFIFYVA DNYEIIIKNLKFINSQIYQKIPLSNSCKNQFEQNNNLFFIEGFFLITLDHILIKYQQSID KSIIGIRSSKSYLRDLEGQIKITDLIFNGNVLLQQNQSQFFSLLAIESQGVTSIFIQDIK YLSNCLHSYANNQFQSFAGLIYINCKTGYVEIINMNSFQNAATNTSNSFIQIISNTIVFT NTTISNNNILPQSFWEFAEQYSQEQINQIVQQILNIENIGGVAVVKAQNFTCNFCYFNDI LVLKSSIFQITTQGEGIIELNSILINSTINNMKLNTNGSGCISINSENSKLNLKISNATF FGCNKQNDIFNPYTYSIQCLELYSAFKCLNRKLYFIATFLSQIILKNTITFQNIKIIQKE LAWKQYFQKLGDLTQNEIKEIIDENNAILNLIGSSVFIKNFIVEGLYFSPLLKIQDAPKF IIQNCQIQDIQIMYPLDLIHYNEIYQLKTLISFQHLSIINVSIYTNNLIEIQRVPEDKYI IKECHHLIRQPQKTHTSFTFENITQKFKDQIQLGKSLLHLSSISNQSRYLISSVQVNLNN CSYCSNGLIFFQQDSFQTLQLLNFECNFNNILFHGCLRFILKNRPLNDVIIRNSNFLYNN GTSGIAVLGINTSIQLKSCYIMHNNASLQGGGLYLDLNSENFVISQSLLLYNYAKEGGGI YLNGDNNLNIENFHNSYLQFNKAESFGNDLVESPTHLALNINNMEMMSKFSANQSQIRIL KLDPYFILDQEVKISTQYLMIPSNQQLKQYKIYLPKNQESMPYINQIYLTHKNSRDELLL NFNKPTCSISQTIVSDASNKIIDAPSNYTLEYDSDNHYFDLKELIFRFDPYKQTNNYLQI KFNCSSVIQNKQLIYLIQARSFKCQLGEIYSNNGCQICQSSQGFYSVQYDQQKCSTFDKQ KFADITSNNIKLLEGYWRPNYLSDNPSVCFKNVKFCLGGWGVGDQLCSLGHIGALCEECD TYDIKGEGQYFKNLQNSECQVCFGVDDSIIPFIITSLWACFSVQITFKSIAKSKRPFASL SQEKSRVLFEQSIQLLLFFQFYRLSQQQLIFYGQQLRLILIYLSEYSQILLVFSKVISVM MLILYQFVIILLGSYIYSRIVKSKFYCNTVSNITLSLYIFNYAGLIKIMFILFLEGNIRS QIHQVQQQVISFLYYPRSDFFWLYNSFMLILFILCLKKRVGFYQSKRIYLQQLIKLSKKA AMIFIMIYFEINIYIKDTLLGLCLVLDELIQIRNKAYIIFKFNALGLYSRQLCQISIFLA AAKYNNEQQNDIVSSIALQVLLIIFCFRLCQPYLVEIIRIYNKKYKVLFFMKLNKILRLL KLDFIVQLLDILATSNEILIIAITTQSQQIKLRSLARSQVTNRRYYKSYKFRLITSQINS QWTYRFRQNSPDVEHKSLVPLVTD >CAK81644 pep:novel supercontig:GCA_000165425.1:CT868409:206:1246:1 gene:GSPATT00039462001 transcript:CAK81644 MLRLQMQILQKDWSTQHDIIAGKMQEMLRRIDELQEQASHEANLKKRDLYLKELDETTNQ LDQEIENISDMGQQLRLLTDFANHIRKGLIRVEAKINEMKEQLKSMGNDIKFLRGKSVEQ LFEIRKWKVLKETAFKNVKSIYLPLQTKEIYHKVVEGEKNKEQLKQINDKEGEVNEFLID DKEKVLLIHGVAGSGKSTTAKKIEEFIWKLHDNNQKISNKVLIPIYISLPSLKNPVFQAV EEALHQVEYGFDELQLKELKEMLEKKEFRLLLIMDSYDEMKLENIEKNLYINNKIYQKWS DPLVIFTTRSEIFRTSNYALWFAPDQKEQLKGNRTSKIQS >CAK81645 pep:novel supercontig:GCA_000165425.1:CT868409:1294:3096:1 gene:GSPATT00039463001 transcript:CAK81645 MLIFEIYEWQTQISKKVALDINMFENCWKSLQQQCLTLDVIKTKSETPLKLKEIENIQSF LMQDELISLKSNEALRSLSLKLQKLWSVEKYKKMMEQINLHKLVETPYMMEIIVQVLPEM MMKATEIIKLKLTFLENFPNMIKEFYQSSYQIKMYQQQKKKYIVHENNEKESEVTVTDVE NLNKINYYEIAVQVWNKMEENSVNIQFFSFQERNDLKSKLFRILDHNLEQLNNAFEKIII QKERIIEVVCNALYELNLTSFDFYDEFINQYHYQQIDKQRNLGKQIQIDRFLHDIKKYST KLAKVMSTKQTTQVQYQQQGFLYQEKKEEQEWQNEFFDDDDHQFGSYKKDLRSCSLIQQK GVSFQFVHKSIQEFYIAADLHALLVLSKDLKKQTFNWIIEQLSKENNYDENWLEYSSNQM IQENQIKFHSSVRQQKDAFKKDIESTLNILRILSKHEFFVENYSTETYAEARKYLIEKIK KETLIIEFLKFLVNLTKIDESFIQSGSNSLNLLVEMQVDLTSHNFEKIRIKNTSIIGGNF ANCNLSLSEFTDVNINGINLNGAFMFWCKWNNLKINDLHSLDGHSKMVNSVNFSPDGAIL A >CAK77059 pep:novel supercontig:GCA_000165425.1:CT868262:2622:3738:-1 gene:GSPATT00039193001 transcript:CAK77059 MKMRGELSVSFMFKFIFLKSQICEYGIQTIMNLVPNHKILNSFIIKIYYIKQCQYLPQLK MIILIIIIHITHQIVIYEFDANPNIFDGWEKLNDNSNFNNCGGIQYFGSPNNLQYLISRI FLDLEPHSHIRLDAQFLSIDNNAQPTVKIDSQITNYVATVTSQNSICSGASPEFLHTVSI NYYHNRRTAWISIYSNYGGLISLKLSIIKCQNECAECIENYHTTCQQWKLHQYSFNKKLI TYSDGWNLQTYTLQHSSDCGGCEYMRITYVTYQTELPPHQNVLIRFFKLGGQTIIVNYQY GKSTTSGSYLIEILIKNHQDPILKLIITTSSSSNMVRDFEKLCLRI >CAK77060 pep:novel supercontig:GCA_000165425.1:CT868262:3828:4202:1 gene:GSPATT00039194001 transcript:CAK77060 MQKCARREYQHFPPNKIKNLRLDNHESNITLFHILWCLTCIFGVRLSISFIPKRSEQFIE TIQCIYSFHTNCTTLKQCTGRQFFGFQYIFQSNNFFQKNDQYEFFLILILVLTCRMVKQI SQCI >CAK77061 pep:novel supercontig:GCA_000165425.1:CT868262:4244:4932:1 gene:GSPATT00039195001 transcript:CAK77061 MGSSCCQAQGDDKIELQQQEKIRLKSKASILNKNSNLSSTDQNKENERIQSYQNNGSLMI DDTGKEYNRIAASSLEIQELTGDMDRVDSIQLTENAVKDKPIMRDSCEVISLGSKKTILK KETKYSLFRIKANFNQNQKKVRFDEVYTNTQMVQIDSYKLSQQNFTNLYECIKNQKQQVE NIVPLFHLLFLFYIQVRRFIQTIFMYNQ >CAK77062 pep:novel supercontig:GCA_000165425.1:CT868262:5062:7121:-1 gene:GSPATT00039196001 transcript:CAK77062 MNKQRKTQLEELQEKESSFGRVFKVAGPLVVAEKMAGAKMFELVKVGWDKLVGEIIKLEG DNASIQCYEDTSGLTVGDPVMRTKSPLSVELGPGILTQIFDGIQRPLQVIAEQSSSIFVP RGVDIPALDQDRIWEFKPSSLVKVGSMISGGDIYGSVFENNLFDEHKILTAPRVQGRVTY IAPEGNYTLKDKVLEVELDGKKHQYGMSHFWPVRQPRPIIEKLQGNTPLLTGQRVLDALY PSVLGGTCCIPGAFGCGKTCISQALSKYSNSECIIYVGCGERGNEMAEVLSEFPELTIQM KGKEENIMQRTCLVANTSNMPVAAREASIYTGITLAEYFRDMGFNVSMMADSTSRWAEAL REISGRLAEMPADQGYPAYLASKLAQFYERAGRVRCRGSPDREGSITIVGAVSPPGGDFT DPVTTATLTIVQVFWGLDKKLAQRKHFPSVNWTISNSNYEKILEPYFNAFDPEFSHLRVM FKQILHEESELNEIVQLVGRDSLSEDQKLSLEIAKIIREDFLQQDAFSKYDYNCPLYKTI GMMRCIVSFFECGKKAILESSGDAKITWNIILNQTKPQFVKLSQMKFEDPKQPKQELMNY FTKFVDEIKSAFRNLTDK >CAK83391 pep:novel supercontig:GCA_000165425.1:CT868466:42:2458:1 gene:GSPATT00039540001 transcript:CAK83391 MAIECYDKSIAINPNEDSAWHNKGSALCDLNKYQEAIECYEKAIAINPKYDSAWHNKGWA LCYLNKFQEAIECNNKAIAINPKYDSAWQNKGSALHKLNKYQEAIKCYDKAIAINPKYDS AWHSKGQALEDQNKYQEAIECYDKAIAINSKYDCAWHSKGQALYNLNQYQKAIQCYEKAI VINPKYDSAWHNKGSALCNLNNYQEAIKCYDKAIAINPKYDSAWHNKGWALYKLNKYQEA IKCYDKAIYMNPKYDSAWHKMGIRNHYLGWALENLNKYQEANECYDKAIAINPKYDSSQF NKGQALEDLIKDQGAIQSYDKATAINPKYDSSSQSNGFCIQDLNKQLEEIECYIKAIAIN PKYDSTWHNKGSELHKLNKYQEAIECYNKAISFNPNQDSTWYHTGSALCNLNKYQEAIQC YDKAISINHKLNEAQNNKGLALYNLNKYQEAIECFNKAIAINPKYDIAWYNKGSALCNLT KYQQAIECYDKAIAINPKYASAWNNKGLALDDQNKYQEAIECYDKAMAVNPKYDGAWHNK GFALHKLNKFSEAIECYVKAIAINPKDDSSWNNQGKQLYYSGLALHKLQKFKDAISCFDQ ALNLKITPQRLKNKADSLFEFGNKSKAKQFYLDALEKGSNQKTYILNQLSKL >CAK83663 pep:novel supercontig:GCA_000165425.1:CT868481:1:420:-1 gene:GSPATT00039562001 transcript:CAK83663 MIHQVQSNKQVQFKKNSFLSAKNQEGGLTYARAMYGPGRLIREQVFDAIQKQIETMDRLD EFVITNSISGGTGSGFFSMLSDMLPVDFDKVRQNGFIIFPSSEMSNNIVEVYNAGFSFRV INQNFDSITVFDNQSMYAEY >CAK87297 pep:novel supercontig:GCA_000165425.1:CT868612:2:580:-1 gene:GSPATT00039800001 transcript:CAK87297 MVNFSVDQIREIMDKQDNIRNMSVIAHVDHGKSTLTDSLLCKAGIIASKVAGDARATDTR EDEKERGITIKSTGVSLYYEYDIYDNKTLEKFLINLIDSPGHVDFSSEVTAALRVTDGAL VVVDCVEGVCVQTETVLRQAMQEKIKPVVMVNKIDRAILELKHDGETMYQSFVRVVDMVN VIINT >CAK87298 pep:novel supercontig:GCA_000165425.1:CT868612:1280:2080:-1 gene:GSPATT00039801001 transcript:CAK87298 KSYTVQLHLLYFLEKKYELGYHHLKAGFARPVIVHRAILGSLERMIAVLTEQCGGKWPFW LSPKQLVLCPVSAYYKDVAEKIAARLRLEGYTVNTDESDQTLAKKVRNAQIQYYNFVGVI GEEEVKAGVIDVRDCEKNTRIGKLTIPQLCKFFESLKPPKSNVEVEIHEHDDSHLKLNEE LQEKMFLEGNGYAVGKRDFDEFAKIKDIDPALQNLIRWHKYMTHLTKKDEVPEKAEQVEQ KQE >CAK80217 pep:novel supercontig:GCA_000165425.1:CT868355:650:2947:1 gene:GSPATT00039374001 transcript:CAK80217 MILREEATIYKINLLPKNVTIILDNSFANLTIQDSFHSYAIYHYKSKVLGYPNQVTHFVD KNSVISDIQHSELITSQILKNTVILFQKNSKSLIKIIFSINQTFTISDEFNHIYLKHQYR FNFDQSQIFISSQKSAFIVYEKQTLYCYSYIDTKFRALEINFEKKINYLVQQMDLIYIYF ENCMIMILEYVTFNFHEIHYSQLLNCTTMSQENVLNIKILPTQIDFLRKKTYTYSLKFHK IIRLQLFVNLKKLIIFEIFENTLLMKQYQEKSNYYQYLYTFPTYSYIIDQPLKYKIFFSM IAIFAHNKQKDQYILIYDLQNKALNSMISFIQVDEKCNISNFLTTEQFIYVYKNELRIYF LGIVKILFKSDLFKFKVNSYFYTTEIMLRFYSNYSVNNNSITLNLTFINSNQRLELLMNK SLNYFIYNSTKILPLNYVFGKIISATYINGNTQFNLTSFTFQEVQRCLFYKQKTCTLKNN KLIIDSFDLRISLQFNLNQTINVITIFKTQLNIYLYILDEQLVLEKQIYNLEGKLIDQGQ IQSQLQLDWNNVNSIQVIGDFILFENTYQQQTQHLSFISNYNLQYFRINGSNEFHFISIR RIPNYHFITFIQFPDRKTLNSQFTTQEFEIKHNQQTLTKVXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNFTTIMTLLQTCDDQQVNLLLKNQVNQLS LTYQSFISKENQILSIKNVLQQLIIIITEVTLFLFLYNRQKKLKK >CAK70434 pep:novel supercontig:GCA_000165425.1:CT868082:1568:2192:-1 gene:GSPATT00038538001 transcript:CAK70434 MKQKNNILSTSLLLIIIELVTNQICQKTDTTIKSIIRGNYLMSNFQNHKIYIEGGNEGSC LLDNIYIVEYRFGHPTSLIGTSIIFYLYQTYELNTLKIWFWDLKTTFYAISDFIIFNNIE TIIYESSRGQLITFQIRNQQRFSKKSTNRIRNNLSFPVGVKSTEFYISKRAYICIYEGIS FLNNYLNLEETNFACFQHFG >CAK70435 pep:novel supercontig:GCA_000165425.1:CT868082:3717:5314:-1 gene:GSPATT00038539001 transcript:CAK70435 MLKSKMIENEIQLQCALKHNQKIVQVLLNPQLQRDQRLLCGQCLQTININGKAIVYKDIL EIIQEQQQIKLEQVYNLIKSQILTQLNLLKSNVIQQFEFIQCAVQDWINNLQLLCQQYQQ YSFHDELENFINNKGIYFDQNELLQRISKEQNIWYSKVTSKLDLFNQFQEQEKCKEMLEN NKLMIQKLNVNFNQQIEISEDDIKLKQTELSVKQQGSCLSIAFNSSDSIMVSTNKSLINV WNFNNGKLELSQQLKYHEGWINSLVFSKRQNLFLSCSSDQTIRVWKSYGQNEWISSIPYQ QHTDKVLCMILNQNEDELFSGSLDYRINSWKLDLDKNELQYQYSLDKHNNGVYSLSLNQK SNIQHHALQARIKQLFGKEDKIIKWNSNTLLNNLFKALDQRFCLSKRISLFGYLIVIKLM KYGVFQENTEKKLKLNSDNVNMEMFQFPLVYNKNRNLMVLRHKIFIYIIRELKNGNFKIV EQLNRQTNKIFGTVTNDGNHLVFWDEIKKEYQIFQLQEQ >CAK70436 pep:novel supercontig:GCA_000165425.1:CT868082:5870:6337:-1 gene:GSPATT00038540001 transcript:CAK70436 MKQLVHPVKSNLIISGSDDKSIKFWTFNCSQFSNKSTWFCSQTISQHNSSVSQISISQDG NKLISCSTKQIMVMSYSGSKLWQLSEVGVRLSFINNNLFAYLPWTGKSIYIYKLDSIENQ YVRSRDIAVQGQKDAFQSYFPAIYIPSKNILICKN >CAK70437 pep:novel supercontig:GCA_000165425.1:CT868082:6380:6685:-1 gene:GSPATT00038541001 transcript:CAK70437 MQQQFVLNPYISQHYSYQLIPDFSFELRRHSIPLAINSSNTMVYANHYNNIVVFQLINGL RQLQQVKNHIQSKLLLLMSLNKIQVWYLVLMMLQLFYGLQL >CAK70438 pep:novel supercontig:GCA_000165425.1:CT868082:7079:8013:1 gene:GSPATT00038542001 transcript:CAK70438 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFIKTLTGRLINLDVESTDSVESLKKQIQDKEGIPPNQQRLI FSGHQLEDEKTLLEYNVENESTIHLVLRLMVRCRFMLKLLPVKHSQQQWKMYIRQNMSNN QYQIRTEYLLINKDYYSQECKWIMLRPFRIIIFNAKQHFTQYRKFRIEYYLNIHTKNDSI LIYIGFNIHQLHNKFMFTFSYNLQIKFFQLL >CAK70439 pep:novel supercontig:GCA_000165425.1:CT868082:8613:12219:1 gene:GSPATT00038543001 transcript:CAK70439 MFSILIWLVYMKKASCFVQVYSFDANDQNQDCWNITNMLNTMFRTCDSQVIFGGPKSFGM NTYITRFFNDLDPHYKLKIEIELWIIDKWTSDQINIEIDNTLQFQKGYTHSDAFPDYCGT GSLLDDDDDSSVYSDEQDTILITIPHVKRSVWVHIYVDVQTDENQSWGIKTFKLSIEKCL NGCLACFGDDYSQCLKWQKHTQSFSQSYISGSDLWDGIGFPLNIFQSDQCSGCNYFYGMK FRRFLNLPKKQQILLRLFKYEANTFQILLNNLIYKIIYNPIGQIQILIDDWKGEIYEILL ESLSSNLYIRDIDIYYADREEENIIQFMPGCVFFIDLICNQCYEGWKFIDIHQMCQPYCG DKLIVGDEECDDGNDLSHDGCFQCQFQCDQNCLVCQFGKCVECQNSFELNSDQLCLPICG DGFVVPYTEEKCEDVNNIEGDGCFNCKFECDQMCSICYLKKCLECQKGYQLIDNQCYPYC GDKIVINGFEDCDDGNLLPFDGCFECKFQCQASCIICQEGKCNDQQCEDGYKLLQGECIS ICGDQYVTSQEECDDSNDIEYDGCHNCKYSCTLNCFDCQQGQCLVCEEQYQITKSGECQQ IKISYEEEYAIIECDDGNDLPNDGCYNQMIEFNWVCSEYSIDTLSQCTFSVNPKLILTFL NYTQDTQFVKISFSEQVMTTSQFVLTDTMETLVIDVSEDKQLLNLSIIQDASAQLSQPEY VIAIQIFQLLEIKPILQIKLNQQIVNQYGASVIPNDHYITINIPNYLDEVQKVYSLKLKQ VNKSIIYFILGVGIISLFFGMSELFLQIINILQYQQYLRYLNLKFPQNLLIYFELGDLLQ SQTLMDYFCVQDFFQFFEVESNFKTSYEKFYYYNLNVDLIQNIQWQLFQCIICLLIISIV HMLQRVFYYHIFTDQFVPKISTLLNCIKNQFLIKILQGFYKLFKWFLSLEEVATYNGIKQ LLLINGWDLIFKTLLYLQSINSVLKRDITSLILSSIILLAYLIILISACNRETLIRNISP KFLLLKRYEIFNLLRQTSFLIILVFLQRQEILQTLLIALICVMCLKIVYNYRKVFEMANF LVQFCVEGSIILFTFTSLVYVSDYAIYINQELKIKFGWFHISILSIGLIVQLIMIIYERI RSFYKKHQHGKEKFSQVRKNSHLILVEIKNRVIIQDV >CAK70440 pep:novel supercontig:GCA_000165425.1:CT868082:12536:14098:1 gene:GSPATT00038544001 transcript:CAK70440 MIEPQMLENLEDLKCACMSDSPVTKVICDHQCSEMNRLLCDKCCQNKKQYALKMKIHEFS QIILNQQRDKLASFETCISQYQNSIKLIQQELESIKYNCIDRLDQLLKMTQEWIQSLENQ KTQAAQYSFIKEFEAIIENQRNPKVRNYTAQCQAEIDLVSASYLKRAQSYLDQFQATCAS QQFNKLFGNIQELHQKQWKLNLECISKKEIQKANVSYAMTFNQDSSLLLMSLDSVIKVFN IRGGEVKQLQTLQHISKYVTTLNVFKRGSLFLSTSFDSFLRLESFNLLQRSKYLQKFEGH SDGVFCCKMNPLNTVIISGSFDKSIKFWSKSLNSSWKCFQTISELNGEVYDLSINQKGDQ VISCGVAGFILVIEQQKLNSWVIIQKIQVNQLGEHLSYISDNQFVFQPFTSQRLEFYTLN KETKEYKHSQNLKENYVGIINKGNSRFPIIYHFNKGFVLKQAGQALNFIKLIDVNSLNCV QHNVDEFKDSYIQAALSDEGTLLATWTKNQQVLQIWRCVY >CAK70441 pep:novel supercontig:GCA_000165425.1:CT868082:14294:14670:1 gene:GSPATT00038545001 transcript:CAK70441 MINIHSDSKLTIEQQDSEVYHLIEKKKELQQNSINLIPCENYVSKTVAEAQSCVFSSRYA PGLQGGKYAPQAENYDAIEKLCQDRALAAFYLDPQEWGVNVQMGSGITSNLAIFL >CAK70442 pep:novel supercontig:GCA_000165425.1:CT868082:14695:15371:1 gene:GSPATT00038546001 transcript:CAK70442 MSMEFQQGGPFSHGYQVGEKKLSPVNRIFEVLFYQLKEETQEIDYYKVELLAKSFKPKLI VAGFSAYGRLINFGRFRNICDQVGAILLADIGHTSGLMSAGVIPSHSLCRCCNEYYSQIL TRTKRKIDESVAPGLVAGAHFHTITAIAVALKEAQSSSFMQLQQNVVENNKHFAAEFQRL GFGLIGGKTENHLIWQI >CAK70443 pep:novel supercontig:GCA_000165425.1:CT868082:15398:15650:1 gene:GSPATT00038547001 transcript:CAK70443 MEYILSLINIQCNKNYVPFDTVSYKRALRIGSIPLTVRQANKDHFTRLAESQNNQLNWFK LLPLTLSNWQQKIRIN >CAK70444 pep:novel supercontig:GCA_000165425.1:CT868082:16412:16723:-1 gene:GSPATT00038548001 transcript:CAK70444 MKTNLLFVVLLSVLIIPISSQRQCRSSSECATGFCCAFTYVTSVGVDQKIVYQTCRNQTV VNNFKNELHVQSLLIPQAPGNYQMVFELTYCITANSTSNILGY >CAK70445 pep:novel supercontig:GCA_000165425.1:CT868082:17601:19510:1 gene:GSPATT00038549001 transcript:CAK70445 MNSDLFACQFCKEYFSIQREPFLLPDCGHSVCAECLTVKLKNGNQFVCKEDGYIYLNYQG SVQVTRNQMNEFPKNFALLQIIKNRPTNRKIVMNNSPKQTEIEQDSDRCKKHGERMDVVC VDHRVRICAKCALFGDHADHKVVNLEDALKKIIRRIDELKDMSERLDKAANETFEMSQYF NKIELHFQSNLDIQIKQVNAFFDELTQILDSKRNKIIQECREKIIHSQELYNKYITESFI DVQRKVELWRMTSKDRIHYYEEQQKSNSIPFELISISSTNELMLIGAQYLKELETTKQQI LLKIDEPSYREIHLEMKSDLEQFINTHLIVYQKDPQFRLENSLSRLESITECSLLKDINS SIIQDPLNQNQMELWIKQYQENQQYKQQLNQQFQLQQQQQQLQQQQYLFVQQQHQQQPSN QPYQQLSYSNHQRTDQPLTSQELDDQYLNNLKKSPSATTLATRRTVSPSPIRRSMKQKKI NEKFQPLIVKLKGDGLDQCDFSQAELGDEGLLSLITIIKKTKNLRVLKLAKNKIQDGAAQ QLLIELIEKQNENEQENNQISTINLSSNMLTDKVVDTILDLCKKFSKTMQHQSLNQIYLN QNIINLSRVKRKVDEIKKYGLIIAI >CAK70446 pep:novel supercontig:GCA_000165425.1:CT868082:19582:21003:-1 gene:GSPATT00038550001 transcript:CAK70446 MSSQFEANDQMLQEDDSQFIEQITQKLTKIRNNLGIDIYDQENLKTPQSEQSGRNNEHNT FGREKKTTESKINMQDVQELNFITSSKHKNNHNINNNNDYQYSGQSGKEEQPYQISQSGT KDDILPQQISFLQNKLEALKQIYPTQGQDQASFLERENVYLKIELELKSKQERFLRQQLT TLTEEYNLKQQQLQQSIQDLKQQHEEFVYATDLTQDIKQYILSLESDLHLTKGELDLFTQ RLAKEQSEKEMLQNQLNNIHTKAKDEFQKLYEKAFKELQFMCQALSSELLTEQIDKKVSR ISQQTNLSKMYQDLIDEKMILETKIDNLENQLESYQNKQFSISYAPSIKSVYEKRLHVMK QGLLHWKTRTQQIEQIVLQWIQKLKQENQQLKTSLVKKQNKMFVVFENLLREIYQQFEQD KYIQMEEIKKLQEQKVDDQVKITKLRSTIQQQSKTKKR >CAK70447 pep:novel supercontig:GCA_000165425.1:CT868082:21062:22033:-1 gene:GSPATT00038551001 transcript:CAK70447 MQGAFNQIGSLGQAMANQMNEQAQHLIQAISSSCLDILGQQDGIFIKQKFELFEAITGFE TPNVYKVYAANDQGKKKKQKALFKCKEKSSTCARLCLPGNTRPFEMKINNYGCKDFQPAM AKMFLKDEKRVVFKFKREYQCTCLCFNRPRLEVLYVENDENKKLGTIVNPWYFCNIGCHV LDIHDNLRYIVEASCCQTYFWCKCPCNSCNKVEFVIKVPTGEVVAHLMKKGKDCCKNAMG DADNFSLIFPKGASKEDKALLLAVTLMMDYMYFEDKGGAQASVGPE >CAK70448 pep:novel supercontig:GCA_000165425.1:CT868082:22481:23370:1 gene:GSPATT00038552001 transcript:CAK70448 MKTICIFKFKFLSILLKQNQYSIIITILMPNHYQRELSLIEIKIIKLVIFYCYNNSQLKI VIVDIYNIQYQKVFYFILHITYYHNPHHYLFDKRFCYHYCLKNQIIQYLYSIKIFRNIVR QSILQLQYRSHLNINVLIQTLLLIFQIAISRQSEIISIIICVSEWVCQLILICLEYQPQL KYLHFLNLKKKIYIVQLQNTLFFFSQRWIFLQRSQLYIYQINIIYLIAGKQLNSIKSINL IEIILRINIQRFDNTASYSYSKYFITFLTRQYLINDIRNTNKVY >CAK70449 pep:novel supercontig:GCA_000165425.1:CT868082:23380:25354:-1 gene:GSPATT00038553001 transcript:CAK70449 MTSITQKIISKLDPRQYYLSGCIDIIVVEQHNGSLKSTPFHVRFGKYDGQDYQVDIIVND KLTDVKMRLGKEGSAYFEKSSYSSGYQSDDTFSEKISYNFYITQHRLFDEASEFRQSHYY KKPDDLFNSDKKNSNWLQTLKFWGKGTNSKKDEGIKIETPNNLKEFQQVDTSSLRLSQLQ LQKQFQSQQSDKHIQTNDYEKDNITCSDRETLSPRQSVIELSLCGQYQISQQNLTQTQRF QLFEQHKISFSAFEKDSLKIINHKDLVFKIGDKFFSREAGLIQLLAKQVFAQDMVIDSLD QQKKQNTQQQQQWYSVLFGKKKGSDQIEQNNNTQRINNNEQTQKQHQQFKSQDRLRKLSE NSIDTYSTMSIQKRRKSQRPILKPNSSILKQLGLKKGNNKITYRICIPKKSDIVELHGTI YFYDQKTKLVISDIDGTITKSDILGQLMPKLGTDWNHDGVANLYQNIQSMGYKIIYLTAR AIGQADQTKDFIQNLQQNNTKLPKGPIILSPDSLFPAFKREVIDRTPELFKITALKEIRN LFIGESPFYCGFGNRLTDSTAYQAVNVDISRIFIIDPDSNIQKYNTDEITTYVEMNKDIH LYFPPVDEVEYQCQNFWKIPICDNVEINYQ >CAK70450 pep:novel supercontig:GCA_000165425.1:CT868082:25377:28252:-1 gene:GSPATT00038554001 transcript:CAK70450 MQQNNRVIYELEDDEDIKQAPFPKSQAIKIDRKLGAVSYIKWGTLYNYFFSFDLRKGRLL CYKTQQSSSYNNYYSLNKGDFVRDEAEIQKCEQSLRKKKNYQQANDQKSVIYVRTAKGRV FKLMIDENENYDKILGYLQICFSSKMQNVKAFYALLGIGLVKIDGNEFKLTTGVVVSLND WSINNNNNYLLKNDSAISNYWEASSDPSINNMRSWESYSTHRHKPSTSSYSIIFSKLSHN INRNPKVLHQAIFKLVKFSKKRVIPSGKGQLLKLKTDIAEFNQTEYIEIAKNDNVKIYIH NSKLNVADYALIQGSMFSAQYVDDQNDLDGDKLLSNNALEFYKKNFKNMNNQDDETKEFI VVSKQQTQVFVMNNQSSPNKGSAQKKSSFKFDDISDESGGVGSGVQVAKSIKVHMRRQVN GVADMSPKMKFISDFQGNEFESAVNYANEINEQKQADDDKNENQDVNENGNGTNNKQEAS DNQQGNNYSKMQCEEQQQVVVKNEQQLLQHQPSKTEQNQEEDSDEIDLVKVSIVFDEGEH CNHRDFNTIMNYALNFKKVDYKDEYRVPQAHKKGGTACQDEKIIDLARSVGKNMIKQVGQ KLLSGNFNLTTVSFPIKAMIPKSALEKTFMQTILFPLYMNKAASLQKPLERMKLSIVALI SNYIQANSFLKPLNPILGETFEGGYEDGTQLYCEQISHHPPLSYFLVYGPKKSYKFFGYS LYEAKAGLNSLTILNHGKRTIQFPDQKIVCTFSSEHYSGTFFGTMKNESQGALSFVDEVN NLNCIVQLGKVKNKPTDYFEGEIKQGKTVLSKLFGSYMGFADFDGIRYWDARVIKPFAMQ ILKSNLDSDHTKRTDRICMIAGDMNKAQVEKERLEQSQRNDAALRKEFLKQKKKKDQK >CAK70451 pep:novel supercontig:GCA_000165425.1:CT868082:28509:30963:-1 gene:GSPATT00038555001 transcript:CAK70451 MGCMNASGYISGPIEPFHQSNKSNFEAFLIEQLTLLGFQESQIVISKTIEIKFIPYLKEL QTSIQQDIHSPFNNNIYLIPVLTNQLREIYIKTSEFLIRFQDYKLNTLVNKVGLKYQALL RVGDLFPKQLNLRFYNNQISKIQIDPTIIIVVINQIDIGYALLQFIKDLNQPFLLVFRQD DNNDNQHINLFIETNSFQNYSVIIGVDDKEDRYNTIINLYTKVIKKQKKNQNSDSHSRIL TQFLNQEDQEQFWDDGTLIFNFLDSTQTHISTNTSIITIQNYKITEINEFNTKGLQFHTQ KSLQDKKHIDQQIYKSIKQIMIKELIQALQLKSSQFSQYFKLKLSTQKIYKDLKLQQKIY MPSQLKLQNANEIESKTQEILTIIRKASYDTLIVKQSSKFQLPDKERIYQILQQIFKDQY VNQVQIIINSILHIQWSLGDEIINSQFNIQNQNYQNYKYKWKMIKFYDNFRIANLAQPKK QQIYFQAKQLLKCLTRRSDQEIVNKITSQIMLDKWNLNEEYSPICDVYQISFINSTSVDE TSQYQEKLDKIVVSQENQYLIIFLMDYQDPQRISQLDQIISLPLQLDIKLIILSKSEFDN DNFEQLLNKKKIEKRIYQKNIQFWFPISSQINEMQFSQYLEIFYNLNQKENVIVINNKQQ LCHKITGFHLITMLQERNYQEDDKKCNHSGARNQQRGQNNEQVKKARQQLKQNIEKEIKQ QQQLSLQNEQDHSTNLIFDFKWAKEKTIKLDGQQRVQVVSKQYLRPVFNNNSGIQFEIFQ QIISNKQYDFQ >CAK70452 pep:novel supercontig:GCA_000165425.1:CT868082:31033:31903:1 gene:GSPATT00038556001 transcript:CAK70452 MSGSMYDLSVATYSQEGRIYQVEYATKAVENSETVIGVKCKDGVILAGEKQKFSRLLEDN TNKRIYNIDTQTGMAICGKLPDGRNILQRAKAESSQYQDMYAIPASANVLSDRVAQYVHA YTMYSGARPFGSATFIASYNTFDGYELFMIEPSGNKYAYHACTHGKGRAVCKSEFERRSF KDLTCQEALVYIAKMLILAHEEFKEKKYEYEMTWITDNNGRKHQSVPQDLINNAVQQAEQ LIEQDQMGE >CAK70453 pep:novel supercontig:GCA_000165425.1:CT868082:32266:33099:1 gene:GSPATT00038557001 transcript:CAK70453 MKFSFLKHNLADLINKAEQLDSPSSIIKKFGPYLMTSLFNQKLQESQEVINYMEKNIDKS TFIRNFTHDPHAKNWRTNYRKSIESLACSIIVDNQNIIDKHYTNILKEEQITLAETLPLS YTLVIQKLKKWNITNEQEVLQKLNKLLATQKQEHQKMNINLLYQKHFLSDLALHYPELQA YSQGKILCTELPLDYFIGQLKIEHTDFFIENNSLIDLKIGDSVYVFMYPDEFENQTIKAF LLKYVRIKRLQEFHSNLHVVWCYKGMKKEELFDAICI >CAK70454 pep:novel supercontig:GCA_000165425.1:CT868082:33518:34426:-1 gene:GSPATT00038558001 transcript:CAK70454 MAVNENGMTIVNGNQKRNPQLDDVITVMGEASALAQNLKQVITSPIRFFQTDQKMYIKSE GKLCLGILKIGRKNLFHRDLNGVIKEIQPMCVLDFYVHESVQRKGIGKELFEEMLRQETL RPEKLGYDRPSPKLLGFLKKYYNLQNYIPQNNNYVVYSQYFFDNRPTNVSQQQFAQQNHQ QSQNPQLVNQQVQQQRVQPQQTPQKMDQLDQMMQQMSLDSKQQYQSYQKGKAQPPWATDQ KQYQNMYQTTTGMMSAQIHKR >CAK70455 pep:novel supercontig:GCA_000165425.1:CT868082:34442:35101:-1 gene:GSPATT00038559001 transcript:CAK70455 MNSLVKERDQSEIMILNNLEVQSQIKSSIFQSKLQQSIQNIRQLSKPIAMHIEPQILPLR KLNQETPIKKSEHQKSSSYKHTTLTKGTQVENQADSTQKLVQNQFDFSYSYRKQDSQMRK STDYQRIFEHYHHSTIVQMISSYEECFNILVESNEAQLLRIPTNLILAILREREELQHQC VMLRRKLYQLDRASEQYERVQQQHA >CAK70456 pep:novel supercontig:GCA_000165425.1:CT868082:35743:36308:1 gene:GSPATT00038560001 transcript:CAK70456 MSAIRLIRPSFGSSQEIQINPSCSFTLKQTKSQTFIQMKIKSTPSGSKNSSLAKLNSPYQ PHQKSQFSTKTHYDSQDIHFTLKGCMRIFKEIQKSSLNSQSEFVLLDVKDRMHNIENIIE EMLNHQNNKNNNQNKISQFMMLIIEEKKQKQQAIQSGENLIKQQANQIQQLKNRISIYS >CAK70457 pep:novel supercontig:GCA_000165425.1:CT868082:37848:47798:1 gene:GSPATT00038561001 transcript:CAK70457 MIALFQVLDGSHVSLSGSKLNILSKDKSNSTILIFDSDLLLFREYLITNRKIQTAFCDPN IQILLLFEEQEQEQLTQLYSANLEKSFTPISKILIPATKLRTIDWCNCRICALIFENCVV LYDILLNKILTKITSNYNTISRFLISKKLTQIFCQYQDRENKSICLVLTIENQNEVQKFE NCAFFKLIEEKDDNNLLMVQYQSNKMVIMLAKFDEEFRIERKIEQQLSNPDQKIMKVGYR KQTQELLLLDSNQNLHIYSINSDFQINLKAQTIPNIIQFNVSLLKENLILIDNKKNIILQ KLPSETQIERLTYQTQTLSLASQFKKRAIQGILTDPNISQQTKLFVQQYRTDFDNLSPKF KSILNLKFKSQFLPLVDFRILNLDQNHNSKFKEFIPKMDTYAETQSVKKHLIQLEAALKG DNPILCEGGAACGKTSIIQYLAYKNQQPLIIMNLSSFTQISDLIGKVEILPGFKFEFQLG PFAQAVQEGLWILLDEANLASDSILRVIEDVLELGYITIYGSQINSHVDLVDGTLTIKKH QNFKLFLTQNPATDQKFASSRNIFSPSLMSQFITIKFEPISPNDLHLIIDQIISQKQQEI KEQLKQHIPNQQLSSLLMIIYEEIKQKNMDDGLFTIRDIVQIIDLFFLCLEQMKEKVLEI LSFKDCMKLISTQFISLYKNRELINYQIDQNKVLSNANKLFFSTKNQRESEIQNWNSNQP LKFLSFHKYLFQMLTLCYKTKRAALIVGQQYCGKLSSVLLWLKLLNISKYEVLELSSNTT AEDLFGKYQPTQNGFDFILGPVTRCFHQGKILILTNFDAPDCALTESLNGVLEKNFNQLV VQNQKYQRHENFAIIALSSDLEQLEKKITPSLKSRFVSLQIKFRLNLNDITLLLQSIGLT KRNFQFPKIIDQLMTLGNSKDTSNLGKKIELISFNKIIRFLKPIQEIQKFAKSQNNQVLQ QKIFECIDFFLAFCLQDNKSILNDNIKVPINYQELLLNNGRQNNYVITESRKRVADIIAT AINAKVPLVLQGSAGVGKTKLISTFQQTCKLFESTSFHYINMNQNSELNDLMGQFVSTGQ KDKIEFTFKKGPLFLGMEQGGIVLIDEANLSDASILNFLANVAKYPSEFHDPVSDQIIRV HEKFRILFAQNPPSYNGRNLLPDTLASKVIVVNVPNYILEEVLQICTESQPCVQNENQIF IKRGMEYFLTKLIQYPKDGNERQLNINGTQFTLRQFIRFRNRLARTGFSTNEPNWESILQ LHQMILFPKNAYEEYNLESQINKIGQNLFFSIKNQQAQLQIALKLKNKILKKYETLVQNL NSIQRLVFCKIAFCYYFKENILISGETSSKTYLSKLFSELIEFQNPNPFELIYVTSETNI TDLVGSMESHTKSSYHQYCLSLIRRFNQNMNQNEKKTIEDHLQELKQSYDSPEFFDQTIK NIGNQFPFIERGLTFNARFGGVLCLKNISLAEQSVIEGLNALLEIEPHFIVNGKEIKLHN EFILIAIINNTFGGQLSDALQSRFTKIRIQVPDLINPSQEIDISYEKYLINKIPDITQQK NIIQFIKELLISTKQYKELFYQNISNRKFYQWMSFLNLDLEATFQQKLALGFQFVILDKY KVNFEEVITNPELQEHYQQIQNKIQLKIKEKQFFNSQKIIINPITNKILQRIYSAFSTKY IPCLIGPPGVGKSAIAQELANITKKKFCRICCSDSLSAEDLFGSYAPKIENDKVVFVFQQ GCLAQAISSNSLILFDEINLVSPEILSTLQALFNTDEKEITIKDSTFIKEKCIFLCSMNP TTYQGRQELPQCISNLLCEVYIEAFNIDHVIDIFKLKYQKEIIQLQKAGINFNIILDLHK ELALLDQEQQKSNYDFNIRFLENLLSLYSQYFLNQIQKLNNENLKLELIIVCLDIIYVQH FYQTEFSQQVLKIILDKFGLSQDQWKKRRVFLEQQGNVIRISRQIGKDFIPIFDQVLSNQ VIPQLSNNSFVVNLQNSIILEKLFIAINSKKVILCQGDVSSGKTSCVIKMANLLNQRFIL LPIHSDLETDDLLGSFAQVNPNHDEIQVELERLSKKFILRRQVIGQQQKEMRMKYAEGVL KICCKFGYWLILDNINLARAEIVERLNSLGEDQPCLFNNEFGDSNTCIIPHENFRLICLQ NPTRVDQNQLSPAFYNRCIKINFEIDLKHNSNDIIEILTIKGLGQQSIQQDNVVLASNLL KQVIFIKEHTNALINIRNVIKAFNMIQENGFQQYDQIMEIVFGSEFKESKKNPYFPIFDL KSHNVTDNIMDKYTDSILNKLVSNTLKQITDQPKKFLDFINISQFLKANLLEKEIQVYQR FIQGISQQICLVNFVEENQYDLGCYKFQVKGELIKYVGQQTIKIYPTFLSLFIQSKVLKN LLVKSKFVFQEQSILAEARLNSEGQMLVSFQQPNDLFLVPLIKSLIGPSYQQFFKIFKHM LSKSEIECSIYLDQHIELFFNFKLLDYSLSYIPNLQFKNIFGQISLNKENIITQFSLSCD LQLELNQYFIKKGFFSRVHNLNSHRGEISIPPESQIFIFQDYNCFNLFSNINIVQSPKFT SYIDLEERKVEINLECENSIQIYKLPFHIRINRIFVYFLLKEKITLNGNIQCTFQIFDKD FCSLKAQIDKSKLKLQLSQGQHQINCQNFIKQFFLNYFESNNIFIQQFVENQKTIIKKLD LITNTLYWNYEFNFQIDWKMKIFKNIILEMKNMDIYCHIDPQTTNFTICFTIEILKFCFE FYVDFDFLSFFSKQKKKQLTMILKSGKNSPLLKEIIEVFSKELKSKLQNISGFNQQQTKQ FKRKEDSPQLFNADNFRKLGEQLSQQFEEKVHQIHNDLGSKLRIENSQSNLNEKIEKEIK LNYQENSNQKFKLSQESYIIWDDQIELKFSVDLLNQWSVSKYLTLSQCCLNIIAKNQSFD LNLNGFIKIMNMTLNKFQLLLTPNSLLDLNIENEPLIMQINPISFGFGLLDYQFEDLDIF PKLDQIVGFQYNMLSQKFNGEFKVGKQNIKHNLSGLQFENIQLVFGSSDNLKNTFATLEI KVIFFKQEINFYLQFQREQINKPKSLIRQLQFTSYALKNFSFNEFLENSVGITLSQKKLQ FNVENFEFFLKLEKNLSWKHSIILQIQNSQCQILENFVRLQNLNGLILYKNQSWVDSCIS GICFVRDFNLGSFSLTFNKEVNGLEAKFQVNPKFETKEFFLTIHNKQLNFIFFENIGHDE IKVNLGLYNNKTIFELKEEVKIFSWSNISALKLKNIVFKYFVSEEIEIEKNSNFQFEIEI FDDINLRTKITMLPRTT >CAK84532 pep:novel supercontig:GCA_000165425.1:CT868516:1794:2336:-1 gene:GSPATT00039691001 transcript:CAK84532 RIIEETKYQILDYQNVKYNLEQDKNFGEEVTINIYNKPKFELITFYQGGLQDNKYDGKGL IKDWINNYEYDGYWKEGKKDGNGKLKILNTEVLLQNEFSELVVEYSDDYLSKGKSPESED YQIKSYEIEFFWDEALKVHKHDRKYFITFDISKLCKIFGSKLIDQLRSIKNSLSNCRKAR >CAK81361 pep:novel supercontig:GCA_000165425.1:CT868397:116:1485:-1 gene:GSPATT00039445001 transcript:CAK81361 MLRRIDELQEQISHEANLNKRDLYLKELDETTEQLDQQIENISEMGQQLRLITDFVNHIR KGLIRVEGKINEMKEQLNNIGNDIKFLRGKSVEQLFEIRKWKVLKEAAYKNAKSIYVPLE TKEIYNQAIMKKEDKLSILINLEEINDSNAEVNEFLLDEKKTVLLIHGVAGSGKSTTAKK IEEFIWKLHNKNKKIRNQILIPVYISLPTLRDPLFQAVEEALHQDEYGFDELQIKECKEM LDKKVFRFLLIMDSYDEMKLENIQKNLYMNNKVKQNWSDPLVIFTTRSEIFTSSNYAFWF APDNKENLKEIQLQKFNPNQLKEYLKKFTIQSVKMLIFEIYERQTQSSNGQGQDINNFEI SWEILQEKCLSLEFNGEVLLNQKQIEDILSFLKDNQFFSLKSNEALRSLRVQLQKLWSVE KYKKMMENSVNDGNNSISVALNDGESF >CAK81362 pep:novel supercontig:GCA_000165425.1:CT868397:1511:3313:-1 gene:GSPATT00039446001 transcript:CAK81362 MYQEAEKLNKIYNIEIDRIGHGHKLLSYFQNQVNQLYSYYVNKNDELQQHFNDLPLKEII LIKFQLETITSIEDLNKIKLAFFDRNFLKYFEILRQKNLTLQLKLVTTKKSFQMILQKVS REEQRMFLDVLKFEEFLLNVITNVPKRLMRENTNFDLPLHTELQNLQISYEDFKQKLPKQ KGAITYLIIRQKINEKKIDSQRKDLELIEKELGELFIKEPSFLFVDKFQTIFEGLNVDEQ LRVDTFNLPEKEKYKKFLYQLKQIENLRKCQQINNWNSLIIQTETVIEAIETFGQTDKEI DKMLIHEDLIMLKENIYKVFKEEQELIPISQNQIILEREEIRDDQSYRTYLQFMIKIVKL KKLQLLEELEFLNKLLEKFDSFSRKLDKILRYEEEYQNKLYFRFQDCTKECIQRFEESQL INDNVNQKEDENFQQYLERIETQFFRRGNVNVIYQAQIKISDFLNQIVACVKQVEFKYCT STQIESDYLIQQLNTIYLEDIEVLEDEEESDQQLGLSNNLIFKIKDVITNDQWKIKQGLV FTIIQITSSCYSRYHYFFLLESSNLIMGLRKRSKSQKSFKKLTIDKHINVDFVERLVQLT >CAK82848 pep:novel supercontig:GCA_000165425.1:CT868445:522:1000:1 gene:GSPATT00039512001 transcript:CAK82848 MAKQQESNKLRRQIIKHQNIENLEQLQFLNWEGNYGNNNQKIGKWVAYWKGEKLIAGGDY DEFENKIGAWAELDQNFSELFLLLLIQISYCLVFHIGNYKNGIKQGVWQTIQIHSGDGLF DENEKKNGSWTELHNNFNK >CAK82849 pep:novel supercontig:GCA_000165425.1:CT868445:1198:2779:1 gene:GSPATT00039513001 transcript:CAK82849 MIKFVGVRELDTINLISHLQLNWIYVGEYVNGIKKGHWLTILNGTIIGGGNFDMYGMKNG KWIDVINNFNSMNYVAEVGSYFNGIKIQQWDYVYRGQTIGGGIYTDEGLKNGEWIELNDN FKRYIDVLCKGVYHKGIKSGKWITTYKGERIGEGQYNESGYKIGNWIELDQQFREQKQVI YVGEYKNGKKQGQWNIMRFGNIIGGGQYNEEGLKNGKWEDLDSGFCNIKQVTYVGEYNKG IKSGKWEAIRFKSIIGKGEYNQIGQKIGKWIDLEDDYYKLKFISKQMIKSGIYQDGKKQG PWDIYYKGLIIGGGQYDQNGTKKGKWIEPIQNFYRLINLLTQFKGNTSRGNIYSRSKEWK VESTLKKQEFVSNQQLRYYKRGGGVYNQQGLKNGTWIDLDDDYQSYPQQQFYRFRQITYS GQYTNGKQIGKWYISYKGDI >CAK76750 pep:novel supercontig:GCA_000165425.1:CT868246:370:729:-1 gene:GSPATT00039165001 transcript:CAK76750 MIKIYFTMCSCKGVRLITWKLITKRSDIDSIDYSGLLLVYQFSEKKEKGHDKCHQKEKQR ELSRKLIGQRNVSSTRSIQIKVVIIATTQIQPIIEVKMLSDQESVQSQELLRKQRNWEW >CAK76751 pep:novel supercontig:GCA_000165425.1:CT868246:789:1328:-1 gene:GSPATT00039166001 transcript:CAK76751 MSRKRDNSGSRRDCPYQVHQQSTSPQQINYEDSLAAECLIRIFMEKCNMEDAILKMVFIG GSRSRSLVKKAYGLLEGEKGQVQRSDGLLRLRMKEVGINTEVNRKLTKYSIMGATLVTYQ RFGQINFGRRKDSQKILNGNFRNNQFIRMSNQNSKGRTSDPQMGQVP >CAK87022 pep:novel supercontig:GCA_000165425.1:CT868598:1496:1597:1 gene:GSPATT00039786001 transcript:CAK87022 MEISLEWSREVGEFLIRWEQESNSIQIRQYLAN >CAK83117 pep:novel supercontig:GCA_000165425.1:CT868459:3:2072:1 gene:GSPATT00039530001 transcript:CAK83117 RRPQLRQAPHRVILTKSTLNPIQQSQIWGDLNPEYVLQVDQIVNKVDIQNRLTILFTCNN PTKLVNQAFCAIQNLFITAEFYCTKEYRFDLFNYEKGLNPCVPICGDGFVVDEEQCEDGN KDPFDGCFNCQYQCQEHCQHCVQGVCLFDQEGEDDNQKFFENEVVSKEEIYYNDNQITDD SAECQVECLICKDGNCYQCMEGYYLEQITQICYLQIIDQQYTTEIQNNTQMRNIDGSDCQ RECLSCNFGLCYQCMQGYYLDLITLNCYLLIIGCNNIKSYSKEIQKYTTMSICEIDIPYS TLDSIYQDPDYVCINCLTFEYQSCNNKCSFCYQGQCFQCQSGYTLFENLDCLSICGDGLI NKDQTNQELNEDCDNPFDEGCQNCVVQPGYKCIKEDSSLCWTCDSKCLKCVVDEDDQLLC QQCIDGYYGLSSLCYICDDNCITCKDDSALCTSCYRDDCQKCEAIPGLYTDYEIKKCMPQ CGDGIKIQYYEQCDDGNTIDGDGCDSECNFEFAQEQYSIGIKRLNGASFNDLSIIQDSYI QLNCQKTTVSIEGFDKEQFIYNSTSSDIGCKIQFQFFKSIYKTNLIHIYIQFQEVYTRIL EEQLQQYKEIQVDPIEQIILDDSQQSQADAISNAQSSLSLLILILAPLSILFGLFDYLSG SPGNPELDKQFLLFQCQFSIQCLGLIFEQ >CAK83118 pep:novel supercontig:GCA_000165425.1:CT868459:2185:2631:1 gene:GSPATT00039531001 transcript:CAK83118 MNNAQVPAFFIFTSIILYFVGLLIEFFFKAIDKIFEKKVPLHNHTTFSMQKQQDVQLHHQ NQSSYLVKSNKYTQYLVQKLKMVTQSFQSRIKQTVTLCLLDLTMAITLQLIFGKQYYHSM ILINTILALLFCLLIIFQLRQSYYVIGIH >CAK77670 pep:novel supercontig:GCA_000165425.1:CT868276:36:263:-1 gene:GSPATT00039223001 transcript:CAK77670 MNAFTVVQNLVEAVELTLFINNHPGVAQDDFEIETQKRFKFLEVLQQFTSFEGITTLSSS QDQFVCIQVAIANPY >CAK78299 pep:novel supercontig:GCA_000165425.1:CT868305:376:4945:1 gene:GSPATT00039282001 transcript:CAK78299 MNCEKEQVVDLYEVLSLSKDVDEGIFPILIKILKREKIQDCLEFLSQDLNLRRVEQVILQ AKNQSLFGKEQIVNSGENNMKRIKNVLKKIKDHEFNKKNLSLDEEEELKKEIDHKNIMWI RILQNFQNFCQIQCGSNSLHLLVQMKVDLREQSFENIRIRNTSLLGANLVRCDLSGSEFN NVIISGMNLNSAKLFNCKWRNLGINEEIKLYGHNRKVNQVCFSPDGKSLFSCSDDNSIRL WDFKTGKIKSLFKGKMEVKSVCFSLNGTALAASCGKFVYIWNLKTVKQIQKLIGHAAVIN TVCFSRNGALLASCSDKFVYLQNMKIGKQMLKLDGHSXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXMVIVVESNLYVSLLMELHQHLEVGIALFMQGQTKGSQQCC SISLLFKKGATLATGGDDNSIRLWDVQEQEAKAKLDGHSSAVYSVCFSPNGETLASGSYD KSIRLWNVSTGQQKAILNGHLFAVYSVCFSPNGDTLASGSGDKSICLWDVRTGHQTKILD GHLNNVYSVCYSPNGTILASGGGNHFGGGDCSIRLWCVKTGQQSAQLDGHSGTVYTVCFS HDGTTLASGSHDNCIRLWDIKSGLEKSKFNKHTSIVFSVCFSSDLKTLASGSWDNSILLW DFKTEHQKAILDGHTYIVNSVCFSPDGTTLASSSGDNSIRLWNVKTGQYKAKLDGHTSTI CQVCFSPDGTILASGSWDNTIRLWNVQDKQQTAKLDGHIGTIHSVCFSPDGSKLASCSWD RTIILWNVNTRQQMTQLSGHSETIYSVCFSPNGETLASGSQDKSIRLWEVSTGQQKVKLD GHTYVINSVCFSPNGTTLASAGGNPYGLGDFIIRLWDIRNEKCKILLRGHINCINSICFS YDGTILISGSDDNTIRVWDVETGKQTAKLDGHRNSVMSVCLSSDGTTLASGSLDHLIYLW DIKTEKQIAKFDGHTYAVNSVCFSPNGTTLASSNLDNSISLWDINTGQLNAKLHGHTNTV CSICFSPDGNTLASVSYDQSIRLWDIKTKTEIINTAIRYQELLTNFKIPLQNTQLLQNVK IDNTIKRISQNSLLEAQGTLILRGEFLNFQGQDLRSYFKQKGSLFLEDQIKQYSQKI >CAK82859 pep:novel supercontig:GCA_000165425.1:CT868452:1475:9262:1 gene:GSPATT00017216001 transcript:CAK82859 MPIFKLKNQRIQFTQQNENIKPSQGGEQNDISQDKDTTQKKKDDLIQNCSQEILNQDFDT NLTTEQQFVIQLLFQNPQREFYNEKKKDLALQFILQKSDDIEIYINELISQEQNLSYGEI VLFLELLNPKFKQLIRNIIKLYKINQSFKLFRALMCLNDYFIENYNLEGKQQEFSFEQSY SFSKELINELLLLNEEELLSLKILFGRNKFQMLFVATTKTQNNYMNILQKLVPMCPGKYN VKIQTFRALFFCLRPWLLNYLLSLNIKQYITPSVFKRTLIIIFTIMVKKDEQISKFILSN LNHIFDQPCYDFDQIWKAKKRQIIDKFNFKLNLINFFISNDLYENLQEECRSLFQEDPQF YKEEVGKVNYFECIQLNSVYLLQKNIFDQCPLDGGFTLEDFNISLTVITSQQEGRIVQDW MDNLKDTVKFKALIPFFFNIIKRGESPKKLLSIITTHRSQNKETLKIIRNMKALFQLFYN NSNEELKVMLLKLYSNMYPIPLIFQNPYLQTAQEEIDLFKFNDKLYYVFKKSFTIINFSL SEKQAQIGKTELINQLFYQQEKFETQDICQLNNNTIDIMFDTQFNGSRNFSVADAHGLIP IEILVKILPLFRMWIIQFDSESELIENYNQLNLIKNNLDLQNHKVCFIIRNYKGELEENT INNEYTAILEQIKKKEQNFIKQLIQLREVWINIKKSQNYSSPKLFFFQRLMMMNNHYFII KDFDTKEKQISHQYFIDRDIIMDLENELSRLILKPFGFYDQEAFPIRQIEYQLKHLRERQ SKIMQQENALNKTESYIKINQKGVDNNQNSIEIEKIKQQLINLEDSIKNSQLSKLLMIFC KIFDQNSNYILYLQFTDQIRKFNERNTYELQEKNQQRSNQAKKKMKVIKKLNLLQITMEY LKQELKKNLEDIAYRNIGIEMFWRELIAINQRSLNNTWIDPAEKAYEMIKKGEPFELLDG DSLKINEKFLEQLKNRFTNVGKEKVLVLSVLGPQSSGKSTILNKIFGCHFWTSVGRCTKG INLNLLKIQFKEQFNYLFDYILILDSEGLQNPNQVDPEFDKKIALFVLAMSDIILINVKG DIHQQFKNLVEMCIFTLVSMKSNLSSIKLLSWCFNQNNDANNYAPFLNQIQGIANNLNLE CHNEQDVKNQAIDYNEFLNISKDNIQILGFACIEKLWRKNLSLGLTKDWRQLIINETYSE EAYLYGIRMIRDFIKKFEYQTDTPQMQSLSLFIQNINTNWQTICNLPDLLEFAELIQYKQ DQLMKSKFEQIYNQESFSFKNEIRIEIHDRVRSSNVKNLALFYQIYDEKNEDLRIRFHQI EQDIQQQLTLFKYDKQIQKKIYLKYIKQLNQRINSSLKDSEVMVFEEIKNIEREYQNIKG FKLLDDFILEISKDPAQLTKLKENDDQIVIEFNKLWGEITSESEQQQNEILKEYSTKQYQ CISSSFNEYRLTTDNEKNYISLFLEKINNHSPFQKDLDERIQIYEIFENELHNQVQFRPI VNSQETMRYSEIFNQNLKVRMNKPKTKYDVMDIKNFYLFQMTIYYVQQANLNDYIYKEKK KDEKRNKEKCTGKEKEGLIGDLIKILEQTQSSQQLIRVRQCQDSYQNAAQQNNQNISYNL RKTAPIFNQFDQDQDQNAVNQGSYQNTSHQGEYQKLNSQISKLILLLDFFNIEYDQELKK KLVETIQDPSKIKNFFRCTNYNDNVIKAFKKFLKSCKQVKNQQQPSLDYTKDTYLKNIKD FNKGLTLDSKQVSFSTEKEVQEYIQNFEHIIIFRELKITPFSKRYQQLESSLKYIQTKAT SCQDNFRVNFIKSFSTEMFEENQIQLQKDNCYKNGFSKFEKINSWQMMYFSIYDVIKSEM TKSHQKTNKQDNSDSEEISQQNTSLIKIIMSKIEQEIINYNKSFANFGIILIGMGERCIY YYSMLIIWRFTCFKKGKGLQESTQKFKNLKQNQLQKFIADIKQNKTEQSKLKAKSFICNL YQSHIQRFYMENQANFCTDIKNKNQMTSTQLIKLLDKTILEDYQEDINLKKYTDQQIVEY ITDQTKFIENYVKNKVDQIEAEIKDQYENNLRTFLLKILGNVNSNVQILRQHTEFQNAPV KAKEYFVQNENDSNNDEFYESKLFELIIQCLLGVSQNKSKFQVSKEYEEIFDIKTYQKLE FNIFDQSQFQESEQQIQLLQPFVLELSNQLDIHILKAKKETLSLGKFNGDKELQTIKLNM IGCRHTCPMCKRKCDQSYSNDHKHKCSNGHQLRGMNGVLIDDTPSLFTCDEIDDECLIIT LGTEETKKWKDIRKIYDDWIFKGLDEIEQQTIKEKMMKVWNQGTGKMVCEQLKIKLKRQD IKFLQKRQFKEVINSPKIHYIFMIDDSGSMSGSPWNTAKNCCLNCLSTIEKNLNARVSVI IFNSTARIAINCEIVNLVEMEKKIQFNSGSTDFGSAFQQAYKLIVQHQNDAFQKTEVLFY TDGGAAYPKEQVKLFTEIPDHQKARIFIHCCTEEANATSLQMIVNEMNRSLIKSELKQKF QVAELQKTWAEVVSREYHNLKG >CAK82860 pep:novel supercontig:GCA_000165425.1:CT868452:10186:11843:1 gene:GSPATT00017217001 transcript:CAK82860 MSDIDQWIETLKNGEILKETDVKILCNKAKDILNNEDNTVKQITIQICGDIHGQFQDLME LFKVGGDVPETNYLFLGDFVDRGYNSVETFLLLLALKVRYPDQITLIRGNHESRQITQVY GFYDECLRKYSTLNVWKYCTEVFDYLALAAVVNDNIFCIYGGLSPYIKTIDEIRIINRKQ EVPHEGVMCDLMWSDPDEIEGWSQSARGAGLVFGADVVKEFNRRNGIYLICRAYQLAMEG FKLMFDKAVAEKFSTSKALFTKHQPIIQCNHYYKHYQNLFHIIYKQLINKSLNLDIWVCN LYYQDKIKQHQPICWLQITQVSYRCIILLDQYQYKQYSGQMLIQHLYNLHNMFYFYYNWK RTVNEYEVHYANYNQIYWKVDKNTSSSRIRNILLFYLIISIFMKRLLAIYENYYQGSIQQ NKVAMVQNQSLCRICDMLDRIHNRLGNCYRVFQKAAALQQQEKICFTFNCQSTQAIIMLI QIVALKKQLILLQHEFELCKHNASMHFKSESNHFLYQS >CAK82861 pep:novel supercontig:GCA_000165425.1:CT868452:12527:13171:-1 gene:GSPATT00017218001 transcript:CAK82861 MFHFKNSQFNEIFIMWLVNIDVNILDLQITLQTIRKNVLNQTNNIVMEVQKRHNSYKICS RNILICKLPEVSKVVQVLLQELNNNSKNYCFRFCNLVGKNIMIQQKLFRQFTRTLSKSNS ELLIFNRKYKHDIGNLSFTVLFIININQMQKKQSQLNNHKQLLFDFDGDNFCKQVVFRAH LAEIKAYIQAGDI >CAK82862 pep:novel supercontig:GCA_000165425.1:CT868452:14873:16129:-1 gene:GSPATT00017219001 transcript:CAK82862 MTSSVIHLHIGGAGVMIGDALWKLYEKEESDTNVKSYIYNENENNNYPLALFVDSDEQMI NKVKKNQSIKYKSTSFVSGKEDASNNFCRAFNAVGKQLIEQSLDQLRKQIESIDRLDQFI ITSALSGGTGSGFAALLLERISKEYGRKTQKNAFLVYPSQEMSNNIVDLYNVIYSIDLTL EDCHSVVMLDNQSMYESIDSQFGLDFVDYTLLNNLISQLISSYTGLRRFSQIDNKKLLSG LCSYDRIHYITPSYGPLATINDQIKYELNEKQLISYITKPEQRLFQNKIKPYHLSAALVH RSKGENQFFGQSDQAFQKMKIRYQESPYVLQCISQNYTVIPELAQLKQTGVFLSNDLSML NYLEIILKKFYKVFDKRAFLHWFTGEGGGFGWVQECMSKFEYLIADYKEVQIKDNDID >CAK82863 pep:novel supercontig:GCA_000165425.1:CT868452:16187:16744:-1 gene:GSPATT00017220001 transcript:CAK82863 MMYTFLILQFTFQKSKYLMLESFSLHQFILVNLIKIHYIKVIKSLYSILLRQKRCWYNQN GLRYHLRIESQERKIMNVQQFGGTHQQHLLNYAMMEISFLEMDAIYAAIVVKVNAQLVQF EFVLYVLLVIKALMEDIKNNTFNHFLLFKKNDINSNARYNHFIKTIRTIIIQTEQIQKWL CKMNG >CAK82864 pep:novel supercontig:GCA_000165425.1:CT868452:17272:18838:-1 gene:GSPATT00017221001 transcript:CAK82864 MDNYIKLKEKLLFKKPVSDNAENRYWNSFNQVLEKQHNQSIKAISASNNIKQRIAVASGN TVEIFSTNKNQITQTLSRFKTQVLSLQLRCDSQLLATSHEDGLVNIMNLKTKTSLRQFKN FKKPVHSVSFNNTDQIYAAGDDGTIKLFDIPNDIVIRSIPNAHNDFIRSIAGYEDRQLLS SSYDKFIKLYDLRSSSNDPIRSYLTNHPIESILILPNKLNFISAQDQFTTLWDFRKENLI QELHTNQKTITSLTLTQYHDQTRIITGSLDHQIKFIRTDIFNVTHQLKWKSPVMALDASL DGFNIAIGGNDGQLQINQLKPSKNDEDIEEEEDYIPEYLKSNPHLQRRIKNYKFYNRGIY SKLSDYDYKVPTIQNQKFSGYDKLLVSFKYKEAIVKAFKEKKTSIILSLVEELSERGALE VALKDIDDEELQMILQFILQKMSNPKYSGSMLHVLDILVGILLSSILDIYNIGDIYDQVR EELQIQREMTILKGMILI >CAK82865 pep:novel supercontig:GCA_000165425.1:CT868452:19066:19656:1 gene:GSPATT00017222001 transcript:CAK82865 MDQNYIDKQVKQGKVLVVINKLVYDLTDFKTRHPGGFKILEKYNGYDVTRQFEVVIRHSE KAKEMMKEFFIGSFQDRRQKVSWDHIRSNQEKLYIVISNNLYDCTEFADNHPGGKEILQL YKNQNATEAFKRLGHSQEAREKMDLYKIGELEHKKAEGNSQRWLLFFIGLVIAYIYKSIA Y >CAK82866 pep:novel supercontig:GCA_000165425.1:CT868452:19720:20658:1 gene:GSPATT00017223001 transcript:CAK82866 MNERRDETTIPVAMEYDKKKDEIEKKLNSLFEADKAAEEQLYQVQQILAIQGAVLETASE SSLRILAIQYFITSLLTMFILQLNRFGWILTWLPFLEQFVGTIYIITLLLAEFCPSAVSK LPYNFLIFICHSCSKILLLVFISLWYSEYKCELITLALGISVLYLIYLIKSSVGIFNHEI QAEKQYQGMKVKKQFCNTFVMVAFISGVLCLLTRSHLIIVAVLACFGLIYICFLQLALQR FEQMEFIFENSNDIYLGPAILDADLLFFCKLCFFHCFSKKNNSNPKIKEEESDQNED >CAK82867 pep:novel supercontig:GCA_000165425.1:CT868452:20721:21743:-1 gene:GSPATT00017224001 transcript:CAK82867 MQKFICSCPGKVLLAGGYAILNPNNHGVSIAINARFRTEKLKLQENNQIIFRSEQFSQEI KYSLNQIAKSENIYFDAIYQIIFQNQLATDSWLIETKPDSAFYSQNQKNKITKLGLGSSA GLIVSSLGQFINDNEKLYQLALQANLIAQENKGSGFDISTAIYGSQLFSKNEVIPLDGLD KNYQILLIDLKQGQSTIKGINTIQKCLSENQKYYKYFLETSEKCVMELCYLLKEKKLVRD QFLKLNQEYRSLLRELSQLANYDIEPKISTEIIDFSIAQPSVLWGICPGAGGYDAIALLC KDVTEKEIKSINEFLLTKGYENAVHMFYIDHGIQRDIINI >CAK82868 pep:novel supercontig:GCA_000165425.1:CT868452:21784:22986:1 gene:GSPATT00017225001 transcript:CAK82868 MFGPQTNDLKEQEEGQNQKSLLPSGYQSPKLNLTSRLRVYMSQYERQIIRSLLSSNIQKI PLENSNTYLVNYDSEVSIFKIQGDQIKRSLQPSTFASFVKIYSNTMLPKRIKSYLIDRNN IIYQYEIDYMKGEIPSFRNYKHEINTALSLESYIFLSCIVSQDGFLLVMVSDTKIFFLNL RDGVYHEIKNPLNINQFPLEFNFDKKVHLELDPTKTCFIMAHESTVVIYNNFDKIKQFEL KKQQTILNIKLFGNQLIILTNLAIIKIDNVFNKYIQLKEEDYFYLQNFEQQENQESYINY GTFEIENQEIKSVIIYDSNKKFYLIEMQSIQQGQLIIKELKTQFDEDEKIEMIEVERITL QSIVLRIFYFKKNHELGYFEYQGSL >CAK82869 pep:novel supercontig:GCA_000165425.1:CT868452:23012:23606:-1 gene:GSPATT00017226001 transcript:CAK82869 MSRQAPQSAQKNDKKAPPPPPPQKGPKPFNAEDYAKPPHLTKEEVLEVKQAFDIFDNDGS GSIDPQELREAFEASGIKTYHNKFIYQVLGELDTDNSGGIDFEEFLHLATAKVSDKDTRE QIQKVFNLYDWNKEGRVTWDELKRVAQDLGEEMTDEEIQHMFKKADLDDDGFVTFDDFYN LMTQKEYGKQ >CAK82870 pep:novel supercontig:GCA_000165425.1:CT868452:23660:24611:-1 gene:GSPATT00017227001 transcript:CAK82870 MNTNLTQDDDSIEFQKAVTSPYKCPQHLLIINDSLFLSSLREYEEPEETFLIVSEIQQDI QIVISSKFVKRIRYTISNPYNTDLILSSLRRAQQFNDDNLSQVHQYVIQNKTTIQIYTSY ANQYNYLQERVQTESRILSNEIKLRSYLYSILEGLKYIHQNSCVHMCIILQNIECHKEEY RQLKISRFETLQEIKTKINPDLRKYLMKTLPHIPPELGDLNYLVQPSFDIFCLGVVIFQM CTCQLPEDNSRTNRLILKKFVSKELANMILLMLDAQADNRPTATTLIDNQWFNI >CAK82871 pep:novel supercontig:GCA_000165425.1:CT868452:24729:25744:1 gene:GSPATT00017228001 transcript:CAK82871 MEKLQSIPNYEIVRIIGSGAFGYVFEAFDQQRRQKVALKRMQKVGKLQSRECEILMQLKQ CSYVVKMLDVFYSRSEDNKMIQNIILEFMDQNLEDIIGDHRKRKTYLESKELKNYLYQML KGLDQIHRKHIAHRDLKPENILVKDGKIKLCDFGSAKQLNPSTINTPYIVSRFYRAPELL LGVTEYDVSIDIWAIGCIMAELALLEPLFIGKSEGDQLFQILRILGSFSKSDLKYYQKVV PFDVKLFKEFPKYEPIDLEEKFEHVDDKDLFIDLLSKLLKYIPEERITASQALKHQYFSE ILDQ >CAK82872 pep:novel supercontig:GCA_000165425.1:CT868452:25909:26332:-1 gene:GSPATT00017229001 transcript:CAK82872 MDQEQKKNNLMNIYQEAKKIENKLKVQNLYSLKSILNELSKQQKKTPSTSLHTTASKRNQ ESKLKISNLASSPKTSYRSTLQLTKRNQWIDLINKQYQQYIQSDQIAITEYRYNYYSSQN NLINEYNFNF >CAK82873 pep:novel supercontig:GCA_000165425.1:CT868452:26373:29750:-1 gene:GSPATT00017230001 transcript:CAK82873 MNYANPFSLTSQTSFLSPKEQRSRLTSFLSQNHSIRSNKSVSPKMHFIPQQKMLRTEQIS PKSQFVPKNDNKQYQKRTTGRTKYVSEHTSTPYLYIQKKKHEFRIPLLPKLSPEPKIESP RIPFINIMTEPTITIEEQGEQERSNFEQRFEQNQDQQLLLNKSNNLLQVSQLSNNQDDQL SNSKLSIRSRFKKAVQNSFHSPARKSLFNVIISHEFNVLQEIERPQIQKRFEPGNQILAL KLQMKTIKNMKKKENYIKDVHLKKGFGLINVEQFNVSTKAFQRKINLIHQTSSRSLMHQE QFSLLPKSQRKSITSVCSQTQNNVLIVSQDKHAQFIKQRSKEVDKVKEYEKTKFNVTISY ENVEKMTFQFNRPQRLNQFKDTTEDITPLKPPQSPRQIVPLSLISSKNMQSSRKLDQVSS SRNLGANRFSRLSIQSSVFSEEATNSADLKFDFSKPNLYVRVYYQNKLYKMMQKTNYTKQ NLQEVEDNFLPKVALTSSTILTNIYTVMSTSEQGIRQRKVTNENLNDKIQFSGIQFPKYS LEKETTIFKYDEYFNESATLSSQESDDSVSLDNFLESPANNKPVIKKNRTLKSEKALKDK NQTQEQAQTYFIKDDPIDEKQLSTGALILIKKIRMAFQMPINLQPILSVLEQQTKNHLLT QHVKVCDHFEMGVLTDKHQAVFEKMIIYQRSSILNKVICCAIEFKFPNILVGRYNCQTKM IDVEHQEPISNTAILEKQESKYEKIENQQLLQTQIKNSIRRHSKELKESINKRQTINSKK QIQQGLTKQDSLQVTQPQQWNRRAMQTGELTNPLTITIQQTNQQNSNLFTQTSTVLITKP TLVSQQSVFRVSSSKHIDLNSPQQDLSSSFHSSQNEESRRSSKIDQIQHQQSSQTQYTQP PQQQISQLKMQSQQEDSFESMQEQEDKYHLLQEGKNALSMYMNSLRMRTQIKESQRRQKL DTNQLIKLAIFNNNFIEFMDNIQLIPEMQIDAPLQDGNTFLILAAQCGCREIVHELVRRG ADINIQNDDGNTAVHLALAHGHYRIADMLMEAGGSTHIFNKHGQNAWSVL >CAK82874 pep:novel supercontig:GCA_000165425.1:CT868452:29766:31596:1 gene:GSPATT00017231001 transcript:CAK82874 MQLQKSKIKPPFLLDGIAAANKGKVLSYRPEKDVHLGQFFYNFHMHQMMSRFKQDRLSQR NLPHSLSKSFDQTINQSKGNIKSGLHKASSPSHKSFVKAPNNTQISEISRSLSKEHFVTF LDSLITTVQNVQQLVITYLPKEQIEGAIIKQQKELKKINQATQLLTKQLRANSLTQRKEV ENVKTQKNFSKLPQIKKVDISMNSQTERGREQSTRYRKTPGATVAILTQKTLERHNQKTQ EEYENNGFEPYESNQDAQIKQQLPSPNECSENNNQSLKSSQQNKQVQIGLLPSLRAQQQQ QPQKKYQKEIQLVLSKPKQHKLENSSNRTSQSPIQLKCRSVGIREAPPIKNQQQSLKPEF KKISSVKMENMERQKSKIQSNSKAKINGNGRKENIPIQIKIIDVDAQIEKTELNSKTAKT NTQVPVEIVEEVVQNFTNESGLFQTQTETEQNKVEQEKIQLQGNTFFQIQEEEEHKESID KLDESNDFKKESNKILNEKQDDNNFIKMIQEQVKQNDERLLKQQQTDQSNNLLESMNQNN MLKVVESIEAKDEDNIVNPLDDSEDQKKNEQPNSLFVTLEPTEQKNQQEAYQNEGFEQDS >CAK82875 pep:novel supercontig:GCA_000165425.1:CT868452:31739:32488:1 gene:GSPATT00017232001 transcript:CAK82875 MKSNQYTLISIEGNIGSGKSTLLKLMQQKYPDLRFIPEPVNEWQCINGDPSLNLLGSFYE DSTRWAYTMQVYAFYSRLKHWKEVLSDPINPEERHLILSERSIEADKEIFAVNGHKNGLI NKLEFALYEKFYDWLCEEVFGKKIQKQMIIYLQVDPEVCHQRMQKRARDEEKNTISKEYL TQIHNRHEEWLLRETHKNTSILVLNGDQEFETDLKQQQQMFEGIDNFLKGIL >CAK82876 pep:novel supercontig:GCA_000165425.1:CT868452:32566:34561:-1 gene:GSPATT00017233001 transcript:CAK82876 MGNHQQSAKQRNSNLSMVSYGRSVSTAPTNESGMLRFTTDGSMSSSMFGGVETYFNRLPN PVVDGICKFLTINDLTNLYCTSKFVYERFYTSLPYSIKCGDLLYKLYEDRRGIVKFATET KHASWPQVLEVFMHNKYNFVFMSLIRKQMKNLPQNLRESLQQAYQQHIIYPPLLMDEVTK EGLNSEFQKQIMQKLQSCQECQSITEIQILNQTRGFFKKSQNSRQQMITKMLEIRTLREF QVDQKLPQLFNIIIIIASTIKSLLQIVALTLECSAATNDSIGQLDFYLYNFQMYLIWMQQ IDQHVTPYLHLFDIILKEQVPSYHFPPLTIQWMMMKMWNQCIYSKCKHPLRSIFLQQLYA VRMNPTLKYEQYLLKDYVKSLIDLSTTQSNVRMAGHSKFQYIKDLESLFIILIEQTGNLY QEHNISFSKDINVLGYIFQKHILENKLIPHLLEQKYAKIQTHIEQIKQSAEFSRRIQKYD DDNQFITQQTDYSFQLLNINKVFYKIKSIINCEPLLSQTIRLTINYQKNTYDKLSTYIKD YHADLYEEISKLADLDVVSTESVQIRDAMILYRTQSETFEEHLISYDELIDVESLKQLIK QKSASNSKKNSLLLKKTKSEPPKLHQQPDLNNFLPITIVTGLNLAQSLTEQFISQQ >CAK82877 pep:novel supercontig:GCA_000165425.1:CT868452:34591:36111:1 gene:GSPATT00017234001 transcript:CAK82877 MIRFATRLVRQFSSKIDEIIDIGKPSLSKGVLTICPTPIGNLQDWTPRQDKALFEADVIA CEDTRITGFLIKMIRNKKLSNEQLPIPENPKDYDLDDDDFTIQDVIFDSTKQLQVKLPEL NQQEITAADFNFYKQKKIEEMKERVTQEVKKQKEYLSEQDPLHFMGKQEYEEEEMNPSEY EVYGLTAPFMVYLKSKIAVAKKRKGRGVLISCHKFNEEKRIDRIIAMLKMGLNITLVCDA GTPAISDPGYQLVNKCIERNVKIDVIPGASAISVALSTCGFPTDNFTFLGFLSKEQHDRD ENLRFFLKSPRTLVLFESPSRVHQTLLTIEKIFGETQELWIGFELTKKFEKKIRGKCREV YEMLTDPKVIRPSHLKGEVTIIIAPYTATYNDELRAEQFSTGNKQNEFYQEEEESIHNLI KNVDALQVAQAFGEKFRGSDREFNDVLQKALRISKTKATKLIVEVRHMQRIEQNLKKIRD RLGEREEYFK >CAK82878 pep:novel supercontig:GCA_000165425.1:CT868452:36164:37233:-1 gene:GSPATT00017235001 transcript:CAK82878 MSIKSKIVTQVEDGQAEHLAKLLYPPYQTYFHQAKVAHSKQTLLSSLQQVGMNVQEYQDK PVQQIMQECEQKLKKTYTVKVVGIKDGELAVISKRFALPKKKSMKQISNKEDPIFQLSQQ PYQIHSQFPNKQLKAVKKVKDPQDEEFKKRLLNWQAEQFEKMKGKPQAEDTSDEDQNQES QSLPQSSNMKNKKSLPRIKLQKIRRSESPKNENPEKTGDTQEVYAVFHNQNQNQKMSYSR LLNHVNDKQKKNDKTNQSPPLTNQLVVVNINKKPPEPPKLGGIIMELDEEHENYQSDKKQ HDEQQQHQTQQSSSLQITQFVLNDTDAMQKRLKKIQEKLHHSEPEGLF >CAK82879 pep:novel supercontig:GCA_000165425.1:CT868452:37233:37716:-1 gene:GSPATT00017236001 transcript:CAK82879 MIATEQFDCLPVEEVSSMLGSIQMKEYPIFDVQKGVLTKKKVKYHNYDDEEMEVKFECEQ SQYCTVNDKVVKIPPKGLDFLRIRILVPSNCQEHQISLTVDAHDNQTGLIKQKIIFKLNV VNP >CAK82880 pep:novel supercontig:GCA_000165425.1:CT868452:37953:38691:1 gene:GSPATT00017237001 transcript:CAK82880 MNDLNIYNILNYENYDQLVQLFTENGACQFYSSIYLHSLDITLNKEEPIKYLNKKNQNQF GIIKEIVCLNLKNKNQLPLIKISVLQTSQFVSQYVSTKIADWLESRELFSCQDTKWICWS GTKQTFLILEIQGKILLVKHEEIEDHVKSDKEAYFMRASFNHYTKQFNPPYDQWKRSFCI CGNPDNNEKGFVKCDICKVWYHTDCEGITLQQFDRLNKNTRLPYSCNKCKIVKKKKR >CAK82881 pep:novel supercontig:GCA_000165425.1:CT868452:38908:39286:-1 gene:GSPATT00017238001 transcript:CAK82881 MDSNLYVKLSPQGTQKHKELESKCLMKFIYWVAVANISGALGGQLMMYIPMSSKQKQMRF RNGVFISTALLLSYHGYKLSKRDLRIGQKEIIQNKDYVLSPS >CAK82882 pep:novel supercontig:GCA_000165425.1:CT868452:39338:40799:-1 gene:GSPATT00017239001 transcript:CAK82882 MENREIEDSSKLKHPGKSHKSTATQAYFNFIKSNLGIGVIVLPVVTYQVGLLWSIFLFVP IAFSCVKSSQFMIEIADDLNTDNILYADIIKLTLGSLWAHILDIAIILQLIGLCIAYLIF LTESLAQSLHQISIEMTKLQCLLITLIIVIPLSFVRKIHFFHSTSKYGFYAALASFCIIL YDCQGRLSLIDNFQFSNLINIKNTFNYVGVAILCCEGIFTVLPIRDSMKNKFEFKSVASK SLMTAFCISIFLSLITSSTYQQETQSIVLFSIQNPILEVVSLILYSISLLLTFPLQLFPA VQIVETMLQKNMFEYISFHDIEQNSNEGSPTEKIDKSTCDKIENLTCEKDDLVFEDRLLQ SSIRSMLMLTIYFIAYYVPHLSHFLNLIGSIFGSLLQFCFPVLVHIIYFKNSKSTKPVIQ YTIILIVSILAIVLGTAESLKHLL >CAK82883 pep:novel supercontig:GCA_000165425.1:CT868452:40961:41716:1 gene:GSPATT00017240001 transcript:CAK82883 MQNQSLDEVLKQNQELKELLLKLNQDKQMFEKKVQRKTQELQKLKQSYEFLSDKIMTQKH LLGNVEAEQDQLLQLIVEQMISMLGQKQEGTSNSPCTNDICKVMQVSLQQNQEQQKQDFL YYSKKIRQNEEVLQEIKQKYENQIKELNEQNQILKHKLDFLIGKTVYYHEAILDLNQQVS EVHYLRISLQENQKKVEDDLMAKSQQKIAQLEVRNNFLIDQVKSMEQELVMVEKLVTNIE QQTMQTKKRGK >CAK82884 pep:novel supercontig:GCA_000165425.1:CT868452:41839:45947:1 gene:GSPATT00017241001 transcript:CAK82884 MEDQWFLNGKKVIKQQMLSKSSHQIINGVSITLEASFGCLQNPIRKNCMFVNDDTLMFIS GKHIVLYDIIRKRQTYIMKSAEDEVVQALSFHVSNGQKLFIAIAFKSTSKILPTVKVYNR KKKRFYQCVHSHLLPNSNIHEILFINDGKHLISLSTQEDGYGMSLFAISKEVSLSYTLIK QKINMLEPISDFEFFLIGPQFLTSYKYQADISGLEIQNNLISILELQQDESIVGVCYNTE RKYTIVATSKNNLIMIKGDKRVGQFELQTQQKESNHLLQQINKDKNKPTEQEKLANVKIS TIAKTIKGFIIGLIGKPALQVYEIEQDGSEKEQYVLNLVGTYFIKDEHIYGIHQIHLASD EMYAALTVIYFNRSAYQVALGSQQALCNIVSCEQILENYQGKLELFTFNLAIVEALKSVQ KDPFEPLFEKGVHKGTIFNIATTPMRSIIASVCDDKNIKFWDYSNDFKEMFSHQFHETPL SVAIHPLSYQCAIGFKDGLRFYFILDDDLKLVHNETTKVCNALTYSEGGHILAAANGNQI QLYNPLTYKMINVLPNHQTNLKDLLFIDRDNLLISQCQFGILYVWNLLSGERILEHAQKQ NKYQSLCYDFEYDLVVGVSDQKLKVYHEKGQNMVLEVDTSPTQFSAICISHRYNAIFFGT TQGSIRVYSYPFYHFNPKMMESIEIPVHQLAVTAIKVSPDNSYLISSSIDGSIFFSKIKQ FINGEEITQLDLITRGDIENKITNTFALNSLCLCSTTAQEIRQEQLKELEYRLQNFKSDI DDAKEVQLNNNDYKLKKIRDEHVKEVQKVQDQLSQTIQQSDAKNNKIKEDKKNLIATSKK TIEEMNEQNSKKLLSIYDIRDKLNEKLQNIIKQQDEERRLVNQEYQQSISQVDDEYCQKY QDLFNRFSQAMSNMKLDQRKFKEVLQQSEKDYETFYKESQQSLKAKLEEFNTQTEILRSS ISRFKKEIVRYQNRKEILHNLKKETDESLQSLRQELKGYEEKHKMMLADLREKEKLINQR EQQIKDFRMKNVHLQNFQKVYDYRVNTLKDEREPLMDHLKNMEKHVKNLYNELIEESGLK QSRIEEERKLITDLNIFKNQLKSALTVLSLSKRNMDNFKSSIQTLINSDTGDWPEKLEEL YHMVQEENSKAITIKNPVFQETLKDMQKDPLQQQIDQSQQNAIYKALSSQKQYLQQSLSE VEASVKYQLGQRVVAHDTIQDQNKHLIKQCKELREIKQELKSKLDGMNKEYREKKRELNS QGIEIPEENEDDNQFGKTYATTFTSVTRTPGTKSQQNFRTKIIRNIG >CAK82885 pep:novel supercontig:GCA_000165425.1:CT868452:46835:47124:1 gene:GSPATT00017242001 transcript:CAK82885 MGCSASKKFTRKGTGFDDYTQKLDSDDQTNLGMIAFKSLEKKHMVVNLEMLSKTCSPEHK CRLGEIPEDI >CAK82886 pep:novel supercontig:GCA_000165425.1:CT868452:48163:49471:1 gene:GSPATT00017243001 transcript:CAK82886 MEVAKKLKLQSQRFHEKEMNWKVQEQIEEIKLKDRGHLRLKEWTKRNHYEKSMKDLRKQY EKYFTIKRVDGRSLTCEQFVNQFEIPDIPCIIANTVDDWNVEKYWTFEKLYQLYKETSFK VGEDDKGKKLRMPFKNFLDYLVYNKDDSPLYLFESSLEDMKDGGADIIGRFKVHKYFSED LLALVGEKHRPPYRWFLVGYPQRSGTTVHIDPLMTSAWNTSLQGHKLWVLFPPDIPKCVV KAKGLAAKRLIDPVDLDESIDYFMHALPKLIEQEGADNLKIIMGIQGPGDTIFVPGGWWH AVLNLDNTIAITQNFMSSNNFDKTWRSVRDERPKFSKRLLQVFQEQRSDLYERALKLDEI DKNQLRVLRLANPLSSDESTGSSSSSSSSSSSSSSSSDEDN >CAK82887 pep:novel supercontig:GCA_000165425.1:CT868452:49504:52682:-1 gene:GSPATT00017244001 transcript:CAK82887 MDKKWKIILSTLTCCTVSFLVLAIIIPFVLLSVIKSQAQDEAIMSSSNYALWGEIPGDTQ TYLTRDFTFFQFTNPYDVMFRGKKPILVEKGPYTYQEKQNFTDYTFNDDKSIVTFRAWIQ TVGEKQNDKITFANFVALGAWHQLQNTEPAMMALQVFSSFYFELKKFFYPTMIAQAVISS FLNEDTAKKTVLLGLSNEKQNLIWFDQEFGMANSTAFVNWVQVAKKYTDDSFLRNYFQLS QSQMNSIKRQLAPLIQGAEGSIKQLFCKKSPTEECFDAYLCAKQWATQSITNDPDAGTTP VPSVITGNTTSHGYPELSYFYTEYFLKVIEPTNEKYKNLKFTTEWALELLRHYEYYSVED PKYYTDSHLLLHIGNLRFLYEEGNQYDKTKKEDHLTKIQNRFKLESIYHSRVFYEYIKYM EEEFGVQKSINGTKGIAGLGTIVSQALYGEMANLNDNLFDYVMSDLLIKRVTKCEQVLEK LDKINDAQKEKFCSLGEYKNWNSKSINQLLYICEHQESVYWGQLQTLTGMTNIQLIQLCD EEEEGFGQELKLANNKMKAKYDCKLERCTKFEIGVKQWAFSMISENPIDELYKASKTMGD IYPIFKKFEYTWFQAEFKDIFTDKKLDYDLARYLLSFECLYNGQMISNAFIHFVNDDKKS FQKILPFDDYNILHYLRYVMIELGLEGFADTRTVDEILFGYWPQLTVAIKEMNPAMGGDP STPITGLNLNLPSELSQLQSVYTGKKDISKVRKYHQIEGLDYLNVKVPFFDGNQTTFRQF NPWNIEVSCELGTDAFSYEPQLPASGHIGTIITDIVKNIYLDYNETIDFHGLKAYRFRNN KHTFDKNPEYHNYKWDGLENMTTIQNAPIMNSPTHFYNGDIKLQEMIEVYKHDNLTDRQW ASDWDDSYVIYEPFTGVALSAMLNLMVSVEYKQDVLFPCDNYAVLPVMSLTRGANWTSEQ IDETFGELKSGLKLRWTLAIIFYVVAFLFLVSSITVFYYKYWVPKKIRVQQDDGSYQNLS SIGDQEGQINRSEHQEAQRNR >CAK82888 pep:novel supercontig:GCA_000165425.1:CT868452:53635:56174:-1 gene:GSPATT00017245001 transcript:CAK82888 MQLMSENCLEFLQQIADLILGSPAFTVIYEINKKVNGMSTHIKEFENAYQKLLKFKESTN VNGSELDNLFGTNELYVYKNKYSYLIYDVKDVPFKAFPDYRLILDQFISLAYDFQKEIYD KENLVSIIRGIKSRFIKNSTRICQEIIRSQVTEVLSSQCWIEMPDQIQYMNDLIIDQHGY VVLPVKLDKKIKYYIKIESPNIDMITFSDYIQQLWQRLTYQLKDLKNEEFLKQMLEVNSP NKLYIQLDGDRNIRYQSCCIPDSWYFMLIKGGSLEDLQLEQVNKQSLIQKMQELKSSVKG AVSVCQICFSDKAVDVDLFAKRNEEDEITDYYIVFNAPKKKFEDDHRISAQMSSESKLQE LSKAALINIKMEKLSKACIVMREYLKVNLSDEEIIDLLKEKDILKEVYNQQSITDDIRSS YVMEYYKAESIKDIKNPVQRSQQYIIETELTNIKKFDLYTLDANDISNLSDYSFDITVVQ DKHEQLRYTWALFHLCNFIDLYQINKEVFYQFTVIVQEKYSYRQNPFHNYDHGFTVAHAC YYIIKSKSMNQYFDKFIQFTALLSALCHDIDHTGRNNHFESQKLSKLALRYNDESVLENH HASVMFKILQKEKYNILSSLSQDQCQIFRKYAIANILGTDMKKHFEIVKLLELKLSKLPD EPFIQKEEDKKFLSSAIVHTCDLTMQSKTFKMAQKWSNRIATEFSDQVAEEKQLSLPITQ HFLPLGTPNFNQLLAKQEISFIKFIVKPAYDLTAQVLQTGLEVPLKNLEDNLKEWENQK >CAK82889 pep:novel supercontig:GCA_000165425.1:CT868452:56254:56540:-1 gene:GSPATT00017246001 transcript:CAK82889 MNLTTRFVDSFSLTDDLLTQIISDKQTISLSLKQLSKLHHLQKMLEEFQFLNIVYSDQSR VRKMMLTRTKSNLNFAIIVVSSNRQEN >CAK82890 pep:novel supercontig:GCA_000165425.1:CT868452:56615:58128:1 gene:GSPATT00017247001 transcript:CAK82890 MQSSDNLLVDDFHQNFLIFYAKAKDITNVRFNYMRELYNLGVDSFPDNQEVFTIQQNNKL NLQSQQNKLKKNWTDDDKKVLIWLVGKWTAFNKRDIKSISDADWNSIASMMPRRDAFKCK QKWLQMLKLPLQQAPWTLPEDELLRSIIYDYQNQNKGNKWSQIATTLNKISQSNVHRNGK QCRERWNNHLNPFINRNPWQLNEDLNLLELSIQNGKKWALISKKLKIPRSENSVKNRFNC LLRKERSQKTGKKDEEESESEESKISNFPSAEELNHDEIKLIHTIIKKIEWRIQQSENLR ENEQKDMVKEEHQDIVKKVKVESNLPPKRELRQSQPIPKNQDKINLEIKDCQLSEVEIST LQPCLINKEKNQIFFVSPEQLHLYLNKSDISQQSTFQENNLSNQNSLGSYFYDPKLYRSY VMMQQTEYPQIQSGVYQQRSILNPNVLGTFPSINNYPFSMNMSAYPSQANLMAPLQR >CAK82891 pep:novel supercontig:GCA_000165425.1:CT868452:59160:60882:-1 gene:GSPATT00017248001 transcript:CAK82891 MFMSQDDQDYFFSDEDDQISQIIEQDSTGRFCKYNEEIGKGAYKSVFRGYDNQSGCEVAW NVFQLNSVPENERRRVRQEISILSSLKHNNIINFVHSWHNKSKKEIVFITEIINGGSLKN YLRRITRPKLKVIKNWCRQILLGLEYLHKQNIIHRDLKCENLLIDTNNNELKIGDLGLSI QLQQSFTTSVLGTPEFMAPEIYQEHYDTKVDIYAFGMCLLEMVTGAKPFCECKGGTGQVI KKVIEQQKPQSIDAILNDKIKAIILECLKPPEERPSATQLLSTHFHLQVTDNDNSPVPIN DQFLMQLRDDSKSNCILISDSSILKCNLSNKIMLTTGSSKFDTNSEVSIQKQSQLLGLRK KNLMIQTEDETEQIFNKCYKDYICCRNDESLQDLEQRQEKELQLLKQLHLQQKQEYLKKT SNNVNIKTSTQPFQSSGFLSPGSYQNFFDFQQESVCPKQIIESPCLEKNSNNRLVKLGMI ADNSSGVQQQLSQSQQVGIQNQIIVDKSLEVNI >CAK82892 pep:novel supercontig:GCA_000165425.1:CT868452:60943:62819:1 gene:GSPATT00017249001 transcript:CAK82892 MPQTQQLLQMVLVFLRDQKLYKSLLHLELETQISIDNYTRELSFLRQQILDGNFIGAEQY LQPLKTRLNDQFSYVLLELKKQQYFELINAKPDVEILITLLRQIEQLASSEVYKNLCYFL TLSCIRDHPDFGDWSIEKGRLDCFEGIASVLKNLGKTFRIEKYNGITLQQLYEKVEEDEE NNKHSKFYSKKKSQFQMQQSSSSQLPVDFDKNIIIEKLHISDINENDQSMIRKESILNST PPHSNSSIQSQLFNYKFDEMDQVATLSDTHPIRAAAFSSDGEMFAIGTNSKSLRIFSMKS VLQESQVEMQMEKQNHHQGSIYCIDWSRSGRLIGTGSNDKTVKLYNVEDETDFVLIGHRG LVRSVCFSDENRLMSAGQDAVIKIWDVETQKCIRNLEGHTQTIYCLQTAGDGSYQVSCGM DRTLRIWDQRTSRAQGVMTMQTEINYVSLSESTTNYNLQNLVKGSKKAPQFSSQGLAVLA HSDGVVSVWNIQHQKCVKTLKHHTMDCRCVEFDPTGRYICSVSFDSTIALYDWEQQKLIT QITDHEDRVVLCKWHPFYPFILSTSADCTGRIFAPHGFLVQLMKSL >CAK82893 pep:novel supercontig:GCA_000165425.1:CT868452:64311:65817:1 gene:GSPATT00017250001 transcript:CAK82893 MSLEILDYLKQENQQLQEQVRELQGLLQLNKQALKVLMPQTSDEQHKGLLTVLKNLQEEN ETLQMKMEKLVKQRNEAQCDQLINQQITEEVQRQEKELILSLQQKMQGLQENLMSAESKL AKMEELKPEYDEIAGVVIKFREVCDPDLIGLKMHNEIQMLNEQLLIEQKQKQKLIKEKQS IQGLNLRLMNELLQQKSMAHAGNKLIFMNKDPEQLIDFQARALKLMDNSSSCDTPSSKSS EAPLDGNYSPKIQQFQLNSTKGTVIPKLDLTRAKQIQEINAKRQIQQTQKPLNDIQSMER IQKLEKALDELRKNHQREMILNRTLQAHNDELQRYCDEMEHRIKLLTNSNLRYQERAKKM NSNYKFLHQFYLNHKDLLPTTSISSNHQRQTSANQIEDEQSQSKQFDIDEMESPIQRQNN EPLIQQQLASSVYYYIPNKKDESVKYLLQTAQQIYSTIYERVNRVIQEEPQCKHRFRSFS EIIIN >CAK82894 pep:novel supercontig:GCA_000165425.1:CT868452:65821:66989:-1 gene:GSPATT00017251001 transcript:CAK82894 MKTFVLIVLLGLALGVQVNEQATILQDALTNNLKATSMGRAVLAMVELGSPNFNPLFDAL EAWASLIEQTIADENSSYGAFVQQVETEETNYKALIAQYESEVAEYNIQIREILKSRISL EEDLERTRTELGGTKQQKANIENQQREDSADFKTRTSQLSAAIVVIDEALKVLDKAKYSS FVEEDAQQLSSLVSGTPELHSLLLQLNTEDYKSAQNLQKVINLLQNIRDQFNQNIQTLQA GFTAAQQQAQDLFELLNAKIDSLVKDVIPQLQQDIQGKDSEIDSRRQLANEAQANLDAAR ESLKQSIQEKGLATNSHNILISEYHSNLDTVAECISALHGSGIKRQ >CAK82895 pep:novel supercontig:GCA_000165425.1:CT868452:67044:68036:-1 gene:GSPATT00017252001 transcript:CAK82895 MIRLLQRGSIAKFDIYLDGLDSRKTGRFNDKQQGLLKLPIYQGDDDISGVVDLKMNKNKK IEHLGIRIELIGRIEILNDQKQSSDFMSMGRELDAQGILMEDKTYKFQFNKFEKQYESYY GKEVKLRYYLRVTMNRNYGQVKKEVEFAVQIVEQDQEDQPQTSLKLEVGIEDCLHIDFEY FKSRYHLRDVVTGKVNFYLVKIKIKYMELAVIRKEQIGQGNTQQTENDILVKYELMDGCP QKGEVVPIRLFLSGIDMSPTLKNVSGKFSVKYILNLILIDEDDRKYFKQQEITVYRKK >CAK82896 pep:novel supercontig:GCA_000165425.1:CT868452:68097:69065:-1 gene:GSPATT00017253001 transcript:CAK82896 MDDYEIFSYLKNERNNHPASFHVTSLHQVPLTQRSQKMITQTIKEDVGKPKSVENKEQLK RKKKSYFQLKLERYQKEVSEQQSKRKQELLTKSKNLLKKDLDDIQKMTNKGVREFEERCN LYSKYYSEELMTPTGMRQEDLNLPTHKYSTIENQNKYKVLLKSKPIPQPRLTSEQEFDQY QTNPDKVQLISQQLQKLNIKLIKRSNDSENQLFDAILKNDSFTVHKLLMENKNLINIRNR IQETPLHIACKRNLKEMVELLLRHQADESLKDIWGQTPRQLALKLRHLEILKVLSYI >CAK82897 pep:novel supercontig:GCA_000165425.1:CT868452:69194:69861:-1 gene:GSPATT00017254001 transcript:CAK82897 MNIENPFYSSIQTPYTQTNMAPVANMQRKEIGDQAIWTLSSAKTGNGVDQLRDDNLNTFW QSDGTQPHYITIQFLKKMRVQEVALYLDFKQDESYTPNKLSIRTGTNIQDMKEVQFIELK EPYGWYVFALKTKLLNGQEKPYVSTINIQIVVLQNQHSGKDTHIRQVKIFGPREKQNQGL SFPDFKTPEITQYASIR >CAK82898 pep:novel supercontig:GCA_000165425.1:CT868452:70173:70769:-1 gene:GSPATT00017255001 transcript:CAK82898 MISIKVISPKLKNAKHKANLSQPSITKYNNLNSFNDYFRSQRIYEKGSRHNNRISISNSR CKTDSRLFENYSSSTKRMSMQSSTLCLSNTKSQSTSPKAINLSSTMNLKSQKNVTQQSPK KQKHKQNSIQPNPLSYDFQIKFDALLLKTKSLIQHFKQREKKFIKREKDLNQEILKLKTR IEIQNRLLISYNIPQSKF >CAK82899 pep:novel supercontig:GCA_000165425.1:CT868452:72207:73749:1 gene:GSPATT00017256001 transcript:CAK82899 MSDNSDNVLTQSTGCPVDDNQNSLTAGEYGPILLQDTHLIDKLAHFDRERIPERVVHAKG AGAYGYFEVTGDVTKYTKAKFLDTVGKRTPIFTRFSTVGGEKGSADSERDPRGFAVKFYT EEGNYDMTGNNTPVFFIRDPSKFPDFIHTQKRNPQTNLKDANMFWDFLSLVPESAHQVTI LFSNRGTPNGYRHMNGYTSHTFRWVNKEGEAFWIKLHFKTDSGNKTFTGAEADELKRTNA DYATQDLFEHLATGKTATWTVQVQVMPEQDGFKYKWNIFDVTKVWPHSDYPCLNFGKMVL NRNPENYFQEVEQSAFSPGHLVPGIEPSLDKMLQGRLFSYPDTHRHRLGTNYTQLPVNCP YRARVINQQRDGPQSYNNQGNRPNYEPNSFQPLKMIPETKTSQYRVTGLIGRYKPSHPND DFAQPGVLYRKVMNDFERQWLITNIVGNLKNANRVIQERQVKVFTKCDPEYGEKIAQGLG FPNNKARL >CAK82900 pep:novel supercontig:GCA_000165425.1:CT868452:73751:74665:-1 gene:GSPATT00017257001 transcript:CAK82900 MSSYCFNPNDYVTIPFLKFPDSLSYNVLEINSIFEYLIACVYIGLFYYSMYFCISQILVW SHPAPKTPERIEQTREEMRRGIKALFYVILLTTGIIWKIQPLNPYYGYYETHEFGLKEFF VSLIIYMVTFDVWFYTSHIIGHMPFFWKHFHGEHHEFVEPGAYAQDAVHPVEALIQGPIG HFLPTFIYPFHPVCHHVFGLLTSVYAQLAHDGRWDPAGHTLHHYYYSCNFSIWGLSDFIF GTGYNQEKYPIPYIPTWLRSKVNSKNQDKKIM >CAK82901 pep:novel supercontig:GCA_000165425.1:CT868452:75398:78587:1 gene:GSPATT00017258001 transcript:CAK82901 MATRLGKEAVDQHNVEFNTMFTEICLPYYEKIAEYKKDDLSDDRGKSYFSETPDKNQSQY GNSFLRLILESIEAWAKIYTCKSDQVTISPFFLAYARLVVKRVKFPEVFKYYKQEEVDKN TSATFKTHSKNIAQVLEESKLPKPVQQIQQQDPQFRQNQQPIQTEKVQKPPYTPGSGPVS EIQREINQSAQLLINQYSQLKAELTEKALSLGFKATSQYIKDLKEVNQSLFLLKEKIDNK VEELLSQDDEDSSLELLNVQDEIKILYQYYQKLQKEELTPQQYKNKFEVYKQQAPRQQKG HLQSIYEETENSQSSMQFGKVDKKFAPNTNIDSLGLQYNPEQIMHDFQIQLSGITEQHER EKLRIQQEHQQEVSSLKKQIQESNQIRNMLDNQVQEFKKKENRYKEIEQQYIDSQKQKNN SSQSSSDDVKRINTTLTDQIKALKLQNEQMQSQKEKDRNDIDKMRIHIAELKEENEFYKD EIKNLKQQLNTEKNQGNQRLQQLEESHKQEIRQLQEQMKFSPYLDNHNLDKTPSREISDL QKRLENEKSRSANLIQDVSHKSEQIKILEQELKAKQLELEQSKAEQNVQNTALNIQIQQK HQAEIQNYKQQYLSLETSKKIEENSYKEKLNLLEQKLKIQFSENENLRNETQFLKNKVQE LNQHLQFEKTQQKTEQIQIEPQQPLLNNKLDLSKIKESGFEYVQVQSPQSISSKQNYSQV VNTANQNKLERPQSNVPYSGYLNFQNLQIEFVDNVKNLFIRTQQIKVPVQNEMIYPYLTA EEYYQKKIRPHKGIVLQCKRTIPSMIINQQNLQLYKMYHLNRVNNTIFVDNSELKIAVIK QIQKVGSQFYINYGIYFKTDVQSLNLKAYMKNLMNFETLWTSQQEIQQNLQRKQQLLLEV SIKLQQSEISEVPILEVNYNNKIQQVLLPLQILSLIQYRKISKIGYQRKWKTAKIYRSEV FEYNTLILSNHLDITRLNECFSITNPEKLDSYAQGLDEIKYFAQFQLQFYNLEGIIKFEL MPNQKMIIFVGLENSKQSAQIQRLISVFQEIFT >CAK82902 pep:novel supercontig:GCA_000165425.1:CT868452:78700:79418:1 gene:GSPATT00017259001 transcript:CAK82902 MLQIGNYVINTKESKSISNGSARIDSSQSYVIHNIDNTKFQNPVFRMAPLENFQSVSENK YSHIFSSFEQSPRQEDQQHQNQQGNKQIIKKRAFSFTEHETTKNEHRCNTSGDLRTKKSY VVFTTRVLECKRKEQDKQLNAETPKLGKDQLQDSRLTVKQKMDYSQPSSPRIQDFKLNDN ASLEAKQTQTTAWGSITSKSELDQILKDCLQNFEKNKAQRSDEYVACFLF >CAK82903 pep:novel supercontig:GCA_000165425.1:CT868452:79445:81156:-1 gene:GSPATT00017260001 transcript:CAK82903 MNRLSQLSGQYDEEQMLQQQIIELDNWFKSPRFNNVLRPYTAKQVAEVRGQIVPNQFSDF MARKFYKLMMDLKKSKGFSLACGALDTVQVINMSKYMTSIYVSGWQCSSSASTTNDPGPD FADYPYDTVPKKCDQLVKMQRFQDRRQQYERSLITAEQRKQKKPYDYLVPVICDADAGFG GTSSVMKLTKLFIESGAAGIHLEDQRPDLKKCGHMAGRVVTSTNTHVQKLIACRLQADIM GNELFIIARTDALGARFIETNVDLIDQPYILGVTKFHDRPCTYPEAGVFIIEQMIDKDKR IQATNQWLNQCEIGGIKKAKDLAKRLGFELEFDWEQCRNPDGFYALKSSVHYCAIRAKEY LKYADALWMETSTPDLKVAKELSDELQFELQHNKVLCYNCSPSFNWSKFGFSDSELKNFN SELGKLGYTWQFITLAGFHLNALQSERFSKDLSERYMLAYVEDIQRKEEKHNVDQLRHQK WSGAEIIDHVMTIVNQSTLISSGEDSTEHQFDNK >CAK82904 pep:novel supercontig:GCA_000165425.1:CT868452:82612:84151:1 gene:GSPATT00017261001 transcript:CAK82904 MNIAERLQKEAEKKRVQQEEQLKVGNKAIKEKYEAEYQENKNKLSQEIKQDIKLISQQYP SFQKFALYKIYKQFDFNREIANEFISIQIQNQNQQDNGDDDWIEITSKRKALFHKMTKSS ENNQRPKRSQSVTNKQESENIQQRQSHQYENNQRTQRRNASGDRKREISPKKFNYQNRKQ EKFDNDRYNIKSEGRRNQQGNQKNNTPFQNTYQPKYIYVPKYQEDDDCPYVPKSVIYQTQ NQNQSVHHQKQQEQYKINGNNENAEKSNQQSIGDQSKQDRSAQKLNQNQEALTQEKIKQD QLKQQQQQEQLRQEQLKQEQIKQEQIRQEQLRQEQIRQEQIKQEQIRQDQIKQEKFRQEQ LKQEQIRQEQLRQEQLKQEQIRQEQLRQEQLRQEQLRQEQIRQEEIRQEQIRQEQLRLQE IKLDQQQKQQQQLLNQPLQFNYQNQSLQQDLSQSQTNKQQVMSSMFAPQFQIAPQGFMQQ NQEQQGINNQPSVYDMLMSYINYE >CAK82905 pep:novel supercontig:GCA_000165425.1:CT868452:84243:84944:1 gene:GSPATT00017262001 transcript:CAK82905 MLFPINNYLIIQIQKQCKRNRNLIKQSPSQYVYQQIKSFDKYLIFSMQPINQLLITIAKI LEEILKETDQLSLQEVSVFHASRAPSISIQSYIQRIAKYTNCNSACFVLALIYLDKVQEM RQDVVLNSNCIHRYELVILFSIMVAIKYYDDEYYKNEYYAKVGGLSLKEINKLEMEFLDM LNYELYIQNEVFEVYEERLKQYEVIEI >CAK82906 pep:novel supercontig:GCA_000165425.1:CT868452:84971:86669:-1 gene:GSPATT00017263001 transcript:CAK82906 MNKDKYKKVKGDPNRSRSRDKEKNEVLRFPPINQEQFRAVVIEFMLSDQFDYPISGGELY TYINTIFLTKTSTPYLRNLQIEPILIQLSEAGAINLSKLAVGTYLQDFILSISKEKLGQM NIQYSKDKPKQMPTVEEWIKEEIRSITSGQTFLKIDHLLDEARNQKTNVSLLPKIAAQKK RLIENVGNISLKYEDNVVQLALERKRAALLKTENYDEEEAKRKFTERGLQICENFTRDKC RNVQCQKTHFRKILKANTQTKLGNCTYLDQCPEQEQCKYIHYILDGNDVDWQKRIQTALS NHKSMPPQWINCDLRIFDFRVLGKFDVIMADPPWDIHMNLPYGTLKDKEMKALRVDLLQN DGIIFLWVTGRAMELGRECLILWGYRRVEELVWIKVNQLHRIIRTGRTGHWLNHSKEHCL IGIKGNPQLIKGLDCDVIVSEVRETSRKPDEVYGIINRMCPNGKKVELFGRPHNCRPNWI TLGNQLPGVYLKDDGIRQRFMEAYPSVDISDNNMNDNLVQMSNQENLNVIYNNHIGKKE >CAK82907 pep:novel supercontig:GCA_000165425.1:CT868452:87368:87580:-1 gene:GSPATT00017264001 transcript:CAK82907 MQRLLKPIKISQPVLQRIESARSDCSADEDLSFIETLRADAQNPMIKNFSQEDSLVDDTK DLEEFLNSLD >CAK82908 pep:novel supercontig:GCA_000165425.1:CT868452:87858:88781:-1 gene:GSPATT00017265001 transcript:CAK82908 MPNDTFLKILRKGPFQLSFAKTLAAYLIKVLVTLHAASIAHCDIKPENILIAADYNLKLC DFGFARICNEPQRPPGGTPGYTAPELYVSPQVNLFKCDIFALGVVLFIVVMGFPPFQTND PNVKDGWWGLIQSKQFELFWSKCESFRQQQFPQEFKNLIMAMLECDPEKRISLQQVLEHE FLMNGAPEEEVLQEIEKRVKE >CAK82909 pep:novel supercontig:GCA_000165425.1:CT868452:89213:91514:-1 gene:GSPATT00017266001 transcript:CAK82909 MKQEKEMPFNGDFEDSEADDDEVSQESESEEEQIQVKKKKKKVVNSSKKLIMNVSDTQYP VVKFVGKMIYKFKLSYVPYLENNNWDFCWTDNAVLPETLSKMQPHQRINHFPGMYSLARK NHLGRNLNKMQKQYPDDYDFYPRTWMLPSEYGDFKAQFQKGKAKTFIVKPEASCQGKGIF LTRSIDSINPQEHYVAQRYIHKPLLIDGLKFDLRMYVMICGCDPLRIYLYKEGLARFATQ LYSSPHSTNLEDACMHLTNYAINKDNPNFIFNEDEKKMDVGHKRSMTSYNQQLVIQKQNV DQLLSDIKDLIIKTFCAVQPILQQNYTQVENYANNMCFEILGFDILIDSSLKPYLLEVNH TPSFTTDTPLDSYIKKNLIRDAITLMNINVKTKNDIISQKKDQMQKRVLTGKKTKLSYEE KRVLKMQAQKERDEYESRNKGDFELIYPCDKSYDEYLQHAQKLYEDWTGANIRRNMKRDT IAELPKPQSCTQKPILNQKSQLYKHVQSKVNTNLYPTKTTKADQEVTQEEPEQILQQGQE PILSQPQLEPDNFVTYEDYQQSESLKDLYNFAEKRIPEIQLIDLIQFRRAESKQDNSSFQ MIQMSQQNSLSNLSPLMQIKSIQDQKNEKKFSIRKQNKQLKQFDQEQLYFQPQPHYQPPI QQHSISYQQQVQIQNGSFVKPKVFSLKLQHPPKGYKLQSLPILMQQNYTKQRYEQGV >CAK82910 pep:novel supercontig:GCA_000165425.1:CT868452:91546:91881:1 gene:GSPATT00017267001 transcript:CAK82910 MLSEPFVLLKNYRQQQDALKLTQKTKLIPIQKKLVKKTHVPKYLTNDKERNSQRSQTQPE QSIEPLIQMQLYSDDQINEDQYSPLLFNEYKFAFHDSFCWNINLSDEAFEI >CAK82911 pep:novel supercontig:GCA_000165425.1:CT868452:92450:93076:1 gene:GSPATT00017268001 transcript:CAK82911 MNILNSELACDVQLVQCGELVSASSILAGERIYYVTVDAEGGKKKKKKKKNFAKPKKKKH RHRKVKLATLKLYNVDNKGVVQRSHKQCPQCPQGVYMAKHFDRHYCGTCHQTFRMDEATI KANLEAIKKQQALKAAQAAAAAPAGGAADKAAGGKKGKKK >CAK82912 pep:novel supercontig:GCA_000165425.1:CT868452:93079:94765:1 gene:GSPATT00017269001 transcript:CAK82912 MQQSSSISTKQAEQDNLILAISMIGSYIRQPTDHSSPENRLLFLAYSNDLEQFSDLLRSR PLDLSVIQNFEGYTVLHYACYNNSYQMCEIIINYQERRENNPLNMEKFINSVAIDKFTPL HFAAQRGNLQILNLLKLKGADLKATSQQGLNIMHVGAQGNQPNSIVFALANGIKLVDFDI NGGTALHWACLKGCEDSANYLLPLIEQKKPYFPLNSLDSHQYTPLHLAVHSGNTKLVKKL LFYGADKKIKGYENKTPANMAEENDFKNIYNLLTKKRGFLISFFNLKQGLKRVRKNRLEL MRFGGFMIFLLVSYLFYMVDDFTPIVPDYIFFGITLLFFILIVCSNPGYQIRRQEPLYTL ITAFNHQDICPICNVVKLPRSKHCDICQRCVLIYDHHCPWINNCVGAENHLIFISFLISL EASLIYALFRTISQCFVGNQNELEFFDEPLIFWAILSINILIEIIFALGITLLLVTQIYN IFLGKTTFERFAVQNQNSTMRSVTADSNKSSLIEDDLPSQKKDRFVRCSCKNLFNFLTNG ATQRKKEQAIRF >CAK82913 pep:novel supercontig:GCA_000165425.1:CT868452:95339:96404:1 gene:GSPATT00017270001 transcript:CAK82913 MSRQRVNSKSPTVNKQIRKHSKDSDSSSSERRYGKFWKPNEDALLIELHSKYNANWGLIA GEIPGRNLSQCQQRWKRINPNKTKLRKQWSDEEDKRVILFVQQYGRNWKVIEGFMEGRSS KQIRERFLNNLDPEINHQKFTPQEDKIILEQYRIYGPKWSEIAKMLDRRPENQVKNRFYS FIKRVHMLEEKSDDDDDDGNSSFSEQPNIPQPIPIYQPLETIQSIKEEHDNTKNDSIRND SFMNIQNRTPSHRIQPSIGLIQGLDSFDSKQFEGIHSQDISPFHQRRILMDYSPINFEYA HHHPNFDNVQEDVRELRSQIECISLEKIN >CAK82914 pep:novel supercontig:GCA_000165425.1:CT868452:96507:104306:1 gene:GSPATT00017271001 transcript:CAK82914 MKTRGQKSEPQYAYQYKKDQILGQSEKGKPLLYKVVEDMKTNDHRSQLRVVKLEQQEKLK DGKVVYKEAEELLLPVKERTKVVDDVEVNSTKPNPRSKTAVTFFTFPQDTLQEIAKSMKQ VPANTKQQKKQVSSESEDEEEEPPKKFTIKKGQKQQVEAQPEKKVLKGKKQKQQKVEESD QEDDEENEDEEEEEVVVKPSKKGSVQKQTKTKAENNQNQKVVQNQKQKISKKQKKEESQE SDQEDEEDEEPEVKNVVKKKQVKNTPAPKQSQKVQDSSLILKETRKRGRAQTQQEEEDEE EDEEEEQSMSQSLSQSFSVDSKRKKGGKGSTTRKSKSQTNQPSKFVRGKVNPNYRDLRNI SDQFEGPSSKFHDYTSVIMNNKELIRAARTGNKKLLEDIFANSQKISNLFTRWGPENEIN ALELIFQRQDRVMLLLFVKSIGKIKLGVTPRCSLKEVNTGYNDKYAYGVRTRKVAMSRGG REGNNAFVYDLNEEDHLGRYQIERLMKIETDPELFGLMIAQLGNEHSYYELIAMAVRCGN WRTAGYLVQQAMEKGHMYGYNQVHVDVLNHTSASRIGNIKKASATKKTIGMYLITPIHCA AINPNHGCLQKLLDISQEFNILDEIHRKPVHYAAVSQTNDCLKYLLENGIDAREGDRQKN TPLMLAAQHGRTHNVELLAANNIGGKNKEGNAALHLACFGGHLETVKALLQHGALINQPG ALRMTPLMIASAYGHYDLVKYLIEQGAKVISKDKFGRTSCAMAARNGNVRILSLLLYYGA EFNMPDSSKNTPLHYAAAYGFPECIEELMKAGADQNLPNSWKLTPLSVALQKNHLGVVKT LLNYPSTDVNCKDDEGRTLISSSLSRFNADSFEYMKYLILEKSADVKIADLQEKTPLHYA VQISRKNVKQYYQKWNEMTKAEKRDIKNNYEKLLGELIELLINAGADVNVPDVNGQTPFI QALMSQNFVTAEQIMKLATPKFDYIDNKDRNILHKLIECKLFLNPKGNTILENVIKTVDQ SYINQYDENGWNPLLYLISEYTKSAEQQHDKIYLKKVQQLQVKLFEQKVSELPPEKDQID EEKKHDEEADQERDESKGEEHSDLEGSEEEDEEEIPFKKKQGAFKNPAFIPTKARTPAAT NSYPGISVPAQPSQFGNQFGNQFGNQFGASFGLFQQQQQFQQQQQQLTTTSGFGENVAHQ RTFYYNSKPIIVHLTKEQVDVLKQETLQASFLLQETVLKLFQQLINMGAKSNSVVIKRKK FREPDATQQDVKEDPYISEGNYTIAHFVFKSFHSVSFLQGLQRISNISFKVATHNDIHPI HLFVGTCNLFAICGREQETSQTFLKYVLNHIDIKVRDGNFNTPTSILASRYYEDLNWFIE TLLENGGSIDNLNKSNEVPLQKWVKANNIKIVELFLTKFKADPNFKDKYKRTALHHAINS SNSQADASFEMEHLLIMNGADTSAIDFLQRTPIFYAFTKINKHSEFSEIDPFETVSSILA DKNCSVNCLDFYKRSPLHYAALRGSVISGRYMIKMNAVVDDVDKYGNTPLGLAFISGHSN FCTMLIDNKANVNRDAIVIDREKVKQEEKRQRQERRDKGEEIETEDEGESNPVENDVNNN AFNSGGMFFGNRLQPQGKVATRSAFGQSNQLNQLSQPTKFPAGTYSYFKLAIKQGWQGVA YLLITDGYDLQRAIEDAMMEDQFKLVRTLLLKVKEDSVVQKYNQNHQNLFHIFAIKGRNC GQDMAILIADELSRRGVDNLSTDNQMNTPLHYACKNDFLQMIKYFLHRNGDPNAFNSDKN TPFSIRLQNNYKVFADFVEMGLWTSSNVNLNTKFKVNKKDYSLTPLLYLIQEYHVEDEIL LTKFTDAGCDINEKTEKGETSLILAIKNNSMKLVNFILHHPKFKKEAHIQDQNKRSPIHH VVQPLEFGSYENTEMLEVLGKIFDVNLIDSHGKTALDYAVDQDSGVMAGILKNFSAVKNV KSKQPRLPTSVISQAQWVEEEIDVEADAQKFLDLNGEQLDDSKQDFKNQVDQYAVLTGKL EVLVDKEIGPYSLLMTKVDIGNGQYSENVFYKMQVLHEINRNVYVLFTRWGRIGTGGQHQ QTPFENSEEAIKEFNKIFQNKTGGNDWRKIKTGEEEFVKRPGKYQLMNVKKMKNYKTLLS PFDFSKTSPYPPCKLDNTIKRFILQFIQVKLYQKDLQQFHVDMDFLPIERLDRKQLEVGK AILNELTDSVEELKQLRQKGDLDIKKISNISSEIADKSSRFYELIPMVELRTEPLPPLDS IEGINQKLLLIETLLNFEITSKILLGAHLVKQSLNPLTYCFNALNVRVITLHREHPEFKL IDQYINQSSTPKISNIFAIERRGEAERFENNKQHNRLLLWHGSKISNFMGILAQGLKVAP PWALNTGSMFGKGIYFADMFQKSFGYTDDWSLHYNAYNGLFQSGGGHWNRQQQVVKDEQE EIQRYRYMLLCEVAVGKSQELYQADNVQNLPQQYQSVKGCGRRGPDYKQSVILSNGCKVP VGQCIDYPDPKKKDKDGNPIQYYLQHNEYIVYDETKVKFRYMVQLDTKDTVDEY >CAK82915 pep:novel supercontig:GCA_000165425.1:CT868452:104370:106775:-1 gene:GSPATT00017272001 transcript:CAK82915 MVQVKFIVLLICALTVTQAFEAEGTLLSMSLNEISNLNLGDVNCENTSQQQFVQLEQNLE SWADIIDHKDDIQKDINTLKQLEVILLQVKKQTQKKQIAPQQLKQINQVVTKKIRSLVLP QTASKIGQAHCDEIERQLERLSSDNESERSECCDALLKLATFLIRQLSSINQQCHQNPVT VIKIKGQIKELHIIQGGCGGGQTIDIPMGGSDEKCDKPETPTKPADPTTPDTPTDEPVPD PGKEEETPAEETETETEETTTTPAEEELHEPAEEEESFEGGEKNNTTPVDLPEAGEEGEF WPEEEFNPEDGPQPEDEGVPEDKPKDGPKSRPHSKPRSRPSKPAAPQAGEEEEWLPEEEE DTPVEGDTPAEGDKPGRRSSRPRSRPSKPRSHPKSKHATPQGGEEEWLPEEEEDTPIDVD VDTPEEGTPGDRPHSKPSKGRSGPKSNSKSARPQAGEEEEWLPEEEEDTPAEGDNPGGGP NGPRSGPSKPRSRPTRPSGPRSRPSKPRSHPKSQHATPQAGEEEEWLPEEEEDTPIDVDV DTPEEGTPGDRPHSKPSKGRSGPKSNSKSARPQAGEEEEWLPEEACEEEGEEDGWVPGDI PKDKNGTSTIPDIPEGEEDVGEEGRQEDVGEEGGEEDVGEEGGETPADQTEESPDQPEEQ EEKCQETVEITATNAADIMCALGQYLSQLAHGGSPSDSPNAKKVCFCLKYEPDNADPSLL QLTQKVSKKQRKRQDGMLKALIPNRRI >CAK82916 pep:novel supercontig:GCA_000165425.1:CT868452:106784:107460:1 gene:GSPATT00017273001 transcript:CAK82916 MKLFASFIFFRHRNGQQKKINNSRNTPRRSILEQLHFVFRDMIKLLRLLFERNFISSCLF QQILQQKISEPQQYMIWLIYLTQTNLQMLNIDLLLKKLFKFISHLLLKEFNICLWLFNIF ELIIFHIENQEIMRFKIFIFY >CAK82917 pep:novel supercontig:GCA_000165425.1:CT868452:108771:109173:-1 gene:GSPATT00017274001 transcript:CAK82917 MISILFVCLDSEYESQCPLIAIKILRSLTVIPIVNEVKMIIIPLIRELPPNLVRPLILSS LMMAVAFLISMIYSTLKITYSLIMNLFYIILVVLIISVCLGISMNSIPVQQNFQQYFEYI KLLYQ >CAK82918 pep:novel supercontig:GCA_000165425.1:CT868452:109761:112438:1 gene:GSPATT00017275001 transcript:CAK82918 MGPKLSIEKHVSNLEDILELNIKLIEKVKFDNNIPNSITQHLKIWKFYEDKLFNKKVKSE PINLNQIQTNGFNFLSNECYLVLLVYKKVHEDVELNQFTNFPHQMWGIVESHSNLTPRGL EGPVALSESYLESFLLPQRQLNPSTSNIFEYMIFVWNGKTANPLIKAQALSHAFELEQLL NNGRDPLLEILFNGCTIKNKNLSKGQIITLQSGAQTQAPNIISQNQIRQTIYLLNFLYPI PDKKKEFSKLAGLIAQKQELPQDYAQQFIQMDLPQYLSSKVEEVSVEKQKLQLKQFCNEA QPKDLMIVYDQTKLRCDSNEIQDSISPSLQSFNTHSSISKENKDDENTNSAQLLGNSVQI NLHDQSRNKVPSFNIPKLNLNCKKNSKELNIWLPLVEVVENSENEKAIPMKLHLDNLKFQ RDITTYKDIEQEDSNGYNFDIRDTDRKKLKIQYFSEQCSSVIPDFLFVGGEQIAYNREIL KQIGVTHVINCAGDVCKNKFQDDFQYQTYYLKDSKTENIECIFYEAIAIIENAKKNNGKV LIHCVQGVSRSVSLCIAYLIISQQITYSQAFDIIKKNRGVASPNMGFTVQLLLFQKRLLA QYDSIPISPRVFAVGRGVSGHQSIVCRMLMEQLFSEKLEKTFDSRGVFLIQSEHELFVWV GPECKQQEKFIQFAIDYSNYLIQYEKAPQVQPTLIKANDEAQAFWNLWGIVGKQVSVCRK IRQWDEWYNEVEEDEFNKEKEENQLLYSSDRTEEAQIEKTVFYLYPNSDQYLHIFDLEDL DQSQLVILLKETNNLALQIFVWKGQKWIGNDNEEQIFVNEIISKHFSFISETNIYVFQEE PNEESDVFLDCFS >CAK82919 pep:novel supercontig:GCA_000165425.1:CT868452:113187:114410:-1 gene:GSPATT00017276001 transcript:CAK82919 MSFQTTEERLMDSPKRTESTDIHSDSQKVKKELNSFFLGVQQTSKVTRKYIDTKTHGIQL YYQEFTPQFIDAQVIIVHGFGEHSGNYNQLTDSFLLNNFKVHLYDQRGFGFSGGIRSKST IEEMHMDLETILDQVDKSIPLFIFSHALGAAIVISFCLMNPQFEIQGLICSSAQFRVPPR YGRIKMITLQMMAKVCPDLQLNTYHNLSFASKNNHHIRKLAIDPLISPFMSIQFALNVLQ FQQYILPNASQFKIPILILHGKQDKISSHLDSVDFYMQIQSQEKTMKIFEQGFHEMHNDS EWPKMKTVISQWCQKMINKDIKMNYLKEYNRGVIVQQYRSKIRLLISILLIVIRRKLSCL KASTKISLIIFMELLLRLFTPQ >CAK82920 pep:novel supercontig:GCA_000165425.1:CT868452:115072:116266:1 gene:GSPATT00017277001 transcript:CAK82920 MYKHLLKLRYAYHPSIKFTHVHPSTQYTPIKMTVREAINLAMDEELAHDPNVFLLGEEVG QYQGAYKVSKGLFQKYGGDRVIDTPITEAGFTGIAVGAALYGLKPIVEFMTWNFAMQAID HIINSAAKAHYMSAGDQKASIVFRGINGATAYVAAQHSQCFASWYSNVPGLVVLSPYDCD DAKSLLKAAVRNPNPVVFLENEILYSESFELSAEARDPNYLAPIGKAKIMRPGDHVTIVA FSKMVQYSLKAAEQLSNEGINCEVVNLRSLRPLDRETILESVKKTGRLVCVEEGWPQSGI SAEITALIMEAGAFKYLDAPIQRVTGVEIPTPYAFNLEAMAFPKTEQIVDAVQNVLKGVR >CAK82921 pep:novel supercontig:GCA_000165425.1:CT868452:116624:117178:1 gene:GSPATT00017278001 transcript:CAK82921 MQKLVLIFAIIALSLAIDVVDDYDVESYLGDWFEVASSPWVHTTFEKNGFCNRARYGALA SGDLSVYNVQRDGAGDGPIKSIDGYARIPDLSHKAKLKVFLNGGQAGGGDYWIIELGPVI NKKYQWVIVSEPEMLFMWVLSRNPQQYREEYEDYVRDRVSALGFNGVLNKYVPRFFDKCV PYDD >CAK82922 pep:novel supercontig:GCA_000165425.1:CT868452:117180:117851:-1 gene:GSPATT00017279001 transcript:CAK82922 MSRANKQAEQAPAQNNKQKGAPAAKPQKPKENYLTPEEINDLRETFDLFDDDKSGTIDAH EIKKVLEDLGVDARNKFVYQMVQDLENHGESINFDTFVDIISNRLGNNKTREGAQKLFQI YDPEDTGLIDFTNLKRVAKELGETLNDDELHEMIHHIHILRKTESPEQISFEEFYEIITA PRRY >CAK82923 pep:novel supercontig:GCA_000165425.1:CT868452:118123:118823:-1 gene:GSPATT00017280001 transcript:CAK82923 MGITKSKQYLLTTYELQEFADQANIQLEDVQCLYKIFQNLSSLIKDDGVIDFNEFHRGFQ NKQNPLSPLLQRSQETTNLIFTRIDLNNDRVLNFREFLFGYATFLSQNKAAQIKYLFKLI DNKNENVISKDKIIETLNCLLPNFPQIQLTREEVEELIAQELDFIFNKSMPTFKQFNEDL IDEVQKEKEKEKQKTLDYQQFYFFLSENSYILEWLNLPKIFLKSN >CAK82924 pep:novel supercontig:GCA_000165425.1:CT868452:119000:120189:1 gene:GSPATT00017281001 transcript:CAK82924 MGSVFNQKYGIMFIKKNTILSVKRMQEGQWLIQINTSRLFHSLLHQGSQFFGGNSKIEEN GRRIQRLKQYYIHVGSEQVRLGEIYKLLQKEITFSLAFKLVLRKVRESRQSSVLDLQKLI TCEPTDEFQLKCQCIIKCCSKSIKSIAKALLLIEYQYIYNIIYIFTFSFQKDQKDFQIIK KFVLLKMVLGKFVEVGRVVKINYGPQEGKLATIVEILNDKRVLIDGPTTGVQRQVIPIRR LTLTKINSQRTGVITKAIKKSDPFAQYAQTIAAKKVAKKALRAKLNDFDRFRVMILRKRR SALLSTQLKSLKKNTGGKAQTQAKGGNKKK >CAK82925 pep:novel supercontig:GCA_000165425.1:CT868452:120958:123343:1 gene:GSPATT00017282001 transcript:CAK82925 MAEEFDDDQFDGYVSTNTKNRYDYFNDIQPEQEQTQIQNAPIFAVTQIPLYTSPTTQPIP DISSLYQNQPLNQQSHFNSNPLLQSNFTNQNDNQHSPIYQIPQQNNPTQLESFNSNGHSN YAHQQQQQQQSQAYEDEEFQEGEFIEVQKPIQIKQESLLADQPQILSTNKNEEHQYYANI EQQEQLINFEIPTSGNVISPQQQQQIISTIQQEPKIQLTSIYDAFPSELNETPTSLQPSP LLQITDLKQETQNDSKYMNNVNLIEMYQNSVIPPINDQHVNQMDESSTPQQKQDLLHLID FNKESNPNLREQHYEQQSDSLLQFSNEKQEQQQPIQQEPLLMQNNLLSLHNDLPNDAFQS EQQHQQISSYNFQNIVINRNFETEDLQEESKTPIQELQHVQFKPDQMAVQSTQLETKIDN EEFDEFVEGEEIESTIENHQQTQQIQMESTITNQQQQQQVVQNPQITSQIYNIQEHFHSP SSQQQIQFQESSLLQLNNIQLTPEIKIKQGPLKVEPIQKRNWRNQIEDIVGFDAECMDSF IEELSDLKLNLYKAQVLEYAQLAKDIQALRKKKQIHVDKEEYEEATVIRDTLRAKEPIAM VLKKKILQIAKVIDQMDQQDLDYHIKKYIFDHESEIPENPSIEMLLPKIQIVEDFVSKLK KELKKSESVLSIIKLQGQLEKVRYEKKYQNFILGLRKIIGMSHRFSQLNEIVQSVNDRLS DLKIEKEVNKLDYEFIFENTLIPYLKGQQQQQNYCNYCFQSKSDLIEYKGKLYDVCCLNF YYNIN >CAK82926 pep:novel supercontig:GCA_000165425.1:CT868452:123564:124439:1 gene:GSPATT00017283001 transcript:CAK82926 MNSNIYRLFQKYLLIETKGKPKCYRSIGRQIKQNDLFYIMPYFRYFSGTILQKSILSYTL KTQYPNVQQGITISISLLNALLINLFGLIISIHLCFSFYLTYGICYLTVGYLQEQCYKED AQLNQQIQELIVEYFHHHFAKIINFQFKCEMKENGERTIERINQRSVKNSIVWRLLEYIK FKTDLQGKIAKMRQVLFILILASICFAQSQLRGRQQFERQDVSLEDYSFMQFHYHTPPMD VMMMNHELEIQRMMGVPGVVMMNYVIEESPYNPISW >CAK82927 pep:novel supercontig:GCA_000165425.1:CT868452:124494:125073:-1 gene:GSPATT00017284001 transcript:CAK82927 MQKIKQYFKENVVTKVQDVQQAAMNWKNFAIFFGLGLCFMFIAFTFLPLIIVFPAKFGGL FSLGSLSLWISLFIIKGGKNFMKMFCNKEKFIYTNIYVIMLIATVYFSLIHKNYIFVLVF SIAQVFEYLKTLDDIFGLAVCVFISSWDHWNEVYYKINTCTYKIDNVFLLQVFTIQFIST HMR >CAK82928 pep:novel supercontig:GCA_000165425.1:CT868452:125183:126144:-1 gene:GSPATT00017285001 transcript:CAK82928 MSINIIKSHKIEVPKQSGKKKCIGICMKTSQLFRSKSLDGFMRLLQQTCYQKSEQFIDTR QVISTTRQLTTQRTLMEFGFVNGRSSPIKKNRQHQRSRQKNYKFPELQYKIRRLSCGCGE CGMVGRKIRKFHDILISKVKEEEEEQPQEIVRNGKKLRGIFNQVAKTAKVCMNLRTLQSE RERDEKAEFSPEAKSSVLELQCKQVSRKIIQQNQVNQLPLLKKPLMKDYIRKINNLNNLI EQTQLITSQSSTLIRNSAPNLDAFEKRRRESPMSKREQSTHSSRQKQNVYLIKSPLNGIN LQKFREFKIKQ >CAK82929 pep:novel supercontig:GCA_000165425.1:CT868452:126304:127622:-1 gene:GSPATT00017286001 transcript:CAK82929 MGLIEKIKEIEDEMARTQKNKATEYHMGQLKAKLAKYRTQLLEPPKSGPKGEGFEVQKFG NARVCMIGFPSVGKSTILSTLTKTQSLVAAYEFTTLTCIPGVIDYKDAKIQLLDLPGIIE GASEGRGRGRQVIAVAKACDLVLMVLEADKAEDQRRKLTLELDKMGIRLNRKKPDVTITP NKSGMVRITSTIKLTKVDEKLIKNIMQEYKIHNVDILIREDITVDDLIDIIEGNRKYVKC LYVFNKIDKISIEEVDEIARRKDHCVISCNLKLNLDFLLECMWDKLDLVRVYTKKRGNQP DFSDPIVLSNDRNGLMVRSVCAQIHRELVDEFKFAIVWGRSCKFNPQKVGLNHVLADEDV LQIYKSKTKAQLAKQNKQLKGTKHDRKKEEKGDKSSKK >CAK82930 pep:novel supercontig:GCA_000165425.1:CT868452:127672:128864:1 gene:GSPATT00017287001 transcript:CAK82930 MNQYYILVKIGEGTFSEVLKAKSMITGQLVAIKCMKNRFDSVDQVKNLKEIQALHQLQQH PNIVKLNEVLYDEPSGRLALVCELMEMNLYDCIKNRTSYLSMAKVKKYMHQVLKALDYMH KRNFFHRDIKPENILIKNDNVKVADLGSCKGIHSTHPYTEYISTRWYRAPECLMTDGYYD QKMDLWGVGSVMFEIIALQPLFQGENELDQINKIFKILGTPDSELLNRFKSQASHMEFNF KPQKGIGLERLVPPHAGSDCIDLLYKLLQLDPVKRISAEEALRHEFFEEFWDAPMSQSSD STQFGKAINIAKPRKRLNKGIYPGTIKLEVKLEGKLNQSDDENEKVRDGSLHSKLPPITK PHMKPKVKNPYVKKKLYDDYIIVGKKAFN >CAK82931 pep:novel supercontig:GCA_000165425.1:CT868452:128908:130654:-1 gene:GSPATT00017288001 transcript:CAK82931 MIIQIHKNIWLQFQYKILQELNIKQERIQLQKEPNKHLIKKTASAVRTARDQKMLQQFRA GTVKLREIQNEYSKHYLMKLLKFVVLVFKKRVELTQQDSLKIQIDVQLIQEESLDCLEIF SWVGGSEVRDSYKFQQEIIYYIYLMWLTKSYSFIKTRIDYHIRKPPQIQEGQQEIVQQPS PNQKCKQRSVSANSKMKINIIKDFYKLSKDDQYIYNSIEINDITFIEQATKDNRTLEKND WQIILKNKSFTGYKVQQIHQSIMLQTMEYDIRRQWWIFVSNQEQNKKELRNQSYQKLKKA DTLHKIQIEKDVARTIIHDMFNMRNQQSLKNILIAYANYDKELGYVQGLNIIVANLLVCY DLSVNDQQLNDFEVMDEERDETVFFIFLYIMKDQNWRVVFLEGFEGLRLKIDVLEQMMKK KIPELHRHFYDEGITDFFPFFSRFYMTLLMYNIPWRFSGMILDLFLIEGEEIIHKLILAM LSYHKNKLITRNYSDIRTFCQEQLVESFLEIFDASQPNLMAILQKLNLL >CAK82932 pep:novel supercontig:GCA_000165425.1:CT868452:131099:132163:1 gene:GSPATT00017289001 transcript:CAK82932 MQDKPQELITCKFCKERFPLTIMYAHRMECNIENHPDYQSVVQNKESMLQKIQEEFPDDS QNILQTNKSKFNKNQKVENPGLEKQLQEEFSYQLKREIAPQAVKQQQKLNDLQTESILVR TTKKCEYCNELFPIEQIDDHYPNCQTYLMIEQLQIEETQNKFQSNENYIDKQSMIGQQKE PENFSTIIHEELQSDGKILQKIITRDPLTNKTYENVFLLDSDNGKQIEHISQQIIVPNDN RSFHQSFQSFINKDLIDLDQIEANDQQKLLVQQIRFQNLVRINFCDSKQLDQEFKQCGIC FMNYLKGEELFLLPCIHRFHAKCMSKWLIDQSTCPICKIDFEQQKT >CAK82933 pep:novel supercontig:GCA_000165425.1:CT868452:132206:133203:-1 gene:GSPATT00017290001 transcript:CAK82933 MKSDRPIYKVCKYCEKEFQQNEIFNHQRSCISKSKEINKSNSSKPQINAQHIQSEQQHQS FQKSSSLQNNQNFQESNVDLQLSQKIHDKYNGKIIVLGVIQLPKEDSIRYEEQQKQNIQQ QQPSYERQPYQNCNQQQFSQQEAYNQKPNFEQLYYQQPQQSVINQVDVSNLIKCEHCNCL LNSEDKDFHEMNCSSVIRFQELIKDLDQPQEQEIPSQSISFIIANNQTIIAQYLQIHSEC KDIIQKGKKLLDGAMFKNLKFKKTLDQKTCYFCSDYFKEDEIIYNLECCLLKSHKKCLHN MVKKSTKCYNCKKELFPFKGQVKR >CAK82934 pep:novel supercontig:GCA_000165425.1:CT868452:133234:134367:1 gene:GSPATT00017291001 transcript:CAK82934 MIQHNFNPFRKSNRQTYSLFDEKSSHEITVEDEMAILKHIPKYVWEDDYYEQLKLELKYH KVDIQVLSHSEWQNLLETGMYDSNKNLLLSIFTTRSLTVKQVFDFITMQCQMTCQFEHLK KQLKEKNYECIIKDVHRTFKSSDYFQKEEVLQRLQDILVAYSNLDSEVSYGQGMNFIVAA LMYLDFNNDEAIFEILRTIIMDKEWRQLYINGTPGLFLLMAKFNFKFKKRMPALYQHFLK LGIEDMSMCASAFYLTLCMQHAPIQFSLVLLAMFFIEGDDIITKMILSMIKYFENYLLKL NDFQQIIEFIKRGLLSNFYREIQKCRKQQRLVQVLLDIGLIKRKEKQHKQKIKKGLMKQL VDRPLAFGNDVYSYFFR >CAK82935 pep:novel supercontig:GCA_000165425.1:CT868452:135027:136205:-1 gene:GSPATT00017292001 transcript:CAK82935 MDKRRGRSGKQKSSPERNDEEEEEFVVKSKGKQQKGKKKTEDNEGKKSAQKIEKQQKVKE VEKKVKQVNQADEKFDLGDGRFSTPTVKISSWNINGIRAVTKHESAVQYLNDSKFDIICL NELKADQDVFDKENLGAKFGKHYFLYLNFCKSKAGYSGVAIASKVKPLSVKCDIGVDKHD QEGRTLTAEFEQFYLVSCYVPNSGQKLERLDYRTKEWDIDFQNYLEGLRKKKPTILCGDL NVAHHEIDLSNPAGNKKTAGFTLQERDQFSNYLQKGWVDSFRHLHPKEVKYSFFSARSNS RSQNKGWRLDYFIINKEASDAITVSDINLTVEGSDHVPIECEVDLRKL >CAK82936 pep:novel supercontig:GCA_000165425.1:CT868452:137172:137547:1 gene:GSPATT00017293001 transcript:CAK82936 MSNANVKDILKDKKKVRFVAESAFKQVDKDGSGYLERPELEEVMNNVAADLGVEPPTSEE IDEVLKELDENGDGKLSIDEFQVLIEQVLEMMAKVEG >CAK82937 pep:novel supercontig:GCA_000165425.1:CT868452:137795:138512:1 gene:GSPATT00017294001 transcript:CAK82937 MPYYPGYNPYSAPLGQSLAYPNTYAQPVAYAPVQYAAPIAQSFVQPVAIQPVMQQSYVPQ PVAVQQVVAQPAQQTIRGESRVEYREYQRQVVEMETETVQVQVPKTRYVTDYYPIEYQTE YIPRTVYEQQTEYVPITKTVPRVEYEAVERQVQRQQQVVVQQPVVQQYVPQPTQYVQQPI VQSVVQNPVIQPVVQQPISYSQVRPVQSYVPSAYPAHTAQYPTNYRNFRPF >CAK82938 pep:novel supercontig:GCA_000165425.1:CT868452:138932:140469:-1 gene:GSPATT00017295001 transcript:CAK82938 MISLINFNPADRNCIIDSPRSNQVMRQRGIAQNELLCVNKDQLSAMMKKEKSLTNENLDD FHKHFEYRRQQKVYALIRDREALIEKEKRNKIEQSTCSLKLLRPASSTTTSKFMDQVQKR KQMEELRQRHLQEMMLKREEQTQIREEKMQLYEEKRKRMQEERQKEFQEKQENIAKQKRQ KELDEQRKNRQQQQVYFEREQERKMQLEFEEQQRREQAAMREQQQRELKQQLEREKRMKG KQKEQEYQIRKQEEEEQEIKRQMFFRQQQESKKEIAQKRAQSAKERQREAKRSQIEGLNK LREDFQKKEEKIAEKQYKFQELQKERQLSIEEKNRIKNEKIKHTAKVKLHEQEEVRREFM EKEMDIQLRLQQRSQSKSKEQFMLEEAERRAKHREKVKKMNMLQLEEKRNIYLRKIQEQD EKIEKVKNEQETMQQQQAYMKLKKKIDQQEAIKHQKQLEEYKREQIREKLRQVDLRMEQK QDEQRELDRLREQANRVEMMGKKI >CAK82939 pep:novel supercontig:GCA_000165425.1:CT868452:140677:142688:-1 gene:GSPATT00017296001 transcript:CAK82939 MQQIQEEFSKLTIETYITGFIHCEEFDNHFSAKEHCENPQRTQSIDKTIQNYLSSKDLKN KVEQLSQFEQCDINHLRLVHDEKYIDFVEGLFNAVGNEQLTKDVKYFDDTYLCKTSAVTA RKCVQAVLEGVDKILKAEWRNGFCSVRPPGHHSGHKNKPNGFCVYNNVAVAAKYARAKYN VNKIVIFDWDVHHCDGTESIFYEDPNTLVISIHRYDGGSFYPGSGDPVKIGRKDAEYKNI NVGWNVGWNVKDNDAPGYDDYVYAYDRLLGPIIKEFGPDFIIISAGYDSAKGDPLGGINN TPQGYQYMTEKLQQLCPRVLAVLEGGYNLDITAQCALATFEQLLGVPKQFPAIIQPGQCG INAVQTTVDKHKQFWTCLSSKELLEYQKQFLGETVNLISGGHLQAFQIKDNIIIKTTKKQ EYQFYSTLTNLNNPYYDENKRLIKFLPKLISLDEKACTISMENLTYGLENGSILDLKIGY KTYHPNCTQEKKEKEIKKASLCDQVLMGFRAAGIKIRDQNGVLTINKNGSEAYNWITNDQ KMKDIIEQVFKSNQVEQPNREALQGCINFIQELIEALQTSKRLFRSTSILIIVDNISKKY QIKWIDFNYVMNLSDDSENPEAEMDNNIMGGLKYLMSILKSIEEK >CAK82940 pep:novel supercontig:GCA_000165425.1:CT868452:143301:144870:-1 gene:GSPATT00017297001 transcript:CAK82940 MAKDKILSAKLKQQEFKTKSTQLKTQKIAQLLEPQFQQSVEIDDERPLLKISNFKDYLPE ENVQNIINLKLKSGPYKLSMTPQTTYLAGESGHIAAFNWRHKQLECEFDVKEKCYDIISI AQRNFAVAQKSCIYIYDPKGVEVHKIRECKQATKLEYLPYHFLLAALNQNGQLTYQDITQ GKIISTYRTTPSPLCLKQNNNNAILGIGDQMGVVRMYAPNTGTSLTSMLCHKGGVMSMTF SRDGNHLITTGSEGTVKVWDLRTQKLQSQVAVNATNLALSDKGVLAAGRGTDVIMWKNCL IGDLKTPYLRYKASSMICDIDFIKHEDYLAMSTFDSYEQTVVPESGEAFFDTYEQPELQN KKQRLETNVRQLLEKLPPESISLQSHRIGTIDRTSKMIIEKEQKKQIEEQAAKKIKKQKK KMRGRNKIGKREKLKELERDQKQQVKWQEMLSDALRVKRAEKEKKKFETKLLDRLEQIPS KEFIRVVS >CAK82941 pep:novel supercontig:GCA_000165425.1:CT868452:145150:145320:-1 gene:GSPATT00017298001 transcript:CAK82941 MEQETYENKQRLYYAKNEKHLNLFKISQLQCQARKYEELLNNPRLPFPEQQIRQQI >CAK82942 pep:novel supercontig:GCA_000165425.1:CT868452:145426:147799:-1 gene:GSPATT00017299001 transcript:CAK82942 MADNENNDDQVNLEEDPMAFLPADHHLLARLQIALQKQLDDEHSRVELELVEVEDQLKKL DREKEDIGVRLYGVQQQLAENQQNFEKAHENFNFVQKLRIESEQKLKTINDLHLQKKKEV DELRKKYLKAQDELSKLTKTLHTIENYNNQMQAEIQQTRRIVYRGEENVQGLEKDKKKQD YLIDQMNEEIKRLTEQKTILMDQLISQSKETENARQTMKDAQAEMEKIIASKKNLMERWQ KSLVRMQRMDNALQEIREAYKKESEVNIQLGTELQGINNEIRKENTRSEDLIAMKRKLEN QKSNLENRRQELLEEQAKLNAQKNETKKTDNEQRNVDEQMKLIETNIMKLHTETKSLLED LLDSKSENTTIEKTALNLLKQAQIIQAEIEEKDNLNQKILIMKLLELKLINQIQNNRLNN QNQKRLQDDKKITVATYEVQIKQGHELNEKKQHEVGRLNKVHDELTNNSSEVSRGPLEAT KSNLKRQFDEMIQQCEAMHRDWIKKQTQLVEKTKEYETCSTEVDELSNKQVILENKKMRL NSQYRSHEREIREIKNSLKNLQNDMNKLNDDLARYQFKQEKLTNENNHIQSEFLEKLKEL EKEAVRYEVQIDKLKDSKADLLNDIMEAEKQILLWERKIQLEKEMQEALDPNVGQSELKL LQKEIHKMELRQKEQKKSQEQIIKEMERTVFKRETIQLKYLNKDKSSSGTKDLKLPPVQA SNNTSQVNKQIQTLKNTLNQTSNEWIKD >CAK82943 pep:novel supercontig:GCA_000165425.1:CT868452:147883:148918:1 gene:GSPATT00017300001 transcript:CAK82943 MNKNSINKNGCTQSPTLHQLITYILYFPNILNCFFLISLLDYYAIHFTILGILTLMSIIS SVKTTLSRPIDQFIIQQYKAQVQGQHFDNGSNKLESFCEICNAYVQENTKHCRNCDRCCQ GFDHHCKWINNCVGNLNYNLFIFMITSTLFLFMYTMLIYISIIVLYQTNYETLLIDNELQ KFHFTKENDLNVKYILSIVMLGDSTLIVILMLQLLLFHIYLIIKGTTTYDFIMKSQFKKI LPQFQIGPQTFQNASKTTQKVIHEIYGLAKKNTLVEITQNQHKMIPDKQTIQDETIIENQ IQA >CAK82944 pep:novel supercontig:GCA_000165425.1:CT868452:149076:150025:1 gene:GSPATT00017301001 transcript:CAK82944 MLNSSLYQSPQTKNLKSVVAPQSSITDRNVSPSRAKIAQLSEKLSGLQIEEDKTLKKETY ESKLKLFDEKFQKAYQNDLSRLKIVQDQLSKIEEGLTNEKIIRDSRDDNFKQRDLKGLEQ NIVKELQKDKALRRESEQKVARLIDEKSYQFRLELAKQKKMREETEEQYSNEIGERVLNL AEDVQNERREREAACQELIRRFGESVFQIQETLTKEKRQRQESQSQMYRMIDEMNNILHL QLQEEKAQREATEETMLRLLDETCNRVENSLRR >CAK82945 pep:novel supercontig:GCA_000165425.1:CT868452:150035:150460:-1 gene:GSPATT00017302001 transcript:CAK82945 MLMQYTQQQIFYGVTAISCAYLLYSIAKQKTSKKRKNKKQLNKTHDYTFGSKSWLISYFD RNTSAIDNSNFKNKTNDQGIELESKKQKQINKNVYQVSSKEAEMPLIEQPNHFIENLNQI SDEEDQLFFKLGLRLLNGVQS >CAK82946 pep:novel supercontig:GCA_000165425.1:CT868452:150643:151008:-1 gene:GSPATT00017303001 transcript:CAK82946 MHYSLVEGSQQTDSIHYPSKFHFRCPSQLPMGSPRIILLQRHFPVVKPIIVTQVQQGSNL KQIKNTSYTNRQQVKTQCNEQLEIPKQEKILKEKNKKLQLRKNMTKKLLKITEYLGSYRK P >CAK82947 pep:novel supercontig:GCA_000165425.1:CT868452:151009:151521:-1 gene:GSPATT00017304001 transcript:CAK82947 MSHHHQKYYERPDGYVYSPEELDAHRIPLAFRDRCVNFYVPFFKCQQIKTDNLFKTALAA IDQTLDHWGGDCYKEKREYLNCAKAAYQYSLAVPVQNIYTANPWYASQEGVSAELEKNHF NSPVLY >CAK82948 pep:novel supercontig:GCA_000165425.1:CT868452:151550:154287:-1 gene:GSPATT00017305001 transcript:CAK82948 MIDEISLLANFVQIKTETAYQRSKFSGLCLYPSILLLMAFDRSIINIGSILMTLILKAIL KNHNKLIYFLRVLLVFPELLLQNQNHALLSSFFINQIFVPYWPNQIPFLMIMVRSVNGLY LGFGLIMLSRYLEVIKRRFFISFYFQEYLQHQKENVFEQLPIDVFMINSEANIIYSNNNV KQFDNELQRKLENTIRKKKMNQITINSKYYTLEQYNSDFVLTQSQFSCGDVELFTKLSQQ IDQLYNLLCQDYQKWNNLRAFKVVKESDLSILGQCTTDCRLLKQQIDAMTILNHDFQQIK YQSVQNDEFFLKNYVVNVIESMVNRLQEHFNLIQLEFEEGIPEKLVGNKHGILYSLYAFL KSALLVEQKSELLTINVRIAKVYLDKSEYDLEISISYPCSTKQMKLYCQDEYENENEKML LIMINQCKQLLSIDYKTDGDNIIILLITNVQLCKKSDKSCDNIQMVDLTFTKNVVDQNHY FWNAKRFASPAMTYDKSLRSPLQKYTAKFNNPDSLASTIQLKSIPQSTFASAANSNAQSL ENTQVQNQDIIKEVEIAIKYTIQYPQIQDDIIKLLEQTLKVAYNEGILDHDKTILDIGYH HMHYEKYSQISVQSDTPFVKDYGDQLHNDKDTRFDALPKQFTTPQQKPRQFQRLDNFRAQ PIHDIINKNKEANKFIQGKRNQDQKQLSVLFSPWVMSKFKNNLVSIVQSKTSLPNIDDNN GISISKCLEHKFHIRIRDHRLKKQQRKWTNKIKLDELPKQQPRILCLIPSTQYIQVAQRF GNSMYGDQMVGEPIITYSVSELIRIYKQHFNGGKQFCFILIYIHKIGEIEELSIALQSNE NQWPQDSGFKKTVLIGIYNQTQLNKNLYQHLKYTIPLSQDAEQLAKSKEWIAEISK >CAK82949 pep:novel supercontig:GCA_000165425.1:CT868452:154438:155463:1 gene:GSPATT00017306001 transcript:CAK82949 MTSKLPELINLNDSVASLTDFLKKIPEEVNTSFDYKIPNGIILDEIITQLIKNPKKQEDH KIVFNDSGYQNIQTIQSILGIEFQVTKDIDELLNEQTQVYLDQFLTTLPKEKESQSNSIA TVQINELENAKLVHQKDIIQLTGLQSKLHKQDVRQELVEQLLESKKFNIRITQQSINLFN DQLMFRNLFQQFRQQFEELIYCILKLETTLTFRTQKLKLELQMSQNEREKLQIKEIEKLK SIIKEKDEQLIDITNKIQFNVTHYQKLEEQIQVAKQNIQKQLASIKQQKQQKEDKQKTSS PSALSASKSNISDLEILKQQTRKVSNSYAHRNRFKTVFFKR >CAK82950 pep:novel supercontig:GCA_000165425.1:CT868452:156453:156824:-1 gene:GSPATT00017307001 transcript:CAK82950 MIKSQSEDGEQPVSSVQAIEKSQYFSLFDLIVGLSIFSILFFQQCMAEILFPIGLIFLMN GILYKCNRRIDQFMIGLFYVVQLFAVWALTYHDFEECQIGYVLVVIYVVVIFCFITSSMI ILT >CAK82951 pep:novel supercontig:GCA_000165425.1:CT868452:157126:157658:-1 gene:GSPATT00017308001 transcript:CAK82951 MDVSPKAQLNIFKKKGMSIQTLESTEPTPKKQISPKHSQLFFESSESSQTMIPNINKEKQ RRIKQLLEENLKLLEQCSEKDLQIGRLYTKIEKDVVLRTQRVQTLNNMQSIDKKKHCFLN QKAQNFQDLRQKEEKQLFTFYSPEPKQNSQIFKLPKVFKAIPKQNKFFI >CAK82952 pep:novel supercontig:GCA_000165425.1:CT868452:158269:160619:-1 gene:GSPATT00017309001 transcript:CAK82952 MFTDLSVYLVVSIFCVLAIALFLLGFLGTNFSIKQEEDYQNLILDYGNLYDEQEIDEQNW KQELPAYIATYLQTIEISYKELYLNQLIITIITSAGFLLIIASWLTPSGTFWGFFISFLW GTIITCIIAYLIIAVKIGTLLKIPIKASIGKEDVLKWIYGNGFFSALFIGGVITLNSLFI VLIMKAMSVHSTKPENYAIMVSGFPLGMLISGIYFREGMSVTGRGIQAACDLMIKKEQYF GDIGNNLSYTTKIAAGMSSLIAEVNFFDSMLVISLFVSMPSIILVNSVDYHYSDNFIGKI FGIQLVFILSWVGQLLISFLSHILKNDDAVAFTANNVRCQILMSIFLSLCFVVFSLLSLP KEFEFGDKDHAQIYREKVLSRDFMGCGFIGIVVSAILIIYYEYLTSHGYSVAQKVALVSY KAPTLNIIQAYSIGHIGQIVPSLLIALSLFISYKLASLVGILGLFLGYILNIYILMGIAM LGVLSGDGLKLSFLAQFANNIKDRLHSITWAAKNYIVWLKITNAGALIIAAILIIGTAIF FSKTFILLLNGYNLFGFLIGFGLAYYNKGLTIFIVKTICEEALYDEKSFQLRKNKPDEEV ALENFSYTYFPLKNRQGNYYVLVNLLSCTLAVLFTGIIFGHGASISLAISNLFINAIMMF QSILTGTVLKNAFIYNSYDQQQQETSQVLSVNLYGDIQGQTIEESQNIQNPYYMIYTMLI TLVGIELFKHDY >CAK82953 pep:novel supercontig:GCA_000165425.1:CT868452:160670:161653:-1 gene:GSPATT00017310001 transcript:CAK82953 MKYYFNKLHSQLYYFMLVENLANELQCSICLSLFSIPFVIPCGHSFCRDCIQNYGKATKS TKCPLCKQPFNLNKINLNVSLQAVLNVMDQDNTKIKADQSRKKSKSTNFFICTSNLSDEY KECLERFQKQFKIKSNSNINSKITHLISGPDNPNKPFIARRTLKYLEALARGIWIVNIDW VIESLKCDTILNEEDFEIKGDEFPTLTPKISRTRGGMYDFLRNYEFSIEVGNLNKTIINQ DYVETLIQLCGGNLVSLKEKTQNTIIIKIVQDPQINSTRIECIPMIVNQKWLFDSISKFN MQNYFGYLISI >CAK82954 pep:novel supercontig:GCA_000165425.1:CT868452:161818:162654:1 gene:GSPATT00017311001 transcript:CAK82954 MFNGYQYDKQLTFTKEIDKIKKEYITERQRRKDMNQRMSKNYKKRVENFVINMLENPVVC NEYKPPESYKFRDEDPTKNLGDPQIYVKGFKHEKERILEAQEKNKDLDFLPNLKVGKHSF RERDQSKDIKRDIFRYRDKTALARIEQYLRDHTQSQVENMKIDHKKIISLENFSEGMSAL ERRAYLSRLIAKNLLPSLHNKTHFQAAQTMFNNLPLTLMEHARSQPLLHTQEESRKKPLP SSEKQRLMSQEAPNKSGRCWK >CAK82955 pep:novel supercontig:GCA_000165425.1:CT868452:162680:162889:1 gene:GSPATT00017312001 transcript:CAK82955 METFNPVETSKMILKKCNVVKDKNPKVPILHQGSGHLISTMDKSIQDVYRELYHVDFKRY D >CAK82956 pep:novel supercontig:GCA_000165425.1:CT868452:162942:164158:1 gene:GSPATT00017313001 transcript:CAK82956 MKNCVRQAFRSNSALRGAWSQNYLQRPGEGQLKNVTVLPGDGIGPEITRSVMQVFEALHV PIKFDVLENFNFDNDEQRSLLKKNECILLGVMTEKNQKYTDNYRFYKYLDLYANITFAFS VEGIKQRHNNTDIVVIRENTEGEYSGVEHEVYPGVVESIKVTTKQASLRIAEYAFEFAHL SGRKKVTAVHKANIMKLVDGLFLSAHREVAQKYPFIKYEEMIIDNCCMQLVKNPTQFDVM VMPNLYGSIVQNVVAGITGGVGMAAGASIGRDHALFSQGCRHTGRDIAGKNIVNPSALLV SSSLLLRHLGLPNFADQICSAVQETIQDRKLKTKDIGGKASTEQFTKEVIKCLGK >CAK82957 pep:novel supercontig:GCA_000165425.1:CT868452:164723:165840:1 gene:GSPATT00017314001 transcript:CAK82957 MIHNLLIIVFFALWTFLFILLILIGYFLEKKYKLAAITPEVKQQTPQDSVVYINHMLISN IQKQSQQKNDSQLVEELVSNQRTISQQKIQEQVSKNEVKIEYTSTQKESTAKVQQMKANK QQKQTPTQTIFSRKPIIGILEGMDTLQSTNRKSVRSRQSANNSERNSVFGSSLNQQHINQ AKIHSSSTFQTNGNLLGIQNDQIPKPAKEYYKCNSFAKIFYSHKIGLSRIFMLSQIYFRQ MLCFEICGALLLFDSQLQYYYIMGSSCGAYMGLKIFDYYMIKCICKDGRGCLVRLISYLM WASELAILVLGIMYYEVNNFICLQYYAPSLVLELFIIDPMRYFLIKNCQAQPRIIINKKS QIIK >CAK82958 pep:novel supercontig:GCA_000165425.1:CT868452:165877:167699:1 gene:GSPATT00017315001 transcript:CAK82958 MSHKFYSISTKVSSQDNVKIIRIQSNKNQKSHNNLINPSKIDPETLSHQNIQMKSQINSL SEEVQAWKLKYQQLQRDSAQNLMFDNSKTFLISLKQQLKKLESDFGDKIKQIEEYKKMIN VTKYQELQVELFNYQQQALLQQQEINDLKEQLKEHQNLQIEGLYQKQEKTIQKISKRNHD LKLENINLKTERHFLTGQIESLKTQLIIKDKEIRRLISFQKKLEENQQHQNTVTKTRQQI FRESLENAQLQEQLNQLEFRYSSDIKDKDQEISDLKLQLFEQGELRKQLEKFQQTSQEQK INLLEIQKVESRQNSTRKLKISLNNSGISQQQQNYSVLRQSSQQYKQSVQMDLSKIQNTE FTKYYNEMDESEKQLSQVNKQQKKVIRVNLYDVTHFGLEIKFKLLSKEFSLQDIEMLFEK YDQQIKIHELLDLLLQDPFKIQSYDQRKLIARYLVEDNNEDYVVYDALKGNDTQIVLSVF KQLIGKYELFTKREINSIENELKVLFERSHFQFNDQIQQLIVKKKHLRPGQCEKADFEQA IKFSELNLSPRQLEYIYFLNYTFFQDLQIIYYQNVMTYFNKSK >CAK82959 pep:novel supercontig:GCA_000165425.1:CT868452:167791:169674:1 gene:GSPATT00017316001 transcript:CAK82959 MKFKDFQKLNQKDILYGGLAIVLSSGFSAWALGKLVGFINHKKMERICTRKRQATIEAIN NYKLNHQPCQLSQDLQKQILNGSVAQIKQLLYEGKTTVQQIVLVFIERILKVACSDKLNI ITEINFIEALEEAKKLDEEIKQDKNVINKYALFGIPVSVKETFLQKNFDSTFGLGVNCFK PSQEDGIQVAQIRQAKGIIIARTNVPQVAMTFESVNLVYGRTKNPWNPSRAVGGSSGGEG AIAAARGSVLGIGSDIGGSIRIPAAFCGVYGFKPYSGRIPDYGEAKISLAVSGGMQLKIS RGPIARCVDDLIVLTKVLFDKEIYSKIPQQIKDPYFEPQELKEFPDKKKLRVGYFDIFNG LITPNCMKRAVHEACQALQQQGHEIVEFKVDKQVQSVIANCFLKIVVADGGMRSYIDALN GQNFIEEYDLLVQDSNTSLGIKNYILAPLFKLFGQKTLLEYNYNGKVDVYQYLVDSATRK QTKFAFCQSIIDQGLDVIISPAFGLPAVKHGGSKELAFTALYTWMWNVVDFPAGSLPITL VQNDQDLEINGQQNSIDLVYRFMKSDLQGAVGLPVNVQVSALPNKDEMVLRVMKEIEAVV KFNSKHPYPELN >CAK82960 pep:novel supercontig:GCA_000165425.1:CT868452:170018:172018:1 gene:GSPATT00017317001 transcript:CAK82960 MNQQQNQQQTPRGRFLRELEKNSSCENWEEGSGAKYGKLLLLIFQMIFYCVLVYSFAFGN PKELGQPFDVDNSPCGAGTGKEDYKFAYFVNPDSEEFLYRTLCLKECPKVENKMAEADGN GTEQLILDSVVLECHPNIEIASCDVRVQPDHPEFNVLYYDTIPFINVCAPTEKQRLQNVM KALQKPFIVRVLSDFYHSKFIFIGLALLALGLNFLIIYKIDDYPRFVIWMIVVIAVLGFF RSSFLGVIYAQRLQQHGQIESEHRLSEEEVIGYLHSNYVDSKTIFIISIIFLVFGLIALI FTIIWRKSITAVGQKAEIMNNYYLISQPDENVNYLGSLLKVFLGLTVLLAVFFMFILMAL FYLFTTCSPKSEEHQLAFESFQIDTIEIIFFTIIAVELVLVPFLVIGFSKLLIINIIIEI YKQQVLEVDADYLQNLNLNLKQRNLQDCIGKTVRYHFGELMWGMFRLYFAFCSKPIFLSG FRSFISVCLTQQGFLQSAQNQLEYDQQLQNKNRKHYQGFDYQLIVSFGVSNICIIVYMEL LLFSPACLAIHAPPYQILAIYLFTFMISYLFSTLYGMGMDALKFLLYKDLNEDPNNNYIG ASVQAQFRMIALPQISVPPQELEEFLNNQVETFQGFQQNQN >CAK82961 pep:novel supercontig:GCA_000165425.1:CT868452:172391:173315:1 gene:GSPATT00017318001 transcript:CAK82961 MKILLFALSIILISGNECSLKKQTCKDQKTKQQCIGTDWKASWCRWEDSCFTYSYASCYY SFSEQECLERPDNECYWQNGECLTNEVKCENIKTYEKCSHISKGYTVSCTWKYGRCLNVE KCSEITDFKQCRNAYMKDRCQYVINNVASDKEKEYIFAADIFDYNSCRNEDCVFSIHSDC PEFRNGRRCFLKSELCTQCSYQTNAFDCISTQKCTWQNHECSNILCSQITSKRLCNEFSY CQYDFTTQSCQIKNNDKKSHCYNYNIRSDPVKTKYNSFFGL >CAK82962 pep:novel supercontig:GCA_000165425.1:CT868452:173337:174550:-1 gene:GSPATT00017319001 transcript:CAK82962 MYKITPPSQRTHKGPKLQQSPISQLQNNETLTDDILQSSSEQYREQLEQMLDLKPSIRDY KQNYNNHKPDLWNTIQQIEKRMQEQEQNLQKVNNEQQEEINKLKSEIKQQKQQYEQEIEE IKYSSRIQSQKPQPSYNSHTPKNNREYSYRSVSNNNIEEMQEKVYLQQLVQDLRQQILAL QQKNYFTPIQNTDNIWEQKYRQLEKQKETELAMKDQHHIERISNLETQLLNQKQSYENEL FALRKILDEKSKEDSLLRNQNQQLQKDLNSYINQFNLLSQQIQQQNQQIQQYQIQIQQLS NQLQQQQPMNYQHQNQQLLELANQLQALTHLLEKKNQECEYYKQKSLQNLQPLQQNQQII TYRQALTPTRQNTVQKASDSIVFPTLTKQQILQQFQ >CAK82963 pep:novel supercontig:GCA_000165425.1:CT868452:174578:175533:-1 gene:GSPATT00017320001 transcript:CAK82963 MLLFTNRRLWRFASQKIYKDSTKLSEEDISRILFKEEESAANNIQQNQNNQVTIDSLYEK SNINELLDIIQKKAQQEITPKEEPKQPTRQDLPLKYGLPIKNVGLDLTVEKVEPPKTVTM KMFDILKLNEHTSYQEYENAIQQFKEGLYGNAVRPFYEVRSEMKETLKEDAIPYALLNNN KYMEEIRENRALNISIPGTIENEHAHRFLKLRPVEEFRHTPLIPISDRQMREYQEKVEAY HRKGVYLEVSREQLYFASFVAGILYIMFRMFQWVLKKEEEQGLQFQRLKLRRMGMAYEVV >CAK82964 pep:novel supercontig:GCA_000165425.1:CT868452:175611:177113:-1 gene:GSPATT00017321001 transcript:CAK82964 MYSIKTEHLPIAIVSLQMNDRDEEIRVYEGEDIEHIVRVFCQKHYLGQDCVVYLINQINE QLKRESSPRFGDSFGQNDISRQLLYPSSSQQSEVGITTQASSVDENQNSAQKSYEKWQQI INKKTDLKQISAVTQNTVLWNVPSSARSFNDNKKVSTNERLYRDGLDKQKIKQEKAQQHK LQKSQLEQQQATFQPLISPRSRQMAEQKKLSRPDCSINNIGSQLYQKGLDLNQKKEKQRM QMQEQERKQSPFQPRITDTSRNHSQNRSNTPIHDKLYCQAKEDKKKKEEFQNREFSKIHP FHPNPQNNEIKHTASYQKKLVDKLVKEHEEKQKRIEKKKQEYQIQTSNQVTFKPCINKDS TYKKVSEMKEYEDIQIAQDLQKMQSRLQSKNSFSQSETRIPSQSSQQSLFDLNIQRIFNL LDSDKNGYISKDNLSLDELDKKTFDVIEVVLVQIDEDPYREINLKGFKQLCEMHQLHLQL IDL >CAK82965 pep:novel supercontig:GCA_000165425.1:CT868452:177272:178064:-1 gene:GSPATT00017322001 transcript:CAK82965 MTRTNDEEYDFLFKIVLIGDSGVGKTNILKRFINNDFQLESKPTIGVEFATKTLQQSGKS VKCQIWDTAGQERYRAITNAYYRGAVGAFICYDVTREPTFKNTEKWLAELKEHADGNIVI IMIGNKTDAVDQRAVRTDEASNFCEQQKIGFIETSALDGTNIDVAFNKIVANIFHTIGSK TAKKVVQVETDPVVLSEQKTPQSKSKKSSEGCC >CAK82966 pep:novel supercontig:GCA_000165425.1:CT868452:178752:180715:-1 gene:GSPATT00017323001 transcript:CAK82966 MGDLKISTLNLTKRYSNSFQLFLMVHSHRVFRGFFIMLLLFHMILLLVPWIEIKIPAWPI LKIKFAIGTSLWPFPTIDMLSEENLYLRQILMKTLEISVIMLYAIDLLPKIFIWRQNVLW KFVNIVILSQMMFLLPVSIIAYDKFALPTDTMKLRIFSISFCFVIVCEILSIREQKSLRF LQINQRQQEKQRQIKQKTQEFSWCEIVKHDSPNDCWIVIKDKIYDVTQFLQYHPGGNMIL DGAGGDCTALWYSYHPSNLTKQEPPQKYLIGKVRDHQQYYSYDDDFYQNIKEEVEQKIPR NKWQNHWILFVKGFLCLTGYLACLYYYINYCTLLSAILLGFLAAQADVNIMHDGNHYAFS SNKTISFLAGYVLDLTFSTSVVYRRSHNFGHHSCVNHLELDRAFDTTFPYIRLHPLQQRF WYHKYQHLYIWIIYTFVNFTDVFGTFDEMQWFSNFPCRRGYVSKLQVFLQIIVKLLWISL TLIYPSIKFSYKVAFGMWFVYNAVHSYNYALYFSVNHWTLEAGVVDNQNINQTNWGKLQI QNSSNFAVDSFIWTHLSGGLNYQIEHHLFPQFAHTRLKEIKNTIQVKAKQANIKYFEFPS FLDALISHYRLLKILGSQDLI >CAK82967 pep:novel supercontig:GCA_000165425.1:CT868452:180912:181237:-1 gene:GSPATT00017324001 transcript:CAK82967 MKARHKYLDDHEGFKDTLPDLRQYGQLRMLSNALALTFTTGLCVKAFSKKKFTKHILYNV GLVAFLPIIAVVGHWQDEQSKIAEKALHDHIKSQFENKPE >CAK82968 pep:novel supercontig:GCA_000165425.1:CT868452:181562:182508:-1 gene:GSPATT00017325001 transcript:CAK82968 MSISSSNSYTPIPQRKPWTTKEDELLTELRKNNNLDWIEVARRIDGRNPSQCAQRWKRIK GFKLRKQWTEEENQKLKDLVSKIWLSLETGKQIREHYLNQLHPDINNEPWTKEEDEIVVE SYKKLGGKWSQISKNLNNRSENSIKNRFYSYLRNKYLNIKNPYYIVPEKKQKLNESNTSE EKQEKQMTNPIKQESSFQTNYQQVPLEQNMQLFMPFYQNQFIQYPMAYPCAMFVPIYLYQ QQGSQLNQVMRY >CAK82969 pep:novel supercontig:GCA_000165425.1:CT868452:183264:184673:-1 gene:GSPATT00017326001 transcript:CAK82969 MNAMKKMSESRHFSSQQQESSPLMNQSTNQSTKKRNKNKENINLFNEVNSLLQYYQNSNI LKKKTSLPTSMVSPKKMMDDIFLKQVLQTRKTNSRNKVSVSQNVGQKVLSLSPIEQKQKS FTKGNQFRCAYHANKKAKFFEYNRSIGAIFYCSECSVGKLIKGNILFPVENYQVKQVSNK KRENQSVSRANFWSVDENSLLSNSPFLLESTDTFTSITDDTEDKAKELKDFQKSLSSLQQ ECQSIIDNAKGNTYQTQFNTLNTELQQLLYMINIQSMKLLQQLQSQNHLQQVYEVQNELK SILSDIQSNESNILNNMSIKPFKQIMNKYKEKLKGHTQTINNCSSSQQIFELDDRLQKLN KIKKLLKTNFKIETDEQELLSTKRKLSSNVYISFENKEMFLAAAAANKANSIHAKDEPDL VQIDDKCHLLESPNFIQN >CAK82970 pep:novel supercontig:GCA_000165425.1:CT868452:184732:187401:-1 gene:GSPATT00017327001 transcript:CAK82970 MSSFSPRLTKVQTKTGFIGTFEAELFAQQQNTQLRAQRMQQIRKQESDLTKKKIQLQQQQ QQKQQEEEMKKQKYQEYLQKKAQIEQLEQARQQTILDQQRQAREAEEYRQREYELQQEKL KMQAYYEEQAQKRFQEAQEWKKQSFLETSQGKALQIQNQRKEASIIEKQKEAQSVAIYKE SSKNKTSSTFTQDLESYEKFDKNISRRQILKPEDFANTCFHNPQVVKHDIDLEKENAMEK ANQTMIETQALLLEQARQKREQERAQKQRSQKALSKERQIKVMEQLEQETKFIKKQEIIQ LQKTKPPTRKPKSEKNHQKILSTEFDKMFNCNNSSIEVRDHRVKSNSPKKSLTTKNKEKQ RPKSVKIFTKAPLDTNLLNDPQKESLVKEDYTKYAIGSEDQSTSQKKRVQFSDMKNESPH SFQNKEIEQTLKITNSKSPYQQHFNNDPVHSNENSDDEENNQEEQINESDQEDQNEEQQS DIDSPPQPKSNQIQDIRQYIKDQEQYLQKLEQQRINTKMKIKEEESNLVQSFKNTRKQQF SKQPIPEESEDESSYQQSPSQMNQEETDRQQDSFQQQSQSQNQNQTSPETQNLQKSSYLE TIDNFDPQDIMEQFLKPKKTANNQNKQLSLFADPQSSQKQTISSQQKQSQFVTLQQMNSP NSDLNYSLSEVKNVTSPIKKYEFQQTSPPLAQQHESSLKKESIDSEQMKYSLQQSSEQLQ DLVQQEYEETLGEIFQKRKAMLAKKFEQRQDSKTMEKGGKTKEELIQIRKEMLKSKRQVE KEKQIQEEIQQEPSEQELRPIEQSEDKRAQLMKRLAMGEKVKVDKKEMKELTNKNYELLP EVKKKKDEQEKNENIKSRKQKVKEMDQKLRETMKQKRQQCS >CAK82971 pep:novel supercontig:GCA_000165425.1:CT868452:187869:194351:-1 gene:GSPATT00017328001 transcript:CAK82971 MISFVKQLILVNLWLYLTNADWIQIDSNFNDTSIDENDWKVKKSCDHCGDNCEQDFQSGQ CDNSVNSLDYALIKKDKAKLYKSYEYQSFQTQIIFDVYFNRADRDKFSSLSVDYHEDSSS TTNTLFEKNYKEADLTQKSNRICTGGSDTEFDQYTVVSSILDNNAEKFWITFCLDPEKDD VTVFIRNLLIYVNTCYPTCKTCNGAAKNQCQSCYYNSVSSGQCSCPSDNQFAQTTVGCRQ ECDRDYFIAQSDNICVPDRRIKSNVKYFDSNSISSSVYPRYTPFVFIPDLLKYSNTGLIY DDCDSKDFVGEIKFCEGMFLRIQNINALKFIRLRITLYLFSLQTDSKIEIYLDDILQSQI IAQSGSFQFNSCTKIYSKSDNCDSKPYSLVRIEAILKSFTNSPILTLQGKLQQNTESWGF NNVTIDTGLCQENCKICSNFNDCSQCETGYILYQNGCISQCPVHSSNCVDYADMIPYSRY LAKGFYDMNMTSQQVSTFFDSVTNPTTNFKTGQKFSFFPKKFVLGGVMVWNNAKYIKSWT ISKPHYAVTIRFNLTYGDEYSGNFYYQIGTDLSTAYAIPPSGGQNVVGRNKQERTRHFNI LKTPFKTSPLNIEFQCTGGDSDIRQQFCAISEYFIVVHYCPPFCADCSSDTVCITWESGK TSSLCASNQFQTFNSATETYTCNTCTQIGCLTCNNLDECTSCQSTQFSLQNGVCQCFSFY YLSGSTCLKCNKFCENCNGSNKNNCLSCVTDYYRAILFNTCVCQIGYYDDGVNLPCLPIC GDTLVVNGEDCDDGNNNPFDGCHNCKFTCDEPCANCVSGKCLICQDGYKLLSNKCAPICG DSIVAKFEQCEDNNLTPYDGCFKCKFSCSSNCIDCYFGTCLECDETNGWYLQNDKTCATE CGDSVKVPLVEYCDDANSEPMDGCDQCVYSCNKNCVECIKGTCVICEDGYTIERRTNTCI VDHICGDNQITKPMETCDDQNNLRFDGCYKCQFSCQDSCTNCQNYGCLECNTEGWEYNDI LLKCEPICGDGIILINYEECDDLISVNCQSCRFQCQDTCELCYKGLCHKCKKGWQLDKFY NSCYPISGDSLIVGSEECDDFNRNPYDGCYQSQYQCQMACIKCELGKCKQCQNGYFNFNN LCYEIIGDGLVVGKEQCDDVNLSALDGCFQTKFDCPQNCSACVKGECLDCNKSSGHQVDI LRNICISKCGDKIKSIDEDCDDGNDIAYDGCFNCQFQCDLYCYLCFEGQCKQCQVGYYLN KKKNHCFSICGDGISTHDEECDDGNNILNDGCSDCKSQCHQQCTTCIDNHCYECKGIGWE LNLTTRQCQPVCGDGVVIGDEQCDDGNDLGEDGCFECYYQCQNQCTKCLKGFCEECDTMG WYLEWNQCFPYCGDKLVVGNEECDDGNIIPYDGCYQCKYQCQEQCTDCQKGVCYKCEVKG WTLLNNLCNPLCGDGYVVQGYEQCDDGNNLQYDGCYECFYQCEEICTFCDRGICYECNKL GWVVENHHCLPYCGDGLVIGYEKCDDMNDDFNDGCHLCQFACDQFCIDCQEGVCQQCEPG RNLDKNTCQTKCGDGYFVKLAEQCDDGNNDDGDGCNRHCVIETNFICLNTEGSFSNCFYS KIPEFKLNLITKVPEDYEEVDLVFDQKMKFNENLVGDVTNNIETEIINLRTSDYFVTQSI QKSSDEISEIVIRFMINFYVPIDEPVFKVKFLNNPIISEFNLTLEEDQKSLQLLTPMVLT ADQKAIAESATVFNEAIIYSLAGISSICLLTGSTEIFWNLMDQLQYLSYIKYVNIQFPNN LNIYFDIFNLVTISPLMKTLGIDSIFNSLDGEATYFVKTQSKFEKDEINAYFFINFQSFL FCFITAYSGYLLTYYSHCALYRLGPYYISKVTFGMGKKILEFRKTLKKKAKEFYYNGILR IFMSNAYDVCFATTIQLNYFQKEDPILIFNSYLSLFVYLSYLGISIYSLSIMHHFNDSTS IKKKIQYDALFDGIQENKSYWITQYNSILLIKKQIFISIIVFMQNEGQLQTVCVAINQSF FLGYMILNKPLSNSFEYYKMVSTESILIFNVLTFLIYSNRVELELTLQQCINIGWVHIFT STMILAISLIFDLIQQMKLLIQKLKPRFGHQSLQETGAPITLFY >CAK82972 pep:novel supercontig:GCA_000165425.1:CT868452:194941:195938:-1 gene:GSPATT00017329001 transcript:CAK82972 MSNILGGALKVYSRNKRRIDPHLAILGLCLTAKFFFRRAKAIISTLSLQQVDVANRYGKG SYALITGGAGGIGREFALDLARKGFNLIIVDFNQAGLDSIQQDVKQIKSDLMVKTLLIDF SKGDNAEFFKKFQQEISKLDISILINNVGTNKGCFGIFDRLPMKNIIEGFNINFVAPIMV TQAVINQMLLRNKYQSLILNLGSASQNYPFPYFFGYGSSKVGMASFFDALALELRNNKNI DILTLKPQYVSTAMIGHQKGLLIISPQKLVQSTWKYVGRTNEITPNCIHQFQVYIESSLP KFLRNFTKMMSHRKGAEAVTQRQE >CAK82973 pep:novel supercontig:GCA_000165425.1:CT868452:196577:198339:1 gene:GSPATT00017330001 transcript:CAK82973 MIIVLIQILFTLTLSNRIINPPVKIVEETFTSNDFYRQASEIIEIPYGAYPGVFAIDLHQ RVKGEISNKSINLGDFEKVEEDLLEEDGCYSIQRNIQISMKLKLWLDKSGLTRDIYCTDV ALAEKFRQAYVVRNDFKIIQVDLNYGLFQLLLNPIQTSFANMLDYDEDNTDYHPYLVSDK KSNKIFIFTTNGGLSFESNQKKEIEFKAEKEIKKRDKIYNVHYNEKHQLIFVACGYEGVD IYKINNGNLELLMDISADYLGIDSQIVDVNSNGEDQLYVLDIERGLKFYWIKNLMELVYE FTIIISHAHSFDFYDNTFFIVAKTINKQDFAIEVFVNQVTYDYYINNYFFDEMTINDVNV FQYYAVLIGDDGHKIIYHSVYQGFLNENLVSHTTFYQADLVKVKEFEFEGEEFSNQRIFA AISKYEFQMINIIMNMPKIECKYKDPGVRQFYVKINSTSCAEKEKEKNLNYQFTLCNVSH SFTFTTTELAGNYNTYAYYTLTLYVLVVVGIWLVVILYYLIKKWGYKIKYLIWRKKIVDA TAPYNESFDIKETELPEAKPK >CAK82974 pep:novel supercontig:GCA_000165425.1:CT868452:198455:202079:1 gene:GSPATT00017331001 transcript:CAK82974 MTETKNVQIELQAPHALSSAEDRDSRNLFDYQYDNIEKKGNYAQKYQSKNRKITSNRPDY QLPNNSIQTSKYTLLNFFPKQLFEQFSKLANVYFVLMGALQMVPEVSISSGVPTIYLPLG FIILVSGAKDFYEDYKRRTSDNEENKQQVTAFDGKDFVKIPSYELRVGHIVKVNQDEIIP ADMILLRSSEIKGICYVETKSLDGETNLKQKNVHVDHLQTFKSDDCFGQLDKRIILKYQA PTPYLYKFIGETTTSNLQVSSINFNNFLLRGCNLRNVKYVFGLVAYTGHDTKIMMNSYKA RTKRSKLELKMQKFILIIFITQFIMCIIASLVYSITYYNNRKYLNYLYIGVHSSEYTIPY NFFVRFGNWMLIFNNFVPISLLVTLEMVKFIQGKIMTLDEKLNQPRVQTSNLNEELGQIE HIFSDKTGTLTCNIMEFKQIIIGNQNYGDILRSSDDYIRDDELLNYPQVSNVDFRDKTLI QAINDKSHVMHEKVEECLKMIAICHTVISDQKDGKLIYNATSPDELALLNFARFVGFEFL GTDENNIKRISYQEQIIEYQLLEMFEFTSARKRQSILVQVINTGDIYLFSKGADSVLLDQ VRLSREELNKNEYHQLVQRLQEYGKIGLRTLVLSKRKLSKQEYEEWHKRYQQATQQIENR EEKMQVLQDELEKNYEILGATAIEDKLQQDVSDTIAAVKAAGIKVWVLTGDKIETAINIG YSCSLLTNNLVQHIVDEKEELLINERLDDILNKIPTLQTTQGQALIISGDALLHALKPDI QKKVAEVCGFCEVVLCCRVSPKQKQDVVSLIRGRNQQCSTLAIGDGANDVNMITAAHVGV GIRGVEGQQAARAADYSVQEFRELRRLLFFHGRECYRRNSVLVCYTFYKNILVVLPQFWY GILSMFSAQSLYDTFIYQLFNILYGALPIMIYGIFDEEYDADQLTDNKLQNYYQQGPQGV LFNIQIVLFWVFCGFWQTAILCFFATYAISENFVEDSGFTHHLWAQGTMIFGLIVVICNL KVLLFSNTYTPALLGSISFSMISYLISWIILDNIPQAEAYVVFQSLFQTPNFHFGNILVI AAICSIDIALNIKLNRVLQKVNQNMSIKTPFSLNNLSIHQPPKIGGKLNNKAHTGFAFNC LDRDELEDQDKIDLYGIDNQVQSQDG >CAK82975 pep:novel supercontig:GCA_000165425.1:CT868452:202085:203531:-1 gene:GSPATT00017332001 transcript:CAK82975 MFRRVFGNSMRHNPTRLRYLREYVCLREAANPSAQQAQIAQFQQVANKYGLTTSQLTSLS GIYQLRQLLRPQNFPNPNDYKVHVEKLELLFRQKQQSSENLEQFAQSLQHRIPEISKLTN EARKQAAQDLFSIYLEGIHYDNLVSPGYVEQLESTFRQRTTGVINQIKAEVKDPQLAAEL AQSLESIFQNYQFNKGRYVGLIKSFIEANQVTEVQVSQKVDQKTQEKQLFIQTVDRATKK FSAEDQEYARNVDGHDFKLFLQELYEKEYQSGFAQNRFNEKGQKLVYESQAQEHHVLRYQ ILAGVFAGYFLYLFYRGDAYYSTILTVPAVAAAFFYLAKQGQAKRAIPFIRQVLKNTDNT YEVVFEQNRIISRIENVQAAQIKLAQDSALSNTLVLGNPTDFGYHVRVADNSFIVPYLYS GNGLDFRTFINI >CAK82976 pep:novel supercontig:GCA_000165425.1:CT868452:203634:210777:-1 gene:GSPATT00017333001 transcript:CAK82976 MSKEIKVTAGVKKRLTALEDQEISVQNQDSFSDDGKYGEYVKKQNREILKKIKELIHDGQ STTNQQEEQSFVIANDNHKQDLLEDLEEEFGQSYQESKVKINTYVKLIPKNELQLAYIYN DKYPFLVIRKKILISTKLVSFYAQLVTTHPIFEVITLIMIVFNSVMLAIDDPTTNVQSPF QNLTDLIFLAYYTFEAVLKIVAQGFIIPKKSYLRDTWNILDFSVIITAYIPYFLASNSVN LNALRSFRVLRPLRTVSSIKALRTILLALFASIAQLRDAAVVLMFFYSIFAIAGVQLFSG YLKRRCIGEESGITWVSEEILFCADDNNCPFPEQTIYNENFICGKQIANPQNNLINFDTF GYAFLQVFIITTLEGWTQIQTAVMLTFSQFVVLYFIIVVLVGAFFLVNLTLAIIKLNFKP EKIQEELAQIKEEIEEYDYKQLKQLKLYVPEREKVDTAGFGITWDKPNDFDQNIIMNRRK HTRKGGSQMNIIKNTYQRRFKGKNKVHFEPIKSAVYYSHPVMLNKKYVKIEGIYGMGNQT KLNQQQQITSNIQSSIAAKRSSGVRRSSQSSNNDDKTSSKQMFDNESGSGHKQPKKSLQL CDQQLSSEFMNSPMMDLQSENIRPLNGGTMLVHRGESIDSSRSGESKLGRTPVNNKLEIP KIGDIKQQSQSIKPNQKFSLAAAMKFQKQPRNSPKPDSQKSLDDHEFDSVNLSELNSLSD DELDQRLEEMDIEVEEKVTNVNSVNQKQIQKELNRQRKMENRRLRDLNKNSQQNLDEASL KLKSKLFDTKFYPIIVVDAEFNSVNDILISQMLQKLEQKRLEQEKKIKELDFKITYCFKN SKQSLELKKTSNGKTKSLTKSAYLKSGNKSKKTNLSLRRVQPILDEIHPFEDYQLPTEKI AEGQEEQIQENQNGNSESDEDNENENNIDRDQNNVNGSANQKLNQKKRKKRDEQNQKLDY EQLGEKFNDSSDCIVDLNKIRQVELHKQFNQDELALLPPQEIELQKGVFYQEFVDIKQKD IHESKGIITAQASIEDVLLIADYTFYDQKFARQMNSVMRALNFSKRETYIYLKGFIGLLL VLQNQLLYFVQSGYFEASMNLAVALNTVILALDGLLPDSTSEITNQFNFGFTILFTIELG LKMLGMGPRKYLRDTMNIFDAVIVALSLVELFFLGGSTNGKSSLSAFRSVRIFRAFRVLR VTKLMRSLQFMGFLIKVLSNAFQSFMYIMILLLLFIFIFTLLGMAFFGGQLSKTPSRQSY DDIQSAFLVVFQVLTLENWNSILWDLLVQDVSAFITIPYLVFWIMIGNYVFLNLFLAILL ENFEEEYKNDKAGLDTNIEIGQDSVMDNTTQAVNSTSTLKSTMKTKKHTIAQQLENNEDD HDQKKHKQINQAFSYFVEPGMCQYSLYLFSQQNIVRKICYRIVKDDKFETLIFTMIFLTS AKLVFDTYIPDTGQLKEVSLDIDIFFAAFFGVEMCMKIIAFGFISQESSYLRESWNVLDF FIVIASFIDVSVSTINLSFVKILRLLRTLRPLRFITHNRSMKILVSALLQSINGIFNVAI VVILVWMMFAILGINLEKNKMSFCNMGDDEIYYHYGVQECKENGGVWENRKTNFDNILNG MLTLFILSTLEGWPDMMYWFIDADESGPIKAAQLQFSWYFIVFILFGSILLMNLFIGVIL VNYHLAEEASRDKILTQPQVDWIELQKLIVHSSPNLAMFFSPENPFRAKIFTIIKHRYFD PTILMIIVLNIIIMGLSQDDSPILYDQVLTQFNTAFTFVFIGEAILKIIALGPVGYMRNS WNQFDFFVVCASILDLILSFTGNSFISFLIFRVLRVTRLFRLIKSFEGLQKLIETAIYSL PAMLNVTALLFLVFFIFSILGVFLFGTIKSGWVIDDTNNFSDFHHSIELLFRCATGEDWY KVMFDTMQDGQGYYCIFFIIFIVIQQYIMLNLFILIILDQYEINYFNSDNPLNKFQEYEN MFIESWSKFAKEDKGMKMHQQLLVPLMLQMEKPIGYDIKQKLQTEIAEWKRINPQLDNKE NVQKQTLFLKAQAKRIVSTQLMKMNIYSDNNGHVKYHQILFCVMKSYMWKKVQVNLSPEG AEKILIKEEETQKRLKKKQVQQSKEVSLVNPIVQFLFVQMAFKTLKRYGEKKKQQKELQV LIEQEQEHYSDGFSSDSSFDNNIEILSRNSKDTEYPRRPDYGKPKFISLPNTEIYKEDEY INKGIPVQNDSNKNSEEEEENPDEDVMQQYTKDFQKHLINNGGSNGNIQDDRSGGAATKS SISRQSQINKQQKKLTLKPNDLLSGMSKKSIQSISQNNNLAAIYDNPLQ >CAK82977 pep:novel supercontig:GCA_000165425.1:CT868452:210811:217478:-1 gene:GSPATT00017334001 transcript:CAK82977 MKNGVILEQYEDEDEYEIQEEKQRQERKEYLRRMQQLIFDETNYDMTLQQNTESQITYEY LIDLDNEFYIDYTHNIPAPSSQIKLRPMNELQLAYIYVDEYPLLKLRRILMITFQSIRYL MKYLINLKTFQLILMVTIILNSLCLALEQDQYEIIFIICYSVEAIFKMLALGLYIKRDAY FRDFWNVIDFLILVFQYLPFFVNIKFNFNALRTIRILRSLDAGQSIPALKVILTSLFQSV YQLKDALIVLVFSYSIFAIVALLLFGGGLKRRCVNLETGIPRNDEVCSQCMGEFDCVKQI ANPFNDLINFDTFGWSLIQVFICTLLESWSQILDYTIAAYNESVYIYFFMVVMVGGFFLV NLTLAIIKLNFSNNQKLIISPVLEESYDYWELRIMGIYEPSKLQAQAATRRKSDALFTFR EKSQRIQTKIKHRTLKYPSQAQNSNLKIHPIKFADNFSTPFTKQDKKFMGIYGMGRKLQP IIGGVTPSNQNNRKLNINQEQRGYSILQSPIDQNISFSYNISPDNKLGNMFFSQSNRSLL KDNQTRQLSSKSILNNNNKSPNNRSRNTTPQNQRNELNVGSPKSQFSKQIVRKVRPSISE SDQMLVNDLKIIGQHQSKKTITTPKDTDEQLQDFFSQAHNNVLTNENQSNGLLTPNNQLS QLNPTTFNRTHMQHGTYAPRTSQQIQMLQDVKQRSFNVKNRQLEMVKNDVSILDKSENSI INSIPSEIVEQELMDLGILDYNLMKKQKSEIPFNPLAKVNFVRRKKPRFTRKTMKKSTYL SKTFNSANDDRYQQLKIKLFKTKFHKEVSFQPYNSNSELDVLEVQQMNKIQQNIKNNEEI NRKIRKQVKYVFSNQQTQFVQDRSSSKIHPSTTVQIKSTTAKRSQKQVKFQDQEEQQQYK KMIQHEQKLTFQEAQLLIRKEVQAVDLEQYGQVEIQYNLKEQLRYLNYDQITITTSTNYQ EYVKLMSEASSQQELVQQSSIEDVLLMSDYIDAQTVAVMNKIMKALNYTINIYEIWLPGV SGIIKVIRVKLLNLIQSEYFNRSINLCVAFNTIVLALDGLVTNDNLLSDFNLAFTIIFII ELGLKLIGLGIKQYVQDKFNIFDAFIVGISLYEVIINQEGGGKSGFSALRSLRIFRALRV LRISKLIRSLKFMGFLLKVLGNAFEQILWMLILMLFFLYTFTILGFSLFQGQISDQNYRY TFNSFIISFETVFQLFTISNWSDVLYSLFLSDANNIVVFLYLVIWIAIGNYVFLNLFLAV LLDNFEAEYRRDKDQIDNNIIIGRDQIFESAISSKPQASRKSSKSSIQDDVEEYQKLQTQ RFIYFSEKGIGEYALMVFSQESAFRKLCYRAVKDKIFDIFILILILISSAKLILDTYTLG DSYEIVSYWLDFSMVILFGSEAVLKIIAFGFLGDELSYLRNSWNALDFIILIGSIVDISV AAIDLSYLKILRLFRTLRPLRFVSHNRSMKIIVSALLQSADGILNVAIVIILVWMMFAII GINFAKGKLYYCDMGDGGMHYVTKEQCEGSWRIYDSNFENIFSGMLTLFCLSTLLDWPDQ LLYYKDGTENGLVKDNTPEFFLFFLTFILIGSILLNNLFIGVILVNFHIAEEKARDSSLT QDQIQWIDTQKLIIESKPDLSLYYMPKNRIQAFFFKIVKAKKFDVIISVFIIINIVVTGM YMDDANLEYLYAIDQINFAISIIFCLEATLKILTFGPLAYFKVNWNQFDFVIVVISIIDY VTNESGLSIGKGFRILRAIRLLRLVKQFKGLKKLIDTAVFSIPAIINAAALYFLIHSIFS VLGVFLFSDIKKGKIISDTNNFSDFHHSFILLFLSQTGLDWNRIMYDTMNNGSNFNALFW VVFILIQNFVMLNLFILIVLDQYDINYFHEDNPLNRFDEYQNKMLDIWTRFSSDGAIISQ NKLTDLVLQLPKPLGLDINKSVQKGVEDWKNINPQHTQEEINQLKFNIQADLIRNTLFKI MDMQLKCDAQGNIPYNLVLFAVIKEAYLEKLEINLTEEGGRKLMMKEMETRSEIEEDYGV DLDQDVNPLVSYLYAQTCFRAMQRFVEISKYKLIDNGLSFLAKTDSSLDFEEYLDNDLSK DEYEKPDYEEVYFVEIPINNKIYNGEQYKVQVAQEEQSKDENSSKQVIDDENDAEEAEDY KLQIIDFNKYLGD >CAK82978 pep:novel supercontig:GCA_000165425.1:CT868452:217726:218082:-1 gene:GSPATT00017335001 transcript:CAK82978 MFFKMRNLRMINLKAMLIIKYKENLNKVNQQQNEKSFGIAIVAVSQDQTLREIKENEKFK QQYLQLLQYHQDLQNLQDETKSQLDNLDLSWAILGNDIQNILEIYKLNGFINKAQILF >CAK82979 pep:novel supercontig:GCA_000165425.1:CT868452:219431:220070:1 gene:GSPATT00017336001 transcript:CAK82979 MIIKQIEKLLSSINENYSNIQSIAQVCFENPDEANFIAFLVENEIKEVQPDKKLLYLFLI NEIFQLELKQRRPTIDFIKAFGIKLKNMILNFQILSSIKPLDKVFYFINKWEKDMIFHPN FTMKLRNILLPKYELLQKQKQQQLLDEMEKSVKLEKNLKIIQVLYHTQTNAIIYLNKANI LKNEPSNLINTEIILTK >CAK82980 pep:novel supercontig:GCA_000165425.1:CT868452:220828:221282:1 gene:GSPATT00017337001 transcript:CAK82980 MDLRNKKSQSHHRITSYQFLKWGQFKQELPKLAMPPTPRKKNLSLDLLSEVRNYQKQKIV IESGQLTSRGLRQMLKPNKLKWVNQEVKLDSQSLLRNKLTVADFFLNSKKGKTELMPFDL IQSDSLKQFLGRLKCHIKTRQYK >CAK82981 pep:novel supercontig:GCA_000165425.1:CT868452:221555:222503:-1 gene:GSPATT00017338001 transcript:CAK82981 MLDHAFVKLPAGFPDHPHRGFETVSYLLKGSFYHEDSKGFSGHLLPGDIQWMTAGKGVMH AEMPGSWDELTSGFQLWINLQAKFKMVEPQYQEIKKDLIPEAKQKGILVRVIAGEALGVK GPIYTRTPAFFLDVKLDENSEFLQRIPLKWNSICYVYEGQGIFGGQNANQHQVVHLDIND KEEVLKVKTGASICHFIMIAGEPIDEPFVQHGPFVMNTKEEIQQAFEDYYDQKNGFEGAH SWKSKIQNLKKKRQ >CAK82982 pep:novel supercontig:GCA_000165425.1:CT868452:222524:224014:1 gene:GSPATT00017339001 transcript:CAK82982 MLAKHSRLFESGAEPIKREILESYLVQTKKHNSNEDSQLREQIEKLTNEVATIKKSVSTK QNDKAIQCVDRNYELMNSLLKQKDKSIEQYERQILSFKNMILQIDHQKKKLLNKLEGQDP MKNSQATLLSQQNQILFDKQMICKSQQTEIEFGINFSQNCVNSSVITQKSNSQSVQTDSK FYNEINMLTQDEVRKEIEQYKVILKEYDLENRKMKKQIKQLQNSKSQIDQDTQTGLIQLD KAVQLEDDLKRELEQTIKEHQQCIDVLNSTKKQFNQQTMELTILKNQYDSLLSDYYFIQS ENKSNEKIINQLNEEIEQLKLGIQTKEFSISSADTLTSPNDSLRSYKKMGSNLKLNIVNP TYFNPQSIDELILAQQENQILVLKISELKYQLLELQRNQKNLLEEMNQRDDLMNSQQQEL QKYRNDCKEFERRMENVKKLEAKLMEKENWYVVEISGLQQDMTALKQEYAGLKIINQTLH KQLEKYQNRGLLQHSK >CAK82983 pep:novel supercontig:GCA_000165425.1:CT868452:224043:224483:1 gene:GSPATT00017340001 transcript:CAK82983 MQLTTPIRLAESHYIAGPNVSNYPQLTQSMKSPNISAASGNNSSQKARSDSKQNNQMVQS STNQIIEFDSIEPIQIQCENCHTFSSSIVHVEYTIYTYVSALLLMLICLCWIPLVLKKYF MKISHSCPGCDRLIGEYDYLGTKLNK >CAK82984 pep:novel supercontig:GCA_000165425.1:CT868452:224507:225716:-1 gene:GSPATT00017341001 transcript:CAK82984 MGNSSDTQITNDLRYGKVNKFTYENSLIIQKVIDYKNAPDQLANCIHRCQLSQSINHKNI IKLHKYEVDQLSVSIYFEHYDYDLQQDINKRKLNNNYYQEHELLQMLDQFVSALAYLQQQ QIFHDDIRPQNIFLTPNDTIKLNQQWVIDNTQNQQRYYAPEQLQPQASNVQPNPFKSTTY SLGITMLHLMTLTSMEIVYLKNQVNQSLVNEILRCTNYSNKLINKIQHLLFQSPNQRADY MYQVNKQANLQQKLLSSPPKTISSSQRYVYRTSQSPLTRTIQPRHSHSPFTFRRIAQLQT PIQQVPPQQIAIIQSSPRQIVTNSSPMKVVKQQEQQSQLMFTPNQSIKTKEMLQKLDEVT PKTDLDYRIQKALKQTNETLKKNYMLQ >CAK82985 pep:novel supercontig:GCA_000165425.1:CT868452:225895:226561:-1 gene:GSPATT00017342001 transcript:CAK82985 MFVNNYCLSMNCIIAPLNGCGGIYLGNLDAAKDSQLLTQYNIGAVLQVLDQSVPVRGAQK LWIMAEDSEEFPLNKYFEQAIKFIENQTKKTNVLVHCYAGISRSAAILAAYLMQKYDWTI NQAILHLQSKRRIVNPNPGFMIQLQDFQQKLRNNNQESTLSLATIQHESQNDQQNNQNCQ REVSPLHKISRLDEFNNKLDQFRNQLRIKHKVC >CAK82986 pep:novel supercontig:GCA_000165425.1:CT868452:226745:227561:1 gene:GSPATT00017343001 transcript:CAK82986 MSKKERKDFFDIMIKTKSSQDQIASYLVQAILGLRDRENKSESIRLSGSGSAIPNVILVA EIIRARHKGLSSIVTLENIQKEILENGSKSIISIPAIRIKLTCNPTKEEQEQPGYQAPGD VKDDKKIELYDYIMIYARNLYFWKGKEGQKQTYNQPQKRTDQQIEERGELKTRGGAQVQV GKKNPEELRRKPDEPEYREKHEQENRDKRYSKPKPITYQSKQYSSQDKEGQFRPKQGEVR TRGGNRR >CAK82987 pep:novel supercontig:GCA_000165425.1:CT868452:227563:227748:-1 gene:GSPATT00017344001 transcript:CAK82987 MGISDLILLQVPPVVNYTDVQAQPSSMQVTQTSLPNNDRMLFQYNILININYIYIYCSNK Y >CAK82988 pep:novel supercontig:GCA_000165425.1:CT868452:227753:228025:-1 gene:GSPATT00017345001 transcript:CAK82988 MVKNVAQESVNQKLQLVVRSGKITMGYKQTLKAIRNGTAKLVFISNNCPTIRKTQIEYYA MLAQITIVLYQGNNVDLGTGVQREAQFFFD >CAK82989 pep:novel supercontig:GCA_000165425.1:CT868452:228125:229717:1 gene:GSPATT00017346001 transcript:CAK82989 MSKISQEAFEYLNKLRQNPKLAIPKLQEHLKLFKGNVLQKPGEIPLQTNEGPKAVNECIS FLQNQKPLNPLTWSKGLECAARDHVKDTGPKGVTGHTGTDGSSMSDRIERYGEWDVTVGE NISYGQTTGEDVIIQLIIDDGVSSRGHRKNCFKPEFGVVGIYDGEHKQYKTQCVFDFAGS FEDKPGLDASAPSQNASQQQPEQQQNRQPDAPPAQPQAKDPDDKITQDAFNYLNQVRQNP TLPIPKLQNLMKLFKGSVLYKPGEIPLQTNEGTKVIQELIAFLQKQQPLHPLTYEKGLEQ ACSDHVKDTGPKGVCGHTGTDGSSLSDRIERYGEWNGKIGENISYGQKTGEDVIIQLLID DGVGSRGHRKNCFGQDFNLVGIAAGDHKTYQSSCVFDFATEFTPQGQQPKQSASNQGKTV VLGGKGGHVGAAPQQEDEEEEQLPPGCVSVSTSSAVSMKGGQKVTKITKTYKFKDGSTKI AVQTITDG >CAK82990 pep:novel supercontig:GCA_000165425.1:CT868452:231207:231710:1 gene:GSPATT00017347001 transcript:CAK82990 MEQLPKATVQQFIKTSTNGDMKCSNEFVTQVMSMAREYIQLVSDQANTICLEQGKKTISG EFFYKAIQKLKLDSQIPHLKEIEEEIKEEVNVKNQNRARFQDEEHLKQLEEQQKQLYEKA KLENSKSMIDDEEHGKSRKLNIQFEQDDEDQQ >CAK82991 pep:novel supercontig:GCA_000165425.1:CT868452:231751:232632:-1 gene:GSPATT00017348001 transcript:CAK82991 MLLKFKIISLQQYLQSLFKTNLSKETMKLKKISTLKTDTDLPTINLQSSTQSSKPMKLPF LLLSPAPQRRNNLSFHQHPKKISPDSNSSQNFKSFRGRSITLNRKNISQFIQPIPLQSLQ RQHLTHKSKFEEQLQKNKSDNQQNRDCNREQVQTEKNYIHSQNNRKIQQGQCKLNENKTK SKVGQQQTKQNQAKKEQTYKKYSFQCFQKQIPKYHSEYTQQLIKQQPKFLEFGNCHYFDN SQKWVTDYEIALNMSEIQEMNEDVLSSKAQSTFFNKVI >CAK82992 pep:novel supercontig:GCA_000165425.1:CT868452:232641:233233:-1 gene:GSPATT00017349001 transcript:CAK82992 MINFILMVNKQGQTRLAQYFQFLSVKERMTLEGELIRKCLSRSETQCSFLEHRGYKIIYR RYASLYFIIGMDLEEENEMAYLEFIHNLVETLDKYFENVCELDIMFNIEKAHYILEEMVM NGQVVETNKTQILAPIHVLDKAKDE >CAK82993 pep:novel supercontig:GCA_000165425.1:CT868452:233256:234370:1 gene:GSPATT00017350001 transcript:CAK82993 METKKVKLTDYDIMNTLGTGSFGRVRLAKQKSNNKYVALKMLKKIEILRLKQVDHIISEF NILKQVKHPFLIEMSGYTQDERYIYFVLEYIQGGELFTYLRNAGTVQNEEAQFYSAQVVS MFEYLHTKNIVYRDLKPENLLVQPDGYLKLTDFGFAKVVEDRTYTLCGTPEYLAPEILLN KGHSKPVDWWCLGIFLYEMLAGIDPFNDEDPMAIYQKILKGKVKFPRNFDNEAKSLVKHL LEQDVTKRFGNLKNGVDDIKQHKWYETLNWKDLFAKKIKPQYIPVIQSDYDTSNFATYPD STELPDAVKAQDDPFKDW >CAK82994 pep:novel supercontig:GCA_000165425.1:CT868452:234498:235626:-1 gene:GSPATT00017351001 transcript:CAK82994 MKTTLAILLLVSIASATSTHDQIMALLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKTLNQKLFQQSVENRVLFEQELQDTKNYLAWNEQRQDEITR KINVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQEKSTSVAQK LKAYSNLFNDEEIKTFLSLAQTKQEDGVSRGATLAEKVLAVLESLEANLQASLEALEVNE INASWELAGWVSLSEAEIATLKVEYERKQVFADRLATQIQAALAQQAKSKIILQESQDAL DQAQSDLESKRADYAQAKAKRDEENAILEQVIIMFKKQVASWSGR >CAK82995 pep:novel supercontig:GCA_000165425.1:CT868452:235691:237744:1 gene:GSPATT00017352001 transcript:CAK82995 MDDEGFESAFIETLITQQDKQPTFIFTSLPTPNPIVYQSDYRGVPNDIKDIEKTYKCVYK KQYGSKVSNRHFKQLNLNDLQTPTITQTQQMPLFDSNFACGNLAAVHQVDTFEYDLLLQE DINTTSYSQWFYFRVTNPNDYKYRINIINLSKNYLQYQNGFGVYIKCDSHWEILKSQVLI KQSQYVKSNFCYTTSTKLYTLSFWIKKSSKTFEIALGIPYTHLDLDRYLLRFQSEILTNT ISGLPVRMVQFGSKRSDVILVIARQHPGETVSSHVCQGFLDALSNDKTLQLFYNIIVIPM VNPDGVKWGNFRCDLSGKDLNRVWQNPRSRFHQQIIHIRNLIQKILDEGRDIKTFIDLHG HSRKLGTFMYACRNTDDPIECRVLPMIMAENSRYFDFNSCTFNLKSYKLKTARAFVYNQM QKHNPNSFHNIVTLETSFFGYKSDNKFIQYSQSDLTQIGKDLHQSLKVYYMQLDQKTKIL KELELKKQYYQQLDENQASDDSDSEAEIGIVQDEEVPPQTIFANKSVRLLQDTPKTSLLK KRNLKKAVDPFLVGQCEKKYNFHKLTRRESKSQKTINQTLSIQQAQSPSLKNLNKISRNL SSHNKDHIHMHSSNVDQNENIQSFRIQRQNTTRTPKEFKLKSEQRVQFHSPNDKLIVFES NLKIKRKNTQNIKLF >CAK82996 pep:novel supercontig:GCA_000165425.1:CT868452:237854:239605:1 gene:GSPATT00017353001 transcript:CAK82996 MDSNSPISASVISYRNDPNDDTIYYMVQVKNKTSDIWQLEKRFSQFEDLAKKLKVLFGEQ LPTLPKKKYITFLVGKTPEDIEKRKVGLDEFIQNLASRPEVVASEPLKQFLEIEKNAKDI IVSPPKQIFEFKGFLHGIRDFTISSEQGLMFVITSDCSVINRLDAYLTNMKAPWESEKGD QALIPVGCVECWLQQESGEFQRLWVKMYNSQAITCYWDPVASVLLVGLDSGSINYLYVPE KEGFKKFTESMEIEQHTDRVMGLYYDNKRKYLHSISKDRKYRVLNLRKGDLVADIEPDQY ELTCLLGSEERKKTFVGDRHGEIFIYDIEKKRPKLVIKIPTNQLFIRGFFIDNQRNYLFS ISHENGVILIIDIQLPGREQYSKEVASLNGKSKSREIGWSSKRGEIYVGNIDGTVTIWDA RKSQQLYVLKAHDSDITKLQWLDADQTLLTAAKDKVIKVWSMPQHWRDPKIVEKELEQDQ QQAKQQNVEQFQKKAQKQFEQNFQDDDEDDMAVWHKDQKRHSDTKYEDIVELK >CAK82997 pep:novel supercontig:GCA_000165425.1:CT868452:240363:242415:1 gene:GSPATT00017354001 transcript:CAK82997 MLLKKKREFENQKGKENILVQSSSNKKGEFQVKQKLLLPKVLLYKLFLFLTPKEINKVIR TLNHQYLQLISQQFWNVRFQLRFQYYNRYNPLQFDDLYQKFKFLQERRSKGKLYQVCNRL TGQQFVLRELDTIKANANHNDGVQTSVLREISYLKSLKSHLNIASIVQVKITNHIVSILY EYYPLNLRDQLKQTELSIEQIKSLFLQICQGVEYLHQNHILHRNLKPDNIFINNKVVKIS DFGMSRLETYPIIPYTPEDPKERERSNREVKRLWYRAPEMLFRKQYYSCEIDSWSLGCIL AELALRSPLFGGKTEIEYLFEVFQFLGSPDENDWNSISSDVKIKFPQWRKYSLQINELKK NEIIDIVQNRKQIYEKLITLNKILGNDGIDLLQKLLCIKPETRLDIIEIVQHPFLNAFSQ NTQMYYIEPKFKEYLEFQTEINQTMRSTLIDWLIDVCIHFELMDETLHLSIIYIDLVLST MKINRKDLQLIGVTSLKLADVYNERSKEYFKQENCNAYAYITADEYNEKQIIDMEQQILS ILDYNLTYRTPIQFLKIINSKLKVSNDSQMLSYFFCDFFIMGYEQLIIERQLYAICCLLL ALNIKNEKINSSQQFQFTEKDVIEAFDRFYNVWQFIKLQPQINSLEACMQRHKHLNPRDI QLNIPPSEFITQFLG >CAK82998 pep:novel supercontig:GCA_000165425.1:CT868452:242466:243288:-1 gene:GSPATT00017355001 transcript:CAK82998 MEELSAYQVQLNSVLDLKNVFLMKPRCKESVDKKIAIDYSPMYSEVLGCMLNTVPILNND GEPSQHGFILQIDQPVHLANSIHYRSQFEKKIQEVQEKNKDLLFPKPRGTKIYCNVCKLY IEDYLQHTESKNHKLKFRKNKVINLIHSMADEFQKNRSMPQNTPTLHSDSDRCGYFSSIQ DDSIEHQDSTQQEPHTIKKIKLNEDVSAFNKMGNSLVNSSNQSFNDKYGRQCL >CAK82999 pep:novel supercontig:GCA_000165425.1:CT868452:245430:246959:1 gene:GSPATT00017356001 transcript:CAK82999 MNKYEVLGVVGEGAYGVVLKCKNKETNEIVAIKKFKETEENEIVKKSIQREVKVLRLLRH TNIVELKEAFKRKGRIYLVFEYVERNLLEVLEASPSGLEPLYIKRIIFQLLKSIYCCHQN DIVHRDIKPENLLISNTHQLKLCDFGFARSLTASTQDLTDYVATRWYRAPELLLSYSIYD KGVDMWAIGCLLCELTDGNPLFPGENEMDQLYLIQKMLGPLTQSQQETFSKNPRFLGMKF PEISKPETLEQRYLCKLPKKAINFVKGLLKMEPAERLTCRQALRHQYFEDLPEAVEFMRE LEMQNDQEKRQVSAGVHRTAASPNTQQNVIRTKTSFKVPNYLGNQLNMQNTAYNYTIQGQ QHEKDGKQIKKAVNIYSKIKQSIEKLVPGFKEQKLNLNSDTNKMKVSGQSQFSQQQLQMQ QSIKIQNLNIIYNSNTYNQFQKKGALTKK >CAK83000 pep:novel supercontig:GCA_000165425.1:CT868452:246994:248554:-1 gene:GSPATT00017357001 transcript:CAK83000 MIKLFLLGIVICNAFKISENFSWADVDGKNFLTYTRNQNSPQFCNGGWAFAVTGALSDRI KIKRNAAFPEIVLSPQVLISCDTQSDGCTSGSALNAYQYIKDNWISDETCTNYVAKKEEC NEMSLCKNCFDGMGCWAQHNYYIYTISSYGTLHGQIEIMQEVVTNGPVSCQLGQSAHYVE VVGWRTSGQTTYWIVKNTLGPKYPSLYEVPTEEIQECSYGELKDTWTEGIRNTTKLHTSI EKRFETKRKSYHLEMLQFLQLDQLIITPFSSLNADVPTQFDWRNVDGVNYLTNNRNQHIP IYCGSCWAHAVTSTLSDRINIKLGNKYPVVLFSIQSMLNCMSGGSCGGGLTQPTFKHIHL NGLTEEHCHTYEAINGKRVRCSDEDQCHQCDEDGCEPVKKAKRYFVSEFGYVKTARDMKI EIFNRGPIVCGVYATQELDDYEGGYIFSQKTNKTILNHYVSVVGWGVEDGVEYWIVRNSW GSYWGDMGYAKMKMHSDNLLLEHYCSWGVPKL >CAK83001 pep:novel supercontig:GCA_000165425.1:CT868452:248830:250249:1 gene:GSPATT00017358001 transcript:CAK83001 MQTQPDHEYLNTQANQGQEPMNNKAPFLDLDSSGDALEDGRVTNLSFQRMMDLSFFVFNL IGTGLTVITLELIHYDQEREARKVMLWVIFISNLILMGIVVVRNAAKLKWRESKGDMYSG FSIEPLETSLEILIIAASPLPFLDDYSFQFENDFVEGEVYYYANELLCLTLAFRVIFFIR TTLLNSYWHSNRTDRVCSLYASQADYMFTIKSLMRNQPFTVNYSAMILLIVVFGYCLRIC ESPLNRIDISSNDFSSYANSMWCVIVTSTTLGYGDYYTRTLLGRIVMSVVCILGNFVVSS MIVIITNESYLSTLENKVVILIDRLSLKKQMQQEAAMIITVFGRIYYAKKYYIQYLRHLD FSEEQFTEMNKKMKKYAMQLKLTTRKYVAARALGSQLEEINSGFNSLKENLKQTSQLQED LIKANENLINKIDNK >CAK83002 pep:novel supercontig:GCA_000165425.1:CT868452:250490:251182:-1 gene:GSPATT00017359001 transcript:CAK83002 MFRIGRIFPISVPPAHPNPLTRVFFDVDVGGTPLGRIVFQLFDNIAPKTATNFLRIAQGV QVDGKKLHYEGSQINKILPFRGIWGGALGGSIYGRTFPDENYSIKHNRAGLLTTSNPKIN SNDAGFIITLGPAEWLDKKSVAFGEVIYGIQHVRAIEKLGGLSGAPKKSVVIKQSGVITE HEYHKYDVIEEPNIWNLQSHH >CAK83003 pep:novel supercontig:GCA_000165425.1:CT868452:251239:261257:-1 gene:GSPATT00017360001 transcript:CAK83003 MKPSQFLYSESIKEQKAQKANVTKSIGELKPLPKTANAPKDQNSSMIYSLVSLPVKEGQN DTVVFPQSLISQSMNIKPQVVVGKNTEIARSLKKLKPLDSLEATPESVPPGTGKGVSGEQ QQQLQGPKLQVYLKSLNQLTNGQFKKTQTQLLFGSIEPKLNNNTVLCVLRIQKLKFFNEY NQKNEKIPSLFCWEAIYRKKSLMKTTPKEFDAAQQDLLDFVYTRNAYEPVDIILWKIKNN DEKYVGQFDISNNDYQNAREVLDFKSKIICETQIEKEMFKTMKQTKSYIGNVQFQLIQLG HEKIPLNKHSGIPGSPRTEEEKARHRTIDAAMSGQEYRWYVDENGKLLIEKIDKVSQEIV AECSIEVVADECGQLHLVYEEKYTDPDKYGRSRPTSRNMRKQHADATMAMIKELDKNGAF TEVQTNIRNLSRQGRVTRKVIEEALYTMGCTANQLLLTECSEFGDCLMDFLLVTDDLAGV LEAMQELKIVGEEDQNLESFSNNLFQNIGQHLRKNTNLKSVIQFILALEKKPEVALLIQN YSYAPENVLSNTPPFLQNFDPKNYEHVMVLSKLNKMIQNFKINTKFTRALKKAHVEAKKS IYFEIPCFPKNKDLDDKSDSLQLKQMGQNIMHEVVRRQKWNKSHIIFENYSEWFFVADEQ GITPFSRMMEVAPFDVIKSLFAAYPDQLKSIFSKFDPNQELFEGRVPKVEKKKNEQNNDN QGGDGGDNGDEDGDGGEGGAKKKEEKKEEPSEDDLYKKGKERQAARRAFEHVTMQKEYKK NLIHCLLLNPDFNQSDLLDLLRNIELLVNESNESNFETLKTQKLRAGDFTPLGLYLELLR SKCKKKLDRVQREIGTSLFICQMLTPNIDEEENNNQQQQEGQEGQEQQQEQKAKSSFVWD RQNQFVISQIIGKLPESLFQQFDDLFRFIKWIPPFEELKTIRYINLPYRQLIKHKQQQKL FAILQQMYDEIKQNSNYDPADVESEQNQKILYTIQIWTLMLLEIRNLRSQRLEQVASQLL SQLREFTQSYIELLVVPMPTNITSSYYPLQHQYDSNPNTILEFFNAIKFNILLTDLPVQS LDKLDIKQLAKALSRCKDKEPLRDLIRLVLTKDQGNQNAGAEEQDSEYDRKQFELAVDSN VIFSDEDGSYKHLLDKKQVEQLLLTYKAEKILPLANGKSDNFTNHVHGIILNSFQTRKSV GVTQQQVALWIRNNESKIDSRWKQRYIDFFRLNMSMQQIHTLIDIEIPKPARTYHNQNKI YPYVQAQGKNWASILDVRRFISAIKDNIQYINIENTLRSVALNGQYEKLEQVLPYVKDAQ IIRQTIHLVATIANLQIFSPTSYLSFRQYKKPQPKDDEEEENVEGDNNKPDDGDGGGPQL VSAQNQPESQKQQQSRSGQQQKKKEAEPESASKYLDIASEFQVRLYDPTVYFFKTAIKEK KEKFKVDIPKFPEALDQIRTPLSVQSQLSEMTQSIQLEFDDFFKTHFTKNERLNLKKFES NFEKDVLMFRYLKNWKSSLQRQNFRSIFTSYDQGTGQQSYKKVLNQLLKQYQRYGMPICD TFYEFEKTLDVLMIYSIQNMDQLLFQVASSKMTPIEKNKILLGLVSRVIDPGNSVLAKHY QIKNANTYLQQINRIIKSVNIKLLSGEEDVEAFQANILSKIHRIVRYLKPDMPNDQKVII FKLASEVLQRFDQLDIKSLFEEQLIQISELIKTRQLRDKALQVLAAKYVKSKDETKKLNQ RPYYKDIIASFKKRRLDEVLSTIDLPQYIKNYSDAQCEEIVTELFKLPYEKSYLKELLEK FDRRLFESLMFHRRFRTYTKLINDILFKQILKGKELAFVPFITQGQNKTSKQVKKQEGDN EKADGRAEIVQAQPVNEGGDEAEQQPDGKDEDDMKQDSLSQIAAYFCFYEYFDTLHRFRV KVHDVEPYTSFRFMLHTNEQLLQLATQFEQQGYNYISNCIRMYRYLRLNHYRSDKPQIKL ENELMVSFISSNSKYINSPCLNIIKEYLQLSEHQAPFWQNTISDRKQPQKALTRLKINGI ERGVKFCFPSNYVQDGDNEITNDDIRRTPVKRPAFTIDQYVEYLPPKNPQRLDNQIINDP EFTSLLNKLLIPSKQLEFLIDKVEFQDNLRKLVYNDHEMYFYVLNFPMFWYEEKLNKDNQ IFIGFQMEFELGIIFIKSVLLFEPKLINQALDMYFKTIDKYLKSGLEGEGEQEEDQKVED NKTEYDEVEQLVTDNEEEKEADNKEENQAPQVQEEQQEQDQQLVQEKQQAPQLLSVQKAD QKLASVENQEEEQQNLDEAPKMEQVVQERVVNQRVDDRRGPVQKAPEKEPEKEPVKMAPD AKPPNKEKKYKLIKVNVKRDKGLKDQKFVESDKSLRKIIRNGCSSQQQFQIFLQLILFAT TSDDIFRLIQNYLIKFQVISSPQIPKEIDPVIKARLLKDGFKEPFNLQFVEQAELRFKEL KQQQIEDIKHVKDVLTQNEQKRHSDSSEVYQALIGSLLQVPFEDYQMYIDKLMDNPAYKC LSKFKKSVAPYTLEKDQKLQMELVKYFSKLTTKTISGDFLQKFFENNRANQNQQQFTNDK WINLMCILNYCKQILKQTRKFQQFVYVERKKLQQNITPLSYYEEDSFVNPDFLVKPKFHY KFKVTNKLEPIIYEYKREIVNVELKQEKQKEKQNENQQDNQQDDQQDNQQENQQDNQQDN QEIQQSVEVSRQPAINDQFAENQNSNSRNPDGGNQNSQNSDNQNPQNPDQNSNQNQTVKK NQETFYFEVTLNIQKGTAPNNPYPVTQLYQKNVEEVVKCIDTLYYENYLEHINNLCSYPQ FKVAQLSGSDNIDYIQLKTKLTKENFREYQELHYAQNFYLDQTIMNLEIYKNLFQNKETG NNLLILKALRDGIVQTESNDFENPVKICDQDWILYSSRIRPDIKLKYQDKLYPVPYSNYQ PRQRNTQYKQSIQVDQSFPELYPIVNLQVYQYIPDVMTEEQFNQKYDQLSIIQQACYDLN SYAQSLDDFITQSPSEEELQNLRDVAPQIVSWPLIRSKLKRDKGSTEEYKIIHSAEAHPL FMIEYLFSKVQIQLSHQLKFTFNVLSFTEIILFKLTRILQQTTGKRCKLSTTTSMLSEWA LLKKILLKIGDLIVENELDKFRFYYVEINEVHQNSVFPIKSNKLEGMDAIFRFGQTQFYV HSNVLIVRLNVAVVSENASTLIAQKNVKVKSNELCPLLFKEDKELRGYMNYIITLEDMLK QIFTKK >CAK83004 pep:novel supercontig:GCA_000165425.1:CT868452:261565:265393:1 gene:GSPATT00017361001 transcript:CAK83004 MQQQKVLFGNKQSVYLSQLCFQGTKYRLYSTSEYNYVVKVYDNGQEKCLNTELQAVQIFK DYGNSNIAKALEGDLQQNIKVIVFEKAEVLQNILKDSQKGLEEPQVLKILLDLSKGLKQC HSLGITHRDIRPENVLIGLDKQAKLWNFQRCFFQVLNSIIEQYDYLPYEYIPKIKEEIET NTFEHVRAPEQKDFSQRWPITKKVDIYALGQLMYYILFKVRYDQNPNWVKNPKWGLYSNK LQDLIKLLLIPNPKDRLSAEQIEEYIHTYLLNQNPVQSQIHIRSLSTNEKMNREIVTQRN ENGKMNQLELIDFQHPSSSSLSTKFVKLVSKVAQKTDFWVAACLEEVDAAPNQKYFRYLH VKAWQKKAKIPKFYEKLSNRLQLNSVIVSFKALLLLHNYLKKGPQEALLVTNQNSSPLAI LEKIKNFQESNMAKVSKDKFRSQFFSNLLYSYSLILIEKVKFHQYYLKYFEGNFAMVPFF NNMNSKDKLKLSIAILNNLINLWKQFNTFINSFSFQKSSLYNLQLGFAITMADELYNILC TCTHIYYALKQSTNYISGVTSQNNEIKSIFIQLQDDYQNNFENTISFFHSCKQIPQFYQI IPDPPRSVIETLKKVPFFQSIKGEFNIYDYLSYSMSIDGIKLPQSYGEIMSTQVMEYDDE VVELDPRNEKFATQYPIKPVLSVQPYCERVNKFSFDLDPTVNSSSNGANILQQQSQQQQY QQQQQQQSQQQQQQQQQQQSQQQQQQQQQQQQQQQQTQEAQFINQQLQNQQNSIKSIDYK KKQTKQQQQGSYLYQGLEFSDDDEEQHNEDETEQFPNKPNEQQQNNLRAPLANQNVKLTK ELLNDDEFLNWGEKKNGSDKQNGIHQNQRIQSANSQPINNNYFDQLNYLNQNKQINKVSQ QKLQQQQNNFQVVDYFQKQGRNVQEWMINHDQLKLERLIGTGSSCEVYKGYWRGGEVAIK KMKIKSLNENHLKEFRREISAFVTIQKHNNLVQLMGISQKEDELYIVTEFCAGGTLFDLL HRKKHLDISWQNRVKIALQIAEGMLHLHKLNPPLIHRDLKSLNLLLEQTYDQSKVNIKIA DFGLARVQADNGEIMTGILGTFHWMAPEVFQNVPYTIKADVYSYAIVLWEICCRETPYKS LSTNPPAIMKLVTVDNGRPDLNLIQLGCPQFLKDLMTKCWDQDPNKRPSFQEITQYLRAQ L >CAK83005 pep:novel supercontig:GCA_000165425.1:CT868452:265430:265702:-1 gene:GSPATT00017362001 transcript:CAK83005 MTNSRVHAIDSLKPQSLLHIESPDQICENPLVVCKTALNIKSILKKQEKQQTEKKQLQFK DDLNKIYVVENWKIYNIPFEEEDSCCCLIS >CAK83006 pep:novel supercontig:GCA_000165425.1:CT868452:265890:266267:-1 gene:GSPATT00017363001 transcript:CAK83006 MQQQLTSQVILDRNQEFKGVEFQFNSRKIVVENIDPLEPLSKYIDADKLNEILNFKIEDP KKGEEGIKLNQHIKYYDFSRPPTGIPKKAFRAYSAIAKKKK >CAK83007 pep:novel supercontig:GCA_000165425.1:CT868452:266279:267635:1 gene:GSPATT00017364001 transcript:CAK83007 MDWAIIRPKIATDYKRQCSEFSKPVNEAIVRTYQTKANDINLIFRGNYKLNFNQRMLDQD LILLLSCLQNYKDYIQHIDLSFNEITNVGIQELSKFLSGCNTLESLNLQSNKIGVEGGEL IAEGIKDIQTLTHLNLNFNNLQTKGAMSIVEMLFNNKTILELNLANNGIDHDGVIAITSI LNWKNNSLQILNLDNPVYHSIGQETAIHFGKMFHSNRGLEKISLQKHNFTCDAIYIITEH LLENNKLRVLDLTANRISFKGCEAIAKYLCGEYCVLESLILTSNRTGHYGAKAISQALSK NRTLIHLDMVRNDIDDNGLKMIAESLETNDMLVSLKLYQNHFGQMALQAFHKYRMKNNKK QAERFWDFHTYIVDNHIEMAYVEQNIPYDILVSSQYFIN >CAK83008 pep:novel supercontig:GCA_000165425.1:CT868452:268851:269483:1 gene:GSPATT00017365001 transcript:CAK83008 MNKLILVVLLGLASAQLQSVQTLNVTQYLGNWYEVASSPWVHLTFEKDAFCNRATYGLQQ DGNLSVLNMERYGSPSGEIKQITGYAYIPNPELPGQLKVHLEGAPFEYADYWIVQLGPVK NEQYQWAIVSEPSKFFMWVLARDPVEYNLLYATQVQNTVTNTLQFDGRFNSYVVRPWTGC VPYNNTQ >CAK83009 pep:novel supercontig:GCA_000165425.1:CT868452:269503:272086:-1 gene:GSPATT00017366001 transcript:CAK83009 MSQRIESQGLQSGQIQCQFDDNYRPFNKTPSCSPLPQNEAEQFDDLNCSILSNTNFQVDG FKSSKYKSKNQRIKIQSNLFEVMKVDKLVALFKEKLMLKAHVLSQSKRELIKKIINEKYV QQRESNVLHDFPTRCAKLSHQLFDSGNFFLQIWSYIKTIILLILLWVYPFLWSFRIESEY HSHTIVFILLDIILKLNTQLVFQGNVINSRSEILSFYFRSQLLFDTLIIISVITINQTIQ GNQKVQIVEIIFVFIFSFLIYRKFTEEINKLYREYFNLFQLLILIVYFIHILACIWNYAG HESQEYYQNSWIVQANIFNEKIEIQYLYSYYWAAATTITVGYGDVSPKNPIEILTCIFSM LFSSFIFAYSINSIGNILYNINLQQSQFIQSIRAISAYMNQYHVPNQLQNRIRSYLQYSN QEQSDIDQEYKEVIDNKLSQQLKEELKFTVFKYILQKSKILLNNFSEESLKQASQKLISR QYCPDELIFMQNIQDDNSLYMIDSGKVQLIDTKSDTTICTLTNGQFFGENSFYTLNPRKC SAKSIGFTKIFSINRDDFLSVLSQTDLQKFHNIKDRILQNLSIDGMLCYLCNKDDHILFN CNYLNYKPDIQKLIQLYNKSQQNRTIRVKRYRQKFNSLKIKTKIVQDNQKAYINDLQQDD QLSDQDETNKNSISQLQPSLDTPSQELTNQSPINLEGFPTRNSIYKIVHPKGNESKQVAI PNSNTVMNLEPSIKEIQLKSNLKIERMEREKSQKLTKTWRSQFFTSLNNANFEDLVEFEK HQEFQHYFPHNNFTDIIIEYNKIGKSLRRLSRKSQRSVFKNPMKKKSQ >CAK83010 pep:novel supercontig:GCA_000165425.1:CT868452:272306:273686:-1 gene:GSPATT00017367001 transcript:CAK83010 MIFPTEPYIKKKFFYKNMLADNSKIDFLNQYKVLQQMKDNHSSKNKQHIHTQGTQVSLYK PMFSIHSPQSSQTINKSERPGFSSVRRFHTHNSQQTILSKPVLETPQIESRKERRAPLHK RKLVLKQTSLDIIEYLEFLKCQKKSNNSIKYSDLLKEDGQIITMNQQKQQNYRKQKEEQR NYLQLLYQCTNLVSRIQDGNKFQDIVNSCTHQYPNLKHTIDQLMQDIEVASQIYTSLTQL GAILGRIAGVDHKIFYLMFRPRGEYPESNQYYSVDEDLCRNYKFIKPTKYDIYYRIFGAG DLPVEFQDQLGESAPQIKRHKIRHSEYQYAQLNQEALPSIDYDFVKKISYYQKNLQLFLK QKSGDILTNIRQSMMDEEIKNSTLLRNTILSKLIDSENQIGHFPLVTRQNRYLFEKFEYD QRILNKQKN >CAK83011 pep:novel supercontig:GCA_000165425.1:CT868452:273712:278299:-1 gene:GSPATT00017368001 transcript:CAK83011 MKSTFTRSAQRNLEEQPVCSQFKASSQLHAALLNEYKRPENSSMPKVTLTSTGKEAVRQA RLEKEVQKFEYTLQSEVASLPKHPAPIFREETKLLIERQKDNRREKHLKFCDEFEKWVNR LNKNLEEAYKDIKRDITDFFSESDQQITNYYQTLTDEALLKREIEFVESIHSTVNAHREK RETKVNALDKRLEDLEKERFRSLELFCNRFQEGLIDVAFQLEPEITAHVDTFREEFRKVV AEKRAENQVYVQDVNRQHQKTFKLYDETQIQKEQRWRDLKHQHYIEEFNVDIQKLEFVYP DERTKLYDNFRKTQKDIFRERKQLLEKLNSIDMAALTKTIVEKWIDETKAYNELASQTID VCCNNLLEETKKTHAHCMQRYELIKSQLLYARAKSEEELNQLLDEQFLPQVNGLNQSARD LMKQAINYYERIDQQQTDIITNFGQFYMKIAQKNDEYKTEMQLLIHNYEIQKAQAADKND EVLEELTKKLKENKQKLTEALHHPRLEECLAQCYKDLDLFGEEYERFHQDNLAIANQHPN IIRNKHQMFEKSALSIFELVDPQKEQFLQEKYRRIAETKIKYLIAKEEYNKKIEEEKQIE EAKNKKGGKPPATKPKDPKKFQQELEDRMKQLLKEQPPQEVGKYHSPLDQYFVQFRSTIE IAERMYIPPDEMEQAVAKEKQEADEIEQHLQQQNAPKDPKAKPAVAPPIELPSYVPEDDV PKKAVDFAEQPPINVLNQAVLERDAVITIDYLSQLLNQTQQKLFDYITSCLNFQNQQANE SDQEFIKQSENQRNEKQAQIDPQKQEIKNTIYVLRSQQITMHKKRYERYAKQLVERIDDQ TEQINFLLEGGLLDMKDYIQEQQTLKNNLQSATTLAKLQGIQNTVKENYFKFGEKINDLE QKLSYLANEELDVFLQKNKDFMRDCKPNEYFKEELEWYQQMMDEHNATIVKHKEKRTQRL EEMKKVLTAKRQEQLDKFEQEYIVAVEDLAAKDGTGKKYGRPKRIAQEKLRTEMTKCEKA QECINFKIQELKDLYELFKKKQGDYFANLDPSFSIKIRKILSGILVCIKRYATHIEALKP DNKVVDMPRITWKENKFDTILENEEQQEDKKIIENDLESMGPLFYTEKKLQESLVEIEKI IKEEAIKIYADKQRFLTGADKIPDYLRAYIENMQRNMNEFRIQSIRELRNACEELSEMSP KISEMILFSNHQRWIFTLQMLNSNLWNELQQEQSKQENLKKTHQKQLRPNLGNPQIKEEL DDLKSRETKRISDFLELLSKYQVTLFDENFNQGDKYLKAASQNFEFLLLFYDSLLLFEDF IKLPGDEQIQKKHQNLKVSLRQKQSGQIIDTNSERSLSKTWEGVQLELFTIGDRIVQYNW QINQVIKVDPKDAKNKAPEAKKPAAGGKQQEIEKPVQTTTTPLKSFKTFRQISAKHEFFK SINEFVIEYKRQVDYAKDQIKLLSERELKFQFKWEQSIASL >CAK83012 pep:novel supercontig:GCA_000165425.1:CT868452:278772:279802:1 gene:GSPATT00017369001 transcript:CAK83012 MATPQSLSPAPLQSLGDFTAKKLVEDDFSTGKATLKVKASANGGGIANYKGTLDIAKSLA PGQETKFQFPYNNYYFWFATRKEQTKVHVDFGKFNIIKQQSLFANVQFYNSSSKSTIRFG SVYEGQKCLSHARFEWLNQDKVNFLLRSQVKHNNWVYVVASQLCLSKKEFDKLDFLVGYQ TQNYDVYFRHITNQIPSFPLFSNFGIGKFLVDAVFRKNSNQYGFEIEYLPVSRVQVLLAA STKFQGADVKARLNVLNKTVGLSAKGKFNNTFSWTLATQVPVDGKCPSKCGILPVPLGIT LETTI >CAK83013 pep:novel supercontig:GCA_000165425.1:CT868452:280004:280362:-1 gene:GSPATT00017370001 transcript:CAK83013 MDYEEDQKEFKQEYGMTLEEQQQLAESLINEQSQAYAEYNELLQNQNEQIQWYIFIINNN NQNKNSKIEIGFFSLFLMLNFNMFIFGSICTINQVQNQYPQVFRQCQQT >CAK83014 pep:novel supercontig:GCA_000165425.1:CT868452:281346:281585:-1 gene:GSPATT00017371001 transcript:CAK83014 MNFVLERSRSRLLLMLKIIQFKILPYADNLIALLLVKVFVGLGNKYQQRIIGNNFKYQQR KILDQILEFSRNYMKNKMP >CAK83015 pep:novel supercontig:GCA_000165425.1:CT868452:281598:282182:-1 gene:GSPATT00017372001 transcript:CAK83015 MVKNIFISLAKKLTWAKVGYCEILHENQEKKMLDIHFPELLKHGSVEQVNKVAKFQIPQI IDLKLIYVIQSISSNSIIPQFSQKVANQMAYFEIIEIISRNLVSQFPQKIQRYLNWASQF LKSIMLYKRENFQQIVNYALFLRLLYNKQRANQFVEQSEFYRDILNKIKMTFYDQNYVQK EILDIRKSNMLFFG >CAK83016 pep:novel supercontig:GCA_000165425.1:CT868452:282222:286165:-1 gene:GSPATT00017373001 transcript:CAK83016 MDFDFIEAQNSFQFLQEQKKLPIKISHKLELLLECFNNQDIDTSVFHSLELEECQALMKL NKHAQKDMFMQIIKGLAYENMIPSAIGEIKGIIDIRTKVLKNWKQKQFKIDSKQRTFEIL RKKSSKKKPRIIYLQFYTVQPQEFKDKRYRFVILANSEGHGYYKTLVCGSDDKALYDKVI QALKTISQFSDHVMRGSILLESNDFNHSRINTNQQSFITTRQDMKLVTQSEIIPQKQNTN VKITKQLESDIKQNQLQLEKQKQQNVQQQSNVSQQFLQNDSPAKQQQQSINTQQQYSQPV LNNQKQNQQQQYLQLSQQFIQEQQQQQSSQQYPQQQLQYLQQQQPLQQQQQYQLSLQQQE QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQYEQQLLQQQESQKYREEQHKLIQERLFQEYQIEQQRRQQELLNQQLL ENEPESKQKNYPVLFKNELKYPQVFQLLFEEGKFHQDYFVQSTKQFTQVYSKDGVQILKD QNNSLCFRSSLTIYNGDIEKVFQCLAEIDFVKKWNAVINPKETKLLNMMQSENCGIIYER HKQYGLLYLPRDFVYLRYVTYRGDDILIVDKSIENEDAPPYVKVIRGEINYQIIEIVKNE NNIIVRIESEMTNGGLSSVNQDSAITQWYLSELSHFQQFLKTYSAVKEIIQSPFLQVLSN TKVNKQKENIIIDQGASSFPNQELIYSPQKIQQSLNVQQQEYLSIPQQQQFFKQEVYQPS PVTVVYEEQFKFDTLNDTHAITLDQLPIIATVPETVPVIIENNNEIQQYNKDIEDADLSN YTPSDFIQQTLPELSDKETGQVKQMIKNIEQGIYDYTANCITHKYVNEPQKVKDEYINQI NEGHYFLRQDWKRDMKHGGMIFYNQKKIEAQKRVIKFMLKSIGSNLLSGKSILNISLPVE VFESRSNLERFCYSFTFAPQILEKAAKIDNIIEQMKYTIAFGCSSIIMYMSLEKPFNPIL GETYQGFINGCPVYAEQVSHHPPISAFQLKGRGYDIDGHIESAASMHANSVTGKDIGFIR VTYHNTRSKQIFIQCPGVLTGTAFGTRIFNINGRSYTIDLENNLIADMAFNPGSKNVFNK KDLLQDQFIGYLYKVKPGVLNKYKKEGYAKYSGIDFDKDVEQRFFSINGVWNQMVEFDGI KLFDTLEMNPHVMVNHPCPLPSDSNFRLDILYWKLRDFNNSQRTKEIYEVKQRNDRKCRE KLTGKKH >CAK83017 pep:novel supercontig:GCA_000165425.1:CT868452:286187:287421:1 gene:GSPATT00017374001 transcript:CAK83017 MIKNRGSSSNKTSNPYALQMQEEAKKMAEKLAKLKQNLNSQKMERSQISKQSGDMSESSR WQNNTTPNNRILKDRPPSNKSEPIESFLKSIKLDTYVQKFKENGFEELDILFDIQKEQLQ QMEIPLGHQIRLMKKIRELKQSVEMNEVIIQNESKYDELEGILLNKIEPLIDLSFTNPKK RSSLQKERQKSLKKVTFKENDDQKGYLGVEQSFHQSFILNELEEISNYMQQNHIGEIQNI DIDIQTNSELEQIDNNFSSVSVSKQKPYDEQNVAKLRIKKAACWNCFKLLIKDYIVLESN EFCSYQCYQKYNHLYLVQCVSCSKQFDIRNGVLLNGCSFCDEQCAQKYDFQIMKDNNEEI EKQFLGSKCINIHDQSQSQQSEVDLNFD >CAK83018 pep:novel supercontig:GCA_000165425.1:CT868452:288471:289328:-1 gene:GSPATT00017375001 transcript:CAK83018 MIILNLESLRKETNYGQTQLHPRIISHPNENINQILPTINMRYTPYFSDQINDAGWGCAW RSIQMMLSSQYNITPEFRWLFSFFGSKAILEKIYMHMNQVDQIPQYLSNSQYSPHDLDNG WAEPYIGHLILYYMGFQSKLFLINGYPPHSNAPKEVFAETFSFPQFKLFLQEHFTQRKSP IQIDDSKYSMVIYDYKSDGDNTILFIGDPHIYYIQNNHQKIGLYTVTLNEKGDQISNSIT PDQAQFYMYASSYQTLHFNEKNWMAACIIKSQDVWLDWRERQLII >CAK83019 pep:novel supercontig:GCA_000165425.1:CT868452:289337:291150:-1 gene:GSPATT00017376001 transcript:CAK83019 MQGNQNIPENANDHCPGANSDQAGKSEACAGCPNQQLCQSGAGKQLLDNSEIIANLKQVK HKILVLSGKGGVGKSTVSSQLAHILASKGFDVGLLDIDICGPSIPRMMGLETSEVHSSNN GWQPIYINENLGVMSIGFLIDNKDEAIIWRGPRKNGLIKQFLTDVAWGELDFLIIDTPPG TSDEHISIVQYLNLTPDDGAVIVTTPQEVSLSDVRKEISFCQKTKTNILGIIENMSGFVC PNCQHHTDIFLPTTGGGDSLCKQYSLQPLGKIPLEPKVLLSAEKGKCIYETAPDSVAAQV YTNIVQCIVYYQFQHYQKHQKNKSNNDQYYNYMQTRYPRHQINQSVINIPPPQVTVVRSI SPVVVRTLEVPVYYQNDQTNWKDKYYELRHQYWLLEDKCNKLEDLQIKHSRCEVVRESEL KQINELFTQSQYDIRQLKHCLAKVDTQMNEDYKMKYQQANDQLETIKSEFIKLQQELYQS QQQSTQNTQRQPQQMNQLIISNSELQRQNEQLQLLNRNLQQQIDTLHFRYAESGNQYNKI QELLALTVLKNAEIDSLRILVSEKEKMIEQLRTQYLSQYR >CAK83020 pep:novel supercontig:GCA_000165425.1:CT868452:291207:292060:1 gene:GSPATT00017377001 transcript:CAK83020 MAEYQPQGIVQQPALYGTPQTITGQPQFVRPIVLGNSTYQQQLVTNQNLGQSGFYQGGGV LVNQPVLGASAISSNIVSTGQAVKGESRIEYIPYEKTIMEYEEVRRQIQVPITRQITEYQ AIQYETEYIPQVIQEKVIEYMPVEKFAERVEYQTVTRQNVLQNTVQQVQQTQIQPIVTTQ TYQTTTPIVQTVQQPIVTQVAQPINVPQTYYSTYQ >CAK83021 pep:novel supercontig:GCA_000165425.1:CT868452:292088:293079:1 gene:GSPATT00017378001 transcript:CAK83021 MAKSQRSKVMRRWRSLKREHLQNVKVNSDLHDLNQKLEATIQNRFQRPIQPKNKFLHPSD PTAQIPKFKPQPIVDFRSQSLPYTATQFVGARRKPVQEIVFKPEPDVEEEIEMDDQQQNE QVQHDEADDLINAMEGVRVKSKREVKRENKARAMEEIIPLTKNKQIKKNRRKEQPARKSK KLITF >CAK83022 pep:novel supercontig:GCA_000165425.1:CT868452:293671:294237:1 gene:GSPATT00017379001 transcript:CAK83022 MRIEKCYFCSGPIYPGHGIVFVRNDSKMFRFCRSKCHRHFKAQHNPRKTRWTKAFRKAAG KEMTNDSIFEFEQKRNEPLKYNRDLYINTVQAMKKIDKIRLKRENNFWKNRLRAQRKQNL DNVETELAKNINLVSDKSVKEVIKQKAIQKAQLKAQKQKKQQKKDMVEEEA >CAK83023 pep:novel supercontig:GCA_000165425.1:CT868452:294272:296037:-1 gene:GSPATT00017380001 transcript:CAK83023 MFFTQTKFHKLSSQKELLAKIQEFEINTNFDSPRTQKALLKMNKTKYDFRRKNKFEKHNE LDYRNKRNNKSLDQQSLDFEYETYLEQLLNDYMELKEQRKQIKEWFQKKQQEEDELRGYE HIPFNSVHSTSRFPCQTITIDDKIGKINQERQRQLSLNNAEVKEALLFELDRRQWEQESL ERRQKNAQMRSAKIMEIKMKAQEQNKKAKQKCETQKKIKEVQIEEDLAKAKEFQEKLNQK DNEIATKKSTIIMEKIEKNKKLEEKILFRKSLLKHEQSETQLQNIRKDTQTEENRVKSVE RQAKDRQDKQLRYEYQLMRIHEKSALSKAIWESELCNNLGQKMISIEEQKKKNEDLIKKE ANKMSKERKDKMKKHQQNLEQVQEEQKQWQMKLQEKLQNKDEISKKLRMSKLEEIKQLRE QNVQNQREQLQKLELRRRKQFSQQDLLLERSLNAQKKMEQQKNEQEKVLFYLLNSKQKID SEISNRQSKLKLIHQQSSVQLLEYGLFLSLCRQLKDLVPESEFIELQKQYKITKKPQTAN PQTMNKL >CAK83024 pep:novel supercontig:GCA_000165425.1:CT868452:296162:297457:-1 gene:GSPATT00017381001 transcript:CAK83024 MIFEIGEIFTVQESLKDEKIAKNLDLDVGSQNDFLDLRSTDPNPHLPNRRLDRKAQKRQD QIPKKFEQTKEEKETVKASEIISNIIKDVFKMDINEFEQMNSPEKLNKEYKFQEIDPEKR KYLRMKIPLNKRQEQFEQELLNVKSDLKLKIQEIRMPQQKNTGNNSQKDENTFSRPNSQK IKQNSSSNKLFFNSSNEVDTIDSHIWLQSRLDRTLKLNSHNPDTQKAWKEYWSNINCSDF KTFQEYQQQHKKPHLASDLVTINYGGERSVKYQKNDNETSVNIKNSSSLTIKNKQITSEH ILYLSMVEPPLINLLRVEFIRTQLNETAFKLLTTLLSECKRLEYLNISSNTYPRNQTIKI LLLDLQNLKILKMSDMMISTKYFLDLCEVLVQTNIQEIDLSNNLLDHHALRCINYFNQIL AFFNINGFLIK >CAK83025 pep:novel supercontig:GCA_000165425.1:CT868452:297482:298640:-1 gene:GSPATT00017382001 transcript:CAK83025 MSLLSKFTNLFKSKDDVQHSLQKLLNPSTTNELQLSIKSFNQQLNNQTSAVFAILRQREA LVTMDPKPEQLLNLLLVIHSNISNEEICDQLRQVPIIWLDRLMTENRESFIQIERMITEQ STNSYIPQQLQQSQSQYQQHQKKEEKIEDKRKARIEMNQKLQNPILNTEAVNNADSHNLN PKIYQSINRFIKAVEIQNIQKMNKISFGFIRHRMQMGLKLLQCPQKLYRPYQLLIYQDLF YFQSFLKQELEVHIFKENNLHIYEAFCEYQRAKQIMESFEPKYKFKLNINSSVIDDYQRN AIKQKVKSQFEEDQKRKKQLIKENQENIDQLNFQDLDSNHDFEREIIMRKFFDKGQSNQM SLDEISLQLKIINS >CAK83026 pep:novel supercontig:GCA_000165425.1:CT868452:298709:300659:1 gene:GSPATT00017383001 transcript:CAK83026 MLNKLNKTQVIEEKQNSRIYNSMQVESNNKNSTQDYQVHLRADSTILIPFIDACSLEWNE NAISEAANNNSGDYEANFLHFKHYLEDQCVFLKNNYDLAIRIVDYLDSKKLFTDYIKNTE AQLQADDKGRSTTNIQHTIKTIRKDIYRFRKELKEIMAICAEAETPLILNEVGNLKKEIL EKYNQLVNDIIPGILFDDISIEIVQNYYINQSNAQSDEVIHFNLVKSYVLSPFIPRFRAY VYQIYEIAQSEFNQTQNLLNKLQQLGKTASNQQTQSVQLYYDLPQFIDQYKVNLNQQCSL LPTTLQDDKEYWNYYEQNVQLKEKINNELTNIKIKNEQEQQEMSFVQLEFNQLVEQQDYF HKLFLFQKQIPILIDQLKDINTEINKMWKELPESKVIQQERLSQMLQTCINISKSIYDQF PHGRIPFKFQQVSMTADNLAKQIQDLTNFNIDQNQLNYQNNPSLPDRIKELMKWEYNGES IEQAQGENAEINSYLTQIFEFNSITNKPPQIEGELNQQLEQCNPDNINEMMELNENYNIW KNMQAAGMILNEEQLYATLKDLQRLPQFAKKLQHLKTFFSINQQMQYVGYITDVLNLVQL VQNKQLDQAKLFIQQSKNIPRIYKQNLSQALS >CAK83027 pep:novel supercontig:GCA_000165425.1:CT868452:300732:301939:1 gene:GSPATT00017384001 transcript:CAK83027 MSYGNKIIPSVPKFTEFKDYTSSQVLQMHTPHLLQKQHKQDLVLSSDYQMEVEPSKKYTL QSAHMQLTGHQSEVYCVKYSPNGDYLITAGFDKKILILDIYNNCTNLGILGSHKNAILDV AWQYDGVRLFTASADKTVQIWDMETYLPLKKLKGHQSYVNCCYPSKRGQDLLATGGDEGY TKVWDLRTRKLAFEIQGKYPITSVCFTENGERLYTGCLDNIIRCYDVRKQEIEYTLDNHT DTVTGLAISNDGSYLLSNSMDMTVRTFDIRPYVQGKNRQVRVFTGATANTAEKNLLRCSW SHDDSYVSAGSADKNVYIWDFNSKKVIHKLGGHQGTVNETAFSPKDKLIASASNDKTVII GEIPDVTL >CAK83028 pep:novel supercontig:GCA_000165425.1:CT868452:301964:302842:-1 gene:GSPATT00017385001 transcript:CAK83028 MNKLAIYSSFIAVTGLGLYLVNKNSKKEEKIIEKVLLVKILKDLQKQYYSIWERMIETGR QLCHVKNNKSNENQTESLKDLIESKFQKVIEKIEQSVYVKHQVSQDEVDYNCKIIYKDDE QINALLNYINLGFEQALKLELNLPANIELPEFIKEELILKTQCQILISSASMFNKIIQQY ASQNGGVKVTKHSNAFQQVLAELKQHSKKQEILDQNGFDASDEPSSKLFYDAIKVYSENR SFKQKLVKLNEIYDVIMSEILENGQLLEIEIENRLKC >CAK83029 pep:novel supercontig:GCA_000165425.1:CT868452:303168:303934:-1 gene:GSPATT00017386001 transcript:CAK83029 MSTPSVKSKLVQTFGRKKNAVASASVREGKGIVKVNGAPIELVNPAPLRQKALEPLLLLG QVRTGRIDIRVTVRGGGTTAQIYAIRQAISKGIVAYYQKYIDETQKREIKDLLLQYDRTL LVADPRRCEPRKYGGKGARARRQKSYR >CAK83030 pep:novel supercontig:GCA_000165425.1:CT868452:304105:306666:1 gene:GSPATT00017387001 transcript:CAK83030 MNNLNEFKERIQFLLAEALNPPKKQQCLIEFNRLLVANKSDQHQKIVLQVLETQRVNEVN LRALKISVQVQKYDLNSSLRAAAIINKAFNEGTEVIYQLCGECYRLLANSTTIGSLSDIL CNLALKLSSQPIQIGSTISLHYLLQFEVTPNSKKQIQSAYIKNQLIVHQSMVEIMIKITT SQDSNLVQILQRSLAALQEQKHTYQVKQTLCKFMLALAEYQIYDDNLLLQCVTILREATF DRVVSVQQSAKLALQSYQNLYIARNPPQVTTSSYFPDVKPFIKKKRGDGHLVLNAEKYKK MQISQVKQQLEKIQEKVTEQKIQDSLIDQFIQQEQKQQELQNQEQIEEIQSDQDQNEEIS ENINPQLQDTQLFVDEKIEETQDFTEDYYDKVLDLVEDSQIDAAFKLALYNNDDFLVIQL MMTVKDYFSELSKKTAEQLIKKFNAIYKSNFVESTQQRSAQNWINKLIIKIYMIILFFLL QITINKLIVPRKVIHAIDCGSYLETRTSAGIKYKSDHHHIGTSEFVDYYESVENRKIRFT DDQSLYITQRQGQSFAYTIPIGNEVPENQTYVLVLKFAELHYEESNSRVFNILLGKKMIL QNIDISQLVGPFTAHTEYIEIIIDGGAVYYQGKPCIGALNNRNELVVGFSASQDQATVAA LFLFKGTLKETDFEDKEKFQERWIQLNTPKTNEEIKKQKEEKLKNIEEEIKEKQSILKIR EGFGHEIDIEEVKPPQKKAEWPEFSFQLLFILLKTPLGAVLLVGFLTVSFVTISFVFFDP YGQKKLKQQYTELKKQKDIPKKQVVPKTD >CAK83031 pep:novel supercontig:GCA_000165425.1:CT868452:306670:308490:-1 gene:GSPATT00017388001 transcript:CAK83031 MSKSFENIINVQPKRIVSLDEITQQEMLGKGQFGVVYRILYQGQTYAMKQIVKQTLIRHG KINSAVLELKIMYQLDHENIMKLITHFEDENNVYLILEYIDGSNLYDILKRDTRLQETYA IHYYRQIVSTLIYLHSRPTPILHRDIKPENILITKNNAIKLADFGSANSLAKDELRFTFE GTYLFMAPEIYLNKGYTQCVDIWSLGVLLFEILAGHSPFLNKEEENSLMTHEKQTVIREN VLNVRIRFPDTFPPLARDLVRKILRLEPQDRLRLEEVLKHPWLQNAEVLEQLPIVTKNPL ENLVSQQISKFSESRKNNNNHLLKISELKKSINETQIQIMKGELEKQQLSEKLKELQEVN DDQTQSPNLVSPEKIVKIEEKYNRLKKEINQLQKEIIQNEMFINKNEMQQSKLNHYKSKI EKQKEIIEKLERELIQLDQKKKLEQINQDRELQEFTKLNNNRNIEQSTSNNSQYTSLLRV TIERFKEFMNFYRNIKQEPETDYESQEDQQWKKEYYAIKKSLQEEFKQEQNLLMKLENEN QQLKIKLGQIEAQEQQVQKAEQIVTNLKYGCDLKQNRIDELKKIQQDLENKIDCLNRFIE YNKSSQ >CAK83032 pep:novel supercontig:GCA_000165425.1:CT868452:309108:310294:1 gene:GSPATT00017389001 transcript:CAK83032 MKSILLIALIAIAFTARVQERNLAKITTDLKKSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQVRTNEHNSLVVSLEQDIQDAVIDVNNTQDTLDNLLFPRREQ LQVRIEQIQENQEGNRKNYDEAILVREQEHDDFELQIAELNDATAAVDDALALLQTLTNP SLLQVKRFQNSLKNIEQKIKSRSKMAPMIKALISLASNQNFSDQGVIGQIVNALNEFRNA IVDSINAQTAAEAQAQADHEEYLEQLDEEYAEFQRQINRVNVDLTATNEKIDQLSEFRDQ RDADRKQYTAELELENNTYAEETDTYTNLKNEFTRELGISEQALSVVQSADFSNIQV >CAK83033 pep:novel supercontig:GCA_000165425.1:CT868452:310343:311551:1 gene:GSPATT00017390001 transcript:CAK83033 MKFIVLVCLALLVTANDYELLSELSQYEFGKTIIATMQISLSSEDSNIDGIVHQLKSMQT NAQSDLEENTQNLRHQQELCNLRFDEIQGVIDSASAKKASDEQLFPLRQEELIAKNQQHK DKVGQDQKIKDRLSALDKAREEDKKAFEAKQTESVEFIQGLKKAKSIVSQLNSNFIQVAD VKSKLHKHLESLHNKQTPYNGLVKMLVAASGDENVSKVVQIIDELIESLEHLQKVGHKGE KAKEELYLLQKERYELESKTLETSLADLNADAEKLRQNLLELKNDIESKGQLLDVKNQEK ADWQKTCDDELKGHQNMRQQKNTELGIINECIEIFTSRFNDGIKSYIQKLEI >CAK83034 pep:novel supercontig:GCA_000165425.1:CT868452:312339:312614:1 gene:GSPATT00017391001 transcript:CAK83034 MKTKVIQVFIIYLQIRIFCTFWIIIQQIEQVQPIDQPYLKILQKRILIAVILKTSLTFSR SINNQVNNFAIRSPQPNPQQDQPEGVNQQPF >CAK83035 pep:novel supercontig:GCA_000165425.1:CT868452:312683:313316:1 gene:GSPATT00017392001 transcript:CAK83035 MSSANRLNHRSVLREKTLNFLNVPIADYLTQQKPITEDSQYKAFQRNLQLQKQILNEQDD VHQYQTELFTKSVKQERVPIIVNRPSVQHFKPTLPNDFAIPNRKSETIIKQISRIDDDLD QLAKIFYQRKLLMKAYNALEQHAERKNIQIADEHRELQIFKAFFQQLQKKQMIQNFKRSL KQK >CAK83036 pep:novel supercontig:GCA_000165425.1:CT868452:313984:314412:-1 gene:GSPATT00017393001 transcript:CAK83036 MQDPSDLIYQVENEPSIQLIKSIKIQKNKRLVMKFSSDSQNVESDQSVDNSQYYRKQFLE SYSMFTTKNSIPGKFKSLNQPSYSETYKAKLASIRKIKSFRLDDEIYSQDEEESLPKELM KVDFQFRQDAIINVQKILNQKK >CAK83037 pep:novel supercontig:GCA_000165425.1:CT868452:314985:316028:1 gene:GSPATT00017394001 transcript:CAK83037 MGTEDGQESRMKKVVAYVYLKENYADIKQCRSFSQKRIIRYGLPEEPVDQKSEKVNSKKI NIGASKIKIPVHHNWKSNVEDIKIDQQYENQLDKHFKTKEQQFDYQDKIEYMNQYLQELN DMHRTQNKTEAIKSIRALHKKNRDDLKSYVKYKGQRFFEILPLLKKRNSTMIEQLKCFQP ICNLELKQKEKYSDELFKHLNKKHDNKQEQDQKQSNNICIDYQDIPKLSPSLRRTFSQQE VLISEASNFNKNNYLFEIKNANEHDEQVKAYTISNEEAKDQSNFQQDLKMYVSKHLNTSG SAEISAMNISQMQQVIFDEIQEFYEQEVIGIKKDEQKDVIQYFQNTH >CAK83038 pep:novel supercontig:GCA_000165425.1:CT868452:316118:316641:1 gene:GSPATT00017395001 transcript:CAK83038 MNQVENLLKPSLINKKSQPLDNTKNTDFFNQWEQQLLQKETQLIQKERKLKDKENQLAQK EDILVKKELNLNQRMMKYSTILEQIIAQKQTKSENSLLPLNSNDKSHRNNNINQYQNRVI NLLNTIRSNSQQQQQLIHQQSYMGSTLELADDRFNKSTKVFQLM >CAK83039 pep:novel supercontig:GCA_000165425.1:CT868452:316710:318851:-1 gene:GSPATT00017396001 transcript:CAK83039 MSIKNLEDYQQEEEQEDNEEAEVIEDNGFRELYENQEQFMRQINQLNHQGVLKIKLQKYQ EAMKLLQQSEQMLEYATSCGKQIDKNLIIVILYNQACSYQCQWILDKSSKYLTGVIYNLD ESMKDEDVNNNIETNEEESDATQVKKKSFLARASLQHTAILSQLGKHKQALQSARKAAET MREVFKIASQFCNDWVNKNGSLESSATTHSFMNYKSKQKNAKYQMKDEVEFSRLVINSGN DTLLDMLRYQDLDNMSNNEQLILREAKKQLYYWKNNPTNNEKHIRQELKINNKPDDYRSI LGVQNVEEWIQSFNVSFIMHMTPLIHSEFAQQGEMLYEISKRMLLEKIIQLSISYFTIAT ELRFIELEKAKQQGTKDFNTEEFKLSELYHLKAIEIACKHIACSSQYINHLITTYHKHYN SNLDTIQEESITTIVSEFNYKEQKLQQLKQMQMQTQRENQLLLQKITEKKLNDSSPTGRL VNSLQGQISPLKNSRNISDNIKTQANFLDQMIRNKRRQQMPSDISPKQKFQFLNLSTNNS CEKVNEKVLKMKDTLTIQLQPYKSAKATFNHIIKYIPFGQQNNNGLVKSFLLESCRVQRQ NFVSNCSPKRGGRSPRQSPDKSYVNYKTRTSIPQNKQNIPNLEIESQFPIKLETLKQSLI GKSFQNKKRK >CAK83040 pep:novel supercontig:GCA_000165425.1:CT868452:318935:320219:-1 gene:GSPATT00017397001 transcript:CAK83040 MKDNYDHITTSQAIFDVVKRGNYVEETRVQYEIKCIGTEIETAQMGKDTVKYKFTITKID LLTDTKKSHEFVRRYTHFLWLQNELQNKQIGRVIPSLPEKQTVYDKDKRKLEFVYFMQKI LSHKKLQQIDCLERFFSEELRDYDVFQHYIENMKESQSMINIFITTGISFMNMFSKVCNY NSVQIINRIPDENDKQFEEYRKELEQNRSNTEIISSDICKIVQKLQIQARSLSNSFDIFM NECQGVEEFATLKTIIKIYESYEIKLRQKYVYRMEASIKDYDQAIKLINLYKELKDQINK DTQLIGNQYYRSQVDELKMQINNNKQKVEQLQINFLDDIDLFKQQQSWCLMDVQKKFYVD LQECYDSIKSQKTAHHL >CAK83041 pep:novel supercontig:GCA_000165425.1:CT868452:320246:320933:-1 gene:GSPATT00017398001 transcript:CAK83041 MDQHHSKSFGALNQLNCQLFKPKPLPFRLQIGSIPTETPRVLKQALVTPSTNDNDSKYLF SQLSHSPSPQLRAFKSYRVSTEMLNGSPIDQIQLIIRENENLKKSLNQKQKIIDTLTRSQ KSKTRFDFNDLKKNSRSHIQPQSQQEAIKPKLVEVKQSVSQNYNKRTKLPKIEDSIQKED DDCNFTFAKNFFNNNVTCQNHKINFKQVFAQSHLKKKFFT >CAK83042 pep:novel supercontig:GCA_000165425.1:CT868452:320961:321875:-1 gene:GSPATT00017399001 transcript:CAK83042 MDYNINKCIPQWNPHSMMHLLKQNLVSELNADSIKKIGVSRGARTDRGVHALCNVVSLKL QIDQKYCSSMTNNKLVDKWNIDWDLLIQELNSQLPSDIKVNAIKHVTQSFNVKHQADQRM YNYISPSFSTVDYVQNICNQYLGTHNFHNYTSKKEYNDPSSKRFIQDFRVQNLNFHNENY LKFTILGNSFMYHQIRKIIGTIYQIVQEKKDLSFIQDTFSQQQHSLYIAPQQGLYLREIK FTGYNMKKDIPQKLETDFKQQKLIDAFEDQLIQYICEQYESYNIFEEWYKEIQKHKQ >CAK83043 pep:novel supercontig:GCA_000165425.1:CT868452:321974:322716:1 gene:GSPATT00017400001 transcript:CAK83043 MAEQESVATVKFANQPKLFGKWDYDEVQIILQFKHQNHECLSLTLLEDIKERSLERLQCP IVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLAVLSLAVQRGGAREDFTK VGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAASNEDGQK SYTIKKRDELEKVAKTNR >CAK83044 pep:novel supercontig:GCA_000165425.1:CT868452:322716:324864:1 gene:GSPATT00017401001 transcript:CAK83044 MSSYIIAGSSDKVDTAECENMANLIRQYYPEISFKIVLKDLTQWEQYADEICRIYGFKQK SNPIIYTMDGCLIGDRNVQPCKSSRHLLHWQRVDSVSLIPSQDKVPSLQMSIRKQPNKNW IKSQNCRGARRTLMEKIEQQMLIIYSNNIVQPTDGVFKQSFKSGVKEKLKTWYDSLNNLI RTDVKRNLSNDSDSSLLFQFLYKFDDRLRPIKKLQESHHFEENKEAIQESNYVDVEVKED GKLVIKQILWFSETARFLTLEQEQLQQQLQELAQQREREQSHKNSMISPDIRRDTNELND TNSSPKATIQTQPIVEQPNEEGELDVIKNDQVEDPQQTVPQDADQQQEQINPTIEQQELD QVQSIGGGPIVDLQEDIETILNSNQEKPDKIDVSELFVHKFRNEYALILHPFPLMDGQML LCKPFDNHIDDYSCIKRGRINSYKKSSAQLNCEGLYEHQLDIFKAITIQDCEIVAKIINK CDALVVLNILPQDLESFLPLSNGHYSIILRQHAELNKANKKLFKFLNEKKVQVNYKQLMP IEEFILDQRSQCYEVQREIFKLKSLDIQHYFAFPPQLLTAESVMDIIMKILSIILDYDHT AALKMGFNLLISKHLVFLCPTFSNYDDIDGFKLHLQAFTAMGFLNLPTGSSSINFFDLLQ KAQEKLQQ >CAK83045 pep:novel supercontig:GCA_000165425.1:CT868452:324894:326654:-1 gene:GSPATT00017402001 transcript:CAK83045 MIIKSKATVTKIKLPQLAMSHIRERSTRHSQTRSLNPSQSPMSKILQPTTPLHSTHHKNS SYYHYSSIMKIEQTPLNEVEMFNCLYKPLDAAKTTKNKESPLKRVRNSSNENFQNNFMIS RDPRRSAPDLDMYPNYLKKPQQQNQTRRIEIREKIMEIYQQVEKLLKNHKGYVRQVEKKQ AVITLVKLRKEFLLLNQDYNDYYILPKAEPDLEFKNRMEMLINLFQPNKLQKSNRINLLF NCDDSFNTAEQSQIMQLLSDQFSDQDQYNTTSQQSSENLSEVSGTISQSKRNAQQHRTTK VVVQRQSKLINPIESQQIQQNQVDSQKQKRKSIKQDLKDSKTQIPRKYTKLSIEDIMDTK EKNANINYLDEEQEPSESGTGDKETDTKRRIRRETKMISRKNAIFAANGQQIDKKNYRID TDGQVVSDQMSDQDKSSDNRRQSEETNRNGLENREDLLSNQEQIDEILNQVENDLITYQR VDENDNKRKRSKAMEFNIDSNQQGQSKFQKSQTKYDQNIIIKQSQIYKTEPEFLNQIIDE TGLIEALCNCQYIYDSRILDLYRIDKQYTTWDDDIDYIYCGEYQFK >CAK83046 pep:novel supercontig:GCA_000165425.1:CT868452:326817:328114:1 gene:GSPATT00017403001 transcript:CAK83046 MQDFKRTKLETSYNSQRTEDFSIINGPTGTIKQSDHKFDEQASETSEYQENKSSSLKQPL PIIQQDIEKSEYESQIVESLGDSYPGSEIQALIEQKKQRDHKPVLVITQIQIYLNIMVVG QSGLGKSTFIDVILKKKFGTGQILRDSTLQIQEISGQITANDLTLNIKFIDTPGFRHQYS LRSWLKLLCGHIRSQFNSYQQRQNQQYESKEKFQQLSQQDLDERVHVCFYFFSGPRIQTE DLQALKKISGLVNVIPILAKGDSYTKNEIIQLKQQFNDLINDYHIDLFKCQCNNNFNEKS QFGPTPPYVIISSVEQFQVGNHLIYGRKFPWGICDIFNPQHSDLAILHKSLIGHYCLELI RLTDFLYNNYVQKEKQKLKMKQQNKTWLAYLISYIFNL >CAK83047 pep:novel supercontig:GCA_000165425.1:CT868452:328186:329587:-1 gene:GSPATT00017404001 transcript:CAK83047 MSEIEQLTQQKQEIEKSQSILNQWVQLKKNLSSKFKNPAEAFKSLCKEGKDVLAIEDFGD YAKGLDLTQVFKDITLNEENFSKAWEQWEYKQKQNDHKLQIINEKLQLLTMLDNGEMPKE ASRGLDAAPNKRVQQIETLEKLREKLDNLVNEGQKQKEKQDVVQNEQDCFAQFLAKKSEV KSPELQVSKLQQKNNEEEQNSNPSKVKRSYIDSTPAYSRNKATFGSSGNLLQSSLQNKGS LGNSQISVKSSFIVESQIQISPQKAAQNLRNYVPNPQQQLRSPYEERQVQEERKSQKANR MKGDLQSYISELFQNERESKQQIRTFEKPTSQGLAGFFTSKRLYQNASNKQSFADTDSHN VSNRVQTIRYKLDDFQSQKLAQYSYVPRTVPDWTPDRPVRLSNPKQKQNSGKQISPKYTP GNTHRLNLNYLKQEKETHEQKQEEQPQANC >CAK83048 pep:novel supercontig:GCA_000165425.1:CT868452:329902:330615:1 gene:GSPATT00017405001 transcript:CAK83048 MQSTKLERNFVNENLISKYLKCIICSAVFDEPTRLRCGHTFCKLCISQWLTDHQTCPECR QDAKRKHFQIDRIAAGIISELDVYCSNKIYGCKWKGVIDRLESHQRKCTAKIVAEQLNLK IQSNQETDEDNELACQNDPGNLVTRINANLKDKKEVIDALKSSNENTKDDNLEDDDPLAF LNQLHQFSEENPLEEMRKIDFSDEDLPKQSRGRIIPQLNE >CAK83049 pep:novel supercontig:GCA_000165425.1:CT868452:331399:333773:1 gene:GSPATT00017406001 transcript:CAK83049 MYIEEFSNQLNLDQETLDKVKQAMQQFSEQDEKIYVPAVVYLCSQSCQIQQIDGQTKQGN GITLTQIIRNLDPQTDIEQFLMVLQDLPALLKYHSTEFDNVVRQANFSFRLYKKFDKCFS LIQPVQNPSQTPQFKKEDDCDPYISDLKKVAWILCIIVRQNILQYEEQLDKNQLIICDPN TKVILILVGSLSIVFQNIPNTFTQNVSDISQKMLSFFCNDQKEIDEELKYYQDLCFKCIN QIVNMMQHKTKVLDMQQDTQETQLSVLFEENTIDQTYRRLHKYYEKTRKHSDLDEECFLR QRIVFTPKKDINKQADYQDFETWQKKTKIKSDPPFMQTPLKDNKFSNTQEKTYFDIKKNA NPQTPISKCMELHQWIKKITMQTYKCFEDDDDEQGFYNQATININKYFEVTEASKSQKQT EQVQTILTLCLAVIDSLMKQNEGQFDLKLLKNYRFQFAILGLSLYTYQIVAQKPNLLQNM KQLCTVFEISPLDLFRVIANFAHFHRQMPSTITSQLFELEKHILFNLMWQTDAEKLVEIF KENSPKYFDSELVRRFQNHLALRVKELCNILELDIHDFAYSAICDFMKNPKLSVLKDVIF DTVIICTFYVIQKHQKQSPSFNSYNKLYTKYYSQQKQVFTRIVEYYNEKFLNEFRDIVKS ISNAQPLQIPKNFECQSPLIIKQIPVSPNYRQQYIATPEPPSPQKNFMRSLMQKIKPQQQ QQQQQQQQQQQQPQKKQPQKKQQDIQPFQD >CAK83050 pep:novel supercontig:GCA_000165425.1:CT868452:333794:334629:-1 gene:GSPATT00017407001 transcript:CAK83050 MNKDDIIGKRDVKETYNYDILADDRVSLFSSIRESKKENQKTLILSTQPTIYCCLSICSF LIALSNLPEEDRIYFFYDDPLSYNVGLVVCMCFLCILGIVISDIIKKSFTNKQIGTLPFL TKKQHKMNFNATICLAGQIAHLLLLIEIILQAMPTQLFQHETLVDLSFLLNVVYFAYANS CSDALYTIFHLYLCHSCIVLCQNNSMKLQYTHIWKVQTERVILTYMGIMLQGIQFQLFRP AIKEMERNQNILAEVL >CAK83051 pep:novel supercontig:GCA_000165425.1:CT868452:334738:335082:-1 gene:GSPATT00017408001 transcript:CAK83051 MTGLFTQANWTYKTLQQSSQKPNDFQKDFLQYQMAHFINYSISGFFFPITTSVLLSGLLV LRQYNYYIDYEQEKQKYFKYAQISLIGIISLLGLSVPGRYILLNKHR >CAK83052 pep:novel supercontig:GCA_000165425.1:CT868452:335150:336136:-1 gene:GSPATT00017409001 transcript:CAK83052 MQSQINKTQILAKVKKCHKNISKLNEKLYIFETFNNKSNFNIEKNSDEIKSKAIKHSVVI INDETSVELIMNTEDPQQDQVLDIKQTARKLISRFYYHIKQKMKQGSINQIEALKQFCDK EGKNDLYLKLHQGFLKLYFEKDSTCKECHQVVKTQSNCLNHIRKEHLADLEIYICIKCKL SFSKGDVLEKHIQQQHYQQQNVVQSHKETKVNVQQMQSKQQSNGNCIQHRFNQKLDLNKI VQNIQPQPILKKILVPQQNNNSLRSSSDEAKKCMPVFNQVYIPVEFQAGNAEENHEDKQD LNSNTIKKPSKLKIKFIQVQKNDKTQIN >CAK83053 pep:novel supercontig:GCA_000165425.1:CT868452:336451:337859:-1 gene:GSPATT00017410001 transcript:CAK83053 MYNLGKLQTKNAERELIQQHLQQQQLIQTSTQRNNTPQSCKSAQEMKYFLYQKNNGKEQQ VKIQNNSQVQQKPKNSLHRNFSQIEKSGSPILPSKMQPLVTSQQKVKKYNPLSIDQRFTS NQQFVQQITSQINKKEDPPKKDNLKVSLDDFVTQINKPAKSLISPFKQTKSLSPSNRIQS SKQKKYIYYVTSIIETFKHQYSQTIEQQLYKDHAMQTFNCIGFCLNLQDPDPQILRQKQL DIPLKQNCKYKKTVVFDLDETLIHCNENQSLKADVYIPIKFPSGDVVSAGINVRPFAKWI LTELSKLCEVIVFTASHQCYASQVIAHLDPKNQFLSAQVFRDGCVLSTEGVHVKDLRIFK RDLKDIVLVDNAAYSFGMHLENGIPIIPYYDNQEDKELKFLYDFLIEQVLPAPDCRLVLQ STFKLREFQKYRDPKTAIEKLF >CAK83054 pep:novel supercontig:GCA_000165425.1:CT868452:338925:339916:1 gene:GSPATT00017411001 transcript:CAK83054 MDQDLCLHKENIQQKTDQFQLAVNLFNQKKWFEADELFSDLVQKKQNTPYAQFYKCILIA NVQQGQTLIYLNQVEKLIAFVEQIEKENPQFFAQVIEHCNQELKNDSENIIILCIKGIAL DILHQFDESESIINEAIKLNPQFPLIQVWRCLTLISKKKYNEVIDVGQKALKEHPNFVIL HHYVGISLQILSRSVEALEHFEIILQLNPNLVHIYLWKCISLETQNQYLKALCCYDLALK LDPNNSEITNQKSKKNINYVQRNFTIRLKYVEESNLNLTSSNLIGIG >CAK83055 pep:novel supercontig:GCA_000165425.1:CT868452:340113:341422:1 gene:GSPATT00017412001 transcript:CAK83055 MQQDPRIFFYQLCMEQITFNKAIELLNQAIQLNQTDIYFYGIKSIYLEQIGLIQESIDCW DQGIVNNQNNILYYIEKAKTLEKNGKLNEIIECWDQGIKINYENPNFYCYIIKALQKQNR FEEIIIYCDKIISQNSQAMEFFSNKAWALKKLKRYDQVIECWAQAVEIHQSYVHFLGQHA NALKRQNRIEEALEKLNQGILKNLHNICFYIAKAQFLAELQRISEVLKCWDWGIENNKEN ILFYNEKSKILIEQGQIEQALEVWDFGIQNNKNILNFYDYKASLLEQLERFDEIIQCWNK GIKFNQNNIYFYREKANALFEQDRFSEILDCWDEGTKLNKNNTIFFNYKG >CAK83056 pep:novel supercontig:GCA_000165425.1:CT868452:341743:343074:-1 gene:GSPATT00017413001 transcript:CAK83056 MESEYDQKDIVVIDNGTDTIKVGISGEDYPRLIYDNKCGSHSVQNDNDNPNNKPQLLFGQ DLKKVIKEKKLNVQLSNPIKDGKIDNVESMKEFWQYILEDGLQISELQQVNLLIIDQVKN TKEYKSKLAEVFFDYLKVQSVLFMNSATLSLFSTGLVSGLSIELGHAVNTVVPIYQGFPI MHALEFSHISGAEITQCLENDLKTYEISLDKFSEEEKQWIIKDIKETMCYIAGDYEMQIN SEDNFTEEERSYELPDEQVIHITPKIRYHSSEALFSPAVLNKSGFSLPQMIVNSIQRCDK ELRQELVSNMVLGGGTSMFQGLISRLQDDICQIYPGGAMRSEFNFVADFQRKYSAWIGGS MLGSLKTFQSLAINKQEYEENPEGKMSLIHKRTF >CAK83057 pep:novel supercontig:GCA_000165425.1:CT868452:343134:346854:-1 gene:GSPATT00017414001 transcript:CAK83057 MADDDPMTQQEQLKDDGTEPYGKFRIAKQTLCSIAYMCQERVFAEEVDKLEEIGERLIEE GLCTDFKSGLTMNDQSERERAFGHNRKPRIEPKGYCELWLGALNDFTMKVLCIAAVVSII VDVSTADDSYRKLAWIEGFAILVAVIISTNANAVNDYQKERQFQKLNEVADERKRVTVVR NGKKCDIHMSEVLVGDVVQIFEGMEIPADGFVLEASDLTADESAMTGETDPIKKNVLSEC VNKRNQLKEEGGQNTAGHHDVPSPIMMSGTRVLSGEGRMLILVVGDSSCAGKIAALLRQD EPEATPLQMKLTAIAEDIGKFGLISAILIVCVMCLRFGIERGMNDDWENYMVVTIIGYFI IGITVVVVAIPEGLPLAVTLSLAYSTKQMLQDQNLVRKMAACETMGGASMICSDKTGTLT QNKMSLVNVWNDDIIEIDTYSEKQQLTSYFPQNFSEFFIQCAVVNGSAMLRPEPKGSKTE IALLEFIERCSMNYEEQREKYPASTKFPFSSQRKRMSMVLELDGGRRRLVCKGASEMVLA ACSQYHSKGNGSIVPMNQDLKQKVEKAIETMAGRALRTICLAYREISAREDLTTKDPKGV YAVEQSDLTLVAVLGIKDILRQEVPRAIQLCRRAGIKVRMVTGDNKMTARAIAKECGIIT PGDDQSIVMEGPDFIAKIGGVVCTKCRTAICPCARDSTTAKKENKDVRVDTIANPQEFDK IYPHLDVLARSRPEDKYALVTGLIERGHVVAVTGDGTNDAPALKKADVGFAMGIAGTEVA REAAAIILLDDNFNSIVKAVMWGRNVYDNIKKFLRFQLTANLVSVGLTLIGAAVLSQEVL KPIQLLWVNLIMDTLGSLALATEPPTEKLLYRKPHDRNEYIISKKMFKFIIGTALIQLAV VLFIVFAGDSFLPEYADDYDNTAFRGIKKRYKYSDHLCKTTYEDLKKYSEESGRQMVLIP DATQSKEVKQGGTCLSSECVCHENKCYEIMCEECDFETSCTIVASGRLNTPEGKRDYAVF YEDTHEPSRHFTYVFNVFIMLQLFNFLNSRRFNDEINVFEGIAKHEAFLTIVPVIFCIQI LMVTFGSKAIGLYGNFGLKIQQWLIGIGFGCISIIGCFFLKFIKEDMCCECGSKEINPME TEHKVIALKGDHSSGGLARRYSSLRHDQNPFGAPHQQHQH >CAK83058 pep:novel supercontig:GCA_000165425.1:CT868452:347733:349781:1 gene:GSPATT00017415001 transcript:CAK83058 MFNYTNIGFLSLKIIAFLCILIGYFMNSQELNKAQKNTGNPLFLDKKDFFYYVFSRIVLI VDENFTGFDNNDVYYHIDSQFNINYEILYNTVIHGISPIAQLQYWNIQYQTLDSVTYQLK KQNKTSYLSSYKAYTDYDPFSQFQQLNDGSELIAKLNQDDFAFYYIDNVQLSSIEYDNLL SQVDNNTMIIVLKFDKVYFYTNRTRLSKDEHTKTIYSIDSLTSTISCLLDSPIPLENHGM ILPGLFYETKPAQDINQIQLLDYMMNLYQLYEHYTLIEKKKSIKYQKDLDLFKDQFELVS TSKDDKMIQNYLDTLKNEIKDLAFAINSEISGNIFVLLLGLFLCLYILYLIFFKQQELVI IDWYILGLTAAFAFIEINLFKIILFLVLLLKMKQPVIQSLLGFLLLISDFPFYQLLSIGS LAYMFIKNKDLILTLLKTKQNLLKYSIGIFIIIILSSYVKYLLIIFIPLIIFICRKHVDV TILLVCCFCRAQNLLPILLLSFQYFRENEESYFKYPAYLVIDVLYITVTHPVLPNSPVLF QIDGYLHLMDIIMLICLQQMYYHFTTEINSNLEINEKSIITSIQKITEYNVEYFDNIILQ LIIEIIWIIELMLTEIFCYDMKQIGNQYFTLQFLCLNLFMLLFYLIKEGRGRIVELEYEK AQNKQELETKDMYENQMREIEI >CAK83059 pep:novel supercontig:GCA_000165425.1:CT868452:349839:351437:1 gene:GSPATT00017416001 transcript:CAK83059 MKPQAVPQSVAQSDHELDKHHHESQADEHGDEHHFKEYSPKELHSIKIQGFVCLLGGIAL HFVLGTFYLWGGISPYVGAYMKDKDPSVTQSTLQIVFPILGIATNSVLSFGVKLAQRIGF KTMIAGAGFLISLAFLILSFINNIYGFIFIYCFMIGIPSGLVYMLPIICGWKYFPFNRGM VSGLIIAGYGFGAFTFNFICKAICNPNNEEPEIPFEEDGKTKKYFSKDVFENVPFMFQML ALSYFLLTIIATLLVKYPRDLNLEYQQVLGEGNKKPSGINHEKSVDYHPTVMHAECETLA QGMKSRPFWFLIVMVLCSIIFGMLMANCYKVFGQTLGIDDASLTVLGSVQSVCNGGSRFG WAVLFDKIGFKKVYLIIAVINLICTAAIGYIDGSFAGYFIILCITMCCEGGLFSCYPAVS AKIFGHKVGPIIYGGLFFVIGLSNMLGYLLYKFGEPKIGYGGVFWIVFGFCCVAFILGIF FKEEHDWKKK >CAK83060 pep:novel supercontig:GCA_000165425.1:CT868452:351658:352402:1 gene:GSPATT00017417001 transcript:CAK83060 MTDTKGSMVAPLSKYKLVFLGDQSVGKTSIINRFMFDTFDGKDHPTVGIDFISKTLYYED RTIRLQLWDTAGQERFRSLIPSYIRDSAVAVVCYDVEVKQSFESVVKWIEDVRLERGNDV IIFLVANKIDLENRVISTEQGATLAKEQDAHFIEVSAKLGSNVELLFKQIAATLPGTETS QMVNSVVNHPTQPNNIILDDPQNQNQEKKDGKSCC >CAK83061 pep:novel supercontig:GCA_000165425.1:CT868452:353158:353609:1 gene:GSPATT00017418001 transcript:CAK83061 MKNNLKKQQATLLPPIDRRQTQPIQFDQINQLINQSLFIPKQNRAQSLYSLESQAQDSGK QYTKISPRKNIKDYNKWYVPPKKRFLDLRKESQEFQQEQYIINTYAQQQMKYNQILKESK QLAQFVEEFYKKHKRSPSFIH >CAK83062 pep:novel supercontig:GCA_000165425.1:CT868452:354062:354334:1 gene:GSPATT00017419001 transcript:CAK83062 MRNGLQKQETDRRYDTSKIIFPFDNIMIKKLRTQQFIIKEEKIECSICLKLVIENEIASQ IRECKHTFHQLCLQKWYNINNKCPLCRQQI >CAK83063 pep:novel supercontig:GCA_000165425.1:CT868452:354361:355335:-1 gene:GSPATT00017420001 transcript:CAK83063 MLKLQEKKKIIEILNNPISYCNRPTKSSSTYNPQPIEQLVFNRSLLRVYGYQKPQSQQRN RRKFNLSQLEGTQDIENNKQIVELLKRDYSTKSNIEKQSSFKKEQLEKRCNTTTNNKFFQ LNAMIKNKRNTNISRVVEQQNDSQKQRYQMLHLNFIKTQKQYQNIYGIPLSEFLIEHKFL TLIHQQLLIILLMIFQIDCTNIYEVITYKQYKLFKQVIVWKDLSIIELVDKISQIFENIS GGDLLNIIHLMAQIQPYKYNGRQKLNRDMQLLNKTIQDLEYKCIEHVLQQGNLNMQIDIT KLKKLFYNQIININTFIDLLSGQF >CAK83064 pep:novel supercontig:GCA_000165425.1:CT868452:355390:356621:-1 gene:GSPATT00017421001 transcript:CAK83064 MNKPMELDQYFSNSTQFLQQANFLNPFSVQQMNFNQKQKNNVDNPITVDDDEPQQEQKKC HNPLCKNVGDKKIKSKKNDILFFCDKCSKLYNKGNFCDFCEQVYGSYDDEAGWIQCDTCQ KWNHIACEQKNRNQNIQIEFETSQYHCLGCSKNIKKPKIIKKVEEPPVVKQRPMIEQEDC RNREKNITFVATKDNKIQYTYRFNLMDDEIKQDLDQLRNSTKKKPKIQQSSPPKIQQNIP TQQPTQTQQQQTQQESQETSFANRNLRRRINQKINYRDLIGEY >CAK83065 pep:novel supercontig:GCA_000165425.1:CT868452:358090:358623:-1 gene:GSPATT00017422001 transcript:CAK83065 MSFRKTTFSQYSSNVLQLDLEPENQSAKTLRRSNYTITTDYYSPQGNLDSLDSLLDQKSS KKSRFFFSQKIESEENFRHLKTESDFQQKEVKEVRPFRFRKREVHTKIDLDDKNDIDEFF TGAEIPRISQQAQKQNPYQLVTFTDYVENIYGKDWFSQRERKFKRQITVQDFFDTPC >CAK83066 pep:novel supercontig:GCA_000165425.1:CT868452:359464:362392:1 gene:GSPATT00017423001 transcript:CAK83066 MQQGQRLKEATEGQIVQAGNKIGGPFKIGKPVYQEKRLKLFNEIYQRQKAELEAKEKTPI TITLKDGKKIEGKKWVTTPLMIAVGISKKLAESMVAAKVVYDTLFEKNFVDVDHEEQQSQ GSQLNLKPDYVIWDLTRPLEGDCQLELLSFDDNNGKEVFWHSSSHILGSALEEVYGCHLC IGPAIDGGFFYDCYIGDYKVTQNDYVKIEKAASDLAASKQEFQRAVLTKDECLELFGTNP FKRQLITNKIPEGAMTTAYKCGNLIDLCTGPHLPNTSYVKAFQVTKNSAAYWLGKNTNDD LQRVYGVSFPSKKLLDEYVKIQKELALRDHRNVGKKQNLYLFHQLSPGSAFFYPSGAHIY NTLMNFLRRQYYIRGYQEVISPNIFNAQLWKISGHYDKYKENLFFINMGEEGEYGLKPMN CPGHCLMFDMIQHSYRDLPVRFADFGVLHRNEVHGALSGLTRVRRFQQDDAHIFCRMDQI QEEIKSCLDFLSYIYSLFGFEFKLYLSTRPEKFLGTKEVWDNAEYQLEQGLKKFGKPFEV NPGDGAFYGPKIDVKLYDAYKREHQCGTIQLDFNLPERFNLQYRASEDVQEVQQDKEQLH NEQVQAAQEIIDVVRKASHHSDTDNITTAPQNSQQQPAEDPVQEKAPQEQNVKKQKKQKQ QKEPQQQQQDQHKKQKLPEDIIESGSSQGLSQHELHKVLHEKKYELGYHHLKAGFARPVI VHRAILGSLERMIAVLTEQCGGKWPFWLSPKQLVLCPVSAYYKDVAEKIAARLRLEGYTV TTDEADQTLAKKVRNAQIQYFNFVGVIGEEEVKAGVIDVRDCEKNTRIGKLTIPQLCKFF ESLKPPKSNVEVQIHEHDDSHLKLNDELQEKMFLEGDGYSVGKRDFDEFAKIKDIDPVLQ NLIRWHKYMTHLTKKDEAPEKVEQVEQKQE >CAK83067 pep:novel supercontig:GCA_000165425.1:CT868452:363122:364118:1 gene:GSPATT00017424001 transcript:CAK83067 MVNFSVDQIKEIMDKQDNIKNMSVIAHVDHGKSTLTDSLLCKAGIIASKVAEKTKRKEVL QSKSTGVSLYYEYDIYDNKTLEKFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVEG VCVQTETVLRQAMQEKIKPVVMVNKIDRAILELKHDGETMYQNFVRVVDMVNVIINTYQQ EDMGDLLVHPELGSVSFGSGKECWAFSCTRFARIYANKFKVEPLKLQERLWGDNYFDAEG KCWRKDNISGSGKAMKRAFVAFIMDPICKLANAVMEGNMDVANKMFETLGLKLTQEEAKL EGRAFTQGCYVQMDQCCQIHYLK >CAK83068 pep:novel supercontig:GCA_000165425.1:CT868452:364118:365748:1 gene:GSPATT00017425001 transcript:CAK83068 MYVSKMVPTTDRGRFFAFGRVFSGTIATGQKVRIMGANYKVGKKEDLFEKAIQRTVLMMA SRVEYIPDVPCGNTVGLVGVDQYLMKTGTISDHPDCHLIRSMKYSVSPVVRVAVQPKNPG DLPKLVDGLKKLSKSDPLVLCTTEESGQNVVAGCGELHVEICLNDLEKDFAGIELIKSDP IVSYKETVSATSNIVCMSKSPNKHNRIYAQATPLHENLPDAIEKGQVTPKDEPKLRAKAL NEEYDWDKDDALRIWTFGPDNSGANILMDKTSGVQYMNELRESMESAWQWSTKEGPLCEE NQRGIRVNILDCVLHADAIHRGGGQIIPTARRLYYACELTAQPRLQEPVFLAEITAPNDA TGGVYNCLNTRRGTVIEEEQVAGTPLSVVRAHLPVAESFGFTAHLRGMTQGQAFPQCVFD HWGYCQWQPT >CAK83069 pep:novel supercontig:GCA_000165425.1:CT868452:365781:366638:-1 gene:GSPATT00017426001 transcript:CAK83069 MNFMNSKLVKAGLIGLIGLTLVAQQKYNRFQCAAPYFSFISHTELQQFRLRFPSLYVLDK FQVEHILSVIRNKTTQTKNFRLYSDRLIRLLLEKAVSEHIKKMSPPEGQQALQQQAQVIS FEQNQFCVVVMIRSGNAFLGEALKVLPGTSVGFILVQEHPQTKDPQLIYCKLPEDVDQKQ VILTDAMITTGGRINYGYQSIDISWSVNIVSCEKGLSKVLHQFPKWIMHQILFKICGSQE SETLETDISGTQDQQ >CAK83070 pep:novel supercontig:GCA_000165425.1:CT868452:366769:368072:1 gene:GSPATT00017427001 transcript:CAK83070 MVNFRQIMQIVLRKFNDKLNVSASAAMLIACFCLYKAKAKPTLPLVKISNFMLVLQKKLV EEVIYDGSHILFRCVNQAQWLSTQADPMIKGKELLKALMEYGVPRFQNCTQIYYTERQMV YLIASIAALSYTFGIMASHIINSQKLSNKSMIAQSTGVKFVNIYGLEHAKKQLQQIIEYL QDPQKFRNVGARLRRGVMIYGPPGTGKTMLAKATATESNVQFLYCSATEFMEVYVGTGPK RVRELFKKARELAPAIIFIDEIDSIAYKRKNQNFGSETGGDNERVSTLNQLLTELDGFKE NENIVVIAATNRIQILDEALLRSGRFDIKIEIEMPSEHDRKGILGVHLQNKKHQISSGMV ELVAKNANGFSGADMENITNESAYIAIEKQQEFIIDADFQEAFKKVTQEKQNFKDI >CAK83071 pep:novel supercontig:GCA_000165425.1:CT868452:368114:368950:-1 gene:GSPATT00017428001 transcript:CAK83071 MSDYHSKTLELLKKERHRHAQHFLIKLYQNSPLWFEALLFQEFFQLNKKLFELLLKHIDN QTVWVILSQLKFVPYDNLIFSTILKNISKKNAFQLLRKTLCQEEYQLKYIQANIFYLFTQ VLQQSDDKQYFLNEVIDCILSLCCLKFELFEKVITSGLALVISAQSIKDFTNEGVQNLQR IVYWMCSSKSELLKRNIKEGIWFQNIFLILMQQQLNHVVQINTLDILKQEFQSDLGCHFK EFFRTTYQVPDNIQTLIERYL >CAK83072 pep:novel supercontig:GCA_000165425.1:CT868452:369326:371741:1 gene:GSPATT00017429001 transcript:CAK83072 MVRKYFGIHLPTFWVQHWKKYMDVICVLVLQLMVDSFMIATSEITRSLRMIMQKQRKLLR IQQHPNKNFKEQSQPKMNVQNYLVLIHLRDKGAMTTAYKCGNLIDLCTGPHLPNTSYVKA FQVTKNSAAYWLGKNTNDDLQRVYGVSFPSKKLLDEYVKIQKELALRDHRNVGKKQNLYL FHQLSPGSAFFYPSGAHIYNTLMNFLRRQYYIRGYQEVISPNIFNAQLWKISGHYDKYKE NLFFINMGEEGEYGLKPMNCPGHCLMFDMIQHSYRDLPVRFADFGVLHRNEVHGALSGLT RVRRFQQDDAHIFCRMDQIQEEIKSCLDFLSYIYSLFGFEFKLYLSTRPEKFLGTKEVWD NAEYQLEQGLKKFGKPFEVNPGDGAFYGPKIDVKLYDAYKREHQCGTIQLDFNLPERFNL QYRASEDVQEVQQDKEQLHNEQVQAAQEIIDVVRKASHHSDTDNITTAPQNSQQQPAEDP VQEKAPQEQNVKKQKKQKQQKEPQQQQQDQHKKQKLPEDIIESGSSQGLSQHELHKVLHE KKYELGYHHLKAGFARPVIVHRAILGSLERMIAVLTEQCGGKWPFWLSPKQLVLCPVSAY YKDVAEKIAARLRLEGYTVTTDEADQTLAKKVRNAQIQYFNFVGVIGEEEVKAGVIDVRD CEKNTRIGKLTIPQLCKFFESLKPPKSNVEVQIHEHDDSHLKLNDELQEKMFLEGDGYSV GKRDFDEFAKIKDIDPVLQNLIRWHKYMTHLTKKDEAPEKVEQVEQKQE >CAK83073 pep:novel supercontig:GCA_000165425.1:CT868452:372499:373495:1 gene:GSPATT00017430001 transcript:CAK83073 MVNFSVDQIREIMDKQDNIRNMSVIAHVDHGKSTLTDSLLCKAGIIASKVAGDARATDTR EDEKERGITIKSTGVSLYYEYDIYDNKTLEKFLINLIDSPGHVDFSSEVTAALRVTDGAL VVVDCVEGVCVQTETVLRQAMQEKIKPVVMVNKIDRAILELKHDGETMYQNFVRVVDMVN VIINTYQQEDMGDLLVHPELGSVSFGSGKECWAFSCTRFARIYAKQIQERLWGDNYFDAE GKCWRKDNISGSGKAMKRAFVAFIMDPICKLANAVMEGNMDVANKMFETLGLKLTQEEAK LEGKHLLKCCLCLNGLMLLIHYLK >CAK83074 pep:novel supercontig:GCA_000165425.1:CT868452:373495:374426:1 gene:GSPATT00017431001 transcript:CAK83074 MQPKGSINYVRLQDGSQQLIEEDSLLSVEFSLVPLLLDKRSELWGANYKVGKKEDLFEKA IQRTVLMMASRVEYIPDVPCGNTVGLVGVDQYLMKTGTISDHPDCHLIRSMKYSVSPVVR VAVQPKNPGDLPKLVDGLKKLSKSDPLVLCTTEESGQNVVAGCGELHVEICLNDLEKDFA GIELIKSDPIVSYKETVSATSNIVCMSKSDQISTTEFMLKPHHYMKIYQMLLKKDKLHQK MNQNSEPKLLMKNMIGIRMMHSESGPLDQITQVPTS >CAK83075 pep:novel supercontig:GCA_000165425.1:CT868452:374426:375120:1 gene:GSPATT00017432001 transcript:CAK83075 MVNQGRTTLRRNQRGIRVNILDCVLHADAIHRGGGQIIPTARRLYYACELTAQPRLQEPV FLAEITAPNDATGGVYNCLNTRRGTVIEEEQVAGTPLSVVRAHLPVAESFGFTAHLRGMT QGQAFPQCVFDHWAIVNGNPLEAGSKVNELVLSIRKRKGIKVQLPDLNEYLDKL >CAK83076 pep:novel supercontig:GCA_000165425.1:CT868452:375262:375441:-1 gene:GSPATT00017433001 transcript:CAK83076 MHKQLNQVILTDAMITTRSQIAIAKKALQSNGVIQKNITAVNIVTCEKVYQKYFVNFLR >CAK83077 pep:novel supercontig:GCA_000165425.1:CT868452:375724:377042:1 gene:GSPATT00017434001 transcript:CAK83077 MVDFRKFMQIVMRKFNDKLNVSASAAMLIAVFCLYKARAKPNLPLVKISNFMLVLQKKLV EEVIYDGSHILFRCVNQAQWLSTQAEPMIKGKELLKALMEYGVPRFQNSTQIYYNEKQMM YIIMSIAALSYTFGIFASHLINSNRLSNKSMLAQSTGVKFSNIYGLDHAKKQLQQIIEYL QDPLKYRNVGARLRRGVMIYGPPGTGKTMLAKATATESNVNFLYCSATEFIEVYVGTGPK RVRELFKKARQSSPAIIFIDEIDSIAYKRKNQNFGTETVLLNQLLTELDGFKENENIVVI AATNRIQILDEALLRSGRFDIKIEINLPSENERKGIMGVHLQNKKHQVSSGMIDVVAKNA YGFSGADMENITNESAYIAIEKQQEFINDADFQEALKKITMEKQHMKDQILNF >CAK83078 pep:novel supercontig:GCA_000165425.1:CT868452:377067:378385:-1 gene:GSPATT00017435001 transcript:CAK83078 MDLNSNADFPSNISTELIQLKREQVRNSQRKEDIQNKLLQKRQQYIYQYEQLYLKQQELR KEELALVFNKSVEQVNDHFYLNEVTAALEIMVNLESKLENLTDIPEQFCVSSFTNVLNQL VAQLSSNNQLEFYLLTLSFDSINISLFPQEQSVMSDYHSKTLELLKKERHRHAQHFLIKL YQNSPLWFEALLFQEFFQLNKKLFELLLKHIDNQTVWVILSQLKFVPYDNLIFSTILKNI SKKNAFQLLRKTLCQEEYQLKYIQANIFYLFTQVLQQSDDKQYFLNEVIDCILSLCCLKF ELFEKVITSGLALVISAQSIKDFTNEGVQNLQRIVYWMCSSKSELLKRNIKEGIWFQNIF LILMQQQLNHVVQINTLDILKQQFKSDLGCHFKEFFRTTYQVPDNIQTLIERYL >CAK83079 pep:novel supercontig:GCA_000165425.1:CT868452:378438:378741:-1 gene:GSPATT00017436001 transcript:CAK83079 MIHLCLNFKLPEGNLDGPSTMVHTLPHATCLPYQITIDDIRDLGVQPFKILIRFKPTAEL LYKSKYRIKVQDGPSVDFILRGTGKDLIKRKV >CAK83080 pep:novel supercontig:GCA_000165425.1:CT868452:378786:382839:-1 gene:GSPATT00017437001 transcript:CAK83080 MKETTQLKPVTQKKSKSNFLLEMNEKDKEINNQIIKNMNKRVNYLKNPRFKINKAPQVGF ELNQDIVVEKDQITSFRVFPKQLIFREYMLNGMYEIDLYVTNATGILQRIKVLPPEKKEF QIAAIKYPTKEDGFIAPGMSAVLKVRFNPSSLAEFDDTLAVITEDHILKVPLLARKEPPQ LDLPSQLDCQSCWIGDQVETRFVVKNSGGEAGYRFFINNQQDQQEEENYIQVGNFYLSPA EFFLHKGETQIIQAIFKPDLEGEVIENIILGCDNLTQATLKLIGKGNMVELGILGIDNIN LENQEQLQKIFFNDPIPKVETSRQLKIRNKTSVKVKYHWYLQNDDKELKLEDELNYYSIQ PQEGYFQPNEVIDFKIFLKSEEYYPLFQTAYLIIDDIPFESIRNPPPNLRQQFETNSQSV AIGSNSIKPSITYFEFELISKSALGEVSVTPQFYKFPVPICVNTLAQYKFKLSTTSKTQM KYSINPLQLDYFYIQEKQGVINSEAEIVLGVQSSEVGHMKLEYRVDFDYANSILITVFAD VIAPFISVKQSWLNYGLIQTYSLESQELTINNLSPVPALIKAQSGSDQRLLFNKDQFEIK PNSSIQFKVEFQSREAETYNDFLYFQVENGDCAVLDVFAEVQNPSVSLNRLSLNIDTLYC GNTYTFDQRAQQYIMLQNLGNISTPFEWVVDNEKQEKYLVQFEPKKGVLKPKTNQIIKFS IKPKEGGKLNELFVCEVQGLQYPLGFEMNTMIYGLSVEYELVDDSAHISSKTSVSSKQKS LKDSRKSLLKADTEIKNQQMDKLEFYNCTINQPKQAKFLIKNTSGIHTQFNLYMKKYQPH ALEEQSTIFDDFDAKSQAARTIKFAESSISKSVSKKGKISQGGRPVVLLTNKIEKTHNFT SEAGLRLNKQKKMEHDQRVYLSNNLGIAVVFEPSSGTLNAYGSVVVQVTVFNDICGLFDD FMCCDIRGLPTKEFPIAIDIKGSPIVISPSQLGFNYKTDFPTFDLGTYMRNFGTISRDFR VMNTGPQDVELEWKIYNLGTSTTSDYFDIKITDPQLGSDQLCDVQFIANEPQESKDGPFQ VVPNKERIKQRKEKHFTLHFTTQDTGNYSACLVARPRLINQDKTIGEVAFYVKSETITPY LTFDKLEKLEGGFQVKFQKWSSGYNIKQEKKLVLVNRKKSSFVCTFEIEGPFKLIKTSTN SPQKYELGMKTEIAKTFNLVTDSHVELLVQFEGYQPNDPVNWPLTYKVYHHGSINIFYAN GDKQSIQMEGVLLRPFVHLNTSGIDQVEGPEVLDFGEVQEDKTMAIYLSNLSFGTCLMEI AALKKSIKEKYS >CAK83081 pep:novel supercontig:GCA_000165425.1:CT868452:382899:383795:-1 gene:GSPATT00017438001 transcript:CAK83081 MELNKSNIMVATDGSQASKQAFFQAVEFFEYKNIFNQIIVAHVSDQQKSYLPFEFQSTTI YEDYKIELLSRYPEANYQLVFQEKAQGQENIRNQILTIAGDLNVGYLIVGFNGRKGIKQD VTILGQTVRNSVYNSKVPLIAVKKLYKRDETNGFKFVVCIDGSKKSYKSLESAVALSFDE RDSLLICFAPTPDREAFGTTIKTKVEEFMAKFQRKWEYKQLDSSYRAIENVIDMINNSDD LDFVVFGSNGYRAQLENKTFFGSTADELLKKAKANIIMVP >CAK83082 pep:novel supercontig:GCA_000165425.1:CT868452:383848:385354:-1 gene:GSPATT00017439001 transcript:CAK83082 MKDANDEYLVYDKNKISFEFLPENLNQGVTCKPPRSFGILSTNNGIGISNQTLRIENDDI ISFNVFVLQMDEKNTNINLQTEVISDDDKLKPKSEILFRLSQQCPQRDHLTSQNYWTPIH IQVSLNEVNQQTHELIEFAIIFSCDKSYRDITFDWSLPILLVISTILIAFLAKYTRILSF SWKTNGKDFQGFEINNTIILIYILLYAGGATIVLATDFLDFIRYLFIIIACTIGTLAIFF VSSELACLMKANSFLRKYYIVFSSIISLLIGIPYYFYKPWYLSDIISLAFIVLIVKFFRL KNFKFASFLMISNVLLDSTLALIIHYTQVESYNTTVLQFLNCPLELQLPLISLQFNKNCA WISLFSQAVPGLLLSLAYRIDKTKRTFTYGLQGFLSLIIAEGLWVLATVSVKHSIPETIF TYPILLGTLTLNSLRRAEFNSFWFGDYLTDQSFQRLRGDSLIDAKAPDLLFNVDIIPDSK VQPTDL >CAK83083 pep:novel supercontig:GCA_000165425.1:CT868452:385688:386587:1 gene:GSPATT00017440001 transcript:CAK83083 MSNHPASTKPPQKTAPAPQQPIPYSPPRTYAPPVAFASPSYLPIQQSFVGAPVQYVPQPV AVQPVAVQPVAVQPAQQVIKGESRIEYIPYEKSVIEYEEVRQKIQVPREKYITEYQAVEY QTDYIPQVFYDKVTEYVPVDRFQDRVEYYPVERQVVHQPVQQVVAQPVVQSVVQQVPQYV APVQSVVQPVYQQPQISYAPYVQPNFAPSRIAPVSYAPPLSYGAPVSHPRRYSPPAKPQP AQKPQPQKEKKTFLDNIFS >CAK83084 pep:novel supercontig:GCA_000165425.1:CT868452:388821:389132:1 gene:GSPATT00017441001 transcript:CAK83084 MGCNAQKIKTKTRDQVIETNPNIKCQNQQSKILETEQKVDRLNSEMQCPHSPQIGRKLKH MASSDELQKYLTKRQSNTQSPFKYIKNSPEQRRKLSLMNSPKL >CAK83085 pep:novel supercontig:GCA_000165425.1:CT868452:390068:390370:1 gene:GSPATT00017442001 transcript:CAK83085 MGCVGQKQNRNQQHNKFPLHRQSISVSHSNRKLCFESPFSTDPKQQIQLYRSIDITKDEE FILKSDKKFISKNQDSPTTTPKFNQNLQFAMGNRKNKIVM >CAK83086 pep:novel supercontig:GCA_000165425.1:CT868452:390386:391114:-1 gene:GSPATT00017443001 transcript:CAK83086 MFYSVLFFIALTTLVFITFILLNKSQLQFEEKLTSKTIIICLFALVVLMNQIQLMSQFKQ TNKLANIFSELDLIEIPSNTNIQELSVPFNKTKGIYFTNNTLPLSLQQQLENNQKPYLHI NFDHYSALNIDSVYLNKKMSQLQNELLKQQSQQAILLIKIESFNQDFFEYLESIFELSEC TLILYGSQVQQIRHICNYIDSYQKCHPFLGFLNNQQYEHSIQFISKNIYQTTYKNINYHQ FY >CAK83087 pep:novel supercontig:GCA_000165425.1:CT868452:391126:392862:1 gene:GSPATT00017444001 transcript:CAK83087 MRTTPNSVKETASKTSTQDYKKQQSQPTVSIPESSQLFHIPQKYCHQRFKPQPTTSKSIE EKRCKVNKTNLSELNNRTNSSSKSRISGFTSNQLEDDSLYNSQNALKLKKIIGDSQVYNT NLFVSKSNLTCDSSSPGGRQNKSAYKKSADFDITQLNMLREKSLMQKKVQSSQAGQQKEL IDSFLNLDKQIQGATLEQQKKQQYLKQRGLWDPFKDLFIKQFARTPVQFKSVFNQLQVQV REIEQQWSDVIMQIANNLFELFQNSAILMAYEQDLKLNEMIEQMRRERDIWQNNFKSLEQ ERDILLETVSQLKQTLEKERPSPFKQDSKQKDFDSADIPQLKEMINLMQQKISEMSEKES KLIKLVLAIRKSGIDIEKIYNEEVLNEDSLSEQNNQSNRERKSFITRIERSFHDADNSVV NDSDESSFQYNGRLDDESIIESIRRFENRNQNSAYATESKSSIKMKIDLSKCSQQQQKLQ QLQQLQQQQQQKKIQQQLQQQNMNKLKIPDQEGIGFHQEFMLKFNEFSESWRVQVLKDEK RTKS >CAK83088 pep:novel supercontig:GCA_000165425.1:CT868452:392939:394858:-1 gene:GSPATT00017445001 transcript:CAK83088 MFICCKTKNKRAKQSTHNDSEVRSFSKENHQDEKAQNPDQDRNSKQNSNEANQTEDSHKE ILNVVNQIKAYRDLGQVKESVDLEKLFVNGLLAEDLPIVYFIEYRWAIQYTGYLGGMKKA PPKEINNNKILTDDHRTQDTIHITIDNDPQLLPGIQPERHYSLINERAWKFVHLLYGGGP TVTIDLDNAKLESPQNEIQDSRLVNDKECLEVQPIDKINNEPNGMLPLQIGTQLELINDG ATHVTATTILPIRQSRDPLLDFKLSCNNIEDIDKSASGNLLDWNITGQTNKVKIFSKVPM ELPIIGFHNPKYNCYMNAALQCLLSIPKFIRKILKIQKQSNRQFTTACQDLIRLIQGTIP GQSINLDLLAFICQQKFKPTQQQDSHEFLLFILSQIQEELIGKKNSEKQEFLSATEAWET YTRRNPDLITELFTGQISNKNYCLKCKKTCEVYDPILDLNLPIQNTPGLQEIKLMDCLKN YFKEEQIFNDWQCNLCQYKNKFLLRQLQITHKPQFLIIHLKRFAQVPRNQKIQNEISYPE ILNMKEYCTENVVNPEYKLKGLISHQGQINSGHYKAYTRRQNVWYEFDDDVVTIDKKNRH LTDKGAYIIFYEAL >CAK83089 pep:novel supercontig:GCA_000165425.1:CT868452:396673:397150:-1 gene:GSPATT00017446001 transcript:CAK83089 MPNFKWKQKKDMKQGFMIRIQHPDHKTEKQFKYFDEKQKSDLIMNVMNGICFSEKVSDKC DGNFISLYDPADDRFHYYIQKLDGIEIDNPNEPLKGKIWVPYINEKRHDWDTLVENNTRI SITDHLLWKLEYIKK >CAK83090 pep:novel supercontig:GCA_000165425.1:CT868452:397879:398633:1 gene:GSPATT00017447001 transcript:CAK83090 MKALIFGGSGALGRSMVKVFKGWKVTSVDFNKNEECDNIIIKNASDINLLKSELNTLEKF NCIVCVAGGWTGGSIKEENVLQVYEDMNQKSVVPALVCSHLATTQLSRQGLLIFTGAYSV FNAPTPSMIGYALAKTAVHTLAIQTAVSTHLPEDSAVITLLPETIDTPANRQAMPKEDFT KWANPDQVAGLVRSWAEGLNRPKNGAFVHLRVKNGSIAPDFL >CAK83091 pep:novel supercontig:GCA_000165425.1:CT868452:398962:404513:-1 gene:GSPATT00017448001 transcript:CAK83091 MNIDEQKCILRIELIAGHQMLLDKDQQRCFIEFKLDGEAKQSIEKTKPDDYFEQVFHFHL NQNKNANRELQILLCNINRGGYMSKQTLGKVNLRELKFQLNQPFDLPIQNRIKDKVVTIQ VVLSFINNLFVDNVTGEIVVNLQSMIPQNKGILAMSLGGSQTTYTFQAGTELRIMNDSPY CKLTFIYYYNVIPKDYFGINQIKLLKQAFVKKEIFLCDLNWKQKNNELEVYLDKVQLNTG MLRLRLTLSRKQEILKVVEEKQLVPQKRVMAIKSIKIDGFPTKELGTAKYYLQIRTPNYF YFTHISVIPQWDCDIALYEQNYTAILYNYCGDKKTSFLAKFENGNEGELSLPFQQFLKTF YGSNPNQFQKVTQFLNLQMHSDIQYHTSLLIDNPRGIVNLPITEFSINEYKGNYMMFQTS SIYDYVQIRKYKANKAEIAFDIPLYEIEDKILFGGQQIPIKYECDSQSKEEVVEEKLEKS NFVKITIHSVKITKNENLQLAITTDELTFQTSKICGIYFGQSFICKFPNKLSFQLINRTE NDIIQDTYTSFIDLQQLDFLGTESFINVQMNSIGKQCLLTIRLELSGHISQSNRISITPQ ALYPVSTEGAYYMRVLFSYRFFYDYQQVKSEKDYLVFDTFDWTTEDLWKSIRFQLFYQSG NKKENHTLLADYQLQAIDLLWKNKTLGYVIREKCRFVIKKEQKYGVDTPQKMIKELDQPK LFVKFTPLSIQFQQNSQDYEQVEGYALQLHHIQNDTIARLEDNLNFEYNGNIMEFDRTEN SVLKFPASTQLTKLQMDISVIKLIKQELRINQFVVPVDLKQLDAIQYVEIRNDVPMILKF RRQIIQHMRLSHTSIYDVSITNIGITNQDNKINLKFYVGTTTLINYPWIKDGVLEQTYKE SVQPILFQLDEIDTCLEFVLFTDALVFRRHVINISTIQFIYNEEQQLGTFDLNLDEFKCQ IIIKESSEQFKADSINVSFNTLTKLKHMAGKFIKYRFNYNFECKEFSSQLKYTFFTSISS YVAQMIQRSEGQTDGEYEYTIIIPERKKFPRNHSVAKIFLGSKELYLVRHQETRAEDMET ILETKIKIRNIHVLSTQPTQSFTCLTKEGKIIELDTQYLVYKQIKSQFTIGKFEIMIYRL LKDIEKDYQNKYIGPDLRLRLKYNKQKYWITSINDQGLMTDGEFQKCEFYVTNVTDLLKI QLYIHDYLVAENEYCVPLLKEQFIQELQRILYKSNDLIYHFHQKLKLKNIWVMGRCKFQK QNWVKLFMKFQKLIIKMDDDEEKQLRSYSLKVSNKQLDWISKVYSTSRNSTEIEFYDEEI VDQVILPGEEDQSYFKLQVRMNGDILAEKLLVLKPFIVRNVSNFPFEFKLNEKVSVSTTI EVSQKFKSDTLKKVAPEEYKFDFRKSSYEEMVITMLNFQGKFDKILKEIFEFLMYNLPNK YEPDQLFKVCKAIFCIHNKNLMLSCYSTQCMSTIYLSHSDRLMGQISHLLLLQQLQDLVI AKNKAFKPLINVPYYDEYLPTSVNTIKNLELTEGELLAYLKANFHKVKEDLFQESRDKHS AKITLSCMKILETLLQKDQQPGVEMLTNLPILTEAYKQFDDYENKIGNIFTILTNSLIKI YTSQDNEAETAKLINSNFYLLLQVFFETIEKASQQQFIAILLTMHSIIKIINQDQLQFLK LVLKYLIRSLVVQQDLELHIGVAVEYFQPNYQQIIKQVLSDISLENIALKIIQQEFQNRK QSNVLSNLFDMIIQSIELGVEYVNIMFMLQPYTELQEYVKKVQPKHESNKVKVEKFMQFM SKRSEREEKLKQLKEKKVPTQTIDEQKKRVMDRFMISKLPW >CAK83092 pep:novel supercontig:GCA_000165425.1:CT868452:404536:408387:-1 gene:GSPATT00017449001 transcript:CAK83092 MKIAESNDNSEKLLSKLHFNQVAFQLPQQVQEEATIAQILSYRSPVYKRLLYYTFCILTL GIGYLFARWNLSLKLALLYSQCIDVEQATHLVITSLENEKELIKVGLKKMQINKSEKQSK SFEYRLYTYIYEDECFKAIETPFQTLTHEDIIKNYSQGVQFPVEIASQYGYNNTTIPDKS TGKILIDEILTPFYLFQIFSVCLWSIEEYYEYAVVIFLTSIISILVQLRETKLNFAKLRE MTSQDSVENVFRGQNDIVIQNKEIIVNKTVTNNKQKLSSKTIVPGDLIEVRDDWTVPCDC ILLNGSCIINESMLTGESIPIIKNPIQFNQLIYSPNEDSKAITLFAGTKCLEARHPQKGE VPILALAVQTGFSTIKGQLVRSILYPKPTTFSFYKDSLSFIAVLAMMSLVGFSLTIKDQV DELKEDNTTIFQMIINSLDLITITVPPALPTCLSIGVSFALSRLSKKSIYCISPNKVNVA GKITIMCFDKTGTLTEDGLDLYGVRSIGYNQKKQKICFQDLIIDVNQLPIPNVMFSMNFG QNVYGQTKLQPTQLILEIMASCHGLARVNGQLIGDPLEVKMFEATSFELDDLHNEVFKDE DRIKILKRFDFSSTLQRMSVIVSRNDKLRVHVKGSPEKLRELCNPATVPRSFHKILEHYS KMGFRVLACGSKVIENECNRDKAECNLTFLGFMIMQNKLKPVTRSIIQTLQDAMIRTVMV TGDNVLTAISVARQCSLVQPNQRIFLGDIAEEKLDGKNHITWKDFDMNDKVLNPENLSPE LDIKDDSGDEFETPELFGQYEQEHEQQMEIIIEENEKIGNTQSSENRKTMNLRKSKYENY KEQEERLKAENLDHLIDDQDPWKTDQPFVVAISGKAFQLLLNQIDTNPKARRLFGLMLEK AQIFARMKPEQKAQLITHLQKISKKALCGMCGDGANDCGALKAADIGISLSDAEASIAAP FTSKVQNISCVVKLLREGRASLVTSFQCFKYMALYSMIQFCTVTLLYFTLSNMSSLEYFY IDLFIIIPLAGTMGQTKAYKKLTQFQPGSNLISFPVLLSVIGQTLIQIGFQTFVYFYMKS QPWYRSGVDIHKDIGDVDDHLEMETCYENTVLFLYANFQYVFQCVAFSIGKPFRREFYTN IYFTLWIVLAMIFNSYIFLFQKSGLQDIFGLMFEYESEKDGDLSTAIDKSWMYWVYGIMI INLMATIIFEKYLVPFTTRFYRGKKRKLLKRYKYSQNPYLNDQLEQK >CAK83093 pep:novel supercontig:GCA_000165425.1:CT868452:409476:410532:1 gene:GSPATT00017450001 transcript:CAK83093 MQIDQMQHSTPIHGEETDIQKGKEVSLIVFPKKFLNPLSTYRMKGDFHLFADARHIRQHE MLKTGISCQNPYKKISKILVDQSAGVLKLCYTQLDFSETPWEYVAKRLQSFGDVQMNELS EYIKKYYEQLNSGLKQGEIEQVVHNILSTLFQVPPDNYRSFGTYQYKYLKGLNQFFISGL VLDIKLVEDLGYSVQYFVDSCMKIGIPEYKIYQLMPRISLQPGCSNVDYYKNVMEFAKPL TKPTEMQDFYLYSPLYPQGLKCDVSFSVTKDHCEAESDALINFNFYLNYQPSLRNNQALM PNKKLKSQNCISQYYELMDYHYSRCGFKKTKLN >CAK83094 pep:novel supercontig:GCA_000165425.1:CT868452:411088:414730:-1 gene:GSPATT00017451001 transcript:CAK83094 MQRQENCKGFSMIKRNNKKIYRRRLKTQKLTEGLSDEKERWAKDIESLTNSGGLIPGNSI IAAGMVAYSGPFISQYRIRMEQDWRAKLKEYNIPFSDLITMRKFLGDEVKIQSWNICGLP KDDTSIENGIIIDKSRRWTLMIDPQSQANKYIKTMGKDLPEGLDVLKQSDQNLMRTLELA IQFGKWVLVENVGLSLDPSLEPILLQQISKTGTSATIQIGDKNLQYNFSFRFFMTTTLPN PHYSPETSVKVTIINFAITPLGLEEQMLAQIVALENPNLENKKTEIVRKNAQDKKELVNI EDSILRSLSETKGDISEILMDETLINKLQNSKKFAAEINQRVKDSKITEAQIDEARESYR SVAFRASLLFFCIIDLSTIDPMYQYSLQWFINLFTLGVQNAPASQVLEERLVHLNNFFTY MLYENVCRSLFEKHKLLFSFMLTYKILSAAHKMNEGEWRYLLQGATGDVQLPPNPTEWIS ENTWPETYRNIYGMSELINFDGILSDFMSNSDKFKTIFDAPNPQDIEFPEPWNEKLDSFS RILLLKAIRSDKVIPAIQKWIIEKMDEKFIIPPTFDLSKCYKDSTPNTPLIFVLSPGSDP IADLMKFAEEMSMTKRIDSISLGQGQGPKAEKLVKDALGRGGWALLMNCHLATSWMNDLE KLNEEMQDQGTKDFRLWLTSMPSKSFPTIVLQNGVKMTLEPPKGLRNNMLRTYTQLDDKT LSDCKKPEEFKKLLFGFSLFHAIIQERRKFGAIGWNIPYEFTNEDLTVCRRQLKSLLDDY VLIPFKVINYLGAEINYGGRVTDDKDVRLIKTILKLYISQEALKEGYQFLVPTYYQPNVG ERLNYIEYIEKLPLNPEPEAFGLHSNAEITNAQNETRILLETLQSIQPRTQQGAGKCRED VIEELANFVESKTPDLFDIDEISNRYPTDYQESMNTVLVQELIRYNRLLAIMKTTLSNVK KALKGLIVMSEEMEKLSNSLYDNQVPQLWAEKGFLSLKPLASWTQDLLDRIAFLKHWVDK GTPKVFWISGFFFPQAFITGMVQNYARKHVIAIDKLQYEYIVLDTLTHTGVTEKPEDGVY IYGIFLEGTKWDFKRHLIAQPKVKELYSDLPLMHLLPYDPSQVEVQPKDPKEKKIYKYQC PLYKVVSRAGTLSTTGHSTNFVMPLELPSRDDEDVWIRAGAAAFLSLRY >CAK83095 pep:novel supercontig:GCA_000165425.1:CT868452:415008:422113:-1 gene:GSPATT00017452001 transcript:CAK83095 MNKFTSVEPKVILPYDPIPGEVPRKVAIDRKRKEFRSLDFNRLLQEAGIEFKQKDQSVEW LKLEYFDDTTFDDNSNEDWIRREEDEEGIKHPLLGLGLRGDEYQYMEILEYKDDKFIGKW LKDDVKLELHRLYICFDAEDPRKYVKRLKNAFQQRIFADALVRYNYYIDNMSLFDLSELD NEQKKRIEQNAKTKKLEAMETTQLLLEVNMDFQRTMNKIIFDKYLDDEQQDENYPKLRLP AKERKKDVRYYGWMELEASKGVMEKWIKPLTFDELNKNFGFSTLYVSQEVVKALQDIRHE CNQVLKESLFDFSKREGALHLDEFKHMQENATTSLMYQLKGTWVPTMIKIIKDRFAEIGK GWFNMKETSKITYDFGKLKRMLTVIRLMMQDTITTLMKSNFDRYQKTIKSNIPVNVDIKN TDWVLNKYDDGFLADNRVQVFSHDRKLPLFQIELLAKDDFFQYTTNPTLYVLISLQAMKK AVDEMAKIPDLEPRILSDLHKSQKLETFIKAPMMPIQEPFADENKWVWDTYYCIKASIEE ALQPLEQYKTVFNKYIPVLKLRPDDVARDIELEDPPREFESIRDEIIKATQKEKQLNEEI LDSIHVGMFEIHLNEAKGILIERYQGLQKNLIDLIARRARNTSIRIFQEFGDIKKTILEE PDTIEKLTLLKEYIGNLPQELEKMKIKMNQLFDVFKMLEEFNYRFPLDDFQRRWKIFGSP KEIKEMVEVRNGQLEKLKVKFSDDAKVQQEDFREQIENLERTIQEFHKHQDVSKNKDMAE VVEYVMKQISEFQEQASKFNMQEALFDKPQTDYSKLNSMSREFKPYYDLWSSTYKFKNGI KQWLNDDFMNVDADECERIVEEGVKNIQTAMRTIQVTGIQKIAEAVKAEIDEFRPKVPLL SALRKKGMTVRHWTQVSQLKGLDHVINPDEQGFCFQRILNDGFLDVIDKVVNIGETANKE YQIEMMLDNMLNAWENIKFQCVQYKNTFILKGFDEIQIVLDEHIINTSAMVFSPFKKFFE ERISEWDKSLRKIQDILEEWAKFQQQWMYLQPIFDSQDIAKQLPAETKKFKTVDQTWRTT VTQAKAKEKVLDVCIEDGLWERLHEANKTLEMVQKELNNYLEKKREKFARFYFLSNDELL EILSQTKEPTAVQPHLKKVFENINSIEFDKDKKIHAMFSAEKEKVPFAKIVDPNKKNVEE WMNEVENMMRLSVRQALMVSIENYTQVKREEWVLKHPGQCVLNGSQVHWTKEVEAAIDAQ NLKGYFKRLEDQLGSLVDLVRTKLSKQAMVTINALIVIDVHAKDVVQKMVESEVYDKFAF EWISQLRYYWENQLVDFDCWVKCVQTNFPYGYEYLGNTLRLVITPLTDKCYMTLMGALRL NLGGAPAGPAGTGKTESTKDLAEKLWQNNVWCSIVRTLWILLWLASSLRDQHLLVLGPVL MSSIVSILKCYQLLHKKAKGTPQVEFEGSFIKILPTFSVFITMNPGYAGRTELPDNLKAL FRPVAMMVPDYAMIGEIMLYSFGFKLGRDLSKKMVTTFKLSSEQLSSQDHYDYGMRAVRS VINAAGLLKVQFPDMNEEQLLLRALRDVNVPKFLKDDLPLFENIISDLFPGLERPQYDYG KLIPELSLQCEKYVFKEQPYPVQPVQPFIDKVLQLYDTIQVRHGLMLVGPTGGGKTTNYQ ILSKSMTKLGEANGFYKVHTHILNPKSITMGQLYGQFNEQTHEWTDGVLAYMVREAVKDT SSDRHWIMFDGPVDALWIESMNTVLDDNKKLCLNSGQILTLTQYMTMMFEVEDLAVASPA TVSRCGMVYMEPRAMGFLQILKIKKKDVLNNLTKWFQQYVDEALEFTYKHCKEVIPTMRN NLVQSQQRIIDSLISPYVETEIKKVSVDELDQLNQNIEYYFHYSLVWSIMVTGDFQSRQK CDKFHRQQMQKYRANFEYPKEGLIYDYQINLSPWSDAYQSFEIDQKLQFHEIVIPTTDST RNMYLMKLILTNNFHVCCPGPTGTGKSQNSYQLLIMGMPEDFQYVPLTFSAQTSANQTQD TIDSWIDKRRKGVRGPPVGKRQVIFVDDLNMPKKEEYGAQPPIELIRQILDHQGWYNRQD LQFVKLEGLLILSAMGPPGGGRSNITGRAVRHFNVLAYTELDEDVIKSIFSKIIQFFYKK FSETVQMLQMQLINSVLSIYNSVRRDLLPTPSKSHYTFNLRDINKVFQGICSILPKNCQE PAQLVKLWYHENMRVFHDRLINEQDRVYFKQLLTQFFVDFGLKQEEVLDQERIIFCDFLG HTFRYKEIFNILLGRWKNYQINTTRMLEAERNR >CAK83096 pep:novel supercontig:GCA_000165425.1:CT868452:422483:424491:1 gene:GSPATT00017453001 transcript:CAK83096 MENPVERAVNEATADTLQMPDQKLMKQVADLVNLRADQSKFAVQAIGKRLMQLRNGKVQA LTMELIEYLAFTCETPFYTQIATNDFLQRLNTLLNPQMNAQMQQRLLQVIAVLKSLMQSH QDLFPAFFQFLQKIAPKHQIPNNYESKYAVLRKAQPAYSSGARVNSLGSGNSKNDKLRRD LEIVKSNITLTNEIIDNANPQEDVSKNEILKDMTTTLRGVEEKLRNLITDMGNNDEGLMN FCLELNDDLLKTFTRYEVLKKHKKPDPFKPQPAQQQQQQVPQSQTQQQGPFQQQQTPISI SPPMQVPSQQQQIQPPPQPKPQQIDLLNLFEYDPKTEAQQKGPQPTQAVQPEKQQNIIDL FEVDMSNPLPQQPQLTSTVTQQQQSQVPPMSQVPPMSQIPPMQQFGQPPIQQQQLYGQPP IQQVQQQSNYSALNAFPPQQQYPPQQLQYPPQQQQYPPQQQQYPPQQQQYPPQQQQYPPQ QNLQQLYQQQQFGQQQTMYQAFQQQPTQPVPYQQAQPYQQQGFPQQQYPVISNISAQQKK PENEFDNILNLAVGQKSQPQQQQQKLDFI >CAK83097 pep:novel supercontig:GCA_000165425.1:CT868452:424528:425716:-1 gene:GSPATT00017454001 transcript:CAK83097 MAQLTRLSSSLKMGIVGMANVGKSSTFNMLSKQSVPAENFPFCTIDPNQAVVKVPDPRFD YLCQIFKPKSQVFSTLSIIDIAGLVKGASEGYGLGNEFLAHIQAVDGLYQVVRAFEKEKI VHTEGTMDPIRDLQIISEELMAKDYQFVSKRVDEYSKKIKKYEANKNVSVEARDMVEQYK VLQKCDELLKKKQWVRYQKWTDLEQIQLRKIQLLTAKPSIYLINLSKEDHDAYVAKKQNK YAKPIEQWVSKNSPDSKIVYYSVENQDQQQLLDQMIIAGYDLLNLIRFFTVGSDEVRSWT IKKNIKAPQAAAAIHSDFEKGFVNAEVMSFDKFKKLGDNALDNYEKKFSKEGKDYIVKDG DIIHFKTKSFK >CAK83098 pep:novel supercontig:GCA_000165425.1:CT868452:425775:427217:1 gene:GSPATT00017455001 transcript:CAK83098 MKRYHRINLIGQGSFGKVYKVKNQANELRALKIIAKKDFTDQNEIENMKKLDHPNIMAVY EIASDENCYYIVSQLCEGIELFDEIHKRIKQHQVFSEEEVRYIFKQILSAIAYAHEKNIM HRDIKPENILIDPTDQHIKIIDWGLSKDMTNIVSIKQKIGTIDYAAPEVLLEKEYDQKCD LWSCGVILYILLSGEVPFPGENTGEIEKKIVSSKINLKQKIWKTISDDAQNLLINLLEPD PAKRFSAQQALQSEWIQKQSKSVTKEICSQEMQYRLQKLSRFCCESKMVQATFHLMIQQN LTQEKYKQLRQTFQELDKNGDGKLSMEELKAYCNDDIDVEDLFNRVDTDKNGYIEFTEFL TAAVDMKKLASHDQLKEAFNLLDQNGDGFLEIDEIKKIFNCKIQVQDENQWDQLLQEMDK NSDGKISLEEYQEAISKFIDNNQPSSNLASQNPNPEPEPNITKKVKITESTYQLRNRQIN >CAK83099 pep:novel supercontig:GCA_000165425.1:CT868452:427385:428285:1 gene:GSPATT00017456001 transcript:CAK83099 MQLKLIIQLMVKSVLKDVVGLLWKIGYVIIGISHQFQAIADFDGKQQQFYFGVFDGHGGS YVAKLLREQLHSHLKNNQFFNIDIEQAILESFNQMNIDILKQQHLLMKDGGSTALCVINV GKELFVINVGDSACVLIDKDFQITKLNQEHKPDRLDESKRITDNNGFVLTIKNQARINGE LAVSRSFGDPKYIEHGLTAIPEITKLQLNENSKYLILATDGFWDVITNQILQKLLINWDN FKEKEGLSQYLLESALKQQTNYKKDNMTIIIIDLIIYFNKLQ >CAK83100 pep:novel supercontig:GCA_000165425.1:CT868452:428601:429331:1 gene:GSPATT00017457001 transcript:CAK83100 MTQETLRQLARQLNKNKFLIAKPATDVFQCVQAFILLVKGVKIQDWLLAQLLMSCSLPQM VETIIEKKIDYANVILCKKLYQLIPQQQNSLGKLIYQLISQSLNFLEGERGKSQRSKSTI LRHEPSVLWQQHQQNNFILKQECYQSKVKIIKNQKIKEEDEKKFELLKEKMKKINKNSYF ISPRKIQFEQQEKIILSNNNSERQLIHNKQMRSLRSFRSSFYD >CAK83101 pep:novel supercontig:GCA_000165425.1:CT868452:429393:431086:-1 gene:GSPATT00017458001 transcript:CAK83101 MNMIKFIKSLDQFGVSFYPNIQYSTSEYKTVQGGVMSVLLYGLSFSYLVYMLVQWQQGQI LPKVTTLSNFVQDYKLELNESFMQIEMRKYSYTSIDPFNPEAVILQPVIYYFVNGQSPDR GYPQQYKKKTNMFNFHIVELQNLTIQTSSELTTENPQIEMMVVFGQCLGVYLQEGQKCAD NETIQNFFDQQTNTLIVKQFKKEFNTNAVTLDNIDKELVIPLTKDSTFYAQVNTKISDTQ VDQGFLFESFDDYEYITDYQVTGNSMNKEYFGKKLGYETYAVFYYKVDQIQTISQITYPK ISEILADSGSIASTLLLLSYIVILMNQSYMQFEAINHVIAMYYPEFKNVKIIKNIFGKII RVESNGKLINLNLFFPYYEKLKRIGEMKLTISNQIYELSRIQFILQTQYSNKFISKFHSI GIPFPTLTMDSMVNKEENQSKLENSIIIQDQNYKSNQILPNVESQDYQLKKEAVSRKAST VAMNIIKQEDNHNHVKEDSQKPFELNLKDEDFEILTMQDEYAHLEEKEINSKDVEQIQPL QNDPTNTDLLKKHQQE >CAK83102 pep:novel supercontig:GCA_000165425.1:CT868452:431173:432357:1 gene:GSPATT00017459001 transcript:CAK83102 MKVILIALLLTFSMAAHFDKKTIHKHIEDLHKSKWGQTLLSMMALHSQSQGPVDELVQAI EDLVADLQEELDVLEFNFGQRTNEHHKIVTTLEQEINQAFVDYQRTQDTLENLLYPRREN LKNKIEQIQDYQEANRKTLAEAQLKRENEKEEFEAQLADFNSAVESTDQALELLYSLRNQ SLGQVSTSNIRKIHVQLNMIEQRIRAHSKHGPLIKALIQLANEQNFSNQDIVGTILDKLN EFRNAVVDAINDSTAQEAKDQQEFEERVEQLDHEFSEFGKQISKITVDLEATITKINELT EYSAQRDADRQRFQSQLNIENDSYAEETKIYQDMKNEYIREQEVAESAEKVVRSADFSNI KI >CAK83103 pep:novel supercontig:GCA_000165425.1:CT868452:432421:434312:-1 gene:GSPATT00017460001 transcript:CAK83103 MKSKEIEQNNKTSNTKTMPSESGLQLHRNVHAQTYVATNKSAVQLQNQRSITPGNRTVII RNFYLPIQISKVRDELLIQKSVNEKVEKYENEKEWFQGKLIKQHKFGYCKYYLKKQYYYE GQFSCSLKHGKGLIIYENGDYYQGEFFADKILGDNGMFKDFEDECKLNTKLEKKKLEFPN GAVYQGQVLDGKRHGYGVYTWKDGTKYEGQFQNDKFNGYGVMEFADSSKFKGEWVNGEME GFGHYIWPNSEEYKGYYKKSKKHGFGVFKYKSGVVYFGDFVDGLNHGDAVLKKVNKLNEL QFDNNYYLQMLELPFKNLTQFEKEFKNALKEIQSTDSTLETQAENKNSLNSLFVQGSAFE RIKSSQKSKSFAYFQQKSVEKTMYKRFFSVKEPFEQNSDLIYYGQFQYEKAHGFGKLVSK KTSILFEGHFIFGQIHGSGRLIMSRGDVFEGRWFFNKILSGTYYNEFLKPIIQIKELSIE FPQVRSQKNYHGNLINNKREGFGRYQWNDGTYYVGEFVDNTMCGFGRMVYQDGRRYIGFW KNNEMDGYGEFEWPNGQMYVGSYSRDKKHGIGMIILDGRVAIGEFENGKSISKSKDFDLS S >CAK83104 pep:novel supercontig:GCA_000165425.1:CT868452:435116:436471:1 gene:GSPATT00017461001 transcript:CAK83104 MTSQGCKNTKLYTFQSNNTQSSLNKPRMVKYQVLRKQTGLGSRIIRQPDSSVEEQIIETC KSLMPTRPDTQQSKQKNGTQSAQEKPQTRENHASRLRTPKSESLLRIKSQENQIEDFQPI NQQFNYVDEIPLDHPIWKLILPDVQSVNIPKLINDNPDYFHHQLGFCACYKCECGQCKCN FSKNCKLNYQSSQNTVYGKDFLHKQTGYNSLRPLNQTYYSTQFFNQKPIDQQSSQQTSYK QFKIPVQEMLRPQSQEHRGDFLGNSSYKTQFKFWGPPETAHFKRPVHQSVSDQIPFTAQS LYKDSFANKPYQKPSDSLKMMTELTPLPTGQPFVSQSQTQLAYQPFRIQKNPKLNSDKII DYGRSPKYDTQYKSLVHTEYTPKKQKYCPAKEYIAHYQQRTQQKLKQQQ >CAK83105 pep:novel supercontig:GCA_000165425.1:CT868452:436775:437398:1 gene:GSPATT00017462001 transcript:CAK83105 MKLIKFDKFDKPCLQSQHEIKITTNLIRTPKQSQSQTFITFKSSPVNSKKSSVHRQSTHL TQHKSQISHNHINNKIQILSQQNAILTFQECISILCDFKSTSPLLLYNKKLPQKTKEKMD QISSIVDQMLPQDSKEEMRRSKTLNQEKIQQMMKLLMQEKQQKYQAIKSGESLIKQQQEQ IQSLKTRISLYRPSHDDI >CAK83106 pep:novel supercontig:GCA_000165425.1:CT868452:437410:438965:-1 gene:GSPATT00017463001 transcript:CAK83106 MQEIIITTLLKFNEIFDAFQQIFKIHNYNCNQQREILEKCLEQEYISSCIYISVNSNNKF FPNYKDLEEVELKFAKEKQQQENYLIELIESNVQCETKEIKKQLDSLIYTQSEKFNDFKS FVSDIYLTKSDIRQFKSSSDERINKLQDQIQQFQTKYALKLYTEQHIVFIQFDIQIDMKQ AIQQLQQANTLIKQDSQTLHKLNRDNRQTIKQQNEQLTSKIENIEKEQYKIFEFEKEVDK MKNIIQEQKQGKCQAIILDNEVVQSKVKSLAQLFQELEVNNSKVVKFEIHTAFKKVEEEL FYFKNRQQSFQDQLQVVKEQVQKFEIVETQFENSFKRNNLSNRCLKILHSSSNSKYIIKQ KREKNQIQSDLPNKVAILLSKVSLLEKTSKTAFDQLKRIIDVIQEFCETSQIPSDYKEKD LTNLILLLDRRILEYGKSIYDQQVQSVQNNSVKINTPRISTSVDSPFKVGIQKQKKTKLS KMLNKTLYYDSRRETII >CAK83107 pep:novel supercontig:GCA_000165425.1:CT868452:438993:440026:-1 gene:GSPATT00017464001 transcript:CAK83107 MSDIDQWIETLKNGENLKETDVKILCNKAKDILNNEDNVIRVEAPVTICGDIHGQFQDLM ELFKVGGDVPETNYLFLGDFVDRGYNSVETFLLLLALKVRYPDQITLIRGNHESRQITQV YGFYDECLRKYSTLNVWKYCTEVFDYLALAAVVNDSIFCVHGGLSPYIKTIDEIRIINRK QEVPHEGVMCDLMWSDPDEIEGWSQSARGAGFVFGADVVKEFNRRNGISLICRAHQLAME GFKLMFDNSLVTVWSAPNYCYRCGNVASILELDENLKKYYKLFEAAPTDRASNSKKTIAD YFL >CAK83108 pep:novel supercontig:GCA_000165425.1:CT868452:440701:449569:-1 gene:GSPATT00017465001 transcript:CAK83108 MNSLKFNDKEIQEQILDLCEQINDGIWTDETEILEMFKEIFVKLNEEDQKSALVYIQTNQ QTQIYVKKLQLQFLNAEDLSTEIQEDIDYKKIYQQLTLHNTDWQQLINHLRQKEFCDQNN INPIIIENFEILQKLKEKVFHLLNLNCLTKIKEWGYPYLNIFKILLGFIDGNNDLNESET KKLEMIIGALLEEQVLQFLEYENNLFSSANSEMINKIISAIQLEGKKYQDFSMELSSLSF DQKMFIKLCTTQTKEKAIENIKKQVSLLHYCENVRWEQKINLKKMDQNILKYHGFESLYF SLIFYFWQQYCYNKSNELNEFQQNLKKDSLAKIESKQRFIKSFISKVKGNSQDQIYRLFT HHSIQFTFQQEEYLDKEKITIYENFQKGKYKLIDQFFDKDIEKILQTDLYCILNNLKFMP IFKLKMLKIQLGQKNENCNYGQRIEQIDMNQNNETTLKKQDVLPTNCSQEIFNQNFDTSS THYQQFLIWLLFQNPQREFFNKKKKDLELQFILQNSNDIDIYIRELINQEQNLSYGEIVL LLELLNPKFKKFITSIIKLYKISQSFKLVKAVLCLNEFFIENQNLEGNHQELKLEETSQL PIELIKELFSLNEKELYSLKILFGKNKFKILLVATVNQYLISDAKTKYIYIKILEKLVPQ CSGKYHVKIETFRALFICLKPQSLNFLFSRNIQQQITPSIFKRTLIIIFTIMAKKDEQIS KFILSNLNHFLDKTYYNFDYIWSARQKQVIDKHNFKYNLIVFLNAKNLGKLLEEECFSLF QENPQFYKEELGKVGFFKRIYLYSAYYLQQNIFDQCPLDGGFTLEDFNISLTETTPQQEK KIVSNWMDDMKDTLKFKALIPFFFNIIKRGESSKKLLSMITTYQNQKKDNLQIIGNMKTL FQLFYSNSNDELKVMLLKFYSNMYPIPFIYQNPSFLNAKSEIDLFKFNDKLYYVFETSIS IINFSLSLKQAQIGKTELINQLFYQQEKFETQDTCQINNNTIDIMFDTQFNGSRNFTVAD AHGQIPIEILVKILPLFRLWIIQFDSEQELKENYFQLQKIKNALQIDNHKVCFIIRNYKG DLEENKINNDYQKLLEQIKKEGIKLHKIMDLAQRGLDKQQKELEIQHAQAFIFNEIAQKD QQSLVSNQDFLSIIQNFDTEEKKISPQFIDDRDILKDLESELNRLIQMPLGFYEKEAFPI RSIGYQSKKLRVRENELMQQRNNSNQNLSMVDKSQYNIENEKITQQITNLEESIKNSELS RLLKMFCNILKKRSYYILYLQFTDQVRKFNDRHTYELQEKNQQINDQLMKLKKERDQIKL KKAEIKNDTEKLIYFDKKSKEIKQQKQKLKEELKKNLEFIGCRNIGIEIFWRELIAIKQR SIANTLIDPAEKVYEMIKKGEPFEFLDGDSLKINENFLDQLKNKFTNLGKEKVLVLSVLG PQSSGKSTILNKIFGCHFWTSVGRCTKGIYLNLLKISYKEYFNNLFDYILILDTEGLQSP NKDDSEFDKKIALLILSISDIILINVKGDIHQQFKNLVEMCIFTLVSMKTNLSSIKQLSW CFNQNNDANNFAPFLEQIQGIANSLNLEHNHKLDGKNQTIDSNEFLNISKDNIQILGFAC IEKLWRNNQSLGINKDWRQLIINESYSEEAYLYGIRMIKSFIQKFESQTETSKMQSLSLF IQNINTNWQTICNLPDLLEFAELISYKHDQLMKNKLDEIYDDDKFSFKNQIGMEIIDKVL SSPVQNLNLFNQIQAEKNEELRITFFNIEKDLNEKLLQFKQDKQIQKKIYLKYQKRLSQH INSSLKDSEVIVFEQIKDIEREYQNNKGFKSLDNFILQVSKDPEQLTKLKDNLNQINTEF ERLWGGIKTESEQQQREILREYSAKQYEYISSSFNEYKLTTDNEQKYVSSFLENINNNSP FRQDFDEKFKIYAIFETELSNQIQFRTVLNTIETMRYAEIFNQNLEDRMKKPKTKYDVMD INNYYQFVITLDFVEKNILDDYIYQGKKYKSQKSVKSGKKFNCNTEEVGLIGDLIQILDK FQGLQQKQECYDPNTLQQISNQISQLLALLDYFKIDHDNKKKKKILEVFQNPQNWKNWNN FQESVIKAFKSFQVSCKQFQKQPQASFDYTKDTYKKTIIEINKGLKLNTTQITLSTEKQV QEYIQNFEHIIVFRETKTIPVSQKQYQLEASLKYIQIKEINYQENFQLSFIKYFSTAMFN ENQIQLQQENCQKNWFSKLEKLNSWQMMYFSIYDVIKSEMTKSHQEKNKQDNSDSEEISQ QNTSLIKIIMSKIEQEIINYNKSFANFGIILSGTGERCIYYYSILIIWRFTCYKKGKGVQ DKPQINQLEKFIADIKQNKTEQSILKAKTLISNFYQNYIEKFYRDNQANFGKEIKNKNTI TNTQLIQELDKTILENYQDDIQEKQYNDQQIFQYITDQTKFIENYVKNKVNKIEVEIKGL FEIKLRNELKIILEKINVNVQKLKTYTEVQDPIKSKEYFVINENYKINDEDYESELFELI IQCLQGESQIKSKYEFQKEQKEIFCFDNYQKIEVKIFDKSQFQQSERQIQLLKPFVSELS KQIRLHIQKAKEETLTLEKFISYEEIKTIELNMVGCRHTCPMCKRKCDQPYSNGGHKHKC SNGHYLRGMNGVLIENIPSLFTCDEMDDECLIVTQETNEAKKWKEIRKIYDDWIFKGLDE TQQLALKQKMMKVWNQGTGQMVCEQLKIMLKNPSIKFVPKSELSGQPKMHYIFMIDDSWS MNFKNRWNSAKFGCLYCIGQIEKNFNAKVSVIIFNYKARVVINCEKVNVAEMENKITISG GLTSFENAFQEAYKLIIEHQNDGFDRTEILFYTDGAGFYPKKSVKLFTNLEDRVKNQIYI HCCTEQGNATQLQSIVNEMQSSNIKSELKQNFKVENLSKTWAEVVSRKYHNLKG >CAK83109 pep:novel supercontig:GCA_000165425.1:CT868452:451426:458167:1 gene:GSPATT00017466001 transcript:CAK83109 MFILICAIFLILQQINCTWVRISSYVTAIKQFSNTDTDGFISQFGNPNIPANYMTCSAEG SYITLNAQSQSATYMNSFSANSYSMYLITYDLIFFNLWNNLDFVLYSIGSNQKQITYNEI EQVSVSKGFCNNQVAIIQSINTTINSTTISGYHKFQINSLSASVSIKNLVVSALQCHGTC RICFGSKFNQCTNCFLYGTLTPENTCTYSCPSTAMYYVKNQGCKPTCQISQQKYVKGVCE PYPITNFVGLYIQQYKSTEQFRWQIIYDFQQLNTALPENRQYDGYFIYGLFKNNQGLYRV LTLPTNPGSFMIAFTLELVLFNKMPPLSSIQFLINDIYYGQLYTDDQGILKADKLLRYYN ETRQNTVFNGISYTDNLYNILYFYANITNTGTIRKFIQYFRDANAGWGLRSFYVYSGYCP TNCQRCDEKFNCAQCQGGYLKSKWGTCSLCLGSYQQKINTTHCQEDDDQTNCKDHHYNLD SKYIIKEFIGLELDLEAYPQYTLISVVGQNFLKGSGIYYSIWKSQYRIFGGPFIWAQAKF QRVFAIEDPHHAITISFIVIFGPNFPEDGSFTFGLDGIPQTELTSSSLAEIPIQHKQLHN FNSITIEFECKGINNEPIYGYCGFYQLYVTVHQCKPNCNSCSNDSDCSDPNYIEQIILCS DDQYFDQYQQKCQSCPTTCNTCTSFQNCLTCVATFSNPTQGCICASNQYLESNICNNCPQ NCNQCISSLKCTECVPSLFRILVDNQCVCQDSYFEENQGQVCQKCIQNCLKCSYLNQCEI CLVGYQLSNIGTCDQNPNTYFMATLQKYLDCPVSSTCNPCQGINLSCDCGDMIITGDEFC DDGNSIQYDGCFECKFQCQPQCTKCIDGICYECATLGWYLDVSQSTYQCKEVCWDGLVVG NEKCDDAISTTNCKDCKYYCRTDCVDCDYQNGKCIGCREGLIPENNYCRNICGDGIVVSA IDISFNEECDDGNLFDYDGCSKICQLQCQNISICDDCQNNKCFHCINKYKLNINLHRCEC DQFCQQCDLINGQGCVLCKPGFILIDRECVAICGDSLVTPVEQCDDGNLIFGDGCHQCYF SCENQCLTCTMGYCLVCAIGYQNIEGRCQELYIQTSSVVQPKNDIYSNALLQSFYQDYQY NNYLNQISLEALDNKSLQQIQQDEIVYFTFLFVNLIQSSNQQIFFDADLSIINYQRNQES IMYSNCFMLDNKCMNHCLEFTKQEGLILKPLEICQYEKLITIEYLDDSFQVCNGNGFECL QKCPIYCQICSQDACLSCNAGYNLDIIGNSCTPVCGDNLITVEELCDDGNNLIYDGCSLC QFQCQDECLDCQYGKCKQCQDTSRCFDRKSCNEKDGLYYDDKNNQCFTKCGDSIKAGTEQ CDDGNEIQYDGCFQCLVSCDKFCAVCQLKGCEQCQTGYKKIDQECILDCGDGLIIGNEEC DDGNSLKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXFNNFKLLQQNLQLFILYSYIFNHIQFNIFIILILQIIIYSQILYFINYINFK RLYQNIFFFQFNPECADCELKNGQLVCLQCSKGYFISFGECLKCSEHCLECQSSPNNCTE CMIENCQKCDKKLGYYNDLSSKKCTTICGDGIMAGTEECDDGNNFNQDGCNQRCEIEKGS QCVLNFCNKKEEKQIEYHYSNSSTANSLFLKSEINFEDCCTRIKVNIEYFNSSDFNYSLM PIQLKSNNKSQLDTKFHECQIQFNFFKTITEINLIHLLIPLSQSSSRLLKEDDIREIIVT PRKQVYYNQAQIAQAQAVVSTSNQLQFLLQLIGPLTILFGGLNFFWTILDLLTWINNFYL LNVDYPLNVKLFFQHLQWDDIFNIPEFISLNQPTDPYYFEAPPKFNEKNINPLFLNNIQV FSCLILLAILIYLLSLTVIKIFEKKFTKINVPRKSIAVFTVCYVESNMQTQEQQQPKYPM NEKLQRLPSIVVLVIRQSYKYKLTFLSKLFAITNLLLLDIFMACLLQLICEKKYDYFIVT INNFLAIFGLIFILGMYQLHEFVSSKHQLLLNHFSFSNKYSSIYEGIDYENKIAKKYCYF NLIRKIAFIVSLVILYEKPVLQTTLCCLSCFINQAFLLYQNPFDSKIQLIQVGVPDFSIF SIILLTVLISVDDLTNILSFDQKYNIGWAIICLISLSILIQLIFLLIEFYKNLLANLRGL KQLFCK >CAK87306 pep:novel supercontig:GCA_000165425.1:CT868618:3:3046:-1 gene:GSPATT00020947001 transcript:CAK87306 MIQKLLQSQFQRSWELCIFQIFIHLIFLNKFCYKVLAQTEISRSFFSPFNTDDNWNTYLA ENANHITDCVTSDIFGGRFVFNSQTVITKTFILPPHYKVKVELNFWRSVLFKFLFRLDPW TSSYYIVFIDGEKAVSNNPNSSGTEICGSGSLGQTDSVGRQIVHMGNSAIIIIVSRQSSA YWGISNFKLSVLKCPYLCDYCDSTGICQQWYRVLTYFTTLTFTNGQGWIKDNAYYDNVLD CGFHYYGNFLTTQLATIGLILDDPHTRIKVSFLFLCVEITDSVTIEVNGDTQMYYSTPTL NTVADFNYLCGSNLKMTRIETFGSSSNSQTITITIGVPIIVTTSANTPSFGIRDFEVFTY QENKITDQKVIHKNDYILAFEGIFSQQYNCVVGCSNCIRDLCIECYSGWNFDTYTQECIP ICGDQLILYEEECDDGNLQPNDGCYQCKFSCPSNCALCEFGQCKFCNNNYQLIGNLCHFS RKYEDKDSQIKYDIQSKEGHYCQISNFLINQYMLKILNARSRIMSVVAYQYNQCDYRKPQ NCKISVFNECQLCENLFDKTFNGQCIPICSHGIYYVEELLYNSIEFQFDNSYQCNLCQLE CLECHNSFCFSCLKGWNLVDYKCEFECGDGKLALISNEQCDDQNQDSGDGCYECKFECEQ NCIFCNVHLECAICNEYFEIKGKNCIPICGDGIVVEGLEICDDGNDIKYDGCHNCQYSCK ENCQICDHQNCLDICDQGYYYLENQCKSICGDSIVAFNEQCDDGNNDPLDGCDLCVHSCP LNCDNCQQGLCEDCNIGFIMNGNNCQDTCGNGIKSDEEECDDGNHLSLDGCSDICDIEMY WTCFEDDLRKSSCLQIIPPHFKLVFLNQTYNVQYVQLQFTNKIKLLDSTQNLTQNFKAQL IDINPSHYIIRDVLINEPDNYSVHEVIYQLRIEILEQQTQDIFLQVQLNTVLVDQNDFKV DNDICKIRLKNPVVLTAAQKEISSTLP >CAK87307 pep:novel supercontig:GCA_000165425.1:CT868618:5345:6241:-1 gene:GSPATT00020948001 transcript:CAK87307 MFRQLLFSLCLIGLATATLNVDVSQHCVCSELNQQDCGQAQSWCLWNTSSAECQDHTFTC ADISSQVLCDAEDFCKWKTSTCEEYYPSCADGTSAELCPTGIGCLWNKQNQCSFFTSCSD YSVENCPIYEWCSPETGSCAPYVFVTCSSFTTADTCTGAASKTSWCSWANDNTCKTMTGV SNCSDLNNFKLDCNDRDGCRYDGSICRSIKCSDFGSEAECGSIRVEAADYYLCAWVNGAC DDAVDSSIFTKDTCFSRTYKNYKWTSDNKCVACDNLTNNDEVSNSVILGAFILLALIA >CAK87308 pep:novel supercontig:GCA_000165425.1:CT868618:9267:10979:1 gene:GSPATT00020949001 transcript:CAK87308 MCYGRYQQRKYFRRRFGCSKCIEESIKSNIGKFDQIKSLDSFWAQWEIISKDNKSFIQET MKKIDEHTKELSTFNSIILNSIIEVTNFFLQKLQDQLQVFEVEPQYLSEEHIFQIAENLS NQKDYLDNFKSQQQDYYHSYLKEIQNILDQNNITIIQKVRKHNLSIVHQQINIKIKLQKQ SSKQKGIIGIGILIGCCITIMINFNLPIGQINQYLKINQKIIKNEKEVNDFQSKECLSID QINQNQKIIKNEIEVNQFLYQPMQSNLKDIQLKCQLFAFNSNNSIMIAGCEKQIQVFQFV DGQLYYKQTISSHNDNLTALYHMNNSEQFVSGDMKGTMMIWKYKMADKWEQKERFEQLHK GRINEIRMNIKEDIIITCGDDKSIQILKIEKKWKPQQRIAQFKSKVLSLILNEVEDQLIS SDYDGIISVMKKTNQDNWKVNQNITAIPGFKLTLIDANQFVYQSNKGDMQVFKLKGDQYK EIQAINLKGDGGENICHISSVFVKQKSILISINNKQLHMIKKKQNGDLEIYKSIVHQQNP VYGGLSNDGEYLITLDEKNQGQLQRFVQQN >CAK87309 pep:novel supercontig:GCA_000165425.1:CT868618:13736:14518:-1 gene:GSPATT00020950001 transcript:CAK87309 MIRQQKIQEYCKLQALNNINHINFNFQIKQQENAFQKYYQIDILEIVYDRREIYSNKIRK MQRNLIFQEKRNLFQAQQKENQLFLYKEDECFKITNQFIGLMSPLNKYEVVQGNQESDIQ LAVEICKLNLYEGIELNFPNIINTDSVHQISRLQQQYSQLIQLYQQFSIESQSLSQSIQT SPQQSLQSSSQRSHTSHQSSQSSSQDFQIERIRQLIIRVINDLESITSSQTYDFSEFSEF SIFEDQPEQDDDQRTETDDY >CAK87310 pep:novel supercontig:GCA_000165425.1:CT868618:15024:17223:-1 gene:GSPATT00020951001 transcript:CAK87310 MSQVKGIYSDSEVVDCNSGLSNEELSQAIRLQTIDQYIFLIFRKQFLQLLAIIAFILTMI IMLSFMIYPKTWKMPGDIIFFISFCEAILCVHWFSTCIYFIINESSPLSDDIFCQINSIV SIFAGAGEITYNLIFCLYVRITLKDQFATKKKLRLILHVIAWFTMISVTLIAKIFNYNGL SLFGTCSLKYNPGFNFAGLFLVFVNTFMSVYTMLYIKKAVPENQRYLNLIGKVTRYYSSY ITAQFCIQLTQAISYILVGLNCTKFQKGWLLIFITIENSAKLCTPFVLSILRLRDPTINR QILLIWKRLSSKSEVGSSLLSEEQNLVVIAKTLLIDKVNTIVYSIQQIFQQDNYIENASK GSYLLKKNHKVNNQTSEIQSKQIQEIQDKITEEEINEQAQFVIPPEQDLEIELRLMASTI IIYAPKVFQKLRKKDRKINNYSQSFDPISNQEQINSFKGPDGGKGGAFFFFTFDNKLLIK TIVEQELLIIQKNLGRYFLHLNRNESLISPIYGIFKFVLQNGQESQIFIVMRNALQIPSS YVMRTYDLKGSEYQRKVLKQNDFTKDLTKTTLKDIDFKEEEGKLFIPEKFKDRLKNSLIQ DSKFFSELNLMDYSLLIIKMNWSSYLQIQPQIDFQIFKFFNSELSCIPSIKEQGVYYHIA IIDYLQEWNAEKRIEQVTKKALNVKIDLDTSAQNPQEYTKRFIEKIVFTII >CAK87311 pep:novel supercontig:GCA_000165425.1:CT868618:17782:18255:1 gene:GSPATT00020952001 transcript:CAK87311 MGQISCFQGNELTEFNVLLLGPSGSGKTRIVTEKTLGPTISNEKFNFIELQKHLIKLNDN HGLNFYDTPGILSLFKQCLNQDIIQSVDIIACFPNSEQYKDYIKELLQNNTKLFKQIPFY DIPGDLDSKEIRNKIYLFILSQSKRKSKKPSYNQEIV >CAK87312 pep:novel supercontig:GCA_000165425.1:CT868618:18302:19482:-1 gene:GSPATT00020953001 transcript:CAK87312 MGLDCSTSRKPLLDTISQEFLKKVKKKFPVAHQTLKSDISPIINTPILKIKDKTYLKLES HQTSGSFKIRGAFLYFQDQPNQQIVVASTGNFAKACFYAYKSCSEETKNQSEIFQQDYKQ IQQIQSNEYNTPTPQPDYQELQQQQQQYSQEELDKSNLIIFVPKNVNRDKLKEITCPIIY AGQDCVESEQKARQYAEKHNCSYASPYNDINMILGSCTIGEEIDQYFNAQNEELDFIFVS CGGGGLIGGIALYFKYLKNKGTKIIGVQPKDNAAMYNILRNKGEYKLLDTLSDGTSGGVE ENAITIEICKHLVDDWVLVKEDQILEAQLKLLNEHKLIVEGAAALAYAGFMNYPIGRLSC LIVLCGSNVDPHKIMVKK >CAK87313 pep:novel supercontig:GCA_000165425.1:CT868618:20164:21536:1 gene:GSPATT00020955001 transcript:CAK87313 MAHIYYFVQINIYMEGILSQAKQYIGTINQAAKYYTVDNIFIHTGYRINYSTPWLILKSL FQKHNELINVWTHIIGSFLTLLLIIYIVSYHYDYRKRLEQDIDQIFSFNSTQYSTSINNQ NIEADKLKYEEIFNKIDHFQNSMLTSESYKEIVHLMKITIEILHFEEVKEYTIVFQNKFN LFKDKIIQEIDSEQFDWVEIYKHDYSHTSILKQEQFLRKNSDPYNVSRWPIVVFLISGLM CMTGSALFHLFYQMSEAANKYLMRVDYGSISLLILGSCFPPFYYGFYCDTFLRYFYLITV GSACLAAYIVSIFDFIHTEKWRKIKGLMYGSLGLFAGVPAFHLYLREEDISDYLPFKHSF IFYILMGSSYLFGLALYTLRIPERFMPGKFDIFGHSHQWWHCFVFLGVFFHYFGSIYNMG DRKFTFCLI >CAK87314 pep:novel supercontig:GCA_000165425.1:CT868618:21565:22810:-1 gene:GSPATT00020956001 transcript:CAK87314 MLTDKTHSYENKAYDHSYIEEHQQQFEKTSQFSNIDDNSTNYNDQETNEQSVIFSKDPEV QKSICLQENEIQRLSQAYADKYYYEMKFEQYQNLLAQQQCSISKLLNDKKELQEKLEKII MKHSYQENLDSIQQTHQLEQKLEELQLQNENLTQQNELYNNQLDETKRLLQKLNREQESL QQQFQEYKLQVLIKEQEQQSLIAQLQSKSFQESKLSIYQELQLQMNEIKEQNNYLFDQLS KKEKEIEQNFQKQLSNLAEQFAKEKQELQEEFEQQQENKDEIIHHLEIQVNDLQNQAQQL YSENLQLQNQLDLLAQNYSEDFQQQANALSEQVAEYKIIINNLENQIFVMNAEIQRRGRS NGKENGQRVLQGLQKINQQRLSTGEMTFSNITPNSKSFQYQNLKN >CAK87315 pep:novel supercontig:GCA_000165425.1:CT868618:22969:23811:1 gene:GSPATT00020957001 transcript:CAK87315 MSENIQNRVSSIFEKLNNISSSVQDEKNNRFHAISQLIMAFEAQLQHQSDQKEEKFTYIA AKVRQITEFLEQEQENRERQETETFKLITDLERHARRLIEQNSKERVEQEKKVVYSIGQQ IESLQQDVTKEGLAQSISHEYIDSYLNEDLPKIADELQNEITERKDVEENIYHQFVEQLN DLRSLFEKEKKEREIKEEEIVESLREISNRIQEQLRKTRGEREKTEETLVTLVEKVIEKL KREMLEMNL >CAK87316 pep:novel supercontig:GCA_000165425.1:CT868618:24398:25505:1 gene:GSPATT00020958001 transcript:CAK87316 MQNILLPYSKELQKLETRIENKVQSGVYSNPLQEQMQRAKLNIIRLESIHLKKKKLSSNY NEKLQKLNEKLSNQMETSQQKKEEKLNALQNKLKKLNQPKKPLQMSFQEETSNRQSESPI KKEILQTPQNKAVQKQEIHEHKIQKIKLAEPKKVNENELFDQLNRSMDAAKQRKSERIDI IKKKLHGQDEIVHQHMEKSQKNKEELEQQRLNQIYQKLLHLAQRTQEKQMKQQSKERRKS MHQELSNSGSQNKYKELKQQDSKAESIRTARSVQNDYKFIQVRQRLEKLNIEREMQAQSI LDKHQQYSTRIQQNKQLLDELANTCQYYNFKIKEQYLL >CAK87317 pep:novel supercontig:GCA_000165425.1:CT868618:26083:27226:1 gene:GSPATT00020959001 transcript:CAK87317 MTAVLAEVEAKLKNKSPLEAILNVLRDFRDAVNTEQVSHDEIYNIQQVECDSENQFRSAE VQDASNVLRDSTAALNVAQTSKIRATNQQEVNQQQYFSAQEHLTSVQTSAEGEAGYFKKR GRDYEDALHAIDEASDILASIYSGSGSFLEISRVAKSMLQTAFSIKETTRFAPIFYAFAQ LATQEGQLDESALERVAQLLETLRANIQEAYNDYAESNAVSVAAFNDQKERLGQTIARLE AQNERLQNKLDSLNQQIGSQSAIAQRASGKLQRNQQLWDQAQALCSTFANEYNFATQARR NEIQLVAQLEELVESRFNQVDDENHERNQRIASHA >CAK87318 pep:novel supercontig:GCA_000165425.1:CT868618:27226:29022:1 gene:GSPATT00020960001 transcript:CAK87318 MSMQLTQKPNPFFQVRQHSQNHNTNTINCQKMRMSIIDQISPIKGQQQKSTQRDSFYQVK GQSGSAACLLSNKTFPSRFLDSLYTSLNYTQESNVEKKNGTLNKGQKLNFETLKDHFKFV YDYLDDFKQQFDKVIQAQIDQVVQVLKSASQLSNALIEENAQIKSQLSTVDINKFQSHLE QQELKISQINSENNQLKCQINKLQEENQKLKDGILHSEKMQQEAVTKNDKENVISNNNKG KYTQRELSYKFEQAEIKLLTLQISNDQLKQQLQHYQLDQQTISQMKDTISTLELKSQSLL NQKDALQQENDLLNQKVENLEKQCKDKQKTQEFLIYQNSLENELIKFKQEQAQSHQTILS LNNTIQQLKEQINIFRTQKDQAENAFQLELVQSQNELQQATARYQVLWQEISEMKDRLEQ RKIEEDSHYMLSNAEKVLFETQITNLNDKIKEYEQILLQNDSKIKSLELQINQIQQEKEN YKQQLEKNGSLSSKSLDFQEQQWKITQLNLIINKKDKELSKKSEELAQFKQGYDLQMKKN QNLEGKILQLMEQEIKINSNLIKKP >CAK87319 pep:novel supercontig:GCA_000165425.1:CT868618:29174:29965:-1 gene:GSPATT00020961001 transcript:CAK87319 MQKESEEKIIKQLFKEILNYYKKLMENTSESAELPCQDNMVEDVQVCFRIMCQIVDSFLQ GYHDLKNQYKLLEDQLIQKESEARKHIQIQQQLKIYAEDLQDTCEEMQKQLEKSNSQIIQ LSKEIVRMKKQIKISSQERLMTDTTQDRLSTIISQQKQQVQPKTMIKLPKKKTSQNLKNS ISVLGQTITIDRMQELASLYGKKKPKSAIKTRSNTIHITDQMTKPVKQKRMQSIHDV >CAK87320 pep:novel supercontig:GCA_000165425.1:CT868618:30823:32036:-1 gene:GSPATT00020962001 transcript:CAK87320 MRTTQLTQCIQKEEDEDELFQYEFDNQSEPQQNLQTPDFQATKQNEVHSQISHQAQIEQT DQYGFKSQINLKHYKDRKTLNARVEKWRQMINEFDKQNIQLIKERTRKGIPDGLRILAWP LLANIKKAKETANTIQKTSKYSDFLTKNEFKFEHQIRLDVHRTFPDNINFQVSILQIQDQ TVLSESLVNVLKALSEAIPDMGYCQGLNFLTAALIMVTNDENVRILNNFEAFWILYRLMT HYNLTAKYKDPNSLYREFYILDNLIQQYHPITSKILKRHNIDLFYFTTEWFITLFSTALP INLFYRVFEIFLVEGEKTIFRCALAIIHYKEQKLIQLNDFENGLQYLRSNEDLLHLDSNQ FINLMLSKYKFSKTTIQQLDQKYKKNQK >CAK87321 pep:novel supercontig:GCA_000165425.1:CT868618:32051:33732:1 gene:GSPATT00020963001 transcript:CAK87321 MIILSIIFAIAQSQQIKPYDFAVSLIQVQSSIHYDMRVNEDAILYTYPKGAIYKSNISTN NEIQLCSVQHDTITLTNNITKQIINSSTDKIDQQNDQIINAFYMNKNLGLVTEFNNYIVF CLDKLEIIKKYELSDFIKAKKEQFQEGIFSAELNMAFLFYQTTLIIQEEKGILVSQTITE MAIKKVLCSLGHIFIATKDGMLVYQIDVGNQMITLKSHIEQNHTINDIVLSAKNQYIFLL EEDGIHIYRILFDNEKQIQIKKYSILPFIPIESSFNFNQNNDSSFAVLKKSQKSVIFVDL EINLDQGVWFIVNSHVLKIAAQNLEINNNFVVIKGSETHCIIKHSQPSIFTNIFNYYPFT LAHTIYLNFYQFNVENSLDILYGVDSDSITLFQIDQIQGNIVCSTNDTGLIGQNFNYQIF SNLSDCEKKQQYSQEIQSKDLIVCQFNTEIGINIISDDINPFNRQLLIILIVCLGIALFL SILALICYGNKTRKQLSSYQQKIKLYQQFSGDQNDTIRTKQPPPTIDNKFKIDEFEQ >CAK87322 pep:novel supercontig:GCA_000165425.1:CT868618:34821:35427:1 gene:GSPATT00020964001 transcript:CAK87322 MPAPLTLTSTQIINPQIQFYDNLTQTNNVSSGLNQQQGEHKLKNISETQSYLNSDSDNDE HRDTPFHPKSTKSNLTKNIQKPNLLVKSTNIQKNYAKAIVSYACRQRTIIFETLGEEKGE EFIKLMNRLKNKLRNIAHITKYTHAEEFLSLFRILGNNFIKKDSVSYIYNSKIMQKSCHL SNMTVVRNSLLKY >CAK87323 pep:novel supercontig:GCA_000165425.1:CT868618:35572:37134:1 gene:GSPATT00020965001 transcript:CAK87323 MNINQEAVKAHISQMLAQLRQLKEKIQNLEIPELGNQASYNKNTDLIEGSKQQLHHIITQ YFKDIQNIYIYLSRFYPTTALKQYMKQEIESIFAQLSEYKKKMNEKQFSQLDYEEFQAAN YPAKKDEIQQECMQIQTESYPNHTSECMPNIKVQNRITESLYFGLNQYASVYWPSKKGKA ESLFINKPNFFIENTICIPYAQDNKLYAYDYASQKIFQTEMNEQIPDHSSFLNLPGGNLF ISGGVNAQGQVLGECRYIDISKGTVLKLPDMLVKRVGHTLVYIQPNTFWSEAPKGYIYAI GGRTHNNFRTKLCERFNIENQQWEEIEKLNDARSRSSCAYSEEQHGIFVFFGTSSQQLNV VSAEKYDLLSKKWERLLIHNQLEGFDVSWGSAICINEDQILIFGGLKDSQYFENNLYFSK KILVYNIQNENITVNDSQLPVDLLPLATVIHENKVYSVGKYMSCVQLPPHQSLGEGAFLV ELGEVNSIICFFG >CAK87324 pep:novel supercontig:GCA_000165425.1:CT868618:37165:38464:1 gene:GSPATT00020966001 transcript:CAK87324 MQEQYELYYTTYNQERERIRKFYSSLKSEESNRLLKSQSLNESLKKAEGYKNEKKLQIKQ KAHKFNIKAKIIQRKNNQMQEHQFLGIIKQKNELFKKEIELEHRLNILNAEQKEQIRQNQ IYKSMKHKIYLEKVEQHQLKIEQEHQQMLEQMNNKFQKVEQYIQITRDSQKQKFRSLSYK YLTYKHKAENLILEKTENTFQEALDNMSKREQIYVFILIQVKKEKYLETKEKERKKIVRR NKLELVKFKRNKKRQESIEKAQKQLQEQERQRRILNNSTSIPKIIITEHDDQGNNDVEVE VYEVPQSHEQTQTYEEKVTSSKKNQKEEQSIFTNINESSMQVTLPETKNIESPNYKKPRR ESEKERQYQILMKLRLNLKQEREKLDRELNSFFISQPINLN >CAK87325 pep:novel supercontig:GCA_000165425.1:CT868618:38525:39521:1 gene:GSPATT00020967001 transcript:CAK87325 MNKFELALQTQQELLNYILSTQWKDDLEKQQLGEIFSKVQTTCNIKLEIDEFFSKDTQEQ LKSYIYEKQQQIRQINKNLQETLNKQAQVRQSPTCVIAQLPPRFKNNKESYLQQINYFKN NLIEMKSCHTKLCLEIEIKQQREAITKQIGLLQIAENFKFELNCLESELMQNRLYLSEQF ESIRKLIEITIEDNLLQNLISYCSAISKANILLKKQLIIIKQIYSFDTKDFYLQMMEMDK ENKQTSYSQDTDVTSQQSPQKNYDQNQIINKNLRNQECLCVIF >CAK87326 pep:novel supercontig:GCA_000165425.1:CT868618:39560:41985:1 gene:GSPATT00020968001 transcript:CAK87326 MSYGKFYTLHDSLIFNIFLFDKFQLTQFDNFDLFLMLQILQNKFVLQGTIICLQLDSVCL ILMNVSNISRIPFSQLIISQLLTKDLFLDLKQTKKSPIIILQLMVSIFLIIYSFIQIELD IEHPQLLITLCISLYCCTISLKPQQSQPNVLLTEISNTNRPDNLKFNKNTSQFDLDQNLN SACLREAAINDSTILETTYHCLQYLNEGLIILGFDSENTHFPYSIKYSNQATKTIFGKEM DSEILNLLENLTIFTGNDHTEGLQFTSQYQIRPSYNCLKQIELKDQFTSQHKNSNQDSLY DQLHKYQFKQVLEMLFKYRLSTFCNNDFQTSQTIINTENFQLLELTFTLNKNNIIIICRD ITHRQKIRYLREYDKQKSKMLSFVSHEYRSPLNCIIQMLESVINDQVIQENQDLTEQLQV ALDNSSYILNLSNDLLDLAQIKNGKFRIDKVPFNLETLIYECMKMFELKAKMKKVQLKFN LGSQIPKVVFSDRSRIKQILINLLSNAFKFTQNGKVTINVQKINLNILRIGVMDEGIGIS DEDQMQLFKAFSKVNSEESKKLNQQGVGLGLVISNQIAQTIGSTGLNLESSNKKDNHYSN FYFDLPLEQPLRKKVSSFKIPEISLQVQEVEEIASFKQIHTHIKEDWSTQQICQHYLIVD DDCFNIFAMKRLFQQLQKNNKYLFQNGFDVDSALSGQECMEKIKNKKCSNSCQGYKIVFM DIEMPFMNGQEASQKILSIYPNYVIVGCSGYSDQQEYEKCIRSGMSDFIVKPINEIQLIQ IIRKFH >CAK87327 pep:novel supercontig:GCA_000165425.1:CT868618:42234:43863:1 gene:GSPATT00020969001 transcript:CAK87327 MFYAGIDKNKNEFQIKNAQQEQQGTPVQSNRKAQGSDQPKPVSAKPPKVLKDAGSKAINY PQITHDILDQQTANLILKDLPIGQPSQQFDAVEALLNQHKIQNQSPIRSSQKDSGNSKDL NSAMARRLADVEKLAQNQKLELKEKYNIIEQLREKVQELTEINRKLGSGDKQAYMNEINN LKEEKQKQQKKIEDMEKFLQKYGVKWVGDKISDEDDKKMKQMASDMKQMKPLFNYHLPKE ISIDVIKRRIEELNYIMEKDGANQIVKNANGMHQFQKMEPLPIGFYRNGIAMKGHKFFSY GSNDAYQILADILEGYFPYQLKQQFPNGTPLKLIDKTEEVYEAKADDKKQCGYEDLAEKQ LKPMKKEQFLEQLPECVIKNGKIIPIRSDIEKRLSGQPNLNATQQLRSAGCRQDPIDGNW IVDTEAQRRVDNGEDLEDVCTLKFRTETGKYSLIALMFGNQRICDMYQLVSGLKEYQDRN IQIILNFPRTVFQKDDQTTLEEAGLMPSAQLFFNLI >CAK87328 pep:novel supercontig:GCA_000165425.1:CT868618:43898:45612:-1 gene:GSPATT00020970001 transcript:CAK87328 MESKNLTQTINPLYLLASLALGTIGAAAFNALRKGCSETAQHVFEENMSATASAPSSPQR PIKKHAINKLMAKNANENELVKELKNLEEITCEIERVSNLAYDLKLQVLPLNVNPMLGNS NYRSVFGGEGFLPETAFVNHDCYILQHPNFGPNDLLSRTKRWLRSGPRKHLLFSPDEVKA GIVVVGGLLPGLNVIIRELTMCLFYNYKVQTIFGAKYGYNGIYNNDWLKLDPKIVKTIHH QGGCFLGTARSKFDGELIVDELAKNGINQVYLIGGLGCMKSAEQLHEIIKTRNLKISIVV IPKSIENEIPIIDKSFGFETAIEEAQNPLKAAYQETHSKKYSVGIVRLWGSHTGFLALNA SLAFRSVNICLVPEFEFDVYGEKGLLQYVYSRLQLKGTCVIVVAEGAAASLRDCKINDKG RDASGNVKQGDIGLFLKNAILEFTREKGLNAEVKYIDPQYMVRAGKANSLDSKLCSQLAQ NAIHASMAGFTGFAVGHVSNKTCMIPLNEMNSGNYANRITPSNIAWQRLLAGTGQPSFLN NEQDI >CAK87329 pep:novel supercontig:GCA_000165425.1:CT868618:45623:45956:1 gene:GSPATT00020971001 transcript:CAK87329 MQSISSEVLKAGVTKSNIRSNVTAKRPNQLGGGRTQLGPPQTGKDTQQSSQDNVIVKYKD SIVTPKELITKRFLQLAEQLKQRGRIETKIITETG >CAK87330 pep:novel supercontig:GCA_000165425.1:CT868618:45979:47144:1 gene:GSPATT00020972001 transcript:CAK87330 MDSNMKSFHQSADKSSNSDLKVSSSNNPERSSFVESKQSDTYSDSDSKRDQSEQQEKKPK QKKGLKEEDLEENIPINLSETPTQCLMFIPSSFVNSEQGKKTGQIQRTQQYEQFIIEKIG SDNFHKEVCLNFQLHTKTQDTNDTGAFTAVWDLYDASITDQLNEFEILQEEIKSSIENEY KQLNKNPYFLLPTELEAIKIHAERPVKQEVELQPNTKQQPINNLSGGLTGQGGDERIQDS SSSHTGTKGVTNMGSQQVNIQQQVTKSHGKTSNPLPEQQQKQYEQKQDEQDVKEEEYMKQ YVQKTNSQISEQEQIIYQSQQVQTSLKYVERILNQNLYHKIIYSIQKLSRSQV >CAK87331 pep:novel supercontig:GCA_000165425.1:CT868618:47159:47672:1 gene:GSPATT00020973001 transcript:CAK87331 MKILNREEEHSKRNFQNKLDDEEVVEKKQQDPITQLFSYKCQLSEQCKVTSADWNPVNKD LLAMDTLCFGRLKNPTYPERIITYPSKFNCCKFSESQPNLIAAGTVDGIVAVWDIRRKSN KPITENKEMAGKHSDSVWEVQWVGKGAKGTDKGESLVSISQ >CAK87332 pep:novel supercontig:GCA_000165425.1:CT868618:47688:48455:1 gene:GSPATT00020974001 transcript:CAK87332 MVNEEGIGIYQFNESQKSWFNHLKRKTYKKESILEQNQPCIQLPLKMVLFIDAQNHIQNN IWIITFGHSGPVYKVRCNPFFGDIFLTCSADWSCKLWNWTEGSYPKPISNNKTYKTKCQT LNGLLTLLYYSHQFARMVDWKLWDLTKNNMLDPYFTIMPQDQQIWPAKTMVRFAHNSPVL ITGDARGDINAYRLYGYEDNDPLQEEEKLRKLLYPSGYSKGQKEQKD >CAK87333 pep:novel supercontig:GCA_000165425.1:CT868618:48483:48836:-1 gene:GSPATT00020975001 transcript:CAK87333 MNFNKNRRVTLFQILGRDRMNKKSSSQHKKSNTTEHVQLPLLYDNFLTEHRIHLGQQKQF KSIKIQDDFPPNQSLSVSARSPIRLQSIFQSGQMNIKIDQLLNRKKYVKSSIHMKLH >CAK87334 pep:novel supercontig:GCA_000165425.1:CT868618:48858:50331:1 gene:GSPATT00020976001 transcript:CAK87334 MRPIKLGLRLIFLLLLNWTLLIFYFAYITQDQDFSINETKLFINSIFEHHIQESNTQDQN QIEIQIEMGGEEEFEFAGEDEREIQFIDDPKGDLIAVGDDNLDTNYDYTIQAIKDINPIE QNILGTNEPIRQQTQLNILKDNHYCELNNLYVITHHQLPINIITDYPNDNVITFALGAAG QDLLPDVILESNKLYHLPFNATIFFMENLNFHKYFEIGSQFLCNFQAYNHIPGIEVLFKK SSLYEILQKTEVPIPETFIMNNTTQCQQYFKQYKNLEESEYLIKNHIKRQVQQQSLDHKF IRRKYQDGKNCGIVIDDLIIQKKVENRQKRFFAMLLISFLDPFQYQIFDGFYYKIQKGVP VDHQRYNETISKMITGQIEQFIHKIPILKDNRFFSLMRMEFIEADQIYLMSITPNIDKDA QIKDYMTSLIVSTLQLINFQQIQRVSLYQIENHYQY >CAK87335 pep:novel supercontig:GCA_000165425.1:CT868618:50331:51320:1 gene:GSPATT00020977001 transcript:CAK87335 MDKLPIGYIKGKGNPLDKKVPRNKKYDYVKQTLNTGPTVRDIEVISNATIAKKRSEIFKR IKCSTLLKLISEEPAQESIHNIIDQQEQDQLKQFDAQSQYSQKTRFTEVSQVSAITYATE QLGLTDQSEFLLIDLRDQDEFQMYHIKEAVNFPAPLLRQDKLTQQMFRFKNQVGKLIIIY HFDEKNGIPSATLFAEKGFENVYLLSGGIEKFLQNFPEAVIGTKVPSFPKPEENQNKIIK RSNYKEGESQINKSEKAISDTKSQISQKSKITRQSSAQRQQQQQQQQHSQFIDK >CAK87336 pep:novel supercontig:GCA_000165425.1:CT868618:51340:51799:1 gene:GSPATT00020978001 transcript:CAK87336 MLQLQESNSYSEIKLPSIDIHTTHRLPIKMNPSYRLKHTNPIRVQYLRYLDRRSLDQKLN EPFCLERFAKINNYSYETSYEYELKCRKPQKKTQPKEKKVYQKPTLRYFNPEDSVIRQDE MDFILNPKPNKSVTSNSKVYISE >CAK87337 pep:novel supercontig:GCA_000165425.1:CT868618:52116:53573:1 gene:GSPATT00020979001 transcript:CAK87337 MFKTWRIRTQILVTQLITMIVSVTILGLCLFLNFEGIFQALSNDAEKKFIMNFIYRQTNS QLNAYTRNLENRIRQGIYAINDINLLNQQIYNTSMTFAQNSDRCLQESYLNGSFVLKCQY CYGVFDCSNQVHTPEQYSQEFLQLSNLLTSLIPMQIQLDMYFTSISKDCYFSTYPGQPFG DYVPSSRSWFQNHMANNLILIILEVSTYLVRLTWIVVVDLNFSDIHQFMEEDQQMLAMDS KGRILIKVYYLYNQSIFGFDQSDVNLILNHSSGQNEQACEIQIPQTICIENKNTGVLWYF RVKRMTGDYYVLSMFNQSAYSNYFSTLRTTLSKIYAEIVTEFVLGLLSIILSCFCIYIGC FVILQKPIDKLINSFNKYLLYVDHHDQLDKLSQAFIRIMTRSMSNKENRKRTVDQYMSQS QYPINFYVNSKIMNAQTKLLLQVNFQI >CAK87338 pep:novel supercontig:GCA_000165425.1:CT868618:54193:55333:1 gene:GSPATT00020980001 transcript:CAK87338 MKLIATLLVVLVCVSAAPRNPFDYSKKRSLTAVMAEVEAKLSNKSPLDAILNVLRDFRDA VNTEQVNHDEIYNTQQAECASENEFRSRQVQDAQTVLRDSTAALNVCNTSKIRTTNVQEV NQQQYFSAQEHLTSVLSAAESEAGYFKRRGRDYEDALHAIDEAQDILATIYSGSGSFAEI SRVSKSMLQTAFNIKETAKFAPIFYAFAQLAAQEGQLDESALERVAQLLDTLRSNIQEAY NDYASSNAASVAAFNDQKERIGQTIARLEAQNERLQNKLTNLVQCIGTQSAIAQTASGKL QRNQQLWDQAQTLCSTFSNEYNYATQARRNELQLVAQLEEMVAERFDQVEDQNHERNQRL ASSVGF >CAK87339 pep:novel supercontig:GCA_000165425.1:CT868618:55665:56429:-1 gene:GSPATT00020981001 transcript:CAK87339 MQSVQKNRITKEIQDFDQRQKKSEDNGISILVVDNNITHWKGFINGSPDTPYEGGYFQID IVLTADYPYKPPKMKFDTRIWHPNISSQTGAICLDILKDEWSPALSIRTALLSLQALLCD PQPDSPQDAVVANQYKTNRELFTKTAKEWTLNYASKNKQDEKIANLVALGLEEQKVKEAL LRFGYDEEQAANFLLGG >CAK87340 pep:novel supercontig:GCA_000165425.1:CT868618:56429:57861:-1 gene:GSPATT00020982001 transcript:CAK87340 MQTEKPTPKTDADYKAQAAALTDQQLHEEINKLNRRLNELKTMQSREINYKKQYEDQLDS TKKRVGNMTTLPYLVSNVVEILDIEAIDKDQQDQSVTDDYATISGKGVVIKTTTRQTIFL PVTGLLNASQLKPAELIGVNKDGYMLYEKLPTEYDARVKTMEVDEKPQEDYTDIGGLDKQ IEELREAIVLPIVHKERFENIGIRPPKGVLMHGPPGTGKTMMARACAAQTKATFLKLAGP QLVQMFIGDGAKMVRDAFQLAQEKAPAIIFIDELDAIGTKRYDSDKNGDREVQRTMLELL NQLDGFSPDDRIKVIAATNRPDILDPALLRSGRLDRKIEFPLPNEEARAQILKIHSRKMS VAKETVNYVEIARSTDEFNGAQLKAVCVEAGMIALNRGGTFLIHEDFVEGIAVVQAKKKS SLNYYA >CAK87341 pep:novel supercontig:GCA_000165425.1:CT868618:58206:59703:-1 gene:GSPATT00020983001 transcript:CAK87341 MKSDNEITKTDRLISHLDQDQARFKIAGKASIKLDSQFPANSNFYGNVRTYHQTIFQKVG YSVSQDLNQQIAKASLVSTETQSVRKVTNHQIQQFFIPSGQSIEILNNNDQFVNKSESPY ILQRNTKTFQNHQDSYENLNDHYESDSNDNTPRSLNSISILNKPISKNDIQTNQKMDIAK FKPIRSCFQVIRAVFRLKMLQKTSQKKQTWDLKIAAFKKNQMILHYNESITKIKIKQWTQ MVFTKMISVIQQINLQKQQLNFIDCPDTMKPVEIDQAIIYIQNSFTFAMSNLLVMATSKN LLNELSLQMHQDQYFEYRKHFSKFVSQRANYITYHYPEMNEQEKQIVFSECIIINNLIPS FIKLTTSLDSLNCNKPSVEFLIKCMISLFQYFFVLQFSEYPIIEIKNQIIKYSQYHLGRK QSQLIIVQNQQLNSDDLIDGVYTEQQMQLILRKESWLQSNKKMMNVVTQNLAIIV >CAK87342 pep:novel supercontig:GCA_000165425.1:CT868618:59905:61293:-1 gene:GSPATT00020984001 transcript:CAK87342 MLKAFKKQQEIKIREKAAAFMVQQKQKQEIQNQGSSRTTDRTKSIENSLVGEASDKPEKS NYVIKEQQKFQPQIDMRKGFAGRYDKKGEWEHYLPPLPSVQERENQQLKQLVKFTIKFVD KVPKLKLRQRLKSCFLAIKALVRWAKLVKSLIQKKRLNSFFYSNCFKEVIYNDQIKAIKF KQWTQMVFSKVFNQIKKERLEQYQNLNIIDGPYQQLSPKEHDICVLKLRYFIQLMLEGFL VMTNKPNLLKELVGEMYISQFQDQRNCFYLFTSLRTMYLQNKRDELPSMQRAMIFVEFLI MRIIVQNIQVLINQDKILNTENNTISYLLKLLISFLHYLYLNIFSKLEIVKIRTKKQLIQ KQLIINQNVQLIDVPSSKDSQDLIIGIYNYESLKPILESQRWKLQMKSIFKKVIQNLEQH EL >CAK87343 pep:novel supercontig:GCA_000165425.1:CT868618:61555:64203:-1 gene:GSPATT00020985001 transcript:CAK87343 MDQQQPNEVQQISIKQRIINIHENFENIRGSIFDEFSQIPPKSSVLLFLGKFQNKFHSFY KDFENKQFILDRNYFKLIKIENKGKTSYLLYLPQILLYDDQNNEIAQNLCISKIYAEMAL KKMETCQFYLILDIQQLRGDDVRGWLDNVCIDSIFFFGTYFFNENNFFLLRYLQEDKWDQ YQFSKSSGIFSYFSQNQQLKNQIYSKNEVLEAIFKSDNYTYVKTVQSEDAINYGKMMSYL SKELNPLKEQINEDLKQFQMVLLRLQQMPIIKNNFEVVQEIGKYLNDLQKGFMNEKNLLT DNASQIFEVYEFINKVNQEQNAQNINNRQKIRQKNSQSHQMKNFKEEVKIQELIVQPLLC DEAINKLKGIIQFFQKYHNNIFKNEKICEVQKLILNLKQWCANCNDTLENTLYNITSRIQ DGPKNEIENGIYFIGITKVGKSTIINSLLNPDNIIQDHFVSQKCYGINTETKFAIGKGGV SETQHINGVYIGKREELNQIFDQNEKNVFSIEEILDQEQIQKCKIPKKYFVFDCPGFDDN INELMRIAHRISLYNYFKNTKNIIVFFVIDISQQSIEQVKNTFDPITQILREKNDLVTHF DKWTNIILTKAKKGQRQYYLDNWQQVYKGYLDENYSFYKKLFENRCVEFEKPKTQDIEQI IQSLTNQIIKMSSSQLQSNQAFTPKFQGVLDPKVQSLYEHCIPKVKQKLESILNFFLEDL DEYILESSDNLEIKKEKFEKIQSILLDQNTKQMINLITYNNCEIILKELSNWVNGNPYLQ KNDIFIQQYIEDMKSILKISKYCQKIKKIAPFEIKIDNLVKKIKIVITKIDEVRSWYLLN DQRKNLHLRKNYLAELHKQKQQLEQINQFQFNLLQSQYQAQG >CAK87344 pep:novel supercontig:GCA_000165425.1:CT868618:65274:65679:1 gene:GSPATT00020986001 transcript:CAK87344 MSMQKTPEKQELSQALLLSISQYSWDKQIRLTTDEDVLCSYSKLSNDQGSYLETKKDQGN VFIKKCNQVQIDDVQDQEHKQLLQMLKEKDKRIEQLEHYQVWLQEQNENLKLNQQMMMQI SSEYQ >CAK87345 pep:novel supercontig:GCA_000165425.1:CT868618:66018:68378:1 gene:GSPATT00020987001 transcript:CAK87345 MRPNHPYTITFPIWQCDQWTALRGRLKQTDKQVIIARWSNTMYLDEELALFDQHHRIQPQ KDIIIHKPQILLLPSILQIVRTNTYTDVLIENISEWFLTNGDLSKLLLIYFGYDLYSGCS PRDCTLENIIKNGDSIIILDFGLRKRTQKYCVYWNPLILKGMPCRSSYQWSLGIIYLVMT QGTYILNEVQKHITAWLKGGTLDIGFLINNKKQSIQDLISSLLDLENPIAWNEIPNHPAF REDNACKQILKEFKGKFYNNEVKSRSSSRTNSRNENSNQISIMPCPYGLQNPKISEQNNH NQQLITKVSNLQKSHQDRVRNALGNLYSSVLNRHASTSQTNRQTIQINSSPNKFNHYFNS TKMLPKSIKSPSNLGFKALRSQNQASNQNTGSDSHRQSGDASIENIIKPSQKQDSFNVTM RMRVSSAKEDITRLQQRPRNGSTHSFLHLEPKQQQQNHQYQASKNYKEVQQIYQQSEIQK VEGVQQSIKNNDQSEQQQQRQQQQQEQKKQQQQQQQQQQQQQPQQQQLQQQQQQQQAKPQ SQQSQQSQPQLYENFLSDTENHIEIIQRNFALFQQKYNRSLEAINLIGQTVAKCLTFFNA LQNAWVIPLFLVFKRMLQLRKQVENLLQEKVNSFNINYWEEITQSVEFENYLTKVQQDNQ LVQSELSILLNSAKAKAEKLDKSRRDKVEWFLNDDLEDKIKDVCNTYFHGQIYKNIKDKK GIARPNIEWLKLQIQAQASIIIIELPIFTCDKENFTYEGYLSAFELTDEAQIYQYLNKYE DYLDHK >CAK87346 pep:novel supercontig:GCA_000165425.1:CT868618:68408:69383:-1 gene:GSPATT00020988001 transcript:CAK87346 MQYIDENEMGQKSRVPRLSMGERCFGKDLSNFRQSKSQSQTQRIKFDELDQIDNKNNNNP QLVSVYAKDIYKYCRNKDKALDHTYIDKQIEINYKMRSILIDWLVDVHHRFNLVSDTLYL TIYIIDAYLQQIQISRNKFQLLGVSALFIASKYCEIYPPKLNYYSDVTDKTYTKEEILEM EGKILMQLQFEICFTNQHQFYERYQQLIQLDQKSYQLGKYILELMLLDHKFIQYNPSLQA SSVLYLVQKIYKKSQNCWPTYLEIHSQYNENQIRPVAKEICQQLCQAKVMSLQAIQRKYS SPKFQEVSNIQIQYK >CAK87347 pep:novel supercontig:GCA_000165425.1:CT868618:69480:72261:-1 gene:GSPATT00020989001 transcript:CAK87347 MGCASVKSGDVNESEQIQSSKNHSRNPSSASLSLTEVNKLYRRANYLIKNEKFDEAIKLL DRIIETDPEFIDAYYSKGIIELHKRNLDSAKKQFQLTLEKQPNHALALNEIASFLINEKK YNEALTYLENGFQINPNIPDLNYGLGYVLSRLERKEEAIRYYDMAIQQDKIQKHYYISKA TALTDLKQFDRALESIQNALKIDSNYIDGYQAQAYVYNQQKQYDKLEQVCDIILKLNDQN QFALKFKRKGQSNLQKQSQPQQTLMTVEDYMKEGQSNPNILAQKLQEEQKYTEALSNLNQ VLEKNSSHLQALKAKASIQIELKQQAGSLITLNQILSIQPNDINALKEKVKILEYMNKDE EALKCYDQILAQEKSFDILEKKASILIKLNRIQEASEIYEYLYSQSSQDDPKIFIIRGKL LQAQKKYDDAITCLNDGITKFPSNIEILNMLALIYKITLKVQQELEIYEKILVIQPQNEL CLYEKGLNYYNDLGLILFDLNNFSESLEIFIQLNKTEQAKDLNYYLGICYNEKKEYFNVL NHLNQYVKSGKENLEKVYCIMGSANLFLLKFDESIESYQNCIKINPNNADAHYQLGNVYK QDKLLDEAKESFEQAVKIQPSNILYKQALDNLLNEKSFLQDYRNSLLFTLITFIGCCQLD PKSQDFEKMQNQKYLTLLTLMEAHLKKRFNTLEKHFEQIKQLIGQSYDMKLTLIDDTLFP IMQFWHNQKICKKKKDNNDLVVSMINSVKSIINLNNEKILTLIQDEKSLNNRYCVEFLSK CQHESYQNKSGKIGLLDGIKILGFLIKYHKEFTSENKGFPEFLADKYLVGEISNFHDSYY HQNA >CAK87348 pep:novel supercontig:GCA_000165425.1:CT868618:72333:73398:-1 gene:GSPATT00020990001 transcript:CAK87348 MEKSLLAVGLMMLLGASLYLNNTQEVSDEIDTANLYANWKMKYNRRYTNQRDEMYRYKVF TDNLNYIRAFYESPEEATFTLELNQFADMSQQEFAQTYLSLKVPRTAKLNAANSNFQYKG AEVDWTDNKKVKYPAVKNQGSCGSCWAFSAVGALEINTDIELNRKYELSEQDLVDCSGPY DNDGCNGGWMDSAFEYVADNGLAEAKDYPYTAKDGTCKTSVKRPYTHVQGFKDIDSCDEL AQTIQERTVAVAVDANPWQFYRSGVLSKCTKNLNHGVVLVGVQADGAWKIRNSWGSSWGE AGHIRLAGGDTCGICAAPSFPILG >CAK87349 pep:novel supercontig:GCA_000165425.1:CT868618:75218:75433:-1 gene:GSPATT00020991001 transcript:CAK87349 MGCATAKPKRATLLIIIDSPPPSLPSSMHIIDLPLNQIDCPAAKLPLFEKKNQATILSSQ PTNEGRESQAH >CAK87350 pep:novel supercontig:GCA_000165425.1:CT868618:75556:77364:1 gene:GSPATT00020992001 transcript:CAK87350 MSQQQKLISASSPSKTRSAISLHTQSKSPHYNEMQQGSTHQKSQQSLRNSHYISKFEKSI DLDMKQSNSFINPEFEIKKLMNLVELQQYEINNWKRRYEQAESRCISSNSSKPIKEYEIH IDQLTKELKNYMSMNDELSNEVKEKDSLIQKLNKQLDIYKQQLNDYQSELKYAQKEKANM DKDASLQFYQQDQRFNQKLQDIQQVNFEQMQIRDDQIQKLQQELIRRNQAIEFQKQEIMQ LDKIINEIKVGENTLLQSQETYKIKYQELLDEKKKEITKQVQQFELKTKQQEKEFLDEKE CLVHKISQMEYEIELLNKQSQESQNLISGLQDEIQSQVDQNCYLQEQHQNIQYDLEQTYK KIIQDLKIEYESQKNKLCQEVSKLTSQLEITSQQHSENQELIQELQFSLDNLNKHNENIS QQLSRLQTEFDQLQLQYENDITEQNQEIEQLNDQIAQLTELLEHRSNELDDVRQLRGELA LKNNENNLLIQRLQNELETLKKKLFELQKQHQIQGQVKDEINKSQFEQMRNQMESEIELL QNENKTIRYQLQMKSRECEEWKQQYNKPL >CAK87351 pep:novel supercontig:GCA_000165425.1:CT868618:77529:78362:-1 gene:GSPATT00020993001 transcript:CAK87351 MSNSQVRSESPSFQKMQALADKWTQLKAGIDRDKFEKKEIIDASLQKIDSLLNYDKIKDE DRFKTLKEQILKLSDQINNEKFGKEHYARYQEQLQQFEELINKQLDDEKNSKRRVEMQLL KQIEDRFDTVHGMLEKNNSVYYDKLNRQIGDVSNQLKDLRYTIENEQQTRSDSQAHLIEQ MDLELNKFQEMMSIERSVREETEKKIFSMIEEVHQKIQTEIQLERQQKEKSHESILKLLE QTCIKIDESFNQ >CAK87352 pep:novel supercontig:GCA_000165425.1:CT868618:78845:79916:1 gene:GSPATT00020994001 transcript:CAK87352 MNKGKTNHRRAQSNNDFQQIISSKIIQMVEQNSHKNLLKNQSFGNMLFQIPEQTDICSST EQSHHSQKKSCINDYLLSSKKNFSQDQKENLINSNSCTNIISSIQYMIKSSHPIKEIAQK SLNNESQSKKKHLSFTSELQKKNSQILHQSNKIDISSSLTPDRKKTDNNSKQNSHKYKSD QQEGQEKQKIELSNFLLNNLLKVDQKKKSNVEQQDSFRRMEFQMNKIQKDISKIKKRQDE LDLFNKNIQNQLFDFISESRKYQDYGYKSLQKLEKLEQITRRNEESIKMLKQKLFNNEIQ QITTKTHDNNINFGNISSYKQELDIQKKLSDFKYINKQCN >CAK87353 pep:novel supercontig:GCA_000165425.1:CT868618:80066:81323:-1 gene:GSPATT00020995001 transcript:CAK87353 MSMQKTPEKQELSQALLLSISQYSWDKQIRLTTDEDVLCSYSKLSNDQGSYLETKKDQGN VFIKKCNQVQIDDVQDQEHKQLLQMLKEKDKRIEQLEHYQVWLQEQNENLKLNQQMMIED QSMNQLQTNSASKQHLLKIEDLEFQINQSEQQYHKSLKSLGQFEKENSTLKTKIFEYEQK LFQQQHQQKVAESINEQSKIKYEEQHQINQTKIYELQQYIQNQESYINKLQHDVTHYYYS FQVQLSRKNSKENISKQYKSVVLREKSPQLNQYDQHQINKFVECIVDMVMNCTLSQAQVK PSLKECWKWLKNILEEYMILKKQILQDTNKTHSTKSSQFVGREINGERKRDHSFFNDLNR RFQTSVEDSENKYLRSNTLLSRVQK >CAK87354 pep:novel supercontig:GCA_000165425.1:CT868618:82482:85106:1 gene:GSPATT00020996001 transcript:CAK87354 MLRNDYFKLVKIEKKGKISYLLNFPLILLYDDENDEKIAQNLCISKIYAEIALKEMETCY FYLILDISQLTGDDDKGKLDNIVQHSIFRFGSFYFEENYFFFLRYRQDDKWDYHQFSKQF ALFTYFSKNQQLEIQTYSQNEILKTIFKSNNHTYVKKGQSEDAINYGKMMAYLEKNLQLL KEQFNEDLQQFQMLLLRLQQMPIIKNNFEVVQEIGKYLNDLQKGFMNEKNLLTDNASQIV EVYEFINKVNQEQNAQDINNRQKNSFSPLMTQFKEEVKIQKLIVQPLLSDEEINKLKGII QFFKKYHNNKLKNEKIREVQKLTLNLKQWCANCNDTLENTLYELKYITSRIQDGPKNEIE NGIYFIGITKVGKSTIINSLLIPDNIIQDHFVSQKCYGINTETKFAIGKGGVSETQHING VYIGKKEEFNQIFDQNEKHVFSVEEILDQEQIQKCKIPKKYFVFDCPGFDDNCNELMRIA HRISLYNYFKNTKNIIVFFVIDISQQSIEYIKNTFDPITQLLKDKNDLVSHFDKWTNIIL TKAKKGQRQSYLDNWQQVYKGYLDENYSFYKRLFENRCVEFEKPKTQDIEQIIQSLTNQI IKMSSSQLQSNQAFTPKFQGVLDPKVQSLYEHCIPKFKQKLESILNFFLEDLDEYILESS DNLEIKKEKLEKIQSILLDQNTKQMINLITYNNCEIILKELSNWVNGNPYLQKNDIFIQQ YIEDMESILKISKYCQKIKQIAPFEIKIDNLVKKIKTIILQIQETIQYYQNWKYAGGAAC FLSGIMTCGTSLALFEVQALAALARQFFLTRGALWFTTVSSGSFTAGTGYVTVIIDTWKN QHLKKKYQDELKKQKSLQQEYQQKVDLLQSQYQV >CAK87355 pep:novel supercontig:GCA_000165425.1:CT868618:85565:86098:1 gene:GSPATT00020997001 transcript:CAK87355 MKKKNFDDDIRFKVKQRYATISEWAFKTIESERVPESRAKSLYSGPVKVPNQAMYLLHNP QHQISILRFKSIDKKKPRLAISQQSTIQQSSKIYRKFIDPNQITRSLDYSDLADSQTNTT KKKVLQNQRLKKQFQVIHNRMKLILDSYKNRERVLLKYIASLQQEIIALKQTRYQTI >CAK87356 pep:novel supercontig:GCA_000165425.1:CT868618:86155:87306:1 gene:GSPATT00020998001 transcript:CAK87356 MSESIKRNAKSFTPYIAKPSDIPSVEASIDPLRQFRPLMRVQTSTDPQVQQMSTDQPFQT NLFYNPPFTSFDISQRVSLDGTNDYLLNHSKIVGPLVNSSLDSKSMQKQHEVLQSQSRFF ASMMPIFYNSPMQSCYPYLHQQCQNDLPRHSNNSLDKQVKINKINMTQPYIVNPSSLQQP QQVQSQMHFNTEDKWLGDVSSCQSLFVKKEEDDQSKPKETRNEQKLAKRIRKRIPVEESS DSFRVNRKKKGPKVNDTKNITKNFSKAIISYIINEKELIQKFMTNEQYDGFITLLKNKKN QMTNIKQLRDLWVDTGRNPEFNKVFRIISQYFLKCQAVPYVYNSRISNTAWHLKYRYNLL RAVREPENFKFIKDI >CAK87357 pep:novel supercontig:GCA_000165425.1:CT868618:88421:89134:1 gene:GSPATT00020999001 transcript:CAK87357 MCCGDNQPKNQPKTGIKTKSNNPGQKKDIKVVMLGDKAVGKSSIATRFCFDKFQSEYEIT IGGVYNSVELTVKGQNLKIHLWDTAGEEKFRSLLSLYYRDANIAVIVYDITQSESFQSVS TWLKELEEKIQKDGMALALVGNKCDCLESERKVSKAMAVDFAKQNGMIFYETSAKTGEGV KNLFQHLIETYVTENM >CAK87358 pep:novel supercontig:GCA_000165425.1:CT868618:89278:94271:1 gene:GSPATT00021000001 transcript:CAK87358 MQQLKKEEGDQFVNKFVRTMLQINDQFYCYEPIKIFLFMLSMSQTIFYLQFQTYELCQNN FDEISQSKKILYIIRPELILLKYLNDEMLFATPYILVLLILIMKIVTCLNIHNQHLRYAK RWNKTTHLQMIIINIISFYQQLFHAVLHYPLQTLVICAISKALQHIQNQNSNYIASLVFC VIIFLLLEAESISLIFICRKSTTLQIFAFEQFLMSTFDFIIYALQISQIIIFGISGQNIV VIYAQQFLIICIAILKILNQLHYQGYIYQHQRYLLYIINSVILMYSLFQLIDLSNNAILI WPLFTSVVIYADRQFQYNSIYSLFLENSTNLKYYVHQLMKISNNCYQDEILPIQYTIIWI QHKLKCKDTTCLCKELIQKVLNPSQTNIITEPIFNSFVYTKINHIKKLIYSNQNKNKVDA AFYEIVQATLFMRSGFILMAIKNYNKILFQANDLHRRRVISQEKPLISQRRAKKSLIDSQ LQEIINNQQKKGEKYEVQSKNYQISNLEIIKIKYRITQAQMNLNENFSSYVCNPQQHQMS DCIFYYLLNEFDLNTVIQKIINLIKMKTEFYCYLLNSENLKGNHVFNSISKFSKNVNCIE DILLKNYNKYASAKLRHLIIFFYSKIYNSYLKAIKFKQINNIQHEKLIYRNQTIDFYSDK IGYVLLQLQDDLQNLVIKQYSSNFLKIINKSNDENKLNFYDVLPDFIKDAHPILVQRFVQ TGQARFYRSLSLTFIQQDNGLAKQMEQSFDTITLLSDNKIVFGAVIQDVLDQKAYLLVNV NGNLSGMTFMCLRKLGFTVEQISSVNNFYQFYELEINQIVPNFNRLIETDFEEQKFDNIR IIFLDLSSNNSLDVITKSTQQGNQLLSKVWQNSNLVKEYLVDLYIMKRNVFGFFYYIIEI ESAYQIDYSQYNRNHKSLLQIRASNESAVFDIDSLILSLQDVNSELEPEYQEQEGTKKIK QVTKNAEFQGILQKEQINPDDTRKQNLNEQQPQLIKQVQNKNALQQQDFFCFGSPQSPLA SQGQNSSNQIRSQNQNQLDAILDLNSESSLNSIKKPSFIKRLESIERFYSSFNSNQQKVL LFSLLLTLIVCFIFSIFILSLLQDDLMSKIQSIQMLSFQANILAPYDEYLGIRAQINYYQ ELYASNKINFIEQLDLLEPLYSVIDIDYNELRQNSYSSLLEKDLSGFFKDLYTDAFFMGT SDKTVYSKNITFREFIHQLLSYQYDYKNILDKRSSSKGCPCQVFQFSNYFILEQNLEQIS QEILTFSKSNSDQIVNKWWSIWISFVIVCFLLCILIYYLRMVLALQCDTIMQIVTRIQEQ SLKREIENQKNLILGIISDNDLINTYQLDDQVEKQQVDTKIENMQFRKPKRKELIRTSKL KSIVLSLILLFIYFVYSSIAIFSSQNFLNLYESTQELYKLIADLSFRSGNLFLYREMIIQ WQNETYLNKSDGQKLYNLIDDAEKIILDYIDLAPRVSLDGLLISQEFLTLFEEVQNNDVC NYLDEQYEQVFGQYCLKSLEGSLMKGMLTTLPYIYQTIKNQQAINNFTFRAEDIFYEVEG GQIVSRVFSNIKQELQDGIIAKTEKFNFQNRIFSILFLLALFSICFYILFIYYNNIQQNL KMMKFSVFMIPQKDILQNDIFEKCLKQIEMKLGYKL >CAK87359 pep:novel supercontig:GCA_000165425.1:CT868618:94298:99234:-1 gene:GSPATT00021001001 transcript:CAK87359 MMIVLKHHTIIPKSLSLFLQLVILIQPIFMVMETGLQIHHTDNSVPHLIILLLFRQDLLL LDQNFHQAQLYLIVLAFQLFQKALQISITFYLFRIKDKALYFQQIDQSNLIQKGLVILAT LMQLQLTALHLLSSTFCLMSLTFAFRNGDQYVHLFLSIFTYVSWQVDYIFTLSLCSTPLT YKYHYLDITKVTILNYIIYLLQQLQIIIFCVIEDNQEIKLVNIILLLIEIISQLTNQFIN YIYIFKESRLLLYFTIAFKIAFCCYYLQPTVSSEYLIIPLLIYPIILYAFLALDYEINNR LFANIFKDSASIPLLIHQLKMILNQCDINIKMNPLKSSLINNYHRKSCENEDCICSNKLY ILEISQANAITQAILKQFLRSRINNIMDTKHLSKNSQVIDLFYVQTLFYFDFGWLTDCIK NVIHLLSCSENNQNLVIKNFIQKCKNIEETLKESSMKQDVSNQQVHQYIYVKIQLDMTEY CRLNYVLHLAKFKLKSSLGTSMQAFQQLMVSDFITSFLKYDKCLRDIQSQTLNLIHEKIN FYHAIIEDEKRNLNNLAVQTKKICVKLTQMKKRLKQIYDQYPCKSMQRCLCFFQSEILNN YYEASKTSSITSMTDDKIFRNKKIRNYEIQVEQTAYIILSIKGELDDFNIEQGSSFLLSL IGYDTYKDVQFYQLLPKFMRSSHPKILNKFFMNGQSRFYKSFNQSFIQTKTGLLKTVFLT YDITKIILNQNLVFAAFLQELPDQKCFMLSHGNKGTLIFSDNFFLKIGFDQRVILNLPTQ VINSLNMQYLIPDFPMEHSETETSQFNTEMRFLMDRKLKELQVQGTNLSEYILDIEQWEK EDQVCLYNISVMITKRYIEQQSYLLIEMSSITRITKFSQDASKFQTIQNFDITPQKSPVH RQRKNSVSIASLMNLSVEAQAKKVKVMDVRKKEIQMNDYSEDEAACYISSFRKDELQNHA PFQSQRQLLSERKNDTQQEFFNVDLLRMDSNIKSSMEIQQSQTSKRKRDQNEEVQSQQSS IGGVKESQLFKKFEMIEKIIKSKKFKTMMIYIVLLIMLTMFWVSFTIVVVTAMSSQLLEF IQEIDMISLHASIMGPHDMYLSIKVTVSAYQQLYRENYIDYSTLLKLINPLFEFNAPYYF DLQNSFYEVLTDVHLTDFFVDKYQTVYFMGNNDTVIYSRTLSFREELLAVINAQYQLKRI FDERSNAAGQPCQVFQFANYLNLQDQLEQLTDEILQFSKMRSVSSNQQWLIFWIIYQITS ILLIFVLVIVRKRMLKTYEKLLSLFYYSDRNSLEQEILKLKNLHQTISIQNQEVIKRYEF DFKEREEQLNHKKNKSHSQNIEQQKLRKSNDQLPQLFTYLGIFIMYTYFLVYSVVIYDQT KNYLVKYKQTTDFYRLVQEMRFRSGTIYVYRELFFRWSNFTYLTPNDLDRLYQLVEKSQS IIQQYLNDQSDIQTDDYLLSDSYMNYITQVENSNLCNFIDAQYINITSYCSIALDGVLLK GMIPSLNYISAAIRNQQAINNFTKRAEVHFYELEGGQIICQVFTNVSQILQQGMIDLTHK FNQTNEVQIFQKQILSYIFLVTQLSLSIAILSCFKKSLMNEFTMYKKSLYLIPIQVLLGD NSLERALRQYEFTEKI >CAK87360 pep:novel supercontig:GCA_000165425.1:CT868618:99289:108612:-1 gene:GSPATT00021002001 transcript:CAK87360 MKNAYVTIVIVSLLVLATSKLTLQQAVSLNLQNLRCKDLDDINIMISDTIQWKEAIELNN QLGDDLNGLLEVEKIVKNTKQQLLHQSLLIEIEMPIKQDFQAKFEMDLAKIMKLIQQMNQ KQTKKEKLDLLTEIDVCIQATKQKMQLILSQSSKATDYDKEQLTSNLKQLLQIKQQCQNQ QQEVKQKINKTNKHSKPDTYDEYDVNEYEDAIQQVLYDSDSDYDYSNYYDYDEYNYDQER SYQNKQRKQQNQRTRTRTRKESKQQKQPQQFRIKKQIKKRRDEEVHQTDVQNQNYNEEQP QDQDFTDTDQQQQYQNPPFQNNEEEDNYQSNIQDQEEQQVQDSADYYVVSDLKPYEALDS QNQQNIIQDQHILDDQENQDQGNNQDEYNSFKDILEDNDQVNLDGELDNNQQYSDSQGDQ EFQDDNYNQIDVNEDQEYAQQSDQDQLDVETIQPVQNQKQQRFIKLNNDEHDQQDVDYQS EQENISPNSESSTLDEFDDSQSQGQDDDQNNQVVIDEVSLDDVELQDDQEVRYIDQEEVN DSQIQNEDVIQQQDNDEIYIENDDQSQSDQQLNPLQDEQTESFQEIQPIPDSMKQSTQVK AQAEEFKLTEQQLAPDQQQQQKVQSQQQQQQQAQQQSQQQDQQQQSQQQAQQQQQQEPQT STQQSQLQQQLQQQSQQQQQPQIQQQPQAQPQQQQQPQTEQQQQPQTDQQQQPQQQQQQP QQQNQQQQQTEQSQTNAQQQQQQNTLQTQDQNVQAKDLPPTQQQASQPTQQSQTNQQSQE INKEQPKQQEPQQQNQQQQSQVQQVNPLQSEQQPAQQGAVQQTQEQGNQQPSDKQQPTQQ QQPQPQQENNQQAPNQQVQPQAQQQDPSQQSIEIDQNQLQPQKPSEQKPLQPTDLIPDTQ SQIPTKGLDENPDQIIDPVKFVPFKHKPLQSTANILVRQSKYYDSSVEGKASYEIQFSNK ELQDSEEYGYGYWVRYQSTLEFKMQQNQYYFLSRLTSLKDYLDFQNYGDRTLANFLVDNS FVFATYDYVGREKNKVAQISLNQNIDGRWYFVSFSYSSRKQKSVGFVLTYGKGKEFQRIE IPGTHAPPFYMQLMVGGKHLHYPGLQGQFANIFYDIESPALIDNEQELFNLVETMSFMPQ GLKTYHEEVIVGPPVDMDGNKNCNFEKGFKDSFIYEHYAIAGWFRWVDNLDVKELNSFQI MNLRSNKQRVKDKRELGDRALEIHFIRGLQNVGLNFHTYSVVGNEGKGSDMIVKSVPYTT NVWTYVYFGFNQEEKKAQGIMIRVGANEEVVIEGLEHKNTNSLYFTLGGDQTVASFNGKV SQVGVYLGPESYAKSKKLDYNFGYGDGAVRLFQLVKPFVMRGQQDQYEISFDQKESLINQ ILIQDDSNTRINGLSEYSIGLWTCWLSSLPKFIGSRSDFHQIARMGTQSKLLELKNNKLI QSDNIQVNNDIKDTTLQMRLGKKEYEFSTYNLISNDIKKGVIALDSQLEGSWNYLSFSYK RMNNNMGLAKGYVQFGIGGQVKECSIEVLHDYILEYVELNVGKSNIPQFNGKLANVQMRL GNGAFLVDVAQYEQFQQLEKPVLGISSAVRKSIQLLGQETDMVKVKEPENVFEYSQYAGV NEYALSGWVKWGGDQKQGGLYSILMMVQKKQQDIKPGQTDSQLYVQKTDKDYIFGTYNCN GEDCSQSQEKNIEFNEYYNQWTFIYIGYTQKQKKLFALCKFTFNQQQQTFQEINRILLST FTVFLGKKYQLANQWLGQIKQWVLNVGDGSYLESGYEQSETVELNFGFNSGTDHLKMQTA NQEINHSDKVIESQADKQNIPWMVELNEQSDVKIEGVSSYGYGMWMRFRYYGSSILFSQP KWMGLSRLTTNRDYRDADAVGDRVLMILFGKGEDEKSQGIFQFSTYTIGQPNIFSNLQYQ MEYESEWVYIYYSYKRISQTQGVAMAYTGRMDIVDELKIDALHNPINNYAQLTIGHSGKY YPNFNGQLTGIKFRLGNGAYIDSKNDILQRMKNSDLMPSVPYDVSHKYTIIDGKIDNYKV LPNNPQMVDFPANEYSLALWYKQFQKINDNKETVVRVTQNPVGKVSDQSWIGDRTFALFK INKDNMEFSTYTLLKGMNFGIPYNCQIPELSQHNWSFIYMGYSKSKQKFYYYLSVDEYVC KSEEVILHAISDRLWIYVGSDNIQQRFEGNLAQIAFTIGPGAYTNSKIPFLAEYLVADKL FPKQKKISWEKNEPIMLVSDGDETISSLKVELFNGFQYPFDQMQEYAFGMWTRYLTTIPQ RQISKPALMQLARLSINKQTTDGVVKTGDRVLAAHIVFNYYQISTYDLNTDAGIQQKFMK YNQLEGIWRYIYMGYSKDIETVACYTYDSAIAEMKMDKILHKPLTDYLLFRLGKEDTITG FQGSITKIMLSIGPGSYIRQQDQFKSQLETTFSLPFQLTQEYTDKEKHGKFEIIEDVKQI DVTNGIEFEGNKWSGISEYAFSGWIKPTGAGNTDCQLILRLTNNNAETLNDRKSQGDRAL HITICNNQLIKYSTYTLVDLKDANEPKFIDGQLELNDYNYAWNYIYMGYNSRTSEVHCLL HTLIEDKPITWEQVQHYVPNFLGLYIGQDKFTNKFIGSMNKWTAAYGLGGFISLKKNGYQ QLLPYYGLVQPNKQYQWNSNKDTVIQTPEFIVQEFTNEIDSVTEYAVGIWTRWLTDFPDH LAERKESHSIFRLTAKKDHQDKSQIQDRVLSAFLIKGSYDFSSYDIATNNFAANSLAAYD QIEGSWNFIYMGYKDGQTVGIIIVRDTAKALKVEFATKHIPLVGYAKLMIGVSEFGHGQF HGWLYDPRLYLGQGSFINESQKVVDLLQKVHRKLPLPVVDLADFKWTVPQMDTTQNINAE IINYKFLDKQESLEYSYGMWIQQSTLLPGMPTVPRIIARLSTNHPQFMKDQQLGDRTLLV SVMNDKLSYNTYKLLDTPEFEQKVEEIQFEQLQWTYVFFQYKKGNALAYALQVKQAQQKM IEALHVIPNVFYFYIVKDQNFADFYGKVSDVKVYFGSSSYFEDPQTSIEKWPFDKKYLQP ASEPLANNQQITSAKISRNMDIKNEKYIGDY >CAK87361 pep:novel supercontig:GCA_000165425.1:CT868618:109214:111451:1 gene:GSPATT00021003001 transcript:CAK87361 MNNNSNTKLDILPKLMSSHHKLLEPAYLKNTQFKISQNESKLLPLIQRSSMMQPNQSSKQ LIVQRLISNLEFSSRHFGAACDRGFQGLSKYHYSQKFGHSAVRLEPLNRNIIAELTDAED TEEIPKDERTYYKRIYKFKNMPILALIKSFEIEWVREFEDEVNKKSTKSLQLSFQTALAI FNTYPDPLEFFQKNLQLLERSSVALKQKGLTQLKYKVKNFDEDEFQRMIVIKNQSGQGYF RIYFPTIQLYLQEYSHNQTIDLSPLRLYEIVKNNFFEMASIVEQFDFEKFKLYIQDSENQ GILEQLEEQTKQRTDNIQKVETPQPQEIAQQSQIQDNELEQTVDQQQQQKAQEQTQLSEL NQQQQESTQLESTQQQQESAQQQQESTQQQLLESTQQQQESIQQQQESTQQQLESTQQQL LESTKQQQESTQSQLESTQQQQESTQQQQESTQQQQESTQQLESTQQQQESTQQQQESTQ KQEQQQQQELISQQGQQQQKQQQQQQQQQQQQQQQQQVQQQKQQEQILIGNPVNTDLSII IIEEKHEFPEQILQRKWIKKVVEKDNTYYTVDFLPFQILLREKRSQKIIKMYSPSLKEVD FIIFQLNNKSLLDILNDNVKTTFDMPPEAFDYKEFKKGSKISFLLEEIAEKPLEITEEQL NNGILSPWTKEIEVNNKDLPCMLEIYNQLKCSTIKRPCTSKELTHILTKRYSIGFRLI >CAK87362 pep:novel supercontig:GCA_000165425.1:CT868618:111475:114454:-1 gene:GSPATT00021004001 transcript:CAK87362 MDLSLQLSQMKLIKGNDFRKNLVDNGHLGQGNSRVYSVIVNGKKYALKQVIINQCNIENE IKIMQQLSPSEYVINLIDFMILETVSADKYAQSQQFAYLLMEKGQQNLDSYIKIRKHFID TEELHKILEQLINIFEHLQQKNIAHRDIKLENLLIMEPFQIKACDVGCSTQIETIQTISV VGTKSYLAPELLNAETSKLKHNPFKSDVYSLGLCFLYLVTLQQWHSRQKINSQIEEEIIT DYMRHVQRITESDQIILTILKKMLQINPNERPDFIELKAILQQLKENQSYQQQVLSKGTT IESFESPKKAISQFDYLSPDVISSRGRNTGSNYYQQERQKSAKQIQLNLSNQKKQKSSTN LLVDTNKKRQASRSPNRSSPSHFQTKETQFSSKPPSGNPLKLPQSTKARQIKTPSSPSHS SQNLQQRQQWQQSKFYHSVVESKRMHPESFSQTMPSIQMLGPDDFKPTDDLLNCSYSEVA VLYQNQSYISLYQNNQNHHQTKCQLPNKCKTLILKLNNQTPELVLSLQNDIQILTLELIE DSNHNTNYKWSDNLSNMQFPCITQLDIIVYNKSSQVNNIIQFLTKVQHIPKVSMTIGTEM PEQDHRTIMWKLSKYNCTAFEFKIPRIKLNYTQTQQLWQFNQSSLEELSLDYEDCGLQQA FSLLVASLRTLDLKKFQFNLTKLQSKNSEVSLFLEYLGSKQSLKDLVLILDYMTNYDKLV QEKLKNNIGKLKNLERLTLSLIGNTINYDFFERLFTQFEQLTFLKYIVLSFDRIFEKEKI KQLQKKFQFLSQFTIQFYGTNTTLTIIMHNNGLQKLQDYGITKCNQGKQIKLSKMYYCIK CSKTHSFFLNHLFQICSTCVTQCHSKCENAINSYETVTHGSDSMVQSQILLNEIRKNHTF IESFNSNDHRCYCHLLGTCNQSIPNRDRNKNQIQFNCKTCKKSLCRLCSYDCHQQHQTKE LYINKFVCQCECDKHV >CAK87363 pep:novel supercontig:GCA_000165425.1:CT868618:115545:115961:1 gene:GSPATT00021005001 transcript:CAK87363 MSEQDTGYKPMRFLVQAKNEVSIGIVYQPSDKQKPPMIYKVILKNIYEIENPTRDGIKER IFADHPFLIRSEELEKHMDAGIQTIFTIRDEKIKLNQSQKNDELDTNEGKEGIVAKLPTS KK >CAK87364 pep:novel supercontig:GCA_000165425.1:CT868618:116211:117345:1 gene:GSPATT00021006001 transcript:CAK87364 MGCLFSVFTRQQLDKRRIALLQNADEVEDIREKITSYSIQNQYINQERVIDEKPHKDFTK IKCLTKNDESKIFLSQNKSDQEYYILKSINKQYLEYSGMQQQIQNEIKVGKECKGKFIQK FHQHFITNKKCYIILENVQGGNLKSLIKRKQMSVAEIRFYIAEIFMALQHLHKNNVIYRN LTPENVLIDNQGHIKLSDFSLCHFGTECNLLTGNIYYQAPEVSKLQYQNYLSDYWSLGAI MYEMLFGYPPYFHPNINTYKKNKREQIITIPNSISESAQSLLKQLLNNDIQQRIMYESSQ KVQYHPFFDGLDWQLLKKRLEQSPFIPDLRTKSDIHYYLEEKSNENIIQQQIN >CAK87365 pep:novel supercontig:GCA_000165425.1:CT868618:117427:117717:-1 gene:GSPATT00021007001 transcript:CAK87365 MKLFIKISRRGLARGDNVYYLKELPNQCWPVCGADRIIIIFKRQFKAHKLYAHNETTTYL GYHLFSSGMNKMRNQRLSNFMKSIKGHTEQTSSWDK >CAK87366 pep:novel supercontig:GCA_000165425.1:CT868618:117929:118243:-1 gene:GSPATT00021008001 transcript:CAK87366 MIRSFYYGKMSTMVCTTKGCRHKPLCLDCMVTHSKNQLANVCHQEILIHLVIRYLKPMPV LILIIIDEHLSFVRQSMPILQNHLIQTRKQEIRVIKTYCQLLHR >CAK87367 pep:novel supercontig:GCA_000165425.1:CT868618:118275:123113:1 gene:GSPATT00021009001 transcript:CAK87367 MSKYSILNIRGSFTEKIMYKIQQLMGLYLDLMTTAPKVELTENLFRATIIFQFMFRLHYL LPEDGWGIWNYEDFKIKIPQQILSTIFQQNAILLKVILIILNGCCLLMSFMRIKLMYYYN NVTWHIFFMPQVSILIDFESNPSLSTIAIILLLIQSFINLYFNRATKFLHNNPFIRKYTN LTLVSIAIDTLCYMNFQFYLIRLILLHFSTIVQIVDVYSFHPYKPKLNSFVFQSAVLQYT LIFITTISIIQQSENNLFYSYFLFGSFSVALSQIIIEKSSKKQTFDQYFVLVQSQSLYLA SNIQKAIMISQHRQNCKFKHESNVIETIICILDNSIKRNKQVKLDYEILELALINFLSLQ KAALTAFCRLKMYYNAVDDHTIYFQICFPNIDKKLWKRVRDVQNRITKQIRSTSYYDEKD LKTKDIYVACLMRDQFYPKIIEVLNKKLEYWNQLLSDLANYERLFEHTIKCSQVVHNFNI FLQNLFSQDLDEVQNVKTVIELKMLEIYFCVVRNDQVQATKMQKLIIEMLRQETQQDGKL LNCSILENKVVLLYTSIVKAQGFIIKSNSDQLAKFWGYESELDFHDIKHINQLMPNFCAS VHDQYIERSQILGHSILFGKCRTIFLKDKYDLLIPASITIDNFFISYDDYVITAAFAKNK ETSLYILFDCKGKILGVTQLMYKVFHTIDSTVTPELLNTGYIFQIIPNIFYLINNHTNAE FDNLLYEERIHLTIGNPIKQQFDKQINLSKHKGYYDDLWTLYEDTKNHKTELFLQEYQDA CKSFIDHQLKTVNFEIICQLHYQIIGHYKTMPMFTLEILDIIKADLQSSILYSSDMEIKT NDIFEPDPVDLSSIQEESFQKVKNNDIPQFDNQLQQVPEIIFLKGRVEQPLNEQTPKNIK QKQLMQPQSQKATFQVIEQKSLNDDLKSIEEEMKIMKVNKRYSQKQIVVNEKQNEEHKSS EKLTKRKNIIDQIQEKRKQDINEMDAVNSVNSIASNLINYKQDLVKSVYKSNKIPYSLKM IIIFDILIISSILIFNIFPIITIHDNSLHAIEQIDAIMAPYLYNSYYCQLYVHNLIFELQ KLSIVNYSQELIRDIEAINKNNTLLQDLNKYYPVFLDIEKLDYFPTLNIHFINTADQLNV SFTYMYSVLIEKLQYFTQKGQNFSKYQMNESEIISSLYLYANLQDSITLYSELIELIVLT FFEDMVYDENNFLKYLIVALFVIIFLFWIQIIYFHQIFNYFKKIIYLNCRLLEKDVHLIV QRLQLIREILIEHTVTNWKKADYVHLMFQQIKSDQIQIQSKINKHTLLCSRIAQSRFSLL AILAMIISVQSLIVGFCVGGYFFNEIQQIELTPQYELMSQFFQFSVTMDSMVTQSIRVKG QRLYMQNNKINESITVQKKLVDQKLLVMRTTNLTFYSNLYEDFVKSEELIIKGLINDDSV DRQNNSTLYQLFFNDLCPIVCPNSTDEKDQNKYYVSEGISGIYSSLSKYIKSSFNYELEN LKQDPDVKAQISVVNSHEFIVLFSRHFINTKKAFLKFQEEILNKTYKVIEKNDKEIQAYF SCVLLIEIIIAGCTFVYCIKLKQYQIQLMRLSLSSIPIDLLDQQSISILKTL >CAK87368 pep:novel supercontig:GCA_000165425.1:CT868618:124188:125463:1 gene:GSPATT00021010001 transcript:CAK87368 MIPSLPSRGKQLLESPFIWLKEKAEMDRKRTGVPSNMWYVQGKIYDLSKFLKEHPGGENF LKLTQGQDITEMYFAHHLNLDKCQAILSKYYVREADRVQQYFNFDQNGFYRTLHRRVGDY FKVRDKGPSLSMKLTVISALFAFCLTFGLTCIHQSFWWALLCGYTLFVCFGIAHNFMHQG AKQPLRYMSDLLFFSHYHWVITHCISHHHYPNSNIDFEMTSMEPFFITTKSRLPNNRFLP YYINIVFGFISTLQFISTIIQIIKGEEQLRKEYLIPVIEYFIIYSFCLDGTLAFKLFMAI QCFASFLSLKYPLIIHRNSFNYSDGSTIQPSNDYGIYVIQTTTDHDLWIQFPLNMFLFQS FNHHILHHMFPTVDESRIPEIHHILEQTLKDFNLQDILKKYNFFELYKSHNEFLLEK >CAK87369 pep:novel supercontig:GCA_000165425.1:CT868618:125470:126024:-1 gene:GSPATT00021011001 transcript:CAK87369 MSSISEETDIQIKTNDLEPPQTRSNRRNSKAEVQKVVQQINNKSRIVKKRLKRRRLYSKV KRSGLRKIIRIPACEQFTTSEDKLILSSVLKLGPKFKVISAYFPSKSLSTVKNRYYKFLR YRWIQIMGKQVINLQPRDYDTLYKESQQQSCIQEEEIVETVQLFPEVKSILMNLFSNIKS LIIQ >CAK87370 pep:novel supercontig:GCA_000165425.1:CT868618:126550:127251:1 gene:GSPATT00021012001 transcript:CAK87370 MGGFCPNSNIKVLPSPVIQEENTVDPQIEIDTLINQETNYKLSLIHNFSLENPTLQTCDE VILEILSISISFMVKDFSEQSNFLKIQIQKQIQELVGHKVDFQEDLLTMLINYYDFLSYV QENGRTKVVSWWKDPVGVVEVEQAIKHWSQEFIKYGGKLRREKAFRQITNDPKPPERFVK TREWIKKQSEGQEEELKPKREQLALMNNFEDGFEES >CAK87371 pep:novel supercontig:GCA_000165425.1:CT868618:127446:127869:1 gene:GSPATT00021013001 transcript:CAK87371 MAINNGMVVHFRVNCEFVFQGWSTTADETGLFFFGCLIVMFYCMLHMNLYTVKLILPKNL IVDICWYLVYALSGIMVMQLIMTMNGWVNVAVVIGSTIGYSIQESWSQIYEKENQAPPGG CEFCN >CAK87372 pep:novel supercontig:GCA_000165425.1:CT868618:128034:129060:-1 gene:GSPATT00021014001 transcript:CAK87372 MYYQSQPPMMPLAHMMNNPVQMQQATNIRKPDFPPDMQPIFVIPQIAHIKSQPKPRCRPY DPVLGLGRKAEWMLDKFEDEYEQMQREEREKDIVKPSRLPLKILKRLEKKHQHNEELKKL LQLWNPFEDPNITSEPYKTLFVGRLNYATTDKKLRKEFEEYGPIKSIRIIRDSTQDKPRG YAFIEYESKNSVKQAYKYAVDKRIDGRKLVVDIERGRTILKWRPRRLGGGLGELRRSRSE ELSKKPKEEHVEKDEEDKRRKSKKVEEVKPTKKPRERSRSKSVKKHKKREESPIKKQKRR EKSKKRHK >CAK87373 pep:novel supercontig:GCA_000165425.1:CT868618:129340:130851:1 gene:GSPATT00021015001 transcript:CAK87373 MFQELKSSNFKSIMDNCVYLKKISNTLYGDAEILIHKTYNIQLVLITKIFHRKEDAKKLQ DETTLRLNLISERILRLISYNIKEVKELCGSFYRIQWIYEFHSHTLQDNIMYRKKKDLPF TEVEIINLLESMLQGFQIFKSVSIRHGYLQPKHIIQNTKSQNFCISDIKFITKMTEYQEA LQNIDSVQQCFLSPELLKELQKGSQENSSYEKNEIFSIGLILLNCMLLEYPEIYDLPNFA IKQQKLCEYLRVAEQRYQSAQIVREMLLIDIYHRPSLEQLISLLMQLKFKIQNRPSPKPQ SNYILHIDQSKENYSSQVDRRYATENQYTSNTPLKQNQERGHSRKISQLTPITHLSHSPI VFNKQETTEISTISQNSYFQMDTSNINSSLRDPYNFTYRDVTPERKTKPCPSPFKQISSN NAQNSSRTNYFFPTTKQNDNSSFLQSESISYDFAEGIKLNVPSSLQQEFTKLGNRSNQYQ NQYQKKLF >CAK87374 pep:novel supercontig:GCA_000165425.1:CT868618:131797:132141:-1 gene:GSPATT00021016001 transcript:CAK87374 MQIASPSSKAIYANLELKNSESQNIEFICQELKTICTVSYQLISPKISQKPIKMKKLIKK VKKNGISKQGKREKQNKQSIHCLIDAKEELKYKIALVNDLQSQLLYLKMMISKM >CAK87375 pep:novel supercontig:GCA_000165425.1:CT868618:132308:133447:1 gene:GSPATT00021017001 transcript:CAK87375 MTEEILSQYYPKYNPQAAILKDILRDGLEQAFVGKFKNNNDQINISEFKLRHHFDKKDND HLLDQIKKKLKLNNQKAEMRCVNTIQNIRVETDLNYDPKYFQENTFYMNQNLDAIKQKII EKNVAERVKKTQEFKSMQKALNIWFQQSQQQKNEDQNKQQQQTNKKQMNSSSQIIVKQHQ TEGIQVSGIITTRQGYKMTYPNLAYIIKATDIPEREAQCSFVKKIRPLQQLDFDQLDDLR RYVWKYKQYTQFFKILLKVAQGNLEISETLVKTQQRMKTGFYHVMTFYHISNRSWAICRL IKDLNKKGLYQRERQNLYETMLENIQEDLEEIFSPLLFESDYVPIEEQFISFEQYKKRLQ EVILMRENGA >CAK87376 pep:novel supercontig:GCA_000165425.1:CT868618:134056:135045:-1 gene:GSPATT00021018001 transcript:CAK87376 MSNIPQSQQAQQAPQAPQPYYGQPSYAQPYGAPLSPLRYSYAPPVVQQVVPQTYVPQQVI PQTYVPQQVVAQPVVAQPVVAQSVVAQPTIKGESRIEYVPYEKTVLEYEEVRQRIQVPRE KYVTDYYAVEYQTEYVPQVFQEKFTEYVPVDRYQERVEYYPVERQVVHQQQVQQVVAQPV QQVVTQSVVQPVQYAPQPVQYAPQPVQYVQQPVQYAPQPVQYAPAPLQQTSYVPAPVASL PLAQTQIPTRTVPQARPQQPLDRTQAQNPRPNPQAPQQPQQKQKSFLDRLFDRD >CAK87377 pep:novel supercontig:GCA_000165425.1:CT868618:135115:136494:1 gene:GSPATT00021019001 transcript:CAK87377 MNQEEQPKDSIEQESDENEKKDQVQPQEQKKKKKKNKKKKKWGEEEEILTDFDDDWQNKV KQSKILQDPDLPQHIKEKYAIYENNPFMMIISNVPLNVQLKELEEYFNTLITSLDPKIND RPIKSIEYGATKSWVVLECSSKEAKRALVTQDQVQFVNNCKIKVERPRKFLERILNPQAR DGELNPEQKQEDNTRLYLGGLPTYLRDEDVMKLIQSFGITKYFNLVKDTTSNTEISKGYC FFEYESAQSTAKALKALNNLQIGDRKLKICKVQGETQQNKKINGKDQPSNYAGSFLASCD LLRIPQVQQMLTIPQSALIPSKVVQFLNMCSIQDLYEDDIFEELMEDIRSECVRYGQIEK IEIPRPDKESGFCNPAVGKIFVKFYYQIPAKKAKFHLAGRTYNKRTVITSFYPEEQFDYK DYLING >CAK87378 pep:novel supercontig:GCA_000165425.1:CT868618:136505:142711:1 gene:GSPATT00021020001 transcript:CAK87378 MIQIVNDDLIPQNIKANSRLRDAYIKYQELVSRGKIKLKMNKQINCDIGQQLYFFKHKDA LFFQHPDKQQEYYESLLNSLTKQIKPQKAISYEKQLLLQIQALNQQKYTPDTLKNEITSL FKRKISQLKIRKQRTFQQEGNIQKPEAESIYKYRIQWIDNQIINLNQRLERLRIDDGIKI QQDNQQALYSNIFVDDVEIYLRNKIYFDKIKMPFRKLKYVLKWMHFLDRFEIQENANKIV NQLRVQNGEQNQQYFNDNVTNIEGKLNLKYYVVLQLQEYLKPLKFSLDESRITQRFDRLP LVILTDYEMHNRLYQIASKFLKNPRQFGEQKLIFHLCNLQHMLDGYQKYLYAWDKSEKNE YDGYSGLQKLTIELSAFDDSEELIKQLNYPLPIYPNLSLVYVKNDWKMLLNCKNIVPDEY QTFMSNKLNFVTVDAYTEKLIQLLPIHLNKSMQAYIDQQIKQIRSDNYALFNIIENNDYI EYTRESLRLKQINELYEQICNKEIHYRHKTKFKSDLVKAFEELMIELTPKETHLQAYFST MVCKMREFHRKIFYIVNALQSIERKLTFNLLSLRECKVNTTVDTQFLIKVNQLQKQDTAD QQINQFINQRRNAVISYIDATEKSSQKVKQNLSPELKFCRVEDEIQYSNEELPDVFVNQK IQNPIYDSTIDEFKRIMKRIVQSISLYINKYEKISSIKSINPIVDRFQCALDCLEQEAIY QFQKLKLVQTYMKIFDNTVDQYQASEIIETIFEIIQAIPLLDLESFYFKDSYILNQDLLK SQREFMELLINKQQEHEIAQVKQIHEQYLLMRMQQDEIERVLSRAETPKIEQKSARDQRL NEQYDYYNVSNKMSQIFQELNTTNKQSAVNLNSNSKDLKIIDPNAILLPFQILEDYNGLN FTESLYLVTFIIKTFKNSFQMLLEQFPQNSIQGYLSLQIASMKQAQVYEFQDQKLPYVKK FHENTFFESEYGLIQKYFDRIKSTVQNDISPYILNVSLYDLERTLNQQQIQITDIGAINN LMEYLRLRKHFLNIQLEMKVQHRIHKKIHGYQKMSKTNDLYFKSNLVETQSQYKKNQAVK YCFSPFCFEIDATQEVPFILLKQFELLVFNDVIEEFRNILLYDQINVMFLQTQNELRFNY IDDYIKAQLDLKHFAQVGYLPQNSKLTIKQISQYEFNDKLLLASLPKKPTEIDDYLKQAK SVKAELFIKYVNKYKERPRVNSFQSDKMQILSIKKDQNLKLYLIKRLIQDFLSITQHEAI KVDIFSKEMRIKRISQVIPSSSQIDKSFFLSFQEEQTVYVQQFKRIAEKLETHIHIDQFY SRDDFQNQKQQDPNRELRQYYKSIHQYLMEVLRHNTIFLNWFELMHMNELYLFNLSFDYY DQTKEMLYQGKLGKNPIKSVLEYDKNQMDIKQCISDQVIEQRPLVAQAIDKMIDKIKSFY QINKAFEPFLQQIELFKSKSKMTEITPEIKQSYLNLYSKTYYIYNQCKFFISKDYPDSEY YYQYQQINQCLFLQHQFSAVNLNFFKTIYVRYQNMYKVKQKDLYRKFKKLNSNTYSDEIR MINLVLPINNENLLTHYHNEQHQNVSLMLQVPGVIGVNERIMLRKQNVNEIKEQCISTLL SNTYVLLQNPYIYNMSSVELKLYYNDLEIQLKDQKITLKELVYNQLTNTSIKAMNENVHL LQQYLNVAPEYIEKAEIMDKLVSKINIAIPRVKFIQSLQSTLSQKSGEIHSALKIAFIQG FLNRLRNKSIQTNLLVSGMGYSISSQDIEDCLNILNRDLYTFAENEIAARMETFNLIYEQ QKTQIKQLNRQLKEKTLEHDQLKQSFDERLQALVIAKSNKILFDLDMLKSKFKDYQKCTL GLEENIREQIESKYKIHVEQLTFERDRVIEKFMDLKQQLITLFTQEVQTNQDALIKFIQQ KTNEMLSEIPLYKRSHSQGNDLNENGHQGEQHQKVELLFEPYKFTKALHQLKDKWQQETE DQKINYEKQIQQTFKFYSQILQITMFGI >CAK87379 pep:novel supercontig:GCA_000165425.1:CT868618:142758:143045:1 gene:GSPATT00021021001 transcript:CAK87379 MKMEIITLQQTISLLIKQKDEYAEQIDQLKFEKKRILKANDDYQQQLKQYKEKFKTQEKK RNAISVDNYSMTQSIVERKTLPKLFKSEQKPKLKL >CAK87380 pep:novel supercontig:GCA_000165425.1:CT868618:143060:144460:1 gene:GSPATT00021022001 transcript:CAK87380 MQQQTYIESSYSSHSSSSSENENKDVLFSPFRDANEIQLLSEQFDITKWSKHVLPQQSQV EKTPKPNKKQVGKKSKNKVNTSSSISPQKKKSKIKRPKMKDIKPLNLESEKNLFFTMKAA YNPQFEYVEVKELGPEQPHRKYEEIALKIIDQCIKEFGSDLKYAEQEGGKLLSIEETEHF FNDYIHKLGVQELISYEFQDHTVAPTSVVHHPTDGKSKVIIGLPINYRINRIEGVLNHEI GTHFIRKINDRQQQWYKKRVKYHMEPYLKHEEGLAVLNQLLPQALKQKGKPYLFMAALHY ISVYLYIQMSFAKLFHELRYFIQDDEARFRECLRVKRGMKDTSQPGGMYKDQVYLTGAIK ILKYRGKLNFIHLHSGKITIKDCIRFGEIGQIYTKNIYIPPFLQELEQYKKGLDKIAQQN FINFAC >CAK87381 pep:novel supercontig:GCA_000165425.1:CT868618:144686:146043:-1 gene:GSPATT00021023001 transcript:CAK87381 MSQVYESVNNQNQEAEKKFDQVYMHQKPYTGKAPVKGTYKDSAMTLIKGYVGSGILAMPF SFYVGGWLLAVFIFLISAYMLMLCVHYLIEVANAENKENQGLTEVAEVTYGEKGKQITKV VLIAYQLGKAVAYLIFFISFFAHIFSNVDSQSGAGNWIYLIMAFCIVLPLSFIDNMARFA KLSLFANTLILVGLVYIAFYDFYQILEGEGIKENVMNLAHFEQFPMIIGVTAYGFGVLPL AFAIRVTMSEPTQFKNLFNVVSYFNLGLFLAFTLLSVWGLGSRMETQQIILFCLDKNPLS YVIQICYAFGLICSYPLQVLPAFQQIERIEKLKNYINPELKYSSFRRLAIRSFINLLLGL IGYSIPKFAYFVNILGAIGGASLNFLFPILIHKKYFEKDETKRKSKFIYNGILTFGICGG LCSFIYTIVKLTSH >CAK87382 pep:novel supercontig:GCA_000165425.1:CT868618:146168:146432:-1 gene:GSPATT00021024001 transcript:CAK87382 MIWKKLDALLSKAEAKGFKMANKAHVYVVNGILCLIAYNVYTVFRGYNEFFLEARQEAAP DLDEVTGEPQYPINKNIRKN >CAK87383 pep:novel supercontig:GCA_000165425.1:CT868618:146561:147609:-1 gene:GSPATT00021025001 transcript:CAK87383 MQSIKQLLIDVLDIIDKVQCFTYKDVVESYQQMNKLTTKEICGQSEIQQYEYILQIVAYL NRIKMLFEEQLEEHINNDYESIIQNLEASVRSHIRVEQQQKLQIEGLIQKIEEITAEKDL LMQQQQDKIRSLEQIIKEQNKKLQENSPVEIIHRKTPSAFERLGKFVQNKVQKNFHNSTY EANFKTFMKVCHTDADRSLSKGRRQLSAKRELGLQRMNDETQQFLESKITETERQRENVR HKLQRSDKTNELQHQATSPEKQVQMNKYKGLSKQQFDSQKQEQTVSSQSFEQVGKSLMAL QKQISNGRLKNTQGTQLLKFLQKK >CAK87384 pep:novel supercontig:GCA_000165425.1:CT868618:147800:148536:1 gene:GSPATT00021026001 transcript:CAK87384 MLSLYRNQLAGQQKKVLLIGSGLMAEAVIDQLLKRNDNFVVVASAHVEDAKKVTQNKERC SAHHLDVTETDELRRFVKNSDIVIAYIPPQFIVPIAKVCAEIGRSMITSQYTFPEIRALE EECKKKGIIMLNEIGLDPGIDHLATVKVRDEVYAKGGKIIEYESWCGGVPSPEFCDNPFG YKFSWSPFAAIRNINNDAKYLEKGVQKYIPAF >CAK87385 pep:novel supercontig:GCA_000165425.1:CT868618:148536:149406:1 gene:GSPATT00021027001 transcript:CAK87385 MEGYPNRDSLPYQELYGLKDCQKLVRGTLRYQGHCVLMAAMKALGFASEEVIKVDREISW FEYLLSNIRFESCSTMFLANHHITQLANTIDQKVFTLAQLETLLTKVFNRVFSQYYYKDK SEEQLYKDAEQITYTLKWMGVFDPKNLILNNVTHVHNFAAHLQTLMNYKQGETDLVAMQH IFKIVYPNDPRVYVKKSTMVKIGHRNGKSAMAITVGVPTAVATQLILDGQIKVTGVHMPN ISEINTPLYEELKKEGIYCEEEDY >CAK87386 pep:novel supercontig:GCA_000165425.1:CT868618:149426:149798:-1 gene:GSPATT00021028001 transcript:CAK87386 MAQADRKAVVKNADMSEEMQQDAIDCANQALEKFNIEKDIAAFIKKEFDKKYNPTWHCIV GRNFGSYVTHETKHFIYFYMGQVAILLFKSG >CAK87387 pep:novel supercontig:GCA_000165425.1:CT868618:149872:150869:-1 gene:GSPATT00021029001 transcript:CAK87387 MQQISPEEQLTDQQIINIIQLENQMAQSTANMQAFRSNQIIVLKNLRNEAVFKLIFSFIE LLLLFLAFGFLPEPCVDTSLREFFILAIIVNAMQAFQMLYLLLIIWDDLGEIDSSETENR LPYPEYSFANTKYLSDAISDYHNCYKFIKYLTILLDVVVFLFTQVELYKNLYGACKIGDS EFDFTWGVAFTYLILRYITLGIPILIFVIYVVVLPFAYCINIQSLQKINRVGASQENLNK LKVETVGLDKISDDNECVICLSEFIEGEEFVRLDCHPYHVYHKGCISDWLKARLECPKCR QPVKFD >CAK87388 pep:novel supercontig:GCA_000165425.1:CT868618:151811:152752:1 gene:GSPATT00021030001 transcript:CAK87388 MSSIPSMNLFSGPQIQKTRSIYRPFGTHESNYYSQPPKQFYPEKYSSIPSYHEYLANQGR PDYNQSYPEYIDKEHYVPIKHESPFQTLYKYDKTNPTPKDAFITPYRPKVPTDEEIQEHI PIIDLVIEQQRFYSHFSDHGLPKGYAEKDYQRELEDRYNRDLTQQINNGFKLQETRTKKE IDDFYRNIKVAVDERGRVQINNIRQPQQVQKGVSTIYKTTEDTAKVASNLQPFDNNSYQQ PNKQQEQQQYINHFQGSFHPYQQPTQQQQQQQQPIHTEEKAPSEKNSIVIPIPGDSNLQQ SQLEQSKVITSND >CAK87389 pep:novel supercontig:GCA_000165425.1:CT868618:152772:155125:-1 gene:GSPATT00021031001 transcript:CAK87389 MINQQPNQEIEDLDSDDVDIIFRRIKIIFFFSLLQLIVSGGLMYLHLGFINYMIVDGIGI PFQVNQRMFWSYDQNRKTIQAQQQHTETTNNFLTRFQLVFSVTLTTVFIYEFMGFLLITI QEQAMKDLFIFLIIIGFSIQILIQIVILITNSYFCKSPNVLRLSIYFQSLTLSLVIFLSW VKIYQVQELPSQIQTADYWIEWNTLLQIPTLLFLAISMLFNYMGNKKAYNILGTVFLLLS ILHTVFGCLVIRNGQFWVPTNDQLALRALHNDVIEDMGCSKYINNEQCPLVYQVEAWEYN NELKCLSSICEDKAINYIKNLNLLLGLVFLFEASLLFMNTYAMYTVSVKYQISKLLNPLT QKIVISLFFLTTIVGIVCLESIPLPKIQTQPSMDHSIESDMLPEFNQVNFNQIANSFNLQ DCQTINQLQTINEFQAINCDTSCEQYEFIVALTSENSLFKQLEPNSVKTFNQEFTNQYFN KKDIHQQLVIKGDKNSVIQGLNNIQVCSDNSQIQMDVQVEQVKQQKIRLLQKLKFMDILS NRIIQKGTFRVYKEFIQCAHIKDQPYFEQSIDDENIIYNIKKGSYITIVFQNEKYFDYCG NFLISEEKLTINPYKLNNNKFTIIVKWQNEQETPVYLIANQKIGKNVSCQVGGYFTGCGH IKSKQNKNYSLLQFQEYINNAIIFLQDYEVDNQNSLSLSINIYFNGVSNTRYILCIDNHG NFKIVQEIDNNNLYPKTC >CAK87390 pep:novel supercontig:GCA_000165425.1:CT868618:155155:158145:-1 gene:GSPATT00021032001 transcript:CAK87390 MENIRVLVRVRPLNYRETHLGANACVSTTANSITLDNKKEYSYDHVLGADSTQEQVFDKI GNSTLQSFLDGLNCCIFAYGQTGAGKTYTMQGKGYDDNAHDSVHLGLQPRLIQQLFKELP KENNWAIKCTYLEIYNEQLIDLLNDAKPMPLTIREDSKRVYVENITEIAASSFNDVLSLM QRGLANRHVSATQMNLESSRSHSIFTLQLEQRTKGMYTRRSKLNFVDLAGSERQKLTAAT GDRLKEASNINKSLTVLGLVINSLAENPKKFIPYRDSKLTFLLRESLGGNSKTVMIATIS AASSSFQETLGTLKFASRAKNIKNQAVVNEEVGGNLESLKAEIKRLKNELQQSVFQSEII PKKQKEVELVSQINTLSYQLNESGQYQDQLTKELKQMKDYYESRITELEDYNTKNHKCSQ LGMQDKDLQLEQALNIQKDLKYKNELLNQRILELQQEETILKHRRNEQQLLITQLEQNLQ ILQKDKEMLMEQFAEQVQLTQKYETQLETFQEDKAQQLQELEYKISELTDQLQINKDQMD TDAETIEFQSGEIERLQNEIEFNKSLVDKLQSECSDLKEQMLLKETLIEDQLKELLKCKK RSDLNSKKYLKSLKVLKKQKENFTQMEQKQIEFLSQLNVSMELEETKLNNDFVKQAVLSK FQDVKTQFDKQQQESNILNDEKTYYSDLFHKAQTNLDEAKRQNQELLLELEKNKVNQEEI QKYGQLQEEFKYQKEKLSKFLDSFDIINQKLIMKENEVLKLRSELKLEQTQRSKSLEEID KLRTAKIELSNLKTELEQTIQQIQCNNQNEGDDNKQKKLSDNVLMLQKANQKLNTDLATL QRQYKQLQEEKDLMQKKYEEKLMYQVDINKLRKDINVTNQLKQQLDKKEREYQQLFDETK ILEDFFKNINVKRFCNYQIQNEGTISEKVKSYFEFLDQCEQEFETKQFQLKTNLQQLHIE QANCNIVKEENRMLKMQLKM >CAK87391 pep:novel supercontig:GCA_000165425.1:CT868618:158197:160213:1 gene:GSPATT00021033001 transcript:CAK87391 MNQMDGLIEENTQLKKAIISSKAVISRKINEFETLQAINDELKQHIDKLKLDNNELNQKL RIALHEKKQTEQQFDNASKSWKLLIEQKQRELEEIQSKLSPSFDQDMIRIKLLNELEIPH RQQLEVKQNEIEKLNEVIYQLKRNLDLEIAKVETTKLENAKEIKLIQERFKLDNSDLQHQ IEELTKIIEDSKDRDLIRRLRKDLDEYKLKFNNADTENVELRNERDKIREEKNEIMIKFA RQIDQERNDKRQYKSDFDKIQVRTRFIEDELRKEKQRREQLSTNFEIMKTEKDQLLTEIR KKDDTIHYLQRKIGDMEEEQLEQEQKVQDKLTRLYQDEHDKYLQERNKAVTLQKDLDNLK KRFSDLQDDYKILKDRYQKDNTDNKDNSKTQNEEIEKLKKIVSQQLKDIGDLERSNKNRE EQIHDIENENETLKRRNRELQHRIQLIEVNPLPSPQQQTQMIFNQSQYPSFAQSPQYVQQ IQDQYETKPKQNTAAQSENEPQNQTQAQKQTLQQITEENRTLIQKNKKLSKKLKEANDKI LELSMKNTLLEKQIQRQHSVPQYSNQEYQGNYTHSQSPLRYNYENYDQQDTRQAQQTHTD TRLDRFDKSDRYGQPNQDKYRSRNQSGMNQQYNQGHYNQIHEDDLLNKVMMLTNNNTGQT KYW >CAK87392 pep:novel supercontig:GCA_000165425.1:CT868618:160272:162716:1 gene:GSPATT00021034001 transcript:CAK87392 MFLFILLSYALACSDQNCVECNQPTICTACVSPLILSNNQCVFNCPSNYYPDIVNNVRQC LKCNDKCLECFDGSPSGCTKCMKPLRLMCGNCIDSVADSGCSDCSSQCITCFGRTSSECY LCKLPNFHSPNTDSCELICEYPLYGDVNDFQCKNFCPQGTYGQPITRQCLPNCPDPYFKN ELKTNCVKECPMGTYPQDKSCLTCHTTCETCSGGKSTDCIFCKEGYFMYNQQCGYCENLQ YSNNETRTCVDFITYSLNILEAHDSTIVFEISFSSGINPDTLDLKSQIDVMNIDSSQYQM TLSSVQDDQFFVITLDFDISHRNTQISCNFTNNIMNENNTPILLSTAVQNLEIPAQFIPP NQEANSNTINTYMIISYLSYFCFLFLLCLLLRTQRRMFYLIINTLQFIQVMIFINFTFST LTLNSLQLLDVVNLRRIPALGISYDGTSLHFFNTPIYNQIPNNNFLYNAGYYYNFIANGG IQILGILIIFWIIWAISFILHNFILINNKIETLKFYRTMLEGSSDLLVRVNEFLYFPLIL ISILQLWGYDFSSDINIASFVLSIVTILYYLIYFRSVYKSQFLDIDQQEMENKYFTFFAD FKQSNEIKKNYEFINYFLKSSTACSLILLNNFPFVQFSISFSLISLYFILLIQRRPYLRN IMNYSAIFGYLIIIGLYITSIISEIEYQSKINDIAITNNYVEKQYIFGLSFMILIQIVLG FYAITFSYNKFQDYLKFLDKKPDWGKSFLQKMEKFMFSDKIQEVEMGNISLTN >CAK87393 pep:novel supercontig:GCA_000165425.1:CT868618:163114:163418:1 gene:GSPATT00021035001 transcript:CAK87393 MFLMKPQTPTFGDKNNSRKPQIQINEINSNSRFYSIPTRQTNAQSRSSSQRKKKDQMIKK WKELYDEQTNFYMRLLKVNNIELIELPKFQLQK >CAK87394 pep:novel supercontig:GCA_000165425.1:CT868618:163580:163933:1 gene:GSPATT00021036001 transcript:CAK87394 MNPYASRLKLQPIKNLNKRSIDLDLIELEKIHFVNRMEKMIKSKSISPEVRTPTFSKSLD KKDGIKEGFPKKVKNNNKRLLKIYDESKESENNEQSTFPCTQQTKKLQQTNDRRQKL >CAK87395 pep:novel supercontig:GCA_000165425.1:CT868618:163964:164536:-1 gene:GSPATT00021037001 transcript:CAK87395 MYNQIGSNLVQAPFGVMIPGYLSQTQFLLNGNYYMMDIQNAQQVHSIALFLLNPIPEGYA VCLFYSRYPFDGISFLGAIANQRPSDIFATSFNQILTDQDQIKLVLSVEPINEQITELVQ LTNDAQNKLKYGLTIAQNLYNFMTAYNKEVVINGQEVDVLITPANVLQIWLQKLQQKYKE NPNFIYKTTK >CAK87396 pep:novel supercontig:GCA_000165425.1:CT868618:164677:165494:-1 gene:GSPATT00021038001 transcript:CAK87396 MIAVTDYDATYNELQAKRKFLDESIHSMQDQSDHKINQALIKLTTEQLKQLKLEKQSYAY KYIHQEFSKAKSMKFCKEIEIGMLFEIHEDKLYQTKTNLQTELLAFIPSVGLRHKRLLQQ EQVDVKIRRHKHQNEEKLRQQQLLRGIGDQEMKQISQNLSKYAKNDEMNSPKQDDNNLDN GSLVMSKSFNQSQPRQIQQQSQMSQNLTPVVQKQFQQKPLRGQISSQLVYGDYEDEDDLN >CAK87397 pep:novel supercontig:GCA_000165425.1:CT868618:165521:166176:-1 gene:GSPATT00021039001 transcript:CAK87397 MSEVFNSYEVEFEKYQQQVCSLIRQIQINKSDEALTEIESTFSDLQNCLQQMDIESASIA VSDRQELKNKVKRYKSESEALKKQFRILQDDISSQKTKDSLFGEQDNQQIQFINTQDKLV KQTLQLEDAKKVCFEIESISNNIQVQLKGQSETLDRNINKMPEIQYDLGESNSRLSRIQS KMRQHKVIFLIVFAIFLTGISIVLVYKYA >CAK87398 pep:novel supercontig:GCA_000165425.1:CT868618:166251:167046:-1 gene:GSPATT00021040001 transcript:CAK87398 MNVCSPSKVQGPALLRHPFQQVRSVSTDCKYATWQSPKNKENLSPNQQRVDLVKKIIVLL EENEKSAELIKQLLTKESKYQKQRSCDQTELSQLRGEVAILKEQLIKSEEDRILSENKYQ AVLKELDYKDQQVENKKETIVAQEEHIKQLLERNAELTEQLKFSQDELNNLGDIDQKIDF ILNENEQLKFLSDKLQDQLSASEEQLFRFKQKIDQLQSQETERSEGALNGYKQKIRNLET KLAVLSEDNVRRRQVV >CAK87399 pep:novel supercontig:GCA_000165425.1:CT868618:167527:170336:-1 gene:GSPATT00021041001 transcript:CAK87399 MFIKELNLFAFDLIIATIISISLAIYGSQNNLEFSGEEIITSCLLLIVITITFAMGYQQR KKSQYAIEKDNEQTITVYRDGKIQHLLIQNLVSGDICVIKEGLVIYCDMRVLESSDLQIQ MNQDITQAIKGSQIFCGSLIRCGFGKGVVIKTGSSTTIAQIQKRQGSKLLQKQLILFQQI MTLIALFVIVLVIIWSAVMTEKDTSEVFAYGFRVVEQVIIAIVPVGLLVIVTISFQMAIR RLAKLRFLIKDAESIERLSEINCLCIGLNEVITSKNYTFNSFNDCKQLSYECRGLNSVHL QYCAILTCNHGNLDGTEKSIMDCFKDEDTDGLIKNTKYYVNGIQYRLPFNSSKKYSLSIV EQGNKYFVYIKGAPEVIWSYCNKTLFGKIDIKQTQLFNDQLVNQCSKGLRSIGFAYLEIE NEQDLKINENDYEFNKQNFIYLGTLYLNNSLNEQATPILEKLSQQNIKVVIITGEHPETA RVICKNTGNYSNPTIIEGHQLYLHVDDQQEVTRLSSVENKLGNWCSQQEIVFARTSPEQK LSIVKALQQLNYRVAITGEGLTDLGAFRQADISISKKHGSLQMIINSSDLILTSSKQTFL DSYQAILEAKRAVNNVTKSIMLCLSSNTAMILALFVYCFLGTPLPFSQNLMLLTSISVDL ILGISLAREELEYRIPNRTNLFLFAILIIGCIEAGGGLMASFSAYRYFGFNLQNLYFTRF ASAYPVGDQDIYNPQSNTLGNSNLQDNCQYYGEMQNYQWSSPNNTIDQRLIFVECGTCSD MQKQNGYLTDCWNTKYEYPNCLSNSQYCYTAKSSEYASTSFYIVLVLSQCINYFALRTLV KSYNSTRINTLALYGLTISLIILPIIVYVPGIQQVFQTVNMPWELLGSAGLPFCLLLLTI AEVIKWLLRTYVGFQIL >CAK87400 pep:novel supercontig:GCA_000165425.1:CT868618:170381:170733:1 gene:GSPATT00021042001 transcript:CAK87400 MLPHLKVQYQNWFSKVSPINPKDKSIPAFLCTSHTHLVPVSLFILLIQLWISGPFSRNLN YSHSYLGLHISISYISESVIFYLLTKIYQILDFIWNTEVSKIKRLIFM >CAK87401 pep:novel supercontig:GCA_000165425.1:CT868618:170741:171049:-1 gene:GSPATT00021043001 transcript:CAK87401 MPYFLLILVFFHFNDNLKYRYQQTILFLPLNIFHILSIIDLIQMVSVYQKSKQYMQNNYI YSIQYASIIVIVINNTRSLIMYCDTLQQLIFVMQYIINLVNC >CAK87402 pep:novel supercontig:GCA_000165425.1:CT868618:171065:171717:-1 gene:GSPATT00021044001 transcript:CAK87402 MSQKKNTKRIHSSDGSLSIEQLKTICPDQYVTYEIFDLAFKQMWSVVNKLEMLQLQQNSN DKLFELEKRILNLEDVIHKLTCITPVIPESLQQQIAELTDTGKQILVAIPQRFEQIQNDL TEKEERIKSVEDACTVLTRVLHDVQTEYEDQKDQIDKMESDLLNIFKQFQTVLKLEQDYS NVSNEIQNITKAINEIYQFI >CAK87403 pep:novel supercontig:GCA_000165425.1:CT868618:171737:172459:1 gene:GSPATT00021045001 transcript:CAK87403 MGNNCCECCIKKNQKDNNNDTNEKEIEQTVDYKIQKGPTHINVLHKNLQASNCIVYVSCC DDRGRNSQNQLLQKNEQVAEQLSRKTNQIGQLSEVTIGKVFFYFFVLPLYLGEDQQVLLL KQQIKQLAQTIMDKNLTEIAIEDIGVQRFGYPRQLVAKLIIETFASLLHRMVSLNRIDFM INDLKSKSLFEEEIQARREILIKGDSLLKIDQTDEKSLKQPLMV >CAK87404 pep:novel supercontig:GCA_000165425.1:CT868618:173290:174538:1 gene:GSPATT00021047001 transcript:CAK87404 MHNYQDNSQKLFYELWKTGNRVLCQGKILVGSENHKFIASLVLITIPTVLYYVFMAPALV QRDQVVQVVIFAILNCLVYILITITVLMDPGIIPKITTNYEMDEQLILIPQKYLKVDPQV LFESKTLQVKGHQFKLKFCNTCAIYRPPRASHCPACDNCVLRFDHHCPWVGACVGRRNYI YFYLFIFFLSATMIYVFSTCLAYIFGDMDDDKDKGEQIISTLSRNPYSLALAIYCFVFSF FVVGLWGFHTFLVITNMTTNEYLKKHWVIQSKNPFRRKNIFKNIQHVLACIRDVKFLELR QFVFDPKSYNQPMTQNQMNEIENLNAVEDNQNNVARRQSKQTVDHVEEQ >CAK87405 pep:novel supercontig:GCA_000165425.1:CT868618:174654:175231:-1 gene:GSPATT00021048001 transcript:CAK87405 MKQQKDEIFFQSSLFVAQLCEPLSILEYLSQGNQEIQEKPLKTEKLEKIEQPKGRSNRII HPQKKDPYGSDWAQGILAKQFGVQITQGSSNLSPKWRLKKVQPLDFSQNVALLDPKTAKD FKTQEKLFRQTASESHRKRVGRVIKQYQQSFNGQIPAQQQQGQLLYQYKLPSIP >CAK87406 pep:novel supercontig:GCA_000165425.1:CT868618:175813:177018:1 gene:GSPATT00021049001 transcript:CAK87406 MFNYSTSYFANSNEKNNQLKEKIYSALYQSPNTSYDVMKWIPSNDISNLSVSIEQEIDKI LQVVPPFQFQPNKQTSFQNFQTQQYVDRQPLTNLNSSQTKYSENICEPEVQQIEYPQMQS NSKQMRREQQPPSKQNQYIQDLINRYQEPPKNAFQEDYNNPSSNIEEEYDVNTQQSVTNR DSRDKGGLIKQGKYKSNEFSKLTEQQTCLNQSVGNSFILDTLISEETIKQTNSVEVENQP FNTSQNWAKMKIRKEAKQNENYKTQNFQDLLYSLPSFFIHQTEGEIKVDKLRAQDNQEGQ YYQSFELTVNPFKLIGPINFKKHLKIHVRFKKGYQGVVYYDRQLQNVIPQNQVDGITLKN EPFLLANNSDKPIKVICCIVGIKK >CAK87407 pep:novel supercontig:GCA_000165425.1:CT868618:177393:178714:1 gene:GSPATT00021050001 transcript:CAK87407 MQKPLFLILLIVGCMATEFDDQFAELEESQFGQTILQTIQMEMQTDDPVVSNLVDIMQHL QQTLESEQKRDDDRIVRFKQNCDIALSQLTEIINTSTVSSLTLKSDLDSLNPQKVQAVAS LERKNLEIADLKAELDYQTLKRQKESATYQTILDNLEQALFGVNQVKGYFNKYLDVLVKN RNRFQQPQPSFLQEDASFQYNDDEVEEDIDTKGISSFAQVAQKVNKLKHHVHLEGYKSMI EILSQLASQAQTSADEPSQCEVLTRKVLSILKQIESYIQSERIREDQAENLRQSSYEDLR TLLSDQLVKANQDKTYMEGLIDSLSNRIQQGKNEKFEVDQKITTKSKEKENRENDCRLKR QEYETDTASRIKQRRSVAVAVDLISSKLGQLKRKLLEK >CAK87408 pep:novel supercontig:GCA_000165425.1:CT868618:178746:181753:1 gene:GSPATT00021051001 transcript:CAK87408 MDQELIISPNDKKQYSLTTLPNQLECLIISDPNTKISGACLEICVGWLDDPKEYQGIAHF CEHMLFMGSEKYPTQNDYTSFIQLNSGSYNASTWLQRTKYHFSIQNDAFVGGLDRFAQFF ICPLFDSSCIEREMNAVESEFNLSLADDQSRLWEIFVQQSDPESTFNRFGCGNLLTLNKP DIRDQLLAFYDKYYCSSLMKLVVYTDKSIQEVGQIVHDIFSLVPNKGRNKPLHLDNPFRG QFPKVDVVGIKQEDYLFLNFVIPNYEDKYLGQPESYITHVLGHEGQNSLASFLKDEGLVT ELIVGSQRLNDKVSEIYLEIVLTEEGFQSYEKVIAFVFKQIEKIKEKGVKKEIFDELAQI KHLEFKFKENTSSVLEYIEKLSENMHKYPKNHIIYGEYAYEKYDPQSINEILKYLNPNNM IIFLRSPNFADEKDNEDFITEPFCKTRYRKQQISNSIFQIIKNCNNLKGVKTQKIIDIFP PNLYLPQNFDIIKETDDNEYPVKIFENDYIRCFYLKDNQFPICKGSYGIQLFPNQDFVTD ENERVLFDLWSNIFYSQFEETLYNAECAGISYNLDSAYNCVSFKVHGFNDSILRFYKDFI QYLLDFHKQPKNYVKKHIFHVQKDDLEDRYENYFMKSPYDLNSSYWKCMVYKTGKFMKEQ LTEVEIKMNDFISFTEKLFKTVRMQIYIHGNISKDTALNLCQITHDLFSEFSQPNKSIQP LQIMKIQKNQTFKFEKLITENPDEPNSGLRISYQGDQSLDPTLLLYFDLLNSIISDPFEN QLRTNEQLGYVVYTNKSNRRGIHFFNFIIISETKSTKYIANRIDTFLQDFLAKDLAEFNE EKFEKVKLSYYKDQSQDFQNMNEKFKDFWNEILINQYDFDKKQKLKERIDSITHEKFLTF VQNLFKDSKRLEIHIVNKRHLDWELEHEEKITECEWYNDLFQLN >CAK87409 pep:novel supercontig:GCA_000165425.1:CT868618:183519:184262:1 gene:GSPATT00021052001 transcript:CAK87409 MFLYKKLIQKQIKNYKYILKYKYTDKYVIFKHQSKDRRQNYGRAGILFFSIFSLKEDLWK ISKIMFSQNQNIICKINSNFIVSFQFKKISSNYNLIQSHLNTIIFKILQNQIYEGYFQFK LVYSLQYANFCQLCFQKISFTYQLDSTFLFNTISMETIDLLKSHNSFCIQYKFEFPYFLI SRPFISFIFVQNIKQDIQIENIIFNPEMHFIKHYKHHKINQKLIHLGTFSNKMRINGNFS KIKKQIS >CAK87410 pep:novel supercontig:GCA_000165425.1:CT868618:184379:186972:1 gene:GSPATT00021053001 transcript:CAK87410 MFSKKQQAPFKVHLSMIILQIFHFIRQLISYYYRKDQFVIFLMSNCLFQMASEVLLINKM KFGQKNVQFVFILINVVLFQVESIKYGFENVECNSLFIIIIFLLKDCQHQHTNTEKKIQQ AMKIGLLLVIFFSFTHFKLDIEDNRTIFYYFRIFNQKLNVALSIYLLSEENEKQDQEKKM HCLSIIDEKVIPMQQKVLEQRECRKKGTKRYSFQELSELITERKLTYQKYQSTFSIDENI LLEFLSEAVIIIKFEKNEDSIYKPRVDYQNSISKVMFQKSNSDLITFFEKISSEILSDDS PVNPRSSLLSFQSLAQQQYKNMKRSNKFNPQQFIVTELKPNIKCGDQSLSPRLRSLTIQL NTVQKCMYYVFNTKRQRFEVNGKAEALIIETNFEIDGQKKTVEIIITIGGEDQILMIARD VMHRKNIKELLEINQSKSKILSFVSHEFRSPLNVMINVLQNMKQDNSISDSVLQNLTIVL ENSFYMLNLSNDLLDLAQIKNETFSLNLKTMDIIQLGEECIKMFQLQARQKNILLFIKTN IKPLYLYTDRNRLKQIIINLLANAMKFTSKGNIIIKIQQKGLLVDIGVEDTGLGISQQDQ SKLFKAFGKLKDREHQKLNEQGVGLGLVISHKIAQQLSFDGQGLQVISKDATQEDHGSYF YLTLKIKYFHTKTNLYQLQQVQINDKESLIQDTQIEFQQIRLTINKDAHSFADAEKFSVK STCKHILIVDDNIFNQDVLEMLIKQFTNTQIDKSNSGLEAIESVKSKKCNESCIGYQAIF MDMEMPGLNGMQASAQILKIDPKIKIFIVSGYDKSQFDGEVNTIRIKDYIVKPIHKDVIQ KIILENYL >CAK87411 pep:novel supercontig:GCA_000165425.1:CT868618:187005:187912:-1 gene:GSPATT00021054001 transcript:CAK87411 MISFWNDQETNNYYLINNKTGEKQRVYKTGDYIKEFDPSLTGRVGFKQRLKLALKPSYLP AKAKSLQSRFTYYTPKGNKFLWYSQCPRPKQLPNLEHFTTTNKSFMSQTQRDKQFVFPVS FSKNQPQMKITTGISNYQSLLEPEQFQSMQNAQNLDLNNEQHYKDMSNLFDSKSYDYKSF NKPPKSNLNCRSIKEFEQILKEEEQQLEMFKLPSPTKQLINTKGRFPLQLKTSSDFVKED KMITKLLQPELFKEKVTDQKQDELRRRINKYKEQEMLARNLKNKV >CAK87412 pep:novel supercontig:GCA_000165425.1:CT868618:187940:188431:-1 gene:GSPATT00021055001 transcript:CAK87412 MNNECQVQVDFSKPKSIIRLLCQRSTVKYSHLTQIKNDKHQFQFKIYFLIMPITSFLSQQ WIIANKQKMCEFNTSQIEESPNKDSFNHYLQDYSSPNCQFISRDKQKSSIVTRIPLYGPK AGTTQVQNYNEILEKLSSLSQFTLDQEEQSGYQKDNQQIALTK >CAK87413 pep:novel supercontig:GCA_000165425.1:CT868618:188497:188751:-1 gene:GSPATT00021056001 transcript:CAK87413 MILHTLYRFQTNLHRDLKPENILFKEKWNLNSSAITDFRFSVEVDAYPYIYPKYGTPGFV APEIVNLVDKTKPYTSACDIFQQE >CAK87414 pep:novel supercontig:GCA_000165425.1:CT868618:189437:191474:-1 gene:GSPATT00021057001 transcript:CAK87414 MNSKNQKQISSILNLINSFEFIQPKLNQIADFSSGIALQQILYHMYVQLSQLSFEIEIQL EQSENWEMQMKVMKELWSAFESKLKLQQKPNFLQIVKNRNEQCILEMMVSLIQGLTNSTY SSELVTPMMQLDQETQMVLLKIIQEEQSSISQDQESKISDDVLRKFEELEYENQQLNQEL ILFKEQYEMEKKKMQDDIEVVQADLELRQRTINSLNDDLNQIYEVTQTNSVSEVCEYIHN RIDDIKGMQQIIETLKNDQQQEKDVHEEIVRDLRNKLEAGYRKYTQMQKLEKYCEQLKTQ LSESLQEQTKNKENLKQNSKLKEENIELNNKVTQLQQKLDQQKQLQKQNQKIQSQQEFDI SKARSENESLCRQLKLREQEIFRLKQEIIDLEHNIHNKTEQSQLENSSAMLISLNDGEER RNFSGIFTQKRNLGLELSQLITKSNYLIEGDQKLKLDVHTQTNEKYECSNSIKKQDSSFD EEILSSQVSFWKTQCSDLLAERALYEEKQNELQKEMKELQIKLEQFFVEQHKYEQELQEA KDKVLNLIEDNNVIRSQFEQSLQKIALQDKQLAEFPLIKEQLEETIYKLEKTEEQVKSKK KKKVHASQSSLMNKQLEITKRAYEELLQIKQEELQLLTASIEDIIINNKAFQIL >CAK87415 pep:novel supercontig:GCA_000165425.1:CT868618:192312:193256:-1 gene:GSPATT00021058001 transcript:CAK87415 MYRKLLISLCLIGLTSAAVTVDASQHCDCTELNQTDCALALSWCLWNTSDSECQEYSLGC SDLASQILCDAADSCKWNSGSCEDWDPSCSDGTTAALCNDIDDCYWNKSNACASFSACTD YSAENCPGSEWCTASSGSCAAYTFVTCSSFTTAATCLGYDTKTTRCAWANDNTCKSLGAV SACSDLNNFTNLCNASGSCVYEGSACRAEKCSDASSELTCNAISTGDTTYSLCSWANNAC ADAADTSAFTKDNCYARTFRNYKWSSDNKCVACDDLVDNDDMSNSVILGAFVLLALIA >CAK87416 pep:novel supercontig:GCA_000165425.1:CT868618:195091:196686:1 gene:GSPATT00021059001 transcript:CAK87416 MQSNKGHKLDELLTCFICLSNLTNPHICPCCSKLCCYSCITKWLTENRQCPHCRSSLRIQ QLVNCRFLEDIVAQNDPCPLHNAQLQYYCVTCAHPICSECAMFSAHKMHEFEHIQKVFDS KIKDVKTKIENVQDNLKTLKHSAIMIDELMDELNRSKDERVQEIQAYTEQLLQKLEQTHT ARMGNLQMQRQRLNEKILIASAELDTISQQIKGYQKSKTIMTAQELLSRIEQVDVEPEQI GGISMNFQSEITPQYVCDSFELSNFSQSEEIVYSDHLITNGIKWRLKIYPHGNGNAKNIY ISIFLEMDSKYSEIRRYEYKIEMINQKSGQSVIREFASDFEGGECWGYNRFFRIDLLLKD GYLVNDNLLFKYYVRAPNYYTQCLDMQRYIKQLESQVSIQQTTRKIEQQSSIQKLKEEIE QYAEKIEQLEQSQPSNTSEIQESEDDHQLQQQDEESSLSSNHSDYYFDGQFQEIPISQDS LPVRRNLIQKFNQSSLVNTSQNLRSPLSEFSENLYS >CAK87417 pep:novel supercontig:GCA_000165425.1:CT868618:196721:198232:1 gene:GSPATT00021060001 transcript:CAK87417 MFQEQSFGGQDKSTIECRNLRRHGKCTVSGCPYSHSAASVKPKVLRKVFQGQGGPNSDPN QQQNNYRNNFWNQNNYNNNQNYQGRRDFQFNRLNQINNNQQDRFKQNTYTNQSNNQYQQS QPLQQYDNRQQNQPSYQGLQQQPTIGLQLLYKMNFENLRGFLRIININNNYYFGLIHNKG ITFYPYNETKGIDILSKIDFVIQFDVEDAFIQEYTQQNNRKELYCLAVVIIESSLKSVLI YPDFLNSQANFVKVSDISNTYLKYIHVNTLNSELYTFSSDGFIRVFDLQNTQNQLKYYAQ QTEVFWSIFFLNETSKGSNFLISTKNGNIFHYINQQFTKLHSLTEQTLVDVTIENDSKRV YLATKSQEMFFIYIINENNAFEGPVYTHRYPIQKVIGFKDFDQINCLLVSDNRGQLDILK EQPSNDQVKFLRQIQYKQIMGDVKKIMFFKMSNVNQVVEKMIFVQRSKDPQRQESTNFEF YRFYQIF >CAK87418 pep:novel supercontig:GCA_000165425.1:CT868618:198339:199400:1 gene:GSPATT00021061001 transcript:CAK87418 MELLRDSIKLQEENKMMKKLALLQQQVGYPLDTLMKDEFIHKLPEESFIWTIISKKYELL TGERANKEMIIQEELYKKITYEMFVDECFQAILHFHLVITDYKFNLHIIQDSIRILKQQI SLLESHNQLKFDQPNRLSISYTRHKSLKTDIFNHYQIKYRAYLNGQEQKSIYARGDELIC SFPILEESQTLTIAVFGKRKETGTEFKVLSKIELDLNEVLISFPLQSYSKETIQPCLLTL DYQDESLDAPFHGKNPIELYFSLSMPSEQRIQTMKILKLRQEEFGNQIRQEIEGRNSYIQ SIIQPFNDLVYIPGENLKIKNEVDQQRFSCSACQIF >CAK87419 pep:novel supercontig:GCA_000165425.1:CT868618:199596:200138:1 gene:GSPATT00021062001 transcript:CAK87419 MGIPLSTIFDSWFSKKEMRILMVGLDAAGKTTILYRLKLGEIVHSVPTIGFNVEKVEYKN ISFTVWDIGGQDKLRLLWRHYFTGTQGIIFVIDSSDKERLNVAKEELMRLMGDEELRDAA LLILANKFDISQVTVDQLISKLELQSMRREWFVQTTCAITGDGLYQGLDWLSKQFNKRKN >CAK87420 pep:novel supercontig:GCA_000165425.1:CT868618:200729:203447:1 gene:GSPATT00021063001 transcript:CAK87420 MKQIQEKTYKEFADIKHHVGTLQSQQLSDEELSLLYERLKYQFTNLNQQVAVTQTLKPSS EPQPIHKRQKIKRDKPLIQQQGKSIALPKILKQPSTEQRPKKNKGKLKSAHIYQANILNR ELRLNPFSDLPTILDDDLNKGVSNLIQSGLIPRDVDVGPAFKRGEELLSISQVQVQNPTE KSKYYNTGLSENLSKYKVAPQKQAAKQIADYSSSFVERQSVPREKLQQTKIVRKAKYIIE IKNGHYVPDFHYQIRNLMIWGGIMHIIKKLEKIASGQLDGDRIAQLAQSQFKVHLIELYE CYISRPIMERLYIINKKQFSADSAIVKIQATVRMFVASRKYRHQKRIVQKVIRIQKEFRL KQTYVYTINQIKKNMGQLISTLKNRQQQFLLEDLNQKRIEIHIPSYSIKEFQRLSMSHFK SREGLQLTRLFALKDPNLSIIFVCSPLQEEIMAYFYKLLEVAGINPEGRLFFIHPENINR FPQHYSLALLLYLSPKAIHQISELIKGQLSYIVPMRVGKEEFLIAEYLKTNIYSGPYELI EKYSKKSEAIELFRQLSFPVAPEYHKFVSKDDFVDKLTVLIMKNLTVQKWMFKIDIEFNG RGTAWFSLEGVKQFIEIKKFPHSIEFKVLRELVQTLLPIKTVLAFPQMFTFEEYLDNFIR NGGIVEAYPNASKVQTINIQVNLENQGTYQIMNTSTSIIIKEMSKVGCIYPQNVLNLNVD SLIQPLVEELYKQNIFGYFTLSLLSFNGAFYTKSLKFGLDEYIGATVLATAMDTDQFTYI PFVYHPGIAEQKFNDLFIKCRKEGISFDIEKKVGTLIWLSDQIEKGVLSLLCLGAPKKAV KLTTDALNFLQQFGGNIMKTNSHQKQDTFYFIDIVSRLRQLNSEAQQ >CAK87421 pep:novel supercontig:GCA_000165425.1:CT868618:203459:204101:-1 gene:GSPATT00021064001 transcript:CAK87421 MSSEINIRQLLYFKSQDSSTPFHTQRVVKTTQHKLNRFRSTGLSDFRLTTIHSQTPIVNN FRYGFNVDDHQNQNSQIHFLESCNHPTQHVNSYIAESRKNIAQLRDQANQIRLEMSSINS RHVQDLKNIEMNFRDNLNKKYRQIKEDNVLFMEEQYRLTKEYLLLMKAKMNMENKQQQLT NRVVQLEKTLQGVTLELQS >CAK87422 pep:novel supercontig:GCA_000165425.1:CT868618:204155:204777:-1 gene:GSPATT00021065001 transcript:CAK87422 MDKYGRPDPIQLSISVSNYTKAYKLPELKTVMVVYNKQILKTAQSPDATESIIDIRTPKN KVLELPKPAKIEILQGHLPYTNGCYYVGLYNAKKKKERDGNNLIQGTFLNNYPEGDDIEM WREDAQDDKTHVDLSDWEYFKGQVAQGMKQGKGELRFKNQNYFRGRFVDDGVCGSGIYYY QSHSVEGIWMNDKFMAKH >CAK87423 pep:novel supercontig:GCA_000165425.1:CT868618:204940:205351:-1 gene:GSPATT00021066001 transcript:CAK87423 MIAISYLLIYDFVSTKLTVPEVWSMLGYVSAALAKLQQQKQHYNNINPKGIFRVYTIEQL YVYKLEDPFLFHNQIMKNFCSPIFYYSIRLELFQNYTNLIIIIRYDQQREFKKLEIKMLI TLQDSSRT >CAK87424 pep:novel supercontig:GCA_000165425.1:CT868618:205480:205590:-1 gene:GSPATT00021067001 transcript:CAK87424 MGQACTSADVAAFTEDFRPPYPYRNEALKIQLNGSV >CAK87425 pep:novel supercontig:GCA_000165425.1:CT868618:205979:206869:-1 gene:GSPATT00021068001 transcript:CAK87425 MRNCIVKEEEQNVQTPVSTSKTEPYNLNKRKDKEENQSFQQAYLKAVSKFLQALHILQLA QHRSQNLGIPSYPFVLQYQYNEFLVHLGGHKIDVANTPDRNDCQEQLHMQQFVPRLNTQQ CVSIKLGIHKRKGMLNQEQKDTKNIPKNYCKAIITFASKNPALCHQILGDQLKVVKFLER ITVYKRKLMNIRTFSGLLSQSEDPHEEEFNQTFRILSRIFVKKYAINYIFNSKIVQHNWH LRYRQQIYKGIKNPKNFSHIKKL >CAK87426 pep:novel supercontig:GCA_000165425.1:CT868618:207177:208101:1 gene:GSPATT00021069001 transcript:CAK87426 MEQYSQENPLFDLLYILRGGIPSHPYLFHHIFQYFDKDQKLAIKLCRILMNYQDCNQKNQ NGFTPLQIAVIYNQIGAIRYAQKEGRFDFNDETLMQLAITYVQLEIVEILLLEEGLSVIE TNYKKLNTQSSTYLKILKRLEKIEIQKVLGEDTSEYQEIVVNEVPQFPIAKTPGKIMSDN VNECLISSAQCIQTLEDINHDNIQQLKIKKSNLCTQSSCQEHQIQKQNNNQIKEQQENRI SYQNLFRCEIVVDQLLSAIFQFKYVIKYAQLRAIIRLCDLIVSNLKQIGFLLHEFGQLD >CAK87427 pep:novel supercontig:GCA_000165425.1:CT868618:208112:208539:1 gene:GSPATT00021070001 transcript:CAK87427 MVFPNNYQFSYSNFDQTQKIDNYYKKVTKEISQIVHEYKQQLKKKQHHFDNLKALLSYKL KYDISYQGPGGDLLMKFQNSLQIYRGLFYILHKPCMNVNCTFFTLEQFELVNKLEFRNSF QQLTTNQTLQTTNQ >CAK87428 pep:novel supercontig:GCA_000165425.1:CT868618:208838:209986:-1 gene:GSPATT00021071001 transcript:CAK87428 MKPYTYQHRVSQLDDMDDHSVLSKSKMHGFYYYAHMLGIYYLLELIYFNQLGTILANSPT IQAVKRDGILMIIWAIYSFTYPYTVYFSHLYGKKMLLTLFISLPFVIFPWFTIKYQLGFI PGAFVGAMACIGFMKEVSYLKHCKKPIGLWEFFIYMITPALVFYHDYPKTKKIRLVYCFA KLFNIAYFDILGAVIIAKHIEPSIIGTSDQILQTILLLFPLTAFWIVLFFLTFENILNLL AEITYFGDREFYHDWWNATTFEEFNAKWNTVVYAFLHTHVYLQLQEWKVPRVWSKVLTFA FSALLHEIILIAALRQFTPFMTFIMLLQVPVMMALRFLKNTRIGLIYFWFSLLHGVPIIV SYYVLV >CAK87429 pep:novel supercontig:GCA_000165425.1:CT868618:210005:210514:-1 gene:GSPATT00021072001 transcript:CAK87429 MVHKEFGDIFKRFVQIPILKNTFAEHFYSAFQFLPGHALERNSNHLVLRYKVPQTIMNMN GSVHGGALATILDCATTIAILRGDKNLSRTVSIELGLSFISPAKLNDSLLVHAVCQKVGR NVAYSVCDIYEEHNMKLVTTGRHIKAVLPGTFFDSDFKKIS >CAK87430 pep:novel supercontig:GCA_000165425.1:CT868618:210553:211570:-1 gene:GSPATT00021073001 transcript:CAK87430 MQRKESKKATIPKMMARVLQQQEATDVLTRFLFIKQGQDRIRRNILGFLIADFTQLILVS GQWYVGFHQTLKEWLEDLDNRFIKAHLHILSFKNSDFLQTSFWVDSTKTKKLFRWDRTII SEVMSGFNGKCITIAFKYNHKYRSQYKFDVLPQNSKRVIWIAREQTKHNFESVTQVMNMQ PILSGDCVKIAINFYNKMTFIDPDTIEFEEPSIEQSKECICPIQSLFFDWVGIDYAKQKP KLNDYLVHPHLHLIDCRCAGVDTVAYQFVYEACEIGSFRNELLGIPIEVVQQGQEVVTEL NKVGLVSDRESKLQLRKHDQLIFYLTSGD >CAK87431 pep:novel supercontig:GCA_000165425.1:CT868618:211808:212982:1 gene:GSPATT00021074001 transcript:CAK87431 MQKTILALLIVMCTALQVQELQQANKQFDKLSQDSPVGKFLMDLAQIHAEVQGPLDDLKE TIEKFYENLQDSLSQLDGEFQSKQAIHLKVLQNYESNQQDAQIDIAQSQDQLDNVLSRNK ENIEKRLKQIQQNINDNRSNIQRDKLQRNQQKDQNVEHIHEHQQATTSIDEALSLVQGLS SGNIAFVEAPMKKTLDYIKQKVNSREEYAPLVDALISLSGTQDTKQIKELLNKLRNQIVD SMIQLTGDENDAQKMFEDRQKQLDSEFSEFQNQVNQATYDLASISARIDQEKEFTKQRQS DLDMYNSQIEMENNNFAAITGLYNEIRSVRLKELKIAEDAKNFAYSSQFRELLQSKLNK >CAK87432 pep:novel supercontig:GCA_000165425.1:CT868618:213012:213893:-1 gene:GSPATT00021075001 transcript:CAK87432 MSEVNSEEEIEEQHPTKITDTSPIKKGDFMTYSQLQKKINSVIPDEETVSYNTSAVQNSQ LTYSMSQFERSNLGKIEEVNESQHSLFSQLQQSHFIPDNKESLKKDQEIETLQQQNAKQQ KDIEELQMALQKLQVCQKVEIDELREQLDEKDKTISRLSQFEAYTKEQQKMIDHKDKQLK DLKDQYEIRTYNLQQIIDDQTESIKTLHKQISLSNNSSQIAHSPNLFEKIRVKQQNLKSQ FEELSKLYDEAICDTPQTPTSSKKQIITRTPSRSIHLIQSPQQYNTEPKPSLI >CAK87433 pep:novel supercontig:GCA_000165425.1:CT868618:213999:215389:1 gene:GSPATT00021076001 transcript:CAK87433 MSQYPQTQRQQRSVTNVNNVSFAGSQFSNQKQSEASLSPMKNQEFVKPKTQRVYKTQTMN SMPTMQGIPTMTGIPNAFTVQQNCVAAQPLCMNIIVVSKEEIEMPWRLECEYLQSLITEL QNKQQGKSVQIVEKTITDNSRVELLESQLKELRRQNESLQYELHTSKINYEKELQRINST FELRSAQVEDISQKESEFYLIRIKLEDQISQLESKIKQSEFQLRRSSDENVRLQQIINSR ESEINSLRIQITSIQSNTNNSELARIRELETQIRYLNQEIDNVNSKLINSNNENQQLRIQ IQSFDYKSDQQNNELILKLREYESRTTMLTSEIERLIYSLKQKEIELEEWKSRYQQLEMS GSSVFQEKVEYLSQEVEVWKSKFIRANHEFNRCQEEITMLQAELESFKKQRKQELTITSR VVTKQATTQNSGYKQYQQ >CAK87434 pep:novel supercontig:GCA_000165425.1:CT868618:215405:218065:-1 gene:GSPATT00021077001 transcript:CAK87434 MSSNTNTQAFQPVGKALPREIIKWIQGLDLSYSVKDPRRDLSNGFLIAEIFSRYYPGRVQ MHSFDNSQKDERRQNNYKQLELFFKKNDIVVPNHKGFAEILDNDWNALYNFLVSIYSFLT QRKVINPPLASYVNSKEFATSTQNTQTFLLKEKGIEKLQEEQKQEDQFQKEQLSEKHAPL SVSSKRTNFMRVPSKPISQNLENLNYQIEVKNISYRPVQGSLLKLKEEQQQQEKQQEKLN SNNDRQIQDSFQKTPMDENKREATSTREKQLDKSIYDILNEALSQRQQFPQFVQTVQFKQ NATALQSFIDLIDIYPDDFVNGFFQELITQKEAYINFIFKDTNEVWKFFKFTFQCIQNLP VQRVHVCIDLVNQFGARSLQKDALKTRSLFLEFFLPEICILIKQSVFFEKKQLLIQMICS FQEPMFKYQVISTMKSNLSVEHFMQCLAVFSSFEQETSELWNEMKRYGYIYFSSSSTSLS SSALAILCNAAKANSQVKIDKHVMTLAKNRWWQNRCLCVILFSEMIRAVIKTPNYQNLIK SPQQGQKFFSIENDRIISDLKAQVNHFSKGIEIASLPIDSDILMTQSLIHIVDLLGDSKI LLELFVKIILEVSQESRQWALFSQDQPDEYDFFIPSDKSFKFKLNINSQYVKQVSFSILL HLVELLNTQKLLNLPLGHFELLHWCFQNTDFKIQNMESCEQIAKVTKEYVYLGLSDPTIL LYANDLAKQLLDLQFKAEVQIENHDKIFANSIRLALKAENDQVLNNIVEFIENLVAESGQ SASDGLKNFIRSVYDELDQMNPSPLSNPKISKCFERVNDRPYQSPHPDVSDVQVQDEQQS DFQY >CAK87435 pep:novel supercontig:GCA_000165425.1:CT868618:218406:221034:-1 gene:GSPATT00021078001 transcript:CAK87435 MPINQSSSNKLQISIIISLLFQFIRVAIQYQDLKNQYINFLMINLLVQIALELLCFKKKS LFQTEIKLALILMDIILFYVESFMYGVDNVYQVYFYIEIVHKETDIKISLTNSRIWKTIR IFFFIILLLSFTYQLNLISSISHQNNQQYIVIANQKLYMLLLMWLLPQKNIIQIQKPNQS TVNGYHETPFDTFQNTTVRQTQTKINPKRTIIKDLSFISTDKQQKIQINDALSQIDYSLL FDVLSEGVIIVQFGKFDHVSISKPRIEYQNQISKKIFQKNNHDLLTLFEQLPCEIFRDES PLYPRDSQFSLQSLNQQQKKYLKNSKMNFFKYYSQDQHITLRNTEQSQSPRYRSMTSQAN TIYKCLLYVFGAKKLRRETVENAPVLVVETSFEIENTKRSIEISISIASEDLLFIIARDV IHRKNIKELLQINQSKSKTLAFVSHEFRSPLNVVINILSRLKEQLSNFDYICQNISIVLE NSNYMLNLANDLLDLAQIKADKFSLIQKTFNLTELGEECLQMFKLQAELKNIKLNVISNV KCLQIKTDRNRLKQILVNLIANALKFTQKGAISIKLVLQGLLVSAGVEDTGVGISQNNLT KLFKAFGKIKEGLSENLNEQGVGLGLLISNKLAQQLSHDNSGLKVISQDTSQAKHGSYFY LTLRIQQLHHKCPCVKPEQIYLEDEYTPCLNEIRLNNQTAQTQQQYQININREYQSISQS IENKNVVQDNQNECKHILIVDDNVFNQQVLEMQIKQFTNSQIDKAFNGTDALDQVMSKKC CESCKGYIAIFMDMEMPGLNGIQTSVQILKTYLQMKIFITSGYDENILKDQGQNIGIKEF LVKPISKQLIEKVIRDYNL >CAK87436 pep:novel supercontig:GCA_000165425.1:CT868618:222106:223097:-1 gene:GSPATT00021079001 transcript:CAK87436 MSWLFNKAQQPVQQPKLPSNFAEKVLNLELDVESNAASKEEIQELLQLYSQAVEHYSSIK SERYTVFTNKIQALLIKPYVNKMFGAEIKQESKQIQQQHVQKEQIKNNIQFIQQMDSNKQ VQQMMTAAIDEKIKKENIIADDFKMQDHRVQQRMLNRIKDPSKYNLAISKSTSLRHLKLD IESQSQQSALSDALESGQEIRSDPVLDNKYLETAAQQQFPDFIKNDLDQDEQQQPTQNTN PENEQISMPIKPSQIKDQLYQYFNDDSQPIDQQNWSLQLGDLSHPKSKKVREMVNKNTEL IKQKKELNNILPC >CAK87437 pep:novel supercontig:GCA_000165425.1:CT868618:223116:224946:-1 gene:GSPATT00021080001 transcript:CAK87437 MGASCCSYPQKQITNEIVLDVKGSLHTLSKKSVNNDQYVGLDNVPEIKEQYEDFEVIADS PQGMTPQDDQTGQQCKVFQQSESKVDTLPKYGIKKKLTVSSVGTVKLGADVFVNLKQGSI HKYYTTGEVLGQGAYGKVWKVTHKNTVGMIRAMKQLKKSSLIVEEQQRLFAEMNILKNLD HPHIVKLYELYQDQQNYYLITEYLSGGELFDRIKSMSYFSEKKAAEFIRQILLAVVYCHE QKIVHRDLKPENILFANESSNSPLKVIDFGTSRKYDTEKKMTKKLGTAYYIAPEVLKQDY NEKCDVWSCGVILYILLCGYPPFTGKTEKDIMHKVSEGKFKFDQEDWGFISEEAKNLIIK MLQVNPSQRISAKQALHDPWIDKHNLNEKVNQIVLQNLQKFQAKSIFTQAVLSYIACQMT SQQEQDELVKTFQTLDQDKNGILSKDELIEGYALVLKDKELAIKEVNKILQIVDLNQSGQ VDFSEFLMAAMNQEKLVSLERVKAAFKIFDANDDGKISKEELELMIGSIDEELWQQILTE CKAEGEITEKEFIEILLNQKL >CAK87438 pep:novel supercontig:GCA_000165425.1:CT868618:224998:225672:-1 gene:GSPATT00021081001 transcript:CAK87438 MNINIYDEASLSLLSTSNFHEKHTISSLNKQKYIKQKYFSGSELISQNEIKSQRVRPNSE MYQSGINFLKHKQEKQDYLSLRLEEEFKKKCTFQPQLSQTSRLLSARLNVSQINHSTRNI NKEGTLNVDSQTMKLEQELIECTFSPKILKTTQDIFDRRKPMYERNVEWQDQVKEKQTQS QMKRESLIKSKITTMSMPKQMDLRAAHALKKNFSMKYLRKSMIG >CAK87439 pep:novel supercontig:GCA_000165425.1:CT868618:226347:227798:1 gene:GSPATT00021082001 transcript:CAK87439 MNNYIYQIKKQKEQMTTTLPFISKNKNYVEMKAVTWQKDSHGLFDYETKSLSVKKHRVEG SCKVCREDNEIVIQEGKNKDEAHMALTSIQAQGDQYFIQPNQSSTENDNYLIVRSLKNAD GVQKGYTLQEGDLLKLGRVEYHVIEIRDAKGQIRSVKDVFQSEAKLAPPLEGGVAKQCKI CLLEEETPEDPFITPCKCNGSCAYVHFNCLKHWLDSRGYKKESGNTISYRWKKLECEVCQ ELLPQQIRFKGKVLDLASLERPNQPYIILENTQISEKDKKAQRGIYLIKGTAEDQVKLGR GHQCEIRISDISVSRLHAFIKYEKGNFVIVDNNSKFGTLVRLSTPYLICMDKIAIQVGRT VLTFVMKSFSSLNGNTHAGLAALHNGEQGRIMFQTGFQAGSNKTSSINNNQKKHDKHTGQ >CAK87440 pep:novel supercontig:GCA_000165425.1:CT868618:227946:229330:1 gene:GSPATT00021083001 transcript:CAK87440 MSLKDFKMLSKLGDGAFSSVYKVKRIEDHLEYALKKVNLNNLSDKEKQNALNEVRILASI RHQNIISYKEAFLDPTSNSLCIIMELASDGDLLQKIKKQIKANSSFKEAEIFRYAFQLLN ALKSLHEMKVMHRDIKSANVFMINNEVKLGDMNVSKVAKQGLLYTQTGTPYYASPEVWKD HPYDCKSDIWSLGCVLYEMAALKLPFQAEDMDGLFKKVVKGFYPKLPAAYSFDLQNLIRM MLQVSTALRPTAVQLLELPFFQKYKLNTPDSTAQLLNTIQFPKNKSYINIFPKPNYKFKT ESTDKVDLEQVHRKRQATLGGNASIFSNPTRLSQYEESNHQSQIPIKQERLDQIQLKSNR HSLVKLLSDGSLPRSNSKVHSNKIIQDKIRKRLPIPNLLENMSPILCKKSVRVGNDSNST MLPQIA >CAK87441 pep:novel supercontig:GCA_000165425.1:CT868618:229397:230234:1 gene:GSPATT00021084001 transcript:CAK87441 MQEIDLLRLHRETLKYLEIESIFKRLTQTFINKIYNLVKDIFAEEHKFTIQELLKLANYK EIPLKDFKLVEHYKLNSQIFKTNLSTIFSIIIDDLQNTFNKQIIIEERSILEPRLLTQID EESQICFTENCNEISQEYSKTQRDDVKTEEAPILNEESKQVQKIPLAKLLSFDKITSLSV ANSPRHSRENSLTTNTFRQSNKPIQQLMLNANNGSKKQTVTQLAKNKSLELKSIAETLIA QSKISPKGNQTIIFNNLMSKMNNLKENVTKL >CAK87442 pep:novel supercontig:GCA_000165425.1:CT868618:230310:233610:-1 gene:GSPATT00021085001 transcript:CAK87442 MIPVLLDQTTFNCFCKQIIQLNQQSMVDHIKNCPNYKQQSPFFQTFHQIQLEQMQKHHLI ALKSEFLIFIERVDSLLNPPAIRLISSSISKSFDHSNGPILQFQKQNFSQIGQKPNQAPA NTENVFQAFKQFQKLQKCEVCAKNVDADIITYFEHCFQPICRPCLMKQIEKDYKTNIVFQ CPNQLCKKQMLEQELIQILGREKHDQYSQIMLERQFNIVKCTACSESGAFEKGSSISILK DPVTNQQLQQKYVDHYLNNRFMCFNQACKTEQCKECKAIPYHLGMNCEEYKIKKSSKCCR YCDQPIHNVRVNVPEALQDICQEKECQEKAQFACNKLLPCRHPCPGFINEQICSTCLNEN CCKGDQKGDDYCNICFVEGLKNAPVIQSKCGHIFHYTCILKRLDVKWNGPRIVFKFCLCP LCNTWLEFQQSLPQDLVNKYYTLFQDVMKKSFDRLKYESRDKDEKVSKVGELFYGKPQEY AMAIYCYYQCFNCKNPYFGGAKDCQRALDEGDKQYKPEELICANCCDVPVGETCQVHGKD YIEFKCKFCCQIAVWFCWGTTHFCEDCHKRQCNGDYVSKIPREKLPKCPGKDKCPIKMDH KPNGEEQALGCVCSYLQESKSQLAKFLNEIIPIQLLVIFLLTMGEHKLIKSLTMFSSTIK ISSNNVAKVSLQDCLACSGCVTTAETILIQTQSLDEFLQAIKKHQNPAIGISPQSRASLS HVLNFTDSEMHSILHQLFQELNVKLYDMSEYMKIAINNSIQEFKQTKITPLLCSECPGWV CYAEKTLDESIINHMSKVKSPQQIFGAILKKNHDYIATIMPCYDKKLEAVRLENNEDINI VLSTREIEQYIKDYIQKSQITPQQTQLSTMAINFQEYHNISSNNYLDYIIQSSVKPNWTV KQNIRKNKDFIEITIYNEDMQLQGIYARVFGLKNIANLISQIKTKTCKYKYVEIMACPLG CLNGGGQILIQKNTEKANDLIPKLKDIMIAQKLQLENTQSNEQEMHLTQFKHIQNESNFQ W >CAK87443 pep:novel supercontig:GCA_000165425.1:CT868618:233865:236315:-1 gene:GSPATT00021086001 transcript:CAK87443 MNFQNLTGELEVFYNNHGVQLAGINFPPKVELLEQLKTKLENKIYDAGEYFKIVDDQEQE SYVLQCTKPLKAYEQVFLIDHALSFRYTELHTAIKQVDRLKNMLKYQNKKQPLFKIDKVY YHTEDFDEMALETLKDVKPKEDTFAISFFGNQIAQIEEVIHFLNNHKQIKAIWLNGNPVA EDKEQLLQNIEAQCPQVELVDLSFTSNATEWVIRYVTADFDTKKASSQEPLNYLNLSGRN VLRMKSVKQIANWFPKLRVLDIKNTKLNDLEDVNAFFSLLPNVEYLTCDLEVEDVLYELH KTNKLNAVSPYLRLVNQRDIRYESYTPAKEEQNDIQLIKQNLFKLTGNYRLLTTDQMDES AVWYLMDELGSSIQHSDTPNVSVVPFLYLPNGKIDDDAVAYSIIFPIKDIHEQPIFRDYL PGVDEAKFRSYRLNVWFDIPYDFILNGYNEYKTKLHNLKIAQPDVIAKPIEYLPKENVKV ITDLEMVTKNLTLPYVSFTLDLDEANLVFFSAGVHDDINEKYADKFINQYPHEYAIISKE KLANTIQSTYGDVPWLQETFNLQTQLPLFMGAYKTRELQHLNNLWIIKPPNMARSMDMVV TNNLDVVLRLIETGPKLAQKYIERPLTLRNRKFDLRFIVALKSIEPLSVYLYKTFWIRIS NNPYTEDVNTLSIYETHFTVMNYGHKLTQVFMQEFIEEFNKEYYPHTWEKQYESIQEMVR QLFIALRTHQPDMANYTKNRSVYGMDLMIDSNGFQPKLLEMTFSPDCTRACKYTPTFYND LFECLFFGVENQNMIQIFN >CAK87444 pep:novel supercontig:GCA_000165425.1:CT868618:236373:237113:-1 gene:GSPATT00021087001 transcript:CAK87444 MNNEFDASLMSRLFYFTMHLICWTVLIVYKQNAFMQNPFDVLQISFVILVFYSNYLFVTV GNNPGYIVSTNEEDVEMQIVEQELNKRYCKICKFNIPNRAKHCKVCKRCVAKYDHHCFWI GGCVGELNQRSFWLFLLVQTVALIMLLWFCQDGLSNYDYYEKDKKRYGQEYGAFIVIFVI MFLFLMFAGGMLIFHTYLIIAGITTYELLKKHQYKKESTNSFHSIVKNIRSTFCHGGKLL SIQEIV >CAK87445 pep:novel supercontig:GCA_000165425.1:CT868618:237252:237772:-1 gene:GSPATT00021088001 transcript:CAK87445 MLSINSKSNYKRQQNSLYRNPSKSMSQSSFDLQDILLDRRIQIAENLSKLRDYQEEREKE FRQREKEYQKAKRKVHLYQALNQRYRAQSFIEEQQQQAKLLERSIKYIPMSNKSVNESKI LTLKMNLEPLRTKAKQIKPYIDHDVMVTQKWKEL >CAK87446 pep:novel supercontig:GCA_000165425.1:CT868618:237898:239026:1 gene:GSPATT00021089001 transcript:CAK87446 MKKGLSNLIPNSLVKKYSFRPPNPPQYTFEFRDGKYQFYPIIKGVKKQIFSYYLLIDSYI LKNDQLYVPLIHISGLQNAKSEKSQSKECLLNLKSFQQETEKQPQRYRTLIIFSHANASD LGDVYFFAERISIEYGVDIIAYDYTGYGIGFGQYKISEEQTYEDLQSVLSFAINRLNYSL NQIILWGFSLGSGPATEIATRFGGLAGLILQAPIASIYNWFGEGDYGEQDIYVNYKKIQY VRSNILIIHGDSDKIVGHEQSERLYNKYLQYNARGKIQFALVKGAGHNDLQFHIEKGDNQ LGVQIHNMLRQKGVGFNEKEYTLLNLCYVKEHVPGQYMYQYNSLKKFTSSDEIQRKKADG LFFLCTCD >CAK87447 pep:novel supercontig:GCA_000165425.1:CT868618:239082:241698:1 gene:GSPATT00021090001 transcript:CAK87447 METVTFGGDNPQPRFGHTICMIAPNKIALFGGAVGDTGKYIITGDVYIGDVTQRKWKRIE ASGSVPTNRAAHQALAIELNQMIIFGGAVGGGGLADDNLFVFELRDETGTWVTVPVIGTT PGRRYGHTMVLIKPYLIVFGGNTGQEPVNDAWSFNLEKSPYSWSKLECPSEQPCVRVYHS AAHCNTGSANGMMVTFGGRTSDQSALNDAWGLRRHRDGRWDWVRAPYKNQNEIPAQRYQH STLFLGTLMLVIGGRSNQVGDTLPFEIYDTETSEWYKFQSIQRFRHSSWLVDQFLYLHGG FDSDQPNIPTEGILRLDLNKRFAQTPQLLRQLNTIRNDNTFMESFNPRAPPNSSNNNTQD QFRRTNQQAQQRNQQTQQQVRVSSANNKNIRLANQALVAMVYGPEEDITNQVKKVPIDKL QDEHRKLGAGFQDPNSQNKSQFVEQMLAPFIQNLLLPKDYQSIPPNSNLMTGIRKDSIIK LCDEVQRILEKEPIVLRLRRPIKIYGNLNGQYLDLMRFFDHFKAPYDNLYNGDIDSQDYL FLGDYIDRGTRSLEIILLLFTLKVKYGDQIHLLRGHHEDPKINKIFGFADECYLKYAEDI NDPNSIYQRINRVFQYMPLAAVIEDKIFCVHGGIGQTIRTIDEIELIQKPLQIIHDPVTY QQKIALELLWSDPCLNEDELENMPNVEHDLFQNKSIIRFGNNRINNFLQENNLNMIIRSH EPTNEGFERLNTNVITVFSCSDYGNCGNKGAILSITKRGDIIPKVIPTANLINEARWLNL EEAAQRAQGFAKYVDINNDELQYRRRPFTPSRQKKIGSQKQFK >CAK87448 pep:novel supercontig:GCA_000165425.1:CT868618:241931:242893:1 gene:GSPATT00021091001 transcript:CAK87448 MFDQQIKSLQCAALETQAYYDVYWQIPQKQAAAYNMLLDKFQSLNTHIQADFNQIQLHPQ IMEILNYFNQTQTSVIPKIKETTQVLFLSPPSEDPKQHYIKSIERLWSLLLNKNLQEDLC YFEAEKQVFQNLNNFLQCKGLKQVFLEPCTIPVLNNYPKWFSQRLIKILQSYQGGYLQIA DIDYLAELQIIFIHQNLKNKFSQLKLKNSNRSKNEPNTQSQSNGPNARDSELDIQQTQSQ QIFLSAQSKHRTKNPLVSNWFHFKTYSNLRSKDTRALIRNHKAISSSQVDLQTPFSPQKQ SPFNLKANVNRRWFEHYYQK >CAK87449 pep:novel supercontig:GCA_000165425.1:CT868618:243052:244888:1 gene:GSPATT00021092001 transcript:CAK87449 MKQEAMQEIEKFQQNYLFDITFNTKGLVKLLGQISYVYDNHDLIQSPQFQEIIKSSKDNL YQFQNIRELALLLNFCFKHQLGDENLWFATYQHLLNTQSQIQVEDLLLIFESINESNFEY LKPIFKQFENAILEEVQRNNHKITIKALQIYRKFQPDNSNFFYSIKKQIIETLPQTNTDS VLNYLRYYGLGNEPIEDRQEILNAIMIHLYKLQKFLNKKQLLQVYYTYVRTQLGSEVLYR LIEDRVALLIDEFQLNEIEQLLIIAANQKNQTIFKHAERLLKQRLLDCNPADLVQIAVLF IENNQGTPEFIDSLEQHLIQAIYTTDDITELLWALVQKQHNSRWMEKIADACKERVAKLS VKQLTSIIWMTSDYFKRLTNKQKQSSKYIDLYELIEKHLIQYFEEGEVKNRDVALVMNAY IRNIPISKDLVDLMEIYILNSTEEELQELDGWSVSQIIWGFSQIEDYLNLKEFLNFMVKV TIECIGEMKIDELFTVLRVYVHEKQETEEMIKEAIEKTNFWMDQLDLNQVYLGIHIFTNT QFTNLQGINELIKKLLDRLEFLKSIKPQVDPDEEEEIDHDKEQKVRLEVEKYKYS >CAK87450 pep:novel supercontig:GCA_000165425.1:CT868618:245656:246042:-1 gene:GSPATT00021093001 transcript:CAK87450 MIFIFKIIQLSIKQLIWNLIQRWNIIISEYFELPFSQFHSQRLSVYSLKKFQILSNFVSL LITSIQLIQRKIFSIYDSYFSAQKSNIIIFWKIIKYLYTKLTNSVSQNLTNSKYLLSFIN MLLFIQHQ >CAK87451 pep:novel supercontig:GCA_000165425.1:CT868618:246043:246972:-1 gene:GSPATT00021094001 transcript:CAK87451 MGQFLSQPITQKLHEQQSNAILYCHTASMQGWRLQMEDAHIMKVDFREDISLFAVFDGHG GAGISNYLADNFLEALVQQPSFIGEDYTQALHDCFIHLDEMIKTNVAKNTFIGSTAVVAL VVQKTLYVANLGDSRCLLMRDDETIELTKDHLPCNELARIRFAGGFVNEEGRLNGTLSVS RAFGDFEFKQEPLPANQQMVIAEPEIRKIKLSKEDKFLFLGCDGLFETMNSYKVMQFIGE RLERGMEPPLILENLLDSSLAIDTTTGYGCDNMTAMLIQLHI >CAK87452 pep:novel supercontig:GCA_000165425.1:CT868618:247542:248747:1 gene:GSPATT00021095001 transcript:CAK87452 MGNALNDIGENYDFKFIQKVQHPLFGQIDILECQIQDFPDIEQYMRVIPKLINQDYIAFI KRYIKLQNLHKHEMTSPFLIYISNNIFECPSHSLFDEIQVKRQQGTQFAESELWYLAKSL IAGYSIYLQIPELVKQTQLTLHNIFITKKGHIKLSLHTLFLINATNFKNQYIEESQYSHK ISKEIGLVLLEASCLSFEDSIKVMDMQYSIEYSNFVKDMIGDTKSLQYFQNYQMSKKISK TIYGESQLKKTATLLAQQTKSLKQSQIIETYHVKSPVKTDTNQFDQVSKIASIPEVNEQD QKKSQQLEFFSGRKSTQPIPNVQSEIYYHSKQQSLQINDQSPQMIQQQQFFDHQNVQYNH NQPVMQQLDVLSEKFNQRFWKAIDQAKRQVLIYEQRSKQIY >CAK87453 pep:novel supercontig:GCA_000165425.1:CT868618:248758:251283:-1 gene:GSPATT00021096001 transcript:CAK87453 MFLIICLYFQVTFTQYIATQIRNDKQIQQYKLHTINKNIERNGQSIKLNKILILEIRLEN DSQVDIQIYDEDRKHFRIPQQPPFNYKDVENPLPLEKYNYSVNITNDPVKIQIYRDDRAI FTISELAFSENYIEFTHYPQNKEMWGLGERNQVGFRFKQGIYTLYARDEPNIIEDGERPG KNVYSSHPVLLSMEDARKFNIMFYKSSSPMDIIYQEEKMKFITIGGIIHIKLFLGDQSPR NVIKQYHNYLGGWLLPPFWSFGFHQSRWGYNQGSELVEVVQSYQKHKIPLDTIWSDIDYM HDRQIFSVDSDRFTKQDYEILKGLGVRYIPIVDVAVGVKYGELDEGYKRGIEYDVFAYSP ESGYRFQGRVWPGESYFPDFFHPNISKFWNEMHEHLYDQVQFDGLWVDMNEPANFCDGEC QLNRNLHDHQQRFDKLNENINFAYTPGATQLSYKTLPPHLLHYGNYLHKDVHNLYGIMDS YYTYQALKELGKIQPLQITRSTFPGSGQYAQHWTGDNGASWDFLYLSLGQIFSFQIYGIP MVGADVCGFMGDTNFKLCQRWIQLGIFYPFFRNHNNDLSKSQEFYNLDHKVIQTAQKNIH FRYSLLKWFYSVFIREQNHGTIINPLFFIFPEDNLTYRDFVMDTQFIIGNELMGAPILEE SMTRKAYFPESDWYDLTTGLDYKGQQDHVLFCSYTDLTPIFIRSGYLILQNTIETINNVK SLDNHYRLIAAVSNQVAIGVFADLEDFENEEKAMQAQLIDFKLEILQDGVILNLSQCKSE IIIDEILIYGQECIFKNCISGFQKQNSIFIGPFYLGKDNLKLVLQ >CAK87454 pep:novel supercontig:GCA_000165425.1:CT868618:252811:253587:1 gene:GSPATT00021097001 transcript:CAK87454 MEDKITSIVADDRIDEILHLNATKEELIIILNYIIMDYKKFSLTDKILLQSQKLQKLALE ECIENRYVYIFLPGVKNSMDIKSQKEVRYTYEPKEGKILKEKYRNGRKTESTEVTYEQIN KMLGSFNQSTGAFLSSKPNFAESRFIAPLLSQEEVSKREKVQVKGGQIQFVQIPRKNKHP FKNYTAEEVQKHNKPGDVWTVLNGKVYDISLYLDYHPGGVEKLMLGAGKDCTKLFNQFHS WVNGHAFLEQDYIGNLKY >CAK87455 pep:novel supercontig:GCA_000165425.1:CT868618:253616:254357:-1 gene:GSPATT00021098001 transcript:CAK87455 MSLIYAVIVRGNNITLVDYESMTSNFPSLAKKLFDKLKKNTRQTCVYEQNYHFHYINEND FTYLCLADALLSKATAFAFLEEIKELFCEKFPENVRRQAVNYGIQNQFVEYLKAKMTYYN SDPENEKIVRLQKQLTDVANQMSLTLDQILDREDQIQILVHTTQTMTTFAGAMKTTATQV RKDQESRALRTKLFIAAVIVAMVLVIYLLY >CAK87456 pep:novel supercontig:GCA_000165425.1:CT868618:254381:257280:1 gene:GSPATT00021099001 transcript:CAK87456 MNIRGFKFLVEATIINVSISVQFSCKIQVILKRGSSKLETQPTYELHKGLAIINESLNFS ISASLGLDGKFEEKKTQIIVILVTEKGQKNAGMHNLNISQYLNQQQFGMRMFYKNYQNFK KPCHQKNVQIKMQKLQSTLKLNKQEKQIKNWKLQTSQLICHRFQHIRQTKYSRNTAIKYN KIILDLKKQDSELNLQIQKLKQKVNEMIEKEHHQEIVLNYEQQLQELNAKLKTVYNENTM IQAQLTAKSSVIDQQNQEVAQLKSKLSEYEFEFQEKCKSQSITLNQKNIELQNQVIELNQ QINNLNSTIQEMKNNSKEFCNQNENNQIDQLNQLIENLQNQIKDKNEHIQNLKNLTSGTI IALQQRNETLEKRLNEQKDIVEKLKNADYTIKATSSNDSSILVEELQSALSIKKQDIENL QLKYEQQLAKKEEIVQNLQQKLEESMRKELDISKTSQDSKNQVVNKSVRQIQSRTTNGQA KKSSPILTIQMGKQSKVQQMQRQIADTSSFIDDQKELPDQLLSLKRTIQSKLQSYQQAQI SLEQKVQQLQQELEMCQQKLKQEQSQQCSESQFQEQTHTSSEEIQKITSALEQKTQELLF LQQQFEQQKQSGLDLSKQLEETKSQLNTVKQNLLKSKQQLAETLQEKVLLQAKMTEQQKS IKEKNSEIQKGQELIAELEQYNIQMEETISQTLEQYKLQHQQIKQSYEKEKKWRQELQEQ IKALKEELSKFQQGIGNDVSDKQQQCQCKEQLQQNQYYIDELQQQIAELQLLKLEQGIQK NRESLIKTSVQASRRESLAYLQYDDLNKKEETNAIQKSINSNEIGLFKELEEQIHRLTNE KIKVSRDYQNELERVLMANEELEKKCTMLLFELEHSKITIGEIFNAAIEIGGTPLVDQLQ IAFGIKE >CAK87457 pep:novel supercontig:GCA_000165425.1:CT868618:257298:257652:1 gene:GSPATT00021100001 transcript:CAK87457 MNQQVVIPKSIYFKDGSYYLVINAKPNSKVSQITGISDEAVDINIAAPPKDGEANAELCD FVAQTLGVKKTAIQVNKGGKGRNKLVSIESKFKDINDFFEKLKQGL >CAK87458 pep:novel supercontig:GCA_000165425.1:CT868618:257956:258367:1 gene:GSPATT00021101001 transcript:CAK87458 MSTISREEYAKKMRLALSDNHICKPDGTVNHQYFLVKKGQYWGEEKIQYLIEQLEKIGVG NWKQMQKGLLEQTSEIELELRTCLLFKTTDIQPYMDKKFTKIEIEQIAQQNIEKAQQLSK LKYGVFVV >CAK87459 pep:novel supercontig:GCA_000165425.1:CT868618:258412:259120:-1 gene:GSPATT00021102001 transcript:CAK87459 MNQPYLHPAQQINQDSAPKETLYVTGLNDKIKLEDLKFVLYILFSQFGEVLQIVMKKTQK LRGQAFIVFQNITYATNAKSALTGMMVYDKPLIIEFAYKKSVIFDRMEGKFYYKQKQQKE LQPTLPTELVKEKKQKKLEDKLNNNPVFNQGEVNNVLLIESLPPFVTEIMLSELFRQYPG YSLIKLISARGLAFVEYQNDDQATVALKGLNNFKVTPECQLKVKYAKK >CAK87460 pep:novel supercontig:GCA_000165425.1:CT868618:259148:259932:-1 gene:GSPATT00021103001 transcript:CAK87460 MGIQCSKRKSKPSEQFEIRTSQTQASPQNQVTSKEALVYLDSSELATFMRTKPVKSFLAD LMRKKQIQVDSCDQMAAIIESKSEIILRCYNDFTEKALKIKLKVLEYYNENYLRQKQQAH VLSSKKELIAHETMQLLLETIIILNCLMDKNFDQDSELWWGEGYYEDRISVLSVPQDTSQ QNDKIVQPIQQYLNALKNAIAQTIPLQEQQRVTEQPQSIANSTAIAQVHLTKFYHDLKLQ FVRDVDEEKVDA >CAK87461 pep:novel supercontig:GCA_000165425.1:CT868618:259988:265834:-1 gene:GSPATT00021104001 transcript:CAK87461 MSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDYQLMLNAFNEHFIFKSVPQSDIEY VVDQMFYCTVPDGQFVFKQGDKASSYFLIERGQCQIIINGELKKTLKSGDAFGELAMLYN APRSASVRAVGDCAFWAIDRNTFRKVVEQQNQRSYEENREFMKKVEFFSFLTEEQRDAIS SVLITSVFKKGEIIVSEGDVANSFYIIKKGKVAHHQGRKRSILNEFWRILRRSCLVLKLS KSCYSQGSRRRSEMSLVAKKYRLLSTSILKNGALQQSPLLGKLTSIQIEKILQNIRQVHY EGRTRLLLTAGQPCTKVYIILEGEIATMPSKQQVLGKGKIFGEQFLKSQNQDSKLPETIQ VQTDESIIAEFEIKMQGVEQMIQKNENSHEQKYLNRVSVQQQKKDYSNLKLDNLICIKKL GQGQFGNVYLVRTAQDEKIICVEILLNNILERHLAQEKQVLSTINFPFLMQFYKSMKDQN YIYFLVEFIKGMELFDAIREIGLLTTVDSQFYIGSLLIWDIKPENIMVDEKGYLRMIDMG TAKFLNQKSIRTYTIIGTPHYMAPEIITGKGYTFTVDLWSIGVCLFEFMCGGVPYAEDAD DPYEIYEEIQKKSITFPPFMKDKKAKKFIEQLLLKTPEARLGGGYASLKANSWFDKFDWD KLMDKELKAPFVPKKSRMIQEKDIQTALSNGKLASKEINQIGAPYKKEKARDPNWDSNY >CAK87462 pep:novel supercontig:GCA_000165425.1:CT868618:265934:272526:-1 gene:GSPATT00021105001 transcript:CAK87462 MSTSLYHLVLFGFLVSTHCQIKVSEACKCQNLLSQNDCQQNTKCSWLNKSCQEKPEEQAI STKPESIYCKGRTQEDCSNKIGCAYYNQNCIHFSGCTSYVYTTHNECQLISTQCTSDGIQ CIKPRECFKNEQEQLCSTVISSSGSRKCVWEDKVCRDQTCNEASQLLITDDACDSFIKGC VTNGRGCVDKRGNCYYYDKNCDGMIGSDGLCEQKGDKCQSKDCANAPLTYYADQQCQSFR KGCRTTGIGCTDQALKSCNTYTGDGEQCLKYIGSSGKCEEGGVKVHQNNILQMKNCKSYS SKCKTTGIGCVAILLNCNSYTGTKNECERRIGADGRCTAQNTEESQQCKARICSDGQFST DSECGQYQFNCISNGVECTSFLISCNKYKGDAEKCNKYRGTEGKCKIGENGYCALNVCEN AEFKTNQECKSVQSYCLTNGTKCVTADTCPNTQQQVTCLASDKCQWAEQCVTNECRFFLT KGTCLGHSSNVECFWEGSGCADKKCKHAGQQYRSNKDCQLFLPLMYLQWLRMQYVGDEDT CTYYKGSNGKVPCLYYSATQKCRSKICQDNKSASTQKECDDTMEGCKFTGSQGCVNENAE CGEFYGNSQQCYALNSKCSQNLGESGKCRPLECYDNSVALEDYECNLFKSGCVTKGLGCI ASTAPCTQYSGNSIDACSKFVGNGKKCWYDQGFSGQCVDKQCTHNTDAQNDQECDKFLYG CVFNGKGCQDAVETCNTYEGDEDTCSKYRGNGLLCVRIDYCEDRKCSDVQNPLSLKECEE YLSICAFDGGKCIEKQDSCDYYYGYSQEQCQILVNVDRDQCIYGDNDQYCTNRKCKDAQN VKSQQDCTSYRKNCIFNGDGKCVEWDSCSNYSDFSEQGCQDAKDKTGKGCWSDQNRKCKD RTCLEVLNEYSNEICQAHDSSCIFTGSKCIKKLNNCSDYDPKIVSDSECKLIPGCWWSSE NKKCKIRECSDNITTPSDENCRSHLETCRFNGDKKCVDEKDKCSDYVSFNASGCKEVTNK KKEKCWYTEQSSTCADRSCSDNLQFYSAEICQEHLSTCRYNGFRCQDAKDTCIQYIGFSK EACTVVTTKTNQYCWYQGQSSICVNASCENEIQDPSPENCQKHLATCRFNGTRCISERAS CNNYLGSNQVCQGLTDASNNQCWYNIRNTSGLDNNCILKECSNLQHTYSLDICEKYIVKT VNNKQTPNCTYDGIKCITIQNYCSQYFGFSSEQCLNVTTLSGETCFQDPNNNSMQCRARI CSDNQTALNDLQCDQFLKGCVTSGRGCTDSTQPCNTFRGTQSSCLRFVGNQKKCRGQNLT TRCSVRECFHDQQSTTDIECNSYLDGCVTNGKGCISSLEPCSSYVGNLQTCSKFKGNGRL CYSDSLVDIHVCRDRQCSDNLDAVNDSDCNTFLPGCVNKGSGCIEDTKPCSSYYGTQAQC SKFKGEKGTKPCWNFASASNNTSCIDRECSHITRGTNTIECNSFLEGCVSDGFQCLTKRN CSQFYGTVKTCMLFDAIDKPCKGVDETMKQCKQLQCFDAPNNYNTDEKCNQFKPGCKTTG YGCIDNRTCEMISSSQLCKERPDCQFIQGCLNTIKVCMQITKYSQCLMNSNMKCSWDFQT KSCRDWICSDASVLLKQHEECQALNQNCTTTGNGCIEISHCNQYQNKLTCLSAISLGYSK KCVWELDHAQCVHEPFVCRDKDCKDAPSSYNEDLFCYEISPHCVTSGKGCTYKEYSCEDL LTKTKCTQDYQGKSCLWMNLTQTCVTFSQCSDVKKTTLSECQQYSEQCTSNGINCISYQK CSEYTNSTSCKKGTDGECGWVIEQLNQEPKCQIFQMCSDILGSTKENCQQYSDTCTSDGQ NCIEIGNCQSYKTKYGCNSSGIDGPCFWNESQSPPVCRLQQCVDIPLVPYMTYQYCSTFN PKLNCTTNTIYCVDKKLCSSYSEQECYEGTDGPCVFAIPLKQSSGTKQCRAKDCTDYIET TTEACSKLQSGCISNGFSCLNKLNCSEYTTETSCDSDGIDGICVFEGQKCSKMTQCEDAN NSLKACSKKSKVCHFTYEQTSNTNNDTNNTKCTKIECRNSPNCSPVLSFDESQVTVCIQK SKNECVVGLPHQLSESRCYIKSHSTYRWNPSTLKCEKCVATQVTNETPHEDSFQLLFTSI ILMLIIVAI >CAK87463 pep:novel supercontig:GCA_000165425.1:CT868618:272576:272923:-1 gene:GSPATT00021106001 transcript:CAK87463 MKEFQTQIIHYINLLLQLFEFGNSNKLSTQLNPKFSYKNLIYCFLQIYKENNNLWITQKI FCLQIYNQLNPSIKNCIGIDDIKIHYIYLALLDKQDQLILTIYIKVILNVKGRSF >CAK87464 pep:novel supercontig:GCA_000165425.1:CT868618:273260:273568:1 gene:GSPATT00021107001 transcript:CAK87464 MLDEVQIIIVFAIVDNYLCCDCIDFNSKKIKLIHYTKCRFDFSITNQEYFFSKISQQGNL YGNLQNLSNDYIISRFSSFRIIINLLKYIINGFNQSGYSNQN >CAK87465 pep:novel supercontig:GCA_000165425.1:CT868618:273719:274960:1 gene:GSPATT00021108001 transcript:CAK87465 MLGNLDVKICRTTTSQLSAQDLDETYIIQRPVGQGKYGQVFRAQNKLNKQIVALKKIKQE KEANGFPRTAMREIHLLSSIKHQNIVSFQEVVVQSKNTYLVLEYMDTDLHNLLQRRIVFS LDQVRYLMYQILEALSYLHSRNVYHRDLKPNNILYNVKGQVKICDFGMANEYSKKRPQTK RILVPQYRAPEIYLGGQYDCSVDVWSAGILFLELIVKPSPFVLAKSESQCFSKIIDLCGT PTEDVSSLPLYHELINEPKERTLRKYLHAQQNAMPQLIDLIDKMLTLNPAKRITAKEALK HQYFQTRIFVMMPVIEQDAQPPKDPPIQKKVKQEQQKPLLQGIKINKCM >CAK87466 pep:novel supercontig:GCA_000165425.1:CT868618:275909:278809:1 gene:GSPATT00021109001 transcript:CAK87466 MANNLVFEMLKYFRTVLFYEQNFVIILQMKQFGINLLTIVTLINPSFSIYQNLLLAISIC IMLFNQYLRYHQYFNIKKLAHVMIYLKWITMYAQLSQSCTQQQICAQLILLTELDLFVKT IIGIGSSINSILQPNIYGFYITIPYMIVILSVIFYDYWKHLQKNENSSTQQQKAKFINSK QLSNQFDQQSSKVQIQGNCLKSPGLEGPDQQNSPMILDMQCNEEEDVLAHMAWIHNQSIL VYNQNFEITYQNFYLGKLTNPQCSGVNQNYDYEQIFLECVIEIGSREVNELFGNSEVDLD QEDSLVLDSTVHSRYKIKGGLQKIRQMVSALFNNYQKWKFFTFTLFKIKSNELDFKNIGI KVLVTEVKSKLYTLFMFETVQKENSKGVLDEPVQFLNVFQTFLSESNNYINAIHLLLLLC SHDHEKNSGKISKEYLKQMRMSTQKLMIFLGTMKDLSLQLTNQLTFRNSTFKLTDLLDEL QLIYEDCLKIKEISIIQIIENDMIVFNDQDRTKQILKCLFEIAIKFTVASKIRIDIHQVS PNNYQFQIKDIPFREESLKSQYQTVLKNTAQLMKTNCKDFDMSNLLELQAAAILAFSLSG SLRKPLEISFDNQLQGTFTFTVESLPINGKHGNHNQQIKPKRAFETSLSMLLAQAQDSSH YKYEESKYMSFTQISKQYSLKPDVPFDLQSAHFSQISKIKQESPQIKPLGSQAQINSGGT NKVQDSLFPKSLNNQNPSKAIKSDSAINESSKPMTSALDFGESTNPNIDLQELSPKFLHS VIKFKLTNQCCSKVIIADNDYLNVQVLQILLNKYEVKSDKAFTQQQTLQLIRSKSLNPCR CKNGAYLIYFIGCLLAAISSGFDQRNQITFQIFVSRQGLHHCHGQLQRYGFEIELFQLWN RLFHYKTF >CAK87467 pep:novel supercontig:GCA_000165425.1:CT868618:278830:279871:1 gene:GSPATT00021110001 transcript:CAK87467 MYKDLDINELEKLKKEEAMLSLRKATTNIKERFGQSNQDILEEEFKQKTVGLVTREEFKR KRENIDRIYVQDLQKKQEEEEKKKLELKQKRKQEYKLKTALLSFESEQQELYDKKNYGKD SSVDTLYLPDMNREKKIEELTKRFTEEYQKNMELQRDQLIDIKFQYWDAQTFTRTLRITK KITIKEFLELARREIIRDFGFLTEFSPDDLLFVANTMILPHKLSFHDIIAYKVKNRSGKP IFSFEQRKVQAKGQEYEVEVENSTTCRIIEKFRYEKIKHIYPCSKWEVVDINKYQ >CAK87468 pep:novel supercontig:GCA_000165425.1:CT868618:279919:280256:1 gene:GSPATT00021111001 transcript:CAK87468 MSTEQQQTKLHRLQNYYHQFEKGEITGTQLKSQLQTNLKIRWNPNLENMIHQVDPSYTDF VRSVNKTNKYVPKQTTTQLTEQINYPKKIRTEHIGHADLLKWD >CAK87469 pep:novel supercontig:GCA_000165425.1:CT868618:280383:281199:1 gene:GSPATT00021112001 transcript:CAK87469 MKNHYIPKSSERYGKLVLPIRHSLPVGLFSSQNSLFASIISVKNREENSKSQKKKNSQTH FEASTQRAVTPNSQNKCIPFTTKYHLSSQPQKQKKKSNLINKESIQSKISNLICQNKSAD FNNMSSQCRDGDEQEITKIFNNLKCISQQINAIKANQLSQQILSQWQKVMTSNCEKLVEL NKSKKKSEMECPQLIKSNSSSSQINDASGLNLEKLLAEERRNRLLVEEQTSKIISSQESE IKSYIEKIKQLEQRLIEKNSWQT >CAK87470 pep:novel supercontig:GCA_000165425.1:CT868618:281854:282405:1 gene:GSPATT00021113001 transcript:CAK87470 MNQLELKAVHSLLKLAREEETITQRDWEPQSPMHLDQISPQPKTLNYNRRKVRVIPNLQG RQRSSVEMNYDCQQHSELPQKENSLQQIIEEYMKQIRQTQRQIESIHKQKFDLKSGWMNF SSIILENKQKLTQKHKRSSLELQLKENNQQKVQQQQSFKKTSLQKVLNNESFFLPKLRST YLK >CAK87471 pep:novel supercontig:GCA_000165425.1:CT868618:282429:282731:-1 gene:GSPATT00021114001 transcript:CAK87471 MQQEEKGRFEIKKWYPVAFWSWSLKVEHCAICKNHIMERCIECEGKDQKEVCITQQGKCG HAYHEHCIRQWLKTKNTCPLDNKQWEEEKKTN >CAK87472 pep:novel supercontig:GCA_000165425.1:CT868618:282783:284211:-1 gene:GSPATT00021115001 transcript:CAK87472 MKNLMFKSIAKFSQVKNSQYFVQLENQYGCHNYHPLPVVISKGKGIYVWDQEGNKYMDFL AAYSAVNQGHCHDKIYQELIQQASQLTLTSRAFYNNKLGEAEKYITQLFKYDKVLFMNSG VEAGESAVKFARRWAYNVKKVPENQARVLFANGNFWGRTIAACGSSDDPERYNKFGPFGG LNFDLVDYNNVEAIESKFKANPNYAAFFFEAIQGENGVIIPHSNYLKQVRSLCDKYNVLM IVDEIQTGLGRTGKMLAVEYENVRPDMVLLGKALSGGFYPISAVLADDQIMLQIKPGEHG STYGGNPLAASLCIKALEVLQEEKMIENANNLGKVMEKRLVALKKYNNVTQIRSRGLMGA IQFQEGKGDVAWDFCLRLAKEGLLCKPTHKTIMRLTPPLIINEQELNSAFDTIEKVLNTL >CAK87473 pep:novel supercontig:GCA_000165425.1:CT868618:284271:286233:-1 gene:GSPATT00021116001 transcript:CAK87473 MLNNSLVSHQFQNPLIQYPLPVPNPTQAISARQKIHVANLPLNVTTQQLQQTFQTYGNIL DIRIIRKTPTGLPLHISCYAFIAFADNDAADKAIQDGRIGDWTVKPQIDKQAFTKCKSRS RSRSQEKNKQVLTNGPITPLEPSPRIQTQLFVRELFVSGISKNYDELKIRQIFSQFGPIE RVDLYPNKHNIFNSYIKFFTIDQAISAYEEMDSIQQQFSTQIKIYFSDPIKRHNIVGNNL SNEQHSKLSSLLFIFFPPNLNKKVDHAFLFEICQNHQCQPLQWNHIQQDPNYKSYTLLQF RDTKQAIQIRNYLQQHLVDLLGDSKCEVGIVSLPTKLIQQQQQQPPQQYQPIQMIPQQPL PNNMMMIPQVTQPFQCNYQPLFIPQQVMFQQPKQQQFMMPKQDRRMYHQFVDPTQFNLPQ QIQPQDQDMKIKLNTNLNGNQEILDGFLNFDTNPQQQQRQDWDTFWSGFMFRSKSHKVGV DAKCQESEAPITMSPQIQVNYKGNFTDAKKLANEAFKFILCPSDATQNTVFQEYIDYFSE KNKIGVAYVGNSHLYLLPPNEITNSILPIQGLEILALYIEDKKKFINSNQDHVL >CAK87474 pep:novel supercontig:GCA_000165425.1:CT868618:286404:290038:1 gene:GSPATT00021117001 transcript:CAK87474 MNNNDEIIQKLDVIVANYLNQKDHNGQLLTEKYSETYIYSLVQKHLFDYEAIFTASQKSV IDVFDFITSLLKFTSEPIEDTLFIIVQAIDFFKAICEEYQTYTIKFSDLSSYLCKYNPNL DEKDVPLQNRTITYHKYPPLKQFNKPQSRQVRSIDLEAPTILGTLGHYIKRINKDKIYID SQKNQNSSILRMQYQESELKILILHQYSDFISIYNPDCTLQSRLRPKAQQLRNIIHDFNW SEEQQRIGIVFKDAWICFMDQSDQFELEKYFTTKVDQHRIYYVQNKWMTVGVDSKISIWN LETENSCVISHDQIKNVVNIIEITYLQLVCIACQDKLTMWDLWEYNKPKLLFKLQVNHSR LNNIVFFQEYHLIITSGFDTKVNLYQLHKKYNDGDYVGQLEGHTAIVTAIQCVEKSPIVI TTDDRLIVKLWDIRIMKCIQSFDLEMRQSINYITIMQNLSSVCFATNRLIVMAFEGHNKN LSQPKPQKQRTLHLFPKQVELDAIKKQLIVSTNRDIRIINLSNGQTDTIIKAMIGQTDDM INQFKPIQQHKKIVLGTTKGLIKIFDTYSGDLIKEYQNNSNKITHLSIDYQNRIISTSSL DGQLHIYKENNLNFESLRKAENVHNGIDYCYVSLFHDLIITAGVDIVCLWNLEFTKPVST IRTESAPKGVHILDGQRIIIIGCKTNTYFLQFDKSEEQYFYQQLGFIPQSCCAFTDKNGT VLLGSRRGLICKLSFTINKCQKAQFHPFRQNWEDYSIGVNNHKVTRFVVNTTHELKLDSQ IEAHKKRLSHIDFISLEGDNVIKEGQQKVITTSKDGFLKIFDYPSFDLMCALNVNHVLPL KWSITIDEHEIMKFKVAFALKVIHFIRSQPNLTISHLRMLEPNSIFKNLLGQKKFFKQNT NENKVQLLQDFYEPRDLVFQKVKGFYKNELQGFSLKQIEEKKKALLQAKMFEKDYNEQNF QSEQKQNEDIGNKEFKSWQQFLHPNFRKNGVQGEIEQIERLHMVHQFEKRLDQVLTKEDD TNIKPNVSGRRYSKTKQEIQNKSANKSSRLRSTLPFFQYASDRKLASIPASTDTSQRSTH VGYDTMREEYSKKRIQRVQFLSQNIGQLISDLDQNKKKQGLEIGENTMRKTSGQVFNQIV NKLNEKLRDSKFKKYSRSMCKQDLEGILIDAHLDSMHSKQSLRKLP >CAK87475 pep:novel supercontig:GCA_000165425.1:CT868618:290172:291789:1 gene:GSPATT00021118001 transcript:CAK87475 MGQTCSCQQKIDEDQYLEDSQHQANQQKQTELSPNADKIEGEEQEQEKEQQTVDIDPIQL KSYEIEDNCPQGLQPLSFQAQQEFIDQFPAIVKKQLQKLPKLEIRVSLSPNYKRIPLIDT PYQLQSGDVYVGQWAEGKPFGLGKIYYLDHQVYEGQVIDGVPDGEGRKIFKDGSYYSGQF KIGEISGKGKFTRLDGFKYEGELLNGLPDGNGIETWPDETTYQGMYKLGKKHGKGTFTWG NRKNKSTGKLETYTGQFNNDLFYGSGRYEWSDGRIYDGEWVDGKMEGEGQFIWPDKRKYT GHYLKDFKSGYGELEWPDGKKLAGQWRMGKLNGIVTLTIKGKVKEGQSEPEPDKVFISEW EDGKRMRWVDNLNHSGIHSNISFIRNFDEHQFQQNTSQMLHTQIKQDDMQSYQQNSVLNN SKNQQQQTIFQQNSYTKQQQQQQQQQQQYQQQKQQQQNQNNQSIKQNQYEVASYIIEENQ FQQYSETKKQHQSQSIIGKENNQQNQKEKIGI >CAK87476 pep:novel supercontig:GCA_000165425.1:CT868618:291991:293063:1 gene:GSPATT00021119001 transcript:CAK87476 MCKLLFFQLDFQVFSLNHYLFKFSFSCFFQMAVMNWIYGHLILNKTTIQKISSHHLQLNL SIKFKHQQEYKYFVELNSSAKSIFLWFNFKNESTLVYCLIFLNLLLMELIIISPLQNQPP LQYYDQILNFKYSQVSDFNLMFSLTTGSKLICIKPYLQKYSTRAQNFISPNLKCILCFYQ LYLSSYYSFQIICAKNIQFWRSQRVSIIFDSHHFQSNTALFFFSYSFYCLRIAVPTGVKF SFNSVSIKQVSVDPLCQTLNSYFIRKPCYQAFSPNNLPGIFFNIFSPGDPKKDYIQSIQF NVTLLCRIVFYKIFEQNSFHSLPHAIQDTSLHCCQKMYEYEYKQKIYQ >CAK87477 pep:novel supercontig:GCA_000165425.1:CT868618:293400:294574:1 gene:GSPATT00021120001 transcript:CAK87477 MPPKKEERKPLEPIFQEIPLFYEYIDYSNEQMEQLNEYLNYFKPELSAIMKNNIFENMEL LSQTIRIAIHPSFIKPNQIIDLNDFDENTKFRNPEDLDGDQVPQMIQINSIKIDLYTLKL LDYCAGISGLTTIKLSNNSLTSRQYQQLAAIINNPENKIKKLFIDWQEVDESFLQQVQQV EFLTLRSCQLTNKQIQSLTSDVQNLKCLDLYDNRLSREALNLIGKMLGQNGSMEFLGLAK NGIQSFDDLQGITQNIGRFQMSQEEYEEYKTKEKERDAIIERNKKVKKKGTEEPVPFLES IQQIDNNWYLIKNSKLCLINLSMNQIDDQSRDAIEKFLLQTGENFQLVLMNNRFDDQKAL QKTKKKFGKKLVL >CAK87478 pep:novel supercontig:GCA_000165425.1:CT868618:294594:295903:1 gene:GSPATT00021121001 transcript:CAK87478 MKSTKARQVTNTSQIETTLNNQSISSRKVPKLPYLYIKHNQVLSTSRKLLIDENSVLIQL NGQKKELLKLIYDRQLDEAIDTTKKLVQQCIAYDLNIFGKSLHILADMYISNREFANGLY IYNVLRVLGDVQNNQALKIEALIQMGDLCKLQQQYQLSKIFLKKALQYVWYLNDTENEAT IYDYFGVLYYVQGELRLAKEYHDRAMNFIKESNDSAARKHGIEYVKTYIKRINYQSQVMN NMVLSKLGLIQGNETEIKLNTLLDFNILTEQILQDYEFQVEMSTPNRPQRCITKNGVLQN DVDMFENVKELHERQKKRKFFEKKIPVMHLTRSVFKQTIEEQLEQRMKTDKQKNSVEEYK KQLQKFHTYKNFPEKLEKVNINHLSPNRNLIGFKYSFKPMRHSLLDLFGEIEQYTYLHSS >CAK87479 pep:novel supercontig:GCA_000165425.1:CT868618:296111:296879:1 gene:GSPATT00021122001 transcript:CAK87479 MRRLIVNQTRSKTVAARPSANLDRINKWLQTLTAKANTLESRFYTSQLSSLFNFYSKPTT GAAQEIDWNYWKEQITTEGLVDKVQKGHETLLNKEFDVERICHQVVSSQSKELEDLENEL TFHSAVWSNYYLDQHLALLDLEQYGDRNSYVIHEDYDFYPGLEADLEELTETHNWIPGSK DDINLKGYMVSQFQWGKKIISFYRHPCDDFKAARGTKNILGR >CAK87480 pep:novel supercontig:GCA_000165425.1:CT868618:297276:298010:-1 gene:GSPATT00021123001 transcript:CAK87480 MNMKRKQIKLESEKKNLQEEYSNDENSFNESNDEELKSSGKSKARHDNSLSVLTKKFVEL IQNSNDLTIDLNMAVNVLGVQKRRMYDITNVLEGIGFIEKISKNKIKWVGATDDPHLEKE LQQIKQELEQLQNEEKTYDFYIEHLQKNLQEKIQTEPDIAKYTYLTQEDFKELLKTQQID HKGETLFIITAPKGTLVETVLDDNPEFPYQVYLNSSKVQCQHNEIQVYICQDDNYPIEYD KNEK >CAK87481 pep:novel supercontig:GCA_000165425.1:CT868618:298589:299308:1 gene:GSPATT00021124001 transcript:CAK87481 MQMQTSDAQFELIYQNLCKDDRFCFDLRMQFEKILKAEQERYRAYSDLANFLSGLAKEPF QETISIIEMLEELAKSIQEVNLKYMEKYNDDQDKGLIKLLKSKIIPSLVDGQRSVEVQKK ALNEYKEKAIRLKKLEQKKEEYMMKNDDKLLNIEKQVQEARREKLEKGQTFNFTYQNYVE DKNDELKGLFKHFFNRLLVISTAGLQHYSLAINKVHTTNEIKEIEFQLGELGIIKRKKK >CAK87482 pep:novel supercontig:GCA_000165425.1:CT868618:299507:302326:1 gene:GSPATT00021125001 transcript:CAK87482 MEIDQYSARAVNEDQALMSKRGDQEQRKAEFFQRCLQDRKVHTDLSQSEKFIFGDKAIYM GRFKLEQRLQDIDEVFLPFDNIDLDEKERNEKMKELSKSSQSENQRIDISKRNKRIENFW LIISPDSSIKILWDFFCMILILYEIITIPIRISFDIEVSAEFGYVITAAFIFDILLTFNT AVYLNGNINYSYKAIALDYFKLWFWIDVVASFPYDMVFNTVLTGEAEDEATQSSQNLKKS TQILRVLKFFRFVKVIRLLRLAKLKAIMDKIEEYFSDSSIIQTIGSFLKLCAFVLFWSHW LGCIFHFIGQSEDTTYNWLSIYGLYDEPWEIRYVNSVYWAVTTMITVGYGDLSPQTPLER LFGVFFLLIACGVFSFTMNTIGNTMQQLSQKQDQYQKRISEINIYMAKVKIPKQLQNKVR RYLQYIWDSHRSVNLESICQNLSLSLKYEFTIQVNGTILASYKLLCETFSRKLLIELTQI LKEQTIQPDEYVFIEDEPKNEQILYFIQEGQINIVLIKTRQIVARLSNKQIFGEISFFGN IGRTASAKSNGFTDVFVLKRQDFVALLDKFPEDRERFNYINEEVNKRQLQVLNIHCYACD LPGHVIRDCPSLHFVIDLYVYQKTKIRCIKAIMKDFVRKDRMNYNALKNREDLEKEAKRL QMNIPTHKFLMEECNVDDQLSQAVEDESIKKGFKLRSKFKEDRNRRRNWEQTFKKSAQKI QQIQIKQSEIFSITKSLSQALLSSHASGETSLNHIAINQFKSPNESSEDSDSGTIQQKME DIMADYQIFKQEVDKYNGSQQLEIKKNFVLLNEFECGQDFNIFYPHNNLSVVLKEFHKYQ LGNSVKPKIDTEYDTNVFQEYMEYYVIDIEDVNRFKLEVKPSQIKQFLPFTELLQSSYNR QKKKNFGLLKHPKRSFKQVVRAIILARKFK >CAK87483 pep:novel supercontig:GCA_000165425.1:CT868618:302672:303688:1 gene:GSPATT00021126001 transcript:CAK87483 MSLLLLNASNRIAQGFLKVAAESGKYEKIICADIFPTYFTVQRLLKFKSSLSANIELFKV GDRQDLHDVIKQANNVLYVSHDYYQTTASKKNLLVATLDLVKNRNYKTVAYVAPVEHDHQ EEIDEWKHLEVEGRRFIPQLVGIRSDITFGPNSTFTNKLAQRIYNGESIYFQNTGQSCAP IFTGDLEQIVAQVLAGSHAGKLLLAKGHKHIDFKSIIHLIEDSLGSAYKAKLNQSFIEKV IHPTNNCIIGQQLYCPSYINLTKLIANYKALENTGYDQVVGDKLVDIEEYHKNNKTVIDQ SLKADYQLSYLVG >CAK87484 pep:novel supercontig:GCA_000165425.1:CT868618:304386:305809:1 gene:GSPATT00021127001 transcript:CAK87484 MKQEISKTSFQMQCQRKHFIKDKQYHLLMEDDRIKISETVDFQYSKYLLDLNFESLIYWK TDQDQLVAFGIMYNKTLKWFHADSSDLQHLYKNLCGRVLFGNISSIYENKEILGSGASSK VYRVLNKLTHCLYASKCIHKERYVNIQLIQNRMIQEIDLMRRLDHETIVKMIDIFEGEKS FYIILELLQGDSLHTFFKKHSLTLTQIRQIISRCLQALCYLDLNNIIHRDLKLENVVLKE QGKVESVRIIDFGLAIYSSNNQRQLCGTPGYIAPEMFIEKYPYTTKVDVFSLGAIFYKLL CKKPLFHGNTSEEILENNKKFLWNNHLKNCSDETIDLIKQMLQRDPNKRISAYQALQHPF FGERFIEQGIAEESSHEIVKTFPLIKPMQIINSNDNIPEIKIQAIRGGQQSFDQSLGSNY FPSFDEGYQKPKNGSDHIIE >CAK87485 pep:novel supercontig:GCA_000165425.1:CT868618:305816:306529:-1 gene:GSPATT00021128001 transcript:CAK87485 MQTYHLLAQLRIEKPTQKSKFLKILQDHQIIDCSKSQIDLAWEHCLHKEYQQVVQDLLCK KVRSISLKPGKIQQKLRTLVQTFDKIDELRLINGIQKQQVQRRICSDKEILKQITELRLD AERKLKDFINETAQDQNFTQVFHQKVIDFQEERNKLNEVQGKEGSQRAKERLQDRQKIKS ARLNQSIQFILMNDDNKDRAQKICNKLLDNQIPYYKSQLSDKQQTRIYNIMNTKFVF >CAK87486 pep:novel supercontig:GCA_000165425.1:CT868618:306795:307535:-1 gene:GSPATT00021129001 transcript:CAK87486 MLSNNYIHSFKNNISEYFNDQEDSNLYLPRFKLVKEFSKDVKNISSFVPFIRERDQMNRL VRINSEKQRYHTDHSRSPTNQHHTPDGHWHFNKHYILPEKRKKPNLKFNYQIRVEPKIEQ PSQRIDSKPYLIEETIKPMQLKQKIQFFQRNNLKAKTKKLLNLNNQNQSTDEPKKIQIQV PTDVLQPYINHEMEQMKQDLFFKVDMDYHFPSDLTDKLIQQKQQLYQLISLDILRNQKED QKNGVK >CAK87487 pep:novel supercontig:GCA_000165425.1:CT868618:308247:308532:1 gene:GSPATT00021130001 transcript:CAK87487 MSRGTNLFVAFSISGIVWIVTLFLSTGYVNEVAKSMPTYALILYFSYALFKVGGDLARLK DYPEEAQSLQKEVEEAKRFYKEKGLKL >CAK87488 pep:novel supercontig:GCA_000165425.1:CT868618:308569:309266:1 gene:GSPATT00021131001 transcript:CAK87488 MDIYHLRLENYEPKQSFYVMNKILKLLIALQMILVFFRIFVRNQEPFFLSDCLNACMMML TYCQKNYCLALITMFYIFSLIYLNAIFFLQFVEYKLPFFIDYTKNFTLMLIFSTLLYVFE SIMLYQVYKEFKGIIYDINQEYPESDQQDQLHHIAELLSQNQEQQVQQINQEQQQQAEQE IENQSIQEPNIAAGSLQRRVHNQGSRTQQELQELQS >CAK87489 pep:novel supercontig:GCA_000165425.1:CT868618:309410:312987:1 gene:GSPATT00021132001 transcript:CAK87489 MQQESRKIFINRRDQNILFPSNAIKTSKYNMLNFFPKAILLQFMRIANIYFLVTAVLQSM PLLSPLAPFSAISPLVFVLAVSLFREMLEDYRKHRNDDMINEQVALLYNNYVFQKIQWKE IRVGDIVQVLEDFTIPADLCILRTSDENGQCFLETSNLDGERNLKTKYAIAEIQEQMIHG KFSDLAGELQCDKPNNRIHKFQGMLQVDLKQYPISNNNILLRGTTIKNTKWVVGLVVYTG HDCKIIKSQGKMRYKTTHIERALNIIVVVILILQAGACIALSFFTAYNYNPLNFEGKPQF IYIYPDQDKNGPVVTAVISYFSNFLLLNSMVPISLIISLETLKYLQTTWMEFDDQMQSEN QPFRVLNTMIHEELGKIEYVFTDKTGTLTSNNMEFRQCCIKGIAYSNGDLLEIFKSDNIM NDLELDKYINFFLCIIICHNVIVDEKLNEFQGSSPDEVALVKAAFNHGFKFLKRTNNSIF IKVREQLLVYEYICEFEFTSDRKRMSMVVKDMQTEQLLLFCKGADNIIWRRLDMRKHQEQ ELQMSQVSLKKYSKEGLRTLCLTYKQLDEIYFQDWQKQYRALQNEVALDPEAANKLKEHE SILEHELMLLGITALEDKLQEDVPEVIKSLHEAGIKVWMLTGDKMETAENIGHLCHLIDE STKCFRVNQEDQESILMRFKKISRSIQTYEKITKKTNRVKKNQLLQQQLQWLREQSSINS QIRSVHKMKINQMSVKSEGSENHSAKEIKRTFALIVEGDSIYYLLHSEKIQEEFLKIIPK CRTVICCRSTPNQKAEIVGLVKKNLKSITLAIGDGGNDVSMIQESHIGVGILGKEGNQAA MNSDYFFCQFKHLWRLLFIHGRWNLYRTSYFVNYFFFKNILFTFQQFYFAFFNAFSSQSF YEDGYLLNFNTLITAVAPVYYGVFDQDLDVQDSFIKSQLPRVYSEFKYHKLFGRRAFAKW YVSGLLCSALVFFVTKQIYLIQVNSESGRVDGLWQLSISSYWSSVFIVFMVIISDSNQFT WVTFLAYGPLSILAFFPVFTLAWNEFQSETKHYAFDWLGNAKFFIVITLIVGTVFIFKRV VNIWQQLEYPTVIDKVRKEKQELDEIVEQSLPPGSSRQELSELEFPISIENQVQGVYNLS HNMGQQRDM >CAK87490 pep:novel supercontig:GCA_000165425.1:CT868618:313017:315598:1 gene:GSPATT00021133001 transcript:CAK87490 MIRPRYESQKDHPLQTDVACFLMVIEVQRLLDENTQLKVEMQEILSRQLDRANYEQQIKD LMERLRQLQGLVADLTKENKQLKQRQADQDAELADLKQFVEENNLEEKQEQQEAAAKELD DLKDQLERDQKDRAFYEGLLADKDDLIAELRRQLKDADEKFNNYRREKEQIIKEKDYDIK NKEREIKDLLRRLAEFEAKLQGKRPDEIQRDMDRLKKELADKDKEIDKLKKKLGDLEAQL ALLKQQLQDAKDKLKDALSQLAEAKNQANQAAKDNDAKNQRRIRELEQLVEQLKAEIDRL NALIDKLNQDVASGIEREKQLNDNLQKQLSDNGSVSAARQNRQAKQAEQAQQQLTQASQK LKDTEKDNNELKKKSNELDRQLEEAKKLIKQLQDEIAALKEKLLLAQTENDDLRNQLNDL QDQLTEAQLDKDYLQKSLKDQEDELNRVNDQIQDLNNEKEQAQAAALEAKSQLQNLEDEK AQEDADKEKDQDRLNELEDKIADLEDQIEDLEKTRNRLLNQIQDLIDKLHDERELCEYYH KLCSDQEHQNKLLQDQENKLKEQVQKLNNDIEQMEEDHDEAQKRLIELASEQEALKELAA SNQDNVIDKEAYDNLLNQLDEKNKEIEDLNELLRRYEQQFKMLRAELARVNLAQLKDKKT KQDTEALLTKIMIMQAENDRLQQAAKQLSSQVAQPEGLKKGGSQINDTAQGKLESLQKEN LKLEDQVSEYENKIALLTMELKRLKDSKPEILPIKSNGSDEQQYQLQVQQLQQQVQQLQN GGLLQSNGQPLSKEDRRLSDLLCLVTIMAAEIENLRTLVASH >CAK87491 pep:novel supercontig:GCA_000165425.1:CT868618:315609:316825:-1 gene:GSPATT00021134001 transcript:CAK87491 MQALYCEDKEHQDNPLNLFCLEVDCQNKGLICSFCLLKSHQAHVKNVFPLKTIVQSLNKA NNPKNQQELHNKGNEIFGKHHNIKQTFRQNLIEIKEILNKLEKEIEKQIKLLDLEADLFV GDAFEKSISMLNMEKLTKGQIEQAIEKIEPLLKTCDGQLWILRDTEVNQQAQKLIKTAEV IERESKYWLEKCLTDLKKLYETCQNPIKKQVKILSSQNRYQLTYEQWNLKETDAICLRSK KKHDIWLSGVGLYEITSWPEKELVFQVQIFEGSNVNSKKIIYQDNFVIKNDMEFVNHIGK IYFTKAIKIEYDKPYTIALTPNESCLSYYGANGKFETDEFLFQEPTFSQEFSDNSTTINQ GVVPQFFYEE >CAK87492 pep:novel supercontig:GCA_000165425.1:CT868618:316834:318584:1 gene:GSPATT00021135001 transcript:CAK87492 MLKLFNKEKKKAPQIQNVLTVEEFFKRDEYEINEEFWKMNATQLEETCKKQEKYIKKLKT LYVAHLDYLEQLLIKHEEEKKKLRTEIEEWQIKNQSNFELKQQIEAQYKQMYEQFSTQSL QQKQPQIMEEHKQEPQNVDLLDLVEPKEEEIERLRLQCIKVCEEMRRLQEDNDDLSTALE VEKQHKEQLRQQFEQQKGQLQQEISELQIKIKNIQDEGQLNTQKLEDRIRNQRDLELNAS DLNTKIHELDSKLLQEKIKVDSKNKDCIRLEDQVNELKSQIEQMSNQINIQKSKLQLLES KRQKDKVTINELKNNITLSQNNIELIQFQHKNEIQNLNLQFNELQLKSNLYEQLKVQYDQ LQNQLMDKNVKVQQLFHQISDMEQQIEQKNKQQQSRDEKQTQKEVERENLIQHLTLKREN ARVEIEQLNDKIISLMKLVDSIKNKASQNNDIQQIIKHNSDILNKSEVLQKSNRRVQLFP TLHKCLTELRKLEDKCYKLYIQTMISDFQNKDSNIQIERYLNDIGRRSQDILDLLDEMGL K >CAK87493 pep:novel supercontig:GCA_000165425.1:CT868618:318599:318977:1 gene:GSPATT00021136001 transcript:CAK87493 MKLVRFLMKLKNEQVIVELKNGTVVLGTITGVDVRMNTHLSKVKLTLKGKNPVGLDQLTI RGNNIRYFHLSENLQIDNLLVDESISKSKKVKAGMEKNDPNFKRKKKNKVTRLPRR >CAK87494 pep:novel supercontig:GCA_000165425.1:CT868618:319102:322610:1 gene:GSPATT00021137001 transcript:CAK87494 MFQEVLSYEQNLSASQDVTRYDPQQMIPKQALMKIVSAAQDRRFAEEIDELEKLQGISSI ESGLKTNFTFGLKGDDFEQRNLQYGDNLKHFTLPNTYMQLLFQALEDCMIRILLGASIVS IVIGVFTVDDDHRSFAWIEGFAIFMAVLISCNVTAINDYWKQSQFQNLRQMEEMRRTVVV WRDGCRMDLSYSLVMVGDIIQICEGMEIPADCIVIEAADLTVDESEMTGETIPIKKDTYD NCIKQRNKLKNRKSRLSKYDVPSPVMLGGTKVLSGEGKMVVAVVGESSSIGKIYYQLTTQ EEEPTPLQLQLEEFALQIRQFGLISAGLILFVFLMRFGIDRIKEGSFEKEHIRELVNFFI ISITVIIVAIPECLPLIVTLNLAYSTKRMLQDNNLVRKLAACETMGRVDMVLTCKTGILT PNKMSVVQLWNEELMDIDAYKERLNLSTYLPAHMHELFIQSAIVNGTPVIRGEGQGNKTE VAMLLFAEQFGINYEKERNTHLATKKIPFSSRRKRMSTIIGDKRLVIKGAGEIILEGCNK LHSKSKGIIPIDSSIRTSIELAIQQMASQGLSTIALAYKDIKGNQDLEKINENGVYQIET VDLTLIAIVGIKDILRAEVPLGIAACKTAGIKVRMITGDNKLTALAIAKECGILIDENQS LVLEGADFLNRIGGLVCKQCQTSKCDCPRDQKSAYQLDKQIRVDTIKYGAEFDKIYPFLD VLARSRSEDKYALLVGLQERGYVVAVTGDGTSDVPILKKADVGFVMGIGGTEVARQSASI VLLDDNFNSILKAALWGRNIYDSIKKFLQFQLTVNIAISVLTLLSVIVIKQAVLEPIQML WINLIMNTFAQLALVTESPTPELLKRNPQNINEDMISPKMFKNILGQAIYQMVVIMVLIF YGQTFIPEFKGEEDESIVFKGKLQYKYSNTYFDETNNLHICPNYTDYCNLISFNTDYYID GSENYQSFYKETYIPSRQFTVIFNTFVIMQLFNFINARRVRNEINIFKGILSNTFFLTTV FGILALQLIIVTFGGIGFHCYSFYGLNIEQWLICLTFGVGSLVVRLILRVIPDPKNRSLT RPDQMNNSQNLTFPTVTMHDYKYQVQSLSLESESENENLNNPIQEYQS >CAK87495 pep:novel supercontig:GCA_000165425.1:CT868618:322680:323899:1 gene:GSPATT00021138001 transcript:CAK87495 MMQEGNERKISTITKNDNVLDLVKIKVRLDEHFYIFSRFMISRMLTLSRIKKLDAIQIAK DIKKQLIDRNSLEINSNELEAIIFQTMIKFGYQNNIQKYQMVSNFYRHRTPMIIIIFGAP SIGKSLLANNLAERLNISNVLQTDIVEMVMRSINPEQFNYDGNEDFITKFKKNCRLIRRG VSTDISKCLSEGKAVIIEGSAAMPEYYLEAIDQQDPEDDQQQLQLITSFTQNEIKLKKPQ RKDLLLFNQQKKLNTQFKIIFPQPDQDLEDDQQIQKHLKLCKDLDKIDQSHSMILGFLPI LSRNDHLYNIENNTSKKIPKDQIEEKLNQFQSIQNELLQQRSKCILLPINLHNLEETLDT MHDIILQKILDQSS >CAK87496 pep:novel supercontig:GCA_000165425.1:CT868618:324491:325885:-1 gene:GSPATT00021139001 transcript:CAK87496 MANCKIICEIPNKEIIYLDCEYQNIKEELQKKKNNLNQDSIILIDISETVYQATKINNNL MRDQMYFKVYDANQLQGELKEMGKIKIEQEKLINQENTLLQSRSKEFHLEAVSKSFLRNT FNQMEQNFQHQLSQLSSQLQLNAYNNHMVQMKNLENIQSDIQIVENQVQSSKKETHEQQQ SQKQIKQKSKNHNSEISSKQQEDQNAILLSKEVQGKLIEAERKLRILAEEMKEKEKQHYM EIQELSENNRTQSANILRTKQAEMEKTKAEKQKQIQEIQDRYDRLELELNLMNTRCRNQE QQIQQLEEDNQQFQKYHEIELQKKNEDLMIEMQKTQQYQQYLQQLSEYCLVSQFEQQKLY IQQMEQLVKQQQVDLEEEQQYFKNERDKLKQLLKTEKERLLIANESNYELESTVSKLNDK IKQLDRELKRSKYEIGELEGDILQLKKQIENLQKLKIV >CAK87497 pep:novel supercontig:GCA_000165425.1:CT868618:327753:328960:1 gene:GSPATT00021140001 transcript:CAK87497 MDNSETKEIQEKQFICIDPKCDQPNRLFKSKNENHSHKSHDHQLYSEFQKLIRAQFPQQI REQINQQFSKDELIKAIQTRSVQMHESVKNFEENLIKTIEAYDILGADKVSINQALSQLN EQNPPQQLNIQGLIHVLKFNKLGPQKVLEKWKREGEIILKELSTQADAALKTLGNVTIAS YMHIQHLQSPLILQKMHFAWSQSYKASSVTIREEAAYEKGNSMGLVCIQQILEKDQVQSI TVDVKERAGNLYIGVLDINERKQRGRKAFSFHDWNESGHGLYLIYHGGYVFSSNDPSINT KKAGFTFDQNDKIILTWNGPEHSVTILKVGSSHQFTFKVNPDGQYHFAAGLFKCEIRLLE >CAK87498 pep:novel supercontig:GCA_000165425.1:CT868618:329097:329507:1 gene:GSPATT00021141001 transcript:CAK87498 MKSKGKSNKCKQQQADIYTKKDILSRIKVTEDITEILEYTTNEDNDIRLAATSQLCPCKV QEDVPEFWDRVFQLVDDPQSKIRARVLHIICDGSPNRLQNEVMDALYKFNRDSDQEIRRQ AHKVLAKAQKGTWNVL >CAK87499 pep:novel supercontig:GCA_000165425.1:CT868618:329534:330520:-1 gene:GSPATT00021142001 transcript:CAK87499 MFNLFSQKASIVEKDRIKSQGNTFNVPRSDSLLTDSKHKGCLQRINILIQELDRMAEAQK MANLEIQQLQNEIERLQRGGNQLNQLITMDEQGKDEQLRLEKQKFSQYKQLKEQQILELE NDLIKTHKELKEERLIRLDLNHRFDIKCQENERLKQEIEKLQNQSVSLKDSGILNQLHRD LIQLQSENKELKQLNIKQQSRIDKLLENTKCNEQKKQEEYCETQRLNKQSLEEALFPSTK ISPIKKVSRALTIQPVDPYYNKSLYQSNRLSINYPQQQFKIENNEQEQENDNSYEHQYHS NTIRKEQPRNSYKQSEDTQRKISKYNQF >CAK87500 pep:novel supercontig:GCA_000165425.1:CT868618:330832:331488:1 gene:GSPATT00021143001 transcript:CAK87500 MAEEYDEEFGELGLVIGDLNIPSRASDLPPQFKDLLVPNKVQYVFCTGNVGNRETTDWLK TLSGNTHFVKGDFDEAKDIPETKIIQIGAWKLALVHGHQIIPAGDDESLYTFLKEMEADV LITGYTGVAKVSAVEKKYIINPGSVTGGFNGQQSSIPSFLILEFKKEKIQVFIYTLDGDV KIDKQELPLQK >CAK87501 pep:novel supercontig:GCA_000165425.1:CT868618:331507:331830:-1 gene:GSPATT00021144001 transcript:CAK87501 MISNEKGLGLVFYLIIIMLIFGMAIAIFYYFKQYRIKKNEIQRLFQNWQQQLENSQDKIF YYRQSQYEFESRNPDIADQDFWDALDILRERACIFKGQDYWLSQQYQ >CAK87502 pep:novel supercontig:GCA_000165425.1:CT868618:332082:332940:-1 gene:GSPATT00021145001 transcript:CAK87502 MKSDYLQLFTKPSTIPNSGLGVFARNDISKGQILVEYRGKIINAKYSWAQCFMLEDRMLQ INEKYSVIGRSLSSRFNDIVRFGLLTPAEELSLNFGVFPIHEHLKHNVELSIRQDKAFLV SSKNIKAGEELFFDYSFSYWKSFYDQMKAYKGENFFEYWEQQKQKPIPEQYIICDSDMLK LQIIDGKVYSKSTIQCGEIITECRGKYFEAEQPGRINLKLDNLFLRLNRLNNAILQNKAQ SKTDLNAELILIDKKFFIKALTIIAKGEAIFVDNNNQF >CAK87503 pep:novel supercontig:GCA_000165425.1:CT868618:333000:333803:-1 gene:GSPATT00021146001 transcript:CAK87503 MNPSKGKLQKRKMSLKPYVYPRCYDISETLEKEAIKKTKFFNSNLKGSRRQTMAETCRDS DEPGQDNNLSSRQNKIEDEYKTRLSLYSRLNRLRSQISDRSKQNSITDRISGEAEQIGMS KSLICSHNSQNSYQRLKKLYQTELKYCALSAERSSDCNQTNLSKSKAQGKKRASLQLMDA TNFHNCSINDNQLQEKKGSEDFQNSNKSSRANSFQRANSTKKKSINVIFRNKNNKLNFSL FTKLQQLKQKKQDQRLSFMVFGDYQYF >CAK87504 pep:novel supercontig:GCA_000165425.1:CT868618:334000:334485:-1 gene:GSPATT00021147001 transcript:CAK87504 MISEDLEIDLLTSSNVDSDNDFTENKKQHISQNQTRVCTKTKRGRPPRQKKKAPNQKTLT TEERKIDESPDIIMIGSSKAKMAKANTIMKKIKKKFTAAKKHKQQQPERQKAQKHLKAKT NKAQSKFQSVVQSFKTFLNQFKENMKRALDEGDKILKEIEQ >CAK87505 pep:novel supercontig:GCA_000165425.1:CT868618:334896:336974:1 gene:GSPATT00021148001 transcript:CAK87505 MKQIQEKIIVYLKLSMRFLPYSVLVSQIILEYIYQRDEYQSNYLMEHQLIYFVNEKLIDI LQIQGVHLVEFIIKIQMNNNRRRQSHSAERVNQQSSIKVQSEQKPPFLLDLQNEMEYIED ILILMARINRTQFQEPQQLKHALKTQNSVYLNILQLIMNVIDPYLEIKSFSDEKFQLLLK RLGYPCKHMSKTRFMSLGSSHTYLQSLHILSWLCRQAQQIIQINERIENGQYQPIEIENE SFSNKIKKKLAEYLQDGYIGTIDDSALEKCYRDAKQKLTLELMQLQKDISKLTCELNVIK TQEPNLPEIEEQYKNLDAELMQVTQETQENQQILESTRQEINQNMNKYLEVDNEIIKVHK LIESKRQYIAQQPIKIDKAKEMEAKDYQLTIQSQQLLNELQKYQKELDKARQEKTEMLCK ISNIIQMLNSGRVKFDKDYETFEKNLYSYQQKLEQNNGIQIGEDENLLDMELDAQFEQKL ANEQVDYKSRKSLVAYDLIQKQDTDSILKLLLPNKEQILQDLNQQLQDILNKLSVSKKQA LEMKNELNQKLKQLEDIECAKKMIIQEISQLNDSTEELKVLKQIYLEHQEKQQILLKEME QKKLEIKFIVDRKNLDVIEIDRLKKQNQENQEVILIMQQQAEIIEEKNTALQQQFKDWVK DNLDQFLSLIQEVKI >CAK87506 pep:novel supercontig:GCA_000165425.1:CT868618:337487:339965:1 gene:GSPATT00021149001 transcript:CAK87506 MSVSENSQQMYEELMDKIKKNSEIREKFDSRTSNGLLDKDYAHEVLIDWSDLLNYDLSDA INYFDLDNPDIPPEEIRQHLDFDSFTNLVNKWLQKQLLQTSPKQKQSTNQDQYWFKSEPG TLNTEQSDLKHQEQLIKAIFETQEGKTKKVFNEYAMMNDQTDTISKQDFPLLLKNLLYQH NASIYEKSGQEDYFLRSNSYGNLNNFLRDDQINYQQFKEAAIQFIKGNQDLILLDHEQIS KKKEKQPPQIQPNLEEELLQQIDKYQQILQSTEPEIEKQVLRNMITTLVRQLDLIRDNTM RLDETHINSTIKPKANLQVSFSGIVRPEKRSKTKEEVEIQKRDNQILEIFLFFAKQQYVN GVAYEFDRYTKEAQSLSLGMFLYFCKCFNLIETHVRKPNEENLLERKKRGEDVNITRLNQ TIINKSSQEEEKQVEQVSTIKSPQQKPPTVPVNIQKVENLPRRNKYSHVPSKFEWWNDEL KFEKYYNPNQNPLPQQILDDMKYLTKDEIKSLFKRSAFGKDLDFDEFKCLLGFMANLMFK ENGERHPHAYQLILRLMYIDYPEEYRKRLIPMKIPFNCKEKIGFRQLPGYEHHEYKGRQL SQQEKQQLQKLKQERENQLRERLTENPNQSIRSIGSIGSQSIANLPYNKQQGRFLNGRID LDREKVSWAKLENLNPNDLSGHGRNFKPQDLIDEFEDEEDRFFLKEFSLEEDKKNQLKPE EVQKHLEKYGAYKPPKRPTQKHQMSQPQLLNQRYEQKSVDMKNQYLQRANQIQQLQNKKE QQLLQKINKQYKI >CAK87507 pep:novel supercontig:GCA_000165425.1:CT868618:340167:340823:-1 gene:GSPATT00021150001 transcript:CAK87507 MGNCIAVRETSNKNPKSTPTYIDINNFIEHHKQLAQIAIEERKKKLEKQIQRVNNGGYGS SEEEDKVIIENIHEGDSGIQQLSDAQKSMMKDSIHSQNNKMSRQLTINSDTSVIQLQEKI RIQNEKLENHSDSDVYNYNSEDELEQFFGGTKSSKKQIKAKNILSSLINQGDKPPTEQIK TFKNTLFKKDDSEEQPVGNVFSDNDASFDDKSPDFKKK >CAK87508 pep:novel supercontig:GCA_000165425.1:CT868618:340946:342045:1 gene:GSPATT00021151001 transcript:CAK87508 MGPGQYNPQIERKGGTLNFGSYSQRKMILAQDTLTPGPLHYTINSVRSQGVSFANSKKVL QKPTRNPGPGQYLPIDEPHSRLGGSISRTSRNNNFDNQIPGAGHYPIKSTLKSLSFKFKQ LHKRAKTDYMSPGPGSYSILSDSMNHGIKMHNSKRTWSYILKTETPGPGTYQSESFIQNR VSSKHSKTSSKEQSKILDKCFSQHESKQSNKLGSCTQSKGNLDSNGILSKRQKVKSNPFG PQQNISDAYNRLREYGKLKVTFTKQVRKEEFLDEFSTGGPKKANRSPGPAIYADIKFKPN TKLGFVPTSKKGEVFKPKEGPGVGEYTKIAPFGKVYNKHITPKRSFFKFKDLNQFDFDQ >CAK87509 pep:novel supercontig:GCA_000165425.1:CT868618:342470:343908:-1 gene:GSPATT00021152001 transcript:CAK87509 MNVCSSRYCQYNLAGSQMVPIPKYRVYCPFCKHAYYCSQRCRDIDWTSGHKNQCIPQNML NSQEIAYSDKVSTLRQMKRSPEEFELIYDYPQLGKGSFGAVRLVKDRTNGQLHAMKIMNK KDIFEYCSIENLKREIRIQRKLNHPNITQLFHYFEDKDKVYLILEYAEHGSLFQLLKRRG KLNENEALKFFKQTCLGIDYLHQQNIIHRDLKPENILLDVADNVKICDFGWSAENLGSKK RSTFCGTIDYMAPEMIEDRPHDHTLDVWCLGILLYELLHGDAPFKGKNDIEKCNNIVKIN YQIIDNSLSPEVKDLITGLIKYKQKDRLTMKQILNHRWLKKNEFELEMLSVSQNRTRVNG STMHQENQYLSKSTATSQQSFLRQNLTFQTQTENSVQDYKQQYRRSRHSESQKKEIRSQT VKQKNETFMQKLLVALGCVKRDSYVETNCLDTQKQ >CAK87510 pep:novel supercontig:GCA_000165425.1:CT868618:343928:344949:1 gene:GSPATT00021153001 transcript:CAK87510 MQYSQSIQKYRKSTSSPILKPQSLPTINELRFAMRTRAGSDQQHSVKINQDSFIACRFNG YQFFAICDGHGQNGHLVSQYLKKNIPIILRNYLKDMSLNSEGINQAIIRSFLKINKDLFQ NNIDTNLAGSTLVSILMKDQQIFCANVGDSRAIICQKVNTWMAIQISVDHKPNNAKERAR IVNADGRISQRKTSEGYPAGPERVYLAFSDTPGLAMTRSFGDKIASKVGVIAEPEILEFK KTKAHKFIVLASDGVWDQLTNDEVMDLILPYFKDKQVELATERVVREAFNRWKQFSVLRD DITCIVIFL >CAK87511 pep:novel supercontig:GCA_000165425.1:CT868618:344989:346353:1 gene:GSPATT00021154001 transcript:CAK87511 MLIPLFTLALIIQLTRSEQMDNITQVIFDEDNKWEAKMKTANESIFQVQHTYTKYCYVRI SLLMKDAGLIAMADYDNLPNISLYESYKQGEKLDRISQYENRKTRFLKLKTGKGLIYIYV KGNNTNNEYTISISGSNEEQCDNDCSNNGICKVYSNYNNKVKGCSCNKSFFGNDCNQIAE EVFVGTSKQLNISTEKQLQFYYIDIQALKNYTFRIDFSVSYILGQEEFCNRCLTLWHFLT KGLIKIDYFDYDDYLSFQLNDLWLPNVIEDGFSTILYRIPFNLSDQNQLLIFALGKEWDT VDYVELQMTFSQEVTNYDSYEDENENDDSEETNRLLYIIIPSVVGTLITLVLLYFYLRRR NFLNKYRKPNNQQQIVLEPEKCAICLEDLIDAYKSLFQIECGHQFHLNCIQDWGKNKQQQ KLCPFCRRPFNPDQIK >CAK87512 pep:novel supercontig:GCA_000165425.1:CT868618:346493:347879:1 gene:GSPATT00021155001 transcript:CAK87512 MFFVSYCLAQIVEVKFNQQQRWSSEFKNSTNNTFRIAPLDKVEQFYYIQIELNITNPKFM LLAQKNYPISNPFSPMLLDGENIDATNQYENRDLRYLKIASIDQPIYITTLGEGVDYKIS VEKSENQNICQNQCSNHGDCQVSLHLFQKQGCFCLIGYTGIDCSQNSTPIIDNMSIPGNQ NEKTYFSLLYLPTTSLQGYQYTLKFKTNNYVGLQIIVYKTSAIINPLKQLISDPNLCDIY QVSKNKELELLLRVPEIPQQRNRLNFAIKTAFPDYLMQDLEISLQEYVEEEVVDLSDTMI IIISIGCVVFVSLIIMFFCYRQYKKKQAAQRRMIMQNQEPLHSGHENHPIIQQEINLEEV CQKNCLCKLSSKELNPEEYCSICLEPLDSAQEVRQTRCHHNFHIKCIKLWLEKAKHECPI CRQQLELKPQDEIMRT >CAK87513 pep:novel supercontig:GCA_000165425.1:CT868618:347998:349616:-1 gene:GSPATT00021156001 transcript:CAK87513 MFIDIDNFEQNKQTIMEQIKLCDFLGFDLELTGIKGEYQDTFEELPFERYLKIRKVSMKY NIIQFGLCIFIKKQKGYVAFPYTFYLFPQDLDDFVGLQVGAVFFNSEHGMDWNRWINKGV GYLNRAQYQKLQDDYNKDFQQLDQEELKNQFEKILEDVKPIDKKTIRELIDKLEKFNKSD EKQITIETTNGYQRKVIYTYLEAYQKNMIGESLLKGEMKITKLTNAEKQAKDEEKYLLKT NRFQTKTGFYQIWECILNSKKYIVGHNCFADIMFCYSHFVQCPPISWEEFKEDVVKHWPP IYDTKYIIQSAQPLEKIRSKFETSTSVENLAEFIKNNMNYIQIDVNLDQNAYHTAGFDAY MTGFIFINLTKDFTQQELLSQKNKLNQFKNNYSINLNTAEDIKLTQKYIIYIKFPEEERK NQQVQKSQRIQFQKQAFDNIINALKQQQFDISAYRIIHYKYIYIEFNQEYDLKQIQSALQ QVGEFQECQLFNEKQYEMDRREFKEKEAKSDKFDKR >CAK87514 pep:novel supercontig:GCA_000165425.1:CT868618:349647:355736:-1 gene:GSPATT00021157001 transcript:CAK87514 MQKQSRQNTQTAFYSPQPGICSPHSLRQIMSPGIYGPRNSQNLLIDSYTTKHQDQDSDRL MGSSLRFNLLKDNHQQIEKKISALKSNLLQSRMSSDNFQFATKPLEKLLKRVDDTGTYKT EQIRADDLLTEILQELSFISINAKLLQNQRSSQKLQMLVLKDIREIVAGWNTMQHIINQI CQTAQISIYQLQNTNISQLFKRDELNKLYSLVEKHQNSYPQSFEQLYSIIANSFQSRDQD HNKLIEINTQLRQQEIQNKDLNTKNKNLFAVVTKLEAKVNKLQNKKQQLELSNKQFSNQL QSSYRPQDTYSENDKFKHKNPLVESQSSLDSKNTQQKLLDNQLSQFQDLMAEKESEISNL KQQIDDEHELIQEYQKKVKQLENENKLLKLQLSKMVESEKQLQKQKSEANSEYQHYKDDS SQKLKSLSQEIVDLKQKQNKLGLRDCNQEVEQLNQQISKLKSEKEEKERENGQNQIKFQE MKSKYNDLKQSYQELSDQYQRDQQMNKQIVQSLQTQKEDFENRNSEQQSLFHLDLVSQQE LETQQQLIKQLENDLHQAQFAYKQQETKLLDYEDEINNLKTQIMRLEEEHTEQLHILDNT IQSKDQIIQQYESNSRILNDTPEQLRSKSVEQTTIQGHLKSQIDSLNKSLSNFEQQIRDL TKVNNEYQDQINNKDKILKQKTQEVIQLQQQLKDLQGLNQKIQVQDRAIHHHEKQVSTLN QTIQKLQESLNFKDQILLGKQHEIEMLLNQKKDHIQQQKQQSLLFEQQHQKAKFEQQELH NKVKQLEEQIIAKQKDVITINVQEEILQNQIQQLEQQMRKHQQDSEDEKKQLNDKILRLN QELEEAEKIQISLNEKQVSLDNAQNKVSELESELISSQDQIQQLESRILELQQEIKKERS NFLKDNQQKIEELNSQHQENEELVQMNQDLTQKNMQLLEEIEQLQSGQSKLQFNLNQEIQ NLRAKENQNKEIIKKHQLQILELNSQISSFNQQQELAKQDKAKLSEMLKEQLNTITELNK KLEIENLQNDQQIEENKTQFSTELVVKETELCRNVMTQSQETQKLLIQIGTLIEQLEKSK LTIKEQEKQLFDQEQKYLIIREESKNQQLIQNQFEKLNSLTQTQNLTISNLQNELNEKNL KLQTQVLHAETLEQEFRNSQVLVDNLNQQLNNFKILNEEYKQQIQSFTQKFQTNQQQIQN LENKIKELEQTRLIQESKINETTLLLKQEQENCTKLRNDLTEKSNQLNLQVIEITQIQND NSHFKQLNSELQKNLEAREIIIANNIDITNDLDQTIKQKNEELKEKQNKILGQTKEIEKI NNKLLQLQQQNAMLENQIQERIQINQQIQQEVNSLQHSNHELEQNNKKLQLQIIQDAQNY NQLNQRNIELQERNNTLNNEILDLKKNNKELVENQVQITNKNEADQAQNRLIGSLQEQIN NLEQKIIQLENDLKIKDDQITNSIQENQAQEQKLLQQNNQLSQDHGELMALQKVDYDKIK QQNNQLQLQTNSQQQDIQRLQLELQQEIESKQQINNSKIELDQQQALLNQKLSQKEQQTQ QLDQQLKDQEDLLQKEQNKLNSQIENLNSQISVLRKQLDQLQEIITQKEVELADYSKRES EAQKLFEQKNEEILQLKSQLDLIQQNTKEIQNPQKEIDALIAKKDSEIKELQNVIQAKST QIDKIQADSNQNQFLLQEIKALFNQDNILDYLRQFKSQHEKQCERKFTYYQCLQSLMDEL LRNQQAAFYAQNYVKLSQQEQNNLLAYQKDIQLMKAKLPVKTALSNIKEEKNEDISFSSN GQQAEINENDVSDFIGGLDISVIVNNDKNKKEHSTSNKQQLSHTEEVNILKSKLEAANEE NVKIIAKFQILLERIAAKEEQMLQLNNLARDYKHKMMAMQKTSVDPQAVHAKAHLRDCLK KFLTACGPKNLNYDLAEAILNTLFQFLEFSEKEKEEILDELAVKPADKKKGIVQILFKK >CAK87515 pep:novel supercontig:GCA_000165425.1:CT868618:355774:357115:-1 gene:GSPATT00021158001 transcript:CAK87515 MSLQQKQNLLVKEIIEKGYNIEAFQKSIDQRHDLEQWDYDELIEFVKKFQDSQNDYAAIL KCNKTIPNALAEIQDAKAMVVGYEKIQKGIFKNTSVYFKIETKPINWVVKRTYDDFILLK STLNKNFTVPNIPNQKKSPVDFTYIKQLRHLQMFLNFILGDSEIRNLTIVQEFLSAEQFT NNQQINFSNMSGEVSVRINSQITNFIKQSDYFLTNISPIQKKAYKLIKQLMKQMQQKNHT LIQLTEVYKELFRESKAQNTRLKDCYKNLNDLFESSQKFESNQIKILNETIYPQQRFQYH QTQPLKELLILREKSLNSYQDFSQQLKLKKEKLYQIGEVVKWDLDESFLDHFKIDQIKSN PQIAFQCMCQTCFQENAQQLQLKNQFGALNQRAYQTVDQIINYTSLQIKEYLEKMVKLMT SSFQQYQTVWVEISNNLIEM >CAK87516 pep:novel supercontig:GCA_000165425.1:CT868618:357157:357557:-1 gene:GSPATT00021159001 transcript:CAK87516 MRIQKINQLLLVLLPYKIHQNQKPRGPLKKCKIAGISVVMITGDIKETTQSIAIQIGILQ NQSQQQTHSFTGLEFHQWEKRQQKTKWISLLNNLSFAQQRICQNINQVIESNYCNDLSQG RKKIQ >CAK87517 pep:novel supercontig:GCA_000165425.1:CT868618:358153:359318:-1 gene:GSPATT00021160001 transcript:CAK87517 MNQYYYNLPPKPQSFAPPTQKKLLKDQYEVLQIELGSGTYGKVKLALNHTIKKKFAIKII SKSYMKQIFATQHILNELNYLQACKHKNIVEYIEHFEDRDNIYIVLEYCKHGTLNDLIRK RNKLTEEEAFHYFYQIAQAILYLHEKDIIHRDIKADNILLQNSDVKVCDFNWSTFLPNGG KAKPCICGTTEYMPPEVIRKEIHDKGVDIWALGVLLYYMLHGELLFRAKQKEELYEKICS KQPIKFNENLSKECLLLLQQMLAHQKRINIQQVLNSNWVIKMLRCKNNSSVLRLTPIKCR SSAQESTRFSVVSDSTYFSSSKFTAKSQDIEPSEKQGNISQGTQDQNPKKVKSSALDQNN PAFRVEKKYCS >CAK87518 pep:novel supercontig:GCA_000165425.1:CT868618:359522:360618:1 gene:GSPATT00021161001 transcript:CAK87518 MKIIIKTLSGQTFDIEVQPTETVLNIKEKIEQNKQFEIAQQKLLRKGTLLQNEQTVAELG LQEKDFLVVMVNVKKGPPQQQPVQQQVQQPPQQPQPVQPQQPIQAFKPVVAPAPQNMVTG SEYDAAIQNLIQMGFAKSECEAAMKAAYNNPDRAIEYLLNGIPVVNQPPPPPQAQNENQE HQNALQQLREQFIQNPEAILQLLPQLQQTNPQLYQQIQQNPEALVQLILGGHQGGEDEIE TEITQEEEQQLNQLIMMGFTKEDALEGFLACDKNVETAASYLFEKQARGDLLSIKSHLYV GQHYEREENNPDNYHDEGNEEEDDNDDDQYL >CAK87519 pep:novel supercontig:GCA_000165425.1:CT868618:360621:362563:-1 gene:GSPATT00021162001 transcript:CAK87519 MNQYSYKHGYLQTKQLFWISNYYYLDHKHFFKFENDQSHSPNEVITLEQVKVEGPEKVDD LFYFTLIHKEYSTVYRAISLKDAEEWVAQLKLSIKLLEAQPALISHHTLHTTIEDETNDE IELLQQNQNWKLHKIYDKLRLYYSDDSKFHFLKGEWTIGKPIKMVKKIFMNENNLIYFQP GLKNHQTINSNQYSQQYSLSCGKQKKHRHQTHIHFTYLRKQQIKSSYFIIQRNVLNSQLL TVRYSQIKQINFQLFENDRHSNQTQCQIIIVLKKSNDKLMHKFAKEYIINHQIINYEYDH IIDKIQEKSEVVQETQNNKQQQNSVGGLEIYFLPNDRLGFQDVNPNRNHPALQNEEKHLR FTQLNDREKEILNQFRVKMKNLALNDNTLIRHLQARQFDLSASEKMLTDILEWRKQNNIN SYDHRKEKYDYFRKQNILIMLGEGNVGHPIFYIRAENILPDEYKEPNPTIETFIEYFCCL MEHNISNMRGHIDCQILIIDCFNLSRKNVSLDLIKALMTYVFMKYPERQIRNIVINTDWL AKSIYNIVKKFLPKRTIEKMAFAGKDPKEILQVLSRDIDISVIPKKYGGLNDLII >CAK87520 pep:novel supercontig:GCA_000165425.1:CT868618:362933:363202:-1 gene:GSPATT00021163001 transcript:CAK87520 MQVINQDEIDNLRRDIQRLGTKNAEGKYVVKFGVLYKDERIQQYFEVFYVNIRTALVDTL KAAKKLNVLDFQDQMLLKGANDNAEIILK >CAK87521 pep:novel supercontig:GCA_000165425.1:CT868618:363812:364476:-1 gene:GSPATT00021164001 transcript:CAK87521 MRCKTYDNYDSFKTLQSPRLHPFRQHKSSKSEDIDMLQFQNMNYSSVHEVSLNNDQNELI KKQEEQIHQLQHQVEVLEKMIANYANVQRLQTFVSQVFNEIGLINKENIFEPKLHSLCLI KINEQKLKLKEQNKFISCLKDLVVSCSPPDYFSADQMPNLKQIWRCIKAMLAECIENKRI NQEQQQLISQLTKGMMSQSQKQMMQTLTRNKLN >CAK87522 pep:novel supercontig:GCA_000165425.1:CT868618:364644:366692:1 gene:GSPATT00021165001 transcript:CAK87522 MSRLIVKNIPNLITEAQLKTIFEKKGEVSDVKVIFKGNKNRRFCFIGYKNESDAIKAKEH FDKTFVMMSKISVDFAKTVDDPALPRAWSRHTPGSSAFQKINKSQEPPVTKKVKQNKEDQ QEQEEPKNEVKSKKFQEFLELMKTNKKTNQEISWNDNVNNQVDEIFEKIEKEKPKVVQQP QKSTPANVNERRLYVTNIPYTSTEQELKTVFEKYGTVSSIKIPKQRGGSLSGFCFVEYQL PEEAIRAFSELDNKIVLGRIFHVRPAFKDDKEEQLKQEQQMKQEKMIGEEKSSYKKFKKQ QMLERLNDTTSWNTLFLNPNTIIEGICKKYSLDKKEILSEENDDMAVKMAQMETQVIKET KDWLKSIGLNIDFLKVEKNQCERSNITIFVKNIQFRVNETDLNELFSRYGQVNKVYLAPN RSIGIITMQDDKQANNAFSNLQNYKFKGSILYLEWAPTTLMGESNKTNEVNQQVEEQENE LTRILYVKNLNFSTTEKNLLKFMSSKVNDIKKVTIINKEGVSQGYGFIEFDKPESAQKVL RLNNLILDDHLLQLSQSKPKPKQDLNKRKQKQEIEPTNKLLIKNLPFEANAQELRRLVKQ YGELKKLRLPKKLDGSIRGFAFAEFLNNEEAQNAAESLQSTHFYGRRLVIEYSKEE >CAK87523 pep:novel supercontig:GCA_000165425.1:CT868618:366718:367992:-1 gene:GSPATT00021166001 transcript:CAK87523 MIEEKEKKEVKQMKESIKTLQANLIDKLENSKMTPQKITELIKMVQDICASSIEFQDVQF KRKEIELEDKLKLIDNDLIQLIELNKKKRGFMNFFSNNNEIIEKQQEICSKILEFFNETQ SYFQDCALITSSAFVRQKQYIDIDFINIETNFIVNHPKFLPIKSKMNLMLKKDLYTDVYE LDIYKRDLIKKIIDKAQQPLNETQIQYLEQFDKKINNQFVFKVSYSRQTQLLALLLENGP YIITTNPEQNRIYLCKGMSLKFFPNQTLKVLETNLNVEIKQHFQGVTVSNGEPLNQNVQI ANYGYIKFWYQEIDTKMEKQQTVNKDKNEQQPMPMPSKGSTFEFYLKNSSQSLADTVPSI HATLYHDTEGFYILPIKTEFQTYYHLYYDNYIQIETEKYNFGFQIKGKGWIQVITEKMKS EHIQ >CAK87524 pep:novel supercontig:GCA_000165425.1:CT868618:368145:369488:-1 gene:GSPATT00021167001 transcript:CAK87524 MLLVATLISAVYSYRFLATDDNLQSTPQCYGAIFDAGSSGTRVYVYQWSCREGWTLPMIN LSETSNDKKQEPGLASFANNLDKIQAYLDPLINFTYNVVPENMYQYTPIMLGATAGLRQL SQVQQNEIIQTVQQVFNNTKFYYSPEWVRVLTGQEEGMYMWMSVFYLLNQQVKSLLTLDL GGASTQNAFPYNNTGPDFVFLNVRPNVSNFSLYSVSYLGYGNDQARLSILKSSIQQGDDT IYSPCFQKDYSGDVTIDQKLYKIQGVGNLATCQQIILTFLNTADTTSINQSYEPPVTNQT IYGTNGIVTMAKFFNLTNFNKQGYLTQLQAFTNLNWEQAVQAYPNNPYLSNLYFMGTYVY MLIYNGYNIPSTSIVQAPSNINGISPSWTLAACSYQLAQINCTDDSPVCQFNAYSSIIAM FWVFALGFIN >CAK87525 pep:novel supercontig:GCA_000165425.1:CT868618:369554:370121:-1 gene:GSPATT00021168001 transcript:CAK87525 MNQHQEDAEIFDIPTSKRNSELNGRALSSTVFAIIYNFCWGLLFFLFRHYNDQSCDSINA WSLYAEIFLFLMAGYKLQELYFLQRIIELPIQHKTNGVWKDKLFDAVDYVELFFNILILI GLTYAYLQHEECTHLRMFILSYLVVTYFIILVWILTMIYLICNRDEQSRSS >CAK87526 pep:novel supercontig:GCA_000165425.1:CT868618:370151:371393:-1 gene:GSPATT00021169001 transcript:CAK87526 MEQEPLIHKDIVYLRHNETNGYLTDTGVSYQNGYILGTKKEQDQNSVWIIEKYSPPVQGL KKPNYTKVEIWPSDMVVIRNGKTGNVITCNIGNKSPVTKQGEMIVANQYEGTGEQQFLLI FDKFDEINLNRARFINVLDENHALHSHGRQYKNPKDVNEVTGYTGVDQNDYWSIIPVSRN VRQSIFIKEQQDVDKPVRPRCYKYIHNMDKLVIRNCFTGGSLHSHTSTYTHGNKQQEITW RYSIRRDQNDWWVVELANGNSDITSQIQDKSLLFLTHTGTGKRLMHINGARNKKKDYLEV NCGVQEEAEFQIEGVDMGIPELNTLILEYPFRLKHVKTSQYLAALSRPSSKTTFQGEVVL VGGKEQNTIWMVETVDSLFD >CAK87527 pep:novel supercontig:GCA_000165425.1:CT868618:371396:372624:-1 gene:GSPATT00021170001 transcript:CAK87527 MQQAEKQRIFLHQELVTLFHPQQKVYLTDSNTENKQGLILSAQPDLSPNTIFILEKYCPP FSMFKTPNYYNTPIIQGDLIRIKSFCSNYYVTSIPDQQSPITKQGLMVLAKQNDVKYNGG EQVFILQNISNESPYMRLVNTETGLALHTHTSKLKEANNNNEVTGYKSRDNNDAWNIEVI SKEMREGIHQQEVSEPLPRKCQTIRSSDSVIIRSGFTGGALHSHSACYKYGTKQQEVTWK IQPRDLNDWWVIYKIKNSSGESSQLIENNSLVSFLHVQTKQLLTHSQGCKVKNGDYLQVC CSKKSSEEFKIECKC >CAK87528 pep:novel supercontig:GCA_000165425.1:CT868618:372782:374166:-1 gene:GSPATT00021171001 transcript:CAK87528 MKKILQEKIDKHLQNAKQLVKTDVHEAIKEYYKILFLNKSIYDWQLDHLQSYQDLAQLYV KIGDYSPAIACLQYSYSVKQDILVEDELQSLILLKGQSLLEQGDVTNFLEGTEFEQFGYL IDFTVPSLQKAYSYLLTNYLNYTLQEVQKVIANDPLNINALLLRGKLYWAQAKIAQGNSD YWKVYSMNPELQEIKEFILYITPKIQKLTNDAKLYLVQRDNERCKLNVDKGLELDPNNVD LLLLNAYLCRINIKYDVSLNLLKKAFDQQPTNEDIKKQLAITYNEMAQVMFEKGNYEDAL TLLNEALTFKPQDWGILVNRGDAFKYLGRLKESICDYNKAYEIEKNETVAQRLAIIHHSF GVEFFNKKQYQLAFESFDKAIKLANSVDILFKRGKCLLYLQNPQMALKDFEKVIQMDPNH YEASTLVRQMTSSTR >CAK87529 pep:novel supercontig:GCA_000165425.1:CT868618:374829:375716:1 gene:GSPATT00021172001 transcript:CAK87529 MQQTISNKIQGRTSRKDLGINKQIDVVLQSPLLKNSIKLNLENIQRSTSEQFVTPLNNKQ SYKLTQNLSHLSKEGLSFGLTEREMNLCANEMQIMQSHSKNLLGATLSVRTSQSNQLQSL KFIELSNIEYDSPKLRKDFKFKDKENQTNQEIQQKVEEMKVNYIKSSVTLNQQLNDNTRL LQKIDELKRFQQNLSLNYQFKNKELSNRRNKKVTMNLKINNTNKQLTGLKQQSLVDLIAK QQLMIQDLERKLQIEKTEKECLKVFCQSPKSQQQQELDLKQVDIQKSKTKFHFNT >CAK87530 pep:novel supercontig:GCA_000165425.1:CT868618:375862:377027:1 gene:GSPATT00021173001 transcript:CAK87530 MKFHLISPTTTSFTPIWTSQQQKRPQIKLSELAKFTQLQLPKQEDSQKALEYKQRQQQLL RQSKNKPLLKVLTKSQLLQGYLSMRPQQQQKKQIKSRSSSLISKLNSSSPVVSINESPKI VKEQSQIMNQPMQQIQLIEEQQLSPKVLKPLKQEAFAKALDFIRPETPLNFRCLVQDVSY KKHKINTKAIIRDIINKLSILKKLDIKYIDRRIWSNQPFQKRNQYSFIKACKAGDLNLAK ELLEQDRFLNFGFDYVNQSNILLDSLNRIALGSQTISFIVGCDVDAQDLIGRPALYFAIE QKETNIVKLLLENNAIPWSTQKITYSKLCNDDLQIMLLLNKARKVHVIYRMVDPKKRIQI KQRALTGLFDV >CAK87531 pep:novel supercontig:GCA_000165425.1:CT868618:377049:378411:1 gene:GSPATT00021174001 transcript:CAK87531 MYNQFIDEEGHERQIQYLVDLGFPESKARRGVEQFGYTITLEDLINRILDMSDDNSKKSN GNKVSLIHFSDSSSEKNSPFNQPLQQSQSQKQCQTKQNQQPQKQNSSQFQQQQKVQQQYQ PQQQFQQQNQYQQQVQQQSQPCSFQNILQFQQPCEHDEFPKCQVCFTQPIQWLHFECKHQ FCQPCVIKNIKASRIRKIEQVTCLQEDCHQKLVKEEIHLVSNELLPQIDIEPYNEPICTK CKLPQVAHKFKLFSNACDNAEFTILSACVKHDEEGNQLQRCPQCGIWVQKSKGCNSVLCS LAIVNIIGVGYAEDNVIAITTCFSILLVVQVWGLKNLQLNNIPVILIYFLLILLCIIVYP FFVAQVVIRRMKIDTQGNICYRVSVYIGIAILFLVLSCLAIFPGIIIFIVSQQARLSVFA R >CAK87532 pep:novel supercontig:GCA_000165425.1:CT868618:378452:380002:1 gene:GSPATT00021175001 transcript:CAK87532 MGQQQSTGYDLSQYQYVDEVVDPIYGEGKLFQKHETGEIICLIEKMIQEETKTQEMSLKL DHPNLLKIYHQQVSVMKELCSSINKLTIVYEFTSLNLITNINHRRTKNIPFTQQQLWGLL HQSLSALNYLKQFDIYPMDLKQMMISSQGSIKFHSIQSKQLSNYKKLLYNLTDDIHISPE ELVCLKRRDTILNLDYEKCELFQIGLTCLYAASLVETNDIFDLKTFSYSQSKIQQRIQSL KYSIDFKSILNQLLHQDPQNRGTFYTWLNQLAQIDAENNMLLLQTQDQSNNLKINKQVNQ FLDYQIEYMPQNLPQRIPEPVQSQYLISLQSNLPASNLPQRTVPQKPFHIVTPDQSRISK DIKRSQLSLQHPHDNNKSEQQLYQDSGQTVQTQNDENFDNDQFKQQQPQQQLDSNYFSNL PANNNLVKNSQYPIQMPTFSSNIQQQQPQNAFNVLKQNNQYSQQLQAQKQSQMSQHSNKS QREERIEQAVKSSKMALQQFEFALQSASKGQPPGNI >CAK87533 pep:novel supercontig:GCA_000165425.1:CT868618:380054:381672:1 gene:GSPATT00021176001 transcript:CAK87533 MWLENNEADIPQDYGKLKQQNIKLRNELKQINEFLSKQIEKKQDQQISQRHQLNTTVDLR EDTLKAELLNAQKQIKIQLKQIQILQNRQELLSLDNIVQLQSQVKKLMDKVKELEQENKI LISINGKQEKKLVELSQDDYVKNRIDQLESENQFLKQKVIAQSKAERSQSVQITKVEPIL KPIQLSQKQQELMKEKDLFISQMQTKLQEQDKHINILKNLKNQYDKQIGHMAHRIKQLET QLLILGQQLQDKNSELNKKNHLLPNLSARNQRNQQQRINLSVDNGLVDQPKTQIQINQQY SKLPSEVQSKRQPTLDLSSQTLRSQNHINNEQNQDMKILRIEQVGQKNQQEFHSDIPQYF RLHNLKLWWKEDYLQGLQAYYHNANQIVNGQIFCLSNLEELNQQSFELHQSDWIHQIGFG FENDKIKFVKIISNQNCVLKFGSEMEKIQEICSGKNEIMGTIEVSFNQSSIGLKVYDEKL FDKI >CAK87534 pep:novel supercontig:GCA_000165425.1:CT868618:381741:384530:-1 gene:GSPATT00021177001 transcript:CAK87534 MAEYVTRIPPNQYIHVLDQNTNTARLEVGPLTFIRKEHESIIEGPNKMISLPPKTYCKIQ NPILRDVRNLPVKNAYGEVEIQRGEIEYRTADDYSDPFPLFPGEKLEGKVETLLIVQQNT ALRLVAIRDFEVEGKKKQAGEEWQRNGPFTYYPRAEEQIVEIVKAEVIKPNQAVKLKATQ TFIDKKGKERRAGQEWLIRTTGSYLPDTFERVSEVVKGVVLTDKVCVHLRALNEFTDVYG IKRKPGFEWIITNKQAQVHITDVYEQLVAVEQAITLGSRNYCIILNPYNPKLQQNDWGIQ VLIKGETTFFLQPGEELLGGKIEDIVILGEEEALLVQAIYDHQEEDGQFKKAGQRWIVRG PREYIPGVKILVIEKRRAIPLDANEGIYVRDNRTGEVKEIKGKTYLLEAHESLWEKHLPE NVEILVQRAASGQPYVPPSVQASGKMTYNFESEKLKPRDKTKIISFKAPHNSAIQLYDYK LKKSRVVFGPDLVMLGPDEQFTVIKLSGGKPKVENLIQTLTLSLGPDFMTDQLIVETSDH AKLKLTLSYNWHFKVNKDDPNDAQKLFAVKDFVGDACKSIASRVRGAVSSITFEDFHNNS SMKIREAVFGKEGSEIRTSFTFDANNLVITSVDIQGQEITDEATRRQLSQSINLAIEISS KSQEMQSRHQASKLEQEAKGQLARQKLLDQAKAEETKIELLKQQAQSAKVRAEGEAVARA IAETMEKKIKAEAEVNQAELRSKALQIEQEALLDQQRQEQEAEINYQKQLIDLEIKKAKE LSDIEIKKFKQQIQAIGKETIIAMAKAGPETKAKLLGGLGLKGFLVTDGKNPINLFNTAN GFIGENLK >CAK87535 pep:novel supercontig:GCA_000165425.1:CT868618:384856:385437:1 gene:GSPATT00021178001 transcript:CAK87535 MKKVDYDLLVTDNVNVTKYRKIIRCNGDATVHSLKQNLSQSIKCSFEIIFNDEILIEGML LKDILSKHLQNCVILNPIKEPIPDQIKKHDFQVKSVARYKEQDEFIEQLIDQSQLKKILD NPNEFETKDDCKPQKCCQSCQVKKQILSNYEVSMESLLSENAELKHQISELEKQIRISIY KQKLQQQQQKHKK >CAK87536 pep:novel supercontig:GCA_000165425.1:CT868618:385573:386667:-1 gene:GSPATT00021179001 transcript:CAK87536 MGNIIMKCKECFHLFKPKPISQTQTHQLVNLSNNPEEETQGKINAQQISEFNFHQLGFTL DRTQSNEKTITQIDEKNENDITLETISNQQLSALRSSQMNSNQNQNESQQFMINQECKTN PSLDSPYPLSLKQGQLLTLPSINNIDQSEFNSYASETMKSFIEKIEDENNGDSEYLVNGL DIDLFASHQMRNKKMFLIIKFSFDLNTDITTFLNWSNEKKLFDFELINTYNLERLNEEVS IGEITLNRQGMIKQQYFTYLKIIRKIDEVHYIAFQSVRFQDKLEEYQNEFQEGNLTLGGL KISQKNKSSLTIKGYIDADFGIKVGFHLTQKTIRDKVVASVIKLRELFN >CAK87537 pep:novel supercontig:GCA_000165425.1:CT868618:386910:387331:-1 gene:GSPATT00021180001 transcript:CAK87537 MQYGKRLADDLSRSKIEIMEDYQRVAESVESLDSFLPQFHCENREQLEVERRVVAYLRSL GIQFKTDPAKKEQDYYEKPKKSAIIFQQTIGESPQIGPMTVLKFGGDQSTTQNDYGTWLN PFKARKQQSFEL >CAK87538 pep:novel supercontig:GCA_000165425.1:CT868618:387504:387986:1 gene:GSPATT00021181001 transcript:CAK87538 MDAYLTLELRKRFNSSMTYQEYLDAYDKPPPKPKLKPKESPVVAELLLLEPPKGPKPQSQ KLEIYEPDEIILEESETDERSRAQSPIQKPPCYKALKANNVRVRSQSENFVFSVYRFLQN KQHVPKQ >CAK87539 pep:novel supercontig:GCA_000165425.1:CT868618:388599:390216:-1 gene:GSPATT00021182001 transcript:CAK87539 MKIYILLLALVATQQMTVVLSFLQTNQEDAVNVVENTKELSNEDVEVEATTLVSQDDNAS VTQLQDLDDVQLNTDINTTDESQQMLNPDDETPQNNIEVVQEQEQENYDDLQSQDQDFSE QLQEVEDNNYDQSEQNNEYTDDTQNEQDIAYDQVEAQDDVQLQSQTDEPAEVQEQQETDQ YTDEPQVEVQQEADVYDQDVDNSSDVEIQQAENEDVYADPETDSVQQSEVQNEEIQQQDT EAQDVEVAFENDSNAEATTEQDVQQDNQESENYIDDVPQAQQEDVQQNEVDNSEQENNLQ DLSAQEETIQFYDSPSNQNLVNQEIKLIPDEESNNAEAQIVDEQQVVVIENQAQEVTEEN NSAEPESNNSQVDENNSAEPESNNSSDPNDINLDNNDALVNDGNDCVIIYNQCYFKGESM KTCGDLSQMKDFQYEIRSLQIPSGTTLTIFDHPNFEGQKHVFSQSEQCLTDAVFFAQMVF KREQKFLGNYF >CAK87540 pep:novel supercontig:GCA_000165425.1:CT868618:390436:391620:-1 gene:GSPATT00021183001 transcript:CAK87540 MGQSCSINTCCAKEEIQTVKNSNRSIEISVKKSKHSLTNKNTNPGQVEEANQNDIQIQSQ HSKVSIKEIEKNLNSQTDNQNIHPLVRKTIGKQEKIKLPMILLQNGATYEGEWLDGKREG YGKQQWPDGSVYEGEWKNDKSCGKGRLIHADGDIYEGDWLNDQANGLGSYTHDNGAKYIG EWLNDGQHGRGIEEWPDGAKYEGDYQCGKKHGNGKLVFADGSYYQGEFYQNEIQGQGTYQ WLDGRIYVGEWMNNKMNGTGELKLPDGKIYKGEYENDKKHGKGVFKWEDGRKYAGCWRQN KQHGVGIYITNQNVEKIGYWEEGLRIKWYEDKEIKLLEAQGILDEFRNL >CAK87541 pep:novel supercontig:GCA_000165425.1:CT868618:393006:396108:-1 gene:GSPATT00021184001 transcript:CAK87541 MEGKSLKKLIEIGGDQGLLTAFQSNVNNGVDSNENVLRLRQLYGENLPVEKELSSIFSMI IECFGDTMLQILLVASLVSTGIGIYKEGIETGWSEGATIFFAVFLIVSITVGNNYVKERQ FQKLYHKLDESKQQVIRNSKVQQIDSKELVVGDILFFNIGDLLQVDGLMVSGSEVKMDES TVTGESDSIRKLPYNEITEYLMMKSSQSQQMKNSNQLKKQLKNASPFMISGTKVMDGTGT MLVLTVGQNTCAGKTKLLLDQETPPTPLQQKLEGLAEDIGKFGTFVAIITFFALTVHQLI LGFMGYNKILSIETLQFVIQSFMIGVTIIVVAVPEGLPLAVTIALAYSVNKMKDENNLVK NLASCETMGGANTICSDKTGTLTQNKMTVTGLWIENDIFMNQAIYDKKDAQVPRQMQELL AESVTFNSTAYPTKTETGNFIQTGNKTECALLELTDRFGYSISLYRPTDKIVKVLPFSSR RKKMATVIYYKGFLRVFVKGASEIILNQSTKLIAKGQEHYLDENKKKQIKQDVIDRFASR SLRTIAIAYKDTNYKGTQHQLKELAFNLSEEELEKDLVLIAIAGIKDPIRKDVPNSIKAC NKAGIQVRMLTGDNTLTAIAIAKESGILSSAQPKEYECMEGKDFRENGKKVLRIANQEIF NKISKQLKVLARATPEDKFMLVTGLIDQGNIVAVTGDGTNDAPALKKADVGFAMGESGSD VAKDAADIILVDDNFSSIITAIKWGRNIYDCIRKFIQFQLTVNIVALFMAFLGAVILNQS PLNTIQMLWVNLIMDTFASLALATEPPSSALLDRQPYKRTQPIVSAYMYRTICCQSLYQL AVLNCILFLYPSDELTKLSIFFQTFVIMQVFNSITCRQLDYQSLNPFNNLFNNGMFWLIQ LITVSIQFALLQFAANYVKVRQLTIIEHSICAGFGVLGMLAGIIFKLIPEGCWRRVHLFK ETEIAEENMDATLTSQLRRKSSQRLHSKVMINVKSGEHK >CAK87542 pep:novel supercontig:GCA_000165425.1:CT868618:396355:397928:-1 gene:GSPATT00021185001 transcript:CAK87542 MEESPATAEPLIQCKMFFIAVVTIGQYQEQESKSIYNFMDITQVQSGNDLIEAAKQNKMP ILNASVDTIPNIYDIEDADKRTVLHYLALNSNTFIYNRLLIKFQPQAQVWLKKEDKYNLQ AIHYFVYSGKIEMLDEIQRYYQPKNLLGMASYKNDVLAMIQIREKLKDEKVCCKYKDSVT PLHLACFTKSDYAAIVLMRWKHPLNIQDANGNTPLHIAAQNNNYKLIRKLIQRGGSVKLK NNNNQLPIELTTDPQTLDALKSFRFSWKSLLLQLNLKPRKRSAMQALVFLLFFSITNFGT IFLVQNAKSKDQSNGSFILFIIIVTLFSICFFLVSYSNPGYAKTNNEQNLKEILQKLEPY EICYDCFIQTPISSKHCEFCKRCVLRYDHHCPWINNCVGNDNQSLFWLFLLFLFLDFLLL IILGILQLAQNNATLSSNSFTILIILTIIECLFMIPLLNLILTQIRNYYSGLTTYERLIL GKPSNKKRESIIQKMSFVQQG >CAK87543 pep:novel supercontig:GCA_000165425.1:CT868618:397994:398588:-1 gene:GSPATT00021186001 transcript:CAK87543 MSINQQKFDTNFYAKLNNLEQRFYQEEADKQDLEQLILSIGELVMFYDLKKDPIKQYFLE KMQFILSRPFTLLNLKEQYVQKSNKTPIVHRHQHNFSLPVLKLEKQAQVQSDLNNSFEKI KTMQEDYEMQQRDQAELIQHDIDNQMSALKKKIIVRKQNRNNQNNGNPHSNFMSKSKLSD AKTSDEEQTK >CAK87544 pep:novel supercontig:GCA_000165425.1:CT868618:398693:401116:1 gene:GSPATT00021187001 transcript:CAK87544 MKNINPQSPSPYKLKDSNLNYDTQQYQELMKNFENLIKVTQDKLYSNQKKIEETCKCSIE DQYQALLIENDNLKQLLQELQLKLEQDNSDVRPLEQQQQFESFMKEAVLKSEQQNELIER LQQQTLEFQQQLLIEKGQHCQTQKQLSDIQEEFHNYKKERTLQQCYDFEVTEKITQGYSE LVKENQKQKQELKELQQEIDKLRIALNQAYISLSKQQQQQHKLPLRITNQDELQTTGTQT ETIIYQQHEILKERINNQMYNLEKFISQYLDKKKMRNKSANENKFYTDLSCWVEQAKFEL ISLKQQITALVTEKEHQKEQLIAVKQDFEQAQNSLTQETQVLKSEIKNQNEKYQILLEDF NKAQIKYTEIAEEKKLISNVRIQLESELNQLKSQYEQLQFESNSYDTQFKIITQSYQSDK KLYEDQLEKQQQKNLSLESELSQSLLQKEQIINQLGLANNNIQQLQQQLKEAQEKANHSS EHFEQTQEKITFIIKEKEQLMKSNLELEQNQQILKENINKLKEQQSKLEKERQNNQNLVY EMEKEKEDLKIYCQKLQQDLTERNVQLTSLKEQLSNMEYQLYLTPSDDKSRIENTYMSSK IEDLESILKEKDQKIEDLERLYHLTNYELRILQDYSSTFERDLEFYKTKVQKLEQELIEQ QNVLSISKASVNSNIPTNKFDEQARMNSSPISSLKIDSQTTNGSPQIQVKILSGEIQRLS QIVKIQQKKLLDLQYFIEKMITSNEQNRLLAKQILLQASQCGEINGYNLFEKVNLNTQIG VNSCETFGINVQSIEKHEF >CAK86038 pep:novel supercontig:GCA_000165425.1:CT868558:95:704:1 gene:GSPATT00039743001 transcript:CAK86038 MTYFESNILLKAALLGSPPPTYQILAGRQQPYSLSKLNKLRYLSSVNMLYAIFIAIAKYV SEQQVQNASSQILQVFHYASLYQTLLLVYFRNLQIICQEISVINRNCDIQSFEIDQTKIE IKWRRKEKRVQQNFSILKAHLSKIANAQIKTQKQHYQLTRLFCNENPKQNLASLTRQQEQ TSIKAETYLNLEN >CAK62994 pep:novel supercontig:GCA_000165425.1:CT868023:3699:4537:-1 gene:GSPATT00032846001 transcript:CAK62994 MNLIDINIYEDYLYILDHENGVKRLRLNEIEIDVDFYINQPGCSIISIEKESIILVQHNQ QRSIIFEGIINGNSWILLQTKQTMKKSIKNVKQLRDYAILLSNPINNIHRKYMIDSIANK MMLEGNDFYQMDFLGIESIDTNYLVGIFKYGVALYYTIELPSSIVCYAQTPQMSKMQMIS TECPNKNQQNLLNYCSSNLEYIFDVRGALMNEFQERIFIYLCIGASVIVTILVVSIISIV RRYQLRKEQINYLKRRGRRSK >CAK62995 pep:novel supercontig:GCA_000165425.1:CT868023:5740:6555:-1 gene:GSPATT00032847001 transcript:CAK62995 MSKNLIIVLLITIGFSYEVPNMFYTFQQQWPGSICLTKQCYKDNVGNYKGQSWAIHGLWP GATNDSGDCEELEACTNNKFKESNLSKTTMTNLDQAWVGFFNPSGSFRAHEWNKHGTCWD EKDDLVPQVPGMNVQEEYFQTTLQLWKSYNIYDILSAAGIKPDDNKLYDTDSILDAIENK IGSTAQLLCSKDSNNKLLLISVSFCVNEQYQPQRCPCDIYGGIPCGSRKNDKVRYPLFRL >CAK62996 pep:novel supercontig:GCA_000165425.1:CT868023:7386:7883:1 gene:GSPATT00032848001 transcript:CAK62996 MNFKTFPKFPQPQEQIRNRKLRSHTIETISQSFSNAEFFNRQKLYDELYNTNLFQINYAN CLRNEQTNKQSKFKEIVESVQLKFTASPCNHKNNSINLQKSSIQEIVEQLRKQKRASNAK LKNNDTTSKKQTQLNLNFNLVITIIIICYLVLLNEMIKPTDPNSK >CAK62997 pep:novel supercontig:GCA_000165425.1:CT868023:8247:10459:1 gene:GSPATT00032849001 transcript:CAK62997 MYQQQSLRNLFLRTNGLIRIRPKVRVTDNYTLSLVYTPGVGHICKVIQSDPDQLYDLTIT NNSIALLADGSKFNLAKPQKMIPNLEATSALYKAFYDVDAYPIVLNRNIMQNVSDYLLVI DNLSPTYKTIVLIDIESSLACQILQAVEKTKLDCSVIITNSENLREQLYDAALIKATLDC RSILKPSQLEVVRKAITSIDFKGLPNNLTNILISAYAQGLQEIHKNKWYHHTVRNVEPDQ FVKKLNDLYIYGDIAQYNKWSDGHLLQKNDFYQNALELHRRYNGMITIELKFSPRSLEDL FKIYESSYKKDELGQLRQMLIDDPNKLREITFQKNYAAIITNGTAILGLGNIGPAAGSPV MEGKSVLFNALGGIDLMPICLKEKDPQKLVKIIRCIAPIFSAINLEDLRAPDCFPIEEEA VHNLHIPLMHDDQHGTAVVSLAAVINYIKLTKKNIKDLKLVINGAGAAGVAICKLLHGHG IQDIIICDTTGIIYEGRPQNMNEFKNELASFTNQNKIKGVLKDAVKGRDLFVGVSTAGSL TKEMIKSMNKNPFILALANPEPEIMPDDAIEAGAFIIATGRSDFNNQVNNSLAFPGIFRG AIDTRAREINLEMKIAAAYAIANSIKDSDLCPTRILPGALTADIPANVARAVAIAAMQTG VAKINIDPDKVFDQARKLIMEGNMPSL >CAK62998 pep:novel supercontig:GCA_000165425.1:CT868023:10485:12211:1 gene:GSPATT00032850001 transcript:CAK62998 MQQIDIDNHHLNFQLELQYARARQRQRRRNIILIVFAIMSIFYVFIQPNQYGNKQNQIQY SQTNGTNGAINITETNSTLLQIQKHFEILEKLLISQFQGKWTDTQLTSNELAEANFYKTS YQNRFQIQLHLKLIEGQFLEDYYNQFSFYLNSSQLVLPLEDNNFVFENVRGSYRRSSVYE NDQSSLEIFANLTMRLNNINMDSDTQDDIGIELDVRYTLDSETFSFNCNLNIINIDSKAI IYSTIVSVLGVIQFISCLKLMKDFINENRMASQFSLIIFVFLMIYDGYLGIVHFFLALQS EYFLTPAFLELVLFFFLELKLAKHIWQERFINLQDNLNLRRELYRFHLKFYLLAIVVVLL LYYLIYYNVFLIAMNLYLLPQIIHVAYKGQYVEFDKIFVFGLLSTRLFIPIYYRGCPYNI LHAQVSYLTVTIIILLFAAQVVLYYYQCKIGPRFFLPKWLRPKSHEYFIEQPIDGECAIC ISQLTEMPTESQLDNKFIAKIISQNQNKLMETPCGHHFHSICLTKWMNVKLNCPTCRSVL PPLI >CAK62999 pep:novel supercontig:GCA_000165425.1:CT868023:12292:14103:-1 gene:GSPATT00032851001 transcript:CAK62999 MRRSQNQMYGQINLQNLIVIINITNYMRENFKTEISETFTKRQETYSLQQLGQSSIFLED QKMSFKQSIFLRNSYNQVQVPYSHTLILPKPDYYIQKEENNLIISGKTYLTKAMEHRYLK ISCLNIVGPLRCFIQCSSEFQVLISQVHPFPTNHNCEQVINNRGFQMSVTTGEFIYISFI SRKDSEVTFNIQSKIQKEENYRLKTLQDNPTNDNLHSANSRSFQYIINTNKEQARIKKNK YYDEISRSDRFLKVLSTRNFQKYSQNQAIVQKKFDIKAKQLSNQEKILQRKLNLVLRQKF FFEHQWAILLQIIKMGQMIANIYYHKKRVIKKYRLAAFKIKFILIFLRKQLAETKGLTLN TRITVDAIIALKMRAKIVGKLVRIKQGQFLLPYFRQRAIIYDMKVRMLEKANKILIIKKY VLLFEANYFQYKKEMITKWEKTNEKIREQEIKEKQPVQRNKAIVMWFQVMKDKDFAQQMR NCFIFELMRDRIRIHLQDQQIIKKFKFDLKYYKQKLRICRDPSEAISYRQEIHHITNEIY AMHMKNQLFMHVDVEKYFQRLVSKYIVLVDETENLPQEIQTSQRHKQRQSRISLRKGMRK SKI >CAK63000 pep:novel supercontig:GCA_000165425.1:CT868023:14268:16601:1 gene:GSPATT00032852001 transcript:CAK63000 MEEQLINVIFDPNFQSFDQELANLSMDKMMSIQFSEELLQKNFAVLISILKQLHKGMVLL NSKVSDNSDWVQKLKEVSETPESKQQNKDTIDQITAKLQEIQEVSQKDYVTKEELDQTNQ KFNRIVKQQEQALQDLEGEQTVQAKKIEDLNNNQTQQSEQLNKLSQEIENIMKQMSGFQN ELHEVEDRKPEQIIIEKEIIKEAPTQPIALPIQYAGNDDEIKRLNQTTLELKQKQEQLSQ ELANLREGLNNIKVPEENQPVDLSDINKKLDGHESEIQSLFEIVDSVRQKLKDQNNNQQS DVSSEELIQIKNDIKKLQSQIEALQTQLNLATFGQGQDMQENQVALILAEINKLRDQLQN YCTTTDFQNENAKRELRIKFVQDHADEELEKARREFKSKVDKKVEQTEIAKVEKDIIQLR EQLGKSQQRKSQPNIETPLRQSNVEKELQNQIKELQQSIKEIEGDLLIHKTQMNQNNQLT HVKLTELEKKIQGIQTDKIYIELQNQREQMDLIKRDQDQNKIKINNFGKKIESIVTKDEL FQTFDPKIKQVRDEIQKVGDETQLSIDRKADAQEVKDLNQAFLDQLQKVVTELIKTLANK QETKKALIYLEQKINQIFMILEGDGSKDQDSLFAKKPLSWSCASCDKDLDKYKGQLGDFK NWAVFPPKETSPERMGKFGIGYKNMQEKLRSHREKLDKDRSQNEKDSSQQQQSMTLSQSQ TQLPKIKQ >CAK63001 pep:novel supercontig:GCA_000165425.1:CT868023:16712:18979:1 gene:GSPATT00032853001 transcript:CAK63001 MNSPYKYPYISEPTPDVSINQGFDLLWRQHSLKIFCAITKFILKLKTAAERYRFKTVTYR IHEIIGDQSSNYDFYQDKNLVFSKISLSQSIIKYFNSIMPQIQIGVIQPDSKLKLLLDCC ILVFVIINIFYIPMELSFKIDYNQEVDFFFSTLPSYIFLLEILLNFNTAYYSEGMIHESR KMIFKHYIKGDFIKDIIVVIPFLISQYNIPYINFVLLLRVTRVTKMVEQIEEITLIREKF AAPLDIIKLMFFMIFVSHIFGCAWHYVGQYEITQEIDNCWLIKYNLDNSDWATRYVASLY LATVTAFTVGYGDIVPQTTYEQAFLIFMVLVTSLIFGYTISSIQTIFGQLREKTDLHRNN MAKVNSYMKKHKLNPLLQMKVRKYLDYFIDVDDSPEQLLDKLNDDLKLELKSNIYVQVMK TCSIFSRFSDQTLKQLSKVVKSQTYIPGSIIFSQGEFINTACFIIKGVVEFNINKVSIAT QYKGSLGSKEFISSEQAHYHAKATQFTEVAYITQQEFFNVLKSDQSSYEQYCSLRDDIIF NLIPIQCLICRRTHELMNCPIVFYKPPQLKIAQDKSLNVILDRFPQSRKKQLKSKAITRI QETIEYALEAAFDYGIIQEEVNEDFLMRCGYKEKKQGQVNPSHSSQIETGKQQMDLLKPP KMYKKIFQSSEYNQSEYAQNDDEKQLEQIHKEFLTFKKEDIEGIDKNEELVCYRKKENLS NILNCLKGNKYKLENTRITRMKTYKFKSFLKFGVK >CAK63002 pep:novel supercontig:GCA_000165425.1:CT868023:19127:19348:-1 gene:GSPATT00032854001 transcript:CAK63002 MINWAANDMCQNNHKLQWVKKHIQKCAQCGPVDTMCRYGCVQCNLYYCVKCRQPPVMGDI CGGGHEYILSIID >CAK63003 pep:novel supercontig:GCA_000165425.1:CT868023:19392:19796:1 gene:GSPATT00032855001 transcript:CAK63003 MNNQESLDKVLQLGQQYEEQYQIYFKVGSNKENKYKMQLKLQIKLNSRFQKTEKKLKNTY NRNDKFDQIIHLMNQFKDLSLYAFRQSASILPYQVYFIQKEDKASLIQVYFSKKEYIFIF FCCGLYNAYSVSLQ >CAK63004 pep:novel supercontig:GCA_000165425.1:CT868023:20074:20692:1 gene:GSPATT00032856001 transcript:CAK63004 MQAQSIGEDCMELFDANTYGDGQERNCGLCYKPPTYEEIEQESRINNKKLIPNDKEKFIE LILKEQQVRLDKNVQDLYGQLNYSGVVEELIHAEIFKHFGYDDCPLNHKLYYALTRKYIK DPELKKQVFFWRNNVMHGSKLGLNEQPPEIQLLNLEQQKVALQELMLKSHKENRLLVAFA GSIT >CAK63005 pep:novel supercontig:GCA_000165425.1:CT868023:20711:21118:1 gene:GSPATT00032857001 transcript:CAK63005 MADYIAFAQKYKEICKCIIVYIKEAHFVERDQEGKFVDGWPIGFYDYEYPQHKSQEDRLK MAKTLKEEYSIPDEFEIYLDIFPQNQFDETFGIWPDNMVVFKELKFIWRGIVNLDGSRDK YHSKLLEDHLKQLKY >CAK63006 pep:novel supercontig:GCA_000165425.1:CT868023:21177:23034:-1 gene:GSPATT00032858001 transcript:CAK63006 MSNFQFESIEYPEDDESFVESDDSDLNPFLFELDQQKSKDERVEMMYQALLRNRDKILEV ISDANHNLKKLKKYASVLQKEHQIFNILKKAYEDLKHKTINHEQFVTSLETAYEKQIQEL TNESQALHQQLAQQAQEFEKQACLYKEQLAQKQELLTLKEQEIICIKNNELQLSDKLKNS QVDLVKLQKETNAIKKIKTSNFLNLNEKSDFPFSTFELIIATKIKTQLISFLSSKDIVNL CLSKKSIYFALCQFKLVIPQVIRCSTIQYQSQMKLLTKQVDLFKKLSNDCPEQVVKTQII KYFDAFLNPNEWLDPIIVEANNFVKGTQFLGRLEHIENPDSTDGLKTFVQSMASRSQQTA NLLKSDPFNLSQFTANLIVNANEREAFQKERQNQLKKLKTSQSDVQEKIFNLGTVVAADV GLFGLYLATMQRIFASLYVFGSYINIECRSQSSLIYYLVEQHWQLIQKQTILEQMIQDRQ SRIQNNNNEIKGLNEQTKGLQDLIKTLQASIKAHKIETENEQSEKAKIKQELTETKQLLF SYGKNIKLLALEIRELRKVNKEIVMQNNKAASQISDLKSQVASISV >CAK63007 pep:novel supercontig:GCA_000165425.1:CT868023:23116:23628:-1 gene:GSPATT00032859001 transcript:CAK63007 MFAFYSDLIDKSYCPFPKLGTSQSYVNDVNTKKENIKQYKIPSKKYNVITDEQRNSLIKM ITEDGISIKNASEKCSIKLSTAKAILKVFKTSGRVGKKQCRQRKAGTKRKIGNGRVDLMM EIRKRLPKIGFKQISQYLCFATQQQFGKPVKEEQE >CAK63008 pep:novel supercontig:GCA_000165425.1:CT868023:24534:27192:-1 gene:GSPATT00032860001 transcript:CAK63008 MSSYRKNCSKSEISVNDTSNNINVPVLQQINSLNSQPIMQVRRPTDLNSSLNPIDSPLST HNLQKSPLCTPGVLDGRATQLNLINEESSVNEQSDHKAFESLIKEKPPDINDIIIREPVH WSWIFTHPGTSRDDDQCNKFGMYLGDKAYTEVDNKQDIKVNIKPAIIVVQIILYIYYLYQ FPLLLCGIAGSDYKQAYQLQTQLPLLFINFILFILNTQGTVQFFDYLPLTTFIPFICALI DINQQVIFMQIIHLVTIYKFYSIFKQLLSIAICLRIYSLYFFTVRISQIHFFQTIFGFLL VTTQANQQQLNLDEFYQNYCSLINILIITNNQSNLIYPIKITVIIFIIYQFTLIGEYVNY LYRNQNTLTQGLIELSRIIHQLPFHLRYESLSISNKQILSRLETRNLIDYDRLPNQLSNK LLNAQYSNVLNKIPLLEKCLTQTTISNILMNASKERILEPNEILIQVNQENKFLYFILSG KVKCYQQRENTQFPVDVNDNGIYNQQGFFLEQQAEVGVKCVTCCKILEINSEYFWKEVKR SITELEKIKMGLDRVQFNQEFQLIAVTCQVCKGNHLINNCKNAHYVPSRQILMDFIYFDE INKRKKYQRKNHTRKFKCMKNNSMIEQIVCDFRHQLLQQSDTFKPPKTITSIGMQSEQQH SEQSDEEHNKMSQYVQNLSPVQYVNQVGSSASSYVLKELKQPQQIKSPKDSVMVHQKRQQ EKRQSHVTKIERDLSPSIIKYATIQDKKSQKSRSIISEDHGNGLLPGSDHRQFSRYGIQS SKFTNETAKQFLSNPLDMPSYDMNQSMDKNCSYNEYYPRNNIDLALLSYKQYQDSIISNR SNQNV >CAK63009 pep:novel supercontig:GCA_000165425.1:CT868023:27307:27534:-1 gene:GSPATT00032861001 transcript:CAK63009 MEGRQQTRKLYAKDEQQQKRLQTILTRIGSLKEERMKLILVLGGSSKLNKQMKILEFFEK EIQSQKQFCKWKTDV >CAK63010 pep:novel supercontig:GCA_000165425.1:CT868023:28097:31141:-1 gene:GSPATT00032862001 transcript:CAK63010 MSDTQNQPRFREIQYNKIDVQPISHSTMKELFDSLEKPSYTPTFEKNHEKQLKERVYLFK VIYDGQSWEIRRTINQFKKLEHDIAQKKQISSDLEKNDPKITLIQLHKFLKLITNNEIIP QCVLTFLEISCINLPFKAKEGFMELNLFGKQRLFNPIQTLTQSKKKYFIITQQSLLYVKG PEKKKLIIEACLSFSYLMAFCVLDVILLGTIILISLPQMRLYLTSEHITDLLDFAYSLSK QIQESPYTKLHRFQSFSPIRTSECKWYIDGNKYFEDVCDAILQAKETIYITDWWLSPELY LKRPESEFLHTRLDNILKMAADKGVQILVLLYNCLTFILPNDPQHSKIQLESMSPNIRVL KHPKVPRSSSHHEKMVVIDQKIGFMGGLDLCFGRWDNQKHPLFEVHPFEQLWPQIDFSNS RVRDFYEVKKYQQTLLKENEPRMPWHDIAIQIKGDSVIDLSRHFAEYWNHVILSQEDYKK YHLLHANDLKMEGFVVSNTQNVVQAGREESNIQMKQNIFVDEIQTYQDQIEMNQTAQLND TQSEQQFCEQSFIQQQQKEFEICQKNQKIFMETQDEIEDEEDDQYILESEYNKKEKKSHS QCNKSSSKVEKMPEEVNGQIEIKYFIPHIEIKQNQQRCITQLTRSSGTWSNGIIQTERSI QSAYLSLIQKAKHFIYIENQFFISNTAGNSVRNLVAQALISRIKEAHEKQQRFKVIVFLP LLPGFQESETALVNIILHFEYQTICRGGKSIIESLQSDGINPENYIQFFSLRQHELSPYP NSVPVTEMIYIHSKLMIIDDDIALIGSANINDRSLLGTRDSELAIIVEDQVKVNAIMDGK PYKTSKFAHTLRTELYMEHFDMPYEKVIDPLSLQFEKESTAQASINTRVYKEIFACIPDD DIKKQKDIETFRATKRLEEYEKLKQYIKGHAVTFPLNYLCDENLKTKVTQKAYYIPEKSF T >CAK63011 pep:novel supercontig:GCA_000165425.1:CT868023:31680:32651:1 gene:GSPATT00032863001 transcript:CAK63011 MGNQQQILKLTKTKQFKRKRSTNQIANSVIVITGASSGIGRELALQYATRGVKLMLAARS EEELQQVCALCEQLGSRAHYKITDVSKEEDCKLLIEETVRIYNRIDIVVLNAGVNAHSFF EEFKDLSVFKKIMDVNFYGYVYCTKYALPHIRKSSGQFVVMSSISGEIGLPYRVPYCSSK FAVTGFFEALRTELEDFNVAITIVCPPSVRTPMRDHDLLKKHSPKDESEDRITISECVSM ILDAADRRARKIFFPFKAYMSVYVRPIFPDFVDKRLKQKAKL >CAK63012 pep:novel supercontig:GCA_000165425.1:CT868023:32659:33369:-1 gene:GSPATT00032864001 transcript:CAK63012 MNKLTLPTDNEKNEFFDEDAISKSTIQAVNNSFDKEQLDEQIERPFTPVDTQIEMIPKEQ CPYCKRQFFEGRLTLHLRSCTAEHPFVKKSKKQKTQTLHTQPTSLPCPNCHKKYRNLKTH TCQTRTKALEKYKVVAQINEEEEAEETLIQELSSSQPSFLPKIKQRVENILSRSVPIVKC PQCQKTFEQKAYEKHINLCIRMNSGNKFQRSIFCTNCGSKFANNHKYCGSCGKKRL >CAK63013 pep:novel supercontig:GCA_000165425.1:CT868023:33380:35216:1 gene:GSPATT00032865001 transcript:CAK63013 MLIKRLSNAIAKYRFCKEILDFAKPNPIKQQLTQHPLNYMVVHPIGSQNKGPELEFYMAE EAIGFSKQLNWTLVKGSFEWNNQTKIKDMNTSQEKIHQDQQDNQSQKQREQQEFQEDDLG QEWGNYITGNSIVKSSLVKLPSIHSTTFFTKAKLSMLGQHIQSKGVNAVFINHELTSLQT RNLKKVWTQYSRGEIASAFTEDVIDNDENNKNAEEQAKSDSEGLSVQVYDRFTMLLLLFA KRSTQGISKLQVELTFLKFAKAKLETGDSSLQTLASIFKEQLMVAKEIDFEILSAKQKKN QGKNNEYRENELLLQRRIIDDKIEKVKQLIEEDNQSRLKIKRKIHAHTIPKIALIGFTNA GKAQLLNCILQKDETESKDQISQILSTNQKSVRLASGQKAIILNTIGFITDLPQDFIEVF KSTLGEVEDADIVLHIRDISHPHSEKQKQVVYGVLKDLGFNQDFFNQKMIEVWNKIDLMK HSLDYDQIESYDYPIVPTSALMNINIQKLLQVMEEKSNYIMNKKLYRLKYNIDQHFERLR WLYDVGNISGVKNEVQKLPIKRGDPTIIEYDVIIDEVTYNRYIATFQPEIRIKKDQGISP KGWK >CAK63014 pep:novel supercontig:GCA_000165425.1:CT868023:35717:36082:-1 gene:GSPATT00032866001 transcript:CAK63014 MQSEFLIHNLNSFKNNAVSYLDSETFEEFQKRQKDYDKLEEYPYHTRQTRKTLDKYHYSN TAKEIKQYFFKQREIQKIISTSKTIMLNNKKQPKKRQFNLLQIIMIIIPILQVMTILTEL L >CAK63015 pep:novel supercontig:GCA_000165425.1:CT868023:36350:37522:-1 gene:GSPATT00032867001 transcript:CAK63015 MFSSEESTLELSPQFITDVIILKITPFTSVEHIKHIFSTPNLRCCILECYHFGEMPHNQD LYNALLQAQSSKGIILIQISQCIKGQPIKNFKKIMNGIIVQYDITPESALAKIGYLLGKG FTNQEIIEKFPQNLQGETESVRQFEKFEGQKQHFIQTILETLQKTSGDEQISQNMDIMNK YIIPNLGCFLATTGQLDLIKDLRKNEGNLNIPDFDGRTILHLAAASKQIEIIKYLIEEVH VNINPVDYLGYSPMYDILISRDKELILFFQQNGGVIEAPHQVMVDLILTAGLKGDLQILE LIFHGGIKNLNEFVNVDSRNIGHMAVMATNSKIIRFLRFTAKFNFSERDRWGNTPYENAI EIKSKKIKVQNLNDVAIEEIIEMLQTIGND >CAK63016 pep:novel supercontig:GCA_000165425.1:CT868023:37623:38342:-1 gene:GSPATT00032868001 transcript:CAK63016 MSSDTESPIFRRRESRRDSTLSQITGAIGANQNLQVLNDRKVLLLYASGATAAEGELNEQ HMTVVKGRLEQRMKHISFLCDLEYTQYHNQDGCLTTPVSEYGRRTVYKVMELDQITNSRQ TSYADIRHIAEIIKENYEKFSAFVILSGIATITYLGTNLSFMLENLQKTVVITGSLIPLS FMRNDAFQNILDSLILAGHFLIPEVLIVMDHSLQSQQMSIIEM >CAK63017 pep:novel supercontig:GCA_000165425.1:CT868023:38383:39702:1 gene:GSPATT00032869001 transcript:CAK63017 MNYEEALKIAAKEEVAFFGQCSKFKERPTDPAPVDRAIHFLFLKENNVDRKTALQFGMRR LCKIDSTRHFDGPADHRIMKTNKLLSGAVNGWHNGAAEAKFDLGSGYTIDVGKQQDHSFH QKNNYPIDLRVQTQERDKITMQRFEFSKFNTERLTQELCKLKEETQQKNNQPKLYVEMAG QKIFHLLDQDNHKPSLLQEFQAFSTIEDLVRLHQVKSLDKIEPTSNLQESSTSRSRQRAM TNLQDQRHMQFQKKRLSAYTGEDSQKIYKKLLKQLRSDDQIVISSGKHRRIQYGRQMNPP NTSRRNSPQSFFFNLTNKQTVPSNTSISNSQQNFDSSYKKPLIQRSPQLEKTTVYLEQKL ENFIQQRLIIQNSKSLSPEIDCTNLQFKKDLFKQLKQKEIQRISKVRINSQR >CAK63018 pep:novel supercontig:GCA_000165425.1:CT868023:39961:40244:-1 gene:GSPATT00032870001 transcript:CAK63018 MYLKSITCQEMLSKIDALKCQLESEKKNHEMEFKQIKLDGQKEIAVLQDEFKKLQEMVAN VQFQQCFKMKSENKKLNRKILFFMIC >CAK63019 pep:novel supercontig:GCA_000165425.1:CT868023:40278:43118:-1 gene:GSPATT00032871001 transcript:CAK63019 MDNNNENDENPELLNEEEDDDFPEYANEANKELNEKIKLKRRIIRDINSKIEEKSDKLKV LSEHLKNIQQELLHTQALIDTKNKEIETEDHLKQITERQIGRLQNEMTKQQKIMIEQQER LNDVQNSIYRGNERLDKYKIEMNWNQEELEQWVLAARQKEEDSLTMEKYKRGDEAKIKEL NLQIEKLTVEVSRRQNELDREITETQTAQIELDKTAEEFRRQHDERHKLFQQWQEVTDNI ARRDQAIRDESERYADIKAQIKANQQDLENRKSQLMNEKQENKSVEVQNQQLERTIIQQR QSNKDIELAIDNLKADVEIQKNQLSAFATDLSNKRNRLAQMSQELLIKKQRLNNAQKKYA SEKQKLKLEDIQHQEFEKQRSMASDRYKNLEKQRIDLDKEIKLQKEVLFKATQDLFKLRE NEANLYGEIQANVSACRNYQAHINKLIQEFQRQQELLYNAEYQIQLMERRNVLLEEKKDL ENEIKEIEEKVNLQKKEYKTLLQSLKRLDDDLRGTDRQLTGTKGSQTKLKQAIDELTLEN DMTYQDLSKIVKSKEEVLVQHDFMKLEIQKIQKVVTTAVDKVFNLENRKYQLEMAMQERE QEIQVHKDVLVAEHKAAEEERHKIAVELAERQNRVKNLRIKYESLVQKNKSSNGEQDTQN IEEHSQAYYIIKASQEREELQRKGDELNAEIIKRDQELKALDNTLSHLKNRNSKYRDSFL NKGISQKEVSHRDGLEEQCRAASENLFKKKKELQRLQQEIDEDMRRLTEIQNRIEILDNQ EREMEMQFQKLEKELQDQQEKINRAENIMGSKLAKVQQRRIVLDESNAHISALELDIQNN LNKTLKTCIFNLVQEFPEMQAIIDAVFQEKNVTVPSKQPSSVGVPSVRSSVRSASSQGRR >CAK63020 pep:novel supercontig:GCA_000165425.1:CT868023:43244:45279:1 gene:GSPATT00032872001 transcript:CAK63020 MTDKDTKIKSLEIELDNRHQQILGLEDKIAKLQQRIDQNADVVELSEKIKMLETQNVKLI EKNQSDVVEWKTREREFKQQLKLMQEELSSVKAQLDEANDQLKNAQPQNEESKVAGLQQT IRDLQHDHNDLRSINDKLLQNANQLSIQNETLKGQLLNSEDHKKQLQLQIQDLNNKHNLE LEQLRLLNKKLVSDLEHKDTLQEQQMANLLKLQSQLEAKDSQKDEDLNSITKQKEELLLE NDNLKKLNEKQLQEIQILTQQIKSQNQQITDKDKLIQELQTKVNYEHNQMVQQQQNVLKL EDKLKEQTVQFERSIKEKDSQVQDIKKNYEIKIAQIEKDNAIILDQLQQTNDQNKELLRQ IKLLETQCKEATHKEMEKDKKLIDALERMKKLDQELKALTKQNSGNREQIIFLESELSKV KEQNRLLHREKAEIKEKLDFLIAQSNRKGKLTEIEGLKKQVTELQMELGLIKESHKVAQT SLKSTMDSVSYFKGRVKKLDFENHSLLDSKIRLESLFQELLESTKTKKSAYRNLSEVSLK PPQSNMMTENASSILDPTSERLTAKTNTNQATRSGDVRSLFKRPIQVMKIRSTPKRQSQH DFKKSEQANDTKQQANNNSFQQQEESIPNDESQKIHPKDDSEVETL >CAK63021 pep:novel supercontig:GCA_000165425.1:CT868023:45400:46554:1 gene:GSPATT00032873001 transcript:CAK63021 MNSKEKIAKYKVRVNDVIQNMVYELFKKQPENYIDWMIEYLEVRRAKHNVRTTQSLQIEY HISSEEENAEDVDELEKAVKKEQQFRTSVSAEVYGLYNKKEDFQPRVIPKSQDQRERIEN KLSGVFMFQALDQNERNIIIDAMEEKHFNPGDWIINQGDDGNELYVVASGQLDCYRRFSK DQEPKLLKQYQSGDMFGELALLYNAPRAASIQSNTESVLFALDRSTFNAIVKEATVKRRE HYEEVLSKVEILKSIDSYEKTQICDGLKEQHFKAQEYIIKEGEEGHKFYIVVKGSLIALK QNEEVLKYQSGDYFGELALINKVPRQATIQAETDCVVVYLDYQAFIRLLGPIENILLRNA ENYKKFVN >CAK63022 pep:novel supercontig:GCA_000165425.1:CT868023:48018:49234:-1 gene:GSPATT00032874001 transcript:CAK63022 MLRIVHKLRSGFAKMTVREAINSAMAQEIERDSNVFLIGEEVGQYQGAYKVSKGLYDRFG KSRIWDTPITEAGFTGLSVGAAMYGLKPIVEFMTFNFAMQAIDHVINSAAKLHYMSAGGL RTSIVFRGINGAAASVAAQHSQCFAAWYSQVPGLIVLSPYDCDDARGLLKAAVRDPNPVV FLENEIMYNEAFEVPDNVMDKDYVIPIGKAKIMREGKDVTIVTFSKMVKFSLLAAAELER EGISCEVINLRTLKPLDRTTIIESIKKTHRVVTVEEGWGQCGIGAEICSVINETNAFFHL DAPIVRVTGADIPTPYAFNLEELSFPKAHNIVEAVKLVLK >CAK63023 pep:novel supercontig:GCA_000165425.1:CT868023:50090:50732:-1 gene:GSPATT00032875001 transcript:CAK63023 MFLSLHPGLSFLYNSLVWIFILSFIKTIKRVFFKKVNIIFMFNYSGMLPKSQSLYFHPPL QVLSTRNVQTEPEISKQQHTVEPHKKYSVINDDRRNLLIKQVLEEGVSVKLAAQANGIKL STAKAILKTYKTQGRIGKKKERQKRPKLNRKTVEKQLDQATLIKKQLPKLGQNFVYLPYS YQPPPLFYKIEHSNDVKTEI >CAK63024 pep:novel supercontig:GCA_000165425.1:CT868023:51832:52662:1 gene:GSPATT00032876001 transcript:CAK63024 MLYINQKIKFYVKSQNIIEKINSDLMRYKNHIILSMIMNNLRLLQIIIFLNLQILNSKLV RILINIYHQQKIIMHSFFKISSLDIYKFTLSYNQYQQQQHKMKLNNRGSNKKWQRSYKLG KKEYHQNNQQNRRQNGDIRDNKIFNILKNNIQNILRKQQLSFETYIYILMEQIIDLIDQQ ERNINQFTLQKEAIARKIEKINLQVPSQKTTLIQYIYFSILYMIILYLSILLHFKKNPTC QQKIYLIQSLRLQNKQILEQLKILIIQPTKLPRIIY >CAK63025 pep:novel supercontig:GCA_000165425.1:CT868023:52846:53118:1 gene:GSPATT00032877001 transcript:CAK63025 MIIEPFLGIQQQGNLKRVLKFSKKGQRQNKSINYFETIRFQNYVGIQGHNKPTIWFNSLI RILQMNNQLDNEQIREKKNIQNQSCLVRKE >CAK63026 pep:novel supercontig:GCA_000165425.1:CT868023:53573:55305:1 gene:GSPATT00032878001 transcript:CAK63026 MNRQFQQNCPFRQQYAGQCDFITKSDNTLDKGKVKLIQQSPSFAEYVNGLKEGGKKKNHR HNTETIRCPILPKIEAVIPDLQTMKSHFVLSLNKSTTISQHSQSYIQHSNPNTPRSKIGI PASITRRSLPPQKQVKLSPITPKSSFCVKSNFEEDDGIFLTKIGGEEVIKEIAILFHKHS QIHPVIQKIDDPAMYESKFGTFLEYIMGKPVFFNIEALKQKHIPLKIDHALYNQFKSYLI SSFIQSNKGPPELIFEFSALIEQYKYCIITSEQTFAQIYNQRTENNKNETPKSIVHLADL TYQKILDDQTLCEYFLGIQMDEQAKKLGSILHQMMGWNSGIDYVLNYLRKSHQKMKLTNV HFTLFKQYLVEAMKELGLKESQIELITKRMDGYRSCIVNQDCLLDFYFQSPTLFKVQVKK YEVLIQRDPRFRNFPDMPTLLRHAHFLLKYITHQHQPLLTNTDLRTLHKGCAIQSEWIDG FRDNFFLLIKNYNLDRLILQDYADLWFQLRFIVNESAQYRKHCWTVRAGSSAIQKYRSTF RTTRFIVIILGMQITNQNPM >CAK63027 pep:novel supercontig:GCA_000165425.1:CT868023:55370:55896:-1 gene:GSPATT00032879001 transcript:CAK63027 MINDEKQVVDIYVPRKCQYTNMILNSSDYSSVQINVGQVDENGVYNKKNNTVILAGYLRQ KGQSAAALEAILRQRGVFYHLHNETNIIYTYQYINRQNHKLQMLLLQYQQIYSARSIKLA PNFSSCITYLINYTNKLKDYSPIFNGQ >CAK63028 pep:novel supercontig:GCA_000165425.1:CT868023:56007:57239:-1 gene:GSPATT00032880001 transcript:CAK63028 MNLFSVEMKAVTWQKDSHGLFDYETQLLTIEKHVIQQQQKVYRLGQEVSTYSPDSEQDES QQYLTTVQEQGNKFYINPEGCEENENFLIVRSLKNSQGGQKGYKMEPGDIIKLGRMEYVI METKDKDNLVNQASSELLKDQFEQTASYVTSSSAICRFCLMDTQTLEDPFISPCNCKGSC QFVHFNCMRQWIESRCQIKQLNNAQSYRLKQQQCELCESLLPLKIRMDDKELSLDVIQRP STPYLIMQSRHKKEKKQGKAVYVIQFQNGEPIKIGRGHQCDIQISDISVSRLHAYIKYQD GNFVILDNNSKFGTLVKLFQAYRIEEEKVAVQVGRTVLTFVLKQQCTSENH >CAK63029 pep:novel supercontig:GCA_000165425.1:CT868023:58300:59018:1 gene:GSPATT00032881001 transcript:CAK63029 MNHSLYAVHPNYTPINLRLAEEYFNTLPPGDISKQFYDGVQYRAVKGLFASYALNRFSQY GKLNSLYKFYPYQKIVKETKEELCEDWTSNIQLAAIVTGASWTFLYQYAKRGQVLSILRE YGSVLKTHRLFRQYLYTLVLPFAIANEYTFVHYHDHIEQLWQVHANRLNKKDLSDPTGTQ YPEELRAPRRDVFINKWH >CAK63030 pep:novel supercontig:GCA_000165425.1:CT868023:59774:63469:1 gene:GSPATT00032882001 transcript:CAK63030 MNNPELEQSTQIAFFASSPKIMVEELEKVEIKSENFDSQMACLQYFSYILSWDIVNARFF YYKYQRLVELELIGKEMTKFLKLVFENKHSELIKGCDKLCEILNTIPVYKKWSNELKSRV ADHLKNLVENNFTSISAARLSQYGGQPQDKAFVKIEEREHREIELDGEVDTKYGEQVKFI KSYLTHNSFTQIIYIKSKMQQKEVDETLEEVKTIKAITPKEIKKIVKPQFEANPELFYPT KVFDKFGFTRCKCPKCGAYFWRHTDKKITCGDSNCEGKYSFIGVGTGKGAKGNKITYADA WNGFKKSLTSARVPCTAIDRYPVVARWRNDVDYVAAGIYCFQPFCVTGEMDPPANPLICP QFCVRFNDLDNIGLTGRHYSGFIMLGIQTFNYPEKYVFFKEECVEFNYRWLTEELEINPD DITFIEDVWAGGGNLGPSVEYFVNGLEVGNMVFMQYKYFHDGSYEELPIKIIDTGIGLER IPWLINGSPTSYHDVFAGAFAYLSEKLQVQYSNEVWKAFGPYSCLLNVDEIENVDKTWEY ISTQIGYDVPTIKKEIEQLKDMYIILDHTRTVMMVVTDGSLPSNVGGGSNIRNIIRRVFA VLKKNSWWEKLGMDGLLQLFQEHKNDLAKLYGPFGEYKSFDLIIKQEYERWAKTDDDKKV KLEKLLKQKNNQLSIDDWIFAMSTHGIPADTISQISKLPIPGNLYAELADRAARITKAPE AILYNTVHLPETVNLYYQTPKDGKFNAKIVTIFSNVQQQNLPNIVILNQSAFYPFGGGQD YDQGWLTIQGERHFVNNVQKVGKVVLHILDKPLSDPIDTYVNQEILAEIDLDRRTILRNH HTATHIVFAACRRVLGPHVWQNGAHKSVNNAHLDITHFAPLTKEQEQNIENEVNKIILSA RQINKGFMSKSDAEKEYGFRLYQGGIVPGNELRVVNIEGIDVEACCGTHCDSTSEVGWVR ILKTQKLQDGVVRLYYVAGVKTIEVLNSEGEMINQLVKLWSISKNQLVEEGSKIFQEKKH YETAYNSIKAELIKSQMKYVIDGPNLKTIIQSNETNPTAYFSEIGKYIQQLKDSKKGLIF VADTFLYGAFGDGNFNVEELSKQIEEEGTQLKVNKQNKISVKDGKKCIQVNDVLTFSVLG KFNKNKVLKYLKDLQFALF >CAK63031 pep:novel supercontig:GCA_000165425.1:CT868023:63473:64536:-1 gene:GSPATT00032883001 transcript:CAK63031 MNLDDIYNWILSHPQNYPGKQQESQPRTVQSYKPLEPKAQFHIIIKATAEYIKEKMFNGN GVNMKELGAFTMEVISDYVKPLQHSGFNMTQDLAIQRADRKHVHQIRPCFVPDNALKYFL ARYPGKEEITKPLSQHSIYQKGFGMNFCNAGPIAASSYLGKDVVQSVHTSLIKAIHDLTR LGHDLHIDFGFIKISVQNRDLKYKYDQSFIQRLNQTDYELKMRKSDLGTSQHWTTTYQEK WSKSTLNNLLTRPNPNQVQENYEKSMALKIMSLDLNTAEQTNYSKKQKVQLPTLNK >CAK63032 pep:novel supercontig:GCA_000165425.1:CT868023:64611:65076:-1 gene:GSPATT00032884001 transcript:CAK63032 MCDLLWSDPDDRSGWGISPRGAGYTFGQDISEQFNHNNKLKMIARAHQLVMDGYSLAHER NVVTIFSAPNYCYRCGNQAGIMEVDENLRQTFIQFDPAPRSENESVPKRVPDYFL >CAK63033 pep:novel supercontig:GCA_000165425.1:CT868023:65117:65754:-1 gene:GSPATT00032885001 transcript:CAK63033 MASKLNTVEATNLDHQIQHLYQCKPLPEQDVKQICEKAKEILIEESNVQPVRAPVIICGD IHGQFHDLMELFKIGGKAPDTNYLFMGDYVDRGHHSVECVTLLVLLKIRHRDRITILRGN HESRQITQVYGFYDECSRKYGNSNVWKCFTELFDYLPLTAVCRILILLSPWRYLPLH >CAK63034 pep:novel supercontig:GCA_000165425.1:CT868023:65815:69075:-1 gene:GSPATT00032886001 transcript:CAK63034 MNQKPLKSIHFRVETHIDLSSKGKISSVESNSQRYGSPNKLPSLQNICRTIQGEDGTVFA MRSLMSRANNVSSNRTSRTKWVLELNERKDLQQLEQWTDLMVQQLQLQQYSNIRDFYEKM ELVYTGSITQLGQQLAVKCIDYQTILNKIWSSFTHAVKEIIEKQSETFRKLEKDCLSETV KLHQMYQKTLNDKGTKLQEAERYLKQNSDQNDKMIKEGRYLRKKCQKLEQELLNLRRECD FLKLQNSDLIREIDAMKVFINHQVDPSIYIHQNLVNAQQIEEKFADELQHAKKEMFEDFK HQFEQRTMIYEEMYQRKLDDLERREILNQNQEDEQILQDYQQTIFKDECVGNSITYKTQE TDTSDLLHFSDASVQTIQFKKKVYDWSTQTPPVVTFNQSVEANFSMIKRECIPRNKEEQT YLEMSRYPIQVFIDDYHEIKITYNKNTFNQELNDLVDQLAQRSRQLFNILTMKEEFHLDD FQCVSQYVINSYNVFINLIRHLEALIIENKSSLVENKICLYETQIDSKQAYRKQMYAEKK LDMLIGKHQLTLKQVSFLQKQIIRIHKYLPHFQIETIKRQAIKKKIFLEFPKLQSPGPNL LPPEKSNTIFFSSQPQLLIPPQINQSTSSQIQKSALDIAQSESTEPQQLRRDQSVDLSRE PRETPPISPMKKHHPVQIFFQDSLAPPDDSSSDDEQEVDIEAQLSPIKNLLSIEKKLFVS KCPSKNTQTATQLLLQEIQQFRRDRIDNIVTRQTLQKYLSSFVCWCVKYGKYNYPLHIQL YEFYQIENFQQPPQVWLQKYQRIIKSIIYYKKKTSYAKLFHWMLIGDQSFNIYLSILNTI QNKEFTENGIFVQQSQLSEAIGQYVKNTIPKYVQLVDYDQEVQPYMQGMQDFRTKEITQK YQLILTLFDQERFTQLQFRLLLLEVDSQRTESQIINLFMNECDLEQNSVQYMSHKRFSQI CEEHNLLANLSEYLQKNNAQYFNDLKLWKIREIELKLMLIRSSKYDSTEREGFYRMNELN SNEQRVLLGRFLERRAKELLLEQYAFECLPQYMQIILNSQ >CAK63035 pep:novel supercontig:GCA_000165425.1:CT868023:69100:69481:-1 gene:GSPATT00032887001 transcript:CAK63035 MMEYKANNRMYAQVLATTRESKESALLSKLVSLREQISTIGSPRATVKTARGMSEHVGLI SPRSFGRRLDEKLPMKELGEIANYFNTLGGEQAKGLSKNYVNEMVRLQQCIEKKLKR >CAK63036 pep:novel supercontig:GCA_000165425.1:CT868023:70127:71987:1 gene:GSPATT00032888001 transcript:CAK63036 MKKKDIILEYKLFKRYVQHLQFKLLQEESHNTQSINVKINRNRYYQIDLKVNKNRDNLPL MTNHYKSIPQNIRLQNPTPVLLERLSTNINYLAPMSVELSQGEETEELFKTIEQAQQESI EKSKQLLRNELKVFLDYLFEKYKELFSSIKDVFKFNEIAEALNVSVDQIQLHFLSKLQGR YSMRSVVKYQFVRFNRYFCPICNLYMCSWHYSSKNQRVFIESEPNVEMPINIQSIDNFYE TDFKPNQINLKDSIWRYHMRNKQEIDIRCTKIERCGRYNCDNKKRLKKFQIKSIQQLLEF GFENCCFINQILQHPVYKIYCDQISYIIKNYSNNQENLNNKPQQNIMSPEIYEGFDSYSQ KLHKITNYQQQYTPCFHQGSCKDADCSCVMCPRYCCCKGKCEKKIKRCDCKNCGYDEKKR KFQCTCFNLGFECDPSICKCTNCNNVNLTLGISKQLILGNSLICNGIGLFAAQHFKTYDF IGEYRGNYLLLDEESYIIEQCNMLTGKHYLFEVDDKWQVDGTYYSNYLRFINHATNQSET ANCQAIILFSEGRWKIGMLATREIEVGQELYFDYGDKFKTKWLQEFNKISERYFQGK >CAK63037 pep:novel supercontig:GCA_000165425.1:CT868023:72150:73281:1 gene:GSPATT00032889001 transcript:CAK63037 MFISNTTFSLQKKRENFALNVKRIDRETLLSNNRKKMLQQNNNDFEVDKTLHLLNEAIKV YNKKDIKSSFKQINDYNNFNEEQEIRLVQMIQTLLKHKVEDENSSLHNECLNILVKLSLL NNSSQELFNTLTILCQLMEMYNNHINQALSYQILDKILAFIGNISCNNGFISAFTSKLID ILQYQIIFGQKRLLFQAIWALNNILYAIHNETALLNQILRRIGQSDMPHYLVQYAKKNEI KLDQNQLIQIYWLYAELSQIIPYDFAQLQVKEADCLELQLIKLFIYSNLTGQQIVPINCN INQLLNLFPVSPILIQRQLLVIIANLVLQTEIELETLKNLINFAFPICPVKIAFISRQIP CSYCITVK >CAK63038 pep:novel supercontig:GCA_000165425.1:CT868023:73462:74882:-1 gene:GSPATT00032890001 transcript:CAK63038 MNYFKTIEQNENGDWESIPFEIIQVRFQMNISQAEKEIDKKLHKSRIKRTNLDCLKGLLV RPLAYNMKFKDQKLLKKSKDDQPITDEEQFEYNLIQVEISDFVIIEPRKNGNEYKLLKQE DWRKIISQKSLNGYNVFDIHVSLLAQSLNFFERRDIWQFFCQVDSIKNQIKQTGKSFLQY SLQDNKFKSQIEKDIPRTLTECEFLTNPDNIVSLKKILIAYANYDPELGYTQGMNIIAAN LLVCYDLQYNDHQFLENVEIFDPKRDEMVFYIFIYIMIELKWQEVFKTGFPGLIKMMKTL NQKFMSELPQLYQHFQEVGVDFNICFQYQYFTLLMYGNSWKISRMIFDVFLFEGEDIIHT FIIGMLKCCESSLLKLHTFEEILKFCKNDMISQFYGIFSFHLEGKKDLTNTLFNLGFIKF AEKIKQSDQQNTVQAQNLQKKQNQLG >CAK63039 pep:novel supercontig:GCA_000165425.1:CT868023:74943:75620:-1 gene:GSPATT00032891001 transcript:CAK63039 MLYNFDFPKQQSPVYLNNQSQQNNGTLKNVSTQKSLSQIFNFDQTNDFKKKLLPLLNNKL KQRNSTRIALQSPRLLQLQSDNSCDDQNKRAIRRQLPSIYLKQSNNTKSNCSQDKLSLSP QKQELNQLSKRVFTDGTDNYESNKLSLRKLNELLNSHYLKIPQPTAIQRFPEVQELQDLP KQMELIFKRNNINPIKMKANLKYYSPREKKNTTQSIDSLIKLLKK >CAK63040 pep:novel supercontig:GCA_000165425.1:CT868023:75696:77698:-1 gene:GSPATT00032892001 transcript:CAK63040 MQQRPIINKDLQEKRDNAARSLHSYKKSVELNYQEYVSQTSEQQMNDFESLSKPSVKSMP VKTRDLLNKYKAQLEIQPKFQVLPKPSPQQEVKKNAELEEHQQSVSSPRSEPPKNPFEDT RKTKQEEQNMSFSRQQEVKLPESQIQEELVQTDQEGQKNPFESSSVMQYTQTQMLDPYAK TVSDRNQISHYTSQESSNIQNLSQTQEKSIDSEQFYDTIEEQGQFQQSGKVTMGTQCQKF DHNYQQSSRTYNSIKEEIQDEASSVTHSSHQEEKAHYQSNQSPIYESIDQESQLVETTQR WSQYQTIPQNTAQFNPNVQPTIIEVKQEYNNSLQTKQDSSNNDISYGQSFDQAQQSNQYN NHQGNSKLTDKDTSKDGITVNNHNIIKKLSQELVIDMEKFEKNSHLLNSINKTQLLFIAE RYFDYMIGQLNRDLLKEFRLLKKLQQYIQKKDICILKKCMKQFKINKDKQLEKYEKDRIS RQFRFQKQKKLKDNVFQTLKQNQIAQQQFLQSLIKLMNNHKQKVFLNRWKNQVYKQKMKK QMDLQYKQFLFNGWFNVAKREKKQKDIKSLTKFYFSTMKRYYYTWKYFTYEQQRLKCKFL NAYTSVNLMRKKFIMLQWINFVQEAKREKEFEAYAKQANEYIPVKVSLRPQNIKIYNIK >CAK63041 pep:novel supercontig:GCA_000165425.1:CT868023:79335:79841:-1 gene:GSPATT00032893001 transcript:CAK63041 MNSKFYPKPNRKISLQVQSNHPKQYQQAKKKEIESQKEISSEFLIRQKVYEDLYKFPNYH SVSIVNTSERKEAQNNKFRTIIQDAKKRFIDSDSQHSKYNLEKQQSAMKFIVEYLRDQKR LQNKSKEFFNQTQNTKKIELNIVLSLAIPMLCFIVVFLELFKQKSLII >CAK63042 pep:novel supercontig:GCA_000165425.1:CT868023:80412:83473:-1 gene:GSPATT00032894001 transcript:CAK63042 MLFIISIFLLTFGRESPDPNDAFLNQSIAVMLGGQQKHYYDNNVVVHSSTTNQKNHYSSI SPDYFDDDKTTDFVEQLSPYDYNQEINLNDLRVSRIHQNVNKMELIPLIIPEGNMSDYAV KKYLGDGTFAFVQSGVRLSDGLRVVLKQIKSQYLWWARMEAQIIDILNEEKKASIVRLVD MYMNDTSPVLVFQELEDSKTLDYRIYSFYHDLILEEIKKFYFQLFQGLSITHSKGIMHLD IKPQNIIVSNDEIQIIDWGVSDFYYPLKEYRTRVGTRHYRAPEQLVHYKHYDYAVDVWAL GSILASTIFKKYPFFKGRNNDDQLLQVVKVLGSKDLFLYCEKYNITLTEKFKKTLEGYER QPLENFVDEENRELASPAVIDLLNKIFVYDHRVRITAEENDNQSVIVIVFIVIIKIIVEI QYRKMNVFQSNQLSPFINQKVVKKATVLMQPSQMKKSHVDAKPIRFLQWDDNVKQIKLCL DIKKYNSLFRTGPASFVVYVATRSEINQLSKLNFDKSLPLIDTTLQKGIYIWNHVIYNEH KNIQLYQLLCILGEQFKEKQLRRQSLNLVENNSVFIHADTVLMTVASILASEIVFLINNN DDEILENFHQITKHDDNPNYKLQIINLVEGQGQSIDQYKWYQIYDNIKITIMEESNYLSA SGSSFVDNPSDTLYNWALELEMSLQKKIYRSYEIDFLTFFSIAEIITKSSMSELDKINVI ENIFLKAIQKQIKYEKDYIESIYYKEIREFCSISEEVTVDSLAYKLNQMRDQAMSLYYVQ LSQFYDNPEYEKCLQVIQQNIDQLELDCIRYNFELMDMYSYLNYLDHFSELSQQIDKIEK KYKDGFPDSYTQFLTEILKIREFRGLKYNNIKQNLQSSYKSKIFTSLTGFDKQQKLLYDK RMQTQNEKQYQFLKMRDKEVMRGVEILQNYTDLMRDEIGTLKSLIDFNNQINIFVKHRQE IRKLDDEIQQLQLQLNKIQTKKKQQ >CAK63043 pep:novel supercontig:GCA_000165425.1:CT868023:83610:84239:-1 gene:GSPATT00032895001 transcript:CAK63043 MSKQPTYDIMCKFLILGSSQVGKTNLLTRFTDDNYSESHITTIGVDFKFKEVKTNGKVMK MQIWDTAGQEKFRTLTQNYYKFAHGVLLVYAVDDLKSFQEITFWMNSLKQHGKNDIAIIL IANKKDIGDQRVVSQQQGEELANQLNVDYIETSAKLGLNVHEAFQRLADLACQQCNGKVS LPNLTEDNNYKINLTKNTKSAQKKKKDCC >CAK63044 pep:novel supercontig:GCA_000165425.1:CT868023:84994:86660:-1 gene:GSPATT00032896001 transcript:CAK63044 MKADSFHSVLSDKHSKYNQFINSQLFVGYKVRGKDQQKKQYIVSSPSDEQKMSNNLTVSQ SYVNAMKALQEKIKQLEFENQSLQSLVTSNDSKSNLKSNERKLKESSVHLKTSESLQTKE LEQKLMQQEFTAKMRIEDYECKITQLQQNLLNITQQADQKYREYIEEKQNLIEQLRIQEE NNTNYRNKMNSQNQSLQQEKQNYSHLQFLLEQEKNEKRFLQQNNEKLQLELSKLKEKLFE ADAYIEQYTDYYNESIFRKLEEENNQLKFQLEHLTKENQQLNDAIENLKSNLDIKTQELE ESEFRRVKKSSESNIRIEQLRQQLITLSSKSNLTTISPRVTKKKNIKQISSKEMSVKASK IRDLRQIKSKSLNKLKDPQFLTQSQSMQNNNFQNQKQQGYDSQSSGVSRLIVKTLEENYP SSQKNDLYNNAVIIGDEITLNDQQLGRKNQKYVEAVQRIRFLDELLTALNLQYQDIEEQI QQQTDMTIKKEKRQKLLEIIDQIQDVNKELNDLVTIKKLAQQQ >CAK63045 pep:novel supercontig:GCA_000165425.1:CT868023:87179:87676:-1 gene:GSPATT00032897001 transcript:CAK63045 MKRGSFGHINTNNSQNNQAFEAQTQETQKGSSKFKKFMTDDFSHFQKRKIIIETESNQSD FKSQVLKEMGQQKNLKSLETPPNSIINRLEQQQCVPFYIKQREDQLKQVKQYKSHVQHQI YQDDDVNKKVCIFLIEAFSVIFIILITAHLFLNKYLQ >CAK63046 pep:novel supercontig:GCA_000165425.1:CT868023:87798:88675:-1 gene:GSPATT00032898001 transcript:CAK63046 MLVQRFKAQLNHEIQNDKVHYKITVTNLNNEKETKTTTSRYSEIKDFHDQLHKNITLLKL QLQLPQFPGRSLFSKTNKNEERIQQRQFELEVYFNELLSIEKILSLTPFQQYLPLETDQK QQQNINNIFRMNITIKIENYALYDDVVVYSLRFKNNYTNDEWVYKQRYSEIKNIHEALIE QGFKNKLPQFPTRKLFGQTHEKPENIEKRKEDLETYLNSLFCTQEIQESQIIRFLISDSK KYHEKNLKLEELKKASTLKTKGDLNQRVKEISKKSNLQTYQNK >CAK63047 pep:novel supercontig:GCA_000165425.1:CT868023:88784:89182:1 gene:GSPATT00032899001 transcript:CAK63047 MGFVRIMNNSEKMNLLFYRDYNKSYIKTKSWFTLNKSETMQTSKGKKVEQMPINSTNSWK KEFHRLIIYQNQIRRLKYNYYLENLKHNQVNLKEQISRRYNKIEIKKNNRTRENKKTDQK KFKIGQICSKTF >CAK63048 pep:novel supercontig:GCA_000165425.1:CT868023:89842:90437:-1 gene:GSPATT00032900001 transcript:CAK63048 MQSRMAKRLQKDLEQMQKSYTDQFNVRMPNNDIKHWIVAFEGAKGTLYQGEKFELQFKFS NEYPIESPEVIFIGKPPEHEHIYSNGFICLSILFDEWSAALTVSSICLSIQSMLSSATKK MKPPNDAEFVKRAAGRGPKSFLWSYHDEKC >CAK63049 pep:novel supercontig:GCA_000165425.1:CT868023:90724:91062:1 gene:GSPATT00032901001 transcript:CAK63049 MQISENHYLLKRQDTQDQKFNSQFSSPETDYRLTQKDIIPFKSQEDFEKETQQLQFFTPK KIRYQEKEIYYTPENKKKQVHHAEIEDRKFKQIGNILFFIQIIIIILLEVMN >CAK63050 pep:novel supercontig:GCA_000165425.1:CT868023:91080:92119:1 gene:GSPATT00032902001 transcript:CAK63050 MQQIQYYSCGSGGCGLLLQDTLDDLNAPKICSQLINKDQKTIKMKFSGLVGLIKEGDKMF IYGQWVSLYVIPKEINLIQSVSKFSCGWQHCLILTDKGLYGLGSNKFNELGLAHKQIFEE PQQIAVDHTKISNLTCGFRQSFIIQDECIFGVGQNKQNELNISNIKIVEQFTKINIQRSI KKIKCGQKFTLALSNDNSLYGWGSNSFGQLSQEPQLQILEEQELMKNVQDFDCGWSHHVI LTKNGQVYICGRGDLGQQGDNKKQHNYNYQLIDLKATQVRCGSESTIIINQDKQEIYVSG WNEHGNLGLGHNNNVYQFEIIEMIQSIKAKGATILLSK >CAK63051 pep:novel supercontig:GCA_000165425.1:CT868023:92655:93905:1 gene:GSPATT00032903001 transcript:CAK63051 MYRLYEIIINKVQTTLKISNILQFYWISASCFERVTILNNPAVQLDSKKPIKLGQIQLSV LIHNNDFKIANLFFISNYKICNYLQTMHQFLPNQLIFDSYSPISYWNAYQNLQNSYYNNY YAYQQMAYSQPFPCYQQKLQQNFEQVHYQEDPISTQGTRRSIVEYSDVTPQITNETLERY IMMIINEDESFHNIITKLKSSNQIALIKLLEMLAQKQKCQQKSREELVKFCIRKALKFIF QRIQEKYDKTKTNLKSAQKIFMSMVEKETQTTIMLPFRKNSKNKTMNSDFLKQIFSSQTF VNYYKEFLSCLEQEIQKDSKKKIASLCNKIMNHIHDEKKFEFDVKRLPWSHSNVEKVKQA AQEMLLYSNFEIK >CAK63052 pep:novel supercontig:GCA_000165425.1:CT868023:94228:95238:-1 gene:GSPATT00032904001 transcript:CAK63052 MLHIFLLFNIISILDAQTCSTTQISCESITTSDLCYQTRDSNDNHPCEWNTDKCEKSILY NLPCSKYTNEYNCYYRSYGCRWDGTNINNYDSQAMIANTDGKCVDQKCEDFTSQSDCSSF GQVSCDWQDDSCVQVTQCKDFQTVKGCRNTRFKEKCVPIVNGEVLPLAQKSTTIFDSFEC VVQQCKHKSDKYDCTFVNGVQCIWGAKGCTVCAAFTQYETCVQNKGLCLWNQNQCQNIEC QQYKNPSLCKMKTEQCEWNTQKMRCQLNSTQTNQHCYSEYLDQQNQGQIIEIILIISLIV IV >CAK63053 pep:novel supercontig:GCA_000165425.1:CT868023:95308:96300:-1 gene:GSPATT00032905001 transcript:CAK63053 MKISIPNDPRFAYQKSHLSPYIDKSKSPYGIAKSPIQQGSPCNIKFNIYSALFPTYPQQN RNLSMSLFQTPSPQRLPQPMIQQSTPQSIGKPTIAPPSPLYLQQSQSPQSVQPRYQQLIQ TQVPQVNISNYVYIAISLVREIEEVPVVHEVHHVNRPINVISMAEIEAPWRSKQILLEKQ LIELQLVLKYGSPKKVETRHIIVEDESRIRELEQEIEKLKQLMRENEENIQNLESLVDQA QNNLENVEEQVQNQLEEQHAELARWRKKFQELNKKYHDLEEEITMTEAQIESIQKRAIIT QSSSSKIISKSRVNQTSGSAVRVASVNKNF >CAK63054 pep:novel supercontig:GCA_000165425.1:CT868023:96447:98009:-1 gene:GSPATT00032906001 transcript:CAK63054 MNQFQDVFNEFSQLHNQKYNTREILSFLDSKCANGYFDRDVYQQLIEQIPQASLQQQCTI NQLINVFKKAQDVLNDKINKCQQIIDQKNIEIRAYNDKLRQQQTHSVNSQSKLNVEVLDA EILYQGNGQLQISLECMSSIVYTSKRLNPVWNEAFDFNANEQAVIKFILLDTELQQKRGI GGVAYIDINTFGDQMLHDFTVNLTDESNSIVRAKLHLKIQWIYSKNKYLSDLIDENTTQI NQLEQEINDHIVDLDIINSPFKQAIKFQNNLTISTYQTQPIQQQQQGSPLLISESQIDRL VQISLLLIILYLVFGLLNSLYRTLNFDFLVIFYCFLFYQKNYKLQSLLHIKIIMVMLGVA LLMDIIWLAIYSTPYLGEFNAPFDHLEYGLQKYQIILSWLLLFGKIVVLMFYVHIYAIYP DKSTQVYDQQWNAIFGWKDIKQINIQRNYN >CAK63055 pep:novel supercontig:GCA_000165425.1:CT868023:98442:99101:-1 gene:GSPATT00032907001 transcript:CAK63055 MGNGACHQQVPSIDEVNLVARNLKNQFEPCNSQCVLPLLNSQSQSPSKPSIESKNPTIAR LDEEEFEILMTNEDKEDHDLSPIRPSKLSRAQSPTKPIKSKHHYKKEEIYFLELLKQDKT TITRRKSADRQLSPVKVIHSNHQKKHNTALLIHSPDQRSNQLIKMTRLSCQLDEAVILSL EPKSILKSIGSEKSLSNSQKSKTNSQKKVRFGMVYRQYK >CAK63056 pep:novel supercontig:GCA_000165425.1:CT868023:99140:99630:-1 gene:GSPATT00032908001 transcript:CAK63056 MSYQQKGCKAIRLSQINQPILKARKSQDYPTEYSLDHKSRNSSLKQNRAITQHNSRLIRE EEFVKMRRPQTSKGSRRKKLMGDHAFQGTQNSNTKCEILECENEDDKDDQQQQHIYLINC NPSNTARNNQNKVPFQTTLDQDFLGLFANDQLID >CAK63057 pep:novel supercontig:GCA_000165425.1:CT868023:99653:102426:-1 gene:GSPATT00032909001 transcript:CAK63057 MHINSELLTERPLIPQIKKSDSLRSQSQFKSCPAYFKTLQLQKIQSIKQNQEIVQSSKVN PLNVFVKIVRFITIITQSIFPQDFKYLDANMFRIINDKASDFKFYVKNDYFKYIVIPIQS QKAAPDSRLQHLIQKTIFKQYSLQNLIDYLSNSRFLFKPEQKQILIWNIYLLFMTNFNFL YCTIKFAFDFENQRPDNYKESEVFFLIIPFFSYLIDILVKLNSGYYEAGYLVTDRNKILK HFYFSKDTLIDIFLIIISLAYFFDQKSNNTLQLFMLVKIFNVPKRVGLILDKLELTTNHW AIYDLIRLLYLIVLEAHIFCCFLYFVGKQNKNLSWIINENLENADLVTSYISSFYWSVIT MTTIGYGDFAPTNLNERVLVIFVAITSCCTFGFFVSSVGQIINSIQKKEQQIRLDLNDLK KYLRIRGFNQQLQIKVRRYFEYLWKDCMGQDQIDMVKLQQQLPSNLFNEMLLDLNMKSIS KIPFFHENFSADFLQSLAGDFEEERLTPWQSIFTKGEQSQYLYILCEGEVEYYVQLPEGS SNSISIQKIDGQDEIFGQQDFLLDQHHSINCRTTKVTRILKISRENFQQIAKKYGYEKYC QLKDLVKFSGRFDEFHIQCIGCSKSTHLLYNCPMLSGFPSRTKTLIKHKKRFCQERRLIQ RNNSFKRISTLSFESKIADSVLYYLLRDPKMYEQLSTQINRTTPVNQNGVRPKSKKKLSI QPKRGVPIFLSQEFSRSLEANYDRSLGIQFSREQQSQFKRITNFDQELTKEDSFKISKGL NKQFITKSTQSMTRLKSQDAIEENEQETETLEINPIWIEQQNQNENMGRYKDIFEGFDLQ RDYDQYMPHMNFREIQNHFQRNNNQDSFHDFIDANIFRRKWKKSKIVIVLES >CAK63058 pep:novel supercontig:GCA_000165425.1:CT868023:102726:104145:1 gene:GSPATT00032910001 transcript:CAK63058 MIFQFQCIRKHLIFDKQYLLTYDGSSIFIGETKAKPKYQLEVSLRSTITFHIDDDFYGFS IPYQGQQKLFRANANKIREFKKLIDAKIVYLDMNQFYLPQQVLGVGAFSQVQMVQNLQTK QLVAAKFLNKIREGKSNTERMNDIINEIQILYRLNHPNIVKIKEIYDKTNQIVIIHEFVD GQTLERFINEHGTQLHQTEIQSIMRVKLYKISFQEILLAIVYIHEQGLLHRDIKPDNIMI DKNLNIKIIDFGLATKQGSLLCFQKCGTPGYIAPEIVTSKKSQYITKSDIFSLGVVFYKL ITSKDLFQQQQLDNLQYCYKENLLSMEHFIEYNIPDSCFDLLTSMLFYDKNKRISAKECL QHNYFKESLRPSAKKSQVLLQQSTQFAQQQSTMFQSCLSDGSRNQSRKISDKTMNRSLSY KKSVKSNSSL >CAK63059 pep:novel supercontig:GCA_000165425.1:CT868023:104673:105471:1 gene:GSPATT00032911001 transcript:CAK63059 MISLLLVLQLTTILGCEFKQEDHYYNLTQLQRTEPYIVKASADSSFFKMAYQFNFCGNHP KHCQGQSGAYQALEVMGILTDSCEILGKPENQQMSLIENGLQITYSQGSQCENNQKKSVN FNILCSSEADQNFVLLSEDNCRTAFQMKSPAGCKQQYSAQPLFIYYLSGVGVMLMILGAC FGYKQLQKKKDEKSDQYEKLNSDQPQAQNKNPDQSTTVVINQEAPSS >CAK63060 pep:novel supercontig:GCA_000165425.1:CT868023:105662:107751:-1 gene:GSPATT00032912001 transcript:CAK63060 MRQQYFTLQNSIQYLQEYNGFQEFTEIKLTDHNNERYLYPVLTSKCKHIKYATNLEEVLN AINISGFFKCECNNLARHPSQLQKDIRWDANEEINEIFDDGLVIHNKLFHHFKRARRYNT ALTDQFVLSSLKILLEQQNSNNIIQIFQRMMQNYQTQKPIYFKAMCLLDLVSLNIPVRFF GCQHPECYDLASLLVYYNENSIYQSSSDKLKQFKCKQRTCQVKVDISTVQKLFDNIYIET ELLKIIQKGLPSIYQYSYNPNTRQIQQDIVLQDNAIVDPQIQCRYLQENKNVPASNEAYQ KYQKQVTEYAHVILQRTSIVKAEQKLRLFKYKIFVIPAIIENIKQPARCINCHVSQVMEL KYLLSSFQTQKDLYKQQVKQLKCPLCEKMFNYNSFIPNVVYFDKNLFDAFDQGFLEKSGQ TYVYDGKKLMKDEFLQKQKVELIEFKKHLQKDNENQICTFTQLYCCSNPAIPISLPLILK NCPEQRIVDFESFYYSIQQLEVDNYEKQCLKFCNCQYCSNHPFSFEELAFNVYFHEAFYI ALTQFNKSKSKNKPDQFTYDFKSNRIIEESVHSKSIKSIHINIKSFYQGFTSLQDDKYKE NFLPYQKEPNKMFCAYVTSNQITTELAILQNNREGYKGDASTKLKQVNEKMRNNEIAKKY GFVITETNSQFKDGFIKLAVGNASSKQN >CAK63061 pep:novel supercontig:GCA_000165425.1:CT868023:107807:108939:-1 gene:GSPATT00032913001 transcript:CAK63061 MQTTNGISILQIKNTQVSVCNNKKKEELIQFDQFDEDLIPKSKLFSPMNVNLNLKCVESY GNNKIYDINDTGPNEQQNKSDQLLEQLNSKQKMDTSLQQVNVMTERLNNQNLIFYAPQYI PDVQQFLTSPVPIDKIVQCTLIKNRKGFCNFYPKFELYFSESLKFLCAAKRQSTKRKSNY VISTNAENFNSNNKSVCIGNLKYGTAENSFHLYDNGNDPNKTDQLETTRREYAVYFYKKS SLGLKSINVLIPSVINGQPQDFKPISQNQGISKAFNQSKNENVMQLVSRPPTFSEEKNAY QLKFTQRVRSASNKNFILISNNQQNDEEFLIQFGKCGEEYYNLDICHPLSILQAFALCIT QFEIKLE >CAK63062 pep:novel supercontig:GCA_000165425.1:CT868023:109851:110805:1 gene:GSPATT00032914001 transcript:CAK63062 MISFAEIRDLLAREKPKTFNALNPYYKYDASSPCKRDILVLWVTKLSSNLNHSIKTLELA VLIIDTYLNYFNISEDYLQLLGISAYSIAYKFNETEFMPLDSLQPKDQQNGKVCKPMYMD EDYNEMEVHILRAMGYQLNLITLSDFLIALNIKIDEQVSCLIQFILMDFEIYRYSHFELA LAIMHYQNDTRLTFQAKILTVSQMLHNKIIQAQEIECEKSEQEETKSLERSHSIHKKISK KRESQRKRQIQQQK >CAK63063 pep:novel supercontig:GCA_000165425.1:CT868023:111509:112177:1 gene:GSPATT00032915001 transcript:CAK63063 MQNLIKESKRIYSQFLLHGPKYLDTFDFQPITKFTIEALQTKSLQDYINKNLTMHQEISM LPIQFEPDNSFAFAIFTLAPSVTMPLHDHPDMFVLSYVLHGDGIREGWNIKQTHLEKLNQ FKKTSIQQDVMVEPEELPRLSLKAGSICYTTPSSCNLHSFINNSSTEPFVFLDIIVPHYD ENTNRTITYFERKKDNQLKLLQQDEL >CAK63064 pep:novel supercontig:GCA_000165425.1:CT868023:112291:112791:-1 gene:GSPATT00032916001 transcript:CAK63064 MSNQSKAKKKIKSQKELHSNQDRQDRFQSGLLKKETDKSLQNQSQQSDLNKISKEELMKQ FPNKMLNMLSQQLKKLEQSSSNLKLMQINKDVQPPDLNLNQIIVQYHGDIFTQYSQYQQS QDQLLADFIKGNPERNQQFQQFNPLKIPNPFTSKLQRLEELLTQIQ >CAK63065 pep:novel supercontig:GCA_000165425.1:CT868023:114462:115466:1 gene:GSPATT00032917001 transcript:CAK63065 MENEQMCKNHPKQSLSWICLERNCQQRVMCSTCAVKLHDRNHKLEELCNIYENGCLATYF SNKKNSSTGLLQLINGEQDNVFVNEQYDDYLQEGIERCLRNVSKKIEESKNQLIQQHERL REDKENERMNFVAQLSEVDERLRTSQNLEVDIEDAFQQIQSFAQKKEMEMANNRESAILL RKIRLLELMKENFLKMIKSYFHNQFTAEFCHLFDMQIETLNAREVDDVQGFLDYVDSKWK QDYRNIQLSIEKFVGRLNEGLKYCIGMPLENQKKQLLVRSPMRQFPINIQTPAYHQFTSP KQVEFTKSQVIYEEPSQRKNIKIEPVSQSLQQYQ >CAK63066 pep:novel supercontig:GCA_000165425.1:CT868023:115726:116448:1 gene:GSPATT00032918001 transcript:CAK63066 MIKSFVITSVNKKQNKKKRAEPVQMNKISKEEILLHIDKVQNNIKLSQTSLRTKEETLPI IERNLLTKQRRILESCEGQQHRWNHLEQELGYRCDRSHNNTLLRRSQGYREKKQLLDSLN VIQKEPNDKEWYAKLRNYQQPIEPNVEIIKSGNHAKLYSDKFKNMINQRLNNKYYDSDFL VVNGNSKLKVESKEATDLMILPQDITQQQQPQEQNQDLNYSNYNQRDVSRRSSYRIFQLQ >CAK63067 pep:novel supercontig:GCA_000165425.1:CT868023:116471:117455:1 gene:GSPATT00032919001 transcript:CAK63067 MQDHLQQSKTMFLMHQIKSYKLQPQISNNIFEKHNPQYGPKYDNNNKIIARSVVGKPDII ERIKKKIEESKLSNSPKMRNSVVFQKMKQSYSNLSNMKKSQSSNKIPLFQDSIHSNILSR QVSHNKSTLTALINHHANVNIEQYLQETKSRIQKNEMEELRLEKQLNLFERNATTRQQRV ITSFQEREQTWESVNMTIQSKCQTRSREKLTLLQKSQAYRLKKEILNELPSPPQNWYQQL RGQSNAVEKEDDWNLRSQRINLNSKTLLIKQADLESSLRVRGENKLRREFENHRCPMITM VGQEKTEESEILSKLKY >CAK63068 pep:novel supercontig:GCA_000165425.1:CT868023:117543:118725:1 gene:GSPATT00032920001 transcript:CAK63068 MGNCNITDRKDFPDSSKVLSVHNFEFIEVLGKGGFGKVWKVKRRKNKSYFALKVMSKAKV ISKKSVSSVMNERTLLSQLRHPSLINMIAAFQDRENLYLVMDLLSGGDLRYHIGKNKKFS EEETKFFCACIIIALEYLHSQGIIHRDLKPENLVFDSEGYLRLTDLGIARIWRPDNSSDT SGTPGYMAPEVLCRQNHGIAVDYFALGVMVYECMLGRRPYVGKSRQEIRDNVLAKQVQIK RNEIPVSWSLDAADFANQLIQRKPAQRLGSDNPEAVKYHPWFKGFEWNKLLNKELHPPYI PRCSSNQGGSTQDTEQDTNENNMMLRRNSIQGIQCQQLSIAQFDGYNYDPNEVMIAEPSK F >CAK63069 pep:novel supercontig:GCA_000165425.1:CT868023:119002:119757:-1 gene:GSPATT00032921001 transcript:CAK63069 MSTAQQTKRRQQPSEQMGFCVQCLSFIPITQIDLHCRSCMENTKRLNTQIDQISQQLHHI KTIAMKAAQKQEDSSQKYKFLIRIQELSSQIDAIRCYNCQSVKKLRDIESEVNVLIAYPQ TSMTIMMLLSRLLALVQEKLMLQNQKKLVSQNSFPLNVDTRIKTESQHQEGSEAEYTYRQ FKSESNIRVSEFKRTFYSKCLELKLQLAKNDPAQSILVQDLFHIVVRQNYNQEEAVRFVR KCFKEGKINQV >CAK63070 pep:novel supercontig:GCA_000165425.1:CT868023:119867:120364:-1 gene:GSPATT00032922001 transcript:CAK63070 MNTRQKLLQNISYIAGDARIKQQNQSNLRIRSQKSRKENASFIVTKQTQQPKANINLYQT QIHSPIKNRSMNQNKENNINYAYVPLKNSDELIINSAESHSLNLSYSPSSKKKPQPSCFV LPSRSIKPKMRLTKKALEIRSIYLDKPKPKQNNFINIKGTQKIIR >CAK63071 pep:novel supercontig:GCA_000165425.1:CT868023:120553:120941:1 gene:GSPATT00032923001 transcript:CAK63071 MAKAFPKDIIKLPSHQVGSSSTWFLFQIRPSNKPPSYWVSITLQPKIFIDSIKKYTCTKI YREELESPPAKTTTGKNSKSKLFAKTHKHTPTHSTHIFKSDLPLPIFIPINFIKIVISH >CAK63072 pep:novel supercontig:GCA_000165425.1:CT868023:121170:125210:-1 gene:GSPATT00032924001 transcript:CAK63072 MSQGKDKPEVKIHASDKIQEVKANEEKPKMIAYFDLFRFAKKEDIALMVLGSIAAFLNGG AIPSFSLIFGSMINSFQEAGDEMVRQAGWNALWFLIVAIGTGILSFTMFSTWMISGERQG IEFRKNYFKAILHQEVGWFDTINPNELNSKVANESFAVQGAIGEKVPTFIMTFSMTFFGF LYGYIWGWQLAIVITATLPALTIIMSIFSVVIQQSAAATQAAYSEAGALAEQAINSIKTV KMLDGEEYEHGKYYQLLEGAAHKTMKYDLGVGIAIGLLWAASLWSYALGFWYGAKLISDQ TYNHNQGDVYKVGDVMTIFFAIVTGGFSLGQAGPCVQNFAKGQAAAAQMYEILDRQPKIF NPRNPIKLQDFNGEIVLKDIKFNYPNRPDQVVLNGLSLRIPPGMKVALVGESGCGKSTVM QLIERFYDCDSGEVLFGGEQGINVKDLDLVDLRSRIGLVGQEPVLFATSIRENLLYGKVD ATDEEMIDALRKSNAWDFVSKMEKGLNTYVGIGGSQLSGGQKQRIAIARAILKRPQILLL DEATSALDRTNERLIQQTLDEVSKGITTIVIAHRLSTIQNADLIYVIDKGQVVEAGKHQE LMNKHGKYEALAKNQINNAEEDMKQVKPQNSKQQLEPINQVDEGKSNPQKMISMNVMENK SITEEAVDQYKQLQELDVLIKSQKQSTDSKYEKVNSNDKPPEPDAQMGRLFTYNRSERLQ FIIGILAALANGCTFPLFSLFLSDIITVLAQSNPKQYEGTIREEKMAYVRSEADKNALYF FIIGCAAFILWAIQSFCLSYVGERLTLKLRSDTFRKLLRMPIPYFDEAKNNAGTLTSRLA VDCKLINGLTSSIIGINLANFASLVCGLTIAFSSSWALTLVTLGVTPFSFISGVLQAKYL QGFSAQTDEAYKDSGNLIMEAVTNIRTVYSFGNECIILGIYSKKVQMPLEMAKSKGYKAG FAMGLSQMNIFIMNAIVFYVGAVFCRDIDLSVNDMFRTIFALTFATMGAGNNAAFAGDIG AAKNASKNIFEILDSEDEFQREERLKKQKFTQPIQGDICFNNLTFKYQSRDKNVFENLSL IVKPGQKVAFVGPSGCGKSTLMQMLMRFYEPDQGAITINGIDITDYDIRYLRRQFGIVSQ EPVLFNGTIKDNIKYNLSQASMEQIESAAKKANAYDFIINNQFEETQAEQKGNEQQRGQC FDRQVGPKGTQISGGQKQRIAIARAVLRDCNLLLLDEATSALDAESEQLVQSSLNQLMQG KTTIAIAHRISTIRDSDVIYVFEEGKIVEQGSYQQLVNQKGSFYKLEQGISQ >CAK63073 pep:novel supercontig:GCA_000165425.1:CT868023:127255:128507:1 gene:GSPATT00032925001 transcript:CAK63073 MGNNHANQFENPYDIYKTYQVTSLLKIQNHWYVGVEREVQKLGYHINVRSDRFHKSNFLS LYQIIKPKAESIITTSTNESLFATELENIKRSKKTIDPFKEFQVTTLLQDCDPCFQDYEK QVINQLSTLSGSLLEIFQTFLQTQLPNTSQELDTLLIKFRDSFVQILIHYYDLRHYAAIN QCQFLTLQSLQCLVTNMIFNYEISSYVYQIKKLEQIGENERIHLKLIQSQNKTLADFGTS IKFCLDCTTRDYIQSKISTKINTNPFITTPVQQDNYQTLIIEDVDLTSIPSRPQARLLQG TFFHQSPFEKAIQALQLIQFRQTPHHKIKQLILCFQCIYSTILDYYQQFAQQPSNMSTDE MITIFNYVLCKSKLQNPYTHFEIMQRYLGNLDGVEGIYLTIMEATFYID >CAK63074 pep:novel supercontig:GCA_000165425.1:CT868023:128972:130954:1 gene:GSPATT00032926001 transcript:CAK63074 MNNQDQNKKHFVYNFNQQEMIKQVQQKIQSLEEDQRRHEKNIKDDIYALQNFISMNLSME FDIFENDRNMQLKIQSKELDNKKIIQDEIKKQLVFDLEVHFTRFMEHQQKCNYNQQQYNN EIQVNKYEQEINLLKENVGYFKMKMEEMEKAIYQTNHLHQKYKNLENEISKIQPLQPTLE QNIQKFQEIDLIKKYQSEISERFQKLERKNDEKYLQINKLVSDQKCNIDELQSQLSLSYN QLNTQSTKNLQNDLIEEFKLLESKNQDKLMQLGRLLNEQVQKQQNDHNILTQVINQAFQK QDEINNKLQQLEKDINSIISNKINTPMTQQPNDITQSPIFGQINQNGIPQGFKPPMTLQG QGKEQTKVFPEQKLSSIQQFVNLQKNNITFNSPIQQQQPQVLIPFQQIQIPGQQTIQGEY SSQQKQSMIQIKNYANQPNNIKFQSQIKQSLAQIIDVNFPTQITLIQKDLNRCQKIQRII NQIYDQTTRRRSASGEYQYFIREEEDYFQLQKHYGIRTIQQKQQLKEICNAFRQARGDGN CFYTAFGFQVIQIFIREYSSYEFNQLIDKLNGQFKCQIKLQNEKFDGDEFHNSAYYEFLY RLQELEKYRIQRIELNYLLNIFKLTMQIKTKKLMVAYMGYQQYSLEIQLIMQYKIANNKI >CAK63075 pep:novel supercontig:GCA_000165425.1:CT868023:131881:132820:1 gene:GSPATT00032927001 transcript:CAK63075 MHQPQKQPKSPFKQTENIGNEYQSKIQREDIYNFKFDNQSKQKAQEQCLKKEVGKSKLQD KLPISKSAIGNQQQQNTEFQHIPKQPINQPKKDIQKQNKTKKSNTKKQQSQQYLPKLNKE KKKPFDYNLIQKSGNVEKQKKSEDNINKFNEQNQDVKIGNQEININNNEETNTNNIEEIQ TNNSEIPQQINQDEYKDENQQLNIKFQSNEARLQKTIKMIKNLQNISSNKRHMEERETED FNSLCKQYQIQKLMQKQNLKQHCNSIQFVRGDGNCFYTAFGYQLLKHLLCTFSNSQSI >CAK63076 pep:novel supercontig:GCA_000165425.1:CT868023:132892:133270:1 gene:GSPATT00032928001 transcript:CAK63076 MTKNCQQEFLYQLQQLRLIEEEDDRKLQLQSQFAEHEIQENGQGCFNNLSTIFLRNLCDA VLECSEMKEYCLDRENLLIWEAECNNNEIIIQALASQLKMSCQTDIFFEQQLYHLGV >CAK63077 pep:novel supercontig:GCA_000165425.1:CT868023:133936:135315:1 gene:GSPATT00032929001 transcript:CAK63077 MMSHYSDLEFLYGDNGAKNVQDLELIMQQEEAVSPNIFAVVIIHQLSNNIEQTISRNDVI AAIEQIIKRKFQGEMVINMSNKQKQVRSIDVKIEPILSTSVKKACCLIQVEESIIQQLKG NKMPLQFSDKDQDKELNAIFEVTFFEFNEFNQCNLLRNGKFAKEFAVIRPYQESQRLTSI ILSINKMDQQIYAIKKVRITGEFTWQKLYYNKITQIREVKAMLRLQHPNVIRLYSWWIEE EIRERNNKYIYLYQQLEYDSYLGCNNLLQFSLIHLSKASEKEKRKTMQSLIHQLISGLEY IHNQGFFHRDLKLENLLITQDDAGEMALRICDFDWSISHQNDDGQNNNQLIFSKYYGTTE LKVGLQRREIVYDAREELFQVGIIILDLCDPTFTKEERIQIQMNATNKPQILQNYKLELQ IIQALLRKDFQSVQKFRTSQLYNQYMQM >CAK63078 pep:novel supercontig:GCA_000165425.1:CT868023:135410:136436:-1 gene:GSPATT00032930001 transcript:CAK63078 MTQNRQLCSVIGKGAFAKVCLGIQILTGVKVAMKIIDKSSLKNDSAKKRLMQEIALMKLL QPYKCCIRLYEVFETKRQIYLIMEYVEGGDLIKFTKEKPLSEQMAKNIFGQLVLALQILQ NHNILHRDIKLDNILFQGEQIKLCDFGVSRQIVKGQKILEQCGTPAYLAPEIMTQKAGYE GFASDIWSSGILLYVLLVGKTPFKGNNMNELNSQIQSGQLNFMEIKKANLSNEAVDLMKS ILNINPKLRCTLPEIMKHTWMKDIDFKYTKQQANLNLDLRIICQIEQYGYQREVIIKTLQ SKTISHISALYWAQYQ >CAK63079 pep:novel supercontig:GCA_000165425.1:CT868023:136441:136926:-1 gene:GSPATT00032931001 transcript:CAK63079 MSQLKKLNQQVKQVKCITLSTNQSRHSNYSSVKSLPKPIASRPVTSIAKNKNNKVEAVKI DLVKQKKQTTNISVTRSTSSARTRSASNGPKEDKIPRLLGDQLGPEVELADCQDFLLQLN EPQFKMDEDLMKERVILANRISNTIKVKKRIPTTTVDYYKV >CAK63080 pep:novel supercontig:GCA_000165425.1:CT868023:137479:139508:1 gene:GSPATT00032932001 transcript:CAK63080 MNSQQQQHENKRCQDYQKQKQKQKQEQQSQGQNSQQNEFQNIQKPQGSPTQQYPQQQNQT QQQQKHVKTEEQQLQNNEQQDQATQKTQEQQQQPQQKQQYQNVQEKKGQSTKNYYLVKEN GKVECQYQQQNQKQGQQNKNTQQQPYQKQEQQNISTEQQQQQQHQQQQNQNYDQNLSQHE QNLFKVQSTSQLPQNKLTNQKQQQQQQQQQQQQEQQQKQQTKSTEQQQQQHEQQQQQQGQ VEQQQQKIQEQQGQSTQQQQQKEQQQQQQQQEQQLYEEKKGKVEQLQETKQKQQDLSTQQ QPQLKLHSESNEQDQEEQIYWNKSQEDLQKQNKIQDLLERNQHRQQITYQILLEIYKNSS NLRAVQEQYQRYIQDSHDKNDLIKEYSIKKLADKKQLYTICQGYIQVRGDGNCFYTAFGY QFLNHLLITYQDEQFNQFIQNIKHINLRCKMQVRGLKIDDLELEKQFLDEFIYQIQQLRI IKDIEERKQKLLSSFSQYELQPDGDAFLYTLSTIFFRNLSYYLVEQDDEAKMLIGDEQNN LLIWETECNSNEIVIKLLAIYLKLNITLIFFQNEEFKVQQYEEINENKIMLLIRPGHYNI GIRANA >CAK63081 pep:novel supercontig:GCA_000165425.1:CT868023:139596:143155:-1 gene:GSPATT00032933001 transcript:CAK63081 MEQKSSFMNVEERVYFPEEELKILKYWNEINAFQKQQDLTKDCPRFTIYDGPPFAIGLPH YGHMCAGTIKDVVCRYFAMNGKYVERRFGWDCHGLPVEHEIDKALNIQKREDILNMGIDK YNHQCRSIVYKYASEWRRIVERSGRWVDFDNEYKTMDTSYMESVWWVFKQLWEKGLVYRG CKVMPYSNGCSTVLSDFETQQNYKEVWDPAIVIKFPLVNEVNTSLVAWTTTPWTLPSNLA LAVNPKLVYIKVLDKATNTHYILAESRIVELYSKATQYEIVNKVLGSQLEGMEYVPLFNY FLDRRQQGCFKVLLANYVTDQDGTGVVHIAPGFGEEDYKLSLIAGIITHKDPLVPIDSDG RFLECVTDFSGMDIKSADKEIRRTLKLKQRLIKDGQVYHSYPFCWRSQTPLIYKAISCWF INVTAIKDELIDNNKKARWVPNAIKEGRFNDQIEQAQDWCFSRNRFWGNPIPIWASEDLE ELVCVGSIEELKQLSGVTDISDLHREFIDQITIPSKYGKGVLKRIDEVFDCWFESGSMPF AQQHYPFEGKDLFESIFPADFIAEGLDQTRGWFYTLNVISTALRNDTPYKNVIVNGIVLN EKTIKMAQSKKNYQNPEDEIINVFGADAMRLYLINSGLVKAQTLNFNKEGVQNVIKKVFL PWYNVYRLLIQNLQRYETHFGIFKFDDSVINKVQNTMDKWIVSCSQELLEFVRTEMESYR LYTIVPRLIEYLNSLTNWYVRLNRNRIKGDQGNHEWHLSLNVLFDVLHKINLLMSPYVPF ITETFYQNMRKCIPEGKNQMDSIHFLQIPEVRRELIDPQIAQKVKMMQMVIENARKLRET HKISLKQPVNSLTILSTDEKLLQSIQLLSMYIEEEINTPQIFVEKDFAQYIQQKAEPEVK LCGKELREKFGPNLIQQIKNFSQDQITQLITEGKILLKVLVNAKKQASEKQLIQQEGNLA YETECELLLKHVKITEQFISQKHQNLLFTKEDGYSLIIDPFQTQQLKNLGLAREFTNRIQ KLRKKAQLKQEDQVIIFYSFDKDSQLFKALENQKEWIQNQIKKPLYPLQQRSFLNLEIAS EKTEIEDQMVTLIITTYSPLFNKQKLQEQFNEQQIDTLMRVLSELENLQPTVEITLDGQN ISLGQNHYTIP >CAK63082 pep:novel supercontig:GCA_000165425.1:CT868023:143740:145060:1 gene:GSPATT00032934001 transcript:CAK63082 MNKFVIKKKEDMNLKVFQQINDHVPNQQKNDLYIFKLFKRLFQIDPQACQRGNNNQKSEK DFQIIEQCLGSGAYGSVQLVRDVNSRIYYARKTISKSKLKAQESIDNLKREILIQKKLSH PNILKLCFCYEDSSNVFLILEYAELGSLFSLIKRKQRLQEKEAYVFFSQILSGLEYMHKM QIVHRDLKPENLLITKSGDLKIGDFGWATQMPNYHKAFCGTTEYMSPEMIQSQTTDYKTD IWSLGVLLYEMVQGKPPFQGMTFMEKSQKILSRRQVEFEFDVSDECKSLINSLLQHTIHC RPSIDKIQNHQWMLSQGQDRKGSIRSSSMISIKSTHYTSEVLESQSKINNLQFGQKSSIQ EWEAMKFRSLQFQFQRQQDQQPQQNNYQNKGFLTKALIALGCINR >CAK63083 pep:novel supercontig:GCA_000165425.1:CT868023:145814:148626:-1 gene:GSPATT00032935001 transcript:CAK63083 MFEEIQLSGIVAKEIASYSNITLMGNQVEEHFKCTDQSITQLIEEIIYVVKVTNGQTVSI HKYDMKDLFNKSIQLSSEYFNFSHLITQNGCVIMRDQDTPYLFVVTQTGQIFYKNLISYE SRIINNLMVDNQRLMCLAKPLIYNDQIKFYVLSDTDFLNFITIDVRRGEIAKSKYQLSFG TKFLSKFFGNQTNDWRTAFQISEKQIIHYSFQTQFHLLEIKGSDITCRHLQIEDNIKVKQ IEVFQHKPNGQITLCYLQQNQLKIYSLIGNSLRINCVISQLDFLLNITFNISSIQNSIIL IQGCEIYQLNNENPSFQLVNQNKYPVLGYINQDDQLLLFYKNHISFINQVQNQFSRIQYQ LRQEIYKFKKDVENQKKLKDEELILQSISIEVYIKNKFDQFQTLLKFMHRSLDQKKVIQL MKRQYNNFCIEDFMNQLLEELEDLYYYLKPTIQMLELNFHKIYNQLREQLSRLDHFLMHL YSNQFYPQQDSIQDQSVKLSLLKSLVINQLKDYYYMYLEIYLGLAVIVNISNIQFDLKHN HQLFYATMLLLTLFQEKSYISFQNLVKKQFQIVSRDISLQNLTAIQNVVDRFINIISGEV FRDFAIPEELLCENFQQNQQKSLINKNNAKELIRYYQVSQCIGYQQHNILEFINENEDQY QELKLRISFYLQQQHSLQNQDEQEIILKNLIGYAIKQEEHQLLFQILNLNETKKITNQLV EMIDSYHLYKCQLTARTTDQIAELLLQYSREKCRNLMNAEKVLQYFSFLMRQESKDKALT LLFEYIVNLEMLLFTLKSRKVSRAVKIQLDYIKLLLSQMQLSSKQDQYPYCQQLVCKLSN QNYEQFKNPSIINDKSEIDQKSPENIKIVNLEQIIKFKKYKEIQNFVCLNYIPYAQLNKV VEQLILNGHLK >CAK63084 pep:novel supercontig:GCA_000165425.1:CT868023:148727:150804:-1 gene:GSPATT00032936001 transcript:CAK63084 MIQKCKEGLKLIDIFGQTINLSFRQEEQYKTSIGGFLSVCMIATIISFFYSNIINFFAKL NVTSTQEFRFANNPDNLILDKSHFMFAVQIEQDDFTTNPFFNITVEQRHYHRYPNGTQYR YPNILIDLVPCTVEHFSHLFEQYNVNFTDQFEKQNLGNFLCPNQNFIHSQNMTVGGVWAS TDYYFLKFSVTNCVNSSNSNLTWNPTCKSADEIKNTLNSQGSFRFQVFTTNFLINPNIPK DYVQPFLATDQFYTFVPDKMFVQSDIFFRTKKVTTDQGILMYPDKQNETFAFRDYGDQRE QFEISRITPNYYAAFYFQRSPYSYQINRKFLRLDELLSYLGGFTQFMIVVIGVLVRFYNR QHLIVSIANDLYEFDMSLNRANTQMNFNSLLEKKERGREMGKQKTEGNQQTLPKIQTMTT FQNKPEMLQRTTPARKDSQRDEKKLSVEFVEPKIQRLQGRLSILQAKAFEYYDDFREFLK NKYVIGLGFRVILSSIFPIESIKNDDCKVLQRAIDQVNKELDIEYIIKQLHELTKLKKVL FSDEQITLFNFSRKPKIALFQEGKKRRSTKFIVNGGITVEEQGLLRQFNDLVTSYQKIMG LDFENQTQEQIRFNQRLIMLLGTELMNVLDREISQQQHLDSENNEIIKVEENFINGGEID SKNDSCR >CAK63085 pep:novel supercontig:GCA_000165425.1:CT868023:150998:151273:1 gene:GSPATT00032937001 transcript:CAK63085 MVCDKCQEKLTKLATPDVWDKDNKNKKPGMILPSFNKNKFDPMGQNKCQKCKKRQVQKNE KFCQECAYKDGICKMCGVKVLETKFYRQSNV >CAK63086 pep:novel supercontig:GCA_000165425.1:CT868023:151286:152922:-1 gene:GSPATT00032938001 transcript:CAK63086 MSEKLPQIHKVNKSDIQSPFRYPSDEEVFLFREQDRKKQEAQNHGEMHIWDKKTATNRTQ LKHFKVQMLHYFQSYETQIDDKFKSKTAYNDKDKKVINEALQIIQERKKQRAKGVSKEPI VTLLEQKKEMFLVSKAHGIIEEEIGKLKLMSKEKKEALEQSLKMLETDNENFQKYLDTNK QQKLDAEQKADQEVKEKKAKESEIKSINIKITSLRAEKTRNEEAVNNYMDHKKFLDKLAP KEWHDAKEKKRQQLVNRLKEELIKIKGLTDKQFQQMVDNKKLDELEEFEDEYEMYFKQPS QLVEIFNDLEERNLFLIQTTQDAEQNLEELKTKFNKIKKSLDDKVFTLQQNKEQLKKNYE NVCQQIKTLQLKGTEKNAKKDHKELRKQIIEVCENFPNEIQGVHDLQNKETLDILVQIEL HLERQLKQIRSFRPDRVENFRQECERDWKVKQRDSNNLKLKQEEERRIKANQEKIMQPSK KKTGRQPMFRSKPFDRVIVQQEESVNNEELEDQKYFME >CAK63087 pep:novel supercontig:GCA_000165425.1:CT868023:153013:154758:1 gene:GSPATT00032939001 transcript:CAK63087 MNKYQKILQNMSLMALYLQPPQTIKYYIDEKLKELNSGFISGNETEELKDFQKRLVYFWT QHHQNTEQNQSNQSHNLIKKWDLNFETIQEFSAFKSQFELELMHLKNYQQEQTLREVTQK NFIEVVYENKKLYKQLEQLASSNKLLEVDKKMLEQEISKLKSEIQDLKNQINTNTLFYKE SQDLKQAKVEIVKLQQDNQVLQNQIHKLYDVNYFDYNQEIELDKQHLLQNQVRLLEQQMK SQAEQLVSANAEIKQYTNEIQQLKVKNAKIINFNFESLGIRSIPIFTGVQSYLYVTMYLL ELITPLSQILKINEKVCVLISQFINYIRKENDQIQSSTATQLQDLIKIQNQNDNKVNDFL FSIIDYLTKVVIKNEKEKSQIAQIIQTDDSPIFDMFFFLQRLFPINIEILPNEVPLINSI KYIRYFDTIITPQGEFSKYLNDLIKLEGQENKIDDQASDFNFIIFPQILIFNTSELSLQQ ADIKISLILPIRSLNPEQDDSQYQLISMIHWIQEGNQVNYWITLCKNKSWIQIKQENAQI VDINSILTFQSPHNDKELLIYERVKI >CAK63088 pep:novel supercontig:GCA_000165425.1:CT868023:154810:156493:-1 gene:GSPATT00032940001 transcript:CAK63088 MAFLSEEDRGLTQTKDPYPHLGPGVYQIPDQQTKNSYAPFLTTGKRQVEIANKQKALFPG PGQYEVQHNMGDSGVQAYLNKSTVIVKVQGNGSSSFKCGIERFQENKKVKDIPGPGHYDT FKDKSQNKQAYLFQNQHLDEIRYQNHRRRINSIPEPKTQIGMVYEDIETLAQHQKILQEQ KKIKDVGPITYDIQQTKQAKGISWNKCSNPRFQQEQSTDLGPGKYNIAEEKKKKNKSPAF LSESVRSYYDKLIYKTNREINAGLMKQINYKDQYSPGPGQYNDCRVSIKIQKKAQEFQFF GSSLERFREVKESSVGPGDYKIENSSFDKQLKKKNYTNATFLSSTGKGDLVASDEQMPGP GAYYLQNDLYTDLIRKQDRGVNGQFGGKEKRFYDKPPLSKAGPGSYDITKGEKNRAVSSC FKSSSKRDSVNRSSGPEIGQYRLDQQTIGYRVQKQLKFLKNLQKIDVQKPGFDCAQPRFK ENKEMIQSMSFTEQTQCPSGSFKQITAPFKSQQPRLAYLKKQFTPGVGKYEVESNNWKIN SFNTHYNKILSDF >CAK63089 pep:novel supercontig:GCA_000165425.1:CT868023:157285:161749:1 gene:GSPATT00032941001 transcript:CAK63089 MRTKILLTILFFSQLLTLGSAIDYTVNPVNPAQGAATTAQAQPAKTGVCNNGFGWNGQVC VPCMQVKNGYCTCTTYGGCDTKVCDSNIINCQVLTNGQNSYILLRATGCNNYAWGDSNTS VICTQCAAGYFMVAGICVKSNECAQMNLVTGTCDTCVDGFYFTQNFQLQTLQSWDYYENI NQVICNKCLDNCKICTSGFTCVQCNDQYYWSPTQSKSALSSNQNDANAGSCMACSANIPS CIKCQNSTTCDKCLDGYYYTAPSDQSTKGTCTKCMTGCLSCQNSDTCDTCDTVSNYIYLQ TNNQNSCTLCTSITGCQTCSTQGGQLTCTACSTGYVFDANNVCQKCDVNCASNSCSYNNQ VQCSNCVQYFVLKSNTSCVACPNGCQSCSYSDQAQTQITCGQCFDTYYKDDNGACQLCSS SITSCQKCSYNSQNTPSVTCTSCATNTKLTTDSLKCLPSPPNCLDYSVTTSTNTQTCNTC QDTYYLNSGACSACSSADASYLRCSKSGTDPVKVIQCQDGYFYLNDTTCVLAKTQNTQAT NLTLQTCLTIATGNQCATCMPAALGTNPPQGGVCSYCNCSSNKADCTATVTGANSSVTCQ PNPTCLPGFYLDGQSCLPCIQVAGNITGSETCCGLGLIFTGGTPNSCVAQPGATGKQQTL ASNASGQTCDIGYYYNTNSKFCETCISNCDSCADATTCKTCSLNYTLLKTTSDVKCVLIK CLVVDSTKGCTSCQYGFYLSTINGIGYCLQCLSPLTNSICRAYSNQAPNVTVQPAAPVAV SSSPSYVCANGYYWNSQYCSPCKAVPTTGKNTSPKWILHMLNIHGLHIHSLQFRICFSLK YMPRNSQGINDGTTLICTACTTSYSLVSNVCLPSQNCQQFSTTTGNCSQCAPGYYLNWQF NSFVSQVSTDAIPYFNNFPSQCSACTISNCLTCTGPTVCTTCQPGYFWQTSQYLQQPSNG SQGSCQPCMQGCSTCSNNQSCQTCFSGYYMVFPYSGINYCQLCSTVAAISNCLTCDTSQT APHAQSLVPTCRSCPSGYYLYSGKCVVCSGGCLACDADNSCTQCVSQGYAFDQTNKKCVA CPSGCSSCSYSGTQLTCSACIDQYYRNTTNNITICSQCSSIAGKNYLRCVSSTSPTQCQD NYYLNGSSCVAQSNNKCLTYDGASGCTSCITGYIIVGNQCQLCTSTNAQCVKCQVIANTG SSQSASLQCAACTTGYYLTTSFGCTACPNVKGCEACNSAGCQTCSAGYYPTAVTTDSNNS TGTTTSNNTVTCNNCVSNCSICQQANSCTKCADGYFLVTTTSSGTTSVTTSSCFACQPEC QTCVAPGTTCTSCIAGYVFQNGGCVTLAQANCYSVGTNGCGICKYGYYLNNGVCYQCLNP QADFLCTNPVDNLLTSATAQQQ >CAK63090 pep:novel supercontig:GCA_000165425.1:CT868023:161765:162065:-1 gene:GSPATT00032942001 transcript:CAK63090 MNSSLIARFQNSAVVYYAQRGWYWTNRIGKLNILAVSAIWYIAAESQIAGHQRMTLYRHN WIQNPKPSRVIFEERS >CAK63091 pep:novel supercontig:GCA_000165425.1:CT868023:164007:164862:-1 gene:GSPATT00032943001 transcript:CAK63091 MSNGIILFEINQDKLSQVKEIIQKTCTTEISDEEQRLLFDYLSNEKDLLKNCGFTCQRLP IIIEKNKEIAHFLLIQICNLDGFEEFLEVFIQIDVTQNTLELFAQLFGELKLPQEYITQY INYCIDFCNNIKEKQQQNKLVRFVSVFIQQMLKQKAFVTKDILTDLQAFCIEFSKVGEVS KLFKLVKSLEPATQ >CAK63092 pep:novel supercontig:GCA_000165425.1:CT868023:164882:166101:-1 gene:GSPATT00032944001 transcript:CAK63092 MNRNVLFKFFDPVTLAGDQIRGECFIRITQEIPKAQIVLTFQTKMYSKIIDKKQIPYDQS NPQMIPEKLVERAQRIQVTLDSPFRQPKQTQKKYELNGDIMYRVIRHYGTHESFVYTQEL YTGQVKPGDYKFQFSVPTQYNMSSSFSYKSEDGLKQAKCGYKVTLRVDLPDEASTLMMES ADVFINGRTASECEQFRQCEGNIVQFLCLNRGTVELSLKINKNNFIPGEQLQIEYTLDNT RSQRSISRVEARLINKLTFIDDDEMERVVENVKVFQQNLGGVNSGQKLERQNALLEIPKY LRATIKTNIIRNQYFLQMEAIADAFLTWLSVPVVCQIPINIQESQLPQKINLEGWNFLPI MNVSVNAFSNLTVQQSFVPT >CAK63093 pep:novel supercontig:GCA_000165425.1:CT868023:166127:167607:-1 gene:GSPATT00032945001 transcript:CAK63093 MSSNRLRDMRENFHSELRKRTLEQTFRLKRLVTNPVKHYPIEQLIDIVDKLMRFEELNDE EFQIMVSILDDVQSTAINDPSQFCEWAEHLRIIKTLVDSMCLGSNPKYSVFATKAANCFR QLVKQKKSRVYVNQHFDQVCEIYKSWIDSDQSLLKENGLRGLNQLVELHSPKFSKYDIVE SVLKSLQVNRNNDKALRILASITKSIDDDNQVIAILRIASKLIESADNNLKEKGLMIIEN ASRNLQNVQFILTMRIMQSIMNLINNKDKNISKLSLSILVSLSFTSEFDECSKLLDLGIM DVLYGLLKNTQRTYCRIYGSMIFNNLMASSHLILDQIVSNQKILETVFDLLETDVVDVRR ELYQAFKNFLVVCTQNQLLIILDSGLLDYEISGLDDIDLKIVQLSIETLGLIIKELQSSQ YKAQIYQYFSQTGVQKKLENLIQICGAEKICNLAEQCLKEYFDYEY >CAK63094 pep:novel supercontig:GCA_000165425.1:CT868023:168377:169116:-1 gene:GSPATT00032946001 transcript:CAK63094 MNEDKEFQKKVQVAVDSYLGKRDKQSQMVEQIQNVIEREQLIDQVQKLLVELITKLTERF ISNLQQMKNQVENEILKLRQTIRSTHQRTYSAVDKEKLEDQVQRTLNKHEVQINMLLEHQ QFYFCSIFRAQLKQLRSEAPINEKWEISIKKDQETLKHEIDEQLKSFQRNYNCTYLYFYE LEKQQTIMQILNDLVVKIEKIEVQQQQQQSRLSIIGSDKKQK >CAK63095 pep:novel supercontig:GCA_000165425.1:CT868023:169150:169641:1 gene:GSPATT00032947001 transcript:CAK63095 MGACSGKQPPKKQVSQPKPIVQIQSQKQNFLAIWNELDSSLNLVNRNVENEKTIAKLKTL FQTKGTIEEMIINQSKRGGRNLNQDPLQDTAFQYNLFLQVDKINRILSDYLLIDRAFEDK NNQILDTLQQIVDKIEQYRQSIKTKQGIWKQNQSTNFSNTNVY >CAK63096 pep:novel supercontig:GCA_000165425.1:CT868023:171391:171735:1 gene:GSPATT00032948001 transcript:CAK63096 MAALLQYFLIKQIIIYMGCSQSVTADDNQAVILIKPKTLKFKSHNSNMESILKKKYTDGS GQAFYIIPTEGASFSKVPVLGGPDSNQLVLRRRQSKLSSSPTITEKVQTQPMSF >CAK63097 pep:novel supercontig:GCA_000165425.1:CT868023:171804:172259:-1 gene:GSPATT00032949001 transcript:CAK63097 MNTNKLRMSKSLIRQRQSPIPPLEPKLFVGQPLSQSPPPQKIPQLTFSQRLKLYYKSQNT LNPKPWNPPSDEQGTILRLISVHEIIKPKKKELLCRFPNNGCKPNSHKRFVTDMPKIQID ISTAKKIKLNFKSPINVLNKSNSFGPWDSPL >CAK63098 pep:novel supercontig:GCA_000165425.1:CT868023:173109:174191:1 gene:GSPATT00032950001 transcript:CAK63098 MKSRKTSHVGQYQELIVFYTFLFNISYVCLLFINSSQFPYFARISKILRYFNLDQPKINQ IITLLNHLTDCYDYPWLTSKIKSNVTLQIMFRFFYSMSFICDIINQIMFGYILAWLCQFI DKTIRLVRKTIRPKKKFGLGRYQEIPRSNSSYTGRLKLLIGLEGTLVCTSHSPVEGWNKI IIQYHSGLTQDFYVKHRPLLDHFLCNVSQIYDVSIYTTQIKEFAAPIIDQFCIQFSQNFY RQKQILFFTQSCVISRNKIRKEINMTTSNPHNVIFVDYDEDQCLANSENAYQISKYNGQD NDTELLKLQDFLIKAESQMKVRKELDPSVTIQDILLELAGED >CAK63099 pep:novel supercontig:GCA_000165425.1:CT868023:175584:176594:1 gene:GSPATT00032951001 transcript:CAK63099 MQIFETIVKYCDKNDIKLIESGWLLYCHVLGVIGIIWSFFLESELFYKYYLAFLIWHCLT GLGITGGAHRLWAHKSYKASWPIRVFLMFMNSNCFQGSIWHWSRDHRLHHKFSDTPLDPH NSQQGLFFSHCGWLLKKKSKELVEEGMKLDISDLKADPVVMFQKRHYYKMAFLWAFIIPA LTGLIISDKWFFSLLFLGFGKYIFTLNATWCVNSICHFYGSRKWNPRIEPRDNIFVSLIT LGEGWHNWHHEYPRDWRASKNEWWMINPTCSFIQMCEYIGLVNTKRAKLEEKVKYDY >CAK63100 pep:novel supercontig:GCA_000165425.1:CT868023:177075:180833:-1 gene:GSPATT00032952001 transcript:CAK63100 MKSASKSASMIITMFTQQNQEMQLAQAKKEFDEMAKEIADRRKKLLFQLEKGKKKEVEKV DASKAEKFKTMVVFQLTKQDEHRIPLEELEQRFQTSAKTGLTREVADQKLVEHGKNQLSQ KEKEPWYMKLIHELTSMFAWLLWAGAALCFLAYGLAPEDPSNLYLGIVIMVVNTLTGIIT FFQNAKSEAIMDAFKNFIPPETLVIRDGQQQKFPASNLVPGDVVVIENGKRIPADIRILE SNEMKVDNSSLTGESLLLLRSPECTNQTNPLETKNLAFFGTLCKEGNGKGIVIFTGDNTV IGQIAGLVESSGGDDTTLRRELNVFIKYIAVIAISIGVIFFVLGFIIGYPAITNLVFAIG IIVANVPEGLLATVTVALTLTAKKLAYKKVLVKNLEGVETLGSTQDLFSISRSCICSDKT GTLTQNKMTVENLWFNNKKYKGLNREKMGPKFNYEYDINEVGFRTLHETAILCSEATFDS ALPQEQQIRIQNTIGLNQQQKDQKLKEAQEKWNQNFQKMSCQEKPTIGDASETALIKFFQ PINDIIQTRQSRQVARDKENKMAKMPFNSTNKYAFIIVEYETADSHYCLLTKGAPERIWG LCDSAYSNGRVELKDEKWEQSFLSINEQFGRQGERVLGFAKFHLPKDQFPIGYQFNLDKM NFPFNKQVFVGLISLIDPPKDNVPYAVIKCKTAGIQVIMVTGDQPVTAAAIARQCNIITE KTVDEVMQEKGISFEEAFHQSNALVIHGDKLTKMAIDDEGLPEEDKGRQLQEWLSKPQLV FARTSPAQKLIIVAGCQRRGHIVAVTGDGVNDSPAIKKADIGIAMGITGSDVAKDAADMI LLNDDFSSIVVGIEEGRKIFDNLKKSIAYCLTSNISELLPFLGFVVFRIPLPLTTVLILC IDIGTDIFPCTTYVFEDADIDIMTRRPRSQDEHLVSGKLLVYAYLQNGVLSTYCGYFQWF VTLYDFGFYPSDLLFIGVRETILPKVGDVYDPNDPWFGNSNLKRIYENGKCDFDFPSSEV EEIDWIYANHAKLDLRMAYIECKDGELKEVFEWAPCSVNLVSPYTKKPYCYSTEASNYAQ TSFFYGIVVGQICNYQGLRSLKNAGTFQGFSNYYMFFGFWVEFMLTVALSYIEVFNTVFG TRDLLFIHYGVCALPFALIMLIWAEGRKWMIRASKSNTNYPSWWERCVVF >CAK63101 pep:novel supercontig:GCA_000165425.1:CT868023:181396:186491:1 gene:GSPATT00032953001 transcript:CAK63101 MKQFNQLKNFNYYVASYHILLEMNFINLNKLWLYLIHLISEFQMFGIIVLNLNEELLQLP IMNIDYGLNIISIVSRPYKLIVQDNFFLYSYTVPLTILSLYIIHQFFTYFQFSIQSQKSL MEYVLKSNNIKLIFHALNLIFIALFDKLFCIPCIQLACYSLQKNINSDSFGAVEFINTLF SMIALILVFWIQLLYMMLVKEAITLQLFNFKVLIFQGLDYFYMFLIYIFVILDCINLDTK LRNYLIFVLFIISTVLKLNQLLSKYQYACDMQDYLINLNVAFILISIILIGNDFISDKQN LIIIVVVVPSLVIAIVQQVKKKTDFNMLVLLPENQSTDTLQYLITVFSQKDQLNCIQQFS LSLFVFHHRNNCQDKSCSCKKTNLMENDIKLRQLYLKQLILDFGKSINRLADQSSKAFFS LFYIQSLIAINQSVKAYQQTNILLLKQNVHQISDQQNIFSEQTSYSVTVEENQNVYKKKS NSDMIHRLEKISLNQISYINLIKLSIILEIAKQNLISSFSFGNVVQKTQLSQSVQLFMKV EEQHQQLKQNIVNIINRKKDIFMVMSSTTKLNPERFLQQCLDLIRKINIMENELQHLFQE FPSKKMQSIYSFYCAEILSNFLQAYRIINYNAISDNALIKIQKNYQVDLLSTQLHYMILV MDHQGTGLQIVERSHQMHKIVEYEQQEFKEVKSILSLLPRGFSTIHKHLIEDFLISGRSK FFREQNVNLILQRDSFLSPVDFFFDFDLTKLQELTFQVFFSETNGTNSYLILNNKHLILG VTRELCKQLKLQEFEYERVPDMFYLTEIQQIIPEYYQLIDQNKIDKSQPFSNVQIVFNDK TPTGQKSLQTYVEQLKKNPECTRFYYANIVVTIRLTHSIIEIKTVVESKKSIQQESLDQE NFEQECYEFIEELHIQQPNLFNNDLFPKLKKEPEIQIYCYIQEEIPEHIDQIDTNRVLSL QQRQLLSESIQQYDLISPGKSNRQLIDKTTSFATHQINFSQQQRFFQNQIEEKEKEQSQS NQSGAEKGFAKLNYEQNQQNAEIRENLRQQLNDENQTSIHARKLNLDNAASSTLGTFSQN FQLFKKYELLQQIVQSVKFSIIFDLMVFSLLIISLISIIFAIVLINNSSTDIYSALSQLQ MLEFYTSFMNPCYLFLSSFASTYNYKNGTFDQNQTQNLQSLTQYNQYIINQSFVNIKQSY GNQSKGNLLQQDLSSTLLDFQHLKESQFTSEQISMREAIFQIIQYQYNFQTIFIQENGLE EMMQQLISYIVNLNDINDEILNLNTEIITYISDSNLLLQHKWIVLCIRCTYLILLFQISS WLLYMKHMRKYSKLLKLFQKVDVVWVLRDLERCKELLSLLNKDSNLMFRYKFNIFLKERF FKSELSKKHIIQDKIKRAGFVKLDTKQKLFMSRLGSFLFFASLFGVFFIFSFTMNMQGIN FMDYYQMKSQQYNSIGELGLSIPKAYSLREVLYFKSNNFAGYQYMSQNLTDQYLNIIQSS LDIMSNYLQTYTSLESNYNDETLLQLNNETLCEDESIAKTFDLQQQYLCKQIYDNVMDRG LSITITKIRNILLTEMNNSQSFTYRINPPFNEIEIGIYLSVIIMNVLQTIKTGLSEQADQ LNMTIQIVSIIYLVFTFLKIGLILLFVRGHYLNEFQNVKKLTILLPQAALFIDDLFERQL RQLIAKENLV >CAK63102 pep:novel supercontig:GCA_000165425.1:CT868023:186635:186766:-1 gene:GSPATT00032954001 transcript:CAK63102 MYRYQIVHQLHPTMIVDVEPIKLDKLQNIANCDMSYDSTMHQQ >CAK63103 pep:novel supercontig:GCA_000165425.1:CT868023:186918:187946:-1 gene:GSPATT00032955001 transcript:CAK63103 MPFIFNQINNLIYLIQFIFQEKLQIGILISNYILKIMLINQHVKQQYSNKTSSQLHQINY KYFFLNQQMNFKYKKQSYVLWTFKKITKQSCKNYNFQMIQIQIMSYQSNKTVQFKYMKKL YSSSLIFQIIKMELKCYVSAQMISYTKWNTSKSSFIIYSQSFLISCLIIKFPQKELIVQK SNKLNEHEDFIIKYDVYIEGGGKINLFYIQKQDRFTQKMNSSQSLQFQLSKERSSNVEFK AKKQYIIAQTSQFMFQLTSQIIFITFYSSQFNNNMKIIINFIECEIGIYLYLSFCFFNQQ LTTQPIHFFQLLFGYNNKRSIFQKVIKMIEKITIIVVCFNKQ >CAK63104 pep:novel supercontig:GCA_000165425.1:CT868023:188808:189924:1 gene:GSPATT00032956001 transcript:CAK63104 MIKKRISKDQNNCQKYKNSNKSRKYWTEEEDNILKSTVQLHGSDWKLIAEYLDGRNASQC AQRWKRVKPDDVILNFYDCQGEKNQKWTPEEDEEVKRLTKEYRFDWKVIARFLSNRTGRQ IRERYINHLDPHINTKAWSQQEDLKIWTLYKKIGSRWSEMAKKLRGRPENMIKNRFYGYI RKNYAKQENPYYIVPNQRRQLTKEDDQVELLQNQNTLETGIEDIEPQIIEQIQQNKSIQQ QEEQSQSGMHSILQSLQSDKPNFSVISNLHDAQQLEQFHKMFIESSENEFAKLDHLPAHF SSIESHIHSQANSHNQFNYTPRRDEENDNFLNQFLQVDGSCMPVAMRADIFEEFSKFSQL EY >CAK63105 pep:novel supercontig:GCA_000165425.1:CT868023:190149:191401:1 gene:GSPATT00032957001 transcript:CAK63105 MNQSETCYDSDLFVNEQLTGLTCPIGLGVLRNPVFDQCGHVFCYGCITDWLKKQKLCPIN KQPLNENQLIKAIPIKNMIDELELKQCLLKCNWKGSLDKYWTHDEKECPEKQLNCSNKNC NVVMKRASLQQHIEHECEHQQIKCEKCQEILIRSNQNQHSEVCQGRMIKCEDCLKEIVFM DYNDHQTICPQKKIQCPIEGCSEKIKEVNLEEHVSNFKHFLILQQQIKHLKDELALTNKK MDSQQIPYLDGWRTSLSKSVESREWLSVNSMHKIKAPFCLRFEALNINKRPNQWRAVIGV SQEKLKNNHLWYRQQNSFVWIFGGFKCSSQAEKYGNEVQDENFQAKMVLNQQGELSFEHS GIDMGVAFVLPKEVFADGMYLIVSIINQGEIRLLSLDRLV >CAK63106 pep:novel supercontig:GCA_000165425.1:CT868023:191516:192888:1 gene:GSPATT00032958001 transcript:CAK63106 MKSITNLLSSLVSKQHTPFVQKLNSCSIMQNNPIILMTDILYLIECFEGFGPECNGIYLT GQEYLQQFFKAITNKPMNGFIAIKITLTIHLILYEFQIGDSIADEMVKEGSSLRIQENQQ FSNFVQNYLMYLLKLAQNLKLFYACKIGQYPIFDQDQTYTQCSENTRDQQFQQFQILKKN NNFRDTNLQYRNYQQKKLELHMQQQTGCITIEQKILYLFKLHNLLNQCIQILNICINTLK QLENQDCKNIFIEISCVLWNDCMVMYKFATQELCKLLDSFRFMPLQQLLSIQQIYWVTIN SSTQIKYLYNNRKYFDQQNIVKQPFWFEENKKLSQEIQNSIIDNKMLQIPDSTRNVNKVS TPQACQNQKSPTNIAQPFTSRIGNSQNSTKTTIPLQPYFIANKKLSQQPQQFETQQPMST RAKNFVGSIFSDEQLTLSPRESTKQQQQ >CAK63107 pep:novel supercontig:GCA_000165425.1:CT868023:192961:195757:-1 gene:GSPATT00032959001 transcript:CAK63107 MLIPHAERNPNYLEDTEKTIKKEKNYRNFQIYQKPKPKKEQPKPPKKLKTRELFDFLNIW DCEIGKRHNYLTQFNHYLISKRETIELEEIEKKMKKPDFIKFFKYLKDKEQKSEDELLDH DVNLQIAFTAKQRRDLNAQERLKKKHSYPQARPKDILLPASFNPDFEIPTPSPDDFVIVT HFYNQFLEYPNKSIPKSVLCSYMLENRKFCDAYNINKNLLEDQLNEFPTQFEEEITLEEF INFTLYPKILIIKDNYDLNLLKPVKGIQEFEESQKSILLKVYDEFNLDCEEKVNPLKLIA SIRTRYETRIKLFDTFISFKEINKNIPFEKWLYEFEVYCQRLDLESISKSYFREWQNQLF EIPNKFIILKSSQISKKRKYDKLFENEEISLQSNFFSELEKIFNQIRKSNERFSQKKLVV DNLIANEDFSRKFFKLRVRREKTNQLPIETVEETLNRILQEADEYIDLDELIDFFTIRGR PLILSQKLKQQYTLNKKQKENPVENTKFGAINKIDNGFFLITVPDGRKENENQAKSIRQK EVEKMLIDKEKDLLKELNTRFQANPVPWYVKEPLYDQLNREREERRERIKEESKARLMQS MMEPKSFEKQKKQILPPEEDIEFKFMSRPVPDSTRDPRFKMIMEEQRSRSKSNVEKKKAQ WADYFANSTQLQKTLEREEIRKSLKRVQNKKKYKPESFEKFRAKSLPNFERLHTVFENIV ASRKKSFVPTIPCQTNLSITHRTVDCTMLDSENQSNIKGKQKIADIDNRLNSILKSSRPN IIKTKSSASISKYRRKQRYEKEQKQQLINDELEQWKEERKQLLPKGMKVSNPAAEQQKLL DQELEDLVKWKKKYHKNLDKDYQNDLKKMQKRVQKRKLLRFQSDKFDDQEDSSSQSSKSS DRSQEVHHIDKFL >CAK63108 pep:novel supercontig:GCA_000165425.1:CT868023:195910:196869:-1 gene:GSPATT00032960001 transcript:CAK63108 MINTYTFEVQTTNGQMVKITCLQNMMDFKRQVSEKELTIPFDHLIIVDDNDQVYSQTNLA QKYQNKTQFRVFDSNTLFKQNQFLLQENEKLKNYANEEIQRLNQINLQLEEDKKLQFEQI KSQYQNTMEDVQNEKVSQTQKMQQYIQNLENEFQQTKQQATNDKVKFEKQILELNNQINQ QKKDIENLNLKIKSIQDEKLEQEKKYQEAFQQQAQLAQQEIYQYQTQITQISSQLNEVCQ SYQMYGSQASQYMQQYAQKVQELEQQNKDSAQEVEKLKNDIKIQKSSIKEKQSLNDDKDL KIQQLEIDLQSLRHKLGQK >CAK63109 pep:novel supercontig:GCA_000165425.1:CT868023:197276:199508:-1 gene:GSPATT00032961001 transcript:CAK63109 MTCIICKLEILDFEQYGLTGNEDVFSQWINPQRIRIEYLRYFQKQELSIQRFNSPNVGIL NIANFSFMNSVLQILLNFPTIQQILSKVHAEMEKGDFNVKSIKKIILRNICCISDALKSK SVKLLNPTELIKSIAQSESKLLGYGQKDAYEAFKYLINFLHEEFRYTNSVSYWKNIIPFL NQEAIPHDWLLLQLYQFNRGDRSQASKFRDYSFIQNVFSGELQSTLQCLNCNRLTLVNEN YSAISLEIPQINEQNVISKFFNKLTKDRQDAVTLQNCLDLFYEPIQEINFLCLCGSRNGR RTYKFSKFSNILAIHIQRFKNKHQKDQTYVSFPVEQTNFSNLLNMQGDLNYQLFGLIVHY SNVGGDHFESIVRQPNNTFISIRDDQINPVTLKYVKESEALMLFYQKVDSPTNYKTIHNV LTQYELIQTGEIVLKDDELSFLPNFWFEQFLTLSNPQMIITSHLLCFHNQLKPDYWDFRQ SYENVSSQEQMNTSFVCDQSIILQQNNNSSSYFQLQLQSVQLPTAICQFLVEKYGGGPLI QKTIQTCTVCIEQAQNMSKRRKLERSLIQKYENCQSDRQFIINLDWILMWQRYLYNSKSQ LQKNFIFGNPPPGEINNLPLLDGNHQLLQDKQIEKDYSLISEQLWTVLVSIYGGGTLYQK CIVGPIIQVSSSSIEQGNSKLKAFDLDLEDNIKVKEIRIILNQCFKMYNICENIDCI >CAK63110 pep:novel supercontig:GCA_000165425.1:CT868023:199899:201370:-1 gene:GSPATT00032962001 transcript:CAK63110 MIIQQNEEPDIFQRQESNDTEQKLKSSYVSNDFNEAGGGSPQNSVDLKQLQAPQINQIPK VMVNASSYQSIPMSSQFRYQEDQQSQKMKTGPSDQSKITPGYTKYMIEESNQQYDYVRGM FTEDEIKEAFNFLDMNKDGGITSEDLSFFLDFIGEKATSEEIEEMIRMCDKDGSGEVKFE DFKNLAGGWSLPTLGQAHPPTKELVEKRTQINQTMMEKEVQEVARKGKITPDMVEQIRKN ELTSPRFGQDEQSKLMFQSINNIASQKKQRQVIGQNLKVIRSEEGQITQQTKQKSSLSQR EIMLQRKNNAMRFVREKKIDQAYILDVFEKINKLDIMESCTYEDFIHYLDILDNHQSKLL FNSMNENPVREEYVKSSDNVIVDQIVNLKNTLLTLLGQTNCRKHDKLEIAYLLQDSNQQG YIFLDDLISLLVNLYCISEVGIIEQKILKMTAKKGLKNRKDTIKKDIYISMVNEYGQVFE PI >CAK63111 pep:novel supercontig:GCA_000165425.1:CT868023:201394:202893:-1 gene:GSPATT00032963001 transcript:CAK63111 MGDQDSIYGELCISLVESQWITKSILKLIVQQSSAIIVNKHMNSILPQLGYNWFREQVIV LMEEYNLRSDNVEENVIEDPEPIAVNIEHWRRCQGQVTQGVSKENSHSPVIQRKDTKIMS KLMTIRTSRPSECFDSQLIKMGDSEDEQEFDPNIESIRQAKLRQITIQQQKEQNDKIKVL EVQEQVKQLKRLNVDSKSKYTFDYEGKVVVQKPPDFERYPKSFQNISEKRSLVEVRDLIP NHKVQSELIQLTSKNSQNIGQNNQFAIKTSVSQIELMIMKEGVTFYDGKQEKKKNRTFPL MDIKDPLQLQQTLQRQNVQMSKIEYEQITSTSQNNNNYHNKKMSLSNSQQQQSHQFTTRF QQQNDDLQNINDSLVSQRAPKNLTTMNHSISSSIIQKLNGSISILSEQQFEELLIPQTEG NRDQRDHPLFLKRKKTEVEVKPAKTIPTFELPQIPPNILSNTLSKLPRSFQSQGTFPKLI PKFPRQRISKQAIQLKIET >CAK63112 pep:novel supercontig:GCA_000165425.1:CT868023:202944:206721:-1 gene:GSPATT00032964001 transcript:CAK63112 MQITQTQLLEWVKDGNKATLKKHFEEQLMFSQQKLQAFFSMVDDQNRNVVHWAAYLGQYK LLKWWCKQYKQMIDLNKGDMHSYTPLELASIKGYSGEYNPEKQEKTIQLLLDHGAQIPQS NTSKPNPLHWAFYYGNKELVDFLISKNFQLQLETDQQGNYPIDYLFLENRPDQYKKAVRE IFENTIINYAQATTKQTLNRKPKRKKHRQATIITSQQPFIQTQIKEDESTPESEPSKNGI PYSNISNYHRSQTSNSFLSKNNMPKTNQKQTPSQQILIKFQQRSCCQKIQSKNIDEIADI PLFDDSRIEMKEPEEEKIPEIKISNLNQKNTETNQENQFQADQCNIIITQPPPLLLNQES SPQKHIHPRQHQKSNKFNVHDLERQTLKESEKFTIYHSKANLTKNEIYECRLQYWSASQG NTEFFIYFLKRKCNPFLNVYQGFNCLHVAAFKGKLKILKIILENDYEYYDYSGEGNHKNK KQLKDQIFDKKECINILTDQNPSNALHLAIEQEKYGCMKTLILHGVSVDTVNSRCLKPFE LTFNQNFMKFYKDNIQVKQSISSLTELGYQYVIQTKGTLSVDKDIVYLQLQNIRQTFAER GWSFEFLIFHAPDLDKLELYNDNTKTNKVKSLFHYYVLKLPPDSVYQLAHLYQISCYNFK TKHICQFHYDKRGFFEFPKDLQVQMLILNTLNDEFDVDKFVLEKLIISHYPLEDIQKCEK ITQFWDEQQNNCIRDSIRYETQQIALRPLHAIASYFGPVVAWYIALNVQIIGWLMIPSLF GAALGIYIIITEEVNSSIVPFYALLMTLWSTLFMEKWKNRESELKFCWDMHKFRQSQPQR VMYTGQYIINEATNKIQIYDYFTTFKRRLIAEGPVILIGIAIIVVSFYAFNLWLQEWKDD KIMPIVINSLNGVSMTVFCDLYKRLCTSLVTWENHMYESEQEYSYILKVFLFEFLISYVS VVYVAIFENNASQLSVSVASIIITRGVISNVKSNFLPYFLFKQEKVILSKKFSEFKRIFT TKLAERKQVPNICNQKFSTDQVNSEIQLSFLQELEIGRIKQPQKVLYDEYTSIAIQFGYT TMFAPTFPAAPLFFMINCYINLRWSIYNYQHILKRERAQAADSIGIWLQIFEIMNYCATF MNCIVIGTVNKEQFKGIIGNQDALVSAFFLAAIEHVLLLIKYILDVSIPDCPYWVEKELR RYAYLEEKYQKNNN >CAK63113 pep:novel supercontig:GCA_000165425.1:CT868023:206964:207791:1 gene:GSPATT00032965001 transcript:CAK63113 MLTKLVSLGKINSMCFRSVYQYNVFGAPFEGLDPAKHIYMNKGLQNQYDDLFRLGDYPYV NDYPIMIDSQQYVPQIAQLVESHVKQQFQNATFTAGEYNNGDVWAATWNELGVNNFKVLV PNLLLRLSETIVVKESKWTWTKEQRYRLHVTQTVLGPQDYTQMPTYYFINDYNTVLWMLA RQSNQWTSPAIRGVQTPLEKQLLQDLQPKPKIQSLFRDRPENNTFLNRLLKAVHQ >CAK63114 pep:novel supercontig:GCA_000165425.1:CT868023:207966:209696:1 gene:GSPATT00032966001 transcript:CAK63114 MLGNKNNLTYEERYNSDQKIQQNIVILYLISVFTYSLAIVLLSYSQYINFNSNLPSDFGL LIYNWEQYPIKSIRIAEETDLNKVTATFENQKIQTYRIDNENFALTIEKLDTFTFVNNYT LDSDCEQEYQLCGGVELNSKYCININQITSAFECPFNDILIQQNFTNGYLKNQSVSLQNF TNYFYETKLQLRQGSVNKTIMVLSSVQSRQPITNFRFGFNGKVCKDSAEFQVCSEDLLNY KEISKMKASEVLDLNAITNANNITINDTIGLYAERYIEFNMDCRYTLIERVLESPGYYKD LGYILYIQTLFTLVYCIFIGIILNLLHFFMLSDFTFILVLPIMNQQQSKIIRRIVYAIRI ISFLSYGTIICLLYFYEAQFHDTINRIVKSRCLEEDFLYIMSDTLDEIDKFRSNQIAIFW IFIVSLILEVFACFVIVYRGANVKKKNLLQKQYEHELEIKHDDQQYFFKTEQKQQQNRVL PRQSFTPNDKQAIRQSMISENSSSRRNKNNNMLADINEQDEFQNPRESIKPVFQHNYSPH NTMQSPNNYQGQKIQFNS >CAK63115 pep:novel supercontig:GCA_000165425.1:CT868023:210342:210611:-1 gene:GSPATT00032967001 transcript:CAK63115 MGNSSVRTSNACPQIIRINRLQYQLNFENENSFDNADGKSIATAAPNSPNHSHQIITEVI YVRRNIRRKAIIISNSSQNIDESEVQTEI >CAK63116 pep:novel supercontig:GCA_000165425.1:CT868023:210840:211142:-1 gene:GSPATT00032968001 transcript:CAK63116 MGCSIQKQKPNQSQLVGAKEKSLSKLLSNYDHSKQQIPYSPPTCSKPPSPQQNSKSLTQQ QQIKIQEVIFNNNKNSKQTTLLKCDERYKFQQTLIRLIKR >CAK63117 pep:novel supercontig:GCA_000165425.1:CT868023:212683:212997:-1 gene:GSPATT00032969001 transcript:CAK63117 MKNFKDFPKISRSKLNQIMIDMYTALKDLRFIKQAKKNQCLKVEMTTPDGQGIKNTVFIL VLHSQKDYQYIQSKLNLILTMLSKFKSSLVKHTDLQEDYNNYDP >CAK63118 pep:novel supercontig:GCA_000165425.1:CT868023:213383:214806:1 gene:GSPATT00032970001 transcript:CAK63118 MLILFILFASSVEKLTLIQPESLIDKLGSEIKYGIAHFGDIPWGQRMIGTLIPTYPIDGC GSILPSKDHDFIFIERGKCTFVTKVKNAQNAGYKFVIIGDNANEDIDNSFTMLNDGQGSS VHIPSIIIGSKWSKEFKDIFSNYATISEYSIKLLMKFDVIKQLKVDVLFSIDLLNNSSLQ ILSDYKPYQQLFDTNEVKYQFLYPIYSLKMKEDDNAIIESLNCISDGRYCTYDPDGDDYG TGQDVIEEMIRQLCLQKLDIDVFFNYIDLFKDKCKLPYMYEYCFSELLIRLNYSIQTVEN CYDDSFKKLINQSQNNLNFYNALLEEQLEIISEFPYAQLPPVMLNNHVGVKNITAKNIFI NICESFINPPEICSNQKDDWRRQDLSQQSSSFLQLLIILLAVLLIFLVVATLVYKKVVKR ASQEQTTEQVHELVTQYINFVETKASKQKNSQ >CAK63119 pep:novel supercontig:GCA_000165425.1:CT868023:214871:219161:-1 gene:GSPATT00032971001 transcript:CAK63119 MKNSKQKFDINRLNYYEGDFSEFGPFILFRSIFPDQYKVKSWTIKPKEFKQNCQALISNN ELSFQCFTCGIEATHIYCQECFDPNQHLGHQCIINGKSKGLCDCGSESIISKQGFCSKHR NYVLDDDDEIKNISIKVQKKITNILQSLIVTFTSTMKQIKGKSNNQIAFLILYHFATLTQ NQDLMQTIEEKYPIESTYRLIKKASNIHTLIFNTIGSLINYRYRYLVFIQNFLSEKNCQN SKYTYLEKILKYQVYLEPFQDFKIMKIDKILYQLYADEKSKRFLFSIILKNFSKLWWIDT FRVTKYDSKVKFNNLNVTLCTLYVYQINSALKQNSCLINFVYISNLLITESQFVSFEMQK QFFSQNQNIQDLIVTMEKLHYSSGALCSQSQLALPLLFRRRFQYKAFGQIALDQLKEILK NNFDSFAKQDIKFDLIYQFNYNNFAITTLINSLGKGIMKISQNKQNQEIILADVVHYIID QATYYSAIRVGLENLFKRYSNEMFEKNIIKLLFYQTYQILKNNTFINKHINNDIADRLLC LLENYNFYPEYNTQKEIKQGMVIQKLFISYLAHLYCTTQFKNGGLFLDFLLDILDENEQE FKNFLNSLLGNIVQVYLTIHCNQNKKLQSVYQGAENFIEYSQFHRVDTCLFKLYIFLYGE HGFSQFQNMLETFKVNDGIFKVTNQTRIIQQLFASMILTDLDLYNVCSPLLTTLSNDLKL TLVRMVGNYFIISNSIEYGDILEKLQKSGVLITKNFSNHILQICELDQTTKKLKLKAEYQ IFYEPSLIQNQKGLNTQIIERLIEKQKSESEILLGNGIIWDIQQFSNQRYKVLQHLILMN FCQNSLFLKNLKFLQETAKSKQMTLLNENTNFLQEMCQLIYAQLAFHNTFNQILFQEFVD TVRVELEKIYNMNLKKEEQQKIKVLISSINELNKSQIQENQQTKLKFQAQKDRYKAKFNQ IQSSNLIQQMLNEEQQQEIAIKDENLCYACKLSLKAQNSVGTLSIFLKPKPQMYDGTHEK LENLLKFNLCLGIQTCQHYFHNQCLTKYFQSDQIRNQDFGYYKLDLNCPICKQSVIQRFP IDDIDKQKLKSFNSDLLLINDHLGLDFEQDQMNKLVQIYINLFFDLVTSLFMNAENYRRA QKNVLFKQLLICFYETIQEMDQNSREMLNQVQIPKQKNNLVFNTVRSIYNILTNQSSFNE LKLDIIELISKSKQLSKDEISLFLSSFGIEEAIMEQQLNAVQNISKEDYTLNFYQTLQSQ AVKKIYNKLGPTFLQFHSKYFSEKCDYCKFQEKIFSYSGISVCLLCQKVFCNKSCIIKNK NNLEHHATNLHEGNSIFVSLQDSSVTLICDLNSTQKFKCLYYNNLGERINSENPHSDWNT FLLDFTKANELALIILNDRYDKINEIQENQIFT >CAK63120 pep:novel supercontig:GCA_000165425.1:CT868023:219894:221139:-1 gene:GSPATT00032972001 transcript:CAK63120 MFELCAIVGYDIDKKPNLDMLLKNEYEPEILEIYPSHLKKSPLISHSISQCFSQGQPSLY SHDNKLIHPTKLNSKDIGISSKIHQFQVQLDDSTYKYCHVLSFYEQAYIQNIETLAGKRT KIITWSPQIDIQALINSKQVTAIKSTLLSNNANICIYVQKSIVVVSNQNETGCEATLVNF INQQLSRDQNRSHYLNKQYIQTLTNSQQNNKLLNELLTINQELFQNLLRLPSFELSNLIE FFNVQHQAQKQYAYYQYRLVISNLDFDENVKIQHIPSMNFISHESTQEGHCNIISQELFH LQEMPKDVFYNPPDKIKQFRERVRKSNKKAKKPLIPIQPQSHMAKQNQLLKAKPIMRPQD FSFHEVQNKKPQRNQIFNSLNFPQTKTLLKL >CAK63121 pep:novel supercontig:GCA_000165425.1:CT868023:221646:222316:1 gene:GSPATT00032973001 transcript:CAK63121 MAIRNRLFQAFNTVHRIFNVEAKFLPYTCTTRNEALVQNHTKHQSYLHYANKDQNLQNIT RSTLDEFQKLEFNVISQFQRKGLNNPHVDPHQIIYQLDRIEVLRKLKVLEQNAGAFPKDE AECLKLIAKVHLEEPNAQENLDKFIEANPQCRRLLHLGQI >CAK63122 pep:novel supercontig:GCA_000165425.1:CT868023:222455:222748:1 gene:GSPATT00032974001 transcript:CAK63122 MFVLLLLNKDCYCTSEDQIFVHFWHRIFFCNLFLLKLIIIICITTSDFLIIYVFHQLQNI QSGLKYTFLLIIQVFIFILIFSFICAQFMRLFRFYCF >CAK63123 pep:novel supercontig:GCA_000165425.1:CT868023:222775:225429:-1 gene:GSPATT00032975001 transcript:CAK63123 MSVIHWTNVNNESPSKFNRQSSETLPPIRKRIQKRNEKGLQETTYKSLYKQNKLAEIVTK AQKSNEDLYEEYDEIKIQGSILKINDNVIIKNGDHKVEDYVGTIQKICSVLEPQTNKLIC LCQVQWFLKKSEIVNHKPRARCWIGTQEIFSTKKNDYILAQTIIQKCQVVNCDEFVNLEN SDLTTYYNRLEWDVENKKFTNMNEIQLYCLCQQPWNPELNYIQCDKCQKWYHFECVDLID GCYDDKEYICGYFFLIKHLFNLFQNISKLSKVMKISQLKFKTKKPIGLTLDYLENQQPQK EILPEAQEINQFDDIFNQHQQQSQKKELYPLNQSKSSNFEHCQNDESSSSKPIHLPINED DDNEKVQKIIFEEYYPQNNGNRDNSENTQIQQENLSNINSKLVQEPPAPKNKDKVKSTFP QKGSSMAGCKYLCLSKVKKQIQIAIPEVKQNITSSYEQTMIKQQQKQNQMNDLELKSQIF QLQNDKDRIKNQYELEIVELNALIQDLQTKLEIEQEEQMQLKQQNQKLSNLISQIDNQLN QKQDKEQLRFSHNNNLNDKEKICYLIEKLNVKSTQNAKLHYEIIKLQNNVEMLETQSILN QNNANQNHNQDKQAINQVPQYLGMEVQQPTQIYNKKENIKASVMMQQKQSFLNLSANHSQ LDQNKNMNFSNVITPQANKNEVSTSIHKNLTLHQTKNGYFKEDNLQQIRKIQYEIDILLR STKNSTSVGELNILRQDPTNYSNILQNMMKKAAPNSPNKYLHATQKFKETLDSKLNNISD KQKSYASLLFSGSDLKKSRYKDAYCRQYSPHINDDIQFKTRQQFLFFKLDYFH >CAK63124 pep:novel supercontig:GCA_000165425.1:CT868023:225692:227287:-1 gene:GSPATT00032976001 transcript:CAK63124 MLKFFFKKQLNNLIYMQQSQVVKRIHITEKENQIFNTIMQFRNDTNTQSVLRVAGGWVRD KLMGNESHDIDITIDNMSGEQFVLKMKDYFESKNIKVSGFGVTKLNPEQSKHLETACIKI LDQSIDFVNLRGETYTQNSRTPQIVVGTPEQDAFRRDLTINSMFYNLNTQEIEDLTKMGL QDLENGIIRTPLDPYITFKDDPLRILRTFRFATRFNFQIVEEIIKVIELQDIKEALQNKV SRERISIEMDWMLKSEKCLQGLKNYHELGLWCIIFELPKQNQNQCNVKVEDQGKYHLESF KYLKTLQDLKYFPKFPQIHGFESQQQLNLYLNLSCICLPYQKITYKKGKNIESTIIYILA ESLKMTRKSTDEIYNACRLVEQFNQLKKEELINNYRRIVTFLQDAKQQWELILYIDYLQE YINDPQATPQLNEKFQNFVYSQNLQEFYNAKALIDGNEIKQIWKVEAKQIKDVKQNILIW QAMNPNGTREDILKVIEQNKEQFLNNQ >CAK63125 pep:novel supercontig:GCA_000165425.1:CT868023:227480:228193:1 gene:GSPATT00032977001 transcript:CAK63125 MNRENQFKIVLLGDQGVGKTSISNVYVKKEFNSYENATSGANYHSQICKIDNHQINFAVR LQIQRQIWDTAGQEKFKSLARLYYRDSNAALLVYDVTNYKSFQRVTDWINELNENSEPAL KFIVGNKIDQVDKEEVSYDAAKQLAENYGCFLRLVSAKEKNGIFELFESVGRELLKNQQD NQNPMINQPPQTTNKPLVQQMPQAQQSEKCC >CAK63126 pep:novel supercontig:GCA_000165425.1:CT868023:231969:241267:1 gene:GSPATT00032978001 transcript:CAK63126 MIQACFAIILYMNCIIKVFGVREVVSTSFQEITFSDADNWVVNGAQPKLTKCSGYTLFGG YSVFGPNTVVAKTFALPPHYMITLQFGFYRIDSWDNQNFTIVMDGHPVRQIMAANDGSFY CGNQAMADRFYRFNIDFYHTSPSVVIQFKSNLTRTAYYNSWGIREIILFVEKCPERCIFC DIFKNGVSCLALSVFYKSWTQLNATEISSDGWNVYLGIAESTSCGSVALFGGYKKIGANT VLKQSFYNIPNHNELWIEFFFAKIGLWENIYLYLIVDGVLRSKLHSWEDGGNGFICEDSP QSQKTWFYRVRYYISHTSSQLDISIYIKNNISGIIEDDSIGIRDFLIYYNDCPEGTYRNG TYCYSCNKSCFKCDGPNLDDCTDCGDPIRYQKQLVAGQCTCLARMVEYDNIDGTTSCQTC HPKCQRCFMYFDNTVNQYCTMCIAGQNRVVSSQYMCVCGTGYGEDGISEVCFKCYYTCLH CNGLLANNCTTCSSQSNRILTSDSQCLCNQGYFDTGINEIICEKNCHNTCSSCASPSADQ CTSCPVTRKPDRIGTTFGCLCNDSHKYSDDTQSECQECHFTCKTCYGAEYNNCLTCDENA FRNFSMRKCICSGGYYGENQLQCLPCHYTCLTCFGPAENNCLTCADSNNRVLKTNLCLCR DITYMQKQIGDAMCYKCSYRCSSCSNEIENCTACPPQSYRDLGVDNSCSCLAKMYDQPNN PICIPCHYTCLTCNGPQSNQCTACYAEIMRVSNQSGSCLCMDKYYEAGKPDCLPCSANCL TCVNSADNCISCKSDRYLQGNTCICQNKITGALISKYEVKGKTDCCHYSCLECYGSEFNQ CTKCLDRESRILSNSTCVCAGHYFDIGQPKCKQCQYTCETCGMSTTCLTCAPNTFRTLSI SRCICQQGYFDDGSNPICQKCHYSCSYCSSISTKCDTCSSTSNRVINPSIFTCDCVESYY DNGVETCSKCHYSCLACNQFGNQFCHSCKEKSISFRVFNQGFCQCLPGYFDDGVSPVCQK CLISCLTCLNTATYCTSCESTRNFEGNSCICKEGYFEKNQISCGKCDQNCLNCSIKSNMC TKCDQSLMRILNNVTQTCVCKTGTTEINGQCQYCDITCDTCSNLITNCTSCKSLRFLSSN KCNCIDGTYESSSDKQCLYCNKTCKTCIKKENYCTSCSDDLYRIFSSGNICVCKNGYYED SVSLDCKPCDSSCLTCNTQPTYCLTCNAKYNLSLNVYNKCVCSTGLYFNSLSLKCEACNI KCLECKQQQECIECEQFTRYFDPDKLECPCKDGYYEVNAKTCQLCDYGCKTCQTSATKCL SCEALYYRFLNFNKCICLDGYYDIGIEMCQQCDTICLTCQKSSTQCTSCNQTQHFRSLSL NQCICQSGYYDIGQLVCQKCSNQCLTCTGQKDFCTSCDILQQRIDQSIINKCPCLKGFYQ DVNDQCQKCHYKCQTCVQQNDNCLICTYSKTSNRLNISNNCICKDGYYDNNLQLDCQKCS PQCKLCQNSSNNCLTCYGDLRQEPPTCNCKHGFFETILGFCEPCENQCYNCDKSPSNCLS CKEGRVTQLCICQEGYYEIGQPQCDQCSFQCQTCKNSAINCLSCKGDRINMPICSCPDGF YDDYLNYSCQVCNWLCQTCNLNGCLICKANRILSPEMTCDQPLDSVSYPDTPWCSTCQVA VLNIRFSDDLLSIQVKFDFPLNPSFFINQFQENICSRILKDQTYQLLGKNPNCYIDSNDD TIIMLGVGKDPKILLGDLILFQENQIGHQGCDSLLIYFIFNEIKSPLNPVSPILIYDEPT QLINPCDDNIIPLKSILNDGLRSLIEIKWTYFVIGSNGKGNIDNFIASQTKYQILELVIP IQTLPKQSNITFEIEFKNFVAEFGVKSIRFQTHSGLFPTILWVSKPIYYTFEPIAIEFKI KKKVCLDTDATQVDKSQYSLSLIEVHKNDSNSRSSRVNYSEITSQNSFIVTIEKYILTPM VAYTFEQTTNDLILNFSTKKNITLEISSGGIMCQFNGTKKIQNFRKDTQIFISCKDLDTQ YDWNQDPNIEIDINCVDFNRNSLCVDLQNKIIHINKTDPFQVIPKYTISPYTIQCWTVVA KKLSRSFKFQVKIVYIDQNFELLNVTYSQGYLKRPVNNYENLEFTINIPFQDRQYLLEYQ VAIIYNFELIRILQSEYFQFQFNIFDQFQKFSEGNTINLKFLAQFTNEIIPCQEDLQLTV NLPPSCTVSLSEQIVQALKPQKIISNCLFSNAAPFTYQLRYFLHNQDLIDFLSRKNDYSL ILSPYSSSNIMEGSFPFSDGFLLIQAMDSKGSYSNIQRQLNITQIVLNCSQINIQQYPLR YQISLLLEVILNHQDQQNCIDFSQQLYSYIKAFLNAEDDDDQFLVYQTVKVYKRIIRNRN NLNTSKRLLEDKQESCFQNSSKSFYVQSTLFNNSSFLNASSLQADLQYIMDTVQKMIKKL TDIKDQINKNDLFLNEKLYQSKVATLESLLIAQLLIDDIYLKIPMANINSTLDKEKIFQI AEGLIGLFEVISMHVNDYAKVNGPPLINDGEIIKWKLSKITKVQFNKQFNIERDQLDELI EFVQKEQIELNYNYLNLSQQLQTQLQDFYNVTTIKIYEKKQQRVYLQNHLYNNRSLHYQD PLTTYIIDMIQIPYCQEQVPLEKLYTYECVNINLKGQLFKCDFITEEIDNKTMVISCRCQ KLGSIFLIQYHNNSALLQNDTFNPQENRIDNSNEKLDQQPILLFHGIFIVFSYLIYYELL QIEMRSKQLQIESRLETENSVDPAFFYVKTQQIIFYPGNFALFKKYFKFIHEVLSCFYMD DPILPKSYRFLQLSIKISIFILFSFLQLTLIDIFPISIISFANCGIYLLIRMILKIFQSI YRFGGKCSNSVIIFYLLIHFLCYLGLVLQLKQWQI >CAK63127 pep:novel supercontig:GCA_000165425.1:CT868023:244208:254883:1 gene:GSPATT00032979001 transcript:CAK63127 MVFLIKAIILLLPLLSLAQRVKLQGSKSIRMNCREIDKTNNGFLGSQYFYQSLSQSRTLQ NLDKITFTLWINVYEQSKLNGKQILFAFVDGNTNNPYLNLMLYYQQSTGNYNMTLTNQRQ SPEILNLTRQNDLYIGSWYHIVLSIDQSTNHTFINLKFFSTIDQQMNSIQETLVNQKLKY NFGVHSRITNEQLFGSSTDYKACVNIANFYYHNGWTTMDSEIYLDYDLELKFFLKPYQLK GLNVSDQYMNVNLRQKSNPIIYSDSIGLLLFKNTQIVYTFMEDLVSLTMMFWIKPQNIKS LFQFISLTDDSLQSTSLGFGVNLDYQLQFHQNYSNYFLGLLGNKTWFHVTAGVLELSYNA DFIPTNQKKLLRVYINSEQVSQKIIYNIRSYKRLIFGPLFIDTIGAEMIDIQDIRIFKGY GIQEGRGDCLLFVGSYCAFCQPNTHYCYEQDPNDDTNIYICAAGFYETQNGCYPITIQNC LRQQRQQCKICADNYNLQNGQCYQIDSLVSPYACQDSNAIFCIRNILKSIAEKTIIEMSK LCKTNFNTQNIKAHCQEDLSNNCLQAQYFQKCFKCRKDYYLTELNTCEKTCTQDYRFSYN QVCLKKCPEKYLYEYDCKNTQQQLIYTCNSVKNCKNNVSNISYYCLNDIELTGKYLKCDH PNSNQDNKNQLDCHLSCKYCFGGKENQCLGCYEDQFFSPYDTTCVKDCNNLRMFKYNNRD TMVCEIECPRPYLTQELECVKNCKYGYAIYNATVCLPKTKITDNFLMTYYDTSQQITIFV DCPEVCQTCISEKICTSCLNHYILNDNKCLTTCYPQYLYVNKDYVNHCLNNCDPNDFVYD NVNIDGYSIRQCFKLKCGSIQINKKQQTYLHQTKYRTCVYPCDDQYYPEQKTNQCSKCNS PCYNCQNSANFCTKCLPGLFLQDNSCINPCISKFKNYINNQCEDTCSSGFTINDKINGIK YQGCVSFCGEIFSMFLYILNFQCYQTPPIGAYCIGYQCYDCYYQCKTCSGPQQNECLSCY DNTFFFDNQCVVDCDTQFHDLLNWKCVDVCPSNSYTTTSLNILINTEVKLKIFCSSTCLH NQFQYQDQCTDTQPEGTSCVQKSDYKLCDKCVTECEECVDHYSTTCQKCNPGFYLYNTTC SQECPDDIPYKDTLKNICVDFCDNNYYHQNGFCVASCLENYYRYDAQKQCYEFDCPKGTY NKVSTLNCYDCSQGCATCQDGKSNSCITCKEGYFLQGTSRCTNVCNVSPDLYQDWINGKC VNQCPTGTYLQTLPSEQLACKNTCPLYYYSNLCVAICPVQSFLEGTTCTSCAGACRLCYG RQANQCTQCNSGYYLADTTCVEICPNATPYQNLADQTCVSICPQNHFLYLAKKYCFSYCP STLTIYQLNGKNECVDQCYSNSYLYSRTCYPCHSYCQECYGPKNGNCLSCSSEYYFYEQK CEISCPSQLYTDLLDRKCKVSCPSTTVIQGQYCKTNCDTNYYQYGQLCVLNCPQFTYKLS DKCLLCNPLCRSCSGPLATQCSSCLENYLLKGSTCTQACPNLYDYETQQCVSTCDKKHEL TDFKSCVTTCPAGYLQCSGKCLKTPPDGYYSDGVYCIQCNSKCTKCTSLNICQACSRNYF LTLQTCDKICTNKYLYMDPTTQTCVTKCPPQLFHQESYDKRSCVQDCFLGFKFNDQCVNS CPKGMYIMNNFCTNCPQKCEECTSVTNCTACSQNYFLENGRCQLGCLVGKMDYTTHECVS QCDPSLFEYQNSCLVSCPTNPVLYYHSNICMDACPKNTFQNNQECLDCDVSCSSCIGPSN NDCLVCKETYYLHDQQCTLTCPHLYNEVDRSCVISCPPNLLLDGNKCILICRQYIYSNAC LSNCPPGTHDANFICYDCSQDCLECDSFGCIKCGNGSFLNDGICSNFCPYYYNIILNQCE EQCPEGTFLYIDQCYASCPANTYTYLQTCLLDCPWRTTLIESICYQCPERCSVCKNQYEC LNCDEPYYQYKGECVVACPIVLPYQNKIYHECQSECSPNTYEKGYECVKECDLIIYQNKC LKQCPYGYYGNTICKACKLECKACTDFNICTECSDNFYLEYNSCDTQCTRIIDLKQKKCV DSCSSLLYQNVCYETCPINTYQYKNTCLRKCLDGYFGSIDFKCEKCPFQCITCISFNICN SCNVGYYLFQNQCLDQCPDKLFSNPLISQCSQSCPGKTFTFKNSCLYQCPSDYFNDTESY KCVSSCGKQQYPDNKNCYPCSFQCDQCTAYGNQNCIACAANYVLTEDGRCFGKCKDGYYQ TSNSCEQCLHKCLTCQNGTECLQCRGMNREQIDCSCPRGFYDDPFYDNCQQCPCEECTSE SECLVCKNNLQVPNCSCNRRLNNDWCITCLIASVNIYYSDDLNSIIVYFGYLISVNLINP FEPSSCSFWFNNAEIFGQDAQCYLSWDRYAVHILLELYASVNIGDELSFQQSFYLDVNEG LCNGQYIETFIDSTVKAPSSQTKPYILFDVPSVVSTCKTIEIKQILLEGTAKKIQEVLFW TLHEMENDDYYFEMEAFLGNQKNEFIIPIGTLASNVTYTITAKYINFIKRVNFTTFTFTT LPDLVPYVFLQYNPLMARVYVFDCKVTYSDMKNEFNLTIQVSDSNNKTYLVIKQSINPIY EVLLDESLLPKETPLLFMASTGSSVIHEKIWLKSKRIEIQFHQKNRFIGLDNQINSRAFD RNIQDVVLSTLNIQYQWQCNNLFNLQPCKTKENKIMEFPSRRIVDILADSQNTTFVFFVK ASKDTRWTVKEQLIVVTDFEIEEEFVLNQEVPQNTVNLNNEITILIKNNQKYAFIMQEFK ILASIKSKDSTLKIRLAGLTTNYKSPVYIYLVPGNESISFQLNSPPSEVQFKIYPFVGES LDYFNYSIQNLQPGNNFSIYYYFEIYILQIDVIQQSIDYGIPLVINSQELTGSFQLPNGI VDDAISILCQIESSKGSKSYLVQYIQVNRKNYQINKLYQAFNNQTNFSNLQSIHTMTKLM EIEQQQVCLKQCSGVGTCFDKKCKCPPEYYFDDCSGTLQEHNNFSNLILNALQQLIKIPI TNDDEFRLFSQSLLYLSTLIDLNNTITNLDCQQILEQYIQNLNSRLQKINQYSINLQYQS TTYLNYSQIDIRSFKNQNDLHTALKSTVIMWAITLFTQDSAVYQLQSRLKDFLSAIIELS LFGIELNESIDYSFDIAFLKIQRVSNISNITKERILVETTGNHFSDSEYYDVVQAIYIRN YFSFDGYYPYPLQLYPLYDYQIRQQNRKQNILLSTYISYKFKALNDTTNLVCLMRNSQTY EWSNHNCTLHELNTSYFCNCTTLAPTTICNDYDYLYLRSPQFQLKIPNLLYIIYFAQLII LGIFFIQAGKSQRDKSIDNNKFGQVMKLAKRSKAAIQGNKIIPIEDEKPTASIEFKLQQN TQQQADKDKFSLNNFWKYHFLTSIIYKKICYFSSIQRSILILLRWNQAIIIGEILSVIGF NYDISMWIILSSIVFSRIFEYIFKVISFFQFRLKSNTFFI >CAK63128 pep:novel supercontig:GCA_000165425.1:CT868023:256020:256157:1 gene:GSPATT00032980001 transcript:CAK63128 MFKDKKPQTIEDYTQIPGLYQIELNADTQVSAYENNNEKKNQRKF >CAK63129 pep:novel supercontig:GCA_000165425.1:CT868023:258973:262440:1 gene:GSPATT00032981001 transcript:CAK63129 MKPSVDLFKSLYDGAVWLYNDYAAQKNRQQYEDYFQIDMLQWEIINNLKNGSQNLEEILS RIEKIHENLVKNASNWKNHFLWIQMIGKIITYHPLITKKKLNQLTSPFNFETKSRQIWKE YLNKGFLMQLNYSNDQAVVLLNQFKNKELTQIDKLILEDTFIEWENLMLLKDFLMNEKTD NNYFTFGSYLKLKLGSSLAIFNNFLISSISNKLLALIQENYDYLVVIVKNYKNFIKQNKY FNDTIEQAKSNSQLKKINLNFKGYFLNTQKIIKIIRLCFRKQNFQLEQLEQKKGNEFKIV QKLKYIMRLLEQILSQAIQDTKENRTEPDESRVIQQQIELIQKKLQNLNLTEKQFSFMNK LNQKLFALPNYALNQRSFLKEAQCMLKLIEQTEKQVSELEIFLIQKDNLIQYFKFQLNNL NTYYEHQVYKLQNYFTQLNLSLKTFLILQYEIESVSQPEKLKEIQLKYSQKVSFQCLLEQ RLNMLKLSLKLIVFKECFQNILTIPSKEFKKLQYDINLDEFLIDVVQNYPKRIMCCDSSI KSQAIAELSNLQITEQDYQNNLIIFKGSLEYLILQLTLEEQKIDLERIDLEQIEKEFGEL FIEEINPPTITEVIMKIVKDFSIDISLNIMENKSLNQNQLKIEKEKYEILLQQLRKINYQ ENCEEVEQMKLLISQIQIVFLLLKASINQDLEIFRMPTIKKMKELKEKFDEFKKNYCDMT NKTDKVNQIIVKDQNNDVQHKIRLNLSIIINVLQLLLIKTRQTKQNLVQFLENVNNFTKS LDVLQEYHLEIYTSFSSFYQNHLNSFKEQQFTTANLKQLQAETSKDCFQRIQVKLQGYNL TGENNVQSTNVVDFLYQLMAEAKEQLIKSKWKFKENKFDQEQLIQLTKIIYLQENDEYEF QTIQQDLFSKVEYNDDEWKIKQGLVLTIIQISQQSFTEKITRFCQKELIQLWVQEKDLRV RNLLKNEKLISLQMQIFSKDWQTQHDRIAAEMQKMLNRIDELQEQISHEANLNKRDIQLK ELDETTEQLDQQIENISEMGQQLRLLTDFVNHIRKGLIRVEGKINEMKEQLKSLGKSVEQ LFEIRKWKVLKEAAVKNAKSIYIPLETKEISHKVFQQILNKLMIQMEK >CAK77984 pep:novel supercontig:GCA_000165425.1:CT868293:1783:2131:1 gene:GSPATT00039261001 transcript:CAK77984 MLNLICFYFNWEIISECYLKSIEKFIQPLHHHEKNPEQKLFQIIDSTFQTIRSQSLVYQD ILETDKEVKLTLISNPQNTLSAGALFVSEEQVCINCQPIEPSNDIKDKK >CAK77985 pep:novel supercontig:GCA_000165425.1:CT868293:2547:3359:1 gene:GSPATT00039262001 transcript:CAK77985 MKQIQQLQQTYESAQITMIKEIIINGLKKYKSLFTQNQNYKDGREILNKYYKSSLIELNS VFERFQLAQVDEESYDLLKELNLMMKEMDSIRLIKKALAPYQANKILDNVLALADIGMQQ TMAIPEINDEFDHLPESFQFQIQPLDDQEKIVIAIFDKKMTQYKNEIGSAIWNFNQEFTQ IETIKLFWQNTKF >CAK74234 pep:novel supercontig:GCA_000165425.1:CT868175:8984:9349:1 gene:GSPATT00038967001 transcript:CAK74234 MAVNVSPDGTTLASGSVDKSIRLWDVKTGQQKAKLDGHSGDVYSVSFSPDGTLLASGSGD SSIRLWDVKEELSILSSQNDYQDVLVQFQPQVFQKNFIQESSIYF >CAK76759 pep:novel supercontig:GCA_000165425.1:CT868250:6419:7054:1 gene:GSPATT00039174001 transcript:CAK76759 MLLLWQCEIPLYFPCSTSCQALLLTCYFLSPNYYCLQRTSVYIIKLFIVTIGQRGNLSLI IRSNRRLITIGCLNVLDLGTIQKHSFQQVIKQGVSKPDLKWNIIYSKIVPNKHQIEISID MHPNIWNSLQGSENSFGKFDGILSECSICPIVNSESQNTRTYNLIILESKTVKGNDCLNR RIKSFKMLENQQGNNFQLIVLIQICLQNFKF >CAK84799 pep:novel supercontig:GCA_000165425.1:CT868526:1127:1485:-1 gene:GSPATT00039707001 transcript:CAK84799 MNPNLSIQMLILDNLQRTTKDFYAQDLLIIQDQYSLSLQTVYAQMQRTQGKSYSETFNRE TFKNIGRQMIKIDFQQSRKEEKNLKDGALD >CAK79598 pep:novel supercontig:GCA_000165425.1:CT868337:80:4929:-1 gene:GSPATT00039339001 transcript:CAK79598 KECYGPLNGNCLECESPYYLYKQKCQSTCPSSLYTDLTDRTCKESCPSTTIIQGQYCKTK CDINYSQYGQICVAICPTFTYKSNSKCLLCNSVCRTCNGPLVSKCSSCLQNYLLNNNTCT QTCPNLYDFETQKCVSTCGKKYELTDFKSCVTTCPKGYLKCTKNYGVNCIQCNSRCTKCT SQTVCQACSKNNFLTFQTCANFCMNKYLYMDPTTQTCVTKCPPQLYHQESYDKRSCVEDC LFGFKFNNQCVDSCPKGMYVNNNFCMNCPQTCKECTSVTNCTVCGKDYFLENGLCQLSCL VGKTDYKNNACVSQCDPSLFEYKNKCLESCPTNPVVYYHSNICMDACPNSTFQNNQECLD CDVSCSSCIGPSNNDCLVCNETYYLLDQQCILTCPYLYNEVDRSCVISCPPNFFQNGSRC FQSCNQYMYFDTCVSSCPPATSHSNFICYDCSQDCLECDSFGCNKCGNGSFLNDGICTNY CPQFYNIILNQCEEQCPKGAFLYIDQCHASCPANTYTYLQTCLLDCPWKTVAIDSICYQC PERCSACKNQYECLNCDPPYYQYKGECVVACPNVLPYQNKVYHVCQSECSPNTYEKGYDC IKECDLIIYQNKCLKECPQGYYGDTICKACKLECKACTDFNICTECSDNFYLEHNSCDTL CTRIKDLKQKKCVDSCSQLLYQNVCYETCPVNTYQYGNTCLQKCLDGYFGSTEFKCEQCP SQCITCTTFKQCNNCKIGYYLQQQQCLEQCPDTSFSNPLISQCSQSCPDKTYIFRNQCLY ECPSDYFNDTESYKCVSSCKKQQYLNKNSCYPCSFECDQCTAYGNQYCIQCATNYVLIED GRCFGKCKAGYYQTSNSCEKCLHKCLTCQNGSECLQCRGNNRNQLDCSCPKGFYDDPFYD NCQQCPCEECTSESKCLVCKNNLQVPNCSCNRRLNDDWCISCQIASVNIYYSDDLNSIIV YFGYLISVNLINPFQPSSCSFWFKNAEIFGQNAQCYLSWDRYVVHILLEPYASVNIGDKL SFQQSFYRDVNEGLCDGKYIETFIDSTVKGPSAQTKPYVLFDVPSIVSTCKTIEVKQILL DGTAKRVQKVLFWTLHEMENENHYLQMDAFLADQKNEFIIPIGTLASNVTYTITVKYMNF IKRVNFTTFTFTTLPDLIPYVFLQYNPLMARVYVFDCKNTYSDMKNEFDVAIKISDLDNK TYISIQQSINPIYEVPLNESLLPKETPLLFVASTINYVIHEKFQLKSKKIDIQFLQKDRF IGLDNQINARAFDRNIQDKVLSTLDIEYQWQCNNLFNLQPCKTEENKIMEFPSRRIADIF ADSQNTTFVFFVKASKDNRWTVKEQLIVVTDFEIEEEFVLNQEIPQKAVNLNDEITILIR NNQKYAFIMQEFKILASIKTTGQNLKFRLTGLTTDYNSPVYIYLVPGNESIAFHLNSPPS EVQFNVDPLLGESLDYFNYSMENFQTGYTFSIYYYFDKQNLKNDISLQSVNNGIPLVINS QEVTGSFQLPNGIIDDAISVLCQIESEKGSKSYLVQNIQVNRKNYQTNKLYQSFNNQTNF SNLQSIHTMTKLMEIEQQQVCLKQCSGVVQMSSRILFR >CAK57397 pep:novel supercontig:GCA_000165425.1:CT867992:757:3918:1 gene:GSPATT00028432001 transcript:CAK57397 MIMNILNQKQLQEQYEIICEIHNFELIAVDFDLSKKNKAQFFCGNCLVEKINNIKSDYDR IIKRVESNKSKHNKKILKRKRIKLDSSIKFLFSNNPYKILWIKYINKYNSSYFQFEKKNK NYKNMTYNLITLKIYNNYQNYIPKVNRNKLNYKRFELLLNCSEYSQTLQLFKNTKETLKD LMENDVIDLISGNYKKQNEAKNTKFKQYLQQSQKGNYYDLYGFIEQEDRFVFYQNIENID KQWKEFNSQFKKAQWNLKLRVKLKIRIIKLNGFYENRLQQKLTEISDKVVAEQYLGIEKS QDLNHIRKISIQALGNEELLTDLNQLIEKKNTIKPINQICKKQSLYFQNKHKISFKIALL IRYIVIIEYFIRIFKYLFISIQLEENSLIIQLTETVQQIQKSPSKDDKNVQMKILAHYGI SDCLSHQYYNVNQVKCLNCPASCITCVSPIDCQSCQATYTLTKLGCVCKQNQYEESDQCY DCPTLCKQCQSLTFCIECFFSDFRELVGGQCDCFQGYYQVEGSSVCLQCNTFCKECFGAT FNDCKVCSNIVGIKKIGSICQCPDNTVYEEQTNSCVNCHSTCQTCFSKYINGCLTCDAIL NRTLKGLKCECSFGYYEDANICLNCPVTQDSSLSQCYVLCDNNQLIWFSTGCQGCSQGFH MVNTECQPICGDLVVVGNEECDDNNTDLNDKCFNCRFQCPANCQTCDKDTILPCLDVCGD GLITGNEECEDGNNIQFDGCYNCKYQCQQSCTKCFMGECNECAEGWYIVPYTWRCKERCG DQMIVGEESCEDGNQIDTDGCKECRFVCRIGCSQCDGPKCLLCEFPGFIPYQYYCKKQLW RRNSCCRSHGVSSEVSNGNKGINSRRLYANLFVXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXKGEKSQVSTQEEYMRTYLSRQLIGGKSKCANPVSSC HIKGARIIKQSVSRPAYNVIQFNSQYYCRIIQNL >CAK57398 pep:novel supercontig:GCA_000165425.1:CT867992:3929:4186:1 gene:GSPATT00028433001 transcript:CAK57398 MLTIYVGYKILDHICYSICGDQIVTVDEECDDGNLIIGDGCHFCNYSCQDSCLNCVQGEC LKCKEITNNFYQIANSVAQIKSIIY >CAK57399 pep:novel supercontig:GCA_000165425.1:CT867992:4392:6259:1 gene:GSPATT00028434001 transcript:CAK57399 MFRILLMVRFWSLQTMLTKYYLDESNNTCQPICGDNILAPNEVCEDGNDIEFDGCFECQF QCQVDCRFCFLENVYNVWNHQSWSSLKENAEAGFYYQSSTNSCVNLCGDGIVTGQEECDD TNIIPYDGCFDCRFQCNKQCELCDKGVCLIETCPLGSIWINKSCCGDAIQNGDEECDDGN HIDYDGCSNCRFQCHQFCNICLNGICKECFDEYTLDQNKCVKQQSILVDNGDSNHLRYNQ DYICLDDECAFSLKPKMSLIYLNQTFSHQYVEINFNQQIQYAKMPNKGQFSPFEIQIQNL SEEYYKIQLYPIRDITYDLQFVQYVADIEIFLDLQEEPILQITLIQTVINYNNQTIIEPN QSIFLQEPRILSDELKSRSVAISKTSKAFMISAITISSVSFIFGDSTLLNEALNILQYQS YLKFINLEYPENSYIYFQSSEMLSISNYLSNLQIDYVLGVITSKEEEQIILDGKFAQYDV DCDLILNLIPQCPNQQYFQLQFLIARQFILSTKFSFGLRFLNTIFKIYRVVKSYQQNLLT GRIINTGYYKIQVLIQFEINHAHFIFKCLGYFIQTTTTNSYQQTIERKRTLLIFSQLFYN NTVLNLIAKAPFGM >CAK57400 pep:novel supercontig:GCA_000165425.1:CT867992:7315:8409:1 gene:GSPATT00028435001 transcript:CAK57400 MHQTLLLFQLFTIISCYSYLPQLEIDLNAPPKQRWMKAVQTVLELHGYQNSFGSVFAFHN QHSFHILNKDDYDTIAYFLRKNFPEHALELEGVVEALNRPEITFEYMAGWAYFHEIAHVT NQFQECTGVLLSAGDQVIHGRNMDQAPGQGRNLVLHLFIKKDGKYIGEVVDWYWFKGGFI TAQKYNVASLEENWRIDKRLTKQSVMLFIQSGLPSLTQSFREVLTNDKLNTYEKVVQYLE THEVGCGLYYIVSGSSKDQGTIITRGPQETIPSLKLNTASGITYLVQTNYDHWEVDPEED PRRTVAEDLLQKMKINLKNEFGIYAVMNTFPVHNEGTFVTVIMNTKYNRFTTLGQEAITF FDDE >CAK57401 pep:novel supercontig:GCA_000165425.1:CT867992:8715:10343:-1 gene:GSPATT00028436001 transcript:CAK57401 MLNKQQRGELVEKIINLISDNLRNVKDQNQSCEAFFSSLEFDDLNKTQIYSPEIYDIKEL WFALEKNRNWLIKGVQDELELQVDQHKAILKQNSSINKTEQIINDSNIGIEKVCNECQIN KFLLSGLRDEYINQLFQNKNAPNQTKIKIIQDFETLTNKFKGMKDIDGEGIIQDMQKFFF QYEPDISEIGQEQSLKDQNEYEESICKEFISLKGLEINLGNICQQIGFKEQSEKCQALCF NQNDNLIATAKNNSIVIWNFLEGQMEQIAELNGHKGEISCLLFDKNSDTLISGGGDNDCK IKIWKRTEQKIWEEQGSQQLNGGAKVMCLNKKGDKLYIGTQKAQIAIIEVNFKNFVLGNP KIQEIDKNSKPIFGLSLNEDENYLVLCGMDGCLRLFSIRQELIEIDKLDCKVYGMRVKFI DNSSFLLNQRDGKLIYYKIQNNKLQNQQTEFNLVSGNMDHIFTPIWHDPNRNLFVVKHNR CIHFLKQVNGRFEKIGKLVYKYQLLFATVSNNGRFLVTWIGKPENQSIQGENQYQMYELK YQ >CAK57402 pep:novel supercontig:GCA_000165425.1:CT867992:10349:11126:-1 gene:GSPATT00028437001 transcript:CAK57402 MDMPEKIKSYKVTFDGNTAVGKTHLFNRFWLYFIKLKTLNQPSIGIEYDQKKYQVSNNQT VNLLYWDTTGQERYRQVVLDHQQKAKGVYLVYDITKSQLLMNQKIGRQCCFYHVNWQQID KVADNNKSREVSLEKAQKFAEMHKLIFMEVSVYSDEDIEKCQTRMVEGNTCIIIQKFIIP YQQIQNYFLIQFFNMFTQNQILLNCKLTKYIQILNFDSRLQYKQIQ >CAK57403 pep:novel supercontig:GCA_000165425.1:CT867992:11458:12204:1 gene:GSPATT00028438001 transcript:CAK57403 MQVNYEAIIDEAKNKMMKWQEINEQNMWELFSNKEGYIVYTKKNPENGINMNRSQTEIAR TPEQILDLVADVNKRPLYDEKVETAHVVEQIDANTRVIYVRIKPPIPFMSSRDLVMVQKV YKQNDGVYIVCSKSIIHQKTPAINKVERAEMHLSGWIIIPQQNQMTKIVTLQCFDPRGDV PTSVTNQYAKLQTDMMKAAVKYIALNYK >CAK57404 pep:novel supercontig:GCA_000165425.1:CT867992:12297:13464:1 gene:GSPATT00028439001 transcript:CAK57404 MILENQISKTQNAPSPQLKINKDYKSQQFSSLNTSHQSIDQENQYPQEGKLLNFIMELEE LQQKSIFNLTLNSLSLEQQKLALTDDPNNSQLRRQLQYNKHYYQEFQHSLQQENVYLGNC LQNHKVSQQLRSRMVDWMIEVLGNYNETTTNATFFRSVSIMDYFLSKSNTQYSDQHLHLI GMSSMFIATKLEDIYHIPLQDFVQRVGHNKYSTIKVKAAEQMILETLNYEITFPTILDRL YNLYYQCFSVNNDTNLQNILEASIYILKMCLHDYTMNTFHANTLAASSFFYSICDFVISK DYQHQDQIIDQFSKRITQISEINLFEFNQCQGKLKELINSFKKKYPDLQNLDRFS >CAK57405 pep:novel supercontig:GCA_000165425.1:CT867992:13762:14606:1 gene:GSPATT00028440001 transcript:CAK57405 MGMCESREELKDPQFGRSTIQPKMSENPNLDFYRGVYPFQLNNQIQFHMRHWKSYNQQYQ WIKFYSDLESDKQFMQWLYPNFFQSFFNTTSFRLSIKERNSFIEDESIMKQYLDNVSMFQ RFLGIGRDESQQLQILDQQQFDQCIYSYTQNLVRIQRFISSMSILGQRELAVEFLQVIKN KLTKKNKIYTSKFQGFELLIEEIDLSAQSLYSKKKPTKSFGEFKTHYVQEDLLNEPWVQA SILTKAPIISIQEKRESFEIKQEV >CAK57406 pep:novel supercontig:GCA_000165425.1:CT867992:14633:15369:-1 gene:GSPATT00028441001 transcript:CAK57406 MQTTPPFSQNHTNPLLTKDDVGKSKPSTYNLPNQDFVYGHPLARDKEGAKEVTMTWKFHQ ESQDKVPNRDFAELNKQSIHNGSVKAHVTVHSIQDMYKFRQTHDARLKLKKGTNIQAIEL PEEEFRYGRKNRPSTPMKLVMGNSYGIEAESTILEKYQVRASSQVTIIQIKDSKLSSSIV KSNKASQLFYDTNHKKLAAIRGVEKKEPFKMEKFKTVNSKINTNLSTKK >CAK57407 pep:novel supercontig:GCA_000165425.1:CT867992:15514:16331:1 gene:GSPATT00028442001 transcript:CAK57407 MSQDEFWPKEEGIQEGQDGQKKRKNNKRVITNPSQQTGHWSELEHQTYIDFLNMHRSVME SQDQKKTSKIFKLMSETIGTRSPSQCRSHHQKFNPFVHQIKKRQKRKKEKQNTEKITKND ENAFLQSLQIQHQLFQQQPLEYQMLQIPYFPYVPVFDNPLKEDEKFNEQQQLYFQQCMLL QQQLPQQLDDKNICCFNFNFMPQQQMHLNFNYFQQQQMFNNDFQALNSCDLNIKENQFDE Q >CAK57408 pep:novel supercontig:GCA_000165425.1:CT867992:16351:18249:1 gene:GSPATT00028443001 transcript:CAK57408 MEEQATVENVIIEEKISKSNGDVIIKRYQRGKTLGKGGFARCYEITEIDTKKILAAKIIQ KNTLTRNRARQKLISEIKIHKSLHQTNIVQFIHVFEDHDNVYIILELCTNQTLNELIKRR RRITQLEVQCYILQLVNALKYLHQNKIIHRDLKLGNLFLNDKMELKLGDFGLATKLDFDG EKKKTICGTPNYIAPEILDGKIGHSYEVDIWSLGVIIYTLLIGKPPFETQDVKTTYKKIK ACQYTFPDHVVISDHAKNLITKMLVLDPSKRPTLDQILQHPFMTSNPIPKTAHISTLVGP PSMSWLSQYQSTQSSSSQQKRLADTAPQQLMKVISQDRVSLLNDAQTQNNFMKDSRASSQ KLVDMRATQQSAKTLPTGITNNMNNKTFKIQRNEIFVKKWVDYSSKYGLGYLLNNGSSGV YFNDSSKIIADPKQTYFEYIEKQSDNQQYEVNSYSLNDYPKDLQKKVTLLEHFRGYLDTD STDKDGIDQSDDNKIPMVYVKKWMKTKHAVMFRLSNKVVQVDFTDKTIIILNSDTKSVTY INKKGEKQNFPLSTALESQNHEMTKRLKYTKEILQHMLQGTQAQVINTQLTLTNWNQK >CAK57409 pep:novel supercontig:GCA_000165425.1:CT867992:18554:19877:-1 gene:GSPATT00028444001 transcript:CAK57409 MKPNQKVFDHLKTYDYYLPTHLDSVQKWFYEKMVDNLGLGESFRTSFLSQISKIGQLAHN EQQFRQLLKDTWFGFFNQKPLSCICPMFDRQEQNEVLDFMLNVLIEPKQYEISYIDELLQ LYEYYLQGNRGVVSIVNRTKFRMILSKLICSKFILSQDERYQPQIKQYLFDLYLKKIEQL DEKERIRVEQITKANLFHILFELLFDQTEGQGNIFNHLKRIVLILLDEQSNTFVSFQIFK SFYQMYLEKEKFLFDRIDPRSNIDYNRVALELHQNYIEKKDLREALKTIIYRSTQSTDYK LVLRELVTRDIYSKDNEQIFFSLFKEFDQNNQLKYHRQQPIDHDLIQQIKLLGFNKLDKR NEIEFDNFNFSINLTDNNIIVVINKRTKQKAKCSSIKELHTFMQNSNI >CAK57410 pep:novel supercontig:GCA_000165425.1:CT867992:19884:20520:-1 gene:GSPATT00028445001 transcript:CAK57410 MYKSVLICALLLTAYAGHVRKSHGAVHQKRTFNSAFMEFVNLGDSDYHLNPKEAQHWAQI TSEDVKHENKKHKSLVETHAEYIPGVVGQVTDLSNNAGVYSYTVTDSNGNIIEQDSGDHL AKQFNTAYLQMTQEIERGPAMVKLQTDLDNIMREDQMEQEHKEEQQRQALEGTALSEQD >CAK57411 pep:novel supercontig:GCA_000165425.1:CT867992:20984:22673:1 gene:GSPATT00028446001 transcript:CAK57411 MLNNLRDSQQNNYQSQLENSLSNQVQQAFQSTVQFRVISFLLYFLMVVIVITLLISIVVA NKYRQSQQLLLVGILMIPLLVVLFGCTLYVQLQFSDAKERLKKVPQERYLNRWMYQSSIK FFFFYTNQSQFTAIRLTYLYIVFAIFILIRNVTNLSKDEYSEDEDYKDLDETNNDDRINY QTKPQIRGNLLLALIIFCSFLASLFFIYEALKIVLTIYLRCRNSSVLRYQYTDVNLNVLR VIRWSKAFCGLFLVNHIGKMMILCTIFITPNSSSYVLIGSNIYYHFFMATLVNALFNEIS AIQRPPQNDSSLFINHQVLIDSKLRSMQTKKAFQILLITSFIFTIVGTCLFRIQIYTFSG ILNFDIFIFYDCSITQLILLGLAILKYLVIPQFNRENVHIVQQQQAQGIEDQQLQTIENQ QIRLSTEQAQLDMILRSQNDEIYENSRNKLPFKKVKNIDCIIQNENEKSDCIICLQPMVL SSDDPLLQLNCHNTHIFHKKCITDWLVQNKKCPLCNTQIL >CAK57412 pep:novel supercontig:GCA_000165425.1:CT867992:22735:23116:1 gene:GSPATT00028447001 transcript:CAK57412 MNTESQAIDLNRKILKSSLTRQFTRSPTRSRKRIISLPPNQNNEILATTKRVQEKYLFYL SVLQGLICLTIRELNGLCLNTCRYTKAKEEKKYFLNNKYFDSCFNAMNKRKFQLFNK >CAK57413 pep:novel supercontig:GCA_000165425.1:CT867992:23720:25122:1 gene:GSPATT00028448001 transcript:CAK57413 MLKNFIVYEKLGEGSFSTVLKVKRQSDQQEYAMKKVRMGQLKEKEKENSLNEIRILASIQ HPNIIAYKEAFYDEQSQCLCIIMEYAGQGDLQQHIQQQLKQKQYFQEIEIWKMIYQVLLA LRTLHQMKILHRDLKSANVFLHESNYKLGDMNVSKVAKKDLVYTQTGTPYYASPEVWRDQ PYDAKSDIWSLGCVAYEMAALKPPFKAKNMEGLYKKVQRGLFERIPSKFSGELMTIIGLC LQVQSKSRPSCAQLLANPILLRNARQFITESRISQQTQSSHNGSMMLLQTIKLPKNLKLL KEKLPKSQYLIESGNKSYDESLVNRSFLPKIQNQKEIRHNGSVPPLKSDSYLQQYQSYQP TQINSLNDDKPKHNGNSVQILEMERIRQKKLLEKQQNLKISVIQQNAIPYINSSSSQIQN LYQNKLSNHDQNQQKHYSEAPKPIWWG >CAK57414 pep:novel supercontig:GCA_000165425.1:CT867992:25907:27424:1 gene:GSPATT00028449001 transcript:CAK57414 MIYIVITIIFCMFVASKNNDYSQIIYFCTCEIILKVIILVCLLYQYCQFIEAENKAGLIN EQSRREIEVNLVLHQSTFQFVIAFANQSQYLWIKIILFYCSLCLLMLNVQVDQSSLPICL CHDSMEDQYIEFNYSELKCLLEKDCRIEDFETSSFPYLTQLTLMDWPIIVILLILLFARV LIMPLAYCIKKLQIIEYQYSYNSAFKQRTLSSGSFISGSIIFSSLVKLIVLSLLMILTKN SSCYVLVASNIFYHILIAMAFNQIKYTINFEQALEEQCYQNSQILIDSILWMNNLKNAFF QFGLLLCLIFTTVGQSSYQRSDKSTNIMNYDIFIEFDCGIFQFIMLTILIYKYIIYQKCN KSRILIFNKYYHGSNQELSTNQSSFQNQSMLTNSRIPTQPNNATIIHQQGNLLKELKFAS QELSIYKAVKHITTDIDCLICLEQLTNKSANVVSLKCHHLHMFHMECIKQWFQRHTTCPT CKHEFFIN >CAK57415 pep:novel supercontig:GCA_000165425.1:CT867992:27734:28196:1 gene:GSPATT00028450001 transcript:CAK57415 MNEDQLDNKQKLSLNDPEYQQILEYIFNLNNNEMAQMDLEVTKSQCDTSQSSKKQQKKCG HWNQEEHESYLRFLQENGNHNKGQRLFKKMSQIIGTRTPSQCRSHHQKFNPSKPCFKLIQ TKIMKTKQLARLYMSRHQEKDEDED >CAK57416 pep:novel supercontig:GCA_000165425.1:CT867992:28510:29706:1 gene:GSPATT00028451001 transcript:CAK57416 MNFDNIVKFMEKSEACLNYELINVPFVPNTFSLWRDVVYENLEQDQWFEFVKQIDKQLFQ KYLEKVREAYARKLTYQDLKLNLRYDIDAKKFESIFENELKKQVDTITVHLSYYQAQVEY AYDLLRRIHTYKFVDFNVEQIQTWQKDQTLYQKYLDKIMKGFITNVQEIATEKIKEFCAT GLIKNNSFLDSGKSFFKSFFFNGSVAVAKLSNLNLGLFIGGIIAEQVVSKVALSIMTQRI SSKLDFLQLELDQMTNELTYINSDLDHLIYRAVEEFPKNFDQNKNCNYRFQQNSVIQAFV QKNYKVNLGTDIIYCPDTNTIIQKSVEEDCVLLNEVEYNLPLQEEIDEDACVIKLSTNIS DNINKQHFDSMY >CAK57417 pep:novel supercontig:GCA_000165425.1:CT867992:29779:32064:1 gene:GSPATT00028452001 transcript:CAK57417 MQNNNSFEYQSSQGKREIMLQQIILEQKQKIRDLELQTQKQQTPPMYKQQLLECTNQLEE SKNIIKQLLQQKDQLTQKIQTIESEMEVQQSQAVQEIQNQYNQRIKQLQHENQLLQEELQ QFQPLSKALNNYTQEEKYIQQINILYDFLTQWQKQNMSELVYYKRELEIQITQKETLNSK HIEEKKELTTQLNQRIKLDQQIQNDVILQLQATLQEISKFQNEIKQFKLFNGNYQKIEES LLQQIENLKPISTIQQVQQIKSNQSQYTTKIENNQQDDQIAYLRSENEQLKQATDDIKSL SLLREKTLKQEIQRNKDKCEYLTQQIESREKHYIQDLQKIKQYIEQIEKNYESRENFYKF NSEELQSLLDNFRNITQQISTELRSRKGNEHIKECKDLLAKLVQSKSKQPKQIKSLEKLE TICKDLLKNQQLIKQNEDLKDLIIDVSKQILNSQEYKQQTIILFEALDQLEGIITSPNKR VDDLLDLFHKELQQLTKLREQTYQNNNIYTQILEIIKEKQDSPTVQASNQKEVEHISQLR QLEQEQYKKEIDIAINRSQKLLEVQEMKIKNLEYQIRKQEQYINQQYQSTSSQQTEMDAL IKQQNKQIIKLQKQLNEIQQQRVQDKKDLIKLIQDSEAKQIENQNKMLKLIDVKYNTIAD IVNKKVNKLIKPEKVQNNEEQSKIIQQDTNSQIKQLIKKDQERDQQYQSQLEQLRQVIDE LKNSIQNLHAEKGELIQQLDELNKVVQTQKKVYYSFRQQQQ >CAK57418 pep:novel supercontig:GCA_000165425.1:CT867992:32086:33589:-1 gene:GSPATT00028453001 transcript:CAK57418 MQQQNYYTAEQLAYLIDNVELGDNTDHLKKLADRKMIRQSNSQYKLQDDIIREQYQQSHQ YQEKILNTYRLLQAFTHPNISQLLFEQFDDEYLIIQNTAEQCIEWFYRTDNKEYIQVILD IFNLQNDFQEAIQKKIVKDIKANHGKVYLAIKHLSGILEDAFLISIYQNLILHYSFCYLY DFKNFNSIIASIYELTDSKVQIVESQYMESLYEQRILVFQELFKLDKEQLKNCILYSKVY LTPKLQFNQMFQERINKDFNVQEINIIDNLKQEIILSLPQVPKNVLVEQFIGTIALNYKD LVKYIVDVYLDKLILEQNSKYVQCLLNFISNPELKSLFYQNNKKKPLLRETLNMCIEERF QNSLNNQEIIKLTVKCIHDLYKNGLMARPQLLIYVKQLIKQLCTQHANKQINIRENMIFS ALTDLSNVIESSNKVKDHEVVSLERVLKGIIYQYRFNWFDIDNLITFESLSLIVKLINMY NENY >CAK57419 pep:novel supercontig:GCA_000165425.1:CT867992:33637:34148:1 gene:GSPATT00028454001 transcript:CAK57419 MGPMCSSSQIKRRESKQIQTEKIVEQINSNTNIFNSINIVIELINDIINNLDLPLKQEVY VEEQIKIQVHQEVEQQLGSSVSEQQQNHSLVPSQNPHPSITLENEQEPYSQLLQSMIVKK KEKLQIMQQDIQLLEYSPIKQEFKSQSSDLIQSVEPFNFGF >CAK57420 pep:novel supercontig:GCA_000165425.1:CT867992:34261:34663:1 gene:GSPATT00028455001 transcript:CAK57420 MNNIKQLIEAIQKVEQEFHKLNINPEDRIPIISKMLFNQNLQTLDNLDQVLIAQFQQSGL SELNQYIDYLLYRLDSQCDFELNLNYQTNDKILEEISSYQQQFKEQATQDENEVKKVSRP RISAQR >CAK57421 pep:novel supercontig:GCA_000165425.1:CT867992:34735:38528:-1 gene:GSPATT00028456001 transcript:CAK57421 MRKIQLNKLQRNMAFMEDWNQKGIDNWKKNQSIRYKNRVDDDKFKSTMQKIAEDRLVQTQ QFLKGEMEQQIEQFENRQQDEPVSLGLRNKMMNEFMRKERDKRRRKMIVDQEKQTNELQR RECCVLEKLKQQSRQEQEIMYEIWRAFQCKEVICENRNLRDENYKNKQELNVINRKFKEE EMLRSLQQEFNEEIELQQKRQLEINVEYKLLIRQQNYEKCRKLVEILFEIEEQVYEHLQN HDSNQINEQFTRENNHLFEQGMELIPYKRFRTYDPIKEMKKQENQNNQQKMFLAKMEMQD YLEGTGAWSIYQAQNNYTLGNLVRYLIESQFEVTETKEQELHIPYVPFRGSLIGFAFSGK RTISDLLSQKYGITVLCVDVIINELLEYISIYQQGEEHSQVWDQQQIELGQSISNYLLEG QNIPDELYIKAIVLKIKRTFPYQKFEDNYEEQKAKNQNHNARTIKTIDDFNDDGINWEDF HRNNMINNKPYCTGWLLVGFPHTYDQAKLLEKYLTNIQPQDELTALQARLEDAARIVKPN EYQKVPRTKQESGVGVCVYLEMPSNEMCLRRAVGRRYDNHSNLLYHLDSNPPPVDNAPLI ERIKPLYEVDNLQQQISDKNSYFMTQIEGVRNWYDNFESKCASNLQQAFISIDASNNYER VFYDVDQVFQNFLQIQVNQIQQKYEAQQNKILEQEESIKQQQEQEESDKKCQFKQVQLDT LPVKQPNQAFLKYLNGFWNLIENKYMSLQNVFKGFREQREFIINYQMDIQRKFIDLINTP DEKFFFIRNFQEQYNEFVLENPDLCEEDICKEELHQRVDDLYDQLIDLIDQKRDDLIVEK QQIQTSQFIENEIDLYLQQLKSMLAIELDRAQNSIQLLNDYYSTLEGIELSDIQPGVGEL QLMDGDDPQVRLDKLIADALRIFAGEEEIVEDKTKKGGKQPAKKEEKKGKKGKEEEVIKK ELQNAEAVNAINLEKQIFKERVDVIKRFATQTILKYRQAADLLYSKLDEWIHYTHLTEIK ALDAISNLFRGYIERCERIQKELQLQFVDVIISHEVLNFLTPIPPPLTAREPLSKERFSI SQLYYLIEDLRAITSTHLLIDIKQLAILLSRSSYGVLKFTPAQWMRALKVLDQNGYVNVR QICTYLILLQSPTPKEEELQMYQAQLNGPLVDKENFVNTAAWFDEFERAPEEENTNYFDR IIYIKELLFFIHKDENNQIAIKQYLDILNIKGDRYFDFLFQNLQEL >CAK57422 pep:novel supercontig:GCA_000165425.1:CT867992:38765:39095:-1 gene:GSPATT00028457001 transcript:CAK57422 MSQLLMVWLNDEVQLSKKVKSFEHDFSNGYLFGELLSKFNQQLNFEEFSNKDVREAKMKN FQLLEPTFKTLHISFNFQMADQVIKGKKGVAMQLLYQLQMV >CAK57423 pep:novel supercontig:GCA_000165425.1:CT867992:39125:40555:-1 gene:GSPATT00028458001 transcript:CAK57423 MNVQVGKFQFNSRFCLGEGAYGKVFLGQDTENNEQVAIKQIDTKFIEQQDKYIKQQIINE IEILKKCNHPNIVRFIDLIDTPKYIYIIIEYCKDGDLKELLNQKRLSEVESFDVLRQIVE GFKELQKHSIIHRDLKPANILINNGIFKIADFGFAKIVNNYSSTNMLKSLVGSPYYMAPQ LLGYQQYCFKCDIWSLAVIYFEMIFGNLPWLASDPQSLLKKILNQPIIEKLKQAKISQFS MFFLEKTLTIDEFRRPNWQEVVQMVNTSPLMKADKNSIPQPQSQSKTNILEVSASCDTKS NINSIQPEQQKKRQEIIFKHYLCQEILVNKSEILQFCEGNNVLLKLSFCLSKWVLVLSQQ LIKELPELKREHDFYVLFMHDIEELRLSCEFSIERVPQICQDYCLEYLTIAQRNIQNMSE TYLLVLTEYLINFARVLRDGKDSPIDYEELAQSKLNDYDFQLYHDILKTNLNAIVI >CAK57424 pep:novel supercontig:GCA_000165425.1:CT867992:40579:41941:1 gene:GSPATT00028459001 transcript:CAK57424 MKQFICVLLFLGVMGSDLESTIKEMRQTEFGRSFLEKIQLIDPVEKIIRDIANFYNSVDR RLEEGVQQFKQSQEKCNQEIKELKTNDDELNRKLRDIQITRARKQPRYDLIIHRFHQKNK MRQELDHQETRYIELREAEQSFFNYNQDQLDKAMVVIVEIRNKFIAYLSLRQNRQRKAAV RDNQYWDDDETPFNDDDYDEEEEERQHNEKYAFIQIIVAIQRVKTGLEMNGVTQLVQGIE DLCQQMHDIKEGNIIYDDSEETLNKLASQVIDITSSVLDWIAQVKRREEKADDSKGDVII QTLKLINKQKQQADLEIAQLGNESAALSQQLSSLEQSEDLTRFSINNSKDLLQTKIEMCN DQEAAYKMEKRQLEEQLNTVGLLLEAMEQKGGQFKRVMKTLEGVADYK >CAK57425 pep:novel supercontig:GCA_000165425.1:CT867992:41944:43392:1 gene:GSPATT00028460001 transcript:CAK57425 MEQNIPHQLVIRNKNGVYLSNMLAKSDEEMQLINQDGNAQLILMENHIVMFNKQSIKLHD YKQQAKELEFTNLQNIHLTESKQNLIVMDKLDQQQYQLQILKFPSLESKGINFNFKNFKR EAWPILKFSFEDQYFFIIQNQKLHCYADNQFVYQTDIGNCEYFSVQPNTQKPLVVCYSLM DFNIKESYLKIVDINGKIKYEKKLHQTSDLEVIWSPNGQSLLINKGFHEDNTNKSYFGQN QVLFYDFPKNALRELPTYEGPIHHVQWSPSSKEFILLSGFMPSGAVMYDNTCKPLFEFGK DHKNKIIWGNGRFVMICGFGNLDGSIHIWDAKTLKQVSTNKYKSASLCHWSPCSRYYVCA KVTPRMNVDNQYSLFDYHGKEIINRKFLELYDVQFRPSNIKFEERPPTPPKQIQQEPPKK QFIAFADNPLAQQMRAMKAGEGARVLQVDETFGKQSNNFPPGYEPPKEKKKRVRKPKQQQ QQ >CAK57426 pep:novel supercontig:GCA_000165425.1:CT867992:44135:45320:1 gene:GSPATT00028461001 transcript:CAK57426 MIVALFITTALALQMNEQATLTTEAQTAVREFVQSGPLGKMMFEFAQVEMHRLLAFGRQQ EDRLNDENTIWQNRLGDHNARRIQYESLVTEGVDQLLIGIGKNQPQEYEPRKEELNEILT TLKTNMGKNEVAKKNAEALRAEQNSQFQQQANEITEAIKATDDTLEYIKRCSQGSFIEVD KINDHLLFLQKKSSKSTDYVGSIIQALMQISEKQNFADREVQKQLVDLLQSLRGYFVETL QAAYNDEDQQKLLHENRMNQLENEKQVFEKQYSDAYAEREQRSLQIEDTNRLLDTRGKEL AGYQDRLTTENNNFSQNQKIHDDLVAAISGEIGFIEKALDVLMTQAFSDELQSAINKAK >CAK57427 pep:novel supercontig:GCA_000165425.1:CT867992:45471:47125:-1 gene:GSPATT00028462001 transcript:CAK57427 MRRSSRFQQQDSNQRDSEPGQRLQTQASLQQNKWNSRIRYLLYCVMLLILATLIISIIAI IKNNEQQQVVYLGILLILLLTTLFGCMMYIKQQFSQLQTNNIRIQNEIQMNDWVYLSASY ILFQFTTQSKFTLIRLTYLYCVVAIFLLTRNIQDKTYYACQDSKNDRPYETDHLDENQYY DDCVRDKIPAKFQGPMLYELGISCEIVFFTIIIYEGLSISQRIYLACQQCIWPSYQHDPQ NQNIAQVTIQIIVFCFILLFNNLGKFIALLVIIILTPNASSYILISSNLFYHFFMIIFTL SLLYLFSAGNIISQIFIENSYQNIRLLQFIDYQVLIDQILRRSNQQNKLFKLFLITSLIF TIVGISEFSNEMEKDDVIINYDIFLEYECGITQLIFLGLMLLKYLIIPFFTDQYTSNMIQ VNQEGQIQNRQNQQIDVQQQQPHQRVNVDPINNVQNNLPQLIPIQNVNKNFIFKVELKEK SDCTICLQQLTYNSQQNPIVQLKCHVSHIFHQQCIQNWLNYTKKCPICNTEFS >CAK57428 pep:novel supercontig:GCA_000165425.1:CT867992:48149:49502:1 gene:GSPATT00028463001 transcript:CAK57428 MYDQNNPLQVIQLSGNKVNQGQNMTYECQLSTLLGYGINRAYVGVDNFGNKVAIKEHHNE EAMKKEIQILEQLNHPNIVQYLDSCNLFHQTNQYHSEWNGYICMELGIYDLCTYKQKKFS IGKELVDQMLSALAYLQKQKIAHCDIKPQNILVMQTNPIVFKICDFASSKLEESELTERS EIQKAQKEQTRSKKQKKSYEKSFVQIGTNQYMSPEMINKNVQNYYLSDVFSLGLVFLYVF RDYSLNQVDRELLKEQKFSQEIQDKINENEDDIQRILNQMIQFDPQDRLDFVKLLDFWNQ NKKKVKKQEYKLTPVRMNSTESRFLTIQQIQNQRAQVFRLPQLIIKRGQRNQYSQHYQSS TPQKDNLTIDRVGHSYSKPKKLQQLSPSNNYFIKPPKTPLKSKTSSPTKSMMSNKLQQTQ HKEEKNTFSIKLIQGI >CAK57429 pep:novel supercontig:GCA_000165425.1:CT867992:49559:51046:-1 gene:GSPATT00028464001 transcript:CAK57429 MNYLREKVSGKKKRLIQEGYNLDLTYVTKRIIAMSYPGEGIEGLYRNPIDQVAGYLNTQH NADYMVFNLSGRKYDFSKFRGVVQDCWIWKDHHSPPLDLLFEICDLIHGYLKGDKINVVV IHCLAGKGRTGTIICCYLLYTGKFKSVKDVLYYYGKKRFEEEGLGVNQPCQVKYVEYFYK LLTLGYIIYPTVVTLKRITFQGKAPAFNMNGSCKPYMQVIQVKNDKELYSTQKEAKKYKG VSHDVLNLCELKLKLDKLMPIYGDILIKVFNEGILKKEKMFRLAFNTAFIDETAQNSLEF SLQDLDPSQIIKDERFDKNFKVIITIEPCSKCNNRTDFQMLCEICKARLKEEEKQWVKIN GLLSQYKVPDDEMATELLFIKKEYDDVDDAMQLKRTENSNDSDPKDREKFEKRVRAKTIK FVQEQPQQQQQQQQQLLQQEGQILEENDIQNQ >CAK57430 pep:novel supercontig:GCA_000165425.1:CT867992:51068:52420:-1 gene:GSPATT00028465001 transcript:CAK57430 MLKFDISNVKGSERTLLKKIIEKQNWIDCGEQHSKAKIVWNQRKKDKCSKFSMDRPRLQI CNRIKGVGIVSSKASTKQCLSSFGMFYPEINQIYPETYIYPQDRNLLYSKNLNQFIAKPS NGSEGKGIFFPNSMNDLIKKADLGQMVVQKYIDNPMLIDNKKFDLRFYILIQKLSPYICY LNKEGLVRICVDAYEKTNKNQFAHLTNYAINCESTNYVPCSTDFMTDNQSNKQSFQAFRQ QLQKQGQDDQAIFQNIENVIAQFMKAIYPFLLYHSKSDKPEYSQNFCIIGLDILLNNQGQ PFILEMNSAPSLQITQFKNSKEEISPFDFYVKELVVTDAILISTQQKEWKDTSYKLLSDE YQLVNNSVLELLNLFWYCGKGIKTLSISQFCKISNFSGMLNENLQRVEYQILFCKFQVQR IDFYQFLELIIEVQKKMNIQLHNLLEMIRI >CAK57431 pep:novel supercontig:GCA_000165425.1:CT867992:53098:54007:1 gene:GSPATT00028466001 transcript:CAK57431 MEGQQYQYLMADEYFLENNESYSSFSLGDIQFLVNLNDFEAPIFQPSKIHNPYVSLVGNY CMADSSIIFINKVDPIFVAIRVLRNKLANQQQENSIEFENIFNGEDTFEVYLSKHKQIRQ NIDCISNKKEIGDEIYVKLDKGKLFQFLDVKYNSIRQYSQKSVYFVDDCNQKKQDESELK ICDLFKQYIGEQLYNDYQATKKIVIANQTSKFEFHNEQNGSNIREDKEVITKKTQSNSKR NQETNEKQVKNNNILDQYFKKITKQPEQEK >CAK57432 pep:novel supercontig:GCA_000165425.1:CT867992:54306:55472:-1 gene:GSPATT00028467001 transcript:CAK57432 MRRKNWRPEEDKALLEICKSQNYNQRRVSKIMISMGYRRSGKSCKERFHNQLNPVVNKDQ WTQNEVDKLFELQSKYGNRWRSISKELPQRTDGLIKNYFYSLVRKVLRRLSKTVNGDKNG SQMTKTLKPSVISSIFCVNQNANNGAIKVEFAQLFRNIILKYKNYNLSQQIDIEDTDKIK SIFQTLQQLNESYNHHIEKKNISKSRSKNLKLKTKLKKLNIDHILLQRIQLQLPIFTMKS CPLQKLYQKFQFHHNTYHPTQLMYQQISSFTSQNIDQNNGYGAILVKPEQLLFFQPLPSP YYPINISTYIQQQSSMQTYYSSFLHQLPEIKEEQET >CAK57433 pep:novel supercontig:GCA_000165425.1:CT867992:55583:56587:-1 gene:GSPATT00028468001 transcript:CAK57433 MAGGLERVYGTEEDKVNCSFYIKIGACRYENKCQRIHSIPPISQTILFKHMYQNSPMEVA IASGNAVSQAGIEEALEKFENFYEDVFLKLAEFGEIEDLIVCENIGDHLVGNVYVKYTSE LFAESCFNALQNLSYENRPLQMEYSPVLDFSSAKCKQYIDGTCQRGGACNYLHLKKISTK FKKSLFNQMYEEHPEYREKKEKEVNTEQDIVMMFRKSPKKNKKKEKKSKKKKSSSSRESS RRNSIERQKMINDWNETGIQNVSQAQKNDVWKQYACSSLYSQSIDENKS >CAK57434 pep:novel supercontig:GCA_000165425.1:CT867992:57004:58508:1 gene:GSPATT00028469001 transcript:CAK57434 MLKTQLNEYNSYFTSLFHTNGQTLISFFQLYTYLRNKTQTHLDSLNPKFDMNYGGINAKI PKSIQSKRIGAYNTTISCLCYTNTTKFHQPYTHEELINIKTQESMQSFGMVIFQGKTTLQ SFLYGYVKRDNILMTYPCLQRHDIANYIPENRTWYIEAKRNFQAHKVFDKYNFSITYPYL LFKSTSVGLSMAIPFVDRNLSFIGTGAFDLIPSSLLKEVTQQFGRDFTSIFLASLDGILI MHPFNITFDKIPLYFYNQSITGFGLEDWQGLSDPLFKSNCQNQTTTMDFKCLYNSFYNQD MFVSQQILHEFNMSVIVLVSSSEFNKFSDEFNSNLISKLQITFSNSIIEQASLFVFLCFL IYFMISYLFYPIELIINAAMNQIQKKKYQNKNLNTILQSLLSVQILDLYRSCQHFNKLFE RLSFNKNEQCQKIENLQYPQQIEEIKLCCFVDHKKFRKLDVLFLVKKIVQITKSVK >CAK57435 pep:novel supercontig:GCA_000165425.1:CT867992:58611:60209:-1 gene:GSPATT00028470001 transcript:CAK57435 MSDNQQSSEIQEQSVQDSSLQQEQPQESKVLNTWARSSKDLIDKYKNKFDAFKAMAVQAG YTEAPQQSIKIETNINKIEISNKQNLNPQEDQVVLNKVEIKPIETQDVTLQQQVSQGISD QQNEQTQQEVKLEEDPEQLMQLNETLYKQEPINQTAVSFKYDFSLKFADTINLDQHPSKQ EIQSQKKLDYLQNQELRVKNSDIVVQKEEVVIPDHPVVANNYMELLDQFKSAATLEDLTD LFNRRTPFKERYNQQELPSNPTNNTSNTKVSNDQTQKSKTKTFDTLLQQFNTPNKFNQEV LTENGSGKNGGTYLNSQVPQVNVKLSNGKSPNARFGFIQASPSSQFIGTSGQKTKKENTY LNVKVQQTMNFTVEVKDNVKPYKRKDELSNFMGKLGLGKYEAPKVTINLDSQNGQNAFDR LKNYVNNIGNTSAKRTPSLGLDELVNDKSFNTCTFKQQVKAFKKDKGERGESTQRQGIPV ENPMLQNQSFKNLHLKLLHHQRADSQVGKAVRI >CAK57436 pep:novel supercontig:GCA_000165425.1:CT867992:60309:61317:1 gene:GSPATT00028471001 transcript:CAK57436 MEIYRIPEDLRFVNQIGQTLSAEEKIKLEIALIKLGETQTFDQLLFWGRIEGTVANYYIA IGLNFKNNFEFPHKTFFYTANLKEFQNLPPLNPEYKDQVETFRQLFSGQPEKILINITGE DGDQPPADQPNPDEPQQVLKNDDDSDVEIKPPPKNFLEVDRLAYVVNAIEFECALLPVGA VRLTPTHELRYNDSFEGLNLQEASKISNYQHFRAPQSPNKKALIAQDDALFHYDFFDQLD DHPVGQWSLQTDSSKYQVTVRNLQWPGFLGYHRAGTRIFGYAYFGDGIKNVDLAFQL >CAK57437 pep:novel supercontig:GCA_000165425.1:CT867992:62331:63375:1 gene:GSPATT00028472001 transcript:CAK57437 MSNPFQIVPSDTFLNILNQNNYSVVDMDEDYRAEQDWMFENDCNVMEEQILQQEPLMPER SKALIGGELLQKYKKNKDDELLKKNKEVFQANQSKSIYLNKIQQLIDKPTMVGKPKQTRQ KVIVDSDSSDGKNRLAKNRESARNSRKRKKVYIELLEIKVKELTEQLQQLEYVVQQNKIK HLQLENFIEDYHRSIAQLNDTTSIQLLHENFGATSQRRWMVCTELINLLIETTIPIEVKK LMESAKKGTDMFIQPLLQHNPCLNYRDYFKQGTIDLEIATKNFGLAYDKIREQVFALERF KQDIIQKLGAHSYIEYLNSQQL >CAK57438 pep:novel supercontig:GCA_000165425.1:CT867992:63457:64148:1 gene:GSPATT00028473001 transcript:CAK57438 MINRTIQFVKETLCHAESGHDYFHIERVLKMATRIANEESKHKQVNLNLVQLGALLHDIA DHKFHGGDDTIGPKVARQFLEKDGEAHEDLIKQVEDIIKEISFKGAKVKDQMSTFEGQIV QDADRLDALGAIGIARCFTYGGYKNRQLYNPDQKPQLHDNFQDYKKNESTTINHFYEKLL LLKDRMNTETGKKIAENRHQIMVNYLDQFLKEWEGID >CAK57439 pep:novel supercontig:GCA_000165425.1:CT867992:64927:65847:1 gene:GSPATT00028474001 transcript:CAK57439 MEQNTKVNQEYVSDILILQFKKLKLIQKKMEDIKADQSPSVIKYMVRRKSAEEVQTPLHD VEFNQEKKKKKLLLFKTFQKVVVDPIIKLDEINEEKWAIMSNNYKSLIEKSRQSLSKHSQ IFSPPKATKEHHKFQFLTRRQSIEFRLREIATTPSNHSSVSPIKIMSNPQIADPNYDRFK KYYQKIGIVADNPVQMKFKQLMSTPVAPQKRKEKSQHSCNNSQQHSTSPPKNKTLHLKAN HNSLYLQEIKEICSVAQNYQAHVKQDERIQIHQTSKQMGYIQAEFNKFHNMLTTDLERLD FYDEKK >CAK57440 pep:novel supercontig:GCA_000165425.1:CT867992:65856:66882:-1 gene:GSPATT00028475001 transcript:CAK57440 MGSQCCVQERNATRTSIASKDIQDELEYMKEITYGQQRRQILLYEDKPICYFLSKIQLSN ETITTACSLNERGTTNEFEQYEQIQEELVKEIQEKCDYSISPIDDWIILCDNINTKSSLK LFIKQMEIDKKTKVNATRCEFIVPGKPQNFIDFTSHFQKQKELDPRIDAFYPIKQNEESK ESVVYLSYKPILMTSAREFIYYKKTKQIDTNVWCDVSKSITDSQYPIKNKVRGEILLSGY LIQPLSNLINNDYINNRFKNKNFDDYSFVRMYSLCDFKMSIPFYMAKGQVKQEMIRYIDL VYQKLQ >CAK57441 pep:novel supercontig:GCA_000165425.1:CT867992:67035:68466:-1 gene:GSPATT00028476001 transcript:CAK57441 MIFLILLHFQILFAFRKLNITHPHSTLYKNYIIFNRDNDIAYSYLQNTNHYSIISQNMTE FCLQNHFLAVWNQDLIIVYSLYEKQNETTLSITESFNITNDNFTNITITSSYFVAQYQNQ SLYVKNILTQNMKILNSTLVKPKNHSHTSIEVYNYDANLTFTYLNTDHQFHIIFLNENIH QLDEKVWFSTQNGVFSTQYWNNGTAVFMNSHQVNYTVPTKVLFWYHEDSNIKIKYLFSIQ DAFVFAIFTNKGICYQYYSKSLQINYQNILCMKIEEEYYQIQDVNFKYRLQILLKHNQTN ELAVDYYNQCLLFSTVPVENSCSSCLEESCLNECHTMKSYSCNDNYKIRASLPFVLICLC FICILVSFIIQMFKILAVFLQFLYLFVSQLCCRFPKYIVLKIKRISIRLRKRMTPLPLTN PQTCPICLGDVIDARMFPCNRHAACFDCFTQYEATFVNQQMKCPYRDE >CAK57442 pep:novel supercontig:GCA_000165425.1:CT867992:68558:68896:1 gene:GSPATT00028477001 transcript:CAK57442 MATLNVQINVNQYQLILQKEKAKNAYQLQKKDFPCGPINIYEQRFNSVNFSNQKINEVIG WILIIAVILMMLVMTLSLIQDSVVGFAIPLLSVVVFFIVYLNWISMKFFINS >CAK57443 pep:novel supercontig:GCA_000165425.1:CT867992:68960:69635:-1 gene:GSPATT00028478001 transcript:CAK57443 MHQNNADLIRPGCRGGHDQFNWESLRTMKYHDREQYLGQTTIIGYLDKGGKWRKKDWYIK PEDRNGLESNQLDDEFKRAKEEDERRIRIALGIEQPDEQKSMQVKLTKEEMAQLTKKSKI DFDDELNNEKKGLGNGPMKKQTKNTEAQYLLEAYGDQDKYQVQVKKEEDGCIEKKIKKEK KKKEKKEKKSKESKNKEEKKHKKHKDNDEKKERKQKK >CAK57444 pep:novel supercontig:GCA_000165425.1:CT867992:69708:70910:-1 gene:GSPATT00028479001 transcript:CAK57444 MYSNLISSSQMTLKRESSINLIEQNDMVLILEPKTLFQCLSTLLFQTDIYQQQIQTLCLQ QFKQHHKTLNNVSSLAEYIINQQSEQFEYLNLELISQIFKVKIELYFIDDGLLSMIINHG YMRTIRIFKEDYNYYELQTNSYRKKFKFIKKIIDQTINSFLQISNTVQYNRPLSEEGSQK LHPSLNVVLSMNDLGHIGLSVQSAKTQKIDKQYQPSTLTPQIQKIMNVNQQYSAKLPNAP ITPMTSQKGKRVFNFQEFQATQAQIIEEQEDTKFLQEIVTKTQQNPQQTQQQIIASQPER VIGYLKFYNESKQYGFFLDDKNKKDIFVHQDDFKKSCVDPECYEKKRKGLVPYFSYQVII YQGKKQQNVKAIDIKFERYDQQK >CAK57445 pep:novel supercontig:GCA_000165425.1:CT867992:71022:71639:1 gene:GSPATT00028480001 transcript:CAK57445 MNQEYSLMTKANIPNSVKISLISQLIMLTVAILFEFTLFFTNLYEIYSPQICTISFTGKK RCLNYDGNYETSTVDCEDCKFLCLIWPLSLAEILLLLCIFICKIYYFYTLKCCTIIKVIS IILIAIILSLEIYLLLLFNNNVPQVLTFNYTIKLYPIVTKLTIGILILSIIIAIWIEDIQ TFKYRKQKLMSTSSSCYSQQLLQIQ >CAK57446 pep:novel supercontig:GCA_000165425.1:CT867992:72249:73874:-1 gene:GSPATT00028481001 transcript:CAK57446 MDDFAIAVSRYRRRKYDQSIQLCDKILQVNSQDQSTWVLKASSLIRKLFLDDIEIDEQGI GDQLMNDDSINTVARPGTSLQRPGSQAGQVLRIYYFWMGRLQTGYARPVTNRQEAQENAV KTARVGTRLGTARPMTQGGRYIRLGTASLQQMGDQFIMVDKLDMKKMAAKPVVSRVLCDY LIYVEHNPRKALQLAAEATQANNYSDWWWKERLGKAYFMLGLYREAEKQFESSIKMQEMI KTQLQLAKYQIHKHIRIYLRLDQPTTAIELYKRNSERYPHEISYLIGIARVYDQLNQPEK ALPFYQNTLIKDNCNIEAVASLGAQHFYLDQPETALQFYKRLLQLGLYTAEIWNNIGLCC FYSGQYDLFYSCFERALSVADEQQRAEVWYNLSHIFINLGDSGTAYQCLKISLCYDPHHG EAYNNLAVVQSKRKEFDKAKLNFQKAIESNEFIYEPIYNLSVLHFNQQDYSQCYSLVQKT LKLFPDHSDSLELNEKVLQVLQQI >CAK57447 pep:novel supercontig:GCA_000165425.1:CT867992:74861:76161:1 gene:GSPATT00028482001 transcript:CAK57447 MSEFPEQIDISKLRIDLGQQWNEIELEVFLKIFRQQQLAGVGRMQETLRQLGYQRTTTNL LSVYKKNKNFLESNQNCTAHDLYLILRDHYEQNEPCDMRPIKKIKKNQNYRKSIPQKFDM DENANDMTYYFSQTQCLNNQCVYDNKNISQNFCKWIQHEYFYSHLDYTYFSLNEFQQMLS KASIPLGSKSIAEWRIIKMAVGQPRRFSFYFLQQEMSKLTKYRSVIRNYLFDQNYPIHRG YSKSRFNT >CAK57448 pep:novel supercontig:GCA_000165425.1:CT867992:76178:77215:1 gene:GSPATT00028483001 transcript:CAK57448 MSDVRFDMQQQLSFNTQNENKNTLISSIVQELDHYAAAFMIRILQRKYALVGHLKQLSQK LQNEPTLIEDQEFKALYQWSLQQIKNFDSASKHVMTKFRMRGLSGYSLQQVNNNLDKVLS MPLAYMERELDQNNVEPEEEKKNLEIKQIIHKQQDVLICYFLFQRRKSTHNQIHYQHKSL HFQRWTIVIIHLMIFNLNRIKTLSSITLWSIVYSKQYHWQTLKQNIQESHPQQLQEIQES ILRIVGNHSK >CAK57449 pep:novel supercontig:GCA_000165425.1:CT867992:77236:77942:-1 gene:GSPATT00028484001 transcript:CAK57449 MIISNMTQSISRVSDKILTETNSIVYSLNKSKRSSLKHRNYKLNQEELINTNETQLRRKS CYCSECGQLSQFQYKHQNNNVPLRRITTFQKNQMMSKSMKITPVSNRSSILIQRHQFRTN TCREIQLSQVYNISPRLTPMKENAVVLNRNKELFYNELLEQMKRKSRLNFHQLSVPQTKK EQEPQVIKKHTQTSSTHRKQKPDIRPYFSHLKLKPINQKFQTSHFEI >CAK57450 pep:novel supercontig:GCA_000165425.1:CT867992:78048:79006:-1 gene:GSPATT00028485001 transcript:CAK57450 MRSPLFVVIKQAGLGFKYSDKKKIHQQAIQRFMNQRREFQRVALGTFKMVYEVNNFWIIM IAKLIYIQQFMNQLPLDKVKQFESSSGLNSPLSMTFRLSNTLRQHGRQVRRQKSRSQLKP FVLHQKTIKGPFRRKSCYCDECGQLGTFQYKCMNIKGPRPYRKAILTRRASNQHILIRQS AQPKSVQRITQTLKKMKTYDESKINAMQDNNRNPQSLQVIQEQMTKLHSYFSRQQQQQIK VYQLAQYTPNCSPKHSKTPRNLPYLSPYVTLRKIDSQLLKPIKPAQLMQQRSQLLTLHRT KYHQKTLSTVSPL >CAK57451 pep:novel supercontig:GCA_000165425.1:CT867992:79321:80945:1 gene:GSPATT00028486001 transcript:CAK57451 MNWDFLNRKLKEFFEQRRQQSIDKLFTLNAVTDNNFLKKQFLELETQVTEEQKQIVSQPS VPITTPTPSKSKQTPKSFNQISYLFSPHGPARSTNSMQMRQIVTSNLKNGNNSYNHVKSK DIKKVQELVGSNSKTSFKNNGRKLQKQQTSVSQKILKDLDDQFDPSPTNLIKPCESLKCF ITQTKNINTLSMKAQLKLKSQRSIRQLKQPSPALDNFTKLGFGNSTDPRVGLDRFLSNKW LQFVIEQKSMRKDDQFDNYLQQYNESKQKEQSIQKLKQKQQVRQDFNSEIPQSLIFKPHR YTKKITKVKKNEISELISSYSQTVFDIEKKRDDDELIEFYPIYYEKKVKRRRIIRKMKIL AKKAIKLRTFVLDLLQKFQQFVPYQMPGSIAFLRFVQQNNLQAVKEMLDQDNSFIGSFNE QGQYAIHLGVMIQSIEMVKLLLYYRANVNSEDFFLQKPLYFALITDNLQIIRLLLACRAS PWDENLRDYFVCCRSEDAKNLIKLGQYLKIYNKDPECILNIENIKQQLNQY >CAK57452 pep:novel supercontig:GCA_000165425.1:CT867992:80947:82284:-1 gene:GSPATT00028487001 transcript:CAK57452 MMKKQQIRKPPSYDPFTLLKNDYVRIILTYLNVVLHQLKQFDLVRSARVCRRWREIIEKD PLMFEHANLENIDSSKPLFPILKIFSRDKTIISMKLPPHATQTDTAYMFMQLPQSIQYLK FTNINMEFSKIFTRKFPNFKSLTLVNTKNRKKPFDEIEMKSFLTLKSAQELHFYSLPWED GFISIFAEFNLQVLCIHDCPHLTNDSLYLISKHCKLLQKLLVGGSEQVYNAQISKSGFQI DLMHLKSLEIRYCSRIGDQSLDIIAHSFPTLTEFALVRNNFEKCAKISDQAFKNMQDLQL QRLSIIYTRKLRDQAHINIAKFHQLRYLCLRNCPLQYDVSIWNENCPFLEELDVSGDSWV SQSFVLGIAQHQNLKIFWLGHFEHGDLDCDTKLQQYPPEGLFLESIFKKETSFPKLHTLH LEHDCNLTYWLYARISKLRPKLFFR >CAK57453 pep:novel supercontig:GCA_000165425.1:CT867992:82444:84219:-1 gene:GSPATT00028488001 transcript:CAK57453 MKCFEHNAPYTLICQVKDCGQKLMCNQCMTKHNAQHYPMIQGFSDMDYQIKQVPQLLEKD LDVLKARLYSIQNHMTVEKEQLKKQVIKLSEVLKSRINAEVDDFCSSALEYTNSYYKQYE TEIGSLLGVISEHMYMVAPYTTRVRPINENQFGILMDFQEKMNGNVLPSLQKRAEVISKQ VLENQLYMRTDHFYNIVKSSLGKALQSYNLFKNNELSLEQKRATHLQTEYEPETARSALF PSIDFKRNALELLRDNQQPERKSVRIYRAEEGFTIPKNRSSAEFSGSRYIYAKAMNTVLS GHTDIVTCLCIFSQSSLISAGGGGIIKIWDIDGGVALGQMSEHAGDVWALAKINETNFGS ASADQTVRIWNYQRMICESVLVGHLQPVKSLIYLKDLEYLASGSLDSTIKIWTTNRAKLK LTIQNNQRVRALCYVQTKGLIVSGGENTIGVYNVLNGSCKDQLDGHHGEVLCLKYLQESL MGEFMSVVASGGADKKIILWNLDRAIKLHIFVGHQEAVTCLTFDIENRQLWSGSADRTIR CWDIATGKSILTAKRHSEQISALEYMPGRELIISGSWDHRIRVTQKQILMN >CAK57454 pep:novel supercontig:GCA_000165425.1:CT867992:85658:86194:1 gene:GSPATT00028489001 transcript:CAK57454 MDSVKKEHSYTYWVKNDPNHPKIDCQPKKVEDPTQVQQVQTIGSQWNVSGTWEEKKVPMN EIKKSLENIIGMKIGQTKISAVESVDGEAHLFLSRGKIRMGYHLKITFALEDDGQIKYTD FTDDGDRDYILEDVADETVKHQIEDLHLKTKEFVEVFKS >CAK57455 pep:novel supercontig:GCA_000165425.1:CT867992:86275:86790:-1 gene:GSPATT00028490001 transcript:CAK57455 MNPDYFGCTLIHKSNFDDKGNLYVGGVKSLESINKYKFGAIISVIDELEYKIPTQIYHLR IVAPDEPNFQISEHFEKTCKFIKVYLKKTNVLVHCQVGISRSVSVMMAYFIKEMNMKPDE AFLYIQNKREFVHPNEGFRIQLQKFYEECQKPKVNPKARQQQVQVQQIKKK >CAK57456 pep:novel supercontig:GCA_000165425.1:CT867992:87239:89811:1 gene:GSPATT00028491001 transcript:CAK57456 MQKIKLDDDEEFNFRRQFEIQQRAVEPKQLCKFKDILNQAIDLNKRKISELASYKRQQVS EETSNGTQQQLDFIQEQTDEVLTYQQYLKTKLDQILKAKLLTERRINNFKDNLRHIKTQP NHVHRELDDKVKMMLKKTQHFTNQFQKEMQKFRSQSERNQEKQCRDAENSEFEIKEIQLK VKKCKQKNQELLHQICILTEQEEQKKYKLQECFKIREYIEFFMDAKLKVVDQIQGNQNQL QELGQSLQQQNDKTLTRDEILNQVDEFYKTQDIGELTKQIISTYHYLLEHQNMQTNEYNF FTEEKTLRQQQILQLNQELSQLKNMCNLSIDDHTNFGSYNNTIIEGPQIIHENYQLNYHL HGYQLCLITIYQKLIDMIQRLCNNVIWLQETTKTIPKSLDNKCMQYLKNFTKADFNLYVP LATQSVPVNKSTNSKHIGQYVCIGKRNGSITSEEILSEQKIESITRFPSQQLAKFKTHKD FEFIVNQWNDLIKSDFLFQTLQLDISLDDIDVTLSNHEIICHLYDQYSKLIKEADIKKHF ELQFSYYRDIMRHIYKNNQNFNPKALTLKQKFNNEVTSPFLLQIKQLQLKRLQEQANSTH LDDESNFKMNSNKAINTSDDRKYHEYFIHQSSKIKKHFPVLQDQLVTKTLQDIDVMRQKE LNENYQQSDQISSDMDYLNQERRNLKGILSKKKQKPQTANTKTSKTVTRETSSTKTKIIK QVDLLNKIYKLSSSTRKLEVSNSFNANLSRYTQKVKRKISDYFTPKLDMDFCQKEESRIL LKPNQFEKTLSASKSVEPYPMTPHSEQLLSFDRIDSLRQIQDSTQLANTKKDKAFLIKRK >CAK57457 pep:novel supercontig:GCA_000165425.1:CT867992:89837:90292:1 gene:GSPATT00028492001 transcript:CAK57457 MEYTQDQIQDIISFVAQKWDERLFEQAKTKFHSVVEIDYTSLGAPSITQDPIETLCNNWK IVLSGFDKTVHTVKVTQIEQENDLIVVHSSVNAYHFIAHVEEGEEWTLNGRYVHKLKSIE GTLKIVYMKLQVDEQMGNLKLIMESTKRTMQ >CAK57458 pep:novel supercontig:GCA_000165425.1:CT867992:90493:91458:1 gene:GSPATT00028493001 transcript:CAK57458 MFISETLTINQSPQHQLQTIEQSQQRIQPFENIQFGQMSKSTKIIPELKMRKVYLMKVWY NRVIQDKLRKKYVWLEMKINQYKEKDSILQDEMKFCRICLCDDGNSDLIRPCKCKGSLQF IHENCLKLWVLEKQGIEKVYQNDLDCEVCHSKFLMETKFSNQRQLRMLRSAPRARICCWT IEIIMSLGMLGTIIALIYQIVVRFKYYKIQNGKIEPLILAGTTIFVILLILILSLIYVSC VDQISIEVLDPWRFIDVQMESDSKSISILELDNSNKQTIQIINKSDQRNNVRFSTINIIQ IQN >CAK57459 pep:novel supercontig:GCA_000165425.1:CT867992:92691:93493:1 gene:GSPATT00028494001 transcript:CAK57459 MNLHNQILNRYYFKLVQVSQSNRKANKKEDNDMNIIQKRDILVNIVNSSNTINMLADTNS TAPEYVIFLLTVEDLFKNFNLLAKLTKSSNPIQELPNATRQPQTEANDNVNTINTNQLVG DKPEWLFLHKFLDLTKISIDIILGNCLMQEQNAQSRHRHLKGKLQLKCLQIMIIYKNNLE NRFLAQITLPLKDQSQALTTSVVCACLFLVERRGSPVRIELSNNIINQQQLLYKIIEQLY KSNTFRYDIN >CAK57460 pep:novel supercontig:GCA_000165425.1:CT867992:93611:94063:1 gene:GSPATT00028495001 transcript:CAK57460 MDQEIRPMRQSILKRQSTFDNPNYMEEKEKQHQQNQNNLQEGLHVQIDSSVKIITIPDVH DVQVFKEQDESIGLLYCLVHFPQKVNNLSEAELIKMALKQSDILQQQKTLDMGGGRIMTA MIYILNAYIINQLIFETPIQSEDLSQERSN >CAK57461 pep:novel supercontig:GCA_000165425.1:CT867992:94262:95592:-1 gene:GSPATT00028496001 transcript:CAK57461 MEQYLLEFIRKVQFDQNINCNKMNQYNLGKSKQQKQFHLLLTPIKLEHSFRNKNHYLGNS SYYQHTSPRTILPSFTPQKKKAQISFEESKNSPQSQLVTSFKIRPRDTHQEKVQLQSNLV KIQSRLIPDESFVFKAKYAGRSRKGFQLNNASKVNQDTYVCCARIENNECIHLFAVCDGH GEHGHLVSGFVKAQLPQLVSKNRMMLERNSPQGLMIIIQQLSDMLQQSHIDISFSGSTLV IVYVQNNKLYCANLGDSRAVLLNRDETWRLKPLSRDHKPSCKDEANRIQANGGRIDPLMN GLGLFVGPLRVWTKQNVPGLAMTRLLGDEIAHSVGVSDKPEIMQFDLGRNDKAIILGSDG LFEFLSEEQIINCISPYYDTSNIEGACNQLMLAACNSWMQKCHNLIDDITFIVLFLTY >CAK57462 pep:novel supercontig:GCA_000165425.1:CT867992:95699:96979:-1 gene:GSPATT00028497001 transcript:CAK57462 MNYFPCPTHNGHMLSIVCADKQCNETLLICPLCEHAEHQNHQTLPLKIMLQSVESANNNT NEQSAYSILDVSLFLDRSHSECLRTVQKFNESLENTSKQLMKKVDSYYLDLKNQLKAFAN SKIPLDYLLDLVNSYTDFPQYKKNIQQILDQFAFSNGIASRQSIDSAIMNACKTQFGQLN DQLIDLQNEFDGKVENLGNLFKAQPIAAVDLAQSISFQFSPQFKGANITISGQNLIAEQK TSESNGQRFTICEPCIPRNGVYKFGFKVIKYAGWIGVGVCHREVIVSANYKFNYTNIGHG SYLISNNSYSWSHLQKDLNSAHKSFDFGVGDIIIIEVDIPKKRITWQKKKTLTKFTMNLD TIQDLYPCANLCSPGDTVELINKIEA >CAK57463 pep:novel supercontig:GCA_000165425.1:CT867992:97011:100264:-1 gene:GSPATT00028498001 transcript:CAK57463 MDKKIIADVFQRHLEQLVSRLNDDIPAICILSGKEDGSIKPKTKALFVWLFGYDMIETVF LATKKQIFYLASDKKLQMMEETKHKLSGKFEVHFYKKMNDNKDAFDKIRQKIGNVKLGMP TTEKQAGSLAAEWYEYKGWQQIVDATQLIGDVLAVKDDQEQGFIQQSSQLTTRLFKKLIK QIEDSIDVGTRITHQDLAKKVEQSLENDKQKVMKEIGLQDGLYDFAYTPIIQSGGNYQQV EGPNKDYLSSDVIIIQLGTQVNEYNTNCIRTLFINPTEIQKKLYNAILEVQNKIITLMTI GTTLNSVFKEALQLLQQKLQELNLLNLQLPNSLGYGIGLELKESNLVINEKSTHVVAKGE VYFVNVVMENVPNGQKNIVYTIQVGDVIVITNGAATITTQQIPKAYKQISYQLQEEDEPE RKPAPVQTDKDKPIRARPQTDSLGEIGKGQIDRIGDKDWNKINLFKINKKSKLCELDKLL CYQRPEQYPKELQKGQIYIDNQKCALLVPLMGTHIPFHVSCIKNVSKIDEGKMGSSIRIN FFTSETTAGQIQFPKVDGETIFIKELQYRSKKSDRPQNLILQIKSLQKKVKTEQQVEREK QNVGETEPLIINKGGRKPIFKDLKVRPTFGSGKAAGILEVHTNGFRYIHSNKEQLDIVFK NIKHYIYQSPEQDIIAALHFHLHSPIVLGKRKTHDVQFYCEVGGAVEHLEGRKKTNRNDE DEIEEEERLRMHRRKMAKEFEVFIKTIEELGADYKISFEKPFRDLGFEGNWNRARLFLQP TRDTLMNVVESPFFILTLNEVEICCFERIIPGIKSFDLVFVFKNYDKQVLRIESIDIKDL EGVKNWLDRMNLLFFEVGQNLVWKNVLGQIQKDIPGFVQDGGWTNILAESEEEGDEEDDP EAGDSEFSPGESGDDGDDDSDFTEDDEDGDDDDGDDDDDDEDLSDVLDLNKISDQDEDDE DEDSDSDKHKRKANSRPQQKPQHKPQNKPQQHKPQQSGPPPRSNQPQKRQPPRK >CAK57464 pep:novel supercontig:GCA_000165425.1:CT867992:101116:101412:1 gene:GSPATT00028499001 transcript:CAK57464 MVTTLCFDSKKQLALQSGYLQFHKLKIKIEGLLLLILEVCDIIRGKLIIESGIQNSYLEI QIAVESEIDEYTIELVWITDSSEEQVVDLAIKYQELSY >CAK57465 pep:novel supercontig:GCA_000165425.1:CT867992:101463:102295:1 gene:GSPATT00028500001 transcript:CAK57465 MNIQSQNNSAGYISSPEHSWRKNKQVMDDEFEPQDKQMLDETNSKFQSQCLIEDPPMQNE SVLSQNSFHYDELQQMMQAEILSPSKLLRTGNEPKSTLSFDIKLEQTSIQEEPIVNQRTR RKASKANYNVDDSPTMDSEIKPCHCSKTNCLQLYCSCFHNRRQCTQECKCSECFNDGQHS DEVLKAIEQIKIKEQRASHHDLDSFDTRQVWGCKCKKTQCIKGYCECFIRHKKCSSHCQC SNCQNKKQKTSINKKIKKI >CAK57466 pep:novel supercontig:GCA_000165425.1:CT867992:102650:103008:1 gene:GSPATT00028501001 transcript:CAK57466 MTELNEAKIMNSHSFNYIKNQEAKKNDAAEEQNLLTTQKRGQPKININRLPPAFANQNKR KHVEPLIIKKEQKQQVQQNIHNIEAMQEYKQVSQMLSPLKALIIHQILA >CAK57467 pep:novel supercontig:GCA_000165425.1:CT867992:103055:104550:1 gene:GSPATT00028502001 transcript:CAK57467 MKNQKRIHEELSLSISTLVQSQIRIEDMITTGTLRSYADSSPVKKMLHAPTMKLYVVKEV HLLFNSKEPLHNKEIRKNLKDWISFWQSKCSNSIQHVQIYSTFWSTPEGYVSIVMEYMNG GSLQNLLESMGVLPERSIKQLVQPILYGLQRIHQSGAQCHGALGPSQILFLRDGTVKLSQ GLQYRAQIQGNSAFNQYILGRAKDSQSLYDPSILETPSLWSKAPSEKYHFPIQDDFILER ANDIWKLGWLILNCAIGTMEFHPKAQQIYEGSRIILEEITKYVDQLKDTCCLLHSELKVI QFVEQNSKIFNEPTRITILDLLPPDKFSAEFIQFLCSTLKIDPRQRLFTEQLLQHSWLTG GKECKGPNVQLKELISISNAWNTFLPEEFQGQGAQKLERLCDALCLVLQNSEKPTVNKMH LQENSPIIKELSHDMGINAKVISERLISIFQSLI >CAK57468 pep:novel supercontig:GCA_000165425.1:CT867992:106046:106624:1 gene:GSPATT00028503001 transcript:CAK57468 MELRPVTNFIKQKYLINERDIQQDAFSNSINIYFKRTKKRIYGFTLISIIQFVIEGLFFL LLLIPSIVLQQDSQEVVVFPQKGGQFTVKEQLDPPISLEIVSSDSYLLSDVQLYLDGQTI EQFTIEKKLNTNSTHKITSNKSFKISINLMMVAVRLISQFSFVPRSYLIIQTIMVVLSLL YLIQEILRMPKI >CAK57469 pep:novel supercontig:GCA_000165425.1:CT867992:106665:108081:-1 gene:GSPATT00028504001 transcript:CAK57469 MIIQFVNILEEQLQKINFINFEIDLFVILLYMIICTPLGFVFHYIKSPTGRLYFNLFMGV FFSVIVCKEWFPLMLGWTIAVYFIAQIFKKCALPVSIVAFAILSFVHIYRLIYQYLSWRM DYNAIQMLLTARYIFYACDKQDGKVQGKTSFMMYLSYIFMFPNLVVAPIPYYAFVSLIER KTDYTHYSPKFALISFLKALAVSMAELLIRPYFDTDWYYSEEWRNQYSLWFKFAMTFLIT PCARFTYIAAFYFTQAGMDAMGLTYNDKTKKNDLWLVFDYSFELEKNVVIKTQKWNCNIQ NWLKYCFYDRLQKRFGSLTFYLVFMISSLWHGFYLSYYLFFIFWAITGQVYKYFYKAQRR FYFIPQSVRHFIAWVFGILTFDHFATAVRVLKWEKAIELHNSVYWIPHIVILVIFLFFNI TQFGQKDGKPKDGKSKKQE >CAK57470 pep:novel supercontig:GCA_000165425.1:CT867992:108345:109208:1 gene:GSPATT00028505001 transcript:CAK57470 MNSQLPVNSFDFRSKSIHNRNTFFFFEHPTRRKSCYCNHCGKKSHFQQQHANLPTQKEID YQIQVDNLRKQSLCDEIRTEIPSELSVMESENGRLFRNSNRSISIVNQYIKRSMNFDQFS LETTTKLKRRSCECSECGNPTSFQRKHEDLSLPKRDKKMKQFLIRKHRNLIKGTSKQFQF SSKKIIVQKSIISSPQSQKSFQIKQFEQVRRTQDINKLVLNRISTRDLEQTKQVQGKYSI INSCKQLDSPQFSQSKSQRQILHKPTMSFSTISTSKWKSYKNTKDFQ >CAK57471 pep:novel supercontig:GCA_000165425.1:CT867992:109703:110067:1 gene:GSPATT00028506001 transcript:CAK57471 MNSNNNNNNDSSSEDDMQVYETLTKLPPANDLLPRKLTIDIDVKSLYPLKALPQFCKTFV SFLEESTHRHCAIQETAVGDENRTLLDFYDENKQNLVYKRFDQLDLGKQDNQ >CAK57472 pep:novel supercontig:GCA_000165425.1:CT867992:110117:112209:1 gene:GSPATT00028507001 transcript:CAK57472 MLKKEHSQKSFLEEKHFLIRNQHPVHPIKFNLIPILNLAISFVHLRNRKMWATLSGSSFS VTGAKAGQTIQFNLLNHLKSGSLFNEGLQPAVYSLKDNQINGSEWCRDGFNISYFKSPFM KEYPLSLKKKYYQLRFHYTFKHNDDKVFFAHSYPYTYTNLLESLIHNQMIKREIILCQTL GGNTCEVLTITSNSLQRRAYRKGVVFLARQHPGEPQGSYVMQGIIDFLTSNNPQAEYLRQ NCIFKLFPMMNPDGVVNGNYRCGLEGGDLNRRWKKPNKYLHPSVYYAKKYVKGFSKERQI ILVIDLHGHSRKQSSFVYGCAYSSQVKTIERVFALLMAKLNPFMDYPSCTFRIENAKDKT ARIQLWRELKINWVYTYECSFYGQQKQHYQIKDYLNCGLSICNALSQIVKDTSKEFTNQA NVPDIQQQMLEELGKMPQTDDQDNGSDSSQSEAELSDDELVQLFQPKTYSTKQKNFLKQQ ELNKKKPQPVNPKSTINSQKASILQESPSKNSDQKPPMSSQKPKSTLYRIQAPQRQKQIE QTKLPDPPLMVDKSVQTDDWMYRQWLIQMGKMKKQQEFQSFTPTRNIYKSSSQSVTRFIV KNSSTKNDRGRVDSISLVTSGLQNKLISSSQQRQMMRYSGSNFMS >CAK57473 pep:novel supercontig:GCA_000165425.1:CT867992:112766:114182:1 gene:GSPATT00028508001 transcript:CAK57473 MRKVILKAKIYSCILIILSGTVAVLACILAGQVNDQEVKIYGTLYAMAALITMSINIYIF YQLQTINLINAEINNFNCLSSWKILHKSILNNSDLWFANYVLKCLIVIIFHSTMSFITRL KYRDVAFEFTQNMSISIVLFILSFILGFVVQLLFVIQLITMLADFKEYAKETVFTFHIKE SLQEEKQYKRGITLVAIIFILGSIAYYAVKLALYIMIAKNSEEINTKVFSIIVSILSVSC MILCSRIYKQLKIIYKQIPDPQDVIIYNINIPCFTGWFILVRSLVFCKKFRFWRNLTFIL LYIQSIYGLIKFPFYQIYGVTIDQMYSLDSYLYCDTAILVALMFWQFIEQFARVIHQVKR RYLAYQFEDHRQNIILPIAIEVQQDELGRIHHQQMIDNLVENATEDYGECCFCLDKISKG QRVHKLKCHSSHVFHYYCMSKWLANHNNCPLCQQTIN >CAK57474 pep:novel supercontig:GCA_000165425.1:CT867992:115047:115771:-1 gene:GSPATT00028509001 transcript:CAK57474 MDEEFIKVMLAIEETYQNLNKHQKIRVEAWTKKLCQVTTNEVWKKNRNLYARILLNQVSK GTLSEPFDKRPPEGPLPKLNRYLVLSDQKENTRPQMSKKDTPYFEQQQPQQQSAECPNLQ EKMLKLLIDCRQYLERRTDQEAILLKEQQDQFFAYLQELNQPYILEQIDEKTEESEDQQK DTMHFLQYLDSFEKKTEKLRVETEKLLRGNNIQYNV >CAK57475 pep:novel supercontig:GCA_000165425.1:CT867992:116186:118471:-1 gene:GSPATT00028510001 transcript:CAK57475 MASRFIQNSFQQRDSQAASRALSEHQPSKSEKNVPKLHHKSHEQNHYNPLAIPCDWQFAE KHAKARRVAQELEVDDQTTCPCCGYSVERMDLQYDCDPIEMKFLGSGFPLFYMFIKYCIF ILVEFWLLKGMFCLATDLMGDYCYNQGKLEGNHLKHHQITNQKLEHHLHKKDNSICGGSM WHFVSLANVYDRQDIRDWQSNLSLVVVLANMVSFLFFRKAQRSIDIEVDESQLTPSDYTI CVKNIPKLDEDYREVLKNIFQNYAADGRELKVMKIVLVYNLDEIIELEESLKELVREKQE YLVENGMNFADFKVKQLDEKLESLEHKIHQVEHELFVNKEKFAGIAFISFQTEEMKQMVL QHNTHTSWERMKAFFNQGKTADIKEKGLILNEHKLYVEEAPEPNDVDWEFIHIQTGQKVK ARIIAWSISISFMTGCFFLIWFLSELAERMNEQVEEQEKKGIKDSLTQTIAFLTSQSISW TVVFFNKFVIGKVYHLIVDLEKISNKTKFNISFARKQSVALFINTALISLVIDFYLTGNV IGKGGFIYNESNVFLLNAFIPPIVWFVDPWSIQKDYQRQKQQKNAKNCVLTQQEANEIME MPDYSQAKRYGDIMKTMWFTFFYGDIIPVGILFSIMGLTLYYFVDKYNVLRRRTIKESLS KHLSIEMIEMLELIIIFTGIGNTVVSSIIFGEVKWQDFIIIIIGVVYNILPMEEISNVLF PLEQKDEVQTYDEAEEHFNTDYDRENPVTRREALQEFAKRK >CAK57476 pep:novel supercontig:GCA_000165425.1:CT867992:118718:119194:1 gene:GSPATT00028511001 transcript:CAK57476 MKSEAKVNELKEQIQELDKQICEQYQKAKTFREVQQTTAKSRAVMLLKRKKMLEQQLGQI LNNQMTLDQVAFTKREHLKQNSNAMQQVVAVQKEAFKEIDMDKLEDLMDDMKFETDYMND VMNRYYACDIR >CAK57477 pep:novel supercontig:GCA_000165425.1:CT867992:119571:120860:-1 gene:GSPATT00028512001 transcript:CAK57477 MKNYLPSNSFPSLCSDSHLTIYQQQQNPIHVTFTQASSMSKLIKLVGGTKSSQKVRLFDH KCQEIFEEDLKYLKYNGKIFVTTNGKKPDSKYILFEHLPVTLICKGGQATIMLIQHKVTN EEKIIKFISEEQKNQYLYIAREAVILELLKHKNIVNLYGYKLFPEKSEAVLEMEYLKGET LLDFVLQNGPLNEKIARHLFIQILDAVSYMHKNNVIHRDLKLENIIFIDNNNFQIKIIDF GLAYLSGTGVPFDEPLNVGTILYIAPEILSGKLKKINFCLDIWALGILLYYLIFGEYPFY GKNNAEIFIMISQGTYKFPRQVSFELVTLIRELLNPNHENRIKLQDVQKHKWVQGVLQDN LHITIPFSDLETTSKEQRYNEISQGRNYNCENQSRKQEEKFILPLICKQNYEN >CAK57478 pep:novel supercontig:GCA_000165425.1:CT867992:122056:125160:1 gene:GSPATT00028513001 transcript:CAK57478 MTFFMQFDHILLNWQSNITLYENNDERILNFKFQDDKFIIVVEKTNNDVQDDLKSENVIL YRSQNPLKIIKTYHFIILQFDTYLEYINPISISYFLQLKMHQLSSLQYNNPLLLSINQFH ELVTIKINQHSCYLICNEYQFQNQTSLIESTFYKKLKILTAVIIHKKPYEQEFINNSYDI QFNLLHDYFYYPLYSTLVTKLKLNPEFTCLNNSSAIKCNLLKYNILSRSCIDLIKNYIWI KEFIIQNQLHVLYYQQNLELKLMNCETNKSFVVGKFEALEIDENQFYIFKTDVFIPYQNE TKILCLQFKNGSNSINPKILKIQGKLITVLYNRHLYIIITTVCQIQVIESSLIVEKFVEM REQGVSFLNRCEKFEVFFGFMKACSFNQTIIFYNQIYTVQLNFDTQILSIISISSSYNSL ILIEKTQKEEININKYELMGDQKTLLFALPKFSFQYQIPIKYVTTGFILAIAAYNNNTKV ILIYNLISAYHEILVDIIYVDSFNFHFCSDTQFAFLNNKQWNIYNPQILGLDCQIEKFVE SSKIFKYELQQRSKINQSDQKKITLNFELINLTGYIIQSKSSVKTFGFNNINQKIAFDPL NLVLGPIYNISSSDATINPPLQNMRNITKSLCAELIQTICYNQKNNEIILDILNDTINMQ IQLMFDLFSLRQKHLQTFKSEEYYIIAYFSGDFVQTFEIYKQNVGLIIKTNLQEQFRMNY DWINIFSNYCLIQQNEQLHVYVIQSDSFIKIDTLQFQCRDCETIKVKNSKNTIMITQSIN AHFSFESSFVILQIIKNKVFLHYFNQVEEEFLLYKLKSIIYIRFYDLISIQDNQLSGKIV GFQLQHKIYVLNIVLNLEIDQLKISSQIGIVSYFGITTVNQIFILESNLMLISTSCFLNT HLLLYNLSDFSVFNSKYPIQAVSIDQYPQIQFYNLTHFFITFRNSDSIQLFKISEYTLDM QNTSNKYVNLLFSNNEQNLEIQVELNQISNLSLFSICQKYIVYLILLACLVFCFLAKRKR KLRSEIENHSEIEL >CAK57479 pep:novel supercontig:GCA_000165425.1:CT867992:125318:126815:1 gene:GSPATT00028514001 transcript:CAK57479 MQSHVNLQKYESYELQKPSITIFEQSTQMNILTDEQIDESDSEPEKTIQETQNQNLLLSK YQHNNTIFEDENEEGVWEENAKEVNPPKPFLMSINFSNISLYRFPTLEQEKVFGAIFIAQ NLRAEINNIEINNNVVYYQIETKSSQLGYTVKVKRRYNDFKFLLRELTIRYPQLLLPYLP IGIGVGKLNNRSVEERKRLLQNVINIITMHQELILSSSVRNFFEEGDQQEFENKRKQGQY NMKGGLAQNLQTVIDKSIKSIGQLKNWWNGTNQNKKCEELEQIQLELVKLLSQQARYQKL LSYIQALQRKQQKIKDIIAQQDSQKTQLLIETKLHDCILDYAKNLDFQTNTLEDKFDQYY QQQIWQLMNVIEFIDYADIYFKQLVKYIEDFNEQLTQVAPNQQTHQTIKDEINKYLSKAK DQFCNKKDGIISKYIGIQQKGFTDYYISQQQEIWNYAQEMKNTFN >CAK57480 pep:novel supercontig:GCA_000165425.1:CT867992:127832:130147:-1 gene:GSPATT00028515001 transcript:CAK57480 MKIPLEGIISIVLTPFLGFELREMIILLSIMSAVQVAIFFSFKLDEKRYLLMNLSYTTGL AIYFVECAVKLQNFSVLILHFICIEIGQERVKKELLQKLTILINLYALIRVCSWNSYDIY TFIGGISLLLILLFVLQANQQKQDNYPQTSYVKSYEKSISNVVQSPDYRKQQYHSSATNL QQELQECSHFFNLIPDPLILLMAQDLAIYFCNSATLELFKITDKSNFKTCLEQLSEVVTT QAKPDIRDPRDSLPSFQSFQQTFQQYKFSNKRLSKEEIELNQLLCDLRNIKANKRFFQIL KNSPHNTVFIGSYLGNRYENDGRGDLEQPKKRLLEINAHATLIDDKLMILLQIRDVTHRD YIKLIQKQYQVKSSIISFVSHEYRTPLNSIIQFISALQDERDPNLQSKYIKISLLNCKYL LNLSNDLLDFAQLKAGKFSINPVQMDLKRLIEECMELFNLEASLKKIDLSLNFRKNVPKL LNNDPNRIRQIIINLLGNAFKYTLQGYIEINVSNYHTSSLKIEVRDTGLGIKEEHKERLL QAFVRVEDKESKKLNPQGVGLGLIISNMIARNLSFNERGLQFQSEYQSGSSFWFYISKNI SESEIDEESENIDEESPERRQSLWRYNNIKSQHINKCQCANILIVDDNAFNIEVLKFLIQ KINSSLKLEYSLDGHTAIQMVNSNRCNNCNGYDAIFMDIDMPTMNGIQATSIIKKQYPKI QIIGCSAYAKQEEENLAIQNGMDGYLVKPVQIEQLKTYLKLEF >CAK57481 pep:novel supercontig:GCA_000165425.1:CT867992:130584:132281:1 gene:GSPATT00028516001 transcript:CAK57481 MTIMISKQKKCLRLIQTFPYINFKPKNNLQVIGNIVIRNPKVLHLACLHNNTKLIELTLT YCRNSNLINQTQMIEFINLQNKDGFTPLLMASFKGNIEIIKLLLQIGANSNIKNTAGLSV LHMSVQGDHVKASVLSLNIQLFWINQNIPIDILDYNHQTPLICASFLGSQQMVNFLIPWG AKLNAQTKDKGHTALHVATQQGHSRIVRKLLIKGIDRKIKDKNGKTALDLAIDSKFKSIQ TMIENKMGLAERCGLRQPDSKVEKNYISMTIYLSLYCSSFLLTISFTLPCNIYLMTKSRF RILLGLVHHSMQSNSPFDLGSRQKKSRLCATLKQNLNGRDLLDAYSVDQICPDCSDVKPP RSRHCEICLKCVYKYDHHCPWLSNCIGEKNQYIFLAFLFTLIASMVLQIVVQCQILNLQQ EEEEVELGYVIQQITFYYTMIVGCLFILPVMLLFTVQIYNLIKGQTTYERYIERQGINRM QSRKASAEQQLKLIKSAASTDTSESEFKFTIKSQKKPLLKETELIV >CAK57482 pep:novel supercontig:GCA_000165425.1:CT867992:132302:133919:-1 gene:GSPATT00028517001 transcript:CAK57482 MDNANSNSRRLPPLEQDNQQSRALSSSQQRNTIRQQMISSNKFEPKTSLKNKKTGPAPIQ ELDNFICYFCGGQDCKKEQANSNKNKNAIEGLHSDWITDDILAMQRLSMKLMPTVVPQFQ SHNIGAVINLQLQGEHAQCGPGIIPGVGFSYNPESLQSAKISFFNYGWEDMTADTTYENL LKICSAFDLMQKKGKKVAVHCHAGTGRTGVAIAAWLIYGERMVADDAIKLFQSRRRDSLN KSAQRDLLKAFEKCIKIQQEIVLQNRKWFDYPKLSGLTNDDIVEQQNQYVLNDLKNKTKL IPAPLYIIFNRFLLLLEDQLITPKQIVQAFIGEPTNQQPLNTEELQSLKKQFDAHNFRIG HVTDMRLLAQLLFAYFDHLPWQCVSDKAVLNIKQNLNGKQILDIFNECGKDIEVGPFYIL KTIIQFAVQLKITDPQLDLKTFFYRFSISLLQKQKCLDDHFIGFSLVRTNKIEIVQVVYL QQFLQKWHDEVINLNDKIFEENQLSKDFRVIKKV >CAK57483 pep:novel supercontig:GCA_000165425.1:CT867992:133948:134595:1 gene:GSPATT00028518001 transcript:CAK57483 MSQTEQQEYNYDAQYLEIFGCNHTTRQIHSEKLICQQCGIFINNVIELFQIKVNHKSLQN NQNEVQHLLQSPLKILSNILAPMTIAKHQIARLPIIDFLEQASERLNLSTNTAFLAITYI DHFFNKGNVNENQVFLYAAAALMLAAKAQELDERIPFISKLKRYSSMTSHPEINNFTTQE FCNAEKALIESLNWKLQRITLLDRIESLLSFGVIE >CAK57484 pep:novel supercontig:GCA_000165425.1:CT867992:134931:135377:1 gene:GSPATT00028519001 transcript:CAK57484 MTLTPKTVSKPMINTSSSSYLNSYQNSATQNKSSYMKNRQYLFESNKSSAGDIISQYNNT AKSHLKVPLFQSQSTMQLSSKSEIMKPHQINQTKYSSRISNFTTTNNYSYLSDNIVHPNS YIKHAELDKKYEQIHKVSETKFRTKIFS >CAK57485 pep:novel supercontig:GCA_000165425.1:CT867992:135439:136601:-1 gene:GSPATT00028520001 transcript:CAK57485 MQGFLVEGKEISRDYSYEWVKGNTELVAIEKGISVLHFSQELLKRDLEMEFRLLRRLTET QYHNDNLFDFHPDVQKLNRYNNIILFKHSIVKLRSEGDEEENLRECYINANFINVFDRFR MIVATQGPLIQTFCHFWKMIIQENMNMVVMLCNLRENQRAQCEQYWPRNVGETMICGNIQ IYLQSQDDLGNNITKRVLKVTQEGEERNIQQIQWCGWPDQGVPSPSDFDVIRELLNMINE KLQADQKVVFHCSAGVGRTGTLIALSNLMILLKAYKQNIGDDIQKLEENPDLYRLSIFGI VRRLREQRWGMVHTSEQYSYIYKFIDEAIRYLFHN >CAK57486 pep:novel supercontig:GCA_000165425.1:CT867992:136986:138521:-1 gene:GSPATT00028521001 transcript:CAK57486 MGCCLTKQTKHKKYANAGCDEHPIQQPEESNYFEVCHEPVVPKEVKPEAQEKQEKQEQEK QITWTEGEMIGQGAFGRVVLGMNRVSGQIMAVKQVFIKNGDENKVQSIQREIEILSQLQH PHIVRYYGSETKNDQLNIFLEYVSGGSVLTMIKRFGEIQGVFNQRLHFLHSKGVIHRDIK GANILINQNGQVKLADFGSGKQLSEIKHDIVGSLCGTPNYMAPEIIELVTLWKVSSIYQV LNRKADIWSLGCTMIEMATGHPPFSEVKNIYTVMVKISKLTETFPIPEELKSEQARDFLK RCLQLNPEDRWEAEDLLQHPFLISKEQRYSGLNSAQEKKNVFISDDLLFQLKSELIPNKN KKLQFSFQLDDESQSQNDNQHQEQEVPQYQEQQGQGSQREEPITNIIKINNNNNLQSEPD QDAYKVIIEQNFDDRLGLQQFDSNLNCQKNKKLIINRQCSLNQALDQVLNDAFQPQQSKS LNPLKN >CAK57487 pep:novel supercontig:GCA_000165425.1:CT867992:138542:139989:1 gene:GSPATT00028522001 transcript:CAK57487 MQQKQNQAKIRNALQQQLFPKMQKKIEQPKTQPKRAIRIEDENKLSIALPHIETIAVNFN SKYQLGNMIGEGAHGLVRKAIKVETGEQVAVKISRSGDPELIKTFTEAYKNTRILDHEFI IKVYECYIDEQSETLYLVMEYSNLRSLEDVIKKHKRLTEEQAKILIRHILLALQHIHERG IAHRDLKPDNVLINKKSLDIKIIDFGVSRRFKKYNGGEFVDVNMWTRTGNVYYTAPEILT GGGYDERVDLWSLGVCLFRILSGQFPFFEDSVLGTIEKILKGNFELNEDISWLARDLIKR LLDPNPAQRLSAQLALQHPWLYHYQIDPISPNSTELISKANCRASDDICDISQGGDKNAY YGRNLHMRSNTMTKSPVLQEPNINTDTNSKSPLNLLKQEIDEDIQQSPLIKLKKIQNQMN KEINIIRKKESNGQGLLRIRQILQMEKLDIIHEIQ >CAK57488 pep:novel supercontig:GCA_000165425.1:CT867992:140020:141802:-1 gene:GSPATT00028523001 transcript:CAK57488 MKQIDKYSYNPKDMLGMGSTGTVVKAIDAMKNQYALRIIKKNSITDDEGLQCALLDEIKL MQKLKHPKIVQLVEVIQTDNNYYVVMEFCKQDLQTYLQQIHKLPESECIKLLIDLLEGFT ELINHGIIHRGLKLTNILLTANGYKLADCGLTKCMENFKTDQSRKDEDFKFHSPQVLANS KYTSKCDIWSIGMVLYNVLYGYTAWSGTRIEQLLHNIEHKLLDFPDDQTSVSDDMKSFIE GCLAMEESERMNWDEVFKHKLVKDYFKNYQAHIKEEQIKFLMNELRQKIIKQSLNIRTLF QEFDENGDQTLQFSELVQLLHTIDQTLSRQDCQVIFKKLDLDGDHSVSLEEFEKWITDNN TKMAVISKVKGRQNQKMLSNKQIPSLKNIQNYPGKQKDMDPRNLQMGQQPFLGQSQSTPQ MNKMPMQAFVFDPEKTIQKLQQTIQRFNINVHDLFQKFDQDLDGLLNFQEFAQLLIKIQR NAQPQELHAIFKIFDLNDDNFISFIEFRQILNLYQEMNQKQEAKFFNTGYQNSSIQNLIG SNLVNCPQLSNILTKKSNISRNALKYIIN >CAK57489 pep:novel supercontig:GCA_000165425.1:CT867992:142130:143354:-1 gene:GSPATT00028524001 transcript:CAK57489 MRIVPVLTLILAVATARVAKTESPKEILAQVNKDSFGNSVLSVLQLQLATGGPVGEIQIL LNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGE AEVEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQIDAIDEASKIVQHLQAG VAFAQLKSRFEKVQAKLMESKHRSLQATHQCLDTTQPPSIIKILELLAQIRQQLVASRAS LLATEERQAANWEVQSGHLQEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQTLFLED AEDSLAIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQIREEVF >CAK57490 pep:novel supercontig:GCA_000165425.1:CT867992:144138:144668:-1 gene:GSPATT00028525001 transcript:CAK57490 MRKKSTYILPPLENKKIVAIEKQVQKDISEQHLAMEFVRSEINSIVSLKYQAQIKSFSHS RLNRMHASQSLHQPIINQPPKFEQSQPSFEFLSTIRKRFLKSSIEPENVELLKWYQDEEY FELKEYFRKNPVDQFIQGLTLLYRAVKDENVKMIQFLLSKGANMHLIQEVIYQQLI >CAK57491 pep:novel supercontig:GCA_000165425.1:CT867992:144700:145181:1 gene:GSPATT00028526001 transcript:CAK57491 MKSKQAQTPEQILHILRSFKSLMGKQNSMSPQTRCQTCVQTVKKEGKQTFDCLLTSPMVM MEDQECVREGVEEKIEILSNQIKQMQVKQEQLESQHYQLSKNVKKLNLDKKQQLQERSQM VRKLDQMIDIQTKQEDNLNQLKQLFASRKII >CAK57492 pep:novel supercontig:GCA_000165425.1:CT867992:145333:147014:1 gene:GSPATT00028527001 transcript:CAK57492 MKKQSENDRIAQQIYTSQMQKLQGKILEKKLTKVCSKQQFESQSQNISNNNSPVMGRQKS FENLQKVNRTFVNPTLQVSQQKLSSILNVMAGQQTKPKIQGLFNNELMDTVKITHKIRKN QSMIQIDQPSPQLTKNSSFHRPNSSVKIKNSNSVSYEQSQLQKVKDNIKQLLQERDSNWQ QDQKEIAFMIKLNQSLNQLLSIIFYEQPNTQPTSTQDTNSSLQLDHFFKRQISILQQSFT QQTEKKKLENILTSIKRKHENMLNDYNQLIEQKKSQEEVIKNLQSQIQGLQSKINTQQKE TKTEEEFLELKYLVQGQFEAIQKLLQREQLTKIFLKRVGDSSILEMFDQFIQNAEQTSMD DTEGNLNIDVLPSNKLITQKKLVAMQEQMGLPEKILSQYEENYKEQLNLYDSLLADDSRV NDSEESSFGYLGKEQATEISCYFNDADQFVQTQHKGKGIHTISKEMLKINMMGVQLAQAA LKRQEIVEQQQQQQQQQQLLQQKILPDDLMDNENEESEENFCDDE >CAK57493 pep:novel supercontig:GCA_000165425.1:CT867992:147110:148247:-1 gene:GSPATT00028528001 transcript:CAK57493 MGICKSQPIITEDLPTMDSSGHKQTETVIKEDSTAIYDENKIVIIQALYRGHKARKSLMT TLQSPETSAPTQVEQQKFCFDPNQLHDYDQSSILMKNGAIYTGEWKDGKANGKGKYQFQD RCYVEGTWASNELQGEATYVNGTEMYKGQWLDSMFHGNGEYVYSDGRIYQGEWKKGLQHG MGKEIYKDKSSYEGKFKEGMKNGLGIIRLADGCVYEGEFENDQFHGYGTFIWPDRKKVFE GYWKNGNKHGNGTMKWGDGRIYTGQYLEGLKHGYGEMNYSDGRCYKGQWKNGLQDGMGIF LDKEGNEKKGFWFKGKLKKWV >CAK57494 pep:novel supercontig:GCA_000165425.1:CT867992:149060:152731:-1 gene:GSPATT00028529001 transcript:CAK57494 MKEIQIQCQQSYEISQPLAFTFDELSKILYVIDEQSNIIKYEYNNKSISIQTTYKVGQQI QNNSQILSFQYLMENDQLIIVYRDGAIIKVQKDEAEVVGQFECGVLGAAWNPNQEQLVVV CGDGKLVSFDVQIEPTKEINIEGILDQLVSISFKNDGKFFSLNYAVQDGRICETYDVQLE KFRSPSKSDPEGGLVQAIFEKPRNLVNTVSWQPNSQFIAGIQEKQVIFWEKNGLRHLEFN IFEDSTNVKWSPDGVILAVQQGNKITVHLRQNYKWYAKRAFKFEKVVDYTFIENNTLVVF NQNNVSIFWLNYQFNNTTIGLSTDYDTLLVSDYKKGVIPPPLSHFQLKVDSQIDLVYFDK LIYVYSFDGTLTIFDNANVLGKVKLDIEYAHKLICYQDKQQDVIFCHAIFVVHEAESDKL VDVVISPSFEIINIWRKEFSQPTQVSALTKKGHQIILHSRFGEKFIYDQSQEESLSEFDL ANKEQNVYDIKIQQHNEWIACLKSNSKLTLNNQLVTAECTSFAFFDHFLAFTINTADQFH NLYILDLNKPIQIDKKSLNTSNIERGAKILAIVSLDRLIVQIPRGNLETTAPRVMALHLC KQLYESKQYKTCFEMIRKHKLDMNLMFDFSGELDVQIIEQLSEQYLQLFIQSLNNEISFE LPYVLTAEQYKHQKVLIVDKTLGTSKINYVCQKLIDNMKEETHILTIVTAMLKKEPSEVE EALLKTLKLKQIEQQQEEVPPHLNPETHKPFKKHHKIKSEQVLEYICWLADANKMFEVAL GTYDFDLVKQVAQFTQKDPKEYLPMLERYSQIKDPIDMKSTIHIELKNYDKAIRVLSEGN EEQKQKSIELIRKQSRFRIALEVYRQDQGMMKKVKEALGEYLNNQKQYHQASLAYESAGL YEKAVQASSEILDTKRILSFDPKEDYLLNYNQILLAAGRWKDCGQVQEYLKNHEQAIHYY CKAEEWESVAQCLRHKQINIDDHLQLAFSLKVNHLLNQQHLFIQKLERLRIVQEQKKEHG ILAPSQINADFDQMSDVSGKSGMSKSSYTMSVTTGVRKRKPKEKSFLNRNIKEGSPVEEE YLIEFLKDIQAKSADLINSIKKFQNYLIFFNQPKLSLELSAKTKEYLNTIKPEIKGLIQQ QFEEQNQQAIDLYPYKSVVPTEFNQALDDLIK >CAK57495 pep:novel supercontig:GCA_000165425.1:CT867992:152813:153514:-1 gene:GSPATT00028530001 transcript:CAK57495 MNYCNIKTTRRNSFSLTYQSLEQIKHLRRQSCCCKECGNQSMFQKLHSDVSCPIYEHQRR QRDTTHSSANSNSDVSLEIIQAVKKLPFLRGQLKLISLPPNYDQQILEIKQKKRRHSCHC DECGQLSKFQTKTQNLYVERYQQMKKQFRIKQIRRHNKNKTQTLIETENPAILHMNSPMM KYSKYSRTAKLILEQNQMKNLPRFCTEKNICSPKIKSFLPSLHVPKSFRTQLF >CAK57496 pep:novel supercontig:GCA_000165425.1:CT867992:153878:154522:-1 gene:GSPATT00028531001 transcript:CAK57496 MYAKWRRTSQQKYPDLSQHFKNEVITRRRSCYCDNCGRLSQFQQIHQNNEWVPLQIQNEE SKKELLQQKIQNDEKQQISKNSRTMSTSMSQAPSFYNNSDRKPRRNSCNCSECGPMSEYQ IKHKDVPYIKKKKSKKLFVIKRKNLTHSRTIQLEQMQSRSRIDPKQLIQQKKQMMSLKHI DYQHRRLKTECMSFSQIVLPTIKDFSCRQTKKLM >CAK57497 pep:novel supercontig:GCA_000165425.1:CT867992:155057:156861:-1 gene:GSPATT00028532001 transcript:CAK57497 MEELICPQCHNIFNEFENIPLMLPDCGHTICQKCIQQMLMSADGQQICCPEDNILAKGKT HITEFPKNCQLLKMVVKQRPSIEQPEYQLHLNNLAYEKIELCTEHLEKLEIVCLTDKIRI CTKCALFGNHRHHEVRSVDDVVREIASKAENIMQNYQRILDKQQELTESKFYEPLSEKYS IMLQESQITVREKFKELHQQLDLKENKLLEQLNGLTQTLEQQTKRQIKESIQSILQQADL WKIGAKDRLLYFSTKTESGELPLDLLYNQEFQGNVILDEMDRTLKMLEQRINNVKIKKIR VDFKKHEIDKCFDSMCSLTFQLNHNETNQVENSFSKYDQEEPLLLRDNSVADWIDNDDNL ISQVVHVVSNSMHELKYTSQSQLQQAKSPVRTEPAIHSLQSITPLKQLKQKSPNKDIHNN ASLQPPPPVSLLRQGISPTPKLQEKRKKTFKINDKFEPILQAFRNDNLEIVDLSNAELGD EGCNVVAEQLKNCKKVKQLKLARNKISDEGANIILQALTQNQNITSLHLSSNMISERTLD TILNLVKTGQLPKNIYLSQTLINATKAKKKMEELKKLGYVVNI >CAK57498 pep:novel supercontig:GCA_000165425.1:CT867992:157302:159124:1 gene:GSPATT00028533001 transcript:CAK57498 MPKILESISRTLLKLKNEFVYQADIFGQPPSFTVLKRSKYTTKFGFVMSILIGTLSAYYL ITEITSMISKSKPSIYQTEIQVIETDSYYLHNENFTLAISIANRFSEPLIGINKYFNLNV SQCNRERIRNPSTGNITVSLKCIEMPIEPCNMSHFTTDLQQEYFKTIRFGGVQCINRDYI KANPPVLKGQLNALEYQYLYIQFTACKNSSSFQGCAPQEEIRDILQAGHYNIYKSDYIAQ LDHPEEPYKQIITNEFSGFSYSTSKTIVQSYRIVQTATDDGLIWDVENVWQNIQQTDWRE ISDFYNQQYLILHVIKLDFKQTNNIRTYIKLQTILGKLGGILQIFLMVVTIILKPIIDNL MKLEIANSLYRFQDSSEKKYTPANSNKEIEGSTSQKNEKLNKSVFETFLIIFGLQKEKHQ QFLRARNKIGKNLDIVTILKRLQEIDMLKRILFSKEQIEIIKSLPRPLIDKKLFITQNLI EHEIDKEKANQINLSPLQSEQTRFNQFHYFPKINTVQEKQQIDTNLQLYLDHCNVMLINN SKDSQKPKVRLQSILLKCPSLPLCNSQIIKCDEIEEPVYQKTKK >CAK57499 pep:novel supercontig:GCA_000165425.1:CT867992:159730:160101:-1 gene:GSPATT00028534001 transcript:CAK57499 MGNWESKEPVKIEDQQIVKATYVTRDLQSGGISKYLPVKHAGILVETKEEKYIIHHLGPD KDVETELYNDVRSQKWKADKRIKVNNNTTIKEAEKIGGKGKGYWRNCTCIGVAFKIWSGL QSG >CAK57500 pep:novel supercontig:GCA_000165425.1:CT867992:160652:161819:1 gene:GSPATT00028535001 transcript:CAK57500 MMSENRAIIIDNGSDKLKAGIAGDEDPKCCFPAVIGRPKYDRIKTDLDSKDVYIGNQTLA LRDVLALRHPIKNGIVNNWDDMERIWHHAIFDELKIEPEDHPVLLTEVPMNPKANREKMT QIVFETFNFPSFYVASQAVLSLYATGRVTGIVLDSGFGASYSIPIYEGYYFSHAALRIYI AGSTCTEYLGYILSELGVRFTSSAEMEIVRDIKEKLCYVAYDFEEEMKKNIGKPFELPDR NVLFIQNQRIRCPELLFKPSLIGQEVSGIHELTFKSIMKCDIDVRNELYKNVIISGGTAM FSGIKERLSKELRSFAPTSMKIKVSDTQEKKFSAWSGGSILSKTQFLDQIWITRSEYDEN GPMIVHRKCF >CAK57501 pep:novel supercontig:GCA_000165425.1:CT867992:162439:165292:1 gene:GSPATT00028536001 transcript:CAK57501 MGFSFDLINLAWENCDSESEMVNTLLIWSGQLQQSQKTGIKQDEDSLIAFYQLESQENNQ STEFQIILPQQRMRVDGIPCGLKNVGNTCYFNSLMQIYFFNSQFVKNIMAFQPPEKNGDG NFIKSTYLVQKLQNLFARMIGSDKRYADPGEVFMFICDHLENPIPIKSQNDVGEFNHYFL SRIQEGLTQKEQQNNQIRDSQIESSSSSILKSRSSIIQHDDIVSQLFFCQVYTQIKFEQQ GLPQIREKNELFNWIPLNIKDGNLYDSFERFVVNHLDDFKNDQSESVKAVQYHWISSPPQ TLSFQLQRVQFLKEEGKSIKLNDEFTFDEEIYIDRILKQNQKIFLNILDQKKELNSQLMQ IKMQQQINEYNDQKVIQNVIASTIQFIVMQNNGNQNHELDNEKIQQFHEQQMSFKQQQLQ QQINEIEMQLQTIQNNYDDLKEYKYVLQSILMHIGSADCGHYYTYIKDFGLNKWFKFNDI VVQEVTKEKVFQDAFGKNKGENAYILIYTKANNMEQEMQSQMRNYRISSQSQYLQDIYGS FLNKKQREELNKENLQLQKEIGQHQLSLIEQLMESYQQRFNFINEQYTQISTSWQQVKLQ PLIMLNYPIYIKSKMNLINQGDYENILKWVVIEQAFTDVNPDKQGVQGNNTQITNDLQNL ILKKFIELNNPLELLSQKQINEKQQLLQEYRNYIQMASLASILFDKILSKNFISALSILH QLQLIVKQSNQIGYFHELVLYLQSLIPIIIISKMIPVQEIVNLQELELLQTYFAIQNLKY QIPTPISEIQIQIIMNAIYENHKEPQIQKFIDQFSNSNLNPKLVEQIDKISDDVITEQKQ LSAHYDIYNWSLHQKSDILFDKLTTNGIDLKANFKKYIKIYKKAVVKKKTLMKEEIEALL A >CAK57502 pep:novel supercontig:GCA_000165425.1:CT867992:165679:167281:1 gene:GSPATT00028537001 transcript:CAK57502 MGFSSLLNKLLWTIVVVLIAYNLQLYTKSSSSVRFEIKGDEITMINDGQQLKDSHIKSLS DVLNKNPQTTKLNAQLSINAFTNFRDFFSSLEKLSNLNELTLSLGNNPIVDELEQAKSLS QALVKLTKLHSLNLGIDSIFNNQSREIILSGLSKVSGLTQLRVSLINSDLSGDGLKSLYP LTELRNLKSLELLLVGSKLKEEEMKHLQTILHKLPKLNKLNLNLYANKIQIDGAITLSGG LFQQRQLKEFGIDLYFNNITANGTESLMGVVGSMENLTSLNLGLEFNYITNEGGVQVGKA LSQFKNLKDLSVNAATKNFGWDGYEAIVGAIEKLPPLDNLELIIGINKCGLPGAELLKKA LFKHDKLKSLKINFLENYVGDAGATFIAEGIQYQKNLQELKVNMNFNSLSDRGALELARA VKNAKSPKVVDFRVSQNQITDKGIKDIFVLLEQALPKVNQMEVELLNTALTNATRDDIEL KYGKVENLQIRLNTIPPSNWE >CAK57503 pep:novel supercontig:GCA_000165425.1:CT867992:167302:168180:-1 gene:GSPATT00028538001 transcript:CAK57503 MKQAIQKAMIEIANPKKGKEISSYMKNVLPFRGLQQPEITKVFNSFKDQIKQLEDDQKYE IADFLMRQEYGEDKKIALMIAEKMKFNLQRIKLTEKWFIDGHIKEWATADTYCGRVLKQF VIEKENAKLMLDWAKHENLWMRRSSCVGFVTLARKKVCDMNLLFEICQENIVHQERFNQL GTGWLLREMSLVDLKGVIEFIEKNIKYFSSEGLRYAYEKMKQRDQNYLKNLLKNTNSKDN NQQDDDDNDEEEKGDQQQKKQKLKKNDSEEESDEEFKIKKPKRQSRQYKRKL >CAK57504 pep:novel supercontig:GCA_000165425.1:CT867992:168566:169546:1 gene:GSPATT00028539001 transcript:CAK57504 MGNDYCVSRRNSKSKISEHRMFQQDIQYQLERLKDIDKKYIVIQYENKSIEDLLRNIDIN ITNQVNREQENQNLNSQDSIVIDFAQFTQFGNEIIQQLQQKIKDLTLKKQDWSLLVDDNS STHFLKLQMSQTQMEDGNKINTIISQFIVPCNPKRFIYYMGNFQEQKQLDLRIDQFRCIS KNKEDQEQIIYLSYKSVSIISARDFIYFKKTKLIDETNDIWCDASRSIDNNNYCSVNNKI VRGTIYLSGYVIQPLKNVLNNDPYSQRFASISKKAENYSFVQMCSQCDFKLKVPFYIAKG QVKQEMINYINLLYRNLQ >CAK57505 pep:novel supercontig:GCA_000165425.1:CT867992:170124:172028:1 gene:GSPATT00028540001 transcript:CAK57505 MNKEEAETFKQPLINEVSQQFSKQSKKSRGKSQLSVLTEHSKMDTHLYEIPDLTQITQKV LCRLIAVSVVCIIFLVAEVIGGLWAQSLAILSDAAHMFSDMSGFFISIFSIWLGQKPASQ KMSFGYHRAEVIGALGSIILIWGLTILLFYEATIRVLEEAIVTDPLIMLITAGFGLFCNL VMAKVLHSSPTGGHDHGNIMHQCSGHNHGHDHGHEHNHDHNHNHDHSHSHEESHSHSKQK QQKKKKKISIKQKRQQVSENGISMLVVEQDIESESSDKNLTIKEESESSSSCQSNKQQQQ NIGQKSQQQQAREHNHSENCGHDHQKIDIPNEIENQKCKNKKNQKDSKSSEDSHSSCNGK EHNHDHEHDHSNSQDHSHSHDHSHSHDQSHSHDHSNSHSHDHSDDHSHDHSHNHKKKSNK LKSKNLTEINEHDNHNLKAAMIHVIGDILQSIGVLIAAILIYIFGQKKDENNQIVFTYWQ YADPFCTYLFSILVLFTTFGVAKECLRVLMEGTPQNLIIEEFNEKLKAIHRVKEVHDLHI WSLSVGKPAMSAHIVCIENPEYVLRKATKLCRQFGIYHSTIQIELYDRQGANDYIKCDHN LHK >CAK57506 pep:novel supercontig:GCA_000165425.1:CT867992:172058:172654:-1 gene:GSPATT00028541001 transcript:CAK57506 MNQNTQATEQLVYAGKWLHFKLLLFKINERQKVYEYAEKPPGYRGGVRVIPIVKYKNKPS TIIIVANFRPPIRKYCLEFPGGLIDKEGEYYENGIRELKEETGYIATLNNHQHKDVITRT DPWKSNEYCYNCFVDIDGDSDLSQNPQQHLEFDENIVVYELTMGKKLAEELIQLQKEKDY EISGQVWLFALGLQFTNL >CAK57507 pep:novel supercontig:GCA_000165425.1:CT867992:172730:173916:1 gene:GSPATT00028542001 transcript:CAK57507 MQKYLQNTFAKQLNKASFAQFGHSGHANKKPPVRVAITGAAGQIGYSLIFRIASGEMLGP NQPVILHLIDLPFAMAALNGVVMEIQDCAFPLVKGIVATDNQAVGFKNVDYALLVGAKPR GPGMERGDLLKDNGKIFTETGKHINEHASRDIKVVVVGNPCNTNCLILANQIKDIPKENF TAMTRLDHNRAQHQLADKLGVHTTDIRKIAIFGNHSPTMVPYIDQMTAKNHKATVDQQWV SQTFIPTVQQRGAEIIKARKLSSAASAGNAAMNHITTWVNGTSESDFTSMAIPSDGSYGV PKGLIFSFPVSVKNGKYSIVQGLPISPFYQGLLDKTIKELVDERNAVDHLLK >CAK57508 pep:novel supercontig:GCA_000165425.1:CT867992:174061:174707:-1 gene:GSPATT00028543001 transcript:CAK57508 MKTSQTFRIKSKKDFFQKQQSIQKSLQMSLTCQSLTNRTNKPQEHFTKSFIKIQPIKLLS QQNSISCRQSIVSVSDSQVSADEEFEIWDDNQDLRVKKIVLDDKQSSLGQFLQLYKARYG GFPGYRQHIENEDVIKTAISYASSSKKLFKKYFPTKQIVFEDQNDYSQPYNIEDDQHFQK FNRFFRNKKLLTSLKTSQHMMKKTLCS >CAK57509 pep:novel supercontig:GCA_000165425.1:CT867992:174953:175896:1 gene:GSPATT00028544001 transcript:CAK57509 MGKFTKDKRVQRNSSYSKQVLYNILINYQLITIKALFTIFRIFIIGKPKKINSEPDQLIS YYKQMTPSKYLDKLREQQIYVLLLAKLTEKNFLPSESCRIMAPLDHVTQIQGDITKKTTV DEILKKFNYQRADIIVCDGAPDVTGFHDIDYYIQSQLIVAALNICLMTLRENGIFVAKIF KGSDIKLLYSQFKLFFNQVYFMKPKSSRASSVEYFIICLQYTPKIQTQNFHLYTFLKEIE QAEKQKQEVIDQQTEKEQSKYYKFITCGDLSGFDEN >CAK57510 pep:novel supercontig:GCA_000165425.1:CT867992:176140:179437:1 gene:GSPATT00028545001 transcript:CAK57510 MIFLEDAQRGQAQSPESFKRLFLPHKSDTGFVFTKLNKQLTTVKSTERKLFKKRQPDEEQ EQVKLDQSSSLEVKMADDLLKHEVCDWQNFMQKDQETIRQINSQDELFQELPSKIKTQET TKRNKIWQEGNERYVFFSRMRQGNKLLPNLSSQDFLSSVRHSSKLNEYAKVYKQQPQNIY LSLNLSQPKRVPKTFGLIQNTSQLQTVNTNRCLRSREDCRAFSCAMLTSQSKTITSLQMN HNIFDPQQLRDVLLTFPTALKDLELIDCKLHYQHMDILMSFINKNQILKINLEKNNIRDQ GCKIIMRYLMNNNTLQHLNLNNNQITECSSMAISNLLKQTQRLLELYLGYNNLNSSAGNA IWKAMYKNTSVKILDLSHNNIASLECAASIAKAIARPYNELLHVDLSYNKFTYPQAQLIS ESLIKNETIYGFHFEGNQSELCVNPNGFLVNNIQFKKTLSDKLIQLYKQPQYFKLLEIPK QQPYQPPKQYEELVMPFSRQRKIRSTKSNMQKSNQLNKLDTCWICEGWQEIRFEWNAYKS GSLYNEPIFIHFDFEDYRPLLMTHLNNEFFFVKMCPPNQEIQYFFTNPILGVQQPAMDQP IKYLDTPIQSIPFLYNEEILVDGNALDQVNVLQTYNKQQLFDKYMPLVQCKPREPLAKFD FSPYLNIKKHNWSVETSIFRNFQPDTPQLIDQCFEFDFQNSKVTRLVKETEIVEVKESLK DLYRNLFHVYKYHAAGSQGQPIPCILIQDYIDFLVQTSLMEGMKTNDIDISFTSTSAAKD VAFPQAYEKGLVRCQLLEIMIRLCNDKYIRPGLFTSMVDSISAIKQQSQEYFSRFDQAQQ WRKTRLWNQKCDILLNDRVAMLKSLYKYCCKLSKKPQQFKNDYVSIQDFKDMLNQCKLIC DDLNERECCLVYLQSMITQKDELFSPKHYQMNFHEFIDAVGRLAEKLSIIRGDKPIDIDD RRAKDLHTKLDGFLLYVYLNIGNEMKSTLPPNDQDIKGVDKCMINDFKSLKQKLEDSFTD GDEPPYDPKVELPHLSSQITNLLGNTLGGKKQTLRSQMKQIKKEEQKFSLINFVQYFKSI QQLNKDQDD >CAK57511 pep:novel supercontig:GCA_000165425.1:CT867992:179643:181302:-1 gene:GSPATT00028546001 transcript:CAK57511 MGCVSSDEQQTLAFDDFQFLRTGTLSIELTQLIFVVNNLLKEVRQLYKQINSNRIILFRI TKVSLTTNQTVLDAFGFWTRFVSICNLGQGKQNGVYYKLDDDEKSLSYNLGLNGDLKLQW FGEVLNDYFKVLIDITACIPMILEQLKFLQDKINQLGANLKEKNPVFKYNMSLLKFNLDF TKIANIRIVYYNQEIEIFKRNYIQIAEAADEQGLKLYRRFGAKQSNDVQKYRMQVKINLI MEQCFHGEMRPEIEQETQNKQKEKRKALKPAWTFGDDIGIGVKKFPFRVRWTGCNCVDHS FFIISNYLENHSKHLLLLRKLSVILRYLTQSYKTDEDTLSRAWFIFCTHLSQKERNIIQS NSSILNGLKKFKLRNSDAEKCRNYFIKYIEQLDPDYLSQFQDEWQNYIEGQSKVLSLWSN NQIFSTDEFKELSVTDKYYALTSMSKNVYALQSYYPSATKIQNSVYQKKIKKGQIFLSEL SSIYNTSGYIPLPFENLYTTNKTEDDEAKVKTKEIKFKYAFTKFEQKQ >CAK57512 pep:novel supercontig:GCA_000165425.1:CT867992:182262:183867:1 gene:GSPATT00028547001 transcript:CAK57512 MKQIVINFSDLNDEAKKKYIRYLTQNNISIENQSLKKSQLPFQHRSRQYSTLSDIANSKA FTPAKSQKQASQHFKTDHSVGAKFSDLEESYPKIMSRIQNKDLLSRPLKLSFNELSLLIE EIYQKKFVEDSNQIARNQKVSEIAFVDYVYEFLQNKFKHSKNQLIINFLASVDLHALKRK DVNIFQQFLKFNKQEVLTFYLFTRAVIQKELKLPFYHPLRKQGIDSNQLQLSQKQVQQIS LLLYGSPEKYQEFKKFFPGNSISVSEFSFAAILIYEAQHQTNKQKPFQQQHRSLSPLQNT SYDDSQDLRQPDSPLFMQSEMSMENNRQQNENFFSSALQSVSSQKKQHWQVQIKFHRIPC AANNVQKLINEKLETKLSDFIEEMLSEMEDLTDDQKQQCVTGIEARIVDTMAILLDAIYK YDKLLWYYQLNLSRFKKLNKQPDEFGLEYIENLQKLYRSLVKAKQPLDDQLDQLCQQMMQ TPDLAKQIGNELLQYFYNCK >CAK57513 pep:novel supercontig:GCA_000165425.1:CT867992:186281:186984:1 gene:GSPATT00028548001 transcript:CAK57513 MAFQQDYDYLFKILLIGNSAVGKSSLLLRFSDQIFSESFLPTIGVDFKIRTFDMQGKSVK MQIWDTAGQERFKTITASYYKGAHGIILTYDITDKQSFKDIENWLAEVEKHASENVVRLL VGNKADLESKRQVTYEEGKELADSLGIKFIEASAKANTNVDQAFMTLANNIKAKIGKSED KPTPGGKTPTGGLKNPQPTKKEGTCC >CAK57514 pep:novel supercontig:GCA_000165425.1:CT867992:186993:189032:1 gene:GSPATT00028549001 transcript:CAK57514 MGFKEAFTRQGDGENLLFDDDAFIYFAISILTLVILPLVYSVIKPLFTTYVLGENKRKLA RVPKSGNDQLNLQLAKQELKLKWLTTGYVFKIIILVALLLMLNASIQSLPNAEKIKGFDP YEILEIDPTATEQEIRKAYRKISLKLHPDKNPDDPQANQKFILLTKAYECLTDEDKKSLC MKYGNPDGQQSLSVGIAMPSFLLKKENRAAFLAVIFLLLLVVVPIIVLYELRSIGKYDQN GVMLSNQEKFERGLEENLLIKKGVELSSCSDELCRLRLKSEQQAIDLEKLVNELKEEAEL RKIQKFEITEALEQSKKQKNKKRRVTISVAMILIYAHLFGKPIPQSVISLYRSTIKIIPK LVNSMVRLAFEFSMKYKVIQWRQRGRFQTKFMGARCINNILQFSQCIVQGIYETDNPINQ IEFFATKAKDYIKKGKMPVFQELVQKQVDQRVLPSWVPEEFKDEIMKEINMFPQLDIKPE VTVDDESIVEQCNEDIFSIKITLTRMNTPEGEDIPFAHSNRFTYVKEEGWHILITFQNEV FYYAFLNGSNREQSTEFKFQPKMYFGDIVEFEFVLFVISDCYRGLDSEIPIKFKAKKASQ ISRAVEYHKEDQELDKSLPFIQSMLFPMQNKVEDSDSEDEDQSKKDDE >CAK57515 pep:novel supercontig:GCA_000165425.1:CT867992:189415:190186:1 gene:GSPATT00028550001 transcript:CAK57515 MNNFKGNIVQFELKMNQQSLCLRFQALHQHRHLSHPIYHTPKSKQQRSYSLPKLFVFNTR KQFHEIPQKMRRKSCDCQECGKMTSFQYKNMNIPFILKPCRIRKQPSLKNNRLLTAQNTK KLFRKFSASKQDSLISTSSSQLMLTSLRRASARTTEKLIEDTQIRILEPLKTCKSHFQQN SQKQEIKKITLQIPKSSRIIKTQPSDHQKIKLPQTLKSMKQIQTRNFLCSYLTKYKKSKT FMVQQKI >CAK57516 pep:novel supercontig:GCA_000165425.1:CT867992:191971:193085:1 gene:GSPATT00028551001 transcript:CAK57516 MKALLILGLVIFTQAVFVKHASEPHAAVFAQLEALEDHPLGKKILDTIALQMKNKSPLSD IAKMLQDLRENLVLQQQDAELVHVAQEADCEAEIYGYDRRIDFASNEITEATIDINKYTA DIELLETDIANKEQQLEILANQEEQLRQKRAEDHASFEERQITTPKVVEALDVIAAKLSA IQPEADAEAVLAELERVGGNNPILALVQLASTFSAEKLQNVQDKIAELRASLEQSIIDDQ EEEVQAQLNFEAQIVEINEQRAALQSEKADSETKLGQAQVQLAAAKKRKYDAGRELDSAT RGKVAKEAECDAWRTQYARDTEQRTVEIGIIRQVEQILATKLEGASSYIKGRIN >CAK57517 pep:novel supercontig:GCA_000165425.1:CT867992:193582:193968:-1 gene:GSPATT00028552001 transcript:CAK57517 MGNYCSFTTTDATKIEEEIITSNKQDSIIKSQGDSLQIANFEELIQDHSQLYAFQNGDVL LSSRPNSPRSQHVIIYLEPKIVAEEEVKFQQDGIKGIISARTYDQYPESSCSSLNQKEKQ CKKVQFRD >CAK57518 pep:novel supercontig:GCA_000165425.1:CT867992:194147:195603:-1 gene:GSPATT00028553001 transcript:CAK57518 MKKFKEIKKSGDGTFGVVMKAENTESFELVAIKKMKQKYHNFEECTNLREVKALMKLQNH PNIVKLKEIFLDADTLCLVFEFVEKSIYQIYAQHREQGKTMSDDQIKSIIYQVANGLSYM HKHGYFHRDLKPENMLMTENGVVKIIDFGLAREIRSRPPYTDYVATRWYRAPEILLKQIN YNSPVDIFALGCIMAELFLNRPLFQGNTELEQFNKILSTLGTFTQTDWPEGCRLVSQLGM GLAQCQPLQLQQLIPNASIEALNLLSQMIKWDPNKRITAQQILTHPYFYNIQKIAPTLVF EDQDKLWNKEIKDTNIDKKQKVTTQGVKDDLSLQFGNQQKIQKTDSNDLDDILDFITTEN KPVIPKQTIQSAKALEFKGLQSSSQANLKLTKNYLTSINSDVNQKKDNTSIYDFSHLQSY KPKMPNAKH >CAK57519 pep:novel supercontig:GCA_000165425.1:CT867992:197095:200622:-1 gene:GSPATT00028554001 transcript:CAK57519 MSLCDLLQDNGDNCNSQFAMIPPSYSEEQMKQQFRGPAKLATKVDPLQDVADCSIKPPSN ISLQKATEQINPSQSPHSGLIQFTPKTSLMEEKILGSNSNKEQIREPAQSSYNNLPKEGV NNFLKLVIAKSRYHHFIDLLLQRAYVKKLSQFSIYQNTMLDDLRYVDSKRHANKNLVEKF CKKLRFVPILDQSSYLVIGWQLLYILTIIIIFFWTPFNISFGITLNQVVFGSMIVKDVEL YFLFSIIIDGFIVINTSYIKKGIIIRTRGKIFTNYLNTQAIYDLCSIVSLLIAQYTSIGD SQEHPGWQMLPYAIYYCSRIFKLQGRVHKLEEFFNFSGWVQDVIELIKLLFMVVYVGHLF ACLWHSVAFYQIGYNKTWLEIYHIADANIFTKYNYAFYWAVQTMITVGYGDLTPQNDYER LCANLSMFLACGVFAFSFNSIGLMLSNLNSRQVLYKRSTNLLNQYLTKNQIKVELQSRIR NYYDYIFQEEQEINDEEVSQITTKLSSSLQEELTFEIRLNVMKTNKVLTKFSQKTLRELS LLIEEVRFSPEDQIIQQGTPDDCSLYLITKGKVSILFQNDPQGRITRVLSFLEKGQTFGE YSFFTGLNRTASAKSIGFSRAYKITRQKLLNVLQTNETDLERFCEVRDQILQSENYQPAQ LQCYSCRKFDHLIKDCPILHFVPDKEKVIKKEYFPIQQQRNVTYVRQRSDRSYYATLLEM KKTLTIAREYQTNQLYNENPSIVEENSDVSYDDNDDYPYEYCKISHLFIAYQNKSQSKIS IKQSQSRQNTQNETNHNNTRNLQPIQESEDSDHSVSPNKKRNSAAKNTIQTAGFGGQDSF QNKLKVEDHDINIININQGYLSTNVKDDKRHSLKNDRLLSPVDIPNRINKKQTTNIRKQN REESYQPFSTQTQVDHNQEKERPVKRKSKFKDTQGEIIHMSSVQSVDYKRDSIDTREDKN ELKRKTTKTQTNRSRTAKTHKTTKLLSENPSQEISIPIFEKQSTSMELEGFENMKNYECY YTWNNPKVVISKAIRILTKYLERKRNIVHSFSSYTFSAVAINQIIRIKKKLKLLEDPLMD EKRDKKLMYTLNKTARSRVGSKRNSQGFGDDFSSLFQKKPLYTGQTQLSQRISMSKQVRT DL >CAK57520 pep:novel supercontig:GCA_000165425.1:CT867992:201225:202666:1 gene:GSPATT00028555001 transcript:CAK57520 MKQLLLLSLLAFAAIADYEYDGDVMVLTEETFDQAFNEFDYLMFEFYAPWCGHCKELAPK YAEAATALRPEGIVLAKIDATVQKKLAEKYGVKGYPTIKFSAKQSVKDFEGGRTADGIKN WIYSNLNPESELIETLEQLNEAIAQNKVQFVYFAEEQSEKDRELRKYKEFSFTMKQHFAH TTSKTIREALNVPKGTYFVGFRDQKPYYYQGKLSFPIMKTFVENVANERVQAYSEKKELL EERMTKSKPFVVVFTDESEVQVAAQAWSFQDELLFIKNVDEEFARKIQVRPQDQKGVFII KGDQRYKRVEGQSVGDFLEAFQSGKAHKYLKSQAAPQQQGLVRTLIGDTYDDTKAVNDSV ILYFDSQNEEHNAVQEQFLKVAERLQQNKQLTFNKIDLSQNEVSDLTESVEIVKIRLYKN NQPIKFVIRANKIQEERFIKWIVDNAASKVVDPKAEL >CAK57521 pep:novel supercontig:GCA_000165425.1:CT867992:202727:203486:1 gene:GSPATT00028556001 transcript:CAK57521 MADQLVLGYWGIRGLAQPLRYLVEYLGLPYEEKRYLKPEEWFGGLCQAPFNTHVLVNLPY IKDGEKYVYESAALYVYLAHKANRPDLLGSTPDEQVAVAQVRGVIQDALKGFFHLITLPE DQYAAKKEEVYANEVAWLIERLDKFIDGKTWAAGANLTYIDFGLFELEETLKAFHPESWA KFANLQKHHQEFSNIPQIKEYQASGRFLAGPFYPPGRFRWGF >CAK57522 pep:novel supercontig:GCA_000165425.1:CT867992:204178:204593:-1 gene:GSPATT00028557001 transcript:CAK57522 MFYLRSNLISFYRFATQPKIAFIPQEQFLGEIGYLLHKVEQSFNKLKEYENRIEIRSDPL SLCVSVKNLGEYNFTTNVKERRLYLQTPFNLFQYYYDEQADQWKSVKDQHNMIENLTRET GKYLKGYLEF >CAK57523 pep:novel supercontig:GCA_000165425.1:CT867992:204599:205569:1 gene:GSPATT00028558001 transcript:CAK57523 MILEQIQDYPIFQSLLYVLGLLVFLKSLNLLNFIWRLIRPSSNLSRYGIGSWILITGASD GIGKQLAIQFSQYGFKIILVARNKQKLEAVSKQLKTESLIIVTDFSQSTDKNIFDQILNQ VGERDVSVLINNVGVDVLNRFHLLSDEEIYNTITVNCFPITILCKRFIPRFLKRNQHKSA IVNVTSLAGKIPTPYFNVYSATKAFGEFLTSTLSAEYPELEVFSLSPGEVSTNLTQNRQP SFLTISAFDCANGLIKRMGQNTSGHWNHEIQAAIQKLVPSCFYNFVLGKILAPKWLKERS QHQNDKKIK >CAK57524 pep:novel supercontig:GCA_000165425.1:CT867992:205603:206134:1 gene:GSPATT00028559001 transcript:CAK57524 MAIVTQSTDNSSTSPHGFVPQSKEKESDTFNLSWKALLRKKNQTKRPIFKTAPRLTESKK SKNVPKNVSKAIIQQILNKNVMNQITDQDEFLKFIIRHKKIQNLANLIKITRPHKVEKLN KLQKQFRQICWNFLKKEYIPYVFNSKIKNPEGHLKYRIQFMKVFAQQQ >CAK57525 pep:novel supercontig:GCA_000165425.1:CT867992:206582:207558:-1 gene:GSPATT00028560001 transcript:CAK57525 MQLRSGTLKKPPTRICVNSYQAYYAEKAAQMKKDGKMKGKEIQSKIRDMWKQMDEEEKEK YEDDFEKMEAKYKEDLLLYYGGSAQDLKKYKALMEVPEKPKKPVSGCLVYIAENRRAYSE ENPDSSFGQVTKALVDQYNRLSNKERKKYDDEFEKKLELYHKQMDDWTKKYSEKREQFDQ LIEEKFKRSATRQDLQYQELPPYKRGPRKMKDDEETAQQKPEKDEKQDQMKKEDKKKDDK DNKKGTKNAKDEGKGESKKMNDKDQKDKKDMNRKKSVGRKY >CAK57526 pep:novel supercontig:GCA_000165425.1:CT867992:208248:208433:-1 gene:GSPATT00028561001 transcript:CAK57526 MKKAQEKQQKKEISTSPLLIILNKDEEIDDLNSPTSPHLSIPIFSPTKFIFPNLKYQKQI K >CAK57527 pep:novel supercontig:GCA_000165425.1:CT867992:209058:209611:1 gene:GSPATT00028562001 transcript:CAK57527 MIRNPQNPIVFFDVAIAGQKVGRIRMELYADVVPKTAENFRQLCTGEYKKFDKPSGFKGC QFHRVIKDFMIQGGDFVMGDGTGCVSIYGDKFEDENFQLRHDEPGLLSMANSGPNSNGCQ FFITCTKCDWLDGKHVVFGKVIDAGSMQVIRRIETTPVGPQNKPKQIIIIEECGEL >CAK57528 pep:novel supercontig:GCA_000165425.1:CT867992:209667:211246:-1 gene:GSPATT00028563001 transcript:CAK57528 MLGSRRVRSHESSLTNLDAYNPNSPVRIQQYIDPHQFKTQKCQIQHQINQKKFCPFFHDE TDRRRDLKSHSYKCQLCPQADNCPQGDECQFAHNKVEQVYHPNRYKTKYCTHIKDCDYGV YCSFAHNDQELIIPIKLDGMVQDKNFWMYQYKTVWCPLTTNHDRASCVYAHNAQDFRRDP KKLQPKECPHWNKTNQILNYDKGGCPDQEECQYCHGWKEFEYHPLIYKTKPCTQTNCNKK LAECAFYHSDQEKRVRKQLADNQWIIEEPNIHVEAKRQPYKPTSNYLGPIIPNYIPQDYM SKEKMEIGQPFCQQSITNTKTSDSHSRRGSDFSDGSKMQKKKHNIQQQFQPQKKHRTAPT TPDQKQLHIMGNNYTIKAQQNVQSNSYLSYSKKLYEEILKLNEGECIYKILQGLKIPEYK LMQMGDQEIKQLKLNEQQIIQLTSALAAIKIEKKYDEHCGDELLSLISNQGKF >CAK57529 pep:novel supercontig:GCA_000165425.1:CT867992:211354:212079:-1 gene:GSPATT00028564001 transcript:CAK57529 MQRDLTPQDVLPLIVEYLRSIGLKKSAKQIDSLYEHDETPMTNKDLQKIIKYYVEGHPKL AAKFVAEAEHDEQEEQEEEETDQPKEEAKNNKQSAKQLTDKRTFIQMNIPITEEVEEKKE KKRFFQKCDETVYEKLPDHLRDNTFEAKKKFGEGDQYGEFGNEKLKFTRGDNFKKEKGKL KNRQFQGMGSINLNSINSIKL >CAK57530 pep:novel supercontig:GCA_000165425.1:CT867992:212123:212675:1 gene:GSPATT00028565001 transcript:CAK57530 MQQQQIQKQNQAALNQEQELKLKQQQQEILSNMYQINQQSANSLVTLHNQGEKIDQFEQN LDQNQQKLHKIDYILDVIKSPWGQFKALFTKPKEEKVEDKKKDDQKQQIQQPPQRQVDDI YDEMINQTNQMKYQQLLTNQAITNQNTQLDRINQKADKQTVQMSKQNEKTKKIIK >CAK57531 pep:novel supercontig:GCA_000165425.1:CT867992:213036:214461:-1 gene:GSPATT00028566001 transcript:CAK57531 MQHGKYRVALQFFGRFKNFLETNNLKEKEWVDVSRRIVYSNLQLRRYEDAEAQLEEIIRQ FLRQKANYALVYSAYSDLLTHCLKYNLNKAILLGKALLSEMQRENVPLGYQKQYLYFLGT AYLLKENYTDAKSRMRECLASDPSNQLKGWAYNSFGCGFMVAQISNDDEEETGPLQSDIP VENIDADFENVIPLFKKSIYYIEHSNNQIKTGLEWLLNDDLLPQDKTNLTKTQFKSIHVG KPLLNLSEFVLNKAPSKRTELQFWLKTTINFYEEQDPTNMDRSLLFLAWTCSTNKYFDRA ESMYRIVLQMLENSDSYNKVLCMQLLGSMLKKMPNRSKLIIKAQQIAEELPYWSNRQVHI IIPDFEI >CAK57532 pep:novel supercontig:GCA_000165425.1:CT867992:214640:215589:1 gene:GSPATT00028567001 transcript:CAK57532 MSRNHRISEGYAYAISTNWYRQFERFLQNPSGVDVSRFNKIQNANIVQRSMNKSVSLKQY SLPTYQQINYSHVYGLKPDAQHLVDFRVVSIDVWHFFKQYYGADYDVIVFVTKLHPQINN YIQCDNLDEGLCVCKEIINIIFVIIFPRNISVMQAILTPISPWMDLMKLRTFLFAMYNLG TDTIQFTNEAYIYFNNKKVPFKGNRILHDIGINHDLQIVMACQNLLMQDIEEELETDGEE QQLEQNLHDKQEFLDILEKALDQQSTLQLSIKSIENIQQTIEQNDFFQI >CAK57533 pep:novel supercontig:GCA_000165425.1:CT867992:215710:216988:1 gene:GSPATT00028568001 transcript:CAK57533 MFARRNGSRLKLNDTQKNFVIKRACSTNKSSISSLNTSNSSKFFQFEDKTHPEDGKLLDL LSENENLQKSQIYHLQMSSFQIHNDESVQQRYALSDDVNNPQLRRNITYNWHYHNDHQQH LCQDTVSFSFSIKKHGIKEMLRAKMIDWMIEVFGNYPTTTTSQTYFRAVGLLDAFLKKTS MYYYDGDVHLMGISCMFIASKLEDIYHIPLSDIVTRVGHNKFNTLKVKNMEQTILETLQF NVYFPTPLDYLQNLFYKCFSLNDNQTLQNIYETCIYILKMCMHDLHMLNFTPNLLSAAIV GYAVREYIDTKQENKKADNLKLNKQSQDSIVKIAKIDFNTYNECQKQIAELINTFKSKYP DLNNLEKFS >CAK57534 pep:novel supercontig:GCA_000165425.1:CT867992:217527:218010:1 gene:GSPATT00028569001 transcript:CAK57534 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGATGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK57535 pep:novel supercontig:GCA_000165425.1:CT867992:221054:223288:1 gene:GSPATT00028570001 transcript:CAK57535 MICVYSTQNNEEMNYRKISSKKIHFNKNNQQQKFDISYIILLDEFLDYVQQKQDDFNQIG FICYQYLQSQVDVVLKEFEKELLQLQDDIKQMIEFPVNLNNEIEVKQNVSQYLESLKTLI SEICTVVNVDESLDKMVIGLLKALNDQRQKMLKCLNQIFEENSQFEHYLKINYKGKLPNE VVQQLLQFQFKLDESDFKVLQEISKYQYNYDVIKKTMLIMSINLKQWFEQFDLYLPQSLA YFGRIHHQKDNINLNKHYVIVLGMTKVGKSTLLNILNNPENIIITQDKKFDVKQKNSKIL LSHKNTSQTFYTENVDIGQFIFVDTPGLRDTHGDNRVFNHLNIFNQINQVRDQFFLFMID GELLSTNKNDLIDSIVQINLILGDQLDDQYLENIIIPTFTKIRDDFTMEQIITKWQTETM KDITDQKQLKILNIIKKAIDEQHYVKIYQAEHYEVHQELNKLELEIQQLKDEICELVEKG EESKEQQAKLRQKKKELEQLNKASLFEDKMIQIKQDILGKCENILERKKIALQGKSDINQ KFEFKLSDEEQPLLNKLLAHRDKFYQQIVNIITNEFIAKMLFDNSMSIDEKFSVRYQIDD INLERIEDIPKVLISEKIQSQIEKLDRFNNNIKEHDKNEDPTKVDFMEFKKKFQFICEAF KNAASKHQILIYKWNEVIQFHKISLSIPNILITVPLVLLMAISAGNELFKNSLFVKQTEK EKEEKLQLIKIQQTYFTQFENKSK >CAK57536 pep:novel supercontig:GCA_000165425.1:CT867992:223653:231171:-1 gene:GSPATT00028571001 transcript:CAK57536 MKSLSLLIFEYLKSKYQNVTTKQIKYKYHLEMEQGECVNMLNKSDNKVKSTLINFTILSL FLKQFERIGVVIYFIVMILHYAVFGATEEDVVVYVLPLTMHFVLQISKALYFDHIKRTQD DVVNNRRVTRFRKLRKDKPKYLVTQQQQQQSSFKKPKISLYENCFWGCVEQGDIIYLKRN EVCPADMLLLDVSDEILQVQTQNFQGLTLDQTRQPTQLTMLKNGRNRIQGFDYKKLLTGS IQYNITESQINGFIKLKKDPKGEYFDNKNIIFREEQIRTCQYVIGIVLQTGNNCFCYLNM KKSEKKSFFVQKSSMFFALTLGISLIVSLISWFLASFAACLPYNQADFNTPTLIFYLLQY LKTTPIYFYNCIDLIEVINAHIKYTNYTGYKSTNIDYLQLNPVSVGDMVMTEFVCFDKTG TITNGEQRIHAIIVEDTMYKFNHNNMIKNQWNTFKDQLQNQIKNDPNFQIEEIDESDADV QSKKVRHTGIFKLTLQHWNSKKMSSCDFNKSELASSRSIANPLDEMEVEIENMRVEEFDD THYKVTPELCNVKPRPFFNKKAIVTAPVQVVGVSQGGISQSQEEELLIKQQSYKEDQKSF ENSRSIRSKKFLEHSQSDMKRQYSAKKSFQLDKAIEEEVEIVYHGENEFYKHLIHGQNKL MYQESVLSLLLCQEIVSRYSPSEDQFMHDSSSYSFDQEVLRFVGLLDIKFLCCNEYNGKV IYIVDFFGEVLEFQVMAVYHNGSNQLGILVLYPDKLQEKFMLINEQDPMESLQYIFILRE ETNQLPVNIDVDKSSREYWDKVFQKLHMSGMRSVIYSKVYLKEKDASIFMSRFQGEEGMS AFQQISKDMQIVQVIGVKELIRKDTKMLINQMKSAELSLYMLSGDELTRVLPVAFKSKIL NQNDILLYLDNENARVVIKNHLTILSQSLKLDESWGSRPMRMSTLDRHHRTLTLEEKQAV YPEVKSYSIILSGEYFNTILQDSSLLSHFQFLLYFSNSLIAYKMDQKQKATIIQIIQTQF IGNKRVLAVGDSYNDNYMFCQSNIGVQYCHYPIQIQQYQRGSTKLKLNRKVTSNKSLDNP LNRKFLLNEMWVVPTSDICLKDYLDLSGLMFFESRIFAEVYDDLLVFSFYRSLLIIYILF FLYATYCSQNQTIFTGAWLTVYQSILLFIQQIVSLKSKLDRQYDKDSITYIEQFKHNIKI FKKKKILSFILKINGNAMLDAALFYQSLQIVDEIQFDTLKQMVLILLILSDIVKLVVSTA YSKYTWITFIATYLICWLMTSILSAYFQNMESFLELFTVSSTWLAFIYYGFTQFCLSNVI EYIQPLFCSSLIDKAQFQEVQIYLQKAQKNGAKRYHNLIKRFAQLAGKVFKKNKDEMDIS IQDIICELKVNQDRINKFTLRFLNKDTEMKFKRLSKLIWIQFERIKLGLSLIFLEVFALM IYSLTYRYEIQSQTYFIIYILSISSQVIMQIIICSGIYLKHFFQINLIIACIRLLTKMIS DFQQEGNYDILLSQCYILIIIFSRLHIPLLNFIIATLSVVGFLRKFSFGTDYYKIINGDL IVLVTLILFISLQYKLHMMEKQDFMLAATLNQETTSMTNVLSILLPKFIRDRINAKGVFE IQENQGEVSILFCDICGFDDLIAVEKENIVNLLDSLFRGFDGLCVSNGMQKIETVGKTFM AAGGLKAVDQGTKFINQSAVKRAVQLSLEMMEYAKKFKFGQSGNVKIKIGVHYGRVIAGV IGHHKPQFSLIGDTVNTTSRVCSTGQDGEITLSNEAYMELNMPDLQFNQLKVNAKGKGEL ITWQIVTSIKRNTDKKVKKKKGLVPKFDASQQDMPTLTKMDPVTPKTDFRQLKVPQQIKQ FDVYPKENNVKMEKEQNVKMEKEQNVENNTTSFSLLKESMQSKDIQPLRKRGQRGPTLIM KAQDGQKIAGAIQLQHSGSQNNIQNQSNRNLPPIVVYQAEESVHSNNLKDESFFEKIQTN LLHDLSQKLRRDLYIEILDEAPDYEIEIEKISFDEDEQFKSEDLQLERTKFYLDFKDSQK ELAQEFMDQKEGINIVFMLSLHFIQFISKTITLLYLQHLQNWLLVFVIRFIVSILLLILA ILQHKKLKHTFYKYQYFLITYFGILISILVEFLLMPEKSVELQAAEGILLICFFCSLSII KIKYKVYFNLCLFISQLLIVLIHYKDGAIAYYTIFQSCMTFIVQYHLFFQDLGTFNNKRS LELKKLQTQNLVKYLLPTHILKQFLSNSNQRMVLVDQFEDATLLFADIAGFTEYSSRVEP EQVVNMLRNLFTEFDKICLTQNAYKLYTIGDCYVAFGIVDVTQRNPAQEAKNVVELGFKM IDIIRQVRSIIGFDGLDMRIGIHTGKVIGGILGTEIVRYDVYGADVMISNKMESNGERGK VQVSEETKQLLEQSYPDQFLFTFNKEVEFKSINRKTKGYFIDPIKNDSNQDFDDMNHFQF VKDLEQYENSAQK >CAK57537 pep:novel supercontig:GCA_000165425.1:CT867992:232125:234196:-1 gene:GSPATT00028572001 transcript:CAK57537 MFLIRQDLYAIKFEKELLQLYKDILLMIEFPINLNNEIEVNQNVSLYLESLKTFIADICI ILSEKDFYKMAEQFKQIIYKNRQKMIQCLNNIVQENTEFEIYLRIKSKDKPHNEVFNQLL QFKFQLHESDIEILEKLKMYQYNEDVIKKTLYMIILDINQQFKQFDLYLPQSLAYVKRII PQQQNANLDKPYVFVLGMTKVGKSTLLNILNNPENIIITQDKKFDVKQKNNKILLSHKNS SSTFQTENLEIGNFLFVDTPGIRDTYDINRGINHFNIFNSITRVSQQILLLMIDGEQLQT TKNDLIDSITLINNMLGDQNDDKYLENFIIPVFTKIRNASTMEQIIRKWQTETMKDITDQ KQLNILKIIKNAIDQENYIKIYSAERYEIHEKVQQLKLEIKSLKDQICEFDIERVDEFMA LQACLKTKIQEQKLVDCEGLFQQKIKQIKEDVLRKCENILKMKEKTQLENSDINQSLNFE LQLTPELKHHIEKLLPHRNNFYQHLSNLITNNFIAKMLFDGSISINEKFLILYKIKNKKM NTFSDISQYLINQQIQDQINELNHVNNIISKFDDQNQDPQKVNFENFQFQLKILLNLFRE QTSTLINGIALCNEKFDLPFTFQKKLNMLYNAIIISKVCEKIFINSVLVTQKEIQKQATI NLLKVQQNYISFLEKTRK >CAK57538 pep:novel supercontig:GCA_000165425.1:CT867992:235081:235468:-1 gene:GSPATT00028573001 transcript:CAK57538 MNEIEQHAHPEIIKVLVGNKCDLTREVQIEEGESFMNNNKFSLFFETSAKTGENVEEAFV QSAKLVLLKYFSSESFRQATRVNKKSGDPINIIKSFSETQSFEGHSPNIDKNQNQSSCC >CAK57539 pep:novel supercontig:GCA_000165425.1:CT867992:235485:235852:-1 gene:GSPATT00028574001 transcript:CAK57539 MNQNQQLELSKEKVPLFKFILIGDQSVGKSCIVQRYQNEEFKHNIKATIGVEFIKKLVQV DKGLVELQIWDTAGQEQFRSVIRGFYRGSARRLHCIQCQLKRII >CAK57540 pep:novel supercontig:GCA_000165425.1:CT867992:235996:237198:1 gene:GSPATT00028575001 transcript:CAK57540 MQFHLQHSSLIESFLTQFGLQKQNQVVEFLITMGIDLANKIGARNPKELYYQLKQLSSIN ITLQITENIKEQTSGDIKNEIKTIQKQIRDLNDLIQISQRENKNAQGTLKKYIQPTQINS NRYEQYEQCEDNYVHRHHYQNKHQTYNTQRSQSKSPVHLSDRTPHQLKHSRSQSQRNLTP KITESPPPPQIVYINNMHNKFDIKPQFDDRQIKKLMYGDSKNSDRGRYFGKGPSPQQLVY SSQTSGGLSYRQYPGQVIHHQTDKQKQNTSPKKLPAYLQNVESKIKPLVDQDKLQYRETQ RDRESNKQQQGTVKKFNNNSLQQQNSAETDTFFDIQQYIQDQKKCQFSPVQEINDDERNE DIEASDTFSNFSPPNEEVKEFFQQEYLQQRR >CAK57541 pep:novel supercontig:GCA_000165425.1:CT867992:237228:237840:-1 gene:GSPATT00028576001 transcript:CAK57541 MQGKAKKGQRKAKAGHSFRQELSEKQKKDIKEAFDLFDVDGSGCTIDEKELKVALRALGF EPGKEEIQNLKQNLNNNNDSKENKNTIDFNEFLQIMTEKMNAKESQEEIERAFHLFSQGN DNFITFENLKKVALELGETMSDDELKLMIQEANSKNPSQGYVTKDQFYDVLSRATNQ >CAK57542 pep:novel supercontig:GCA_000165425.1:CT867992:238361:238861:-1 gene:GSPATT00028577001 transcript:CAK57542 MGNKSSKSKKDCFMTMQVGKRQPVQVIIRLFDQQCPKTCENFRKLCQTKYGGTNFHRCSE NFIAQGGDYERGDGTGGTSIWGNYFKDENFNIRHDKRGIVSMANRGANTNGSQFFFTLTA CPQLDGKHVAFGEIISGFEILDQISEISTYGGDPKELVQILTAGVC >CAK57543 pep:novel supercontig:GCA_000165425.1:CT867992:239654:240182:1 gene:GSPATT00028578001 transcript:CAK57543 MQRKHKYHVYTLHLINEFLCQYFLSVFKNTIYYNVNQKESFDQLSIWMNEIEQHAHPEII KVLVGNKCDLTREVQIEEGESFMNNNKFSLFFETSAKTGENVEEAFVQSAKLVLLKYFSS ESFRQATRVNKKSGDPINIIKSFSETQSFEGHSPNIDKNQNQSSCC >CAK57544 pep:novel supercontig:GCA_000165425.1:CT867992:241516:243072:1 gene:GSPATT00028579001 transcript:CAK57544 MYQYNEDVIKKTLQMIISDINQQFKQFDLYLPQSLAYVKRIIPQQQNVNLDKPYVFVLGM TRVGKSTLLNILNNPENIIINQDKKFDVKQKNNKILLSHSNSSSTFQMEYLEIGNFIFVD SPGLHDTDDTNRCINHINIFNSITRVSQQILLLMIDGEQLQTTKNDLIDSITLINNMLGD QQDDKYLENFIIPVFTKIRNASTMEQIIKKWQTETMKDITDQKQLNILKIIKNAIDQENY IKIYSAERYEIHEEMQQLESEIQRMQTQYFKKKKDDKERQVLEEQIQLKQEELDKLNQEG LFQKKIQNIKEDVLGKCKNLLERKEKILKEKQDIVLNFELKLTPELKYHIEKLLTYRQKF YQHLLNNITNEFITKMLFDNSISLNDKESEIFQTLKIKMNRLSDIPKELINQNIQDQINE LNQFNNIILKYDHWDQDPQKVDFQYFQIQLRVLKSLFIGIDYTSIFQYTILPFILITTIN YLFNNSIFVTQKEKEKQEKMKMLNIQQKYISILEKTRK >CAK57545 pep:novel supercontig:GCA_000165425.1:CT867992:243411:243942:-1 gene:GSPATT00028580001 transcript:CAK57545 MSEKSVELQAEGILLICFFCSLSIIKIKYKVYFNLGLFISQLLILLIHYKDGAIAYYTIF QSCMTFIVQYHFFCQDLDCYVAFGIVDVTQRNPAQEAKNFVDDMISNKLESNGGRGNVQV SEETKQLLEKSYPDHLLSNIQYKFINVLQDLFEYYFKIYFLRLF >CAK57546 pep:novel supercontig:GCA_000165425.1:CT867992:244413:245740:1 gene:GSPATT00028581001 transcript:CAK57546 MSTLQLEQLINYSFKYDELEKLLKTSIDGFASNIQKTLDGIYNNYSLYDKINVDEYLLPQ MGIVLNFNHFSGDELFKKGDFIRAIDFYDQTLQINPENIQAILGKANSLRATQKYMEAEQ IYIKAKQYNKNDKVLLFDFGECLRQQMRIDKAIIEYDLAVQIDENYDEAAFYLGICHIYQ GIWKVQFNGEDGEPIQKELFSYFKRISTLGYDGTYKTLSNALFLSLDGYFEDAMKMIEEV VKTSPQNYEALLLKSFLLFGKEKYNLSLEFLEQIQTQDLFIGFFRSLCLLSLEQYNSAIK LLDKILDSTPENQYAQIFKGVCLLKIKQPKEALTIFNTILEADPHHKAAQSYKGRIKSQF QDQCLEEIGDQNETNQDQELKI >CAK57547 pep:novel supercontig:GCA_000165425.1:CT867992:246679:248351:1 gene:GSPATT00028582001 transcript:CAK57547 MSQSQEQMVRSKAQTLMISLNIALGSLNIGYVVTYLTLSIDTLFAVLGIGEEEKTERLSL LAGILPLGNVVGVFVGYLLKQKFTNKQCLHIADLIGITSLLAVIADYYLIVVVRFFLGVS NGISSYLMPVYIKSICPQQYFGQFSMFVGYGINTGYAIGQLMGIGYIDYSGPSSNWWRVV FLFPTVICILRSCIMQFVFNYDSPEQLIQRGNMEKAKYVLKQLIIQVICSIYKDQYVDEQ FERYQKMATDQQKQSNKSFLSVFERKKLVTLQTGIISMLVQIWCGVFAVFYYSAQIFSDM ADDDVVQKTIYTFCLGLSGFVSQFFTIYMVNKLGNKYILMIGSFLIGSLNLAVSIISRQV TAGNEFAIFILLLLLVMTFGSTLGPAAWSIVPQLNDSDGTFIATEFRWAFQAIVVFCFPF MEKNLKIFGAFLFFAIIDFLYFIYCHFFLIDGRGKTTEQLIAAYHNKFGYSVVPVTNQQL SNIQTDLQQPQEQQLITQQQGNPKNENEK >CAK57548 pep:novel supercontig:GCA_000165425.1:CT867992:248427:249613:-1 gene:GSPATT00028583001 transcript:CAK57548 MDNWRQNNQRRFVLDNGSYQIRYGNDVQKHKQLNLIAHLKKTGQMIYEFDSLFDESQVMT YYKPHVRGVLVDFDKQINIWDKFLNPKLLKDCSITYPFQPFTPDQVVNKLFDVMFEYYNV DAFCPINTQKMLYYNVLAREGVSRKQFTYIIDSSHSATYLVPIFDGEIMYSGLRRIDIGG RLLTNQLKDNISFKQFDLKNYFLLSSKIKELLCFVSMNYFQDMKKPKNHFRKYYILPDFE IRREGYAVDLLNVGFLDEKLTLDKERITIPEILFRPTEIGLAQKGPTETFFDSLSTIHKD IQGYFAENIYISGGNSFFSSFHNRLSNEIRQNCSVDWNVNLTHLDDIDSVFQGSLHFNRS TEFQNACFTKAEYQEKGFENIKQYII >CAK57549 pep:novel supercontig:GCA_000165425.1:CT867992:249655:250536:-1 gene:GSPATT00028584001 transcript:CAK57549 MQERNCPCCCCTQNENEFVTSKDCQCIYCYSCLVEQIKVKREEKCLACQQDLDFLKIFHD TKYEPQIQEILCIKYLQNSLDVLPCPKADCKYYGIIPDDCDGNYECEKCHTIWREQNITF FNKQKLFTRVMQFFLTKQCPQCNVLIQKNGGCPQMKCQHCRFQFCWDCNQDTVKHIQFNC MLIKSFHIMIFLSWGLQLLYTMGFFDIFTQIFGFIVHYLLICITCPLLIILPVYSIYLAF YKKKFKEFAMVFVAILPTLHFKDFLELDWDTILSVSVFEIAVMVLVIIKKYNK >CAK57550 pep:novel supercontig:GCA_000165425.1:CT867992:251079:251524:1 gene:GSPATT00028585001 transcript:CAK57550 MEQHKQYCKISKQDRRQIIHEVLVNKRLILEVAREFKVLPSTCKSIINTYIKEGRIGKKE RRIRKLKKVTAIYTITLNPLNPLSSTISTECEIAEVEQPSLQSNVQQQELPQKKPYDFLS QWTENYIQDYKKFKFGAKYH >CAK57551 pep:novel supercontig:GCA_000165425.1:CT867992:251572:252554:-1 gene:GSPATT00028586001 transcript:CAK57551 MLKIEEQNYSRQLIKQDKELSQFIKYLEMQNDVAEKNKEKEFRMKQKESLILGQRQEKKQ ERDFQARLKQLELSTIREKYDESQMMKNQSLEQKLKNQESIHNQILQAAKLNREDKANIR NLHLRDSVDQAIAKANQIQQDKVDHFNYQQIRKDQYLSQIKSEKNLKQLEKSMNFQIKQE LNDSNYKKAQDLLNERIYKTLNKLDQKDSLSQQIQIENQRKLNNKLFSQKASLENGLTNA DYAKGRFEQNLNMKKSMTEYKMNKLDQMMREKQLLQQQERETRVMVEKKKYQLKEEFERK MNLLQKQKY >CAK57552 pep:novel supercontig:GCA_000165425.1:CT867992:252584:253140:-1 gene:GSPATT00028587001 transcript:CAK57552 MIKRLSKQILKMPQLYLTTRMIAPFSQHNHNHSHDHDHNHNHDHSHPDFQPQQKAQPQFT QEQIQQKHKEIDNIIKSNQVVLFMKGTPAQPMCGYSNYAVQVLQFYKVQNYHSVDILSDP LMREEIKKYSNWPTFPQLYVKQELIGGCDIMMEMHKEGTLKELFNKI >CAK57553 pep:novel supercontig:GCA_000165425.1:CT867992:253316:253916:-1 gene:GSPATT00028588001 transcript:CAK57553 MQIKKRHSVNPELQVKNLNGQYKSVCKSQHMIVTKDILPSPIKLIRKQGRHTQSFINTHQ SLHICNNPTYQLIQQCIAAAEMIKEKHKTKTTHKFFHIRSCSVPCPKIQAINQLFDSLLD DADSQNIKEQKNLEQIKMLILRVEIQTVMNQLIEEKKQKQKAISQGESLFKQQDLSIQYL KSRIQQYKQLV >CAK57554 pep:novel supercontig:GCA_000165425.1:CT867992:253953:255958:-1 gene:GSPATT00028589001 transcript:CAK57554 MSLNAQFPKQVPFLPGHVFSDPYKESHHKTQQFSNINGTVQEKTNFIHEEFDPNLLDSMK MGTPPNLTYGSRQPPENDYIPRIQPPWLKYDRAVLRFYSYFQESVVENPNENYRIRQVII YYYLSDGTIHVTEPRVQNSGIPQGLFIKRQKIPKKLGKQDFYTWDDLQLCSNINFYDRVY RICDCDTYTREFYEYMGKPLSLPEQLPRDNFKAQKETKDLKINPPDTKEYKEYFEVKLGG GHPNGGLNKYLSNDRKVLSFDIIWDDASIEGQLNYYTLNYYLADDTCEVKEVRKQNSGKD PYPLMLRRQKIPKQPILTHYPGMTLKKEEFYSPQDLICGNQVRIYGRDCFIYGCDDFTKE YYLTVLGIQQKPATLKQERGKKFYQPVPPYNGYGYEEDSLGSVYSLQPKPPKKDVRKNFT QDQFILRFESRLISEVREENSRRFIISFYCGDDTVQVYQTSERNSGIWGGKFQERSRQKN PLTNDYYTEKDFQLGAIVQFNVYKFQLMRADEFTVNYMKQKSDVFKEADIKQIIAKLRLF ADNYPNFDSFLLDLMKKLDKQLKGQIEFEELAAGLQELGFNLTLQEQYYLMREFDVSGEW KLNMQAFWEGIGGKRS >CAK57555 pep:novel supercontig:GCA_000165425.1:CT867992:256100:257098:1 gene:GSPATT00028590001 transcript:CAK57555 MQQKIVFDTNSLSQICPVEQRESYILLGQKLQEAKDFEDKLHHMNDEDHEWDKLQIQLDC TPHNIKNSKYIIVRHALAWHNYFKRATEFRDRNIYSYELMDPPLHYLGKKQCEKMRPEIN QIEFDRVYISPLLRTIQTAQLLFQDHPQKDQIKFILCPHISEKISSQYSIYKWGNLTNIL QDVQSESFNQNNSPIKFDISELPFEKEFWQFVLIGKQEKIQGLKPCKEDQEQFLVNKFVK KGQNITLEKTKHAEKRVKVFLEKFKNNQTNSKIGIVSHSQIIKLILSQTNKIVETKIRNG TVIGIDI >CAK57556 pep:novel supercontig:GCA_000165425.1:CT867992:257624:258900:-1 gene:GSPATT00028591001 transcript:CAK57556 MKTQFDKSRIVNVYSPNLPPFKLTLTFKTTFNDVRNQIIQGWSVIPKRVRIFNQNGHELI EQDLQFVLDGNKLYITLFGQDLDASIIFQEYEIVSEIGKGGQGTVLLARHKFIGSFVAVK ITRDIEEEDSIIKRESKILKELQHRNIVKVFQTLYFSKTKECIIIMEYLDGGSLLQLIRN KGTVSEQEAKIYIKQIVEALLFCHSKTFVHRDLKLENIMLVNQGNTWVKLIDFGLAGVAG TQRETETMNVGTLPYMPPEVLNGKLKFVSPCVDVWGIGVIMYSLLYGKLPFKGRTNEEKV SNILLCNFQHELSVSEEAKDLINRIFVPKNNQRISIQEILAHKWIGGNGFTQFRRLLKKQ ENPYIKNSKRKNDFEIRKKSVYDEQKVRISLPLIRYRANSQETIIDISK >CAK57557 pep:novel supercontig:GCA_000165425.1:CT867992:259036:261157:-1 gene:GSPATT00028592001 transcript:CAK57557 MNSLNFGSTIEIPQLIITELNKLNKEVVVHSIVTKTNSSAQNLYSQLNPNHNIQLNGLTF MKTEQFVIFVVSIEECQKQITTILLFLYVVSQYMHFVENEQDSILKLFDQLPIQSIQKQA PKVSKQYQSLLKHFNMSKKHCKLSGKPKMIVRFKMTGIILLNLMLDFCEYVNSNRKINGN LFVGVFNRECYRQYEDVRNKYYQQVVKIQSDQRIQDMATLFHNLVDIQQDVLLKLLDSSA CSEMKLTFVEFLSYCKDNQKKIMEDNSTESEKYCNALLQSYLNLFITECQNVEEVIQQKD IFIKQKWASFEEILDGYLKDAQGPNKHMINEQITNIIFQECDKWFESLNSHYMFELNKSK AQLMQTVENYQELQNRLAEVESKKFELEDMNQGLMFELESQKRETEKITRLKELAEELCQ NQSQELKLKLSKSKDKNKKYKEQLEKIQEDNRRISYELNEKKKEQINILVKVQNLEKALD QTIQKQEQDKFEKELISLIQLFKERLEIASRDSISSNSRASTDQSSFRVLEKLQQKYDKA KEKCRQLEDELQKTKHLNETLQKKNQDNEFQIEQMKNELSTRLSKEEGDKLVKEQKQRDD DLYSVLKSHKATIEKMNEQHSQLQQQFYEKSIQFSNLEFQRGNTLTILREALKFQMKIKN NTLVSILKSMPPEQSQEIKEILHSVGLKL >CAK57558 pep:novel supercontig:GCA_000165425.1:CT867992:262330:263358:-1 gene:GSPATT00028593001 transcript:CAK57558 MFIVYPQPQVYIVQQTVPIYQSLPLIRPPTSVQPQIRSQIPAQIASPDKSRVTDDMIETI NKKIEMIDSRISQIQKSLPPKQNQNGNHTKKQYTNTSQDEFNSPVTPKRTGNFKSYFLNK YDNSQERIRISKKILEEHERNTKASKNTQSSNKRDQSFQSQTNNKQTTYDINKKTTITKK IIYMGEEALYEGQTDERGRKQGIGTLFDKDGKIIYTGQWKDDVFWGKGKLMFRESKSQKL LLKNFMNFSNVARNRETYSGNFVNGEIDGIGQMQFIDCFDSYFVFYGTFRKGVFHGKGCV LSNQGKESLEGEWINGRHT >CAK57559 pep:novel supercontig:GCA_000165425.1:CT867992:263358:264510:-1 gene:GSPATT00028594001 transcript:CAK57559 MRIAILLVLIAISAATETHLTAIKQLREKTRITPFSDYFYGMLELHQSSKLGEVVTIVQS MLDKLIAASGANEAEHSAQQANFEQQISKFENTLETTESELSSVNQQINDLTSDLSSLTQ SLSFQGQQLLLLSNQITQLSDNHSEEVRAAEEKFNNNSRYLAAVQEVLRFLGDALLGNTS LIERERAIEAAKQALGDKHPIAIMIQMTSQYDDNTIRRVISKLEGIATNVQERLDAINTS STNSEQQYLTLRSQFETTYSNLDKDKQFNQRQATAKDNDLASNKRRQEELQELITTTKEL LDQTRVASDNENTSYTSKRSHISEEISIVQSALDLLSQLPAQ >CAK57560 pep:novel supercontig:GCA_000165425.1:CT867992:264684:265351:-1 gene:GSPATT00028595001 transcript:CAK57560 MQQTSAYKVVLAGDSKVGKTSFFLRATKNITPQQPKSTIGVEYASKQIVYKEQLVTLKIW DTAGSEKYKSVTSNHFRQSQGALIFFDLTDLYTYENIQNWLKDIQNYADDSVTIIIVGNK LDLVNEAQNLRCIPLEKAQQMCKDQNLLYVEISTKTGEGINELIELLVKNLQARKIENVP EQERPRQNCCH >CAK57561 pep:novel supercontig:GCA_000165425.1:CT867992:265351:265816:-1 gene:GSPATT00028596001 transcript:CAK57561 MLSSLKQMLLKQKEILVVIPAFCSYYLVTQHLISFELSEGQSMHPTVKDGELVVVQRGFY RIKQGDIIIAKSPVRPDYTVCKRIIHLEDELDPNGNKVPKNHAWIEGDNAKVSFDSKFHG PIPINLIQGRVIY >CAK57562 pep:novel supercontig:GCA_000165425.1:CT867992:265880:266682:1 gene:GSPATT00028597001 transcript:CAK57562 MQEQDNNSFFSYARQLLDQQSQSIQEMDEHKDSSLDMSQLIKMQHTIHDNILISPEPSKR DDSLLLSSDEPCNCSKSECRKMYCECLAKGRLCSSACRCENCQNRTSNKKVLHVIKELDL NKNLKKIKSKRFKDGCTCKKSMCLKKYCECFHSGKSCGYGCNCENCQNQLLDEAQKNICT PNQKKTSKFSSKFESANTNTRSEYYGMVLKKQELEEYEDSDDSLDKMNTIVIMILGQLIV >CAK57563 pep:novel supercontig:GCA_000165425.1:CT867992:267590:268570:1 gene:GSPATT00028598001 transcript:CAK57563 MNFNISNRLRPQTAYSMSQKELLSGKFSQNDQQQISKLLEKNATYFDHVKGLVYLYIIDQ CVCGLCVCGGCKCGLERLKEQQGMPLKSQYQQDYVEKQPSRIKSISQLPTYKDDYAMKFE TTQRVDFKDPKQRPQTSYKPEQPRFVQPFHAGSTYQLTHTKMPEGEKVNIIPQNHPTVMK DLQFLGSSEYKRNFSGTPITREKNLIFGAHSSFGNPINPGLPFFGSTTSSRAFRPFKAKK TRPSKTTTQLQSVPTFDGQFSTITKLDYVDKSLQICPARAVLNYKKNSNHSGF >CAK57564 pep:novel supercontig:GCA_000165425.1:CT867992:268637:269723:-1 gene:GSPATT00028599001 transcript:CAK57564 MNTQKAQPRLYSSKESLLALKAHLSAKNAKLPKSPTIEDYITYIKKLSNRLKQGPLDFWI PNVYRRVIQVIRLMSSEDGFVQNKDKEKNFKALGLTIQRSSSRSKTTIETGAETGSNAIQ QYVILPAIDQVLEEMESHSDDINTFASTHFFTNEVLLVYEYSTTVLNFLLSAKKTRNFEI IVLESETENLGKQFATDLGKHQLNVTLTPFTNAYAIMQRVNKILLGVDAILKNGGLLMHP GTYAICVLAKQFAVPVIVLSGAHKLTPKYAFDQTTFNELVSPLKINPNSTIEQMSIGVFS YITFDYVPPEYISLYITNQGQYTPQSIYQLFSDFYNVKDEDI >CAK57565 pep:novel supercontig:GCA_000165425.1:CT867992:269897:273621:1 gene:GSPATT00028600001 transcript:CAK57565 MIYKLSNQQEMQQYSPNYVGKFLCKFSTDEGFAKRNNPKQKYKLNILSIPLQKIKDIKFY QKRLFVIGGGALQEGRTLLCKVKKGEEEIYKMYNKYGYIEHVFDELWYFLINAGYVKLRV NFVDLGPEKKTITLAEIQVFITDKALNDNYELKILRYNRKTGIKNDMPKYEKYQQQQLFH QEEIRMEHQRFARLSLLLMFDFMNIQVETPALVKSDKQFKIFFTQYCYFHERYKSQPVNQ LMKSYHRPIIDSQDYFKAYINNKHFYNKLFSLELIKKLALSKQGSSKYLSNNQPYKPKME KIHPLFHVQFQRVAVAFYQAILSFIRRSMSSVVKQQQPSYSDYVLKTIFLSTIPVQNNYL NDELYQDQHQNFIEARETISNNLNEDCGVELLSDEKVKIYEDSKNQILDYFYVDYVVKVD KNKNQRDYETLLKQEQESYYEETKLQQKKQNEDILQQDLNNIFVANIQLQEYNTPKQMLS QLKQHQKQALYWMLLREGHIIDQTQDQKQKLSPLWQQLKLLNGDTIYVNTFTGKISKEFI PVQETKGGILADEMGLGKTIMALALILETHKKGQQTLIVVPKSVLLQWEKEIQTHSKPRS LQVLVYYKQQSRSQKIKLKDYDIILTTYAILASDYSIWTQINEMEQEQQQKLDQPQQELE LEQQEQQQQQPKQQQQKQQQKKQPLKKQSQKHKHSISQEEDSSNSMQSDSISDQSISYSI SLNKISEEQKEEGKPQQKKKKLTQKQLEKAKNENNLFKLNYYRVILDEAHNIKTRSTLQT RSAISLQSQFRWCLTGTPMQNKHDDLFSLLQFLQVETFSEYFWWNTYINKEENEDDQQRI LAQILQPIILRRTKNSQQFEGLQQVIENIHWVELDQKERMLYKKLLSGSQNLFKSFVKNT SNQSYVHIFQIINKLRVACNHPQLALKDINLQQTPLEKVLDKIDKFFMEKTHNGNKITEE YKQNLIENIKNGSITECLICTKSQISVFSLSSCGHIYCKECFGETVVKLKNCPSCRTKLT IQDLIDVVVENENVFEELQSLQFGLSSKLEAVIKETKVIKQKKEKVLIFTQWIEMIGLLE NQFKDSGIIAYRITGSMTVDKREKIIKNFKEQQDVTALILSLRATSTGLNLTMASNVFLV DPWWNPAIEDQAIGRADRIGQQNQVKVVRFLCRNTIEQQINLLHQKKKFYIKRALSNNQQ KEQELEDFKFLLFQE >CAK57566 pep:novel supercontig:GCA_000165425.1:CT867992:273659:275469:1 gene:GSPATT00028601001 transcript:CAK57566 MHNDDELEFFYNQNKQSKVEVVSKKNTLKETKNSKTNSANEKNLNSNLIDKNQQQQQSKT IPMISMKAFIFNFKKFYKEKTKIRNLISENSAKQRNISLCIYLRNYFNSYKNIKTMLLQT KIQEVRMLQRFEELAFRNIAEQEDTRIYVKNQETDQIAAMCRESLQELFLQKESAFYEKR VVCRYLGTLLSNENYTPVGYPDLVNKYKDQQALNSIYLQTIGNFIKKFSQTVKGNYFKLV DIAHFLYEQKYRRSDVEQIMKFIQKTKEIYNVSQNKEKQAQIDGDRLLPFELISNAFNIL SNKDKTNFDLIKELLSNIRFLINDQIKIDTVERVQKVMEHNIIGDYIYRYFSDLDEQISN LLTYIDQISQLLCSIEGLNLSTKQDQLEVLLCFIHKIQGNQIEERTAMMAQKHTTILSDL LNQFEQTVEDSKIKKDKRQKQQAPQFQMPNVKQVLYEDDLRDEQIMQSNIANPYKLSTSD MPIRKPKVININQIKPQPNQMAVNELQEAEEFVNGYLEKDYDKDELILSQIQSQKHKNYE KKENTQFDSAQRDLLYEREFEKLKESSNAKSKDEMILTLKSQIKNLKDQVQQYQK >CAK57567 pep:novel supercontig:GCA_000165425.1:CT867992:275546:277224:-1 gene:GSPATT00028602001 transcript:CAK57567 MIPEQTKRVVQYKDSKTTIGMKVTSSNETLLRCLSYDKNILFEPYMVNEIKLLSPSINSG YTDESLKINRTANVNDPDFIMKNPVLVGLANTTSQGFMVLTSNHLLHLFQLDYSQLSNKF AIKSNQTFNFSDLRQTQYEPVSPQLIWFDQKSCALIVFPQQIIIGKLVDGQFSFKNSNIS ISNEEEYIGITKLHKGFVFVARGRLGIDIYSLHDQGQLIFISNLHNQISNYIQNMYIIDF SFGGFDNNYIFVLDKFQGLMIFSISDQTFTLTKWLDSLQEIKGAKLIKTHRNVVMIMVEH NSYNLILEYCIYSQDQKLFYIKTHYLDGKYFDIDIEEQFAIVRGYDGHKIIYHSIHEGFQ VNYNSPITDESWEDGTEFQEDIDQYAIIPQMQSFYLTQHLQQNFLVAISFRSLIFVRFIR VEPYVECKLTNLSTKNSQITVNAVLNSTWCKEKFQQQLVYNQSFYSDLVMCKKELNFSIK VINTLEEEQNNYYYIVIIVITSLILLCLLLVALRIFFTKYRQDQQNLVSVIDQLGKRGYT AEKSQDQISGF >CAK57568 pep:novel supercontig:GCA_000165425.1:CT867992:277371:279262:-1 gene:GSPATT00028603001 transcript:CAK57568 MAYTTARLFSTVVTQTFFRDIQVIGQENIPKDGPLLLFGNHNNQFIDPLLIISNIPRKVH FIAAAKSMKRPIIGHLGKALGGIPVERPQDLAKRGFGTIQRIDGNMVYGLRTEFKSQCKV NDILVANEQEFQITEIISDTQLKINNHGQIDSPIRNLQYKIQPKIDQSNMFEQVWIELSK GACIGIFPEGGSHDQTRLLPLKPGICIMALGASQKFNTKVKLQPVGLNYFKGHKFRSKVI IEFGVPYEVSQELIDLYAKNKREAISNLLYEIESQLKTVTIQAPTYSDLSAVMIVRSLYL PDKARLSAQDNLELVKRFSSAFYKLENHPEVKEVVSQVKMYNYKLKALGVYDYQVMRMEK NTFQDIYKIAFNLFMALLSLSFALPGYIMTIPISLLLNTYTERERQKALANSKVKLSGKD VIASYKILASILIVPGAIAIYTILFHYALKKWNFISKEKQLKLTLIFFLLWPLYITAMIR SNDGLIRHSRKVKSQILFYLYQSKYRKLKKSRELLQDRIRKMVDMLGENVVADFKKKRVF SFDAKKDNLDIDNVFCSLEELGI >CAK57569 pep:novel supercontig:GCA_000165425.1:CT867992:279664:282642:1 gene:GSPATT00028604001 transcript:CAK57569 MNQSPSKEFIILPSSNTSRNYSHCSSRLNSRLSQQMPQNQFNMQYPFQPKDSNSLDMNGL EMESSDPHKMVSCSHQGKYSNNQKSIKLLERGRITSPMYKQQSKDARTEQLIKKFIDKLK IGSKPFAESNCVNQLLQTGSNEVFPEKFKERTKFNSIKNSITIPIPRSSKFREIWKIIMQ VTYITTLIFSPLILIYPKNSESIILLFSQLILTALNNLFNLHTSYYQNDVEITNLRQIQH NYIRRYLIKDVLAYLSFIFILQFCMTHLYITIIAVIVLNIVTIQKVKLHSLLSKQLAVLL AYRFSIAICYCHLFAIIQMSMNHSNIVNESISNQFKIYLIYLHKYLDEYLTIYGSLSQET NFELQFAIFVQIVQTCNRIGAILEILFYFYQNIDTYLLKKHYFDFKAFLNHHKIDSQLKK KALSNYKFEMKSDQLQQSSAIDTKSIQFINSEIYKKIDKTINAKYFQKIAVFQKFSQDTQ ERLIEKMTYQIFQPNEMILKQNSRDDDSLYMIKRGCVKICYTGINNAQTGIKQLTKFQTF GEVSFFTGLPRTSSVICLGPVETYKITRNDFLNVIKNNRSDFEIAQFLKDQVTFENLYDQ IGLRCFCCNSPNHLLFSCDKLHYHPNKEKVLSQCSYNHNQIRRYYQRRVKRTQNSRDFLF QIGMAGQEFQENNCNVDSQILRDLTNNQNYLSSTYIQQNQSQKQVSHMPVVYRTRPSNSI LLNSDLDDVKESCFEIETNKIRKDSPIYGQQNQFQTKGVVKELEREDLDSISIINQNICQ GQTIQQIQGQSIQQIQTNKLNIGENPDRLNDLYIFDLQMLTQQNSPRNCRQNKKSFSYAT QPEVSKMLENFKGSYRSNLLLDETDKNRIEQQQKQQRSRSQQTNNGKQDENILIALANQQ NFNNFFSFQEDEEFDKAHNFCYYYPFFNPDFVIKKYNNLKQWQQLLPNKSRYTISYVLSD RIKEKVSYK >CAK57570 pep:novel supercontig:GCA_000165425.1:CT867992:282696:283332:-1 gene:GSPATT00028605001 transcript:CAK57570 MKNNQKGYLELNQIWLSRQEYDAHKKRLQQNSKLSPHKDLRIYEQVKKTQFINNRKRELM KWQTIQEQNTQILARLAKISNRSPTPPSQCGTPRGRRSLKESKLRIENENNRLLSKINGM QGLIKIRQSNLKQENFQDSYLKHKKIQQNMQKFYFDNNLHKIKLRVDAYLDNLNMQKSYE RSKSQHVNPRTSKLN >CAK57571 pep:novel supercontig:GCA_000165425.1:CT867992:283739:284122:1 gene:GSPATT00028606001 transcript:CAK57571 MEERFNEIPLPPKKENKRKPFGTDSRFKPQQVLRPSPASYTIPTVFETEKYKKKGKSISV FKEKPFLNEVPGPGQYKDTEGLGGPLFSIGMKFTPQKKNNFPGPNHYDQQIKQCLTKYKN FGNTIIQ >CAK57572 pep:novel supercontig:GCA_000165425.1:CT867992:284316:285556:1 gene:GSPATT00028607001 transcript:CAK57572 MFVTILRSTTLLQLKPKLGYNKYLTRLRTVSLAGAVTLAASAYYCYECFWNTSMEFIHHP EAIEKHVLSIFADIKYRPTFYIPHRLMQLAYATRWEKKLDTEFERQLFKLSDGGQLALDW KNKHVVTNKPLILITHGLTGGSETNYIKHAAETLAEAGYQVVCFNQRGVSNCELLTSRYH FHGCTNDLREVINYLQENKQKGQQIFGLGFSIGGSLLLKYAGEEGYKCMVNRIFSVANPY DLLDCSHNIMKLRNKIYDWSITCNFKMLLKQHQSSLAENEKTKGIQIQEALKAKNTYEFD ELITRRLFDFDSPEQLYSNIGCGNYIKDVKVPVFIMHSKDDPIVPQFLVPYDQIKQNPNI IVALTNKGAHVEWFTGLKPQRWIMNPILRYFEEIQSL >CAK57573 pep:novel supercontig:GCA_000165425.1:CT867992:285580:286387:1 gene:GSPATT00028608001 transcript:CAK57573 MSRTNLGSPSYYFSSSAPKLENFQQSPKKEFFDASRQLEKAKRRVQLLKLENEIREKKAQ IQQQKSEQLTRIREDHEQFKEFKQMHREQVNVQIQNLVSRTRNLKRQQSQEKIYIQQNFL QMKQQEVKNIKEQSQKNEQLIRQNLDSLKQQKYEQKQQILTQEQLAQQSISNFHKQRYLK HQQDHYHSKSQHMIEAETKLSIIEELRKQEAQLLQKLETTSKMNTSLVARQISTTTPKTE FNN >CAK57574 pep:novel supercontig:GCA_000165425.1:CT867992:286592:287717:1 gene:GSPATT00028609001 transcript:CAK57574 MNLLSPQNKSLILFFLFAAIAVVAGLILAIIAIAFFPITIVLFIIVKYTPVRHIFTKVYH KIYDYLFYQSEKPRKLLWGFIYDFMCAYFPLNKWRSLNYGYALLNENGRILNNLDEQQEE ERFCLQLYHMVATNCLKLKDLQGKRVLEVGSGRGGGLYYIHSSLKPESCVGVDYSQNQVD FCKTTYGINQKLSFQQGDAENLTSLKIQTFDLIINVESSHCYGNFQKFVQEVTELLSTGG LFVITDFRAIQDIKQFEQDLQSTGLKLETKEDITINVLQALKLDEKRKQQWMTRHCGIIF RSFFSKFSGVQSSRIFQELSERKTLYLIYALRKV >CAK57575 pep:novel supercontig:GCA_000165425.1:CT867992:288202:289246:-1 gene:GSPATT00028610001 transcript:CAK57575 MINIFKSDFERHLQQSSILNNAVQLNVTDLKFLMKGFQEQQTPISIRTIFKKFINALPIK PSYRVKLKILAACHVLLDDLIHGAIFAEYVSDWDGFVDDSYSIEDKFVVFYFQVLQRFAN SIALIKMVKNQRSYTIKQFEEFQNMYYKAINLLNFVFCQTPLFKDILAQNKEDIIYELLL LLWNDVIALYLFLEKLIKEFELEYSSLQTSVFFQIYQLLPDIQKVQNSIKEFYQLHYYHK IEKHIKEPYWKEINEKKLDEIHKYNQIVKISTTRHKLQQQARRRNLTLTPQNNCQQQYFS NTTLGYLKRLSTCEDPFEVSVQDNFGYQFTQQ >CAK57576 pep:novel supercontig:GCA_000165425.1:CT867992:289397:290403:-1 gene:GSPATT00028611001 transcript:CAK57576 MSVAPSQFEKCYKHPSNDIVLFCMSLECRQPLCKDCCKLHVQQHNQNGTQAQLDTVDNVR QQLLNNVHEMKTKFEEERAILHHFNVGESPELMKQIQNKLQKVKSTLMAAVNQYCVQLEE QVKQKIHLQKQSHPGEKKDLHHKLNQIILSLDQKEKMLLQPKYIRGCLMVMSDEQHHDFE QLTLEVDDALKMYLSNAFDVFVHEEKLQKISQAFTEYVDIYQANLNEELEFLSKKIRDNS QVPQMPKQKIVSNQKIAQQTIPIKETKQFHSIYDEKFSGSNFANQSQFKWSYGDKKQEQY YQNIYQ >CAK57577 pep:novel supercontig:GCA_000165425.1:CT867992:290473:291159:-1 gene:GSPATT00028612001 transcript:CAK57577 MDHINKDNLHDLNKKLTEENQRLSLLVYGLQRQLQNAAVQINYVQSIEQKLELLQFEIIQ LKKDNQLLQEQAFVHFEQQMQRDQLQLELDSMKSQYEQKLQFLIKENKRLGRLNKEIQNK QTSSIEASASKLIELSNDLKIKLENFNSQSNNLNQTVVGPKGMTKKIYDNLIQQLQTTEE KSFQITHAKRFPMIQVKEVKQQQKSMVSIPIVSSISSIK >CAK57578 pep:novel supercontig:GCA_000165425.1:CT867992:291246:292845:1 gene:GSPATT00028613001 transcript:CAK57578 MFFQTKEKTSRSVSPANRSDLVTIIPRSQSPTITKEQLQVQICIIKNTKFYNRFYRQEQR YQLLQDQINAEIKALSNKKKITENSYAIIANQLQQRISDSLSRFKSERLDFAQIGAAFTD LKIFQEIIFDSNCELINSDQPLNLRGKQEMLMHEMLWNLVSQDGEHEQVETQMVLCVFRI LMDPVKLTVEETAQLVLDFLNYEVTNYNQKFDQAKRLVIQFRALTQNQIFSAYPDKKKEI EDVKEKHAPSINQKSQILAYQNRQKYMDKQLSTQSQSCIDVLLKKKQEFNREIQKQQLQK QEEKMKECTFQPKINQNYSQNSTIDVVSRLYTQESIHQKRLYQEENVRKTQEQKELVELN QCTFTPLINDGIPISTTNASTPKDYDKMVDRMRKANNRAREQKEKLNHVSTGEQLEKLRN SPFNPPQMVNRPKLKKKPIVIMDVNICPGKQGRLALYEDQDPQDVVKNFSKLFNLNTEMS DILLNVLEQERQKMMKKQCS >CAK57579 pep:novel supercontig:GCA_000165425.1:CT867992:292896:294316:-1 gene:GSPATT00028614001 transcript:CAK57579 MFNTFNSSLLFACQYMLNEPLFGLLRMIKESRLILPPVIKMKRLIEVNLNYLLNLRLIQI LIQFLAPFFQYVISIIKTNRIWKDLVQIYANYIVPFYIWIRIDGFVRNINDKLVTIPIYD QIVIMFQNSILNETTKLKIDQELDKFKTQLPQYAITQFWKNKTLKDQVILEIECGYATGL SYIAETYGPQRCLGIDSSQSQILNNQKVFNHQINLKFENISPNEIKSLYLPSQVDMIIGI ELNNKPAFKNINMKSYLESAINLLKEEGYLIISNFDTKQNLSQLEEYLNIEGLSMIEKQD FTVGLAQAMQLQIAHIKQHQQIQGNWISTFIGKRIQPYEQRLQLLKDRQHIYMVYIMRKS NF >CAK57580 pep:novel supercontig:GCA_000165425.1:CT867992:294978:303342:-1 gene:GSPATT00028615001 transcript:CAK57580 MKNIASICNSPLYLYLGSDEFAIELLQASLYDFKFEYNYMDDKQMLFNVCHYSCQTCNGP LENNCINCQENTNRHYLIEQKKCKCNHGYIDIPDEKVCRAFEDEYSSVYQLEGTRYAEAQ CEFGYFLYPNKNNSFECKICPQSNTYDILCVDCIYYPLTWYLKPICKYDLISEKYTAQSA FEQVKRDKFNYDFYLIDLNSVITLHSGFMDFCDIELDYSCFRTKYYHLGKQVNAKCKPNY YFQNEDCIFTNINCLLASSDGNCLQTKIGMYQYNSNFYECPFTCLTCEHNLESNSLQCQS CLKGYALDDGKCVSCGNFCALCQKYHDSNTDTKYLRCLKCLDDSKYFLSFDGINCFENKI QNCHYAFQALSNDFQVNTLDLNFTPRNDWQNIITTCGRCHFSYVLIMETNNCLLQPDDKC FFLFAERLSNSTFLDEFKLNNLLQTYYDTNDYGELISLAFDPNDFSLFVTSIQYCMIHQA YDEQIRYKIVQFNLQCPGYIENCETCLREKVTYTGIVHICLICKQGYYADRISGKCFQCP SDQNCLYCTQQQKLLKDSWKIYIRAFYQLFINSNDDHPFKLYAQSEAQDDYEIICTFCKK GDELVNDNCIKACPDSCLECQYINGRNQCIRCQLEYQGRKLSLSENECIECPQNCALCRI RSQEEIYLINPFFNNEKYITNTYQCLQSFEDQEYYFDQELGSFIDCINIEQCEKQLIIPI NLFCSQQDFITALNEQQTDYNKEQFKKSNIMLEDLFSGSSFKEFENDHFYFQANSKLIKT IFLQIKSVKPQTCKINGNATIQQIFSQNIFSAINVELQINFNKNTIIQFERTITFQNFNK ITILGGIFQPQSNNYLKQLIFQSLLPQVIVIDSSIYQQISQKIDQSQLIFSNVTQLNINN FKIIDLIQNNIDKFIQITNTSFSKLIKLQNFEILNSILNNQITLFFCLNNQDIVELSDLY INAQFTNSTFVETGNEKQYSKLIIKNINFKIDLLNCLKFMSWLYFNEICLSGISFFNSTI ENSTLIILNSYSNLKDVMIKDNQFKQFSYGILNSNQSFENNLLMEFTNIILEHNEYHQTT KLICFNKYNYGYSYTRINNLIISNNFATSINSNFNLKTQNLALMYIQLDEVYLANINIYR GYGLKEISIQDAKVLRIVSSIITQSDEHKFLGLHQYLDCQLQQVQGQYYLQSLFITSVLD FEIIDMQIRNIQSYNSPVIYYKSSDSITQSQLEKIRLINFVVEQNLLLLSNSQFQTAIIF IESIQQTTLEVKNVSFIGNILHEYVQNNLQISSLLLNFNCILGLITITNSHFSQNTLYNS TDNLIFIKSQQLKMTNNTFYQNSYFNYQYMQPFLLWGFGESEEVSYQQINSIFQVKSNSG VGQFLVENLEIKFCHFEQSVGFQGGALYIQAQRNSIVTISETQFKNISTLFTKDLGQGGS IYLDGTTSETLNLSISQLKINDITAKEDGGFIYIKSDSPIISISIIQLYIRNIYSKLGSF IYVVFSNVPSVQQIVKLNSIFIQNTQDGFINYLNQYTQLSNSDQLALINNRALFFFDSVI NLIIYNVDIDNIFMESALIIQNAQIVSINNFTASHGLINNCLLKLNQNLCIYIKIQINNL QVQNISIVLQLKSYNCEQSTVLDQKTYFQCISNDRKKTAPLNLQQLSTNQQFSYGNCIIL QIEEQYEQEENVILVNENSGLLLFLDLTDLTYLKLNNLIFSSINCKFCQNGLIFQQFLRV EDLLLKQQISNLKVTHSQCGLSGCFQIKKQNSSRLLTQAEVNIQEMNLEVFIDSYVCQYN IAQNGTCLFIENVRVLISNSIFQYNKASETGGAIFVKMKQDILITSSVISFNSAFIGGGI YLFDQQDIDYFHLNTIVNNNQAQFFGENIISSPQKLTVTLQDENTKLSTKSIIKSEDLLI QQVITQQNASDQYQYLHLPSGQQISLYEYFSKSNRTYTSLNQKFRVLALGKDNSIIKNLK NSYCNIDSRRINLSEYQYEQIPFSNNFTNLDSTEDYNLDDLIVYFDNELPPHIVLQLQFI CNSVVVANYNQKYPYNLLSTHQNYKLRINIKTLPCQFGEIKNYTDYSCIPCDNNQGLFST TLNSQKCELKDEISTISVKSALLNLKFGYWRPYFQSNLVSYCLNLPENCLGGWLEGDESC FKGHIGALCEQCDLYNIRGGGQYSISSKYSCGLCAENNRNVIIITSVSIWTLISILISVQ STIKAIQEFVRNFCFKKMGLAVNQRINQSAILIKMLTNYLQIISSIVTFQLKLPIALEGT VNAMGSPIQAMTYSLDCFLSYAFHIEIQYARMIWQIILPLIYISVFLQGYLFLILKKRIS FNVCVITTTFIYMYIYLQPSVIGGFVQLISYREISGYKWIQSNVSQRYDSSQHEQWMLRL CFPLLFIFAIFVPIYFFLGLHRNSEKLDQKKVRLQWGYLYNEYTKSAYFWEVIKIAQKEL MIIFLTYYEDRIIIKATIVLLITGLYLELNKKYKPYKQSLLNQLDCYSTNVCLASIVLAI GIYISQQQNSIEIQIPYQIIIIVLNIHVAYLLISKIVVEYFREKTTDYQDKLDIIRQAIR KLFPSFQKIAFLRRVLTDRNQQRIRVRKYYLKLRGFLIPLAKEMILIKKSQGHHVSIERN LDSNLNTNHLSLRSPSLIREGQQLIRNPHLAYSLNFSKNMTNSYAFDKIRSQQLHQIQQT FDTNHNTRAALKNDQNKDIQDE >CAK86787 pep:novel supercontig:GCA_000165425.1:CT868586:108:1677:-1 gene:GSPATT00039775001 transcript:CAK86787 MNHKYVKAWNNKGYTLNDLNQYEEAIECYNEAISISPKFVDAWHKKGHALNDFNQYIEAI ACYNEVISINPRDEQAWYDKGCALGNLNQYNEAIECYNEAISINPKNVDAWHNKGCALGN LNQNEEAIECYNEVISINPRDEQAWYDKGYALGNLNQNEEAIECFNEAIYINPKYVDAWY NKGCALGNLKKYNEAIECYNEAISINPKNVDAWHNKGCALGKLNQYNEAIECYNEAISIN RKFARGCYNKGLSLASLNKYEDAIECYNEAISISPKFVDAWLKKGYALGNLNQYEEAIEC FNEAIYINPKYFNAWYCKGLALRKLNQYEEAIECYNEAISINPKNVSAWNGKGCALGNLN QYNEAIKCYNEAISISPKFVDAWYNKAYRQCIK >CAK69779 pep:novel supercontig:GCA_000165425.1:CT868073:15:2559:1 gene:GSPATT00038223001 transcript:CAK69779 MLTQLSITINTLIFFIVQSTLKQLSWIKHNLKFFDYQSNSLTECRDGYKFSPNTRQCVEM CNCKDLVSLQNNDCNNCIQNCSSECLVCILDKCYACLEGWQLIEHKCQQICGDNQVALTS NEQCDDGNYFIEDGCNECLFQCGPYCQFCNKELNCLICESNFKLVHHLCAPICGDKIVIS GLEECDDGNDIKYDGCFECQFQCNFGCKICESGKCQDVCKVDEEFINGKCIPIVLIKSEE IDPNQSECKNHCLVCDGANCLRCKQDYILENNKCFSCGNGIITKDEECEDGNRINSDGCS NQCKIEEDWNCIDSLSFLSQCFPIAKISIVFLNSTFNTQYVKLSYNNKVKLNQQDVNFLD FNFNSINIDPTYYNISIFPVIEIVSNVTRNINYELKIQINQQLSQNPILEVKVDLILLDE NDLLVPPSSQQIVLIAPLVLNQAQIEASQNFQKFGYNIMLALGSFAIFAFLLGSPQQFLE ILDILQFYSYLKFINVEYPENLYIYFQSSELISVDPILQFLGIKDNFEDQLGINIIEGFG KFDQYKTNADLITNIYSQFMQVIVFFSLLVILKMYLKFCLKFCFTSYFMYFIRKRKSKAV EWLAIKLYQFNYYIKNYQNFDTVNLIIDCYYANAWDLNFKVLLYLTFNKQSGIRTLVSYL VCLIYFIIGICIVLRNFGIPNNNLDFKKLRNQQHQSIILLKKFIFVLILIRMQESYIAQC IMLSFSTCAYIVFLLILKIANTGLDLMNILCVEVPVILFTLINLSFCKDFNNHFTPDQII RMGFLQIGCLMLGLLGPLFNCVYQFYCKLKSIYKLIKPKKSQFKGAIRNIMFEVTR >CAK69780 pep:novel supercontig:GCA_000165425.1:CT868073:4798:8168:1 gene:GSPATT00038224001 transcript:CAK69780 MSYRVNNAEIEGQHPLLKVTPVRFLRIRLVLTIIISIMTGFMFALALRWSKKLYNWFLFT PCNVEETGTHYRVDTQNGQQFTLEKQQMNGIYYFTFRLLKYAFDPKSQSYQPIEFETDGR IIKDIVANRNVLTQNQEIQYYGKCQLQIPIQPLAEFLFEHLTGPFNILQYFAVAVWFAQN SITFPILILAFTAIAVIVNYILYRRSRSLLQKLANIHQNVILKSDTLRTVNGSELLPGDY IILQEGQQLNCDCAILQGDVMVNEATLTGENVPIPKTALPDHSVKFNFESLNQHCLFEGT SIVKVNSTTENVAIVLRTGFSSLRGQYFRNVLFPAPPSQRFYIQAAKFILSFATIIAIVY GFMLIKYIPMEFKTSLLVLRFLDNIVWSIPPSMPIFFNICKTASLVRLSSIGINGSNADK IESAGRIDTCCFDKTGTLTTLGLKAIKVWTHDQSLEQIANYILACCHHLLLINGELQGDP LEIEMLSFIGWKINFEGKSFFSVKKDQQEFEIIKIFDFSSARQMMSVIATDGSNFYLFSK GSPEMINQQSQDKKQVVLDEVKKYASNGFRVLGLGYRKLSKNQLDLQREELETQLNIVGM FVLENPLKDDTPQVIQTLRNSGLDIKVISGDSPLTTIYCAKISGIIDLNSEVVILDYNSS KRQIVIFDNDTQTNYDENVIENQNIIEEIIRNPKMTALTGKFLEFISKFLTVVNPNRDTS LSMTQNNSSNQIFTENNVIEFDDIRMKETTMKLISKTRVFARQKPEQKKQIVAMLQEMGR QVMMTGDGANDCSAIAQAQVGISFSESDASYTAPFSSKSTSLKCCVQVLAQGKAAIMTII EVFQYQLSVNGIKFAAVTIMFLEVQNFSEFQTVYVGFISNIPLLIFLCISSPTTELAEYI PLDDQFSYQNQIQIYTNIFFAVLGLCINYGILTTTDRFFEYSKPIEKFQREGYLNSIMFL SLMYYFMSFGVSIYVSNPFKVKYYKNILLTLWTTLGFVVAIVSFIFPQRATWCDVINIKE DTFQGFNWVVLTVVIVTSFFGFFFQALLQRYIPSMYKITHKS >CAK69781 pep:novel supercontig:GCA_000165425.1:CT868073:8187:9826:1 gene:GSPATT00038225001 transcript:CAK69781 MLQPYIISNYQSYENGNFSFQIIILSLSSAYEFGYLKFIINYKSVLLILLKCLFCCYQQE LLAKALKLFSYITVDDALSDLLKTQPKGYKPWHPSLNVRLRVQEEERTQEFYSFVQQQIK IKQRGINTQHPMQLVQVQGPEFFENLVCKCSFSPAEAAQEEEEGGEGGGEEAEGGACEAE GSGEGGEGEGEGEEVEFIVKKTKAHGKDFSFLQVGTQSNLNQYIPQTQQVDQLSQYDQRL QAQELLIKQFMEKQNQLTQQISMLQQGQGVFVPSLFPQVVDPNFLTPQQQAQLVYMMQLQ QQQQQIQQQLLQQQMLNPLSFQSSPTIVKNDNAQTQQFQVPFQQTLAYTQPITQPIINPQ ILLQQQILQQQQLNQQQIPQQLNQQQLLQQQQQLLNQQQIPQAQPQQMGQSQIHQQIPQF QQQQIPSTLTQSQQQFQGIPQQSVQQQIPQQVQFQNIQQQTYQQIPQQQVQQQIPQLQQQ VQQQQNGFLQQPQQQQQPVPQFDQLLAQQMTQPLMQPQFLQKQPQIIGGR >CAK69782 pep:novel supercontig:GCA_000165425.1:CT868073:10773:10898:-1 gene:GSPATT00038227001 transcript:CAK69782 MKQGARVSPHQQKLSDKAFPNGKFWGLTDAGCAMASLTPHY >CAK69783 pep:novel supercontig:GCA_000165425.1:CT868073:11577:14933:1 gene:GSPATT00038228001 transcript:CAK69783 MELRLPQYTQFFITINSMVSDMVINKQQKTELEQGILRKEKNLMEIVSKYGSKDMEQQFR DRLVQYSNHRQNKVLLPHIKILDKNQSNKFSVSARQIQFQQEYTIEETKQESLCPFILQL IEQLDTMLSIHTTNGGHLIPTDVQKLSKLRDQINQNLGIQYEQELDNESSVSYRNASDCG RVIQEYQDEKSDQVDTSVQLKCKYYIQIMNQIKQTYAQLKSLFLGSLHTLYLLMQEELSY NNLVNVVKSLIKELTDSEEIYFLIKRNEEWDFYSTEKDSIKQIEKEKQQVFFLNFSHLKP NIIYNFKNNENQTEQLKQVLDLNSQFTELSLIRFINQNKQETFFFFYWNKPCRNKLLRRF INEANEYRFKDEVLCLTNFLIDVILQARVQYFNPVQFADQIFDIVLSFVESSKYILFDEI FRALNPYFKIKKDHVSSENGRQNVHSDNSVRIEMECRNPIALILEEFYLTRQDHQYIYTG ILNLKSKYLRHLRLCHEKIAYYKYFLRSSDTILFDFDKNGRLLFLNHYIWETLKSKYNIQ FTPDQRTPYYKELFLDKRILKHIDLDVFTMKRKNEYIQTEDFEIFLKVVDQVYKGFVIIF HLQEGSRMTEYIKNLNDEEQHLEDEIKQQIQRSYNKHQTFKFISQLQKSNPSVKNSYISL FIPEEQKSLDNLEQQYETNNSFQSFASKKPRLSIQMLSKTIKAFRQQAAKLRIKSIESAL EIEEDDDLDSLHQDGTIDKLEFNIFSYKDNMKTKLVYHIIKKNDWIENYDMNRDVLMKFI KEVERKYNKRKNPFHNFDHGITVMQSCNFLCSLPRAHEYISEIVYFATVISGLCHDISHT GRTNQFEINSKSKLATRYLDKSPLENHHAAVTLKLLKQDKYNILQGLNAEDLAIFRQTLI ENILFTDIKQHFGLIKDFEQRVKEGKENQERVFGQVDGDVKLFTGMIVHTSDFSGAAKVF ELSKAWSEKVNMEFQAQFDEEGTRKLISTPFMKDLDKQEIMAKNEMGFFKVIVRPLWASL NEFYGKQLQNVIDNVENTIINWEKIYHTYNEQAKQG >CAK69784 pep:novel supercontig:GCA_000165425.1:CT868073:14987:16004:1 gene:GSPATT00038229001 transcript:CAK69784 MSERREEDTTLVQAAIPAALEGAKKAEEMEKKIKEQGGQKLQEAERQADEQIAKAQEMIG LTGNQAQVAQQKSLIVAASQYLAGSLLSMLILQLGFFGSILTFIPFLEQLTIILYLVSIL IVQFCPGSVDKVPKNFGFCIVHSASKILLMIYLTLHFESIKFELIQLVFGIVILFLLFQI KKGIAENQDIALVVKKQFFTVLIVSAVISGFLGLLTRSNLFITVILIVVGAGYTYYLQLA LQRFGDHKYLYLDKNDLYMGAAQLDADLFLWCKLVGYHCIKRNEEGAYVPNLEFDEENKQ KEPENQEQNKI >CAK69785 pep:novel supercontig:GCA_000165425.1:CT868073:16090:18364:1 gene:GSPATT00038230001 transcript:CAK69785 MFDKFCIRHSDQILTHFCSNQLCPQFLTFYCTQCEIKQCNKNYHLQQFDNLSHFINKLSL PNFDTKQIEYCQQQMNKIISNFNTIQEKIINDYDKAIEKIIEYIQNRSSSFKTEIISQID FQKEYMIDKLTEFTNTMDKHYNTYKQSYDQTKSILNAQESNVHIEEHYRQVDMTSLQEYY NVLLRMQPELEVLAIENSLQITKEQQIIESFNHNIYKEFQDKIIEYAENILTLLWSKYYS MTSNIHLYDNKTQSIAQSEMIENIRISRSSSSNSYSVFNEIDNIIAMGQQFNNNNTSIKL KSQSYGYTKFNLMTQAQLSIRRNHLDNQQQLPIITPHCILVIKNQHIILTAGDSNTIYVW IHKKESNLWRLESQLQLQSKEQQYIQCMELLYNPFISESRNSKQSFANLKVLLNPIIAVC GQNNIYIVQFDIMTNQITIQCQYTIKDQKEQEIHTVQQCQTMGSYNYFIIGMEKGTMILF NYIISIDNTFTIKIDQIIKQHKDCITSIEIIGDQLIAVASNDRSVSIWQYFNNKLRLSPI KLNRFWEDIPCLRQVYTNSFITFDSNFNITQWYLENLNKIVIINSLQSSKLKQEVQEAVI INNPDNAQDFCIILLIREQSNTNKIVILDRYFSVVSSESLPQQFQSYLSIPRKKHNHYIT NYKMRIINDLAPNKGFSSFVDVKQNEKQPNQQQQQSFSQQQQMNQNKKVNLAIINNNKTN QHFIEISYIIGTD >CAK69786 pep:novel supercontig:GCA_000165425.1:CT868073:18545:19292:1 gene:GSPATT00038231001 transcript:CAK69786 MSAEQWLVLRLDLLPSIAQEVEQICINYIHLCDEVRKGNKQAYQDLIVLQARIRGRMDDF DKFYDRNYADYRIGYFEKMKLRLRKLISPHIDVNRLIYEETQNYNQQYMNKSNLQIISYQ EYHTSSCYGQLIKCLKMQPKRIQSPPNKMTASSNYNSTNKQKQATPIAQNNKKASKQPQQ VKSSQAKAKTQQDELVFTIKMSKEVLFDDQQKQEYLQYIQAKQKQR >CAK69787 pep:novel supercontig:GCA_000165425.1:CT868073:19337:20836:1 gene:GSPATT00038232001 transcript:CAK69787 MFQKMLNQQLKKQSEEQNRRVVTEVEEMDKEIKTLQDISNLKARLKMNQMAKQPFKKTKE EPTTSIYVGKFENQLKSFQQQTIKKQSRKPLSIEKNSTKSVLMPATTERYDYSNFTYTNL KVVGSGSFGVVYKAKVNETGEIVAIKKVLQDRRYKNRELQILQELDHQNVLKMKHAFYTP AENKDESYLNVVMEYFSDTLYSYNKSFIKDFKRMPELLVKIFSYQLLRSIAYISILGICH RDIKPHNVLVNPETNKLQLCDFGSAKRLISGEPNIAYICSRCYRAPELIFGATDYDTQID VWSVGCVIAELINGEPLFLGDSAVDQMVEIVKVLGTPSKEQILSMNKNYDMQQYQFAAIK QRDWRRVLKTKDPKAIDLVSKLLTYCPKTRFTPLQSLAHPYFDELRDQFKAIQSTIKLSA SELFEFSNEEASKMTQQQMITLIPDWYSNTSKPLKTVC >CAK69788 pep:novel supercontig:GCA_000165425.1:CT868073:21132:23607:-1 gene:GSPATT00038233001 transcript:CAK69788 MMNNNNRKPQSSKDTRSKVNSGVNRKNESPQKRVQSAADKSVDSSGNLTEQLNIIVQDYL LRSNCIKTLEQFKIESQFATEQSNETEHIILGHFDRGERDKFFESWSRYIPISQRQDHDS WKLEFYIQIYFFIYPIHPLFKRKGQIDKYSINQLKTYLDTKGGDLSKTNEVLSFYALPYV KNPQTHPSFQHLFTHEWVSDLRIKLKEFIQSIYGSDQHGSVLKRLVLSKEGSTNNQQQID QKRVIEMKQLQQENFELKKKNNQQIQALQELNHLAQKNLTEAQKKWFSLTGEMLKMQKEM LKYIESNKKIPEQVQQFKKKITSYDKFFSQNLEDLVNKSEDISLFNNITQPDHDLSEITN QQQINPVQQSIEEYIPLNYGKIIQLFTKSQNSVLIATVLQALRWRITRARSALERRSVVV AYQTHDLIGTHQRNIILAQHLMFKAAPVIQCQTLKLMNALASDYDGRTYLISNSQLIKLL IELIKKDQTDSIKRKNAIGTLQKLSLRKQSQIWMLDNDIIYVALTILQRKFNLSEYTYEY ITALIMNLSLSSRGRDALSMNKELAFEVLFELIEYPNDQIRTFTNGTFYSMFSRRELRDY AYQLNIPQELPKLLSISEERFKKQIQYMIEQLESNEDDYEQSQLEEDNDVDDLEDDEECP VDDEDEDDLDNNEMVVGEELLKNEFALDNEQAEQQRQLMESIMQKELQQRSIFQEQLRDS QLEKMPIGQGPFIADSLAQINPNQKQVQPQAQAFVSRPKIPRTPPIQQYYQN >CAK69789 pep:novel supercontig:GCA_000165425.1:CT868073:24134:24595:-1 gene:GSPATT00038234001 transcript:CAK69789 MKQVLEEQQRSEFEVEEVPLRIWEQVTNQIRQEIVSLQNQLWQSNIDKVFQKGNGVPKIL QKKLRMMKMGKSNRQCSICCNSFEKDETIIQLPCKHIFHKSCLFSWLDHSTKCPNCRSDV LDLLMSQER >CAK69790 pep:novel supercontig:GCA_000165425.1:CT868073:25179:26260:1 gene:GSPATT00038235001 transcript:CAK69790 MYNNSNQDYYQYNQNTQQQYQQQQQQQQYYNPQAFDTSDGKAQKANNNFGYMGDFQDSYI RADFVKKVYTLLTMELLITLGMIALGLYTGMANWLVQIELDDDVILCYYQAFGPMYCESY HYQTIQPYPTWLFYVSFFVALIMQCALYCGGNLARKAPVNYIVLFLYIVFFGFTLTTFCI LMAMYWGQAIVWQAWGITFIIVLALTLYACKTKTDFSFKIGAIFILCPTILMLAIMLCIW WSYAVYILLCTLFIVIYGFYLIWETQLIMGKGKLKLSIDDYVIGSLLLYATIIQLFLRII EILAIARGK >CAK69791 pep:novel supercontig:GCA_000165425.1:CT868073:26335:27782:1 gene:GSPATT00038236001 transcript:CAK69791 MDQATQDLQENWNEQVETFEELTLSKDLLRGIFSYGFERPSAIQQKAIKPIILGKDVLAQ AQSGTGKTGTFTIGALQRIDPNQRKTQVIILAPVRELAKQIYDVVKGIGQYLNIEAFCCI GGTSTQETREKCKQGVHIIIATPGRLIDMMKNKYLDATFMRLLVVDEADQMLDQGFSDNF AEILKMVPGDIQIALFSATFPQEIIELSKQFLREGTAKILVKKEQLTLEGIRQFYIAIQQ EDQKFRVLVELYKNLTVSQSILFCNSKKTVDDLHDKLTAEGFTVSKIHSQMEQKEREQVM QEFKKGAARILVSTDLMGRGIDVQQLSLVINYEFPRLKEQYIHRVGRAGRYGRKGVAINM VAQQEANLLLEVEKYYNTKIDEMPKDLAEVEKELS >CAK69792 pep:novel supercontig:GCA_000165425.1:CT868073:27892:28602:1 gene:GSPATT00038237001 transcript:CAK69792 MQQTQQMQTNPQSKFLHSPYLQNITESVNQEQILKNEQNLTSKQNTEDNQSYPIIILILC VMILIYVCGVIFEVSRRKKLKKNIEQRLIQENMYSIQNNIVKISQIQDSLQNSLWNLKTI NNQKCNTKLLKFKTIQVHHNKLELQVTQEKQQNLWSAEGNIVLQSNQEIQVHLRTSLDSD LFQKIKSIDYIYLLQLYQGSFNEQENKFLGNCKVYGCQGTQDQNFNGSFELQKVSQ >CAK69793 pep:novel supercontig:GCA_000165425.1:CT868073:29536:30255:1 gene:GSPATT00038238001 transcript:CAK69793 MQQSYDCPICLSISVDPIQLSQCNHIFCSACIVDLLDYNNQSYKCPLCRQLYQKNEPLII NQELAKKIKESNPELYVQRQQQILQQQMMLPNQIKVSVVYGNLYEKIKNNDKNQHQWTLV VKMDYNKESDRVALKNFDINNMIESVTYQLHATFRPSVVTVKQAPFQLQRLGWGTFMIPF LIKFKKEYNIPNLTVDHYLSFQGNGSLQKQITKLDISNVKEYQEISEQQNQQQQLQQQQ >CAK69794 pep:novel supercontig:GCA_000165425.1:CT868073:30414:32424:1 gene:GSPATT00038239001 transcript:CAK69794 MEFNQYKTNEVKSNPKYKGLLQWGVQNGVLMKGVDFPASFGDVIGVVASEDLPSDTVIIC IPQSLIISPDKCKQSTLITVYNSHPEMFDEEETNEAEFNILTFYMFNEKKKGEQSFYYPY IQAIQTSNTLMAWSNEDLQKIEDPLILEEFQLIKQDFLGLWSKAKLIFDNAQDIFGAPRI TDKSDFFWAIECVMSRCFGWALKSTCLVPIADFLNHSNKACTHYMVHSALEKGSFSKSEV QANFQKQYVIKRNNMNLSILGIEADNEIQKWKDEKIKFILENKQCLRDQDLLVDLEGASI NEQRDIINKIHYEQIIQDPKLNIWDLESIASSDSEDNDSDEEVKLEKFQQYEILKIKELA EWKIREEQKRIEKEFQSINLKNQPIVTVTLNPEKKNKVIIRGLPQYQIEAIKAKLLIING RLRKQQQEKILSEVDDVQSREDQQWDWLDEYDQEAYFCITTTAPIKKFQQVTVSYGKRTN RFLICWYGFALLENVYSSFNFRLWLNTVILEDKEKTLDQILNTIIIKKLIYEDESIVDKI SYNGYEIPISSLSKEFRIKKNKLNIDIISVLRLFLQIKYGKEKDLLSTIPMSIDYEIDVL QFYYSLLQTLMKSYSQDLVQDLKELEQTFEYPKRFAV >CAK69795 pep:novel supercontig:GCA_000165425.1:CT868073:32755:34309:1 gene:GSPATT00038240001 transcript:CAK69795 MFLLLVIWGIAASSLLKNTNSLYEEWRHKAQALVTRTPMEMIRQTLGLRSLDSDDEEQFI IPETNGDLKHSRVVRMMEEKGTPNPPVPVVNNTINEYLRQGPLIPLKCYLGQPILINVTQ HYCFKQKVTYLQYQDISVKNSANCTIRYRLHYGCLCPPDFYGDYCKNWNPIVCEIEQPSK NCKLVVDEDYYNKKIDGNPPCNQFRSSQFVDNVRTVCYNYYQNLLNTSVFYPEENYTIIW SNYTKGISALLPQQYKYSAPIPEDPNDPQQYIQFATASEEAEKEYFKYEQKACTGVESNT LCSSLLFNINPYVRFINWTYLSESDTTYIDYDLTLVEMLGQNYFQVPIKVTKSTPLFGRY SLEIGFILHLYGYNELYATNGSNVTAQNSSKEYQPQLQPKILFFEDQLYEEPASSIKQLE GKARAGLIIAIVILLIAILLIYKYRNTLTECCFPRIDKVVPEKYDNQKGCCEKCFDCFKK DQGHRHHVAEPNSQHQNQSLE >CAK69796 pep:novel supercontig:GCA_000165425.1:CT868073:34329:35390:-1 gene:GSPATT00038241001 transcript:CAK69796 MAIMIQKQWKGYKARKAYLQTKLLFNKSQNDQVTTDKKSKRGKQKYFTKEEYELTIKSES LQREYRSKYKFISGSTYEGEWLGDKRDGQGIQVWEDGAKYIGQWKNNQAFGQGTFYHVDG DVYEGEWQNDKANGFGVYKQSNGVIYFGQWKDDYQQGHGQEKWIDNSLYEGQYFEGKKQG RGTYKWPDGSYFEGEWFDNKINGEGEYVWGDGRRYKGTWKDNKMHGYGVYQWGDGRSYHG EYVNDKKQGKGKYFWPDGKVLEGEWHEGKQNGRGKFLMADGRVKYGMWNNGKRINLE >CAK69797 pep:novel supercontig:GCA_000165425.1:CT868073:35562:36333:1 gene:GSPATT00038242001 transcript:CAK69797 MVTSLIQSNLSFQHQEQFEQCDPIQSYTNKQIIKKNFSFFDSIFSQSMQVQFDYERTQSL SSQICTFRSDQLHWLILLFDVTILIQSYLSTFNFVYKFPNKQLNQTTLDLSNLINYQLNN LNFVYIMISYVQQSNIFRKNEKQNQRSIIKFWVSKVQLIRELRILLQFYSLEFEEENYKF AKNNRQLKKFKQMNVSHLSNNYNNQMCEPKMECQEFQNFNQGFRKSKITLFFILKFVKYR NNKSKRKST >CAK69798 pep:novel supercontig:GCA_000165425.1:CT868073:36432:37517:-1 gene:GSPATT00038243001 transcript:CAK69798 MGNICVSDSDSLINEDSQINFNKIDSRPGINAVALPANKSLENIPIMPSPDSAYSITYME SMDVIQFTSHKFLILQKNSVYIGEINQKFQKNGKGNLYLQDVDNNGNQKFAVKKGMFIND KYQEPAQTSLFGACLDVPSDSEITEEQEQQKSIIQNNHQKYNKLINDKDLNSITDKNQLR ANIVDGYIQYLQQLDEQLYWDTPPQKREKFQRTIIFQSTCSLEDSNQFQKKLLRQFGFVQ FWLIYKKIGFVIEHDHNHWYFVKVSITEDKFIIYVYDSIKCSRPFYENIKHKLTKLFQIL LNRKLQPNLVIKENIPQCYNNYDNAVYTCIFAIMFRSNCRNKLLKMSPLEMRSELRKLFL S >CAK69799 pep:novel supercontig:GCA_000165425.1:CT868073:37710:38741:1 gene:GSPATT00038244001 transcript:CAK69799 MKFIKENKDNKVQFFEQKKIIYKGSVRLEKFTNIKPNNISPTQREYKSELSPIIRQKRAQ TILKQLLNEQNQQQYRLIKFRVLEAKSSRNFKLKRISVDYNYSIQLPNIEEYFHSQDKLQ LLKTIKNEKNRVENHIEKLEKIKKELDLSDYVFTQHKPNTYHSNTPSKSARKQHTLKLNT SGSTVFGKTENVKRQLSIIDCEDRKQIDKNKEIELYRKYEKVRDSKVLPQLVNQINESYE EKNKLSDKFNRQLYYCIHNRDYSIQDKIKEYKKDSLSYSQFYSIQKRLKQHMEGRFKATE NQVVKFEDELKNLAKTNRLTESRKQQLSRIKIELENGNYLENY >CAK69800 pep:novel supercontig:GCA_000165425.1:CT868073:38882:41529:1 gene:GSPATT00038245001 transcript:CAK69800 MNNVNLRVVIQLAYFILVLTIKLVNHNSEYFQVILSMILTMLFIPFNRYVNTCVEQQQLI FKSLCVDLGLINDGFLSRFQYFWLGLTIQLEFDSTIQNQIVRVGYLLVVIASANLTSIYL LIDSSAIGIIFGEALYGLLLLYQFIVKTNQQVKKLPLQKPCSHSFTEKIESPQQQIFDED SNQIKFMPLNQGLGKNSSLYSRDPSVLEQIEQQKTFYEILFNQFPEGILIINDQNKIDYH NNQVYNLLGRKHIQNRQDQILPRLYELKNYSSKFQFEEQAQFDKFFSNLDKKLKFNQREY NQPFDQQFDQQINNENSKESIFNNNFDDNQTDYYFQAELSKAETLKQELDKALSEKVTEK NGLSSPFQRQQSFPSQRTYKGQDKIKFSPEVKKMLCMIEESSEDHDNELQEDKSQSECNT GLLIQITIKPYIYENKRSVLLLIRDVSLFNQFKVLQQQNKNKSRMLAYVSHELRNPLGAI IEINQQLKIQFQQDNNLISKYLQPLQSSAISIQGLANDLLDLAQLKAGKFNLTCKDFSIY QLLNDAISIMQYPVSLRNLKLDLQYDDKIPQIINSDSQRIQQIIFNLISNATKFTKVGGI TVTAKLLQPKLIEICVEDTGVGLKPEDKNKLFQPFGKLEDTKNMNTSGVGLGLMISNVLA QKLSGNDEGLQVDSKGVDKGTRFVFKIQDVSENQASTPKESKALIMKESSHRNILIQKRF EDLSMEPLQNCKCPKIIIADDEPINLQTLGWKLDRMKYTYLKAQSGQECIEILQNWYKNQ DYCCNWIKFIILDINMPYLNGYQTSKLVRQMEVEKQVKRCKIIGCSGFTDNESKRVGFES GMDIFISKPIEDKELQSALQQLQ >CAK69801 pep:novel supercontig:GCA_000165425.1:CT868073:41691:42792:-1 gene:GSPATT00038246001 transcript:CAK69801 MKININTLFKEMGSQIKLLGSKIVGSSQALKELLIPITEESKSLKQSFNDYVKQIDARRK DQDDQNKRLEELRSKISSLSKSTYSNEKQTTTQLKQLKQQEKMMDDEYKMKQQQFWICKR DLGNFILEESQMIDYKINHTQTKCYEIIYFSLEQFQSKFNQTSQLRQLLEGSLMSQNRSQ QRSATESRSNNNTQFPSQNQRSISPSNQISKQELQKANRNNNKEILVPKGMQNQNQKVNY DSHQIDNQVILKQIKNIQNSKLESGINCKQKSKSFLQFTDQLIESQDCYEHLKDKGTQQH RLSNPNKENQHIALSSLMNRKQSGASLKRSENSNASIFNCKGLGYLSNQESLDELFKKE >CAK69802 pep:novel supercontig:GCA_000165425.1:CT868073:43049:43555:-1 gene:GSPATT00038247001 transcript:CAK69802 MDIELVEKQNSQSEISKRAISQYEEFDEYDSKTIFWVCLLIKVLLIFKCCAISKKQCEKC LIEDKSETSLIFGNSSYLLYQSIQINNWDTNYFIDVSAYNCHQQTIQSFAWEVSVFLVLL INIEDIFYSVRKRLESFDVSMCNLLDCVVDWKQG >CAK69803 pep:novel supercontig:GCA_000165425.1:CT868073:43903:44384:-1 gene:GSPATT00038248001 transcript:CAK69803 MQLQDQNRDLLRQVANLENDLQKNEEELRQLRRNNKNSQNFDKNAQQMILKDREILHLQS VVERLEGMNKQLQQECDRLAAKIQLTSHENTLLSRTNREISQMGKLLIEGQQIKEMLEKK KYEKPLTFTNNKAPQVIQQLQLHSRRPSVKKS >CAK69804 pep:novel supercontig:GCA_000165425.1:CT868073:44404:45847:-1 gene:GSPATT00038249001 transcript:CAK69804 MSSQDEELLELHSRYDNLYKLNQNIGFENQKLQNEIQTLKQTLCSFETENNELNDQIENL KNDLRTQFAISQNLRQENEFFENQIHECKKQIESAASYYNQIIEENDLHISQMQHKIQQN EYLTQENHLYKEQIHSLQEDNEQLAQEVANLQESLNNHDPYTQDGKQLQNSDQNKHVKKQ NRKKVKELERLIIKKEQEISQLYSKIEDLKNYNQQMKYQSEKYEQNLSYLYSDYQQIEIE RNKLASKIDSIQNSNERFSTLQQQFSNSEKNKNKEFQSMKVQFDQLQMKNLQYQQQIQAI NEELTILTQKYSELEELNNQYLQEAENFKDQLLEKDSLTDKLQSQLQQQQFKDIQFQQQI KEFNQQLKELQQHKSDQQAQIAQLLKENQIQANQLSQVKDLQSKELKDTLNQVESLKKER QNLIDLIKIKDDKYMDLEMKQKNSENLIKQIQEERIYLEQAEQYNIKIMQIL >CAK69805 pep:novel supercontig:GCA_000165425.1:CT868073:46775:47821:-1 gene:GSPATT00038250001 transcript:CAK69805 MKHDEYIQILRDSQAITLRNELTSLQSKAHDYVIQQMKLMECKYKEQLLSIVEQYEDCKL ICEQLKLKNEQYSKLILEQEFKSIQTKNYVSLEIVNQLLTQKINSLLLQLKNHNIISESE YHAQRNMLQKNIAEVFLNYDEQPQPVFLVPNTQNSKPIQIPQQTIKYETQSSIHNQNQIK VNKYFSISTQTELAKKKKEKPVKQSKQQIQEQQSITIDLSRELFECKKSIQELQKSKIDL QITNDQLKSQNQKLLSRLNKKQPTLIKCNQVAMKNSYTPQIIKKFIKFDDILFKNVRPFK MAESFDLRQGNLSSRQFFTRPNTSAPNTARNRLNQTQQPSILGYQVLL >CAK69806 pep:novel supercontig:GCA_000165425.1:CT868073:48382:48823:1 gene:GSPATT00038251001 transcript:CAK69806 MQQYLEVGYALSNRARCTGCFQNIAKNEIRFGHVFVAPGFGYDKKHWYHLTCLKFIPKGD RNQDVPLINIHCLKSEDQKKVHDRLDFVKKNCGKKFAKECKLMEKQDDQCEYIKADKDIF STFIKHMRHKQQKELGEF >CAK69807 pep:novel supercontig:GCA_000165425.1:CT868073:49218:49764:1 gene:GSPATT00038252001 transcript:CAK69807 MKTQSVFTYSLHTVKDSCHFKFIKTIQQKHKHSFSDLFSNNPLEQSNKQKIRRKSCYCNE CGRISTFQYKFMNLKHQLHQNRNQSYTLHSSKEHLPNQNKFSIQLPNIQHIRKSQSSFRI SRKSLLNEKSEEQRSPLMLYDSFICKIFNQYNLEIIGGNCLEKQNFETQCFSFQ >CAK69808 pep:novel supercontig:GCA_000165425.1:CT868073:50023:50916:1 gene:GSPATT00038253001 transcript:CAK69808 MQQLDLPFVRKQKQNTDIWKGPSFKDYSFEEERTSHIYKKQHRRKSCYCQMCGKMSLFQY TYMNVSSCVNHIKESLILQQDIEKQQELEKQQSIIDQRQFRDNINLQHNNRSKSIELINS IFLNNCQRDRNRRSCECSECGIQSTFQQKYQNLHFFKKQLLANQFRIKKILKRPQYRKQN TEQFSPVKNGEFRYFKKRMLEIDLNNSKNDNPILKKFQVKQPQTQRVLRHQQSLNLFETN TKIKFDIHSPIENKKQILPYLQFNQNKSPPQKNTKKPTITNQQKEYFKKHNQKSGIF >CAK69809 pep:novel supercontig:GCA_000165425.1:CT868073:51035:51922:1 gene:GSPATT00038254001 transcript:CAK69809 MSSSSNQKQIKRRNKSYIHITNPQITEQWRERRKSCTCLQCGQLSSLQFKFINLQSQILH FSTNKHKKFQSIESTYLDSQDTIIANNQIHKRIAKPIQVKQHHSNINNISLIQTNQTHFS KKQRSNSCYCDECGNITKFQSQAKLIYTQQIIKSRHTNFRIKRNLDQMFKGISPIERKQE QEIEEDENNPLQPNQITSFRRSFVLINQPIKQIQKVQQGSGRKIFLRDACKTTFFSKTNS NSREFTIKSESNSPNITNKKLNLPIVKSSYSKNRQVNEVQLFKFTPKLNSINLIS >CAK69810 pep:novel supercontig:GCA_000165425.1:CT868073:52350:52815:1 gene:GSPATT00038255001 transcript:CAK69810 MKEKRKRMMSNEGVLAVVTDQILRENKPKLRKLTKKQIQQLKENKQNQSESSIEIIEKKS PLKCQKKIKVTQEALQRFLKRTFIIISDDEAENNEISNKKSQGQQQLQSYSSSRSSSFLS SSSSSSNLQQYEKREKQIQQFQNYDR >CAK69811 pep:novel supercontig:GCA_000165425.1:CT868073:52960:54292:1 gene:GSPATT00038256001 transcript:CAK69811 MNFSEIFPGVIFAQFAPNSKFIVLSNGTRVIVKETDQLQSINFTHSQINDAQIQQIEISP NSELIALSYPKKGYIEIRKIDDINWCAKIDDSIETIQWCPDSIQLAVISEFQIKATIYNL NNKNVTHFKNPKQISFSKNGRFMVMSERKDAKDFIGIYSVRDWKLLNYQPSDTLDIAVLQ WSHNDSFIGVQDTELNFRLNIHCPCQGLQMKFEPYSYSLGIKVSRFANQSDLMAVGANDE KLRIINLLTLKQITELEHKITKDVLIYKEEEYSDQYSQRVVTKFTQMEQGCKINLSKTQN GISLLEWSYKDDYIATKFDGMQNCVFIWDMELLTLKAIMVQILPVKSFTWSKNSTTLTVC TGSSKIFFWNPSCTSACDMPFDKNFHVVKIDWSTDQKSMLLFDKSDVVVAYPTLDDSF >CAK69812 pep:novel supercontig:GCA_000165425.1:CT868073:54444:54823:-1 gene:GSPATT00038257001 transcript:CAK69812 MELTNNIVNQDQIVVQKIKRQDKAGTEIMKGVKKHKITFIDEIEKGKAVQTVIEVECWKK YNYVSPETEVADECCLLI >CAK69813 pep:novel supercontig:GCA_000165425.1:CT868073:55103:55703:-1 gene:GSPATT00038258001 transcript:CAK69813 MPKQVQQKQAGGKFVSTGDPVADDRNWIGRVNNELTCTAAWNRDWGFLAGNSENLKLEDA TKPYNIDEQIKNLQQEIEKIQVDPNKITINRTYGKGDALEKFQTDQNNKQRNKDLKPQDR KIPKTWKYQKGWKPEPDPYDPVQNMFKSNKKK >CAK69814 pep:novel supercontig:GCA_000165425.1:CT868073:55864:56316:-1 gene:GSPATT00038259001 transcript:CAK69814 MNLCDNSGWDIEEQCYLDTLFTGYLPKLEKRISENSSHRIRTLSQPKQFNQSINSLHHHR PTQSQAYQMGSFSANFYTPSTTKTRIRFKFTPTLTQEDQQRQKNISQSPIKKKPKYTIIN MSQLLTVTPKVRMHQDSLNKVLERFSNKKR >CAK69815 pep:novel supercontig:GCA_000165425.1:CT868073:56471:57288:1 gene:GSPATT00038260001 transcript:CAK69815 MKQSTKKERRGSFDSLLSSSIFQSNFLAIDIKKQIDDELVNYQGDEELAISEKCITLSFI LEPKYPPIPLLNNEQLLKLSKKKNLGDEEKLQIAATLIQQRGLKYLERRAIWMNFIEKGD AKKYFSKQPPQNVQLQISKDLNRSGHDDKMNTNKFTHILGRILGAYAIYNPKIGYTQGMN IICGNVILLLSIDGNDRQLELDNFEVIEDEEEMFWMFVHLMKSMENLFISGVPGIHSRIE ELELMLQSRCNEILLHLQSNNIV >CAK69816 pep:novel supercontig:GCA_000165425.1:CT868073:57709:58592:-1 gene:GSPATT00038261001 transcript:CAK69816 MINDFNSWYTNQPLFTRTYVSVLVLFGIIGKFKPAYLWYLMFDAKKIFSLQIHRLFTHYF FNGTLSFSFILHLLFIIFCIKNCEVMFEGSNYADFYYMILYFFITGDIMSWIFDYGFLSG AFCFALIYVWCKRKPFETVRFYFGFQFKSEYFPWVLIAFDAITDQDVVQDLIGLGIAHSY LLLKDFLPVTKNISLLETPQFFKNFVNKHIVKYAPFARNRFNQQQFQQPQRQFFQGQGIR LG >CAK69817 pep:novel supercontig:GCA_000165425.1:CT868073:59244:62605:-1 gene:GSPATT00038262001 transcript:CAK69817 MIKKHRRCFRYQISNCRNQGRLHIIQGEYERDMAKIQAEINQLDRGGMKFLTPEQVQKKY YLQNLMSQRQATHGKEQQFLRNLQNYREQYILNEKLMNTKGISNQEMYKKREEILKMKLQ YEQLQTEKAKVYREQQNPNTLSSVGLSVLMDVSKNQNFDAAKQKLNKDEARLQFLKARQA DDILHRDLDEVMNSGPGDNTSNEVRYLLKQGIGKTQREQLEHLSKIREQLEEYNKGNTRL VLPFEQINDNKKANTDQDSYLNEIDQLRKDYVKAGGVDPNFLLNLSQLERNINRKANGSQ YDLPQQPQYVHIPQNQRNSSYQQPMAPNVSMAPNVSYSQPNLHRQQQGAIYQQNNQINSN SLSTHPIYQYLPQSFREELNKLQLQTQPMKIEEKKKIDPNNADDMTLEYLRNQEAELLLM MSKLDPRSADHQRLMDNYKELQKLRNLIEKAVFDKRVSDAINKDKEYRKKLIKQQVNLFD RSNRAVHYAASEGFVLFFDYASMVEIQFHTLRINYGIFRKGSDMYPYKQTKDSLTAFETY RTNKAVFFDRDIIRDLEAYPDTYVFVELWGYQSNIPEVIGWSMIRLFQDEGKLLIGRFRI PFYSQKLNPNFLFTQALPYVNNTLVYGRICLPGDPILDSNDIIVIESEYDMPDMHIHTTA HAASLAEIQDVYLNESKKFEKKKDIPPVDLGLSNQTFKQNISQLSYTNQSADSPVTKSGG ATTKMKTRFSNRGSKNQSKNTTPTPGSQTNRQKSQFGDAKLTFRLIKLAKLPTNEYKTAP IKLKLGVFNGSKILEDFEQQPQMAVLQLEPTKTNGEKEIEFKTKHEFTLSLDWLYNYRGD DVMLFMGLFINQKDLFGWLATPLFYLDNAQNDYKMKLGAYSCNLLAPPGQSPPFNPETMK KQEIEVDFIIMTTEQKAEQLQIYDTYISLSNQSKLDQSKMSNLNKSSRSQGPIPSIFNKS QDKSVDQGYAQKVVIQLEMLNGVIRDDEYIVDVAICCKDKVVYDVNDEPCKYKIDQTFES QKGAILFEDEKIEFEIDFNSEKNQGITKQACQLLLYFSTKKDKVTWFVHDLIKYGRYSLP TLNLPFQKPPIDKTKLQNHALMTLAFDLKPIQ >CAK69818 pep:novel supercontig:GCA_000165425.1:CT868073:62868:65192:1 gene:GSPATT00038263001 transcript:CAK69818 MQQKTNTICQLPLRPQRRANLDKDFRIKTVESNHFELQFLKDVILHIYSFAIQPEPPLDS EKEIRKQFNEFKDEIQKEGIKRYCITGMNLWSYELRKNAIHLEKVMNKEKKMILDIKYVK TINLKEMAQFDDQSSINVTKQAINAILKQLYESRNMKELFGKGKFYESKMNEEKNLQKNY NIGYMKGFRSAFCSGQSSPLLQIDYSVKLINTYPISRIISDMEWNYDKHELQLQIRKKLI GQSGLAKYSNRFYRIDDIDFSRNPQSMMEDGKTTYRLYYQQRYNITINDISQPLLVHQTK KGQKIRLIPELMMLTGLSQAQKTNTNIKKFLRPILIVNQNERQQRIMDERGELEYLMKQQ NIVLKSNSKTQAYEIRKPEIYAEGIINSFPGGCFEIKNKFYQQSKLENWVLIYNDQEEQL ANYFLRQLISSGNRYGLILSQPLRQKVKSDQSQDWISCLEQNFSEKGRPKLVVSLIDQEK DKQIYQQLKQYLIAEEGVSHQNVTLQLIENQKFGAIVPKIIQQIHSKLGNQTWNIQKIQE ISDNIMIVGIDVYHKTVLGLDSCVGFNAQFGQQGYANFTKTIIVRKGKEINKDVAMLLEQ SLEEYQNYNKKLPDTIIIFRDGVGTSQINRLYQEEVETMKEIINNKYNLKLPEFAFIMVN KRINDRFFSQSKENFGMIVADRVVSSHFDYFLIAQQVNQGTATPTHYTVLENSTKWNEDL FWKFTFYQCFNYRNWCGPVKIPACVQNAHTAAYRVGEVIKTNASYYLETKLFFL >CAK69819 pep:novel supercontig:GCA_000165425.1:CT868073:65240:65755:-1 gene:GSPATT00038264001 transcript:CAK69819 MNRTPSHSLYMRNSRNSSHSPIPQQKFVTPEKLVRVSGSSGVKINSIQKNGTRIRSEVVE TVNKVKEQQKLQEYIQELENSIYEFDKLVYIPKHNEEQEQLEKRILKLLQRNHDFELEME LVRQENEQLKQLLIEQQTKIIEQNQEIMTLKVLKDGFKKYSFSDYFKQQNQ >CAK69820 pep:novel supercontig:GCA_000165425.1:CT868073:65812:66899:-1 gene:GSPATT00038265001 transcript:CAK69820 MRGPRSSSPCPTKQQVEKLKLLQTAIKKRTTKPDFITTYRIHIILSIVIGFVIISFLSFT KQTKEYIIINESEIKSHNSQGHSYTLGPNAFFYNITLMQAKTLFKNDFTQQINVEKCKLS FMDETPVYYNFKEAYPQCNHQVYNQGNCSSSYSIAVSSSFSDRVCKQNQTQQLSAQNLLS CDGKLNLGCKGGHLTKSADYIIKHGLTTNECHPFKGDDTFKECTNALGHCQRYKAESYCQ LQNKDDIKRDILNKGPVVAIIPVYKDFLIYRDGIYQVLEGQPHFHGGQAVKIIGWGEQNG QQFWVIENTWGDTWGTNGLAKLAIDSFSEMAQQALSINY >CAK69821 pep:novel supercontig:GCA_000165425.1:CT868073:67907:68358:1 gene:GSPATT00038266001 transcript:CAK69821 MDNQKKCLFEKFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFAQMMG DSNTQYTGRDLIEVHKNLNITNQQFDKFKTHLKNIALDMEIPNADIEELLQHVEKHRDFI VFQK >CAK69822 pep:novel supercontig:GCA_000165425.1:CT868073:68549:69328:-1 gene:GSPATT00038267001 transcript:CAK69822 MSRQHHPINSKCDDKQGSLYSYLKSYKPIVFKQYRDNTPRSTYKQDVHNLIKINKNRRRS CYCSECGTQSQFQYKTHHIPFHREKVRPIFKKQQIAISQTQSSLNADEFSPQFEDSPLLK KSSVLSSRRQSRFAHHCRFRQSIILANSEEKLQLIAEQKQKKSSFFNLDQLNTFKISSKP QSPIRKLSQPPKPLLENYSLKSLTQRSPINREAIIAQNKKYQNVYLIPLFKKNQTKSEKQ QIWIKANTSPRKRHCISQI >CAK69823 pep:novel supercontig:GCA_000165425.1:CT868073:69957:70334:1 gene:GSPATT00038268001 transcript:CAK69823 MNIFSFYYFDASKKYVCAKTIELVFMEQILAQDNITFVEQVLLHIRDTLLKVSQSIKSRK LMVSVFYLRNSVYIMIPKECHKISEFRDFWFLLVWRTMLLIYVYQNLV >CAK69824 pep:novel supercontig:GCA_000165425.1:CT868073:70355:74255:1 gene:GSPATT00038269001 transcript:CAK69824 MNYIKYNNQSMLFEKLKIYNTKEKLLERLKELKKEEELKRNTPMKEKVKRTFSTSQSQRT TLNSFNRLSTSPKVQCNLEIEKIKMPLTFERYQNVTRMTEIPILINRLSTPQPPQKSILE KLNEQEEEKMIRELIDNFKPELYDDMMENDQQEIERQNDEAHTNSMKQFSYQDYSPQRMN MIKKHQHHHHKSNPSHLKNNQKYEEQKQLYIQQLEYKGDINQELTTDRGKYMRKFRLANK NLNKVSQEDYRKTMKQFNKLEDYAQFYKQTPNLYIQLNKSSHQQQIFQSGLGLVQQCNHI QAANAQAQIRSPQQVKVFSDALKTQQCKTITHLKLNHNKLNSLKIQQLTKSFPQHLQELD LMNNGLDSKSCQLLSKYIQKSQIKKVNLENNRIGDIGSNALCQAVQDHDYLLYLNLSKNN LTEHCCVELANYIKKTQVLFELYLHFNSINSVGAVNIWKALYKNSSVKVFDISYNRTASL ECSQQMAKVIIKQYPELMHIDISYNGFNEEQSIEIKKALDQNQNIYGFHYQGNCPKYAVD STGHLRDRIQEAIDIQKKIDECKKNPQTTLKLVDDNQNPELQIQEQQQHQQQQHKRIKDL QTDSELYRNFRFRRIQGMKPIKQNYDTDSELDACWICDGWQEVKFTWTPGKSGGMNNDPI FVHLNFETYKPVLMTLHNGEYSAYRMCPPNFRVTYFFSNPVLGIQTTAKNQLITQTPQED ALYSTKQSFLYNGDILIEGNKMGFVNELFTEDKQSIMDRYFAKIFSKPREEEKTFDLTQF LTKTEKFWSYEISIFKNYQPDNDELIDECFEYDYGSSKINKIIKDPIEYNEVKEIMREYY PYIFAAYKFLASTLIGATIPCISSNAFSDFLSATGVISEKFRSGDIDLNFIATSNVKDIN YPNVYEKALVRYQLMEVLVRIAIDKYLRTQICKSIKESLRKMFEEDGIKLKLQEIDRSQD WRDMRYWNEQCDILLKDRLPMLKMLFKFTSKLNAKQKYYKHIWLQFKDFRDLLNKCDLYC DIFVERDAYLAYLLAMQTSVDELYLLKHFQMEFYEFLETLARCAEKLSLVRTIDQMTIDD RRLQPLFKKLDALTFLIYIRLGEIIKAQFRESDDLSDFDKCMQKTYGQLPKQPNPDDEEN TDKITPQQEEKLIRRTTQNHRCPTFNYYCIMLNSIQSQPNKKSTGMTIFAGHQISYSSRN LILKQHIQFNQMLYNILGTKRKNNNQNDYNFDLYYQNQFIQIRINNIMQLLSNDF >CAK69825 pep:novel supercontig:GCA_000165425.1:CT868073:76011:76958:1 gene:GSPATT00038270001 transcript:CAK69825 MSNFDQAQSTNIPIVVTQQEISQQGIFNQTSKTLGWYQYFPESNGVATQELSTHDQQMFF IVKLYAFLAGLFIFQYLMVIMFYYCEQFRWSLIIFDYPQPLYWAILGVTIMLGMIAYFIK QSRNPPINLIISMLYSFGVGCVLQAPYADSLWWNESSLLIILYQFCMTLCTFGTLIAYKL QDSQSANGSYLLLMAFLLDLFVILIFILTYVELAWLIVISIIVHVIYACLLLFETQLIQR GKFNLGLNEYISGALFIYIEVTLFFMFVAILFVVFMCVALVVLIGTFIVHKK >CAK69826 pep:novel supercontig:GCA_000165425.1:CT868073:77262:78314:-1 gene:GSPATT00038271001 transcript:CAK69826 MLQQECCASIQIKNKPYKYELISEHGIHQKQLCYAIAINDSNTLLTCSAYKNIKILQFKN GQVKQIQEIYKHKDWITTLNFFKKRPNFVSGSQDASIIIWFSILMPSPKYMMKLKGHSKF ISCLVLDHIKENLLISGSGDGTIKFWSTFALATSEWSCSQTINQNSSTIYECSLREDGNQ LVTCFQDCKILIIDKQHNQQQWEVKQVIQLNRRGYRLQFINNQSFVFVPYSSNDLRIYNL QMQSNQELYFNTQNIPIHGGEQSCMCFFPSKYNKQKNVLIIKNGYYINCLRFQQSPYSTQ LFDCDQTYQIDCGEKSEGNIFGTLSNDGEFLIFWDSNSKEIKVKKYTENI >CAK69827 pep:novel supercontig:GCA_000165425.1:CT868073:79092:83075:-1 gene:GSPATT00038272001 transcript:CAK69827 MLLIIIVFGVQIFQINSNPCEIQQIQPIITCYQVVQDCFILEGNEEDYYNLKHIDNKIVQ KLFTISSEVVPIQTRIFKSLGKEVTLKKLICQLFLELNYYITCIKIDKLNYEKQENNQES IKIKTNITDEDSCDEIYQLDNGNLLLFCMTQFTLKQYQVSLLGDVTLIFEYDVSIQIQDK CKKKQFKLFDKNQFIIAFVNCLEWKLFEINNDQLKIIMESKTNNYIRTLGIFTKIDHVQI CLTNSLTLYLVEANNYIQVIWESDQAILNNIQKSENQILKIILSKNCQNVLLITYKNETN LQPVQIINKEIILDNSFNTDQIHFFSGFLFLQNQNELNIRIDEHLYQKYNILNSSLFFLE QDNMFYQIDKFKVQAQFYRYFPISSYVKPKQKFVYLLKRLEKHGQQELLKCFKIQHENQL QQNNLVQYSLLIKKNCQYQQQAKLNIQSFTQFQQQEFEFYNNKTGNVKMSIKYKEKLENQ CYQRIKRYKFKSKITLLSIKNKNFIVFQVENNQYFLNCQKNQIQLSVNIKQFEVLEYLED YYIIDINKMKIEMIQLISGQIILSQIPSYMEMITIQQTSEQIILYVKGSEYPLLIYLDQF SQNRLNYLSQYLYQPKLILYYQELGSNKFIQYENIFAYELKGEVKCFQLSGVQIITIKSW LKTYFLLWAVQNQTNSIILYHLDEYELHLFSNYTLQEYQFSNPLKYKFSSLKLAILIENK QSLFIATYSITTTTIKLINIIQTNDVYFELINNTLLYLYNEQIWYHYLNEIVSLIYINVS NQQTLIQSYQLTLNPTYQFENSINLSILILNECHLLSTQSNQVSLLIQNNEKLKLKISEI FLGPIHNVTIIDNDKIRLQGPLQFIKLFKECVDSFIFCIKQKNIVFLNNNKQLMYPFSAI ILEDKIFCQITQLYTENVLYQVFWISENYFLWICQLNDYLHIHLLLCILEDHSNCKELQV QIINFTVGLPFHENVIKTQNLVKLQNAQQQIYIFIKNQDFSLIHLSNNYDLDIKYIELSV DQFIGLERLDHKLIFEVEIMIYTIKLNGIYIEFFYNITKILQDLLPTGESNPIIRNIGLI QCKQNVTIITVILFMSYHYQSFMIQLQINIQNGSICSFQVREQIRNPLLDFDFKPDYMDE NYLILKQLNQNITYYYDLQEEREYYDTIYRKNSHIETLKVNTTHFIFINQSQVYWGIINY ELDIQNVENSSFNFVLFAQNEVSDAQISILLIPKSLYDSKKSIIIILLINLIFITLQMRL QYFRKIKQKEIKDLNNSMSQELIFQNNLIYKQLHVQFSIININSYWVFWNYKFQSINIYR NTDIAFS >CAK69828 pep:novel supercontig:GCA_000165425.1:CT868073:84715:85963:1 gene:GSPATT00038273001 transcript:CAK69828 MIQGDQDFECHLVEMQLNDQEYQNLVDKEETFIQINKQDSGFCNYLRNDILNDKTKLKQL LNRINNQWNQNFMVELKREEIFTLIILMFLNQINRKQQFGGFYIINMENLKRTQIRLCTQ KMRCIENYLRLFYRNKDNLLESSFDNNEKVQFKNQVVKFIKNIQEVEFSFNEGDQKSKLN ISINVTNQRNEDQKNSTVVDFADQNIGGLTLDSYNCAQEEILMLIFPEALVTMIFIPPMK DNEAVLITNLKKFSKYSGYEQSFICKEQEDLEKNFFNMLAIDAKPFSRNIAQFKKQNLDR EIRKCYSGFSLALKYQPNNDISTGRWGCGIFGGNQYLKTMIQLICFAQAKYETENSTAKL IINASNTHSLITFVNDLKQYEQIVNLQNLTILIQELSQRF >CAK69829 pep:novel supercontig:GCA_000165425.1:CT868073:86648:87449:1 gene:GSPATT00038274001 transcript:CAK69829 MVILSRNKVIIQLNISNSIHYDLQQRYKDPKYKKLIQNEKQKVKEQIYKFPNDIKYSTYL NHYQHNSLCDQIQHNPLQIDQIRTPTNFNQHVVSQIFSSMQSMDKKVTQLKSSNQYSVPK QEEVLAKARQYYSQTQNKLYANYPQKQQFQSQIYGKKQQFQSPQQFERNQQWKESPQFVQ SQIITPPQQQRYQSVQHYQQKMSTTSYIGGFQNVAQI >CAK69830 pep:novel supercontig:GCA_000165425.1:CT868073:88217:98005:-1 gene:GSPATT00038275001 transcript:CAK69830 MIKTCIVHCRTNSGKSQELAISIMCGLMSSISQLKPSDELINSIIEGGKLLLLNFYDKQI QNPLKIYEIYYFFENLKWSILSQLTLGYSIQNIIITIQDAYLKYIKFSQDWMLHYCWINL ISDLMCFRPIIQKNQIASFTQQGTSDQEVWELLINENAIIQLPYKKFVAKLKIFEEKIII FKKFRALKLFQEYLIHNQEEIQLLPNYINFNFNVKKGEQLNHLELFIQLLTNQSNLEILK ALITQLRTSKEQIISNLEAVKQQVSQHNALLSKNNQIEIKINRQEIMFLMRQIKQSSFLL QCLTNEINLLINKEILTNSIIQKIVLNNVENLDEKQNVQKLQILAAIQEVENKHMIEFLN NLQLVSRFWIQICQFTTISHDELIINDLQLEQYFEPQINQNIIIINNINNYLDNFVVQVS TIKRNVLSILGQKQLKGFMKEHISQKNIAKQITKLLNPNLILFMVNEIQVHFQAMLNDTL QIEQESDIRKILMMIYSNLNIYKGLIMILKLHQRKIISLETSLKGVFANTNFEVERQFQN EKDINEYIKSQIIDKKEKLQIVFKKYQNVEFTNDILIDFNKISNQIIKEKIEIQKKDWQE QIKVHYTLLLSKIQMKLELIGLVQDRNVIYQEIITLLDNQLQLINQIDKKQDIKQSTKDQ VELHQAEILKLQMKDLFDFDRVFQMIDSLINKSKMLKRIFQQHLLDASCQNQQLNLQLLS NLKNAFKESLNQAFLDLVKQTLECCQKINKDEQLILDEDFMIKLQSQPNLEQVPVITQMI NFNTLFLENQEVQDKDAKVDKIQDMIMQFTNAMKMNYKEGLSDMFQNSSYKVRELLVFNL IKMQSIVQEQTISGFCDNLLRQIWIIEKHPSVRNLLKNEEMIMMQKGLFSKDLQNFSDEL KKEMQSRLRQIQQLETQVLLSENQDEMKIKLQQAYDNYEIYLDNITDMSQRLDISLIFLR EISKDLKNIKSSIDQVLESVKRVQDDVRRLRGKNFQELLNIRKQKVQISMHEQQLDQVHI QITTQDYDPITGNKKTNSNGEFITFLIANRYDNFDGEVNEFLWSDYEKNKDIMLIKGKAG SGKSRASRNIEELIWSCDMIWPNWIPIYVSLPSLKDPNHNLIEQALESENYNFDKIQVRE FKDAIINGNLKVVIILDSYDEMKFKCIGTNLYQTNRLIQDLNIQTSGQSVKIIITTREEI LNSIGYQTWFYGQSIETLKEVEILPFSQEQSFQYIKIYVQISIKRTIKKFYEFLKQLKGQ NFSLDEFRLVWSQLESTIYSIIQQQQNSEVLFQTQDVERFLQKIQQVEFFNFLSSNQMVS LKKELLQLWGEQRFLKVIYNINIFHLMGTPFMMEIIVYILPKMLQYYSQSNIIRVELQKN FMILKTEAQKSKDMIEKYCKRKINQVQNTEEQNYMKINPNEQKLELVILEQFTQIIEDLD NQNFFESFSLANSIEYINDTTLISNKHFKVRQDANFIVSAFNLNQFTAFDFYEIFVDFYH NQQLQKLKDLGKSLSHESFLSDLLDFSIYLALDMSQRQITQVNYKQKGKLHIQQAEEERR VEASWEDAYFSENQDDFEYKAILRKSMLINSKSGIYAFNHKSIQEYFVAYYLLNLITRIF IKEKLIVDEMALYKSSFNKDQFNLSQEHYSGTLDLLKPKVLKIEEIKNKLIQIVSLSKLD SDRKFIRSASNSLYLLSYLRAYFENFDLSNICLADTKLNGISFYKCNLNHTQFNNVEIDS CNFNCATIENSTWKNIICKEKPSLFGHKSKINQIAFSDDGNNLISSSEDGVITLWKLQGD GEPKSVCLPQNEKLQTFLKSNNFLVCLTQNSIYCFNSNDLSQMGQQLLQNYRYHNLYLSQ DGKYLATKSSSEEIYFWQMQNLLKLQQQKYLSKGKNKDSIKCIAISSDSQLLATGGTKIK IWNANNIKDIQEIIEFQQQQQPINAIVFSKDSKVLVIGGNKRLDFLNIENLYQVYLLFSL DQQVTTNQISFSFDSKMIASMSKKNSLKLYDVQQILDQQDSFRIDTQLKIYLIEISPNSE ILACCNQKNSEKEVLQIQIWGLNNLHQIRMLSKFVEQTEDILCLKFRNDNLVLGSASKDS TICLWDLQKQRLIIRLEAHTNQVLDFGFSIDGTKMVSCSLDKTIIFWNIINLDQKQVEIQ MQLPIESNKICFWPNSQFAVSLQFKQSKEIKLLNSIECSLIQSLEIEEILLDIGFSQDGS IMASLSEIEILRIWKIFEKSIQIEKKIRLSNLQNIQKILYLNTQSIILSNYVAFQLNIQD EENIYMQHQFQFSSTSSYCLSVAQNQKFIAMGNSDGFEIINIEKQISPNNLYITTNCMDF QFSNDSSLLAVATISGAFIKHLKTNNIIHKLEQNCCCLSICFISQNQIVIGLDNNSLVLY DIKDSQSIEKLVNIQLPNNPQKMVFLEKRQQICIYSEIQCILLNLSILDQIQLIQIDKGN TSTDLIFDQDQLFIGIGYENHICFQSLQDAIRIEKTLKGDELKNCYYQNFSQNNSNLFII VSQESIYTEFEINSAVILKQIDLKLKNFNFFTLNPQETQLIAIESYYCYKDDKQKQKSAL IDLETNKIIQFFEVIEDATIQKLKQVIFSQDGLYFISSYSDLVIKLWDAKTCKLLSMFKS YTPTIEIIQVSKRGIIAQVSEKVIKLWNLKALKQQFEMDGHSDSVKSLCISPDGFQLISI SNFEIIRWDLIELKKIDTLLKGRRLPTQVCFSPNCQYFTALDDQLGIHIWKLNTKYIIEH FYVVSCLSESDLALKIEQTQLFCKYKNPKLLIINLDQVCKQNKKLIFQENLSFPSRSFIL SKNILIKTNPLEVIQINQSELKNEQMQGIQVNKVKYVAICENTLKLAIQDQDSIIIWSID KKQKESILQEPQVSNKEVMSLVFSGNGKLLFSCYIDNKIYIWDVNDRFVLIKVQELFNLK LDYTIDNLSFYDTKSLQIFPVKDEEYGVLSQKYYGMYGFLSAMIVFQLGEVRILEETFDD PSILNNYSAGFNEANNLIAIQFDTYFNLYDISSKKSQLIAKLEGNSFKQKYQSQILAFSQ NGNMLLSLGRNHTIRLWDIVDKNSIKVKINLTKPVEALSFQFLDSQTIRIFSKSGELIHQ TISDFTEIGVIEDKQGFDFSTLNQQNEKPKYFCTFKYREDLKIFDTEKNELKYTLNNFSS RIMDLQFTPSKKQFIIGMDDGSILLYQIDKQTLKNYKLPICYYKFTKSSLIEAFYCRINQ SIIQNNENENLEKLFIEKGAIK >CAK69831 pep:novel supercontig:GCA_000165425.1:CT868073:98075:98695:-1 gene:GSPATT00038276001 transcript:CAK69831 MCLLYQQIAKPTLTLQNKSKKENRQQFQNPKKFESSNYQFNIQNQTSYIQLRGGGCCGTK KVYSDFTYRQNQLEENFASDLQQFTNIIIEKSLRFQDKMYQDEVLSAFQWFQNHKEQFHI ICQEESLLSHNYELIEKMVEQLLKQLTTYLKLSGYLFYSLLQICNDLFRIIFSYQLKNEE RYMKEDLKKKLLGYYI >CAK85772 pep:novel supercontig:GCA_000165425.1:CT868548:279:1661:1 gene:GSPATT00039730001 transcript:CAK85772 MKIIPESILQASVKVANEAPQDLKANLRRAYAHFDQEFLNKCQKKPLEFKACLFALCHFH SLVLGRKKFGAQGWSINYNFNDGDLRICANVLYDYFLKYIFGEIIYGGYITDDLDRKISA VYLRDLIKPELLQYGFNLGPGFKSPNYSKNQYEQYMEYIEKKLPIESPQMFGLHPNAEIS DLTQQCDTLFITIRICMVVKVTILMLLKNSMQKKFCIANWSPNQIVCTQECQRMDILLDE IIKTISNAKLNGCLYSIIIIKQSTCLLDEICLFLKKVFGCLDQRSNLKIQIFKLMGKKEC HPSFIVYQSKTTFGCYRIINQHDNFQRMPQLRVVLIFMVCTWKGLHGNQVVQKLNDLHPK LPVVNVIAVTEEKREYWVILMHSLCKINERTIICIDCKSQYEKLKYRHIQMDSQWYLSIN E >CAK75746 pep:novel supercontig:GCA_000165425.1:CT868216:3:1133:1 gene:GSPATT00039084001 transcript:CAK75746 YDLAIQNNPENSDYYYSKGIVYKSYYIGSTLEKMNRFEEALEYYDIAIQKDIENSNYYFN KATTLYKMDRLEEALKQYDFAIEKNSNNSNYYDNKAETLKKMKRYNEALEQYDKAILISP QSSYYYNNKAITLEGMGRLEESLEAYDLAIKQDPENSEYYFNKGKLYSGYFKAITLNKMN KFEEALLYNDQAIQKNPEISDYFNNKAETLFKMKKYKDALQFFDQAIQKNSENPLYLNNM AMTLDRMNRVQEALQYYDKAIQKNPENSDYLRNKADLLNQMKRFEEALYYYDLAIKKNPE NANHHYNKGTINFENFQSGYFR >CAK75747 pep:novel supercontig:GCA_000165425.1:CT868216:1447:1893:1 gene:GSPATT00039085001 transcript:CAK75747 MSRFQEALKYYDLAIEKNPENSEYYCFKGIIDLQFQQRLLQDFLDRFDESKEYCNFIIMK HPKSLNYQQFEEVTVSQMNRLKETLKYYELAIQKNPKNSEYYQFKENTLKKIYEFESAMK SCYQIFRITR >CAK75748 pep:novel supercontig:GCA_000165425.1:CT868216:3351:4085:1 gene:GSPATT00039086001 transcript:CAK75748 MFCHKHILKIRKLHFQQEAFKFISKYLTLQNICKFIGPISFIFHQNNYKQVSLMRTINFI IQSRLCVQQQSSYMFNRNDSPTASFTEQLNKKHYTLSIEISKRTKLKGHGFTNDQVLDFE IIQLNPIQFSPTNFFSIQARSIPLIPKITFSYLDLKSFVLPTFCQPICQIIWINFSLKIF IQFYQKSFFKFHRTYIIFKPYLLALNRNSQQIKFFLPFSIEDLHLIQSVHQFYLRFLSIL QMIL >CAK75749 pep:novel supercontig:GCA_000165425.1:CT868216:4161:5593:-1 gene:GSPATT00039087001 transcript:CAK75749 MYQQDVDKKDYYLNKMEECLQSVQNQVESIFNNIDAQLDQIFTSTSYGIDDNYQNSLQAL IPGYSDNFPSPKFYNLNFFEQLYYDIQPLIILNIKKQEQTDEQSQNQQQTNLQTKELTES VVNQCENEKDNISISMESKFLDQNKGSQTLDDNIDANIISKQSRILSSSYPQKVKPFCYE LIQDYSFKQNEICFAIALDKSSSILLAGCRSQIKLFEFRYGILKHLQSLNEHKSSVFTLN FMKKSNHFISGSRDGIIIIWQKTQQNLWSRQQILNGHSNQINCLVINNNEDQITRPLFTQ RNLKYSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXKISNRISNQKNQKMIRNIKDFRYIFLFILLKL >CAK75750 pep:novel supercontig:GCA_000165425.1:CT868216:5688:6365:-1 gene:GSPATT00039088001 transcript:CAK75750 MPDFTINELFIISVQKSKLQILDQFRCNDKIQFLEPILSVLEITFFVCHPNLLSIDFECK KNIISWDYLNYELFHDQGHFSIRFHQYFNFFHQVLGHNISWFQQFHNIGQYQWIIQKNSV IYYSSIRFQQFTQHLIVEITFVLKYIISCFIKGCCYASSSIIDCFQVDLIFRLKYISLLF DLFISLLTLNLSYWQLKPTNQTNFKQLHSSRYPSLILILKNCYFF >CAK75751 pep:novel supercontig:GCA_000165425.1:CT868216:6868:8829:1 gene:GSPATT00039089001 transcript:CAK75751 MGYQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXICIVEL EETYSTENQTEPIELESVFQLMKMQLLQKWLQNQEKLKFIVQLKEKEKAKQEYQEGLIQG KTMAYSDQDSKFPQIKRVKIGQLAPNKQLKITFEYIQPLQVYLNKFWKFELFPVIDQNYV HFDNQKMFGIHDERLHNYFNELFKINKFRFEFRQEIQVEIDFNYPITFWKSPTHKLQSTN AKNNSDVQTEGNQKRIILQLQNIPENYETTRQFTLLFSSDEINLPRAILSHTDNDALQYQ RYCATLTFIPKFNEVSLDDAYTQYLDGLSIADNQVINRGNYLFIIDRSGSMSGSRIEKAK QALILFLKSLPQDSEFNIISFGIADIFLFFNHQSVPLNNVSSQQQFLGIVQNEAIQHVEE MAANMGGTEILTPLQQMVYNASYGTSKNTTLNVFMLTDGETDADQIIQLVQSNNQAQTRI YTLGIGQGCSQYLIQRVAEVGNGKSQIVSDKEDINEKIHQHHIYKHLHQNPIINSLLKEK LGTYNLGSVSYGIIKRKSRVQDQLY >CAK78285 pep:novel supercontig:GCA_000165425.1:CT868299:236:3525:-1 gene:GSPATT00039268001 transcript:CAK78285 MQIQNNGHSEKTQTIKSQNSFNLRFWQNPPEPNLSWRSHTTIDLFSDFSDFINARYQEVK RRSSDLKSNAKIGFQVAIYILNIFELGFTLGYKSHNNIPDNESVYLSCYYLSIIMCALLH SVTCIYTFRFRQVVKLDILSIIGQYFISLIEGLFSYFKLAPFIHYYNRNKSIKQFSFSEI NKYLILEDKDKFRNSARLFKKRTKPVNMFRDLIFHRVALVSMIITLCLQTLPQLFIQGFY NTKEVLWDDGYNVFTYILLIFNGAYYLIELIFIVFTTSYRQMQTEIQFKLHKIKLKYLNE TKQLLKSDEKYMAFVKSFYFHIDPSNFNSYQKKRCMVQIITFLTSQKQFQNIQFHFIDGY ESVTLQYLANCFRLIKVEKISLLYKNQSMLNQLRDIFPVQEFTQLKIEYQEDDSMDYLWD NDKFDADAEEERIQEIQFIENPKLNSGFQVVTMNQNGTQENDFIILSEEFKSVNYEMRED SNKIIEKAKSTIKVLTKTYLLSEKLGRFKFLLVVKELYDAWSQLTVFQAALQSIWTLINL SFSIISLIYITNNLDPYITSLLGLAFGNFILQLISFIIFQERLSKDFSFLEKAIYALIFG LLNLIKVWDIAMIIMYNFIVKFHDLANRPFNAEGYKKFKSYASKFKGSLPISVFQFVTVS SNSEGFFAMKQLPFYEAVMWRASVEEALNKIPQLFVHILALSSNGSTGLWVISFLQQLKE GVQAVKDILEITIKDFYIPALILSTVSVEQFYQSMLYLNSISNQILLEYPKSFQIMSKVK ESYIKNKCTFKINLKTLDFSNYEGDKKEKMLAQFRQVLASIQSVLEIDQAQRLLCMGPEI NDFVKCIKASQIFSLKLNFNLDEIRQQDLQQVNSYMEFFPSKLKFLQISVETFEERKMNF KLGNSQTIRWFSYSYYQIIKQYRQQQINPSQAILNIDDQFIRLDRYNFEGLFFEVAGNLQ LQKCHTLFQRFQNLKAMKISLKNLTNEMISFNFYKNISGSLQYLDLTFENLLLDFSQFSF NNLKNLKLIIKKCQFSKVQFSNILRDMNNGKEKKIYIELIKVQEFQDDELKYLVRLLEQK KFEVTIKKL >CAK57757 pep:novel supercontig:GCA_000165425.1:CT867994:3602:4416:1 gene:GSPATT00028792001 transcript:CAK57757 MKYTQIQSKSILYTNSHIGNQVIFFHLFLGDLLRDEKLYQQARQYQCQLMKIIQQPILEQ VLIQQILKVNAQLRITKRIKLYHIMIEPFRQAHLTKFFQYPRVRLQFQVFWILFINTELI MIVRKLERYEEAMKIYDKVQILILKIQRHMQQKGIFQDCKANIQMHANAIVKHQILIHRK MIYYFGLVNQIQLTKGECLTLSGQYQEAIEYFDKIYIHNSIICLQNLLNILIIMNLGYIK RHKNIQNLTKKNQQP >CAK57758 pep:novel supercontig:GCA_000165425.1:CT867994:5387:9232:-1 gene:GSPATT00028793001 transcript:CAK57758 MNLVTCIIFVALLFTLVQCEQCSPLCDICDSLSTCSRCIQDLKRILQLVQCICQEGYYDD FHNPICLPICGDGMIVDGEDCDDGNFDPFDGCDQCKFGCQDSCQDCQDGWCYECKTNYIL DASINICQINCLDYLMIGNPLCQNQDCFDHCVLCENGICKECDETNGWYLIGIKCEPNCG DGIIAQGQEQCDDKNRNPFKFCDQCQLSCTEYCELCQNGLCLQCKFGFKFISKIIKCVPY CDYNNMILNYDECVDNQTNQLIHLNKNCNLNCSQCFNGILCIKCQIGFLLINNQCRETYS IQVYQEQQNNDVELIYSKCQPSQFICNLGCLSCLEGRCGLCDTGYHLENYQCSTICGDGV IVGEEQCEDGNQIQFDGCYNCLFQCQQECLNCQFGKCIECQIGYENIEFSCHESCSNSII TFNEFCDDGNLEPFDGCFNCNYSCDQKCEICNQGICSKCFDQGWELNLSINKCITICGDQ IVAGDEQCDDGNDLKNDGCYECQNECQKECLKCMQGICYECIAPHWILNNNVCFCETCQI VCQNECSSCIQGVCYECQSPGWVLDNNNLCIQECGEQCTQNICGDGILNPLIEECDDGNN EIRDGCDKCLLEKGFFCNHDQNLISQGCSRCKDLNCLQCNVMYELQICLECESGYYIDQF QECSQCDLSCIECSQNYKNCTTFNSQFQNQQNQHKCNFILGSFNDFDFYECISKCGNGFL DAHEQCDDSNRDILDGCNEFCQLEEGYIFNLATHSLQVDPYIKVERLQSNNNYYSLFINQ SQESLNLSSLVVDIEGFSIQDYNYSVIENNSELDLRFLFFKTIEANNLIHVSIQYRRYYK IRQLEETFIKEIIVVPQRQVYVSKEQRLQGENMAEGYQTLFSVQIYLAPLAVIFGGFQLF LAILDIMSWMNNFYFLNVNYPENVMILFLQAEWSNIINIPSLKLLNKPNDDYYFLAPIKF TEKEIDPLLFNNIQTPIIFAFQVLFTYLLSVIVIKLAQLNYRKNRKQQTKFSIFQLGDIV KPEKSRISEVEQNFEIPIWFQPFFQKCVYLKSNLMSNLMRSFSLSYLDITLAVMLQITNQ QTAHNFIVKINVIAAYGFFLLILYLIYISYQISQAHQVKLENKQFFQRYCCFYEEMKTQS SNSMAYSCINLIRKTSFIVSTVTLYSYPIFQTLFCFLSSLLNLLLLLTNNPFKGKKQYIL NLVPDFCIFIIVGCSIIFAFQDRFKILKDEQIYQIGWIVGVSIYISIGLQLIFLIQEILF SFWKQLKTLGEYLKRKCIKNQ >CAK57759 pep:novel supercontig:GCA_000165425.1:CT867994:10687:11060:1 gene:GSPATT00028794001 transcript:CAK57759 MNQQQQEVQAEKPGFWQNVKKGTYLGGIVAGTGTVLTKAGLAIYGFSSIGPVAGSIAAAT QASIGSVAAGSAFAIAQGVAMSGLGVIALPAVGVGAAVGGGYVAYKHLKK >CAK57760 pep:novel supercontig:GCA_000165425.1:CT867994:11626:12819:-1 gene:GSPATT00028795001 transcript:CAK57760 MINSIKRTGVTGLKVIVDKNELNKENDNSSTYIFKAFDAINNREIIIKIPKKLVDNQGKI SVQEISEAEELAQTRFYSSCYACQMAYLFNQKLNESNLLNEMQPLFYAHPILYTLDKPFF GMTKVYGETFLQIQFKFEKYTTNSAYIEQNKYFYSAFSHFTYQISNKLLVIMDLQGCNNI LSDPSIQTDQYWESDLDKDETNRKNKGIEEFKKTQHKDCSYLCKKLNLPEINQQSKINEN KCPKELISNINGICYDCDEFVKGDMDQLNNLKDKKFKFNCNFCLTEQSNKINQECKCCNY IFQTPLNSQIKQLTNLGECADCKSKCLKEKQKNACYYCKRVCQKIMKQLNINDQAFYICE NALEYLSAFRCKKCNKPYNRNSIISLEDYNGKSFSCC >CAK57761 pep:novel supercontig:GCA_000165425.1:CT867994:12884:13558:-1 gene:GSPATT00028796001 transcript:CAK57761 MIDVVIVMDLTGSMRPWKETMQQTIAKIIDQFLNSVNGYQVRVAFIGYRDIWDNEEKLVY WSFTKKIDEIQDYISKLEAKGGDDEAEDIVSAFELALKLDFSHNPDSILCTFLIADAPCH GGDYHNIESDNLIDKMPKNYFEDVLEKYKQIKKNNFLCCVKINNRTDIMFQKMKAVMPLM IITSEKKPEDLIEVVGFTLRMSVTESKKLKSQINNKNLIFQSRI >CAK57762 pep:novel supercontig:GCA_000165425.1:CT867994:13632:14321:-1 gene:GSPATT00028797001 transcript:CAK57762 MDQKEQKPYIFTEICLQSIYCKDNSCQLNHSRVFVGVCVEYLRNEQQFYDEGGEEYEQTK CNKKDCNLWHFQNDKFSDQLSKQTINGIFPHNLCPKSCGFENCGYLHRKWAEKVCFNQIL KGSCRNKQCELEHVRWELDILKERARSCSLNQDTTPEDLCEKEKCNCGKHPPWLNDYCIL YLKGLCPNKKCIKNHTDWEKINTKINQKKCFKVLYHHRSRKKKKNSFNT >CAK57763 pep:novel supercontig:GCA_000165425.1:CT867994:14989:16752:1 gene:GSPATT00028798001 transcript:CAK57763 MQGFYNQVKSLMQSNHEEPNYDDNYKCFCGQQIRCDPFDDLYNHISNCKKFLSESMFYKE FEKLRLNIFKLPQLYTLKAEFLFIINQIDEIINKIEEISQVNSPRSANRQLKQQIPPQSQ FSQKQSFNNIHKKYFPTKSREQEIKQKCSVCGKLDNNEDEMETVYNFQICQHAHCLGCIR KLINQQYILKSGCVQCSYCQKQLSQQEIEKYVGSERLLYLQKKLRTGESPAQQKLQCSFC YRYINNKDIKSGQRPVCNQQECQQKNLQACQKLISCKHFCSGYKDEKKCLGCLGCTNQKL SEEYCTICFTESLYEGPCIQAACGHLFHFYCLNKKLDKKWTSMYISFGFCCCSICKRWME FPNDSISQQKMIEYQELQQLVRKQASLRMGKMGDSQILKVEDALDIFAFYQCYKCKKPYF GGKKDCQLELEAESNRQSQQDLICPSCCGQQINGCKTHGTEYLEYKCRYCCNIATYFCWG TTHFCQTCHTKQSGGKYLTQLKKEELPQCQGKGKCPIGGNHAINGQEQCLGCGKYLNNYQ GLCNN >CAK57764 pep:novel supercontig:GCA_000165425.1:CT867994:16838:17410:-1 gene:GSPATT00028799001 transcript:CAK57764 MKTSMQQSYQNILKISQHIKQSRTTTPPLSTKKRVKSATLLKQQPFDKLVNSTTRDEETT RGILDSIRFDNDCKQQNEDDQLKKIENYKYQHEKDQCYITHLQLQVTDLEQQLVEIETKQ KKIMQPIKAHAKVLMDKISFLEIAKTQYSLEEQKLSQCLQKVLDHNHQIQSAYEKKCQEI QKLKSLKQIP >CAK57765 pep:novel supercontig:GCA_000165425.1:CT867994:17454:20427:1 gene:GSPATT00028800001 transcript:CAK57765 MDQEEEQGYFLNMTLLKKSFVSAFKDQSQVHQILSNIQFSVLKGFKIRLAANEQIDDVDF DKIIFLFDGQKVTSNSMSHILINSENANYLSPKTYYKILQPFTSNLKMLSLNIMDNAGLS IVEWLKQLSLIIQSCVQLEQLYIQIHKFVTIILNKGYLQIKLKCGITNDGTSIEQLAQLG SKLQFNKFRLKIDEDNVIKNSLDHFGPILLNCNNLNYFSLTIGQSCQLNSTQFLQCLNLQ PIKTFKIKIGNSNEIGNQALLTILQLIQNQLRFLKLQVGSSTKIFKQFQLQSQYNEVTMN QSQFNKLEQLNFKIGKNNDFQNGGAKFLALLLDSPQLQMVKINIGDSNQMTDLQALSIFI LLQKLRTVNILELEFERNICVNKLCLEQLEKLINKSSNLNSLSLNFAKQQQNLDFTNLFY TLGQKRNLVNLDLSIGGQQGLPDLFELGQRLKELEYLSVFKIHLKGNNNIEYPKLQQFLQ LVKSNKTLNLLSIKTGSQKSSEYRQEEMNSNALLLKRICLFGTPIIIYFSHLNDQISYQY NFDRLQLIGNLQVISRDTLMPIPSNQLVLQIRDVQRVDNQQLKQIYSLIKQQDYIDIQIS QLLIKYQNGVSVMKADSLNYLVQFVAFTQRQITEIKIQEEDFLWQGISQLLLEFIRRQRT AEIPEFKFISKNISIILQNSSLEIKAKDPMELNQEGFSEFCELLFLISYFEVRFLKIEFP ITNFSQDWNQLSSALVHFEQLQKLDLNLKNLSNNEGHCRDYKSLHQNLLKVFMENKYLTD YDYFSEKAVFPITLQYHLGNQIKELEGQINQQEAQVQRILNGNPIPGDQNVDFDQLQQSL NANKKDLEERNKDIKRGKEFDGIKQKVLLRRRRLTYQYFMAIKKYSQRLNKQQMISDIIE ML >CAK57766 pep:novel supercontig:GCA_000165425.1:CT867994:20484:22952:1 gene:GSPATT00028801001 transcript:CAK57766 MQKHPILPINTPRDATYPIQYQPTFRKQQDAVTPTLNAMSKKTEIPRAPSAMNNKLYAEQ TMMRTKQYQLDQQQLTSIKGSLSNCNSNKSRSSKNSTGFEQVANIFSEAAQQNQSSNIRI SHFHTNTAPVNLYEQKFNDEMFLLKDQDTGTVYDIRQVEKIQVNKDYMAKLKKRHKSAWQ GWWQQKRENNHYLILNAKQNNIKEVEKLLEIPTKDLKPEINIKDDNGISYMMTLGFASIH YSCLNQNYDLSLLLLKNEADCDSVNAQGQTPLIICAQNGCDSIATLLLTIGSDINHIDNH QNTALHYACLFCIQLLIHLFLEHIRIAEILLARPSLQLNLKDLDNESPVELIQNNNALKN LIEKHYRMKKESFNQCHKVQIYDDSKEQQKVNSPTSKQQYYLTNQYQQTSKCYSPYQTDN QFSTSNRSTLNQVSQLNDSEMIGPSNIRIILQIGKGSFGDVYLVEKRNQSKTTQGQKYAM KVLPKSKFLGHNLIRYAMAERNILSYLNHPYIVKLRYAFQTNTHLCLLMDFCPGGDLSKI IQNQKRIPEQAAKLYIAEILTALEHLHKNDIIYRDLKPENIVIDAQGHAMLTDFGLSKEG VRDNYGAKSFCGSMAYLAPEMLKRVGHGRAVDWYHLGILLYEMISGKPPYFSPNRDEMLN NIECNKISFPDNISKECKSIIQLLLEKNPMTRLGASSRDADEIKDHPFFKQINWDDLLKK QTYLNYKNRKYKPPQPIINQEILNQKFDIPFDFILSADKSSSINYINGWSFINNDFSQF >CAK57767 pep:novel supercontig:GCA_000165425.1:CT867994:22987:25339:-1 gene:GSPATT00028802001 transcript:CAK57767 MSDLADKIVVVIRKRPLGKKELAKKDEDIVDVQSDQSVIVKEVKQKVDLTKYVEEHMFNF DLAFDQNASNQQVYLNAVRPIIEAAFNKARVTCFAYGQTGSGKTHTMLGDVEKQIPGMYI LAANDIFQLLQQPEFQHLMVGVSFFEIYCGKLFDLLNQRGQIQIREDAKGNVNLINLMEK KVNSVQSLMQIITQGQTVRVTAQNGANNESSRSHAILQINLRAGKNVFGKLSFIDLAGSE RGADVQDSNKQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLTGNCK TVMIGNISPSSSSSEHTLNTLRYADRVKELKKPQDKQFQGDYIQRELMLARQNTNVVRKA YKNPDDEDDEDISCNSMSNSFFPQQQQQQQFMFQQQQQQQQQQQQQQQQQQQYMIQQQYQ QANSYVFQQQQQQQFIPQQQPQLQQQQNQPKLSQLPKTSSQQNQNNNILNRFNLGSLPTI NGGSSSNIMPNNTKQYTQQAFLQQQSSCNSIMTEIPQQQPQLFQQQPYQQNKTNLFPQQQ LQFNQNNNNLFQENNFDQGYQQQQSNQQSIDIRQDSMVEEELCINNPNYFSVTGRYSQES QSSRQSSTRPLQEINNYEGTKYFNNRSFTNNDYQYQQHILNEHKQAIDKIVEITKDEMIN LQQVQSPQDMSQYVSKVMFQLQNKMDLIGNLYQKMKQYQKDFETRGMPFQPNSHTFSLFQ QDDNLLNIDDNVV >CAK57768 pep:novel supercontig:GCA_000165425.1:CT867994:25371:28346:-1 gene:GSPATT00028803001 transcript:CAK57768 MNEKKNDFFSFFNGSQKKKETKKIIFDENFFNLQVNGGKIHSDRIVIEAISVVGNCILVK EDNEKLETDIKWFQISPSNDFNTLGITGNSYQPSIEDVGSKFMIQVVPIKEGRQYEGMPK SLEIGPLLKDETIQEEIDELLDNEKMTVFVTLEQILSKDFEIEAELPINCILKITLKNME LELKKNDQTIHHIQVPLQAQYPSCSSKQGTSESFILRVDQTNQFQMKAADNSQRDIILTV IKGFYGKGIWKDQETQQIGESEDEDESDEAEEQELEQQGDENPKDEQQEQILQQVQQDEI LKEEGKDNIKDIAQEQLNDKSVESQIIKQDREQEEIKILQNQQLIEQQELQKATESIEQS QSKEQDEQVDEHKSELEQQQHQIIEQKVNIEDQQNVQAIEEQKKPIESTAIITRTKSDEI IQQVDETKIQEQENDQNNEEKQQNDTIQEQQQKEIIQESQQQDKEEQDQQQQKQQQQQKQ EQQQQEQQEQLQLQEQQQQQEQQQQQQQQQQYEQQQQQQQQQQQQQQLQQQQIDAQQPKI DAASNQSQQIEQQEQQTKDIENKQQVENVNSKQNEQTNQLNKEEQQGKQRADHKFQSVIV SSENNKEEKASPIKKKEKKNNMDLYIQINENVKLKQDIEQYKVNQQKDNETIQKQKKQIQ TLTEQLNNCTKNYYLLEEECTNQKKIMHNLNDQKVFLQQDINMYKTQLLELTESSSNQKE EISQLQKRLKRQQQSQDLNEAKKQIEQLKEDITKKDLMLEAANKDHILAQQKIDDLEKVI EGFQNQLIHEKKKYESLALEKGMKQPQIIQKDFQRLQFERPISKDIKPVAQSMIQLDDAE KYSIDISQSMSNLDGDGEVNRLKNQIMHLEATLRSLKIAYEQDVRYTKGKSGKSMTGSSK DIKYLQHIANNMAEIITEKDSQLENQKKINKELLTKLQILQQQQQ >CAK57769 pep:novel supercontig:GCA_000165425.1:CT867994:29692:29853:-1 gene:GSPATT00028804001 transcript:CAK57769 MESKFKLYRDKDHRMKLLISIIFLYNPAQNLKVYKLNENNGQQQKDSNGKEQN >CAK57770 pep:novel supercontig:GCA_000165425.1:CT867994:30799:31362:1 gene:GSPATT00028805001 transcript:CAK57770 MGCLSSSQKEEDVSIALQSQKKLKIVFIGLEGSGKTAILQYLRNGKFTETQPTIGLNVET IQYKQRFYLIFDVGGKVRTLWSHYYDNLDGLVFVVDSTNQEKQQEIQKELKKLSEDIKTK IVLLMYLNKSDLQNSQTMEFGDIPYSKSDVIIQKCSAKSGEGIWEGIDKMNGLLDQKFQS >CAK57771 pep:novel supercontig:GCA_000165425.1:CT867994:31379:32497:1 gene:GSPATT00028806001 transcript:CAK57771 MLTNNQYTQHPVQSVLYQQQLQTNQRLPLQQLQQPVQMKLIQPQPSMIFQQQAAQSQLYT QSNQHVKTIQQHHMHHTHEYKIPQYQQAIVSAPQQSIVAAPPPPQQPEINEMFHVERELQ VLSVEDVEEPWKKKCVELEIKIFDLQTELGRFKNQGQELKVTSNEEFQVRELEAKIKMMK DIEDALRKEIESQQSEIDSWRQRYSKLQLEFQQLLQGGEEVRQLRDALAEKERQILKLEN AVNSQNVEMQNYMRLIQNKDQEIAQYKQMIHQLEQEMSEYQQTTEKMKYYSNEAEIWKER FMKANQDFHTAQEQWMMAQAELDSLKKQKTFTTTEKTTTVQQTNTKQSKAAAKQY >CAK57772 pep:novel supercontig:GCA_000165425.1:CT867994:32885:33460:-1 gene:GSPATT00028807001 transcript:CAK57772 MQFDFFDEEQAQIQHFESTHSYGETQSVQVLNKLAQQAPPDKQHPKQLEQVEVLNPPQFI HQLLKERPHPVERHEVFGMLVHFVQAKLYHPHTFQQFDQSQSEHLQQPSSDHQPQPVSAS QLAESVVVQSADAYCNVKQQRTKEIIKSQSNLIQLQFKCQLKIQDMISYVYILIPKLRIF KFLNIVLKQEA >CAK57773 pep:novel supercontig:GCA_000165425.1:CT867994:33503:35068:1 gene:GSPATT00028808001 transcript:CAK57773 MQGYCSLNWSVQIILCLKFKLKFMLVWNRLSRMPKLSTYCVDINATSGQCKQACSGSTVS DCICGTSSKSYCDSTKQCQSNSCVSVANCSSINQNNCSCGSSSKQNCGSTTYCKDPTAAS GVCVNKCQGSTVNNCICGSSTVSYCSSTQRCEDNMASSGNCLDICTTNFQSNCSCGQSQF SNCNNSKYCVNASASNGQCYDNCTDSVRSSCICGIATKQVCSNQQKCQDPTSNDGNCITI PNCINNFDNSCLCGITQVSQCSQSTYCTNVSDSTGVCIQNCNQNLKSNCICGSVNKLICS KTQVCQNPNDMNGYCIEKCVTNLQTNCQCGNSVTPFCGSNEHCHDVQQLTGNCVKQCNLF ENNCVCGTSYYSQCSSTTYCSSKSEGICLDYCSYQSSNCICGNKVKQVCSNEEKCVDPNS DNGICNQGTCTLQFQSNCTCGLSNQAYCNKNQYCLDQTALIGVCYEKCKQNQNNCLCGID SIDICHASTYCILQSKGVCMSECSSTNYQNCLILSENQSLS >CAK57774 pep:novel supercontig:GCA_000165425.1:CT867994:35089:35331:1 gene:GSPATT00028809001 transcript:CAK57774 MILLQNAYQLAKKMVKIIVFAVVGRMVQMQNQFVNLALNAKILEQNKVVVKRNVQLAKHQ IVIADKKSLNIVIKIRPVQM >CAK57775 pep:novel supercontig:GCA_000165425.1:CT867994:36053:36544:1 gene:GSPATT00028810001 transcript:CAK57775 MDKRFYKTCSKHAYYQYINRYDPKLLATYQTGYYHGIGRQGLFKTLVLRQAGDSIGMEED HSYTYKMHVMAMNLMYRSGWVLFAYILIWNTFLLGDPCQVFNTSYWDLACKPSGDMDYNT RYEMLYVQDRVLRF >CAK57776 pep:novel supercontig:GCA_000165425.1:CT867994:36549:36947:-1 gene:GSPATT00028811001 transcript:CAK57776 MDAEVTIFDKIVSGQIKANIVYQDDLCLAFHDVNPQAPVHILLIPKQRNGLTQLSKAQEH NKEVLGHLLLTVTKIVELVDELKKGFRVVINDGENGGQSVWHLHLHIIGGEQLTWPPGSS GSQNK >CAK57777 pep:novel supercontig:GCA_000165425.1:CT867994:36968:38498:-1 gene:GSPATT00028812001 transcript:CAK57777 MNKIILSIVFTTLLIAYIVIDIAFNDELWDVSKSFAISMQKTIPLFEQLFYEFFSDIVII PPIAAFYCFVYDDNKLNALLYLGVVITSVTTNEILKNIYHQARPYMIEPEIRSLKCNSDY GKPSGHSQNSIVMLILMPVLLFPSIRQLRRHRIQVDFQDNNEKVEPPTGYTIYTEKNSEL GNSQYQVNTNLQSKFKIAMKVILILFILLSIVLTGISRVFLGVHSLGQVLLGWVWGLYIT LMYIFVIHASLREYILNLVNPKRSRLEEMNHIIPMAFFLLIVLLGLSLGLLKMNSLYYYD KNDMSKWIARINECKSTHYTKESPQILYNSCFQFTGVGALITGLLVGAVLVQGSYEEGLF NKWKKKLSTLYHLKRFLLLLLPFVILIPFLFISSNSVVLQMICKILPLCFGAGFIVNGAF PYLLRRFNLQIPGDFLFFCQRKQLDDEQSQDSLYQQIKEYNDQYQIKL >CAK57778 pep:novel supercontig:GCA_000165425.1:CT867994:39577:40741:-1 gene:GSPATT00028813001 transcript:CAK57778 MSGYDINRFQPQPQQHLICLVCNKVVKDPYECNFCGQMYCSDCVATKPPCKSSDCGYPPN SSDNTPKYIKIQGAMLKVYKNLQLFCSNPECKKLCSIIDIEQHQNQCIKVKCLNHENCKE FLSAEEKYQTSYCSILCELTVQLFENVSDPKKQYDIIKKLQQKLTGSGQVSGFLSQNKQP AIQPVLSVDGCPSFRWDKNKCGTGIQLLNGDSSVFLKESSYIFRTVLGDQGFETGIHYWE IEADAKTENELKIGVCQGNAINLNAAFCDLNQGFAYYGLAQLRNGSNASGQTYGKRFKKE GILGVCLNMLNGTLSFALNGEYMGIAFRSDLLKKGPIYAAVALLHCAGCTLRTGKQKPQY ME >CAK57779 pep:novel supercontig:GCA_000165425.1:CT867994:41094:42589:1 gene:GSPATT00028814001 transcript:CAK57779 MDIQNQKRKSKFSFSRAPTNENSGALQQLKNRFKGQMLQLINKNENKDQDKQIQEFLIRI NVELEEEDLKYIKFDMSLYHENYIDGEILGEGCIGLVRSVTRKQDGCEFACKIVKTDAEE IVKNMILEFKNLKKLSHPHIVAMKELFIQWNEGFQSTGTVLVIMEKVKGREMFEVIQKQK NYSEWTARILFEQILVAIKYMHDNYCCHRDLKPNNILCAEDGTSIKITDFNVSKFTDGYK EFTNLNDHGKIEMWTYTGTVAFSAPEIFSGGLYNEQVDLWSAGVILYVMLSGELPFNSDY LNDLIDQISKCKYQMVGPIWDQISPQAKDLIQNLLQLDPLQRLTPEQALDHPWIKNVQNK SEIPRDTLEKNMARFLNQKTNNESELSAKKIKQICFLFGAGEIWKRHSLKNSSVSKISED LKKFKSIDISTYKKEIQVTKDQNSNHVYHIDYPFSDDSD >CAK57780 pep:novel supercontig:GCA_000165425.1:CT867994:42619:43299:-1 gene:GSPATT00028815001 transcript:CAK57780 MRTVILIVILICMAQSAQHLRQKKSHILNGQKQALIQKQVQYIDDDVSLNQEQDVQQEGF QEINVHEQKQVQIEEEAVIQIDEEQTLTPEQMEELDRKEQEEYERLKRQTLEEQHLNDED SYMSSQENERKENTQYDPESEMPVDVVEHQLTPVIQKDPIPDQKGVVSPFAPADNSLPYS SYDSESGFEIKESDIKMQGSISPSDLDQYLQEQYRKEMEQFANFHI >CAK57781 pep:novel supercontig:GCA_000165425.1:CT867994:44019:45264:1 gene:GSPATT00028816001 transcript:CAK57781 MRILILVLLSMALCKMGTDPKIVLAEIDDHHMGKTFLNAIQISLATGSPVHEIQSYINNI RFMLEQEQKDADLYIQNTQASCNRLLHDFSTNLAYHQSQLKAHQKIVEENTNNLQRSLNK IAEVSVEIEENTKKTNAGQSERDLQYAEFQSKIKDHTEAIAAIDEAYALIEHLSSGSSFI QVKGRFNKVLQRLQNQSTGLLFQPILTMMTQLSSKSDSDTAKKVLQLLANLRVQIVESKG NDESIEKQQSLNWQQFLSDLTNEKNTLSDQRQNLEQAILNYQSIIEESEGKVEYHAAEVE RNQSNLEGQDQWCRQQQDIYQMETQSRVQSQDLISRISDHIQDKIVTLKEYLRERLQLN >CAK57782 pep:novel supercontig:GCA_000165425.1:CT867994:45282:46407:-1 gene:GSPATT00028817001 transcript:CAK57782 MITEKSTLVFSEKNIHQQPITGISASKQNDNLFLTISEDSTMRLYDLRLQSSVKLFNLGK VEDSQTANCLLSDTQVYGARNNEIQLFDIRQDIIVKACTLKSENDSEINQITFDSQQQLI SANFDSGCTSIFDRQLNVKSTLKEGHENICFSGDFIQDEGEQLYVSTGFDYKIILWDYQY KHQIEEQPILQQASQAKILFKFDLSTIHLQYAPLTVSPPVIYSATSKDNSILISTETGQV YCFKVQQLKRKKNKKPPIPEFVIDAHKSKVMRTTYLNDKIVTISNDQTFAIWNQSVQVLR AKIKDKPNWIESIGNNTVLVSDISNKLSIFEIV >CAK57783 pep:novel supercontig:GCA_000165425.1:CT867994:46539:47235:-1 gene:GSPATT00028818001 transcript:CAK57783 MKRKLYKLSLFKEIDEFNQKDSDGHQSDDSSTSDDFLNTTFEAYESQIFISSNQTPIHSE QLQFIQGVFDKSEESEQSFDFNITNIEGDNRTTLMMRNIPQNYTKEMLIMEIDSKFKNKF DYINLPFDGTVNPGYAFINLKSKSYLKDFYNYFNGRKWKTNPQNKPCYLKYAKIQHRKFK QINPQIYLQQSSVIKLIQSQKQQCSF >CAK57784 pep:novel supercontig:GCA_000165425.1:CT867994:47426:49713:1 gene:GSPATT00028819001 transcript:CAK57784 MNCERRSLLEVDNKQQEQMKQNQTQTQQKLNLIQEPNIQTQEENPSNKLKDIHKEYRKNQ AFSNFIKCHVPLFSQVEKGVTVKMINHNIEAQNSDANKLGKVKTVQFNLQNNYLHSIVKH PSEYLTLNEKFNLQRSIQQYAMQNRFDSTSEEEDDDVEDQHSVIEIVVKELTQQPKSILK KQPKEFKHETDDDEDYYQNKGLSFKEIRNLEKKVKEHDYGVGLELLQKLGFKYGEGLGIN RQGILEPVIPVKKQVFTGTQSNQNKANEEDQVWLYDQPYETKNTKKADKIWRKRNQTDNQ QNSSSSYQLNSKDNIIQEYLDEVKELAQSVQHLLFNKLSKYEITEQIIQQKRDQTIKLEI EKEKILSNLEKQKESIRKKESFQKYLLFFQDDEVQISNEPFPLYEKFLECFIKHPDMFIQ FNLIDVLIKQGVEDITENTRNWEGPHTDILENEFKYINKIVYLGKDVFIQQQQMATTSNS KDQKILIIDNVISENDFLNLETIVDILVNPIKQKLINYIHTKYDPCSDNLLLEMLKLWTT DIQSIEIEEFQGQKVEQLKLFNARIIENISNLLVPKLLQTISNWSINDSFQLHKIILPWM SSRFNNQELSDLLIEKLLSIDLDNQPDFGLSVLSPWSKLRDIQWNQILEKQVIPKMISYM SSLDATNFDDIKRILQHFEHIQDYAETLFEPLMEKIKQHVSLRMEENVSCRDPGIHQSIL EWLNGWELILQDQVLSKSNLLSAKFKLIKEKLI >CAK57785 pep:novel supercontig:GCA_000165425.1:CT867994:49785:50099:-1 gene:GSPATT00028820001 transcript:CAK57785 MTTCRGPKIKFELMQQKMDQFSLTDDEDQIDLMQQHGERKVSFADSSIIFLHYQDEEIFN FRSRLKAQIQQKHLEPYYLNPSLCYSNLQNKRKSCFKEFEIQSD >CAK57786 pep:novel supercontig:GCA_000165425.1:CT867994:50967:51968:1 gene:GSPATT00028821001 transcript:CAK57786 MNSSQSSFTDLNDWALIYQNLQKIQPEQLSSKLNLLFKNLPDFNDFIKLYECCKIDTGDL WNQNEELLLQLIVLSLNPKCMKNRFNWERVQRMMPKFWSLPELYFKFQSFYKPLFPRQPW STFEERTLLQIILYINLIHIRNNSSRCKMKWSTIAYQYNRTCKSEIQRNAKQCRERWNNK LDPQINRDPWSKSEELNFLQLLLQNGRKWTEISMKLSMITNQRRRTEFALKHKFKQLKKY YGLRAKRLCDLKVSPHWNIQEADMISYKIELLEEITKKGQTQKYSFCEFWSFDHEQCLVF IKNGSLMQL >CAK57787 pep:novel supercontig:GCA_000165425.1:CT867994:52095:53198:1 gene:GSPATT00028822001 transcript:CAK57787 MNFLHIQSSQLSTDESSHPLNSKLNFAQIQAVDLKPYKKKWRLLFHHVPSAKIIESIYYD IQGQSVDDRESKEVILLQFILLNMQAPFDLKLNEDKWIHISELMPTHKSPKEWAQICQKF VHQSAYNNPWSESEDQLLLEIILQYQRMRKGNKWSKIARELNERSLNKCIRTPKQCRERW GNKLDPSINRDEWTDVEDLHLLQLLLQLGRRWADLSIQLSPFTNNKKRTEFSLKHRFKKL ISNSNCIESNSIRRTNYQISSDWDKKEINKLLCKISQLEMYINKGEVDNFYYHPLTKQIL LNESNKIVIIKGRSKVELDLSILFNSTNSESKD >CAK57788 pep:novel supercontig:GCA_000165425.1:CT867994:53258:54082:-1 gene:GSPATT00028823001 transcript:CAK57788 MSVQGLIKTGVVLANALLLTGGYVIYKRLYTNYLKFKKESKRLADGGKSDYQYLIDALNK EGKEGPLVQVNDISFIGTSEQLTPTSYVHSKVNKIQKFICVKGTLHEYNKDIIQTQWVKA FNLKDQNNHNVLIHVTNKDSFVASLFQKVLPPSENDSQIENVKEAFSVKVTEEGIAFGSS LYVFGNFIKNKLGQIQCAESNLICKAVDAKDQTQTYSYVYLFGAACVVTCCLLISGISLY LTFEQSEEKQNKVGSKRR >CAK57789 pep:novel supercontig:GCA_000165425.1:CT867994:54217:55236:1 gene:GSPATT00028824001 transcript:CAK57789 MESSLKTSSRSKKALNKALSKNYATKIIDLLSTQTQLCLFGSIGKSDSPTSRMRILRSLR IRTQEDQQNRVSKSFDLKIVRHTRQQQRRKSGIEPLSEKQMHLDCLYPKTQVSQQQILLN EIQKTKERKKTIFKRMSSILIKHQTTLRTIGNQNSTNNQRNSELQLFMLQESQQPIDEPV LLQSQEVKKTKLRQLVIDSELPKVVLNISRKCKKPFASIQSYVNQKTEKHQSQKDLGSSN PFLSYTSCQSLTEMNTQFHSNSPQTQRKLVSLKAACPLPEIKLISSARNYTNTNDINFLK QKCKNSIYCADSHRKRRIETKV >CAK57790 pep:novel supercontig:GCA_000165425.1:CT867994:55335:57488:1 gene:GSPATT00028825001 transcript:CAK57790 MNPYKYQSCDLPDHDAEYLNMVCIDEKCNKRQMLCCYCQSEHQKCKTIPLRKFTKQFQDQ LNQLTQETSKVKYTDLILYFDQIEATLEQSQKLINEIFNKTKSAIHDAKNSIQQMTTSDA QPQSQGCILQKFESEPSKENFCKLLIEIESFKPNSDKYSFTLKKNASKQLPQSTESVANG KIYAQQYQSAANTFTEQFLNLHEVLKRNITNFFTSAPTRVTEPDNDGKRFDKFKSDNIFT QVSHPNQQQQQQPKSIISIEDDIDPPKPNKEQKPSQKKKQNDVVSLPSEEDGCTLSQFKQ YLDKSTANKKEQGNKQNTNPIPQQKQQQQEVIQPKQPEIPNNKALSQDDNIGEGETILNV QESKEFQFMFEGQEITKLIYLNKNLIGGIGGTKCMIFDVSPKDQERPIRIQTLQYDVEFT DIAYLEMDEENGQLYLATKKGNMLTYIKEGVKNISFRQTYSSTHSLDKPGNLFLQVSAVD KCLYSVGDEKTIKIWSMKTMKELKRVAIQDLPTAFHLDYKIAFVGGSNFIQIWEPTTGVV THMKGLDSKVTKILTNDQKMFVSMMLKIKIYDKVGLGEYHLSKEVTFGQIFSMQLLKTWP MLVISYMEKSVGKVSLYNYVDELQPETLVDRLALSCAVKEQERVNYLAFGLSNGICLIYK MEQTFQQSQ >CAK57791 pep:novel supercontig:GCA_000165425.1:CT867994:57585:59357:-1 gene:GSPATT00028826001 transcript:CAK57791 MVVHLSQQECVNLVYLIDQNRYLYFGGKNPFNIKDLQQEEKQPSPPKSDGISFKIGAPKQ TRVSSPFSNQECQDYVYILDPATYSWSIKKCTGKPPTRRTSAQVWYEAPLLYVYGGITYE NKTVSDLYILNTEKFVWKRFFYLEGPPGRLHFGFSTQGMKKYLMGGASMPENLLMDDVWQ LSFENVAWETQSLELPGITWEKLPFDDMPAIKAHTMIQVSDKELLVYGGFNKQKQCQDRC LLLDIETYDISQLELKGQSPGQRAFHELLVVKENLLCLYGGYNVNENQLKNSEPLNDLYL LNLNEGYWSKPVAGGYIPAPRFGYVMSCNQNEVHGEIMLLGGRLNDGTVELMHICKERAW DEKDEKEKNKYDTYRELKKENKTVTVPTLTLVFDEAEKIILEQKRTIGEKEKDLKQLQQN SQLLEQKAEKLQEQIDIGKLKMDQDLENTRIEITELNQKADQSQSTINRILQLLAFERKK RKLVYRKALALEDLYRKTSLYIFEMDKVFVKGQSENLLETSINEDILNLIDQRNLLKRDT NKKYK >CAK57792 pep:novel supercontig:GCA_000165425.1:CT867994:59477:59763:1 gene:GSPATT00028827001 transcript:CAK57792 MKDWQLWAENQQILNPEELPPLHFTPKDQKNKSAFKLFKLDHIVEVKKQHPEYGFKERLE TLKHMWRNLTKDEKFIYVQKSKQLKF >CAK57793 pep:novel supercontig:GCA_000165425.1:CT867994:61786:64644:1 gene:GSPATT00028828001 transcript:CAK57793 MISLVLFYLTLQQASADCTTTDSASCEAETGCGWWSQGGCYKCSDWDQSNCQNVCGWYNS ACTKCTSIPNASCLSTGCGLSSSNQCINCGGLSTSTCSNYFGCYLSGGFCYASLFRTQTD CVSPYEWVDSKCQIQACSSSKKSNCICGTSSLVVCGSSEGCKDIAASTGVCKQNCAQNLN SNSCLCGTDQVECLSSTYCVDIAATSGQCKQACSVSTVSDCICGTSSKSYCDSTKQCQSD ACVTLTVNCASAFDASCSCGSSTKQTCTSTTFCVDPTASSGSCKQACSVSTVSDCICGTS SKSYCDSTKQCQSGACVTLTVNCASAFDASCSCGSSTKQSCTSTTFCVDPTASSGQCKQA CSGSTVSDCICGTSSKSYCDSTKQCQSNSCVSVANCSSINQNNCSCGSSSKQNCGSTTYC KDPTAASGVCVNKCQGSTVNNCICGSSTVSYCSSTQRCEDNMASSGNCLDICTTNFQSNC SCGQSQFSNCNNSKYCVNASASNGQCYDNCTDSVRSSCICGIATKQVCSNQQKCQDPTSN DGNCITIPNCINNFDNSCLCGITQVSQCSQSTYCINVSDSTGVCIQNCNQNLKSNCICGS VNKLICSKTQVCQNPNDMNGYCIEKCVTNLQTNCQCGNSVTPFCGSNEHCHDVQQPTGNC VKQCNLFENNCVCGKSYYSQCSSTTYCSSKSEGVCLDYCSYQSSNCICGNKVKQVCSNEE KCVDPNSDNGICNQGTCTLQFQSNCTCGVSNQAYCNKNQHCLDQTALIGVCYEKCKQNQN NCLCGIDSIDICHASTYCILQSKGVCMSECSSTNYQNCLILSENQACLDQLDSIYNDLTA KCVPTCKENGQNNCFCGSRENGLDVKLICQSGFECQNLGTKQSGCYEKCTTSKISNCYCG QEKSQYCNQNQTCLDVTQQVGTCKSTLTISNADFSTNLQIGLFAIFCLLTII >CAK57794 pep:novel supercontig:GCA_000165425.1:CT867994:66056:68711:1 gene:GSPATT00028829001 transcript:CAK57794 MIQISSPRNEQISLKTISEKSKKSKLNYPFQPNDNSSGFEINSLSFDQSELESQKPSRNT LKQLKIQQSQINCHSINTTRKNCYNSDIVQQVKESVVQEVSKQLDSTFFQENGNVTSEIL QQLLNKKLSDVQFAKQRNIRKKIQLDENSLYKLTQVLILLLIGIMSPTWLVLENTPFTTY GCLFQITLLISFILLHLFLKSKSIVSTKVKTKDVLMYLVQNLCYELFILLLSIILFLSDL LQISQLDVLNIIQTIACIICLAKNISLSNQSRQAGLKILLGNLIFFHLLECYKLRITNLQ SLNLQTFCRKYILTIVQLNSFQESEDNVSLALLEIAQIIFKYLLISQVFIYLLNLWNTYF SLEFLLANKYKQYERLMRDLDVDQQTSKTILKQTKSYELEEIKYLQLFDHLISNQHFILQ LKRLLFQQSQLFEQFSDKTQEQLIQMMELHLINPQQIISSDSLNEEESINFLVHGTLDVG IKNKQNYNFEKIQELEQGLHFGQLTFFTGQRDCLSYRSNHYTLLFKLKRQNMLTHLETNL ADKEILSIIINSVINKQNYSLLKLYCQCCNSSDHTLTSCPQLHISIDKKDLISQFLSPKN QDRIKCIIFIYLDTRQQIKKRNNMIKFRWPKSNFSSDISKNLQRKEKNPQIVEWKSSAFN IEINSDIPKEFTSEKILEQQMVSHQLNSINSERLIQEFQNDTHKKSKGLTNLDQNFEHNQ VNVGSLNFDGQDNYICPYQFVISESKPQLEDFDSSNMINNLNSYKSKQMSATNQNSLQHH QFISLTGQVTSVLNNEFYNQFTNLNIDKWWNYEYFDVEYNLQSIVQKITQGKGDQNVVKQ TQNYNLIIRIDENMMIQED >CAK57795 pep:novel supercontig:GCA_000165425.1:CT867994:68774:69751:-1 gene:GSPATT00028830001 transcript:CAK57795 MTPEQLMFKLVMYLNPLFWYKFYFYETIFMVTITIFAFQYIRGSKLNKRLAKIHMNQISL ELSKYFKNVGDKEQNILYEQDNPHTYKLYASNHPTLKFCLVGLYLHRRENLFNYYGYQFV FPSKERLVIEIGVQPQFRQYICFGIVKQNQIKRIKQEGYEDLKNICHTLTIPELDNSLQI LTEYDEIAQSICTPEIIKLLNANEKSIHIIYISDVDRDPACKICVKVMTNLSTSPDYQNL VSLVVQLSQQIASIKMDLKKITKAGQTRRKFNSKFKD >CAK57796 pep:novel supercontig:GCA_000165425.1:CT867994:69777:71184:-1 gene:GSPATT00028831001 transcript:CAK57796 MQCQFEQKFKLKISMENFPQLYSKERLHSFITKYKDLIQLDPHKELHCGIIHLDELHIAA QLLHQGELVAFPTETVYGLGANAKNAQAILNIFKAKKRPLSDPLIVHVHDKNNIYTDKPD FLDSFAELFWPGPFTIVLKSTQLETTEILSAGTGSIGIRIPNHKVALELLEKANLPVAAP SANLFTHISPTSAAHVFNDFYDQRVHIIDAGRSDNGIESTVIKPLGNELHILRLGSLAKE TIMKKIGQSDKLKHFAIKYENKYMQIEETEEDIEKELEELNVLERKNSMEAPGQFLKHYS ARINSFIVTFQSNTIGQQIDLDLKESVIIDFGSELKNRIADLDEIKYKSLSENYDAKEAM FNLYDSLRWAELQQGAKQILLYDFDTQINQKVQNDENVLSIQDKIFRSASGQKTYYNNNK FYLCQ >CAK57797 pep:novel supercontig:GCA_000165425.1:CT867994:71319:72743:1 gene:GSPATT00028832001 transcript:CAK57797 MQIDETNDTVTQMIDNDVQQQQKEAENNQQDNQQEVIEQQSLEQYQESNEILLKQMDDDE PIFILVSNPSEVKSSSMNKFLVYTVKGKDALGNFEVQRRFNEFYTLRESLLQIWPGLYIP PLPDKLVNPTGDQLLERVRLLNIFVMKMAQIKYLYYSEEFVQVFLRSSQADVCKQIQSLP KPNIRSQIEKFKHIFELDQVQEPDANSINKINQMQRFCKQSQQLLIKLFDQAKGLSGSKR CLKELFHSFFGYGLQEFEQQVLRPCLENEKQLILTNPDAQELKSTLDYLKDQNQISLDKI QDLISTEQRDMEAFLQGFQSRESLLLTKSKVENKLRDQQEQLTKVMIAKGGSFGKTQEEQ RQKIELQIIESQNDVDSYKYYYQMVTHVLTTKAIDKFKKDKHESYRRIVRDLAQLEIESN QVMQEVWTRIISLAESLRVKE >CAK57798 pep:novel supercontig:GCA_000165425.1:CT867994:72936:73760:-1 gene:GSPATT00028833001 transcript:CAK57798 MRRILHLFPGQGIQKVGMHASLDSHQYLNLVKYVPGLQKCIEEGPQAILNQTEFTQPAIF ICSYLRYQQIPDAEIQYAIGHSVGEYAALTIAGHLDPQETVQLLYKRGCIMRDCSKGRQM GMLAVASTQDFTQIVQKVLVKNQIIEPALYNSQNQLVYSGDKESLKLMQAELKKQKVNSL FLEVSAAFHCSLLSQGKLEFEKELQKYVKFNPKSEIKVIRNIDATVYENRDQVIEGLRDQ LDHPVMFQQSYQKIKDLNLQCIEYGSNILTKFLS >CAK57799 pep:novel supercontig:GCA_000165425.1:CT867994:73778:74092:-1 gene:GSPATT00028834001 transcript:CAK57799 MNRYKLKYKLPPRLKTQPIDPRVSYYEVKLEELSSEPSQKYKVQQSTSLTHEGFVRQRKT ISDYNKLPAPLPKIQTARKYNEEYRNIFSQRNLTRLVGGLQQLL >CAK57800 pep:novel supercontig:GCA_000165425.1:CT867994:74206:75681:-1 gene:GSPATT00028835001 transcript:CAK57800 MIHSHSRSRSRSKEKQLRPQETCQHNLQQIKKVFMDGRPNTNPEDVRQYRQTNRIFIKST HSFVPDPILRFEDVYCFPRPLQELIVKAGFPSPTPIQAQSWSIGLTGHDLIGIAQTGSGK TLAFLLPAIIHILAQLKQNSDPQCLIMAPTRELTHQIYEQFIKFSVGSGLKAACLFGGQE KYIQKNQLNQHPHILIACPGRLIDLVESGCTTLKGITFLVLDEADRMLDMGFEPSIRKIV AQTRAERQTMLFSATWPKEVQSLALDFCTQQPIHIQIGSLDLTSNRQIQQKVLILTKEQK EEKLREILKSLGPKKILIFCQTKMKCDQLQLYLIQEGMRCKSLHGDKRQSERDFVMNSFK RGDTTVLVATDVASRGLDIKDIEFVINFDMPKLIEDYVHRIGRTGRAGAQGVSISLFDSY EDAKLAGDLVGVLRESQNEVPSELSRLANVNNQGYSNYRKWNAPSGKIQYCS >CAK57801 pep:novel supercontig:GCA_000165425.1:CT867994:75834:77603:1 gene:GSPATT00028836001 transcript:CAK57801 MFSSESMQEHILYDKIYQYLWVKSETANPPAILIPDTVILIRSMPIYWYYTDKETGEIKK KMRKNVTKQNIKQTWNQQVGRGGVVAYLLHFIDNLDLNYPPEKLQISGQIQVIYFDVEGF NKFMDGHFELPFGILQQFIEPADDRNCKLTNHYFIAQIQAFWSKSVTLFTKRVCKKSFTN KSMNIYERHCTFEGPEYFSEATQVKDFQSQRISEQIDKMISHLDAISYGKLNISQGTFYF KVDKKARCWFLFCGNLKFEDDKQLKNLPKDLYTQSQIKIPKSIDNLMSVYNSRPLQLNRE HKCIRCGQLEKGSNFVEVPYHFLIDLPESPLPVEQWPLEVKKQAKQVKLSGANQEKVAYV NTVSQIPLTFQKIHEQLNIQNYKEFKQSQGFKYKTIAVCLDCYFIMVENQEKIAMQEVTN KEKSSMPRVKSKQFQRKEQSLNQFLNSTQLSKQIKSVKNLQMKQVCSETPTPKQNTIQKY VSLRLTNCKSITPIKYSIEVPSTADYLSRKTKSTYQDMSSTYKFKNSRDNYNQSLQERQQ NRLIEIKDEQEQ >CAK57802 pep:novel supercontig:GCA_000165425.1:CT867994:77640:78221:1 gene:GSPATT00028837001 transcript:CAK57802 MFIQASIPRTRFAITPTNVRYVCLDSTPNRQKLDMTQRIDDKLPIRQPNDRPQILVNQHK ENLTRIPGNSFSLSSSSKELQIQIQELTKQRDSMQYTLQEAIRKSNLMEQQLDVLDSILY QQLQSQKIEQAIQFQVNMDQFQDQINILTKKLQDLINDNNYLQEQYQSQQFYIEELGFQE NDQFNITHNFGQM >CAK57803 pep:novel supercontig:GCA_000165425.1:CT867994:78257:80803:1 gene:GSPATT00028838001 transcript:CAK57803 MFSQQLDQSNSQNSSQQSQTFLTVPRLIQQESPAYLIGAHKQIDFEDPNSLQKSEHRESL EEGDILGSKISIEKREINRIDEVEQNYKSLKLFQIEMQNVSGRKLMINTRKISDQSEIGI QKQTEQIRKPSSPTIRIQECPLYEQFTVAKWPKRWFYIIKFLSKLMSVQPKILKQSHLML IDDKAFVINHKIFLFNPFSPFIVWSRYIILILYILGFALVYLEFAFAATNSIFIIFFGFT IIETLSNYFIGVYIQELYTENRWQIAKQYLIYDIFHIIICLLTLFYSQYFIIFLLLRIQR LYVIKTNILNYTIPILIIHISKFQQIIRFLDDNYLSKYIISLQWTIYQITNSGNTIEIEG SDQSIQLQILSILTTIFGYLITIYLITNVINFGKQSHKYKVSAELNENVQRYLHRDKIEL INIHEFQQELKQELREELFMPLLNKLPFSKQFLQNLCQKLKSDVYSNGTILQMQNQMMDR LIFLMKGNVAQCIGNKVLANNQIPIQYFYCQMQCPIQLKCLSESLIAYIDLNEFLQLIKL FNSDFQKYCMLKDNYKIACHCCGYRNHTFHRCNHVFYVPNFKELILLNNTSEPNNRMGFC RDNGRNRINALMNKNLICITAISFAIINQIALEVDLTNEVMGRFQGTLNNFEDSILNDYQ EDKSSRQSMQQKIGTFDVHKSYNQGDSYSSQTYFQSSIPVLKVEEREASQNSRRYIHKHV DYSATNNSIKANLVKSSNSNELQVKRTQKVKKSNSSCSLNKKSGLGGSGISQVGSIIPQQ DVYEDDLDQVCNYEYYYPSYNIEYIANALNHKLMSKYLQFVN >CAK57804 pep:novel supercontig:GCA_000165425.1:CT867994:80843:81857:1 gene:GSPATT00028839001 transcript:CAK57804 MITKLKQLIQDNTAGQTNNPVLTALRDLKLNSNDTQFTQQAEISKRLKHFFANQQSKTQF LKQLLVVVGDQLQQENNFWIKLKMLLLVHIIISSQVARAEFSKMIINTRLSINIKSKVDV DNVYGQLCQSYYCYIYKLAAQTTLINEEFNKPQDDLMIYFTLSNQCYIGMNMQSVIEKIN QDHEPNPVMAHLVKFLYFDIQDIYIFILKDVKYLIEKNPTLIGNRQQLLELYKECQSLQS KMLSFYKFNRFFPHFNQIMPPHSIQIKASVLNATVEEKPLIKQPSIKSGPHEVREPLSVK NSDRKFQEYNRPFSPKQKMNQ >CAK57805 pep:novel supercontig:GCA_000165425.1:CT867994:81911:82793:1 gene:GSPATT00028840001 transcript:CAK57805 MTGPSSDNTTNLITWLLILLIGAPFNFYISPQIWIVLHPSLPIISYVMYLSCVFFLCLTQ FTDPGIIPRKEIIEKMKDENLLRLIPTEADNADYQVRICVTCMIKRPPRSNHCAECDNCV DVFDHHCPFVNNCIGKRNYAYFISFISTLTMAAISFGIEFLSFVILIATTDEKVQQILII VLMIPFGICTLLVFGLLVFHIFLMITGKTTKEQLKNLEKKSSTSRKCERTQSLFNCRTIV EKQQFDQIRYDQDLIKINPIVIPA >CAK57806 pep:novel supercontig:GCA_000165425.1:CT867994:83650:85046:1 gene:GSPATT00028841001 transcript:CAK57806 MQYLHLLLVAIACKEIQNKNQQFLTDDGYEDDDDDNTVSIFFLFVFGFFLIIFSAALLWY NERREAITEYRLVNMRDICKLGDCNEINHQLNGELVHMQGQTTTDVIIEDTEFGITLSSC IKLKRHVEQLQFVRIEHKHKKSATTYTYELKWKPDMVCSAGYPSEYQNKPQLWIVKSQDQ LNPDVRLGAFTLSQSLKQGCQNFQFLVPDDAQLQAAAKLCGKEFPHITINERDLYLQQHP YQKTVGDLRVCFEYVLCGNATVVSKQMNNTFIPFVIQDKWLSEKSVHPDEMDEDEGCCGD CCQKVAELAKAAEEPLKQIDWIREKQWSMKKVFLMELSKNAALTYKTRFLGYVLMVTGLL FMFYPVIWLFSLLPWIGQFTAALLFFIFLGVSMVISLPICLLIALVAWMRYHIIYTIINF FGLILVLYIVSMFAGGIYY >CAK57807 pep:novel supercontig:GCA_000165425.1:CT867994:85216:88402:1 gene:GSPATT00028842001 transcript:CAK57807 MGICSNRQKENLHTDFDNIPLLTRGELGFDIIVENIKVKMDDIQSCKVKVIISNYSTFET EIETEISGQFYFKHIHKVQLKTTEEQLKQQFVIVELKSDKDEQISSFNLNLYQIATGPFH FDYEFSQQGNKKLGKVSFDFRMAQILIVRFIPKLIDFNMEELLNKHEYCFQLRMLTSQLQ FHSEYSPVFQNQLFYKSQQIQSNKQILWKDSKVEMEVEVPLIEITSSSIQIALCHITKDG KKEIECEAYVNLNYALNQKAECIVDKGIKCYKIDWKQNNRRVWNHGVLEGVLNYHLEIIL PFHLKQQIVGVRTDKGVSQGTRVINQAKASIREIQQLSSAGQGLLDTQYIIFSKAEPDSE LIQQQNDFIIQIIENLKLSHKQSMICFEYKTQQDLFNSQKLLIDLAIKLLETADQQQELL REQYYTILKLILNRGELSLAQLGFSDQTQKTNDKLIKFKMEIGLNYQIFLQKGLSITLEK LKQRSLAQNERFFVENFLANAYFRIPELRNTIIVSVSRPNDEQIPEWRSLTKSSGGISNQ EFNDIFDWNKHFYEYLMKEPKYYGNNHKMNEIINQPLWQKQLGKRGSVFFLFIKEWCDLL NTYIVNSKNVPYHQLPGYFQLIKAFFSELKSREICNYPEALKIALCSLLKNTNLLNTIII ILFNKVSLYNSDDVIHCLDLLNKCFQKISQFNLCMPSFLDQKYFLNGIKVILTQCEHAQS IAKCLELIYTNYILFPGIFQSKISLVDLKKELTDMIFENLAIKFFIHWSYNVRLIFRQFL IYRIFHLHKPLKDRELNEEDLIEKYQEQLKPKKQHSYFDQRNSSKQIISQYIYLKYIRFM QQIEEGKHLSKFKSSHFILVTLNRVEELKYKLKKLQSKVQNRQKDDSFVQHSFKIISNDQ LDDTALPIQTIEQNSEKPVFNKGRLPLQKITLTETQLKYLIQACSEYDDSILQYQKWRQT NIPDNYNTLTEEQILTVIESFVVPVVRILEIQDQNEGNLIQRDDW >CAK57808 pep:novel supercontig:GCA_000165425.1:CT867994:88894:92307:-1 gene:GSPATT00028843001 transcript:CAK57808 MINQKVLVQLKDNYQPGILVAKDKVQLDDGQIVLTNSIIDNTIPNTNIHQIIHQLLNNKS VNINDCLFLMVNNSAIQFQFNCNESYCFQISNSNILSFNHLIEQIDHKQSKLLQSLIILN ELFKFNSNDNNLITIFNNNCFQYKYFNISNRIFAKNFKFPILNLFYNQLTNENNNYITNS NTQTQYQYPFQQIEEYNNYILQDLLKSINQISTFQLLSKLFSSILLLQMIKFDQLQQQSE LINKIESNLDLGAQSLCTELTIKKRKMGNKFIEQQMNQQEMEIIKDQISTFLYYLARQIT FNTNQVNNNDTQTFISLLFYSSFNTIQTNLEALIENYSIEKLNYQFYNRIKIERERDLKE VQIQFAQITLTTNLQIIELFDKKTVGLIGMIEEHTLTNTEEGKLIEKIKSLQRTYVKQMK IQPQNPQLFTINHSYGQINYSVAEFKVQNKFESLSKVAEFIQSSKDQVISSNAQTLNVQY KTNIEQYQNYMTKINEEQEKCNQIMVHQMKNQNYQDILKQLEQYNIQNYLNYYYQIYPYR YEVQDFVDTINQIYFVPPQFTLQEKLSYCQKKIYQIDHLVSANNLLFLTLEQQLKIETIN DNCVTKFINFKKLLKKNYRSYILRKKLKPKIRKIKIMNAIVQLQQAITKTGAILQWNHVI QDIQFIQYSVRKYLQKQAFKKQMFARSILRSVIDSVWVKVENLMAIKLQKIFRGNKIRSQ NNEIISQVKQKMIEFIKLKKTIFIQKNIRKYLCQKYYNQQLNKIISVQSQWRMLKTRKNY LKVKNQIIFIQRQFKPIILKSLVKHKEFLNFEKKETIQLNEFLKNNNKNLLDYEKLLNQQ FDDQKIRIFQIILNLEFLVDLTDVCFGWLQNYSLYWSQCFHKNKPIQFLEVSETQTFAVD AVGKVYQWGLIDDVLIQSNVKYFQSGENLAIYQNDKWNCLSLDEKQAKQNMASNYSSMYI KSNHMFGYKDNSIDSFIYSTNQALRNSTLEFKKPIDQISCGHNFILYLTKGQLWSVGSNK YGQLGLGDYNDRNTPSQAQIENVIWIECGQTHAVLKTFKKCFGVGNNKYGQLGLHKKCYN SPQCLISPMNENVLSISASYRGTLFISESNKIFMTGQFYTIY >CAK57809 pep:novel supercontig:GCA_000165425.1:CT867994:92332:93572:1 gene:GSPATT00028844001 transcript:CAK57809 MSAFSEEHNRDNSNEPPRKQENNKKVHEYPEDWPMNPSPRFTPMQYSQLFPHIPPPLDLE NKGFNDYDPQKYLNSDKKGQSSYAFRKHSLGEVPQIDHMDDDPHRNPYAFGYYPMKYPPQ PMMMKYPPGQQFMVSPWYPPRPPQQMMPPYCYYPPMYDGLQCQNTVSKELQSKVFQLVSY QKVSDFQCNCKKSKCLKLYCECFANNGVCSQSCNCQDCKNRIDNPQERSKAIEEALLRNT DAFVQCFSTKGGAQFVQQDKPLKEPSKDNSSVIRKGCNCKKSGCKKKYCECYSQNIRCNE LCKCEHCLNKTDAEIQAQQDLGQEDHNNLSKGNQKQKKVKLEKHEERSDSPFVQLEINLK KSNLHKVKKQENN >CAK57810 pep:novel supercontig:GCA_000165425.1:CT867994:93625:95181:-1 gene:GSPATT00028845001 transcript:CAK57810 MGIPCAKQHPQQPAQAVQNQHDFQNLLDKKQRNSLEVEEENKEQKYTKIDVAQNSIDLNK KRYSSRDMSIISKYIENELKNQSVKLERLTIDMRDPSMSVKGGTVVQRKEISQDLYEEDD SHVCYTIYTNPKYKRLKSINEHTMILLHLNENKEYLDLLYRVTNDYHFTIEHLDEFKDIL TRSQDSFLQNLLISEKYINEYGIFHIIREAIKFSKLELILQNDYTLNSTQLHYNKLFVDI SLFPIILATQHIQIFSIEFTQSIVQYKKLTNSNQDLLRFLNEIKQTIGNDIQFLSISQQN QNLVLSFIIKKMQSHKSVMISHINTVLNGRLGFVGCNSQPLIKYVGCELSDIDPWNNREF KEETRADSQYSLQYGWKLFGLNFKQYNISNIGFIYYNPNHMYKQNIRIYSLSDINKYSSQ FELIDIKVYCEKKQYKILFQVTYQDDDLIIIDNMAYLNTLMNSRLTGILVYDAEKHMKY >CAK57811 pep:novel supercontig:GCA_000165425.1:CT867994:95657:96028:1 gene:GSPATT00028846001 transcript:CAK57811 MKLFIVFLIMMIMINCQGQSFDQTIKQRYQKKQLVNSKSLAQNQYKTRLLQQDQDDMVEH QQKIQSSGNLENEANERMKVKKIVKKRRIILKPIPAPMNEKEVYRKKRKVHQKIRKCKKI IED >CAK57812 pep:novel supercontig:GCA_000165425.1:CT867994:97666:101080:1 gene:GSPATT00028847001 transcript:CAK57812 MSIKKISIRNLLSYKAIDLELGGNLNIFVGKNGSGKSNFVNALLFALTDKFGSFDRKMIE NNLISDEQLPIQVSITLDNANQRLPYSTEEVTIMRQMTTKDEFFLNGKAATVSQFSNLLQ CINLSRQNYYNIVRQGKISKISQMRDVEVFELLEEVSGVALYEEKKQETMNNIKQAQQEK EEIVKILNELGAQIKQLHDEKEAFNQLKDIQKQIQLHEVQLSLISAKSFQHQLLDLNTEQ SRLHNQLQSLMQQQQDYSNAQFKANKEIELFDQFFNENKQKIQEFEKEEQNMLKQYSDLS IQLNEVRERLHALKSVNKSAIEEEFKTTEQNLDKEMLKIQEQQVKVDKQIQYFQILQTDD PVKCFKDEMQSLTKQLEGYQKEFKNVEFKVSQINKDLNQLKQQKQNQINVGEVQGNIKKT EDNLLQLRKKLESTQLDNMELQKTKTHQQQKLCKLQNEQDIECNQLDKQLRDIFTASIIK IMEFAEEKGLTVYGVFAQLMNVKQKRLLPLIETASGPRLFSLIVPDTQTAKQIIDFNKDQ QGSVLRIYPLDLIDDRVTQFDAPKDTINLQDQVTLNGDFGKKKSLIAKVIGKWIAVKDYQ TALDISSKHEINCITQDNEVVYADSFLTKVGATRVQQSKTISLQKILAMHQEIKMLTKQD QEMEQQMEEDQNKLLEIQREIQNSKNNLNNLIQQLQSQDTSAIEKKQRELDALEQLAKQL DIPIQDTSNRIKDIQQKLKNPKQIKKGLTDDDINTLQQLVKEKQQLSQQITTLMNKKNEI KMKQQKKVDVNKIQQLEQQQSELKQQTDQLNMQLKGKQKYHQEIIEVQNENKKNLAQALK ERDNAKLEKSKIDLKIDSIKNQQTEFEMQAQQCVNQLETIEKDKRLIDAFSELDEQQSKN QIIKKIKELKTKFNHKFTQKHQSLFEKLNEIETRFSDYQQKLQNLKQIQQDFDNLCEIMD KKAESLLQDQLYKRFAEYFRHYFLQIVTTGDCQIKLIKGLRKNNKKNWGIDIKVSFKNKE VQNWTSFSSGEKTIVAFVILISLQKCDPAPFYILDEFDAALDDNYRNQVAQIILNLSKES QYIIITFRPELISLTSDLVTFYKVSHHNQQSSVIKTTQQEAKKILKA >CAK57813 pep:novel supercontig:GCA_000165425.1:CT867994:101374:102801:-1 gene:GSPATT00028848001 transcript:CAK57813 MKHHEKLLIVCSFDSVGTQMLDQKLEEYLQTNQEEIILYLKRTPITHLKVYERILFHLYE KAQNYPTKFNVIFDLFNTQFKNTYQFTVNLDYGEEINIQTKQNQQIQKIQFSQEGPLANQ FKRGANGGTFDHLHIGHKILLSLSLLAVNEHLTIGITGEVLLQKKKLTGFLQSYETRCKC VKEFCQLFRPDIELNFSELIDPAGPTKNGQFEVLIATQETQNSLDYINNLRKEGGLNELE GYIIGIIENSNNQGDVKLSSSQIRGQIQEKNRLSEQEYLELKSEWLSVSDNLYWFESILV DYYSQPQRYYHTLRHIYDMLQQLKGAKNMKNAKLATFFHDAIYYPRNNDNEEKSILLFQQ YAKDCNIDSQLISTFILQTKSHQTDVSFLPEDDLQDLMVFLDADMSILESDAYTVYANDI RKEYSHFPDKDYKSGRIAVLQKFLKSKIFNLRSEEKARKNIQEEIEMLQNWVVAQ >CAK57814 pep:novel supercontig:GCA_000165425.1:CT867994:102809:103376:1 gene:GSPATT00028849001 transcript:CAK57814 MNKNQSAHYITNNQSYGDGWLLHSGQQQVDMTSCPVPTYAEIVRQMQDFRRAEKLLKNKL VAQTIGLELSQPISEEEKQRRKLDNKVPSKKFHVTEQEYKAPPQGINVGLPIYETSNMQY GQLNPTSFELIEKYYPRDARFTQAFLGDTYKFDGLNTSTGFSKVHKKLDEY >CAK57815 pep:novel supercontig:GCA_000165425.1:CT867994:103387:104577:-1 gene:GSPATT00028850001 transcript:CAK57815 MLSDQIKQVLSTKTSSFLAPKFSRKTTLLKKRNKALSICSIEDIATLTQQKSLQQPQSKQ QIARSESQHNPPTPIATPQQSNSVSCQTNTSLFDEQLPDIPASDPIWLEIIPQEVLQGSS VEQLLEDNKDYFYYLLGLYLQEQMHGDFNMNKFILPTKWQSQYGRDFPLKQKERQEIIKN TIYQDYKSPQTSEFSKTSYQSHFSAYPQNDYNPRLERRKYEKSELQFATLTTYKANHYDF QTNYRPEKVTASKHLTTGGLSLSSQTLYKAEYQWPQSIEQPANCKNNHSKLNPIQSSGIF FDKQREVYTKFPVDPICRTAPYSQTPTYQNQYVSTTKNDFQRTKLGEVTQMKNWKQSVIR RMNQLRKQSQI >CAK57816 pep:novel supercontig:GCA_000165425.1:CT867994:105020:105901:-1 gene:GSPATT00028851001 transcript:CAK57816 MLQPRISPRKTDKVIYNQKMVNDYVDIINHRLKLEGADMDSRKLPQKHNSMYKSISSQHT KQISMVEPATAEKPYSRTNLDNHSSFFHSRKSLTREDKLYLLSDPLQEMLKTRQSITDLR AIEIEGDEFTQQYKNKINEEYEKEIFRKDMIQRRIFDSQLKYQGNNSIKQFIFQQNMKAS QKFHTEPIDKNIHIIKEFTVEDKLDRPRQSKLISNNKINLITLKSTGLKSFQDSENNNNS SAQRFEDLYKTCNNFYKESRQIKTKIMRLKRQTWRKYQKILQIGSSQKEIKID >CAK57817 pep:novel supercontig:GCA_000165425.1:CT867994:106812:107355:1 gene:GSPATT00028852001 transcript:CAK57817 MSWDAYVSNLTANGVLEYAAIIGIDGNIWASNFGVAALPSYQADVPDEKNPDIITKVAYD EKTTFVHALTHKGEPGNVAGIRINNQKYYSISFDGEQKSWYLKKNKGGACVAWSNTVAVF ASFSQTINAENGAPQNASDCNKRVLDMAKYLADSGY >CAK57818 pep:novel supercontig:GCA_000165425.1:CT867994:108520:108953:-1 gene:GSPATT00028853001 transcript:CAK57818 MQNKSVFDKLGGQANIDAAVVKFYEKVLSDPSVSHYFKNTDMKKQTEMQQKFLGMAFGGP NHYTGKDMKAGHAGLGITSAAFDTIVNHLGNTLKEMGVPAEVIAEAAAVAESTRADIVEV K >CAK57819 pep:novel supercontig:GCA_000165425.1:CT867994:109068:110660:-1 gene:GSPATT00028854001 transcript:CAK57819 MQDDRVLERSPQDTLRIPPRLSMMDRKCSGRFTFVKTQAEEQKESVQNNMNRIKQQFRCQ LNSIINKPIQPLQIREEQLFELGKKLDIEITPSDVENIVPNTKEFFEEYEMHERLGEGCL GLVKRVVQKKTGQEFAVKIVATQDDEIIRNVNMINEFKRLIKLSHANIVKAYKMFLDFDN GFQSESQAYVILELIKGKEMFEVINEAGHYSEHDAKELFKQLLSAIEYMHRNGICHRDLK PNNILCLSDKKLIKVTDFNVSKFSDSYKEFGNLQDTEKIEMWTYTGTVAFSAPEIFTGEG YNQMVDMWSAGCILYSMLSGQLPFNADYLNDLIESIKLAKYEFPEEIFQEVSSEAKDLIQ QLLQKDPAMRPHPDEALNHPWFSETFVRKSLRHLTINKNISHLSSRNSNKLKQRTILLKR GHYAGSSDGEEGYTTEEMKIKGAARKSLFNTAMAPIELQSDNKCLKVQNAVLRKSHTTHI HDFTQCDEQ >CAK57820 pep:novel supercontig:GCA_000165425.1:CT867994:111429:112505:-1 gene:GSPATT00028855001 transcript:CAK57820 MGTVCQTIHRTQVVETGGFEFEQPVETKPYEIKSNLPEVQEMCATKIQALYRGHSVRKQK REVEESFPPLQSVAVPIEQVPEIFHHAVSKVLLQLGPFDYSKCSTMVGAKTLPPYQFLDK GGIYVGQWKSHQRNGRGKYIFPDGSLYEGFWVDDKANGHGRLIVNSGDYYQGEWKNDKND GYGVYVHSDKSKYEGFWKDDNRHGQGTETWADNSAVYDGSFYMGVKEGYGIYKWGDGSNY AGGFRNNQFQGQGVYVWPDGSKYEGYWQNNKMNGQGEMTWVSSLFHQGSYKDNKKDGYGE FYWSDGRIYKGLWQNGLQHGEGIYIDKNGKQISGEWFEGQRR >CAK57821 pep:novel supercontig:GCA_000165425.1:CT867994:112543:113103:-1 gene:GSPATT00028856001 transcript:CAK57821 MGSCCQSSQVPRDQYLFPDGGVYTGELKDGLPHGVGAISFDNGSSFEGHFENGVKSGKGV YRWSDNSYYDGEFLNDAFNGYGEFYWSNGKWYKGQWVDGFMKGEGQFFSDGKTYKGEFEN DKRNGKGEQIWPNRQKYVGEWKNNKMHGKGQLTEPSGRVIEGEWVKGKKK >CAK57822 pep:novel supercontig:GCA_000165425.1:CT867994:113689:114099:1 gene:GSPATT00028857001 transcript:CAK57822 MKIRQPFYHPVVQQLQGPTQYVSFASPSQRFSQGQRLASPTSQQIDYQRLRNAQSIQQSA YTNKMNEETPQHMITKRPHIKMPQQGVQVSQSQSFSYNPQMMVSLIKENQEIKAKINEKE KQLTLLQQEIALYEKQ >CAK57823 pep:novel supercontig:GCA_000165425.1:CT867994:114188:116556:-1 gene:GSPATT00028858001 transcript:CAK57823 MFPSINSIKKPNKSFNLLHLETQEQYFLSLKVALIPSARSDEIRGKLHLCSRSLVFEPKS SQLPLMKMKYSNGLFMKVIKNFEPKSLGLLLSIRWGPQLMQEDIDQLDLASILNQQKGEQ KSNELLDKLYNRNRKNTIQLSCNCFFIKVDKLFIVNRNPISPYITETCDDNILFTISKSN SKQILIRFLKLYQGINQAEDEFTFINQTIQQTLNDSLVEHKQNVGSEGHKIEFVHKVKLI KPEGSIFGLFSIQREEILQFIPLINSPSGKTLQFMFTDIKYFLKYRYMFKNNGIEFWMYN KKRSYLFVFDDQTTQESVFKYFQKKASKHCLSALTKEKIAEEWTNGNMSNFDYLMALNTL ANRSFNDLSAYPVFPWVIAEYNEKDFNVNSPTFFRDLSKPMGALNKHRLQKYKAFYKDQL KDKKQDPKPYLYPTHYSSPGTVVYYMIRKIPEFVIKLQNGVFGPTDRIFRGIDSTWFTTL NLHADSKELIPEFYGLDSDFLVNCDKLELGITQDGEVIDDAVIPPWADNAPDFLAKMRIA LESPYVQANLPLWIDLIFGNKASGNEAIQNDNLFYPYTYAENVNWDKCKTDIERQALEIQ VTEFGQVPLQLFQSAHPQRKLKIQGNLKQSEDEKRELKQQNQNLQNKIQKLTQQIQESQD NDQVLQLQAQLGALQWENEKLKFNLETFKQEMLTNKEVIQTFNSQFDQEEFDKNGFDFLN DDSLLDSSKKPIS >CAK57824 pep:novel supercontig:GCA_000165425.1:CT867994:116719:120281:1 gene:GSPATT00028859001 transcript:CAK57824 MSNDYEKKRSALTVSTASIDAYSDELKRIENKNDVQPMKGWMKKKSPALLKGWQKRYFML IENMQEGPWKLVYFDNDKTDTKPNGAFDLSSITSINQISKKEFTIQFPGRNLELKVKTPE LCQQWIATLNGLRTATSPQRTKRQVSMDSVVKMADESPTKLQNHKIVDTYTAQQAQVYKE RQRNSLLIDLSKGDKKIKEKQNTQQLSNEKMLELIGLKEFIKNMNEQFVYQRMIYGYLGK RSKGKVKYFQKRWFILVSAKPLYSDYNDEKILQESQLPPWLELDTITYFKQKEEGKPPKA KGDVKMLDCQEIIIKNMSKSKESGYTFKLNMGDRLYHLMADSELERTKWQTALSASIRIT KEINNPLKIKIKKNIDPLIQLYDEETQLIARREKIRQKLEQDIAAILKEEDVDLNKLISQ LTDLRQDMLESMQASIVKDPQRKDIVKEYTDIYHEKICERITKFWDQNYMKLQSPELLLI GQWLHDYLLQMKDFFNDDRILLGVGVLLNIYVNRSLESMESVIYQIIEQERIQEPFLNDQ EQLVTQTPVDLFKIINEGFDMAYKLCSCKETVIRFGGFGKVILQQYQGGIQEIIDEYQLS VAKFVAICNNTLALHDNTKQYSKRLQSFGNLSDEEVEKVFDGQKITKNFVQIASNCRERI FIFYFSRVGTHFKKPYLEIKIIDTLKSIIEPATEALSQLHDSFSRKLWKQLTDSIILYYF NQFIISCSKAKKEQQNEFIRKLENDKEILYQELETFIFEKQLQATLKPFDDMISFLNEES LSILDPCKSLRQYFGRAFSEKTIKSIMTLRFDLDKEQKKDTIEICKNLIEDINKTQPIEE DTVRIMDFLGQEDEEQNSTQMQQQEQVQRRADIRLDFNDNEIQSIPQQQQKRTSIEKEEF IDEGYLYKKKPKSKAWDYRFVRIRKGFMYWYINSNSREAQNKINLQSVDEVIPNPKKSTN FKIQLEDSKIYKFKTQTKEECELWIKTILKEQNQTEVAIITLEAIQIGNGKLPIIKDYDE IARKERQRQKQEERKRKRIEKENELKKKQMELKLLQQQQLKELGDKPQPHQVVDVFEQQR KSGDSSKKTTSCWTSFLIALGVERPK >CAK57825 pep:novel supercontig:GCA_000165425.1:CT867994:120542:121696:1 gene:GSPATT00028860001 transcript:CAK57825 MFYDKLQIQQQEYNLLYNEYHKHNPNWKVLAQKLEMGANGLKLKIECAEILFKIGNLSKL CQNFYNQNTGTKKSDIRLKIKINTPTQSQTIEEEIKSIEVKAKLQEIISVRNASENTIIQ LEQIQSDSALILFENNLLLNFNLKSQCIRQEIQCKKFDYFDKKVVIQNNNLLQFYLFEPT KKMLVEVRDLQVLDAIWFKLRQFDLIYQSSNLHFYKKSLQINEEVQILQWNSNIKTINFI FQNKLALFYQKSFNVYSLNNLTQIEWHLNINENIQEIKQNSNDYLVLIGMTQLYMVSIEK KSIVKKFTFEQPNCLIIPSPAIPYLFLIANSGSVYQSKIYFHNTKQILSNIVSQHPTAIF MDDQTILIGDDLGFLQRFKYSLGE >CAK57826 pep:novel supercontig:GCA_000165425.1:CT867994:121749:122821:1 gene:GSPATT00028861001 transcript:CAK57826 MFNFLSINYKQDDFVKGFQKESQTINTGLWIEHYKIKSGKDFSLIALQQINNQDLEIGLY KITSHFDLDKKIYQKFCKYEGKYQYCKISKKLEDFFLIINSNSSLIHFNIPNNEEIEIKA RQDYLFEGLKLANNQSIMILLSRSKVKKDIQIELRSIQNSKVLLQVYSLCSDWNLERLSL QKIDLNNMISVTMEQHLIQDYVIFIKHIINKKIVKTQIINTFNNHFVDSKIYTLQGVDCM FSFNSYSKVFKLQIMKHQKILRQLNMRLCEFYHVVDKRMIVLCEKVGLSRFRIFRYDIRT GNQFDFCQNDDVISNIQKLKLEMNKLSLCKYIWQPKNQVQDSMINLQKN >CAK57827 pep:novel supercontig:GCA_000165425.1:CT867994:122984:124588:1 gene:GSPATT00028862001 transcript:CAK57827 MLQSNSLKDRLKCKIEFDQEEEKPEIPSLSLVDDVIKFEDRYDILQVLGEGAHAIVKLAR RKETNELYAVKITRMNNEEIYNNVKRTFYNARCLRHQNIIQEIELFINEKYCRACLVMEY CPFPTLQQILIERGTLREDETRQIIKQLLITIQYIHNKGISHRDIKPDNILVNLEGDCEL KLLDFGVSRRFLSKNNHIEMLTKTGHIYYSAPEIYHQPHYSKEIDIWSIGVVMFQCMTGQ LPLHENTMSDQIQLLKTPELWNFKNKVKDESLSAQNLLFRLLSADPKKRITPIDALTHPF IEKNHIYTTLAMLSSTKLFEDDDGFANKCKSLQTSLSINQKQNLHRALKTLHLGIEYQDI VIEDLIQELGNIHVVQKRNGEHYSGLIQLINSLSNSSGNNQTEVQNSSGQCNLEIGSSQS ILSTENYTQDCFGDLCNMQSSVDLGITGNTGNNIQNEEKKKQSSINQFANEIGSKIECSI DINLVEQEFGSNNKQNQFISNLDVLGIKECKEEVENTI >CAK57828 pep:novel supercontig:GCA_000165425.1:CT867994:124613:125370:-1 gene:GSPATT00028863001 transcript:CAK57828 MSVYSLHISGLKHITDSVYCNHQPQESSKSIKGHHKINSSQLLNQKVLVNNNALIGNQSQ HKTSLKKTIYSYIDTISDPKRNIHSSRGPQNYETMMHRNDFHLKKYQPRGESIEKEWKSS IRTYHTEITQKSVSPPTNQKPKICQQSRNPILSPSSGKKYRPTKKTQFDKVPKKQNKNGI KIFKQANSSQGFVNTLDQNSPRNKPITIISPRFGEGVQMKQIFSEFFVNQQTKK >CAK57829 pep:novel supercontig:GCA_000165425.1:CT867994:125430:125951:1 gene:GSPATT00028864001 transcript:CAK57829 MDKNGVIGIVSRASQPINKQSEAPLITTNVNTYQNPPSYYFQKFQRNAQKVLQSDTDIDL TPSTRSDYPSYKFRHDFQKLNMDEYEIVAIPKKALEGEKYSMTHSFVPLMNKGMGYMPNS NVAQSQQLMKTHSNNSYSRQLKNANPIQNANPFIQAGYGALKQKQLYLQNLKK >CAK57830 pep:novel supercontig:GCA_000165425.1:CT867994:126057:129187:1 gene:GSPATT00028865001 transcript:CAK57830 MQSVDFAKQVLGEPLRCIVRIKPPSNFQKEDVRINNQQILLTDNNRILEEFECPEAYGPE FSMHQIYEQFLQQFTGPFIQGLNVNIFAYGQSTGAGKTQTIEGNKKEHGLILNYASSIFS LLENKKFHTNQSQASQLVNYSYGLRARYVEIIDEEVSDLFAPPNKRLNDQLQILDHAWEG PTIANATWVTVNNEIQLQEALNSGQRNRNQTTNEFGKVSNKSTSFFTLELLQSSLQKDTN EPLIMLSRLCFFDLAGSEVLIDDPETIRIKQGSTLNKSIISFTQLLKELGQPQTADHAAY DTSALTSIVKELVGSNSLSIGIFCVQHGDPKGTSLTLNIYKYCKNIKNFPIVNDSKVLGL LHKFRLECQAARLSGRGGYGDTGNNKMLELEKKLIEDNLDKMRNADEKQRMAQKLSTMRE KYNEIVRQKAELQQELIRSEEEKLEVSKALVELQIENTRLMEIIQNEKYDMNNKLLNAES DLLSLNIREEKALKSIQELQDNLKETKDDKRALEIEFVALKKNYINISTALETEKAKSEN IGLELINVVNENKSLHQELNDIYKKSGNTNEENARFMKKIEKLEQENREQREALIYTKAE IERLKTEMLKYEILDQQHRIDLDNKKLEIEKGFLEANREKQNEVYKMNREQDDAVKKMRD EKLLWESQKMELTHKIKSMQRRVNDEEERVKELERQVQELLSENQKMDLQMNEMRSLYRN KLMQFATDGKPDKASRIGYDFNAREDLIRTYTEKEIELNERLEREKKNSKQVSLQVRQLK SYARNLKYLCEDWAPVGIPLPDILTKDVTFLDDETYGTLQGDHMAEIERLRMRNKKLENE VRILQENIMNGVGGGSMDLSKRISNEMDMLKQNRNKQSIDGDFQIDQLRKERNDLQEENR RLVTLLKDNKKWDVYILQRENERLMRQMKEQEVGLNSVGPMSGEAKGAQQRLQMYERSLK QLEKERSELIVRATMAEEQLKALQEHLSKQSQDYQRKLLEIKRGGSIF >CAK57831 pep:novel supercontig:GCA_000165425.1:CT867994:129747:131979:1 gene:GSPATT00028866001 transcript:CAK57831 MRSHNEDVLHKLEFKKIIKNSPKTNFEECINPPSLDESYLLVKSNLLDLNFKENKEQQQI NQYLIENCEKWIETQESPQQPASLKQKFIKEKFSPFSQLIKILIFLKYLIDFFSILLNIF CGICIPFLNLIEDYENIRNTLYFLISFHFFTIIIQNQFSYLQLIKTKQPFCEQKFCFLNE ITLKNLAIIILMLIYLLEKVDLVLEIISLYYFNLGYKMVEIFTICLIIQRKKFYRTLGIQ KAIVYYIYFLHLFTSFFQNSKGDGDFLLKYQESLIININFLTFQANYTVQDSTQNFDVII NQITALLLMFYAINNLIQIQSYQGCIQNQIKFDIHVLQFYFWHHKGQILKKLRLYSEISN REINKEQLAQKAIIKKIYLQILKDNLKVLELFSKQFKICLSSKVQSIRRNKEKLKKDKNG LYLILKGKGYQNLRRIFKCQKQIESEKRYYGLINCFQKNISEVELELDDHFLLLYIKQED FYSSLTLEQDIESYHLIKNKIIFEGETSLLDYRCLICNQFHLEGRCKVIKLEVNLNLLNE LNIRMKFKNRLMKKRQRAFKTYVKFNLNNIQAIHKQNGDATIDWSESSANDSCDQFEIHP EDGSTSQKFTDKNSVTSKGNDGFADFNKLYEKFRNCHTQQQASNHSSQYMGEMIRSDFRS IPLQSSQYKFQEILAVDDIDSLKEYAYFYPEFNISYIIKLFEN >CAK57832 pep:novel supercontig:GCA_000165425.1:CT867994:132355:133999:1 gene:GSPATT00028867001 transcript:CAK57832 MKKEDALIVLKKYTKQEDCLFCQKYGRVTTDKINFAPLLNRALYTKYSQSQWNGKKYEDL FSTKPVSWVIQFKDQLTFEDLDEFLKRFYPKSDHQSKFDQLLEYYKYHKDVPRMFLTRVS DLAIYFYEKKNTLDRQSIERQNSCWAFLLKMLVIVPVIIQKQLEYEKLKEDIKVLNSITQ QTQVSSLSLLREILKSKASDEIINIDATWMTMNQQQQQKCQHPPNLKLLKQLISKNTQYY KDKQNIYVAGISKKAIQNSPQQKGHLKTLSKETSQKSLLSSNKIINNSNGDTDLQKFLKQ QILGFNHANPCISSSKQSSAKANHISNYQSKQNSIHYITSTRSISSEQLKLIQSQQPLKQ SNSPNLVIPSHKKSQTQTHYTEINSPNKHQKQASIKMHTNMGQDLKQQLTHTKSPEKLFK IYTTQSSVPLSANINININQLNMNNLNLKTPFQQYKAMLDSPKMNTKQKTQSNFETQRIT SAQKSSSSQKKTQISVQQLYVQKIKTKNKTMKK >CAK57833 pep:novel supercontig:GCA_000165425.1:CT867994:134513:135802:-1 gene:GSPATT00028868001 transcript:CAK57833 MIIGAIKVKDGLFIGDELASKDLEFVIQNKVTRIINCAAKQLPCVFENYGVIYLKFNWLE NEQQILFPNETANEIYQFIEQAHNNGESVLVHSVRGQSRSCCALTAYFMRKYKWKLYKTL EFLNSRRPDLEIRANFYHQLSQLESKLCKKGLGGLSSSWQPNEEQDLPLEEDEQLLRNTF MNAKSSPADSCWLDRRIFNQYLNENRIRAISWADESIAKKSFKKMKQTNPKHAVKLNSQN NINGNIYHVTVNNYVTLKEELEKCNSILNSRENHQLIKPNNSIIKRQQNDSLKKHQEQKL KQSGKSQSLKQQKRDPTDRPRSAYVQPEFQPPINIPKPVPFPYRQFSPVVKGTFIQFYSS GNNPPKLTQLMQTNKAKGFRYPSPGQLKTDETFIQSIINSKPVWK >CAK57834 pep:novel supercontig:GCA_000165425.1:CT867994:135841:137494:1 gene:GSPATT00028869001 transcript:CAK57834 MESMPKLSEIQKELQFYTRFFQENKLYNSSKWTGELLLGLTQEEDLQQSQFAMQFIQNNT DYNYHFIREFVPEYNDIILVARNLFDLREFKKCASLLQNLIHKNESAMFLYYYSQYMYGE LRKEEEMFENENSKTATNPELKLLERELSKLYNQKHLNSLNLYLYGLILKDTMRLREARE VFIQVLHQLPCFWSVWLELCKLLTEEDTLDELPNHWMKSFWSSNFNLEKFKNANCVEQFQ TLLYYFRNSNFIINQIANAYYNNQEFELSLEWFERLLSIDPYRFESLDTYSNILYIKENQ GELANLALQSFTNNKYVPETCCVVGNYYSLMNEHAKAINYFQRALKLDKDCLAAWTLMGH EYLEMKNVASAIQSYRNAVEIDPKDFRAWYGLGQTYALQGMNQYALYYFSRAVISRPKDA RMWNAMAECYDKMDKKNESMKCYERANQCKDKEGIAIHQLAKLYDAVGKTEKALSAFEES LRRKDEEQIVDKELSESLVYLARAFLRRGDKERAMQMAKRLYDFNGPERDEANLIMSQLN K >CAK57835 pep:novel supercontig:GCA_000165425.1:CT867994:137513:139746:1 gene:GSPATT00028870001 transcript:CAK57835 MNQIYHDSLLLALKTQILVPITLDCRYLKEALCEISNEKQFLQIEDYVLNQDLIDKVNGR TINLLIECIKILRKYMFIGWNASPQFIEDIVYEFIDKSTEDWVEPLFFVQRLPEIRVQLK AYYKTFQENAFELCDTLIAELCDDFLNSAQINVLLNEILESQLKRVAFDLFEFAYLDTQI TNEFLDTLQKLFIDLVTDFFNYLIDVACHANYKMQQLDKLLKVYGSLANQENYKYMQKEI SQNIIDYGDISLVGQSFQVNPEKHSVIVRQTIKHFYYVMDECKHYFTAKQHKNFDELINN AQFNIIKPLPQNIQIIQDSDDLPLMELCKEIKVIKSVKVQKNDLKGLKIVVKQEKTNKVQ QFILSLSYHYLMFHKFEEGWEPFDEERELNIILAKFLNEQFDYLHCGHPEFKKRVASEKS IDRTTDDVSGFKNAVIKFKKISAPKKPIRYGTSNRVIGGKALGMGIGVLIVDCLDEDIPW DDKLKNAGFTAISFGVLGILASRLPIVGIIIQQVIFAMAVKSILANKVINDSQTAKNLGH LGLIITIGVGMTIAGSIMFPIAFWGAFFGGLLGGVGMGLYQKFLIPYYMDNIVEMMNKAS RLIRQNGAVRYKQYALQKLQIDEKFLGTHKPSNLEDDQYFTLLMFCLSNEIRLISNASYS KQLEKLIQKKATEEEKSNLLQRQCQIEVSLKIWNETYDYLKSQNISLVENAKTCAEFVDG MLTNYKVE >CAK57836 pep:novel supercontig:GCA_000165425.1:CT867994:140175:141326:1 gene:GSPATT00028871001 transcript:CAK57836 MFNNSRDPPSQILLLILTQLPPSFPLTNEYLHKKFNEFGDLKKILIFERGKTNKAFVEFH NLKSAIAARKQLNGLNIQGGKMIIHYSRLKNLNLEIVDNSRGTDYTQASSNSQNSDSILH SKTDDNIRVNLTNHISQSSSSRANSSPVRNEEINRLLDYDDDDFDIWKQEIQLNLTDMHP EIQTLLRQKQSKLLRIASIDTKVTAKMIYNVFTKFGNIEEILYQKQLQKAYVKFQTINQA TIAKEYLNNAQFFDSILRIYFEPLQPLQPTSLQDEYMIYYNENCTQKIMPLSPNLLITGV QDPTEISELIKMFAKIKDIKIHVNSLQLSMCSIADTLKIMAVFSDYEFKNQKLNIILK >CAK57837 pep:novel supercontig:GCA_000165425.1:CT867994:141385:142704:-1 gene:GSPATT00028872001 transcript:CAK57837 MQNKEVLRRVFDFYCKQQYVPGQFATFDRQQYEATVFTVGNWMHFCRDFQVKINTSKLLE LFKRQAKNSKELNFDQFVQLLNILAGEEGTNCGQYLTSLGLDNWKACVQKMKTFQRPFQM QDQAERIKDIKYQFKIYHPEVKNDEQIKQILLQRKQQNEQLKLIERKKKAFYKLQFELKH FTKSQLLIKYPALKELIEKLPDPSKPKTFSIKEQDQSFNVSSQQRHTGLTWESLNQLPMV TDFLQDLMEEQEQEEDDLYLKEYQEQRLLNNSPLKIVKSNRYHSVQGYQNEKQKRSVSDH KQITQVPRYKQHSIERINDFEIQGKILKFKSTTNNPESNENNNFISLQQLNSNQIKQKLL RQQKQQLQHLNHSVELPRQLLSQEYNNPQVAKDKPTANKLNISMLKRVQQLSGLEAIKEQ NLLNQIISQQREKTKIAQK >CAK57838 pep:novel supercontig:GCA_000165425.1:CT867994:142707:143552:-1 gene:GSPATT00028873001 transcript:CAK57838 MGCCTSQSVNQRELVLHETYLNLHHLYRTEEDDEQTQRDWIIVEGGRYHGQMEDNRMHGW GILVMDDGRRYEGFFENSLFHGQGKLSAENYLYIGTFQQGECHGQGQLKTNTSIYEGKWE HNLQNGSGSERFSDEAVYKGNYKDGKRHGKGYIEFVDSSYYRGDFFEGDIHGQGIFKWAD GSEFRGEWVKNQFVNGIMKWPDGRLYDGPYEDELKEGIGRFVWPDGRVYVGFWHQGKQHG YGLFTKDGITKRGEWNMGKRVRWIEEDENIEEFQQLYIQKQ >CAK57839 pep:novel supercontig:GCA_000165425.1:CT867994:143577:143909:1 gene:GSPATT00028874001 transcript:CAK57839 MEQNLDEKMYAIDQKQKDKFPLTNQISQDFEDDTHIYRIIRLGRESVRLMQEFKWEKKLL KEEEWRRLRVYQRRGWLHYAIFEKEPYVLLFKRKITKNKRS >CAK57840 pep:novel supercontig:GCA_000165425.1:CT867994:144020:144953:1 gene:GSPATT00028875001 transcript:CAK57840 MSNILRLCPKCQASPATIQCIDCEEKMCYTCDQKVHTKLKQHKTDIIPYSCTIYIKKEMK QIKSAQFIISGIKDHSNVSLELQQELNELEAMIEAKQSFLSKQEQKWSTQISSLETQYEK KLLDFEKEIENNENQFKNYQSSGNTTFTIADIKQSMEAQQQQAWKELNERKAALQDKENL LQDMIEAEKICLKEIAQKEKLINQFKDLLSQQQAERDLIKQENQKILAQLESIKALCKKS LPQYGIKVDFLDKLGKGNKIKKNEQVDEQDEEYEEDEQQDQNGDEEEEDENQNDDDQQEE QQ >CAK57841 pep:novel supercontig:GCA_000165425.1:CT867994:145000:147483:-1 gene:GSPATT00028876001 transcript:CAK57841 MQQILEAKGQWKTLLKGWKKNENKQQQNDDHYFGFQYEKLLEIFDMNQILKEAQSTLTKH DKEKDLKEKKLKIQLEKLNQLGGIYGLQNFLKTCIKQGLDDTNDQDLKMREKYFGKNEKP QIAIKSVLQIIIEQFQKERLFQLLLIITTINIIIYFHENMQIYLFYIIMLIIMVVYKGVI KQQYNKEIVQHQNDIKERSKHLVKRCNVLRNGDDTIQIHNSQLVVGDILIFKQGDFIQCD GIITKLSKCNGIEVLQPFPNLFNTHEVKNIDEVKESNGSHDEQLQSNLSLVDNFIFAGSQ IIKGSGQLLVCSVGQQSSDYIQYKQSFLEDTKTEKQRTTYLKQNSMYNPFAFKIESLMNK IGIQCIISLLILLIILLFRNTYLINITQILLYLSQLSIITIPSQLLSLINLFMIYVIKKC RHRSIYIQDYLSLYWLGQVNEMIIDKIDNKVTMFSKSQINIRYFTQLQLEEAQKTAIQTG LVKEDEIEDRYVEGTLLSSSFEQKNKFVFLSRRLACPTLDHLKLEKIQSSKTLEWLEQQK QKFQFQGIIDQQKLYEEIKNKNIIIGGNADRKEQLINLLKLYDKIIFIKGEENDGKAMEM AHVSIGPHESRSCIKLFNHLEQTDYSYISSMYECISQGRAVYFYILSYSYAQACTSQTIM IFAIASAILSDNIFIMPIPTFIIFYLALNHFSHQVQKQCNSDVQTYVMHRGPYMKQGRII DRNTTRKLYKLHSILIVELLVAYYYGYFKSVQDVYIGLLFSKHVFIMVKPIKQVLQQIEG IIYGLIINLINQFINQSNQDYHSISVLLRNYSIGLIVVAFNLFVGYK >CAK57842 pep:novel supercontig:GCA_000165425.1:CT867994:148728:150223:-1 gene:GSPATT00028877001 transcript:CAK57842 MQFPQTSGKIIMDTSHGELEIELWCKEVPKGCRNFIQLCLNGYYDNCRFHRLFPNFMIQG GDPTGTGEGGKSMYGQPFEDEFHSRLTFCTRGILAYSNEGPNTNESQFFITLDSCPWLQK RHTIFGMVVGKTIFNLMAMNGVDTDENDQPVTPIFIKSAQVVIDPFNLTITEGVKPKSPE KEINQVQEKINQKPIQKPKQKQKNINVLSFGDEEDNEEQQPNKIFNSHDVLNDPKLKKEF AVSQEELEIKKQKKLEEENKILQLKQKITQQSNTQINKEQIAQYANKNISMNEKYLENIP AEDKQLLNYKIKKNAKGEVQYIFNESESESSESESSEIEDEEQRQQREKQLEEYEKLKAD FLQFKENKFGSNNPMIRDRLQHEKMLQSTVEQRRARFIQNMKEQSHNEAFEEKVRKFQER LKDEKIQNDKYHWLNHKLKFHVDSANAYKVVETKQQVSDYHASEIVHEDTYKVKKEKKNI DKLLKLSEL >CAK57843 pep:novel supercontig:GCA_000165425.1:CT867994:150329:151481:-1 gene:GSPATT00028878001 transcript:CAK57843 MNYIINLVASLAFIWITYLVYSIKQFKHEQISDQILIFARRLLFYSSILIIFPLLIPNGL ELQHNLFLDDHQYLRVVHLIDQLSTAQRETLSAYVNQEFSVKYKLMLLVPIVLLFKEIYL IAQINCKESQGKEINNNLAVSNEYPTNPIIFQAKNVSEYIPDRSEEILRIESYIRNNNIE KRKSIDEIKNSYLNNQSDLLNKLQFTIDSKKPEKILYSQYSNKQLANARHLGVIEESEQK PANLKTIHSQVLNENSFSLDNQSKPDTHIQTRIKLIIGELIVLQIILGYTAGVQEKTILS VLFIMLSQCLIIDLFDDLMQFSNYHSIFQLQKPQWEHAYLYFTQLLQLQCFWECTLISTL FRYVIVQLQHIVQSPL >CAK57844 pep:novel supercontig:GCA_000165425.1:CT867994:151700:153094:1 gene:GSPATT00028879001 transcript:CAK57844 MRLSTEDSQENEDQQEDLKKKFIYQTEKMRLLLEVPIEKRNKTICQDIAQIAGEIQFLKQ YRDKPNFLDLCKHLFLKTYNKRDYIFQQGETGDAFYVILSGSVKVFIEEPTEFRNFMQLK EIAILQKGDAFGEISLLYNSKRTAAVMAAEKSDLIILTKESFEEYLKNDNKQEMQTANLN KLIQFLEKVPIFKMFSKSLLVQICTKCTMEHFPSQQILIKQGTEPSNMYIIKQGSVKVIR KIKLNYGSMTTREELSQKKQDLFYDIDELSDHDVFGDYAILNEVESECSYITAIPCQIIS ISSFNVRKLLPMDIVQIYKSQLKRYPDDEDIQYLFEEKERWNHYKRKLIRNIKIEKQNKK GFDLRLRLPELKTKELSPPINIVDIKTSDSRIYFKFLESKISPMADSKKKVSQLSQVGFN SQIIKDLEFSQAQQAFQRKLKKLQYKKY >CAK57845 pep:novel supercontig:GCA_000165425.1:CT867994:153323:154611:1 gene:GSPATT00028880001 transcript:CAK57845 MGSNCATCQSCQKKEEVIMSQEEQQSSRQMHEYSQQPNEEDDDNDHLEDKNLDGSSQIVQ KYLNLDLKPKKEVAPIQSVPAQIIEIPQAQIVITNESNQDSKKRKNEMMLRNQEDMHETY ISKSENSVRERRPRHIFKSGAYYDGEWIGQSRDGYGIQIWNDGAKYEGEWKNNRANGRGQ FWHVDGDFFDGEWKDDKACGKGVYTHLNGAKYEGDWMDDLQHGFGVEIWADNAKFEGQYQ NGKKEGLGKYFWADGSSYVGNWSENKLFGFGVYTWPDGRKYLGEWANNQMNGRGIYLWKD GRKYEGFYLNDKKHGYGIYIWPDGRKYEGYWNNGKQSGKGRYVLQNGKSQLGLWEDGKRI KWVDQSEQNKDISPKDWNSYVYPTLFQ >CAK57846 pep:novel supercontig:GCA_000165425.1:CT867994:155159:156267:1 gene:GSPATT00028881001 transcript:CAK57846 MSDDDSDQVILAITKVGCMIAFFLLILIVGCLPIRLKAFKSNKKLLADMGAFSGGLFLAV GLVHLLPEAADNFDSSFKDDDEHFPFAYAISILSFALILFIQKIITDHHHDHGHDEDHHY HESNSKNTQVQDQNQLFVNGSVDTEALLEKHNTEETFKDALNTQLIVAKKASFVQMVKKQ TAQDPKNSIVYQDVNTWAPYILQIAVGIHAVFEGLSIGIQEEVSLCVGIALVVCCHKWAE GMTLGLAFRKAGVNKTTSTYMILIQQIMNPIGIGIGWIMADKGPLYTGIFVSISVGTFIY ISTMETLTEEFSIAQYKWENICIKFVVLEQAMGGD >CAK57847 pep:novel supercontig:GCA_000165425.1:CT867994:156366:157773:1 gene:GSPATT00028882001 transcript:CAK57847 MDQKLNDAEIALEQFRLKKLIKTLSQERTAGTSVVSVYIPPKRIISDITNRLNTQYAEAA SIKDKGNRISVQEAIQAAILRLRPYNKAPNNGLVVFCGIVQQADGKGEKKISVVIEPYRP LDLSLYFCDPQFHVEELRALLNIDPPFGFIIMDGNGSLFATIQGNSKQIIKSFDVDLPKK HNKGGQSSVRFARLRMEKRHNYLRKVCETATTCFIAEDRPNVKGLVLAGSADFKNDLAGS QFFDKRLQPLIISVVDINYGGEQGLNQAVQLSQESLLEVKYIREKNLVGQFFENIDKDTG LVVYGVQDTMRAVESQTIKTLVCVDTLQYLRLECQSKQTEQKAIKYIKGNEGYEAGSLIE EKNGEQFVILVKEDLVEHLSEKFKDYGLDFQLITDHSVEGNQFMKGFSGLGGFLRFKMDM DYLVQQEDWKDEDEDFI >CAK57848 pep:novel supercontig:GCA_000165425.1:CT867994:157777:158564:-1 gene:GSPATT00028883001 transcript:CAK57848 MKTESNAASFGDVQQSEYFKQFLVGQNLVDSSTSFARLNSKTVTERSIRESFLEEQNYEV ILQQVMASNIRLMDKITKCQNLETQVQQLQRKNSELASQIQHLLDANAKLQHELDTCKKQ KQKLQEEIKKNERENRKLYLPEPKLTNLMDINKILMNRTESSFQFYKEPTLSSKFGSAKA HTSNPFIYTTRPQSPSTSVRQSTPTQTETKSPILMFNNTVNSWRDSKYNRNAAEFKKFQQ TMEQLVRKSKKNT >CAK57849 pep:novel supercontig:GCA_000165425.1:CT867994:158594:159767:1 gene:GSPATT00028884001 transcript:CAK57849 MSEINESLIEAPLKALLEDVLFQLEGYLEGQNDLKMIEHQLKDYDTLSGLVKIIGNVFKT LMKKIDKKITQLKMNQNDNSSYRSYQPEEEYEKLEQIIQKHEAEIRGHISIEQQLKLYNE SLQQKIEDMEKQHKDALEQMQKSMNLLKKDLGKSNQSYRQLIKENEQLRESAEHPLNPKA IIHTEGEVNGRIKDKKYTFCHSDHRLKKVDYSSEYPTSSVNLQSQNSMRNSTPLKSQSQH HKSGGKLNTQIQHRNSQEQDLFTKYNQLLKQHAQSITQRSQIIQASQYLIKGGFGNQKNQ RSTLNVISDICNAQLLRSSLRTKSQGNSAKAGNTNSQNNINATNKNTTQKIISETSKTNE AIQRLLKLK >CAK57850 pep:novel supercontig:GCA_000165425.1:CT867994:159818:163874:-1 gene:GSPATT00028885001 transcript:CAK57850 MQTDTNQPNIKQRIEKSKTISQSSYYQSPILKDIQLDYIDRLKKMDKNDFFAQLNSQDPY KYPKKLEGLQFITSNKISQAQVILPSELTDRTIQLTKFSSNLTKRVVKDKIHRGIPLKHD VKALAAWTDLMLEQAIEKNQGQSANIYEDLQQIFSLCLKELIRQISFDCIEKSVLLEKIW TQYIDINTSVYQSILNQNNILEKDHLSEVMRTHQLYQAEIDKYLIIQKKQKTDMDSVLER FVKLKANAKYLKKNNRYLNTQIRNQKNEIQELKNENKQYIDQIEKITDELQDYQRNVQQQ FEQFSIQAQKQQRNETNFEHENQLKSKLVRAGTERRITVHQIPQYKLPEIKPIQRANGLQ FEKVDSDQDKLKKVLNDSLSVGDIEILLEEKATDTCDLIQILQLTREAEIQTIERKKLSI QIPKFELKDRRQQIIQTEISFLNRISKSVQTDLTEELQESIKMEEQHLEQLQVAIQRARD QYLKIFNQTQNCEQPQIQAIFDLFEEFQSKLKENLHQQKETRTNLILVNSTFKDENTRKE QQLQQYQKRVSELEKNNDLVNQEMVELEAQLDLYEKINEKIEKKYQRIKSVKSNLVDKTK TLVVQLTQTHKFAEIMKKKIQDKRMSSITPMQITPKSRFSNVQPQIIQQNLSIIPPQIII NEQVDSQNQQENINQNPERNRKSVMPQQQQQQQQQPFQNEKNQNCQYNISILPLQDNQTA QQFSQQQQSNQFLSEDDTNELQQNSARNKNLNKPRPSQRMQTPINQAKKKELKTQKRGSM MPQQNEQVQYLQDTISNFIYQNFSSSDSDSEDSEYTLKLQEIKQRKKQINQTKKFQFSQV PKFQNKQSLDSPGLSYLKTNLVKQLATKFASTNQKDIVAKMKKGAVLKLIQQFYGEKLKQ NQNKTSLHILCYEYFFNTYGFKNIAEQKLINFYESIFVHRDNMRVNLFGRFLQLFSSLTL DDLDIYIKTLKQLDEDNQTLNTDKGYFILVEKAILILETIHSQIPQEYRSNITYDIKLNY IERNMRPYIDYDYYISRILTGYEIIKKMHMKHYQEVFNSADMDLDNMMEYQEFKKLYYYF EVNQGNQTSISVMEREFLSRCDLTANNEGEKAMSFDRFLTFSIEQNLFSEEKFTNFANSV DEQDPIKTLEDLYRNWNDVKLRLENRVQYAVEEEIEYYLSVIKKLDKALTCEDKRQSIWI SYRIIDNDTRLIYINKLVDELVPQGFNEVKEALNYSSDDNY >CAK57851 pep:novel supercontig:GCA_000165425.1:CT867994:164025:164642:1 gene:GSPATT00028886001 transcript:CAK57851 MKYGDFQRLISEEDELVQISKAQLEQLILKNQQSEVLPTQKQSSKKIVIKQASKKQKHYQ FADVHYWTITQFDWDKKVLDALKGAFGKEQFKANQKAIINCVLAGEDVFVCMPTGYGKSI TFQIPAFVENGVSIIVMPLISLIFDQVQYLTSLGIPTLNMSGQNRLLTAQQILDQRIKLI YTTPEKIEMI >CAK57852 pep:novel supercontig:GCA_000165425.1:CT867994:164705:166833:1 gene:GSPATT00028887001 transcript:CAK57852 MKHIVPDYLKLSNVRNEFPGVPIIALTATAPEEVKEDIIDVLQIKGCLYLQSSFNRPNLI YEVKLREEFKRTVQEIKEFINLTYPKQSGIIYCLTQSECQTLSQSLIQHGIGSDFYHAGL TENERHRIHKNWLMNEVQVIVATVAFGMGIDKKDCRFVIHFQMPKSIENYYQESGRAGRD GKQAHCLLFYNNSDYKTNLCLMDLNTEMTTPMKKYNVKKLDQMQQFCYDRVQCRRVLQLS YLGENFDKTLCNKKCDNCLRDDEMTEKIDLTKEALKILDCLDKLQLTENQLIQCLKGGQD KKKSHNSLKSFEQIFGLFKNNPRYINPLLDQLRAQNALSQKVQQYNLKGNFKTKVVYLIP NYEASINSVIISLPKEKDKKLSLLDCKSNDLFKKYLSKDYKNQNNSGSNLNVLKKDFTWQ NENKIETEKKKLQYDKQYGYCLENQFNDLRERLMLVRKNIYKEMTNNASNQIINIDLVLS TDDIEELCKKLPISMSELNDPFICIAQEIKKLQYMKHFIEEVAHFVDIEDINKLLFEKPQ QVETQIDQVFEFQKHIKQDDDVIDITNQELIAKQKEIEELLLNGQENDEYLDELLNLFEE EDINKSPQVVIHDQENGQNQKSHLQKVQTFEPVEQQQPYQQDLKQTCKIESSQQPKKLKL NLKRSFL >CAK57853 pep:novel supercontig:GCA_000165425.1:CT867994:167510:168214:1 gene:GSPATT00028888001 transcript:CAK57853 MKLERVITFVFFALIAYDIFRAQPKPQSQAQEQQQDNQSEQGQTNQDKDYQKERTFQEDI DLENAEYIESTLPINVEIFYCNRTGLHTQYLELERNIYLTFPKNKNLIVNPGEYPVPEMN SQLSKFVNYGQYGLMAAMFFHKQLFAMLSMPVPGIVEKIVEKKIFVLIGAIFLVQQLQNS LLTTGKMMVYVDSKLILDQAAPIQADKVFQLIKTELLQLQ >CAK57854 pep:novel supercontig:GCA_000165425.1:CT867994:168224:168774:1 gene:GSPATT00028889001 transcript:CAK57854 MKYTDNQEEVTLDQLKEYLKDQGNYNEETFSQNVDIFQQLIDDLIQNDSEQTYEQYGISP QELHQTWLTEKDRVLSYYIKKRTQGQKIEKFQWSVNNQFYSKKAKNINKPVCIMNLKSKD VVNKTYKFHTFEINEEDLNYIEDQMKKIEQTINALLL >CAK57855 pep:novel supercontig:GCA_000165425.1:CT867994:168806:171673:-1 gene:GSPATT00028890001 transcript:CAK57855 MSTVLKQQAKREIQRQIEARRQAQSQQSNHPYLGSFKNRQGVLSPKYVGFVSNYNSLDTF RKELVCGQYSISNDTSNKKQLVDEVFSKTNTNVKRELFDIIQQQFETELYQMNTKLNLQH LVDKYNSYQQIMQKFMRNVINNIEEYKSKTEFAEQQAKEKEIQINDLTVKFEELQQMFNK SSHTDRPIKSRKGDYKKQSELYMENFRLKNEIEKIQERLQILEQLSDVQKLQQDIINIKQ NAQFKINELLKENEIKEKQLQKLNLHYQNIKSQYTKLENESKAYQTVFEANKYQLDKLIL DNEKHTNLMNRYREIANMQREDFEQRLYYYKEELAIAAKAREKLSQLQNKLDRFQIEKSK EVEPQPNQQHEKEKTGLEKFASLFSNDKTFFRLTHSSLLQDKGRIVQRTGHSIIQMESHF NSQDIQLDKFTIGYSPFVIFIEHHKKTLESEFAGLQYKRPSLQLLVIMRHILDAKWNEIQ MNQQSRFPEFVYSWLMNFKVDYNHKCIKKIEYDNQRVDDQIVKFIVDFSNPVLEKNWECI TFQEFLNDFSSHDEIYFFLYARSLLFRGPQCQNHQAFYEPHHYIPLLQADFVVTHLFSQY EVSTIQQVKRVLKERAVRKIQNKNIYIIEAAFVLRILLEFYRVERRNRYKMFKIAFGSRA TNISFKQFRIVLISNYPKITDLELATLYREAYSFTGTGVSIDSFYTIASENGFFTKNLKI QNLTQLPHLVEEQFAFDPSQQPFILVSEAYKRLINQQKPVKELLVEIGLEILFNDFEELD NFIETKFSSLQSSQLSFVQMTDKYMSIIRNVNHLLNCRLYIQDIIPQGSTFQKLHDEKQK QQLQQNRDAVIISSENFNRQYVKKDDFTHEVELIKSQLDCLQHLANYFDSKDLMRKKVEM GQQIAAKKIQKFVRKKMNKFYSFISSLLSAKFKSSVKIK >CAK57856 pep:novel supercontig:GCA_000165425.1:CT867994:171957:180137:1 gene:GSPATT00028891001 transcript:CAK57856 MLQFVPQYYVEILKQDLLTNQPKIHEAIEQQQLDQALKLLNQQQSPSIWISSIYLILYKH DLEQNSVNRFVKVIEDSNLSEMSEHELLAFTQICQQIMNIELIKLLHKYNLSGWIQLSHK IHLHLRKSIHPKVPSIFINYFINQNIELLEQHEKSYDEDLVWTLHDFRTFYQMMPTDIDH PYYKLLKISIYVRMLDLEKVPCPISPQTIPIQYPSKLENILKQVIPTPHKECLDLYVFCL IDVGLFKMVIQHLRNQLAPEQIYQIRTSFIKHAIQNNYSVDVEIYQYLEELEKFDEEFKQ LIYFNNSLIYEKMSTFNEIWILNGLIHNFNNIQSQQRIIKQLALDNTQQSLKALWILHLD GANSDLNTDHQKHFKIKNFKFLNIFHLIKFKNDSDFQHLIEALKVIAICIWTDRDVKQED FESKLFLVSARKCITVQNCSQIFQLLFGISSGVFENQYETHYLKIQIPYFLELIIILLFE QNIDLNLKEFYLNQFIILTQNLENVLIILKGQIIERLIVYLRIENQFQEKIIQILINCIK VRFQLQYLQSIALFMSPYQSLIMVPYFDDQQKYESYKKISKMLGIPKQAIESGSLEQQEQ FYQSINNKDLFIKNSIQLLYCLKEIVSIQNDQYYYFTGHESGIVVRGKIQLETQNNSFSI ILQFKFQIASATLLNWGNQDVSFSISIEDSQFLIIKFRYQSTTKVAKYVLKYLDNFIVIN FQTSKQFFVNINGIDVLATQSDQFDNFILPVLNFISVGAKFSDQEQFQNSFRGEMRMLYI IDSNINAEDIYILEKKSQNNSRLFQQLLKMQLQGRILCYLSTQSQKQDLQYDGNLHQRNQ IILENCQYLIIRGKRQKQNTISKFMDKFFQQRKSSASLEVTSLIPKNVNQNSKNVFFLEN TTLLDVMKSYGNLDILFFPLQFVNDQQFVIAVLQLLNTVIQKFGDDISIQNYLRSDSEFK GIKVLGYLLTVFMKNQGCTKQLLQNILNLYHSLLHCQIIQALRNDCMSIYHEFEYWQYCQ YNELLFLYDYLFEQLQHNEELYSYYKDKLNCLYYILQVQLSKYQPQKGEFQIFQQIRKLL IKCILFLMYNSFFQIQYNNDDIVPVQMIFREKKNEKYSTEKLIVFKNEIILLLNYISLKS VQDLAVILCFMVKKPQNCIIQELLSEMKCGSSSAQKEFNIDTIQTQFIQKNESEDTLLVL IFKIIYGVIIEMIDQKSINDHFLAPLIEILIKVQILQINYEHKLLELQQPKNVQQLKKAK ECTLIKSLFNKIIYILYEHSNEMICSHNTYQVLINLSQLVLKQELGLESEVLDLLLQHFR KFNIQTKELIIEFLILTSTYTVFMTKLCDHKDLTYFINELIAIEIGQALINRIVLHHIEN IAFSARKIVRLFQKVEQSNNQFMKVLQNILNLQIENPNLKQNQKSAQAMIDLFMLLPTSL ILNKNIILNDPELFIRVFDQYILYLEKQEILYSFCMDTEFVGFYEYVPIPFFIQLSIEIQ RKYLYANGGIMGTVLFILFYSLELLMSKKEAKSLLIIWKKLITQKQKSTNRPLQQQSLIV DEVIPNVQTKIQKIKIKQQKQSLIQKVKQNIFGDYQQTQYDKYFQDIHLIHILKFQLLSH QYSHSEQLFEIFSELQFKPDNFINFACFWNIIEQKRVLGNMDIQFQIDQFNLKEITTDIT IEGINNKAYIIINQFNQQNQRISQAIKENLKIGNDAKKFIDMIQKANTTLKYLELFKDSD LIKRSLTYMKLYAYRQLQFCQEIAILLNLHSIQIQNQDQINNQVISLNQQCQQNNNEFSK LAYNLFSQYRQDSKQTILRFENEQLIQQLIIQFKVKLHFKQNTHKRGLWYYMNYGDAHLY ENLNQVNYDTFLELLGKERYSINSYEDSLRRKMFLKVFAKQKKQYEHIQLINNIQIVFND LFNTQQQIQQNCKCMGNENQQFLAELITQRGFYRGKIRITSDYLMFENFGLESQELEIQY KFQKESLLKAEKQKLIPLSQIKEVFTRMYLAQSTAIELFTSNNKTYFFNLLNNRSSVLKL LGQSVNVITNPCEQFKKSGIMEKWRKGEITNFQYLIEINKYSGRTYNDLNQYPIFPWVIA NYVDFDIQNKEHFRRLDLPIGALNQKRLENFLERFKEADPEDMNMYFIYGTHYSHSAIVM SLLMRMEPFASLHQELQSGKFDKADRLFHSLEQQWYSALNSSSDVKELIPEFYYFGEFLK NKNKFDLGQLQSGTTVGDVTLPSYINTKSPEELIFLNRQALESELVSSSLNNWIDLIFGY KSGLNAQKYNNLYHRLTYSSYVQQLLEKTEDEVKKEQYITQVNFYGQIPQQLFKKEHPQK TQQKLYDQGPIIQFKFITQILSRSSNIIDQFFCNEKYFVVLTNEQEIIVYNMEHRIISHK IPKDFSNQESKLMPFNSNNVFLLFDDSLVVAGYVDNSVKVYSLKDLKQTYQVSFHTKVVT CLGQSANYLLCGSMDTRVSVWEWTLQHQPEFILYGHQNEVSIIQVDSILEIILSYDVKGD ILLHTMKGVFLKLIETSLQDCSSIRLHPSGFILLSSLNLISIYTLQGEPYIQRELQDQII NVNVINEYSPELLITTLDGGIFITSIILLKLYADFTGYCLKQYKLDEMEMKLETNQIKKV KEISGKSVEFINALISYSQFNQTQAYRVLIIGFQNGQVISVYEQPKQTSLL >CAK57857 pep:novel supercontig:GCA_000165425.1:CT867994:180168:180829:-1 gene:GSPATT00028892001 transcript:CAK57857 MDLIIRYKKISHDQRTHIINELTKNAKTLKEVSEETQLKPSTVKAILQVYLKEGRIGKKS TRDRKVKLLNTTVIALIDKTKQYYILTIISYRNSDYAIENLQFLHPMIRINQQSSEISHN GQTLTETQQKLDQYSKQLVMMQVKDFLREKKSEIMEQLANPLAKQNFLNQLAQFEVSEQL PFQELKKEQLSAEDEQFVEKITQHLKSKVKI >CAK57858 pep:novel supercontig:GCA_000165425.1:CT867994:180909:181915:1 gene:GSPATT00028893001 transcript:CAK57858 MIPSTRICITPNCQAQSRLIQSIKELEYHQGHSSSSLGQLTKKLKEVLPDFHFTASIKQI IESKFQIIHSYLQKKQNKRKCDKYELIEAASKFINSEYSLLNEKAIDLIIKSLPNNFSET LQSHTAQYFNLQIQIQKELDDFILFITSDTKQETIQSTKQQQSKNQKPSTLIAITQKPEF LKMPSELDQLSKFEINNQMAKHLEGYPSIVMTNQLLKEGTQIKFEIVKIQNDYVSVGIGA MERASIEKNGLILNGEQLQVAGHGVYMNFNDKCVYHSEIGNGQIQGKDKGIAFQEGDKSN LKIDLQFSAVILKDN >CAK57859 pep:novel supercontig:GCA_000165425.1:CT867994:182119:183862:1 gene:GSPATT00028894001 transcript:CAK57859 METNQNILQQDQENDLQFICTSSQCKFRGCTKSRDIQSKHKNCNVLPYKEFVESIENYTD QIFPSETFKIYDQKLKQYLKKINKLQEEVNDKSLMFNKIKKELNNANVQKNKLQQLLQQF KDKTTQLNFELLEKTYQSSKQQKTIDNVQRNQKKIDHELTTNQFRLAISQVEFQDDDQSS DEDSSDDESSDDQSQRISNQQLDPEVQELENKYNQAFNFLRNNAITQVKQNKEEQLSQLQ EQIQSQQYEEQTNIKFIEKFGNNSILVATKSQLGIYDLISKSLQWIKQVDTKITSLQVTN FEQVIVVGKKDGNIEVLEWNSESKDKLNSSNFRVSNEEGRLFVEKLQPRHIACLGRDGNC KIYETPNFNVVDNYKLDQGTKIVPTCFFAISEQQFIIGAEKTLFFNKTKIEYKFNGKIKQ ICQFGNRWLVADKATIYILVQNGELISVFKEYPFSPKQIIYMTTFYESQFIYINTKSSKS DDYQNQVATIQTSGEQLDLQLQQLDKNIQVKLLKGYQNKNETFLYGIDGSNIKEYKMIRE NDKFIVL >CAK57860 pep:novel supercontig:GCA_000165425.1:CT867994:183896:184968:1 gene:GSPATT00028895001 transcript:CAK57860 MHKQSLILQQKAPKNINELDQAFKLIDQKLDAPSSQLLLMKTRYISIDPIMRVWISGAKT YLPALQQNDIIHAFTLSSPVDNQNDIYFGPAGLQTHFLYDKTNKKKQLIKVPRQMLQILQ KCELQNSNLLTIILNGLPAYYGLVDFCKIQKGQRVVISAAAGATGLFCIQLAISMQCDVI GFTGDIEKIKFLQNQFPTLRIINYKLDDWDKQIENNSVDCYFDNVGEYMLEKMIEKMRKN GKIALCGSMGSSSNYNERQGLSNMNQIINKRLKLKGITFNQELNKIQAAFDYLFQQFQQK KLRIFQEEYNQLNDTPKALKRLFKGDNIGKIIINLDNQTKL >CAK57861 pep:novel supercontig:GCA_000165425.1:CT867994:185237:185605:1 gene:GSPATT00028896001 transcript:CAK57861 MNPYPNLENQQIQHPLDSQNREQYVQPYPQQQSPILFTQMMHRNNANDCDFPADIICPYC QRMIQTHVQYKMGVYSWIVVFLLLVLFFPLFFLPFCFLECQDKEHKCPHCNKKVGYKQYR IV >CAK57862 pep:novel supercontig:GCA_000165425.1:CT867994:185789:186391:-1 gene:GSPATT00028897001 transcript:CAK57862 MINQKKQQNFQAFGTQILIPLVPKISASKPEVIEQRKFVPIKKQQNNFSTQLQQQQSQSQ GTIEKVKIIEEKKTICQKPKLTKNKKIKKPLPQIKPKVEESIQINQEVAKVEVEKPLTYV IIRTVKIKEIKGEIIVNYKDKAECLQIRNRLLNFDDVKQKFPYIQNLNEKMLIEVDNDIV LKKNQIGWVDCRDCEEYRLQ >CAK57863 pep:novel supercontig:GCA_000165425.1:CT867994:186517:187653:1 gene:GSPATT00028898001 transcript:CAK57863 MAQVNKRKQSKSLDDSEGEAVQGRWIKEEHQRFVEALSLHGKNWKKVEEYVGTRSGAQIR SHAQKFFNRLEKEFNQQFNGLKSSEIKEIFENKKFHQFSEGDYMQMKQKNNSIMDVSDDE VQINVESMQMESNQQQQQIKAQLQQGILDLNLPDSQTHNPTKEQVTKYQQQQRKIQKKLQ DVQDMNQLPDELLKEICILHKEKGQIMAIQQIQNAYQSISEVNLNDELQNQQIHQEQKQP STLDQDKNQESRPGNDESFFHFIMAKISKYLKNRKLSLSDLINVPKSEQEIQLDSHPQKC DQQQEAEGQRSRKQSFTFYNDQDEDNEARYQNLKKTKKD >CAK57864 pep:novel supercontig:GCA_000165425.1:CT867994:187708:191242:1 gene:GSPATT00028899001 transcript:CAK57864 MNTYPASQQFSPYSVTKQPQAQQVQRSTPFDEDNETSNSGSQIFNQVQVGAQNGVNLDEL FDDNPVKSNQDFPFWEQDSQKGQPQINTKNAENSLFNFEQNSNNQIFGINPFYQTQTPQD VGKLQQQNQAQNTQGVAQLQKSNINNKNQILDEFDEMFEKSSQDVSQQGEQGLKVHQIQQ NNQQPFNFEQQQQQQQIQPKVQNTDLDGFSFPFFQDTLPPFQINQNTNQFQGIQTTNQQN RDVNQFKFNEIFNQPSDTNLQLQVDNKLHTPPSQSQIIQSQKSLNEKHIPNSNNSSSIKQ QPQQTLQFPIYNNIPHQEANKQPSQLNFSLDLDQGNKQQKSVSNSQRDDSDLPWDDVQKS QAQQKAFDFFQGPNFFEINKELNQQPTEQVQNKERFNLWQIDNNEQFNNNLFQQAIFNDE LNLQQNQDIFNKEHQAIPSSNSFDQFNLNEHDNKTQFQAQNQQGSNQFDFLQWKQQNTVQ SESDDVLGQFQQKLDLPQKEDDSDQKIQNQQTNQFEFPYWNEKQAKAQSESQSSVKDAEE KFEFGWNNIQQGNFQQDNQFSNWDGDEMNQQQKIDYFHKDNFDQHRKPSHDQEGSQHEQQ SVHNSESPTQQITLYGNQGQEKENKLRFVQDEGFYDQKDNQKINFDSFDQRENFIDESKV IQQSQNKTQSFEFPSSVQMGFHSVRESKEDNNKEQTYADQIMELLLPKIAEITQTLSNDF MNTAGNYQQEGQNVLYEGIYNILQNEKRRIGQKKEKYINQQVQIIEDIIVQYDNKTHQLQ EQIIKVKEEQQKERQKSSGNMSFDSIEIKKSKQINEQIEESPIQNIQLQVSPNPYTPQNS QEKPHIPFQLIHQTQSKFLNESVISQDNKEEDLIKFQTNYVFQRQMLKGLQLNEIQKFKK QCLSDQVVLLETQELMVTQISEKKDSSNQEYKTTLIYKNKGSKGIQNLIVCFEGKKLKNG FQAYPQKIAHQILKPGESIKQEIRFRCYDQLEVYLNCYLIYTVMDNRFYGSQGQQGSQNN ELMYRSQVSYQGYNNSYYNQFSQGQGNTSYDRDSKRTYQFIIGRPVNRFFAYNYWTAEEL NEYKMRYQGEEFPLKSLEELIIYHPWLVQLDKSTLCGKVLIRLEENDYDFVVKVVLKDQK GVIKMNQTDMEQKLCEHLLSFLSFLFFKLY >CAK57865 pep:novel supercontig:GCA_000165425.1:CT867994:191242:192434:-1 gene:GSPATT00028900001 transcript:CAK57865 MSPLPEPNNNGIQTPESREVFRFIYKNKEYDVTEYVPKHPAGRSFLDKMKDEKQDITEYF RCLHSKKALKILKSQKVVRTDLKESEDSKRYSHIKKQVKDLFHPDWTIEIALLLALSLGL YLGVTTDWYIAIPTIALTQIIAGWQGHSTNHNRNPLLYKLSIPYGIIHGFSADWWQFKHN NHHIFTNRIGKDDDIDHTYQKWQYGFLYLKWKFDSVLASYNKIDILYVLLHQIIVFQQKI WIYLVAQYIAGFFSACILIGNHEREYKFYKKIDKPFIEHQIITSRNYDWTDWLSNLIMGG MQFQTEHHLFPQIPFYRLPYAAKIINRELNKFGYKIHVGKIL >CAK57866 pep:novel supercontig:GCA_000165425.1:CT867994:192499:193573:1 gene:GSPATT00028901001 transcript:CAK57866 MNKNNRSEELLREQYCKTQAERILDKQLFKKTKGQHLHQMEKQIYGDQNKKVKVEESSQK EQMSCQQAMGLVLKYLNNDNKIFTALNKIKLLIKEFKNKFYDYHLLQILYCISITKYQFK QHECDQLVIEIFDLCQMPQYTQVMDILRIQLQTKIKLQTDDTYLFNNMLKELKKIIDDLN TLQALQPVDILKLNSLSQIEAYEYEILPEFDQFINDKETQRKQQQQYVINCLEVLIDQFK YQWAKTSIHTFIQNLNFNQKQQFDESFRKQIDDIISKIQNAKILYNASNDREMVQNAKVM QDYLKPIHDVVDSRAVVNTGDGLDQWGLKQTGL >CAK57867 pep:novel supercontig:GCA_000165425.1:CT867994:193752:195289:1 gene:GSPATT00028902001 transcript:CAK57867 MLYNSFFKSKNQSPYSVKPKQQMRQSSFEKPKKERLRLQLSSKIDQFEKEAILSVVNSKK KSASKRFKTEQGIDIIDDFLTLDSCITKQQSKSRSFSNAFPSNKSADRKSNILNSASKRQ KSSNQKQTLDEDQQSDNFPTQFQKIKLLGKGGFSLVWLGEHKTTKMRVAIKQIKQSNSNQ AYLREIWFGSHFFDNGNPKPQFKSSVGIKSLLQYLGYEIGSTDTWIFTEVCGESLKNTLY ELKGQQIKNEIVFKMIQKPLYLHLKSDLNILKKIIKDVAQALILLTEQRIVHCDLKTENI LIKKSKCLNGSYLITQTKLIDYGSSFMFDDLSQFSMATPEYMCPEILNYIQYENGKEYDT KLFKVLSNYYKPWVIDIWSLGCVILEIVYGIPLWLSNKIIVRHHDKDVIEQGLFAVKNRE FDQIIQRQAHVVKNLDHYLDNNYSGIKVDLEIRLLLREMLTIDPDKRIAPHTIIEFLQPT KERLRTE >CAK57868 pep:novel supercontig:GCA_000165425.1:CT867994:195800:197360:1 gene:GSPATT00028903001 transcript:CAK57868 MKKKSVSTSASLPMPYFNVYFKIHYHTQPGKAIYIVGDCNILGNWVSTKGVRLQWNENDE WTVCVKIDRSQYVKIEYKFIVNNYDYPTLNDTLWEPGENRVITNHMIENETKSEYFNCEY WGYRTIKLKLNYNLPDKQRMMIVGSIEQLGSWIHPVLMKQQSKIDIISREIVQQWSISFI VDSMHFSFRYFYVIRNDGSMIWERGNGRYLKSSDLKSFRLVQDQYSTSPIKIKTQMLTAC QHQRLHNKKNGSFCSEKQQKLQKQISMGYSFSDKEPSFFYYESFGRLNKLDWNFVVQFSI TQINENIIIGPYPQNEQDIVVLKDFGVKAVLNLQTRLDVYHRGVDWDEILSSYKKHNIQM KNFEIFDMDPQDFEKKILKAVQILKKLINQHESVYIHCTSGIGRAPSLAVIYLSSVLQIP LNESIALVKNKREHFYINFNMLKRALQKTMIYNSGLDYEDNLEVIDFQIQSSGKIKKQQF DYNPLY >CAK57869 pep:novel supercontig:GCA_000165425.1:CT867994:197674:199544:1 gene:GSPATT00028904001 transcript:CAK57869 MKYLYRTIFLLLIIINGSSADRIKARNKRVQEETNQTTWEPIRIQYQFAQENYEIEYQVF FQNLLSVASTFFTRYFLVQRLTEQITFDTLDTEYFEKRNISTSLISKQFDADLLIFFVIF SDSQSYKANSGYFKTDPETKRPTVGYIQWNTFYTNLTNITNSDFEQHAQRLIAVTMYDMG FIFQTFPTYYDSSTKESYSEVIITEDGISYLSTPRLRNKMKLHFNCSSIKGAQLENEGGN GIQLSHLERAVFYNEILTSSIMEGKVVISDFTFSLFQDSGFYNFMEYYPDKVQWGKNKEC DFLTKQCKGEFEEFCQVENEQGCSYLNTGQAKCQSDKYSNECKYFQIQLGYDCKDKSSAG GDQNQETFQYFGSDSMCVKGSISKNQSAANSQQSSCYQYSCDSNNQLKLLIDEKQYDCSS SQPQKLDKGYFGSLICPNNPEEFCKSQNDCEDQCSQNGYCLNQMCICKLGYSGKSCSENC QNYRFQNECFELCPKNSYAIASIKYCVGCPGNCYICESYNKCKSCHQGYKLNAIGFCDII DPFSDGGEDENETGSGEQEDEQYFDLYFNYQYENGYIIGATIIYLILL >CAK57870 pep:novel supercontig:GCA_000165425.1:CT867994:199690:201279:-1 gene:GSPATT00028905001 transcript:CAK57870 MQPIIIIDSNSVYDCRTHSLIKSCKFDINVILGSFSKTIPKDQWQTKIKTISLLLLTRFP QEIPKIFQILEVQGSKTDFIKEVYSQEEIQNILQQILENNEKRQLPQNDQKVQNDSTEFE RRFIKNNIQTQNIPQLSEDLQRNIPLTIGISISNLVENLKGFCIKYPWWNQFYKRVSQTN NETDAENVLFEIAPLLVQRLHDLKNQSQFQNQDNSINQQNRQMEFSKCQMEQICQRLLEL INCNDLDVEFTDLNILEAADEQFLEFLSILFQVLKQMMKINATIEINDNEATFSLTGVIK RFHNYSQNQLLAQSHMFAIQLLENIRRQTQINQSTFEIVASILKQLMPDDNEPLMRMLAD RIEFNKQLRDKRKMAKDMKQQVQQQQMNYSGINSNYNKKQKNNPSKQKQLDDYLKLEIAR NQQKEEYFPKKKVKKVTKTITVDTSDESYQSSFSFKGEEKPQQEQKPTYFPKLGQSYQYP SVMYPYYNPYCWQYPYQQPVQHQNQFNEQQLSQIFNMGLEAYNDRILRD >CAK57871 pep:novel supercontig:GCA_000165425.1:CT867994:201374:202720:-1 gene:GSPATT00028906001 transcript:CAK57871 MIHNSNRNPITDPYSLEDQLARELQKRKVEEEKKRREIERICAESEEIKLLKQKVQTAYV TKERTQQLAEQQLRRIQELKQESEIEAAILEKLKREQEEERSKEKFRLQQRLEGKYTLQK QMKEHEQLRDEAKEQYIYEKDQVNRVIQQLISEDRKFIEDQAKKKKIAFSDMQNALREKA ELIYRMKQREREENQKYLDFMKEKDRQAHEIKVKKQEENAAKDKIFQKLKEEEERRRQEA ELLTELRFQLYQEQYDAQQRQKDIDEANKREFQKREMQQAEQEARLRKQRQKEEEQQMER DFRDQMMRKFAEDDKLEQLGQQKRRMKEVEHKREVERLWQQKLEMYQMEKQKELEQLERQ RREEAYKQLVVEEEKNRILQEHLQQVGEFIPKGLLLKQGDSQFIRQGQPNSSYQSGFRI >CAK57872 pep:novel supercontig:GCA_000165425.1:CT867994:202748:204196:-1 gene:GSPATT00028907001 transcript:CAK57872 MSLKDFQVLSKLGIVMQNQQFLGEGAYSSVYKVKRLEDGHKYALKKVKLQNLNDKEKQNA MNEVRILASVKHPNIICYKEAFIDLQSNSLCIVMEFADGSDLYQNIMNSKKSNKPIEEQR IWNILIQIVRGLKALHELKILHRDLKSANIFLSQNGDVKLGDMNVSKVAKKGLLYTQTGT PFYASPEVWKDQPYDQKSDIWSLGCVIYEMSALNPPFQAQDMDDLYKLVIRGFYPKIPQH YSQDLNNVIRSMLQVKPNLRPNCDKLLQFPSILQRQEEISQTEKVDSEPNTLLSTIKFPK NYHYFTSILPKPCYDFMNRKQIKSLHSQQASPNPRSIMSVNGSYEDVTSQKSIEKGGVNV VNRKPQPEVLMILEYQNKQIRKPIMKIRPNRHSSQEEVSLLDVITQQQSKISLENQKLPI LEELSPNKRKIRPNKHRNQSLPNANLLPLIQAGVLK >CAK57873 pep:novel supercontig:GCA_000165425.1:CT867994:204945:205515:1 gene:GSPATT00028908001 transcript:CAK57873 MYNHSNISYTNTNSLNRQELQEFRNYVRKTAQAKMDGTEDKSRQIAKTFHMDHKNLMSLN QSPLQSKKLHVDHDISQHHHLASLSDWKKFDRSTSQSYVSFDANTWKEDQNSMRQRKLQT PSLNAADLIKVNRTLSGLSTKEIQNLSGQYYHQIQELEHTVSSMLRRIDYIQASKTNY >CAK57874 pep:novel supercontig:GCA_000165425.1:CT867994:205685:206447:1 gene:GSPATT00028909001 transcript:CAK57874 MERYCLVPEHQNQPIMAYCISEHCRKQNRAVCFKCMTDLTHQQHTIIQTNQEENFLQQAN INYRDISDKLNALKQQFSTGITYLEMLLEKYYRPMPLSNFGNKEITNKIDCLLKLEKVQK LINDRIDNIFEHTLKSIRITCHDLTQGQEFQGYELLSKQNYQEALKLVDQNQKVDPKSAL LRLAKAEIYQNSNRVQEARLIYQEILQTEQFNPWASIELNKLLGQQERR >CAK57875 pep:novel supercontig:GCA_000165425.1:CT867994:206460:208452:-1 gene:GSPATT00028910001 transcript:CAK57875 MSMFMILSQFYVVDGPKQQSFQLIITERSCKLIAVPSLIASMLYAILNIVLIANLYCQIY VKNYKSFNLKEEVMLGVVLIIIISILLVLLSQDLGVSILGDCNLESQNVFAQFLVYLRGV LMIILSIILIKLQRHSPQILQTEIYKTSMDKFIRKYLHTYIKVNVSYGWLFVMSRLLIPI ILNYNQNYKELINILSIIQAIMTLSMTIIRLHEPIIHRHIKYLFRPKACVLQERLLDKTN LQSEDLQNRSYQNIMIQTSGQDIIGIKLYQQQKQNQLNLSLEQYDVGPLMPQESTQIQQI EIQNIIEHSGHNEIILLLQASYEMLEDHQYCQNSEMTYYQFNKIQQRSIQINQTKHILVT TYGQDILSLKVRDYFGINLKQIKNSLDISLNIDNLRSQELPQKGTLFITHDNLISIEFIT RDQKRQLTKGSGLQLLWQRWDQEYTCALGIFLPVIFGVHSFYLNGNYFTIVFKLNRLRLK YPLLEELWSHQAVLNNLIKQNIIGWITIENGVYNKRFLAQEVKDGKFNIVFNKNDYLLDE NDKYSLMDMIRRDYATMQQMKCSFTLQFVYTKHTSARLDSLAGKEKQFDQDQSVAVKHRK KTKHFIGNIASFELKTKLGFVQVFWDDCWKYYQSDIEKLIQIVNDNF >CAK57876 pep:novel supercontig:GCA_000165425.1:CT867994:209141:213090:-1 gene:GSPATT00028911001 transcript:CAK57876 MLTQILIFPIFISLACFSMNEKLLYVITQNETIRLNLSQVFIGNNIVYSTIQTDFDIIQP FDEYDKLELPEQTYPISFKPLLNTNKKWLNQFAYMAENFISVNITYSNPQINLNTPQFRT VLYIEKQDLSLNCFDFDYFKDDSFIIVCQKETQNLVYIHNKNGELLNQIELENFLNVKSI QITNTLKYFYKLESNQSQSVLSIYKWNEDYTKLDLKSQINNSTVHLLFPQKESISLKINQ YKILKNNEIYFLDQTLGLFKYESNQATAIKADKIISFDIDEENGFLIFLQTQKIIVYQHQ HYIQTISLDFNVDEKGKVHISGQYIILHNTGYFSSYSIYSGYLLQKINSEGIITYLCSQH YLLTLSNEYSHFYLLNNGYLLLNTDDSETINTYQQFTIQGKDIHGSCNTSITLQTIQENQ ETLISDHENKSITIGSPFHQQELQLMISGPNQNYVCISDCSIQQISNYPFNIQFKDSIIY QDVVKIDNIYYYLLQQFQNTQQLHINKCEIQTQLICTIQSAMQIKINLNEDNFQSQLIDK ILYFVVLETQKTVSLQTANSTIKQLVLEKSVKQVLFDHSSLYLVFDNQIRFYQNIIKSDD YILIDESFFNMCSYNINFEPQRLYINSKRDTMLINNKNSLLITNLFTDFYIKYYLNLEIS ETDFLAISDQTFILIKDNIIFEYNFYQEVYLIHKIPTYSQQLFQPINAKCINNYLLIQTE QQEILVYQFNTQAHNSLKFQISISTTNIKIQKQKIIISPFVSNKQLFVILYQNEFILKQI HQNPIISYQFEQQNNRYIQEMTAIIQVHNKKQSLEIHQSIKQINTFTQIELLEKELKDKN KLHLHKYELKIPMIHKWYEGQVIDFIAESEIKDVVTIQNLIEKTDDQLSNGLQFNNLISL NNVTQLLQGAQSFMLLDSQFELTSLINLDVKPQFTCTYTFQDNVFYYTLCNSLTESYLHI TKVDDGFPLGFLYQFEGLTKKIGCINKKIVFLTGNTLRIGDIVIENGQFSIKNIIQINEI YLKLQSLFHTVDFDIIQSEGDEFIVQLLDKTGLVGILRGIYKENNYVVFNIKTFDTKYLI KKNNYSILSDTSFIFIKTLYHSKNFIQNLRFLILTNNAGSYGIRIVYDDESNPFLEFMII QYGFWETQRFDIGNNIISISYKNENKVLIGVYKINFNIKSVEFEQLKIVSGLQIEQSQQD PVFFFLKGNNLMVDTQNQIFLQYCINDYVYFVVKGAQDRQHINITGRNDFTSESLNYIIN YHIEEESSNTWWIVLVSVCGGAILIAFFFYLYKRMHPVKSVSSILLE >CAK57877 pep:novel supercontig:GCA_000165425.1:CT867994:213231:213701:1 gene:GSPATT00028912001 transcript:CAK57877 MQQFILCIINLFISETECRIRIFQFQYQYIYLMDPRQEKFLMKNSTLVLNYGQLKIIYDK LFNSILKMESYLPLVKKKFYSTQN >CAK57878 pep:novel supercontig:GCA_000165425.1:CT867994:214066:215753:-1 gene:GSPATT00028913001 transcript:CAK57878 MQKVYFQSGLRKEKFEAVLPSQSSKLKDLKNIISQKLRIKPHLFSVEMNDKNFTDENEII DTKELYQVNVMPQVKFYEFSVANAGGKFFISFLEENDLLEDLIEQVNYFLTQGLKEQFDT YDLYLISNNQSVLIDYQNKKKSAIGELFNEPQINLQIKLIHEVWTLKIIDNFLNNKDREI EINRNKTLLDLKEAISPNLKNFSFMIDGKQQQYYSFDERQVKESWFKFKNEKNDSISLIN LSNQQQNFINSFSFILQSLFTLYFYQLISILLFLISSIFIPDTCVQDSKVQQRQFQKNKL QIQTILRDQFNSCKIQIIGIKSNCLKVSKIQTIFIQEVEIYNQLLQRLCAGGSLFEMVTK KDQFTEKEDQKYFYKSCKPFIAAATKGYIIEILNQNTCYSQIKPPISQQKSQVLMLGIFQ IIINKKHSMARNKQNHRQKHLTIQLQKFQMEYDELSDVQSVGVILYILLAGTLNFNLTID SDILNVVKSCKYRVDLPEFKEVSNDCNDMIQKSQTKFDQRLKAQQALNHCWLIPLEI >CAK57879 pep:novel supercontig:GCA_000165425.1:CT867994:216668:217852:1 gene:GSPATT00028914001 transcript:CAK57879 MNIKIVYNSKTHKIAPKLQTLEDIKKAILILYPKQLADGLELYVTLHPEMDPFKILDDSC FLRIQELYHQLNWKSIKFLVKDLINPDLTNEDLQILNQSVIVQSTVQLSTFNNILQQKPQ PKQEVIEIKKQILNSIFYSIIKIRIINELQKQEVQELDYESEEFKKFIIDQIDERLKYYG IIENQNKPKVPEYQMQLQTRNLKITKFVNEQFLFQVQVMNTGTAIWKRRDVELIGLSGYY KNISVNLYEDTAPGQIATFKCNAFSPSQPIQNMKNEFQVMLYRLSEQLAYNDGNQRRFFG EIILVEVTSLQNVVIQPNEKNVDQKKVQQLMENAQISRVKAIEFIQFYGVDSGIDEIIMA YFDQLQ >CAK57880 pep:novel supercontig:GCA_000165425.1:CT867994:217908:219313:-1 gene:GSPATT00028915001 transcript:CAK57880 MQNFLLTQFMSQDLTDSYAQFSQYFSEDVINNVLIDESDKIKDLTDRLKDSLKTKTNNTI LLYGQEGFGRKSAIRKAIDNCEQDLQMKSKKIIKIFVNAYLHKSEGNILSAINNQLLQTA QIKSKINKLSVDELMKHFKQYENAFHGIVLVIERVEILATVKKQFFLYSILEWIRESKYP IIFVGITSDLLFQEKLEKRVKSRFQNIPYFFMDLDFQFVQKVLLTRLEQIDRNQVINTYE NYILSKSFEEYFAKLQSLQVSISKLIYLFRSSFFLAGSRFNRPDKKQVDSIKFERNVSAE PISLQELMEQSLKWIYPNVKLENGKLLSQPEYVILFSFYNLIMHQKPQIMFDDIIQHSKK AMQTYKLQHHKTASEFTPLVLNKALDNLIKQKFISLQQQSKNLENNQIILCMSIDDLKNL FENIRYDLPDFMSYLYNFNF >CAK57881 pep:novel supercontig:GCA_000165425.1:CT867994:219351:220667:-1 gene:GSPATT00028916001 transcript:CAK57881 MASQQIKLNHGQKSCVIESKEYDKLYVLKDYLSQVFKLAKFKLYYKEKDTEVYIESEKQY MDMVNSGDVRELFLVEDNQKDKNYFDFFMQQLFVNNVCCENDQSGCVICQEKKQVKPEEF NQLVKECLHELIDKEDFQAFCKKQFKRNIQDIDLFLEQFSKLSKPIKSIIAIQPSQQQQL QIQQNQQVSAIRQTNTPVQSQFYKQNIMNKSTNIHRQSEVQQQIPKSQLMHPGSSYIRSS IIAGHLPEFCVKYEKREEKIQEFNADTYAKIDIFIINNGLKRWPESVYIKQVGHYPQNIQ YLPQLNPGEKRSLSLQFQSPINAGAYTYTWVMFYKDENDQERRIGSKCVTEFKIKDLSRE RKAQKCVEMISKSLFSDTPKKEIRNKVDDYMQKNPEMGIQQIVNMVKEELEL >CAK57882 pep:novel supercontig:GCA_000165425.1:CT867994:220695:222180:-1 gene:GSPATT00028917001 transcript:CAK57882 MNKFTLTFYHSDLEIQYQATRIFMRKRVFYAILFSYLIMNTVSYIQNIIETNNQFVMQLE LLLSCLLVTLFVIYCYKNTHFNYYFCILNIVGCMMQFQLLKNQKEQKIFIFGANLMASQM ILLQRSDFVLSVTQIFIIAITRITLLIYLEEIDYLTIFTTISMSIFLALVQFRSDQNRRQ QFLLTLKNNHWDDYLPSMIRKPFFHFEYDHTQILLKKIHRKEEIPIYKQELCDGCNLRNL LREYSYQNQTLESYILRRAKSRVPLIQGFEMSCQNKKKGVLFIEYTEIFSDAHIYIISII SQSKINNELILKQKQAFQLYLFNYMKNLKKIFNCKNSFTKIVNLNINYLCLLYQDDQTIK RFSPRTLLLQIVKLIDQKSRHCNIQIVSCVDLEINGYKSKFISFWLQIINMAAQISGREQ IQIVIVRTESHVEFLISLSQIPKQSIRFWVCQNRFLKNLQRDLFFDVDVNNLRLKMFQDL DISYLKF >CAK57883 pep:novel supercontig:GCA_000165425.1:CT867994:222289:224354:1 gene:GSPATT00028918001 transcript:CAK57883 MDGGGSTFDLTAPVQLMQPKINVQKQQEQTINKGQKDLQPQKTQNSTSKLPQLNNNQNAS KSVNQKAQHSGHQHSPKSLQKMLYSRQPRNQYVIDHIPSLAPGKKDKEKAQKPKPLQESQ QQQIEEIKKEYEDLVQNHYKDLYAHIGNEQQKSLHDLYNKRIFTALQDLESRPKIQSALE RKEEQLNKQRLYKDRLLYEIKQMIIKSRRMDELLNDLTIQNDDTEVKESIEELLQKEQMQ EEKMAKQTYEIAKLRDMFGKRRKLLLEVQKQCQITYDGLKIKYQQYEASSQSMMSRKKQL ESLSKIVAKYRSEFQNQQNFFGASLKRGFDPMLEEILEDELSKCGDFKERRRSLLSKKRT KKKKKGEQKDKAKLAIENATQNINKQKNDIQQELEKKKQMFQKLRVVTSIANQEDMQKYK LNMDLDQQELKNIQEYVGKEIERIEGLKSRKLKYEQENSILDAQINLDEQEREVTKQQDL LQEKEKQVKKVEKSIDEVTMSLSRIMYQLSGKGVKPKNIEIKRHALVATASTIQLRLERM LTVLSKTQEFLNEESINTNPRYSKVEDFICLNPKSYIYQEINDNLETNIKFVYKEEDSSD EDGKDMDEVRQQVKARAQEDKPSVVVPSKKDKKPK >CAK57884 pep:novel supercontig:GCA_000165425.1:CT867994:224438:225415:1 gene:GSPATT00028919001 transcript:CAK57884 MEFQQQQFDVEFVHGKRYLNSIEYYIKWVGYSKTESTWEPETAFEGISNQLITRFERCQN YKNYHTKIYKANSHIKIRVHIMNKGCQVYKKYTSENKYALLGQTNKTELNGQSVKFIQEP NNNYKNYESNSIQSHFIDRNDDKEDYDFYMRRGRGRPRKSETDREFLSYKNDYKVEAPKY QQKQYPMQTTECSFKYGHYKTEKEFIQEMLMVIRKKEQKKKRDEEVIIYKPQASLPPGYK CQYTDLPKFNLLNQKYYDKNSKCQVSDGKIPLECIVSHHLMQNKLLVFKCRDNDEQVCYF DFDTLKSYYPTLLLDYLSISSIWKK >CAK57885 pep:novel supercontig:GCA_000165425.1:CT867994:227825:228785:1 gene:GSPATT00028920001 transcript:CAK57885 MDSKIGKTFKLTKKLGSGAFGEIFHGINLKNNIEVAIKLEPISAKHPQLYYEAKLYQHLS QDNASVEKGIPQVYYCSTEGDYNIMVMDLLGPSLEELLTQCQRKFSLKTVLMLVEQMITR VEFIHSKDFLHRDIKPDNFLMGLGKRAQILFMIDFGLAKRFITRDGSHIPYREKKNLTGT ARYASINTHLGLEQSRRDDLESIGYVLIYFLKGTLPWQNLKNNNKKDKYERIMESKIATS IESLCSGTPMEFQQYLKYCRNLQFTEKPDYDFCRQLFRDLFQKQRFVMDYQYDWSQKRIE QINSQQQTIQQ >CAK57886 pep:novel supercontig:GCA_000165425.1:CT867994:228831:229577:1 gene:GSPATT00028921001 transcript:CAK57886 MASLTQMTGFFTKQRKNNKTFKISYFFVDSYGTMYYLSNLAQLNYYIKNSNSELDIISKL ESSNAISKMSIQNCRISGIKTLQAYDNVPFYHRQHFELQISQKNVIKVLQVYSTIEDDIE ILQEVIKQGSQKQRPIQQMQQNLEIEKKNAFIEEILQLLKKYEGEVINVNANEIQQDSKL YYTGQIVDGKPEGQGTLFYNLEGIHYKGSFKNGKKHGVGYIANSNLDQIDCEFEDDILTG I >CAK57887 pep:novel supercontig:GCA_000165425.1:CT867994:229608:231137:-1 gene:GSPATT00028922001 transcript:CAK57887 MENQRIAKSLKSTSTLNSKQSPQYIQQPKVLIQQHPTQLVQKSVQQNIPLIPLKQVNRVM STRETPIFKQNQEESYPCTKDATSLMAKLDSLIEIKSYDSPITSHVSPIPSTLQSVVVLN QNNPAKSQQLQQVACSSCVEPFKVCSKSVAQSQQLIKTLPPKKNYETMIEVLMKEQELLV QQYNTQVNLLENKAQELSYENNNLVEQNKLFLEQNLQLNDEVTQLKSKQLPVDPSTQQAV QVLEYQLEQKKGELNQLIEKMNEILSINQKTQEKNNELSQQVNTILEQKEKEINAYFTQI TKYKQTIQELELKITQLLQNDLLQQKTLKETQNKLTVVEQSERHKQNQLPQQQQLKYNVK ETFEYKQLVLELDSKCVTITEKENQIETLKIKNQMMSQQLAQTQGSQVSLQDLQEALRQR DRENENLKSDLINKEEEIERLNQSLKLVRKEKAKLSINLMNAGMANLVMMSQNQTQQDET TN >CAK57888 pep:novel supercontig:GCA_000165425.1:CT867994:231345:232088:1 gene:GSPATT00028923001 transcript:CAK57888 MKQEINQRPPPPKFNWNIYDHSSSDDTKTQISLQKSDKRRLKQVNLLVDEMLAKLSNNNI LNGINIENIRHQCIDLLDNSVIEKVKSKKYQSIACALIIQSFRLLLIPLRIKEITQVLDC DEKQVRKILIQLNQIKPFDQDAFTLQYMTRICVAIGFNQKFQTLCRFFYSYLKNQNLVQG EHEHVIASALVKSTGDFVFRDKGGINLNIISVNAGCCEISLKNFLQKLQPHTQVMNEQAY EFYKKTM >CAK57889 pep:novel supercontig:GCA_000165425.1:CT867994:232348:233495:-1 gene:GSPATT00028924001 transcript:CAK57889 MKRQISLQYSRQTQQNEAIPELQFLIRLKEFNCVPILMTHGEQVIKGFNYHYQIMERHGP SIKLIYNYLSRNIPLPIICLIAIQTVPTHVYSQLTCLEIVHKHQIVHRNLRPKKLLLSTN GNQILLGDFKYACKFKKLHGAFMVNEGYKLNSNKLFLNKYSSVNQHLNQIPTPKDDLESL AYILLIYASNSDIFKIKAENRTLKLKKLENLKLSIIPEIAFKQVPLEFIHFLHLVRTSNA NDYPQDYEKFKQLFRKIILANGYTEKELSYPLLHMNMQEKSQLTQFQSQTNKFKKMQHQK RSSESIKEEDADDEISVYQIEQTGEDRTIEPLIKQLETKKFKHISQLNQPQVGSQIVKLK FTKK >CAK57890 pep:novel supercontig:GCA_000165425.1:CT867994:234030:234934:1 gene:GSPATT00028925001 transcript:CAK57890 MRRYFVGGNWKCNNTIAQTQSLINTVINKLVFDINKVEVVVAPISLHVPWVQANIQKNVQ VSIQNSSSTKMGAYTGEISVEQVKDLGIPWVILGHSERRQYNGETNEIVGKKTRIALDYQ LNVIACVGEKQAERESGQTTQVIQAQLESIKKELTIEQWAKVVVAYEPVWAIGTGQTASP EQAQEVHAFIRAWLKSQIGTQAEQATRIIYGGSVTEKNAADLIKQPDVDGFLVGGAALKP AFADIVAAANNSR >CAK57891 pep:novel supercontig:GCA_000165425.1:CT867994:234971:235606:-1 gene:GSPATT00028926001 transcript:CAK57891 MKEMSLTFKIILLGDSNVGKTSILKRYSEDTFSEQQAPTIGLAFYKKVVERKNIKITLEI WDTAGQEKFKKIAPIYYRNAQAVLICFDVSKSETLEGAKRWLEEIDKYLSSDCVKFLVGN KQDKGDFEVDQTFLENNHMKYIQTSAKTGHNVDKLFRRVARTLAKTKIKKMESLDQKTII TLQVQGPEEKQKKSLCC >CAK57892 pep:novel supercontig:GCA_000165425.1:CT867994:235617:236678:1 gene:GSPATT00028927001 transcript:CAK57892 MQQEEKETKKKATQKIEYLFPGMYNDEYLGIYQAKKNKYKKIQYKIPSTVIDDYDRKICR FEDKLTKVAMERQSLIRTPNKKEILNKIINQIQTHLLQKERELFEKSHCDTPVAQLEKKK SQFFQAKQHLQLLETMGFKLVEQGTNRMNTINPKQVHSQPCTPKKSQPRSQSIQNVESQL KEDNKNQSSERIERIQSKVNQQMARLGLKSLNISPDSIPTQRKPQKQIKRTKSQSPIKSE ISQPIHQNFDSIAKKPQVSLFKKFQLKLDQELQKPQDIKGVINYCNTERLDLKNLTKDIQ KKKRVQFRKFRIIQKELSTVGELGFEGLKNKYFQ >CAK57893 pep:novel supercontig:GCA_000165425.1:CT867994:236764:237225:-1 gene:GSPATT00028928001 transcript:CAK57893 MHRPKPHIRVQQEKDILDGFSRLQQVQPLIQINPTVDKVPNMKKIRLLEDRYTQIEKDNR LLLEKITNIMKSETSRVRRPKRVSSFECRKKSEQQQIRRENQILLSKISNKKSYYSKNHF DKEWNKTKQYFINLGGLRSLSQKQKEFNQSFFY >CAK57894 pep:novel supercontig:GCA_000165425.1:CT867994:237381:237696:-1 gene:GSPATT00028929001 transcript:CAK57894 MFQRIATNLFRQPIFQKQLQKFFIAAPVLGVLTNHNLLIQNLSYLQSQFYHMEESNDELE LLRKFQSAQCNETSLMNSQTL >CAK57895 pep:novel supercontig:GCA_000165425.1:CT867994:238159:238992:1 gene:GSPATT00028930001 transcript:CAK57895 MEIKPKSEFKLFTFQNILFVKFGRDFWNESLQRLLNQQAEEDLNFQIENKIIYKLNDCSA YQFLIKKYLIFTFYQKSILDMVMDRLNLIKISSINYYISNLKGSEYIMKVFYRQYYKLST LKHSSKVKLKILIYFSFQNNLLIFQNYQQLNNRHNRIEEIKNFKEKFSFQAFIQTCFLYC QFSNISVITIDLLLLYSMLYNAIEIKRIILYRFGYLKLLFYIHNIELIIHINLNTYQQQQ NMIDRISLNILKSRYMINEKQILGAVQRRLKFLMAEE >CAK57896 pep:novel supercontig:GCA_000165425.1:CT867994:239063:239479:1 gene:GSPATT00028931001 transcript:CAK57896 MISSMPITLDQIYGVNFKRVPILYGEMEGTNPMPINETDEDQYSEKNSRQQQKRSLPPLQ KVDIRVVGEKQKKKQNIHRSPVISSQFEQHIGFRNTFYRYNKVNLESRWRVEEIKNKEQG LFTKYAQRFNVPKSQIWN >CAK57897 pep:novel supercontig:GCA_000165425.1:CT867994:239660:240094:1 gene:GSPATT00028932001 transcript:CAK57897 MDEAIYIKQFILNEELDEYNQEYHFRLPSHHDEEESLEHLYYSMMQWQSTYDQNDSNKNL AQKRRINDMRFSDLEDQRILELVIQLGPNFNKIVKYFPGKTMNMIKNRYYKKLRFNKEYY LGDKQKSKTKKNK >CAK57898 pep:novel supercontig:GCA_000165425.1:CT867994:240494:244078:1 gene:GSPATT00028933001 transcript:CAK57898 MSSDQKKQKKEKIKIQPNQNVNIEDYIVNNTHSNFQEFFDYKKELCGLPTYITMNNDLVF VGMEYSYIYVFQQDNDFKQGYKILGKDTQIYRGQVKMIQISNDNQLLLVTYSNNEFVIFS LKSFKEVLQHRYQHLKYAYMVPLSINSDHLLQLRKEQYYEIMIHLENNYLLKVPLEININ TLEQKIGIPIYKFGEPCERQKVKENRHAKVIENDYVTPQSSIIQDVSIMDSCYEEFIQCM DDRESTASYGSQLDQSPTKKRKNNFLKQIFSNSTNSKQEQPEETNEYVISTQFYLMAVGF VNKIQVVKIFFGNKLNEEQSKIQLLLNFQRPDAQIYNIVFNQPQAIEEQQTRCSCAWGLG SFKETNKRYVLLIINWGCREYYAFKVMSSDYKIQVIQGGHFYNSVDLTQLREFPMVCNFI TNSVFFSVLHNKQNQTVMKLLTTSQFEFGVPFTLGQIEKHFNQEKHIQTLQSGQYNPKFY TFIRENKQEVIISQNVMDSINDGYQKSNDIVLNFKQLHPNLQIIYKNEQCYILNGTELLS IRLKKWDELLYEQSDNNEWEKCFQTAVDIHRGYLTLLCNIPENDVQRHFCIKETCCKLGL QYMLSQLPNKQLKNSQSVLTIMHFLIRTQNEEYLFESIEDLMVGCGYRNVFYDYLRDLLQ QHQVNIPYQHQLKVLKMFTEMDDKETCTKLIMSIQNIQRYDPKGLIDFCLEKDLIEPMMY ICSQINDFLTPYLRIITLLSILSSKNTLTEEENKSITTLSLDQCKICLFSFLSFCFTGQN QSNPESFFTDRQFKAMFKDLFEYLFDLENIQKLFEIDYIKTLEVFLQVFSERIQDSFKQF INEGKEINIELSNTLVNGFLPEILNIEQTDHHLRILSKIYVLIKMAEQKYYVKLNNAKVI EEYMQYSSAFSANVFAICPFYFNINHIMLNLINYMDALRSQQTTLFDITWILRGRVQKDL PAELVKNEFLHKVMLKVEKQFDGQYKLLEELRLKAQETNWYDLNVINRIWMEAFCLIKMK RVPEALYLYLRHPDPLLSERVFTALAVQLRSKQQDEFVQDWIYKNLALLAAENSHKLFQL LYTYKKDDLQEVFKELKQVGVVQVDQKIKNQHQMDLLGGFVEYLKPRMQIDEHLLKI >CAK57899 pep:novel supercontig:GCA_000165425.1:CT867994:244134:246284:-1 gene:GSPATT00028934001 transcript:CAK57899 MADYYTYQRKRRDLGKPCNFQESEIKIAGYTKTVAVIPNYVKRNPNHIDLDNIAEYSEHS VNTERVSTGDKVMYHKEGGWPAGIDPMEQQDQNKYRRRFEKDAAFAVAVKELSNTVEKCI LQNNQIDLFEEYFLDEESEHQVENLSTKTLMLFKDQQTDGIKRSVSEISWHPEGPIKAAV SYAISRFQQMPEGMMKSSYVWDLQNPNSPEFHLETNSPITNLMYNPKLSDQIGGGCYNGL VAVWDVKRGKQPVMTSPVEKSHHDPITHFQWLFSKTGTECVTTSTDGRVLWWDTRKLNEG PIESLNVTEGSNPNDPLIGATVLEYNTEAGPTKYLIGTEMGSLMVANKKPKKAVEITARY GLESGRHLGPVMSINRSPPNPKFFLTVGDWSAKIWVEDIKTPIMRTKYHGSYLTDGCWSP TRNGVFFLTRKDGWMDVWDYYYRQNEIAFSHKVSETALTCIKINSNGGAHHNAGKLVAIG DQDGTVTLLELCDSLYQLQFREKDVMNEMFDRESRKEKNLEAIKKQQDLMKKVVPKDNKA AQQKWEQRKAELIAEAEQQFSQIVKKDEEAKLNDLSEFSPKTQKKEEKKQSPKDDDKQQQ QGDGGQQQDQQDQGKGEGEGEGEGDQDGGQQDGDDGQQQGDDGQQQGDDGQQQGDDGQQQ GDDGDGQKQGDGGDGQQEGDQE >CAK57900 pep:novel supercontig:GCA_000165425.1:CT867994:246341:247731:-1 gene:GSPATT00028935001 transcript:CAK57900 MHTLPNQSSQQTITLLALIGIESVLQDNDNKLVREQITLIKRQIENIQTKEEEHTIRGVK SKERALTPKITESQAPTPLSHQRNAVSRHHLDDQAMRMALSQQYEETEQIRSPKSEISNI RTPKQSIQYFIEMKSQKSKRGPENKLKELQNNLTRELIADYQGVLEEIKQNPTKYTETPE TSLQEQQIKEYIKLQQQVQLQQQKLEIEKQQQQLKQQSQDYNQSQKDLLNLKRRIETQPD YKLEKPWQVQEKKTNQGILNQKSEPNQIQTQMATKKVQSTPQQKEKKSPNRYEQQQQQQQ QQQQQQQQQQSQQSVLQTENTFSFFQRQKTQGTQINTQQSINYEKHSGGLKQMADKLINS PIIQQVSLKSAENVSSPGKEVQVSQFEEQDSMSSNSSAFSLFQPNEELKSFFRKELIKEE NDESKYCIQLDHDPNCVKYSNIFLKGRMGGSKVF >CAK57901 pep:novel supercontig:GCA_000165425.1:CT867994:248150:249266:-1 gene:GSPATT00028936001 transcript:CAK57901 MNKVENSKEEKHEISESQVAPIDIIQIIQKNVYLNTIIEIIINEIPESSKIIDSTLQKQE SKQQLIYHKDIYARNSFKSVGCVCRICEFPEQKENPLIRVCKCIRSQKYVHEYCLKKQII QKYRINLNKAKCEICSDTYQMELKIEKIFDPVNQNFTFQQTAWSHSKDKLPLFCLLVFLI VLVVVVILLGIRLKEANSITDRKNFLDSKSFLIIFIVFAIITILVLLWLIAMIVKGLLIM EKILYWKLLEYKPIKKSILNKNIQISDIKQSFRKSKLFGEQLTTQNRKNKHLNTFNIEKN NYNQDDQSIEVGEISTQRNLPKSVNKNVLTVEADPRKTKRARFSII >CAK57902 pep:novel supercontig:GCA_000165425.1:CT867994:249355:250077:-1 gene:GSPATT00028937001 transcript:CAK57902 MSFHYLFKVILIGEPVIEKSNLLLSFADQHSKQNKETTIYLELGSKVIKLDGLNIKLQIW NIAESFQSITRSFFRNVAGVIVAYDVTKRESYENAARWIDEVKQKGDPKLSMLLVGIKGD FEQQQRSLISYNEALQQAKDCGIEFFETSSKVKKSVEEIFIRMTQMILEKVNLGEIDPQL ENFGVKLGREESAHSHGNKNTANLMQLQQNQHLGENTISNTCW >CAK57903 pep:novel supercontig:GCA_000165425.1:CT867994:250955:251449:1 gene:GSPATT00028938001 transcript:CAK57903 MEDLKKQYSKINKELREQIIHQILDEKKSIADVILSYLTQVAQQHNILMSTCKSIINTYM REGRVGKKESRVRKLKKIIRIYDIVLNPIQPQMSTYLYSQKTENCIEKSIKSQKEDNEQK EIQENQNNDNFMMLSLWCEQIKNQFAPYGQPNYIFQPFTQTYQK >CAK57904 pep:novel supercontig:GCA_000165425.1:CT867994:252589:255597:1 gene:GSPATT00028939001 transcript:CAK57904 MATALASAGQSISKMVLFKGLVGTYVQQDIETRLQQDVYKKQIRVREFFTDFDRLRKGWV TEDKFRSALSMINFHFTKEDIEEIIRRYKLNDGLIQYTTFCNKLEEQFLNNEAKAQVFQA PQIFNQNEEETVKRLMQAIKRKIATKRIFLKQPFQDFDRTACSHITIDQFSRVLNQLGLL PKDQYLQLLIRQYIDNGNPKEVNYVKFCDDVDNVQEMLSGVITGIKQNPKDIHPDDDFIE DKEGLDLISTLFTSKKLTDNINTLNQVLRKIQGDVVMKRIRIREFFKDFDPLRKGLVTES QFARILHIQNIPVSEKEIQLLLNQYKIDKIPNGQVDYNKFCEDVDKIFTIKGIDKEPQAQ VPQIDDSTTLPARRRYLQMTEQEAIQLDDLLMKYKQAIQNKRVLLKPVFEDFDKTKQGYI TTNQFLRILNQFNLFPDPVSLNLLLKRFVDKANLNEVNYYDFCRIVDQSDEGVAISKSHA DAFKNYVKSDNLSQAFIRNDQPNDFEDLMAKLRRIVKEQRQRIAEFLKDFDKLRSGTITI TQLRKGLSMAKILLSDAEFQLILNNFGCKDKQGFVFWKEFTDQVDQVFTTKNLEKVSPSE SVPQMSTQYNYGRVSITERDRQVAEVVKKKFQYFCKATRLDIKQFFQDWDKLGRNKVSPK QFRQTLATVNFILSDEEFQAVVKIYAAEDDGDIRYVQFINDTQPPLEILTESGASQAYVG VQPKEKEKLQPSVLLEQIKVAVKVKRLRLGDYFKDFDPLRKGMMPTNKFRGVLSQMKIDL DQESLDLLETMYVVPEDPIRVNYAKFIEDVEIVFTKTGLDKDPLMKPPVHVIPTFLDPRD ALTQDEEEALHAIMLRLGEVVRKHRILLKPHFQDKDKTKSGKITFTRFRSIMDFHKLPLT DDQFRVICKRFYQLLISFRFAYQGIEFNYVEFDEILKKYENFYQ >CAK57905 pep:novel supercontig:GCA_000165425.1:CT867994:255728:256693:1 gene:GSPATT00028940001 transcript:CAK57905 MSIGIIRAKCALSVPQSNNVPGAMPLLMTLQNSENDKYQNEPKQGDSIFIPFINERDRMN RLVQGDKYSTPKRQNIQQVPETVKEKWIQHDPMKLKLPHKRLSLEDRHMNKQRSRSNAEL RMKVIQTTTERQSLLLSQDQSIQPQFEEYKKAVYENILNKKKQILQSPPQQTTGKNQSSE NLQLFPISTFEKLKQSRSPSLIFVKKSIQQTASKHQHFLNFKLPSLIQKEYIKQFLDSNK KIKDLIQEQNDEKFKFPSDFEPKIVEMKKQLKKMKSRLKNWETDREVTDNKGFLKEIACG IINK >CAK57906 pep:novel supercontig:GCA_000165425.1:CT867994:256797:257590:-1 gene:GSPATT00028941001 transcript:CAK57906 MNSTTPKSSGINYAKLRKQRSNQDTLPKSMSTNIKMNINQTQQFGGIKHRSTSQHNINEM AQIELFDQCLEKQANKNEFLVFLDRQMQYFSQNTPQNYSSFRVTNKAVQEEKKKLQVELQ KYNQENAFLIKEIKDLNKTKQMLVKRYDALQSLILKDRLNNQQKVRQITNPKFQHLFNQI SPVQETISSLTDERFESNTTSLDSSLSYEDDQSSASQLLDLLQKNSKHRFNFQKQMV >CAK57907 pep:novel supercontig:GCA_000165425.1:CT867994:258040:260349:1 gene:GSPATT00028942001 transcript:CAK57907 MLQLQLEVRTIQFYINSMTEFCSIQFIHNLSSTPLKSLLRCRCRDIQEIREKIDLNIQYF DKGNLRLQIYDGQIIFGEATINLGFYIENSLPVIIDNITIQSRYDQEAYVEMSLGWNQFE QTQTKEIQQANPIREQPLFIKLSQQTANRVPNEIDHQIENRLINAPSLEQIYILQSKQEY IDKKSIEQQKQLEDEKIEDITKAHLETTNSRRFQTPKDSKLAKKQKIQKSSGLLEHYKTQ IHSKSTYSDSKQAAREQGLRIVQKSPNIFDQKAKIRIHSHQNEENDAIKGTAKQQRNCNK NAAPIEDQGKNSQKYLEAGFFTSRQLEIDLKEYKQVSIQQLLNENQQMQYQIERLSLENQ DLKEQLIKSEATFNLLSETYTNLRNEYKKVQIKSYDSSNNTFIINKEYEFIKKELEQKQK QIEFNQKETELGKIELEITKRENIQLQIDLEQIRKEVFNKKIENSELLKDIQEKQVKINT LEEQLLNQQVNQQLSQDLQLQEYSEEQNNYHLQQKMNSMQIYRIEIDNYYQELIQTRQKL LIQESCGKQQSEFLEERQQINSQLQQEINQLKQKLIESNKEIKSKTLEIEILKTQINSHL QIPDNQNLDLTSLINQQSTLYTNLKQENQSLVHQNRKDQVKLESLQKELGIYKNIVKLSE QRQQSLEQEIQQLEKAVLNGKQNMADVINAVLECGGPTLAEAVERFLITRRSSKIS >CAK57908 pep:novel supercontig:GCA_000165425.1:CT867994:260743:261095:1 gene:GSPATT00028943001 transcript:CAK57908 MAKGATKGNKGAKKAGTPKATKNVKKVTKKTTDATPAPAPVTTTAPAPTTATPVAASTPK KQGKQAAKPKQATKKAAKKAPKKDSKKQAKK >CAK57909 pep:novel supercontig:GCA_000165425.1:CT867994:261987:266743:-1 gene:GSPATT00028944001 transcript:CAK57909 MNQDNNLQNQETQEELSNKAKDHFEKSQTLHQNQVLDDALNEVNEALKINSNYSEALNLK AEILVKKGDTKQALEEVNKAIQLNPQLKEAYYNRALIFRKNKAYNLALNDAEMYYQLDPQ STKTINFKGIIMKESGSQQEALAVFQKTIELDQMNYPAHYQSGLILRALKKEEDALLEFN KAIEIRPTSSDAYFERAELLTDMNKKEEALVDYNKTIELDPKKAQTYECRGILLKQLEKY EEALSDYNMAIKLNPKVYKWFYFQGLLFKVLNEKEKALEEYNQAISVNPKFAKAYKNRAI LYKEIDQNDKALSDYTKILELNPKDEKIYQFRGKKLVLIKGNLLKQLGQNELALQDYTKT IEINPNDTENYVRRATLYKQLGQNDLATKDYDKILEIEPKNSNVYYKKALFLEELQQNEL AITLLNQAIQLNPQDANLYLKRGDLNKLTNQLDMAVNDYSKAIEINPNNEVALLNRALLF KQLNQTERAFQDFHRILEINHNHLNAYHHRGNLYKELNQDELALQDFNKIIQIDPKIVIV YYNRAKIYQKQQKNDLALQDLNVAVELDPKITYTLVERGILYYNMNEKDKALNDYNKAIE INPRCYDAHVNLGNLLKSLDQNQQALDSYNKAAELDQNNYLAYHNRAILWNKLNEKEKAL ADFDKAILLNPKSAVSYSSRASLLSDMNQKDRAIDDFTKSLQINPKQRIQFIFLGNLHKQ KQQISQAIQDYTEAININPNQADYYVSRGNILQDPAKEHEKALQDYNKAIEIAPNSFISY YQRALLYRSIDKIELAIADCNKSIEINPKNELPYIVKGNFDLLILGLIFKELNKPQDAIA EYNKALIINPTCTSALLKRGDAYDLMKNHQEALNDFTKVIEIIPNESGGYSSRAPLLMKL AQKEEALQDYNKAIEVNPGEAANYYNRAIYYNQVQQKELALQDYNKTIELNSNSYLAYNN RALLLQSIGRKDEALQDVLNANRLCPDNPLFLANLGDRYYSDQQFEKATHYYKQAQFYID TLSTTRISQMRLTQGNLNFIKTKVKLITQIENEIQKMKQQIAQLPKTSQNNEQIQEYLEK VTCIERSVSYSVQPINQDQQADTQQMMISYFQQMEKQLKELQAKVSSQDQVINQLVQQDS FKIEQEMKEIKQNKNKHQLTYFRSLFWHLYYYLHAMSEISTNLFQVNTNAMIESTSEKVM NVVKKAFNVGAKVLETVHIAEHAFHIINEALNFVVDHKREEKFKKRLMLLTNILKLFAIT PSELEREVQFTAIELSRAQQPGLKEIPSSKFIEFIKKLSDEENISEESSKDVYWKKGIED TLIILKYLEKSNQKIIKEDQNKKLREVFLDAIKQNIIDQSNKKQKDKSQKDSKQKKPKKD NQEQCNIQ >CAK57910 pep:novel supercontig:GCA_000165425.1:CT867994:267836:279031:-1 gene:GSPATT00028945001 transcript:CAK57910 MQKYLSLALFFIYFVAEIQAQTKTTTKPKTVVPVIITSASCRPTSAAIPQGSLAGGTTLY IQASGLSTTVSKNAVFVGTYPCIVPENGVSGLSIICVTSQVKPDDHSLGSLPIVIKVTGS ADSTCTAGVYCYFTYLKSLTSQLYFVSPRVNYPSQWTFFRGKFLVSSLSSAQLQIQFDNQ ICDRSQSSQSDIDANDDIIKCQVPTDGIAGIYPIYITTVNGNQQNSVSVSQQYTTTTDTY QSIILPVITGLSTKVLSKKGGMLSIYGLGFGYGTCQVRVYVGGQLQLKNTAVVGNDGSTI TVKFFNITIHGQEAYADGSGLRYKRWWGNTVYQNDFIGEPDVQNVYGSYYNEQFKGFFKA PKTGSYRFYVASDDRATVELNKNPSSIDNSKLELIAENNLSGYRNYWQSEFSSTPVNSIS DYITLEEGQYYQLQINHTNGAGPGYVTVSVEIEDETFTEYSVGALYSISTSYNPIKEEVE YKVFNSLADTKLSGQYQLVFASTTANPAFSYSTGWLSTLATAEQVASAINAIGVYSVTVA STKVDSAGEELLDQTATTFAGFRYVITFQRYRKVQVKPSFVFNITANANSAIGKASAILV TPSTPIEGTFQLSYVDYDSIEQYLKVSNSVDLPFDLSASALADAIEATTGYRPIVWNEGT PQDGNIWKVQIKGVQELSNFKVATNNLISGNGEVKVTITTLQEASSNILYEPIPNELLFT YSATPTVSITLDGLLSACAQEGACNLDAPVDLKLTMTDFTYDEATTLSLHISTVGSTTLG NSLDDTLSTISFGDYDCYDIVMVQTDDYEYDFTCTVDGTEFEYGKYFPVFHYEEYGNADI DPSVLPYQAPLIITHINPTSGSEDGGTVVTLFGKGFPKEITEDLSVYLGGVQTVIKSTSS NQVVIKTPTYVESMPDSIIVLHFKDGQAQNADFTYDHTIHITITRVQETILTPIYKGFNT IIGTGFGLVTSDITVELVNADKTYQCPVVSVKDDQIVVYLRGGLPGEYRFIVNRAGVGEA TAAGDANKFQYNTVITSIDNATGSEAGGTRIKINGFNFVPQETIVFIGDEINWICDLDED ASTSTELYCVTPPKHFRYTTPQRVIVTTRILFDSVCQGSCEFTYDSTMTLSCGAPSDSVA SAGARLLSEDQLGLPDYQKYIVQKREHPEPKRTMSSTGISHYQTYESSPRRDLSFWNDYI FDKVKNYTVDQVENIQCITTTSQSVTVVFNEKYSVTGTISETGQLIYTVPDLPQGEYKTR IQTSTGYATGMWITNVELQITSISTTTVVQGGQDIEISGSGFGDDNDIEVYIGTWKCLRV KKTGHRKLKCRTPRITANAGYGVKILYRDVRKNLQTKSSCQFPTNLQVTNVGQPAITQIN NNAGIVYVSGKSAYTYNASTETLTFNIVGTNLGATTLDVHLEKEGLDDIVGTIVSSNATL IQVSFANVPLGQFDVVVQCDDKVALWTTWQYQKIIFQNPVLSGSLPKASCKGGKHLEIHG HGFHESHNVKVCGKKCDLLNVNYHSILCRVPDFNPAWAKKVQKGLKSKNYKLDHEEFQVT SDVTDKSVVKKFFDQSETTYHVSNSATNCWVQIDFGKNRKMKLDHLSFLPRIDVGASYLK GAKFQYSTDGVTFKDWFTIDDDVHKGWNVQRPTKCDDSKEKNSHGGKDKDDEPEHHNKEA DLDNIRAIKFIDPRGTSGSRCQIADIDFRGWLENDSDNETSSSCDTEVFVDDESMGVINS SVTYDPSATPVISTVLPKDIPPHTQTVITITGTGFVSGSTSVFIDDIECQIQSVTATEIK CLSGLKDVTTASVTNKFKVVVDGDEAVNNAQVIYGQRWSDIKTWGGYVFPSDGDSVMVYQ GATLIVDVHTPKLVQVLVEGNLVFADDADTSLDAQYVVINRGTFQIGTQEVPHQHKVEIT IRGEERGVQYPNMGNKMIGCNECQIDIHGRDRTPSWTLLSQTTTNDGTLTVDEPVNWLVG DEIIITSSNQAQTEAEVRKIVAISVDKRTLTLNQTLNYVHETVSEYFDGVEFPRKVEVGC LTRSIRIQGDLVNYHGVHIYIQGTQDDGTEVRIENVEIVNGGQQRYLNRFPINFNNNGVV SNSYIRSNSIHNSNARCIGLQSVSHLEVSDNICYSIVGHAIYNQNGNEMFNTFEHNLVAV VKSSWQLYQSDATAAAFWITNPKNTFFNNRVGGAEWYGFYLAFKSNPSGVASTSDVCPNG VPLLNFTNNAAHSTGRVGLRIGTLIPKVAPCVTHRNDALDDPFSANPSVQTKLSGFTTWA NAQVGVLADNLGNVVIENVLIASSKVAGYQQHKANYSAEGTTIRNFVIVGSQTSTGLIVP RTNGFLADTIRFANFPSTSTLIESCSACSSYLVWVTGGKNTHFKGIKILNSASARIIHWN NFRREIFWDLDGTLTNIPTGAQIVAYKLHLDGLNGCTHQDNAQWDNSLICNSQQTKLRDV VLNYPTPYDAHVNQALRIYRVPSLNAPLPLELTKYQYEQYVGIWSGDIAYSYASQFALGY TYNVNWYNNWLSLSIMASQYMSSQEPGLVFRFNYTVQRETFDVYRNRNHKFLSNYSQVPE IPNPNTCNNGDWYNDRGTSFFYICVSGKQRVQQDTLALYGIFCRETCPAYTDDVVVKIQK KWSDVSIWPNGKLPVAGENVTISYEYIIIIDIELPEFDTILILGELWFDNGRPVTTLRAR KIFVRNGRLVAGSASQAFTGIINIILTGTSGDYELLIDNNIEAGNNVLAVTGGLELYGKV PQSKVARLTATASAGSTSISVTDTTDWQIGDWIVISPTGANPDEAEKVQITQIAGTTVIF SVSLKYNHNGVSAGTLVDTRATVLHLTRNIRISGETWGARVLVYAFTEKTRLRRGYVQLQ GVEFINVGQVNKEFAGLDFQSAVGGDPAPSSDIVGCSFHDSDGYLFKVEDSEYVNALQNV FYNGQKALVQFINSKYVKFQQNTLVLVQKRVISINSAITGTYNWAVLASFRYASGTKITR NFILVSNNVGIGSTDTGFHIMATHCDDESQAGFFSNQCFNTVQACFHITQSNTKCNVVKQ LQAYNSGVGVMASIYTETFKLKDFQIIEADRGVVIKPGSSNNYANVVQVSNGYIGAVFEG LRCTNTVAFQLVSVSSNAYPPVSRSSNNADIINTIQRMDTRVYIDNVQFDGYRLVYEQFP QCSNNAVFRQNVYALDVVGQHYLTNTLCQNCEFNSLLYSLRNPNYAKLGWYGGCGQMECI GQVNFLVEDQTGNFFGEKGQAIGNNSYFGPSATYCSRQELWNGYWCPLFNIGVLNFLSTA SDQNLRLYSPTTLIGSGFKNVLNAFAEWNWNGPEPQNSRESKFVGLIQINTTINMTNKGE NPTNSDYWLSKRTIAGPNNEWVIIELQFDVPNIVQVSADGKLVQPGLTRINQHMNLLEYT DACGSNNYFYENRTIHFVVTAGCKVSLSLKNTLMISTRLEITSEEFFGDKFLQYAFALLG GDPYNYFIVGTYKSKNRRFLSNFVDVQWAIIDNADIGTVEAQNSQSNLEQIAANLQSFNP VELGTVISNTAEIQTITSLNFTSTEQPDPSSNNSGSSSGSNDPYYGNEPVNQGDEDYVDP TLNDDILQQNDYVGNSNDDSNDEDAIQNQNKKGLKQPQDNSNDNILLISVICAVGGVVLI SLIISGALWNRRQNVLKTRVHQQSSPDILSKPEQIDDDIVQYNI >CAK57911 pep:novel supercontig:GCA_000165425.1:CT867994:279287:283301:-1 gene:GSPATT00028946001 transcript:CAK57911 MSDILVITQELINDVDRTINYFTVSDPRRKNKFIYQYLSDFYLKQKIPFDKVIQFLRNIQ QNEASFTILLENLYLCTMVIQQSSDSRKLLIQLMQSLAERLTQTQKQVFFDNFDEEVLWE CGFVQQDTFSQRKRKINTDINFCQNKFWSMKDENEGYSKLMVEILDAAKHTHIYDEEIKQ RIENIIHLIGYFDLDPDRVVDIIIQSWTEYPYSLSYVKILKQFKCISVCQFLGKRFESIV QTLSNNNNISILMTKMANSAQVMQTDQKIPDHKLIIITATALKYKLFELGNIWKYLQPND QDFSKIFEDLAEDSYKFYKSFDQVMQVEELRDSRNNNDQSEKLKKDLFGQQLYNQKLWLM QGLIHINAFNIFQELYKSFEGIVDFTIFLPIKRTLADLIDWMIDPLHEQLYNKTLFKSRS REQKKFIYDPDCTTAFQIKQLEVIDEDAISILNEILSIFSPYIGEYPLVFHKLSKVLISS QNPDVQPLILDHLIPAVQSGECLPIVIELWKYLGQLDFRKRFDCYSKWKDIHQFSTINQT IRAAQLTKEHIRSFFNKIDKDNKDKMMVKFAKLSHNQPMIVMNVLRRNRLEIPDNNSVIV HTLGLATPLSLDILQFIMIQWLCDFNDESKVRDKDIECRYWFKNIAQFIASVLRKYYTID MQGLFAYLIDVFSTDQSNPSISKNESIIKPEILILRELIEKMTGIVHIEDLTPQQIQALA GGRYIQLETTSQTNEFRRSRKSSSALEQFFWSQTINSQRILNQNNQEEVVSLAFLMLVVL CQQRSRFILNYHNQNLKPLVALYDNFTCSINQLTKCLLFQTDKPTHYAQLFPENPLERMV IDFKLPFEIAFHIVRYSYKSLYNMSEEEFQEEVDNIKNIYDKIDPKVMCINPDINNQQVQ QLPLICHTYVKDILWTIINPELFAIFWLLSLDNIYVPQAIYDQQLKWLQENINKNPDTKD RQSKQHQKAFDKLQNELDNNKKRQEQFDKCLRDKKHVFSFKEADQAKENYHIKFAQCLSQ VCLLPRILIDPAEAIYCAKFVQKMLKIGKPRYTYTFYVPKEIIYFTFTYLQCATEEEASN LSIFMQQLIIPYANWNDETKFKNDLRNYFDTSIDGYDREKQYIIQNIFFKMAQFIRILKN NETQVRNALIFLKRVQDIFPPTQQVAEYIKIYLQDVEKDYGHIQDIKTQIKNFHIEDKIN TLPVYAPKTNLTSTAHDSKKQAPNQEKMKSVGKAGDGEHEEYNHKEHSEERRNPNNKTES RQVPKKKKSHDKDRPLKRLDKS >CAK57912 pep:novel supercontig:GCA_000165425.1:CT867994:283301:284184:-1 gene:GSPATT00028947001 transcript:CAK57912 MFQQIGWMAGVSKRGNGQYHKNHDLLHHINPEQIKIKIPGHAECIDGTSAFLNAGGILTI KQLLFALMLPSGNDAALVLSFTIAYLMILQKTEVYQYNRHLKGTIIDIEPQIERNKKLLK HTFLDQMNKHASSIKMENTNYSSVHGLNDEKNVSCPHDISKLIEKCIQLDVFLEIITTKI FKTHALTDKGGKSTLYKWKNTNKLLKKSGWMGVKTGVTPNAGPCFTGYYKNDNLEAIIVV LNCSSMNQRFRDAEVLLASALK >CAK57913 pep:novel supercontig:GCA_000165425.1:CT867994:284188:284921:-1 gene:GSPATT00028948001 transcript:CAK57913 MNAKPKDAFSASLSKFHRKSVHSQMANTSGCNQLNDGQNIPLPELKVRGLQSAKIKASPK RSPHILKFYVHEAQCMLNDPIKKANVRRYENQSEYVFVDTYLDALHVIAQEEKRYKQANG QTIQSDEFSEQQISINPQPTLPNLPAQLIRERMRVYAQSQQKEPQSSKFQQSIKISRISI SLEVAPESNKNCNQSDGQKNIPKQTNCIFISSLSYSLYQAQSKCQKMGHVHETKE >CAK57914 pep:novel supercontig:GCA_000165425.1:CT867994:285230:285932:1 gene:GSPATT00028949001 transcript:CAK57914 MLIDIQQPEICCFVCQIKVVELLRCSQFKSLYYCSKNAKSKDWKQHKSICSEIQLQLQRE KQRAERRYKGRKILKTLLIFRLSYRENFLQSFGLGILKPINFLLQSRLIKEGLPKQTRRK IYSWKNIVQANLLTALMLLIFYSTFQDAENLYMQMQYVEGGELQPLVKNFGAQAFPLCLY YITELIKAINSIHKLGTVHRDIKSENLLLTQEKQINLIDYLVLQEI >CAK57915 pep:novel supercontig:GCA_000165425.1:CT867994:286647:287502:-1 gene:GSPATT00028950001 transcript:CAK57915 MQRRQDSNNNRIRICIDRSVEVRNTRQNLSPLQSNHLISPQIYKHLKLPSIKQSRSPSAT NQKNNAKNVSVLNQQTTELIKKLSYNSVKYKHHRFITENKRKSSLSQNKLEPQTKLTSKY KRTRSISNMVSKNSLIVSTQFEEEEELSNQIKLHTNLPQNQIKIEIIDKVQSNYEQSQNR YAKFKAQQQQLQNESYQKSDNQELNSLRQSLFSIIENSRVQRHKFYQFGNTDQQLQLLEK LYLQNEKLVKNYVQIRQEAQQLDQKVKSLSNQRKQFRIQ >CAK57916 pep:novel supercontig:GCA_000165425.1:CT867994:288234:288917:1 gene:GSPATT00028951001 transcript:CAK57916 MGREDLSTWREMFMKEIGRMTMCMAIQLRQVVDIMQDNGLMTNRMVKAKNYGKMEQIFRE IMLMEQNSVKGYTQLHMVLNMWESLQIIAFANMEHKMLRWDQICRVMEVKQISGYGVKIF KFTPRNFIRMMNLNKLENSLMIQEMDMALSITAMEEVTEKWKMIWKWNLYWKKNIEKQSK WQNGVFDIWEKQYTNSTAGDNSLFH >CAK57917 pep:novel supercontig:GCA_000165425.1:CT867994:289198:290110:1 gene:GSPATT00028952001 transcript:CAK57917 MKNLPSVIGIKTIQELGLQNPRIIMEFEADEESGKIKEKIGQVDLVIALDASCESYDRKW VTTTLRGIVVGTVTVQVLNENFRQLLYRIENLQTGDVVDDFQVIIPGEQYLEGQKTAEVF KEVITKFPFHKNTQPVYQDHLAYLNKIWRAQLLVIGRDDLPVSYSAENVITPKTTLKLSL RLLKITQKQNNALLNQYILKFLFFNQPQIFHIMLPSQSLVQVQVQDLMLQTISLIQIQLL IKNHSIIMGMNYTLLETESYLFDDSFISHSSQGFFLNYCSFRYTRQ >CAK84034 pep:novel supercontig:GCA_000165425.1:CT868494:1171:2443:1 gene:GSPATT00039667001 transcript:CAK84034 MNILNSQIQKQILETQEMICQNHKSQIVAVDLDVSENGQCQYLCSNFLVEKMNSNKISTI EQTKERIQSLKIQKQQNKTKEIQQRLDQFKKILDQIMDYKCNVDGVLEKIYSQIKAQIFA IQKEKSSQSETYQSMYNFQDDVKFLSDYLSTDPLHKQLEFQQDNQFIDQIFSQFELLFNN ASYFQTIHTFKDAKQKINELNENMKFQLVSSQSKNNQKTPTLSIVCPTHQKEIIMIDIET KNRNIEDRFACVDCISDRPHYQYRTIEKVNQEWNHAKNQQDRFINDLKTKREEKYQNLNK QIARMRKNYNQQLNDISDKIIAEFSQPITKTVEICKLNQSTIQGFNQDELVSSIGYLIQY DKENLIQDSKIEYIKSKDSLLSKEIETRLEHLKQHDQLDIQESLNILQDISSIQNLQ >CAK74260 pep:novel supercontig:GCA_000165425.1:CT868182:244:2326:1 gene:GSPATT00038994001 transcript:CAK74260 MQKKEQNLNLIDLQEMSNISLFLNWRNKKYIDAGLSLEQAIKELGECDEQCKKLEADAKK YRHYESTLGLPTSQFQSLEDLRNDLNLRYSMWKSTKEWIELTQSWIDGKFIDINTDEIKA KGEYYTKIVNRCSKGLPANQVLDELKDKVFSFKDTMPVVLALRNKNLKDYHWVQIKQEIL KQDFEITETFTLRNLMDMKVGIYQEQIQEVATQATQEAVLDAQFNDIEQKWKALEFTCVN YKPENLRNKEVYVLTEIDELQAALDDFLASLNNILGKRAEKLQKDVLIAQETLDDWLQVQ KNWIYLENIFASQDIKTKLKEENALFENVDKQFKAIMKKTNSQKQVHRASGLLDKFREYK ETLNRIQKALESYLEEKRMAFPRFYFLSNDELLEILAKSQDFDAIQRNLKKCFEAIYRLE QPEEGARSVNGMISPEGEKIPFVKGVSTKEEVELWLMKVQDQMIESLKKRMKQGKVESET QERNHWLLNQPAQVVATISNLIWTYDTEQAINSMTDDSTALSKHYNLLYESLNGLTALVR GTLTPLQHKVIVALITQDVHARDIVDALTDENVSSISEFSWQQQLRYYMDENDLIIVRQV NAKLNYGYEYLGATTRLVITNLTDRCWMTITGALNIKLGAAPAGPAGTGKTESSEGLGQS FGNVLCCVQLFRLN >CAK74261 pep:novel supercontig:GCA_000165425.1:CT868182:2334:9978:1 gene:GSPATT00038995001 transcript:CAK74261 MMGRLFSGLVQQGAWACLDEFNRIDIEVLSVIAQQLLTVRQALIRRDQQFIFVNPDKPNQ FKGRSGRYAGRTELPDNLKVLFRPVSMMIPDYKLIAEIMLQAEGFEDAKSLSQKMTQLYQ LSSQQLSQQDHYDFGMRAVKSVLVMAGALKRADINQPEDAVLIRAMRDSNVPKFLKDDLP LFSALISDLFPTAIIKEVDYGDLQKQIEISLDKMKLQRVPNLITKTIQLFETFNVRFGVM LVGNTNSGKTSCYKCLEMTMSDLRRLNHQDQRYQLVTSYVLNPKCISMGELYGEVNVFTQ EWQDGLASQIMREAAADETNEKKWVVFDGPVDALWIENMNTVLDDNMMLCLANGQRIKLR TQMRMLFEVQDLRVASPATVSRCGMVYLTQEDLGWLPYVQSWVETEFGPKEIQLNGNIQN VEILQKNERTYLQSLFEEYVNDVINKIRKTFKETIGTNDTQQVVSLCNLLEAFISDKYGF KATMTADSRKRFILYAFTFGCIWSVGASIDDKHHEDMSDFFRDRFQSIIFPNVDQILLMN YLSSIGMIKLKNSHMIPLNSFLICSYQQQILFDILTSLNKSVFDWSHRNWQESSLGQIIN RQIQCIQYFQLKLHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKANLKRSLQDISNEFLDSAAK PEIYHKLVMGLCYFHAIIQERKKFGPLGWNIKYEFNDSDLDTSKTVIKMLLGENDTVPWD AMLYVSGNINYGGRVTDDWDRRCLMTILRKFICNEVLDDHYVFCENNTYRIPEKNVIEEY IKYVESLPMTDDPAVFGMHENANITFQQRESDSILETILSIQPREGGGSSEKTPDQIVLE LVKSIQDDLPPLLNKEESNKELWQINPEKNLIPSLSTVLLQELERFNILLSTMGRTLQGL AQAIEGIVVMSQELDSMYYSLMNNEVPKVWNKVGYLSLKGLASWIRDLKERVKFMSEWLV TGGPNCFWISGFFYPQGFLTGVLQTHARKTTIAIDNLVFSFKVQEFEKEQCSIKPVDGVF IYGLFLEGAQWKKKCLADLNFGQMNMLMPVIHFLPLQQDKYQSRSDNYRYQL >CAK89876 pep:novel supercontig:GCA_000165425.1:CT868657:6193:7928:1 gene:GSPATT00023049001 transcript:CAK89876 MSEKCKQLLLGLAIIMNQQRRKGYLTITQMMTINYILGRMHLQIYSKQIFQIKYELTPIS FLKKLSQGNTQELQRLMANDKAILSCDNTLINRRFLVETAIKFMEARYIPNKQEIRMGKI ATPKYKASKNILKKNDQFNPLLIQSPLYKFLELRFHSQLIEYLNNDIQKPQTQFIDRLIT QLNIFMILNWNKNILGKGNRILIFFDFSSAYNTICRSLQHNLEIKWNITKQNILKQNEVQ SLKGLNSEYEKFYYSKGVPQYSPLLCLTFSSMNILKTFFNLTSYKVIFLGHADDLILETV YGNFSKPSCQQKEKWHRAHKFYSLRRACEVFPILYSQKYLGIQINNKGDAYKIIRKINFI NLNLLQLSSKMNFQQRLALYQAYIEPNFILAYYDNQQLSKQLITLRQKDFKQIMRLPLNT LDNFVKLQCIEYDNLIKFIYELQSTKFDEEIQKAKYQIYQN >CAK89877 pep:novel supercontig:GCA_000165425.1:CT868657:8515:9679:-1 gene:GSPATT00023050001 transcript:CAK89877 MNIIRKAQTTILRPQFNYQKVILFPGDGIGPEISKAVIDIFDAAKVPIEWEFHEIHKKRV TESGDLITEETLKQVKALKYALKGPFETPIGKGYRSINVTLRKRLQLFANVRPCKSIKGV KTPYPGVDVVTIRENTEGNIVVPGVVENLKIVSYNACQNIAQYAFEYARANNRKQVVACH KAGVMKQGDGVFLKVCDDVAKNYPEIQFNEEQIDTMAFKLANDPTRIDVMVMPNLYGDIV SDLCAGLIGGLGLTASGNIGKDCEVYEAVHGTAPDIAGKNLANPTALLLSGIMMLKATKL NDYAQRIENATYSVLEEAKYLTGDLGGKSTTTDYTKAIIDKL >CAK89878 pep:novel supercontig:GCA_000165425.1:CT868657:9936:11140:-1 gene:GSPATT00023051001 transcript:CAK89878 MKYIMIVLLALTATSSASKTQDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWIGAQTIEQFTKIKSLNQKLFQQAVENRAEYENVLQQTKSYLAWNEARRDSIA AKIETLQDNQCFSNQLFVKSIKHNQEALEVIKLLKQDVAGYIINGDSFEFTQVKAQSQYS NLFQEHQIKTFLALAQEQQEQSSGNGSTLAEKVLAVLEGLHSELEGSLENLKQNEINASW ELAGWVSLSEAEIASLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQDALDQAQA DLESKRADYEEAKAKRNEENAILDEVIIMFKKQVASWSGR >CAK89879 pep:novel supercontig:GCA_000165425.1:CT868657:11711:12868:-1 gene:GSPATT00023052001 transcript:CAK89879 MNQPPYPPPGYPGQQGQPNYPPQPGYPPQPNYPPQPGYAPQPGYPTQPGYPPQPGYPPQA GYPPQTGYPPQPGYPPQTGYPQPQVRQVQFVVNAWPLFNNFPVTVTIDDNQYVDTLVRNA CSQALLNYNAVRGLKIKPGQSKNQFGKAELLPPNTTIAQVANQHQRGNDKIQVHLDLMPQ VGQPITVSPRVAGFDYATLDNLKQNPRAFGETVCAMLNSDGGICLIGLGQDMKIKGLLVP NTKQEIQQTIVSLLKDHIYPPGFQSLIKAKIHPLIDQNGIKSQLSGLVVQVERCPKTDIA FTHDYVFFYRKGNVNERAYPHEITNILRQKKGKVAKQFVLTFNI >CAK89880 pep:novel supercontig:GCA_000165425.1:CT868657:13778:15151:1 gene:GSPATT00023053001 transcript:CAK89880 MNQNDLFLIEGIQFQRNNKQEIFLIPYGNGLKMINTLNHSLLLNIDFCDEEVIFDWSLYK SKKGDENLYFIIHFHGQLFKVFGTPNQLDSMYEFSAGKFIFKRNDIYSLDCINESMSTYQ LLTIINRHNGKKYIEKRINQIYSQQISNSSITDFGQEQIPEEIRVIQLLNIQRCPYIMKI DQLTYDGECYSIIYQSSNQISLRQILKKYKNPPISFIIEILEQLLLVLNIFEELKIIHNG ITLDNICYSQEFNLIFLCNFSFSIFETQNQQQIKGNSIGFVPPEQYQINQRISTSANTYQ LGVLLYYMLFNENPFGKDQKNIFFNNIAGKYQIPNTKQDKNIIEIMKSMLQKNPQKRKAS KEYLTSKIFMPSYRSKMSKHSFFTFFQENFKQKIDEYEVGDDLIYAQSVKSLQISKGIKK >CAK89881 pep:novel supercontig:GCA_000165425.1:CT868657:15263:16788:1 gene:GSPATT00023054001 transcript:CAK89881 MKINRDKQMNEMRSKIKQSLFTKKEIISKNVENSKMVKRSTSNTQLNIQSSAKPNQKQKP CIQNRFHDIYQIGSIIGQGSNGIVKVCYKKTSSSQLLNFGHIPTKYAVKIIPNADDPELI NTITQTFIINRELNTLPQIIQVFDLFIDENEKVAYLVMEFCEWKSLEYYLEKNQLTIKQI REIIRNLAISLKAIHNKGICHRDIKPDNILVKLCDQTEIKIIDFGVSKKFFKKNKNGIII HEMWTRTGTILFQAPEIFLCGIYNEKIDIWSCGIILYQLLCHKFPFLADTIIDTIEIITN SNYNPWRQQEFLDLHYLQQDLLKRTLTKDPKNRLSAEELLLHPWISMKTNQYDKTMDDTQ ISKKKSKLRDFKIATCLQLSDIFNPYKNSSPLYLNPMQNGNTINDNNNMNHVYFNHNNNK NKLDYGNFKISDFIVRSKQILSGKSSFLFAIESSQDNSPKMNIEQSELYLDDKQSDEVLQ YRLESRFLDYDCQHRKFSK >CAK89882 pep:novel supercontig:GCA_000165425.1:CT868657:17640:19402:1 gene:GSPATT00023055001 transcript:CAK89882 MITATRSLNVRLPQLSMKNLEKSREKSNKPTIRSINNVYDYSNSPPKTRTDNISRGSLST PLQYCRYSCYNICRVDSSFNDQEIFSAQQRSVQRNENSKNTYSDQIEKLSQRIKNLSKTK KKMQESISTKQYKKTEPYIQKQSNKYQPKSPKLILKTEEKNDEVNFEQKQLIQEKMQEIY ASVQAILKSHKGYVRQVEKKNVQIQLVQLKKEFKLVNQDYNEFYNNHTNEQFKLDFELVD RIEQLKKLFENKRPTKRNCGSLFDGMDISIVIQTEADEKPNNIDFNEISEKDFEQSQDQI GQFADQITDCSPANSTARRRTTNLNKRATKQYSIQPIQIQQETTQQTQISRRKSSVKSIN TPLTPKTPDSPSSMTSRNNKFAAQQIIQEKLKLPATPPKRQDQQQFQEDQTNVLKKEIQK RQSKLISRKATLEMSQQPKQKSRLNSRNSLVEVTEHSEYEQKILPNNNTTNQSQSQINIE NNPEVNQNILDQLENNKEQIANQIQTQKQSQNQIVPQKEQQKQKTKKQEIKESKIIQDLY QSAFIYDQDILNKYRKNKHKNEWSQDIQSVISNYYQFF >CAK89883 pep:novel supercontig:GCA_000165425.1:CT868657:19451:21296:-1 gene:GSPATT00023056001 transcript:CAK89883 MKNKEQVLKQVYENENKVFGKKNKPRNQEPNMFDMMKWPVEQDEPWDFKKKIQVEREPET KKLITRINHENQPWLTENKDNFRKIEKLKVEDSQILVQKLDEKTIKNIAKSCDCRNPQQQ PNPSIQLQEDQNNQYKLPVQWNPKLQTAYRDNYLIKDIKDTNELMYQLEQNNKNFKGEYK VQHPFSGFYYEESKKEEEDIISSEEDDLENEQEDDQQKKMNTKFIKKAKTLDPNDPEDAA KLQRRKDRIKKFQGETRWIADSAFTTYFGKPAWGPYGFNNINPSVGGIVYGQHMLSHNVQ PHRNKNDPFYIQSYQNALRKGAAVANVEPEPPRNCREEDRLNPEQVEAQKQRNQLTPQPY SELKKQLESKGKSTIPEFNIKKPDLTNTLRFASEAGSVQGESQVQDKPVKQQRKQKGDKR PQSVIDNRTQKSQKDKDDNKSKISNDQKTKESKKKQDKKVQIQSESKLQVDDQLAIPDKA NNKFIEELKQKKEKANRLTCKVNEINPSLLKSSPKQEQKKQITNDLQEVKSFDDKNPPAN YLQQMDPIELNPKNYKGVPADWLHRIPFAGKKQEIIKNGQQTRDCFDYGF >CAK89884 pep:novel supercontig:GCA_000165425.1:CT868657:21372:22362:-1 gene:GSPATT00023057001 transcript:CAK89884 MRSNHQYNYNYKLDQIFQREKQLFIVNRSYDLHLKKLNEIKSKKTQSQQRVQHQEILEKR NNLRQQRRRFDLNEQSERINKGNSQLYQKITEICNRPMQQDYYKYEDENLHHPHNLNLTF RKNQAQQIQNENIKIADRLMKQEPVLKIEEFSHSYKENKRLMMRLQRYQQCQNYMNIIRN NMTYSYRDSTNNSSISSKKKEKQQLQFQLQPIINYKVKSVEACDLDKLKESKVKVEQQQE TEQLKDQQIKQQLSEIQQVNEESQIETIRQDESVEQQIQSQIERQVKQYQEEQSDDIDQQ QK >CAK89885 pep:novel supercontig:GCA_000165425.1:CT868657:22394:22986:1 gene:GSPATT00023058001 transcript:CAK89885 MSQPSETKQSIQSGRMVLHPSLKLDKSAAFFKNTRVSSCSYVRMKQGNPEAVPFYEIANK TPAEHETKSTYTTSSYADDYKVRPNLHVGSTNKLLEPYNTGSFRSRLPEPDAPILTKNAS QIELGDRHFNVKRHFLSTAHNVYGNFGKPGNITNPGILSEKTKWHHHLQQK >CAK89886 pep:novel supercontig:GCA_000165425.1:CT868657:23011:24132:-1 gene:GSPATT00023059001 transcript:CAK89886 MNHSKQTKQKSNIENCSESEQEETLKKSLNAQSQSLFQTSLFTALDSQIKQFNKKPIVKK SMTEFLNNYDQNFDDVFGTMNLPVGDVHLNHQVNKKNSSNNIDTRQKSNSNRNRKQQKKK RPIETLNSESESEQEFESDSESDSYSNSEIYTVTKKQSKQIAAVKKKQSKRTLDSESDDD NQEEYEQFLKIENKITKNNKPKTQTKFNNKQSSEEQSIENKKHDPNSLDMASNNANKKEK KAQKLKTAIFNFNKGEPETKKQNKSNKKNGKDAQQDTNKKQNPKTKNKKQNEQDNDPKNE KIQQLIKEYQKQEQELQQQNLTNKKDKSQKENGTKNKTENEDKNPMLNLDNIKVNSLIPQ CRPKKDTDDEDDQ >CAK89887 pep:novel supercontig:GCA_000165425.1:CT868657:24229:24834:1 gene:GSPATT00023060001 transcript:CAK89887 MILENPSKIKWKQQYIKKYRALLGISNLKVIPPEPTENNITPLHLDYINKQRSKSLKQND ESILQSSDRRSLHTKIYTACEMNQIINHARICGLLNDKQSLNSQNKQNQSLLEQNRKYFQ DVRNLENSWNYKRIRQEEQNRLFNSIPMEQHYKIKLKQKETHLNIQQLIQEQRTLFLTNN TQQNHDLSGSSSLE >CAK89888 pep:novel supercontig:GCA_000165425.1:CT868657:24941:25480:1 gene:GSPATT00023061001 transcript:CAK89888 MAIRKTNLSSITKNLFNNDYDQESLFSSPLKSPDKSKSKVSSNQSPIKLDSIDNLIESQN TLRTHIPSEFNLRKMLSKMDNVDIIHQTQQQYKACRFRKKENFQSQPNLKIEVKNDVEDF FNNNTPINTQLKQNKESKQHQLITFTDYVENIYGKDWFTIPQRRFRRQTTIQEFFNIIE >CAK89889 pep:novel supercontig:GCA_000165425.1:CT868657:25550:25883:1 gene:GSPATT00023062001 transcript:CAK89889 MKKYSSQRLLLTNEELLRIQFLAKNSYRPSSKQRIKEKPLLEKYQFKPEINKQSANLQRS INDLLRWNLNKQMKRQQIYDDEVLNPHLFLVKRISQQCQQINS >CAK89890 pep:novel supercontig:GCA_000165425.1:CT868657:25947:26561:1 gene:GSPATT00023063001 transcript:CAK89890 MQLLHSLFLEQSKRNTSNFGPYYTKNMTSSINQIYLHLKSKTNATDSKYELSHQQTPNPK FVDSEQFPIAVNDVNTYTYNLKQQIKTSQVVRQYVDLTEQLSNSMNEPDSPAKSPLHQKD IEVMVERLNNWQAKKQQQEQQGQQEDQYVKSQIKPNLINQNRQPSFGSQQSQSKSYSNMF LNNNQIN >CAK89891 pep:novel supercontig:GCA_000165425.1:CT868657:26769:32184:1 gene:GSPATT00023064001 transcript:CAK89891 MVIKKAFQDFYIQNFLQIRDSFKRNKYLSFGLVLFQTLQIQGIYISGSIFESDEKGKKRG IMDAVIWILQSAKVFPLFQSSTSTDKIIFIDFSFIILLIVISFIGLLAILTTRSQKYMKN HKINGLYQLVFTDSATQLQTQQNLLMKFIKFITYIVSIFLQTYKYLFHWSIIYCSLDNIY KFIKNPSENNDWMHTLGTTTSVIVLLFFLLFDNFMMFHFFDYKFKNPDFLGQKESNLELL LNLYIYSTIAIVVFTQQEYPNLQLLLGLLFTIYSLFVSSFLFLNRKQMINYFKSLILGQF IFLYIAQFCYVNGTFSNEFVNLIVFIASPIIIKIQNKIQELQVKQYLQLDDKHFIFFESK LRKIFDLIKITYYKEWRAFRQPVIRSYVSLQLHSLAANHLRNCSGNQIDMNYQNNVVKKC FCKQYFQYNEEKSVNFWKRELDAETENKYFAFKSEKQFKQFLFDLIKDSFERYLSQKSIL ETNVQFSYIYFLFQIQKKPTKAFYEAMNLKFKIKKLSQKKIMIIEQLIQDAKFNFNLMIE KKDLKNQKYNFKQVFDYDQNLDTTKLNFQIILTNYKKWYNQLLNQQLQLQMIIKKGIELQ QQIQQLEQQIFSLYQLNPVSSELDTIVYLFYKYIHFNLKRPKSIRRNSSYANQFIQSINQ QVFEKESCIIYISLMNQRGSIQNYTKSFKSLILGNDQDIKNQNIKHFMPDSIAQYHDMYL DNFIELGRMNIVMAEQRFLILKNKQQFIIPVYAKVRLENYSNSDFGSSALITQINQQNYY IVISRYGILEEMSQNFYQEIIQKTLNCYPYQLKNLNLLRLMPCLLKDLQKLELNQQNSNE IDFEFSQQNKDQINYDILMEGHILIPKQQTLIDQLQISNKNILNVDVENYFFVQQQKNHL FYQNVQHLFIFYVKYKIRLMKTINSLHRILEIQTLKMIKSEHQQKAIRIVQNICNIEQSS ILKFQQAPKIHYYLQDSDGYDVFQKEQIEAFRKSQSSELFDRKSYDLENNLIMEEDNMIS QKQRNFEEHYSTRRDLIQQTLLNTLDSHVYQKTKENIQLLQNESDTSKQLVRKNSFNILS KGQIDDMELSNKQSKDSIDQDSGSNYKNRQATIHQNDGSSIASSQQQNDYISKRRMIRDV LFSDHQFQNNTTKTSILVFGLILLIILYLVNLIFIVITQKKVEEIEANKHVSINIQNSLN LFILSNQFEQIQIYQNNTQIWYQQLQNLSIINYQIYLRQILNSQNSIIEQSMFNQLKIIY FEETIKYELDQLFIIKLIGEYMIDYISDKDTHKDGIIFLIRNFQVIVQEMLVEFNNTTFI NIQQQIDMIDSQIELTIILSEVTLSLIVIILLPVFLIINRQKNTILEFFMTFPQNELQQQ YDIYQILLDKLEETKFAQQETNQYDIESSHIKQFVKSIRLVKDSNQSKQQYGKLKKMIGS NATPLIIFSIFLVLLLFSIVSAYFITSFMIKYQFLSDYKRINKEIKIYDSLQCEILKENA IQNLILNQILNQNISDVIKNTVHQLFEIEKASQYPTILYYQQELTQRFSDSSNEVFYNVL SNNTCDIFNSELIDHLELEQFLDFYSIQGCQTIGQVQQGISISIINFVNQLKQLYSTLQF YESNNGYEYKTLYDSIIFLKDQEIQQSYLYTAFALQVITNYQDQQLKTLVQQHYLTQIIA FVVGASFISVFTLITEKCFKSLISNQINQSKLLLTLIPFEILQTNAYVMTYVLQESKKIY L >CAK89892 pep:novel supercontig:GCA_000165425.1:CT868657:32221:35539:1 gene:GSPATT00023065001 transcript:CAK89892 MLLTLKYLNKIKQINLDKRVNVQSLQILLAQLFTIKNRIIGLIDQDGNFYDLSQFIQLLQ QTRCNMYTIVSEENYNQKDNKLLQTSLKYSQMSDYNNRLSFIDFIYDLEEFQQILGGDQY TCIYLIDEKDYQGLDFLCALEPLINNFNNWINFFYSFSNRLQDQARENDLNVKLLWIYKG SKKIVSFQLNNDKKMRQMDEIIQKLVQAKLQGTSTRTSNIKQQSQISSQQSPNNRRSKSI RKLVEESQTSNNNLYMNSNFGPSKLITRVQAKLIQRDPSRGSENFEDYRSQQQHSSQYQQ QSNIYQNESIISQQDMLFALVGDLEVKEILDVTMARLVKRLLIEENKEIIQVLQIYLQNH INIQQLCERLNKIIENCTYQERPTSPFQTLKQTKPQMNSQDSNRSAQQDSLQSHQTQLLE DIKNKVIERNNYNYTSEQFGIMNVLWNQQDQVLLQLCTDIYNKMQKGEQPSLKPLQIYSG SKFNQLLQQNFKLSEITMIHEYNNSHQGSIYAVLQYFRYQTNIEQFINDLRKAINSVQSQ NQHLNQPQKQQTQESKVILLFKQIPKAPSPQSVLMPNFQLQENTLTQATATTIQQQQQQE QQQEHQQEQQQQLKKQKENQIMVVHQTQPIPQQIDKKIEEVSVIQTSVQEQPIFKKSDIM SRSEQISPQKRISTQEVAANVIFLNEENFMQTKKEKRLVNMGKIDQFYSIQVEKELEQIF KDMLWDMDLEEPKVRQVEMLFSEHNQNLYEILQGWQSSRNINGTRTKLIKLLSEQQVKKD DYRKIKMYNLFMNQVRQFTLQNHLQDNAKNFLLKMFMDNDLQVLGTFETYLQNQDAEDML ENLKLIIKQYSKFTNINSPNTDVDQQPIIEPIKNQLSAKEILLQIRKYFSAEEKNRLENT PNEERDLKIVQLYQDYLQDQDLNGFISAVRKLSQQDQIKKQFEDLLQKLQKEGQLKIDDY MLSVVNQRRNEQTIKGVFELYLFNKNIEDFVESIKSISKILQQELILQTLNQFEKEKLLD ERKIAILKEKAYDYHKDYPKLLGAFALYFEQASIDQEEAKKEILETLSLFSS >CAK89893 pep:novel supercontig:GCA_000165425.1:CT868657:35593:36333:1 gene:GSPATT00023066001 transcript:CAK89893 MFTAISVSVQEFRGIRTASTTGTSSYRDFQRLPATKTNYKRQYLKGASNRVKSLKEESHF VQLCSDRKSNEKLFKFNRQDQLLNYRNLHLNKLQPILNQEQNLENQLHQDQQNKSQINSP NNNVRSSGIQLITDQKNQLIELIYNSQSVIQKQKKSYSNQQKTECLQSGKTPTQITVSSP LKNQPQIIGQIIVSSRNKGDLFVKSTQLTSYGSLKITTQEEKQSITPKPKIPTSLDGTKK FLKQFI >CAK89894 pep:novel supercontig:GCA_000165425.1:CT868657:36345:37006:-1 gene:GSPATT00023067001 transcript:CAK89894 MNTCDKPIIKLLIIGDSAVGKTNILKRFCENQYTPSFVSTIGIDFKFRDLEVEGKLMRLQ IWDTAGQERFRTITSTYYKGAMGIILVYAVNNLESFQNIQNWMNQIRQNASESVIILLVA NKSDLNDRAVQYEQGKNLADSYGIKFFETSAKEGINIIDSFQCISKQIKDVMSLEEKVQN IKLDSTHQQAKSNFCC >CAK89895 pep:novel supercontig:GCA_000165425.1:CT868657:37294:38387:1 gene:GSPATT00023068001 transcript:CAK89895 MNYPQLNLQQFYMLPTTTYALQTPKKETCDQSCQFPEPCLIESQLSCNTEKFEEAAEKEL TILLKYLSKHISLLKDSVFDEFVFQNLKTLSSLSKDLPNMIKKRYILVNKTKEEMTKFII RRCFLFIKSQIDYEEKEGVSAEERDRMFYNSFFSDDKEFMKSLHNQSIDDMIPFRKDSKV KTMNDNYLKRLFESERFSKHYSLFLNQFKDICQNENEEKIDNMTKQLIKIIMTRDYGKIK TYRRFPWKDHELIKCEERAKDLYSKYSSSRIKKQNKYSSKCDSHTSDILEKYSESQN >CAK89896 pep:novel supercontig:GCA_000165425.1:CT868657:39623:40483:1 gene:GSPATT00023069001 transcript:CAK89896 MFKNLLRIGVNLSLLNTTYVLCKSIKDEKLPHYHFLNEQDLNKLLKKHQNLSIIDRSYTE HILSIIRDVETDTVEFRKNSDRLIRILIEQAISQIEKKKHIKQSPLGYYDAHEVKFEDEE ICFVSILRSGNAFLFEGLKAVSGASIGQILIQRNEDTALPSYLFQKLPANIRDQQVILMD PMLATGNSATLALRILKNQGVKQENITFLTLVSCEQGIEKLFREFPKMKIITAQVDPILL KDFNYLAPGIGNFGDRYFGTVKKSQQLVQQQ >CAK89897 pep:novel supercontig:GCA_000165425.1:CT868657:40558:41439:-1 gene:GSPATT00023070001 transcript:CAK89897 MSPRSYSPNNIPRRRTNKQQNYLNPNSVNSYISKMMNEIKIDGIESFNMENKMLKKTAKI ADSIFWNEDKVDDIQNNLYEYIQEKVGAHGYDQDEKVDRWIKNFWIMCQDVFTVSVIKDF LSQRPSFYYNYLHKYKQDSANQVSKNLSRIYEQTNNSDEYQLPSLNQFKTPLKEKVDPHQ HNNSNNNNNNNANNDTNSPSNLCLEVKYDDHFQHQIESPSKLLNLLTPKHQAMHQSNKKT PNLKSENKSFIQHSQFKDNLSLSKLKFETTPLKKNSAFKFYNKLQQHQQQQQL >CAK89898 pep:novel supercontig:GCA_000165425.1:CT868657:41505:42193:-1 gene:GSPATT00023071001 transcript:CAK89898 MYRSQRLRMKSQNHQSISPPIIKRRLRNKNEYSEIIEPTDKKKQHLLQDIAATKQKSLTN FALTVTPKYAQERDYDDDEDILFHSKGKKRPWSEQEDNLLIKLVQMHGPQKWTFIAEHLP GRIGKQCRERWHNHLNPQIKKSHWGDYEEWILFLSHRVMGNRWAEMAKQLIGRTDNSIKN HWNSAMKKRIPEDGGEIEGYSQKRRQIDINNFEACPILNQ >CAK89899 pep:novel supercontig:GCA_000165425.1:CT868657:42257:43237:-1 gene:GSPATT00023072001 transcript:CAK89899 MIKELLLLLYFIILVYAFANTKCGGKRYKCGEENQNNVCVNVSEYRGKVHELSPCADDKT CLWQDAAYQKPIFCTDRPTKDKILPGEGCSGDSDCLSNSCIGGICLGLKLNQQCSGHQFC DVGFYCDTYCKEQVQFEQSCSNDYQCTNNCVCNLGKCAYYYSLENNIKADNPKACYYGYI NPTNGTCQNGPHSLTKSKPCETDTDCILLDSNEKLYGYSECQCGFNAGGFSYCSLAEGDP EYLKILELFQWLLQVNQYCHTILRYGPCSSLYLDEYIDYQKAVKFYELQSQIMFNDECIQ KIYTDDYWGINSSRLYILLIILLLSQ >CAK89900 pep:novel supercontig:GCA_000165425.1:CT868657:43603:44184:-1 gene:GSPATT00023073001 transcript:CAK89900 MYLILILALFIEQIECLGIELIDKKPKCVYMNAKKDDYITIKYLVVDGVPKDVQIFFFDP YNIQYDFESNEQQLKALYTGKYRICFKNNGSSKTLVEFDFDILGIDKNYASKNDMLQTKM NLNQIDSDFRWIQMLQKESYHREQTINTNLITLYKQLTISNIIKVMLFIVIISFQLFLIN QFVKSQDMQSVPV >CAK89901 pep:novel supercontig:GCA_000165425.1:CT868657:44299:45131:1 gene:GSPATT00023074001 transcript:CAK89901 MAQVFNSKVSIITKSEIRYEGTIYQINPQQQTIALKDVRSFGTEGRRPDHEIPPNQQSYD ILVFKAAEIKGFKTLEENKPEDIKLENVKQENQSQQAQHFQPPQNIEQIEQQQEQKGQFQ NNFSQNQQIKSNGTNPRAFNFEEMLQKANEIEKIKKQEVKPKYNPTSFFDSLSTSTQKQE RQTQQRNQNQIDTDTFGNFYKQRQHNNNNNNNGQRRNNNNNNNNNNYDKNNNKNYRRNNN DQQRVVYVEKQSLEQYQQQSQQPQQQQQ >CAK89902 pep:novel supercontig:GCA_000165425.1:CT868657:45233:46228:1 gene:GSPATT00023075001 transcript:CAK89902 MVKYCQSCNTQKAFMVRPKTGNLICQNCFFQAFEDEIHHTIISTQMFKPGEKIAIAASGG KDSTVLVHVITLLNKKYNYGLHLYLLSIDEGIKGYRDDSLETVKQNQITYDLELKILSYK ELFNWSMDEVVAQIGLNNNCTYCGVFRRQSLDRGAIQLGVDKIITGHNADDMAETFLMNL LRGDIFRLPKSTAIITGDDGDNGIATLPRCKPFKYTYEKEIVMYAHYKKLIYFSTECTYS PNAFRGHVRELIKNLEAIRPSAVIDLIHSCEQLDAPNQNQKLPQKQLCQKCNLLSSNKIC KACTLLESLNQGRAKQILQIQE >CAK89903 pep:novel supercontig:GCA_000165425.1:CT868657:46375:49116:1 gene:GSPATT00023076001 transcript:CAK89903 MDNFQVQDLCYDNIKCHDWQCQKKHPRCFAGICIQGLNDDEECNIQNCQLFHVSSDTLSN EIMINGFYRYNICKKKNCEVNTCRYLHPTWIKDYCVDFFQNKCKQNCNNHLKWAQMKNQI YEKYEIQNLNPDVLCKKDKCKCQKHLANIDDFCIDYFQGICPLIECSKKHVFWEELKSNR KLQFEEPKLKPCNKKNVILNQEGIENNSQIKVMIDEQELKRMHKALQQQNIIDIIFIMDC TKTMDHWIQQCHSQMASIIEKFQNQNNKYITRVGFVGYRDIIIKKQKSIIQLDRKSMISS EVNDQFNQNDFEIVDKNHVVFHDLTDKIDSIKEFIKQQKAYGGGDEAEDVVAGIEKASQL NISKHQDTVLITFLFADSPCHGKQYHDIDDDDFMDKIPLDTLEDVMRRYRKIKTNNFFFC SRISQKTDKMFTIMKSVFPEVSITDHITPEDFPNLISFSLQDSFSKISKSQLFKPIEIKA QSIKSKYIEYDCKTIEDRIKFWGNFVQVCQSQERVNHTIIKINQNQEQILENEDGVNSYI FKVFDVINNQNLVAKIPKKIIQEYQQKEQILKQSNSNEKVKLSEESIQEAKKFAQSRFIS QTIAKQLAKIYREKVQNLAGSPPIFYVSPMIYVLSSPFYGLDYIYAESYINLPNIQWKKY SNNLQYISPEYYYLSSFSHFTYEETGKQFLIADLQGKLNIFSDPSIQSLGNYNQNLNNDT TNLNHAGIANFIQAHEKCSFICQKLQLENTAAITQFDETKWEFNETQELSIICETCDEYQ QIKLIDYVNNGHKKCQQCKELEQVIHEVQCRCCYENFKTPMNQQLRIGTLVGKCEGCKVN CRQPNLICCYCKIHCKLKVSSENIDGKQIYLCKEGKQYLSSLKCKVCNSLYSFDKFLTET YYEQGIYKCQKCN >CAK89904 pep:novel supercontig:GCA_000165425.1:CT868657:49470:50763:1 gene:GSPATT00023077001 transcript:CAK89904 MSYSQKNFLNQPFYSPAKFENDQKDLMISQLKAENFELKQNDRDYQELATHLKSLEHRYN MLHEEKMRNEVEYRNRSDQTLKTIANLRNEIDNLKSQLTEKHIENQEMKAENLAFKEITE HRSQENQRVKNDMALLQENNRKLYEEKLRLETELQAAKDERKRLLHDRELLNNTYEDVLD KLNEKEKQFSQLQTEFDNLEKQALIYKADVENINNEVKSKSENLKYTRMQYQEAQNYISQ LQNDLEELHKQKEKFKQESLLYQKNYQQEADTCMELNAQVIQLDQTVKHQEKTIIDQRNE IERLKSIHMECLETTEELSHELDQAKRINDQLEHQHRDVMEELDKLSLTEEQAAMARASK YKELKTKLIMGTKQLQQFQSPFKRFSTNKKPLLKQYDF >CAK89905 pep:novel supercontig:GCA_000165425.1:CT868657:50811:52340:1 gene:GSPATT00023078001 transcript:CAK89905 MIEIRRPLSEKNLGNRSSKDLQRPNSSNSQQKQNLQTMKSSIQLQKQNQNFYSKYFSSKD QKENMEIRSNVERNPSAKSKQTPQDYKKLQDKLLYLENKISSIKSHIDNSQRQSKNSIAS KFFSQNTQNNHNSQSVQKCVQIDFTNRGQKEQREFTPQQQNKLKSSTLVNNNNEYKTSIE GTIIKKPSLSTFVTQVKAPLTDNKTNFKNLKPDSAKAGSSIKTNFKRKNSQEKTVESSFL YYISSIIKGYMQPEITRPIELIREHLLQTMQASLFQKSVKIVSSVEDKKVNLPSTNKKTI VFDLDETLIHCNESIQVPGDVILPIKFPSGEIIEASINIRPYAQQVLQTLHKHFEIIVFT ASHSCYANVVIDYLDPHKNVIAHRFFRDSCMQTEEGAYIKDLRVIGNRSLSDMVLVDNAA YSFCLQQLNGIPIINYYDNKMDQELLYLQNYLMSLRTVRDVRQYNSQNLKLDKFAQFSDP IDLLQSLHKDYIP >CAK89906 pep:novel supercontig:GCA_000165425.1:CT868657:52626:54117:1 gene:GSPATT00023079001 transcript:CAK89906 MEEFEILDFNELQKEEKGIDKAAKFLQKKIQNGFKISIVIKKQHNFALPPLQQKKNDLFS KQHQPMDIISQSSEQTENIDKQGSSTSSHSSIKMNKKLTVPPTQHETPQQDQDEQKSEKS EDDQISFYQNKNNSFEHCLKDNLLTQKIQTGLLFMRDGNSKLALEKMMDVYKEGNEQLKQ CSDQQQISVLIIICIQSLCYASQVFRELGQFSEAQKCLDKCLNQFEINDFDLLCQIYIAK AQCCLFNNQYLQSLDYYTKALIQYEQVNWKLEIAKLLVKISFVYALLNDFADAKKICYEG LSILQGKLSNSDPNIYETYYTLGCIYYLEKEYDFALEYLDQSKEGLIKLYGEKHISIIKI LNLQGVINHLQGNSSNAMELYEQIVCLYGDSQNVGLALVLNNLALAYLDRMKFKSAKLSF EKATAILKLYLNEQHPTFQRIEKNKKLVVAATLSYI >CAK89907 pep:novel supercontig:GCA_000165425.1:CT868657:54123:55084:1 gene:GSPATT00023080001 transcript:CAK89907 MILISQFFQKLYQKISITYAPKTNKSKKISKKIADRKKNPLFVKDAKNFRIGNDVQPKRD LSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSKTLDKNQSSKIYFQLRKYSPETKTEK KHRLIKAAEQKTQNQKPDSKKVNVLKFGLNHVTTLVETKKAKLVLIAYDVDPIELVVWLP QLCRRQEVPFAFVKNKARLGTLVHQKSATCVALTEVRKEDQAEFDNLARDLRQHYNENHE LLRTIGGGQVGIKSRHQQEALKKAFEIEELKKTSQ >CAK89908 pep:novel supercontig:GCA_000165425.1:CT868657:55113:55376:-1 gene:GSPATT00023081001 transcript:CAK89908 MPQHLLKSIIHKGLLKNSRLFRNYAPKTNDEIFFHQYDQTFMTNSFVQDCLKQQKLKIPV DFFKESRFDDFAEDLNDLQVTRDKKQQ >CAK89909 pep:novel supercontig:GCA_000165425.1:CT868657:55412:56433:-1 gene:GSPATT00023082001 transcript:CAK89909 MIQQSAISFQGRQTQLKDQINMLEQRAQEINQDIKKKRKTPGSQDRQIKLKTLQNQIDKM KQLSEDLQHLVIDEQKWLKLIALLEIMYSNLDNPDHEQEFNQLIPQLYQTKIVQTAWNDR ILQSNRSIIEPFDQKAATQQAQLVDQNSAAYKIKMMDFSYNDRPQKNDYYQPPTFKEKLN LNRLLPKYKMLPHSVFSQLSCDTLFYVFYYPKEPTEQLMAARELIKNQWIYNTKHGLWMK KDKHYQYENEKVIKGPFIYFDCEAKWQQKKKPDFQFKKKHILQYELIQ >CAK89910 pep:novel supercontig:GCA_000165425.1:CT868657:56454:59921:-1 gene:GSPATT00023083001 transcript:CAK89910 MKQPFINHNYRVQFKHPINIQQEGLISEIISCRISLFKTVLFAFLSLITCGLLYLVTRWD LRIFLFFRAKKCVPQSATHFLIIGQGKNIRQFILDKSQTLVKSNFSKDGDLFIEYRLYRY YYTEYAFDPIETKYQTMVQMDIRQQSINRNQQLDTFGYNNTEIPDKGIVKTLIEEVLSPF YIFQFCSVLLWFWASYQRYATVILITSLISIFITLYEQRKSFYRLQQLSKFNIPVQILDE GQVKEIESISLVPGDRLFIKDGMIMPCDAILLNGQVIFNEAMLTGESIPVLKTELPNNKE IYDPLDSGKQFTLFAGTTSMETKGQDVIALVTQTAFNTQKGQLIRSIMFPVQNSFKFYAD SMKFVGIMAILAVIGFIITVPNKIDYLLDGSISTWEFINEGLDLITITVPPALPTCLQIG VSIALARLKNSKIFCISPQKVNISGKVTIMCFDKTGTLTEEGLDMYGIRMIENQRFSKIV TSIDANTDVNFIKGMATCHGLSQVKGKLVGDPLELKMFESTNCELIEEKDGRIRIRNNDR INVEIMKRFEFSSKLQRMSVIVKENGQYIAYMKGSPEKLRQLFLDFYALNGFRVLGMAQK SVQQVDLDRNEVESNLNFIGFIIMENKLKPITTKIIKQLKDSHIRSIMCGKIMWIIQNQR VYLGELSEKKYNGKYYVSWKDFEYNQNELNEDTLEPQQQIISNLDEIEKDVDVQQDFEYL NQRSFSKNLVKNKSLLEDPPPVNVQLDNISEIYTDGDFMEEQPWNENENYILAISGGAFM HLNKYGSQATLNNILEKTIVYARMRPEEKANLILQLQKHKSANLVGFCGDGANDCGALKT ADAGISLSLAEASIAAPFTSQIQDISCVPILLSQGRAALTTSFCCFKFMALYSMIQFIQV TILYLKQSNLTDNQYLYNDLFTIFPLSMTMGLVQAAQINKYVPGSSLISFTVLGSVIGQT IIQLVFQLGVYLLLLQQSWFIPNEQLNQEDLNDDSMKICFENTTLFVFGNFQYLMIALAY SNGKPFRKPFYTNFYFIGSTIFLFILALVFLMLRVDYIDDIMGFIFQSYDDQNIMPESWT FLLGIIAIINAVFTILYEKMVVPKFVVKKKVHPIQF >CAK89911 pep:novel supercontig:GCA_000165425.1:CT868657:59932:60480:1 gene:GSPATT00023084001 transcript:CAK89911 MFNLKQLLIALHHITIILYFNRLQYSIQEILQNQSNYSYKLVNDFSAQSIKMVYQSTGIS LAFYMIVTASYVIQLIVLFFRSNKNLNRYIYQHIYLLILLYSVGQLVQIYYYNFQEELTK LTAYQNEENKQDALKDLQEEGQLAQTIWQLYFMCTVIIIVVLKISYLYFGLHQFAKFLKI EL >CAK89912 pep:novel supercontig:GCA_000165425.1:CT868657:60559:62089:-1 gene:GSPATT00023085001 transcript:CAK89912 MNSDPIPFPREYAIPEDFHQNIRTRFLNFFRYTVGDKARNSLIMLMGAVKMNKHDEDQQY RVEQESNFHYLFGVDFLNCYGIIDVDNGKSIVFVPQYDSNYKMWNVVLNNDEIKQKFKLD EVLYNDDIESWLSNRKPSLIYYFYGIDDYSHHSLPIPDQPFLQNYNSDYDELYYILTESR VRKTPQEQDIMRFICKISSEAHELVIKNIRKGNKEYQMEALYQYHTFINHGCRFTPYECI CASGTNGSVLHYEENSKTIQEKELILNDMGGKFYGYCSDITVTFPSDGKFTQKQAIIYNA VLDTQRQVHNSLKVGVNWGDMQLLAERTITKHLFNAGLIKGSMEDLIKNSICRLFFTHGL GHMLGLRTHDVGGYNKGTPPRLPELSQLQFRRDLDVGMVFTNEPGIYFIDFILQGAYKDP NKMKYLNKERIEEFMHVGGVRLEDDILLTANGPEILNDVPRTIKQVEACWRGEDWRKIND >CAK89913 pep:novel supercontig:GCA_000165425.1:CT868657:62244:64276:1 gene:GSPATT00023086001 transcript:CAK89913 MKQRPTTAKVPVTVDTKDIHQLYELDSNSLCLQLGRQYQLIGSNLSHFLILNELGKGSFG VVYKVKSTYDGLIYVLKKINLTHLKPKQQTEALKEAQLLRTLKHPNIISYYVSFIEQDNL CIVMEYAEGGDLQKVHNTLKQKCLKEPTIWEMSRELAQAIQHLHENNIIHRDIKTLNVFL TKDKHVKLGDLGVSKIFNSEIALDGTRVGTPLYLAPELVQHQPYDYKVDIWALGCIVFQL ATLEPPFQGENLITLGYSIVNHSPKPLPSQYSSQLSQFISKLLEKIPALRPSIQQINSQF FEKKCPELKTLFQIEDRTLSNPNQNQHHYQRPQTGNLNKQIAPSIDIEKANKIKKSILLV EGNLDQILNGESNSKGQKQIEADKIIKSQQYQQMIREQSEKLEKEKLEKERLDKQREERM ENEKQLRLEKQLSSQQKEKSNRLDKLIQQNQIQLDSNHNEYQLQKPIYKIQNNKVSLYIE DFDNKQSCDDQKSAFSAEKHLQIKFKYQKPINTYQSNIQIRPLSAQARSSFVNISSKSKL IPVLHHNQSTQEPKKFTVLDLNPDQNEHSFHQEIPKFQPSLIKQPDTNEYQHINNKEISL NKTKENIRIKSVFTLQKTNKPSQNKSQERNNKIIKHYTLKDLEE >CAK89914 pep:novel supercontig:GCA_000165425.1:CT868657:64281:65295:1 gene:GSPATT00023087001 transcript:CAK89914 MNVICVIISLKPTLLQYFNHQSSYQQPNQQMVTFLNQTLGLIEIPKQFTYIYQYLLLLTN VQIIQVQSEQSIQELTSEPKSKIEIKFTSKLRLITHHISTFKIPHYQLLQHYQHLEKLCL HVSNLSKRVTDAELKETFEKYGQIEYAAVCMEPRSKGPGKVSRGFGFVKFAKKESVQIAL EAMQDKELHGSKIKVEVSKRAEPRRKTPGRYAGYAYRRSRSRSHRRRRSISSDSYSSSRR RHHRHQIIIYYQSSTTLQKKITFKIPFKKSKLESQKEKKMNNQIIFQIITKQFYLLYLYS SSDILYIQMSLSVLYYHF >CAK89915 pep:novel supercontig:GCA_000165425.1:CT868657:66189:67881:1 gene:GSPATT00023088001 transcript:CAK89915 MEQQDASSIAQNNLTGLATVHGANEQNKLLHKLSDQSSPMKTDLQINVNKDIYSEEEMRN FTKRGIISLIGGVILHIELGTFYVWGSISPYVCAWMREKDKDVTLNFMAIIFPILGIITM SVLSFGIKIAEKIGFKVTIGIGSFTIALAFLIISFIQHIGGFIAVYCIMVGISGGLLYML PIICGWRYFPNRRGLVSGMTIGGYGFGSFIFNFVCKAVANPNNLKPSVIEVEDGKDVKYF DSEVGDKVPLMLQVLAASYFGLGIIATIMVRYPAEIDPDKMLATLEAEEKKKRKEGAPAP PPPVLPAHKECVEIKRGMKHPSFVLLQLIVLMSCTFGMLISNCYKYYGLELGIDDATLTA TGSVAGVMNGSSRFFWATLTDKTSYKFTFTIISILNLITTAILPYNKDGIGYLLLIAVVY LAEGGLLATYPVICAKIYGKKIGGLMYGFMFFFVGVANMIGYILYAFARKKIKWEGVFWI CFALNVIGIILGLVLKEVGYDWRDQAVIDAEKEKEKELQNKGQLAAFQN >CAK89916 pep:novel supercontig:GCA_000165425.1:CT868657:67949:69681:1 gene:GSPATT00023089001 transcript:CAK89916 MDKNGESIFTSEFWREINEQYFIVQSPVQIADIDILKSKSWNCKKSKCLKLLNKLIRQNN RTKLFQANLNNVKTRVQLLFLQRQSTQEPIQNLMDEMIDQVCFSHLTAGLQQAEELIKNI SQSSESQQLQIYNKEAYVLSNLMHQIDWPDELSISIEQHQQQLNELTSNNKDALQSLEQH THQINLTVLYTYNSQQVGEQRLKLTRQRMPNYQHELTLLKQEIISLQDYQLAESVGKATF NEYNNTITVIFDKYLTSIPITLSLEDFGNLQKLREQPYDLSTQQCGLRSDDVFEMQMCHY CKEMVEIKNLKQCQYNHFSMGLHEYNEDFLVCQRYQINSKQTQQYFLDLYSENYVIEDKQ IMCQRYFCLKCLKYDFDSYEITSHLWICPLCKGLCTCIRCERNDMIYKLKRQFLELNGDL EEIYKSSYFESLVKEKRKQLSEIPLEFLKVHRNEQEETLSQKSNKRQFQVNSIRRKIKKE DSHLVNKCHKLYQVESSSSSLKIKRSKETTYNTISSIDSIHSQLYIQ >CAK89917 pep:novel supercontig:GCA_000165425.1:CT868657:70677:71328:-1 gene:GSPATT00023090001 transcript:CAK89917 MTFQDQAEKIFGSLQKALKRLELELEYNYEITLSKQKAYQESLGMIAELEQLVMIRNNEI EIGGYQEMSQSVSLDDQDHHLLMTQLKDNINLVNDYKQQIQEIRRQNQLINKLVKEQSHT SSQNQSFEYDQENDYEINSNFIQKRQQKNAERVKEIEKKIREKQKNLCKMQKKIKGKEKD YDYRLLNQIERLDSEIEKITHQIKSLID >CAK89918 pep:novel supercontig:GCA_000165425.1:CT868657:71424:72078:-1 gene:GSPATT00023091001 transcript:CAK89918 MDFLTRFKGRTQTMNKEGISGIIKSSGNPIEKLHAFQSCSQLLATTASHTISDTEKQSPY SNDFTPKSKDPKIITNISSFHHRKSSLASPPTPNSNLNMSKSQIDLNNNQMQTLSKTGST NFNLNDYQLIIIPKEALCQYKMENTEYHFQNKEPLQQIQQRYYCSKSQSVDQQKVNPLIK SQILSQGSYSKQNERKKSMIQAGLNTIQY >CAK89919 pep:novel supercontig:GCA_000165425.1:CT868657:72446:73826:1 gene:GSPATT00023092001 transcript:CAK89919 MNNQFCGLLSTQSRIYCDRQDILEMEKYKSEIFDKHPKQSIEIEKSDKYITNPYVINLND YLSQEEFQLEGTMLYKIKQKLHNLKISTLVGYIYKVNTDQKRILNLGIVDDVTHSPCEID LQYNDQSQLANYKLGQKISFTNLQKQSRVIQNQFKGFIEDQQVVQFDIITMENQQINLLS LLRKLKTFSILPYSQLSDIKPYTIDRKLRKFKVDTVKIYSVLFQYTCSICKGSVKSQQNQ VYQCESCLSFVDLKDCAWEILALVQIRVGTQMALLKLRDRLVFEFFEISPFYEQFLQNYS LTTENNALKQEQTEDDEKLRYLFDAFYKSFACKEILGQAYCDFIKTAKTKEKWKQINKLK KQQLQTMNFDFEYKFVDYQAWIEKNEYEKLIIFPNGAYEQVLKNNNSEKWYENRVLEPLI CLECYGVQNVTSAIQ >CAK89920 pep:novel supercontig:GCA_000165425.1:CT868657:73862:74603:1 gene:GSPATT00023093001 transcript:CAK89920 MNQCLNNKSKAIIIKQPDPTFMRALNLGKHTKSNNQIPKEQQQIATQRTQRTIIPSMYGR IKSISNKNSQEKIRVYDVFKQIPRNKSQSYHNHINKMDESREILSKLNQQKQINVDCQKT SRFIEPVLTTHRVDLPPSNKKRSYSNAQTIDKLFKMNDNSISYIKPPLETQRKISKIKTN LKLQRDDNILDLLLLNTCELKKKLSNKSSNNNSFICVRPGKLPKDFFIYNQ >CAK89921 pep:novel supercontig:GCA_000165425.1:CT868657:74765:75853:1 gene:GSPATT00023094001 transcript:CAK89921 MTINSRYSSRKKNKKETIRQASTINPIQQMNSIDQLTGRSSSKLTSSMLIVKQERRNTAS PTKIMRILSLCQDENMQQLADVLGEISRIELETDLKQKSQTLLLRNIRINKQQFIKFLER TYPIIICQQIAKAFKVPQVMSVQEYKQMIEKLENLDLKSQIRLCFLIYDYNNQGYITTHD LVEILKYNNNQAVEKDLLHMIKSTKTQISNQINNDIQQNHPLVLPTSLLRVKLDRSVIIN QRRQSIISYDGRGRCISRISQKNSPDLSVELDQDNIQQTKNTTAMKKVLLITQIHKMESN TNSTNNLIQGLKNYKAEDQKKKNKTVKDENKISTDLSGFINIWFPNKPNIFYDIIRTLTE KS >CAK89922 pep:novel supercontig:GCA_000165425.1:CT868657:76404:77812:-1 gene:GSPATT00023095001 transcript:CAK89922 MIQTDSDSSRRVAYFYNRFIGKFQYGKEHPMKPKRIIMAHNLIVNYGYLFRQMDVYLIRE AQLQEIGKFHDPDYIIYLSQFMSQNKINFVKEYCSANNESVIPENLLEEFKLIIKWSQQQ KVKTENSEFKVGDSPDNPTFSGLFSYCQLSSGASIDCAHSILTGQADIAINWSGGLHHAK KKEASGFCYINDIVLCILELLRVYVRVLYVDIDCHHGDGVEEAFYLTNRVMTLSFHQYGD DFYPGTGQLNSVGVGVGRYYSVNVPMKPGMSDQPYIEMFKKITSRVMQVYRPDCIVMQCG ADSLSLDKLGGFNLSIKGHGACVEYMKSFGIPMILLGGGGYTIQNVSRCWAYETGIALGQ QIDQAIPSNDIYYDYYSPDYLLHFPIKQNVENRNKSEDLNKIVSTVYEYLSHIENAPGIH FHDVPFSFYPDMDDENEDENKECT >CAK89923 pep:novel supercontig:GCA_000165425.1:CT868657:78041:80020:-1 gene:GSPATT00023096001 transcript:CAK89923 MKIQNRTNPILAQQNCYAGVKLNFNTMEDHQKEGNEEKRMNTNQYNSLNQTIHPSPKLKT ISLSTYTSTQSPELRDKEIRHEQISQFKQLITQTKQSLENISISDMQQSIERVFDQTIQP LLQLKSEVLKHIEKCINAEQYQIRSTIESLKKMEQDILSNEFNIIEYMAMGPFNEIMLIY QKRFKEHSIFVQQLNQQDIVMHQFKQLHDNFTQQNHLLCKQLQQSLQNYLSLYISFQNKE DFYEMKELNKIQQQNQFINNFQENLFQTCETNNSIDEDQVIMKDQQVVRIPDKTSVQIAK RVCFRQNHLNSRIDYIFNLMLLEIKNFQFIPQSSIISLDNVLTIKVLKIQSNSQIYCQDR YFILAISQTDQQENEEEIETPPLYENQTFVYQFTKVGNYVIQCLNSIPFKAFISVIQNQN EISAVQKMQQTKIIEQKLVKPQPKVDLYAPENRKFKMLGSQETNMASTIILEQIKEKCLG EDTNIDIVDLIMNNKSNSNIETGSTFNSSFQQPQNIVTIKTDTYSDSGKSEVNTILNRKS SDPIISTPKVQDRIMLTRQKQQQLSISEKIQQAILDSKQKEIDILKNQADFKKTYIKGMS IINYLEIEYLMNISKKAIVNNEMQS >CAK89924 pep:novel supercontig:GCA_000165425.1:CT868657:80029:80274:-1 gene:GSPATT00023097001 transcript:CAK89924 MRKSPLMKENMKATHNQKFSYLNKSKQKTSSLEKNSYSNRSLLNEMQHQTPNQPKKNIST QASDQKLHNCTLDINMKKVKY >CAK89925 pep:novel supercontig:GCA_000165425.1:CT868657:80315:81572:1 gene:GSPATT00023098001 transcript:CAK89925 MQQEIYKDRTAANENTQQIKAAETLQSLVQYVNDLTEIVNEVKLKNQAEFILAYQSHMKK IKAELEELKYKTEEQKNSMVLNKVKAISNDNELTLFRQECLKLYEKIEQKNKEIQELKFT LQESKKTNEFLESQIKGLMKKLKLQEIERQQQPTPSVDQIFCTTAPTNHFKVLKRKLTDY QSKLDISSSLTKHHYASIRELFDSEYNQKDEELIEKISKYVSQIEQKYQKQIQALNQKAN NLLISQNKKSVIRSDLESFFLDCVETVRRDILKKKRPFGTNQQLQASMEHISDFSQFHKE DKLKILELVVSNEKILVFLYQKLFPNHVNLVIKSIREDVHINNFLDESVKCDSSNKNHRS GDYKTDFPKTTREVRSASLNKQLEVKKGKLLFKQY >CAK89926 pep:novel supercontig:GCA_000165425.1:CT868657:81603:83802:-1 gene:GSPATT00023099001 transcript:CAK89926 MNPEKKLTLTKITLNRPSQKIGLPPKITLNKQLDSRPQSSKIDIQPVNINWLVDNIQQEN KLEWIQKCFVFFKDWTQNTECNDQGKESILKQSIQLIDFNDLQVRLYAIKAALFGFTTLN LLELLFQKQAKPLLRRPKSGSKIQEQLPSLLYQPQQFLAILQKTAQLSNENVFLNLINDN SLSFVLFRILKLFTQLPKDQATTSQQLPYLNLIFIIIKNIANNKKEAQKLLQPAYIQLID NHLHSFPFDQTYEKDLLNLYTTITATLRNLANENNSVEMYLQNGIIKKLVISMVSYSNNY ELILNTLRIMSKMSLSKECCEYFLQSTEAMQNISSFFKTYQTNIYIIIRASFLLANMTTY FEGIRQLIYYKFNQFGDILKCFDYFWTKEISPQQLNQIDQFSQSRAAWDFQILQSEKKIL MLSSESQDQQQNILTIEQIGLDILKNYSTEYRVLISKLLKLLQKNTAISKQQEIISCTLS CLSNLTFYEKQTFLNDFEYKNVKFELITTLGHFIIQNEDQEICCDGLRVLSNLSRQKDLI KQIMKSRISEGVIVLLDSNSREVVYYCLGVILNLLQDQDFKQEFSVFFRKKENMNIIDYI TQVLNDCTTNENDIANLGLKCLILLLDSNLNQEYAKKIETAVSSFGNVCDQVLQAKENNE LNNTRQLINQIINNIPEEGYSCMQPNCGRKFKTQMELQIHINRRHKL >CAK89927 pep:novel supercontig:GCA_000165425.1:CT868657:83859:84795:-1 gene:GSPATT00023100001 transcript:CAK89927 MMMMINEFQKILQYLVINYLFYRTKSEGMQQGCDVKFEINEVVWAKVLGYPWWPAQISSI SNGKTGTNQSYRVNFLADGTHADCRLEKVKKWNDRPTQTETKKLKEAIELANQIQTGQYK NILPSFENLNGHVLKSLVIKLNDLMRKDYQMEDIVQQLNKISNNELLSIVKMNLGQQLFQ IHKTREQKEFQSLLHCFLDQMTSIIGYKEQAEQITSSKKKFKQSISNEEPLEEITKCVII QDGPEVKRKKVEENLQNTPLNQ >CAK89928 pep:novel supercontig:GCA_000165425.1:CT868657:85784:87277:1 gene:GSPATT00023101001 transcript:CAK89928 MMSLDIQNDYLEFASVLDDKYYIIDTIGFGRYAKVKLAIDKEDKQKYAIKIMKTDPTTSQ CRSETFINEISTQAEFDHKHIIRIIYCRMNGNYKKIDGRVQQVSYFVMELANQGELFQLL EQSQQFSEKFARNIFSQLIKGIEHLHERGVVHRDVKAENILFSNGVLKLADFGFSTKTID EKGSRVQFEISQHIGSPEYNPPELYNIGKQKFYNPEQADIFAAGVILFTMVIRSAPFKTS KSTDPYYSLLKNNKQSFWKIYSEIADSSAQFKDLIEKMLDENPLKRITIEQIKQHPWMQE QMLGQTDFQKELKNRHDMIFSQQIVKITNKRDTKFSTRKSIKKVETETISKSISKCSYEN LELIDMINAKLQIKWNSPKEQFKQQSNKSEKLKVGIQQKQQNLHCSRTNQESKRSSPGSP SNDSDN >CAK89929 pep:novel supercontig:GCA_000165425.1:CT868657:87683:89166:-1 gene:GSPATT00023102001 transcript:CAK89929 MKLVIPNIIWHGEKERIMAIAIHPTQNLLLTGGSDSKIVEKDNVSEDVGVIKMWTILENS TKMVEFAGAINSGHEQTVNCLKFSPSGKNFASGSDDYKIIIWSQQVRQTFGQSEPRLQWW PFAVLTGHCKEIYDLQWSKNGEILVSGGLDKYVIVWNVKKQKQLQTLDGHTSYVQGVTID PRLKTIVSLSQDRTARVWKLLKAQRKNLNNLQFYPQHVVRKLENAQKADSQLQSNSQDQQ QQSQQQQIEEKKQNGIFLGETSLFTFVRRPDWSPDGSFYILPAAEFWVDNKPIMGAYGFL RQSPQVPCFFLPTNTPALVIRFCPKYFTRNPDIQQPLIDLPYKMIFAIGTVDSLLLYSTD SPTPLAIFGNLHYASITDILYINQGSNLIAISSCDGFCSFVQIEEGYFGQEVPIECKNML YNNDKIEEEDVSKKNEESKKQEIITEQRVEYKETLDGKKKKMIIPKTLSIDQQCQK >CAK89930 pep:novel supercontig:GCA_000165425.1:CT868657:89228:90468:-1 gene:GSPATT00023103001 transcript:CAK89930 MSRITQELLRRRAEHNEMMLTNLEEISIHQEEILKIENLDVYCRHLKILLLQNNIIERME NLNKLRELEYLNLALNNIKLIEGIENCESLMKLDLTVNFVDLQKFRKIYLVLKELYLTGN PCTDWKGYRDYVIGQVDSLHSLDGKEITHTERIKAKQILPQLQKELIYAIEEEKIKEEQR MHEEKIRKEMNPDSEDKVAYTPETRKEMYLRQAKEKEDKERQRNPEKFKVKQETPLYMND GRIRQCDEGGYKPIVNNWEDPENVTFKMIIPKYLDTSLIQVNVNPTYVSVRVKDKLTQIR LDEEVFAEKSKIQRSEITGELVITMPKVSPNEILKQIAERKKKEEQQKQQEQIKQQEMKQ KQEKQNLDMLIQRAQAKLTQQIDDDIPDLE >CAK89931 pep:novel supercontig:GCA_000165425.1:CT868657:90534:92411:-1 gene:GSPATT00023104001 transcript:CAK89931 MENNCKLCRQSTKQLILDKLQTKYFEAFNFYFAKPINEILSQITSTPHVIYYKDYLILDE QQEYMKRQYKQDEVKPRLDILTEFYVTNYKDVHPYLLIVDQHQLMAKRNKRIDKLYYQRV QQSQHSNKSNNQIVINNVLNSKLSSNSQISYETEEHDIYSSQDKPNKLTRLDFKGLNIIN HQEETQQLNEMLDKHYLIINQMSNSLKKLPSHFYLKSPLPSQQLIPTNNIIKDLHTTKSV KRRTNHIDLREKKAILANSKSESKHSQSSFKMSKIKDSVDQQVEQFQEGVPGHNEPIDQE DKNKTQKFENNFVIQKMLRDYQGNHNRQLRQTISQDLIVASTSTVIQGFQGSQKSIKQDQ NNNNNGSIQNISQRQEQLKKAYQPINIQQKKINQNQLDKITKGGSLTDRNSKQLPIAEDL FHRLHDLKIRSSQNADQQKKPEFNYMLPSQYNTLTTLKMNSNSKAKSQYSKKTTGVDLGT KSQHQKQANHSQSKVLNINSQKTDDIVKKYIQMATQSIQNHKKFDFKLNLQNLNNNEPHV EDDFCNYSKLFRKQTSEFSYRKTQLATVRQRLIYNSQKNCDQIMINNMINILNQQSYICI MLFIKELY >CAK89932 pep:novel supercontig:GCA_000165425.1:CT868657:92443:93962:1 gene:GSPATT00023105001 transcript:CAK89932 MKKLKSKLESTNTDSFGVSGSDFTRTQKSHRQFLVTSTMKMQTESTDQLKLDLPPVVNLP FQNKIRSQKRSRNQIRTSDLFNVYKAPQTLATNPESLLKKKRQYQISQSIIKYYSDEITA LKDQQSLDDQEIENCISKYLNEAIQSLDVLIKKIQNLLQYKQNREKSEQEMKEIMQECFK QKNLCIQQRFCYIFGKMEQLFFNPLSGAIYFKLCKRLSDNEIFYRNKMKAYRGLGECLLR VRPKLSQLYFTKYLMSAWKLNEKNHELYAYDLLGKYYFYVGQIEKAKLFHEKMIGGYCEV PESRVRILAQSRLEQGSLSNRINREHQVVDIDVVTSDDECYEIVLTQPQQIKVATVSSVK YFQRKIPDPKSVKEEANTNIRQKKPKYNSQLLEIGGEFDMSKLVISNPHLNIGAIKDRVL LSHMSPNRKLEMYQYLCLASDKNAFNNVNLLSGLYDRFEISKINKYLTKLISLLSTVQQW LMSQQKHKQVTRRFALV >CAK89933 pep:novel supercontig:GCA_000165425.1:CT868657:94013:95097:1 gene:GSPATT00023106001 transcript:CAK89933 MSNRNTKSKSPNLKKPQNFDKDLMKYLILQKITKPSAPVEMLTKTQPDEDSEVDDFKQEI EIKYSITSKPISRFKKCKINHSFKFPKDSYESSSDNSLQSLKDVTLYELNQDNIQHLTDA TQPQFIKLLNERLIKLTDQIQEDLSIQKPNPNPKSSRLISQQISRLQKVRGQNLSNSSLS KQIDQTVASQGRESVTNKQNQNLGFRATPTLSSEKKNQSKHIQQILKIVQQKQLNNNIKQ LKFDKPSDAFYKRTLQGNSSYINKNASANDKRNIENIRIKTEYDDQDGNLTQFHILPKSR TKNRESSTKLKKQDTSQNHKILNYTIDSHILEQLRGTRNAKSQNKNYKN >CAK89934 pep:novel supercontig:GCA_000165425.1:CT868657:95250:96447:1 gene:GSPATT00023107001 transcript:CAK89934 MKTFIGFTLLYLILANQGISSIQQDKLHPISKIILGLSEVQTKDFNYQQLFVALDELAQS FQTRINDENSSYELDQQSYFSDVQFYENQINDFKNKIAQLEIEIKELGDQGTRLQAYLTE ANQDLNEAIKLLAQKEQQINSDNSVFESQNQEYADTINVLDQAIALLNEIKDEASLIQKK EHIKEVSQNMHKSMKKLSSKRVFYQPLVNVLAQMTQSNYVDQENLKKVINFMNQLRQNLI DAQQALQNQHESQSKLQQDILSETQAKVSGIQDVLIPLLGTEISIKQSEVRALSSILQDA QSNLADAQENLVATQNRWIERTSTHNNLLQQFNNELLAIKDAENALKKGGIFRQ >CAK89935 pep:novel supercontig:GCA_000165425.1:CT868657:96505:98297:-1 gene:GSPATT00023108001 transcript:CAK89935 MKDEEFNKNQDFSKSPLSLPNQIKSDEQQDKSDQSNQNQNFDKNFSDVPQLDFNKAIIPE ELIQQMQEQQIQQIAEEQANKKYTKKKQNDDLPDFLNQKICLDENGDNYYEVAAKKAKEQ NSTLFQLKTYVATQATTAQNSVKKQLKKLDTHIKNKILEKKSHINLWIAGQVDAQVVSLM SSIEPKITDSVQKSVPYDFMQGFAQDTATNLWKDFTDLIRLELRAKLEKKQVEIRKKDTK GVIQHVRNFILYSLYPADLSNRDHMRRISYQFMKFCQLLPYMGIQPLMFSIILLCINKDE EFQLVNYIADYKSLQFITNGLFPCFLAYFHYLFFDCGPGNHHQVYILMFTLSIQVLTVWI AYLLLNRSYSRGAILKEQLTITQDTKGGRLKYFLIYDLVCLIISLIMSAFLYFYKIKDSP TQSFGDLLFFTRTVYALLSIPFVCFIFPFFVKMLTNAIPTGYDKYGNCIPSLSTIQLSYK ETKLRRQTMIDIEEILERDLLDKNEGEDKKQEDQNNEENVNGKRIKQKKEMQKTIG >CAK89936 pep:novel supercontig:GCA_000165425.1:CT868657:98477:98888:1 gene:GSPATT00023109001 transcript:CAK89936 MNSVNNNTQEFALLWTTYYINHNENRNKKHKAAESSQKMIHHMTLTSINRFYQVKDYETK RMNFILGKPIGSRQQSQVYQIINTDAGGLFYFKKLPNNQEDQTFNHTILNTYTNLIRMNY LLIDSFYI >CAK89937 pep:novel supercontig:GCA_000165425.1:CT868657:99015:99191:1 gene:GSPATT00023110001 transcript:CAK89937 MPIKGQALKRYTQQILTFCKVPEVVRQKRIGTVLDKEQTYIE >CAK89938 pep:novel supercontig:GCA_000165425.1:CT868657:99483:100908:1 gene:GSPATT00023111001 transcript:CAK89938 MNRQQEQIISQEMEKEFRNEVMRICIFNCACQFYMLIFSCKLIWLFDSKYIIIFAWFMDL ITFVIVMLDRNIGKVLSVVHFLEKVLSISFKVKSCFQRLYQITIVIHFEVKEIKLFYIPA VQLFITIGLFLFQVLHHDQSKRKHIIIAHSYSVLYVIQLLLLTLKWNNLFGYTYYQTFII AWTALGINTFLIILLLITMVENCFLRHLPQINGKKYYYQGTIIFWFVFYIFGLTIIPFFL LKTICEYYENDTLYTNIQLSSVAILVCILIYLFLFIFFTLKIKKQLTVILQLDHRQAVTP KRPLQETTQKKWRKLKIPIMFVRISASYYKMLTRQSTTDTNSRNTSSIPICSDVLRPDSL NFRQSPCQTMMKQMKSIPKDQDSGDFCLICFENEPDVVLHPCNHGGICNNCSENMIKTTK QCFLCRSEIRYALKINQKDGEMLEATDVQKV >CAK89939 pep:novel supercontig:GCA_000165425.1:CT868657:101009:101404:1 gene:GSPATT00023112001 transcript:CAK89939 MILISHDFHLICYCSIEQRNQYSKSGFFNMVSKCCFYLAKVQINQCLSAFVILIGLQFGF GSLSVRLCIFMETLIVYNSKFANISFSDMRTIIFDIYHHHLHIQLQSQQRYLEKVTIKFI INPLKTLLYFE >CAK89940 pep:novel supercontig:GCA_000165425.1:CT868657:101412:101513:1 gene:GSPATT00023113001 transcript:CAK89940 MSNTCLNSHFIQSVLFLLSESDCSSTFLVLYSY >CAK89941 pep:novel supercontig:GCA_000165425.1:CT868657:101643:103492:-1 gene:GSPATT00023114001 transcript:CAK89941 MQNQQKIRVAVRIRPLLDHEKRQGHLNSRIDCNGQEVIIKEERNKKSYKFDHVLPEAASQ EQVFKSCEIDQLVQSVIDGYHVTVFAYGQTGSGKTHTMEGLRDEEGIAVKQDGLIPKTIH SLFNKIKQNALQKDFSVYCSYLQIYNEKIYDLLGETTKTNYSVQASGLKMRWNVRDQFVV ENLFVYQCKTAEEVIQLFKLGSRNRITASHKLNFQSSRSHSIFEIKIESIDLKNPDSFIT SKLELVDLAGSERISITGTEGRQAKESIEINKSLMTLRQVIAILSDTNNKTIPPYRDSKL TCLLKQSIGGNCFCLMIACIAPLDTFYDENVSTLQYATKAAYITNIPVKNDDPKLKVIND LKQQINSLKQELSKANQHIEFLSNIAQKQDSNQNMNNIQTRTNFDNLLQNQQRLLEEESS DEDANKFEYTQFNDRLIDSINMVRELLLSNKEMREREEQINQKCESMYREIQFLQKENFE LRERLGDPDQQRESPQKRPSTIKKNTMMMEEPGFLPEQVTQFQRNFQKDFRDTPNKDYKD SQMSMTNRNIRAHSQRTQGRQLPLISTYQQNFEEVQQDEMTNFGRRSLYQKQLK >CAK89942 pep:novel supercontig:GCA_000165425.1:CT868657:103874:104047:-1 gene:GSPATT00023115001 transcript:CAK89942 MFPQIKQNPQKPEKVKSAYKLPKLEEYFQKARVLQDQNQKALEEMVSIKRYLMKFKS >CAK89943 pep:novel supercontig:GCA_000165425.1:CT868657:104085:105645:-1 gene:GSPATT00023116001 transcript:CAK89943 MAEVKLSNQTKDRVAACKSYIERKYQLSLQQEMEKKMIWQQLQQNMQNLNFTPIEQELIK KEILHKEAIQLRKKRQKITVMDFEPIAIIGRGAFGEVRVCRDKETKEIVAIKKMKKSEMI FKNQLGHIRAERDILVQANCPWVVQLKYSFQDEKYLYLVMEFLSGGDFMTLLIKKDIIPE KEAKFYTAEIVLAIEAVHKLNYIHRDLKPDNILIDENGHLKLSDFGLCKHLGNKQNEILS IPYTERRQDTQQQQTRRQLAFSTVGTPDYIAPEVFSQKGYNDLVDWWSVGVILFEMVIGY PPFYSDTPQKTCQKIVNWKKHFAIPKEPKISPACADLIKRLMADSSERLGDVNLIKKHPF FNGIDWDNLRNMKAPYLPDKSKITANFDKFDEQDPWYQTAEKRGREQNHFQGYTYKRTNE NEVSPIKRALEELENIKPSGIRANFDKKQRSQSPVYNASPHLNQGQRSQSPSIKEQLKQT YVQYFGQYLSPLNKQKRPETAQIKQQMANKKN >CAK89944 pep:novel supercontig:GCA_000165425.1:CT868657:105831:106835:1 gene:GSPATT00023117001 transcript:CAK89944 MNNLYCQKKKRIHEVTYAQKVINSVKPDIENIKLNENLFQAQQMARHSSTLIKDIQKDVR LTLKKYDSYVRMSESNRRMTDKQVSEPTRRYQSESPPKQQESTDKELETLRQQQRILMTL LNNLQQQEKLIISQSLIPELQSKSVIDKEWFEQKTKQLQQIQKTQTQIENSSSKRLEKSD VIQIDLSKVKRSPNSKSSSAQLFFSPNKNPIKNSVTYFQNSNSSYANLHRKQSNSPQKWG QYGVINQQKSQTNLIVSKRNQQWKQRVDNKIRNEVMKKQEREIKACTFKPKILSKTPKSN INSKETSTDFNELMFLIDDINEFNDKYKRLTIKK >CAK89945 pep:novel supercontig:GCA_000165425.1:CT868657:106849:109154:-1 gene:GSPATT00023118001 transcript:CAK89945 MAEVGEEITIKRKKQYGLCSKLWCLRCMNCCKADDVSILTSRNRRELSVKLMAKYQNAIQ LNPEEIQVLDVLILLYTLDEKSWAYQYLYQCKLISNEESSRNDLEFYIPQLMNYLVFHEE MLNENISQFILTSCIHNFYFAQVVYWTLFSISAIVFDNQVTEFQKVRSYLQNLIKRMVLN HETNNEVKEQNDKIAEMISTYGTPSFDQHSMKCLILPEHIQLSKYKPLEQQESYDFMSCI NFWNDIISISDKLKFADPKIISLRADLSLINTRLPANVYIPFVKDQLRHYTILNIVVQET KIFSTKERAPFYICVEVYNVEKEEGKSEDKRERKNSEFNVELTMLSDFKSQSSLAMSQFS TQSLGVAECYEIELSKKEDLKKPSNATLDFEKIGLEIFGEDSNQISERIRQQSPYCHFRS WRLVHLIVKTGDNLKQEQFALQLINQFQLIFQKESLPLKLTTYDIQSLGPSSGIMEMIKD AATIDSLKKKLNSIDKDITLSQFFQKYYAANLHKAQRNFCCSLAAYSLICYFLQIKDRHN GNILLHKSGKILHIDFGFFISISPGKGLEFEKNVPFKLLSEYIDVLGGTQSELFNLFRKL FFKGFVACQKHQDQILLLVKMMYSGHGYTLPCFSKGESAIHDLESRFNPPCSNDGEISVF TQSLINQSLDNWRAKWYDKFQYYFQGIFY >CAK89946 pep:novel supercontig:GCA_000165425.1:CT868657:110226:111233:1 gene:GSPATT00023119001 transcript:CAK89946 MLSENPIILYMKNPDPIFYGMMDDLVIQTLKKQNATVIVTELEELSYIIGEEFTFLRNGE QIQFDAFLGYGYMAPKHYLDYMYFNFAAYTAGKTTLYSPFTENILQNKLLQYAKFSEGQV PFPRCSASFSVQQFKLNLSQFESKAIMKEVVGYEGTGVKLSNNKIQSTEIFCKSLWNGEQ AIIQDFMSDSVGRSIRVLVIGGRAVSVTEFQDNIDFKSNGYSDDFKIESKMDCQKKEEYF RLAEKTCQAIDPNLTIGGVDIIDSRKHGLVVLEINQWPEITFSEDVTGLPLFDIFGQEFI RKIKQNNSDRV >CAK89947 pep:novel supercontig:GCA_000165425.1:CT868657:111244:111763:-1 gene:GSPATT00023120001 transcript:CAK89947 MVSIRRAEMQDLQHMQHCNLWCLPENYTYKYYLYHGMVWQSLLYVAEDINSGRIVGYVLA KQDEEDEEGTKLEHGHITSLSVLRTHRKLGLANKVMQSTHRDMDKIFESHYVSLHVRVSN RAALGLYRDKLGYETFDTEEKYYADDENAYNMRKWFRKKPEKQ >CAK89948 pep:novel supercontig:GCA_000165425.1:CT868657:111790:113687:1 gene:GSPATT00023121001 transcript:CAK89948 MRRPQSAQVTKIFINRPKDEIIETRQQLNQLTDEHRKIKTQNMQLKRQLMRYEHIDENLI NSQQLLGRASEQPSIIPTLKYKIRVQQNEIDVLRQQLQAQQKQMRVTSIQELQYQIQQYQ EETVNLKQMLDEALNIKNETQKSQYIGYLSKIQELKLEIKQYNQLCENQQRDIKQLQSQI KLQSDQLQQLNADKKKYENQQKEPQIQKQQQMENSNIFSKSVVIKDPKKRDETPEQYSGN ENTLLSQLQEKERKIRDLEENLTSLNKTHNAKINQQLQYIEQQNAQLGMKQNIIQNLEQE VNKLELQIKDIRLKTQQMLQNKVCEEKGTQIPHKSKWTTEEIKATLRFRLKRSMIEQKDV SEFLLKKGDQRFFSIQSRFQKFPFNLNAEQSYHITMFLLTEESPFGQSTLEKDLPQSVLK SRISHLLPQYELMTIEEEGQLFSCISRKLVNKLNLLQDSVKQIKKIEKSNIKDGFCLPKQ LLEAFTYILDNSLSEKEVEYLYQLNFEITKSPILINISRIVQLFQMKPKSGISKICKIIL YIFQRERIIVVSIYFVIFLQQRSDSETKKTIDATQLECLAQTKCIRVAKDIKIKSSLFSG >CAK89949 pep:novel supercontig:GCA_000165425.1:CT868657:113821:114786:1 gene:GSPATT00023122001 transcript:CAK89949 MKSLGIEKIFELNWIHKLQKADVGISCRKEELVIIKQIDISYHFKKEQSKQEIQPNIEKE IHNQIFQEEKVDNKQGEKEVTETKQQLNQDQEEIINQKKQDIQNEETVKSEEKQDQNHQS EQLKQQELQEQQNQQQLLKEQQEQQELKLQQQQEQQQKQQLLQEQQQQQQHQQQQQQYQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQKEQEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQYEQQQQQQQQQQQQQYQQQQQQQQQQQQELNQKEEEKQEQQLSSSDFTENQIIGN MVTFYLDQFIHNLEEVKNQQQ >CAK89950 pep:novel supercontig:GCA_000165425.1:CT868657:114952:116696:1 gene:GSPATT00023123001 transcript:CAK89950 MSFRISISPQRKRSCTGMPLSARPIKPSLNPSLSNIVEEIKNLMKQLNPQLQNLLNSLIK IALDLEKQNAKYYNYLQSSKTSNNQNDGDYIQKEPSSHQSRNKSKEASPTKKESSIKNIE MTSSSRLDPTPRQKLLIRRGSLDVEQQVNKDQLRKIGQEAIDQNVIFNLLDLKPSKLKSM TQKKLNQIFTLSEKDLYQYIQKIEYQGMYDVVQNDRPLKFAKDLSEKCMSYIEKLKKILK LGFEIANSTPSQTFDSYQKLILELFDCDRAQVYLYDSKFHFFWSKSQDCQQKTYYPFKGI LGFVTKQGQMLNINDVYQDVRFDQEYDQKQQNKTKSMLACPIYEKEEIIGVIIISSSSQS FRKDDELLIQLITQMAATHFYQYIYQEYNSKTCEALQQILKISLVLQQSVDQKQFVILAQ QILSKTYDLKQVQIYFKDMQDQESLFTFIEQQRKKVPKACGIIGYVYKTGQFYVSDSCYR DKQFNKLADIETNLPTITIPIRNEDKCMGVIQYIDTKGIDNIVGKQIRNQQLSNLDLIQT FAVMLNFVNSKLIIQKN >CAK89951 pep:novel supercontig:GCA_000165425.1:CT868657:116767:117326:1 gene:GSPATT00023124001 transcript:CAK89951 MNNPFQNPIGNPNDPNNQLLLMSLAQVDSQNMFFFLMQNPDFVEKQDENQFKKTAIGFVR NTLGIFFLGTVLNLQMKRIPKFLNWPIYVKIPLRIPVFFSPFFLFQQSLTNQLDNLTQLH HKYYTRILRVKKTGDLRYFDPQGVLQKQFEQKMRELMK >CAK89952 pep:novel supercontig:GCA_000165425.1:CT868657:117362:118372:1 gene:GSPATT00023125001 transcript:CAK89952 MKKGRKPLDEQIKIQRKLEQLWKGNFLNLNLLEEIQLLNINLPQSKQIFTKDIVLQYKKQ KNYRYVKIVTSNLLIDCRSTRLRETQAQKENEVLQLQVDENLQQQVQEPNVEIRPQVQNI QLPPENNFIEQTEEPSIVEILPDQKLKSKSKDNILKPSKLNIQSNNQSVIEVQPNPVTVI KKEILIIEDQNQISNSLLESPQSKTQNPKQQDKIPKYLLFEPSSPNSPSLSFSNSLSQSF VNHLMDESFFGAEQLKLSESLFGNTPRIKQDPNPNQYDQTFAMLKAKINEIQESQFEQLL IAYNHNFLHLQKIILNDINQVKLLISKYQKKKPRII >CAK89953 pep:novel supercontig:GCA_000165425.1:CT868657:118721:122462:1 gene:GSPATT00023126001 transcript:CAK89953 MSVPSRTFNMKDGLQFTIETKKLQGEKNFPKPPTSKDDIEKQFNEWLNQICLEQKELEQI KQLEQKYKFRICQLYNNFMLTIKKNQLNEETKIKKILEDTKQIIIKYVQDNSDLHLNSLL NNIKDQQQQQYINEKLQLIKQYLFVQILLLKLKQLEEQSRIQKNFREQTTILEFFEFLLQ FKIGRECLMVDGFFGVLFSNFHPIETKITGITLKILSGSKGLSWQPGCVQQILEAMHKFQ KMYQLQNRFDILVRTIYASKNLLMVFLIVKFLFKFLYSLDNEIVDEVVKEFFDCRVNDKK VNEIFRLVQGRIQKKLYQKEDCTYESVRKELKKFQHPLASDGNEQLLTNRFKLQDMNIPE KINIQEVVSENIQNESLNQDFKNDQYYYFEYGQDSIFQGIQRNVLEWINAILNIFSLLDN NQDSFLKAASEIQVTDFYYDNNSTQLSDPFIELTPNDRESSFDSPTKLIKQIDSSKHSEN QLTSQQDELTAELEDYKKQLQSEKELCSKKELELKALLDENAIFKAQNEELKKSLDKSKE MVTELQKKIANLEQKQIFNQNNDIKNQSNFHQKTDEQQISQAQVLVAFNFKKSVQNTFVQ TENQPQELEKSNQNQNQIDQASPIQNQTSPQQVQNQATALLPPPPPPPPLPNTQVPPPPP PPPPPPPPSKNGAPPPPPPPPPSRNGAPPPPPPPPPPSKTGAPPPPPPPRIGGAPPPPPP LGNNQQQISNQIVQQLPSVPIRNLNWIVINTQTQNNSIFQQISNSVEEINIDFLLLEKNF NKVQPKDQNMKQSAAQSNVAKITLLSSERSKNIELVLGKLKLPNTLIIKILKSFDVQILT PAIIESLDGICPTEEEVKCLAEYTGEKDQLGNSELFVDALRTVNGFQHRLKAIKFKIGFQ EQVVDLKKKIAILDQSFLRVREMPEIETLFKIVLKIGNFLNASTSKGNAQGFRIDTIEKC ADMKTSDAQENLLYYVIDLSESILKKEIIDEQSNKLIIQSTVIQSLEPIEKLSISQLQVD LSDFKKGLNVVTKAIEYQTEDQEDQVRTFLQPVQEEIKTNIIIIEQQLTQLEDNYKKSAA YFCENQKDSSEKFGEKILKMLRCLLKNKKEKVQKEERKKKQSELLLKQQSKIMAQSSSKA KPQQLSAQSVTLASAGNSNQSTPNVSAFKVVNQNEIQAKQFGICKEIQELRQMRQTKLTN SMIQNEAEKTPSKQE >CAK89954 pep:novel supercontig:GCA_000165425.1:CT868657:122736:123641:1 gene:GSPATT00023127001 transcript:CAK89954 MVLNNFLGRLQTLEQQNIQHVSIGYMLAACFFHSLQFTVYKYAHFTIVTQALFCRAFLTL VLLFFGQYKQDFYPKDKVHILFFSQLLVAIGASFLFYGILYITTSEAAVINSTTAIWSQI ISLIVNKEPLTKSRMINTLICIIGIVLIVNPKISQDNDMKRIMGCLSVLVCSIIQAAGFS RLKQIGKDVRPTITTFYFHIATIFVMSVQHQYVAVFEYFDYYFLYILGYALFSLSGHLLQ FRAQTIVSYEKICNYQFSAMIYQIFMDYFIFGKILSIQGFLGGGLIVFGIFKQVQEDRKI R >CAK89955 pep:novel supercontig:GCA_000165425.1:CT868657:123727:127646:-1 gene:GSPATT00023128001 transcript:CAK89955 MNTTLELHLPKLQQFIQNFTEDKNSVDLSKLQNTLELRIQQTSISVNEVLHFYEYCQSQE FLKMHSSRNWTDEEWKILLWVTLQYSQLHSKGSDEFQGQDWNNISEIIAFKDSLNCQFKW FSHLRVVPTNKNWLPEEDKLLYKIMTKEPNIKWFEVQYEMFIQSQGIYFRKAKQYRERWN NYLNPQVNRGIWTEFDDYNLLQITLVEGLRWSNISKKLKNRTENQVKNRFKSLINKEKKI VQIPQDLLQDNQDDPMKHGLDKMTEFLIHSILSRLKPVENTQNSSNSNQQEQQHFNNEQS FPQIPQYPFMMPPQQYYFQQFAQIPQIQQIQTIPTMQPQFKQQLQGMNIQIPPHQSLISQ QLMQQQLIQQQQQQQQQMIPNFYQGQYIPQQFIQQQQQQFKFNLYSSQQNTPTNSIGTLS SQRSEKLISDQIKEEENSGSSSNKEPKVQKLVCVSAQSSINGSTSSVNSMDILNKIAQIL FKKESINDHNQVPLIQTIKERLRLRDRDSFMLRMMVELIQLMNKFLSKSFTQLPCYWVSK SYNTSQEIQICSSKLDLTRDDLQPDELTTLICTQLDPIKLIDIYNRYKCQFTERHLLQSL KITAKMQGMYNLPVYDHYFNESYQNLIRQFNQYISTLNNLDLGDFIYWYKKLYYDNASVG FLNSVDTKQLQSITKNYIEENQFLPRQLNMIYECVDMIFPYEEPLSKLFIDYVFSTNEIT VVQLMQFLASINKKFILKKKVDLYCILQVLNYSKNVGKSFDVDQYAFMTKCFINMRLKYH ITEKQYLEQIDEKLEATKQNVKYLESQSIIAILQYCKYQGSDESKPLLLLIHEQIQQMLQ KYEDKQNNLNFEFLIQYLQNVIFADYIDKNDILKLESVCLNLLKENFNITNIYQMSLYYL EKKTKCVPFAEYLKIILENLSFQNINLNIKNLVYLHFICEMDISKFLRQLNNPNFSSNQI VNYDDVQLSKTSILNIVILFDEYPQLQLPEFIASQFYKMSSMPLLFTLCNCQYFSPRIRA LYTQKLQNLRGEGRLDRRFRQNVLESIKTEEDASHLFKWFFQSNKFQLNTALLQVLISNE NNNITRPQAYFLYLVIQSSVDVLDMEIIINELIYQTRLFDFIMQIDSEMINQILEQSYKF NDGQGTAIMIQLLEEKCPNNLKQFKIPKHLMLNYQCLKQYDANFILMMIKYHCYPLKDSL KIFNNLINFQQNELRLFILYLEMSQTIGLEKMHSYILKQIKKLNETYIIQIQ >CAK89956 pep:novel supercontig:GCA_000165425.1:CT868657:127961:130582:1 gene:GSPATT00023129001 transcript:CAK89956 MAQNIIVGAIKIKDGLFIGDEYASQDREFIVNNKVTHIINCSGTEVQNKWNMMNIKYLTF NWLEQDNEVKHSHKKVLFDDKNENVNKIYAFIEECFQQGESCLVHSLRGQSRACCVLAAY FMKKYSWTLYKTLEYLNSRRPDLEIRASFFYQLNALESKMNKSGPKRTASWNELTQDEQN PQQLQEELIIRNTFLNSQNGPVDDIYTNLQSKLQVLAKACNQKLRWKDQLNKDNFQLATL VYSGSPDFVPTTEIKLKEDSDHQSILRGVQKTSLNQLAKQQNLQKPSNQNKLHVKQLQQE DCESFKQNAQMSNNQSFQNLLMHCAQRQKQNLQQEKKTSPISQEQQINSPQFLNQQNGVR STSLQQNEEKKNQIDVTNNRPSSVKQKDTSPSILTNFQEFKNQVQQSLHYFNKQSEAILN MDKHLMNTQQQSQQPIQNLNQQNDSKLQIPQGNQSVLASQQKSKLDQLISPTLISTMSSA KHFEIQKTLTQSISQLQSSYQKFQQLQMKNQMMKQSQSTSQIQQQNNNKNQPDLSSTNIT NISKIYDRSTHVKKGEELDSKNRQNSAEIKDQLKNNSTQKKDSLSPFQKDPIKKMHQYGP PLPQSNSQIYLRNVQCRRQAASTLRNQQKPNNSFQDKYLNQSANQVQNNSSFNNNNSNSN IEADSKMQQKAMHGLVSDVNHLKKLISPQCLTKSQAQFLKNQPIKVLQELTDKTQSIKHI KGKDSIQTAGITLMQKVQTGNAKTLSPAIKNDEKFKNSHVGNPQHLRQKIRNSSPGLSKE QESSSSFSYQNTNTTLPGKNSWKML >CAK89957 pep:novel supercontig:GCA_000165425.1:CT868657:130812:132329:1 gene:GSPATT00023130001 transcript:CAK89957 MNYQIVISFDQINSKDFKQPKGNRIYQQQSFFKVLQVLSSSPIYCFPLENLNFFFNDFFI PRSFFLRDLNWNKQKKQQLKQFVFKRTSLPSETITTAMTTFCQIDDVFQVLLTSDQARVI AKKLPPGFSVNLSRQSKRDVKKLHQDISSEEDNKVVKKTYVAPYRAENTDEMKRCLTLLQ KLKKHQLAAPFLRKLDNVNYPQELEYVDLQIVEQNLKNNVYQTATQFWVDIKKIWQMSYT MNNKGSQLYAMTQELEQHFNELYKELDKPYPQKQTIEQPVKADKIQKKPPAVQQAPTNNK KPVKQPSLMEQPMNMQEKRALVSNINSLPPEHLRGVWEIVSDGLKIQDQSDELEFDIDTL PVKKTRQLEKYVNTKLEYLKKQQSKKVAEETKAQDKTDRIVHTANTTYNYAPQPVAQQKQ PDTGDSSFSSDADSSG >CAK89958 pep:novel supercontig:GCA_000165425.1:CT868657:132830:134372:-1 gene:GSPATT00023131001 transcript:CAK89958 MSKFSQFLEDISEFKERPVKLKQIKKVLKINEKSFPPCKNILNYYFQEFSKRTNEEHRTK WHRKDKILFVWTVLKYFEFINKNDLNPSEEDWHYLSNVLGVTEQLLNLKWISMLKTNLKM APWNTEEDEILQNIIADPNENKNWTQITIDFNRINPAKIVRHAKQIRERWNNYLNPDLKK SEWSQPEQLQLLLLVEEIGKRWSLISKKISGRTENQVKNQYNSMMNTYRRQNQLDNEDVA IKKLLANLQGKDYEGPQPLTSKRIRKSVNNNGNKNNENNNNNNNNNNNNNNNLAIPQVQY DQKNMQVESNEIKEEHQQDQQLQQQQMQIQQQQQQQQLLQNQQQQMQQQQQQQSLMLGLP VFSPMLPFSPLHYLICIYLFLYKESSPNNLSSPYEMRNKNYSSQMQLFDDLMKSTNNLDQ GAPQPEIPCLLRLFNSPAFNHQGFPYATMPYQQPTQQQPAYNTKKINKIQKELLKFDTGL NRD >CAK89959 pep:novel supercontig:GCA_000165425.1:CT868657:134521:136304:1 gene:GSPATT00023132001 transcript:CAK89959 MLNQIYQRLQKLIIKHIQLLLSVSFDQKQQPYQTEPIYIERIYIIINKISKQQVFFLQII KQLIQSIFKFFTVFIGQLQWRIWENKFFWLFKNVIQNQQQTTLILCYLLQFIFNYIQLLK MISAILLTIRRIIKNQYILFFKFSKMIDVQISSLQQNRDRSFIIIVILILMANASYMMMI PLFPPLIKEKGFTEAGIGIIMCFYPLGCILASWKLGKMHSSKKMILQGLIGQLVISIILG ISYFLNGALFFILSSFLRFIQGISNTMIQVPSYSITGHLFSEQVSEKIAKLEMACNFGLV CGPLISGVLYILSDIYNFNTFQYSLYLVAFLYFVITLCVILFLDERIFNIDQQEQGASIN YYEVFQIHELNVTFTSYFFLGVAAQALRTYLSVQLLEVYNLDDANSQFLFMIYTIVSVFG AYYVAEQKHYTLNQLYTYCLYIGAFSLLLYGPSQIGLPQSIYVICFADIIRGVGVGSAPA IMMPLIVQIMEKHKHKDQAAEIGSTLLIAGWSLGDLIGPIIGGIFIDQVGFDKTSVIMSG ALFAIGFIYQKTSHIDQEQQAIEMQILIH >CAK89960 pep:novel supercontig:GCA_000165425.1:CT868657:136932:145349:1 gene:GSPATT00023133001 transcript:CAK89960 MSNKGQFNYFENACVETKSTDLGCNSNLNKNACLQQQRNIDGNEVRCFFRKRCTNANQFH LKKLNCDSNFSIYACVNLSGKKCIWTNNTCVSYNSEIQNGENCETIFQVPVTPNLCAQIQ NLQCRYQRISDRLQQRFLKWIFLLVSIRITTSKVHMQHARTKQRCLCCDINCRIKMQEKK NYILGIFINNICRNFQTEDELSCLSYLNKEACLSISNPALQCVWNNKGCQNYSVLSEDSC EMLKNVNPSVCRAMPIFCYYDEINHQCLSPNPNLKQTCTTKGLNEIGCLNYYLGIYISAE GCQELRDDQLNKISCTDSINEEACINVSTPFQYCQWNGEKCLRIPLNQDLDCPLPSENGK YYQVNGNVCQAISKQNIGCKYNLTTKLCERSTGQEICTTPYLNLSACVQISQAMTCSWRE EISKCELVNVIDQVTKCTDLKYSNPLACSQISEFVSNKAIGCYFDSTTQQCDVLDNDNLA QMECLQLGLNKYGCTMITKVGQRCRWFRGQCTSIRSKEQIAQVSCIELKYVNPGTCSLVT FNKEVCKYSSDGYGCVNSINSSDTGDYCNTLGLNSFACSQITRNLEGCYFDKVNNVCVLA PDKKSADESVQAASKLLLNTAKCVASSPTQNICIAIETLGEQCTWNFRSSGCEYQYVQFN EKCLDYNTAEKQKTIPGMQISINANVCASILMNYPNKDPTVLTKVVDSLRGYCQFDGKGN CIKFLQTPCTSECCTELVGINAHVCSRFTTGQYCYFNEYNKCVKLTDEIVDTSQIKAVKD YYNFKQYKCSSMNVNTCWMIDWSPTQQCYWDGLVCTQINLSDYATFVLINGYRTTNKYGC QGIEGDKKKTYVMKYYKYVSDTTTCIPYPVVITACETEGINLNVCLGQSPEIYCQWDKIN LQCVTIDDVYSLYTCNEFQNKKACVENPYAPCAFLTSTDKCITSPSDINCEDFNVGKDYE GAVNEKACLNITKGGQICQYNSTLKLCVSVSKAAQDSCDLPGINSIGCYYITTANCRWDP INLNCYEEGDNINKQLCLSLLNDNCEWSITNMKCSQVEQLGIYKIETYYNAQACTNLTGK AYYFTATNNNATTQCQILTTFTNKCTDYIMNKQACLLNTKGYKCFFDPNEVPEKKCQFFK EVQLVCSSLIQINIEICMNIPTQCYFEESSLSCKTAKVFNTDKCSTLIAVVGMHYNKMAC SSISRTITDKSQDDTKKICSKQPLSNNSQQCYFEKYCAWDENTYGCILQQIAKGTYTPPG QQQSEQCSLSPTTINCSNIYSEAVCLEFGSGCYFDISQGGCNTYTSNLFKVRDCSQINGT DCTNSKTPNAYCKKVVNAGELTDGCEQDVINQDYCENDQSVASKSCSSLDTSTTASDCAQ ASDACYLNTKCASPTSYENITCASPGVSQVLCLQLNPPCEFSSGSCTPISIPTLSSNGQN YYYICNEVNLQTTNKSEYICGNITAAPCKYSLNSCQNAFFELCSDLAGLTVSVVTCNQCQ GFPAAYDYTTNQCQQINSLKSSCDLLLKEADCQVDLKSCYWDSQVKKCQINLHRLNRQSC AQLTTDILAIWDETTNLCEIKTENQIQSLTDCSILSRKACIIPSQSCWINSTTLQCEDAT SIMATCQEINGFNAKQQHCLVSNKEPCKWSTTCVSANLASDPCDGLNKYGCLHIQQKLNC AWSDHDKNCRAIAVNLALGCNKYSDGFWHYYYQVNSYICAQLTVGTCYLDTNSNKCTSVG TKNIECANHKGINKLACLTSTIDTCKFDSTQFQCIPTPIDDQQCSDLLNTGKCCLDSLNQ VACLSMPNAYCKFNLNVCSTFYVLDKKTPTAATISSKIPGTQKLSPNICDYFNNNIVGAY FIYDTVRLQCINIDKPSPSKYFAQCRSVSISQKGCLEKTNDYCQYENSSCQSMSLDIVKL QKECNQAYNWKTCISINAFCKFQGGKCVPLGLTDTCSNLSDAIVGPQVCAQFPTPCKYNS LTYSCVVVVLKTEVCSSIGLSKSGCLAYTPSQFCIFDAVNFRCTSTYDISIPCADTNTPK KWYINQAKCQFIKTAGNYCQFTPGDQGECLDIPSNLFTGACLVPDVQTNPITCRRATDQP CFYNVITKKCAIGDVNIIPWSLGLSFNKLACVKYDHAVFKVKLIWDDDKGCIELTINDLA NIQCSSPVNRLACVSITNPSQFCQFKAATLKCESYDYIIPPLDSKLCAQLTNVNRFEFCQ YASDSCVYNSSDRNCVATQPTINNSGDCFNIGMSKLMCANFSSNCNFNDERRFCYGGSIF CKDNTPTGCKDVKTEPCWIVNSSCKGISFQDLKTTECLNAVNQIGCTSISNPQKVCQYDI QTSTCNEIVALTKPCASYTYVSTSKACEFTTDTACKFDFSTKSCKVVSITDVFECDRGLN YLACSTYTRPSLQCKFGKYCYGPTSGISKCADSLNKEVCLNFIYFCTWDFSNSLCIDYNL NGQTCQQLQDQKTVVSSQVCYNAQVQDGSACVFDVYTFTCKIIQPQSCFELESQKQCQSQ TELPCLWLNGSCQLFQAVSTDTCQYVGSLGSKNACLSIFRKGQFCQYHDFNCVTYKENYD ADNCLNNINKIACVSQQTSSCIWKTVLKEIQEVQMFLGTCEKLENPTNYDCDSALSYKSC LSISKYGQHCRWIKGECQRIEQQSGVVINYNTYQLINQNACGLVNQDKVRYQEQTKSCQL IKDNETVTCKCGKETKGLNKEACLSIVYENCKWIPTQSRCAEYQSLCDIK >CAK89961 pep:novel supercontig:GCA_000165425.1:CT868657:145423:150478:1 gene:GSPATT00023134001 transcript:CAK89961 MKVSLDHDVYKWLLSLTIVKLQQVKNTGKVELDENQSKLFVNGIKFGETLNKMLEARSIH VPNLSEQMKNQLTPGVKLFNWNILQDAFQKINLPLDNDIKNLIVNGDTEMMNELLKDMME LDNQINRKQQTRGSVRSSDDSSFETSKKPPSRPASKLPQTKYDTQGNANELILDELDTKK PLNESKSLLEFFITGLSRHLTLKPQQAASLMSNGNKYLAHLLVKGVKGEFETLINWLSDI YANISRVFNFLEQQDSNVHYFFATIKPGLLSKEQEITLWTLRIMGRTYFELSEMEMHQLG YEWFCKENGGLAATFLCMQRHPNLLSQICELYSQVARFNIVDFFTVQFKKQLSDKCVEML NSLIEFLHQQKTLKEELISQGIVQYWAQAALKMSDSDQLPIKMQGLKYLTLNWLLYPIQF EEDQNYPNQFLFLMKKGTRDASNNLKYFSLNMLFRLLDKLATDRNPYAAVLYKKLTFTLI ENYNELDIREFMLHNFMYLIQKYSSIPIDILLEPLIKQVMLNDALVNLTDMMLFKFISKH PKMKIRLAILLLDLLAKIYLNSVTMSHLSLNPIQVLLARFAESPLLREYAFKLCKIGLAL HYGSLKSKRQQVKTNDLSILTALQQHNNDHEILEAQKRAQIIELIKFIINMQQDELNEQI KPLLCHFYIELQNISIKDKGVITLLNMFGNPDKIVQAIKQQWDAEKQEQDEILKQSQLII DNEFVQNQQYLNSAEQTPQTVKSEKIKQHDVDPFNDLRQKELEDEKRFLAQNGVGFKKRV VELERGKQPIAPTPNIQQQQQQQQQQQQQQQIKKKPQQVDPKVLENIANIKLKKEEELLK KQQEEEEKRKRIEALQKKAQQEIQKRTNGKVIEKADEKKVELIDLDDHETHDYESMNIAI KKNHKAMKYLFSKYSNTTNQASKKQYFGELQEQYEIIQLSDIMRMLKDYQVNMSKEEIQA YVKAINQKYSENKSDQFSLDQQNFERFLAQISMKIEGYTFQRRFAGQCLEQLMQSFGSIA KSKNENHLIFLDPDNINIDPDRDTIRQLNLQLQTQDVPVPSKFKKVTEKQLNQRFEYRPQ AILGVENAWFDCHEIVNQLISETFKTNVMEPLSDIKIIWKVKPNPQQQQEQVVQVKQRDN SQQKKVMNRAIEDKLLKQQEEEQKKMDAEEKRKKHQEKLKQEYLRKKKEKEEQEQMKKQE ERQELIEKAEREKKQQEQLKKEAEEKKLKLREYKEKMAEENKIKEAQNVEFQKQKQDQDR KQREEFQKKQKEDVSKLLEQKKKEFKDKEQQEKQKEQKAKEEMKEWKNNVETMLQQEQEV RQREKQMHQKIQQLQQNQEIQSIYKKYDKQLSAIYHAYQEYTDWKIENAGVEKELLQFKG FTNFASQFSIYPAIITPEDAQLIFRSITRERERIQQQLNEKNGFNSAPPKGMNYHEFQQA LLRIAIKGQKYFDLLNEKYQNNMKTLDMLALKKDQAISEEGPLEKREDHYQQVDATSSNT MQGLMYFLDMPEEKTEMNSKLRALKLEFQKVAAQRDKKQIVQQKLTDEVKQPTKRKQSVG QREGKDKSLEKQQPQRNKSQNIRIDSAQTKMKASQKDQVHPVDENELNKQSPKKTTGQEG KKEIGKVNQQKQ >CAK89962 pep:novel supercontig:GCA_000165425.1:CT868657:150867:151652:-1 gene:GSPATT00023135001 transcript:CAK89962 MNRYALRILSQKSIFLLFEQGKFDYSYQILFWINLNAIVNYYFDNIYFFKLFCKKSKCCQ EDSKILDQYVYSAFISNIGMMLYVSCWMAQYCYQAKSYKIADLTGMDHYQTWVIMNIAGA SCLLLGLLISLAKIAIDQAALGYGSLGVSLIGWILITIGMGIGSDTTEFKNTSLDYNIPV SNWFAMTGICFQISFNGYEHSIQEDDSTGQTSKQVEI >CAK89963 pep:novel supercontig:GCA_000165425.1:CT868657:152067:152783:1 gene:GSPATT00023136001 transcript:CAK89963 MQLSPQSQTIFQSLFIEKTKDQINNILQYKLSQANKARQRFVKNQIDRPNTSMVQQPNEM DFSQNQFEKHRKISMNDSQLTVVTIRKPQKLMHTIELSPQITPITSFHSQRKYRQLQMCE KQINPTSQVHSPENEKQFYFKQKQYRNKLQLKNSENNNIKLKQYAKEQRSNSKGVQEYIK YQDEMDDYFRDKLFQQQRQLIEKIDNFKISTKRLDQQLEPIGRLLELRLQKMKKKQPQ >CAK89964 pep:novel supercontig:GCA_000165425.1:CT868657:153024:154010:1 gene:GSPATT00023137001 transcript:CAK89964 MKISYRFCLNGHFTKIDHQNYIQNQVIGICMEQSCKAQQFVCQECIERDHSDHNSMISTF DQIQLLISSYFKDTFLNIPDVFDQNCQQQEFQELKQQIYEEFEKLETHFNKSQEQIKILL DAYKIISKIKDGCIKDISVEEFNILFEIFQNQKINNYSALHSNLTLLKNKSKFLSNKVKE IQQQLTSSQINSDTLDFSKFISRNIKQKIISQFYSQNLLNYSLVPQDYQFIKGARIGEIE NNNEIYYGEINEKQIKHGKGIFEQQKGVQIIKNGDIIYEGIWESDQLQWGQKTTFNENSE CCIFQGKMNNKKLNGFGLKKSSFDYEQN >CAK89965 pep:novel supercontig:GCA_000165425.1:CT868657:154045:154591:1 gene:GSPATT00023138001 transcript:CAK89965 MIKFKEKEFLILRKEINIKENFMKIRSMAMESFIQQKEIGEYWKDKRQGRGTYFFPNGDI YNGQFQDDQMHGKGELKIQNTGDMQKINENSRYTGSFKNNKKEGDFEIKHSNGQIAKVTF KNDQVIGNVKSIIQKAIKGSIFHDRKQ >CAK89966 pep:novel supercontig:GCA_000165425.1:CT868657:156091:157286:1 gene:GSPATT00023139001 transcript:CAK89966 MKLVFLFLFASFLVLAQENIDTNTNGDDALENIKKLEEQESEAINSTQDHIPQDNSNEQN KTNQKEEQKESIDSEELADIIEEAEENIIDLLEALRPLFGLRFGDQDRDSDGQVVEDQAE QDNDGEQQQSQSTTQEDPVEQIAQQVQTDPLMLQWDQLMIDFDPEDLLTFELQSGATEIL CETIKKATTIRGAYFIPQFRVDQKIDFYIKTSNNTLLYSKERVQEGIFKIDVPEKGEYKF IFTNKRTKEPLTITFAIDVHDSHQEFLKLADLDPLALRIERLSTAMRDNYFYDKMAAQQF EGGLREVENANNKLLLFSLIEVIGIIAITGWQVFYMKRILSNQRMI >CAK89967 pep:novel supercontig:GCA_000165425.1:CT868657:157350:160030:-1 gene:GSPATT00023140001 transcript:CAK89967 MYCFAEYLRAACDFSYFTQKKINAASIHQDYCETISRNSQINRMMNHISIQQLMIQNSTT TPPNEDSFRKPIIQIMSQINQQQMRVRNPSQESRKAQIDNNQIKITNQKSPTVTQISVQI ASDRISPNNHKDLLLINEEPNNKEDSQSLIKKSNDCELLYQKCNLNKSNKLHSSLKLLYL SRSNLFRQQILTKQQFKIIHDLSSAYIIPLKQQILNRLKQDSLAKQVIQSFYICFLIFYL TILLIELGCNQLKICNQQIFHYFLIIFQLLECTYQIIINKFCLWSMFADVITMLPFFAFL MDIGDAQKIFYMLYLIRIQKITDYVQNLILYINQSDQVFIIQTTIQLHFATFIAQTIIYI FAEQQQDYINYIQSIFAVLFQNPIIIKQYYFIVYLIRTLLLIFYLHKIRQIINYHSLSLD EQINYAPKTLKSIVNYQQDNGSNKFNMKSFPIHLQQQMKREKYFKILQNIPIFKKSFSHS TLLNLCEIIEEDILQPNKVIQQKQCLHFLLEGQIGIVQKCQTSQKEFKLAKIQNAFQIFN SIAFFKNQIQGIEFKSIGYSKIATLDSNQFQNLIRQFPREFQKYRMLIDTLMIENQSHLI NIQCFSCFKEHDITECPIVNYKLNTAQVIHNYILNKDQNRIIDFKRQANTKKRRFLAQVQ IDVKEDSESLNSEEYDKVESFQKVLPSSNSLQSQNNNNFMNQLEISSVPYINYSHSGQTL QSKKVDSEFFIQSQGVSSSISSRKIFVNEIKEIAQSKFQRLDQTEDHGSSMNNKALQRVQ DSVLQTKKRFNKNALQKDEQQQQYQRYHTDVQSEIKNRDQRKNHTMVNSHVEVQDNLNRI ISIENNFLEFFEKINSFEDYFPHYNLDQQINNYQKMQSFKKDSN >CAK89968 pep:novel supercontig:GCA_000165425.1:CT868657:161038:161818:-1 gene:GSPATT00023141001 transcript:CAK89968 MSVYSGFATRQLEGQYNKLITSLLKILQRRIIKFYKNELADEKQFKRVVEETLMKLNQME HQKYNEPYISAIMGEICDQLQISQNCAVGCSCQCHLQLRKQTFLTQDKEILSNPSSCRKR TVSSRKTSVKGMNNTSVIEKTQHSKVQQLTQLSSTYKSPYYLSQNNQSFGNSKVTFYQNT SNFTHNSSIERPTTKSYQRPQSKSPGSRIPSRIMRSSDGQRANPNESLEERRYEKPYTFG QQYNKFFKKRNF >CAK89969 pep:novel supercontig:GCA_000165425.1:CT868657:161854:164124:-1 gene:GSPATT00023142001 transcript:CAK89969 MNNQQTISNNSMGLEDESYKQEEDEDLEQYTKQQNLKQLLKNSDSLMQQINLLNEQATVK IKQQKYKEALKLLQQSEQMLEFAASCGRVIDRNLIIIILYNQACAYQCQWVLDKCSKYLD GVIYNMELAIKEDEQDLENFATAQEKQAQLVKRQTFLAKAYLQYTAILSQMGKQASKLIK HRHNKALLNSQKAATTMRELFKIANHFCQSWSQNNGSLGTATTSQSNISVRSNQQEQQQQ DQDKQKKTQKYQMKDEIEFGRLVIDGAKDILKDMIRQVDLEKIPVNEKQLLKEAKKQLYF WRNNPENNEKHLRKELKLVSQNEEYRSLLGIQNLAEWIKNFNIGSIMHMEPQVYDDFTQF GEMIYELAKRQILEKVIYFSISYFTIATELRFMELEKAKQIGVKEDKIDTEEFKLSEMYH LKAIEIACRHITTQSPYISHLITSYHKHYNINLDVIKEESILSSTSEKQPAFLQNSETSS TSKHNQKIQIPINNNYKQNAKQDQSPKLTNNFITTFLNSRSPPKTQQQSQIKTIIKNQAD LIEQMINQKRGSDHSPTNQRNNLKQQNQGQFDFSIYLKKQSNPCNTSNSNINSTQDFINS TMTIQLQPHKNNPNLRSNPTQQQSPTNNSNQLKSLLSDVCRTERQTAIEKQNSHTPISYR VNNRSPDSSYINSQKLKQQQGHQNKTPQNRPRTNTEQQQTCFPFKFETIQSLLRNKGNIL KQK >CAK89970 pep:novel supercontig:GCA_000165425.1:CT868657:164176:165976:1 gene:GSPATT00023143001 transcript:CAK89970 MQSPLRLYSAQRAFTCRNMETKPSFFISKSMIINHYPQRGQIIKETERNRQSQRIIINGQ AIQQSTIRRKQTIKTANIALREIIKQTRKVTHFEQTIQGRIQTQRLRFTNKNENRRSTII VILDMFRYCISKTNQRFQVQRLILLVANRGIKERHKKNKATRMSGQVLYILFKIECKVIK EVYEKLKFKYQALMHQIQFSQKDELLRDNIKISQELLITQQKLQELQLHNKKIQQDLHQS DFKRIRSEKLVFDKEKELKLIQFEYSNPKKTISDLNRQWQIRLENQKLIQQQLEDELRLR NEKIILLEKTLKEDKAAYQLKEAFYKREIDSLNIQIGQLKETIQHLEKEQMVEQSSDEQE SKSEKSERQTSQSYQRQKTQLLMSRKKIQKVNSSEVEIIGKEIALKFQLAQIPFSRLDSY IYSKSSRGIINLNEINEILKQSPFDLPDDQSLLVARFLAEPEQEEWIYYDSYQTNDVVIV ISIFRNLVKPFDLINPQLYDTIHQELKQIFRLNKNKLIDYLMLNGDNCDLNYFKKAFEYC DIPLSQEQEAYIAIKIYEKYRRIVNLKYQEIIDYFK >CAK89971 pep:novel supercontig:GCA_000165425.1:CT868657:165991:166925:-1 gene:GSPATT00023144001 transcript:CAK89971 MLLKLKQDIESLLDNVQAFKKESRPIYPNLKDQLDEKVNLIKQQFNNVDDKIMKNYCTKI LELKQINKIMQDLKITLDIQIIPGPSNLKKSHMLIQQESKETAASLQKKILLKLNQLTEL IEINELANYNGNEFEGICIFRLHQIYHNEVENLQQQFQQLKSFNNERFNRLQQSFSDIIN DSKFIKLQEQISQYSEIANLLDDLRDKLFEIIKSKNDSISIMDRTKSLQNLSSASQDYEE DEHYEIKRGKYQNPLKQEEIKNKRKESSSETCINCLIF >CAK89972 pep:novel supercontig:GCA_000165425.1:CT868657:167052:168866:-1 gene:GSPATT00023145001 transcript:CAK89972 MRKRTKCQFIEQEASEGYSSEEDDDPKFEINRKEAERQLKEIQSRRAQAKERLNKRLQEL MEQDAIDETQNNSEYSSNVIDQDDEDQDNDDDDDTLKGGPKLNDPKVWRFKCGRSILEVQ RILEISNLLPKNSPIVSVFYTPNVKGFIFFESFFENDVKEFMRSIMYGQPIYIQPEDCDS LLEIKKNNNIQVGQWVRFKNHKNYGKDLGKVLRVNLIQNFAIIKVIKRNKQGQKEPISWQ RTRQQTNQIETTNQSEFIYSDIEKEYKCAKIDGFNLLRCPLKNIEHNITITDEELQMFFP DVVDRKILVQQAKREILRRVDVQFKEGQKVRLIGEDDINKGPKFKIMKIFDDQMIELMCK KDNREYTYLVHASEIRLAFKLYQEAKVIDGPHKGDVGVIICIKQGYVVLSNQHGTFKVPH SVLQFGHKNFQTDNSLVKFGNNDFQIGCVIQQKLQSAVVLDINNEVQEIRNEKLEILTIN GVEMNEQGETFRINDNVIIISGQYANKFGLVKHCINGKLYLFNHLFPYQIILENANNCKM VFSKQNKPKQNDQMCLTGQICQLKIGQWQGYRGQIVQIKSGYLIVQLSANNTKVKVSEKD ILLL >CAK89973 pep:novel supercontig:GCA_000165425.1:CT868657:168937:169672:-1 gene:GSPATT00023146001 transcript:CAK89973 METHKKIYKRRNELPLNKFHQLKRSKTNQKEGESCKKLKKQVRDLQRLINKGHLNEEIKQ TKQQDLETKQKQLNQKQNEYKQQQKKIDYFARKYKKIKQVDLKKLKRQLEKIQKELETTE NREPLLEQESEIQEKINYVKYYPKDQKYISLFGKNLNDHAKEQQELLIDKSKKNFKQKEL KKYRMIEQTHKEVNDDFLMDEENDQVVSKQLVDKQGKVIKQQKFNSLQ >CAK89974 pep:novel supercontig:GCA_000165425.1:CT868657:169704:170152:-1 gene:GSPATT00023147001 transcript:CAK89974 MANQPFKLFSFQSQDPKIEGSDQNSKRDQESEEQQKNQTILMLWNTKPTPNYKLQFYNYY SDQVGVDFSLKTYNKFTPYHIPKLKHYLRLSSRLIPEQIEATKNLFYNSFMSDPYESSMI MKPYTGPYSTQPDYHFFRRS >CAK89975 pep:novel supercontig:GCA_000165425.1:CT868657:170267:170620:-1 gene:GSPATT00023148001 transcript:CAK89975 MQNQYGWNLLHNPIKFQILQNTTQLCLADLPPQTRKAKLHIYLQSGNCQTDSQSTWTFST KVDIIKIKMIPYGQNAISLYSENCSIRLDETKIIQISRTGNFPSSNFSLEAEVLAYK >CAK89976 pep:novel supercontig:GCA_000165425.1:CT868657:170790:171434:-1 gene:GSPATT00023149001 transcript:CAK89976 MNNKNLTDLDLKKVHLIMSKIEFIFIELKHILWMIKFKEKEFLILRKEINIKENFMKIRS MAMESFIQKKEIGEYWKDKRQGRGTYFFPIGDMQIYILFNFNYNGQFQDDQMHGKGELKI QNTGDIYTGSFKNNKKEGDFEIKHSNGQIAKVTFKNDQLIGNVKSIIQKAIKGSIFHDRK Q >CAK89977 pep:novel supercontig:GCA_000165425.1:CT868657:171689:172042:-1 gene:GSPATT00023150001 transcript:CAK89977 MQNEYGWNLLQHPIVFQIQANTTSFSLVDLPPQARKAKLYICLQSGGCQGDSTVTWTLST KVDKIKISGHPYAQNAWTVNSENIAIRLDEKKIIEISRQGNFPSGNFSIVIEVLGYK >CAK89978 pep:novel supercontig:GCA_000165425.1:CT868657:172630:174733:1 gene:GSPATT00023151001 transcript:CAK89978 MQAQANVYQFEAEPQVSHPKYRDQDLYEELTDLPDDESKIKPDYYIDRPPTPEYKPLPNG IDVETQIESYEPDLFDYILEVEPVLQVLVGKSVEQARMELIEELERQELQIQKAAFEKKR NAELMVTQRMEAAYVRRKEERERRVLQHKLHQDQMKLSQQKFIARTLSKNVVKGVNYRIL KDLENLGLLKNDYLLELKVHSLPWLIQRIKQNCDSNQNCLDNINHLLEEVQNPITQQHSG FYQQEQQRRKAILDERERIRIEIEERKKRKAEIKRRRALKEKREIQRGAFYNLTLSKAES LNSIFQANIQEGTTEVGQKGVVVHLDLFLLLAEGLDLITGDQLDQIDESIIQTIWMDILM NKCNAFNITVNSSLQQIIQEAFAKMEEDLYIFEKNSKQATIDQLKNQTPLWASYVSQQYV PNIRKIILNKLLDGFFDIYFKSVNYKEPKPKTEVKIKTEEADQQQQQNDQPPPQQAEDQQ QQQQPEQVEQQPIEDEYQKPEITQHDLDMVEARKKINLIFKQPQNQLENVTAVVNLLVPC FVEEQPEQQQDQANPDSQQASQQQTTDLQQQQEPTEPVKPVGKWDFDPKTIDIYDGEQPL IQEGERQVVDCLFQYAFQEQVIVNDERAVEFCRFQIYTYLKEKLESFLNVPEFQSLQFKK LKYSNLNVPIYDFEL >CAK89979 pep:novel supercontig:GCA_000165425.1:CT868657:175217:177028:1 gene:GSPATT00023152001 transcript:CAK89979 MQIHWWVILIISLCLIVILFFVVKGIRKLLGTRNLYKKGHSQNLKHHNNVSIKSKQEPQL EVYVLDEAIQNTLSVVSNLYKEERVLNKNKEEKSLEGQGKSEMEDDLNSDRSQNQKLIQQ NIKFYSGESKNENNLINNQDIDKQNNDAQQFEAEQNLKENQINLKNNSQAIEIEISKLQG QDNKSLNTNRGKLSKQISQKDFQSQDISCSEFSSTKHLTLLKDLQMKKQISQEAESQSPQ KSIVIQITPTDNQNQQKYKFIRVNKNKLMYVEDRYNYYTGLAFYYKVQYDKEYNPKFEIY EGQFDKGKKSGKGILYGGKSKFINYDGDWKDDQQHKEIQEQKQEQEGDVKIEIEQIVKPK KKIQIFEEGKLIQIDKKNQNQIPFDQQTQWVKFNQQYCTKDMEILKKETVWFTSSIIDGL VEYLNIQMENQLINFLKKDVKRYLFCPSYLYTNMSQDRIQNNLIIFQNHILEFEPIDFNL TPLFQRVYFAINKSKSHFFLLYIDFPSKSLNIVDSIQKKENAYSTEIKIFQNIFQQQITS TNFISCTQQRNGYDCGPYTCLNMYQDFYKLMQVAYPKNKQQTINNPLEMRQFLFDILKNN DNK >CAK89980 pep:novel supercontig:GCA_000165425.1:CT868657:177497:179564:1 gene:GSPATT00023153001 transcript:CAK89980 MDETILSKQNNYPGCYIKQDLKEADEEHRNHKELKDKKYGFYFLLFQGLVYTITIISFGF GNPDQLGKPYDSDQKVCGVDVGLEQYKFIYFTNPSDQKHLGRTVCVKECPVLEEDHNEDE SLALQCYPNNAINSCRNRPSIDDPNYSMIYYDSVPFIDVCLPRKSNYFHNIQHGLDQSEI ISFLSDMSSGRAIILASLALCLLLNQLFYFMMIKLQKTSIWVISITSIFALFISGVLGLF YYLKQYQYSEQEITTAKITKEQAIGMIQVQESNITIILISSAFFLLCGVYFLIDLIVNRK RYKTMGVKISIVNYFFDFSSSGIRQQEGQTSRFREMLILLMGSAGCLLVFCALWIWMATN LFSIGKAVQGYYPFNTYQLNWTTYLMGFIHIFELVVVTLAILGSEQMLLIGKVVEVYKHM GIQRLKIDSQDPEQSLMDILEIYAFNNFGSVVFGEVIIFLLMIPRLFIKIYVWISLKYDA EFEIPSFFQQILCINDRAYLLAYLRNDEFLLSAKSQYVFDKRLKETTDVQYHGEQLSVTY CFAVANLCVSLTYILIITTSTKIGIHQPVYFLLVSFIFSYFISMMFSTVYGATIDNLTIL LYRDTTPDGQEVGTCVRNVVRLMKESELDGQTHQQQQQHEVQD >CAK89981 pep:novel supercontig:GCA_000165425.1:CT868657:179568:181195:-1 gene:GSPATT00023154001 transcript:CAK89981 MAQNLISAIAWVSRGYAIKQPKEFDLDEQEINQMKQEKLINKKQEIQLRFSLTQDLGKIQ EQDEDSSEDNLPVFCQDLEMHGKQQMPEDGYPVAIEDLSDEEKEDYQIKSSDALVIAAKI ENEFSSLEVYIYEEEKANLFVHHEIQLSAFPLAVEWLPIQPGQVESTSAVKGNYAIVSSF LPEIEIWNLDVVNVLEPSMVLGGEIEQNYKKVKNLKKQNKKSYKPDSHTDSVLSLSLNSF KPNILLSGSADHSVKLWDLMLQKCVFTYNHHKDKVQISKFNTKEESVILSGGEDGKLCLF DARSPDSIHQHKMLGVLESACWDPIKGYQIAYSTEDGNLILLDARKISEQPLANFNVNKK ALSSVHMSSGVPGLLATTCLDGKIRVYDTDAPIKNGQLQLISSYNPKLESLYCGQFYQDS PWTYGCGSSQGELFVWDMQESQQIVSHFSNRVAPEQRPKVEDCTSKNTDDLCMKEREEIQ KMEQEDKEDMEEGGQQEEENN >CAK89982 pep:novel supercontig:GCA_000165425.1:CT868657:181224:181487:-1 gene:GSPATT00023155001 transcript:CAK89982 MKEMDNLISQNQFGQAEVQLTKYIEKNEADSDAFKKRSNLYLKNGKYIQALHDIEKAMLL DSSMPCCKSSYEHYQIKKGLMRMSNDI >CAK89983 pep:novel supercontig:GCA_000165425.1:CT868657:181819:182520:1 gene:GSPATT00023156001 transcript:CAK89983 MQQLNKISYLNQIQSQQFDVELMSEEVGFTLDQLMELAGQSIANTVVQLNKEGKSYNKIL VLCGPGNNGGDGIVSARHLKQFGLQPEIALFREVKNPFFNRLLNQCKYNLIPIHYELQDL EKYDLLIDAILGFSFKPPLREPYDKPIQQLKTTKTPILSVDIPSGWDVEQGNAQDFFTPQ YLISLTLPKLGVKSFKGRHFIGGRFIPLKLQEKYNFIVPEYQGSDTILELSNL >CAK89984 pep:novel supercontig:GCA_000165425.1:CT868657:182537:184040:-1 gene:GSPATT00023157001 transcript:CAK89984 MPLFIDHLVDIGIIVNKYSISNNNILFSLSDYLKNLSASDSFDMALRLYDSWKMQQTKKK NPANLLTILTKIALRKPFKTLKAYQKSKKMQFSPNKIRNSQKSTISEQQSYQSPINKKAL SQMGLNDTCERLYMDGIIQKVKKGQFEQEKQQLELKECTFKPQVNVEAIRKNEIEVFDRL YKTQLISKNDFSEIKQKQEISQCTFTPSINKQESISNFQTADNKQQHIFDRLFQESISRS NLKQSYAPVREQKELQECTFKPQISNRQLNRSGDDSINIPNYPLVFKRLHNESAEKQQVQ AFQRLDKETKELEQCTFKPKINTTLNFSPNQQPAFDRLYMLSTKSKKNLFDDSQQKQTIN KTKDQSSIQNNESLYERMKSHVDKKKRHIYHIKQEVDKDLTFKPSINMRSQNNIQSKQTN KHQSIVSVKSEPKLKATANTLSTYVYNTRKSQQDKENSNLSMYCETAYFQEDLKLKSNIL DLKL >CAK89985 pep:novel supercontig:GCA_000165425.1:CT868657:184057:185569:-1 gene:GSPATT00023158001 transcript:CAK89985 MKPLINLDFSEVKFDNEQIPNYTREFMDQMRDHMYHVRKFEEMQKNQIPKLIPQRPMSAQ AKKGKTHQEKHKYLKKKEPPQIIPKPQATSKNTSNAITLGQTYISETIPVIQSNLLISGS DVQLPKQPNSSNVCKKQTPKEQNINNTKEQNINNKPLQLKAIDQPVKKEVYGLFDWEDEI DGQFYIKEAFQLQEKNKRLQTAEQSNREQFLQKVKTQNASTPKEQILRQQQIEIQQKQMK LEQLRQNHKVEQEIVRTTEQEDDYGNDFEDEDQHIKQPIQQEDDDYGNDGFEAYDEGRIP GIDEKDKEKQLQKPLEVVNKKQLNQHKTNTDSKSTLTQNSKKRKKFMYRPKNAKERKQEL VGMREELEKNIIQNDPASAQLHSLMQESKEKEKLMIDLNMQRKEQLALARLTLQQLQEKI DQQLHIIDDLDTKEHYAQQIIEKLKENRNKQQQQYDNEIEKFLACKVIARLMKGKKDRKL YLELRRQNFMNMLKQ >CAK89986 pep:novel supercontig:GCA_000165425.1:CT868657:185792:187434:1 gene:GSPATT00023159001 transcript:CAK89986 MNQQLDDSKESVQSQSMERYLQAESVGNSLSPQRLVQTSRNPQVGASILKLQNGKYSNQS NVFKDALNKKKTELHKKSQKPIAEAPKYEIAIKQKQPKKMDKVHQNIKNVQLKAEEVKKK REEEKQKEEKMKQSKAEHAQEDLDEGNHNTQIQVFQETVNRLYNYEKQRLKQLNKMVEEE KNKDKLIMSARPMINERSCKLLERKLKQLVDEEEDYKMIYQFKDADVQVDLLPNLPKLKD YLQINAKKTINVGQEIQIIKEENIMEPVDPAEDVQYKEGSCHAIVQTNEIKFKIGDTIKK QQLQQPPQQQQQQQTTQFATQDTPNKKVQNQRQKRLDFMEAQGQRVKTECQYYVPLHIRQ SKVIQKKEEWVRSQIELKKQKEEESIRVEQEQWEKEKQKWSKKKDPNKSMQQEINVEEFA NSQIHWLEKRNEHILTEQMKKDKDMLSSLTFRPQIQKKNIENYNSLKVEDRLLEYQQKKQ ENLQKLENKYLPTFQPNINQKSMFTMDWASKSFNSTSNNKLWQ >CAK89987 pep:novel supercontig:GCA_000165425.1:CT868657:188285:188654:-1 gene:GSPATT00023160001 transcript:CAK89987 MSACIYFCLLQLIASIIYPLRQTYQAYKLQEKLQIWVVYWILMSSIYLLEEISFGILFMI PGYSMFKTFYAIWLYHEKTQGALVVGTLLKPVFNKVKGIMAPFQEKLDILEKVK >CAK89988 pep:novel supercontig:GCA_000165425.1:CT868657:188874:189415:-1 gene:GSPATT00023161001 transcript:CAK89988 MILLRYPLPIFGFIDTCHSQLNQTNPLSQYLLVSFVFLKILLILLLYFTNNSQNKLNFME SPFLIWQIYGNHSTESLPYLSKYCIAAIVTLIVFISIMMLHHVRSHFQIIRRTPKKQKNK EKNVTFNLDLNQIHYYY >CAK89989 pep:novel supercontig:GCA_000165425.1:CT868657:189420:204238:1 gene:GSPATT00023162001 transcript:CAK89989 MISIRKMRTSFMINKLPFPKQDDFIDYEIRDILHAPTIKIVQEGLFTSWRRYVRLNRLAF YKHCNESDYSRKIKLILKNKALEPERPKREGQLQQCYKGYCLIEDFMKINFKHAETREFG DFLNVMGVIRPKVQLINYLQIIRNTYVKLPVLVEMRQFQSIYTHRKFDEENAANFIQLAF RRYKNHMTEVQKQIRIMSSLNAEELFVIIKDKISNSDKSLKEVFEIFDYNKDGHIEFNEF IQAFRDSNIPVAEEVLQTVFKYFDINNNNSIQYMEFLSKIQVRTVSNDSLLSVQFKVEQT VEQLRQVMRREFKDLQEMINSLQASDRLKIKKIEFLDFVMTYTLRFSKIQLDNMFHYLDH NNKNYLTIEDFSQIFTRQSLHEVREEKKENKQQEQRAIQNMQSEIDNAKFLYHYIQAEDM QGFIQERDNQLLDLLKTNSQQGVAGKRVSFSMADIETRANIYKNSLLKLEILIQQISIKV LLRLQQSGLTVKQFFSQFTQKFPEKFIEPKEFLLMLNVLFNQVMDVSLSNALFRIISNQY TARVSLAKFEELIMIGQQISPIQLRMKFNYGSYVAKLKPKLLEEFQKVATVSIDMKTVTL KQTLSILNQFGLKLEMFEIQKLADSSIISLKDRMYTVDYGRLLQLMFPDVYLGEQLVLKK FGMVILKLWRRMKQSYFLKKASGKFNKKGNKRQPKPLGTKRSLKEKMSETLPAVQKQNEY SKIKSGDLYSFAKTIILECVEGAAYFAETQIFTQQKQHTFQKRPTIKDLFIFHPFQAFEL PNLLGTLSYDFLTQRLYMPDKQSILYTQDVCGNRMLQNINIGTKLPFNKSFIVDCLIFNQ KMFILKSNWQLQMWSMSQKSDRHELAIDLREGAPNAQQNAQHLWQCEDLLLVVSSQRTHV HIISSVSLHIIRIVNFVCILDFHFKYMNEIMNKVATKLIQDTNSKFPIKLEMTLAEMEQQ EQKRRESLNFMVQFKDSRVQKGKLLHFFTNNQTSDKEIVKQYFDQICDEEGYISQQQYEE ILKDKQKNKYNIYDALNIIKISKVNLRQLFSTYDDGKNIVTQKQLFEMLESFNMKPQVRQ NFVCAFPNGISFQEFSKLIESPITYDELLHRCESNHIDLVKLFQECDVYNVGLLDRNDFY FVLSSLPFGLLDTEIDQLASQQVYDSESGRIKYREKPQAMKQRVKQVQFINDLNVVIASV HYPKRGTIFCLNDKNILALLEHHKEAPILHYVNESGCLLSAEGQELCIWTIYRDLTLKYD VNPPWTIKPQINIKLNAQISQIGYLPFNQLVMVATTDGISFYDPVSYQQQSSKVQVRIKP GYYKELEEFTANQQVPLVHQLELQKIVSLSTGLLQTPFESEDATLGSTLLSLEFMIIMTE IPMGQNSKNLLNPVKIVLVHRQSLEIPSTQLDVVVPQNVLNQIQSDLERILQQALMGKRA RGVIGIEKELNKEQLKIHTKRQLIKLSKQLTLNKQPCQQDILNEISHSCGGILSYSYVYN LLRSCQCLNPHNISFEEFKYIVQYSEEYKPVVKIQNQIESKLQGLVREGKIDLPLEFELQ KAMRLSNDKILMKHQFKKLLMKFKAYSNEKDLNDFVQNDFVSLKSLMDKFENDKLIYKLR MISRPDQIIKEIQKLGIPRQFLARLAVADENGDGILTKKQFMSAVSENQALFSEFFDLYS ENLNDESIIRIKQITSKLLSVSEGLQIKKMLNTLAKVKNTLIYRQLGLTHLFRVNEMPYH DFCDCVMDLKIPDVTEKDVKFLADCLAVESNKIIPFQSFQHYLHKLSASDQFLLVADYED LLHTAEDILQNKEVLRLKIPNQQPCQPWDLRGLLTFLDFDSVDRFLIKVLEMNEFTYDQL IDKCVNFVNKINLQKKDGKSHQQGLLQLVTEDPKNKYVNVIKLGSLVKSQSYVQIQKIFQ QCIQLDNDKNGFIELPIFCNIIAYNVAVEQSLLVNFQLEWKALTQEDNLNYNRFFKEYVE FEQIVSNQDKQNNLNQLLIRFAYAVNKSGINLGFVLKRFYNYDNQDFLTQPQFLQLLEQV QLQLTQNEINDLTQFLGEDITHANLLKYYTIASKNTAIVYDSAIWETAGTQISISTINKL GKWQQSKDLMKSIDFKRLFNQYETSEQQQIIRFACVGCRTTLEEAQKLTKEIRLNQADLS QYFVNPLLFSESLPQIVKSKVKEQVSKTKFNGAEKMAQEPLDDQISVLTKKIQQAMKNLN STVLKILLNQNIIVSANGQITRSEFKKLLDSLPYVWTMKEKHNLYQKFREPINILDFICL FDARDDISFYIDIIIQKLFMGLYGLQLTLYTFFTQIGRVEVKQSELSDHLKQFMSGWEAK ALLKQLFQDETLILTISTFLEAFRLYGFNDLQLLLRSGLQVLNDSTIDVMFEIEQSGSNQ ELTIQQIQQLFNRMNIHISLQSLFCLLNNYLMDKTKKHPMFEQKMIKYNIKEFLQFLSQQ KVAKSQVKQFYEKLQESHLQIFEFFAVCDSHQDYAITEDEFKYGFTQLQIQRMDNIWLDF EKVDMRVPFQNFLKAFLQTGCIQWQEQEHQDSFILAIKKLGNLNESFIKLADGDQITYKS LKRCHQKHKLDISLEELQLYYSKLKQKYKTLTYKEFAQAMQGERQIEIIHQAFSSLVNVK TREFPETMTLDQLKQFLTKKQIPTQHISVIVNSFEKQTITNKELEQKQLDYSNLSNLKEQ LTSEKLLQFCHAIIKQLKGQPNQFSKIYTQHGLGSINQITYQNLYTFLNYLDVNTTSDIL KLFFNTVDTEQRNIVKSTEIESLLRRSQTQARVKTLDHTKKAEVNELLRKGIKNIVATIQ SKKIRYDKQLDKIELAEFVNQYCILNEQQIDLLIEAISDNSQVQKPTWGDFASYMLEDNQ QKANVFFKECLELFQDMLRRLNIRVSCAVKYFGQKRIFIREFIHMMLSLGFNPLKLKLNY KKIKLIFEKHGGDEMSDLEFLMLMSEQEGPGTQLSQPVLEVLMNLYRFAIKNQLSKQQLY NLMNLNKSGWIQSEELEQAIHMIDPQIQLTQIRALSSYLTQEDQLIKVGNLIKLIYIGGS LNDNSIEVNAFFTRVFEPSLLHDIVQLEQIGTGFDGLVPQITISLQDFLHLVPKHKEQDI ALMIKSFGLKQDQLDLEKIYECLININEDLQVGSKLQCKEGKQEQIIEEMPLEQSVVLKF QDQRVQQNTLKGQYEMEIIEHICAILNQNQMSLMKSVFQEKGYLSVQEFQLLLNNIGVCL SIDQVRALIKLFDFKSDGNILHYTELLSVIEQQGYQIIKDEAQQVNVYNKLLQKFFTLEF GDLNYNDQMTVNEFYRLISLEGERHPFDDNEFQRLANLFTQQGMIQKNLILRILGTQKQN FVDHDLIDKQLLKNIFYRFNGFELVLNCIKKIRELFNQNVESIKQQDDSGLMQVSLQINV NNIYLKIQQIMNYILNDIQLIQAGVFNLLNQQFQAQYIQPHLTIRSERTKMSQMQLSLTS VFLTPIPETQFIPIHIPSIEGKQMYYMLGRIAANNAPVIVSVWTVPILQTVCYDGVMLRQ HIMEVLRFQSILNQTCKLYGVCEKKNNMGTEVHAFVQFEGTNLNTVCQQTGGLLKIPQLV LSGQMIYVAKLWLGQILSIMCDIQNYGYAFPMMRTEILYLFNDEVHLSELTGIQSVNINK FQSGVDIKLLVESVLQQEYKDLSLAPEFYSKSIQEITNMADTWSFGVIIFELLFGHKPSI ISNSGCYQGDKYLSLTEPSDYEQGDLLQKEVFQNLRKELADIIDMKSQSITQQILLQLDQ KSLGAYIKHLFKNVNADESMLNQISELIDLMCSCLQYEPHNRPQLQSIQKSNILNVDLQN ARQIARPIINYKNPRIIFESQIYQPSRQIALAIVKNKPVEIESVVQIIKLFNQTILFSIM DEQKLDLISYLFHSRVLDILNFIVLHLNYKYDLPQLVQQFSQIFIELQQHLQQQESPASQ HVEAIITTLVKFTLGEPYRLISDKMFMAPEAGFFSIRDDELDHEFKDKNQFYSYWTPSIY KIVAPIYKDMISESGVGSGNMVAIRNYIQLCTEKQVSPFDMNKFAVNVAPVKYNTIARSS EYYSEVMSVSDSHLQIANYLNGSFSKSSVKIALNYVSSLIKSNKISKLQVLVDSRITNLL IQLVTDQEFRTSVLQMFAHITIAFRNEVPTSLRIEHSNQGLVQQYQQNNAVHLLRNLSII SHILTNKNKLSNERFLSFQPTEQNQSESNKIQQLNLYVAEQIFALPTIYQGLFVDIKTDP DLVYKIIGNLLQWPKVGKYALGGSTQQLLVEMFRPMALQAEHKKSNNLQLSLKLYDEIIT MALPNTIDLIHSSKVRIMLQTHNLLVPEKSSSEKFVKISKSLSNQWKEQKSTIIQEIRDQ VSILMNGRSLTRKQIPPIFGQIKQALKQIQAYVVYTSKDKLLSNQEERRFISNAFQMIMS IFNDLLTFGQLHQECCDLLQSLADFIMYITLISPLLIFDIEDMQGTKNSIIWLRDTVDFI YEFHIEWDRVNEERRMRIEEINRLKQEEQEKNQKFVESLQLKQNIQESKINKNVTFKLPT EKSMILNQQQLQSQSQSQFQSQLQSIKHSQQVSIAMQNQSLQQSQITVNNQLSQTQLVKS QTNQSQIKNISKRLQEPPNSQSQLQLEYAVKLELRPYSSNKLIQCALNLLKCLTAIIDSN INQYLQLLTFLNIGTWYTNLTFKQWIIFDRVVGPSLEETLIIKNQVDESMVRTSLFEAIG KCQNHGLQEQLINAGFIKGIFSYLLNNTRSFEVQKIVKTHSYVPFIHWMPYRHEALIYFT AIIWERKNCVNFYNEMMIEVHARNTLQEESNHLTTKVDNKSKEANSTIMFTRVYMLCILI SANDSGLLFLMKQLKISQKLLQLFQTNEDFRQLFQGIYQYLVSEELEL >CAK89990 pep:novel supercontig:GCA_000165425.1:CT868657:204308:204658:-1 gene:GSPATT00023163001 transcript:CAK89990 MGACHTSRQRRQSNVMRISERISPQKLDFTCQRLSLYLELVGDTHTLGGYLQERIQTLVE IKQKLYIVTCQQSKMKLLHEASEIIEYLYNDKHFSQAFPILRESLLEITQVTFVTE >CAK89991 pep:novel supercontig:GCA_000165425.1:CT868657:205642:206166:-1 gene:GSPATT00023164001 transcript:CAK89991 MVIQFAPSGIASEVKSIEMHHQDHPEAIPGDNVGFNVKGVSVKDLQRGYVASDSKNDPAK ESTSFNAQVIIINHPGQIQNGYCPVLDCHTAHVACKFDQIISKIDKRSAKVIEENPKFIK SGDSAIVKLIPTKPICVEAFSEYPPLGRFAVRDMKQTVAVGVIKSVEKKENKKK >CAK89992 pep:novel supercontig:GCA_000165425.1:CT868657:206175:208211:-1 gene:GSPATT00023165001 transcript:CAK89992 MDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQRDPQKSHLDFHYKMY IKQVVLELFQWEEQKLEC >CAK89993 pep:novel supercontig:GCA_000165425.1:CT868657:208216:208686:1 gene:GSPATT00023166001 transcript:CAK89993 MHHQDHPEAIPGDNVGFNVKGVSVKDLQRGYVASDSKNDPAKESTSFNAQVIIINHPGQI QNGYCPVLDCHTAHVACKFDQIISKIDKRSAKVIEENPKFIKSGDSAIVKLIPTKPICVE AFSEYPPLGRFAVRDMKQTVAVGVIKSVEKKENKKK >CAK89994 pep:novel supercontig:GCA_000165425.1:CT868657:209264:210095:1 gene:GSPATT00023167001 transcript:CAK89994 MSEQMLLRAAFVDFLKQMLPLIIDPLLIVNSHFKNFTLLLEDQTKTSQQILEFIEKLKFQ PKTENKVAQQAIITEPVSNPQDPKVLTIPLNQVQGTSQNRGYHRRYLSHQTDIYSRIKKD NLQDKQPIQEEIQPKPAAIEKIQVQCTIFVFIQEPGKFTCQFQKFLQIQDNDGFSIVSKE CILDDLELAMKEFRRNTKTLLCMAERSSEVNTSESTEVDKTLKHKDYNFSKYLETAVEHQ ENKTAENTLRRGNNFNILQKYKTVIKNN >CAK89995 pep:novel supercontig:GCA_000165425.1:CT868657:210192:212121:-1 gene:GSPATT00023168001 transcript:CAK89995 MGANSSKQNFELLNEYNFLNIAQDDGYGEIQLFSNKTKRTDQMALIKFYDQSDMEKRANI IQLRYIMMLCRKQQNNQYFTKILDYQVQTTEDLCSNINLLHVGLEYLEKSLQDDILNRRA NDRTYNEGELWYLAQTILSGLKDLQINNLQYHDLHPNNIRIKLNGEVKLLELCCLANQQS AYQKVFTQLREVEYLTPEQLYVLENERQNDKQEYSIEKQNVFILGVIFLVCITNMDVKKF YNNFTFNSELANQKLNSTFEKYNYSDPMKHLLKDLLQLNTYHRPSYLEILDKISHVDSNR ISPNLYALNMQDQAIKSTLRIKSQNNFSQEQIIESQPQSLYEQHNLINDSFNRQVTSNFQ ANLESAPVAEVNKQNNYDFEITTQSKYESNYQQTHITSQGGLDKIRQLKERFQVLKGEAV QQQQAATVPTTFTHQDDTETYRISNQNIQNPYQPNFLDMDPVIQRALQKSREVMSKCNPY QTTDPYVPILPQTNNSFLPQPGMPSNIQPIQTIPLGNSQLNQYFQTSQLNPQFQSQFNQY NQINQAQPPPQLLQQSQFQKQPSFSQSFSPYIQQNEQISPLKQPAISQYIQQQTIPPPSF NQQQSMYQPYVRNDRFS >CAK89996 pep:novel supercontig:GCA_000165425.1:CT868657:212782:214747:1 gene:GSPATT00023169001 transcript:CAK89996 MMDQQITVFNVFFGKVDFMRSFTFYRKLKHEKKTMLVALWRRVFLATYFIGIMRSFQNRV KIFGAIRQDDHMNMIEDEVFKSNQEEKKTYMFYPDEQFKTFWNLLLIFLLLATAVITPFK IDSGNDGFWFWIDIIFDVLFFADIVINFLSAFFDEEYRIIDDYYLIAKQYITGWFVIDFI AVLPITYFLDDNTDAPALRYNKLLRLIRLPRLYRLARLLKISKTNLNIKNKTQTARILSY FGINEGIIKGLILLGKILLINHLVACFWYFVAKFNDFEGDTWVAQSNLKEASLYNQYIAS FEWSLQTLTTVGYGDIRATTTEERIFAIIWMIFGTGFFSYTLGKLSSILENVDKKWVDFE RRMHLFNDFSVRVKLPYALKCKVHKYYRNNYLKNVYTSLDPKKLIQELPSQLRNELLMIC YKYLIESVTLLKIDNNFTATILLHLNFLEVHPGEIIYREDDPPTDIYFIEKGKVNFVTHD KYTLITLLEASFFGEIEAFEDINREYFAIAKEPTNLFFCSYENFRSLLKEFPSVASEVKL IYDKRKQKYKTCLYMIELQRKRNSSSESKEELQNSTQYYLGLIAQYEEEQNRLNYNKGLI SKKSKSVLDQFSFKKKQQKMSVFQTLK >CAK89997 pep:novel supercontig:GCA_000165425.1:CT868657:215354:217450:1 gene:GSPATT00023170001 transcript:CAK89997 MKGPVIIINRILVSMGIRVQIAIQFQKQDGNIDILEPSFKLMQNPFSLNKDDLIKKAINI PDQQFDFKPFIQPDASFLVNQQNIQNLRLLQKEFASDPQNPEKAFKYIRQLNRMKLHDDA LRIFRQTGMIRILTVDDFRENATEKQHQQLQEQYGIAVTNLKSNQYSDKRRSLILPGMIQ LLITAGILYQMAYFFQPNHSKSKNEKNQKDAPEQGAGRMDRFYNILRSNQAVQEERNIPT RFNDVLGIDEFKEELEEIVEFLKNPKKYTDSGAKLPKGILLVGPPGTGKTLLARALAGEA GCAFFYKSGSEFDEMFVGVGASRVREIFKTARQKAPSIIFIDEIDSIGGRRRAQDPGYSR DTINQILTEMDGFKQSESVIVIGATNFEQVLDPALKRPGRFDKMIHVPLPDVKGREQIFS YYLQRIKYDVQKVLPTNLARQTSGFSGADIQNMVNVAILNAIKYDRQIATTEDFEFAIDR IAMGVGRKNMHVGDKEKLMTAYHEGGHALTSLLTEGAMPLHKVTILPRGGALGFTSMLPE KDQLNYTRKGIIASIDVAMGGRAAEDLFLGKDDITSGCSNDLAKATDLAYMFVKQLGMDD KISLISIQSDRVKTSDQFDYMVDMEVKKILEESYNRVKSLLKVNESKLKDLATELVKRET LSAEEIRKLLQIK >CAK89998 pep:novel supercontig:GCA_000165425.1:CT868657:217516:220610:1 gene:GSPATT00023171001 transcript:CAK89998 MGQEGEAITSTEGTQIQDSLYRDEEKMEESANVAYLLTLGILLGLALREFNKKTKFPYSP MVLACGLLIGLLQSTLGYLGESASILSHMHPHLIVYIFIPVLLFESAFNCDWYVFKYQMV NILLLAGPGGAILLGIVFKVFLFYSNEDMNWYQAFTLGSVLSATDPVAVVALLKELGASA AFNHLIEGEALLNDGVAMVFFIFFNKLSKAAAGIGGGVTFGGVLLNFVRNSIVGPVLGVV VGFFGALWARRIVGDDVEVGWLTFIFTYLTFYWAEFCFFKTSGLLAVVSLGLFWSAFGKT KIRSESEHAVHTVWSFVQYFCDTTVFLLVGMIVGTEVIEERYIYTSDYFRMIVFYFFMII CRYLMVISFWPLLKKYGYPMSQPELIVFVYGGLRGALGLTLSLMVGCDEDLPPRFRHLAV FFTAGMAALTNLVNGTTCKALVNYLNMIENPVIKKKVYKRYLTDMIVDQEDTIKELEGDD HFAMADWNQVKSLVGSQQFLQEVVQLENEIKQIQGGNLSRVSYEGMTDTDTFGEVRYRVL RILKGLYYSKFEHGQCDEDSVRLLVESSDVALDHTGSILNIWEQLFQSFTSFSSIKYFFR AKEWTFLGGYAQQYITKHLGFVYDVTTTFISCANEVLTLQEHMPMNKSAVRLIMEEIKTE INKAELYLATLNDTFPEVIRAIQTKRASHTVLMHQRHHLEENQKNGLVDDKEFNQLKSNI DARLVQLENHVFDWQIPTFHSFAMEFPVFAGVPKEELDTIIKSTYEKRYQSDEIIYEKGM TCQNIYIIQKGNVIDEVGSHQLKKGLGSLLSYANLIGDGKCMTTAKAASETVLHSLNLNV LKSLMQKSEAFEQKIYVNSIEYLIKLYESQAGPLGQMEQKRLGTFLRQKAQFRKFDVHSN VEFMFGGYIIKGEIQSCIQDENEGVERYNDYCYVPPRENDFNVTKALICLVFDDAIDMIK QDRLEQFNLENHEEIIDQRFSRIDVQQQYQQQEL >CAK89999 pep:novel supercontig:GCA_000165425.1:CT868657:220718:223862:1 gene:GSPATT00023172001 transcript:CAK89999 MSSDDLGGQDENYESIQRDSLWRDEHQMEESAQLIHFLVLGLICGLILREFNKKTNIPYS PMILALGLAVGLSQEYLGKIGNSAHILSKMHPHLIVFIFIPVLLFESAFNCDWYTFKYQI INILLLAGPGCGWGAILLGACFKLVLQYGDEDMNWYEAFTLGSVLSATDPVAVVALLKEL GANPAFNHLIEGEALLNDGVAMVLFNVFNNISKASSGKGEAVNGGDIILTFLRTSFLGPI MGLILGLLVALWTRRILGDDVEVTWLTFVFTYFTFYWAEFEFFKTSGLLAVVGLGLFWCA HAKTRIRASVEHSVHAVWGFVQYSCDTLVFLLVGIIVGIEIMEEKLILTSDYYKMVGFYF LMILARLLMVLTFYPFLKCFGYPISKSELIVLVYGGLRGGLGLTLSLMVGCDEDLPARFR HLAVFYAAAMALITNMINGTTCKTLVKCVKMIDEPIVKKKVYKKYLEELIVIQQDLVKEL ETDEFYNMTDWATVNNLIGQQDFIEKIDKVEQEIKHLIQKRGFQEMIYEGLPNQEVFGEV RYRFYRILKGLYYHKYEEGLCEEQTVRMLVESSDVGLDKLSDNLEIWDELYKNFMNLCSV NFFFKVKQKFLIGYFAREYLIRHLGLVYDVTSSFIICAEEALKLADNFPMNKEAISIIQE ELKKEIEKAQSYFGTLNGSFPEIVRVLQTKKASFSILTHSIEHVQSTQRRGLIDDKEYKI LMKDINIKLVKLESHSYDMSLPSFHVIAMQFPIFQEISLSDLDIIKKVAVERKYALGQVI YSKGSECNEVYIIMRGYVVNEYNGILITKGLGSLITHQSLIVDGNHMSTAKAAVESLLYA LPTKVIKEVMIRNKDFEFKVYLHSIEYIRKWYENQVGPLAQMELKRLNELLRNKSRLVKL TTLSQIEFINGGFLFSGELRDPKNNVFNKYDYIAPTEGLFTAAANSTCFVFDEQIEVLQS KRMKELKLDSQRDQEIHEKYSEIKRNSVLELSKINIT >CAK90000 pep:novel supercontig:GCA_000165425.1:CT868657:223949:228868:-1 gene:GSPATT00023173001 transcript:CAK90000 MTSSSKTDQIYLMTLFKEIKTSIFKVFCLILKEQEQTFTQTVLLSTIQLLQLLYIPFALK VNYIWKNNQVTDVINKILRQRNAIYLSYFEILLHVKDQGFSVFLYLFYSFSGLIVLIMLG LTLLVNQQNRKNSTNWIVLVVRISIKLMITILFFPINQIFFGLLACTSNSNGVSVLVYET DVVCWSNEHTIHGVLSIFIIFLFQIQINTFTLFLFEAKKSNTDVFAQRSGRQFSLFHIYI TLSTMVYQLVETPAYSIIITLTMFVLSLHFFYQIKYSAPFYNPYVQKLWNVITAINCWTT IMINFAFFLEGPYFQNSLLGYALGIPILIGIIFKQQDQEIDLLFSNLNQAKQPNELISLC GYLLTLNEKSIKDQHSQLLLDAFLEIHEQTCPRLDCIMKNKQLLRQIQNKHQNRQIENKI IINELITQMLTFGIKMQPLNIDLRLYYSFFLIDEIKSPQLAFIELSQAENNSIPIDQQFI IYRYKKLIEDVQVENDVTKLETNNFINNQNIQISLNQKLEAQCILQLEFLAALEDEKPDL AKIDSVGFKILRIKKFIDKEWQKVQQQNVYSPSLYKIMSKYFFFVLSDEYEGNMTLMKQD KFIKLQINDLINLEQASIESSPIIILNTEQNNFGIIKDVNIASCSFLGFSKSDLIERKLS VIQPQIYINFHDQLIDNYLKRVTDIQKSKVEKVIFIKNKQGYIQQCSLQIKQLMTQNQEV FLIGKIGIELVYKPICYLICNTDGIIKEINCACIKLLRLDVQMIKASNKNIKDLFPDIMS RIPEILGKKNSIISFNINASQLNPQRSSSIKSSGMDSTLLDDCVMDESLKLQCQISEISI DLPNAGLIGYVFKFEKIATPQLSTIHNTMVDNFYKFNFKFNSQLDTYLGSYQNYMQTLHK ISYDTSSIVSSQEDQQQQRQVNRKQTKKSTSISNGIKTVRLINGMLIDVEGLSEEEISEG EQNEFESIKQETKDSDSIIQSDYIFLKTKSSLISMIKSSIIPSFLNTLRYIISILIVGLL TLGYLQFFFDLNYQNEIQNGLDLFYLSNQRLSKLLVVQSYVQELKFMNLNYESLLSNYNF DELKDSNIKTLNEIKDLQSRLSQANFNLAPQYNSYQQEYEDSSIEMTQSNVVINYTFNEA IEQTLVKALSLSQQDLSSYTDDNIDLNYFETNSYNSIVYHNYVAQFYFYYYMVTVLEEKS QNVQIILILVTLILFIIYVACSILILKIKNLRDNILVLLLQVQEQQMITLKKNYEYFLQL IQSKEDEENLDYEEELRKPVQYQEEGAQDSLKTNDNDEAQFLIKKKRKKFENSHTILRNI LAYFIYLIIDNNDLDSRYNAILPVLNLTSIIESYLRFGDNSFKQRYVDNNFVINFGNFDS QQYVQLLKSMISDIHKQHSENSNVLDIGYIGVFEQIFILNPCGLIKEIDPSVSQSECESF NDGIIGQGLSMATIKYIKYLEQALKNPVYFTNVRKTQLTYFKDSFQYLGDKLIESISNDF SVNQLTKVSLFTVFNLLMLFVYFFIWIPLVRNLIQSIIKTRLLFSLIPNNDLLKIKAIMQ YLLQIRNPM >CAK90001 pep:novel supercontig:GCA_000165425.1:CT868657:228953:229126:-1 gene:GSPATT00023174001 transcript:CAK90001 MYYFIDIAQIKRCVKNFWNKRIKKRDQIQELQKQEEKVIIGNGKSYQCKMIVIKNAV >CAK90002 pep:novel supercontig:GCA_000165425.1:CT868657:229609:230287:1 gene:GSPATT00023175001 transcript:CAK90002 MNQSPPKAERRHTSIYLQTQPEPQNSSIFKIDFGKENIPTQKISWDQYSKSLQQATVSQK KKPTQKYVELESQTDVNIKFKFPLYTDRQIGIKPEYQNLLQEAYDNDDDINTRESVMNFF IDVCKRDLVQGILENQQIKDQQGLKSHPKFFRLYIKIKLTKQIYQLVNRMIFNPFLSICL FSIQFKYYFLLFLLFNIFQSRLKIEKEKFYFNNYPQNY >CAK90003 pep:novel supercontig:GCA_000165425.1:CT868657:231205:232506:1 gene:GSPATT00023176001 transcript:CAK90003 MNQLDDFDPICFQQSSSQNINFDFEGNVKNIQTTANQNRKIKENTKNTYIKKISSLMKLS PTQEKIEEKMPEKKILMKPFQSQQHFNYVSQTEKEDKLAKNRESARNSRKRKKIYLELLE NKVTKLSEQLDLFKDVNDKTYSLAQNLQIKLTQKKEQDQTKCILFNNLQTSLTNTASEAN VDSIIDSLNVSYLIMQKKFGSASQERQFLIDHYARQINENCLAPFCNYIIQIAKKQDDIF SQNEQNMNLNLLSQLKLADKQKQILLKKQQKLAKHYQDISNSVTQIMDTKSQIQKELASF DSTLDQLRSELRPSQVAKLLLAIEKKDMQHHFKDSFEKFFGHDIEEDDSLDLYQFMTERN QCNSLGIDIQNTYDVFRASHDFLFGKNEELEVNQLNGKDV >CAK90004 pep:novel supercontig:GCA_000165425.1:CT868657:232543:235170:-1 gene:GSPATT00023177001 transcript:CAK90004 MNQFEQCERRKQCSLDQMFKKYEEATQRYQKGLEDKIQRAKLESDKAREAQFIQKLEAQN KKIEFDEKLYESQERKKDIEKHMLEKQQQKKIKDEEVRRKKKENEDEKLQKLLKKQEKKD VQVKKMKKDVQKIKRKDELKILLDQSVSDDASFELEVEKKRQCKSLSGSLQALESDQIKV EIKENKKKQKLKNFMPSKTFREQSVPTIKKSVIFPILIRSKYDDNSYLLQSFLQQQQPQS PTFKNEENSSTNVTPKKEKEEEPQFFKLCQICNSIIENEDDNKHIQSKSHKQTKQYYNLT ATQNDILVVKGSKDEISQNRFQSIRKRCQKIKQKIQQKSLQLENMNIYCYKEPGNSYNRQ RIQKLSNDLDKLLNNQIKDLYQIEQLMKELLKLVNQETDLINLRQMKFIQIVIEVFKKIS SCHKNEYTQYLKIIGVATEIVYQFCSVYNNRTYMLASNKLLPIIDFMYNFLASKPTKFIY SYQFVAQCFQIFSLLIKHKLPTDPLSDLNIQEIQEDTLEYMYCCGLFNKMKLRFLSFEKD YINSEGKIPVALVKSVAFIEAATNYHGFETQNKSLFDEEGKRISKSFEEHLKFVIKETEL FGLIQLISQMVVGEEICFTTNKLLPQTIISFFMVGIKTLNNISRLNIIVLQETMNKSSNK QEVHMIIQRLVTYCKCNLEQSQDLKDLLNELILLIGYYCVLNQNNQNSLVGSMKKISVLH NLLQMPSQFYVDKMLKNILFPTLLCCMFRNPNNMKIVLDEMDKSYFLEILQYDSEQFYEN QNGQQLQRSPSMSSTNSQNSVFPYSSAIYFKLSQRFPMCYFKEAYKELLDYKD >CAK90005 pep:novel supercontig:GCA_000165425.1:CT868657:235261:236760:-1 gene:GSPATT00023178001 transcript:CAK90005 MFESKLPFSVNTCSITSSPEKFVCINIECSEIKDQSFGCYECLIKKHKQIDQLHFEKIIE IPAFLSQIKQKSKQKNDILDKLIIDCDSKKKLVLQQIHELENFVNIDLLHQRLLQLFSTY LAKLQNEKDLIILAPDYSNQQHLKLLKFYHEDIEQYGKVITQSGIELQGEVDEILENYQI VSLQKFNKLKNQIEALKIQFNEQNQKFAQWEIQRERERQRESPFMQIVEQLKLPVIFVLS ILLFLVIGHNLFYLNAQDFDSRLVLNQTQQEDLNINYTNQEYQTNYTKYTNQTSQANQTN QTSQTNQTNQDKQSKSQNTRNQQWLISEILENRRVEEFQNFTIIYDQSFNKTFTQDTYER IQKRVFWDKNDKLVCIGARENKNLRLIGCDLASEVFSITQDAYSARKSQNGEMYWYWVQS KSFGFSPNKNIALTNIDNEDLSSELRFSFGTSKNLEERRVGSQYGHKNTSQYNLVIYASK DNN >CAK90006 pep:novel supercontig:GCA_000165425.1:CT868657:236848:238193:-1 gene:GSPATT00023179001 transcript:CAK90006 MSFKYLLLFQLILLCVAHNQGKSVKLSKKQAHHKHHKSQKFLDSIMDVNDDSIELLLQKH ENFYLAEMTVGTPEQKLTVLIDTGSPNLLLTSSLCQQNSCIQHGSYNPSLSTTSYYIEAI KLANGEVGNEIDIQFASGSVVGVFFEDRVCLNQYCVNNQFIVGIVEQSEDIFSQMKFDGI LGLGRIKEAVVKNASYIHNLEYDTDTRNFSLYLSNSDDDDMSSELVLGGISQHLIAPGEE FKFFDVTSDNLWTINIKAVFLGDERIDDCNNCQGLIDSGTSFISFPVYAMEKFYQKFGIY QLCSNIDSFPDLTFVLDRGIKFTLSGSQYFKRNTHALFSKDICIPYIKNNPIIGDELIVL GQPFLIHHYVWFDEDQSKIGIVKSKQQSHQS >CAK90007 pep:novel supercontig:GCA_000165425.1:CT868657:238249:239516:1 gene:GSPATT00023180001 transcript:CAK90007 MSSYPKKRINFSELDDHFDDDQQIDSLRYNAEIDSAIQIKKPSDHEKLNEVQQLLQFSPH PQQENQKISSVRCSFGLNSNNNFNQTRLPFSQNQNSVNSSIQIGSPDTASFPLQKKFLKF KINYLIPFRSIEEISGENDLSQSRENMTKKSNHTLNTEEDKNAQFNQDETLQNELYQNDS YALFTQLLESLEQAQNQLQKQQIQYQELQSKYEKQQSQINYLTKLNQEITKTLDETQNQL QLLNETNGLLKKKIQSYKQYSITEQSQYNGNTNRYNKENHDYLKHHSSTESTQSIELVNN LKKTQKVTNTYSNIIMMLLLMIQKCFYLDESIDKDGKEVITKITQLINQKQLDNIVFSIE SLIKYFEQRFSDMATKNSKVQSMSNIMDQIKQLVDQRAN >CAK90008 pep:novel supercontig:GCA_000165425.1:CT868657:239733:240982:-1 gene:GSPATT00023181001 transcript:CAK90008 MSSQQNKQKDVVNKQMTFALNPKPDFNITDPIKINKTNANDPNYAKSEPSQKSTNLVSNS NIAIFQDIEQQKQNIESRCLKKQNKIKHIATRSIAGLKAYTKAFKDNQDTLFYKLEINKQ TDKNIFLLADGHGDQGAKVSQFAIKQLSDYIFDMIESANTQPKFVEQINSNLIASFQKID SNLANLSNIDITDSGTTMNLALTFENYLISANIGDTRTFYFQRSDKPDQLGPATFKIKQL SSQHTPEKATESQRILESGGKIEQDTQGFQKTGPLKVKSKKQDIKGVTITRSFGDALAKQ IGIISSPEIHTFQILQEGFLVLATGSILDMIDIIDICRILTPITPPNSQAAIDCAAGQII EEARKKAKLQPDIQDDYSLILVYIEIDQA >CAK90009 pep:novel supercontig:GCA_000165425.1:CT868657:241347:242141:-1 gene:GSPATT00023182001 transcript:CAK90009 MSSKRRVGIAHFLLRTFEMLDTPKLKHLIEWSDCGEMFYIKNSREFANKVLPQYFRHRNF QSFLRQLNMYNFVKKRLKNGWNQFQHKYFKREAKNLLVHVHRRSNGQQSDEEEQTDQQDS IKKQQEQLQQIKYLQNDIVLTSSFLFQQSVILQGNFQRLKNKLLYQYIEEQKQEKFLFNT FSLLNKQQTDSPKLTQTILTQNRKETDQEPQLFVLS >CAK90010 pep:novel supercontig:GCA_000165425.1:CT868657:242185:242733:-1 gene:GSPATT00023183001 transcript:CAK90010 MQSGFSVCRRKAGQTFRKTLGLYNYKLGHQQYHKEPGSVSLNAVEQLKNTKTYEGIMRIR KLRQESDRVFGKFVGSKFVVDKSRIPQYDIPDLTGFELKPYVSYHTPQVDKETQTKLERM NDFNLIENLVPRSETKLLDKK >CAK90011 pep:novel supercontig:GCA_000165425.1:CT868657:242910:243951:-1 gene:GSPATT00023184001 transcript:CAK90011 MLLQLLQFKNKNLLIRALTNKIHELEYNQKSNQTYVYGNNEDLTVAGQQFLEFYFYDYML LQGYSSFLAFRFPNQLSYFKKPNDIIQLRQRLINDQYLAEIGLQLNLQNFLKVGNQIQLK TNQKVLADTIKALIIAQYYDKYQDLESLRDLLYPVMEFLLNKQAIIKYLGQSTVGINSPN VIQSKEEIEVLPKMIVEWKKNEKNNNQSMFLIQLVLDIQSKILAENYQLKIEKQGISKRI TEQQVYLEALQELKRWLSTYNQNQIEKVEHQEEKQINLSTTLDSKQFNNMNNTVYEFTDQ LDNEENQTEFYDQLSQMLILMAGKYEL >CAK90012 pep:novel supercontig:GCA_000165425.1:CT868657:245141:246392:1 gene:GSPATT00023185001 transcript:CAK90012 MFIRNEMKSGLQSSDSFVLSNKSTSQEKMSQEQSAQQSPKKRSLLKQKHHLIPLTDRLEI RKCYYNDQQNQELKSQEHRLNNSLQKNKMNLLPKLQGQDSDKTLFQTVIEIFPADHPIWN DLVEKSQTIEDVIESNPAFFLGLMTLYVQQSKLQALKNKFQLKAIQSQYQQDYQNGSVGN QDVLLDQSKAHDQMWGKNLKQLYTGSVYNSQYLPFKLEKKRKSPQPDFNPNLSHSSYLST YRSQFQNWKPQYPGKMTRETSSVEPQNLPFISETSYTREFKNKRTERSPLQKIAKLGPFA ENSEQLVKESTSSYYQQQQFNRIPSRIMRPISPKLFNASFEGQYTSEFNKSYHINSDQES SFANRFYESNSVKKIFDRKFDSKIF >CAK90013 pep:novel supercontig:GCA_000165425.1:CT868657:246418:247713:-1 gene:GSPATT00023186001 transcript:CAK90013 MILIAFKQQNMKKISYSFTQLMKLHLHDYHVNLKAKMVPFAGYEMPVQYPQGVLKEHLYC RESCGLFDVSHMGQVKVFGEDRMKFVETLTTGDFQTKKSGQSVLCLILNEKAGIIDDTIV AKRDDHIHIVVNAGNKFIDMKQMDKIIKDYNYKVQYEYLKDKPLIAVQGPNAHKVLNEVF GTEYNLDKIPFMFMVNIKKNGIDYQINRCGYTGEDGYEISVESSKAQELCDQLLATKMAQ FCGLGARDSLRLEAGLCLHGHEMDDTISPYEAKLMWTVRKPNKETGKYNESAAFIGRDAL PQRQKDAKFKRMGFITQSGIARPPCDIEFQGQKVGSVTSGTYSPNLKKGLGFAFINNEYA KDGTQLQADIRGSKVNITLSPTPFVPQRYYKPEKK >CAK90014 pep:novel supercontig:GCA_000165425.1:CT868657:247906:248793:1 gene:GSPATT00023187001 transcript:CAK90014 MDIKQLERQVREITELQDSIKQTSNYCSTFPEHSGMVAFIIESEFISARKERKKLYLFLI HQIILDEKNAKRMDYPYVKCFGQKLKKLIIDYAYQVDDIADLEKAYAVIRRWEKDLIYHP IFLDKLRGILQPKYDQLKYQQMIVNQITQNELASNKILVQNLQIIQQFDSTYKLYLHLKS LRDIDDKCLDFNTNFDKYMMKCELEKIEDYEMIDDLLEQGEASKTFLSENICQTQIHYLD LARLGEHLIKEDFEKKDNKIEYYKSKRPIQ >CAK90015 pep:novel supercontig:GCA_000165425.1:CT868657:249558:250376:1 gene:GSPATT00023188001 transcript:CAK90015 MLPSDIIAPQRKAWTFEEDHQLQELRQSMGLDWIEVARQIGGRNPSQCAQRWKRIKGYKL RRQWTSEEDDKLKSLVKEYGYHWSRIAKLLPNRSGKQIREHYLNQLHPNLNTEPWSVEED EQLLEIYKKIGGKWSAIQKKLNGRSENSIKNRFYSYLRSKHFGVKNPYYIVPEQVKITKT DQKQNKIDEIHSQDYSVQIYWNNTQQYMLPMSTNSYPSMSPVNNFPSFQIFYPVLTCPPP HYLLPYTSNVIQPC >CAK90016 pep:novel supercontig:GCA_000165425.1:CT868657:250900:252321:1 gene:GSPATT00023189001 transcript:CAK90016 MVYYLIGAPHKSNEQTAWLETEKHVLTSGISRQAKGRILQTEIGKFKLGNLDHLMFINDS LLKQESVVESLLKKIERQYLDVTEKVSYDFIIELKEGPQSIENFLFQFKWNDQTFPRASA LSELVKAISSRATHVETDLRQKSTSYQELKNQSQQVARKEGNLMVRDLVDVLKEPIVKPR DFIYSDYLTTLVAIVPKTQIQEWLACYEFLCENVVPQSARQFQIEDKDNLTIWRVVIVRQ SFDKDHDIEVADKGDDDKGKKQRQTPVEEFIQKARDKLRITVKEFEYKSQESKEREKLRL DLKSKSDHMNTTLKQACEKAFSDLYITYMHLKVLRLVVDIAMRFGAAEPNIQCILKPDQG KEKKVQQSLLKLFADPSQVGLYGTKEELEDTEDFFPFVYVPINIP >CAK90017 pep:novel supercontig:GCA_000165425.1:CT868657:252332:253636:1 gene:GSPATT00023190001 transcript:CAK90017 MQRVQHLSAQVNNCTPVPPRSDNDVVICGAVRTPLTKAKRGLLRDTPPEILLSTAFTGLL ERTKVDPKLIQDIVVGNVNQPGSGAIVSKMAAFLSGFPDTTCLTAINRFCSSGIEACAVI AAKIRSGMLDIGIGAGVEQMTMYDMQSQMNAELLSDAIFDHPCARDCLLGMGQTSENVAA QFGVTRLQQDKFAYESQQKAYKAQQEGLYKDEIIPVKTFIKDGDKTKEVIVTEDDGIRKE TTLEGLGKLKPAFGKDGSTTAGNSSQVTDGAAAVLLARRSVAKKLGLPILARFVDYTVAG VPPNIMGIGPAAAIPQLLQRNALKPNDICIYEINEAFASQSVYSVEKIGIEPSRVNPKGG AIALGHPLGCTGARQVATLLPEMKRKKAKYGVISMCIATGMGAAALIENEQQ >CAK90018 pep:novel supercontig:GCA_000165425.1:CT868657:253636:255354:1 gene:GSPATT00023191001 transcript:CAK90018 MDQENEDVRLAFPEYLTNKDEDEQMLDQCIQQFEKIQDCQDQSIRNLIEAKLAVVINQTP HSSVVSLYENLAAEYKISVLFINKKQPKHHKVSKFFNQFPSMKVTNEFIDGKILQLPWPV IGALKAQDLQDHLLKIFNFNYGRVGLHEFPLLWFPKLLKSYTFLLDNLFNTKTKLLQDEK YYLAIMGAAASGCEQLYLLLSQIFYLNEGDRNWIEVGYDCVDAHIQQLDPICKLLITKPW DPQLSKELHKLLKGKWRRKELVQALMIFIFYNNLGSFSLGNGIVKEMDVTVGNNSVNNSV QYAQHESLIDFIQNESILQELKQSALLEEELPPEEAHVQIQIRQKTSQSYSFYVEETDQQ LKLHFDEKFSKHFSHSELTYCESKSVLRSSEYSFQLNSYPVLKEAFLEGADAISNLLQEV KHMTRNSFGNEEVATTAFRKAIRYYGEGQFRYQHEDIDYWAQMEKLLPQQLKQFIKILIW TPNVISKSHIEQIQLQLSADELCHIILLTVAAKIETQLIYLSKSLVEFM >CAK90019 pep:novel supercontig:GCA_000165425.1:CT868657:255401:257524:1 gene:GSPATT00023192001 transcript:CAK90019 MQPIYNQTFSTPRQHVQASPQQQISNNYNQLMMQYSELEQEMYSQRTDNHVKYQQIVSKI DNIYNELTNNINQLQFSSSQDYQQLLQELKSQREQNIQMLSAIAQRQQSNKQQSFYGHKQ NISENYYDQIVQQEQQLLEDLQTLDSYKQQKYDNHDPIPVNSRIQMNYLSPTSHQRFSSN YNSGQQQKQHTPLLSTNHSQNTPQVQYFNQLRQNYGIIEFPYNNHSDQQRKYNVSTPQYD KIQSARYQMNTQGGYQNKSPILITNEEYIVDQRNSYYPMNVSAQPENELSMNYQVQNQKS SLNQLGAIPVHKHRPIFEQQAINKSRITEPYQGQHIKEISIEKQPILNNDIEYQIFHQQQ TPPSRRQNSQQSLPQNFHQQQPSQIHQKEKIFDLSNINLSRDKSIQHNVQQQQQQQQQQQ QQQQQQQQQQIQQQQQPQQQQQQYQQQQYQQQQYQDQQYQQQQQQILNTSQFEYHDVNKN DQILPVEVQNQNILNESQKSYIMDLYGNLGYDQFYQYLCQKYPIKNQYLQINNKPNHGDQ RILRQDQEALDLMQYIYCTMCDQFISIKQANNHLNFCINNKQAKQPQDDKYLDLFICCTE PMNQYIETRDQKQRRLINELTKIKYLIEVALKQKEYTAEQQKQKEYCLFALEILNLIIDN PRNQSITQQAQDLVSVYQVLDQQQYNFYKQIVFLLQKANVRISQLIQ >CAK90020 pep:novel supercontig:GCA_000165425.1:CT868657:257972:259304:-1 gene:GSPATT00023193001 transcript:CAK90020 MKSVLNQQKQPVPLNVANSINKNAKNDFIVKDCDIPKINKIPTSKRIQTKPKENAVSEYN VITRCVTEQRRSSVTENSISEFKEQNKIPTYCLKWLNYKYQKKEFLQLEQQFLKILQIKP EKDIVHQIERDLSRTIKAENHHTEIKNILTAYSVYDPNIGYVQGMNQIVSILFNHAKQEW IAFWLFVNLIEQMEIRDIFQLSLNAINKYSKILDFLLNKFVPRIYDNLSQKQVNTELFIQ QWLLSLLLQFIPFEYTQVYLDGLFKQGISYFYTIALTIIKAFDKAIQEEEQIEILILLTS KQYSQLKWPSIFVFQWDINPGQLRVLLDCFDSDTNSFQKPKFQTHCSQLTTNFFQFIKNS >CAK90021 pep:novel supercontig:GCA_000165425.1:CT868657:260073:261338:1 gene:GSPATT00023194001 transcript:CAK90021 MTETVEHLRDFNYYKLNQNVTHVLAEYIWIDGTGERMRSKTKVYLTPITQISDLEWWTYD GSSTEQANTKWSEIYLKPVVYVKDPFRGDPHILVLCETYLPDKKTPARYNFRWIANEIME KAKDFKPWFGIEQEYFLLKRTGTTHIWPLGWPTGGFPYPQGRYYCSIGERNNFGRALAEA HLRACLNAGIKIAGINAEVAPSQWEFQIGIAEGIEIGDHLWLARYILERLGEEFGIDINY DPKPILGDWNGSGAHTNYSDVKTRGEGGYKYIVEQLIPLLSNTHKEVLKLYGANNEKRLT GHHETSSYDQFSWGDGSRGGSIRVPVITKELGAGYLEDRRPAANIDPYLVSAVIVDVALL NSTYVNQLKQLLVQSAKPLA >CAK90022 pep:novel supercontig:GCA_000165425.1:CT868657:261658:263319:1 gene:GSPATT00023195001 transcript:CAK90022 MLNFLQQIDQFGVQQKLQIPPIKPTQKSALGGLVTLILYGASLGYFLFQILDWQSNNKLP KVTFIQSQINYAQKLKLQGVFAEISYMPSLNNPIDPFNPQNLIFSPHLRIIPYDRQNIQS IPLKFDQEQLENGKILNKFLIQDIEPSSSPVNSLIREQTNYQLSLGYCNIKLLQEGQFCA NQDTIDQFFSQTNFFQVFIYIQQFDPKTKSLKLIPKYFIFDMIKDQLYFTQFILKVGELN MDDGFLFPNSNEYTFLSDLQIVSAQYDQEYSKNMYGEELISILFFNLDQIKIVNNVEYPK ISEILADTGSIVTWILSISFIVSNYNETLSLESTQRNVISMYFNDFTDFSIKKNWLGKII SVNFKGREYDTQKSEEILNRLNQIAVEKMNYLNLQNEVAKLQLIVQEHLGLQQINKYLET KYQLDQLFDQLGIPEKVSQQAINQIQAQNEQQSEGISQLNLDAENDSRPNKLIILEQELE SRMGLLDIKKYENGGFKQLNSNLQVKESFQNLNTYVKVSNLEKSVDVK >CAK90023 pep:novel supercontig:GCA_000165425.1:CT868657:263817:265467:1 gene:GSPATT00023196001 transcript:CAK90023 MLYFLQSIDQFGVEQKLQIPPINPTQKSALGGLITLALYGVSLGYFLFQFIDWQTNNKLP KITSLYQQIDANQTIVQSGVFIEISYYQKLNNQIDPFNPKQLIFNPIFQLVPNNWDELSN LTLRFEQEQSESGKIINKFFIDDIEIIKSQPAQSQISSREYQLLLGYCRQDQLIQGHQCA DEETINQFYDQENYFQVQLYIEQFNPKTKQFKKVPKFFIFDMMPGSLFQNQFTLQAGELE LDDGFFLPKNTKYSYLSDVQIIQTTYDWPYSRKVYGEELISLLFFTLDQIKLVNNVEYPK ISEILADTGSIIQWILSISFLVSKYNENICLQKAQREIISMYYHDFTDFQINKNWLSKIK SVNFKGREYDPSKSEEILNRLNRMAVEKMNYLNLQNEVAKLQLILQEHLGLQQIKKYLET KYKLEHLFDKLCIPEKNIQSTNQILPSDNQYIRNQQQQLSSETDILHQKEITLDQEFDER IYLLVSKNIRKLLPSSPPNQENQDSSQNINLQVMNLEQSQSYLK >CAK90024 pep:novel supercontig:GCA_000165425.1:CT868657:265669:266779:-1 gene:GSPATT00023197001 transcript:CAK90024 MLPIKQPHQKRVSKMNQFSKQAGPFVIFFTVLMPTNCVILEQFYFDLKLDSVSQNIQNLL IYLVYVMAMWSYYQAITIKNITAQRTPLAPDNRRIDPIYKNNAACTVCNKWKPIRAHHCS MCQQCILKMDHHCPWINNCVGLRNHRAFYLFTMYMTIGAIQYSWASYVYFKDLYRNDQGF FSQQTTFFYLYWTFTSLVLYPTCAMLFFLFCYHSILVFTNQTTIEQMRNGSNGCMCCSSS VTKNSNLYNRGWIANAAWFFNYSYLWFLPFQNVYETDGTSYPIAPLCTFADIETYDPSIG NGLPPNTQIDLEQIDPKFLDYIQTAKEKYKGKKVQLNGKEIVLA >CAK90025 pep:novel supercontig:GCA_000165425.1:CT868657:267842:268864:1 gene:GSPATT00023198001 transcript:CAK90025 MTEKQQEFNPLLIAFYSGLASMVGDMIMFPFDTIGTRIKAHKSEFLGMKQGYDLIVKNEG FKYLFKGFSTTVMGSFLPYGSYFLAYEYMNYYAIKLTKGLEKDGEKSKLNLLIPLITSPL AEAVSVVTYIPFDTLRTRMQMNVPEYNYKGIFSGLMEISRKEGWVRLFQASYLYMASVVV YTTFQMWFYELLRYEILRQRADQKVHNQPLAIHQSVIATMISTALAAAIVNPVDFIITRY QLVDSSQQQLSVKQLVQDAWYQEGKKAFLKGLGTRVFQCSLFSIFYFPVYDHFKSKYGIT LAD >CAK90026 pep:novel supercontig:GCA_000165425.1:CT868657:268917:269263:-1 gene:GSPATT00023199001 transcript:CAK90026 MKSVQNFKIVSNMKAYFSDDIQCLQKRVDAPDTSQDSISSDQMLLPDLKSIILNQSDLIR EDYNGLRLTESHSRSRTTNSSSNLFIFEEQFASIDSISSDLISDEF >CAK90027 pep:novel supercontig:GCA_000165425.1:CT868657:269633:270003:-1 gene:GSPATT00023200001 transcript:CAK90027 MNQHPQGQFQFIKTYFLKSTIARQIMPDSSDDISPELLDEHFQNNTSHRRIKSDLLQQKE AQKLREIQQQYQQQTDTSTYAQSYMSQGILKKSQRSRSSKSQKRKSSHNKKVHFI >CAK90028 pep:novel supercontig:GCA_000165425.1:CT868657:270148:270624:-1 gene:GSPATT00023201001 transcript:CAK90028 MLDQTLNLTFKDEHNGGLVRLYHFKTIPHAIVSNKFDHIKQYFHMHSPVLVSEREEDYEF TCNNDDEEITGRTRSKTQGTKNTLKPNKHHDLTEQLDLAIKPKGLLKNRNNKETQSQKKV SFSIPSHCTLEYRQIVQTAINKYQVKQKQ >CAK90029 pep:novel supercontig:GCA_000165425.1:CT868657:270665:271320:-1 gene:GSPATT00023202001 transcript:CAK90029 MVLLQSLLSIDEVEQQKHQAYQTLKYRFPEKQILESNKTKQQLQNEEEHPQQPEDPGSPM QAISEKSSQVCAQSQFNNSCPTTMRINAHQTNDCSFQHDQRLSIKKISYSPPILNNQSKQ KQKYKGIIKKSRFNSDSQSPNSSQTIKSVRFLITEQVRVQQNFKRTRSLQRRQSNKRQNK SIIYTNCNYPLYYKIEL >CAK90030 pep:novel supercontig:GCA_000165425.1:CT868657:272151:272775:-1 gene:GSPATT00023203001 transcript:CAK90030 MDTRKYKQLSSKTEYVPSNYNDQILEDKDTILQYDKIKNDYKYLDATIFNLCEKIAIGNK LQELYFTNQQQSLNKSSLPKFMKFYVHNTALVPIFCFSIVFMKWRKSLTLHKTVLLLCGM SLTNEFFKYQNCIQMDESLAKAYKEHTQAYDDLFGNLIQTLTFKDVLRLLFNIDLKKEKL QSESHYDNLLVEDFAMNYY >CAK90031 pep:novel supercontig:GCA_000165425.1:CT868657:272966:275633:-1 gene:GSPATT00023204001 transcript:CAK90031 MKPIHTPINLSLSQLYQSEIKQQQQPDRILKDLNGSAFKFKFDSNFSDRKLRQQQQQQQQ QQQQYQTSLDCGLRKSSIFEDYKFEMENGNNCRNNNQIYKFNHLNSSSDFNYQKPSLQNG LGQYQSNQALIGSKILSPLQKMNNFIQEDLDSLRFSQQFQKLHFNDNNVDDYKISIYYYK PQIGITYSMKDSVLLVTIDQSQITKIRQYLNEYQIDTLIIFYSINFPQQLLMSIKQIIQG VVKFCNFMQWEEYNIQDKLAQVGFMHPEIYNETLIDKQIYINLCSALPILTRFNLDTIIN QLQESEKGCKLKFNDSLQNQLGILKQENKQLSIQQLFEKHFQTFYAKKIFLDYLKNPVSS YQIINKRLQNLYIFQQLSLQPSNQKFIKMPGKIGSFTQILKVLSTYQEKSEPYSKLFTQL QYLIEFVTVVKQLVVIDRRAQQQTCQLLKDLSNVNLESICQLSDIIETSLLFNQSNVQIK EQKYPQLEQLLSLQINLKTRVQLIKNKHQSELALVGIKPQSIEVKYVNNSYKLVVQSNLN LEDKVQTLGWEMASERDAENFVVFKTSYTLSLDDQFGDLEDQIISMQEKILIELVKQIQS YSDQLQQIEQLVGEIDYYIGLSIAITQMRLCIPKLNNNNQMKIQGGRHLLVEQTKKQNVE FQPNDFVLNDKRIFLIVGPNFSGKSVFIRQIGIIIYLVHCGLPVPALNVDTYLLEEIHAL FPCEGKQQSNLSVTNLELMQLSSALKVSSRSLVLMDEIGKNFKYQVGSNLHKSALEYFMQ MTPTPPIVLSATHYQNHEELLLQKCLQQGEMEVAFESNKNLIFVYRIDFHSKRVQKVSFG LEVALLVHQNEELYQRGLQLYELLTKYKYLQNS >CAK90032 pep:novel supercontig:GCA_000165425.1:CT868657:275740:275874:1 gene:GSPATT00023205001 transcript:CAK90032 MNVADRGMHIILLYLRMNAYTILSIQMLTKVNQSCSSRNNLKFR >CAK90033 pep:novel supercontig:GCA_000165425.1:CT868657:275968:276707:1 gene:GSPATT00023206001 transcript:CAK90033 MQVSDNFLLAFLNICWGIIEFMKSYQTTQINMNECEYVISVQQLTFYFQATTALWVVLAL FFSQIVIRITSLPINCISLYLILVNQKQSCLEDNIYYLMIFSTVWLIVLGIPYNFFMSAN KRVAGPYQFLATIGILAQIPVCGKLCIFNIVLIYMQGMNYKDDACQIAYSAQEMFMVISV LQLVLLLIYFIYYKKFEKERKNQEISKELVNLSTTTTTTKLTSTKYTIKK >CAK90034 pep:novel supercontig:GCA_000165425.1:CT868657:276756:277800:-1 gene:GSPATT00023207001 transcript:CAK90034 MILKYCFGIYKFNPIIIQRVSALLKVKPYPVNENIQYQGEVRVIDDKYKLLGVMPFQQAK EQAIQEEKEIILMNENVEPPLCRICDYSDELAQRFLTEISPPFKKLQPQRNIKIGSKITF QDLQIKVIAAETILKKQKRIIVSTVCFENEVLICKNIFYKFRDMCEQFMKPLSSIRSREY QDTSEQYRSKDEEKQPQIELEYEFEKIGEIEQPQQIPQLKIERQINQTYSLTTRQELFNA DEAIKEFNKQIKNIDKEEGKINEEDLTNEKIWPKQKMKRKITKYTYPKKDIDTIMKEKEK VEKGEQVEKGEKVEKGEKVEKGEKVEKGEKV >CAK90035 pep:novel supercontig:GCA_000165425.1:CT868657:277898:279160:1 gene:GSPATT00023208001 transcript:CAK90035 MYAPDLRRGPTTTTFLGTATYITEPQEQNKATTILKIEEAQPPNTFDELYTPLPNQVEFK KINTNVLNSMKFPSKSNDKEDGLLEVKSMFTQWQKYLNLEEHKAVVSDSFWYVVTKFFKR EIQPDETSETYPKINFNKLPKEDQKLLTRISRNYINLFLTIDRKDDRQLFFKTYFDILAQ SVFYALFYSFPLSRSKFNDDLKKQLLDEFSYLFTGIEIANSQKYLKEWNLDLGAGNIFKK QAEQKFAKQQQYEQSLPPIVLSQTTVKARNKRVMVPIKFSPIVQIYLAENKYSTRNQIQE YKMKFTESEKNQDELELKFARYIKLADQLKSEAIAMRDDNENRKKKMKSEIKTIKQETVN HHKRLEKRKAEELERGAHEYANYLVSMLNAGITVANLGVSKQK >CAK90036 pep:novel supercontig:GCA_000165425.1:CT868657:279169:280639:-1 gene:GSPATT00023209001 transcript:CAK90036 MNVSLKDIIPNKNPSQFNLNKIRFKISKKVESYCQCNQRNCLICARKRSSSMLSMKNSIV YDENSPVSKCTVEQPKPIQPFNRSISRQQSNYMGRVSVNVSASTNSTNNYGRTRKTSVES SPPKDNIIGKHSFKYLYVIGKGGFGKVWRVEMKANKQEFALKEMIKAKIISKRSVNSVMN EKYLLEHLKHPFLVNMHYAYQDRENLFLVLDLLRGGDLRYHIGRQKRFTEEQTKFFVCCI LLSLQYLHQNGIIHRDIKPENLVFDKDGYLRLTDLGVARLNKDSSASDTSGTPGYMAPEV MCRMDHSFPVDYYAVGVIAFELLLGKRPYNGRNRQEIREQILAKQVQMRDDKYSGKVQDF INRLLIRKPQQRLGAQGIHELFEHPWLSNYNWGKLLNKEIQAPYIPGNIDGNFDYQSQIS ADSEPQEEASTLLRRKSVQCLFEGYKYF >CAK90037 pep:novel supercontig:GCA_000165425.1:CT868657:281041:282557:1 gene:GSPATT00023210001 transcript:CAK90037 MNKLLLIILNCCLGSLFMGFCLGQMNVISTEVYNVYKIDDTFTKGLMQSLLTIGGGVGSI SASILMGLFSRRKCLQITDVFGMMAIMIAFMDESRYLLLASRFFVGLVLGLNGVLVPVYI NEMSPKEKAGILGTMNQLFITLGILTTFLMSSFSDASLPIPFYKLMLYLPMIPCIIRASA LSTVFKYETPVYCAKHHLNQQLHKVVEMIYEEKAEKMYEQFQQSQKSTERSMTINQLLSS KYRSRLIIGISLASLQQLGGINGIMFYSSSIFDQVTGQAAKKVFYLNLIVGFIGVFTALL ATVIIEQFGRKPILKYGTLWCCVSLLMLTFVMSNSIGDTSYGSYLIVICIFSYLFGFGFS LGPLLFIYLTEILPDLGVSASSLMNWMSGGLVAQMFPIIASYDISYCFGIFSIFNFAAYL IIQQKVIETKGLDRESVDKYFENQKFDQMPYQEVYQEDRFR >CAK90038 pep:novel supercontig:GCA_000165425.1:CT868657:282606:283874:-1 gene:GSPATT00023211001 transcript:CAK90038 MSAQHKKLFELKQKQGVVSFNRSSHILLPLSSLKSQQTISDVQVQLGSLRTLPDINSSPK IETQSKWSKLQKISQTFRSPNRNLVMPAKRSSIQRMSISQQFKNVVKFTSSIQFDGLDAA KNIVKNSDAVVVKMQKTQNIDYLAVGLGNSHGNQGLNFSKIITHCALDQIVHAINVIPII GLGLQIQQSFQNIYQKVEQNLIEQTDFDVKNNGCSLLSLIVVNNTIYCANLGDSKAAFFY RKDFDPSGPKEIRKFVQKNLNFVHDTNNSKEVQRILNKGGKIDQAVYKGRKCGNLKVWVP KQNQPGVKLTRCFGNLIGKTVGISAEPEFSEFKVPKSGYLLIGSTGLWEILDVLVIDQIL DAHFPPTCQEDIDLAIKQIGDQTKKYWDQDGEGLIDISLILIYIQM >CAK90039 pep:novel supercontig:GCA_000165425.1:CT868657:284060:286131:-1 gene:GSPATT00023212001 transcript:CAK90039 MTYIVDDNNTVQEYKQKSDLLNLIYNLKSHLRENPCNKVKLFIVQKERKIGDMDDSDLDL HKKCKKQLKDLTEKLTKRDQLIQDQTSSYMKEIQNLTEQIYRLNHAQLNNENILIDRNLI KDQATLDIINKNLEKVSHNYENKIKHLNIIIEAQKRELVMTKQALKDFKQKHEHHQSAEY LVRKIAQLEKDPYKIWKYFQENHGNSFILSVFKHQKQGYGINYKEIDQILSQSKIIEREL QIYQQLIETSLTLFMEKLTQDQKKIKETYSQKETELTSQIALQHKIQNDLETNYQKIISF LLTQNKNSLLHAAILVWRNQTQISKSITANPKQASSISDFLQSMQKLKVNYENLEYSSIY HNQFIQLQSKITWLQKLLLNYQQSIQKQQFSKDLLENQLKLLQQKVAVNNQNEKQNESDK KKQNQPNFEFEKEMEVKQKQQDLEQNLHHQFVQEARNSDQYTQTEEYFVPQYKQFLTPPK VIMQNELIQNQLENNIKGQSEVQIFDIEQPDVKKQTKTSIRQSYKQFESCKPKTPCNKTY SAFNTSLESNIIELKPKIVSDQKEYNVIRRKSECKTEVKPVPFQTPSKRYQKVDLLKQVE LLSQLQLQLKECGTPQEKNQMSSSQRQERGFRTSVRRKPNLSITFEQFHNEMNVDPLEMF SSTQRQNKIKRLSTKDCEYLVL >CAK90040 pep:novel supercontig:GCA_000165425.1:CT868657:286278:287780:1 gene:GSPATT00023213001 transcript:CAK90040 MNNNIGEDDDDMLISGARNNNRGQINLDLSNYSCNIPDITPSFDLLNFRKFILNQNWNIG DLQELILNCQLSSIKLRFSIWRLFLGIFSIDDPLEQKIIKLNQHRSDYQNLSKQYLQAET KKESKRTIRNPLQQNQQEQQKPNVWNNFFEINHLKSEIKKDVDRTHQDKQLFQSLKIKNL LSNILFIWSVKNPTISYRQGMNELAANVIEVYFTEVQGFNSLEDSEDKKEIAIFYDIKFA EEDIFQLFEQIMVAHVDMFKHTPESQKKQQLIIQNRIQKIYDQQLKIIDVTLFKHLKVQD VELSVFLVRWIRCMFTREFHVEDSLKVWDAIFYDYYLTEDKQWLLLVDCIVIAMFVYVRD QILEKDDPNACLKRFLKYPPVENLAQLIQAAFSIKRVLQSANPEQALLQDQFLITFLGSR DVSKSPKIFQIAKNQEIPEENNKCNQFEVTRQY >CAK90041 pep:novel supercontig:GCA_000165425.1:CT868657:287950:289139:-1 gene:GSPATT00023214001 transcript:CAK90041 MRNLKYLVVPFVCKSSLLQLSTYNREESYTKVYSNQKQYKIEPETIVFSGNSNTKLASEV AKCLGISLGKVLLERFADGECNIQVLENVRGRNAFIIQSTCPPVNENLVELFLFISALRR ASVKTITVIIPYYGYSRQDHKLAKTESIAAADIAKILEQTGIDHLVSIDLHRGQLQGAFS TSVPVDNLSPYITLIYELNNHPLKLSPPNELTLVSPDFNGVSRVKKVQDELRQELIGNIE LAMIYKSKHPVSETEISLVGDVNGKNCLIVDDIIDSGRTLKNAADILKREGAKTVMAYGT HPVFSGKAALNLGISNLSKIYVTDTIQVKDLDKQILHEKLSVLSVAPLLAETIYRLQKRE SLHGLLGAHNL >CAK90042 pep:novel supercontig:GCA_000165425.1:CT868657:289301:292330:-1 gene:GSPATT00023215001 transcript:CAK90042 MQTYEFQLNNKRNLEREDLFILAIVERVLAGYLLSISYVDQAQFCDLLSRKQKEKRTIQP LHLQILGIIKQNLMKNQPPIKEQKVNYEVKRMFSQSIDSRKNYLCLSRKMNRNNKAKQIS KVDTQQQFGKDEKYTLDQKSNQEGQRLFTNGSYHQNDSTTSRVIINSFQLNSRIKTSADE ELKEMSIQKQLAGNQSNFKNRNQKPTINIVSQYGKIEKQENYAKLQERNYQTSFIDQSTK VNQNNENSVLKYNQSLLESQLNKNNEEIINDQSKTDNKILNGRGDPINTQNSQSNSKIQQ QQLHGNDSSRIDFKDKLQQYNNNNDDDLSSQRIQLTLSDGLKQITYGTHTELSFLDTDPK QNKQSIQSAKYLDNNQEQQLQHNKLDNNRQSYQLDYKNSLDIQNNNLITPTTDEIKQHNN FLSPPQSSRTLQSGKSTKTKLRTLQSNEQKIEDLQHGQQISISREESQISLLDQSLNKQH YLHNHLNSNRPATTNVETNKQQDYPQFQTHGNIDQQQSLQLLQQISMTSIMHSDEHYPNL NQQMNIQVNQCEPAQSNVIIEESIECSPIQKIKNVQSITPSFNNQKSEEHSQQSSNQIQT QSKLQIQSSIQTPHSQQSGQFLINQTQQRMQIPNHNTQNSVKNNNKLTTKQKYQVREQQQ QQRSDDSQPESKLTIESSQGEKSSRSKPVKNASQLKLHVAAAASLASTIKKNSNQNSPHD PTKLEQQEREKSKYMEYQKQIEKMKYERELMEQYQIPDDMPLSQKCAIIEELIQQLESNA DQDVKYQIMLYRQRQEQLKNVAKQDIGFNRYYDNCYDELKHLSEQKLKVLGRDDLNKVSN LKFENQVQTTFPSQQQAYFQQDINSPVQKNLKFISKLDPVEIENQQNRIKEFKQETEILK FFQSFNSQYNKEVNQCDLDYPTEKDRQYAAEYQRGLLPKYTQKRNQITKQDKKQRMNTQK IDPKTLDMMLVANDKIKSVGQYLL >CAK90043 pep:novel supercontig:GCA_000165425.1:CT868657:293001:293508:1 gene:GSPATT00023216001 transcript:CAK90043 MDHFEQPSAKLLYLINEMNRLKMITQLEKCTLKQFILQEHQGIYDLLKQYPKSDTELELA EAIIILLRGAPNSGQQQVQDDGEYQADLVITDDLQSPLGNQLMSRKNYKQKKHQQQDSRP FELNKIQ >CAK90044 pep:novel supercontig:GCA_000165425.1:CT868657:294338:295879:1 gene:GSPATT00023217001 transcript:CAK90044 MKKISNQLRNVDFFSVTYTPAISDGLTYNHSSVLGGIISLIIGVLSLCYCIYYLYLWWSY SLLPKVTEDINNFTDDSQFGFLSNHIQVIANDINGKSPINPFKSDEIVVLPLILDLDEGL NWQPLISNITKDQDLDINFQMSKDKQYMILFTLCKEEYLIADYQCASEEVKQNYFSQMGN TLYTEIEFTTINPSTFEPQSFYRTYPFYIHSNAEMCSSITLHYQMNHYLIDKAFLFSTGQ EEQNYISNSLNYPQYAMKEFCDQYYMSDTYGAFVILFQQQYHTIQIGYPSISEVFAAIGS IVSILFSVKYVITLLNLTQMRQSILDDIMRQYYPEIKQFKIIKNLWGKVVSVKFQGVQVE VPSYLAFQKQIHSQMACKLNYKNLLYEMSRFQFILMSFKRRNEIERFHHVGIKVPIQLSE NGESYQISHGIQNQLKTLNLRHCNTLNTKYDILTINDALILSQEYKKNNHLSEGRSEFEP LQTTNQKQEDLERDQEFYEINYIKPNNELEENN >CAK90045 pep:novel supercontig:GCA_000165425.1:CT868657:296034:297364:1 gene:GSPATT00023218001 transcript:CAK90045 MQQKPDYRKELAETAQKICTPGKGILAADESQGTIGKKFVTINVENNEENRRAYRELLFT APGVENYISGVILFSETVKHATKDGKNFVQLLQEKGIVAGIKVDKGLGVLPGTQDESATL GLDSLASMAAEHYKLGCRFAKWRAVLKIGNGLPSQQAIQENAWGLARYAAICQENGLVPI VEPEILADGDHSIEVCQKVTEKVLAAVFKALNENNIFLEGCLLKPNMVTPGSTNADRSKV TPQEIGYRTALALSRTVPPALVGVTFLSGGQSEEEASLNLNAMNQLTTVRKPWALTFSYG RALQNTAVKTWAGKQENWEIAQQALLTRAKANSEAQLGPQFILQKQENIKVDKAEHPTNP CLWLITNIEYHEISQNKTQSSLFLLESKFFIMLRILFQ >CAK90046 pep:novel supercontig:GCA_000165425.1:CT868657:297421:298760:1 gene:GSPATT00023219001 transcript:CAK90046 MQKLQLLQNQFNPTSDDIFFHIVQAPPDPIFGIANAYKADTSNTKIDLGVGAYRTDEEKP YVFEIIKKLEKEVVNDPTLNKEYLPIEGLADFNKGCQKLMFGQNSPLIASGQIVTAQCLG GTGALRVGFEFVKRHIPGDVYVSKPTWSNHNQVIERAGLNQSEYPYFDPKTKGFNCAGTI ECLSQARMGSIVLLHVCAHNPTGVDPTEAEWLQIADVCKKRRLVPFFDCAYQGFASGCIE KDVFAVRKFAELGFQMIVAQSFSKNMGLYNERVGAVHIVTSNKDISARVLSNLKIVIRTI CSNPPAIGARIAAKILTDEKNFNAWVEELKTVTGRIIKMRTLLKQELDNLKVPGNWDHIT RQTGFFTFTGLTPEQCDLLTKQYHVYLLRSGRMSMAGITSKNVRYLAESIKAVVQNK >CAK90047 pep:novel supercontig:GCA_000165425.1:CT868657:299403:304255:1 gene:GSPATT00023220001 transcript:CAK90047 MICQNNQSLQISIILAAVQFLQLLYFPFQSQIKYAWYNHKLSSQIQEFLSYFTIIRMLIN QSTSLYLMVMYFIISIILLTTLLIIILTIQKSLYNQKVIILFLAKSIQFIMSIGFAEILR ILLGYLLCQPDQNGILKMVYLVDQECWVGDYYYHAIFVIISLLLFIFFVIICSKLFIELR NNKKNTFSQREGISYSYLFIYIIFSMASYSLFQLPKYSICVIAIQNITSFIFFYRMYYKH PFYNQTVQKIWISISGLVFHTNLMQLMAFLFNHLIVQNSMLGWLITCPMAIVIFLGRQNH QIDLIKINLARYQSTENIVKDCEYLVELADSYEKDQTKEIQVLGFLEMHESTCLQANCAI KINKNLAMKFQENPKYTDERLIFKELINQLYQNGINKYPQSIDLRIHYSYFLYDHLADGQ KALHELVKAEQLNPDFGQQFQIFSMKKILEDSFSKTFFGVNTLEYSVSIDRNIQFQQSQL KQRILEGSYQMLQFWNQLLEEIPNLTVLSILGRKVLGSIQQIRKQITLMQKTNTFNQSTK KLICKFSDYVFYMSDTMKFAKININYQSDEVNYQSKFLDQNNLLGSQDLSSYSQPVIVLE LFSNNNQNSIVKNINQATCSLLGFSRTDIIGHSICQLFQSNYSKLHQYVVQRYFTSDSQV QLSILPQRYQFFKTKSQYVILAQSASSILQTEKGVFQFLRLTTDVNYRNYAYIIFNGDGK IENISASCISILKLDIRKLQLKQLNIEQLFPNLLSNKETYFNKMNKIVYEYHKSKVKSLN FVVYEKEKRKQIGFMVQLFEISDKNLYNKKEEKQQIFGYYLKIEQIEHKLVNNSPKIKTK QTTKEFTYSIEQRAFIYGNANSLQDSVKEDNEIQVVSKQKTIFQPKKLSKKQNSSLKQDQ NQMSYGFDIKTLRLFQGKIQEIIDPNQEEDDDDNVKDNTEHSKTEIIIDKNQSNQINTQN DLSLYLQNIPYPTFLRRLVLLNNILLLVLFAISCIMYSTLYVTFLKFKNAVELGAASNQR FSSLMKIQSNLQDLRGCNLNIEALEQQKIGDEFININFLELKNELNLLLEQNNLLTSSDL TINQNYQTYISEFEEPQIQMFSVDNSYQNFTYSQAVQQLIARAITLNNSELSKFIDNNED FHYFVHNTFNSIAKYEYVSQNYYFSNIYSLLDDLKTFEDVFFILSSSCVLLIFFFCQFYL HSHQSYMRNIISAFLEIKEQSLKQIVSKIRNFLQMLQTTDDDDVDQFDLEQNENQEEQEL EELTKNSKKRKFKYSSESENKIQIRIIFVSLLFYSYFCYLYFSSTTIISYSNLLIPLVNI TSYIPSQYRLIDNSIKEMLYDSEAMIMNELNSIDKMNYLIDEIQALDAELHILNQKNEHI LSAEYIQLFNEIYILSPCTIIIEYDQSVTNASCLSFNENILKDGLAVAISSFFENACKMV QEYYYYDPNAIYSNLTYNLSSNHKKNFTYNILNTRDSNDNRQMQKVFIRICHLQLMKELL NQLNNYFSFLAFQLTLLFMIFCIIAVFTHFLIWIPILANFYQEAQKNLETLTIIPVEDLN KCVQIQEHLKNLKELNQ >CAK90048 pep:novel supercontig:GCA_000165425.1:CT868657:304634:305123:1 gene:GSPATT00023221001 transcript:CAK90048 MEHIRNKFKFEEFKSLYSMFYFGAKQYHLEFIQSLKKLRTSQNNNHFDLSFFIKPFGDFE RNHKIIDFIEGTATKMTFADVILGNKEYLVTILQQILTSLEYNNSMHRDLQNNVFSEQSK LKFFKIVDFEYATSQSVNKQLGQLYI >CAK90049 pep:novel supercontig:GCA_000165425.1:CT868657:305738:305950:-1 gene:GSPATT00023222001 transcript:CAK90049 MGICMSNMKQRKDNQQKEGELGQMVKKLRTSPFPEEILKKRISIDTPYNAYRNPILNRRL RVSVTLASSS >CAK90050 pep:novel supercontig:GCA_000165425.1:CT868657:306721:306936:-1 gene:GSPATT00023223001 transcript:CAK90050 MGTCASQKQKKSRNNGELQQVVAQVRLSQDCSVILKQRASQSSYLNPFKNPILSRRLKEM PPSPNPQTQSE >CAK90051 pep:novel supercontig:GCA_000165425.1:CT868657:312327:313729:1 gene:GSPATT00023224001 transcript:CAK90051 MEDFSNDDINYFIAKLSEDCSKSFFDNYQDDSQLLGMRLDEQLIKYIRDKEEMMKTMFDL LNYIFKKQQKILADYEDLKIQGEHNLLVLKQEIQQNQYQIEQLQTLNEENQEKNQQFLDQ IEELENQLQQKKSEILQIKKNEGRISQNHQVFQSKLIQKVHEIKFQEQKEQLQSLQAKVQ QLEKNNSRFKSEAIASFNLLRQYEMKQKDYEDKIEILELQLKSNQREKEILSKQYEELFK NQQSEIITSTQIDITNNFTHNSPQKSTRKNSNYSTYNDHQTIKRLRGQGGYQNQPKLEQI QKQINNIPESESESEDDNQLNSGKTLKQEKNEKKNIQKELNNLKIKIEELEKQKAEMEKE LSQNKEMISNQDTKIRQLETNQKKMNLKSNQLEKKVINLTEGKLNYYFQRKMPQKILTSK KNHQNKINQRNRVKIL >CAK90052 pep:novel supercontig:GCA_000165425.1:CT868657:313811:318054:1 gene:GSPATT00023225001 transcript:CAK90052 MNYVYVIAYYKQIFSENLKEEDKMEAFLRDHESAETAHRQMQKQYDQQLYQINLNQILNI SDADLIFTRARSNASVFKKKDIPIEQTEIFKKKLKEKLDQSLKTALMSEQNTSDILQKTL LQVNEYELQLKKIQDENFKFKLEIEEQKKNIDLLNFKNKELENQNSKEKIEMIEQLKLLD QYKIKVLKSEEQLNLMNEKVNNLQETVDEQFKDIKNLNEKNIQQIKLMDDQAKIYKQEID RLNDRNENKQLKLDQALIEIQHITTDFKMAKIKSDNDIKNLTEKNNKLQNQYDVILKQKE QQESEFNSINDINSKMEQTLQAVMKKYSEIEQKCANLENEKKQTEHRDNKSQTELEIEKE KYFKKQIEFESYKIEVEKQKQENLVMYNRIEQDKNQTIEVNNQLITEINGLKMNETQLNE QKEQLNKKIEQFINQNEENQQKMHLLEEEKQKESEKLKLELEVIKKESEHQVNSLEKRLQ ETQTKFESLNEQYKQLQLSLSASNSDAQKSIEDLQLKYNRIEQDKNQTIEVNNQLITEIN GLKMNETQLNEQKEQLNKKIEQLINQNEEYLQKIHLLEEEKQKIHQLQEEKQKKVQEIEK LILELEVTKKEQEHQINSLKEKLSVSEKSLQETQTKFESLNEQYKQLQLSLSASNSEADK LYQELLQEQLQNEQNHQSELSLFKDQIQELNQQIVKYESQNQELNSNIQQLILAQNLSNQ QNTQQFIQEQLQIIVLESIIQNISLEAASQQSKSLKQLKDDQQIIQNLNQTVDQSSISLQ ALQKLNHELDQELLRVQSISKEESNMILQLKNQLTLKDQDINSIKLQYQQFEQQQNKSLQ DLEDLRILFEQIQKEKLQLEIHLAEQHQLVKEAHTQQNIYSQQLTESKLEVQNLKLQISI IAEKDQDQIVETQNLKQQIDQLAEEKLYFQELTKTFEETIQRKDEEIEDINKSLQEIRQT LTLKTYEIEQLEQDLKTTKEELVLHQQNLFDEQNLRATQDTQFKVQLENYIKSQQRNSED IDLQENKFELLEKLQGQKIEFDVNISTQNEKLRIFELQNLELRNEQFKYIEKINELSEMI NSDSVLKEMQKNFISEILILLIKQSDGLKIINSEEIENQLQDNNDDLDKLKTSTMMQINI LNRQIEQTINNLKDVQLKFVQSTEQISKLEQQLKESQENQAKNKLLIESLILNISGLNEK IEGYQKSSSVNINDITQQQEKETDLLKKDYQNKINRIQEELHRREQDFNALNDQYNQMLQ DQFNKTEELNNLRKKYDDIMSDIDRMTPTRSPSNSISQKPNQIDQYQQYFILTAQAVALS SDDPMAAAEIKLKNFYQEAKNRNLPFHQWHIWLQLKLKEELSKNRKR >CAK90053 pep:novel supercontig:GCA_000165425.1:CT868657:318478:320259:-1 gene:GSPATT00023226001 transcript:CAK90053 MNQKLWIQIPNEIRQRNDKSILKSEFIKLKKNKARILYGYDHYILLAKKPDQPPCKYLKL DFETKFEIIRTPVQKKDEDDDSLGQIIGLNLMRESGQGELPTYKLQGNEKLIIQWREFFQ SRINQWQFHQLFRVFKKIGKGNFASVYLVERIEDGVQMAIKAFCKQAAYAEENGKQAIVN ELSIMRKLNHNHLMRMHEIYETNNSLYVGLELLQGGSLYDLIKEKVILSTKQIQQILVGI LQGLCHMHQKEIMHRDLKLENILFKQSKKMDSVVVADFGLATSVNEPVYLYCRCGTPGYV APEVINMKDMKGHYSSVCDIYSLGLVFYLLLTGKPPFPGKSYATVVKQNREANVDFSIKQ LQNAPNTAIDLLRRMLEKDPQKRITSSQCLQHPFLQETNQLMLEDNQNDFIDEGEESDLC SRMNALNEESAKFDAFRRNQLINSPQSSPGVLATKQLKQQKIIDSQNSLQMNSPLFNGKT DSVDSIPNIGLHQTQQQTTFQASPMIKPSRFKQTIPQQIQQQENPLLKYTNKKE >CAK90054 pep:novel supercontig:GCA_000165425.1:CT868657:320290:321273:-1 gene:GSPATT00023227001 transcript:CAK90054 MKPIIMKAFDKKYKVKTGISIIGNTEQCNIQVPLPECFKLNYDSNILWIQPIINIHRING QGDAQLLRIDKEYELDLTTGQKHIIVQEQTLTFEYVKPKSIKINLIKQNKPNLTIIEPSI NKKIQNESNDQPLTNSQKSKTQPKHYLLVQNYIKQLNGEYRIKFSNFAVCNDDLLKLLKM GIYVDIEQYNILVMETFKRTFNLLLAINEGKMIVNSQWIKDCLSTMQIQNPYLYVLKKNN LSILNSIETSLSQSIFLNKQFNLSQDLKSNLKNEEIIQLIEAGGGSIGNGENSIKIVNNG EAGGLEIEQLLQMILFQRV >CAK90055 pep:novel supercontig:GCA_000165425.1:CT868657:321313:322060:1 gene:GSPATT00023228001 transcript:CAK90055 MLGGLYGLNFKQHSYLFMCLIIPSLITGLGLFYIDEALTTLCVLQFFYVVIPAIYIKFLS KEGELRAYLINELEGRQEQIKRGLSLFRSAFGMVLLSLIFTYYWEEEIMDYLKIPLLEHP AYIGAFMLVLIICNPFLEEWYWRLFLMKTYKESENYRSLIHLFYTLFHFIMLLKIFKSDW EFAIGFSTYFMSIGGSFEHIREKYGFITCLMAHYGMTLAASLALLVVYKSQLQ >CAK90056 pep:novel supercontig:GCA_000165425.1:CT868657:322074:323003:1 gene:GSPATT00023229001 transcript:CAK90056 MESKEKIVSCLNILKRLPPTQIQKNAAALASLIPDYAEELYQKIDKPLDIGQDEKGNQFI QSEFNRDGDSFRSYLTNSYYPAIDDAVYPSEALRKLEIKANAVFDEYRRLYYEGGLSSCY FWDKEDGGFATAWLIRKNVEKSKGIEDGSWSSINVIDIKTDGKSKWTYKITTSVVLEMNI IENQDVGKFNITGTLTKQKEESFEAPAGNKDLDLFHIMKIGTLVEDVESYLRSQLDSVYF GKTKDIVFQTRFSEGQKHFLQQRQELAGEFKQKYG >CAK90057 pep:novel supercontig:GCA_000165425.1:CT868657:323009:323772:1 gene:GSPATT00023230001 transcript:CAK90057 MNSIMNFIKNNIKPFLLTSGIFGITGYGYLQYTAYQNKRELQIKKENEVEFKDNTRPQNQ YFGLSYGFRADQIVLDRLDSGDLVFMKFECMECISVSDIIKCQYSQFTKPYPHYDSLGII FRDNRNVYVLYNRFGDIVIEDYAEFLQNPFWNEITIRKIITKNEIHKTLKPLFYNEDYKQ QFANKPFIPIVLRSTGLIDQKYQDKLDQVYIEDLDVDEFPFFIDGTSLGPKINVRTKRNK DLDAK >CAK90058 pep:novel supercontig:GCA_000165425.1:CT868657:323776:324818:-1 gene:GSPATT00023231001 transcript:CAK90058 MTQDDYTNHVEEVLIRIILITNTLSAVGATLMILTYFKFKELQTFAFRIVLYLNISDVIL ALSNMLLVTEDFNNENPILCLIQAYLINASQLSSIIWTAIIAFILYQSCIKNQNEQALNV CGYLLVGFCIPFSISIYPFFTHDYGFAGISCWIKEDITNPVRSTIIRYINFYIPLIVIIL YNSSVYVYIICKLYGISQQQTKFIYKMVSYPLILIICWIFTAFNRTYEEIAQQQYIWLLY LSKALSGLMGFFNYLGYGFTPQVRDSCSLYCCQKNTKTFSVELEMKHEQSNENNQNTEEV DSRNSVNSQRDSVQGELSFIAELY >CAK90059 pep:novel supercontig:GCA_000165425.1:CT868657:324850:325155:-1 gene:GSPATT00023232001 transcript:CAK90059 MNIKLIFIFLVLLAQGAFGVVTKVTECQAKCDKQVNGCIYSCAQTQLRQSLVTQERCQKL CAGVNKECKNTKCYLDP >CAK90060 pep:novel supercontig:GCA_000165425.1:CT868657:325184:325715:1 gene:GSPATT00023233001 transcript:CAK90060 MTSNINILFDKPKLTLTALEKVEQYDFDIIADKVSEQLKYVSQRLIEGQQETDLPYDKEN IILPRQQTYIQKVKDSKFAKKKKNRMVWDENKKDWALRKLKKRDSVIPPIIEATKQDAYE DVFRKKEMEHELKKSQKQLRSVQKDRKKQKKLQTIKKQL >CAK90061 pep:novel supercontig:GCA_000165425.1:CT868657:325989:326273:1 gene:GSPATT00023234001 transcript:CAK90061 MLSVLGTFFCLLCGSRKERKLVLLTIQDQNHNMYYIKAKPNMKIEEVKSKCGIESERSSL FLEKTLLDDDAKLQEYEITSDTHLTLLLHEEIES >CAK90062 pep:novel supercontig:GCA_000165425.1:CT868657:326321:326800:1 gene:GSPATT00023235001 transcript:CAK90062 MIKNNNNNALRSQTPFMSENHPLNPYGNNFIDHPYESKIFYKFNSVKQYVHLEEDDQFRI SKYSAYFAFGLGGTLIGAVGGFHLLLKYVFKPHYTNSFEHLNHYKHLYLGLLVASSVTFM YTYLTTLYINNVSRPLLYKYLDEAKKNGFQDYEISFKQQ >CAK90063 pep:novel supercontig:GCA_000165425.1:CT868657:327056:327424:1 gene:GSPATT00023236001 transcript:CAK90063 MGLCNSKSTKQSQTYSKQSTTQCITPIIHKKTNIKKYKKITFCDVDTQQKPALSEPKSPS PPPKLCYSSSNRSFNKDIQFGQLRTPKEKYNQVALVLQSKQTLFGKTIRFSNSVKRGQRV FQ >CAK90064 pep:novel supercontig:GCA_000165425.1:CT868657:327582:329467:-1 gene:GSPATT00023237001 transcript:CAK90064 MSQPHGSSIISKDNPNQAPSKNTTNVFTDLLFSTFYTVIGYVCLDVVFGISLHYIAQHND RYSVAGLGFAYTIVNVLLIPMAFGTNQSLNVHSAQALGANKPKLAQTYFTLTTLTHLLYF IPFSVILILIKPIIALTINEEDREATSDASQLYLYYLLPSTLFAILYECIKSFLISNKIF AVFMYIQLFTAIIHIFWCELFIDYLNVGGGVPGAGLAIICTEALNLILCILVIVCTKYRK KVFSNYRFKFSFKRQKKIFKSFLKESFPIIAHIYADFFVFFLLSFIAVGFGSDELYAQMA LSNTSTFYYRFPMSLSLALMTFVGNEMGSQNIKRAKRYSLVGMILFVGFTTIFMVTLTFI KEQWVDFYSAGRKEINDIMLEVYPIFVFGFFVIDGLQGTLTGILKGIDRKDFVTYSTLLV YYLIGIPLFLYFACDFGLGLKIYGIWLSFSLVNALLAVLYIILTFTTNWDKMSQQICLRI EKQHAMQNLNDSLNKVQIDNDTKVKKGKKIKQTKNNNKQNQYEQASSENNYQKLIANQIR LRGSDNEGMQYSLQETEPTKRQKIVIVDQLTSLS >CAK90065 pep:novel supercontig:GCA_000165425.1:CT868657:329522:330608:1 gene:GSPATT00023238001 transcript:CAK90065 MLENMLSPKNIQSQSKQSPKKIINDFEYRLEDCLGQGEYSSVFKGQDRRSGQEVAIKVIE NSRLNSNFSRQMLNNEIESLKKLKSPYILQYINYIYTPNNQYIITEYCNEGELRFVKNRS DQQLLRIFHQLLQALKELKSKNIIHRDIKPPNIMMHDCIPKLADFGFSANINYLELQKSS FGTPLYMAPESLLNDMYSFQSDVWSVGITMHELIYGNVPFYHDKESELKKILQNYVNNPM LIMPKSIFIPLLKGMLDPNPNTRLTVEQLLSMITTDQNTQNISQSGFNFLSNFAQTLQHF ESKVDDTCLRWAILKILSTKFKMNANAQYLINQQINSLIQQFPQ >CAK90066 pep:novel supercontig:GCA_000165425.1:CT868657:330968:331818:-1 gene:GSPATT00023239001 transcript:CAK90066 MLKKYSSLAQMQQESARSDRFLYAYRNNVYDLTHFVDDHPGGRFSLQTFKGKDLENILFN ASIHRHQPSVLSSLEQYKCGVIEVKTPQDNKPKPQAAQTAKANPPQKKEQISKIQLTDDK TNKSNVTSQSKISIQQNSNLLSPDSNQIKKSNLKQTSKTKPTNKAESIIAESTKTIKSKS DLNILNHQKFQDSNQGSSSQISIATNPKISLQSPQVIHEEDESQPSPINNADKYECGLIP EDIINLKVPILNYKLTGFLNHKNPLKN >CAK90067 pep:novel supercontig:GCA_000165425.1:CT868657:331938:334283:1 gene:GSPATT00023240001 transcript:CAK90067 MNDLYGRSASIMESQQKLELFISCRGLANMDTFSKQRSLRDNKVGRTEIIQDNLNPNFAK TFIIEYYFECQQPLKFVCNDDDGNGKFDFIGSAETTLANIAGARDQLLMLNLSNGHKKTG VLVVRADQVRMINDKIIMQISADNIPNTRFLPWHTTSPFFRLYRIRKDTNQQLLVYESEP LKSTLKPVWKRVDIQSQKLCNGDYYMPIKIEVWDYRSSGNHEHICSTEFSVDELQGKPMF KKILFDKNKKQSGQICFNEFKLIEKPSFLDYLQSGTQINLIAAIDFTASNQSPKNPSSLH YIDDQYHRMNQYQQALLSVGEILLNYDHDKKVPIFGFGCKPRLHNLNTPQTLHCFPLNGN PQDPEVLQMDGIMQAYNYAVRNVQFDGPTYFNPIIQESMRIAQTCKDMASNTYFVLFILT DGEIHDMKQTIDSIVASSHLPLSIIIVGVGDADFTNMSILDDDDGNLHDSFGRRTQRDLV QFVPFNQFKNNPELLAKNVLQELPDQLVNYMLLVGRKPGPKNCNIYHLFSLVINLGQFDV NQYSQQYGLQQQIMQPNQLPQQQQSVPQIPQQSSFTNIPPPQQFQQPPPPQQYQQPPPPQ QYQQPPPPYTQPQQIYQPQQPPYPSQQLSQYPNQQQSTYPQQQQMYPPPNYQQQQQGYPP QQAGFQSKFIQGLANTNFLGQHINDAQQQQLNQQMPQFQYPPQEPENPIGMQPQPNQYQQ AKQPPPYVPDNPYGL >CAK90068 pep:novel supercontig:GCA_000165425.1:CT868657:334669:336294:-1 gene:GSPATT00023241001 transcript:CAK90068 MDNRQGIEMEDEFLALQSPQPLFQKIDCFVTLGQYDLDEDENENFPQHIQIEQPEIKNFR LHKFFNAEPEVNQFVQKQQEVQHEPRMRPQRKMSQSLPSFMEEIDTLISQAIERQNVFLE QQKYNDALNNIDNFTFLEQSLSQPQVFKSFYSQYIRTINKLKLYRKQKRLDVKQFMAFVC SLGIEFIQETFNLIHKADSSWCFELLKLEGLTQQMQVENQQQLLQDCKLQKTQFQQQPFS QKQHHVDINKEQYKAQSIQSVEQQQHAVNQQSQQQSALSIVNEAIEKKGRKTWSNKEMET LQNFINTYQNSSITNQQIQDLSKQLGRTWYSVQSKIQKLKKNVQDQLSDVRSQSMKDDPS KEQLKMQRISTKYDYTVEDMIKITLQQLEGKCGTKQKVIESINQNFFNGQLSEDSACLRS ISITLSNNRSQFIRKIKGYFGLNENYQDRGEDDNKMRNKLVWILQNLPEKRGTLQQIVAS YTENSFLDEANNKKLQKQISQTLRLSNRFDKRNAKTIYKIQD >CAK90069 pep:novel supercontig:GCA_000165425.1:CT868657:337543:338734:-1 gene:GSPATT00023242001 transcript:CAK90069 MILIFIYIGLVISKELGALLETVKNNEYSMSILKQMKQYLTEKKSPYEILVYINEEEDKL VSEIIDDMNDYQQEQLISSQKKQELKYQMMLTKFTSALQADELLLLSNEAKLSEDIRMQR VDLLLELGQVIPQLEQLLKDELTAFDLENSELALFLESFNEFIPSSPQKLNGQKQHLKEN PEAILKEFITTNTDDSPFNLLISQIQQSQGNGNISDAVSKSRDLVNEIQKSLQSTIKSKK DLIENVKQSLTQDNEQWKLDIASIEPIAKITKEKLNQLQKQKDELQVMLKHQQTEFNELQ ENIDNYYTSYSSKRLQDMNNLNIIRMIKQFYSEHLDVLEDFMKSKMQ >CAK90070 pep:novel supercontig:GCA_000165425.1:CT868657:338929:339709:1 gene:GSPATT00023243001 transcript:CAK90070 MEENAVVSQPKGKTQIVPAAGKSRDEMRIFLFEFFGMALFAYGIICSQGSDEFLALFFFA SVCLAAPFSGAHVNPAVTLAMLLSRRINFAQAVIYWLAQFSGALCGACCCYLILNEVDSP EVKSTDYSWILSDVSGEAFGTFTFILFILIQTDPETTLTPGGQPMTTYVLVALALYFSRE FTFHSGGCLNPGMAVSLQLFQSFQTGDRQRMDFLWVYVGGPLGGGFGASVFFELFYKKQI KRL >CAK90071 pep:novel supercontig:GCA_000165425.1:CT868657:339921:342448:-1 gene:GSPATT00023245001 transcript:CAK90071 MTSFEMQEQYSLQDSLLSDVSSMSIEQRSRSRTLNKTVKWKLEQFHEKNVMQEEQEKIQR VLKIYDDNLNDEHGQSDSNFFEEYLSWLPVILPKRVWPWKIFVAITTLFVFFEVPIYVMY GEEFWKELINPAGRYTLFYGIFIILLTDMLLDFVTSYYKHGNLVLDKRKIAMHYFYGYFV FDCVALFSCVVRLAVDTNHLRFIFFMFYFKLPSLLRIDHQIAELILLHKRLRTFYQITKM LIFMFFCFNFYCCIWYVLGIYGDNIHINTWLNVPGNFGVIKDKSIHEIYFYSFYFSLNVL STTMGFGDISPMNIYECSFALFGVMFAVVVFALNINNFQKMMEEYNSYYMQRFKQKVSIN KFMEQKNVPSELRERIRQYINEHWAEEGSRDQESEQQVFEILAPELKQELMYQSLGQFLQ RTMFANHFTKPFLKELAQKIREQSFSQGDEIIGVGSTEQTDDLSIFYIVGGSVIVKPGNS EIIKKKLGLYSYFGEWSFFTGFPRTGTVNAKEYTQVYAITRADFLETLRKFPEDFEMYCQ IRDKLLFTKEYNLVEQQCYTCGSSEHYADQCPKTHYIPLVQELIEESTVLDLKQDRVKIQ RFDRKQWATRANATTLIKGLSNNKLKKKANLMLKTQRALKKNTIKIDRPLIPQWQVKEFK SLDEEQAANPMVDEMTESQNLNIQSNDNEIPQRQQQSSQLKNFAIQALTQSGMQQSALLS SRVMENPNQSQSQKRENVMAEYQKIVQPTIKTGVEQFDAPANYKNYYRKYNSNNYQEFKN VQIIQKDKIQNMRQSIMLK >CAK90072 pep:novel supercontig:GCA_000165425.1:CT868657:342530:344100:1 gene:GSPATT00023246001 transcript:CAK90072 MFKTRYLITNQFTHFQRSSFSSQPFDLVVIGGGPGGYVAAIKAAQLGLKTACVEKRGSLG GTCLNVGCIPSKALLNISHKYEDAHKNFKGLGIKVDNLGVDWAQVQKKKGDIVGSLTKGI EGLFAKNKVSYYKGWGKFTSKNEIAIDLNDGKKETITTKNTLIATGSEPTPFPGLDFDEK IIISSTGALALQQIPKKLVVIGGGVIGVEMASVYQRLGTEVTVVEYLDNICGAIDLEVSK AFQKILTKQGMKFLIGHKVLGGKNLGNAAEVVIEPVKGGDKITLTADHVLVSTGRRPYTQ GLNAESIGVKLDNRGRIQIGHNFTTGVDGVYAIGDVVEGPMLAHKAEEEGIAVAEILSGK VGHVNYDAIPGVIYTNPEVATVGKTEEELKKAGVQYSKGSFPFLANSRAKANDEIEGFIK VLTDKKTDKLLGVHIVGPNAGEMIAEAVLGIEYGAASEDLARTCHAHPTLSEALKEACMS AHFKPIHM >CAK90073 pep:novel supercontig:GCA_000165425.1:CT868657:344102:345880:-1 gene:GSPATT00023247001 transcript:CAK90073 MSKQPKQSLYEAFSKQLKPQMSTTLSNLKPVVNERPATAKPLQNLSNAPVRTQEDQTKKT VGRSPQRTTFERPTAENKPMVSEIDERPIPKVLQKGKSPQPTQQLPNPNEMIRIQDQRQF DDRPLPTSKPSLDSQLQINQNKQFQNAKQASTTQPTQSQQYFKAQPNIQQTANQQQQNVV NNKQQPPAQQQQQTRLSLQNTQTSRPQAQSNQQQSQPQQPQTVKSQAQLKQAQYEEQKQQ QEQFQRQQKNQEQQQQRQYQQYQQAQQQQRTAPQAPSQVQFQNTQYSEYQQYQEYQQQPV QHKQQNGKQPMNSQQDLRGQQQQQQQQQQRPQQQQQQQYAQYQQQQQQQQQQQIQEQPIK TRQQQPQYQQQSQPQYQKQMQQPQQQIQQPIQQKSQMPPMEEAGDEKLYECPEGCGRSFN KKALEKHAKVCQKVFQQKRKVFDSQQQRQLDEEEEAYRPPPPPSKKQQQQQQQQQQQKQA QKQKESKSDKPKWKAQSDAFRAIIKQGKGEQLTKEEQVSLKNAMDATQDLVQCKFCNRKF NSETAKKHIAFCETKAKQAVTQKKKK >CAK90074 pep:novel supercontig:GCA_000165425.1:CT868657:346282:347977:-1 gene:GSPATT00023248001 transcript:CAK90074 MGACSSNPKRKYASKRGSQSTFNKTQEVSTIKSNKSLQKQRTNFKVAPNIFISLKSGSIL TYYKVQSTLGEGTYGRVSLVMQKSTQILRAMKQIAKDKILVSQRDKMIQEVNILKNLDHP NIVNIYELYQDEHQYYLITEYLSGGELFSRVQQRNNFNEKVAANYMKQILSAVNYCHQRN IVHRDLKPENILFDSKNSDDCLKIIDFGTAKQLEQNSQLKQKIGTPYFIAPEVIDQNYNS KCDIWSCGVILYTLMSGKAPFNGASINDLYKNIKSGQVDFNGDEWKEVSEQAKSFILKML TVDPGKRISAELGLKDPWIVSNQRIDKINPKNLQNLESFHNKSKLSSAILQLISTQIMNS KEKKELIEGFKAIDKNGDGKLSKEELTQCYMNLYQDEIKCSQIVDKIFRTVDLDHSGTID YTEFIIGYTEMQNLMAKEKLETAFKLFDKDGNGIITKQELKEILGGLNLQDNQWENVFME LDTNGDGEVSFQEFTSLILRDTKQK >CAK90075 pep:novel supercontig:GCA_000165425.1:CT868657:348527:350207:1 gene:GSPATT00023249001 transcript:CAK90075 MSNQKEFIKKQIGEYIFEFSQEIGSGYSSKVYKGQHIKTNQVVAIKVISSQTYTSPIQKS LLKNEIAILLRIDHPHLLRVYEISQSANNTYIVSELCNEGSLEQQMKKTELTTEKVLSIL LQVSKGILALHEKKIIHRDIKPANILIKDGIYKLADFGFALIEDQIESVIKRFNVGTPMY MAPEISQTNQYSDSSDIWALGIMLYEMLFNCIPPYKQDIKTFHEDILKKCQKQDFKYQSL IKTLLFGMLQINPQDRLNITQIIQMLKQDQCQSDTKSPRVQSPLQKLNYQFVQSSLNSPL STSKPQTLDSQRQKYQKHVQSHNKALTYDQKKFDSMKIFSKTDCKVNSVSDFATQEDLPK MSLKTSNENQEQIGQISTECSFSTQGVMQQQQRQKFQPLLTQLPAIILPTFNFCWFLENV IKNMNMQNEQKQKCNFLFRKLLAIKAKAFYSFAPKQYKDQLNVWINQLNSYYEKVQHVLN FTMDNTFLIFFNTQLEDQGKLLSMYLVSLMSQIILKESNTDYEVLIDILQENLKHQNDPF LFARKWAHNQQK >CAK90076 pep:novel supercontig:GCA_000165425.1:CT868657:350310:351166:1 gene:GSPATT00023250001 transcript:CAK90076 MGTCQSQKQNKNFANQINQINLATQFPRLTDQIHFFDQISLEQLNQPDLSAFLSKHINKN RIVNEGKTWIVVNEVKCILNTKSIVLIRNFIPETDAAMQLIYLLKQLISVSMRVQELQVD FNEYAVELFHYFQAQLVQVSIEVLLAVNTLMKKQEHWWNDNYFSWRKNYIQSMFKIKQPD VEKVEIIHPFFEYIALLKECAKQFCNQLKSPDLIAIDIKAQQEVLEQFYNQLRTGFLQNQ STQVDSINWEHHLASKQLEQRLQTYTLFIKKKNSIS >CAK90077 pep:novel supercontig:GCA_000165425.1:CT868657:351202:352181:1 gene:GSPATT00023251001 transcript:CAK90077 MKYFIINFVSGTLSSCIHTLIGYPLDFIKTRIQIQHKKQSMIKAGIQIIRDEGVKALYRG VSIQLLNSVCAGSIYFPTYEHMRKWFARMDNLNEHSYLPFQQTFLAGSMAGIASNIFACP LEYGKILSQKTKIKKMEQTDGPIHKLYQILKTQGFFHIYKGLRLQLMRDSIGCGLYFVSH AKTLQYFTPQGRDRTEASQFGILMASMSAAASFWSISYPIDIVKTRYQVLNESTTSIIKQ IYSEGGALAFYKGFQVTVIRSVVVNIFSLCTYENLRRVGFKYF >CAK90078 pep:novel supercontig:GCA_000165425.1:CT868657:352549:353071:-1 gene:GSPATT00023252001 transcript:CAK90078 MYYIQIQIMQYILITISNNVLKQKQIIQCQIIVIMLGDCGVGKTTILNNFLDINGKTETT IGVQHHSFTRNNVKFSIWDTAGQEKYRSIVSSHYKRAKAAILVYDCSSESSLLHIDKWIE ELVFQAGANVKIALIGVIGSKFQFWLEQNRLIKFRYK >CAK90079 pep:novel supercontig:GCA_000165425.1:CT868657:354217:355268:1 gene:GSPATT00023253001 transcript:CAK90079 MIQEIKPVIDEGINLRCRFYENQYPKENDLVVVEVVEVQENASYVELLEYDRIRGMIPPN ETTRALKGGIQKALKIGKIQVVRVLRVDEDQGYIDLSKKKVAKDEELMSLQKFADGKMIH SIMRAIAEKCNINIQELYKTIVWPLQDNKQNVSVLQIFKNALSDQKNLSKLNLSEQIQLK LMEEIERRLKPEPVTIKTEFDLISHDYAGSLIIKEALIAGTMKSTEECKLSFEIKASPSY TGRTTTISRDGVKIMKDALAEIENVMKKYQGQMKVKIEPKVVGNQQADYIDEAENEDGED EYGINQDGGEGDDDDDMGMQ >CAK90080 pep:novel supercontig:GCA_000165425.1:CT868657:355942:356602:-1 gene:GSPATT00023254001 transcript:CAK90080 MSNQDLNIFPHLKVNVPSFLLKTYEILENDSLTDLISWNKEGTSFIVFKPSDMSSKVLAN YFKHKNYPSFLRQLNMYNFRKTRNQFGQSEFRHRWFKRGLKQQLNHNLSRSTLQYIRRRN QEESDLRIETKESSQELDNYKREQESLKQIVKDLQETQIKLQEDLNFQQEQSVTLSNQNQ NTLQVNYLDYLGNKLNLIVIQPKV >CAK77063 pep:novel supercontig:GCA_000165425.1:CT868263:28:656:-1 gene:GSPATT00012569001 transcript:CAK77063 MESITDPENKPLIIQFGGGPGCSSLAGLISGVGPYVKLWGSDKIELTENQYSLHKLGNVL YLDIPAGVGYSTLHDDNYQWSDTNTGLDSYETIKTWLNAFQNYKAREIWFSGVSYSGMYI PCVAEVVVNKNKQFPDDKINLKGIMIGNGVLVNEDEFIFKLNREYLIKRNFFDLITQNVM KVSCTKYPNSASCQRALEREKI >CAK77064 pep:novel supercontig:GCA_000165425.1:CT868263:1240:2955:1 gene:GSPATT00012570001 transcript:CAK77064 MSQYDLILERIITHSGCFYEMEEKMRKTLLFALSLSNQFHHKFLEDEINNKTTIQKTKLL RHYLRCLDLNKKYYIFDFVQGHPISKVIKIYGAKQESMPIEKIHNYLKQLLEALHQLHKL NILGRVFSTENIIELQDNSITLMDFGFGPELKQAQFNLLAPPEIVQNFEDNIGQGLQYGI EIDSWLLGAFLYHLVTLKPINLVQENNQLKAYTYSQLRQYNSFIQYQMKKSKNIKVESSY PIELNQFIESLLRYDSKERLSFLNIYQSQYIQKVLRLRNCDELIQFYSNLNLTLIQEKIL LREGVDSNKFLQTSDLQQISSPKSFLEQCQLNPDFDSNLKYFELQCSIPRNLQIQSVVPQ VSQYDDRKNSYLLELPLRMQNGLSKFEKIFKIINLEQFRFVILINTAEEVVLLLSDKQFG QLNAIEYFFKKMAYLIMIEILEKLNSENSQWTSTQEEWQDFQKLDAKLTLIQKITSNIEE ILIKLKNLHQICYTALTQNELIDENIRQSVEQDQHIQQYFWLYFIRIFKERFQKYDLIFI QLFSFKQI >CAK77065 pep:novel supercontig:GCA_000165425.1:CT868263:5308:6179:1 gene:GSPATT00012571001 transcript:CAK77065 MNQSLFSFNLKALLPMENYQLILNEQTRMNKYIHSQNTIQIKELDLEQMTRRKSCHCGQC GAQSQFQFKTMNIPLKVREIPKEQEFNIPELIITKPESRMSTFYKKSSFKNLQILESKDQ PNNTQLSLNIHGLKRAYNRQNTLLKLITDGQERSKRRDSSGLFSSSEFQSLNSLKSLNIT TSPTHSFLQNQKNSIQPKSPLSLFSPKRRHKQIDNKFLSATNKRNYNTYMINSNKQLLIK PLKLQTSLSTLGSSFPKLNNKKYDYKQNTN >CAK77066 pep:novel supercontig:GCA_000165425.1:CT868263:6312:6713:-1 gene:GSPATT00012572001 transcript:CAK77066 MDNQKKCLYEKFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMG DKNTQYTGRDLIEVHKNLNITNQQFDKFKIHLKNIAQDMEVPNQDIDELLQQVENHRDLI VFSK >CAK77067 pep:novel supercontig:GCA_000165425.1:CT868263:6993:8737:-1 gene:GSPATT00012573001 transcript:CAK77067 MQQIEELICPECQMMFNEYDNLPLMLPDCGHTICQILYCVQKMGKLIIYKSIMAKNKSDI SQFPKNCQLLKMVIKHKAQYQQSRQSARNDDSDIGYQLQLNNLISNDIPNDLCQEHLEKL EIVCFTDQVRICTRCALFGQHRHHEVRSVDDVVKEITQKAENIMQIYQKILQKQCELTES KYFEPLQERFSIVLAESHNTVKEKFKELHQLLDLKEQRLIEQLTTLTQSLEQQTKKQIKE LLQSSLSQAELWKITAKDRLVYFSTKTENGELPLDLLNNQDYACVDKGKGIQEELEKIQK QLDLKLQNIKIKKLRVDLKKTEIDKSFDNLFTITLQLSRVNNPTNMTKSSQILKTSNSLT DSTLLQDIKRNESFSKLCGQDVFSSFCQDEPMLLKDIFMADWSESLMEETTNITQVRSPN RSSLEQEDFVKNKMGSGLKELKEIQPVSVSQNIQSTTPTKPERIQIRALEKLKGSFQQPS PGRRDIEQVNSIRQGISPNTKIQDCKRKKSLRSMRNLKVSGSHLKMIIQKQLISQVQTWE MKGYNLLVIFSEYRRELNNLNW >CAK77068 pep:novel supercontig:GCA_000165425.1:CT868263:8788:11709:1 gene:GSPATT00012574001 transcript:CAK77068 MYQSQQLHKPLDNDLIKEYTRNGYTEEEIRNAWEVTSGNMIQFQQMLWGMKNQSFIISPI NQQFNDDIQVAVAASLSLHQKNIPDGKVRKENFPCGLLNVGNTCYFNSLLQTYYSIYNFV LPIVKCEFDHIDLQNIVDKRVANSVLLLKNLQNLFVLMIGSDRQFVDPKQVIHSIYDDFG KALPIGDQKDVGEFNNYFLSRIDEGMTYLNQSLLKSQQPNQEYQIAQSQVYPQKTNQHEQ NKSFISQKSLLVRQSSVVAENSVLYKLFYGKFAPQLTVQGLGSKDCEEEIFNFIQIDVGN KFLMEGLEKNLNQVLEYKNEKDQYQQAIKSFWISQAPQILSFQIQRVEFHKEFLTFAKIN SPFQFDKEIYIDRFLLSNQKIAREIQSQNQQMSDRLKKIDLELEQLNSFNGNIQIIQNLE TTLQFLKLQTPNEKSNPYYVNSKDNKAAIDSISQYHQYFIQKRDSLLKEKKELESKISSS YNNLKKQKYILQSILMHEGSPDGGHYFAYIYDSDDKKWYLFNDIHVQEETEQNVFKYAFG DSLNSKSAYLIQYVKDEKPKQNQNQNFKQRLYSTSQNINNYLQDGYGKLLSQKQKDILHK DNLKLKQEVIQQQLIGQIEIIVSIYLQYFDNANEKQKLYQLKQYQQITYKPPYIENFAMF LKTKPDEKMFRWVILDYAIKKMIPQTNGIFGPPDLEQNLKTLILEEISKFGQNKPIQPIP QSQEYQTSLEEYKLTLKLLGMIAYILEQYNNNSLKETIIALHVYMKLAKLTNQQSFFYNM ALQMKNLILLGIICKIYYQKNITDSEILVLKLLIAFQMQEHFLYKDPKQWSKQLLNLIGV ALSTYGQNEKQTQDVVKPLVQSKKDVEMCALLDTPSQNIEYHIQSIWSIYLKKQFEDQFE DFLQDNLMVSLQTFYQIKCTSLLLEKITKSDSILDKKDIFKIIEENPQNK >CAK77069 pep:novel supercontig:GCA_000165425.1:CT868263:11729:13124:-1 gene:GSPATT00012575001 transcript:CAK77069 MTHYSKHDPSGQWSRLIERQRIQQETQEKQEKEEQENRKRLYREELERLINEQKQTTIQK TKYDSEFAGAMQKFLHQRDNVEQVKLQELKQKQSEYIRYSLEQEQMKKEQQVFLFTITFK RLNFLQDRQQQFQIGLMMDQAEKKDLYLKQQKKEQVQKDLAQSYAQQEEQKKMDQYLARQ REQQILQEQLQRSQKEEEQRLLHLSKIKYGYHQNENVLQKYNDLYLQKEYKEKELQRKFI EEAASYKQRQEEEKYIMERVNKEKLKRDTVDTILNQIHSKQQSQREQQNSKYKDQEVLHG LHQKFQKQEKEQCEKKNILQQQYRQDLIMQIEEDKNRKQQEASMSMVELNMNKKIFEAED DPQLAGIGVVPGLSNHVDYEKLKQKQLFFLRFRAYVDGTFKKSADSQMQFKRQRNVSAYI AGNNVTQNYGY >CAK77070 pep:novel supercontig:GCA_000165425.1:CT868263:13237:14369:1 gene:GSPATT00012576001 transcript:CAK77070 MRGQRPLSPQSNQQQSEKMKLAQTAIKKRTSKPNFFTTYRTHVIISIIIGIIIIVVLSFT KQIKEYIIINQSEINSHNSQGYPYTLGPNNFFHNVTLMQAKTLFKNDFTQQINVEKCKVP INFEIPTYFNFKESYPNCSHTIFNQGNCSSSYSIAVSSAFSDRVCKLNQTQQLSAQNLLS CDGKLNQGCTGGHITRSAEYIIKHGLTTNECHPFRGDDNFQECTKALEKCQRFKANSFCQ LQNKDDIKRDIINRGPVVAIMQVYKDFLVYRDGVYQVLEGTPRFHGGHAIKIIGWGEQNG YQYWIIENTWGTSWGTEGLAKLAMDGFIDLSLQALSIIY >CAK77071 pep:novel supercontig:GCA_000165425.1:CT868263:14384:14900:1 gene:GSPATT00012577001 transcript:CAK77071 MNRTPSHSLYMRNSHNQSHSPIPQQKFITPEKLVRVSGSSGVKMNSIQKNGTRIRSEAVE TVNKAKEQQKLQEYVQEFEYKLELVQQKNEEQQQLEMRILMLLQKNHDQAEEMELLKQEN EQLKQLLYEQQTQIVEQSQEILALKVYKDGLKKLSFSDYFRQQNI >CAK77072 pep:novel supercontig:GCA_000165425.1:CT868263:15447:16626:1 gene:GSPATT00012578001 transcript:CAK77072 MQQFDKDILVSEVVQIRNTKTGSYLAATGFNTQEKGFLFSSTTNINNYYVVGSDDPYNHY TLWTIVKVFDDTNRINYGDIVFLKNLSTKLYLIYEFEKLSEVSNQVRVSLNEKRQENFPL ILQQQGEHIFSSKQYSIKNNMHLKIQTTKLTYFLQTSNKNYTSKQVKDYKEISCGKESDY NNWEIVKLNEERQQFFEIEPTQQLKINAQEIFDSDKIIIRNYKTGYSLHSHKKQYASTGM QEVTCFSYERDVNDWWVIQQTYQMASKQIQDQMPINLVHQETIKFLSVDEYNLAKSKNGN QVRATQASMQQSFIISTIDNQSLIIGKPFFLFYQPLNKYLCQGPSISEMQQTQYEAIMVD KITNSCLWVIEKKIK >CAK77073 pep:novel supercontig:GCA_000165425.1:CT868263:17141:18049:-1 gene:GSPATT00012579001 transcript:CAK77073 MIAYFVHKYQRGPLRIYNQINLEGKIVIITGASAGIGKETAKRLAWQNATIVFACRDEQK TMKVINEIKQVTGNSKLHYMNLQLDDYDNVKEFVKNFKSKFGVLDILINNAGIAQWWHKY NKNNHELVFATNYLGHFLLTRLLIDSLSKESRIIIVSSVMHELIRSKLNFNDVLNGKYLE NYNNTKYCGVLLCLKLSQMLKNTKVVALHPGVIKTELLDKAGNTILLQLIQKIMFWFFRP MTLSVEEGAETTVYCTLMPYRELLTGGYYEKNRLSKHSKSIKKLNQVEELWEFSNQILKE YL >CAK77074 pep:novel supercontig:GCA_000165425.1:CT868263:18290:19238:-1 gene:GSPATT00012580001 transcript:CAK77074 MLLSYYQKVKPLIAAHRGLSSLYPENTLEGFKSALQFSDFIELDVVLNKDGLPMVCHDAF LSLVSDVKNHDKFENRKQSRILYDKEKSDWWISDFTFEELKELHLSQCINNRKSEYDGHL QIPSLKEIVEYFLNYNQNTENKKGILLEIKDFEYHQKYCNINIAEIIHNFLKEQGLSTLH ECSQKLPIVIMAFEKQVLQYFSARSDLPLAQLVWFEDKNIPTITEIAQYAKIVGLDLRLV WQNNQTHEYYNEAKKNGLIIYGWTFQDDSEDIKKLFDEQDIGKIYQRSSTLLQGIITEFP QEAVKFIL >CAK77075 pep:novel supercontig:GCA_000165425.1:CT868263:19359:20025:-1 gene:GSPATT00012581001 transcript:CAK77075 MQRSFPTQSLPIINRKSKISELEENLFSKLDNLFIDQPKKEQVQTTQPKVDLLEQAKQRI QQKKEANPSFFEEGRKKLAAQRKKETFLITAVNSIPQKQQYKKSSQKQEHYKEETDCTNM LKDLIAQEERPYDDLAELRRMIAQTEQCMVEYVDDMHKLNKNVVKYNNEARKIGMGVDAQ LFDFVDHKCNKYLTKSKTTAIIKGKKY >CAK77076 pep:novel supercontig:GCA_000165425.1:CT868263:20063:20458:-1 gene:GSPATT00012582001 transcript:CAK77076 MKRNRVMSTDGVLAVITDQLLTENKPRFRKLTKKQMMQKKHTNDQDSSFEIIEKNTPQLK NTKIKITKQALDHFINKTFVVISDDDAEILDKNSNSIKNETTSRSSSILSSSSSNLEKCD KAEKKVKLGEN >CAK77077 pep:novel supercontig:GCA_000165425.1:CT868263:20536:21441:-1 gene:GSPATT00012583001 transcript:CAK77077 MKRYRNRRNVTVKREIVQKKNKFCQSFVNLESKYILDYSHGQTRRISCYGDEFGSMNKFQ TRFKNILPEFKTKQSIHEIEKREKRRRQTQLMEDVAILKFRRGIQDNSKSKTIVQTLKML KTSNQDFDEIVKQIKQPSKNDNINRRRRYSCYCTQCGKMSEKQIRHQNDPFFDKINKFLQ QIKNQSSKLRRHKFQDKLKSHISQENIKISYVSQNKSPINKYKSVSRTTKLLLEQSQTKI FQYSQRYSAFPSHRVKTEPTQSSPRSFKLYPIQLNKLRQGQSTYKSIHLKDSFKTLASIY R >CAK77078 pep:novel supercontig:GCA_000165425.1:CT868263:21489:22295:-1 gene:GSPATT00012584001 transcript:CAK77078 MCLTSIIVSEQKKHRSFYLVQTENIQDEQKQRRKSCTCNNCGRLNQFQFKHMNVSSYIQQ FSKINSNVNFECKSSFQRQISYDNIKIGINSNDQNSQRLQTLQQYKRQKSCDCQECGLNT KFQEFCKSIQINRGKINKSIRKQNLEQIFKGISPIRQVQYDDDQERENNQNNKNICNLAN LVVHKLNRRSLVKPKKQIVEGLQNRNIVKSEQGKQITKTNSSSREFGAKLENKKKLNLPI VKSSYMKTIEILNIYETQKYNPKFSNRQ >CAK77079 pep:novel supercontig:GCA_000165425.1:CT868263:22353:23219:-1 gene:GSPATT00012585001 transcript:CAK77079 MQQFDVPFIRRQKQNTEIWKGHHFKDYSFEEERSSQIYKKQHRRKSCYCQMCGKMSLFQY AQMNLSTCINFLKNNHITQQKLQNQQELQKHKKQVGHNLSFFFRSKSITNFQHLLFENNA RSKYRKSCDCSECGTQNNFQVKCQNYQMIKKQQLSPTNQFRIKKTIKRHQFRKLYTEQFS PSKNSELRHLKKRMIELDLNDNQLLTKFQLKRTKNPKLIHHQQSLNIFEISSRQKTDILS PLGNKRKHLPYLQLNSNRSPQQRLTQKQPISNYQRDNFKKHNQQSGIF >CAK77080 pep:novel supercontig:GCA_000165425.1:CT868263:23247:26836:-1 gene:GSPATT00012586001 transcript:CAK77080 MDDSMNQTQPKKKTFYIRKKADEQQKQSRLYSQVPGLDGKVYLQGGGSFNPGQSMGSKLM VKKPTSGVPSQISRGQDKQDPRVSTPKGPISRISELDEEEKKNEVEGPQLKMKKEHISFL VKTETVGYDNVVVSNNGSAAIYYEWKRIESVRKQPNSIQDINEERFFCHHDQNVIKPGER IKFVFSFLSKQAGVFNEEWELKCEPPCLTQLPNLKLTGIAFIDDELTIGRKEFQKQVKNK FALKIATEIVDDIFEEVKTPPPPKPDLSDPEQFKIEFEELNLGEQLWFTHEIMNFFNFSS DEICQVLKQDIPWNGDVKLLRQSCHTIDEEEVKDLVLRKLELWVKLAKKVPISRNPIYSV VKDLLGDVANQVPQLSEDMRKELQMWEYTFHEPEDLTPEKAKAKLDEFNKMKANWLKNAK KKKWNDDMEKELIEEYKTKLGAKVADALAQAAIKLENDGQLLQVGAMMKPELKWNVFRRR RFQSTYFYDLLRKKTIQDSDIEGKKIGLKIHLKLANRPDVYELKEKFEQTLLKEQEEENK RLEEERLEKERLAQEAAKKKGKGKPVKQKEVEVVQVQQKNYDLSHLDQATLQECVDTIKL LMERQAKIVVLLVSYDNPSGKYKFTSSTKFFYEWLKTHVECPVYFNDAIIENLDEQLETQ TYQENSVLVLENLFFYPDEVGYSEEEPDIESKTPYRTLLPYGNIYIIGDRVNFFSRFYPS IIHMNADQTILSSAIAKDIHILCHNLMGCQDRNGTLILGGDLSGDKLLTIDQLSGHLSSI VLLGKLGLAFYLTMYEIQSDLVSEAVREVIKNLLNVLRDESTEKPPQRLTRISHLTEQPK PKARLICPQDYLITTLPEGYDPANQEHVQIVTSSIAPFVPEGNIQLEEGKILLDYGPKTI EIVKQEIQNAQRLFWIDDANLALYNIQKQITVPDFEAIALLKKLREEEAERERERLEKLK EKKGGKGNKKGLKEEPPKEPINIKTTKEINVPVFNLTNTDLGFFIYEMNQEKKDRHQHAQ KQGHQVPYDFNQDCVVTIIGEKLEKVLNQEDFQNIGKEEEIEQAPEPSQKGSQFPQSEAG EDQKEQEEEQIEEEKVKINQVKQLLADFFIQDQDFTLSFLAGNQIHIQTLDEYPPKPESE INEEQYFEEDSTP >CAK77081 pep:novel supercontig:GCA_000165425.1:CT868263:27467:29828:1 gene:GSPATT00012587001 transcript:CAK77081 MKVQLISLLLFYLVTCKNIPLQLEIGLIQFEGLQPGKLQYQEIQFDQPFIRVPQVALTIN SLMNHNSQVYFSKIYNVTTDGFTMGVLSGTEQSLEYRYMAIVDDRVQVNCLNFKVKEIVF IPYLKQFVKVPKSWVFLTGVRQQSNTFSFQQDVRNEGIMLKFQSIDTEILGVCVVAGAID ILQSKIDDLPTFQGYNLMKQQTALSIIAQSSFICEDECLLYFSNSYYKLGNDQTKQLKIS TEFHNQQEKPTLSKFIPFKKENPIVTQEKVENLIEQTIKINNIEQPHTSHEESLKKMINQ QIQNLEEQINHPNGINSFDSNLNEMPQQEPVDSESESEDEEDGISMTRISKPINIEEELQ KLEKISEDRTKKINIIEFNPKESINVSFLSRNNIDMQEESKAEKLIELKYIDRAPKFSPK IQQMIEEAKKNIEVEQSTTDKVESPINNKLTIKDQLKLKTESLIQQIENTSHISKFDDDT DRSAHKQQFTVNNKIEQQTQDIPNPSKEEESRIPTPNNEKKHSKSPILNEYDTIFAQFAN NNNIRSVKPQQHFSSPLIESEIKIELVQEEQQIEPKQELKILNPREERLQKYLQKADEVD LNFLENIKLVSEQSQQLEDQPIEQEQIQENFDQSNVSYTEQLNMRKNQILQELQQKYNIK ASPIQSFEEFKLKVPQDQYPQILYEKYLEQLKKQQIGASFIETDHNVRSVENSYQLEAAY YEWHLQKNI >CAK77082 pep:novel supercontig:GCA_000165425.1:CT868263:30668:32357:1 gene:GSPATT00012588001 transcript:CAK77082 MQTERREGKLAGSCEMEDLISNVQYVIVKVYPFEVVNHHFNNFVENSKEFQETRDQLARI GSNLRLKVDDWPSIEEAKILFQLNIQNARRRSVKWNDQDKRLFYWVVIRYCLLKEINQLT PVEWRDIAKMTIGRNAHQCRLKWEQKYKISLSEAPWTEEEDQLLQQVHDRLGKENKWSQI AREIYKRSPNKIFRQPKQCRERWINRLDPNICNEPWSKQQEIDLLKTILIRGKKWSELSA LYGRVRTENSLKNKYNSLLKKEKLKYEFETINPQLFSKVQKLRMDYANKYGKITPIEEID NYEWQFIVLAIQSLYIDLCVSEGKYQEAQKIKNDDFFDLFHNDLTSSNKNDVLYKKLLNI KRVDNQFSVENDRSGVVLFNKKTKKLFISPFNTLDFQGLILKHIYKQIKTEENNNSKCST LTQSDHNMFMMQKSIDDINRQSTMQPLLLLANQPSFMMPLGQSMINSYWLPPVLPCMSQS IGGYQHQQKNIQEQVVQKIKEVNKAADFDDLFGNDIKVVDSDGEK >CAK77083 pep:novel supercontig:GCA_000165425.1:CT868263:32405:34346:1 gene:GSPATT00012589001 transcript:CAK77083 MSSKDEELEEMLMRYENLEKHNQVLQTQNFNLMDQLQILQQNAKQLETENNELIDQIENL KNDLRTQFQLSQSLRQENEFFENQLQDYRKELEQGGQYYHKLLEDNDQFKTHLQQKNQQI EYLTQENNMYKDQMLQLQEENDLITQEISNLQDLLNQNELYHQDPINLQNSDQKKNKKQS RRQIKELERLVIKREQELSHLYGKMEDMKNYITQIKLQSDKYEQNLQQLYKDYQQMEAER NKYAHKIDQMQNYNERYSTLQQQLQNQEKHKTKEYHSLKNQYDQLQMHNLQYQQQMQTIN DEYGKLSQQCIDLQELNEQYMQESQILKDSLIEKDQKLERMQLQLQQLQQKDLQIQQQMR EQNQQIKEFQNNKQEFQSQIIQLQRDNQQFCNQINIVKEQQSKELKEHLNIQEQSKKEKQ TLIDRIKMQDEKIQEMEIKYKNIEIHLKQVEEERLYRVQAEQYYFIYFRSFEEVSQQCMQ LQDQNRDLLRQVANLENELQRCEDELKQLKKPNKNSQNFDKTTQQLILKDREILHLQSVV ERLEGMNRQLQQECDRLAAKIQLTSHENSLLSKTNREINQMGRLILEGQQIKEMLEKKKY EKPLTITNNKAPQVIQQLQQHSRRPSVKKS >CAK77084 pep:novel supercontig:GCA_000165425.1:CT868263:34391:35126:-1 gene:GSPATT00012590001 transcript:CAK77084 MISSMILREDVPMYQKGIFCFYYMRTEYKRLHNKSVQIQPKSFSFVDNNVDYISIYESSI KQIDLQIQKSDVQINYSSDGLANAIVCAYFIDKKFDFDSALYVMTDCLGIDKPQVRYLNS LKRFEAQLNCEPILNRSSIILNSEMDLEKTIQNSIVQSEILNFPIFSQRLIETQRNSQIL PSEYQATSEIYNQQPISFISFGQQELQNINEEPEINKIQSSIILNKKS >CAK77085 pep:novel supercontig:GCA_000165425.1:CT868263:35199:36026:-1 gene:GSPATT00012591001 transcript:CAK77085 MDDEKKKKKGNKIDLNLLPSSMKERYAAMGILPKPQIPKANLQVDVQRMKQKKIEKEQPI EQKLEGIEIKASEKKQLEKVFQMLKKKDVDYFDAKDIDKMLRFLGVSLTKSEIDLMLWEV DENLDGKVSWTEFLNMYKKCTIDKTGLEPKSLFHMIQFLMYLPPDRKDPRVTVEDTLELL YVRFGRQCLDSEIHAIFGDEEKNKDGEEKAISFSEYMEKINERAIQQRKLRKEEQKQQFQ YFKKEKQME >CAK77086 pep:novel supercontig:GCA_000165425.1:CT868263:36264:38365:-1 gene:GSPATT00012592001 transcript:CAK77086 MSYNFKSIRPVMPAKDMINVILSKTQRKTPTVVHPGYDISRIRGFYMRKVKFTQETIHEK IDAILQDFPKLDDIHPFYADLINVLYDKDHYKLALGHVHACRNVIDNIAKDYCRLLKYGD SLYRCKMLKRAALGRMCTTLKKLTSSLNYLDEVRKHLSRMPAINPFERTLLVTGFPNVGK SSFVNNITNANLDVQPYPFTTQNLYVGHSDYNFVRWQVIDTPGVLDHSLSERNPIEMQAI TALAHLKACIMFFLDISETCSYSIDQQIALFKDLKPLFKNKPLLLVMTKIDIKKFEQLDP IDQQKLQDVIQSENVFYSQLSNKSGEGIALVKEKACNLLNEWRQNLKPEQLTGGNPNLLR EESILQGVYIAQPKRVNQNRMPVIPQVVGKLNRPTLKDVQEQNGGAGVFSFPLQEHFLLD NPDWKYDAVPEIMDGKNIGDFVDADILQRLEELEKEEEMMDQAEVEDPDEDAREDMLLST RDQVNKKREMIKEEHHMKMKQQVKLHRPNLEDAKEDFAKKGIDTTFLEQRAQKFAAKKIV QKQKRQQQSSDDSDDNDMDIEGNERNQRKGRDALSRSRSRLREISRSRSRGNKKVLTAQE QAMERMSKKIQRRRRNEAKAGDGDTKIDCKMPKHLFTGKSGIGKQSRR >CAK77087 pep:novel supercontig:GCA_000165425.1:CT868263:38395:41076:-1 gene:GSPATT00012593001 transcript:CAK77087 MVLIIKIANDDTEYFQVIFSILLTVLSLPLNRYISNYAEHSQLICKSLWQVLNLFCLGSV DMGLKNDGFLSRFQYFWLGLTIQLDVDTILPNKIVRVGFLLVVIASVNLTTFYLILDSSQ IGLICGEILYTLILIYQLFVEKTMRNQHKQQTSKKPSSRSFTEKLDSPQAQILDEESNHV QILSLGQQLHHQQQIQREASIREQIEYQNSFYKTLFNQFPEGIIIINDQNKIEYHNNQVR SLLGRKPISEELIPKRLYELKNYSSKFQFGEQAQFDKMFAALNRKLKSNSHEFQQQLNEE NSLIKDCFLQLDEQTYYNYYEYELSKAETLSQEIEKALQEKTSTPSPYQRQMSQYSQKTQ KGQDKIKFGKEVKMLCMIEESKEEILNEMREDQSDDEDSAGLLIQITIKAYVYENKKNVL LMIRDVSLFNQYKVLQQQNSNKSKMLSQVAHELRNPLGAICAISAQLLSKFSQDKETSKK YLKPLKSSAESISRLANDLLDLAQLKAGKFKLTFQEFAIKTLLFDTIAMMTFNVGAKNLQ LSLKYDKKIPNLIKSDQQRIQQIILNLINNSTKFTKTGGIKVEAKLLQPKLIEISVEDTG VGLQPEDIKKLFQPFGKLEDSKHLNTQGVGLGLMISNVLAQKLSGGDVGLQVESKGLGQG TRFIFKILDQNETKSSVHQSQDTKYFGRKESNLSIVRVAKRDQLTLQPMSASIYRAKVIS EQKIEENESTIYQFQCEEDNFQEDPVQESYENCACPRILIVDDEPINLMILGYQLKNLKY RYQQAKSGQEALDFLKGWQSTQEYCCNWIRCVIIDIQMPMMDGYQTSKLIRMMEKEKKIS KCAIIGCSGFSDEETKKLGFESGMDHFLSKPVTQVELQTILNQCC >CAK77088 pep:novel supercontig:GCA_000165425.1:CT868263:41136:47209:1 gene:GSPATT00012594001 transcript:CAK77088 MFIILFITHILIDVACAGTISPSIFKAYQTTDTTFSNEVTSLKINTQYLIRFEFDLPTTL SVSTDYIRIDVVGFKSMAKFFQSYQFTNPSADGTTFLEFKADTSTKIQTPLIIFYATTGA STKTHTCSALSYRTPGSTTLDTPTKTFTIIGGSITFSSSISVPNKVFGQKSLYIISLNFE NSLSEGTNKYITFPKPSGLTADATISCSGTPSTTISCTWATGTNLITITNLDSTKTQTIS LEFTNPPSPTSSVTFNTLITYIDTFQVDSSSTSQIVTGYNDGIITTLSLESGTQKVGQSG SYLKYKFTSLNDIVTGSIIQLTLPSDIQWSTTSPSCSWNTGSVTACSSNFVSTQVISITL TLSAPIPGGTSLILTINSIRTPYTFSPSTGFSFMITQGGVQYDQNTNFGSLTMTEQSGIT LAFFSRTENKNGAKSDYKFSIKFDTKQPSGTKITYTLQGYDLSNVNAVDFTGSTQLTSPT TLLVISTAYTITFQLSSELNENQNYDTIIYKIVNKNSAGTITAETTKNNFKISTTDKGTA GTLPSSTPNEITIVNMIQEKKLLGVVDSYQFRITLSNLLSAGSTIIVTMPDNFSAEDVDC TTYVDNIEGLTVDTCSVNSKEITIKIKIAIQVNTIQFRFNSLIRNPKIYSSNYQFKIRTQ KDNAIIDELLSSDSAKLLEFKLLCAPTSTVFCKECDAQGKCLSCYSDTSITQYIYFLSNQ CLSTCGSKYYSDSSNKCQGCPNYCQECTSSSLCQSCENETTQEIKNGKCVLKCTENQFDF NGICTPCNENCNTCVDQSTKCTSCGETNNLLYQNKCVSQCPTGVFQVGFSCIACTSPCKT CDTGPTICLTCVANYYYKKASLSCVSDCGNRYFKDGSDCTLCSDPCNNCLSNTICVDCLT GYYFFNNSCVSTVPNGYYKSGTTLNKCPDICGNCISETECTSCPTNKYLLAKQCQDKCPD KYYSQNFVCYACDTSCLQCSSSTVCTQCPENQQHLTGKCYQNCPNKYYSLDYQCLSCQSS CATCVNGLECKTCPVSSPYMLNSLCVQTCQSNYYIKEYVCNKCANECATCDEKGCLTCVQ NYKQLDQTCVTSCPTGYQDLNNIDICEKVTDTEQQVISNLQENKYIPVPFTIVSLIMILS VVVAKIQKTETFIPGSAVGLLGLIIIGSWAVLLLLQLDYLLEKLETYLLMAAIGVHILLN LINLCVVKHCTQGDTVFNLWYSARKSNSCAYIFLNLLSILSFSMTRFYFSRYFGFRFLKC KLSDVENMVGMNIINGLCAFFCCIPALIASVLLAYRDQLREQLFISSIDSFIVTIIFGIC LIWETQKEEHFFEDIHYASVSQSHQVIPEFFQTNRSKQSKRFSFEDSMNGQKFNHHEQDE EPSFPKIDESIEYKDPNYSSQQPFQKMLQKNSQFTFKQDILDPKQDNSNRYQEEVVLQMP NNDVSEPLSIGESQRDQFEMQQKQTLEQQQQQKILEEQQRIEFEKQQEKLRQIEEQNNIE EQKKKEIQKQQEEQQRQEEIKKQELERQAELKRIEEEKQQQEQIKQQELEKNRKEKELQI QEEQKKQQELIIQQQQEEERIAKQKEEERQAFEKEEALKLEKQKEEEKLALEREEEQRIE QQKQEERLELERQDAQRLEQQKEEERLAELNQKQKTEEAQRAAEQQKIEEEQQAERLRQE ELKLKEQEEEAKRIQEEQKKQEELRIQQELEQKKKDEDLNQLLEEQKLQEQKEQEMLQRQ KQSRQAADQNSEIDVMQNEIEDLKQEINEFDQNNNNKLNDIILVDQDDDDVGWDIENEEV DDQAYDQGVTAQQKKFQKEIIQDDKNDADDDWDVPTSGFVSVQPKSQLKKDQQIEEPINP FKGYNQKQAAESDLFKQSREDSDDEDWAPNQISPSEFNILNQRFDHEKHSITQAERSKKF SQTGQNFKSVIKRPTLPEPQTNSDNESIDQQSVFDQFARNQLNQIPKKQNQGLNKIYLQR QQIKQKGTSNIGINDIKF >CAK77089 pep:novel supercontig:GCA_000165425.1:CT868263:47241:48185:1 gene:GSPATT00012595001 transcript:CAK77089 MDQEVDFIQCKPSITIDYKMEDLPKFKDEPNIVVLFGFPYDEGTVRNKGRAGGENAYEQI MLVLKSKLQECKLSQKVIHVGAVPKQLSLEDAHEYLYQHVGEIYYQLPQAKVIVIGGSND QSYPNFKGLVDGMQCKKLGVINIDSHLDVRPFINQNQCHSGSPFRSMLEDVERFQNSKFV EFAIKGCTCSYEHYQYVLNKGGKVYFMEKDIRRLNAENQGLCAMNNVLTEFENDENIDYV FLSFDVDSINSAWCPGVSAPSIVGGLTNIEALSIMERAKKSKKIKLIDLSEFNPAVECER TSNLISEMIITFIL >CAK77090 pep:novel supercontig:GCA_000165425.1:CT868263:48898:50130:1 gene:GSPATT00012596001 transcript:CAK77090 MGSNCSNCRQCQKDKQELLYEINITKKTESNRNGISMISQPSQASSHSNKTQTKQNYSKD NKEFQYQDEHQQELLLANMAIVIQKKWKGHKARQNLQEMKSGNNDANKKDEEETIQSKQR KKMQKYFNSEEYGGNQKLNQIDVNPNNTIRDKRPKYQFKSGASYEGEWLGNKRDGEGTQI WPDGAKYEGSWQDNKACGIGTFYHVDGDTYTGEWDNDQANGFGVYRQSSGAIYEGYWQSD FQHGQGEEKWIDNSSYRGEYFQGKKQGKGLYTWPDGSYFEGTWFDNKINGQGEYTWADGR KYKGNWRYNKMHGYGVYQWADGRSYHGEYQEDKKHGRGKYFWPDGRIFEGEWVNGKQHGK GKYIMADGQIKSGLWEDGKRIRWEDR >CAK77091 pep:novel supercontig:GCA_000165425.1:CT868263:50478:52998:-1 gene:GSPATT00012597001 transcript:CAK77091 MVLLYLILNTINATLLKDISKLYEDLRYKAQYFITQTPKNMMDSNFGFKSQMIDFSDFNE DEDENENEYYTDQIQQIPFVQELEQRMRILQEDPANTGPIFNTTVDEYYKQGPLSSIYCG FGQQILLNVTQFYCSQQRVTKKQYDLETKKDLCDIRYRLSYGCLCPPDFYDIQCLKWNRI ICEIDQPYKDCQSVIDKDYYNQKIDGNPPCFELQNDTSVENVRAVCRNYDLQLLHPSVYS SEESFEVIWSNYSRGISGLSLQMYKFSLPNPKDPNDPQFYIQFALSEEEEKQLYKFDFQP LFLDLQNLKSSFQFTLKPYITYINWTNLRLSKTTLIQQNLTQSQLLGQEYITITINTENL NSLFGRYSVEIGLIINITHFYSIYKYVHQLDFQDTLIYKPQYQPKILFFEDLSYKESVYE ESSNQTLKIEVLAMILIFLITLFLCFKYRYFLFTRQSIVKQEQYQSVQTPRKEAERMIQI SLNNNIIQLMIILFTFIAYSYSRCAFSVECQGNNCDPLEVDTEPFVARISQCPHMDGTMV CCNKNQDDQMQRNFQAIDASFGNAGGGCDLCAYNLKKFWCEYTCSPNQSNFLSTNGYENM KDPLNPKNILTVQKVEIKVKPQVACDIWSSCKRTQFASQVTAMKTPGGFFNFQGEQAVGQ AKQFISVKFVDNDEETINFDFVPNCKYEYPAGPDGKIVTPDGFVISERCSCNNCDLMCHD EEILYQATGVFEGFNGYLVLWVWAGALLIAALITGFRHYKSRAENDILIEPI >CAK77092 pep:novel supercontig:GCA_000165425.1:CT868263:53015:54598:-1 gene:GSPATT00012598001 transcript:CAK77092 MFFTQKIVKFVILPYKSALPIKFNLQIGANMVGNTQEHEVCINNKQIVNNHCCVVVNEDE IELIVLDGPVFYKNADGEFEQLAKNSVLSLNIESADFLIRLGQTIEAKVQVLDGTQNPSQ VKQQPQKEIQKEPQPEPKEFNLQERLSTIEVKVVESLYTSPSNELQFLHSNHEQEQVNVD LKQDEDINSVSFNQQQENNASKHKDQRDNDELKKKNESNQRSDLFQFSDYEQQTEMLSRG TPDFNKNSKDKKSGANKTKQKKKQSTLDYLIMPQRKDRESSPKKKSHHKLSKEIKKESNR MSQRKCSRWKFYIAFSGFHPSREEQQFLLIQNIQVCLEDYNFNMLIMEDNVSLRSIKLLI ALAKGIPIISRDWLTRSINQYEILDHNQYQVKFSNEFCKEYNFDFKQYQKRLLKCKEAKI LPLEGVIIFVPKRMNYHIEHFELEYLVESLGGKFVHQIHDDDGEAQIYMLIPKDQTKIVG YDQYQQSPIECLFKSALKYKNLL >CAK77093 pep:novel supercontig:GCA_000165425.1:CT868263:54642:57010:-1 gene:GSPATT00012599001 transcript:CAK77093 MQFDQKKTHDLKLNPKYQNLLKWGLDNGVIIKDVDLPAAFGELTGVVATQDIPANTAIIC VPQTLIISQEKCKLSSLSIVYDKHPELFDENQTSDAEFNILIFYLFNEKKKGEQSFFYPY IQAIQTNNTLIDWTKEELSQIEDPIVLDEFAIVSEDLKVLWNYAQDIFNEFIQIFGEVRP TDKQDFYWAAQSVMSRSFGWSLKSTSMIPIADFLNHSNKACTHYFVHSKVEKLEQERLQA KNNRRNNQDSNSDIDDLQNMEDSFIRLVQEYYKIKGNKINLSALKIQQDESKIKQFEDQK KTFILQHQQYLTENQLKDIDNLENLSNEVKRSMINWINYEKMIQKPEVNLWDLGFVTSSD SEDNDSDEDLELARNQQLESVRQKELIEWKIKLKEEKQQKNQKQGINIQEITIQQQNQEL NNQQNEGGYKTDSENIVTISLNNEKKNQLTIQGLPTQQIQTLKQNQQQMMEQQEQQDKEN ESDDSEESNWDWLEENDQEAYFCITTTEPIKQYEQVTVSYGRRTNRFLLSWYGFTLPENK YSSFNFRLWLNTEISKEKMQSQKQIFDTITINKLISSKEWDTGKIKFNGYEIPVSSITKE FRIKKKKLNMDLIMYLRLYLMLYKVQEKDVYITIPVSVDYEVFVMQFCIQLLQHYLNSYS QELAQDIKELDTQISFSRRFALHINRERKEILINQVMILLEAIIILKKYKESNDLKQAYI SEIHNNVHYKVEILRGLKGYLQSIHEFL >CAK77094 pep:novel supercontig:GCA_000165425.1:CT868263:57052:58479:-1 gene:GSPATT00012600001 transcript:CAK77094 MGQIFQKHATQFDPSLIVKLKSITMRELFDCVDTIDKYYPQSCYLNQEQFDDIFATLTDN CIDLFRRLADQTGEDGKLSVHTFEALAAFAIFSGESFETKCMFVFRLFDFDLSNTLEEQE MVSTLQCAVRAMCKIAGLVIPSVSMLEKLGYVCFQMMDEDKNKHVDFDEFYEWTIQYDEL QEFMLQYSGTQTYQYALKRMVNAMDESEQQFNKWLRECKAPQVPAIKLQQNLYQIYKGID ELSIDTLFYMIIEYTLRSKSIGQEESSQQQKDLWAWKADHKKNQHLLQNISVKRSDYLDI IRAWNVYSITDVNQLSIINIKDLKLLIWLYEDEEPDYFRINQEMSIIDTNHNNIIERCEW MQYLCSEESMQRRQTPQVLMKKVFDNYDEQQCGLIPIIYIDKLLRDTFKQQMKKMKDFKS IQSVNSLIQQLKVEFLKQVKKNNQSHFDWHSYYQFLVEATKTHSPLNKLLNLNRD >CAK77095 pep:novel supercontig:GCA_000165425.1:CT868263:58510:59343:-1 gene:GSPATT00012601001 transcript:CAK77095 MHTICSENSTTNSDSVDLSEKLTKCQEAILKKENLTNAMVLEDGLVYIGEMENNEPHGFG ILIYPNGSVLEGNWINGKANGMCKLQYSQDEYYSGNILNNRFQGYGEYVNHKSSVYKGEW ENNEKHGYGEEYFKNGSIYCGNFNTGMRDGEGVYLSSNGSKYEGQFKYNKMDGDGKMFWG DGSTYKGQFQNNDINGYGVYTYPDGSYYEGYFINGKRNGIGTLIRYDGYEVNGEWEDDKL YKN >CAK77096 pep:novel supercontig:GCA_000165425.1:CT868263:59740:61123:1 gene:GSPATT00012602001 transcript:CAK77096 MNYQTVWEQIKVYEPHCQDFHFLDLQRVNAKLTITNNYIYYGQFENNQRHGKGILLQQNG RKYEGQWQHDQKQGYGWEFLANGSQYEGNYVTGKPHGQGKFIWANGEVYEGQWNQGVKEG QGTWHGLNGEQYQGEWSNNIAFGYGEHIQSNGDRYVGHIKGWLKNGEGQEYFSNGDMYQG NYLNGKPHGYGEYYWSNGAVFQGYFKDGLRYGKGIWKRRLDSPTDQYQGEYDEDKKNGYG IYKWSNGNEYRGAFINDYKHGYGEMHYVDGMVLKGNWEQGKLVSEIKSNSPKKTQSSLNN HMKIIDNTHCFNKSCRNKNKYIEIQFDNNNQVQNNGYNDSENDRLSNSNLDGENSNKNVY KQNNPSTSQTHRTTKTIRIRQYQKDKSLSMSQQNQYYGSSSPNRDISEKNVKQKTQTKFC IRTSDNYYLQIRRPKIHSQYCN >CAK77097 pep:novel supercontig:GCA_000165425.1:CT868263:61188:61994:1 gene:GSPATT00012603001 transcript:CAK77097 MDMQLLRVYLLRHGHSMYQSLKIVSGQNELGLSGLGVVQSKRVGNLLQSVKFDQVYTTQR CIESYSHIESQLKLKPRVITYTTLQREVDQGDETLKSYQEVYVLVKPKQPSSLNLEIQER VEAFLDQLIRDQIYKKSYKFFSQSDSLHLNHNFDTNNLYNPSVQQFSSYSKGSGMINILV LSHAGLIAETLKYLYQRNNSHQTNSQYPYIYARNAAIFQLDFKINNQKNWNCNVALMNSK RKINTTFDSII >CAK77098 pep:novel supercontig:GCA_000165425.1:CT868263:62296:63015:-1 gene:GSPATT00012604001 transcript:CAK77098 MLHQLVQLIVRTKEQQEKSVSTKVRFLSEIDVDQSSQNTQTITSEQNSEDSDGYVLIVLL ICLFLLICVCGTIVEVSRRNKVKKEIKQKLLQETRLSLQSNLITLNSMQDQMQFSLWKLT SFNFKNFSTLKILRFQSFLTSYNKLELSIKLEQDRDPWSAEGHISLNSEQDIQIHLRTSL DSELLEKSDRSDHLYLLQQFKGKYNKEEYKFVGSWSVYGSQELNNLNFFGEFELSKILT >CAK77099 pep:novel supercontig:GCA_000165425.1:CT868263:63190:64742:-1 gene:GSPATT00012605001 transcript:CAK77099 MRFVDTKSQSHSNRMDWSVLQQGQFKSFIQNKKEHLKNIMDQQTQDLQENWIEQVETFED LTLSKDLLRGIFSYGFERPSAIQQKAIKPIILGKDVLAQAQSGTGKTGTFTIGALQRIDP NQRKTQVIILAPVRELAKQIYDVVKGIGQYLNIEAFCCIGGTSTQETREKCKQGVHIIIA TPGRLIDMMKNKYLDATFMRLLVVDEADQMLDQGFSDNFAEILKMVPGDIQIALFSATFP QEIIELSKQFLRDGTAKILVKKEQLTLEGIRQFYIAIQQEDQKFKVLVELYKNLTVSQSI LFCNSKKTVDDLYDKLTAEGFTVSKIHSQMEQKEREQVMQEFKKGAARILVSTDLMGRGI DVQQLSLVINYEFPRLKEQYIHRVGRAGRYGRKGVAINMVAQQEANLLLEVEKYYNTKID EMPKDLAEVEKELS >CAK77100 pep:novel supercontig:GCA_000165425.1:CT868263:65400:66156:1 gene:GSPATT00012606001 transcript:CAK77100 MIQIVEERSEQQQQQQYEIDGVSQRIWEEVANQYRQEIQSLQLQLWQNSVDKMFQKSNGV PKKFLQKLKMMKMGKSNRQCSICCNQFQKDELIIQLPCKHIYHKCCVDSWLQSSTKCPNC RSDVLEALKNQEK >CAK77101 pep:novel supercontig:GCA_000165425.1:CT868263:66844:69290:1 gene:GSPATT00012607001 transcript:CAK77101 MNNNNKKPQSQKEVRAKVDSGLNRKNDVLQKRVQSAIQLVDKLADSNGTLTDQLNNIVQD YLVRSNCFKSLEQFRVESQFATEQSNETENIILGHFDRGEREKFLESWSRYIPIQQRQDH ESWKLEFFVQIYFLIYPIHPVFKKKGVMDKNSVNQFKQYLDSKGGDLSKTNEVLPFYALP YVKNPEIHPSFQHLFTHEWIGELRFRLKEFIQSIYGQDQNGSILKRLFLSKEGSQNIQQQ EFQKKIADNIRQLQLENNELKKKNNQQAQALQDINQTAQQNLFEAQKKWFQFTGEMLKME KEMIKYIENSKKNPDQLQQFKKKIGQCDRFLSQNLDELINKSQDISLFDRITQPDHDLSD LTNQQQMMPIQQSIEEYIPLNYEKIIQLFTKNNNPVLVATVLQALRWRITRARSALERRS VVVAYQTHDLIGTHQRNIALAQYLMMKSPQVIQCQTLKLMNALASDYDGRTYLTGNSQLI KLLIELIKKDQTDSIKRKNAIGTLQKLSLRRQSQIWMLDNDIIQVALNILKREKFNLSEY TYEYITALIMNLSLSSRGRDALSVHKELAFEVLFELIEFPNDQIRTFTNGTFYSMFSRRD LREYAYQLNIPQELPKLLSISDERFKKQIQYMIEQLENDDDDYDQSQLEEDNDVDDLEDD EECPVDDEDEDDLENNDMIVGEELLKNEFALDSEQAEQQRQLMESIMQKELQQRSAYQEQ LRESQLEKMPVGQGPFIADSLLPNVNINNKLSNGNPKNPQQSAQAFASRPKIPRTPPVQQ NYQH >CAK77102 pep:novel supercontig:GCA_000165425.1:CT868263:69346:69800:1 gene:GSPATT00012608001 transcript:CAK77102 MKAEEQAEELILCPQGCGRKFNKDSLLKHYKVCKDVFQQKRSAFNSQLKRVGFDYFVENK KNLPQFSPPKTNKLKTEILPYRSPQVPSKYNQVLNAKKFCKGCLREFDSRIADEHIQKCV KKNQQNNPFAKII >CAK77103 pep:novel supercontig:GCA_000165425.1:CT868263:69815:71612:-1 gene:GSPATT00012609001 transcript:CAK77103 MNEPIFHYYGNKAGVEGADQIKQVIDDATRGSEYYKRQQEKRQQVLQKVNKMQMELDSYK NNEQKIKETKEIIQNKVKEFQINTDRIWAHFDMDMFYVACELLDKPELKDKPVAVGQTIV STANYVARKFGVRSAMPGFVAKKLCPEIIFIPCHFEKYKSVSNIFMTILKKYDDNLESMG LDEANLDLTEYINNSQEDPAILCENIRKDILLATQLTASCGVGPNKMIAKLASEINKPNG LHVVEQSATAVLNFLEKLPIRKIPGIGNITEQILSGLNFNTCKDVRDRADELYVIFTPKT FEYIFYSCWGVARNYHVEFEDQHSISCQRTFSSISTQREFEDKVDYIAEKLAEEMQSEEK VGNHLTLIIKTSKFEIRSKSLQLNQYTNQAKQIAIYGKQLLKIMQLDEPIRLLGLKMSSL ANEKQIQKQSISTYFKRQIDKSSGQENLVPSIKGSKSHQITEENDSFNKMSLQQQEQSKP DILSDDEASSISNQILSGVNSKSHQSQQQQQQYQQKQQAQPKSFRCPICNKEIDCKGNNT VLNKHIDRCITQQNVIVEQSDESNRNFSGSKIKVPSKIDSKAQKSFNFFKK >CAK77104 pep:novel supercontig:GCA_000165425.1:CT868263:71638:73124:-1 gene:GSPATT00012610001 transcript:CAK77104 MLNQQMKKQVGSVHQQKSEDMNRRVVTEMEEMDQEMKTLQDISNLKAHLKMNQIVKQPFR KNKDEPITSFQVAKFENQLKQIQQQTIKQQIRKPLSIEKNSAKSVLMPTSTERHDYTNFT YTNLKVVGSGSFGVVHKAKVNETGEFVAIKKVLQDRRYKNRELQILQELDHVNVLKMKHA FYTPAENKDESYLHVVMEYFPDTLYSFNKSFIKDFKKMPDVLVKLFSYQLLRSIAYISLL GICHRDIKPHNVLVNPESNKLQLCDFGSAKKLIKGEPNIAYICSRCYRAPELIFGATDYD TQVDVWSVGCVIAELINGEPLFLGDSAVDQMVEIVKVLGTPTKEQILSMNRDYDVLSNQF AKIKQRKWNKVLKTKDTKAIDLVSKLLTFCPKTRLTPFQSLAHPYFDELRDIGQLKSLQN QMKISIPELFNFSNEEMCRMTQQEMIQLIPDWYGISSKIVKTEC >CAK77105 pep:novel supercontig:GCA_000165425.1:CT868263:73258:73673:-1 gene:GSPATT00012611001 transcript:CAK77105 MGKIILEYFDGDRIYVCSKCHIHISNYKSRISKNFRGGFGQAFLFEKGINYHVGQSEVKD LMTGKHTVQDVICNGCNKVIGWKYIKAYRESEKYKEGKIIIERHFIRRIKWN >CAK77106 pep:novel supercontig:GCA_000165425.1:CT868263:74996:76413:-1 gene:GSPATT00012612001 transcript:CAK77106 MKSISQQTRSLIQKQQELGLIDSRISQLKQQLQLQESNNHIIEFKVNKILETKKNTFKDQ SDHSRRIENLNEEQENRNSKIKLLKKLQEEDSMRRKRDIDEEKRLEQEVMKERQMLYQLQ YKHSQNEELQLKQQRFQKIQEEKFRMKQKLDMQRIEKIENVRNSQIKKKAELSAKFDLQQ QEYQQKIEEEQQLLKKLSFSQFRFQALKDDLDLAQKISIRDYTNSASNQAFIKQPLDQSK IKKVIFTSPYAQIPSILNQKNVDKLNLLQKLLKPSFKDQQQTTERLNKKNNQTHFNTQLS DRTYTKENSSKFDTEASKTKKNKFQQIKKQNTNLGTQRKSSSKLNTNQDLQQNQQSDSIS SPKDQQQMEESYKENQQQDDDEQENYNNDQDYDEYEDDNQTPSQDQGKQQDENQEQNKQN EEEFQDEEQYPDDNDEEQ >CAK77107 pep:novel supercontig:GCA_000165425.1:CT868263:77259:80918:1 gene:GSPATT00012613001 transcript:CAK77107 MINEIQQCFQSSEAYAKVKKYKDTFREQQSQISPIICEAICATMTEGTPMSKLLALRLCK EMMDLKSDTFSKQVNDKILSKMKQIAIFKKHDQSDIRGKYLFSDNPSKEIASIGNSLMKL CLDCIKVWAFWYPQSNFKVVYDDLITQGVAFPVKYTYFKEYNDNPSSLNQSFILSSHSSK EQPLKQSIPNQKTYQDGQQLLQQINVQIENLVKIVQDQESNETINNLNQILKNNLEQYNG QIEQVLIQIIDSGEENLINDLISKQEMVREIKQDYDDYLIKKLDWNKLNQKFRRFTQSPI TPEPAQAIIPTQQKQQQNQQQIQSIKQPVTTKADQTLSVIREETVQDADQIDKSNILQED NKISMKYQSLVLNQTENIKPGAQTLQSELHKKDKLQQDNKKQIHKSLSVEVNDDINLNEF QAKINKQTDYASTKQTKQTSQPLTKSIEQQSPKAIVNQVLSNVQANQQNLNSTLLYSTIN NQLQTNQKEQQQNQEEKELNNQKNNFNNKEQQKDQIQQNEKEIIEQQQKQQQQQLEQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQQQQQQQQQQQQQQQ PQQQQQQLLQQQQQQQYFNSNAKKNNFDEQVSQLKQGLKIEGFDQIYSFSQRQSNLNEKK NMNLKQIDTQVKLEGQINQIQDTYIKQKQMQNFKQDQANNSSRISQPSLSLSQVSQRKLE HSQQQHRSSSQKRENYSVNDKIELELLKEENQKLKQQVNQIIEKYEIKQQQYSQELQDKI NEISSLKQVVYAKTDKIDSNNQLMKQLQDFQKLQLDYQTLDYKHNQLQKQYDNLNEQHEQ IQKEMQLKSKQIALQNKEIYELKQIKQQELEIKKRSESVGQYSKTAQQFHPQNSILRQSI CDEPILTESQKRIIFPFTNPFEYYRDRLKNNQKGQVSSAYSEWKNLNLDNQNIENLKQSI LSNQSILFACKQLEIGCKLDKLNERKMILHLFFLNSNKLSGYKMDLNFQFVNEISTGILK RQSIQLYQDSSEDLVIEFNINQLPFSISSVNIKLQTTKTINYICYLPISLFSCFQFFNLS LKEYQSYWQIAKNCVIRSQEFEYNFNYVSNVFRKNIVVIELNSHKKKEFFAGIDEIKYGS IIQCENSNYLVRYILQPNNKMLIQICHLNGRQNISDSILNILVFLLSS >CAK77108 pep:novel supercontig:GCA_000165425.1:CT868263:80971:81240:1 gene:GSPATT00012614001 transcript:CAK77108 MQKYISIISKTQKEDDDLQNSINEAQQIVQIIVIFQSIEKSPQRNEEGEQYDMLQTSKFW ISQGNSIKRLFGLQQQNQCYTKQTLPSKF >CAK77109 pep:novel supercontig:GCA_000165425.1:CT868263:81700:82002:1 gene:GSPATT00012615001 transcript:CAK77109 MCLLHNYEDVRAVQSKRVDYEIHLQSLSNIILQKDQIINEEGSATSKKDDAAVLIFYNIK NLSIKEDVNNFNKKQSDQSQKLSVGQIVNLKGLREQGFLK >CAK77110 pep:novel supercontig:GCA_000165425.1:CT868263:82042:83135:1 gene:GSPATT00012616001 transcript:CAK77110 MRDTAFSSSPFEKPNKLTSGLFRDCQRFIPRRKFTEENQENATVFAKLRRAGLEKKKALK YCQAQHCKLDATQGDFGPPGFKIFKQNYDPIKQYKGWQLDGKIKLKDQCEYERISKDINL GLRQQPNEDLYQLYNRLAETHRNTEERCNFTDLSRQELIMKLRSLTRDDNRKYNTPIYQL RRHNEIYYELADYFEKQQPPQIKSLVTELEAFDDIVPRKQLKQFYLEQKSTGNKKKNLGQ EVSEKMQRRSRILMELTALFSPVKSDSLNRRSFFTKQDQMWQVQNDSAITSLLDMDECNI SRNAERNSIFFYRFAKVKQSEEQEKINQFQDSLKKVIKKNIENINYSKKKNRFFD >CAK77111 pep:novel supercontig:GCA_000165425.1:CT868263:83241:83684:1 gene:GSPATT00012617001 transcript:CAK77111 MNKYRVSTHPLLNQFQWKISKRLEQNIDLSKYSNQFCTLLRCNNEELDFKIPQLIGIIKL GQLSRLAVLEFKLLMQQSIIEAQINGSFQNDLDFIRDIIIFIELNYLQSEDEKFLPESIP SSLSPLKAKLMTTKCSYQKDGWRKINI >CAK77112 pep:novel supercontig:GCA_000165425.1:CT868263:83782:84770:-1 gene:GSPATT00012618001 transcript:CAK77112 MLINILQSSIFHFPQTYYQFSSNIQIRSILIINYSTSSLLSGFIYQNYITKLLSPFNQFI ILFKIFSIFQYKQSSYQKWFELSGNQQSCSIHIYIRPGILIGVFLYNYYSTFECNLKFSF IFLHKIQRNGQKNNFRKSIKVWKIQVKCYPFSILKNSNKSPFDQKQQLFINSYESFLINQ IISLTTTSNPLQICSINIHINHISTSTYQNSLNLKFLTKSESNLQFSNYLYFIRFVDNIL SKTSSDYIIQSGIHLQIIFIIFYLKIYSILNLPSFSSSQQKFMSESPKVFNNFISEYSSM SSIRNFQQFSNDLYIIDYPLPI >CAK77113 pep:novel supercontig:GCA_000165425.1:CT868263:85423:88817:1 gene:GSPATT00012619001 transcript:CAK77113 MKQNIQKPKFSQVIMNQYFKEKAELDNSFKQIQKSILQKFEEKLNAKNIFLLYFQTLTNL NEYRYMGQTLHVEDEIREYLQSLPLHTFFPQRIWDFSFTKQFLQKFQSLKEDEVIRVVFL IRSILQGRFNKQNYQWINVNQIQVGSIKFPFQHDFELDFAVEGRITMMFQVFPKKYPLMD NSDHPTQFDFVHELIFVELNEGTHQKNPQPYEKYLKAQQSKPNFFTDMKFIQENGDKKKI KYLRVGEASENQCLLLGPRIFRPLLCQGRQFNYNYKLNLWMTIEDEEKYYKKLKQIEEIR QNNQIIRNYCFLPSSQQLLTIIKNIPNFRVKLTEEQKNVISYGGDALVIGRSGTGKTTCA LLKLFSTDILYKLRIKLNQIKSSSTDIILSQQDQNSQLKTIFVTASPLLACQVKRLYDQL VNNIQNAINTKRQRTKQDQQMNPQSQNIDLEQSTFQIIEALQQNDEDIDGNNQQETQNDN EIEDEEINEFEKEMGKFNKFSEIQQFPVFLTLRKLLALIDSSLLHSFFKVFGGYQNKLSQ WHNESSGLMTLDKNQTAQPFNEDLLYKHISLIDNQEFIETNLQEVTLEVFERVFWPKIVK DLKQEYYDVSTFDPTLVWSEICTKIKGHETSHEYPDKYMNFENYSYYHRVLSEVQTKLLY KAFETYERLRQSYGYYDLLDIVNHINYELSQGNDVIESVHYLMLDELQDVPRAVLVLLDR MAEFGLFCCGDNAQNIAKGIGFKFFEVIKGEDNQIQHYLIQILILDRTIKFFRLANSVIR VLEICFPYKIDRLKKETSDLTGPRPVVLQTDSPQDLLSYIQEFFTNDRKTVEFGCNQAII VKDQESKEKLPQELQNALVLTIYEAKGLEFDDVILYNFFNDCTTSVDDWKILNEFEVNSV YMSEEGFKNFQTVHQSEIVTSDYNAQNKLIEIKQLKLKERDQKKAKSQDAFSEYISLCQD LKQLYVAITRPKKRLIIFDQSIKKRIIMQSIWEKLDVVNIVQKKDIQVSDTQFILEHTVD NQANWKKQGYKMFRLNNYDQAAKCFKFSGDEELAKKSKAYFLATQGNIFNENKENYIAAA KLFELDQLPFKSSIMLFFRQRIYQSL >CAK77114 pep:novel supercontig:GCA_000165425.1:CT868263:89018:92507:1 gene:GSPATT00012620001 transcript:CAK77114 MAFLNKFFPSFLQEMTDQIEQQEKEMEEQFLNEEPCIIQEDVIDQSQSFQIENSLVNESQ QVENAENNLETPQVSIVKQDKQDLIEIDDSESFQVQNEESFDHLSIFDPEDEWLKNNQKS LIRSIATSSVESQFSNVLLLNQPSNVSLLKSRSNIFIKNNVLQQLVQKFQFFSDEFKTHI EKQTHKATLLSNRTGDEKEFDHMINFLYDLDNIDIDTIYLILDLLEQFKSYKLCIYVCNQ FKLAQYIGRYLVSLASLYTPLTRTLLKDNYQIIINKLYRKQILQQAAVSQLAINNILESI NPLFLQFKFEEQLNLSNSFGITCYKELIGLGYWKTVIYQLNYEHAFNLCKSFNSYSDIVN VIEKVKENRKNKLLSEQEQFNQNKYQYFIDIQDALQKKHSNFNPIFSTSIKYFDNKRQLT QDIIEDIIKNSQLQDGSNLNYTQQLKQIESIILSHLILEISATQEKKKVDLSQLVEIKIY FLNQIQLFCQNSNIIDSFSFLYQFSLPQGEIMDHYSQYTLVYLTSGLISFLHSSQKEVQK DQIQISQQELKFIDIGFEYVLTPYHVVLKAIYKSFIQSLSDQFFQLSESIKTYYLIYEKI GEKQQFPQIVYRNHFLPVFQQLYHLEQKQKNVLPIIFLPKTVNPQKFKNFNDQPIRNLEI ERHSSSILNQIKSLHLLDFTKILIKTNIRNPNLPSQIKQFLINESIALLQKSQDKLSHQK VILAINMLNYVNELPLAIFTLQQMKKTILTNPYIKYMEFLECQEYNITDDLFNCFAEFSA DLQNQLFLDEWLYHLIRVGLTILISILEEKKLQIYIPYIYVEFVKEVEDHKIQAPIFKIQ NEELLVEYLYLLGQFLDNCNSEHYEYHANLLLIIFIINLPVLTNEVIEQIAKMIEFDSKY KFYQRLKVIILKDLQVRQNEYIKQNHSLYINHYLDLPITNLQIVNKYQQNQLEQLYNTCL QNWEQYQNTSESIKQNGQNLIRKWLQHKQFIAKQKFLQQSIPKFQLQTYIVKFQQFYSLN LKQLYKNLNNKDIKIENNIKEAFAIQEDILNQRHGAVDSIDLHFCNSLIEKLSQLNLQIL KGVNVSQQLIELSNELVGWKENIKLNQDLQDELLARNKELLAIKWKNLKSGIKLKKRVVK KVEMQTIQEEEEEQQ >CAK77115 pep:novel supercontig:GCA_000165425.1:CT868263:92607:93580:-1 gene:GSPATT00012621001 transcript:CAK77115 MKDFTKFVLCALGGAIVGLIYKLNKAKVNKNQIELDTLKNQRSVTVSLLKNSFLLTDDNQ VSLFVEGEVAQNTEMLKSANSKYTGVYIQRSSYELEAVENNGRKSQQRRQTSKTINTTDS FILQSHLKTDENLLINNFSGTIVLPKVLKHQQTDQILNPPEIRTITREPQTDVEKAEFKE GKLKKFLTTISKIGVVIEEDILCEGTFICVYGNVVWDKVQNTFIINAPKYFGVSKEQIIE YFEADQYFLKFVGILLAIVGTTFSILALKYLHKTLSNNEDEKKAK >CAK77116 pep:novel supercontig:GCA_000165425.1:CT868263:94208:96909:-1 gene:GSPATT00012622001 transcript:CAK77116 MDYLKKQHQNYKITITSFKQNFEEYLHPVKQKRKHYINKFNTELQIENPQPKQEDTQISQ QISVQKLYRKKFLKKLDVYMDFLEGIKESEQQFGSQLNELDSKLLSENEINSIDKYSEER NEIIQQLTGIQLSSKLFLYLPQWKDFKKVLKQISQSHIEIASDINKVSIQLVSLRRTYTK EVEEIRKQRKKLKYQTVECYQHYNKILNEFNQIEKDLQSFTLAHQEMLKRKDDPTQIIKS EMKVKLQMQQSDTIRLKLTDARDKIKENQIEINEFNQKMHLFQLNYEESRVVFVKQGFQA FLITSENYASQFQTQISTLIVIMDEDYYQIYPSRQHRSPKSPQKQVQEDQQQNQIAEFDI NEQIKECQRQTTYLLQQWQIVQKYNEELEYFFRDTIQIFNQKLIDKDFTLVKLQIELEKQ EVADIFNVLFSSLVGVIQIIKDSNKQLVDQIERKRQDIAKCRLEMSIGKELETCALRDLK KLQSLSTDEKALEQYQNDQVDKSKTKKAAQHFFNEMKQNMSDVKSLNFGKFYATIGQTVS KVQKMSNLKEDDLREQQVKLIEDKKTLISLQGYTLKKIEDILNKHNKQVAKMKKTLIEDL GRFAIQIFTNLRMIVDETTENIQIMQQREILQNESKEQVIQNEKEVTICQNEEMVEDVYV STTSLLLQKLLKVLVNDWKESQFFKGKIKKILHKTLNKKRAAMLSEINVSDLKIIGDPPS LSDIQALRQDANEFLCDLDLSFRGKVLIVLSTIFLVTWPKEYQVPIEIKITVTNFASRIR LCFVPTPLGKSWLSIIGQPAINLDIELNLLQKYNVAKIQQITDLIREALIGKVKLMTYPN TVSIKLPLSKK >CAK77117 pep:novel supercontig:GCA_000165425.1:CT868263:97048:98201:1 gene:GSPATT00012623001 transcript:CAK77117 MEEKIEQQKQLIIKAKLTIDKLRAELAEKIQQLQEEKQKNENLEQIVNKYLGDEKKIVCE RVLAKVKVEEIEWICIKNNGIEWVRESEFNKQLNSQLHEDIKLLDYSKSKDDILVVAQQY DQRMKILQQECFQSEKKKQEILTLYNDLQNEFDKYKQEIMQNINEVVNQSEQIRETTLTL VDQSADCEAKIKLLLLQLLKSCSPQLHFLKQHLITLNQTIYELIQQQQEQSNLIEQEGKE HKQRLLEIEEERKMEREQKIQHFENGRQQIQLLENTIDQITKELREKNIKIDRLCQENQK NANIQYIKNVIIRFFTEEYSVKQKTIPVIATVLQFTEAEHAIVQQAWERDSKSFLSRIFK >CAK77118 pep:novel supercontig:GCA_000165425.1:CT868263:98261:98929:-1 gene:GSPATT00012624001 transcript:CAK77118 MKGILSRQSSLSNINHNVNQALGVSSQYDFEKGILVNVPVELPEVKKNSNQKLPRLMRRE QKLQKFFVLNPKQQIKSIERSKTKRLIPYQLIKVERPPIGYYKLNESSLVHKSVVDMSKQ PTARIPIRQYKSKSIDQEPQMPHPQLLEEPKKINKPRITELSNLKLQEWIHKELEQLKDA KKLQQTTYKGFMANEKEKSDNFQRLFELTSLKLKNSYVKYFF >CAK77119 pep:novel supercontig:GCA_000165425.1:CT868263:99898:100461:1 gene:GSPATT00012625001 transcript:CAK77119 MNEKFEQNLQEILQKDCLTLNKNLLLKGQIELLKLQIRDQRLIKQQQDQEIKEIEKKQVM QNIKEEQQKQIEEKETQLIKQKKELHLRQDACEDLQFEIEQQYQHLKIQQENQRILDESI KRLMDCIQKSKKSPLKNQQFHNRVATSHSFDDRPNSFGIMGMLKSKNNVDDYIQKVEKIN TSRLYDH >CAK77120 pep:novel supercontig:GCA_000165425.1:CT868263:101782:102171:1 gene:GSPATT00012626001 transcript:CAK77120 MIILNSSPNPNLQGQYGLDHSSRLEKAEQNQLKYYVNAYDCEYFIQLSIISNLVPVILQK LKDDSWKIELIHIGYIPPPSKDYDPDALLQQVLCEILNPKEESKKLDISQEITITYYQED L >CAK77121 pep:novel supercontig:GCA_000165425.1:CT868263:103571:115028:-1 gene:GSPATT00012627001 transcript:CAK77121 MNNTEQNQTPGTSVKVYPSGYAEYELLSYKEKPTPREDLELINYGEIGKDDQKIKAQLVG SKNQLNQWMIQFKQQKQGEGNKTNKAENESRLESIYSGMILLRRLTRLILQILFIGLVVG FFAWGYFVTVKNSSWSALKDTSNIDIYVGNCFLNVSQSDDYLKFSFKAKGSMSQQVNGSD DFRIMGEILDTGVANLTFYDPNPDVSIFKYVQQRTCIIDLQLPKQIDNLKILCKYETECV MAFGQDELAVNTLFNATSEPKAKMQINMRNIKTQNFIFHSESTGNLFLNHFIVTEANIRM LSGDIIIQSTKSYFLNYSSQSQAICTTAYQILNTAQENCYLEANQQEMLTKTCVGQMDLC EKDSCNTQQKINVIGNSSSIYANILFGIGKPLIDGVDEFEWFETYPFKSGNLNFDQESHL KIQQFMNNSGSADVAVRLDVGGHYLLQHTYSTFWSFFSNPSYAASQPWWLSAFSATFLNP TFTHFQVSTDPGMCPWNPALNARQTLKIQELIKRTFNTTYGEAIFIQKDNFVKQNETLNE NLTYPGFDPLEEKQRGKYENWSTIQNIANNIVYQPLSYDPFFIAAIALSLLSSLIFGIVC IFVLIVSLQGLETYVLETSSQFQNYSATTTQSTKDNLAAIAKRMIQKLDQLASKKDPPIL LGVLSYVFYVFGQSSFKSAPKMFCKTLFKKSNQLQTEQYYKQTLDKSYLYIDEEEVKEQY EQFCFLNKLTIEPLSQQEQLLEQYGFEFAEKEDVLILSKIKLNPNAKSYQELSDEEKTSG NSLELFMATQCLLTGIPADIVPSDKLEKAYIKFCGKTLRVEQFNIKDISNDYGLLISDLK AFELRKVKTGIQQRQSWYIRFLGRCTHYIPFIEDYYLEQPVFLLRRSTEINRFQQNPIKT MGSRKLNNNVFYYNYPFMFIQSLIFNYKTRIFNREYSYREEVDENVLMDYFDALYMNGWI LSDITVVLGNFLVTAITIVPLLYFIIIINTSYSPFSIYDPKNLLFMDDALSRPWTITNYF GILDYWVLALGVLLAYYAIITFLFQSVKYLLSYFPDEVGYMWEFKLYQNKLMKYFNWFQW IQFLILAFSVFFYFGLIIVWLLLGAIINPNFYLVYSSSALSLVAFVAAQISQIKSTYEQA CNEIKKIINDIYLRTFGSLAAAIIKEIQKLSDLSTTIINSTNMDSAKEMAENLGFGEELN ELCILTQGMIDNAGSTDLNQDQINFKQIKDKFIQKACEKFIRIAKDQFEVPEIIAEQLVK VNFVKFEEIIDPLANQIQIQSQNKIPAGIVKWIYATSVKLSRVFSKKTPEEQRRELQVHL PMISVFLLDAVVDGMMLQTQIEDKGASQQAFKSVMLSLFNLMMDSTPKNILVQVKKLFEN LKDFVAISPIPLQALEMIFQYAQSLSENNNVEEAKQGLIKQLLSLFNIDQDLAAVYQLLF SKQQSLFIDKEMQLTPTEQAGVIIRLCDKYFLKDNMDQTLKTKFEIFILFAVKFLKNDKP PLSDLVKLFSQIYPGDDGENEEYFEKLLEQHNGLLNVGFSALQLCTKNWKQELPINLQGK SHELIFDILFVSSRFLWSSSILDKNNSESESKSAQNQADAWSDQIFSKPATQIIQRKDKL NNRDIIELISLAFQISENAVIGLILTIRNEIQDTIYHDAIYYELVKITSKSLESHMFQVK FIFELLSKTNFEDILIFLCQYKYVYKGLVDKLLEIIFSSKGMFRVFNGFLAIEKILDKSL KDYVTDDSKFKLYLAMAKKQMFGKQFYDEMNRDPKGLKEEINKIWDNDQKLQLYVNQQPD DNEDQLVNYYKTEKVTFFTFLKKSLRDEKSNKQQKADFLAELSNQIPLKLEYLYMILEQQ QGVLSNNTLNSPLLNGLKVEPFKNLLVLLSFKDASSISKAIKYFISYHPKSYESIDLNKI QIELQKVIDVEQNIASFQLPNTIPYQFQKLQNLFINQIFQTRQAISTSLYSDIFNYIKYE LDKFKIENSDKKKFEFSPVVVNQNSKLNYEQVKSLQDLIPFSEKFVKMMFNQNTSIRDKA IKDILFQLYPKPQGTQFADRYQNQTDDLQKFISGLMDKNYENVLAFLTKYCDFIKENKFR KFFKKVLAIVRGFVTQQAVNENDSSYKQLIWATQQLKYFISSDLDCFMELLQTYFSTVLS SEKPNQNAIQGLFSLMTPKPDYDVLVNIFDLDEEAIKFAQFLVSALVSENKQKLLSDPKV HQLIEKKFGIKEVSPLIDLSGILESNSMDSKSLTIKLKMEQNPTASRLFKVFFAFKRQLV KRESWKELQDNFNSLAEQRAEVFKQDKENKKQQGQQNDLGQTEVLEVLHWFSHPRPTNFL YLLNRIQILDQQEPAAIFAAAIAGIGKYDKFDWYKDKQPEQIRNRSDTLERLSQKSVEKA LLQVAQTSDQDVQEAFSLLQLAAGINPVLVTAIFNENQNSNPCLQQILQSESTRNQQVIK DFMNKFNNFEYDALSLIKIIEFETLKNSQNERLTPELIESLLNNLKLTLSQYNVIEAFIK GSAKGKVQYYFPLSSIILIYQLKQMRQIKKRKDSALSQYVKGQFCINMAFTVFEKYLYFY DNKNLANLNKPALVKLLTLGIFDTTKLRSQKQIDFVKMEGILYPGISDPLLEIRGTKSNY TNQKSDSFNDLSEYFNKQYEEYCQVFYRVLPDNNGQIDNKLEFENYNQFWTSLFKNDERG IIPAISMIYINSKSNKTNQKEYFEEMAKSLPKELQKLQLQYSLINKLTQLNISQYGYVRS KYWDKSLELIKKQIFKQSEIEVLESDQSSEQIQQIMISLIQYYAGQYTVASKENENPPQQ QNQQNKETAFKFFDALSLVDSKQRAQPKFRGTKQKMPVNLNQLIDNLLGLTLNETERKEL KPLVDLFYNPKAMNTQFITKTWGNDFIIMDAVMLMLVRVQLENSKASGKFSSLATRVPAC YLYYSIGDQYENLSQEDQVQFKNNSHLLNLGIDELIQGCNEIQSSIKSPLKLMIRCFLGD VEAWYALIAFSREQERVETGLLSERMISTFIIELLLQFKNFGLRSPLLDRQQVSSQSFQQ ILKTQMNQNLIKFIETALMNTFIKDKEEFPEESTNKLIQYLNFVFEGKTEVLADNQQSGF LEILIKVFNIDRKGQEQLIFVIQFILQLLFKGDKSNANFAKFEDKIDVLQDERNKSAWNL AKAIIEVDHSKNINVLISKSYQIMEKNNLGQFSKYSNILVSLSSIAHVSNLPTISDVDNV KLMNVLLYPILWLGSIIEKNKEPFTQFTNFSSNFIEAANLEDFSSMLNKFYEVSSKDNNS ESAITEKNIGFYKEAFLAWCRQNQESQLQQFFEFLKGKVFDISQLPPPQQIIIQSLLELL YNNKIHADPEQKILKQFISEITKIEALKDQKEVIEGTIIMFSGLSDPKDIKGEKFLKAIS TLLSNYKEIGEIIKMIFLFYQTEDIEKLKELPQQIQKGCFSNQQLYDKKFDNIPLAQLFL IASNAQKMIKSLDDAKEMNERELLKAVKPVLEPMFGQKQEKDLQILVKCIEAIIAIKYKK FNEVTSEFFQVMKISQSNSQLLINLMKDFQVGLQSPQQLIKEKMASLVNPNTVRTMQYMN SVMSKLNDNRELQYEDMFRVLDKNGQRQVKANDVIEFLRRINIEITEHKFREVLVTIKGD QVNISTCMIDFYEFKEIMQEISVQTMFLSLEYLGISKPMLIKGLIVLIVYLVIVLAFILI GVQAFAIPGTFAAIVNAALPMIGGLGLNKQAAEEKLKSLNLTVILDVVKKAVKVIQSRRI >CAK77122 pep:novel supercontig:GCA_000165425.1:CT868263:116534:117848:1 gene:GSPATT00012628001 transcript:CAK77122 MLQFDQEKPIGNLYKITNKLGSGSFGEVFKGVNMETNELVAIKLESQEAQMPQIKHEFQI LSAIQGNGIPKVHWFGQWEDKTVMVMELLGYNLEQLFNLVNRRFELKTMLMLIDQMIDII SLLHSRGYLYRDIKPENFLMGINKKYSIIYIIDFGLSKKYKDKKTGEHIPYKENKGLIGT ARYVSLNTHLGIGMLIHLNEEQSRRDDMESLGYLWLYCLKGSLPWQGLDVNNREEKYELI KTIKQTISIEDLCHGLPNEFVKYFQHCRQLKFDEEPDYKRFKMLFRDLFFKLDFIWDYQF DWIESLQQEQIQSIMHTKYSKNSLSSLKGGSQILKTDEEDEVQEKIEFKIGGDSQINQKN VKPMLNLDKLISKPNLFESIFK >CAK77123 pep:novel supercontig:GCA_000165425.1:CT868263:118375:119530:-1 gene:GSPATT00012629001 transcript:CAK77123 MQTSPPCQQPEAKYLDNYENFEEVTTTFNCLQKIHQPLEQEATYFLIRAPTKDNVHRAIK YGIWTSSSRNNQKLNDATRPVYLLFNVTQTSHFIGLAKIVSNFRENMHFMYWAEENKWFG SFQIEWVFVRDLPYSELSSIQQSGGKCIHELIDCTQIENGDLIYSAFQNQPQKSCMLKTF KELDNSEKRKRNERDSNPNFAIQFQEYISVFESMPFTFSVASYQRRKQQQIQNQCYQQYQ YYYQSPWFGVAQPSFWNQQQQLQQQPIQQQYQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQSLNNPGFYQTSNYNRPQTQNHQNNKFQQKKQLNNKNNIQQSPKKQQ >CAK77124 pep:novel supercontig:GCA_000165425.1:CT868263:119562:119933:1 gene:GSPATT00012630001 transcript:CAK77124 MDTVSDINPRPFLEEITRKQIIVRLKWGWELRGVLKSSDQYMNLHMLNTEEYVDGKSRGI LGEVLVRCNNVLYIRQCPDEK >CAK77125 pep:novel supercontig:GCA_000165425.1:CT868263:120120:122168:1 gene:GSPATT00012631001 transcript:CAK77125 MSKPILIISKIDSHSGEFYLMEEKQYETTEQYFALFLSNNYKKEYLLDEREQKDNIQKVR QIRHFLKMIEINQQYYYLFRYVKGERIQDFIVKMRCQLKTINQDIIDSFVIQILEALFQL HKLNILGRIFSAENIINNYGTLVLMDFGFGPDIKQDHLDILASPEQIRELLDQNSQIQKI NYSALKIDSWLLGAFLYHLVKLRPINSVQNKNVPNKMQIFKYTDLVYYNSYIQEQCKSSD YINAQTNRYKQTLIDFIHGLLTYNTQKRLSFLEIHQSEYIKSLKLNKSDEYINFYTNFQE VEIIEKLIIRGGISQSFVLNSGLLQGQYDLLISSPVKINGKQDTPNEKELESLHITLPYT ASFIQDSKYYDIWKQINLEQFRYFILNDTADQIKAEYPEGCYKLSLVAQYALRKQSYIIL QDLIQKIQSSDYPWQGSLVTNWQNFKQENKFQFLKHALLNASTITIQSMAQLQEELHKNP KLIENFIVTQKSNELNEVFNNILNEKIIIAQAKEQTSLDIYSIYQSVSTRECSQNPAENL KQSVRDTQQAEWFKGFKEVINHLFKYFNENVTDPNFRQKYSQILLKILYCRLINIIFSFE GVKNHFKNINRLEKEAVTPDEIYCYIESKDNYELKYIEIQDLLNKYFRQ >CAK77126 pep:novel supercontig:GCA_000165425.1:CT868263:122896:123114:-1 gene:GSPATT00012632001 transcript:CAK77126 MSSLLRPTLVKMIYPICQKNEFAKSESSVEEDVCFIESIRAEAQNPMIKNFDQVDSPVEY QQDLEEFLNSLD >CAK77127 pep:novel supercontig:GCA_000165425.1:CT868263:123227:124140:-1 gene:GSPATT00012633001 transcript:CAK77127 MKQEKNIIVFTQQDFQANFLVNPNPPLGSGHDAKVYLAMHKRTGEIPSQQSPVNEIKILK LIDHPGIVQLKGHAQDFTCALLEYMPHDTFLRILKKGPFHISLAKSLACHLINILAILHN HQVAHCDIKPENILIAANYQVKLCDFGFARITQQLSRPPGGTPGYTAPEIYKGNNLDLFK CDIFALGVVIFIIVMGFPPFQSNDPTMRDQWWNMILTKQLDYPQEFKDMIMQMLEPDPDK RIGIVQLIQNSFLQNGATHEQIVQEIQKRVKK >CAK77128 pep:novel supercontig:GCA_000165425.1:CT868263:124204:125889:-1 gene:GSPATT00012634001 transcript:CAK77128 MNQPPLGKLKTDEKQIESYRYNFKKGKLGKGAYGTVYKGMNIHTRQNVAIKLVRKSDMKD VDDEKQLLNEIQILKSLKNPNIIEIIDVLQTENNYYIILEYCNHGDLESLIYKTHQITHE DHFQFLIDILTAFTTLIKQGIMHRDLKPANILVHIENNRKIFKLGDFGFARQISNYKNQI MESKVGTPYYMSPQILNGQQYTSKSDIWSIGLMLYQIIYQKTPWLGRSESELLYNIVHQN LKFPDQPIVNELCKDFILNCLQIKEENRFSWDTLYRHPYISEYFIKFQMQRTNCQKKLFY IQNYLKQKIPNVDTLTQIFQKVDTSGDQQLNLDEFKCFIQYLDNSFNDDDVKYIFDEFDK DGNGYVDFEEFKQYLYPSPPKKAKLQRSLQKKHMIILQELSNLIQQYNMSPRQLFRKYDT SNNNALDKQELFALLKKIDLNNQLQLEDIETIISVFDENDDGEIQFEEFKKCLQMIHNDE LFTINSSKKMILETDEKIQTVPQLNKKISIEQLHNQKYIQNAQNQQMIVLQTNPQISFNL CQCNI >CAK77129 pep:novel supercontig:GCA_000165425.1:CT868263:126243:126777:1 gene:GSPATT00012635001 transcript:CAK77129 MKRQRSQSWEPQSFENSELMRQQSERPINDQNSIFSMIVNHNLIKDISIIDTYKEVDNIS MHKKHFVNYVIKIKTDYFDYTVAKRYSEFEKLYDQISNILHKLPKFPEKKLIKSNSKKNI AERREMLECNSIIKSYTRATEIHFKKSKQSNALLFKLFKYIM >CAK77130 pep:novel supercontig:GCA_000165425.1:CT868263:127008:128234:1 gene:GSPATT00012636001 transcript:CAK77130 MEKYFFGKTQHLGSQVLKFMLIGDDQRQKGVIELLKNTSKDFQSHISCVSGMQFLRKMLE YDYNPYADFFLKVFAEVSIKQLKKMGVSFHVCGTNKQLCKQDTLLLIYKYVKVNDLKPEF ISFLLEDQETLTEFQQFKEAYQQKKEEGFQIIMDSAENLQKETNPIKSNSKEKLSILDQE PSIEQLKEIESNQSIIWKQVQEFDNHRIQHVQGQIVKTVHPLKCSLNRAIELLTTQKHKW VETMIVRQIIKQIENNKQIVAETYLWQDKQLFKKVVFFSEETLILNDDNFEIQMRPVFDY QSYEQYQKCDEKSQKLQVTYGVYKNNITTVTIIQNLFDKVQQLQYTPVLLKEVDYFEKTV QQLKLLQTE >CAK77131 pep:novel supercontig:GCA_000165425.1:CT868263:128380:128790:1 gene:GSPATT00012637001 transcript:CAK77131 MIINTKEPFVIVKNYRQRQQEAKKEQAKSKSIPKPKELSKKIVKPKKVNQTQQPTPPVQP IGQKLDPTLFSQLFQQHQQQQLLFQQFIQNTQINQITLQDDDLDQYSPVYLYGIPKTPQN IAISRGSTRDDDDFKI >CAK77132 pep:novel supercontig:GCA_000165425.1:CT868263:129406:130434:1 gene:GSPATT00012638001 transcript:CAK77132 MQNNNFRRPYFSVHNTPQTSGITKRSRIVIKKNNIKLKNINVPKLERRLSVPHNMKFFQR IYVPIQMLRTQQHQPSIKDSISPSRLSKIRTGRNTLKFSEHISNEQSPSVVVKNQKVEDQ VKEVQKRKSCDCSECGKQSLFQRDTMNYGVQINHIVKEQESAINKFKRTIRKQQTLLFPQ FLNTPKNSASNENIVEEIQIHRDEIMIKSFAHRPTLSSLVFEEQASMNKQSPINSQRRTK HSYIESFLLKQQKLINKEKQQFSRQTGLFESLHKKSNFSNRNTQMHTPTILTPRSPASSF VTGFPSQSPVKRNNQINVYLQPQKSKFFKNQNRITTLPEVQQ >CAK77133 pep:novel supercontig:GCA_000165425.1:CT868263:130616:133545:-1 gene:GSPATT00012639001 transcript:CAK77133 MADDVMDNEKKITQLKAKILKLKSKKEEMEEQLTQKELRNNFLEKELTQKTKDFELLGKY VTSGYHDDEYQEKKPQGQQVSKMLEKSVLEKFSYHTDKYFRYIEDCINQEQEQAEIKDDD NQYQAPPPTCAIQVKCLKSCLPKDKQEFGVPGDDPDYVTQTFRYTKLETFESLKQAALRF WGLVETKVTTNYMENNQKSNGLQSEIQQEGGEQSKVSEANGQVAISGLNNSQSSRQSESD IKRIANRFEIVTDDLEPVQNTNLIERFLNEQIANPNKNTLCVVLRKKKNKQSHKKAGGKI QNDGKSQGMSQVKSYGVSHGGQSSQIGTQTNQQSNQQSKVAGDDQSNQQQYNQLEEDDDD DDDENDPDQDEEKRRLKIKLYKKFATFFKRFPLLRKMFMIDKKDIESRGVMIDKEIKQDK KGMTCDDNNFCVILILAALFVLNYLALYYLEDGPYAQTNYRRLQSLLKVGSIGEAGSYRD ISKIEDIYNYYCNVSGIPYELMVPESNFRQSFDIIGAIRIRQIRTKPADCQYPRRNDRRS QISCVYTQVTSSSRQTDQIGDGSKQWMSYQATSELGIPRISKGTFDDYDSSGYILDLKKS DQTLLQYKNKVIQELFNHPDYLVEGLNAQILTMTVRSRNDGSFIFLEFLIEYTNSALIPN IPLIIPFNLNLAGRGLSAPYSVIAQQSLESPQNPIDFEVGLIIYLITIAKVFVGIFFLFF QLIKIKTYLTDSKVKGLKYFISITFVLNCLIIYITFKSCDLIFQQDKMNYDAAELFMKED FVDLGDLAAHHSSALFTNTIGLCFTILRIFLLFNFLRSFEAALSAIEQYYLMIFASLFIL AFVLVGYTMISMSLYGQYNVAFSTFTRALTSLLLILTDCQDQYSFYQFDITLGTVFMIIF YFLFYTFMFNILAVMYIDSYRIIIVDQGELVQDSWTSDDIFKFFTTWIPDSCKKKFNKAL QQVQLHTE >CAK77134 pep:novel supercontig:GCA_000165425.1:CT868263:133571:136246:1 gene:GSPATT00012640001 transcript:CAK77134 MRKRKQEKQIDQQIDQYQILKQKIFACQAKILELEEQIEMKEKRNKFIDDELRLKMRDLN NVEKIFEINKELEINEIKSQNNEQKSNSSTTIYNMQQHQKALNQQQFLYKQKISQEYYSN FMEKYFQYFDSCIGQELIQEKEIENDNEKIYISPMAKFHAIQIQCFVKLLDPELRTKVNQ RTADNQDYVTVTFRYSKSDTFDNLKLVCLQYWNIIELDHLYAPDTFNLNQNQYQTKMRKY EFLAPDFTKISNTELVERYCENFEQKFAKEKYVNAILKKKVIKLQGYQEKGEYNAQINES QAASQFVSRTFNNQTLMNYTIQAEDSQLANQYLQNSISGDLSLDDANFAISNMILKMKQS LTKSYYSFTQRFPLLQKMFQIDTFELDLRDQKNIKRKGLKIDDYNILVVLLILILLLLNC IQYSLVPNQAVIQSHINQIESVLKLGKNQEFINISTLDGIFTYFNDLSKFPFYLCNQKSQ FNLYYDLIGAVRFRNIKVKEKQCLFSVRSDISSRLKCFYEQQNINTIETRILSQGDKDWM QFKSKNDITISRVTRGKFGEYDQTGYIKDLTKSKQTMQQYRKEITYEMFQNKEYLNINTL ALIITFTIKSKLDSSFFFIELLCENTNLGIHPSSPKIKYFRLPNFDKIEDEIKILSYFKL FFSICFLIYMVFVIITFSKEIQSIKSNQTKFKNIFSYFISLIFLMNLIVLYTNFVSISQA FKLLSVEQIPKKMIELEDFEDYGNLAETYQTYFYFLGLSVAALIFRSMLFLGVFESMLNL IESIQFSYLQIMISFKILILILLSFCMIMRSLQGPYSSEFSSFDTAFTSLLQMVMNTYDV QKLLENNQLSTTFFLITFYFLLTYFTFNMIIVVYIDSYRQGN >CAK77135 pep:novel supercontig:GCA_000165425.1:CT868263:136614:138692:-1 gene:GSPATT00012641001 transcript:CAK77135 MPNVLSKIPMPQSFQNKALSGALLVLILMIVKSKKEASSPRKIPRRQGQGNVDQVFINRI LDLLKIVIPSIKSMEVVDLSVLTVFLVIRTMMSIYISSLNGRIVKTIINGDLQKFIKKIV QLGLVAVPASFVNSYLEYLNKSLSLRFRRRLTEYFHDQYIKNMIFYQVSNLDSRVTNPDQ RLTTDIEKWANSLSQIYSNFSKPVLDIFLFSKKLAVSIGYQGPMYVFLWYFFSGVLLKIV SPAFGKLTAIDQKLEGEYRSVHSQLLYHAEEVAFLQGQNWEKLKINQSYQQLNKHQIVVN KLRLFMGIFDGLLVKYGAVMAGYGIMGLPVFGPNREEYLKKIGNDKSAITRDYVSNSSLL INLAKAIGRLVVSYKEIQQLAGYTRVVYEIKEVLSDLTSGYYRRTQVQQENETGQVVIDQ GKHQTIQLDKGQIIQTDDIIEFENVPIISPNGDTLIKGMSFKITPGMNVIISGPNGCGKS SLFRILGALWPVQGGTLYRPAIDKLFYIPQRPYLPPGTLRDQIIYPHTKLQMLRRKINDQ DLIKLLEDVQLEYLVYRERGLDAANDWNDVLSGGEKQRIAMARLFYHKPQYAILDECTSS VSMDIEAIMYNKAKALNITLFTVSHRQSLFKFHEYILKFDGEGKYWFNKQNI >CAK77136 pep:novel supercontig:GCA_000165425.1:CT868263:138952:140982:-1 gene:GSPATT00012642001 transcript:CAK77136 MPNVLSKIPMPQSFQNKALSGALLVLILMIVKSKKEASSPRKIPRRQGQGNVDQVFINRI LDLLKIVIPSIKSMEVVDLSVLTVFLVIRTMMSIYISSLNGRIVKTIINGDLQKFIKKIV QLGLVAVPASFVNSYLEYLNKSLSLRFRRRLTEYFHDQYIKNMIFYQVSNLDSRVTNPDQ RLTTDIEKWANSLSQIYSNFSKPVLDIFLFSKKLAVSIGYQGPMYVFLWYFFSGVLLKIV SPAFGKLTAIDQKLEGEYRSVHSQLLYHAEEVAFLQGQNWEKLKINQSYQQLNKHQIVVN KLRLFMGIFDGLLVKYGAVMAGYGIMGLPVFGPNREEYLKKIGNDKSAITRDYVSNSSLL INLAKAIGRLVVSYKEIQQLAGYTRVVYEIKEVLSDLTSGYYRRTQVQQENETGQVVIDQ GKHQTIQLDKGQIIQTDDIIEFENVPIISPNGDTLIKGMSFKITPGMNVIISGPNGCGKS SLFRILGALWPVQGGTLYRPAIDKLFYIPQRPYLPPGTLRDQIIYPHTKLQMLRRKINDQ DLIKLLEDVQLEYLVYRERGLDAANDWNDVLSGGEKQRIAMARLFYHKPQYAILDECTSS VSMDIEAIMYNKAKALNITLFTVSHRQSLFKFHEYILKFDGEGKYWFNKQNI >CAK77137 pep:novel supercontig:GCA_000165425.1:CT868263:141180:143008:-1 gene:GSPATT00012643001 transcript:CAK77137 MKYDYKFLKNESQEQLLPYIQTNTKTTQLDHKEIKQKIKMQVNLKKYPTPRSLSQRVYYW TKDETSIPVIQKNNDSSSIIEHSSEYFMRQGDWKEDIHSPIKTTVDLSSSKQSPPKFSNI KIPKLQIAKKKEKIEYYGDVVTNRSNSSSQKLDLKADYFYNIINPLKKETKPDRNVKQEC FNQAFHILEEIIPASIIAKQNHGLVQQSLSESEIKLEKKKNNASLAKRQKARPCISDQPQ PNSHPEPLAHIQTQILTLSKTETQPQPQPRTQPQTQPLPLSDQPQPQSLPQPRLQPITQP QPQPQPQPQTQAQPQNQPQNYKKGQRLKTCVMSYVRRLKRCKLTHAQVIRDHVFSAHPYS KDHSIEFFQAIKDNQEPIVTRLLQKVPYLVYDFDNAHMTGLHWAAKRGFPNLVKILIQHN ADVDAKDIVYRTPLYIATDYAHGKASAKYIEIIQILLYNQAYPWSYDHIQYSSVIRDDKK VKELFAVSRKIHLIILITSPQLKDQIWNKEVPAMNAIKNVSTQEEKQSSNTNEILKGNPH MDPRLRQFYTQKLVHLKRKIGNQAYQEIEKQIQNEQGIFEYVRKQPFQAS >CAK77138 pep:novel supercontig:GCA_000165425.1:CT868263:143577:146278:-1 gene:GSPATT00012644001 transcript:CAK77138 MVYKNCVVCGRKSEGLLKLLPHFKSSKEYKYIHKKCLLFYKSDWNHRLAVNQKLYYKDYL RHCSICGKKNYKQVQLECVIPTSLCKKSFHFDCVDSPQISKNLENGHRPPKLLIFCSYHD SIQNVVIDLDEAVNSLYTSYIVQNEQYEEPYSRNRSKHKNKHKKKYSHRRSRSHRKKSRT SSKSLKFSDGEESQSKKSISHSLLVIPKSIITIDLINQTFSNKLENKVQTEVADKAPSLT INYQFQQQIHHYEKPLKQEPQIQQQPQKFQQIEIENQKNEQIIQQIFPQITKITLEDPEF PMQEREAKRDLAIAKWWEKIDDVFFKGEVNMGLTKLEEQENLFQWHEQVLDQELLDISDA SNFEPIIQLRYHYRDSMNVVFRTSQSSYQFIRYNFTDYIGRTNTKGQILTNLRLYRYPQE SFPLTKNLSMFENEELVGKSLVFQEFCELNQEDFDQCVKLEDKIKLQHQFLEQQLDIENE TLEQLDIVYHALKEDLNEVVQQNNLMRKNINNQIQSTQDYQTLKNLKKKQQMMMDILKWS QIVKAFINGYKDKSKDILNTFYPCLNLDSQSSQKAQLKKKKMRSKENTKPFDTDCKICFD YHYTDFNPVIYCGRCSTAFHKICYCFLGNLDEQDVLCDACQFEQYQLGPTKRSIISDLAK CAICKKLGLPQKQIDNQFYHISCLLLTNSVIIKKGVYKVRSSQSDIKQICKDNEVSIPQC AICGDLKGFRFTCFGNETIPCRHAFHPLCAYLHGLTIDIESEDIEECFTQLRFGLLTVRI KCVLHCGKDLQDLLLQTITFRRFALNYDKAAQCGGQEVFLEDFKTTKGYKYLYSKQPTSK NDSLLSQIQNLN >CAK77139 pep:novel supercontig:GCA_000165425.1:CT868263:147136:147712:-1 gene:GSPATT00012645001 transcript:CAK77139 MEIEYEQNIVQQSQDLHKNTSYYNSGLPLSEEAQKILNAQQLITSGGSPQLIQERIKQPE KQVKSIIKYKFMNDGMWMKILIDRNDYPEIKDTPIIAMNAQFQNDGFEFNINVNELVEYA LKIPRTREKIVPEKSKIYEKKHYIYIALYKEKEGDWWSLKY >CAK77140 pep:novel supercontig:GCA_000165425.1:CT868263:148185:149340:-1 gene:GSPATT00012646001 transcript:CAK77140 MQDLLAQKIAQLSEKVVDNIDFTKAEESYKELIKNLNEDLSIEQLKQLGNQFLEFSLVLQ QDEYIQRKRAKEETQEKEQLLHKEYPQLQDKLIKLKQNCQEIDFNAKKINETIKKLPEIE EANRKSMKDKFYEDVKDVKDKIQQEDTDAQKFAEENLKIREEMQALIAQYEQKEKDFWEK VKLNEEEQKNDTKKYMEQFEKMQGDAQKAMQEKQLIQDAQKQLNDKKMKLQVYKGKQNEF VETFEKSTSTFQNLTVEFLKLAFKVKEDEEKSILNQQRADKANLLTVEIAQKNADLKQQI ENIEKEKDKLRAEILELNNQKKLQSQNQQQQQKADGEN >CAK77141 pep:novel supercontig:GCA_000165425.1:CT868263:150344:151028:-1 gene:GSPATT00012647001 transcript:CAK77141 MKPWNKNRANSLLLKDSVGAAKQSIYTIPNDIYFGKAIVHDTEGASQVTSTWYYHNNSEQ NPPDRDFMKLNKMCISSKLHDQKQFYLFRQSNDARVFRRRGCSQIEMNLPDENFRYGKPY LPQSPMKNVLSGSYMNEAEQLMDKKYDAITKYKQQQNKRPSTATKHTKASKLAYQSLTKS LNKSQQPQFKLKEFEKVEPKTKTRF >CAK77142 pep:novel supercontig:GCA_000165425.1:CT868263:151198:152178:-1 gene:GSPATT00012648001 transcript:CAK77142 MRYAVAINDYETRNDVIYYNFQINCQKGFKSVYKRYSDLNALNELLQQKNNEFKLNLTLP RFPKKKIFGRTKNSQSDVKNRAQELQQYLEAILNMPTIWSFQFIREYLPKVQLMEETCRL EQINTEYSKDLCWLQMDELKKSFLQRQELFQSNKKNKQKGNNNSGYYQRYHFTINEFTIQ KDFVQYHIILTDTKKTTKYQFETRYSNLRDCHNLLEKYQFKNCLPQFPKRRLIGQTIDNP QQIQDRQEQLQRYLNQIFSVQEFVESEPMVYFITRIKLEGKPIRQGQAERVSQFSQQVIG SSFNVSQGMEELRQQKSF >CAK77143 pep:novel supercontig:GCA_000165425.1:CT868263:152699:153726:-1 gene:GSPATT00012649001 transcript:CAK77143 MIGKYKICEPIGQGYSCKVYRAQSQENNQFYAIKVINHQQMSEPQIKLLGTEIEILQTLN CQNIIKLFEIIKNSSYTFIITELCQYGSLEDQMNKNKQFNEVQALNFLNQMCNALLTLKK QKIIHNDIKPSNIFISQNCFKLADFGFAIKENSNYQFNFGSPLYMSPETLTNNQHTYQSD IWSLGITLYQLIHGYTPFKAQNEKELLLCYLNNPIQFNKEISPKMQAIISNMLAIDPQKR ISIEKLQILIVEKENNMTSSFHQRTKSLSYDKQPFQFKEQTLNICLFVKSVRQEIQDNEL LTYALTGLILYYTKQEQGKLQRCSRE >CAK77144 pep:novel supercontig:GCA_000165425.1:CT868263:154551:155270:-1 gene:GSPATT00012650001 transcript:CAK77144 MQTLLKLFTKPRIPIIRLSGVIKQKSGDKIQDQLDKIKSKNLCALAVLINSPGGLPVQSD IICQKLNLFKQKHNIPIYTFAEDVAASGGYFVLCIGDKVFADQSSLVGSVGVISQWHGIK KALEKLGIEAKFLSSNDQIHEVVNSAFSEFNPQGATQWVDKLEYTHQMFIDHVKSYRKNI VDQNVFKAEVYNGEQAKQLGLIDDFGNYEEVLNKLHPGCKFEYVSFPSKMEELKQLNKA >CAK77145 pep:novel supercontig:GCA_000165425.1:CT868263:155281:156037:-1 gene:GSPATT00012651001 transcript:CAK77145 MNPRMTMNNRGQQPQQQNGLRNDYQSVVQGIQSLMGISFSAVQLCIFGSMLMEKTKKLLE FFKSGAVTSINKLLTLLRIKQFLKGELTMTQIGKSVVNTSTQIGKQWIIAIRLLLLVVIL LIGALLAHMKKKIKEKQEIEVALKQQEIEEAEQQQQLDNQVDTSQLPIQQNDFWNHSEEN TSNQEQQPLQQQLSNPVPTINYLNDLPGFSNTGNPSKPWMK >CAK77146 pep:novel supercontig:GCA_000165425.1:CT868263:156074:157295:-1 gene:GSPATT00012652001 transcript:CAK77146 MEESFASNPEDPEHSHNSKELSNSSQAFFNFIKSLVGIAILDLPYSANECGYALASILLL ILSYGTIRTSLMLIKVAEESDIHYYTKIVKQYMGPISASILDVFLSGQQLFACIAYIIFF QQMCQLALSNLPNYVSVIISLLVIIPLSLIRDLHFFHKTSTAGFFIAVFVLVAISGISIS QFGKAEDVVAFNASGMFKFIGVAMFAYEGICTTLPVRYSMKDQKKFPFVFLSSSLTCLFM YISFIIINCIALGSQLQQIIVFNLPKDKIWAFALQFLYAISILFTYPVQIYPAFTIIENR LKIRDTKIIWIVERLIVTSILYVIAYIIPSFNTFLNLIGMVFGTFLQFIYPITLYLLYFK SSLRIFEWIEASLVMFMGVSAGVLGLYFSIDDLVKQNK >CAK77147 pep:novel supercontig:GCA_000165425.1:CT868263:157393:159398:1 gene:GSPATT00012653001 transcript:CAK77147 MERESRSRDDSKGRQAPFYRESLYRSSSSVSSQFKVSSGPMLFKGTENSSVQKNAQPQFE EDADGFKKPPARSEKLEEERKRNKLLNEYTAFEWENIEQESDRKWYDYDEDDIGNASQQD WGGEVFKGQKEEFKFEEYSKRKGQTVRQSEKNQEQNRWELNRMIASDVFKRKADAYDFYE EENEKRVVIHVHDIKPPFLDGKVVYTTQLTQVQIVKDPNSDMAKLAKQGSEVLMLMREKQ DKTKMRERFWELSGSKMGKVMNLDRKKEMDPDRHLLNEDGDYDFKASSRYQTALQRVTQG QSDFARNKTIKEQREYLPVFHCRSELVQLLHDNRVCIIVGETGSGKTTQLTQYLYEEGYT NTGVIGCTQPRRVAAVSVAKRVAEEMGVELGSKVGYAIRFEDYTSKDTVIKYMTDGVLLR ESLQDPDLEKYSAVIMDEAHERSLNTDVLFGILKKVAQRRRDIRIVITSATMNAKKFSDF FGGVPIYKIPGRTFPVDVRFEKAPAQDYVRSAIKKTIEVHIQQPPGDVLIFMTGQEDIET TCYLLAEELNKLSEATPPLLILPIYSQLRSEEQARIFEKSEFRKCIVATNIAETSLTLDG VKYVIDTGYCKMKVYNPRIGMDALQVTPISQANADQRKGRAGRTGPGICFRLYVGKQYS >CAK77148 pep:novel supercontig:GCA_000165425.1:CT868263:159480:160574:1 gene:GSPATT00012654001 transcript:CAK77148 MDPPPQDTILNAMYQLWVLGALDNVGELTELGRKMSEFPLDPPLSKMLIKGDQLGCTEEI LTVVSMLSVPGIFYRPKDREAESDAAREKLFVGESDHLTMLNVFEQWKRHEFSPEWCNEH FVQAKSMRKVREVRAQLKDIAGKLGLKMSTCNFSYDVVRKAICSAYFQNAAKIKGVGDYI NLRTGMPCKLHPSSALYSLGYAPDYVVYHELVMTSKEYMHCVSAVDPQWLAEMGPMFFSI KEDGETRASRIESEKKSKREIELSIEKAKREHEMKSEECLRRREKEDRERRLISERTATL GFKPSRIQTPLRQQTSSVAATPLRSECESVISGMTSIQAKQMRMAYYDDEEEQDVGRNKI PKVE >CAK77149 pep:novel supercontig:GCA_000165425.1:CT868263:160704:161243:1 gene:GSPATT00012655001 transcript:CAK77149 MENNLDQTASFFHNPKLKIPKLKCTYEVICSPDLEIPTFSRKDDSYQQKSLFKQCQRRNS QNNLTHNNLQNIFHQISLKKANSDSKPNPKVPPLKIQQKTLLSKVSDQKSTSQHSTTILR GRGSIKILQNPFITDSRHSQKSTCTSGSQPPQPKGKPFLQRKAQIQMVNRVFSSMSQHK >CAK77150 pep:novel supercontig:GCA_000165425.1:CT868263:161674:163593:1 gene:GSPATT00012656001 transcript:CAK77150 MDHFERIRKIGKGNFGDVLLVSRKSDGKQFALKRVDLSMRESFVVDPLNEVKVLKSLDHM NIIKHYDSFVHNNKLCILMEYAENADLSLKIKEAKHNNQFIQEPTILAWLTQLAVALNYL HSQKILHRDIKVQNIFLCNDGIVKLGDFGISRTLENTSELAQTSIGTPFYLSPELCQNQS YNHKIDIWMLGCTIYELCTLQKPFTAESINALATKIINEQHTKISDHYSDFLSNLIDEML QKQPEKRPEISKILSFPQIQTELQKLSQIYQPNYITHQNYGFSSSKKSNRMQQNSIHFLV EKSKQQQGQSPICSRRSQSKLNTPYQGYKTDIVDQNSPHFKLNGKQLVSNLHTLPDYIDD QQKTQTPISTQRTESNEINSKQLKYQKSISINTQIDDNNNKRNQEMESQPTLLKNQKSFT FVDIFFNPNTPTSPNRSLLLTDFLKRKLGNEKFYQMKILLEQNNDPIKLLDQEQGLVQDI IGEQNMDCVRIFKLLISCSITPQASHGRAKSAQVFTHEKQETLMDIIKASDFQPQNSIGS NPGLEISFKNLQHFTEEQQQALQQIKEMSL >CAK77151 pep:novel supercontig:GCA_000165425.1:CT868263:164221:166813:1 gene:GSPATT00012657001 transcript:CAK77151 MEQVIIQKIFKNVSASSELISLDHYRCCQFISKNTNQFILRNQIVIPYLSLSDHATEEIK KVCLNIEFRQSRSPQRNPLTTNTSLICNTTNISANKIVKKRGASATIPTDSYRIERRDQV EKRKVSKTYKLTNQEKNNFVRKESKLKEIQRRQEIGLDFLNKIPKKKIQLLLQRQKTQGL SHIEKDIPKKAQSTQNNRLHLKQKNQLHQQQKQNEQMNRKKETKKQLQNSRNILKKSSSQ QKCKKRSITSITQKKEFTQRNSSLKNKNEIITQLAGYEGELLEKYKKLQARYSKIYQAFF DESSCVQYLTDTDPYLLPSQPTSNKQQQGLTEEFSLNRDEKIQLKEFGILENKFNRMHSA ATKIQKVWRGYITRKLILDQQFEQYYYKQPEVFNEETFRSQFRFEKDSQSIQQGREQTLN QLTHQTDINFILDLESEKKEKEELKRSPKISGKFVRYQKLKWQELINYISELESQVQEKT INEVLQDLKLFTQQCSQDCSLTKFQIKKLEIQVPQFSQESMVMSEIRQAMCSEKLMRQIL TSSIKQENESIFEEQPFQQFASKKIDEILNRNQMDELIKMRQLIVQERQKSQHQALTKEF EQKLISPNTFEERYQTLEKWVTKQYDEIENNKIDFEKGWQGLYDTFIQTEKELRFLQQQK YSQLSQISYSQQFINYSISAFSDSAQSNKMNSIYRYKNSLQELSNQNETLLYNNLNEDFE NKRLKTHTSTSQTPDEKLRFQHLKNYHETIEWNDEQLLTSQQFLSNQQVKESKINNAIKN APQKSLEQAEIDYLHQEVQNEELSWVNYNEFKFYVLMDISNLVFNELIEEFTEECIQQQF >CAK77152 pep:novel supercontig:GCA_000165425.1:CT868263:166899:168099:1 gene:GSPATT00012658001 transcript:CAK77152 MDAFKLSNYQNVQYYGPIQMGTPNQQLSVIFDTGSPYLWVPSDKCTLSKCHLSKRFYTSK SSTYKNYSQPDEISYASGSCKGFWGSDYVQLLGDSNTRAQINILFAYYDSGFDSVQSDGL LGLSNEKEIDNIFDLAYKEGKLKSNLFAMELKSTDEQSLFYYDEIPNEIMNNVEWVRVLR NDYWTVKVLSIEVNGYFIKTGKYKEALIDSGTSLLYLPQKVVLSIFEELILSNCRLLDGN MFCPCSIPKSEVDNYPKIKVFLEGIILELDITDYMILDFSFQGLCYIGIEVESQMNLMIF GDIVMRKYVIVFDKQNNKIGFKGVEKLTNIKTTAIFWIEIIGFGIITISCLLLQMKLMKL >CAK77153 pep:novel supercontig:GCA_000165425.1:CT868263:168122:168900:1 gene:GSPATT00012659001 transcript:CAK77153 MHESLKQYLPKDYKRRAYYTPYDVSVHNTANDLWVSFFGKVWDLTQLVQENISSKLCEPL IKIAGSDISYWFDKQTGEPRKQVDINTGLITYYCPQGRYLHIPSSDPCGDDELGDHSKPW WNNEQQYCIGNLSHKTRKVKIINMLTDHEDIIEVPSEETINEILDRYKQINAHAASYTWK RLGKPLDMELTLSANGIPDETDEFEQLGVPESQWYIPAIHLYFNDDLTVA >CAK77154 pep:novel supercontig:GCA_000165425.1:CT868263:168929:169917:1 gene:GSPATT00012660001 transcript:CAK77154 MIKRNLKWKINSQRDSSKNKPKSISIESSPRNPIYLIRREVKSKNSQLKSNLTTYTKYVF HSNYISPVSSPNTSKIIRSDEQQIGQPNNICNQERKHSLSTLSTENRSFKNKFTTNNRQF QTESVQFLTQQLTEQNQDIHVDNQIQQNIDIDIECSHLDIQLRRISQICLFDDKKKKKLK QNMQNFEFKDHKKANSSIDQVIKLVRTIKQPSYRYEAQQRSSKIWLENVCFEPLELKQNY TDTQSQFKFYFSQYFDKNLKLHRIIEINNQLLQVMNDNQQIIQDIQEQRKAFFLNKIQKA ENLFRFYHDIQTFGIQVKLFK >CAK77155 pep:novel supercontig:GCA_000165425.1:CT868263:170180:171333:1 gene:GSPATT00012661001 transcript:CAK77155 MDNINKIVPTFQEEFTNPIFFKDYSQHYNQIYKDILNGNFCNINPIDDLEFEAPNLEMIE NGNNDKINGIDKRKILISLKKQKNWQSGNLKKQNQEVIDGLHSQRASVQVVPNKQGQENV AGSPLLPQINILQNLKQSPRKKSFSRSIHSKNIGDNILSIQKADSQFKNQIDTSKLIKQQ CLNLNNNSLNNYQTIIYYNKKLQRKRSQVEILQWYHLLKFYFRFNSVEQAINKFIKCKFQ FKTKKNANPQSVKLETLLLYDQMIKTNRSKKKIVKNLIEHGLLDQFQEFMDVHKNFNLNG LTEDGIPFISLAAANGNKGIVRHMLNFNVNLNQIDKHFNTPLQYAMFHKNFDVADLLLQN GANPSMKL >CAK77156 pep:novel supercontig:GCA_000165425.1:CT868263:171405:172037:-1 gene:GSPATT00012662001 transcript:CAK77156 MNFHSNWRCEKMQFIRDVLVHKNVVPIHLQNRIMKELDFQIRAFANINKSSFSPRKSCNR RLKTLDQSPCNLKFERSVSISYNKDQDCFRCKKFNFQNKIIIHCDTGQHMFHEKCILDLF KEQLSDTQSHFSCFCGMKLCPEQVRQLKIRGIEHLVNQMYEQQLKCITYQLQIQNCLNQM CNFFWITNSQKQRKYGRQLKPLKKHYCPYC >CAK77157 pep:novel supercontig:GCA_000165425.1:CT868263:172170:173717:-1 gene:GSPATT00012663001 transcript:CAK77157 MAGYISLNEDGGIQKLTLQEGQGDLPQQGNVCEMFYTGKLEDGTVFDSNEGKDPFSFTLG EGEVIKGWDVGVASMKKGEKAQLKIKSDYGYGKQGSPPKIPGGATLIFDVQLVDFKEKQK QKWELSDEEKTTEAKKFKELGTTAFKEKNYPEAIKQYLEAASYFEAETEFAHEQKLASHL NLSLCYYYTKDYKESLDHASKVINDKPNHAQLVKAYYRRAIAHSSLGDYNEAKGDLKAAY AIDPNNQAVIEEMHEVQNKINLSKKKEKDIYGRLFQQSYYEEEAKPTSLEESDPSDMMNQ KEWNLLYSRNLVLKTVENFRALCTGEKGVGKSGKNLHYKGCKFHRLIKDFMIQGGDFTQG NGTGGESIYGEKFADENFTHKHTGRGYLSMANAGANTNGSQFFILFKDTPWLDGKHVVFG KITKGIELLDVIEKIETEQDKPKVSIVIADCGELKQ >CAK77158 pep:novel supercontig:GCA_000165425.1:CT868263:174229:174456:1 gene:GSPATT00012664001 transcript:CAK77158 MNKPGIGLISLHIVFIEKSFGDSDEGNSTLEYSEKSILDNNVRFADEILICFFHQSDKVT QINEGKKKTIKENLK >CAK77159 pep:novel supercontig:GCA_000165425.1:CT868263:174544:178078:-1 gene:GSPATT00012665001 transcript:CAK77159 MLKFLFTSKLPLRLFKRSIACFSQQSAYKEQEYLSDGEIDYESKTLLSKFEVYQHFVNAQ TTEEVIKAFKDNNLSYEQVHFAAFQLMNIVTDKHYLHDSQLDAFVQETIIPNFEKLNSEQ CVTVIQLFLKLQTKSYSIKIKRHIDQNLKNYTYLQLPYVFSFYSKQGWKMAHIGKILTQC LPTQAFTAHSLCQIIRGCYIEYSTFKSTQHSDLAYLACHQLINLIDNINFHGLVAVFNQL ARMHFDQTSFYKRLPEVIYILKEKFIENFDKISQYSKLKLLEAYSELPESFPNELFLKLL QSFESDNLTNANQLKLYVLLKIQKQKNKPSTEVLQMLISKINFENIIETAFLIKFLDVEF EDQYQNIISLCIKTLKRNYNSLSIKQKADCIKVIIKQNRLNEIFELNQLNDTLLFKIIES MHYCHKYIENCRFNQQKKNIKECVKSFFKQVGNQDYELQLKIASLILTLDPYQDDPFYEF INKHIKLESLPDSYFYIFFMRLNSKYHFNQEVRTRTEQLLPTFDQLQKIINEITNIDFAN DKLVKQIAKSFITLTERNCVVKSFNINVSLNLLFTLIINCPYHSLHNMRGTVLQSIRELI ELFTKLANYTQQKIEINIELLIKFHQLLQQLELRTNIISNICQQLCKQIGSLSDLEKLQV ATIITEINVNQFQITPTMSNFLNSAIAVKMDTYYAILIKTKLLFFQIKNQARLQQKQDEV QLNLMIDQLKQEIIKLDLTKQQEHYIDGLMILMEYDGWRFNNLKYEEDGLKDFIELQFNN IDHLLTMNNMRPGLKAILKTIGQFDSKYQFIRNYENVFKKMLLKCHNIRNHEIPSIPICL EYIEFSKKIKLNNDDTIQILTEFIKRQIDNVKQIQIVEILQNLSEQRVYVESLFDGLSKQ ISRKVQFYSGFELIDILNSYAKIGYFNESLILTILDKIQIEQRLSVNPMAYVQTLWSVLI SLQYLKKQQKKNYFVKYEKLIVNLMNEVSKFPNLPTNTKMPVIFRQFMDIYNLLEFSMHL DLQLNQKQQQLAKQIIENGKKIVNTKLIPNQKLNQSIKSLMQYLDKSQIEYKVNYYFDNQ YVDFYIQMRNHIISIDNSLYVTYDMKHYTGFHYFNKWILEGASKKYDLKEIRINANEWNQ MNDDERRKILLM >CAK77160 pep:novel supercontig:GCA_000165425.1:CT868263:178213:179924:-1 gene:GSPATT00012666001 transcript:CAK77160 MGSYCCAQEVMKLKLRPDPQISTQRIRNIRVKLQVIYFQICAFPEKITLLKVSPDLFIPM KRQDIYSVYSLGKALGEGAYGQVSTVTNKRTGMIRAMKAIRKDSLFEEEEQKLFQEMNIL KDLDHPNIVKLCELFQDEKYYYLVTEYLQGGELFDRIQRAKTFSEKDAAHIMRQILSGVA YCHTKKIVHRDLKPENIVFTSKDEDAQLKIIDFGTSRRFESNKKMTKRLGTPYYIAPEVL LKQYNEKCDVWSCGVILFILLAGYPPFYGKKELDIYQKIVRAQVSFHTAEWSRVSEPAKQ LILKMLSKNVDERISAQDALNDPWMQEHNQTNLVDQQFLKNLSQFSAKSKLKQSLLTFMA CQMIQQNEVEDIQKKFKELDQNGDGTVSKEELVMAFQEKLLNKDYFVESLEEQMDKVIKQ IDTNLSGKIDYTEFIIVCLQQQRMLTEEKIKQAFKILDMDGNNFISKDEFQQVMEGVDDI LWNEFLEECDEDKDGKISEEEFIQIIMNKI >CAK77161 pep:novel supercontig:GCA_000165425.1:CT868263:181052:181768:-1 gene:GSPATT00012667001 transcript:CAK77161 MLRKNEDLSKTSQFEDQLQQLMKYDNRNKDQEFLLFSKLCQQKKYLDTVNFIIAYCQVLK DQAYKIIACQGETDSVQGLMTYIESIIYASQMLNLKQSEEFNQFFLFYFKLDASNLKNVE PKIKEMYQSFLPNFDEINQYAQTFAMKKQIMESDLNQSGNQFKINCQQYPQIQQNTSNIG TSSHGNLKIDDQIIQTQPTYDIQTSFINDEKKSFNLNLRTISIKFTQRRNFELQRELL >CAK77162 pep:novel supercontig:GCA_000165425.1:CT868263:182000:183526:1 gene:GSPATT00012668001 transcript:CAK77162 MEQEGNREEIIYHNLYFPYNKVHCDNCEKDITKQARILCNDCPNAIDICMNCFLNLQEFE SHVISHSYSIINKLNFPIFVEDWTAEEELLLLEGLEKKGFGNWQDIAEMLGGEKAQEEIA QHYDDIILSGEFRKMPLLSKRNQDTLELIKPKRAQTAPKRIKEEQNITKTGRLTPNMSSQ SGQEIVGFMPKRGDFDIEFDNDAELLLAEMEFNDDDKPFEIDMKLKVLDIYNIRLDERIK RKKFVIERDLLNLKKQNIQDKSRSKEEKELHNLMKPFARFNKPEDHERLVQNLIKEKQLR AKIEELRYYRKLGMKTFEEVEEYLAEKRKRDEQYQRRQKQNDSFVYDSQKQRFMQRRTRF IPLMEGRDKHKSGPSFCEEEYQLCQKLGLTEQEYLILKEVLVRESVKNGIIKKDQALQNF KLDKERVTGVFDYLVVKGLIHEKE >CAK77163 pep:novel supercontig:GCA_000165425.1:CT868263:183587:186374:1 gene:GSPATT00012669001 transcript:CAK77163 MHSLNSVHLDNLNTVGQQDTSMCKNIPVNNECDSSSIVLLKKIEAPSPDIPGFNQPVFKP FQKLIIYYHIGFFVRKLLKYIKPDQSFKPKHYYLINDKSSGNIKDFISYAGKSKSTVMQY PSLDEVDFFVRLRYRYRQGLENFQQKMLYLFEQIPLIDPSNKIKIIWDLLLNVFRIYLMY IIPIIITFEQLIEDYDYIIIVSQIVFAIDLLLRNITIYYDQGLPVVDRYQIVKNQYHITN LIELMEICLLFTMAYFKFHFNNQFILFGGWPKLWMLLYFIQLKNLLNFIGSWQQSFLMGQ LVSSLIELFKLIGLLLIIQHFFSCIWLIIGKYHLILGNSNWITFFNLEHVSWTDLYIESM YYTSVTMYTVGYGDIHPINISEKMFAFLFVFVCTFQLSFSLNTIGEILTRMKNNNDIINK KLIFINQYMHNKKISHQLQFQVREYLNYYWYQEQTQQTKEQTEILSQLSDDLRKQIAVES NSIVLKNCDFFYKNFSTDFLNELLKHLQFQAFQPSSTINIFNDDDHFIHIIESGQVDIYD KAYDKKVLIGCFKSNEHFGLNEFLNNQKNSKYEYKSSGFVSTLVIPRSKFHSILLKYQAD FEVFWNLKINNYTELKCAVCESKRHPPDLCKQVHYITDREKVIKQFNFYQNQERSKFRRN SIRIKQLYHAQTDQEIIKEAAQMVKVKNDSMFFQKYHINEEETTQYESQENINKKYMDSV GILIKDLESRSYLDFCQKSHKSKSEKEILELKIHFSLELQTLKSLKDKLHHKDLYTEKDI QQIEYLIKILELKLNLEDKIELDQQQEYQTFNQKANINIVLKKLIHPVKYIVTQTSKSLD QFQLISGNGWMKYMLYPFDFINQYRLNINRLGLPRKSMVVRQQERRLTSVLLMRKKQQTI RSMKRSIQVYPIK >CAK77164 pep:novel supercontig:GCA_000165425.1:CT868263:186504:189565:1 gene:GSPATT00012670001 transcript:CAK77164 MKPQPKKSDHANQKVNQQQIDVDNLQDKSAIEILSHETQMKKREKLSPIIRSNSGHQEQL IQQYNNPQSLVTPKYETITERLQTFGHVDLLKQSKSFRKLIVYFHQKDFIKMLLIPFKLT SNFTLKHFNLINDLSASYKFQVGRSQETQKSLIHQYISLIDIRIQLRLKFRTIKTICKQY LTHMHNQIPLIEPMSNTKFFWDLICFAIRIYLIIVVPVIIVFHGQDFNAKQLGPLVLFSI ALIFDILIRAFTITYDQGIPVRDRYLLFKKQLNFSTVLELFSFIYTILITIQDDKIIDKY ILGEGWAKFILCLLYIQVINILKFIDVSQYSLKLNRMQTSIVDLIKLVAMILLVQHIFSC VWIVFGVYSLQGQQDSWLNKYESDEWSYQFLQSFYFICVTTFTVGYGDLTPKNPPEQIFT IIYMFFCMLLFSYTVNTIGSILTQIKESSEKIKSKLTAINQYMHNKSISPTLQFKVREQL YFYLKQEIIQQVNEQSEIIQLLPEDLQHSLRIEAAKSLLNKCSFFSDNFSKDILNQLLEE VNFQMYQPGAIIHSKEEFYIHIIEQGQVDVMYKKKIIQTLEKYDYFGLEEFISQQANPNI TFKSTAFTSVLSIPYSYFHKILSQNDLENQKFHNLLTQSSCLENFCFICKTKSHKTQLCP MVHYIPNKEVVIKKFLYKKKQKKRVTQERKIRMVSVNQMDENEENKVKKIKFLNAKTNQK MVEDTVIKFKNENQPHLESLFPNIEQPLSIDSQSESENENPDELKDQSFSDQNRNSRRGS KQIPHLSSKNLIKYDILQKMRKERFSKNFQQQQSPLLMVSQYGQMSPAPQIISKYNLKKI IQAKSLDDEQLQLIEEINFFEDIRNKMNNLLLFPNKEQEQIEFCYKKLSNLKEEIDDMAN FEQLKNYEIFNRQWNVDLVVKKTSAKPKKFRGLKKLKRYLLFPYLFINKYLDRKDPNDPT KTQEKSKRKRPFKPKANKKTRVTPKS >CAK77165 pep:novel supercontig:GCA_000165425.1:CT868263:189731:190336:1 gene:GSPATT00012671001 transcript:CAK77165 MRQLSSPLTTLQDNLQSQSMPRIHSKQEQRFQLPTLKSKMNNLIESEEQKAPVGQFFQGL VKGKTIMESKKKIEFPKTQQNFYRLVYKAQYSFRPTKLNPRQVSMDQPAIQKKLGGPTFA YRTIQSSEEQLRKPKLEVIARQQVNYLNDSHSINESLNTEINQILYGKGQKKTMLKQRLN QTKNNNDTSNIIKEESENSFI >CAK77166 pep:novel supercontig:GCA_000165425.1:CT868263:190583:190879:-1 gene:GSPATT00012672001 transcript:CAK77166 MADEQAEFFKEVLKLISNLHFENKITEDQKSELKKLLMDDEEFVRQLKEEYQDEGLKGIV NMIIGDSLSDCSFRDLLDFNQEKRKRSQSLTILTDSSD >CAK77167 pep:novel supercontig:GCA_000165425.1:CT868263:191077:192086:1 gene:GSPATT00012673001 transcript:CAK77167 MPIYPTAPLTSSIPAPVSVVQPVSYAQPVTYAQPVQYVPQPVQYVPQPVQYVPQPVVQQP VIAQPILTQSVVAAPQQAPIKGESRVEYREFQRPVVEMETETIQVQVPKTKYVTDYYPVE YQTEYIPRTVYEQQTEYVPVTKTVPRVEYDAVEREVQRVQYQPVQTVPVQPVFNPMFNQS NHSLIQLLDQQPKLQSMLNQQLLQHSLIQVLDQLMPQFIQVILKLVLDHNKHNNQCLQTN HNENEILYHKHLYRIINFIIKLKFFFSNSKINSILKNSYSIEFYQLYSYVLYIFGDILCI LIQFLIFQK >CAK77168 pep:novel supercontig:GCA_000165425.1:CT868263:193173:193418:-1 gene:GSPATT00012674001 transcript:CAK77168 MLKETKYEIISTDVMMGKINVSRQDYSKNVNLLFCLKSIKKYSLFQEFSTLYRVNDPKDQ KDQLECKKEEKLKDFSQQQFF >CAK77169 pep:novel supercontig:GCA_000165425.1:CT868263:193716:194915:1 gene:GSPATT00012675001 transcript:CAK77169 MIPMDTCSSKQMSLKQLGDVMFPLGFITYGGPLAHINVLRERFKNYTTEDEFQELFALCQ TLPGPTSTQMVIAVGANLTHSCLGGLVAFLYFSMPSAFVMMVLGLTVPNLSIPWIQLLIN GFKYASIGVIMEAAYKLSNGAIKNKFHLFLWIVSAIVTTFFPTPSIAVLMIVIGAIANYV SDRQIGQQLLIPQEEQVQHSFDSWLLGYRSLVGYGISFVLFFFLSYIDWMPIAVCSNLFK TGSLVIGGGHVVLPMIQFSLSDYLTTEQFWNAFALVSCMPGPMFNIAIYIGALIGGIPMA ILAEMFMFLPGFFTIFGILPYWKKYRGLRTIRAVLQGIAAVAVGFILSAIVHLIINSCNN GVVVPIGIGILSCLCLYKGIPIPFVIIGGGFINLLTEYK >CAK77170 pep:novel supercontig:GCA_000165425.1:CT868263:194942:196751:-1 gene:GSPATT00012676001 transcript:CAK77170 MFKINRDLLSKNKYTALSIVASVGLLMLAFRKRKINPFESTYRRVAQEIQQKVLTARYVI QTELKLSNEDKTLILNSSIPQIHKFYESKKFTCLDVLLTFLEVSLEKGFKMGYLNDVNFE DAIQKARQLDQELKNTNYKIENMPLFGIPISVKDTFIVKGTYQAFGCGAYAQKRSEIDGI QGYLINKSGGIIFAKTNLPQFGFSYESWNYLFGRSIHPQDPQRTSGGSTGGEGGLLAVNG SPLGLGSDSGGSIRIPSHFCGLYGYKPSAKRLIMRGQAKGVPTWDGIRNIASCYGPMAKH FPNLVNMMQALTTNYEQAPINIKDINFVMKTFDEKECYNNNKKYKIGVLRKINLLLPCKA NQRALDMAVEKLRAQGHTVIEFDIDQSIYENVFQHVYDVMLGDGGMKGTYELYKGEPWIT HFDNFKKATQSCPLWKKIYQKWLKLQNRKRELMIWKAGDHGLSYYENLQITTRLTASQIQ MIQIWHDLQLDAVISPVTAGVAVKHNTAQHCFSGICYTFFWNMIDFSCGSVPITTVQEDE QYYNEESLFGAKDIEYKVLEETMKHSAGLPVGIQVISKPLEDEVCLNIMRQCGQ >CAK77171 pep:novel supercontig:GCA_000165425.1:CT868263:197137:198434:-1 gene:GSPATT00012677001 transcript:CAK77171 MQIELKNFFLNFGNTEQYSSNACDCKNQLTAYIQDGMGQEMTNNEENFLKNNNQFFIIQN SYGLRNLAYFQMKLKEKRRQTVQTIERLQKDLYHNNQIKAFIDDKGYLNFSFHLYLIENR QVLKLLEEKKKFIFDVIYQFDMKVYNKFEQCNTEKQNKSSQQQQSEPQQSVQTLDVKLCK LVNVVKSNMEQQRIYYQVQGSDNLCEDIYKNLIEIDKHSYGNIQQGQLNKLEEQINRLLI SLDISIQETLRNLFLTIYLKNGEKKHYQFNQLMFLSEFFDSKLQLNETFQVRFQLLIQIL CAYLLKIYLNLHISFPQKDIILINSRKQIKLDMLAYLKYSSSGTEQLQQPDQMIEISNYF EILLPNRERPIILDNYNITNELQNMKQLIKQNKITVSDLVKQLVQMDKDFENILYLIT >CAK77172 pep:novel supercontig:GCA_000165425.1:CT868263:198479:201112:-1 gene:GSPATT00012678001 transcript:CAK77172 MKVVIFFEVIISFEIIWNLIFRMENDKLGFILSAVVLHYINFLIKCILINLECQKWQFWG ALIVSESISMGLLSMQWQNKIDLIQVNIDVQKVLIVIQCGWGLNIQQYYIPGMLLGLYSL ILEFFKQGSSIYDLIKTIIILILCVLLAYKMRPEQHKDMEASPIPKVELQMSIKFDIKNH ILELVPWLTEQKFIVFNDKMLVLYEQAQLLQFFDSSVDEEEEMSDSKLVKQLLDCKCDSL STGLLKLLQGPQPKKRAFLKPDLKSICQTLLENGNSIYNYYSAGEIESKQFKLQNFKFNF IILSDISQFENILILHIEQQLERKNQFSKSLHTISVHQNHSSANNSKTVDNNTISSSLLY NIFQSVSHEFGTYLNCIMTVSREALNSSMIKKEIKDVFFDPIQINSQLLNYILRNIRDYN SILLQQFTLKLQEFSPHQTIMEIITLMDKQLNFRSNKVQVDCPVDLKVYNDAERYKQIIY QLLSNSARFTSEGTITIEVTLNQDKLKTKITDTGIGMSISESQKLSEMLKDNKKMLRVSS NSVGCGLGLSISNAIVQKMHGKKGLEFKSTQNKGTEFQFSIRNSQQLYNESAYQTSQTYL KIVNQIYYVEQQPSEASIKQMLASKYEASLRSQSNSGGMHRGQRHIKSFSIRKHSAETQQ QFLHRITDINQIKQDIDADQNDDEEEEENENDPSLYKQSLIVIPSLETNFKTQIVQYDIS SKCCSRVLIVDDEVFNIISMQLILSKFSVKSDKACNGLEAIRKCEEKKLKPCKICSCGGY AAIFLDINMPLMNGIDTVRIIKKKINEGSIPRGLCIANTGYCDFETKKFAIAQGMDYYFT KPIDVKELEAYLKAKFPINL >CAK77173 pep:novel supercontig:GCA_000165425.1:CT868263:202069:202787:1 gene:GSPATT00012679001 transcript:CAK77173 MMLILLYGIFVVSFSQECTTTVKGIFSKQIYEFERSINQLRNEVAQARQPNHLGSFEYAA NMQITTWSIGLSRGAQNCAERCPDSLSTCKNLKGDFGVLFHQRTVESSGVEWNPQEIVKK WKQNQQNAEQLVIATISQFGCGRAIKKSVDKYMEYIVCFFDQAPQDGKAPYIVANEKSIA KACRFGRSNQYSGLCASSYNKMLIMNPEHSTKIFESLDESQGQ >CAK77174 pep:novel supercontig:GCA_000165425.1:CT868263:203472:204712:1 gene:GSPATT00012680001 transcript:CAK77174 MKQPLQSRLIIQDARRKNLSAVALLDPSPKQITIRKASIGGLKNKENMNSNDTQRKQKTS QNTNTEDIRKQISQLIKKTAQSQRTKLKKIDIGCQEQIEINDQKEGIFDEYTLRLLYQKE LEYKIHPQFFDHQSHVSPQMRSILYDWISEVCKEFTLKRETFHLCIHNLDRYMSKASVSK SELQLCGLASLLIACKIEEIYPPKVNDFSSASNYGFTEQQILDKELDILSELKWMINPPT LYLWSSWYLSQWDIYYPQANLQIKQPTQSSYALFRHFMTFLDCAILDIKLYQFTNREIVS SLLYLVLLKQYCGCTYQRIVENKVQEKDVLDFQRIYKPFIEIVFGFQFSQLTRCIRYLTK FLILDVIIDQPGSTKVVAEKELMEVYEYFLSIQTHNPAGLQFIRK >CAK77175 pep:novel supercontig:GCA_000165425.1:CT868263:206005:207513:-1 gene:GSPATT00012681001 transcript:CAK77175 MQKRFFIAFLTIMLVQSKSVMKGVIEFTAPSCCDPILKKITFPDKFIDIPQILVTIRAIE YDAGPVGFFCQIQDVTEQDFTFQLTVLHATLKVLAYEYIAINQDEVEFGYFNVDALSILK KAEAGDKVIPSLLTFKRTFTEDPIVQVFLVGVESQSKLIQFEVSPLTVSLHGVQLNFKKF GETSVQKVQLAYVATQSSKELAQQVTHTGQKQAHIEPVDHEEESKSSEKAEETKEFLQLR SKPKLIQINGINGLRFTSLPVAFMWQGDQIDPTALNVNLRITLDKGNQAVLGLYYFEEKD FKKCPIVYSMCNYQGSKVYLCKDVSDFNAIMRLAKSIYIPEKTSVVVYQDPSYSGAKSKI TKSIPCISDWFTDVAETEMSDIKQFLQINQMKRHKDLEFKEIHLENQKQLNKFNMVQLPR WNEAGDKIEVVQAILDSNSPPANSYKQELIQKLNEEEETFLKNRQIY >CAK77176 pep:novel supercontig:GCA_000165425.1:CT868263:207654:208295:-1 gene:GSPATT00012682001 transcript:CAK77176 MNKCHIHIKQNSKPSIHFKKIVLTYHWSLAINKLQKIFALRCKQPLEKIKNQVLQIKQSR SEQNSQIFKNKQSNKHVRYLSQQTQQVETYSQLDFDLISERSDDPEQSQRNNHTQNKSQN LDIAKIENQQSELKQSAYFNSQNSLDQLKFNEEFLDLFNKQKGLSQFGQLCIICILFSSK VKIKSKNKRCQFRRQLKAGQANPIQIYIYFFLQ >CAK77177 pep:novel supercontig:GCA_000165425.1:CT868263:208351:209035:1 gene:GSPATT00012683001 transcript:CAK77177 MDQVNQAFKELYFQGEPYENVREKIDQCPYDEVASLMEIYVKNYLDTVQNNLHSYSYNDQ DSAKFYIEQLNSHWLRIQNIAQELGKYQQLSNGYRIQEYHRIKFQDRIFSDTKINFALRE ICRIYKFNQLIESHFGSLVFQYFRPKITTHQDLMTNWLTYSQCEQGIDEIKDFERRLECA MTNLPDNEKQLPKLPPNWEKNMVNLMKKKKKKI >CAK77178 pep:novel supercontig:GCA_000165425.1:CT868263:209081:210868:-1 gene:GSPATT00012684001 transcript:CAK77178 MLQQSQNIDYLYIPTSKNLQSLLKTTKLSTTGNVQQAYLIKSQLINLTKSQKYEYALTKD GSLVKFGHQTTKCYNLFSNLFILSYTDKSFLLTSYDRVKEYHCTDQKQLKNWIEHLLRFC ITRGQIQTRFRFLSQINNGNYSKGYLIEDSKQKQFVCKTFQKTDLIKVTKLRDSVIGEML LLRPIDHPNVIKLLEIHEDNKQIYLIYEYAKGELFQEFQKKKSEKSQFSEQQISNFMKQI FEGLKHIHSLNIIHRDIKLENILVKDSSTIVIADFGLAAKKIPKFEYKKYGTPGYIAPEV LNLKVYNEKIDIFSAGVVAYILLTQQPVFSGNTISAILNSNTAGRIDFNNSKFICLSQDA QQFLQRVLCLEENQRPSAADCLDSSFLQLKFLNNELGTPLQQKKEVRLSYLGSSVLPEQI NLSKAKRRSMRQYILLKQAINTEKQKALIMQQIAEQQQGEEEDQENLIVSETVKNVHSIL RQRMGQIMRESMNGESSESDDDDDANLFLEDDSCHNLSSKLSQLGGFEIKMKR >CAK77179 pep:novel supercontig:GCA_000165425.1:CT868263:210899:212354:1 gene:GSPATT00012685001 transcript:CAK77179 MGSCASMKQKKIDGNIQIAAYKVCITAFIWNKESHNLFDFESHQACRKELELDFSATLSM VDNQIIALSENEYVKKQVQLLKVDCEQDYVTLSGMSQKSDQRVWAILCRRPEFESLNEWE LQTGDIIKLGRMKLQLLDFNYDLDALQQQKDQTDINDEEIQSQDLDPDGCQCRICFQKTA TVSNPLFSPCKCIGSMKYVHLHCLQVWIQQSIKVKNQQSSTQYIWKKMECEICKMPLKST YTYQRQIFCIMQIQKPIVPYMIWKITSDDKSKEGIIQVMELQDKAEIKIGRIPDCDIKLK DISVSRSHALIKVIKQEDNHFKLILQDNNSKFGTLLYAQSDKLLKYNLPSLQKVLYQIGR VLLYIQFKDKGKSYNKQFTCYKHPDKIVVQTKINQNEQATIIPNVEKDKDNQLSFQTNNE LHQEDIVINVKQI >CAK77180 pep:novel supercontig:GCA_000165425.1:CT868263:212405:213140:1 gene:GSPATT00012686001 transcript:CAK77180 MIQKLNKWDCIIDPIIKNNQQIGGLYLADIDCALSQELLSSLQVGALLSVIDEPKVTTSP SIIHHVINIPDCTEQNIQEFFPKTNEFIERHRQHTNVMVHCFAGISRSASVVIAYIMQKF NWGFQRTLNSVVARRPQVKPNSGFVKQLIQYETQNRNRSASQQNRILNTINTVIDKMPYQ SDSKNNKYFQPSKLDINQLEMEITRRQQDLQLLRMKYQQLNDKIVNRNNVYVSAFE >CAK77181 pep:novel supercontig:GCA_000165425.1:CT868263:213184:213923:-1 gene:GSPATT00012687001 transcript:CAK77181 MSKLNYFELLAQLYLKGESWAFPYFCNFASHQNIELSNKQFITSQNSMIVENDKLNTMGF SNTIQLQGSRLLDLDDLVQSGKIYEDDSCKSLVQQVQTRNQKKNSKVSKSEQKQNKMKIK IEQVQRKEKNVHLGTQCQQLQFQSSSLRPRCVRLYQRNEELCMKRFYLVQLLFEELQQIY PQCTDWDVILILDLSKRSFDTAFKLLKESSYFIQYYLQVQSISNIDRNGLENRWKKR >CAK77182 pep:novel supercontig:GCA_000165425.1:CT868263:214008:215880:-1 gene:GSPATT00012688001 transcript:CAK77182 MDNRLERNVNKLMDRYKKTSQQIDQIMQYEPTPQQPVTYFIGRSRPSKLNDDDDDSSRER RRQSGSLEQISRLRDTLNAKDLQEREKYERIRILNKELKITLKEYMTVNKELEGKLSAKD KQIRALEQENRQLMDSINKGDASTKEVKIKAETSEKLIEELQQQNHILKQKLGDKKQKLT ALRDQLKQAETVYEEQGQNFGRELERVQKLCEDFSNEIKNQEEQNKELEEQLGKQRDELI NRDEQIRYFEQMYQDLRYRDADQQSQIEYLNQQANYYKQQLDQATYKYDEAYAQLAKVEK QQQIFLQAQESEIEKRVSNYKDQLEKKKQKINEQKQSIDNLNQKIIDFQRLLDNEEKNHQ IQIQDYQKLQQINSELKSVVDNQQNKLLTAENRIQSLVLEIQDQKSVLQTKKQKIEEFEL QSRQDFRSIEQLKNQVLHLQEQLTKTQQYNRDLENDIQLTKHENDKTMDEIEIKIDHLVK QLAQSKEEVKEYKNRENDFKQKIKSQEEEILKHAQKSAKYKQQIEQSQYSLKQIEEKVRI YESEREIQSRQVLQVKQEQVTTQNKLRVLEDIHSLIKMHKKI >CAK77183 pep:novel supercontig:GCA_000165425.1:CT868263:215890:217760:-1 gene:GSPATT00012689001 transcript:CAK77183 MRAKYIIHDKESLYEEVQNLKQENNHLKLALRQFQSKNQYFKREVQSFLKEESAPQKNNV RIKQGFLEKITKLEDLNFKLQQQLQEQASYINQLQSPQSKNNVEGLCMSLSEDNMRMTQL IQQLEQSVIQTQVLKIYQIQNNYSKMNIKYNAILNKYKQIKNLNAQLLLEIAELKKKDTY FLERPQQKDNKKIEEQLQLGYDQAMVDLRNERQKNKYLENQMQKLQADHQEQIENLEKRI AEQKRQFETLQREYELEKLQKYQQKRTILIKNNGPQPPEEQGQLQEEIEMQKKKFINVDK NDILAIARQVKLNLIGLKISIQQVEEYLLTDEILTQQQLKQNLSNRIFGLSSNEQVEMAA IYLADVENETETTTSARVRSIFKTLMENYQILTVEQLSNINQQIMKKRNEISDIIMKKYP ETYTSGYINIDAYLEILNSVEIQLSKIEIDHFYALIQRQNRSSRIFLQQIHSPFDVSQEE EDLDDQQQNLNIGDGQPESVKLSHSEVLVVNNHKDSDLVNLIEDDDDNNNQKSNQKNVDI DMEKEKEKEKEKEIENKENEEQLKQSQKLELSVEEKNPFHDLQHIESEMKMIDSQELRKQ SQPELQQF >CAK77184 pep:novel supercontig:GCA_000165425.1:CT868263:218207:219102:-1 gene:GSPATT00012690001 transcript:CAK77184 MLNSKIYLSPNSTKNTNSSQILSPIYRSSSSGSRAQIEEIRFKHSQIQINDQHSFKKEVY EEKLNQIDEKFQKAHQNDLQRLTSLQNRLNKMGEMLSNEQNVRNNNNDNFKKKDLKKFET HVKNELMKDKIKRIDNQVKITKQIDEQSHYIKMKLLRQRQYRQETEAQYSQDLTNKMHEL RGNVENERKEREVYCQNIIGRIGEQVLSVQEAINTEKQQRQESQNQMQMMVQEITSILSL QLAEEKSQREETERTIIRLINETCNRVENSLKK >CAK77185 pep:novel supercontig:GCA_000165425.1:CT868263:219563:220790:-1 gene:GSPATT00012691001 transcript:CAK77185 MRNCIRSAFRQNPQLRGAWSQNYLQKPGEGALKNVTLIPGVGIGPEITNSVKTVFEALHV PIKFDVLDNFNFENDDSKSQLRKNECILLGVMTEKNQKYTDNYKFYKYLDLYSNITFAFS VEGITQRHNNTDIVVIRENTEGEYSGVEHEVYPGVVESIKVTTKQASLRIAEYAFEFAHL SGRRKVTAVHKANIMKLVDGLFLQACREVAQRYSFIKYEEMIIDNCCMQLVKNPTQFDVM VMPNLYGSIVQNVVAGITGGVGMAAGASIGKDHALFSQGCRHTGRDIAGKNVVNPSAMLV SSTLLLRHLGLPNFADQICRAVQHTIQDKKIKTKDIGGNATTDQFTSEVIRSLGR >CAK77186 pep:novel supercontig:GCA_000165425.1:CT868263:220954:222273:-1 gene:GSPATT00012692001 transcript:CAK77186 MNCQNSKCSRDIPYNSILNGTQVTCDSCSNAFYCSTKCRDFDWEGYHYLVCNGLFELVPK VSLSQLNDEMDLIGSGSFGQVYLKQLNGYNFAIKKINKYNGNRELKIHKLLKHKYIIQLL QFLEQDDNLYLVLEYAKYGHLTTNLYIDPKQVILQLCNALQYLHSQGIIHRDIKPSNVLI DHKKNVKLCDFGLATHIDIISNFSGTYEFMAPEILRNYPQTYSVDIWSLGCLLYWMLEKK PIITGTEAEMIEQILIFTEPKFTIVDQQAKDLILRMLNPNPNERISLNQIFLHPFLTRDD QNKAKVEESSNSYSDETQASYHPQQIASQQKSNIADRTVFQRIASLFTCMARDK >CAK77187 pep:novel supercontig:GCA_000165425.1:CT868263:222796:223546:1 gene:GSPATT00012693001 transcript:CAK77187 MILYVSYNGNTYVIQNINKMIIFLNQLQKERYFEFIQLLNTHMLSSPVETRFKNNRESMS NITINKLVQPNNGQLKKSHYYSQSHQILDQLIDENHFFIPKYSLRRVKYSDQNSKTAFSN YLVMKNQTIEKSLQSQLIDKYLHENSIQLHRIKPFKQIAFKELVSSSQANKTIKKQGNMN TEPNQDKKTLPLMPQSKQSQVVADLYKFTFYSPKTQENKLRLPKEFLIQPCSRKKYFI >CAK77188 pep:novel supercontig:GCA_000165425.1:CT868263:223612:224179:1 gene:GSPATT00012694001 transcript:CAK77188 MSVKRIASPQSNKCHSNGRCHEIKTNQRLDCFTSTNCKIDKSSRHQLTYATDQRHLNCLT NKTLLKDRDSNQRKQMINQLLQENIKLKEQNDKNNRLIEMLIDERTDLKRVTSLHIPHER DIQQSKTNFRLQSPSQMEFTFYKSPSRGLPKEFQITPSRKMFF >CAK77189 pep:novel supercontig:GCA_000165425.1:CT868263:224411:226583:1 gene:GSPATT00012695001 transcript:CAK77189 MDKDQETKKLHLQSELQNVQCNSSTDVKLEEIDSFWNYNLKQSGSVNIEDLFQIQAEDNS TQEQIASKLKQFLKPQIENFLKVNRRNVKLIKYPKAQWNSNLSVQTKVGKSLQLSEQQAP YSTHENQVIVHNIAPQLIHQYTNYLKIDQNIKRQETQDLNFKYNFTSELREKYKHDVLKV NKQFWRSQEGVKEMITLNKKYALNQMDFIQIYSQYLYLIEKQNISKLSLEEIYEYLQQQI IKKNVTIEINLNSLIQIYLQLQNNQFSEIKQTIFQKINLIQQFDLTAIQNLSFKSFRTNE YLQKDFAFICNSCSLYKNKCKCKFNNHLAQIKNFLEFCCILNQKPNTKKPQWCLDVIKAN AQNQCGERCYKNKNNFELLRKKSNNNELNEEFQPITIDRDPCLLAQIYEQDCMSIFTQAR YRYKEIKEQYLQSLKQIQENQDKAALVNYPFNNANLYIPCCHQQDFKCENCNCDQFCSKY CDCQQDWCSKKLKGCACKDRCSIDSKCSCRRDNVECDPLVCKCCTLDSNLVCSNTQILIN NVKPTLLGRSGVCNGIGVFARNYIMKDELIILYIGEVLIDDEDEIRDQFDDTFTFYNYSL NDDKYSLESRFCGNESRFINHNSSNLMNCKTRQIFSSGKFQLAIYALKDIYPEQEILLNY NEGDQINRDLNNWVDINTQYWNYRQTTLIGKK >CAK77190 pep:novel supercontig:GCA_000165425.1:CT868263:226636:227606:-1 gene:GSPATT00012696001 transcript:CAK77190 MIQLQIHYKVEFEQALYISGKSKYLGQWNPEQAIRMTWTQNDIWVTEVAYHSLEYKYFIS QYDKVENIHWESGPNRVTNKHSIDVWNHRRICFQCLNPKNFQIYIAGSQTSMGQFQRRVQ MKIKDGISQQKFLINVDDSQIQYQYHIVDKCEFSSPIYNVDINSQQQYYKDALLIFSDEL TNLKQMVFQLNKNICYGYVPNEQNDYQVLKKANLRTVIEFCNMKEQSLLEQKTKSEEMIH LIINLYHFKQESFAQSLLQLIQVLIQKYQLLYICNNSLTHLRKYLSAYEQLSFNHQK >CAK77191 pep:novel supercontig:GCA_000165425.1:CT868263:228956:229231:1 gene:GSPATT00012697001 transcript:CAK77191 MDNRLRQQSAMTYLEYLTYHNKEPDSPRIQQQHFQKEQSKGKSSRAIIRSRIESYLGEIE EKVSCIELQSYQNMFQTLIIKFIQLNKVNKK >CAK77192 pep:novel supercontig:GCA_000165425.1:CT868263:229235:230422:-1 gene:GSPATT00012698001 transcript:CAK77192 MKTVLLIALITIAFTARVQQKNFAKITTDLKKSTYGNALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQQRTNQHNSLVIGFEQDIQDAVIDVNNTQDTLDNLLFPRKEQ LEVRIEQIQEYQEANRKKYEEAVLTREQEHEDFEFQVAELNDATAAVDDALALLSSLTNP SLLQIKRFQNSLKNIESKIKSRSKMAPMIKALITLASNQNFSDQGIISQIVNALNEFRNA IVDSINAQTAAEADAQAEHEEYLSQLDTEFAEFQRQINRLNVDLTATNEKIDQLSEFRDQ READRKQYIAELELENNTYAEETDIYTNLKNEFTRELGVSEQALSVVESADFTNIQV >CAK77193 pep:novel supercontig:GCA_000165425.1:CT868263:230738:231285:-1 gene:GSPATT00012699001 transcript:CAK77193 MQPKKSFVGLAPGPIKFNIKSYERPGFTEEEIKEIKEAFDIFDEDGGGEIDPRELKTVMA SLGFATDEQLLNNLIEIAQTNKKDGRIDFDEFLDLMTVRLSDIKSKDNLKEVFDLLKPNE KGCLELESLKQICREVGENIDENELNEMLKRADFDKDDMVNFEDFYKIITFQK >CAK77194 pep:novel supercontig:GCA_000165425.1:CT868263:231322:233895:1 gene:GSPATT00012700001 transcript:CAK77194 MLTCLLNQIKLQTNHQYKEQKRHQKKLKNRKRDSILQLILSLPVKHQQSSLYLYNVTFWQ QELISKRSGATGRSQTQTAAEQEIPVKQTTDFQTIYQRSLKANYVPKISHINAQTPPKQQ SVPSPATPLNHQFISQNIEKTLPIHANIVNKQKEKVQIYIRIKPNLTNEKSVVKKLSNQH LAVHTFEDTNRQFEFDTILDNSSQEEVYNLTSKQIVSDCIAGKANGVVIVYGQTGSGKTH TMGLLEQSKGVIQLAMSDIFQQIKEQNENGSNFKIEMSFIQIYMDKIYDLLNNNGQQLQI RESKDSIPYIQGAVQISIEDQNDGVQLVSFGIKNRVVASQVMNVNSSRSHTLLTIYIKKQ VQDKVICSKVAFIDLAGSERNKKSLSKGLRLDEATYINESLSALGNVIAALGQPNQQHVP YRESKLTRTLQGYLTGESQISLICTITKLADSTNETLQTLMFAQRCKDVVLRPQKIIPIQ DEETMDNGDLLKQIAELKETIKDMQEQQQKQQISQQNQLSKQQDKDFLKFLINIILKLKQ YTFSNISSCQLQEKQALAEKFLQDSTYYQTIQFPKEIQVMMMEQIQSQEIDQQKVEQCLD GNKEQIKDLAKSFTQDIHYLVQAFKQYSDMRYNYIIEKILEQYRQKEIQVWAKGLAYLLI TVKQLLNQLYEIKQFDIASDIYLEGIKQQLYHLINDKGTMYKSPQKICDLIEKFYSSETD FSFNQMKKFSSSLNIPSQTMSDKYKQKIEKLIGTYGQGVKVVKPTEPPPIYHSPSVNVST LIQSRKFQQNPFNDISSLTEQQAASSVEESNLREEVHVIPNNESLLQGKLRFKEDELLIY SRNQMAAQMAARKKQLK >CAK77195 pep:novel supercontig:GCA_000165425.1:CT868263:234297:235330:-1 gene:GSPATT00012701001 transcript:CAK77195 MYRTTNSAYGSGVVTRNKEQMSQKAQDVDPIRIGIENIGNTCYINSMLQCLFNTPAISTA MKQYKDNKNSTELFKQFYKIWFSIQAGIEPEHNDIIQFKKLMNQHREFDNFNQQDCIEFF HVFLDIVNTGFLSQPFYGQLTYQINCQNCNNSSKQIEQFINLSIYIGQSCRLSQLLSEYF KPEQLNSQEKCKKCQKTSPLIRRSNLSSAPLVLVIQLKRYDSRQTRSNNAIEIEQNLILK GFCDQSPQYKLYAVINHQGYSIYSGHYTCLIKGKDCWYNFNDQRVSEYSNKVVDDELQKS QNSYVLFYQRQ >CAK77196 pep:novel supercontig:GCA_000165425.1:CT868263:235609:236908:-1 gene:GSPATT00012702001 transcript:CAK77196 MNELNILQKIGNGAFSQVYLGTYKSRQVAIKVTVEKQVRFPSYCELFNREVEILEQLQHP NLVKYIASYETFDKLYIIMDYVEGSNLTELSKSNLSEQKIKSIIKQLLIVLTYLHNKDIT HRDIKPDNILVGLDGRVRLIDFGLSQQSESKISYDKCGTLLFMAPEMILKMPYLKSVDMW SIGVIQYLLYERKHPFNYENLIESIQQYNVNFTIMDKSAQNFFRKCAAINPEARMSAEQA LMHPWITGEGDLGQPITIHDRMTIFQNKQKLICLINALTFIKYCTSFVKAIRLIIPLNEQ GSQQEITVRQQNQDPTQRKSTSRIKQARSTSQLFFETNVASPLKKQSPFRITQQRQSQTK QLQHSNSMQLAQMAKRGSLNKLPPLNSSNSPIEKRRTNIRMRQL >CAK77197 pep:novel supercontig:GCA_000165425.1:CT868263:237076:238821:-1 gene:GSPATT00012703001 transcript:CAK77197 MYQEMFTQTNDLVESLEQNLKGEDQATEIMDQLMENACNDAEKLKPNLELLQANQNQLGE GQRKQIGYRYKIITTLIEANKNMRAEGFTNDNYQIFRYEVLQATREITGSEKPKPPANYG SKPTQKQPQQQQTNTQPDQRVQQQQQIPKPPQYADKRQPQTQQQPIRKEPEIQKQVHQPA YQPPLQQSYPYVRTQQPQHYQQTKQQNQPYQQPEKYRQPSPPPPPFKKLPITRSQEHFQS PNKGQFEDHYDNRSQSSQFSGKDFNLRGQQGFVSKVYEGQFIPYDDRNFSILEEIYNNNG ISRIKRANLRNRYSIFESREIQIGFDSNLVYHEITNRYYLRIRLCFGNKTSTILQNFQYS FEGDTCMGLWTQEPVHQNSQTLLRDQMGRLILNPSQQLYVPICINYNRVPYQLISGRFSY EIADEEDKRTCHFAIPCLLTKFMSFRDTTIDSFKARWEYKSKSILKTEQVRLNPKIIKSK DDFLKSFGSNNIIRLNDEFLSEADKDYVETEFGLAFTLGNPQIEFLLKIIVFPNQTALFQ IIPYQSYQTQAEAFLNTLVFIFCLPD >CAK77198 pep:novel supercontig:GCA_000165425.1:CT868263:238877:239471:-1 gene:GSPATT00012704001 transcript:CAK77198 MVVTNEEIMEGFLGEDALQNLVDKLKSENEVSNFKLVVERIQDLFAQQGQSKQKYFAMKL IMLFSKKQLFINSFIKAKNLFDIFGELALCDKDKPYEERAYKIFGAKEDPSFSQSFYDIL MECISYWGTKYAADQKGNPTIFAKLLEKLCNQGFKPKIELQLFELTEEQKGEIKKLQYAN >CAK77199 pep:novel supercontig:GCA_000165425.1:CT868263:240162:241087:1 gene:GSPATT00012705001 transcript:CAK77199 MPIIQGKAKLWHPTQEEQDAYDDRMIANIELKSLDFDDENFSPVFNRSKKEFFLAHSEKY KKDLSKLARPFTSYSCEEFVNKYIYIKPNHTYWREWTLTKWVSGFGLGYLVLRELPLRNF YARVFVMWIFLAKLSDHFTSILPYHGKMVISIQKDRFTNKDINQYHNVCSALHFLEMPTF QNRISESLAWRARQPAHLLYNDTNWCLHILKRWHGRPTHIAHWDGTFNQPLERLADPYHK DAHFIHWI >CAK77200 pep:novel supercontig:GCA_000165425.1:CT868263:241111:241530:-1 gene:GSPATT00012706001 transcript:CAK77200 MGCLEFWVVNSFLASAVFFILFLVVDTQYPLYPYAVATVDKQPDKKPSATACIIASCLYL LIAVGLLFWKKSRDQRIKESQQYPRLAAAQGFEQQQSSQRGRSIEL >CAK77201 pep:novel supercontig:GCA_000165425.1:CT868263:241568:243652:1 gene:GSPATT00012707001 transcript:CAK77201 MSASVADNASEREMIDFSEDEHLSFFYNIDQIYTELPGVISNYGTFAQSLQICRQLSNQI IRNSIAEAQERFILRNIPINAINELQAQTNLILNCNLNDGGIEEETCQLDEDYEPEPIYS DRWRRNRVLVMQEVESQEKEDIDEKLNNDNSKSISKSKLFDTRKNTVRLPTTPPAPNQMG AKLIQVYQEEFEDEFEDKLRNAKLYEMKKKNEIEQKKKEDKLQSQIEKKDGATQKYTYDF DGKIILARAIKMDKLQPTNQKLRVELKEQTRQDQQPSVKKGGRRDSTKINRPQCPEQEIP NRVIQERRDAAKEVIGDKALRIDKTSQFPYEVFTMNNGVKLYYEQKMKEGVRHQISDSAE NLQIKLSGSNLVSGDDAAQFASQIRLTKAEYQLITDAQTLQQTRQQFLPSENINIPEHDQ QVTQQSILLKKNKANLSNPNHLTDSKQVQFNAGISQLNPFKRESPILQSAQTERIQLKNV KMIENLIVTGVPDTPKSSKPDQPPTSLPDNASTNPIDLFNQQLLTQKEWGKQGGGKTSYF PPVKQQKRSLETKAYKGSLEQMYKMPRERLVAQTGRTAMNFYKQNSDGIYILIMGFSGAK KIGKSVSDGFMQTFYTTHTKFSEKLRQ >CAK77202 pep:novel supercontig:GCA_000165425.1:CT868263:243724:244076:-1 gene:GSPATT00012708001 transcript:CAK77202 MSHLIQKYLLTQLERHCIVSESHLIEYADSLSNIVEDPPDSQFQISYQNYYKGIDSAPLQ STTIKPKDLQRLESENWSNSELQMSSNLVIQRQGRNPCCQSSQICQLI >CAK77203 pep:novel supercontig:GCA_000165425.1:CT868263:244076:245241:-1 gene:GSPATT00012709001 transcript:CAK77203 MIGYLKKEKLIEPGCMQLFLQNQADKVIVSGKIERKIFENIEMEPQEKEQLKNLKLALVN FAPLRLPREWQDSDYLRILAYCDYEISSTIQALNEHLSWRNKINLSHNPNDVVLNGAVYI HGHDKSFRPIIVINLLKALKYKLQDFRNGFDYLFNMLIRDVLISYYVESIIILIDMGNLM QLSHLLTNELMDFVKSCEYNYYGRIHKIYIIEEIYNCLFNRLIQILKPESQDKIIFLQKK DLYQLTNQIEQNQLETKFLGFQNEVQTNFWPPKNLSPEKIVKKDRKDEVKTIISNTDENG SKSQRIIQRNRNESSQSSQLFLQVYNEEQEVVFQLKI >CAK77204 pep:novel supercontig:GCA_000165425.1:CT868263:245248:245891:1 gene:GSPATT00012710001 transcript:CAK77204 MQINQALPIHCAYCFDVLIASLQKKEVPKPTFQEFDVPVFVTFHANKEDLRGCIGTFSPG PLAQQLAKYTYMSAFKDSRFPPIQTKELDTLDVGVSLLINFQKGKKWNQWEVGKHGIIID FSEGGREYGATFLPEVAAEQEWDINTTLEHLIAKAGYRKNYQNVLDKIDLTTYETSKAKL TYAEYLELKK >CAK77205 pep:novel supercontig:GCA_000165425.1:CT868263:246159:246460:-1 gene:GSPATT00012711001 transcript:CAK77205 MNEQQQPIREAPMFFNISKLSQGSLQRYQTFYQKEQPFLDQNSTLTLEQKVQSHFEQLKL DDKVVLEKFLRLKKDETQAALAKRNPRKKP >CAK77206 pep:novel supercontig:GCA_000165425.1:CT868263:247134:247376:-1 gene:GSPATT00012712001 transcript:CAK77206 MDTQISGILKASKKDSQTSQRCDKFKNIIAQGSKKHRIVFIDEIDKQQSLTQVHEIENWK EYNVEEPLKDAKQSCGCIII >CAK77207 pep:novel supercontig:GCA_000165425.1:CT868263:247421:248642:-1 gene:GSPATT00012713001 transcript:CAK77207 MSLVQNRNIFTLLTGAAVLTGASVLLLKFLKKPDTNSTIDPQVIDREVIIKVLKELKKEL FSVFQNFAAVAVNIKQQSMGRARPEDIKMMLLEQPMFRGEIEQVEEMVYTQFRITKQQFE HYCQHIYRDDPSVKELQKQMKESFDRSFSGQSPDPKTDIPAFLTADTTFEILEKVMTESA LKLNEKLLEFQDRGEQINFQSPKMMEIMQSLKMDSSKKDILEQYGLNKFEDPGSKILQYA TQVYSQQQNSSFNVKMKQLDMKYQSIMEQLIMQGPMSNEELKQRMEQVSQQQSSFPFQGV QDVQDVHVPQIPPKQEEQKQEEEQPQQAPLENGNEEPENQNQEAQQEQLQQVQQEEEIHV NQPQDNQAPEQQQVQEENQQSS >CAK77208 pep:novel supercontig:GCA_000165425.1:CT868263:248790:250163:-1 gene:GSPATT00012714001 transcript:CAK77208 MYIESLENDDYSDKDDGTFLGKFRNSFLEISTYQLHKHKQKQKQIGAATDFKQGCRQLLT FYLQQKTPLPELIYALNISRKSRSTHEVVDLTITPNKKFYCQLVSEEVLMNLGIDINYQY QLDQNTSQQTFDFILEEILQQLVERQWLQMLSQPKVIQAVLEMTLEYIAFMKERVQVILQ DPCVIEFIEQLRLLLDEKERKKVVCNDVIKETYQILIKLMDCMRDPEIFEQQKNDLYKVK SEHIHQRKKRKQNREYKYSLEINFWKQRSKIPAYFIYVNRIAMPEIIYSIKIDRPERRNF PNKIKLRLYTTEKTIEALYTQPSTKEFMESFYMNDVVPNQTLKYLDRLKAKRYFDDERVD DIYATNLINGIVDAIYEQAQKDVDIMDRLHDCLTSPCGGFLIVKLHHLLKQEDLSPRDIV EDLAQRIDKLKELLKGYKRSVPLPINPDEYIPELKME >CAK77209 pep:novel supercontig:GCA_000165425.1:CT868263:250614:252541:1 gene:GSPATT00012715001 transcript:CAK77209 MVSDFKKKVDAFLKKNNYKSNLISSKITTSSKELLQQIKYEEALQKSLCSNKYTVQTEKK SMKTIEICILGNNKVVTKQVISSELLQQRLLKQKETFLKDDRFNYDLKMTTMMEQYAEIV NRIQENTFTIKIPDNYIIQMSDYNEYYQIKEDSMNNFLENNLQIIADQIIHEIQQSKITT PKILSNSTSQLVEQNVSYPIYQIEHKINTEPSNVNESEILKTESDHQEQIIPDKKTTNKK NKQKQKNQQNQSEQSRLPETFDSAVIITDNDNIRRRNKEIPKIEQQEDLELLQQKELELE KERKQLIIKKLREFNSKFKPSKYLRKQSENAILLIEDPTLLAESKEKILKKSSLRLLDNI ATLEEINEIIRIKKQELSELLRQQEQNIQDQFQNMNEQQRPLHLIVNGKPFSELREAEQW DSKKNSPRSFRKQRQQNIPSPMVQEQNSWGFIQETNLDQQQNNRNNELENQIDSIRNLQE NDNIDNNINYQLTDNENVISFEERMKQECQDIFDILLATDSVYDLRIDDYQIGRPYSYKV NHSNLILLQEQEEKVFIHQVLWRRELQEVIPKLNSVNREMSVEIDSEDEYNLDSKQMNGE EEGVDHGHDVQEQEDSQM >CAK77210 pep:novel supercontig:GCA_000165425.1:CT868263:252698:253183:-1 gene:GSPATT00012716001 transcript:CAK77210 MSQSTHSQLNESEIQKINYPHLADVRYEENTEKVFIGIPTQNQFIQSGIPIPTGFLVTPM YNTDSNGTNLPFDAQGSIIPIQIQCKYCKKAGTTLMQYRSGPQTWIVAFFIFIFFLPLVF LPFVLKSTKDRVHYCPNCGQCVGKKKFKLCNSD >CAK77211 pep:novel supercontig:GCA_000165425.1:CT868263:255001:256647:1 gene:GSPATT00012718001 transcript:CAK77211 MNIQTQSEIELKLSAFEKLGDNPTEVDIKYVSYLFKIPLQTIRQWLEERAGEDFQIDLSP ADDKECSIIGMKKKNIINSQSQVKEEEAQQKQIKNQSIKSQTEVKQNQDAKKKKQIDKIK QSDPNKKAQKTFKKQAKLAAIQAQYSTFEDCKTQDKNVINNQNLKLPLSIQTQKLVQQID ISNKINNIVQNIDNRNQLQQQQSYLIRKEAKQNKLLSQKKKLIRLEKEKHQKDMNAQFNQ QNSQSKGQQKQCIIIIDDEINQKSQLSTNPNKEIIYYNYYSTQTQYKQHINEKLQLLQDL SYFQDKKIKKPIMQALQEIQLGQYKQSEYNIAKQNDQRQNKMITNQQKNHQQSQAYPLIN QTQIQKFSVQNNEQIPKSKQQNEKNPLNTEPQNLNQQSLKSNSNVSQESQKLTQLPPQQL SNHISLDFNSQSITAQPNLINSTNKSSPNQTTPSQVQIQESLQQQQTYIGSELNLIQAQI NQTIQGPILQNNTDKKLQLPNYLSELIQMFNKNSQALGQAVEQITAVSNQQMVLMEKLDI IYEYVNIK >CAK77212 pep:novel supercontig:GCA_000165425.1:CT868263:257117:258606:1 gene:GSPATT00012719001 transcript:CAK77212 MKGRTGGLTAKMSNQNQNRRQQENDQLVRKADQNKISLAEIFMANSQQLGGLEDGKVTKM YFHKFLFMEQVCFAFNLMGLGISVIQYDLEYEEITDDDPMKDISLWLLWLVFVSSCLLIF LTVYRYQAHIEWMKSRKSMAPSDQIWHTDDWLPMLIELAVYCIIPLPFTKGIRVNFYNSI QGSEAYYHVNEILALIMICRVIYLYRTLLTLTFWYNNRTQRVCNLYACESNYMFVAKSLL RTMPYTALFIALISLICIFGYAVRICERPLSRNDPSSNNLGLFENALWNIIITITTVGYG DFYTRTDLGRFVIFIVCVLGIFVVSVMVVTLIESLKVTSLEGHAITVLERVALREKLKHE AALVIILTAKAALGYKNGTLTRKQHHQLIVRLKQTLINFKMTHRQHKTKQDENSLNEEIT NQFSLLKNDFKQLMERQQVLISTNNLIYQKLGFENNKSVVNI >CAK77213 pep:novel supercontig:GCA_000165425.1:CT868263:258610:258921:-1 gene:GSPATT00012720001 transcript:CAK77213 MAKSKNATSHHNARKHHRNGIKKLPNQRYTSLNGCNQRFAKNRRFAIKNDPSIKKNKSVE TRLAKRKAKKNIH >CAK77214 pep:novel supercontig:GCA_000165425.1:CT868263:258946:260528:-1 gene:GSPATT00012721001 transcript:CAK77214 MKGVVELSKLLIKLDQVKVPSYLKLIEETEQIHANMLKTLLNIQIKDIQDLFYILKPTQQ LYNCVCGLLCFVAGVDKSITTDYLFLRQRDWVTSSSQFCKSYEQINAILSNLQSNLIKKK INISNIKEAQKYYQEELKFQVNSISDKLEKILTLSINYYTSFYKILKLNQKYEQQLRIPQ EKSSEELKSYMLSKLNNFVDDDSEGKFEEETQIQNEQVQTTPLTPKDQSTPLKTFGRFKD FRSYQKGIADRQKTSKSPKLPYYSTTSKKQYYKSKSPLQNSNKPQQENNYLMNEYMSFQD QVTVNSFQTQPLEQLNSSRNNKNISNTQIKSIKNVNQKSSQSKSPVGRQREIQKKKEAQV PETPNLNKIIEQIQSNDPNIEKQLKQELELIQKQIKKLGATKKQLEWQDERQSKKIRDQH EQQYLSEQIEQSKQFLENRQQFKEEQKAKLKKEGKKEIKIKNEVQDFEQQRVWCLFKELE RSIIEKEALQKDALQMEQQVCIKNQGIQKY >CAK77215 pep:novel supercontig:GCA_000165425.1:CT868263:260924:263082:1 gene:GSPATT00012722001 transcript:CAK77215 MKGEATQPKTQTQGSAIKNDKPLKQVKQQSPLKHQVEPEVVWPFFAPQPALVNQMRFWDR KNCKNVYPSKEDLRFQAIMLRVGKKKKSTKGYFFKLSKDGTLSYYKKESDKVAKGYLQIT MDYVAHLLEQKTKKDSLLVVQIEKYQGLSISIFDGKTQLTLEFFNHLKDYCIVSGMDKLY TQMELLGKGSFASVYKVKNLSNEQMYAAKTYFKETFEQSQHRSKFVLMIKNEIMVLRKVI HPGIIRLYDVIQEKEKLILIMELVSDGDLYSLIKEKKRIPEKPAAVMLKGVCEALHEMHI NEFVHRDLKLENIMMKSRDQYIIKLVDFGFAEPINHKELVSKAGTPGYIPPEIFKLFPYT DKGDVFSVGVIFYSLISGISCFKGKNYQAVLEDNRKCEISFQKSVWDNISSECKHLLKKM LARKPADRYTCADVLKNEWILMHTSHEYEQQADSELERRNSYQTMKKSHYSHYNSVDGSQ PDFNNDFSYHTNDLKSFYHRNSQKTVKTQRSIQSIKSNNDKMKQSMRNKSAHAKQQQFTN FKSQTLKHKNKSAIHSNTGEGGGDQKSVRWVDKKNKSDEPSFGDKKENDSVKTTISLKIF EDFEAINQTNVAFLKMSPILFNLQKKPDSDAKINEAIKKKKQQATHLMRVK >CAK77216 pep:novel supercontig:GCA_000165425.1:CT868263:263462:264173:1 gene:GSPATT00012723001 transcript:CAK77216 MNNNHYYRLTLTANKNRHRVNQHNFAPIKKVQSNKKPYMQNTSLFPESQIFESQHIFDHN SIDLRQFNEHSDSRQRVKTEIVRPKYQLHIESQKFDQPTAYFPKLKERAQSYQKKKPEQI ALEVDVADSPIFIRDLQKSLDYTRTQPNKYRNLTPLQKRTIEVSKIISKSDHLNQEEIMQ FSFGLQYNRMSIERQKSKFPKDIFLGDVRKVKRIFQQQ >CAK77217 pep:novel supercontig:GCA_000165425.1:CT868263:264602:265985:-1 gene:GSPATT00012724001 transcript:CAK77217 MINLKEEQRIEKIKLVNIFGLHFKYTLILLIINTLIRTQGNDSFYITITQYLTLMNFAFN FIAATFLVCLEKEFPKLEYFLIYTVNIFGFIILLVYSLNYYLSMQETDPALHLYVKAFML ILLQKEIEILIIKIFPLEFMNRFVNSFTSCIIAALILSHEDNYECQHNYSMISLSLLLTN LLTTIINVIFTLILFNQNKQQKEIRNFANMLLTVLFIIHIAQYIYTLSKTYNKMDQECNS FRFIFAIQNYVAPINLICFCFVIVLEVQYFKQNLEHQNQLEKNDEQKKMYSANIQISQNQ KLQNKQSMKSLSSIANPMEASSKYFQQTPNFKSEKVEPKLQKMGTSQFGESQHNPQMINS WGNVQNGKLFVFSIKEQNDNIGNQRKGNKSVDENFIRQEESQMPEILSQVNNPMESGSVI TIH >CAK77218 pep:novel supercontig:GCA_000165425.1:CT868263:266138:267376:-1 gene:GSPATT00012725001 transcript:CAK77218 MENQVNNSLSDDEIQQNFGNIQLLNYKKISEPATLFVQGKEYFQQYHKFLTGYTLLKFVA AFVIVYQALTKQIILRLTLFADEQPFKDYNYLFDVAYLEIWMYLSLSHSFFGFIYYIKLL LLIDNSMQSQRAAKDLGYLIEFYIPNNFSGQQMENYIEEQLKTQNREILIRQGITGQQDD QRRITQIGSEIKKKLLKNNLCLNLHGKTCFIAFQLIQLWSILFYFQSQTNNDEIQTYTFI TMYKGYIFSVIFIGVYQYLEIYITVLLVIIFLPILLIYSLCIWFNKHFNRRNQNTKIDDS LNEALFSSLDNVDDRECSICMTQFQDSEYIVTLPCSSTHRFHSPCIRSWLQVNNKCPLCR SEVNISSEDIL >CAK77219 pep:novel supercontig:GCA_000165425.1:CT868263:267481:269166:-1 gene:GSPATT00012726001 transcript:CAK77219 MILRKNSKYESKRQMLYELSKKAELQDLTQTILDDMEDLQYLYNSYSQNYFDRNTVEILG DDPILKGQKLIKKILDRDNYDYKERIRKSLINLHCSNSIFPEIIHFLRVDNNCIEIKYCY ELERELLKYDQEKLERTEVYNQIEEGSDQNLILIHLMCLKNRITNQQDFLTYFNTSKDYQ AIKDLHAKCQELEFNYLEQTTQQIINIVSDFYQLFSTYCQMIKPRQEKCTKLIFRVLLQL LLYQNIIIPELLRFMKMIRNSSVYNVSYSFGMIVVKPTVKLLAQMSQIPQISNQVELLKI KKLNLTSNLPKYENFYRQVLIHFPNILQIDTNQVIVNYLEKLTQNYHRHIVVLEDIYLST EFSQMMDLIDKIFGVQIKFIMNFEVNSEEEFEEYGTNVLKIGTMLFRLREKIFLNNNSTK LKQNNDLIEKFKKFLQQESQHERSFSHLLKYIVCNKNDLQIDISENYDQELLEDQKFQEF LQKLDNNEVQFDCDQGETENEILFNQIKLIYNQREQNLDLLKQLNGNQRCDQLMKDLQKS ELQHKEYLVKLLVCIQYQIYL >CAK77220 pep:novel supercontig:GCA_000165425.1:CT868263:269265:271166:1 gene:GSPATT00012727001 transcript:CAK77220 MFSQLKNSKSHSKTQMLYKLLEQTDLYQLTKDIMDNDLKEFWELCQNAKNELQYDFQENP IHKVQLFLFKIDEILNNPFNLLMKQKIKSLLIELFAQGTQVPQIFGFIQFKGDKVLLKCS LTIEQHLKTMIKSDQKCQQIDCYYKELLMNSEELLIQITLNYLYEAMIEASSLQLNNNQE LDEIYKITSKINKQKFSKNGQKIIELIENIKKRVSSSQDQITVTQPVEHNKKNQQKEQQI TNIVSTQENGKYFIFKILLLLLLKQEIALPDIIKLFKISYVCKQQDMEDRKGFIKVYISQ QLIFQISLMVKIKQDAELLKINSSLSYYDESSIRAVKQAIKQYYPEYEFIKFNQVIKSSL ELLLKKFDEYQEQICASMEDSNVKIVLKKIYKTCGKYFQKIDAGILTFQNAQQKFLKNNM LEIGYLLYQIQLKIDKKNNSQSILTYYKQTLQEQDNDQQINYQRSIYEKQLIQYGIINPI FFKFIQNLIKNQIPFPYMFQFLKMGCHRLLIHYRIESTRKLTLKKKFIQFVKQNYDLTMT DDRYINVELLKTTDTEKYVRKEGLAWPLLLYEQCKLIYENRERFNKKLQQLGNNKDFQEI IQQYVGQFESNSIKFKLQQYLLELNNYIKQLNN >CAK77221 pep:novel supercontig:GCA_000165425.1:CT868263:271276:271952:-1 gene:GSPATT00012728001 transcript:CAK77221 MGALLDGNKYVHVLLLGAQDVGKTKFLYEGLLQNKYKDPPYKPTEGYNMECMKYEQTTYA LWDLAASPEFMTLWHVFYQFIPFKVTIFVVRVIKGTKAQRSKHFDSMGQSRQLLHRLMTL EVFQTKPLLLLVNIEKDQSSQQGDKQEALNEAKACLNWDDIKVRDKQAIVLDVNAYLDHE QVLMAMDKMYKKAEEEDSNKKE >CAK77222 pep:novel supercontig:GCA_000165425.1:CT868263:272571:273629:1 gene:GSPATT00012729001 transcript:CAK77222 MDFEKIAQEVFEYQNQLRIDPTIAIPPLEARLKYFKGNILHMPGQKPLQYKEGAACVQEC IDFLKTQPPLPPFQYEKGLELSSRDHGIDLGKNGTTGHTGTDGSNVSKRISRYGLWKPGP AGQNISYGKVTGQEVLLQLIVDDGKPDRGHRHNVFDKDYKLVGVYVGEHIKFKYITVMDY SKKFVMKGQKKQTKQKQEQQENELIDEVEKVNLEDQEQEDTDQNAQIKEGNVQGDDCIEV GAVEQTDFQQEQQFQQKEEDQLEQQEEQQEQQEQQDQEETNNDKTTQSKVVKPAGCIKIV KKIKSVTQNGVKMKQITYVFKMKDGTEQSQIILE >CAK77223 pep:novel supercontig:GCA_000165425.1:CT868263:273828:277403:-1 gene:GSPATT00012731001 transcript:CAK77223 MDSPVESYKSGNYEQAQQLLNSQPNKLIECKTLIKLHQLKSPIPLGSCISKTSSDLLTKA QTLSNELQGQDKDVIETILNYFQDKEVKLGGSDEQKFYFGLRQLELQEYEEALKIFNSLG DLENKDDQKHKHLVLAECYISLNQYQKATKILEHVGENSYCYALLSNCYFKLNQQQQGQQ WMKEALKINQGCYYALNNQAKNDNIDQQIKVITQFIEKDPTNSRLIREHINALITKGSAQ VIKSMGEYACRPRGEFMNKLQEHVQKLPKCNSTLYLQALIHYFNYKNAEALVVFEQCIQN NYRIFDSKFYIALIHKDQFKYKEAVLQFKKLSQEVTGQLKDDCHFWRVVMYIELNELDQA LKELEYVKPNPQNYTLISRLYFLKGNKTQADTWLKEALKLDTNCLYAKVEKGTQDAKYDC ILLLEQLIKSDPYNMCAKQALVKHLIIHSENSIAYAWGEYSCKPKGKEIQRAVELIQQIK QVRDCVQLEYFDAICKYYLNDLKQSEQLFNYCIEQNHQSWTCKYFMSLIKKDQMKYKECL ELSLQVLNHVDQFKQQCHANRAWIFIQQSQPDKAIKELEKSTHGCQYYVLLGQAYIMKGN SVQANTWFNESLKVNPNCLYAKINKAILEQRQDEILKLLEGAQKSDPDNYFITWYRGKVL FRLNKFDQAIQLFEQSINSRYVMAYASKAKVLRVQQKFEEALKTIEQGLTIDEQLPPLFI VKASILYDQKKYEESIQTCNLINDDQNVHALRLKALCLRKLDRKEEALKLYDQILEIDKF SIQSFIGKAQIIKETGDVYDLIQQLSDIVMHKKMYKATINPIVVRNCQDLIRLNQEFEIK GGVIQKVIVHGQNLDKQKQEFEQLTKQKDELCDEKIDCIMNPICHVKVDSTEEILKKLNI LYKQMSEMQQKQQTQFYEQQLNNKTFSECSVEEQKIWDSMNKEDLLKQVQQWNQDKQQND QNKYNYSLVFYNLMLNHLISYGEVQNDKITKNEDVYKMLTLGNSFVILDDMIDNSFTMIK MIKYENRMKSLLKVIKENQLTNKCDNNIQLTIALCAQKCADQIDFTKESQQIQSHAFKYQ NKFLKDQIEEYVNKSQIPELQLLSGKYAIKDVIVVICYIQHNFIALINKSKQVPLHDQIS EIRNKHFELTTESHPVHQKINEFNSNYTIKDYKVNPMRDKQSGDDGCCTIM >CAK77224 pep:novel supercontig:GCA_000165425.1:CT868263:277489:279263:1 gene:GSPATT00012732001 transcript:CAK77224 MANSEESHKVQNYILDRTLGRGTFGKVKLGYHTICKEHVAVKILQKNKIEKNEDAIRVQR EISILKKVNHENIIKLYEILESDENLYLVMEYAKGGELFDYIVKKHQLSEPTAAHLFIQL INAVEYLHQQKIAHRDLKPENLLLDESRNIKVADFGLSNLYKNNECLKTACGSPCYAPPE MLHGNPYLGEKSDIWSCGIILYVMLCGFLPFENDNTKKLYEMIKYEEYDQPKNVSFFAQD LLKKLLVKDPYNRIGFDGIKQHQFYKSVVVQINQVITNYDTLTLKMLLELGYDVNSIYDQ VKEQKHNSQTTLFWLLKIKASHQYSNYLCQRRQILQYNRQNKQSYKNKLQQTVQNKSIIQ IQNQTARSNSKNKLSLSVQQRYPISNNKLHLKELEKFTNALTGIHVNLNKIKNCSKRIPD SLIDQVRQKTYSVQDRKYHNKTPDLSDFVIKKSQVKNNLFNLRKKSTTQQIEKLNFFNDK LNPQIKRGRNRTVNEKKKHILMEKYSNNYTPMLSRQSSPLTKLLALTRNQLQGIRNQNHL DKYNMMLSDL >CAK77225 pep:novel supercontig:GCA_000165425.1:CT868263:279369:280324:1 gene:GSPATT00012733001 transcript:CAK77225 MQEKGSAFYLTLLIIISVLSLIGCSVLLFDYLKQRKSANLAAKLLAILSISDAIYVIAVV INPTPQIDGALCVIQALLKQSSSVSTFIVNFFFCLTTSLTIVENIDITDDKYYKYIRNAK FFSVLFPFLIALIPLTYNGYGIQYYACSFKTQNNYWLGEFLLFYVPFGLFFGSSIYFLIK IKRFLSEIQSNKLGHEDYRLFRRTLILQNSQIVVGEQYTRFLFYYTLVHLFCWLPIILSS ICEIIWKRDFVWFGGISYLCACSQGFLDFVLFMISKRVSSRSYVQERNSSYMSVEPVDIY RGSVVYNSSL >CAK77226 pep:novel supercontig:GCA_000165425.1:CT868263:280483:281775:1 gene:GSPATT00012734001 transcript:CAK77226 MNFIKSLFHQQSSQQKKIVQKKEVGSSILDSQFTQVRLQDIKIMSRDFRKLDEILKHQPE TINEQFKRCYSFHVILSSDYTQSIEMILKYFETHQHDQFKQTFQSTHSKTMATQHVNLTI QNINDQKMNTMQSQNSMFLNVSDQIQVFELINQNEQGFMNIYFNYIQRITQNFDVYSSCK FQQYPYQDDSHNRKLQFLWLFKIINLLNFKLSFIPYFQFNFKHKSQNTLIIRDIAYLIYK DCLVEYAFLRNEITEMLDSYSQFQIKESLQFYELILIMKDITSKLTVFYQMRSSFALNSE SVRDVKWFVIEKKLMIEIDNYISKIKLLNTTQFKKSLMVPNQKNLIQDFQNAQQDPSLLD NSLHKEPTTAKQIKMCNELLYSPLKLKQLKRGEHSRQQSRNCKNL >CAK77227 pep:novel supercontig:GCA_000165425.1:CT868263:282571:284097:1 gene:GSPATT00012735001 transcript:CAK77227 MNKYEVKGVVGEGAYGIVLKCENKENNQIVAIKKFKETEDNEIVKKSIQREVKMLRLLKH PNIIDLFEAFKRKGRIYLVFEYVEKNLLEVLQASPNGLDQGFLKKIAFQLLKAIEFIHYH DIVHRDIKPENILIDNENNLKLIDFGFARSLNLPDTLTDYVATRWYRSPELLLNYQTYGK GVDLWAIGCLLCELTDGEPMFPGENETDQLYLIQKTLGPLTHEQLEVFQKNPRFLGMKFP EIGKPETIERRYLGKLPQKAIGLVKGLLRMDPKERFTCLDALKHPYFADYPEAQEYIKQI ESRQNHIQNDVNQKRDSLMSQQKQQNQIGHTNQIRTKNVKPVPNFLSSHVLMQSTAYNYK ITDQHTAERELPNMKKTTSVDKLPQTQYNGFSIGNGFSFAPSKNQQLKATQPIKQSQPQI KIQNLNIIYNANTYNYQLQQQQQQQQPQQQQRKIIKKKS >CAK77228 pep:novel supercontig:GCA_000165425.1:CT868263:284161:285760:-1 gene:GSPATT00012736001 transcript:CAK77228 MGCCCSIKSPSQTTLGREVECPKCQQLFPSYTTNHAFNDHLDNCLLSSQQNINRIEDYRG IKYWEKVQNEQGFQWVLKEYNPSLQINEQNIQQEPFGQKQVWFRAKLEKLRIPWQNGCTV ISVSQNQILESSVESIMKLKSRLLHGELKIKFQEDQKVQDAGGLLREWSTSILQQLVDQG YLQKTDTKELTYKFNPNVQSNTIDKRQLFSFLGIIVGKCLFERIPLSSFLDRTIIKHILR QKIVLEDIKYFDEDLFYSWQYLLNNNSDQLDLYFQLEYFGKTINLKENGCEIKVTNSNAQ EYINLNIFYYTSQFLEPYLSDFLTGFYQVIPKTLLRIFKPQELEMILFGLPFIDLQDWSK YTIYKDCSAEDYFIIWFWQILSTWNQAELSQFLRFCTGSTRVPVEGFSKLESNRGEISYF CIQASNFDQENPYPKAHTCFNRLELPKQIFGFVKFSRYEELETMEVFLKATIHEALEGIF GLE >CAK77229 pep:novel supercontig:GCA_000165425.1:CT868263:287336:288432:1 gene:GSPATT00012737001 transcript:CAK77229 MGNECTQSCNINTSSEVGLIGLTIDERGQINNDELQRNKINFSQDEDLNMQASKAQLFNM QNSSSYFYQNKDLEDVQDSTKQSKQQSNVMQSNQNKNKKLEKRPPYQFKNGAIYDGQWKG VFREGYGIQTWPDGAKYEGEWMNNLANGKGKFTHADGDIYEGDWVDDKANGYGIYIHLNG AKYEGFWKNDQQEGQGTEEWIDGSKYQGNYLAGKKNGQGFYQWSDGSTYDGNWLDNKING FGIYNWCDGRRYEGEWVNNNMHGKGIYTWKDGRRYEGEYQFDKKHGFGKFTWPDGKAYEG LWAYGKQNGQGKYVHPDGTTKVGIWEKGNRVAWLDDDQTQVPQQLKQQF >CAK77230 pep:novel supercontig:GCA_000165425.1:CT868263:288712:289599:-1 gene:GSPATT00012738001 transcript:CAK77230 MKNGILLKDQLSLSKMKKLLLQLDELQEQYLDTMEQTQIQLSNINSNSDCKINITQIYSS NKPFELLETLQTYLMEIKNAKNPIYMKLNQKLKQLIFSLNKAKSVQQQIKQQLEILSTLM NKLKYKKECQILITTFSLILKKRQKFQLKLLQKNNQLSDNLNNLSELLLNQETNKDNIKQ YFELQTLQDILDKKVALNSPAKTVDMKSESQTNKIKEEDKNFELNVDNQKHLQMYYNQPQ MYYNYFNYSMYQQYCYLNQLKQAECNILNSQLLYQHQPYFFNCFLQMQQNPQSQT >CAK77231 pep:novel supercontig:GCA_000165425.1:CT868263:290403:291087:1 gene:GSPATT00012739001 transcript:CAK77231 MLSQKNLLVGEEDFNLNKFRDSLYLRMSSPSQSKLSSVKKEENSVKKSAKNSMHTDVQHR KSVPSFDELLKTMNTSNGPVQKQNTSNHKRNITDSSRKMSGIYNKIECLGNNEVNQITKL SQPFISNTPLAVSNNQQPKRTTFSSSIAEKPVFSKGNGKSLMDKLSLKELMEIRSKIDNS TQSEVHELSANYVQELLKLSQIIIKQVRHIKH >CAK77232 pep:novel supercontig:GCA_000165425.1:CT868263:291139:291489:1 gene:GSPATT00012740001 transcript:CAK77232 MASKNFISKRVAEQFTKNILLPNRPKDLHSYQKMLMHRCTNLGMKELDIILGRWSQEFVP KMNYEELNQMESEILDLDTIDIYNLLLQVKVAEELKPLEQLKYVQEIRNYALKGLI >CAK77233 pep:novel supercontig:GCA_000165425.1:CT868263:291534:292101:1 gene:GSPATT00012741001 transcript:CAK77233 MFKNNFYSYVGGTRSDIAMSIESYFEVMEVLLDQYLSREMLFNFKNCSQVLNDIVVSAPL AFLPFSLLGTQWLFAGANRKGANKAYGPILASVHMLVLWRAYTAPIPNKLYTKIIADPTV DGQYIRTQLSVMKPGLWQVLSRELYHKGYRFPEMLEFKTATEFPTGFVKPY >CAK77234 pep:novel supercontig:GCA_000165425.1:CT868263:292172:295624:1 gene:GSPATT00012742001 transcript:CAK77234 MEADQLLVTNFFIEQQIKILKPRPLAIKQSAEILQHYYKLQAKEQVKVIETSLLSIYSFF QSDNFESYQDINTKINNLLEDISKIDDNTIKKGQFRRSICRKSLQKSFKILSLKLRISLD IISKKWINKQNLKNQLRWSNLCIRNIQKQSSLNSDLALVSKKLFKMFICRICEQPVQATQ MEQHCIKCEQQAESKKRLLELNLELADVCDQAYLEKRNVQVKLAIKKMRDKTKKRQHHNT KYIYRRVQTLNDQDEDEDNQNEYQQELNTIVNIMTQIINFAEKTLNNSAEVEDTKQTLVL LNDIVNASQCIESQETLTVINATHKCLLDRLDYQKKQENIINNSLEGSPNQNQDNISKIK RAFTKSNSISFRMPKFQNSPSPSQRISTDPIQEEEDVPQSPQISSSSKLISQRKGFKINS SIFKLSDGSDSPKSIKQSSNLIQVKTSYFSQIETNQTVNSSINELKVENTPQQSSNEFTQ SRENIQKSIQKLAQFKASIQPLLTKDQKTQILEEITEEVKFPNKIIEISKQQSIKDSENS SNKSSIESGNSNNNNERINLAPKRKYKKKNKLSFQSQEIDNLQLNSKNPQRKSKFFENQI CKSPIVLYDNTMDEILIDKGYHSDSNLIKSVSPQQNESSKVGIKDFEFIKLLGKGAYGWV FLVKKHGSGDLYALKIIDCAQRNLEAFLEQLKAERNIFEILNSSFVVKAYFSFVHEQYLI FVQEYMMGGDLASILKQYTALDEFYVQHYMAEIVLALEYLRQQNIVHRDLKPENILLDCQ GHAKLADFGLSEQGVNSRLKLKDNLSTQNSVEIPTCIEQFSDQSGYQPIYKQLKKVDSIL VEKQGCKTKKIVGTPDYIAPEIILGTSVSNFSSDYWSLGIIMYELLCGIAPFNDDTVDKI FDNILNMRIEWPQIGEEEDCISEQAYDLIVKLLEPDFNNRIGHKSIEEIKNHKFFKGIQW NRLLSKPGLIIPELTCESKDTEKMQQFLKKLEKTNKDSENKKLAQQLKAQLQNLERIDLL KQRSTQESDKYLSQVQNEQKKIQHQIELLTQLYAKLYQCYSKMQ >CAK77235 pep:novel supercontig:GCA_000165425.1:CT868263:295766:297316:1 gene:GSPATT00012743001 transcript:CAK77235 MNRKFFQLRQMTRNLDESYKEDLELYGKLLQKKYQPNPQRLNNDKQMLQEWDQFKQFRLS NIIDSTLDSDIFKICICDFLKKKRVSMEFWIKVAYDIFHDETKGKQVRMKFWRSMRKKSS SLELDLKNKKWPVAWKYLEREPCLNLELLKLYEQYMPIRPFFAQTLNTMTNITCDLRRMP MLKEEKSIEFDLSKTTWFPKIDMCGPAILEQLSSSDNYFCDSLVKFTTEMKAIMFPILDF FRLDKKKGRIINLFGEQHDFVLEVLYSLKAFCGQAINYTQGSLKKKKNYKCTNSYKINEL QCTIMLATLSENDLNQDVINDIQNNIQGGYSAFIQDNQMYYHGEKNQRLVLIFSQKKLEF GRLEKGIKFYQISDQQISTLKSKFNYEFLVYYANILFNKCMIVQQNCSSRKPFYQVMICM WTYVLAVYLSGEIPNLEVANVFQDNNDKADSFLDIQIDLGLSSHEEDAEPEFEQYLFQKV NFDFANQVGGLSLDPSEEEDCMIVDQIEKKKKIILV >CAK77236 pep:novel supercontig:GCA_000165425.1:CT868263:297933:299769:1 gene:GSPATT00012744001 transcript:CAK77236 MFLNPEMINEAQDSSAQNQQCSSRQQPKGRSNNMNRFQVKQKDESKSDNKPMSRIQQREM EISKKQVESQIQENNDLVESLVQFDSDDEKNGANQIINPLILSLQEQEEQTQQQQVIQSK PKTFDSISALQNNAQYKKKLGQNESSYGQEFDLKIDQLTIIGNNHNQYYEPGQQINKTND LPQLSKQNNKIQFDYTKKAQETKNVSKLEEDQAQKNPQKYQQFDQQNEQVEDIIQLDGSQ TDIFSENIDKDKEQQSQILFQNLNLENEKQENIKEESFNEIFEQSELKSQVSEEQQQQQE QQQQQQQQQQQQQQPKQTCPYPKPLASISMPYGKKHFLVNPAEKGGMIQCTIKRDRSGMC RFYPKYHMHVSNGFLYLMSAKKRACNNTSNYIISQSRDDMEKIDNFLGKVRSNFMGTEFI LYDSGLNPEKTKDPQKVRQQLGVVQYESNILGSKGPRKMVVLLPNLNERGQLYEFKPSNS KDGILKEYLNNNRDQIVTYVNRPPQWNSKHKAFVLNFYQRVDKPSVKNFQLIEDQKEDNI LLQFGRVGEDLFNLDFQYPITPLQAFQIALTSFDYKIACE >CAK77237 pep:novel supercontig:GCA_000165425.1:CT868263:299824:300207:1 gene:GSPATT00012745001 transcript:CAK77237 MQNKPLDWICIGCKNLNYSFRKYCNRCKTFTRDAPGTKFIPLEQPNIIDSLKLSEPDLTG SGHSTTDSVGSKAQEQAVFHQALFLENLTQSKQETVNKNFNFMKICTLCRTDNYFYQSKC KQCGFRI >CAK77238 pep:novel supercontig:GCA_000165425.1:CT868263:300701:302833:1 gene:GSPATT00012746001 transcript:CAK77238 MKKNIMMEHDQQIPLQQTIFRNGVSVLPLLVKKNSMGIALENIPRTQTFSRQMQQSGHND SVQQSRTPTMKNDSLYGNIYKKPIVIKKPNFILKKPDNDFSWPLFKIKNDPLIKQFWERR GYKDTEKNWIFDDYIFASNMLRIGKKKKIVKGYFYRLTSSGLLMYYKKESDTLPKGFLQL NMQIRLIVSFQKTKRNLQLPVLYLEKTQGVGITIFDHLIDQTLKLGECIQQFCLMRGFTV LYEQLELLGSGAFASVYKVSRKRDEKYFAAKTYFKQFYDDHPHKERLLSMVYNEITTLKN IDHQNIMKLYEVIQEKDKLILIMEYCAGGTLYSYVKQKVKFNDKHYAYILKQLCDALYEM HRLNFVHRDLKLENIMLESKDYLQLKLVDFGFSEIINEKQLVSQAGTPGFLPPEIFRQIP YTAKSDIFSLGVIMYLIVAGYMPFKAPTATQILELNRKCQVNFDKSPWQDVNPDLKYLIK KMLEFKIEDRISCLEILDSTYILHHSRQTSETNYKSNQMLSGLEFDKCNRQSMKSIKQSE QSNDRSKEFQLDFSIHTNDIKSLYQRNSQKTNKSNRSINSLAKNSSQKKISRSVPKECQL SKFQSTKKVEDLDSHKSQSKKQSSFGDVIIQETKIVTFDDTDSLKLEQILYLKRGGLAFN KTKKPLDQFSNKVN >CAK77239 pep:novel supercontig:GCA_000165425.1:CT868263:302854:304870:-1 gene:GSPATT00012747001 transcript:CAK77239 MDFNTDEFFYGINKSLYYKTEEQKNEIKKMDPEYLTRTRGTDFVLLPNSPEFINHNEPYE PMYIPKQTILEESLRREIERICFADTLLNKVVFDCADPSPFTKDVDPATYGLEVLPYYMP QSKEDSTLVFESRFESGNLRRAIQICDYEYNLILKPDYYTTMNTQWFYFSLSNTRKDVEY RFNIINMMKPDSLYNSGMKPLMYSEQGAKQKKIGWFRDGHEICYYQNNMKRKNGGFYYTL TFAVKFQYDFDCIYIAHCYPYTFTHLCRYLKQLESDPAKKNRVKRKQLCQTIAGNMCDFL IIGDFNNGKEKKGIVITSRVHPGETMASYVMEYMIDFLTGNTHEARILRENFIFKIVPML NIDGVVNGNYRCNLAGVDLNRQWIDPNKKQHPTIYHTKQLVKKTKEERDLVLFCDIHGHS RKKNIFMYGCQGKDPNRKELVFPMLNRNNCSVFSFKDCCFLLQKDREGSARIALWRELSI INCYTLEMSFCGADFGKYEYFHFNLDIYKEIAQSFCLSIIDCYEPEQIKVKQVMEEIEQN SLKNQQKDDKNNSGDEGSDYSNDDPKEQAMPQQQQIQLQNNDQQLGSQTKKLKKIPLLPP KKKKGQ >CAK77240 pep:novel supercontig:GCA_000165425.1:CT868263:306418:306666:1 gene:GSPATT00012748001 transcript:CAK77240 MDSNQSFFNSNSSFTPSSPQKTRYLYIFYRRPLPSPSLDSLEDFNLNDIQSEGESSRKSS GQRFEKPVLVIEYLVEKLKDYE >CAK77241 pep:novel supercontig:GCA_000165425.1:CT868263:307998:308620:1 gene:GSPATT00012749001 transcript:CAK77241 MKLIILCLMMVGVFSSLSDQVITHKVKIGVKIDGQNAGEISLGLFGEVVPKTVENFRALC TGERGLGYAGSPFHRIIPNFMIQGGDFVNGNGTGGASIYGSSFNDENFKLEHEIGCISMA NAGPNTNGSQFFITTAETHWLDGKHVVFGRVIENMDLVKTIESKGSQSGKPQANVVFASC SAEAVQKQS >CAK77242 pep:novel supercontig:GCA_000165425.1:CT868263:308633:309255:-1 gene:GSPATT00012750001 transcript:CAK77242 MAENDTIEPSAYFFGYIGVASAVVFANLGSSYGATKSGVGICSMGVLKPDLIMKSVIPVV MAGILGIYGMIVGVILQGKVSSITAQSASKQGYAYLSAGLCCGLSSLAAGLSIGIVGDAG VRANAQQDRIFVGMILILIFAEALALYGLIVSLILSQTS >CAK77243 pep:novel supercontig:GCA_000165425.1:CT868263:309928:310699:1 gene:GSPATT00012751001 transcript:CAK77243 MSVKVQLIHQQWDDIRKVLRATQRKLTNTNNLSLTNCAHSNKSNDQVQKEAIFSDNEQEF YNSNPNALLLLKDTCRNTRRKFYLSQKRRELSSQNDQEFYSQLQSRREQAKKHRILYSNI TNFEKAIKQITTPKVSLTRPSTRVRQNSQEIQLNKIKLNQIDSNKNNKAIPNETQNCNLS GDKKQMKKNSNNFWHRIKTPSLDNKDNITQILKKRFKKKNDLSLDICCFNYESLRLLNQA KTPTMYYQ >CAK77244 pep:novel supercontig:GCA_000165425.1:CT868263:310724:311198:1 gene:GSPATT00012752001 transcript:CAK77244 MKQRPQLACDAVCFRKLENDIKKVLLITRGREPFLGRYAFPGGHLDYGEDPIQCCLRELK EETGILGLSVDLIDVKGSPDRDPRGHYVSIVYKIEVPEDAEPLAADDAKTAQWVSVEEML KLGKDAFAFDHYEVLEYAHKKFP >CAK77245 pep:novel supercontig:GCA_000165425.1:CT868263:311274:312745:-1 gene:GSPATT00012753001 transcript:CAK77245 MNIKRQVPSSSFFDVSKGSLWLNTTASRNISEAIQENFYIYSSKHQTFKIKTLYLQGHFI FKKKKNGQNKIADIINATICEIEQPNYGAGIKITKYGQTIEIYGMIISWLSQLKFYCVQQ DFANKYSIITLLGKGTFGKVYKIKSRTTHQNYAVKVFDKKSLISYSDYLVITKELQIVRT LSHSAITHFYETFENAEFIFIVYEMVEQGELSTLIKERYLSEEECLYVTKQLLQALLFMH AQGIMHRDLKPSNILLKDKNSLQIAITDFGLADFYRSDGKYIYTRCGTPGFVAPEVLQDK IYDYKIDIYSVGVILFMLLSGGKSPFQGPDADERLYQNYKGSVDYSLLQNVSEKTFNLLQ SMLELDPSKRTSAKVALNHQVFRNCKATKLSLIMNKTPRGNEKILSPRLKINTNTNLDKY LQLSPRLLNIQQKPVNNMKKEAIRRGFKKMQTFSHRSPQLSPIKTQTKFEPFP >CAK77246 pep:novel supercontig:GCA_000165425.1:CT868263:312836:314284:-1 gene:GSPATT00012754001 transcript:CAK77246 MFKSFFDNQDQGFWSPRKIINTCLPIIEGRFYVPTKSVDQYDLISLKLQGKCLIMKDGTS EIYADIENACLIRMEQQGICLIKNQIEFQLYGNVDDFFINLKQYCIQSDFQSKYHLLQVI GQGNYAKVFKTRNKHNLAENAVKVFDKSSFSLLSDRSALVKEIHILRQLSHSNIIQLHEV FETKTQIYLVFDYLSGGDLTNFINTQQLITEEQAKIIMMELLNALLYMHSKGIFHRDIKP QNLLLRKKGQITDLVIADLGLADYYRRDGKYMFTRCGTPGYVAPEILLDYPYDFKVDIYS LGALLYVMLTGKPLFKGATEQETVQLNTDNNLDFSNTSLSKPCVDLLQNMLNKNPLFRYS ISQCKTHEWFQLDTQPIFIRLRHSMKSKLQFNLDLNSEDSSPSSPKKSQKLFKIKTNLLS PRLSGSSTSSFERQCQKSSITCKTEPSEQKSTFNKLKLSKQRLSQKY >CAK77247 pep:novel supercontig:GCA_000165425.1:CT868263:314333:315765:-1 gene:GSPATT00012755001 transcript:CAK77247 MSQDKKSFFDFTSPFDLERQIEIFHKSHKDQFFVPKDDKFKMKTLVLQRNYLIKYNQKRT SQKYFNITNALLEIVSHPKMGLGISLTKNGGNFTFYGLVEQWFSHMKKWCIQPNFSKDYK IVSLIGQGSFAKVFKIQRICEMKEFAVKVFDKSILKSQDKPALLKEIELLRLMNHKNVVT IQETYENDQYIYIVQELYQGGELHKELKRSRTFSERGAYIIVQQVVEALIYVHSHGILHR DIKPENIILKEEGIIDQVVLADFGLADYYRKDCKYMFTRCGTPGYVAPELLQDKIYDHKI DVYSCGILLYYLLVGKGPFDSNNYDLTVNANFNGHVDLAKFNFTNECLDLLRGMLDPNPL NRFTFDQVKQSQFFKRHNFTQNQVSSQTSLGSINYQSDTQVFSPQQSPYLEHRKLNEQKS RFVQYSSPLYSPMRLNSPNLSPLNGPQQKSPNLPRVPIQI >CAK77248 pep:novel supercontig:GCA_000165425.1:CT868263:315881:317719:1 gene:GSPATT00012756001 transcript:CAK77248 MQQIPRIQISHFSYLQSDLIGSGYKSQVYKGVNDLNGDLVAIKVCNMKQLTNEVELMLLN QEIYALGLLDSPNIIKMYQCIRSQSNTYIITEFCNQGDLAQLIERKNHLQENEATQLFKQ IITGFLEQTRKGIIHRDIKPSNILLSNGIPKIADYGFSKIMNVQEKVYYNVGTTLYMSPQ ALMDNQYSEKSDIWSIGIMFYQTLCGCTPWVAETEKEFIKLITTTPIQFPKKILLSPHAK DFIIKCLQVDMNKRFSANQLLDHPLFNEKPRLLQISGSFHITRGQTQYQQQQKDNEWKRR LSPREVIDRNYNNNYFNHPLKPQFSIQNPTKDFLQNDLILQNIFYLLKLILRVAKLIDHF DFFNQNCLQEKILYFMIKHALFKTKQFKLMIDSNINTLGLVNFHQYTLNTDLKKQYSTEI NEFQHNFTRSFERLWMRVQDNQNIYKILIQDQKFAAVFDRSELEVLSHYVLMLPVLKQAI YELRTVLSIKLNLYTDFQIPEPREEAAIILLDYLVLYSQICDNIAQNLDNFTYRITQFQL KKVVETKPINNNKCKYRDICKQIGNLQLML >CAK77249 pep:novel supercontig:GCA_000165425.1:CT868263:317752:318823:-1 gene:GSPATT00012757001 transcript:CAK77249 MDQHKLQFKEDQIMKPFKQERFNIKQFQRDLEINILSKTDEKIVFEIINIDAPIANSLRR IMIAEIPTMAIHKVQVIQNTSVIPDEVLAHRLGLIPIIADPLQFVEKDDQEEYNELNSID FTLYAKCEKKPNSKQDDPIEQQYTNTTVHSSSLIWQPKGQQAKLFAANPIRPVHSNIIIA KLRENQELNLRLICEKGVGKRHAKWSPVCTAFYKLMPSITIKNQLDAQRQEKLASICPMK VFGIKGNQIKVVNPRNCTTCRECVREANGFEKDVELNKIDNHFIFSIESVGQYEAKDIML QAIDVFRSKVQLYIKE >CAK77250 pep:novel supercontig:GCA_000165425.1:CT868263:319333:319726:1 gene:GSPATT00012758001 transcript:CAK77250 MNQKSILSHILDNPFHKLKVGAAAKKTQNKEALRLYRDILKFSIEFDWANKNGETWRDII RKSARKEFEIAKNEADPFMVMRMIMTSREAMQKTREKLNEEYFKLNTTFSERTQTNQQNK IE >CAK77251 pep:novel supercontig:GCA_000165425.1:CT868263:320376:321827:1 gene:GSPATT00012759001 transcript:CAK77251 MGVVLKPNEKKLENDKQLLRDWEVYKQRRLENIINETLKSPCFKICICKFVRNRKTSIEF WIRVAYDVFYDDSLGKEIRKKFWSKMKEKSPNLESDLQQQQWPDEWKYLEYESCLNLQLI KLYEQYSAIRLFIAQKVNQYTSIQCDIGRMPLLREEKKIRIDFSKSTWFPPIEINGPDLL EQIFISDNYYCEQMARFIIRMKDIIFPILDFFKKENENGKTINIYGRKYEFILDVVYSLK ACCGPKINFTSGSLRMRKNFKCSNSYFVNEATCSVMLTALQKEDITKDVIADIHLNSQVG YSAFVQTAYELQEIGQNERMKRLVLIFSHEKLNFKEVKKKVIYYQLPDKDIENLIDSLDY EFLQYYANLLFNKCMIVQQNCSSRKPFYQIMICMWTYVLCIYFQDELPTLVDNEVFNYVN QKLQQDVEIDLGLSPERENKITIQFDQPQIVYHEIGEPIIVESDSIEDDDCIIIDKPQKY TKL >CAK77252 pep:novel supercontig:GCA_000165425.1:CT868263:321982:322572:-1 gene:GSPATT00012760001 transcript:CAK77252 MNEDEIKQMVEKYKLRKENFDSYRPNKKYYFENSDELLAKAQLNEQQNFLKSFNHYQDLP RASVNILGARRQWEFISGYFLVTIPASYIIGRILANEKYGVYNANHRIRRMRIYTYLGFA FIGLIYIPTIPVFTPQNSGVFQPQFYEKKVQRDLDLSLNLYQNVDTIINSDQEPSWDDFK KVLRNVQKKTLMKVKV >CAK77253 pep:novel supercontig:GCA_000165425.1:CT868263:322775:323476:1 gene:GSPATT00012761001 transcript:CAK77253 MLSTGQIEFIKGGIAVNIRSDGRKNNEVREVKLLRSHLICTSGSCKYEGEITIYTGIKLE LGDQSSIKINVSSMKRTNDEKQKALYLQELLESLYKTDMSELKVGQKGWVLVVEVFLLCQ IDIAYLEAICTSIYEAMADLRIPTIKVTKNLLTEIEEYELLPNEPTKSFKCDKIYVVGKV GTELVKDMSLEEFYSVDQKLILAQGRNGYNKLRTFDSCGFDADDLLRVLMFIK >CAK77254 pep:novel supercontig:GCA_000165425.1:CT868263:323495:324176:1 gene:GSPATT00012762001 transcript:CAK77254 MSVYFYIPNLIGYARVICALVFCYTGSFDPIVSAVAYSVSQLGDLFDGMAARKFKQCSVF GSVLDMVTDRFSNACLLAVLYKLYPTIGFLFLWALALDLCSHWYQMYSTLYCNEKHHKTA VSKYKILEIYYKVPYMLFIMVLLSETAMVTLYLNVFIDWFFIQALMYVSVPFLCLKHYIN FIQLISASDKIIEKESNKI >CAK77255 pep:novel supercontig:GCA_000165425.1:CT868263:324906:325407:1 gene:GSPATT00012763001 transcript:CAK77255 MKSKPSKNYYQRMFIVRNESAQSRKYQLYQSAPQTSISFMINGQSLTKTKINTQTNLTPK EIKVKQALKSIKYKLQSLKFEQSEIKKQVMQQSETFKSNLKQIKSKEVQNINAIQQYFNE QNKYPLIYLYFQGSSNKTFRNFNNKQKLQTYQVQFLQ >CAK77256 pep:novel supercontig:GCA_000165425.1:CT868263:326023:326699:1 gene:GSPATT00012764001 transcript:CAK77256 MEYQLELANKTIEELKQKLLAAEQQIVSQETDKISKIELQEKQIERMSDEIAQLYRKLES QEHELRIKSKDDQDYKCGAYIERLEQQLREAYYQIDDQNEYMKQMEQRIDPLNLENINLI KQNKTLQQELDYYKRSVQSQINKLKAEYEMKIIEQQDKLIQMTRTPNKENIAISQNTSFI DYGKESRASRQSTQDQLFELQSELNKNIKLLNSKLKQYK >CAK77257 pep:novel supercontig:GCA_000165425.1:CT868263:327053:328105:1 gene:GSPATT00012765001 transcript:CAK77257 MRHGARDPHTWTEMDRNFLWIVDPTILTEKGVKQLIQLGLNQQREDIFDASGNCIYETLE LQSSKSARCTSSIVCFIKGLCPKNYADILHRLFQEYYQPYVTNQTAYDEIMNSNFEDPLA LNFETFNKPQDYMFQGQKKNTCPKVQTVNKAVQSSAKYKQQEKELLSRSEFDKVYYWIQE ANPSTKIDKSKLTLADVDEFYDDFFCNRFEGFNFPNPDQDTQNYINEVEQFLVYFGANSE PLQHYAGVSEPFRWIIQQLSSSQSLSVYSGTESNQMAMLSVLTEQQYLTPFASQLEFVIQ GQRVYIYFNKQFLKASYCQSDFYCTKQELINYLSKYVVNNLQEQCNSNEE >CAK77258 pep:novel supercontig:GCA_000165425.1:CT868263:328311:329239:-1 gene:GSPATT00012766001 transcript:CAK77258 MLAIILFLGGDSILVMMVYTLLSMVNRCIGDAARDVVLTPDDIPFGYNPQPVIPESRAAP QIETFASPEEEQPYWGEEEQEFVPVTPDAVPNVFPEEEQPYWPEEEEEFVPPAQRFASPE EEQPYWGEEEQEFVPPPVSPPVIPDEIQPEPLPEPEPQPEPEAAPAPSPKSAPVPVPPSE PQVPVVKECEATIDVTKENAADILCAISEYLAQLASGHVPELGINPSRVCFCLQYDDSDS NESFMQVEAILKENDAYTINKLVGQRTI >CAK77259 pep:novel supercontig:GCA_000165425.1:CT868263:329261:331571:-1 gene:GSPATT00012767001 transcript:CAK77259 MRMYAMFVLLMYATALNFEASLMSHTLAEVDALDTSQLNCQTPRSHFDDLEQTLTQWQNV LENKERIPSHIETLTEMKHLIKHKKYQQLVDKIKDLDLISPPVLSELQNKLQGLQSHDKH EREECTETLTKLCAYLIKQMNNVHQQCQQSPVTVIKVKGKIEDLNIIQSGCQQACQPTCP DEPVPEVPSEVASEEFSEEPVEEGVPPTTPDDEPTPDDKPTPDDEPTPDDKPTPDEPVVP QDEEGPTDEPPVNPSTEGSPEEPTVAEEGTEEWTIPGEEPEEPKAVPTTPDQPEEDQEES SNPPVSPDAVVFPEEEEESFGFEETPLPPVSPDPLVFPPEEEESFGFEETPLPPVTPDAV VFPEEEEESFGFEETPLPPVTHDPLVFPEEEEESFGFEETPHPRISPLRFPFSEEHEEIV FEEEQEEQDPIICQSSESTDIIELTATQVDPGFSSTLTIAGEYGFGLYFQRLQKYPAFAE GDEFHLASLQDNGNTVLGVFVTNTGVKCVTVDGSTHQSTSVSNSDFEGEWSFVFISHGQG VTGCAVKFFEELPALRSTPASHPTYTSLDFKVGGPQDSHPSFQGKVYGQFASSVSNLHFN SQRQYNLLVDSCNSPPEVELCELYTDELGDYQFYGFEDTSDEIFEFSTWDAGYAVSGWLK WETLEDQDVWHTVFRLSENADADLEEIKLGDRDLAVFLGNELVGGAYRSGNPNLWDNIQY TNTLGQWHHIYFGYNRLQRISQFLHFIQWKTN >CAK77260 pep:novel supercontig:GCA_000165425.1:CT868263:333377:336495:1 gene:GSPATT00012768001 transcript:CAK77260 MAEHESEFEGHEEELEAPKDSLWRDEHEMEKSANIVYFLTLGIVSGLILREINKKTKLPY SPMILSLGILLGLLQKSLGYVGESASILSKMHPHLIVFVFVPVLLFESAFNCDWYTFKYQ MVNILLLAGPGCGWGAILLGAIFKLVLQYDDNDMTWYQAFTLGSVLSATDPVAVVALLKE LGASLAFNHLIEGEALLNDGVAMVFFIFFNKFSKAASGKGEAVTASQVVLNFVRNSLVGP ALGLILGILAALWTKRILGDDIEVTWLTFVFTYLTFYWAEFCFFKTSGLLAVVGLGLFWS AFGKTRIRSSVEHSVHTVWGFVQYSCDTLIFLLVGIIVGTQVIEETFIHKSDYIRMIVFY FFMILARFIMILTFWPFLRCFGYPISKSEFIVLVYGGLRGALGLTLSLMVGCDEELPARF RHLSVFYGAGMAAITNLINGTTCKALVQYLEMIENPVVKKKVYKKYLEELIVNSQDKMRE LESDQFYSMADWNQIEKLETEIKYMLGSNKVQTSSSNLYEGLTDQEIFGEVRYRIYRILK GLYYDKFEYGLCEEDTVRLLVESSDIGLDHTKAILNIWDQLYKNFLNFSSVNFFFKVKEM PLIGPFARDYMIKHLGFVYDVTTTFLSCASEAFHLTGTFPMSKDAIRVVMEELNKEIEKA EGYLGILNDTFPEIVRAIQTKRASHSILTHQRHYLDDTQQNGLVDEKEYQLLKKEINTRL VDLENHQFDMVLPSFHVLAMEFPIFSGLVSTDLDNIIKSAYEKKFGPDEIIYEQGMTCQN IYIVSKGNVVDEFAGGTIRKGLGTLITYTNLIGDGTCMSTAKTTADSLLYSLNLKILKDL MSKNPDFEFKIYINSLEYLRKMFENQAGPLANVEIKRLLDFLRTKSKLKKFTTNQKCDFI FGGYLFRGELKDINNQVYSQYTYIPPQDTECLVTKDCQCLTFEDSVDSFNNQMLKQLDLD PHKDQQIQERYSQIKRTSMMEKSKLN >CAK77261 pep:novel supercontig:GCA_000165425.1:CT868263:337169:338242:1 gene:GSPATT00012769001 transcript:CAK77261 MGSCQICNGFQIKEIKIQLTPEIMKKEPTLKQIILIQARFRGMSTRKRVQIKKQKENINQ PHQNSLNEDPICYEQAQHIITDRSTVAQQQEDHVVISIAPTIRNSQFQFGSTTGFDRLVM EDGYQNKIIIVVTWKAWYRIIIKNKWLDGFMNGEGLYSAPDGTYYRGQWKDSYMNGQGTY FNSTQNIRYEGEWQYNLQHGEGIETYADKSVYKGTFKNGLKDGHGQFVFNDGSFYEGQFQ EDKFDGLGKFVWDSGQKVYYGEWFKGKKQGFGQLEVKGTYTFEGQFKNGLKNGIGKIIWS DGRKYNGEWQEGKQHGIGTFQNRNGEIFSGVWKFGLIQSH >CAK77262 pep:novel supercontig:GCA_000165425.1:CT868263:338625:340080:1 gene:GSPATT00012770001 transcript:CAK77262 MAYFVEFWTLLNMLDFEQDFYKFVKGQLDKKRGIKQLVENEELNNEDTVQRNRLLSENIQ KVLQNERKKMKISKITKEQHFENKMKDFTQMDTFIDVKFFLKNLNDQQMKKNQKKIPKSI KRSKSHTDNEDLFDYAEIVQKSKKFIENSRHYRSKPKIELYFQSDNENSQRSKVIEDGRT TSEKFYTGIDQLIIDINHKTVLSEMDRKYERERKKHRDTMSSEQIFKSFIPLDFGKDEIA IEAGKNFKEQSQKVLQLLERTTRMLYKNKLPQPPNQKLLKQQQEQLKELKQYQPYTFDAR SQKQILVKSRSESNDMRCPQVNGKLINPLVRRIVPLQQASHASLPEIQPCSFLSSREDKK YENLHYFKSPQNQSKRDDNHNNSSHRSVSPDIKSQIGEFISQLDDAKLKFKKLYPSDSQI QKIQHNMSKRMMQIGKIPMDSFNYLTKKKVYIPKKYELRGKKNKVLQMI >CAK77263 pep:novel supercontig:GCA_000165425.1:CT868263:340163:341202:1 gene:GSPATT00012771001 transcript:CAK77263 MYLRQYSKLPFYDGFEMFRRLKMLNPKQHNPLVYAIRPPETNLGYPLREEINDAENQKVL NKLMHRYPDLKYAPLVKEGLAENQNEGSLFRFLKMFNTLRKKGYHFDKAFEKSEMAFQAK MQRKIDQTKIANNLAITNQARSFMTLAQQEIEFEQRLKRDRLLRDLKIQEFEKNSPLDPE TQALMDNYKKSLIKVVHHDDKPVETTHIEDEETFAERATNVFNCYYNRVATQDKLNGLSD KQILQNIRQSPSKIKNRLRKYLKVIKHYNITLDEEGEIVIPEDLPENLQNQIGKGIFYIT KLYDDLDFEYSHHEHLDSLQQELQERLQEQKYNMKKLQ >CAK77264 pep:novel supercontig:GCA_000165425.1:CT868263:341205:342153:1 gene:GSPATT00012772001 transcript:CAK77264 MQNLPLKKCYRMQTSKLKIHIHYLSHHRKDCPDCKLSDEYTLFKLKKEDQSGESQQLIDE KIEKLGNQAQKLVDNLRRMKLELEYESFAKTGKQLFQEHAVLLKDKELFVDANKLQMNDI DIYFKIPVDQRRLTQAGQVNDDIIHNLIKVQNLKSHVARLNHDDLENRVGDSSLKDETAE ILKKKILAQYKLLYLAKKQQLKGMTEEDKFKESQEEEISLDDEAFSENIADNLDELRRKQ REARLEFEKEKALEAKQKEDELKSQQAIKGQKGSKKKKAKK >CAK77265 pep:novel supercontig:GCA_000165425.1:CT868263:342271:343927:1 gene:GSPATT00012773001 transcript:CAK77265 MSSILNKFKKQTPSETPSAIKLENTSFGQQAGTYQPPVIPAIQLPQSNQPRQKGRPGGGW DDEPQPQPEQINVSNNKATNSINLLNNTPPKQNEQQIHNDVLLPPQPAIGNIQLSRITDY RKGQLLTYEELELGGFDYEFHLVNEVIKLGGIKLKQSDNVLKDFARAISTIQDQLIGSIL LNKLHSEDNWKVQIVRYSLNFQRCIYAIQYVCQQYQNYREFFQINQQYLRVETDQQLLSG AIDQTLAEVNGYQVSKQKEFQFEFREPPKPQTQSQSQSQSQSTSQSQQSNLIDILDASQN DTQQKQQQQKLNLKQLKIKQPTVQAQQQQQPLVQQQQVPQQQQQVQQKNTQQLNLLDAFS DMSLENYNQQQFQPPQQQINQQQQQQYQQQQQFQQPYQQQQQQQQQQQQQQQKQQSNGIS FDDLLNSQSTNQQQTQPKKNAFGFLKKDQQQTVQTNQQPINLLNSPPQQYQQQPQNYNQV PQLQPYNFYNQSQSNQNQQIYQQTQAQNNNQQQSQNNKKDLIDFLNL >CAK77266 pep:novel supercontig:GCA_000165425.1:CT868263:343967:344723:1 gene:GSPATT00012774001 transcript:CAK77266 MLKSNSYHLKTNKKHKSSPLASIELLQKLLDFKQTQTSMNCSQENKTLVMNGNLAKQISK SISIGSQSWKDIAPPIHESDNLLRKKEEILNTLKKSKHVRHISQIWSMRNRTDSNVHTPR KEGVNDFIQNARIFLKEKLLENKLDKLCYQVQQLKNKSDILEIQNKLLFENLKQHHNDHN NVHERKVLMGKLDQMITMQKKQEQSLNYFKQLFQENDEGRRVKTQTSYPKLRPALYSGYV GLKM >CAK77267 pep:novel supercontig:GCA_000165425.1:CT868263:344759:346372:1 gene:GSPATT00012775001 transcript:CAK77267 MQKTYNKVQIEECNQVEKETKSSTLLGSKIYSQHTYRWIILTCYLVVVFINGISYQTFIP NAKQFVQLYNVDEQIITLTGTIYLIMQPVFTFFASSVIVKKGFAVSMSVGVILTIIGYGI RLLINKYSFIFAILGQLFLGISRPFILNGQTTMAQNWFFPSNRMAVLAACNAFQTFSMVI SVLWPANWIFKDYSYNDQNKQEGLDLSIQLQYQQFFLSLTLIPVVFLIKNNPKTPPSGFA NSDHDVGFYDSIRKLLKNKNFILILCTFSLYFGTLKGFGLSVPYLMSPFGFVDTDYSIAS SLLIIGGFLSAGFVSKLVLKFKKYKAIGIVLFIISLVLTLLTYPILMIELLIPLCIQQFL LGFFLIPMVPVLIEYSCESIYPLNGSFSVGVMVSGATTTAMLSSILLTYTSKGKDSDKTS ALVTYIILCCIYLIGFILFLFTKEILNRSKEVEQRASSKQSVFYCQQQLKTSMINPDAVQ NEQIVGDYPTEHPILDSHQFIQHPEVLNPDFLEQMQNDEQT >CAK77268 pep:novel supercontig:GCA_000165425.1:CT868263:346411:347609:1 gene:GSPATT00012776001 transcript:CAK77268 MICPLICDQNCINHLFEKGQIISIVSVMFAVTISWFLIRKHLNYFNFPYFQSKIIIILMM SPFYAVISILSLEISNLAQYFELIRDIYLAFLLFTFFYLMFSYMAYDEELDKITDEKVYG TMIQNEEYIEHLWPFNHCSRKYYLTTESKAKYFTYRCKKFVLQYCIVKPVFTFFLIFSQP FHSNFITYLELASEIIIVLSESFSLYYLILFYVALKKPLSPYKPLLKFLIIKITLFFTFW QSLVLSVFKKQIGECFEPDDIHFTDERIISSIENTLVCLEMFIMTIACIFAFSYAEFKKD QNTQGSLRKAISDNWKAFKHDFRLIRPKKFGYTPKVHHIELKERLNLSNEVDIQFERMNV KQEYL >CAK77269 pep:novel supercontig:GCA_000165425.1:CT868263:347634:348101:1 gene:GSPATT00012777001 transcript:CAK77269 MEILHLALLVVISIICFKIGQGVGQKEVEKQQSQYIQLQENEQLIEEIDEEYVKQVDQQE QDLKEAIKKFNQINQRDKQLQKQLNDLKNTQTNNAKPKVQQNELLSDKDFDNLLDEGFVV DQEINETQLDQDLIEASK >CAK77270 pep:novel supercontig:GCA_000165425.1:CT868263:348189:348932:-1 gene:GSPATT00012778001 transcript:CAK77270 MSELEELQKDLAEIQSVISTLKRKSNIDYLNNRIKYLENSIKILTPQKVEQPQQQQQQQQ QKDQDTLIYQGITKYAWDQEGNKVKVFLNMEGIGQLPKENISSEFTSTSVDVKVKGFKGL NHRFSIKKTFDELKEKECSIKTTNNSIVINLIKKDQKNWDQLNFKEKLIDTDPSKLDKQD PQASLMNMMKEMYQNGDDDMKRTIAQAWSKSQAEKGLQ >CAK77271 pep:novel supercontig:GCA_000165425.1:CT868263:348994:349465:-1 gene:GSPATT00012779001 transcript:CAK77271 MKSILIALLVIAVIADEACVKEKCPNQYNACVAEVFGCASKALNCKNKCGEADPCYHDCA YESKNKKLIDLYECGLKYCPNTGYWNLILQGCNVEQCVADFQVECLQSTNLRAVECLMNF SQRHPECDCLNE >CAK77272 pep:novel supercontig:GCA_000165425.1:CT868263:351112:353604:1 gene:GSPATT00012780001 transcript:CAK77272 MSSLAQQFELQHRDSIQESLLNDSSINIQDEYNLESSHSISNGKQFQNKAKLAMEERAEK EQQQSQQYILEKIRQLYNDSLEGVSQKLNILYQLPILRPKQVILWKLFINLLTLFVFFEV PVYIAFGQGFWQELKTDKQVAALYYSISLFLVIDMGMDFITAYYKHGVVITDSRKIAINY LYGNFFFDLSALIISIARLSLFTSKFRFIFLMFYFKLPSFLRFDDQLNEMVLLYRRTRVF YEVGKRVIFMFFAFNVFLCVFYIIGLHSVESNYNSWLTNSGNFGIILDRPLHEIYFFGFY FSLGTVSTTMGYGDISPMNIIECSWSLLGVMFGLIIFANNINSFQKMMEEYNLNDLKQFK YKVSINKFMEQKQVPSDLQEIIRQYLNEYWHQQGLRDQEQEVLVFQMLAPELKQELMYQS YGQFLQTTFFSKYFSKPFLKDLSEKIQEVNYSTGNVIIEAGDSNDDQSFYFIQSGTVIIK CGNSEIVKKKLLKGDSFGEYAFMTGQARTATAYSQEYSQLHKISRSDFLDILKHYPDDYE IFCKLRDELIFSQNFQSLGQFCWTCHKVDHYASACPFTHYIPEVWDLVDNATILYSNQDR IKIQRYDRKHWPTRTNQKTLVNGLNKSKIKKFANVMFRTVKNISMQKLSPLKKQSSIQQS VQSFGLDSDQKLEINKTESISDDPFKLQDESDMSQIQQVEKKDAERNNLSSLQSKLNQYR DQQQYQIHQGLDYFDEPQNFKYYHKKFNYSNYKVFQGFKESQMKKQINIRKSFMPGTYT >CAK77273 pep:novel supercontig:GCA_000165425.1:CT868263:353657:355081:1 gene:GSPATT00012781001 transcript:CAK77273 MATLPKQLRNLLKQIDLFSIPVPVLTDDATSKYSSPSSGIISILASVLSLAYFAYVLSEW ASGNILPTITSNQSAQAYSEQELEDNFIQFKLADPANSDPFQRNNNIITPLLFVVDNYEI ASPPLPLFSDDENPFTVGLNKGTLIVNNLNQNIDEQSFKPQRNYLLVFIQCLDQYLEENS NCASQEVIDQYMKEYHGFLSIIMKLEQYNYREDQIEYITKQAYQALSPTYIQYTQIAIQQ KAINLDNGLLFQNQVTFKLINDFYLINQSIDNQYLQNIVSTFSNQNIKLNAIMAYQIRID NLQISDRIIMPKLSAVLAYIGSIVQVIFMLKYLAVFINNHNLKVEMQTQILQIYYPNLKN IIIKRNYFGKIIPINDNNQQEIYTETFVKQYEEHLDIAFKKLRLINIIYELSRIELLLEK HFGLENVSECNQMGSTFQFNSNDKKNESARVSMLSTGNSGPQPTDDIFKLFNKS >CAK77274 pep:novel supercontig:GCA_000165425.1:CT868263:355140:355882:1 gene:GSPATT00012782001 transcript:CAK77274 MISIIFLLFGLIQGQDDDVINYVEEDKQDRKDLMQEWELMMQDFIPDDMIAFELKQGNME ILEEGIHHPTTIRGAYFISMTTKEKINFLIKDPKGNIISSKAAKKEAVFSVNITEPGDYQ FLFDNERGSSDQIVTFALDIHNATYEHIKHHDLDPLMKQIQHLQNGINDIMFETKFSQQR RESGYESMQSTHSRLFYFSIFETIIIILVSVWQVYYIKSIIDNRRLI >CAK77275 pep:novel supercontig:GCA_000165425.1:CT868263:356668:359029:1 gene:GSPATT00012783001 transcript:CAK77275 MRSLSRFLFRRPQLWVNRFALPLQKKCVYGFSNGAYNNSNIKLKTIPLQNYACDPEEIDS KIGATDFCLFSSVQPIFPNNPMNCQIKIGNLKRITRPLLYTIISPDNGEIFTIGIALSKS VEKSLNSELKVLEQRDANGNILINDPMNNTFNLDIISKSRNYRFKITKIEMTPDGIFAQG IPYKDRPLTQAELQIDIKNEVKQISSLVSQIRKLAQIDRIEAFTEVKYSLLDSSEKLTAT QIDEIVYQVAAGLSKLQTNNLKLNTSQFVQQLLESQTYIERLFILRKQLENMQGVLDLVN KHFKESDSSLLKLHQQTLAKLATEYIRQNYLKDAPNSQSYGGSVGSQSQVGEKQKQSVLV KKYQDKLSLITDESSREKVKREIERFSLLDKQSSEFHKINSYLDEVFSIPFAKFTPVQWD IQYAKDVLNKEIEGLEKVKERIIEMISVNKLKNSGQKAKGFILLLNGPPGTGKTSIAKSI AKALKRTSRFISCAGVADPTFFKGHKRTYVDSMPGVFIRELIKSNTMNPVFILDELDKVS KHHAGGADPYYTLLEILNPEENHNFTDHYMDISVDFSNVIFILTSNDTLQMLEPLKNRLE TIDIQAYIQEEKLQIANNFLVTKSIESNGIKQQMIKYDNETLTKIIKAWCYQESGVRELK RCLEKIARKHATNLLTANPNLCDKVDELNQVVFDPTISSLDLTKDKNLELISQYLGPPSF DIQLEQRSIKKFPPGQVNILTVGGMIGTCFNS >CAK77276 pep:novel supercontig:GCA_000165425.1:CT868263:359042:359643:1 gene:GSPATT00012784001 transcript:CAK77276 MIVKQKRKDKLQHLETLNYQPKLMLTNILMKNKNYYYSKSAIHMHFTEGATPKDGPSAGT AITTALISLVTNFTVPSNLAMTGEISLNGQVCKIGGIQQKLIAAKTLDIVDIILPYANLG DALNLPSQLLKGLNLYFVTDYQQIYDLIFDQQMGNVNYTLNTIKDGIYETIQNGSKEQIQ QSINC >CAK77277 pep:novel supercontig:GCA_000165425.1:CT868263:359657:361815:-1 gene:GSPATT00012785001 transcript:CAK77277 MEFIRVKKFTDPLFYEKKSKFKEDPQTRITAYHYSDQAITLGLSNGQMMQFRKKGFDTKH FLQSKDYEILKPVENKNNHKGEIRCLIIEKFSDSYYIFTGSADRTIKLWENDIKKGCIQT LFGHTGSIMAIAFAQDSLFSASNDKVLRIWKQESGREFMYHPWFVTVQIIYDFSMKKSLN QQSYITAFLVKMPQFQLYAGDTDGSLHLFMNSQPTLQQQQTYVKLEKSFFSFHRLHVIQI IEVEKDNAIFSIAYDQKILGYGESGGKFFSYKNPHKCLFTSIRWSQTHQELIASDEQGRV YFMNISSDKQVLEFKLYECKILGIDLIDHLEALVVYTEQFIDVLRIKRGVRTGNLLDQHK GPVIEILGIDCPQEFEPKKLVSASLDNTIRVWDSKDMSCISTIETGEKNEVSSMHYLTNA NLVATGHDNGEIRLWNIEIGSFLIIDQSKAKSRHNNTVCALTSCTFDSEEYMFSSGYDGR INVWEIFERKQRLMASYIMPQLKQSLLANPKATADSLGNEILCLLFDKTTKRIIAAGNKF TIYLINMFTYEHDDSFVGHQDSITCLALDGKILFSGSHDKTIRLWNLNNNQALTYFSGLD HPIQKLLVIPETGYLVSIGTGLLLTWDYPNKKVISKFTKPETFKCIAYLDKALFIGTEEN NIHSYTQENNFEDIDKQYQMIDVNQEIDDDYMKKIIEQNQQILQEFQQQQ >CAK77278 pep:novel supercontig:GCA_000165425.1:CT868263:361818:363423:-1 gene:GSPATT00012786001 transcript:CAK77278 MIQDLSKDTIQCTYKRGAKEQPQTPHSNIEFVPFKKIYNDALDAVGHTPMIRLNKIPKEY GLKCEVLVKCEFLNVGGSLKDRIGVRMVLDAEKQGRLGPGKSLVEATSGNTGVGLALACA VRGYPLVITMPEKMSQEKQDVLTGLGAKVIRTPTEAAWYEPESLIQVAKKMATDDPNVIL LDQYSNPSNPLAHYEGTAEEILWACDDKLDAVIISTGTGGTITGVGRKIHERVPGCKVIA VDPYGSDLALPQEVNKTDIKTYKVEGIGYDFIPKVLDRTEIDGWIKTVDNDSLLTARKLL SQEGLLCGGSSGATVWGALEWAKTQNFTENQRIVIILPDNIRNYMTKHLSKTWMIENKFI SYDELKEPEHPLAGRPITDLNLHEIQALDVATATVAQCLEVLKTHPAVPLQENGKLLSVV FQKKILAGIVNKKLNHADLAKKVHSKEFVIVPNTLDLNQLERIVERHEVVFVEDGDKLKY VTPRDLLGLFA >CAK77279 pep:novel supercontig:GCA_000165425.1:CT868263:364365:365602:1 gene:GSPATT00012787001 transcript:CAK77279 MSNQDINELPTLKVNVPSFLLKTYEILENSSLSHIIGWNQEGNAFIVFNTNELASKVLAN YFKHKNYPSFLRQLNMYNFKKTKNQYGQSEFRHKWFRRGLKYEICAIPFNRSMLQYIRRR NQEESEQKMETKDNNQELDNYKREHEEMRLLVRDIQNTQSKMQADFVASAESNATVRSSN NSIQQVMRIIDNQSVQIKCNNSSIKNLIQYPFFWQKQFQISPKFVTNFINPPIIAIQIGD ILKNSYEEPSPGRSESNYIVPYNENNQYNTMGSPYSHYQYNSPIHYLFARQNQYFCFQFD PNVFSYNRQHQQQQLALPAPNINSYLPSNNYSLQSSAQNSPYRIASPSHTSTTDSKDPEK FSLYQPA >CAK77280 pep:novel supercontig:GCA_000165425.1:CT868263:365964:366566:1 gene:GSPATT00012788001 transcript:CAK77280 MNQISQNILVQKLVDYKLRKNKNKRKSSPQFSPPKMPISQRQTSFPNGHAYSVHQKLKSI QSYTTVPDLLDLLSQMFQIIQSYEQQASHLWAKNLDMLKQIKIPILKENEDLKQALETLK QNDIQNSVYGQLKEYDQVQKPKPKLIDLKHINRDSNFQEEFLSKVDEFSLSWRQEVQQLK QL >CAK77281 pep:novel supercontig:GCA_000165425.1:CT868263:366723:367001:-1 gene:GSPATT00012789001 transcript:CAK77281 MKVKSALKKMCEHCYFQRKGKKVYVRCKSDPRHKQRQGNKFSTIIGNPYVENGMFIQIPQ FPEYHIDFKDFIKRLPFM >CAK77282 pep:novel supercontig:GCA_000165425.1:CT868263:367017:368743:1 gene:GSPATT00012790001 transcript:CAK77282 MIILFSLIPTLICFDYKGILNRDLHHIRNELINGSLIEGRLSIKDVENLFTELEKTTSLV HSQQIGQSYLNKTIYSYSLCSNFTQQKPMMLITSLHHSREVASLQMNIYLFLYILWEVEH EKNPFYINMITHNCILIVPFVNIDGYSEIEAEFNKRNLLPKTRKNLNRTILCSEEGELAG VDINRNYGYQFAYDNIGSSDDPCDEAYRGSVAFSEKETQAIKYVVENYNVKMAMNLHSFG NKWLLPYSYGKEELDKDGIPYKIYEDFKQNGRFQGHYKIGHAQELIQYTANGEAADWMLS KGIIAICPELGEQTYSNILANFFPDQEKTLQLFQTEFPPLIDFINYLPFKPVIKNFYQYS QDDVQRLTKTLSENQYLVDIECVNQGVSSGSDHYFVVSDTVQLIEAYYIEMKYDRIYDRD KIQFNILNKIEFENNTISTFQFSPRSKIIYFLILQEIQNENEMKFYFDLNGIDHENTFTL KDFHQMQNKQKMHFNYIFYIGLMILLAMVIAILLKIGFRKQIIKKHQNITELIEQKPEQI ELHVQMMK >CAK77283 pep:novel supercontig:GCA_000165425.1:CT868263:368753:369795:-1 gene:GSPATT00012791001 transcript:CAK77283 MAKELCVLSKQSSQQCFSSLGNFESIQQVNDSNKWDDIKQSRQDLMKKYHQFKTNEVKQS TLSTYQSQKVIKTQMKVLIPKIDNFDMKLNIKRDTVIKNKDKENITSSQYKQQERPESNS QRIRQESVYDRLYNLRDSENKGTKVVQKNQNPTINYSQKQTKLILGHNILIQKRSSDPSI LMPISSLIKKTQKHQLDYQQDQKSTQAQIHHQQNNSQDLFKVNVSRQQACHPEKKEISKQ NQSAEVQSNLNSQYIPIKHCYFSLVNADERLQNKKVRSLSNEKHKTKQSCKSSKGNRAHT QGKQEVTNQQKINRLYEYILEGNY >CAK77284 pep:novel supercontig:GCA_000165425.1:CT868263:370485:371639:-1 gene:GSPATT00012792001 transcript:CAK77284 MHPKNSTPNFNIEKQSIPQHSCPNRSVQNFSVYDDYQYKKVTTKQDSKDPMFHSHIFDQS ETFKQQILELQKQIKIKELSYQQLATAFFQQQQKFEELKTAFTKVEEEKKYLQKEIQNQV EKNEFNQFDMKQLQEINTLFQNENTQLKLAAVKLKDTIVKLQIDNKQLKDQIESLMTNPL SCERKGSSRLSFDYLLNEAEQTQTAQGVSGTSLPNFEKNCICSKKIIHIQAEIKKYQKQL YEKDQLIEKLTQQNIALQKAQMKLQKSQKKQNLFGTPETEIAKQDPILVQDDSNDTSRQL NTYLSDRGFNVVNNLETQIPVLILTENQLKTSSSARSVLINKSKKEVEQMYKKNELISPF YSQPVFDNA >CAK77285 pep:novel supercontig:GCA_000165425.1:CT868263:371909:372626:1 gene:GSPATT00012793001 transcript:CAK77285 MSGEQQNRKSKIAPLTLQFFEVLTKLEEGFHIKVLDKSKINTLIQKYAQLVEYYDFYKDP IKNYFLDKIQFVLSRPDTIKSMVQQDTIQEENEQESYNHFQVPKQMTVSRAPSIAEQIDR KNVLKGLELNENHQKSRGQQMNLTLQIQMLKKEQEPSLDKLMNDYKSETEKMDQYIQSEM KIQTDAVQLKLEQRKKKLVNQQNKLFRTEASDDESTPQLQPFE >CAK77286 pep:novel supercontig:GCA_000165425.1:CT868263:373161:374447:-1 gene:GSPATT00012794001 transcript:CAK77286 MQVLRTADFFGVPFIQQIDPKQSIYKSAIGGLITLLICSASLAYAIWVLYQWQTNQLSPK ISNSMHVSDFSLLDLNYDVIKLYYWKINENYIDPFESKILLPLVMYTTNFSFTELQVINM SNETTVDGSSKYLIPKMNLAFQTINGEIYTTSEMYIQIVLCSEKYLQPNEKCASQELVDE FFKQALNTVVMQVHYKTLDSKDGSEQTSLQEFYAQIEMKYCYTLSTFLSSNLYEVQDAFL FGNPKQVEYIVGSSIQSQTNTIEYCRQTYGDEVLTLFYILMKGSQTKTIFQYPTAGDLLA NIGSIVSVLFMIKHAIILINGYQLKQKILKEVISFYYPEFKNISIIKNWKQKITKITLKE KELDIKEYKRFYKKIRNQMEVKLSYLNMLYEISRMYFIIRSSNLKIRSKEISFSRDKTVI TISQRKLF >CAK77287 pep:novel supercontig:GCA_000165425.1:CT868263:375304:376758:-1 gene:GSPATT00012795001 transcript:CAK77287 MQLLRTADFFGVPFIQQIDSKQSIYKSALGGLVTLLICSASLAYAIWVLYLWQNNKLSPK ISSSIYVSDFSLLDLDYDVIKMYYWKIEENYIDPFESKILLPLVMYTTNFSFTELQVINK SNETATDGTQFYLPKISLAFQNISGVIYTSSEMYIQIVKCQQIYLKEDEKCASDELIEQF FSQPLNTIVLQVQQKQLNSLDGSVQDSLQEFYIQIEKQNCYTLSTFLQSNFYELQNSFLF GYPTQLEFINGALIQSQTNSVEFCKLAYGNDILGVIFIAMKGNQIKTIFEYPHAGDLLAN IGSIVSVLFMIKHVIIIFNQYNLNNKIIKKLIQFYYPDFKNIIIYKNWRFKTTKVFLNHQ ELDLKEFKLFHKKVKQQMENKLSYLNLLYEMSRVYFIIRSFKQREELKKSHQIGIKLNLA QSKIIVDSNQNCDWTNRLSATVSHLNDEDADLLSLSHTFKQHIELQIPNEIYIENEFYEN NKIS >CAK77288 pep:novel supercontig:GCA_000165425.1:CT868263:377541:377864:-1 gene:GSPATT00012796001 transcript:CAK77288 MENKLSYLNLLYEMSRVYFIIRSFKQREELKKSHQIGIKLNLAQSKIIVDSNQNCDWTNR LSATVSHLNDEDADLLSLSYNPKDHNHLQIPNEIELENDFYESNKIS >CAK77289 pep:novel supercontig:GCA_000165425.1:CT868263:377983:378999:-1 gene:GSPATT00012797001 transcript:CAK77289 MIKILRAADFFGAPFVQQIDPKQSIYKSAFGGLITLLISSASFAYAIWVLFKWQNNQLSP KISNSVYVSDFSLLDLDYDVIKLYYWKIEENYLDPFESKILLPLVMYTTNFSFSELQVIN RSNETSADGTQYYLPKMSLAFQNISGVIYTTSEMYIQIVKCQQIYLKENEKCASDELIEQ FFSQPLNTIVLQVQQKQLNSLDGSVQNSLQEFYIQIEKQNCYTLNTFLQSNFYELQNSFL FGYPTYLEYINGAFIQSQTNSAEFCRLAYGNDILGLIYIVMKGNQIKTIFEYPHAGDLIS QYWFNCFCSLYDQTCNYHFQPILSQQKDNKKINPILLS >CAK77290 pep:novel supercontig:GCA_000165425.1:CT868263:379543:380881:1 gene:GSPATT00012798001 transcript:CAK77290 MQENRKQMLKSQDSDRSIKNAADNITKITNKKIQQLAFLTQFPQQRKFTVVDKVNKLIDK TQSKLKHQQIPKDVQFYQRNIKKFENLSSFINSKGLLSANFSMPKLRVKEQVDDQEQEYV DYLLAKNYKLQQERQRRKSKQVKENYEQCWELDNSDYDFESPINVGKELKLAHQDQIKGE IEAKAKLWELQQIRKYQRKPNNFDFKSSQRSSQHKLQEEDEVVVDQGQLNAQHYKEQSDK VIKMIWNAAKKIYNKKEDQIKKEILMHQIEKNYRQKQREMAKEEINKILLTGQKQHLIFA KTVRHLPENGDSKQNTEHFFRKKGQTSRKIQAPYSLSPPSQNEELKSNRKISYINSRLHD IVHQIDKIPVGDQAQTIRKMQSEQILYQTLRETLQPDQIKDTLKGLFLPTDHLYKRPLQF NKKHFQNQIL >CAK77291 pep:novel supercontig:GCA_000165425.1:CT868263:380951:381701:1 gene:GSPATT00012799001 transcript:CAK77291 MSSGELPQDKKTLFLSLVQKKHAETDAQKIQNRILQLKMEHEKVMKRVQQDEERADEIYR HRVEIQLKKENKLKQKQAQPPPFSLAVSHHQRQVLKKIKEDDLLKKKSEAKLFREQLKKD FQGVQMQKSLEQEVYRIKAIQVKEEERQSTEVAVLKMKQKREKVRYQIENEKDQIIKEKK LYDFKISELEQQEQLAMMELQNSLAHQQFVQKKIEQAQKLSPSDYEKQYQNNNKNAETTQ >CAK77292 pep:novel supercontig:GCA_000165425.1:CT868263:381721:382223:1 gene:GSPATT00012800001 transcript:CAK77292 MLNESLESDQEIDPERERQKLMLKRQKSIEESLQLNVKDHIAKLENYLAELKKDLEISKK EMSNLRMDIATVKNNHGKSSDDLCTFIQNDAKRQLNEALKKSQDAKHDAEFLDAQLNVLK SDKEKLQDVKDTLEKRIISCETDVGFKHVYD >CAK77293 pep:novel supercontig:GCA_000165425.1:CT868263:382236:383790:-1 gene:GSPATT00012801001 transcript:CAK77293 MIIIRLSRHFSKIKKDLLTNPEFDKIHPQFLDHKPPTTLHRQSKEVPYIKSLLRYKDIAV PTFENALHQNFKTFVEGQISPKGALKNLSEEQMKQIQTQVTKKLQELEDTGLSREEILTN GVEKGIPLSYDAFFQLLKNNEQARLVYMAPGEEFTVQKIVDIALRQDIGKDQFNVPINKY PNNMKLKNHDKQKDILINTKDHLEHVNELVSDEVVYHHHPQPKRPIRRVNNYDIHWRNTE FLVQFLNKSGKIKNRHQTSLAEVQHKKVTRSIKTAKHMLLLPSNSIIQPYHKKSLTSIED DIQEFSRRKVNLATGQVYTETPLQKRERSLESQTMTEDDQEINPGQARPNNLNIIKGIVY AEKLKKKELEQQGIKLTKEEEDTRNYRFNGNDVKFQVMRDEQILKQEIKKEIEAKDGEFV EEFNKIKSNIEKIPTYYLSQAFISEQTLQMEKLQELVYQKPKQAYSYDESLRIIEEVKSR LNK >CAK77294 pep:novel supercontig:GCA_000165425.1:CT868263:383971:384222:-1 gene:GSPATT00012802001 transcript:CAK77294 MNQLPKESIIKLQSHINKEVVIQFQGGRQVKGTLLSFDNQLNLVLDEVRELPSQRILGLV ICRGALINSFAIDGMVEIENPYI >CAK77295 pep:novel supercontig:GCA_000165425.1:CT868263:384242:384924:1 gene:GSPATT00012803001 transcript:CAK77295 MSTIKPFQIFDILDYNNINLDILTETFNVGFYGKYIAKWPEFCISIKNHFGNFQGYLLGK IEGEKANNNKQNWHGHISAITVAPEYRRQGVARFLMNYIEDVTNSQNGWYVDLFVRPSNK IAVLMYQNFGYEIYQTVYQYYSGQNGKCEDAYDMRKSMLRDKQKLKQKPTGKVIKPEDLE FN >CAK77296 pep:novel supercontig:GCA_000165425.1:CT868263:386180:387009:1 gene:GSPATT00012804001 transcript:CAK77296 MFLKRIASSFSKSHHLVIPYYNKGYQNPIYNELVANLVRDGLKIKDVDGFIYAFNNVIAS VKHEDFKEFANKTCDSELVKGFETGLKRLQDNNQFIEPIYDPEIEEEIIISDITFGFELN DQVSYYLQKPFFKLEFSQNKLEFYNSLVRMLKDPINQLRFGPKLTLILDCYIKCKTSIKI QNFDLHPYAYHAVKFQAIKHESQNKNIFQSLIGLSIDQMVLGQNYNWRIINIDNFLKR >CAK77297 pep:novel supercontig:GCA_000165425.1:CT868263:387039:388232:-1 gene:GSPATT00012805001 transcript:CAK77297 MSEQENQTTKNQSQVMQSTEMPTSMQLESSNQIFTYKAPWLIYAMGFQQKPSPQSRIAIC SMIEDVQNEVFILQLDKEQETFSKKAKFNHRYAPTKVLWIPDIEGKYPDLLATSGENLKI WEYDDQNSQVKIKWDLKNVFNTHPNQTQTSDFNAPLTSFDWSCKQQNYIGTASIDTTCTL WDIEKQTVVTQLIAHDKEVYDICFSVDHQIFASVGADGSCRQFDLRALDHSTVLFETENN NPIVRLAWNKMDTNYLAIIEMDVNYVTLLDTRQPLLPLAKLKNHKDYVNAIAWAPESTTH LCSVADDQSALIWDFSELQNKQNDQNSIDPLLEYKAENEISNISWSLTKVDQVSICYNKS CQILNV >CAK77298 pep:novel supercontig:GCA_000165425.1:CT868263:388383:389403:-1 gene:GSPATT00012806001 transcript:CAK77298 MQKQRFPALGDWTEKKTNSFVEEKLVIRNPEELKSKIGKMISEGAQQIQIVTDFDQTISS FLSPATFSLFRMSELSPQDFKNKMQSYYDYYVPIEKDQSIKIEEKNKHMHEWYQKVSEAF HEAKFTKSLSCQILNTSHIYLRYLFEPFFNKCVEEHVPFHIVSGGLDRVINTILASIHEI DTYEEMTLHTNEMIFQDDTLEKMEMLVTATTKAKILETSGIKFRNNTILLGDLPSDFYMT KFLNIPNQVSIGFLAQDHFNQLEEYKKLYDITIIGDPSFLVPLILLSKVMGCQLTEEYTQ LFNSQNYDELRELL >CAK77299 pep:novel supercontig:GCA_000165425.1:CT868263:390014:390343:-1 gene:GSPATT00012807001 transcript:CAK77299 MGSSLCVNCTIKTEESDMQAFPQVQQITFECAYLQEIAEISMPQEQQQQQPETDTSKNVA SPQLRGILKHNFQPQQDLGNFCEDNQLPTITIQKKKVKFRKPSKFFQKD >CAK77300 pep:novel supercontig:GCA_000165425.1:CT868263:390383:391030:-1 gene:GSPATT00012808001 transcript:CAK77300 MGSKAENQIEQYSGQDFGDKISFTVGVSYMLASSIGLMKGAIEGFPRQFNMPKKLILNNF FNAVGKRTSTYGQAAASASMLYYFVGAGMNLLFEDELADINQLKKNMLCGAISGAVYKST LGIVPFFVGGIVGGSLIGGLTLLVENFNRRGIVAFEMKF >CAK77301 pep:novel supercontig:GCA_000165425.1:CT868263:391076:392460:1 gene:GSPATT00012809001 transcript:CAK77301 MISFDDLLEQKIQTGTHQFKTLGIIGLVEFCDGIEYAYMSILIAIVQKEWDLNKQQTASL GSIFLLGIVIGNCLCAFIADLIGRKTTFTIFTGLSVVLILHKLFFGVVFGTSYPLGYVFI TEVSEPKYRGRFAYTMGLLFVIGKIYLAILCFFYLEDYTSGNWRGLIRVNGIPVALTFLF SLLFLKETVRYYLNRGKYQIAYQEIEKILKENTGIEETLTEEEKNGLVIWQEKQNKLNLE QQINKYGILSKEYRFITIKIWILYILTNMQNMSIYLLMPFLFTENNSGLSSMLYMFIVEL IFAISLYYFIDDPYIGGRKKVIGYSAILLIIANSLLYILRQRFLFIGLLLIKLATRALYS TLGLVCCESYPLSLRSQGTAIAYGIGKSSAIPSPFFLFPLFYIDPYLPFALMCLFSIIML IVDCFIENDKTMKPLESLKQD >CAK77302 pep:novel supercontig:GCA_000165425.1:CT868263:393543:394218:-1 gene:GSPATT00012810001 transcript:CAK77302 MFKNSFQSGFLSILYSIGSKPLQIWDKQIKNGHIKRITDQDIQSSVLEIMGTNVSTNFIT APADPKETLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT MPMRLDEGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANCRIRRIYFSDRLYSEEELPPE FKLFLPIQKQG >CAK77303 pep:novel supercontig:GCA_000165425.1:CT868263:394289:394869:-1 gene:GSPATT00012811001 transcript:CAK77303 MLVLFGSGGHTYEMLMSLKNYDFQRKCQNLYFMHSFADTQEPGRVAKFIEDNKIALPKLE WITIHRSRKVKQSYLSSIITTIKATLHTFLILLRFRDLDIFITNGPGTCIPVVIVLFAQY LLFIRKRCKILFIESWCRVENLSLSGKLLYWVSDKFVVNWESLSKKYKRATFVGNLI >CAK77304 pep:novel supercontig:GCA_000165425.1:CT868263:395063:395434:-1 gene:GSPATT00012812001 transcript:CAK77304 MSGKEVQDLKQKHEDEKRQLLDQIQSLEQNKAQLQRIIDSQKQLNQRVVDYISSMTINTN VIELSLKPPLERSTKNDNEDYKLLIDTKNQQNQLLKQLLMTNQQQIQELNNKLKDIKQMI YSS >CAK77305 pep:novel supercontig:GCA_000165425.1:CT868263:395481:396866:-1 gene:GSPATT00012813001 transcript:CAK77305 MKQFITYINIPEVRGKIPKCQICQKSFSFTTREHQCKRCLRAVCEGCSPFKINHVKKDGK GIHQTAQNVQNLQREQIKNFVEQNHIAYGVDTLSKQWLGGSLSQQEYKNARESAKIRFDK TEISGFDNINYSLKEFYYLVGKDNAKLQNVCLTFCSKNPEVQFSAELVCLANFLLCFSSE ASTFQLLSIIYKQKPPDECIVSILTYCVKGYGLGEDEKSLLKQFLQSRLKRYLITFAINM FNFDTTLFLITQLIKKYDSFIKGLSAIFMLASTYLKNTNHEDLELWILRNVRRKDAESKL NLMQMPAPRQEVEIRDTSQSIRSVAFSMIEQQDQSQYNEMKAEISNLKLQLALKENEIES FKFQLQQMQTPDDSKKDKYIQQKNEEIAILLARVDALTLENKQLSKKESNYSNQSSIQAE QQKIIQEQPITDRTLKDQTQRYFF >CAK77306 pep:novel supercontig:GCA_000165425.1:CT868263:396981:398578:1 gene:GSPATT00012814001 transcript:CAK77306 MIILLLLNSVIANKPEVKLNVENNQHTSFTAIVDQSKILYEDPSCFQIVLWKRTSSDSHK YKFTDQDYDILEQEEHKLQGTSGCVPIQKSFHVSQHLRMGLPSNEQIFYTDLIVLQNRAL IIRNDFTLQEVNVAHSQFTKTITQQKLKIPHSDISHPIFLWNSHNKRVYIISENGGVSIP DWISIGENTFFTTPEPFKQRKIVYDAYLKDNTIYVACGNEGVDVYNYQDGRLMYLKNIDR IEEKHLIAKDVSGDDESKITQFKLDIYILDHSQGLLICDYDFKFKFRVPILMGSDFSHYN NTFIVIAESQRRQDYALEIFLNFTDNSYYLNHYYIDEMQFYDVKVYEKYAVLIGYEAHKI IQHSIFSQFIKFSSNNYFEFPQMLQLKQWNDSFVGLSKRELRLFSIDQLAAHIECQSQEH FQESYLVAQYFLNSSSPFIATKSQQVFQIVFSDTRVLSKKNVTLFGIALFLIFLCLWLAV LGLACFKRFRDRINKLEDDKKALTQQADQDKEDGPGQELSAI >CAK77307 pep:novel supercontig:GCA_000165425.1:CT868263:398788:399575:1 gene:GSPATT00012815001 transcript:CAK77307 MNSQQYQQEIWNMRLAVKVSLLNSNIPPLYLMIYRQHYLLFYYQQIYDHFNSYSPQINKI NQITFQYKNTILTYQIPFGVLIDQYKSEDTFTPIELILIYQKQAQFFTLEDEIKNQIKFN LKWACFGRYNHEGYGDPIKNLTQNVSINDEIQAFKRIKSFEGKEDLFQYYMKIFEKPNTG KIQLPIRIYFKKGGHFQTIIEITDQQQTIGQALNTKIQGINFIFNGLPLNTKISAQDFYD YLYSIDGFCYFVC >CAK77308 pep:novel supercontig:GCA_000165425.1:CT868263:400680:401209:-1 gene:GSPATT00012816001 transcript:CAK77308 MTTASCVDPRYFTRYHANGTGRDYYVQYSNGGLLKDSQTHFEGVYERAGLAKKEVQVSLP SITPKFQHYPNDGSGRDFYITQIFIIKHRCNEGGQLQNQRKFNYLLNLRSYNKVPEEPLQ NDFLRRTSYTTKRQVLKSRQLQSQQRQLTLKLCSPKNTK >CAK77309 pep:novel supercontig:GCA_000165425.1:CT868263:401229:402904:1 gene:GSPATT00012817001 transcript:CAK77309 MGCISAKDVQQSINKNIYNNMKQTHIIAFDQLFLLQSQCLRDLDLLQNKVISRRINMINI TKAHLLNNPKLTDAYKLWTWGVSLCNKGNSKGMNVHYSFNNPNESVDKTTAFNYNLGSCK ELRFHWFAIILKEYVEYVQNAKQQYPEDRLKAKDIYEKLKTMKEQSSIYLNTLSLAERTE AFTNIEYNMSKINKGLFNADQFYNNLKDLDIELEALIQKSYQYESEADQWGRKLYRDLDV IPIGNDSYRMRAKMNSLVLLYHPGKKRTQEEEDYYYNIKLERSKIKPKVLFNDEDDKQKI FLFKIRWTGCSEVDEKWSEIAFILEKHSEQIKSIKQIRNKIRYELQTYKYVDDNLIEAWK IYCLSLLTELKDVKITLNISDQESATKILKNQQVLNENLELQTKLFSEYFFTIRPSQQKK LKEYWRKLDFDKQWIQGSFMKSDQFKMMHHQNQYNMMTNQAKNIYEFTTQNPLYQAIYQK KYLKLEKEAEDLFTKGIAIFEEMERLYEQYKIDKDVDKLMKQELRSNDVILKKQSKLSKY N >CAK77310 pep:novel supercontig:GCA_000165425.1:CT868263:402957:403448:1 gene:GSPATT00012818001 transcript:CAK77310 MGQTCSKKREANKCHQTQPSKVVLGDSHQNIHTNPTLYHPQQALKQFKQLSMLLSLVGSL DQLKQKTREQFRQLFAQKNNCQIIIQNTIRRELRIKGLIRPPQQDDEALLLQDKKFNKSL QTQLIIAAEIIRTLQQDQDFQDMFPTMCISFMELADSIQSDNQ >CAK77311 pep:novel supercontig:GCA_000165425.1:CT868263:403977:407605:1 gene:GSPATT00012819001 transcript:CAK77311 MERKLYFGTTQQLQNKQYQYPTNFIRTSRYNMITFLPYSLALQFQRMANIYFLIIAILSF FKSISPFSPINSIAPLLFVVSLSMLRDGYEDYQKHLSDNELNSSPTTIWTSGGFVKKTWK DVLMGDIIKIDELEIISADIVVLQTSQDGICFIETSSLDGEKNLKPKQAVKETQTMECRE GVIECINPNALLYNFDGTLFLESKKIQLTHKNFLLRGSKLKNVKWAIGVVVYTGIDTKVM RNSEKQKNKMSNIDRLINVRIIYILIMQTFSCLILAIIYGINCDIHNINFDYFNDDIYDP DIPNCALASLMTFAAYFLLLNTLIPISLIVSLEFVKVGQGIFMQKDREMYTAENDKYVKV FSTTINEELGQVQYVFSDKTGTLTCNKMEFKLSVCGNETYGDVSMFDKHEMSTFVGNQII RRQSTLHSRRSTVVNEKAGIEYTFSGAVIQSIITETDPMKNPNIGLNVDTYLIRNQGDLV KENLMLLATCHECVLEFQDDGTFNYQGPSPDEIALVDAARRLNIVYRGITMGMMEVDVLG VKEKVELLFSFEFNSDRKRMSVIIKHKGVIKLYTKGADAIIKQRLGPNQPFLAGIDKKLD MFSRKGLRTLCLAMRVLDEKQFNEFSQAMNDTLGGNDAEKQQTELINKIEQNLTLIGATA VEDKLQDDVPETLADFLKANINVWMLTGDKLETAENIGRSCNLLQEQMDVFFLTPGCDIL QIFNRIADHVIQKPTTKRAMIIEGIVLAVLSENENLTKYLVLLAPHLHTVICCRVTPKQK ADMVRLVKNELGKITLAVGDGANDVNMIQEAHIGIGIYGQEGMRAVQASNYAIGEFKCLW KLVLFHGRQNYIRISEMILYFFYKNIIFTVPQFYFAFFCGLTGTSVFDEFFVSFYNTVFT FLPVVIRAIFDEDVFYTQQRKETLLGSKRITQGVEENDILKQSYPLLYYIGQKNTIFTNE KFFKWFSIGIFQGLACFFSFYLELNDTTFIKQSGYNNDLWFFSMSMSTAIMILVTLKLAL NTQFWTIITWIAYLGTSLGTYFAYMWISNIIPSAAIFGTTQMLFSSYAFYLSLALSVLSM FILDLLMFTIKTSKDTLLNYMKRQARQNQQLDIAKISKLEKKLQEQISEMEMPGFELFQK RSLVDSSFSLSDNTSKDGDRKDNQ >CAK77312 pep:novel supercontig:GCA_000165425.1:CT868263:408697:409500:1 gene:GSPATT00012820001 transcript:CAK77312 MIVFLNKQIKRNKNQIFKDELEKVSKWRYACKFRRRLLKCMIFRNFFQKIAKVEKKQKQL SNCQVDEYFEDFIVNSSFSFDCIQQPQLPQITQKSHFILIMIDDLQLSPRRLQNMIEYSK FMFRIFVADNCDTITQSTNDDSSTWSPQIMNYDCIVHTYTQDYVNHLFNIWIINTPIINS TFKDCYFQLFEAFICVNNVRSLEFKELFEKIKELNSKVQQFHLKEYDDASPERKQISNIQ QILKTLIDTRTQ >CAK77313 pep:novel supercontig:GCA_000165425.1:CT868263:409610:409975:1 gene:GSPATT00012821001 transcript:CAK77313 MADQSQAEYLNLKVKSQDGEEVFFKIKKQTQFKKLMDAYCSRQNLQIQNVRFLFDGERIL ETQTPADIGMETGDEIDVVIEQVGGYKIM >CAK77314 pep:novel supercontig:GCA_000165425.1:CT868263:410093:412279:1 gene:GSPATT00012822001 transcript:CAK77314 MDRFTIMIQSSNRFFGYHNQGSLFNPRMSKTLHTTYKLIEMAHTYFTMISYMQFYKLTQE IEQDDINLLFSTCNMFKNSLVFNNNLAFFMDITTGNLKKLNQFDVQQILKKPKLYQQGVL INVQQQYISHLEYEFSQFYNSRVYINFLLQQIRSNQTFKSHSNTTQIWKTQNGIYVKWNN KTFDLYLDNEVFQVFAYDNLDGLQSLPIYRDQIESKSLAIISISNSNFQCEQDGQCLQTD FKPTTALGIYKETELKQCLNEFYYAKASKLPMVYQQNTPQTIEQCFEIHNDDGSFAMILL TIIVIGSALLTRFKFKKQKQNFQINVKESNIELKYIQQNQIQFNELEIIGQGSNGTNIHE GFFQNKTVAVKDINLLNISQSMLSGELEKSFAQQMSLTSEKFIKLYFYEKRNNHLYLAME KGLINLKDFVKYDSCNKLNDSLKIKIKQNLLDPNFYKSFIHNLLIGLQELEEKQIKHHGL DQENIIFNQDLQVYFADLGMSQRADYYRSKTKSTNSHFFHSYNLKQQLGAIFYYLLSRGD DLKNLSQINLKAILNKFKKYNIKELDIRDLTLKLLFDGPIIDYDNLLSHPYFWTKERKLK FICEFSDYIETFPQKPGQITLQEICIQNQVFKDNWGNKCEILLKEQIRGYDKTQALQLIR LIRNTKNHYHQLTKNSKQLLGNSDRDLFDYWNKNFPNLFFTLYQYSCENNFNLLSIKQN >CAK77315 pep:novel supercontig:GCA_000165425.1:CT868263:413787:414251:1 gene:GSPATT00012823001 transcript:CAK77315 MLERALTYCLQEETPISIADVMQIQQNQQINNKQQKIQAQPTKIEIQQFPQKFIKQSSIK ELINQASQDFKQVPKIVQDFTPYQSGWQKNILNKYYNIKTGNNSIFCNKTRRRAFSIQMN SKKVNLRIRDMSMITTRNFQQENNCQF >CAK77316 pep:novel supercontig:GCA_000165425.1:CT868263:414657:415655:-1 gene:GSPATT00012824001 transcript:CAK77316 MNVLLKGMKQLCHRPTFNYWLSAHPTTKSISQLSPRQLLDTALIKRICQKQIPKHTIMSQ FCLWHGKQPKSGNQTCFSEKKTRRSWMPNVQKQTYESLILGRRIHVKVTAKTMKCIRKAG SFDNYILLTKPQDLDSIYGEYLRQLMLTKINDPSYEIPHVLKARPHKYSRRAQRFSRRPA IVWHPPEIRHKDLTFLNTRTSYEMNPEQLRKLREYDNLKDNFEDTNDLMHPILNEKFFQD EKEWPEFEKVEGEKALAEFLKKKDKEKIRLTLKSIEEIERESNKAIGNI >CAK77317 pep:novel supercontig:GCA_000165425.1:CT868263:415806:416478:1 gene:GSPATT00012825001 transcript:CAK77317 MDLYQHSYTLPPKRLCKEPKLAISILKRKFIEPIQDFAGARRTRTSFSPQRRMKSIQIRQ KSAIQTFNFEVGQIIVPKRNSRVTRFQGPNFPFNLNYLIKQMNALK >CAK77318 pep:novel supercontig:GCA_000165425.1:CT868263:416499:416895:1 gene:GSPATT00012826001 transcript:CAK77318 MQNNFGVQRIIRTEGDQEDNLIKKNSLARGLLPKKQSLTNMQKVSFSTHSKVKSKESIII SINKMSQEKLLDLLCLSTQDLKKRFLKPSTKHEKIKIVNTKHLPRDFLNLQSEIKY >CAK77319 pep:novel supercontig:GCA_000165425.1:CT868263:416902:417444:1 gene:GSPATT00012827001 transcript:CAK77319 MSVQKSNKENSKNKKVTISIEEGIENSFMILSPIKELSPIKEKIKEEQKCIEVIEFGWQR HKIGSEIRYLDIFYNANPNIQKSDIQRLDLNSCLDEQGQPVYRKTTQALMQNMALKIQSR ISMAGEQIYSSIPLQQACLSDYHCFKGDYGKDWKGHQIIKSKTLSGVKKGQTSSKKIIQQ >CAK77320 pep:novel supercontig:GCA_000165425.1:CT868263:417710:418276:1 gene:GSPATT00012828001 transcript:CAK77320 MREISRKYNINICQSKLKEGSKSKLKYQKPFIQQQQQKEIKIMLFIKIQYQQQKKHESRT IHRRKQYEFFKEINNLKVDSIEFDQSKDNCDSKDIENQNIPQTDILEVQQNGMSFQAFSE CDFLGISYQIWGPNQSIPKELWNLIQSIIIPKQMRIAFYKKQQSKSSLKEIKNACKGHLI MIDLRNSC >CAK77321 pep:novel supercontig:GCA_000165425.1:CT868263:418345:418789:1 gene:GSPATT00012829001 transcript:CAK77321 MAEGRENWAVDELLKAEEEANNIIKIAQKEREKKIKEAKVAADQEIAVFRREEETKYNQE ILRRFGSTKEEEELERKTKAEIDKIYQDYEANKLAVVDMLIKRVIEVKLEVPRVVKGQFE QPQQNQ >CAK77322 pep:novel supercontig:GCA_000165425.1:CT868263:419034:422067:1 gene:GSPATT00012830001 transcript:CAK77322 MNQALKTLTQLVKAKRHIQPHEKRKFELLIKSGASQAFYKGNLIGIIHLLANCHLKINLL SDFDFRLVATVSIIASNPCMLFSIKIYIVKQITKFYLYKNLFSAFKKYVRHKKEYFQGST TYFYKNSVVFLFQVAIQFSQNQSNSRCYICTFPTIQYIILFYHCKKIAYFNRHCPGMIPT RYLGPWHGLRLIAQEEGVKGLDRGFGLYFIGSGLLQLGRFLKQFVYNLWHSHLQSYKIRS NILEKDITKNLWSNLQFKSRELQKFKQLKEQSDQNQSMVQINENIILCEYNITRDANAYE IIDEIRKPQLQKSNNYSKFIILEKKQDDDSILQYNKAIMLFLSGKIRQAHNLLKQLKDNY NLDIYLNIKVNLLLVETSFQLQEYVYASELYKKLSSDETLKSLQQKHLKPNQIDDQQQQQ SQQNYTSLLIGSDLPYPDSHPNTFSKEEFLFLLNVIKCRFYILSNQKDQKKQLNPLESSF KNYMTQLEQSNGISQNSGPSFSQDIQPYLKLHAQMIFKLMKAQRNLTLNESVHKCIKMMT PQSEIQNQQNAEFAQSKQFLYLAQIYNNLGCVHAKVGKYALAAVYFHKAISQTKLVQQQQ NLYEGIIMNNVNKDYALFMDQQYQKALNVYNQLQDLCNQSAKFWYNRGVCFIQIYHESMP DKNELYEISEDQSQLHAQDEGKKIILQSREIYSDADEEVQDQFYKIDQKNEDSKFVQSKA NKELLNNAIKSFRNAIILSKKEKREETIHLDQEQLIIQGTQIFESSIVFLTYSLLCRGDY NLALSQGKEALEYNLSDNNKYIIIQYVLEAYIQISKLKDAINFINSSSVTQFLNRINNNN NNSNLQFQCRNLVGIQTTCISNYNSKAIYHFNLSALHLHNNNLTQAWNSIQTMMNCCDIN INAIDADIPVPILNLLIWYYLKSDNVQLAVHLIKRRRLLTGQIGKNKISLLNITK >CAK77323 pep:novel supercontig:GCA_000165425.1:CT868263:422322:423560:-1 gene:GSPATT00012831001 transcript:CAK77323 MLYWICRTYVQNVFETQIYWFASLEDSNYSSNSRNSTALAKMASDVVHSTCAHNKSKMFI EVHVLYINESFIMKEFIQEYQPQDYTNFVTNECKLPFQIVDIQSIYPDPQILLKQLHNLS ESGSAREDFVRLIKQHIIYDYAIKNGYQNICFGQNGQRLAADLFSQLSKGRGLSLQSATK YNFQFTYPLNQNKLQILHPLKELLNKDILYYLRLKSNINFPNFILGQHLSNIVKNKPAQG NIDHLLEQFIDKLQEGFPSTTFTVLSSAEKVTIKPLQQQSHCPLCLEIRDQVYNRLEVET LDNSNQQLINYLRANTKYYNKICFTCNRMLQSAQELENFYNLKGFPPLIIQIADTIQ >CAK77324 pep:novel supercontig:GCA_000165425.1:CT868263:423663:425318:1 gene:GSPATT00012832001 transcript:CAK77324 MKGKKLLDDDEEFSRSKSQMDVFPKWQELKPLGKNIHRRSYHSCVAHENYLYVYGGYETN EGILSDFCRIPSPVSPKPFCWTNLMKKAPEDNAQDQVPGPLRNHTAVVHQNKMYMFGGKE NLMSPTARLWIYDFQEEVFVEGKECIIDGKKMAVEGHQSCLWSEQQQMVVFGGFYSDTGY RSSILFYDLQKEIWSMVFKGDNNSAQFPKGESRSRSYINWKHIVYLGIFWKFDLENRQYG GLIVTEKSPGTRSSTSLLVHPQGIMLFGGIHDITHEKNDLWLYRGNEWIQLEEDTSRRQV ADEMLNESAFDKASQARPQKKEKGKNEPRSFLLLDDEPKNSLHQRRNSVKNLDQKQPTDT NNNFQLNSMDELKKKRLQQKKNQMLAEFEMNEEEKSKFRSSSPTTDQIKNSISVITNQEK QGGQRKIQSPTGKKNAIQASLSGKKPCARDGHSAIVMGDIMIVFGGDRNLMSFNDIYMYS FNQK >CAK77325 pep:novel supercontig:GCA_000165425.1:CT868263:425331:426676:1 gene:GSPATT00012833001 transcript:CAK77325 MLKILKYFGTIKHFKLPDLGEKIKEATIVKWHVKEGDKISEFDPVADVSTDKMFTQIPSS FTGVIHKRYHKEQDQCQVGELFVDIDVDEASSLSNHTTQTTQQPSPIPIPKPQIASKPTP QRESLLQRISPSAKYLAQLHNIDINDVKGTGIYGTITKDDISNYQNQPKQQQQTTSTSQS QTIKMSDFQKGMQKSMTESNTIPHLYLQEEIDVTSLSSFREELKKQQNITFMTLFIKSFS LALLQFPILNSTYDPSAPFQFITHQDHNISIAMDSPKGLVVPNIKQVQNLSILEVQQQLN KLKKLGDESKLGPNELNNGTICISNIGTIAGTYVGPLILPPQVCIVGIGRVVLQPRFIAG SYQPRKIIYTSFGCDHRILDGATIARFQNTWKQYLEQPEQMMVKLK >CAK77326 pep:novel supercontig:GCA_000165425.1:CT868263:427298:427549:1 gene:GSPATT00012834001 transcript:CAK77326 MNIKYLIILIVLLFNGGKQFKVTIVLASKIKECQAKCDSQINICIYTCAQSQLRQSLESQ AKCKKMCDGVNKECKTSKCYLES >CAK77327 pep:novel supercontig:GCA_000165425.1:CT868263:428089:429475:-1 gene:GSPATT00012835001 transcript:CAK77327 MELQWQTVQNGCMTSRLPQKKNWYLIHHQSNNSQFIRYHHQSTDFSNSKNIIPQMQSVCN DTIRNDNLYVQPKICTQYESLLKKNKEKIQEIKQLILTSRKSNPIQIKKNFVKEQSKNEQ KMIIEIPKPIRNVSNFSSDSRQSFTTNQTTPLRSSSNDKQFSYMQMSKNHKSPLNRFKKI KYLGRGNISDVYSVIDTTTGMIAALKTIQKSLITSKGIQELIKTEIIIQSVISHQNILKC YGVIKDEKQIALVLELGEITLFNYRKEKKLNEKLIIDIVYQVLKGVNFLHQNGIIHRDIK PENILLQNGIIKLADLGICVKATETNQYCGTPGYMAPEIMENQKYNNKVDCYSIGVLLHE LLFGKLPKIGQIINGDTQLINLINQLLEVNPNNRLSCKQALEQDLFKLCESKALKQSEMI KNTILWDC >CAK77328 pep:novel supercontig:GCA_000165425.1:CT868263:430423:431823:1 gene:GSPATT00012836001 transcript:CAK77328 MQFLVKSSGRVGFFGFATAAATHKDIAGKNFLKFQSKYAEYVTQFNQKLEAIEKENKAPN VAPQGKAFEHPYNNPHNPVNMSGIKSSELFYNFIGPEQVSPHYENFLVARKYLLLTYGGL IVIGFAAGTTNLHWIAKSSFLPFLFWMQIMYFYLEGRKSFMKPLLARFYRRVAGNECFQL DQYYHENMQLKIRTLLEAAKGQIEYGQLHKEFKDVKAELINTFLMNEQLNLQRHVAERSQ NILKSVQQAEQINQNRLLQEIIEAAQKSLETNLNSNLPEIQKALFKSALKGLAQGKMTYE SDPLIEMILKTIKEHVSKIQNLSPAEQKKLISLSKDQLTAIQANDKKAKEDFLRAEPKID QTLKNYENVKRQIASWGQ >CAK77329 pep:novel supercontig:GCA_000165425.1:CT868263:431836:432450:-1 gene:GSPATT00012837001 transcript:CAK77329 MQYVTNQKYNHLQNKKLFIKIRHQMFIPPPYYYKQFTSSKSFPVPDLDKVRQHTDDYYSF GEFHQFKDFEVDQLQVLKEKLAEKNIHGNLKLKLKELSTVIFDICLKIQDSIANVDNQHI ELRQQLHENYEQFNLICQFINIKQKYTDLEEIFKIELIKLNQINKEMAVKIQEFQKQVDE LNSDFITY >CAK77330 pep:novel supercontig:GCA_000165425.1:CT868263:432572:433633:1 gene:GSPATT00012838001 transcript:CAK77330 MLQNKPSRSLSHHTKITDYMKKFIETNYRVSIPSSMKQSRQNKQNRSIDKTSNVFKVFEW LKQVDLPNVQQNVCLKQSQHNKQEKERELLILKALQKYHHPCIIELRHHFMNNNQTNMLF DFMPLNLTQVIQGHRQRNKEIPLILIKSFAFQLLRAITQLHECQICHRNIQPDNILINNN TFELRLADFSQAKFLIEGEDSINNVNNVKYRAPELLLGTTKYNNSIDLWAYGCVIYEMWT LNAVFEGNTPEEVIAEIIKILGVPKKHELQSMKSQFEDIKMPQIKKKEWEFSETMEFIKQ FIKYNPTYRGKAQQYLNHSFFKDVLSGQIRLNGRELPNIKNLKPYELEILRLE >CAK77331 pep:novel supercontig:GCA_000165425.1:CT868263:433783:434214:1 gene:GSPATT00012839001 transcript:CAK77331 MQSNVQTYAFKKISSEVAVRSRGTSIERFQTQDNAQLLFQNQRLRTQLVVSKQGSDSNIG LMNGAQNKKTTLKITTRIYNNYLLNGCRPNKKKSLPILHISPKPLPLFLLPNSKSSSQKA CTTRTCSQADIKKFQFKTLFNDI >CAK77332 pep:novel supercontig:GCA_000165425.1:CT868263:434238:435118:1 gene:GSPATT00012840001 transcript:CAK77332 MSSSKILYSPSRSKVTVGGADRALSPSRAKISQLSEKLSNLQHQVDEDQAFKKETFENRV KILEDKATKQSQADDSKFQLLREQLSKIDEGAQNEKIIREAGDEKLRSKDLKLLEGFLGK ELQNEKINRKDFEVKIIKNTDDKVYSLRLDLARQKKYREETEEKNSQEIGDRVLQLQEEV EEERRQREEQNQNTIKRLGDSILKLQEILTNEKKQREQAQGQMFRMLDEMNNYLNHELQD EKNERDATEESIINLIDQTCNRVENSLRK >CAK77333 pep:novel supercontig:GCA_000165425.1:CT868263:435182:436541:1 gene:GSPATT00012841001 transcript:CAK77333 MKNLFIFGVLIGVFYFIDKQLNIKFIESEILKRNCQYSQQGDNENIEYCVFIWNSNETLA TFYFLYSLPVDITQNIKIYYQQFQTSKQLTFSNVFLEDQKNSTFPFIDSESIKQEIQSSH NLNMLDFTLKLGNINNNEYYIMTTQLSAVCTYAIFTKSLNLEITAYFKNNLKYLEDGFVA FLCLVIMFLANQLNKLESATMIPLGNYIKQNKPHMLLIIILIAQPLRPLCYFPEFEAIEW LIGQTCYAIGEFLFLRYFLQILSYLQGQNNKTNKLRNTLVSIFVIIPISIDRWLISFENQ SFVIKDLENQVDNINNLLKICWICYLLYASIFAYEIMISLQSHLIRLDLFIIDILFFMVY SFQKSSFYLYYENYDHHLFNPINMLMMTSYIALLLHTNFNKEIEQTQELTNVRHQIDQED ENGENIESTIAEYYMEKSSDDQTLI >CAK77334 pep:novel supercontig:GCA_000165425.1:CT868263:436889:437407:-1 gene:GSPATT00012842001 transcript:CAK77334 MFIPDQRNLGGRQIICNMVWNHRLSLNIMDDKSAASPIQTKMHTPRQGCYTDRQGQNKPS FFFRRIPTSNYQKYKELLYKKPLSFPKNQQQKKYDTDIKIIDWNVVEKEINKFGKEFYKI NLHQFIQSFAKKMNIKKEYDFATLIEMILNSYPNIKQEDLIEIASDIIVDEL >CAK77335 pep:novel supercontig:GCA_000165425.1:CT868263:438591:440307:1 gene:GSPATT00012843001 transcript:CAK77335 MILLTILIGFGSALMIKQTHTTRGINVIEIDLNNYDEFTIGQSTLVISLTYNSIISYPIL SYCSITNLNIIKSIISSISQVKTQIESNKYICIFDNNAILNQQSQQQIILYDYNEEQSEW IYNKFSIFGIKERKFSIYIFSSEDAEYQITIADQAPLTCFSNCNNVGICSQSQPSFCVCN GNLFGTNCQISSLPYKANEQQIVTLESQKEVLISIPLNLNNTNSLDININANQKIDCFLA CQFEKDYLPFHDSQFYDLNKIDNGKIPYSSEKVQECLSLFDEINQELGQQMAPLLLLLFY NKQATNVLITLSVDTKKNDHSDDRVIYYILAGAFASLILAFVLIGCALIYKRRNNKQFEQ YSSDRRNSTEKSQKEGKFHERQFSGDFIPVELYEQVIQEYPGLNMITECQICLVEFDNQD LVKLTYCLHLFHQSCLDEWRKKLQICPVCRGDLTKQKYKERQKDQEIFQFGVIAGDDIQI DNKKIEEIIKREQRIKQLQLAQSSSVINTLKESSPSPSSGEPLKLKFQQKQQK >CAK77336 pep:novel supercontig:GCA_000165425.1:CT868263:440476:441172:1 gene:GSPATT00012844001 transcript:CAK77336 MKMKKIFPSNTQNYNSVPQFEQDNATVLRMYQSTISKPPLSVVPSEASQTYYQIIEKNKL QYLEYYNQFNEMRFMENMAGLKSRQQKQVLLENFLNMFDDMISCLVVIQNTLLLINFDQP DFQQIYNITPSTMADILFKDIKTLEGLHLEIQFSSSLRFLETVLEALEFNPEHSNKIHQL DVKQWSQKCYNHLNNFMIAQQQIKNSQRSCCCFK >CAK77337 pep:novel supercontig:GCA_000165425.1:CT868263:441209:441988:1 gene:GSPATT00012845001 transcript:CAK77337 MQFPKNPNQKQLQPHFRSQSLNKSQSQRNPPQFIPQLLQQQQTLQKSINYDEKELQITNK RLHIFGDLVLPSTKFTKQQNQSIADELCDKVSSLRKYANVNMTRQKGRGRLKTQINDFEN SIVSCQRLITDPGIISQKTPNQQLLIDKEQVIQNGVKYPYQIYLINDQRKKFISDLLKST NLIDIQDGFNLTQIKQDLGQRLRTQVRSNQIEKTKKELTSKKCMNNALYSLLALNKQSQE TVKMKYRQNLPKDSRFYII >CAK77338 pep:novel supercontig:GCA_000165425.1:CT868263:442883:444211:1 gene:GSPATT00012846001 transcript:CAK77338 MRKEDQIHLSSLQIWNGMDTPVHQSSNIFSEKFKQSSEFHYNCNQPFCLQHPEKKAKYVL LNAEGPNEKLCTKCAVVFAQQGHKIQLIEEDNAKKKQTDSFIDQLLQTKSEIDNIHSNFL CTEANLKKFYNDQYTQVVKTFGNIEKQLHLCKSELLFALSQQLWSGIQQTNQLKVQAKQI DHQIATYINDIKNHYEKIVQMSPLPFNQIMGGYSSKLFKLQQCLKEIQENKMTYLHYEGK LVGFDQFLKDLSFLNEKDQPYNMRNHESTRSSSEQKTCKSVEKEKRHLSQPTSKEVKCFE NSDKKMTQNFKITEQSIEEWMENSNSKQRKTEPTEKLASPNILAIKFVKKQMPPNRASMK QETNQAMLNKLISEFSQIKKDRVKKMQSKTPELGKTVQQTIPNKFSPKFLYKNYYK >CAK77339 pep:novel supercontig:GCA_000165425.1:CT868263:444244:447239:-1 gene:GSPATT00012847001 transcript:CAK77339 MIQSNNQPNQALGSQNIPVKVKRAAAKLQRWFKGKQDVKAYQKLKKNHRYRKNVIAEIYN TEKQYCNDIKILSEKVLVLAQQYINQENIKTIFMNCNQISQWNQEFLRALEFSYQGYIGN AKDIYKPYWVVFEGNTKQLMNVSSKGFRCYFDYCSQFSKSNQLVDKLKQSDQKFKQFLTL ISPELRGMDLSSFLVKPVQRLPKYILLFKDLEKHTEDDHPDMKNIKFLHKYFRDINEENN KSIDIYIGRLQISELCDQFKLNKMDLLSDPLRIYKFEEKLNIIQANKELIVQVHALTDLL IVIHENKLFNQVKLDSLSFVKDQPDTKYFNNLFQIVGVGEVLQCFAESLSIKKKAIEQFE KLIQFNREQECQRELKSGKLTFMRYPVQVVIVGTEERNFQSFQKHTQYITEISINKVYQN IFVRYSEVEAMYQKYSKKYPMIEFPKFPNQSWLTYQKTKSIEERKFVIEGFLQTLLQSKE AQGDTQILNDLKLPFNFFELPQIVIEQQKSIFSKYNTTLDGLDELKIQGVLVDRLKMSAG EILKAVCIEKESRKKSIAQVQPNRVVIWQQKYSTEPNMKRVIITMPDGTITEIGVDDKAR VSEVLPFVAGYNQLIYYKDFRLYLVDQLKKQRILDDDEIISKAYDDEYQNNHRWLNKLEQ LITASNTESVVIKMRKYLYMPQELEEFDYREDPTRLIYLGFSILEDVYDGKYYLGFKDYC LFAALYYHIKYQDKIEVSLNNLQLDKITKVIPQDVYSGKSDSEWKEFTKECIVSLNEQLD KISQLNRVERLQKKDNSQFKFTDKRCLAALIIINASQTFTQGSMAIFQVQTYEQTQTYFK QTGMEVHATMYLGFSAKKIYFLNPQKKIKFRELDYSKFNWVISYPSQVIFNFENFKEPLR FDTFQSYEIKLLVEQYKDIHKFNKEYNLENLFHNDKSQFIKN >CAK77340 pep:novel supercontig:GCA_000165425.1:CT868263:447486:449386:1 gene:GSPATT00012848001 transcript:CAK77340 MEEQLLQPVTYGSVIYLSTESNLNSFMFSDGFMDKSVKLKSFESICQVDYYDFSFSLFMV LPFSSIQSFEHQANVIQEWRKKLIDNNENKLEKRREAIQEMWNKLESEYQFNLGVIQKSY NASICFASSNFMLLHINSLKFLTLYQDDNNNLFLQLTENPDECCFFNFDPSLKLQRGRSD NFVYEDEIVYIACAKKYSGKSPYLSIIQNDTIIGLIDSKENWKVNIYEQPFKESTYMRVG SCVWILSSEMPLFFTCQRPDDPYYQMYMTSKNPNFAKEKKGILYEWQQNDKKDLKEQFLN QPRELLLDGLQILLTLIKTPKIGQSDELSPFGLWKIESNNVKLGGELKWDQTYRLKNIIT GCYLSVQGSPTNARLMPYNRANTSSKNADFSCTEFRFVPIDNLIQYKGKTKSIQKISKDA FFMIQHAKTGLWVSLNQNEGIQQPNLLDYVKDINTYRFREASLQQVWETYCITASQKLLI KFAEYPKSDIERQQFDFLFERVKEMIQFLSEFLTNSQVANMSLDQEPLQVCRGRQDRFKE QYSLGLLCWLLIEIFPTLDEFELYQINPDDGSKWTLKRRKGHSKICIIIQYFNRKHIHQT GNRNHQEKI >CAK77341 pep:novel supercontig:GCA_000165425.1:CT868263:449619:455826:1 gene:GSPATT00012849001 transcript:CAK77341 MAHRLKQFEPYYKVEILELLSAFTSQEHQSIYINQEKIFQAIVENKNFLFGHLMRIDYLD NNLLVEYRVFVEREYESKQLQIQEFFLKPQEQQQRQIFDNKFIDFRIQQYFLEQLRLYGK LCQNRNYACVKNLQELLPRKALLYYLGTSIDDEIKAILCQLILNLYIDQEPRSIINKPSF VRVLNTSNYSGKDDITDRFIEINLTNDIQGTYQIRKWLLEYLRAKIEYLDDPNVNEDKTT SIYNELTYEVIRCLSLMVKFGLFSKHANQLLQTTLKLNNQNIDLNNLFNDLKNLVCYLAK LLEYDHNYFQELKVNQIKRSYMEEKEDLKYMINLNIFQKAKVEEEEEEQGTLHKEQDLSQ SFPFLKRYTSLFKLIKYFTTKTCKFQKQSKFFILIKKEICGIFQTLLEWREDIQIGKAIN WFQKKQSDYSNIEDEEIANEIMPSFSIEPGKYNKDDEEDNIHQEEEIQDFDHILERPFVE VLILAFYFAQDPDLQNSVVNLLYKRFTQRKKLLLNLKKVSLVQPDNNSYKLLSTLVNDIK NQISYSQSWLQIIESNVYDEKAEEALNQTVEKFNSILKEFEQKCDAEQFNIKQKIFKHLG GHKLILKFLESGIRVIYSKIKYFHISAEEEKELANFAITPGLNRIKNLPPLSLEQKKPEP AAIENSDQYSYRKQFIQYVIKVFTTSHQILSKFAEGNKSNQNSIYKIYVTLLDKPVRINI GQVAFLKSLYTNNVDLCLKPQDQCFDYVQHLINDHGHQYEFLEVMLVFLKASKETDSQKI QVAIMSKILSNYNYFDPTKQIIPKRDLPLFYEDKRQEERQLMFRKKFIQLVSGCIESEIS VNFIVQLQENLSVRQLLQMLLYDTKSPTGSLEMKEQIFSILKIYFEKIDKALHEFQSCCA EFTKLLQTVSQKMQQPRISKEDQSFIALQIVKLVNVYFMNFLKDIGMISLKMLAQDQSIQ KEKFIFQFAQQLVTALPKFDKLPAYKAGFMELSNNFSLHLSDDYFSQFENNHQEIQINNN QPTQLIQQQDSEQFDKSSSYESWNAFVKKILKSSSIKKAVLSERMKFKEVILNVQDIFEK PKETMDIRLKDLIISRDDIIVKLLNYIEHWKVRNASRHTVIFIIKSIRALLQIKNEKQLI KMQIHLDSLKATQIALRLYWSDKVTEDLYIYQLIKWIISLLEGGNKVIQMSILNLMKMNS DSEAFFLKIYTILTEYMTSMRKMRKKDDQKKIRKRKFIAKTLQVIQLLCEGHNNDLQNYL RQQANSKVSYDIVSLMVKLVISNRISDATYESLVQCLDTLTEVVQGPCKENQVVIVTSKF VSWSVDLLKEDPKLFEYNKIDIQQVKLKRLKFKCTNTLLSLMELQEENDEIMNLLIRSIP ITILVNELAKFYLLYTSFYKKEYVVECFKTYLDEGDDYELKKKNECIIEYGFNLFIIINI LMLKNKKSQEADLEEIRKLIDEYQFVNKKSNQGLEGLLDLKINIDLNIKINLPSFGQVED EESNELKKAQEMKKAQKDAYKFFSENTVFIEIARDDQLHRIYFPLLPQCKMLSKESRKDF CEQVDHSSIRDKLVYLMTSADQMRKVMEHEELLRNLFKRLKVVELIATHKLLWEQLAFVT NVIINLVILCSYGNYAYTDELPTPFPVSFNGIKFSLEWVLQNQLYYDSTQPDSDLLWDAR LNQPRLFYVSDFTWTNTLIVILGYLNLGFSLLVITFFAIKKAPLLITDMWVEFLGKPMGC IERTIMSFVMIVRSFINCLVDFDFAYFCGYMACIVVGLVIHPFAFVLLLADFLRISTLKI VIKAIWISKIQMGLSFLVFLLVEYYFAVIGYLFFWDQYQNQSCQIMWRCFLQTFDQTFKN GGAIGDYLADTQLQDPTGSQLPINYSLTPYQQDRFIYRFVFDVLFKFILVFLIINMVAGI IIDTFGALKDEMLEKQSNLEDYCFICGIQSEKLDKSTQYGHYSHIKKNHHMWNYVYYKVY LFFKPKNDLSGNETYVKRLMINNEIDWFPVKKAIGFTDEDEEDEEQNEDNLQIIEETYQE VQELEQLALSTNQKLKIFI >CAK77342 pep:novel supercontig:GCA_000165425.1:CT868263:455942:457295:1 gene:GSPATT00012850001 transcript:CAK77342 MTDIEDFSDNRVSSYQRLQPERQKNTLPRWRMWLHQMDIFSVIPTPKTYPVSTRRSIIGT CLFIFVFATYVISSFVFFVIDNVPRLNEFSQLDDSVSLTVGPEIAMQFLYGKDVLNESIT NTSIFSITMQQVIKYLDPAKQDNSSTQIPLKICYSNDIDWMPQNASKQAFLCPQVQLNLS GQLYRSEEFIYPRIQIDYCPYKHPEKKLQCETEQQIRKVVAGGRLFLYIKNQAPEVNFVG KKTEGLKYQVFQYFLVPGLYNRAEIVLQKQLVSRTGDYLTQFSTKHDDYLLVNRQYLYVT NVTQNDDGRADTDSLSIYKGYQIFLRLDQEVRIIQVVKDTIIDVIAQWGAFYGVLVGAFA LYFLKYNQNQFYKKNKQWANFDQNEALFESKQLNETEGKSPID >CAK77343 pep:novel supercontig:GCA_000165425.1:CT868263:457318:458020:-1 gene:GSPATT00012851001 transcript:CAK77343 MQNQEPQINPQLAPVIAKQLQMIIKQPIDGVHVIFNEQDVFDIQADIDGPVDTPFQGGVF RCKLILPPQFPQMAPKGLFNTKIFHPNVSEKGEICVNTLKKDWNPLQWSLKNIFEVIKCL LIVPFPESSLNEEAGKLFMENYDEYFKRAKLLTNIYALKQEANILKGNNQIVIDEADKKN KVTQTQQKKENDQKKWLKRI >CAK77344 pep:novel supercontig:GCA_000165425.1:CT868263:458235:459698:-1 gene:GSPATT00012852001 transcript:CAK77344 MDYTIKDSFFDDSEEKSFWTQTQFPAKVIQTELQDNMLIHEERELVKRKVGIMSHYLLLF KEQEVRKWMNLINVTMELIKSPVAGQGIRFLKNKQSFEMYGDVEPWYNYLKKYCIQRGFS QRYSLLKKIGQGNFAEVFKAVCKTDGHEYAIKCFRKSDLKEEVDKLSIIKETSIMRKLQN ESVIKMYEVFEGEEYLYLVLEYLKGGELHKYMKKSPPFSEEKCSKLIYKLLKTCQFIHEQ GILHRDIKPENIMLRKKDDIEDICICDFGLADHYNPSGKYLFTRCGTPGYVAPELLQDKI YDYKVDVYSIGILMFILIAGKSPFEGKDYDDVVMRNYYAKVKFEDCKLSEHGMSLLLGLM NKNPVKRLSASDALNHQWFVQEKLAKTCQFKIRRQSNVKRLPHLDGSPIPTSNFLSPKNS FNNLSPHSLTRTDDNTPNSPITPVTPLQHKGKAIRKSIFNLQQL >CAK77345 pep:novel supercontig:GCA_000165425.1:CT868263:459730:461514:1 gene:GSPATT00012853001 transcript:CAK77345 MKFAQVTANSFQSSKIVKFEHYSYSVNDQIGSGFSSQVFKGRNENTNETVAIKIIDRSKI TNEVEEFLLNQEIKALSLMNSENVIKMYDYYHKPQCTYIITEYCNQGNVGELIKRKQRID EIEAIKIMKHIVNGFKEQVAKGVIHRDLKPINILIRNGIPKIADYGFSKMMNAPPETIYY NVGTALYMSPQTMIKNIYSEKTDIWSLGVIFYEMLYGQVPFSAQSEKDLAQVILKTQPQF PPNIPVSKETIEFILKCLSIDESKRFSSSDLEHHPIFYRRHTMTAPRPIADRNPSVKNRE HYSPQRTTEYNHNRINFIAQTAMPQSALKSRESVRHLTQNDEVIQAQFQFIELMFRILRI LDKQVVLNQELQIKLKFLIIKNMFFKTILLREVVEKKKNVLQLYEFDIYIETVGKYQTQV HELYQIAKEYHDKQYQMIESNHTLKQSVLRDRSFQKIYENYKSVTESYEFYLLFSTLLQR CIRDLYNKCNSRLAGANDSQQLQLQDETMVYVLEQLTFYYSLLRLIIENNNNIQIFTKKA QISRILQASPEQITKSNLMSIRQSIQEMKI >CAK77346 pep:novel supercontig:GCA_000165425.1:CT868263:461557:462488:1 gene:GSPATT00012854001 transcript:CAK77346 MISGALRRSFIGKAHFGLVSEYNSRVNQKLYKGVQVSEAPQFFTTSARPGNFGDHIDFKV QMDNWFDENRVHNEHETEIKRTQIYALNAVYYGGLLSFARLFAVGIIGRLDGWKRYDRDT YLEMDIGDLPPGEVMQIVWNGTPVFIRRLTQQEIKDEDNLPKETILDPSSEVVLTNCGNT KVLVVSALCTHLGCIPIPYLGAYNGWVCICHGSVYDKYARVRQGPALQEFAIHQQLHL >CAK77347 pep:novel supercontig:GCA_000165425.1:CT868263:462488:463950:-1 gene:GSPATT00012855001 transcript:CAK77347 MMMIYLGGKYQIYISDKDQFKYFVMAEEKDTKTVPLDENDINLLKKYGMGPYAEKIKQLE EENKASVATINKMVGIKESDTGLSIPSTWDLVADQKLASEHPLTVARCTKIFKSSLQQKD LYMITIKHIAKYVVGLGEKVAPTDIEEGMRVGVERQKYAIQLPLPPKIDPSVTMMTVEDK PDVTYNDIGGCKEQLKKLREVVEMPLLNPEKFITLGIDPPKGVLMYGPPGTGKTLTARAV ANRTEACFIRVIGSELVQKYVGEGARMVRELFQMARTKKACIIFFDEIDAIGGARHDDGN DNDVQRTMLEIVNQLDGFDSRGNIKVLMATNRPDTLDPALLRPGRLDRKVEFALPDLEGR AGIFKIHARTMSMEKNIRYELLARLCPNTTGADIRSVCTEAGMFAIRARRKAISEKDLLE AIEKVIKGYQKFSATQKYMVYN >CAK77348 pep:novel supercontig:GCA_000165425.1:CT868263:464047:466352:1 gene:GSPATT00012856001 transcript:CAK77348 MNLLNEINSLIKTSQYLKALKLLIRYEYQDSAEICIKIVRRYSYVCNKLLFKYLLKYDKK SHKVKPILYRAFDALYLWSQYLQKEETIHKVFTPKRLSCMSPQASQKIINQRTKVNRKWL FQQKRLFLQSLQDTLKYHYYYLKLRKENTFALLILKKFIVVSYFSDCNFQLHQKSINNRQ EMLMIIGSAYFEINSMQQTIDHLYLSIILGLEKTVIPLFYLKEQLRISQSDKQFQKQIMK STSIIVASISLLAKAHELKGEIQEMQTCISFSKFVCTILIFFEKCFELQKVIESIDNNKL DTYSLYLQENGELIRFAKFLTDYQIQKSSTSFTPRTLQEELLEKRLKIAEQPQVIVSNHH QIEIEETNHFHKKTNSELTDTTLASGRPSKCGTEIQFFNDSLGLTSISIQQPSEFQKYRK FTKVHKYKKSGNNFLAKLLQLSEHNKHEEYQLQAKQIKKESSFRTLEQEINNIIDRRLPR NHVPFEQSQLLCTKLIKQESEQRKEFPFAYDMLKFKQEFGSGLCILEENIQEFNKHFEFN VLMYFDYLTQSKESAMSNLKYNQNFSKLRLAEQKLIQSRNLVLKKQVESIQQEKEEEKKK DTENETQANLLFETVQKRKTMLFNILGANDTPIKTPQTNESKVQKYEKNVFKFPSTNTTP KHNSLTEQIRQFSAQREKQISQQFFNKAQTTIKAQLLESEFLIEQKQNLKNYSEPPELLL TKLGNNDELANSVKHLKASGFQKILLKKRKTTKLASTKL >CAK77349 pep:novel supercontig:GCA_000165425.1:CT868263:466494:469411:-1 gene:GSPATT00012857001 transcript:CAK77349 MSAYEEPLSSPNMASSIVYMNDEFLNNFKMKQSQLTMLDKIKLSRDVSELSILSQDSDDF EAKQGFKNKVWKDRALNIIVLVARFVTYLLTNSDKFKLRYLDHRQFKVIGDQASDFNFYV TQKLIRGKSKKGSLVIYLIILRNQQRQKLNIFSSIIKPIKPDNSYKLYWDVLVFFILLIN IIYIPLKISFEISVVDGANLLLDTLPQYVFVFEILLNFNVAYYSRGVLVLNRGQIFKHYL KGKFALDFIVLIPFMIGRSNVPYIEFVLLFRVSRVMYIFENIVETMNLRVNFASAVDIIS LMSTFLFASHIIACIWHFIAIQEAAFEENTWIQRANLDEDNWKARYITSFYWACITTLTI GYGDIIPVTQYEKIFVIFVTLLSSIIFGYTISSIGSIFAQMSENKNYLRDRMTVIDSFLK KRGLNKDLQVKVKKFFEYFLKQERDQESECEKLMYHLSGSLNKEVKIDFYKNLLCASKLI RQNFSAQFIEKLCILVKEQSFVPEEIISVEGQKVDKIYFILKGEVEAYISNNKTIKIYSR NQAIDEKSFVSQHPALFSTRAIKFSKLAYITYDDLQDLLKYNQEDREHFYQVKHQIEFGG RVKMGGCELCHQNHDFIKCPFVFYTPNSLRLFKKNDHDEKQHRMYQMRSREERLHNNSLG QLRNLQSTAFNYCAYQGLLNEIGPNSEFITSNKFNFQQDSAYEDMEPSLTNSDNESEYKP IKSQNQLQIRRDSRRKVSDNNNKDNQSMKSKKKQTRISIQKVGQQNRNSGQIEREQGQQE RKYKSSAKRATLILNQMKLEQSERADEDPLQTQFQQTIALTLQTQQQGQQTPQAYHSAQL VRTFDVDQVQLIEMDIAKIMASKECDVDTQKQMEFYDTRFNLDIVVGKLKKVNKKLPLKL KRKRLRKSFARSQSIKHISQTKS >CAK77350 pep:novel supercontig:GCA_000165425.1:CT868263:469551:470608:1 gene:GSPATT00012858001 transcript:CAK77350 MINTTTKREESFLTTKVSDRVITVENEQRSTYNFVRRNYLTTTFATLAGRQSNGVYNVAF NTPCCQPCPQPCPQPQAMPMPIYIPMPYPQQCEKECHCEEEEQYKEEVLILRQRVAELLN RQPQVKVEKETVKVENTTRIADLSMEIERLKINLRNEQDRLRQKEGEYLELRSDNSSQSL KDRLAILESQLYNSKLDLEKLQGLLQAKLQELDEWEQRYHHMESTVTVESTETVTLTNEV EVWKSRFKKLNNDFFETQEKLIMAQAELEALKKGGVTEVKSVTVQQNVTSSSVNRVIEQS SRGSRIVDPNLVGKLYP >CAK77351 pep:novel supercontig:GCA_000165425.1:CT868263:471271:472763:-1 gene:GSPATT00012859001 transcript:CAK77351 MKKTITLQSDYSKSSFFNDGKELFCNTPQFQTEFTLFGTFLMKSNNRQYTVTIFLHEFIM RIIEEKGERHINLENVCVKKALHPKTQQLGLRISKNGQFVEFFGEIIEMWKQIKKFVIQT DFSLKYKLGQKLSERFSSSVFKAFKIINGQKVAVKIIDKSLVQRNNASERHQLINELTIL RQINHNNLLKLHETFESDDKIFIVSDLLEGGHLKNSIFRFRYSEQETVILMQTLFKSLNY MHERNIFHQDIRFDNILLRDQQDLTSACIINFGKSEIIQQNMVSSKNLNFYDQTSLIQFI RKKDILSLGIIIYSIFSKKLYQETQILDELLMKNYESMSDLEFLDLNPLLLQFFEIFFTL KGKTQMDSLKCSEILNFDVFKLATARRASKIQGIPQQFNHDFKRTSRFAPKCSIKEQQII SNFQYILKLPTISDSSDSKISQNHSQSPQTENFISSRLLSDEKASSIKPRYSVLKKCLSP NI >CAK77352 pep:novel supercontig:GCA_000165425.1:CT868263:473302:473784:-1 gene:GSPATT00012860001 transcript:CAK77352 MGQSANCCKQQTDRLSESYSEQNNTDYFGPQYATYYLINTKFISHFEINKGITEEQPKGQ QAASSTNHTDQEFVQGSLQKNEKAQTNSNNIFGSLLDFDSNNMNSSYENSINVSRSILKK NVKNTSKQTKTVKFKNVDSSIPFSITQQRQLGELLKRKQK >CAK77353 pep:novel supercontig:GCA_000165425.1:CT868263:473991:477219:1 gene:GSPATT00012861001 transcript:CAK77353 MASFRPLPIHPPRIQLQPGAPQFATVIIETPPRKQPEPIQIEFDEGLITRMQEEIDVWRN KFFQLELQFQINAGELKKIDYLEGRIKVLQDAVKQLNIENRSQINQNEILRVKCDELELE NQDLKMARLENKRLRELLDGAHDELSQAKQTIANYEDQLADLAIKDQVIKEQKDKINQLL VEIEFWKKRYQQLDTDRIKGIEEFKKSSETLRNSQFNELRRSGSMQAQGYQNELKNLRVQ LERLQQENNELKDNIHQLESSKNGQNSQFKEVNTKLESSTKEIKRLNDILLQRGQQNKQL ELRIKELERQVSEKNILKEEIDKLKQQLNDKNKQLQEQHNQITQLNNRIAELERLLQESK QYKEKIQQLQTEIAQLKAIIQGKDEEIAILKQKIENLTDQLKEIDKIIQEKYALENKVAM LATEIERKAAQLKNKEKTIDELRENLDQNNHTLAEVEQLQQDIDGLHLELKGKDDLIKEL DQKYHEALKYQEQVSQLETQVFDLQGKVAMLSSEIERQRIKLDKYKKDYDGQQVKINDLN DMKFDFDSMQDALQRYKAQEDQQQQEYDSWRDILKKADLESSELQKTQETLSSEKQIAQD QYEKLTEQIKELNKLLQEERAKIEGLHKEIEKYQDLENKVYEMQNKTAMLSAEIERRSVK EKTKQQQFDELSQLSKQQQEDLEKMAQIEQENETLNESIKKTQDEIAQMQKLQDETQEKL EKVLSERGNLENKVAMLSTEIERQSYRLKNKTEECSQLNEKNQELQGEILKLQDLPAEVE ELSQQVEELRHSLNEADLKQVKLTQDLDAVAHEKAQIEAEIQKHQDEIKLQQQLTEEAKK QLANFTEKFKSVEEENSSLRALESKLSEYQMKTALLASQIEAQNKKYQGKLDEMSALQQN FDDLKAHQLDVEDIQGELERTLTILNEKDKEHGLYDKKIQELEAQIKQLEDVKYQLESKM AMVSSEVERVKYKYEKLQKEYEENHQRLLEAEEELIQNSKEVQALEDELHHTQQELAQSR KQG >CAK77354 pep:novel supercontig:GCA_000165425.1:CT868263:477231:478796:-1 gene:GSPATT00012862001 transcript:CAK77354 MNFLKRFDQFGVSYQQEIFKNEKQFKSVFGGITSLLLYTLSMVYLFYKLFLWLNGDILPR VSIQKTTQNYADVSFEYNPIIFQVVGMSGDKFIDPFSKTENIITPYFSYVDDIVISKKQL MLDSGVPPTRSGISTSITPQDITLVLNQQGLSQDTPKSQRQLMILFEQCDSSQYDNCANQ EKQEEFFKQPLNTFFLTIQMQQYNTKLKDFEMISKQIYFILDRETALYTQLLFKITTSIT DTGFFLESIKNQKLISDFQTTSQQLPQSNFQQSFGNKYLGVFLIGIDSLSETQQIIYPKL GEILADVGSIMSTLMMLKLIVIQVNSKILEDTLLKTIITYYYPELQQIQFQSNLFGQITS ASKNGKQILDTASFIRFYEQLKDFTKEKLTLLNQIYEVSRIQFILQSIQSKQAFRQSHFV GIRLGNNNILNQSYQIDFNEGSINAPKVFLKSSEKSKDEKNEITVPQNNKNQPETIEPLK QVEMLSDEDFTILSHMHHLKKQIEDQDKSIPIFYQINTIFN >CAK77355 pep:novel supercontig:GCA_000165425.1:CT868263:479087:481590:1 gene:GSPATT00012863001 transcript:CAK77355 MDEKEFQNRTCQQHSHQIIAVDLKLANTQNEKYLCGKCLILRIEGQQILLLTELIEMIKQ MKNDQKKQFMENNQTQLSYMKQLQQSLKECNQHVKEKIEQTQNNIEIQIQDSNKDIKTKE EDQEEVDLDKDIESVSYYYNSNKEYELPQREQNINAISQLIENVQNQIGSISNAFQYQKI LESLQNIKTQFQIEMPSDKDIKICEQHGLEIIMVNLEQGQQEHKRIACSKCIQEFSQKYT TLDDADENWTNFKINSKQMISKYSKNRESKFNQAVTFITNLRDKYQGTLTAIINDLETNR VITEKQLSPFPLYQKNIYQLDQNQLQEILEALSQKDLYRPLKLKLAQQDQLDSIFYHKLK ETLENLVKYDLLTKEQIIMIEKNYEDFLILNEDEKQNVSNCNEIKQFLENTSLLQSYMAI VEESIQLYNNLQNQVDSLKEKGELTKLLNQKQPRKSIRKGEQTDQIKQSDLESLFQRQYS QFNQISKKYKELLILYKDENLISQLQGSLKKEINVQNQLKEKIQQLENQIQNLKQDFEKQ KQQLEQSLAQNNESEKQLQAKLEQETQKLEHQKKKSEEQDQKFIQQIELLNKTITDVNAQ IKNSLQSKDQQISSIQSQLQNSQNSLNKLQQTYNEVIKETKAKPSVLQDAHYQKILQTIE EKSKQVIKCSIPVYCGSKDGLNHTSFWNKVYGRKNLLMVFKSNTNYVCGAFSPCQWLQHI GNYVLDDTLQSFLFSQNHNQIYPLKAANKAYAIYCNSSYGPTFGSAHDLYIPSNFNSATS NLGSAYQCDQYGSITTSTHLFGQSSVQITECEIFEIVFK >CAK77356 pep:novel supercontig:GCA_000165425.1:CT868263:481988:483572:1 gene:GSPATT00012864001 transcript:CAK77356 MQSQHAQSISTGYLLQKNYINKLYTLSDSVCQQRKIVIPKQISLDKEQLYEELIREKQHH KALQNEINKLKARLQCYEKDLVIQGEVDYKRELKQALIRLKNKEEEVIELTQSTNYKKFI ELERTIQSLKYELEKYYVYEKFQGSTESIINDNIELFQKLQQSAQQIKELEKIKIEYFSI ARKHQQLQLVLQAKDQQLQKFRERDHLSEMNVKHSNKNEFQLREELITKDEEISHLKTQL AIARKTNAEDKALIDQTQQELQQKINQHEYERQNYKERIEHLQKEIQQLSEKARNFDRYL TVNQKRKTTYVDDQQSNSQYTQIQDSDQMVKSIQKSQVEDIATELKLTLRKNNISLQDAE KLLFKGNEISIQELEQLLGREPFKVLSSNMLARYMIEDIQDKEFEYNPELKSPVPHVRSV FRTLLQHYSVNFDDQTLQTVSKACLQIFEFCTRKNISKLYQQELLDCISQLDLQWNSKCF DCFQQLYFNKFKGFLQFDIQNIKKLFEEFEIQ >CAK77357 pep:novel supercontig:GCA_000165425.1:CT868263:484234:484688:-1 gene:GSPATT00012865001 transcript:CAK77357 MHCFNYFHQNLYFQQQYIQQQMLMYNQMLNAQAFQNSLYQSANNQLPNQAEFLYSQLSKN EDTPLIQAKASIPVKKKIIRAPKKQLEQVNDQNKPQKKKVFLSMLDIKEVQYKKCKCSNE EVLQKNTTSSNEVNE >CAK77358 pep:novel supercontig:GCA_000165425.1:CT868263:484943:486115:-1 gene:GSPATT00012866001 transcript:CAK77358 MFSYSSPNLPESLHNSHFLLLTLTAPPSNLNPEYFSSLMNQYGPVLQVKILNRSLMECKV LVEMANTDAAKNAKKALDQLSSNFIKCSYYQEDKLHGSFTVGSFETIEDTTSSTFKNRHS TSLNEIINDADSKPQSATVLPISQKLIPSSKNIQSTKTVCLNGIQGKDLDALKIYNIFSN FGNIDKIILINLKNFALVKYLKEDQAYFVYQHCQNIQFFESNLQITFAADDSIEKLVGLD TLYREQDYYIGSEDTDRFNANNKMILLPPSQVLHISNLKKVSSNAETMWDIFSEYGVVEA VKVLNSQFKFMCLVKMESLKQALEIMALMHNEEVDGRNIQISFTKAKI >CAK77359 pep:novel supercontig:GCA_000165425.1:CT868263:486470:488745:-1 gene:GSPATT00012867001 transcript:CAK77359 MTATLKNGQLEFYTSDQYDEHMIPPNYTIAQQHQLACKVGIPNPYKEGETVNICPCCKQQ IHKTQIDLQRDEMAFSFLGSGYPLYFNFIKCCLMIVGVLFLTSGQYQLVSNLTSNDCEDL VVQVQHQYKEVQKQDSWKEQVHNYCLNDWIARSSLANKRFQTILTQLPHYFNFSSVIFIL VIIFFFRKSQLIIDNDCDIKENTPSDYTLIVKDIPKDCKQQQLKEFFEKKFEVEVMEIDY IFYANTLKDMELELEEIVKLQKIALEKNDNDQLLNLNEQKKQKQNQITAEALRIQSDFDL FSGTAFLSLKYEDHKQIILKSSNYRTKQVISNIFFGCIIQEAKSLELNDTFIKVDQAPEP GDVIWDNIVHNDNRRFWVRLLGFTFSQIVIALFLITLLELAKIQAKDASNVPATLVDVET DQGVNMSSMIAALLTTFINSFVVGRLSNFLVGFESYETYSLYTVSLASKLSLMLFVNSAI IAFLASTIYTRNLFGPGGLIYTETYFFMTNAIIPPIVTLVDPNRIVKSLKLWWIKKFNKA VTQKELNSLYEHSEHMIELKYADIMKTLFITFFYTPLTPAGYITSFVGLALYYGAEKYVI CNRMTVKHTPSVQLSILMTEMMEYLPIIYAAGYLIFNYQITGSTSYWAIASILISFLSSV LPMQYFVESCFKQEEQDETTSYNEAKVNFSTTYSLQNPVNKYNKEEQVIKSQIKSKSQSQ L >CAK77360 pep:novel supercontig:GCA_000165425.1:CT868263:489281:490599:1 gene:GSPATT00012868001 transcript:CAK77360 MKKLKIADHVIFYSKSKSINCSNCQLERVKNSLVIQSGLKISKQGKYLEIFGEIDQLYDH LKKYCVQINFLQIYKLCSVNVEKEKKKIYKIRQRVTNDEKIAKVYELDGGDIQQINKEIQ ILRLLKHKNIVQMEEVYQEDNRLILVFDNLEGGDLAKIMLLKQFCEDTIRIIIKQILQGI VYLHDLGIFHRDLKPENILFKNQEQIEQLQIIDFALADFYCGENKYIFTRCGTPGYVAPE ILQDKRYTLNVDVFSVGVILFMLLTQKNPFIKEQFNYEQIITANYDCQIDYSEVKCSTEC LDLLKKCLKVDQHKRISARDALSHPFILGTFSTEQIQFRIKTQSQLQKQKSNGSLRKIIH IGSLDSPRFSQKQSIKSLHTESPIDTTSDSPGTPKSARTENRFSNFKFSKQQQWNSFRVS KFKK >CAK77361 pep:novel supercontig:GCA_000165425.1:CT868263:491162:492583:-1 gene:GSPATT00012869001 transcript:CAK77361 MNKIIDSIEKLDIFGVPVALLTNENEPKFKSKLGGFISLIVGSTSLAYFFYIMILWINNQ VPPNVSAKQQTTGYSEFAWSEPLITFSLYDFSSDIDPFRKEKNFITPLLFTILDSRIEDQ PMLLYSTEDHPRQFQVEEGSLILNNVYGKDEIHKEMKQYLLTFVMCSDELNIKGITCADA NEIQQYIQNDHGFLFITIKLHQLNYVTRELESFEKQFYSAFDPRRTIYSQVMLKQQETII DDGILFDKLRHYFFLNNYEITNQSVDIDFMTSQIYFIAINSYLFRIDNISIVENVIMPKL GQILAQIGSIVQLIFILQYFAVYYNKQLFENQQTHDIITMYYPEFKELQLDRFNQIKFNH KEKTKSPKQSVSSAYKMLQKGAKQKCRLNNILYEISRLQFVFQQQLGNQAFQFCHTFGGL ISENLFETINMKESNQMMVYPFDSFEQTQNKVVHIEPLELLIKQN >CAK77362 pep:novel supercontig:GCA_000165425.1:CT868263:492695:493933:-1 gene:GSPATT00012870001 transcript:CAK77362 MFEFNQLENVQLRKQSELHQTYYLNCGLVVMLINFNKDYYYDVIELELKDTRKLIKIDYI PFFAFLCGESSLALAHYDQSDAFMIESFDFLKMNKVQTKFKLPQTYQSYTTFYFRITKLT MLLIQYEFGSIICYFLNSNLEIQSQFNLIDKKQNQLLKSIYQLNQHNYFIALYLENDLEQ TLCEINSQGVQQNLQSKFLNDRNSLNGCAQSNKQILLAFTPKTDLNSFIEFINEQNESIR IFEINYFNYIISIEQILFVNDLYICCKISSQNEEELEQLCLFDINTFGLVSRKIYQDYML DIQCNEQIIIETQMNIFDRINCLQKIPQTFSKQEQDLLIQNEINDFNDFDDFSGITIFYN LTPIYQAIKIMKFLEFEDQIVEQVAQKLKKSTSYYLKSNKLDFN >CAK77363 pep:novel supercontig:GCA_000165425.1:CT868263:493961:494929:1 gene:GSPATT00012871001 transcript:CAK77363 MSDDPRFKIVEGIDGDYVIIGFPHHIGAKRDNVRIGQDHGPDSLRRFLPRIGPLRNAEYM KDISNFIVSDYGNIHVDDDQNLEKLLEKLNQKVKIVHNKNHIPIVIGGSKDCVFGVLSDD LHVISINHIPDIQVPYDGNKPSINSGLRASTSKISITYFGYDNSKLNQEQENYINEKCNG ISLQKIRQAQKAFHQDLEQQVTQAGILFKQILTNLQGKRIHISISLEAIDSAFCPGVSRP CVQGLQVEEIFEIVYLAGKFCVGLDITDYNPTIEDYRTGFLLGNLIYYFILSKGKDIK >CAK77364 pep:novel supercontig:GCA_000165425.1:CT868263:494950:496694:1 gene:GSPATT00012872001 transcript:CAK77364 MIQKHPQVITVLLISENEEKVQNFMKRINSNKLENQERTINLYYQPIDLNNDHKCLQQLS MFEYAILFLKDLEFHQFTMDNYNFRMLKLLQFYKYKQIFIIYDENDSNSSIAQLQNLYSW IDQEVNSIFYDERFNDQQEENQLGFSIPKIKILSEPTQNDFMEFFNDEADIKDSDLILAI INETEVNKGIAQVIDGFILNGQTVLYQEQVALQKVQIKNFVDYFSKQEKNFAQKGDIIEF QFDLKKKINGLLIGLRQDKYLLQPKEIKGDFICPQIVGQGGIQKIQKSDSKAFFYGIECQ IEKKKIFGQLENGTYKDFKNITSKPFGMSFRISKQLYLTPNILQKNIILSIPKNKNLTQQ QIFCGKIKTQDIFQVTKVQVQKKKVVLIPELTSIPSENMINNGVDYRQRCRVCRTERARY VPTNCGHLRYCNDCKDICMEAKQCLYCDKPSNNTQSFNIHLTKQQLQNLKSMTEQQIIEY FANHPDKEMLPFMKVYNEINDEQNIYFEVNCDNCDSRITQYSICINNHQTLLCDECQIKE CQACKDQLQNKQKIIFTFPDDPTA >CAK77365 pep:novel supercontig:GCA_000165425.1:CT868263:496885:498581:1 gene:GSPATT00012873001 transcript:CAK77365 MNIVLIAFSEEQQIQTADKYQFASTCYITSDIYQQQKELWKFDKAVVVLDQFPINLSKLN KDEHLTNSLDPNFSLFKTLKLLGYQQVLVSLEQIKLQKYKQAISQLEQTIISIFNDQERI IEEERNVFSLKNPTVKVVQNCEQNEIQKFFLNEINLTTNQIDQTECIVVRHEEAFHIVKV LQGALYVGQFLKSTTCQAWIQQVKISPGGEVFVKFEENKILQGILCSYQHCPEQINAFDV EIQLSELPILLPKDVFRKMGQLKMIFYNQEYLIQNSHNSPIQFQGIKDKINGKITENPTK VNFGICKLTLKIIPEGEKILLNINSKPIVYFLNNYNTVVFYGQITNILERKNRQIDLAQY LPLPKFERTKNMTLINNEDLRYLCRICKAKQVNRVLKECGHLRYCSECVDLCLESKECFY CNRSLSKCYAVSIIYLDQITFDQLSKKKNQISQINPSKIIQDENISTYLKLIYEQQKGGK INFQTIYCKICDDLLAQRLFSCSNEHVTLTCEECNPKDCKECKADMIQKVEILYKFQDDL Y >CAK77366 pep:novel supercontig:GCA_000165425.1:CT868263:498929:499591:-1 gene:GSPATT00012874001 transcript:CAK77366 MWNLLYQNPICFKKKTAQVYIEQIRINLNTLQPFKQRSHAYIFLLLGNQRIHCQNQPINI HIVFDKLQFPLVQDLDIVNQNLILMSKMKEIQKKKISFKTPTSYSIDHLRRKETTKIILE NQTLLKRLQSAHSQYPIKFLKKDNERIKQYRENLQKTKSNLQNYKGTYNDFNVLAQQEFQ RRLISSSQSQRKCNKLILKQVLQTSERNRQHTCQTVPSQL >CAK77367 pep:novel supercontig:GCA_000165425.1:CT868263:499813:501229:1 gene:GSPATT00012875001 transcript:CAK77367 MLIGNDQNFQCNQLLQKDSIFNDGKALWINQDYDSSKPIDQQRFKIELDSKNKIKQLTLY EKYIRYSQHVKSTQINQKIILFENSFLDLVHNQQNKLVGFRLVKYDGSLTIIGECKQWIK MLKKYVIQSDFSRNYKITKKIGNGTFADVYLVKHKHTNKEYAAKIFYKKKIILEDKELLM ITKEVQITRLFSNPNICQLYEVFECKVHLTLIMDLLAGSELVYSLKLGGLSEIQSILIIK PLFQALQLLHKQGIFHRDIKPQNIMLHQSGKLETACLIDFGLADFWNHKSEYLFSQCGTP GYVAPEILLGHSYDFKVDVYSLGLVLYLILTGKEPFQSKTIEGLIEENTYGHIALSHLNL SPNCLDFLQKVLAKSPNQRLTSQEALIHPFLLFGPGNHLDLIHNKMQSPEQYISKSQQFR KSVASLRLQTDTSNNQLNQRDIFKKNELERKDIKKQFSIRKVKL >CAK77368 pep:novel supercontig:GCA_000165425.1:CT868263:502191:506604:1 gene:GSPATT00012877001 transcript:CAK77368 MRSKYKQQKNDENEFINKNYRIQYLFQLIIQGDLLIFFVLPIMDKQQIEEKWLICADPDS CQIYTLNINTNEFKIECEVDQEYRQKAIQAIQQIEQMLKLKSGTWRMASKQIEQYRRRKI PSYLLHKQSSSIPTYLCQSTLSQAFVKVNSIKLQVKLNQYKELFINMPPEVQLLEKPNIV EIEAGLVTGADEVIKNVLREIYQRFKVKLKPKDEKNQFILQIAGFKEFLTGNHPMLSYDR VRVQLRGMKHLEVILTEVPKYTDQSSLFPPIVQRIKGADQYPPIEWKKFKDVPILLWYAP STLPKYEFEIINTRISFGIGATYQMKFDKPRGSSQENISNLDCSEKIKRQSVGDNPQKQS IQDQGNKKGVGYINDLVSMRNELFRQNILSIDQNILYSGECDWPFSVRICSIENLVQALE QDNKFEKPQLRATYNGLIPPQYITKKTSTKVEDDNHKTKNNKSENREQNQGRQVHLKLHK RTGRVLDPRNLDIRKQIPFGGQDFLDELETFQERYELDFLPFLISVQVCLYHGCKLLTPW CQAETKKQPFSHSPKFYQSITFPGIKISQLPQEARLCFNIIAHSAIGQQQIIGCTTMYIF SEEKRFRAHMNQLNVWPFYKIDPRLLCVGQYFGWVNSQQQAAITSDVITNSYGRLIIQLD QFNLPMKWSLRDEKQLEELGFSKSARSQRYYEMRNTLIPNSDYSTYQQMQSSTLASEQFG TLYQSNYSTTSNSFSSASNFNGGSHIFSKKQRGVVAGSSLFAATNIHQRQHSNPYEQYSQ VGFTSQEQGTILRFGEFNERNTHLAPSVMHMGQGSSFNIHEQSSSQFSSYKLSHQFSSQN ISQNQPVSESYNQIVTFKNWSTTPRTEDLAILQALLKYNPLNRPYFTDQHKYILMICRNH YKTLPYALQIFLMAIEWDDPEQVREAHNMIKLWTPLPPEDALPLLDAPFADETVRLYAVE RVSILSDDELQLYMLELTQCLMFEKHLFNPLAEMLLERSLQNPWVVGHELFWLLKAQLHV RPSYERYSLLLEQLLMLCGEFRQQLMNEVLVDNELYNIAQKVKEENIPKDNRVSFLQAEL QQLFPKLPKPFSFALDSRMEAQQFKMSECKVMDSKKMPLWLSVIPQCIEEDEDLLISQPK KIGKASEEGLIQINIMPDDNRQGKDGKEHANDQQNIDSKQSTLLKIMFKTGDDIRQDMLT LQLIKIMDKIWLDAGLDFRMKPYKTISTQDNVGMIEVVTNSLTIEKIHGDAGVMGAFQQK TIWNHLKTKNTEPQSFETATDNFLRSCAGYCVATYVLGIGDRHSGNIMITDTGHLFHIDF GHFLGNFKQKFGIKRERTKFVLTEEMAFVMGGRDGDLFKKFQQDCTNAYNLVRKHGHFLI NIFLMNLSAGMPELQQASNVKYIEDQLALNISDQEATAKFKQEIIISLNDTWRQIDNWFH YIKRRGG >CAK77369 pep:novel supercontig:GCA_000165425.1:CT868263:506810:508428:1 gene:GSPATT00012878001 transcript:CAK77369 MAAIQIVQNWIESETDTSGTYFQPCLNCGYELAIKKDPLYCLKSDLYKIFHPQIVLEDSY VFRDYGGNDLARIIIKNQMFDVSPNFTQSGPIQEDDYFNLDIRASVIYPYSKTYLRTHIL GKEAGCLFQKYMHIPGQEELALKSLQSLNLRSYLIQLSQVNASIECINEATFQKKSYRMW EQDECQQLFSIINSLEYQNKLQLEGLQFIYKTNKHLGRGVLLVDKENEQLIRKQYNNGTF CGKNNQNVIIQEYIKNPYLFKGHKMEFRSYFQIASTNPPILFGYKKALIKQCALKFNLTD FSKEAHVCNTAITKSLKEDIKQQNNEYYIDWNLEELQDLLLQDGLIISTDWLNEYLMPQI QLKLFHLFNSVQNKLFKDSRVGEFFGVDFILDQNLELWIFECNRNPNFLVVTEGRKEKFN QLIPDMIYLQLEMVRNRFIRISQFLKQKLIPSIRQKSLLEQQELLKHEFLINYQDSFQNQ SQLNIDNQAIDITPIQNFSTCFKELEKIKILQKKNY >CAK77051 pep:novel supercontig:GCA_000165425.1:CT868256:1:270:1 gene:GSPATT00039185001 transcript:CAK77051 KEVSECDLNFTSTSSFNVCFNFKFWFSSIFNLLRCYFNTFTLQNKKLVSNNKNTQIKVIL QIQQYEYQKQLSCKPSVLKSNNNMHLISR >CAK83111 pep:novel supercontig:GCA_000165425.1:CT868454:2415:2678:1 gene:GSPATT00039524001 transcript:CAK83111 MQRSSCKPFVSVFNNCQVPRQIVVVVAYQVHMGYLLLIQVGLNVNCNNVCHNHTQETQNG SLLQRLNPKILRQSHLLIEQLNVNIVFL >CAK72115 pep:novel supercontig:GCA_000165425.1:CT868114:7:2336:1 gene:GSPATT00038732001 transcript:CAK72115 MDILINSLYTQKEIFLRELISNAADALDKIRFLSVKNPEILGDKTELAIRIEINTEEKSV SVTDSGIGMSKNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVGFYSCFLAGQKVTV ASKNTDDDQYIWESQAAHSFAVSKDPRGNTLGRGTQVTIHLKQDAVEFAEESTIKELIKK YSEFINFPIYLKVTREVSKQVEEEPEQQQDQQENTDDDEVKKATKTIKEKVSEWVQINEN KAIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYIPKRAPSDMF DNYYGKQTTILISEEFEDILPRYLSFVKGVIDSDELPLNVNRETLQQLKMLKVISRKIVK KILELFQDAASYDDEDEEDTEEEQQRLKDEKRKKKIDDMERISNWGVIEDSSNRQKLAEL TRWYSSKNATELTSFDDYIERAKPGQDSIYYLAGENKEQLLSSPIIQGLLKKGYEVLLLE DPVDEFTFQHLNEYKQKKLTNVGKGDFKQPEDNDEQRKKQKALKKVFQPLTDWWRKLLSE NVDSVIISQRLIDDPIIVVSSESGYSANMERISKAQAYSSKAGSQQFGKKIVEINPNHQA IQELLQRVKDDPDQETEEMAKVLYEAALVNSGYSIPNPEKFASRFYKLFNSALGIDRRCS SQRI >CAK72116 pep:novel supercontig:GCA_000165425.1:CT868114:2344:3271:-1 gene:GSPATT00038733001 transcript:CAK72116 MRKFISAFGFSNTIGFIGLGNMGIGMAHNLAKTRVVYAYDASPAWQSKIESSNIKPVSQV ADVAANADTIITMLPNDKIVKSVAQEIFKKQKKTLIDSSTISPYASYELAKMAQDTKNIY TDAPVSGGVGGAKLGTLTFMVGAEKELYEKVSPILKEMGKNIFHCGKIGGGQIAKMCNNM ALAIQMISVAEALALGKNMGMDPAMLSSIMSVSSSRCWSVDTYNPAPGVMPNVPSSKDYD GGFMVELMLKDLGIAIEASKKSGTDTQLGQHAQQIYNKLNEHFGKKDFAIVYKELIKNK >CAK72117 pep:novel supercontig:GCA_000165425.1:CT868114:3318:4078:1 gene:GSPATT00038734001 transcript:CAK72117 MNSNSDQQDFFYNLRSHFLFKVLLIGNSGVGKSCTAYALFCTISHNLKENQFTNNFYNTI GVDFKTKTIALGEHNVKLQIWDTAGQDRFRTITCSYYRGAQGIIIVYDITDRESFENVKT WMAEIDKYASESVNRLLVGNKADITERREVSYEEGLELSRLYQIPFYETSAKSSTNIEAA FTHITKNILNRELHNTRAVVRKTSNLRLQNRQQQQQQEKKKQEDLCC >CAK72118 pep:novel supercontig:GCA_000165425.1:CT868114:4090:5509:-1 gene:GSPATT00038735001 transcript:CAK72118 MPSNNWLKINNLWTMEPSVIEKSEKSDIWRSDAEILKSPKEWDWNILGSKKASTTCNQIQ FDSHVPDPIVSEQEHKAQIAQHRQMILGVLDQRIEPGLKLPSSHQPQKPVVNEQHSNYQN ILEKFRSASSLDDLTTFFNTVQQRKVVEHAAPQQQQQQQQQEDLFSNLEIRRNKKAETQI QQYKTPSKSQDEIFEYGSGNRTTSFFQKKPSAQKQPLQSPSLGNQFNYRPDQSVTSKVTQ KYEFPSGSEGSQQDDFMGKLGLGKYDTPKVVINLESNTQSNSTIDRMRQYVNGTPMSARK SGGGLSDLINERSLNTTTFKQQIKSYHKSGQKPDQSGRLSADNQNLSEQSLKNLHLKLVH QQKNGIGSSGLKYARC >CAK72119 pep:novel supercontig:GCA_000165425.1:CT868114:5543:7243:-1 gene:GSPATT00038736001 transcript:CAK72119 MIRSRKHSKQTKKSKDSDDFTEPHSKLLLERFPYTTKDFQLKDVPVLTIDQSFFADPFKT IDDLYAKGYEKFGIVKLILPPDLVVPNKKFFSLLESKLKGKRLQTRIQTLNTQRAGEIFG SNSTGFTVQEYMNLANKFECSHKLQGVREVSNQIRQNEIEFWSIVDYPTKYDDVEVEYAA DLLATKYATGFQEGQLGNLSGINKNRNSIFQVLQEKNEMSGISVPWLYLGMKYANFCWHK EDLNLNSMNYMHAGAAKTWYAIPPSYSDKFLQYFNKAFENERKENPRLLYDITCQISPVE LAENGIPILRTDQQPGELILTLGATYHAGFSHGLNCSEAVNVAPTQWLKEFDRATQEYRM DGNLKKVSFPLEWLLCKVALMVDEIRFTKQSWQKLFEKFKQMIDQEINNRKCILSLYDRV KTIEFVNKLEKYDRSVCKICSNYMFLSYIFCGKCIKKGCICHQSICACAAPQVSLYIRYN NEELYAMLATLESKIKTTGS >CAK72120 pep:novel supercontig:GCA_000165425.1:CT868114:7380:9900:-1 gene:GSPATT00038737001 transcript:CAK72120 MLKIKFKLVQKNWITLLQTLYALFSRQRTPQRYFYSFQLSGFQKFQRKSKIQQEESTQPK EEKKATEKPNQEEAEKIIKDEQSEGDLPPKIEKPQKKKYQFTYDPKSFNPQDENQKKEKQ QSDQEEKVDKQENQEEKQEKQENQEEEQQQQSQQQEKKKSQFPQIDFSKFTEYLKNPNNR NYIYMFLGVTGLASLYTYLNMEEEITYTEFLKNYLETNQVSSIKVYNNDNSKINQASIIT NRGESKKLILGNVDHFLENLERYQTEKGVYPEQFIPVSFEIQSNEIVEVGIFGFSRLLYL QNQLKGSIGSLGKGGGNDVFGFGKSNVKQFGFEQNVKVKFNDVAGLDEAKLEIKEFVDFL KKPRKFKEMGAKLPRGALLAGPPGTGKTMVAKACAGEAGVPFFFVSGSDFVEMFVGVGAS RVRDLFKQAKAKSPSIIFIDEIDAVGRKRNAKIGGNDERDNTLNQLLVEMDGFGTDTNVI VLAATNRKELLDPALTRPGRFDRSIDITLPDIEGRKQIFMVHLAPIKLDPSKTMEEYARR LATLTPGFSGAEIANLCNEAAIMAARANKTYVDSHDFEMASERVMAGLEKRRIISEEERK TVAFHESGHAVASWFLKGGHPLLKLTIIPRSKGSLGYAQYLPNESSLETKQELLDRICCI LGGRVAEEIFFGQVTTGAYDDLKKAYDVAHSIVTKFGMNENIGYVGFQEGEFQKPYSDGT NKQIDDEIRKLIEEQTQRTRLLITEKKEFVNKLASTLLEKETLDLQKIIEVLGERPFPPK SNYKAYLEIKKEDQQTTSQ >CAK72121 pep:novel supercontig:GCA_000165425.1:CT868114:9953:12294:1 gene:GSPATT00038738001 transcript:CAK72121 MRIMSCTVSPSHRFRVWDRSLPGLFNCPLEVVHSLQECDKSELNYKIIILMHFPLTLEYP LMLYSEISENQGQWLETNQLVIRIPKSWDLDGNVNDYQIFGMGIGAEDYRESEVSDVQYI VADHATDLHYCAKFAYFTKKPFLLQVPQFNVKSYEYIFFKKKDPQDNLLLDIIGLSKRES ELDRKISFILQRLLYESRYSEIGIRKQVEDALETIFYKSLKKMIQLLGRKHHIEYESMYE LTRKVVRCKDLKLHSQEIISIVYKTFISASLFPFYCEINTMNDSYIKLMGWIELIHQFRN LALVSQISCIPSSRQLSLQFHSQRSIQSQNPQYSYILQRLPIHTTEDLGFLPPRFMDRRK TMLNYIQTKMPQQQSQSSFRKYSYDSKSNSSSSILDLKEPLMREEQKETELIQDSCNSSQ QSFNVLTNCSELFKLLLKNSIRKIENVREVFGIVIHTPLIIQVKNRIANEFYVNYASEIN RNGILSQFCSIITETELRSYSTCANNCQTPVAAFIYHLCDTFRPYQEMLCEKSHFLRSLQ QCGFNIRNIKHNQFASKSSFIDTDNKLLGQYLTTYCKFASINEFQLLGELFIQPAILEYS LDYFGFYSDELSAQFLTCIQKIDFNPEEFQQIERKYRPYRFSNSYLFNDCEAREFVEAFD NCSRTTIYSQENLKEENLVEFLQKFQNSNHKQYAKSKFNLHYLLQFFQNQLIKLKQEGLK QIQSTFFISILFACRSSRSSVKILQAQLDL >CAK72122 pep:novel supercontig:GCA_000165425.1:CT868114:12384:15825:1 gene:GSPATT00038739001 transcript:CAK72122 MELQFPLRVENPMYFKPSFDDEFQLIERFAFKTPKVMRPNYDNIVDWEVFQISYSEPEEI DLEGISSVFIDFGKVVHRKNDLKYLIEYYEFNWTNPFKMQDYDYLFVVKERDLMGIIAFQ KEDKLNEILGFILRQLVHTTRYSEIGIRLQIDQTLNWICYASFKKIIQLIGMINNIEYES MFELTKKVLQINQIQLNEQDIQSIVYKTIISTGLFPYQCELNTMDRIIDHVKPFMYLSNL IMGSAIVPWSPKYHASLCKILYDLQLLAIFGTAIIMNQYLCFKPQGREPTRSNKTMSVQK CSISSHLILGWNHQHFTQIVQTIKEKLPSIQMELNKINSNSSQDEESSSFKSLSKPLLKE TEFSEQLQVDKQYRQLFKNLIQKSIQDISYEKTIYGFTKQFSKITEIKDQISKEFYDNYQ SEMNRSNILDHAISILTETEMEGYSLCSNNCQTPLSAFIYKICETFRPYQEILKQQKKLK NANIMIRQSASNLKNENENEDRDRDITNLKWITTYCKYASIFDLAMLSQQFILPAQLTHS LDYFGYYSDKLSQEFLNDVRKWNTNISDFHKVHHHRNSQQKNCKRKPMDQIKSFESLVDE GIKVGLFQYFNIKMKNLVDPIPILQLFSKLDDEQRDDLKLDLHYLMQHYQIQIVNLKKQY EGKTQRNEKKLKKGKIQAQLKHRLQIYLDTVSFLYYNSIRMQLNLKERENTLSQIGFVIV KSENKVERGEPTNIDLQIILVEYQIQKCLNMNNNILSQKLNNMLQNLQVEGKEINQDYSY EWVVGNDDLINMEKGISIAEFTEQSQNRDQEMEFRILRRLTETQYHNENLYDFHPDILKL NRYGNILPFKHSIVKLKCDEEENQKESYINADYINLINGKEKMMIATQGPVTQTIGHFWR MISQENIQSIVMLCNLKENGKVQCEQYWPRNIGESLLVGNITINFVSQEDLGNNIIKRTL QMQEQNGEEKQIIHLQWCGWPDQGVPNHNDFNIIMELINQILDKVLNDQKVVFHCSAGVG RTGTLISLVNLMIILTTYKSHIGIDNASTIILIKQEIFENPDQFRISVFGVV >CAK83668 pep:novel supercontig:GCA_000165425.1:CT868485:5489:6034:1 gene:GSPATT00017984001 transcript:CAK83668 MGCTTAKIHEPLSQRLREKASEIFKKLDIKGTGTIDKEGTEQFCPILQSQTQKHYLKAVD FDKSGDITEDEWMAFWEIVKENGYSEEEINIELDELMDGKAWVQFKRVDRFLKIDEKRRG SRVKSIVQEEKRRLSIIQPARLQKSKTMQSEGHDMQQEIQQQS >CAK83669 pep:novel supercontig:GCA_000165425.1:CT868485:6056:7087:-1 gene:GSPATT00017985001 transcript:CAK83669 MNQLGILLLLDSPENLQFGIDNSQWIVGKKFKGVQGIPFGTHYVHYSLKEEGYQFKQGFF FIVSPENKYLVKQWNSELQEFLTFKDCAPYIHTLEMHDFDLYLGIYPMDKYEVWKQCVNL INRKVLDKLDPIKNVCVEEYNDKDIGSNNPLHSTIYYTDIPKLKNPSKSHGQQLTALNFD KSTIVEELLKSEYQNEYSLLLGEIQYAFVKFLLGEHFESFEQWKQLLILITSCERLIEEQ TSFFIDFIPVFYHQLQQMPNDFFVDPISSSNFIQSCIKNLIELSQNDNPNLIRQKLIQRC NKLKELIKVKFVQDVVQAEDEDEPVVVEDFNFIQL >CAK83670 pep:novel supercontig:GCA_000165425.1:CT868485:7193:7488:-1 gene:GSPATT00017986001 transcript:CAK83670 MNIDEEILKVYALLKNSAETLEDVLHKSFEIPVDNWSKEKPVLLFPDSGDGLQDLLELMS RIDKNLDQVRVNIRYDQGRRIRSPLFPTAD >CAK83671 pep:novel supercontig:GCA_000165425.1:CT868485:7874:8706:1 gene:GSPATT00017987001 transcript:CAK83671 MAEARKLMVPTQENEIKSQLRQLGQLVTYFGEGAADRGQRLHSLLTEHLMQYGRLPQFFN NRTIIIWCVEQLRAAKLSFHNAALRVGKQRLRRLTVEALQEGQGVLEEMQKVNQWIWRMQ IVGHSNCLTKVKFFVHLTKCHSVAFHPQSLLTISPQSFANVATASADLSIRLWTLDLDQQ ESIGLKGHEDRINKVIFHQDGEGLISMETQTESMVQTGHSREIYSGALHPDGSLLFTGDL GGFGQI >CAK83672 pep:novel supercontig:GCA_000165425.1:CT868485:9220:11990:1 gene:GSPATT00017989001 transcript:CAK83672 MEYPQFLGNTIKFDLFKKPPLKEYALKHKLATSCGYSFENSEYCPCCGLSVSKISLPLSI KILDLAFLGQGVPLFFNQMILVIVIYSLMLACFMVPNIVFNVLGNDCISKDNYAYVLWQQ QGCEQNCPLEHEDFNYFTKIFSDCSQICHHYTKVCLRSQMSQLSFINKQAESQQKVIQSS ILLCSVIIMKISMVLIREKQKRVEFAIDKELLSPSDFTAILNHLPKNNYDEKELKHALEE YCKQFDPDNNYEVVKVNIAYDISQFIDKGREKLKLEKQLQQSKTKNREKLINQIKEISQL QQIIEYEIENGCYRQTTPIAFITFQTKKQLKSLLEQTKLSYWEAFMIAVKSLIKKKDSKG FYFKGELIYINRAPEPDDVFWENCGVDQNTQLKRKILSWFVILFLLGFSLGILYGLNAFQ NSFLQSDNNQFLVTTMVSFSKSLIIALVEGLIYYFITLLANQERHVTKTHQDTSIAQKLS YVQFVNSCLLLMIINIIGAYNPQLKYQPNQLSNLAVQQQGGIADDFLYVSGTNAILIPLS LYFNPLYLLKKIRQLRIEKNKDLDQYQANKLFEGPQVEFYDQYSYLCKTTWLTFFFAPLI PISILFGQVGLVSYYWIEKYLLLRRNSKPPFQSSHLDSEMLYLIDLSPILFATMQFWVDF VFNSSKLCQSLNLASIVLAGLEIIVPTYRIHRMLFKDLNEEEDSVRYEDVYLKLPTDYDR TNPLTQQNAISEFVRNKVKRQTSPLRMQLSQNLGNSRQRKNQALQDLIYKGVCEPKKIRM KILKQKLQLVLKMRRFIRPSMLQEGQKEMNLDGLEKVDSLRSSYQNSPTYLKTPEYNFYS FVHTKPRLRTILRKNETMDINSISSQNQSAYLNTPQYYFGSKKNSSCAQRQNNKRLTQS >CAK83673 pep:novel supercontig:GCA_000165425.1:CT868485:12196:13863:1 gene:GSPATT00017990001 transcript:CAK83673 MSKLNQVIKKFLRDLDQFGVVFKPSITQDSEYKTVLGGILSILLYGISLGYFIYQFAIWK EGGMLPKITVSSEVIENQQIQFDEPLFSIRMRKMNDTNIDPFNPQNIVMLPLIFQYVNGQ LITPPSLPLINNTADDEFVTIYFDNITLAISKDRTVEYPELEYMIILIDCVPQLLVNNTD LKCANSTTRKGFFNQQVNTLLFTTFIKQFNAQQEKINTFGSEVIVALDPSSVYFSTSTLK MQETVIDNGLLFENTYQRDFILDISTAGQQVNSKFFAGVINSTTYFINNYQLNGIKSIQY IQYPKVNEVLADTGSIVSILLLTSAFVIMTNQYFLESEAISQVINMFYPNLKYLKYRKNW YGKITRIQLLGRNLNIEKFNSHYGKLKEVAQVKLTITNQIYEISRLQFILQSIITRSHFI SSHQIGIKENNFIENTKEDNEMDNQEIEISKDSRVVRISYVIQAPSNDSKIEMTQQQHHS GQQTMLQDNSHNSILPSLNNKPEVFQQLKSQFHSSIGKFNCTQELSDQDFELLISKKERT ETQLMGQFFEINKIK >CAK83674 pep:novel supercontig:GCA_000165425.1:CT868485:13879:15501:1 gene:GSPATT00017991001 transcript:CAK83674 MIKLLKSIDQFGVAFQPSIKYSTSQYKTCWGGIMSILLYGLSFAYLLYIIIQWRTGQILP KITTSSKVENNQYFEINEQFLDVHLRKFGYSIYDPFNPDALILQPLVYIFKNRLPFGKPI VPDYEIITNQDTFHTVSFTNMTISISDARDEANPEFEVLLTLGACLEVFLKEGQACANDT VIQQFKDQATNALVVKHYAKEYNTQTESLEKIGREQIIPFQINKVYQTQTYIQITQTEVD VGFLFESINDYKYINEYRVVSASLDLEYYNKLFGYNVYMAFLYKIDNIQIEISVSYTKIS EVLAEAGSIASTLLLLSYLVIVMNQSQLEFEAINNVIQMYYPDFKNIKITKNIFGSINKV EKNGRQLDLQSFKQQYQKLSHISEIKLTISNQIYEISRLQFLIQNLLPSKTIQQIHNQGI PLQMQYADSAKEEQQNINKLECSINQDYQYKLNAIVLYIFTQLPNNGSPPMMKSNDVQTI SQTESKLLQVQQKVVENTNIIPSSQQYFRDQDFELLIYPDSELEGNGISNQQETKIQVDT >CAK83675 pep:novel supercontig:GCA_000165425.1:CT868485:15744:17281:-1 gene:GSPATT00017992001 transcript:CAK83675 MSHFDELKLIFNLDAQYVPEMARFEIKGILGKGAFATVFSALDKVLKIQVAIKMVDKKLF KNKEQQDIVRQEAMMLKTLEHNNIVKILAFFETSLKFYIVMNQIDGVTLETHMSNVKSAE VVSITKQILEALSYLHQRNVVHRDIKPENILISFSNSELKVTLIDFGLSASVNRIQNSMN GLMFQNCGTLLYQAPELIKKANYTRSVDLWALGIVVYQMLNNGSHPFYERGDTKAIYENK IKYSSLNFQFNHNIDTNNFLKRTIAYLPEHRLTADQCLEHPWITGRGDISVPITLNEIIQ CQIEKEQNITKYIKMIVFLKYLILSSSCYRIPYRIEANKLIQTGSLTEQMSDQSTATINF KIRPLYIKSQTRLDKDESVSNSSRNTSDMFPDSIAQASTPDNKKMMRKSTSMNPQEIMIQ RLNQTQKRLVNFPNKEKSPIIQTRILKNTQASDSISSRLLNNDSWKKLPNNTNQNSRLRI IQRPNFSRNPTQL >CAK83676 pep:novel supercontig:GCA_000165425.1:CT868485:17866:18864:1 gene:GSPATT00017993001 transcript:CAK83676 MKHILGLFYCVLYGGCSFCFSLSVKYLWIKFQFRMTFMLLLYENILTALICLFMGKRNHS SKDTLLYSFIYSLQTFSGMKGLQIMNISMYMTLRRTLILFVFMMQKTWKISNFMSVIFIT LGAIIAGQEHLDENYFGYGLILMNNLFNALALHKSKQLNYEKQIDPLELLFQNSCNQIPF LFIGAYASQELHDFMTTTYMSEQFLIAFTLVALMGFMLCYSTNLCNMYNSPIAIAITHNI KDILITSYSFFFLKEEYDFQILAGIIISYLGSILYSIQKVKEIKSLYLPLSSNDKDQKQD >CAK83677 pep:novel supercontig:GCA_000165425.1:CT868485:18917:21685:1 gene:GSPATT00017994001 transcript:CAK83677 MSQEVQLFFICTTTEEDRLKDPSLKDYKYLQYMGRNKNAAILYSLMHYALNRKQPKILQE FTELMNLSRNISKYWEIRNVIQKSKDLINPVEMFFQNLFQVDQKSEIAQKLLYEFCCEKG LLKGQDISFPHLAQFFKMRISINNYENYCEQFKDSIDLICTNSEKYFYLIPEPIIYKIPS NYCNNCKSMTEQIKVVCQHQFCYKCIEKSVPFICCGKYYFKNNLQSEIEKLLRFKEYNER SKELQKYYESTRQCVQQQLQDDKKQQIVSITDDPLQECNLCFRKFQYQLFILRDCKHQYC YDCMFERKHQKYCMVNKCFNKIDYLEFNNYLIQAKSDHNEQVDKKQNQIQQLQSPVQESR IMEYCSKCNTLQCYKLFQIKNCKHKFCNQCLQNTNMRYVTLNCLVNLCVEQFKSQDYQNY IKQLQEESKSEEFGSQRKNIQIKLLQFECDECFIYRDEDQKYILNCGHSVCQICIIKDDF YKKCCQSSSRDSEYVSFRKNITVNCKGCQNNFPLKNLHRLACKHEFCCSCCQKIIQRKPY KCLEQLCEKDIAFSTSLIKFISDQIAGQKKESEQIVKSYKISNQKEEEPKIDKKLENQSK SKSHHIVIHEEEKLIEQNPPQSFTLQEYNKTEEEKSLSVQSSVKNIQDVKQPKQDNPKQQ EEFIQVQKDISLSNGQNLILNQNQKRQNKSPFFEVDKVSQVDEEEILFNKQLDEIKLAEE KESEFYTGFCTNCYQQFSSYNRKQVINCKSHEIGVCCTLTKFRNCPQCEQATTKSKLKIK QSLILPTNPVEFEQILSTTLPKTALSNYWHQPYEQTYQSPSEKFQNIDRLRYTEGSAAKR NNTTDTINKRVLESQKNDLTLQRYQPQPSSSYYPVRLERNNYGKLDHDYKLVVHSNNFTQ IHRNPTYFQRSLI >CAK83678 pep:novel supercontig:GCA_000165425.1:CT868485:21726:22514:1 gene:GSPATT00017995001 transcript:CAK83678 MNNNEMRESYLGVFHIPNVTLSQSQLDDILRLLDNLKKIPHQFFTSLDIVEKGSFLMRIK LKKNNKAKAMINFVIILQKKQAKEELEQDIVENGFVLSFYEYNFQSNTLGSFENKEEWYI LKCDNFYYNLSDQFKETNKQQTVVGCLNCENQRPRFLEQQTINFCQICITRQFDFKNINE MKIQLENGILIITRLDQEKYLQQQGLQIQCRGYSKSSYLNSECNTNQQSKNSDNNDAYSG EQEYSQNDAYSGEQEYSQNDAS >CAK83679 pep:novel supercontig:GCA_000165425.1:CT868485:23162:25763:1 gene:GSPATT00017996001 transcript:CAK83679 MIKASIKCVKCLQETKESASYKAKCGHLYCIKCIDQITAEGGANCSEMSCSNFLNIADLM NRQFQKLSNSNQMVFGKQVTMFAGDPKKLASPSQQNKATAVKQNNQNLNTPRNQQKNTLP RRSIQERSPVAIQTKSKSQMQKVTDRVLQQQNSAKMINPKQQQTQQRQQAQVIPQQQVQQ PKQQQQKLTQQNVYIQPQKQEIKVDIDNRMTESFSYKCDYCHSNNSGLFHNEICDHRLCY DCIQKYYDDYCVCFVKDCGWQINQEQLNQFMLTYVTFQLGEVEEVKLKRQQSSNDQQDIL ISKFQFEEVTLQHMQISNMNEFIKTFDELKIKEKNYIDLRFGPNDKSVGSNYSVTWQRLN VIFNGDYQFFAKANDDKRFGLGKYIGPQDIIQGQLGNCYLLASISALGNRRPDLLLDVFI TRAINEQGIYCIRLCIDGIWKAIYVDDYFPVYPNLTPIFTKAKNNAIWVMVLEKAWAKLF GSYQNSAAGSMQEVLRALTGAPTEVIWTQSPDFIAQLRRCLANKVIMVAATQSSDIQPIT QGLVPNHAYSVLKIRQINHPKRGQVELLKLRNPWGKKEWTGDWGQDSPLWTPQLRQELKL DKEDSGVFYMDVGSFMQQFRDIHICHIKQDYQYSATQIKSSKKKAVYYSFKITKEGDYYI TINQRNQRWAGNPKYSNAKLLICKKEENHTYTYIAGKLSQFGEVWCKCTLTKGEYVVFAK VIWEHHQEFFFVLSSYGIDKLEFKQIKKIPEMLPSVLIKKGLVQQPKRSYDTMGQPKIQS CYNLDRLDGWGYYFIDNQSNVKLISKISFHKFYGLKFCKPHRGVTLNIELAPGKQFIAVI KVLSGYEIEFTQKVQFQQ >CAK83680 pep:novel supercontig:GCA_000165425.1:CT868485:25804:26797:-1 gene:GSPATT00017997001 transcript:CAK83680 MNEEEGILEQCSIIINKNKILEWETVLLEYQLDICGIDEEKKQNLQSESKVITTRTVNQI TIQIVQADIVEELVDVVVNSSHEPAWSYISKRDNNKTHDLLQQMRIGELVITNAENVNSV QIFHTRLPFYQDAKDLLQIFNVYKECLKQKGHKSISFTEQNTPNFQIPKQFHAEVLIRAI LSAIQEGDVEFELIKFVSLDQLTLKYFAYELMKQLDELKIPELFQLRYQQFLNFLQNGED AKQEVYLLSTGITTEMEGVVEQQ >CAK83681 pep:novel supercontig:GCA_000165425.1:CT868485:28132:28553:-1 gene:GSPATT00017998001 transcript:CAK83681 MKSFESSIKQHIPQQIIRAAEKFESLFVKETQHHQSQILYFKIMAKDNKRIKEQLQQEPK IGLSELGHLQQTLRKSGTFGNENSQSIIHCMQILKPEFERQVYIDELTTVISEQIPYDNS DFIHDCCDINL >CAK83682 pep:novel supercontig:GCA_000165425.1:CT868485:29000:30177:1 gene:GSPATT00017999001 transcript:CAK83682 MFEQDYYKRIIDKQSTPNRQTINTAKPWFPQLKHRLALKDYDYKFFVLPPNKMVSLLKKQ KINVPSDEQIREFLRNHSLKHIVQYDAVDFKNVDKICASNSPSPLMINKKNRKLFMQQNP NTNYKLIEQKPQTAQKAFDRQSSVQFSKVRELKSQQLEIRPNRENLEIDVKGIKRKMRIH EISAKSTPNIDAQSNLKFFAQSSRKRNRRRIELLRRSLKKIKLLGLSIIEVIQKQVFSKK AFSSQFSKEFIFASKQNRLEQMQSFLITHPYLVFQYDYYNMTALHWACKYGYLDMVRMLL HYHADFDAIDLMNRTPLSIAIMENHQEIVKLLLTHGAYPWSTSLTDLNGPLQRNAEMKRI VGLARRMQLFTKWSRLEQPTLCIY >CAK83683 pep:novel supercontig:GCA_000165425.1:CT868485:30262:30411:-1 gene:GSPATT00018000001 transcript:CAK83683 MEMEQRCRDITQCGKYGVDQTKMKTIGIYIQNYRQVHHQKHINRRRIVL >CAK83684 pep:novel supercontig:GCA_000165425.1:CT868485:30447:30681:-1 gene:GSPATT00018001001 transcript:CAK83684 MPGNIRLQHPTLVFLERLTPLSIPTQLTEEIEEHVQNLEQGLAKSIDDSKHYLRLEQMRL NSSLILCF >CAK83685 pep:novel supercontig:GCA_000165425.1:CT868485:30845:32415:-1 gene:GSPATT00018002001 transcript:CAK83685 MPPKRHAKNNQNIQAFFGNGNKKDRKEIKEKQKQFIKCPLLGSVGFQLRRVLQKYRNEIM KEFNFGQLVQQLGKIQNLDQIGDMLSSILYLNVTKMSDIWSDIYTPDHIDDLISQENAQF LKHWLKNSFSILAQDQVDQSESWYSQSNSMNNQNWNYQMLNIYGASGKMSTLLAIARAYK IDVLFTYNYTEKREFEEMFASQHIKFTQEQENTEFGNKKKIIVHRGTLPKFINSRMLQIQ RVPFIWITDCQQNHELFDNFELVQYSHNEIVKYIYLVSIIEQNYKGQLDRINTELKRKVI YENIKESGGFFVNFTMQRPSLRIQDLEMNLDYYDIFILTLFMKGNMRNILNWLQFHKEDE NVHSLIAELKLSSVQLPYMLKNQLPLFRTVDNISQLSQKAWSWYDEQCVNLINDIVENRQ KLFIESYGRRIINSKRHIFLINHVLKINALSVQTFAQNTRLRRLNKETQDFYKPLSQLFE SEEQYEMFKQFDKFSEFLKG >CAK83686 pep:novel supercontig:GCA_000165425.1:CT868485:32592:33800:-1 gene:GSPATT00018003001 transcript:CAK83686 MNKKESIFIQEIPSQRVKTCEPSQNRYAETQKCKYPSHPIKENKKIKFLDPPGQQGRPEL DFQKLISEKLIKYRENIKNPEINYDIQHYDHKKQKLQNQKMNEQEDLQFPKLVSISLNKF PEEFEKSIQSNFDEILESNKLIMKISFDKIEIYDKDGNLKEIKKSFPFSSKHQSKDIMYN AIFRSKDFLILKSTGMWLTSNIVDSYANYLRLADEQKYFTSEVEVRKKHRRTYIFCSDYI TNCSINTEFNKEKWLTLFYEQLSNFESIQYQFWKIYQNIIFVVNQNFHWFCAKLDLEESI IEIYDSLYSNPEKYERLILLFQTIFSEVMSTIPIFKIKIIREFPRQSDYNSCGYFSCIAL NYLTEKQFNTEQQVEEKQGQKYISKQEMKKILRELLIEDQNS >CAK83687 pep:novel supercontig:GCA_000165425.1:CT868485:34115:34921:-1 gene:GSPATT00018004001 transcript:CAK83687 MQIQNKIGEGLFGQIHKCLTEEGDTCAIKIYKEGCPKKLREKEIKILQSISHTNIQKIVK ADCEYKWFITELMNQDLYSLITKRGTLQQSTIKQILLQLSNGLSYLHQLGYVHRDIKLEN VMLSKEPNLKIIDFGLSVYIDSNKLYPRHCGTPTYMAPELNLEDKLIIGEILKKSDVFAL GVLIFILCYGCPPFTIAKPTECKFWQTIEQKKWQAFWNYFDKKVIRSDQHFKDLIQNMLD PDHKSRFTIEQVKQHQWMNDEGNLNELL >CAK83688 pep:novel supercontig:GCA_000165425.1:CT868485:36891:37308:1 gene:GSPATT00018005001 transcript:CAK83688 MGDYQGEYIQQYLCNINLRKKIKELLKEKTEILQKLEQLEKDGNNQSFEERKKRLRSLAS EIQRNFECPLSRCGKKYGSEGSLNQHIKLKHPELVNKA >CAK83689 pep:novel supercontig:GCA_000165425.1:CT868485:37372:39360:1 gene:GSPATT00018006001 transcript:CAK83689 MLQQYNSIVVDASYINKNYTQESVIGKGRDFYTGISSISYLMSHSKEGEKYILQIYENLG YDTFRTKVLQLQKAMLECVNEYTTRIVMIAEKELNDKQSDLYVLYRLNALKKRLDQEDQL GPEQALELLTTFCKNLLITARSTFEFLDLAPQNVFVDGQKFIISNMGISFKGSKFHRPYI PSTDSFFKDKSLANLIYTYSFQAGLVVLCAITKINSADFFFEDGQLKDNILQSVLKVLRE NQKTDRSKEDQLKYDKFKDSLKKQLPSLSKVEKPFEGDYKAILPILSQILSLDQQKRSIF QLLVCYPDNPLKVEYPFFQQAIDVNQQYVGFGRLEDNQIIPHGYGQGKFEDQWHYGTFED GQLSKDGTIEMKTQKAVSYILLNLLERHDKIIVELNKYIYIGEFDQQKYIPNGMGEIIYV LTSQKSLQRKRQTINFEGQLKLGEKVEGREYYRNKSNFDGTFAKNTPLKGVFTYGPNHFY DGLIEDFKRISGKLYFGDLTFEGVFENDKVKSGALIYEDGSEYKGQFLNGQRSSLDGTYT YSNGKVQYTGNFKDDTFHGRGVLILLETNEQVEVEYEYGRCKTDLPENFKEVLKKNKKQV IQKMEQKKPQKKNNDDDDDEQIKEDIDEGLADDDGKYHDLDDEDA >CAK83690 pep:novel supercontig:GCA_000165425.1:CT868485:39498:40297:1 gene:GSPATT00018007001 transcript:CAK83690 MSLSQAGRQAINPITGESRFQQPDYRRSQEVKNEPTRTAESRRNFANTSVPVENQEQNIF QMDRIAQLQEQVQMLEKQLTQEVKRNSKMLVDLEREVRTRGQMGDPNQMFEDLQNKMYSL ETKIIAQDRQKSELGSKVAIQEQNLKELLYFLKNTQNKDTNEVLQMRGMLQEKITEESSQ LQKEREKTKALFMEMVRLGELQEKLQESLSTSHQHFEQRINGMESKIYNGEKALIQVAQR GDSGMNFIN >CAK83691 pep:novel supercontig:GCA_000165425.1:CT868485:40376:42248:1 gene:GSPATT00018008001 transcript:CAK83691 MDQKDQLKDHEQINRVDMANQRIQEEFKGMLQLIQQDYTQRLDTRVTEVINRIVMEHEQR VKTMEEMKQAWSLKDQINMERLQYEREEVIQKLGSLEQFQRIDSQKKDEAIRSLQTIIET QVNQILVNIQNEEAQRYSHEVQLRGDLLKIQENIKSENELFKTHQANITEKITDMIRIEV QTRLSTDTELKNLTSAIATDIVSDLNSLKDQIEMANRALQTQVKQLEKDQAEKAERLSLY IDDEINKAIKTSAQKYEKVKVIFSKFGESFKQHITAFEGLKQDLNSRQTIIEQNIDMIRQ DFQTVIEDQQSHLLERISIEKNQILEAVNATVQFLEDKVNKLDEDCTNKFRIFREVIEEN QLVIVEKTKLILDQNESYQQTQMKGLKLMAESIQDLKQQQQFIKEDHQNQIKEVNQTVEN TRQDLQQISINIKDLDEIQTQHKGLLEEIQTDIDILKKENETIMIKQQDIDKNVELLNDQ QKKIQNTFQILTQSVESVNDKTITIGERVDAINTNFQQLQEELKMVDQKNSKLEGDLEDS NLKINNEIKNIEIRLEESQKTAASMQDSMRQNDDLDKKQSLEIDELQRSVNNLQERLKQL AQLPV >CAK83692 pep:novel supercontig:GCA_000165425.1:CT868485:42336:43080:1 gene:GSPATT00018009001 transcript:CAK83692 MAEEQVATVKFANQPKLFGKWDYDEVQVTDQCFKDYIAVQTSKSRVFVPHTAGRYQRKKF RKAQCPIVERLAGALMFHGRNTGKKVKAVAIIRHAFEIVHLLTGKNPLSVLSLAVQRGGA REDFTKVGTGGVAKQQAVDVAPIRRVNEAVHNLAKGVRDSVFKKMKTIAEALADELIAAA NEDGQKSYTIKKRDELEKVAKTNR >CAK83693 pep:novel supercontig:GCA_000165425.1:CT868485:43260:44492:1 gene:GSPATT00018010001 transcript:CAK83693 MALNPPITQNGVPLRVDQEFFILYRKEMEGQFKIQTMGKFKASGKVFITTCRMVFVNDNF QRDSFKSFDIPLAYLSAEKFRQPILGSNFLEGDVAPLYNLLPGRTHFKLRFKAGGCEKFL RILFSVLIQIRTSRSSGQRVPDPRMMENFARTQSQALVDPNDPSVIYIQQPQLLQQSYGN TTWSIPQNQTQFSPIGQPNNRMPQQQYQQPQMKQQYQKPPQRYQQYQQNPQGYQYFQQVP QQNFQNNPQIGKAVSYHPGYQQQTQPNYTQLGMQQPQQYNNQPPNPYYQQSPPQNQATSP YVQPNQQQQQQQPQQTQEIKQPQQVNEDQVPSIQQNQNQSNQYLEQNNNQQEPIQNEEIL PQDQPQVDEVRNQPNTAFYFGFWGPQLQSSQNAIL >CAK83694 pep:novel supercontig:GCA_000165425.1:CT868485:44544:46001:-1 gene:GSPATT00018011001 transcript:CAK83694 MINLSDREKELIEEICAKNVKQNKKSNPQQGSQKLVSQSSTKILVSRLERKLNETLKEVD EQNSGQINFQQLGRLFTLLDIFQAISYNQDYQLEAQGLNNPMQKQQEVDLHEKAFAIISN DGEQADIQAAFCLFRIILDPNQLEPSKCAILIKEYLEKISDKEFDYEAIFRFCSEFQAYQ KTRLSGAKIGYLKASLASNLIETYEKTLTFKPAINPISEALQQQSGKKENQESLSQFSSG LAESRVNELYKKKELSNQKIEFLKQEKLMKDMQECTFKPQIISKKELPNVIERLYQVKNR QEIEERAKLAEQEKEDSEYSKCSFHPQINYSMPESQQNGVGGYWEAIERIRRATDRRHLK DLKLSHKPSGENYEKVKNQPFSPPEMLQRSQFKKELPILYIDIKLGPRKVGRLALRKNDD VEEVVQSFCKVWGVGPQDYELLVNQVKENLQNVIQETDESQI >CAK83695 pep:novel supercontig:GCA_000165425.1:CT868485:46109:47922:-1 gene:GSPATT00018012001 transcript:CAK83695 MGDKKIKIDEYKEIRLGGFQARSHNWWEEANPEESEEEEQQGQEWRYLEHHGVLFPPFYK RHNVGLIYNGKLITLTEEQEELCTYWAQSIGSPYENKEIYRKNFESLMQTKFEGFQLDKA DFTKIKEYLEQQRLLRLNKSDEQKKQEKLERDQRELFYGYALVDGCLERVGNYTLEQPTL FKGRGEHPKAGLLKARIFPEELTVNLSTQAPVPQCKLPGHSWGKIVHRNDVTWLFSYVDS SIRKDNHKYVQFAATSRFKQMNDKRKYEKARRLKNQIDQIRENYTKKLQSDSIKERQLGT ATYLIDKLALRVGNEKDEDEADTVGCCSLRVEHIKLKEDDVVAFDFLGKDSMRYNNEVKL AHQIWKNLKLFIKGKQPEDNLFSDISVDDLNDFLKKQMEGLTAKVFRTYNASFTLQQQLD LGGKLDGHSVQQKVDFYDNANKQVAILCNHQKTISKQFELTKKKINTKLECMKTYIQELE QHYKKKNPNEYEEEVQLEEGSQKKNSIFIKKFPGQHDKIKQTIQKTQEKYQKELKGLEKK EENKEIALGTSKTNYNDPRISVAFCKVHDVPIERVFSKTLRNKFIWAMATDSNWKF >CAK83696 pep:novel supercontig:GCA_000165425.1:CT868485:47980:49338:1 gene:GSPATT00018013001 transcript:CAK83696 MNQFYQQQSKFQSQEYSLSFRRFSIYQFCQSHTITVQILLLLNQCTGRKEALLNLNIPQN LRKEFKLYYTTPELNDCLYLHYKGFEKIENLEEFTGLKVIYLEGNGLQSIEGLDCLTSLK CLYLQENIIRKMENLHMLTELINLNLSDNMISKIEGLEQCQKLQTLQIKRNRIGMNGLSD LEGVLCLQNLSVLDVSDNKIDDPNVVDEIFLKIPQLAVLYFQNNTAGKQIQHYRKTLISR IKTLKYLDDRPVFDDERRFAEAFAKGGLDMEREERQKYKKEQEEEHMRQHQNFKDMIRRY REEQQQQQEQQQQQQQEEQQQVDNGSDNEEPSHLSTYNTQSNASDVRSSAQQSAQQSEHQ SEKHSDKQSDNQSENTSRIADGQSEHRSIDGDQKSVDGASDHGSEQVEINTIGNFGQSTQ LDEID >CAK83697 pep:novel supercontig:GCA_000165425.1:CT868485:49393:49770:-1 gene:GSPATT00018014001 transcript:CAK83697 MQNRQNRNDYWTTARPMADINIENDNQKPQLKLINFVYALILSILKQKEAQNNNQIKSKK CK >CAK83698 pep:novel supercontig:GCA_000165425.1:CT868485:50595:57332:1 gene:GSPATT00018015001 transcript:CAK83698 MLFQIFTFLFSQFVLLNSQWTTYTSMLYYDSQFTQNTGDLAWYNSGGFLLPSSQTTANFI SCSTPSTSYITLNNIYPSAETYNVYSFQGENWISMDLYFQSTWSSQKVEITLGSFSFIYT YTSPTTYPLTGGFCDNSSYEVKTLNFTYGVTGTTSQEKLKIKSYNTNSGQVSFKNVYISN IICYPSCRLCAGPNYNQCTSCYYGSTTNSICPPCQQNQFYWKHSGCYNICKFDNPLLKNG FCQEYPIQVIEQGYYDSNSIQALRWQIIYDPQHLETNYTKNAYAYGVFRFNSGVNRYMNN LPNYSYSTYLVGVVISIMTYNDIPLNCGIQFKINNTYYGSIYRNASGIQTHQVKISQITN YGSYLSYAQSQMYQIIAYVDIPKYSFLFSVVGNYTVDTAGWGISGIQVTQGYCSNYCQLC EVSFKCKICQSGCFFYRDGSCTCSCSAPYQKPQDSYCYDYDDETPYSSYLIKEYISSAGD PEQYAKYTLISQSGTNFLKGSNIYFSFYNQLRLFGGPLVWAQAKFQRVHTISSPHHSLTI AFYILYGPSFSEDGQFIYTIENNAPVTKSTQFFFSTYFDSKYDKVYEKINHNTNTLTITW ECFGPNNEPIQAFCGVYNYYIAVHNCQPYCLECEDQSKCTQWNSTYDSNIVKFSQAECLI NQYHDKETVRCLECPQSCLTCTSKLDCQTCKSTYTQSKLGCTCKMNQYEESNQCFDCPIE CNQCLTSTQCIECLISNNRKLSNGQCNCIDGYYHIVSNPQCKLCHQFCKTCTGPTASECL TCNNIVNIEYVGSTCRCPAGYSYKDATKTCDSCHPQCQTCFRTKIDGCLTCDPASNRILK GLNCVCKPGYYENNTNCTSCPTIETPSLSQCYKICINNQLIWHTATCSSCDTGFQLVSGQ CQPICGDLQKKGYEQCEDNNAILNDLCFNCQFQCPAHCLTCDQSITLPCPDICGDEIITG IEECEDGNNIQYDGCFNCKYQCQPQCTNCIKGQCFECSTAGWRVDTTVTPWQCNHICGDT ITVGSEQCDDGNSSDTDGCKNCMYFCRLGCSSCDYSTNKCLSCSTPGFAPYSYYCQNICG DGIVAIDPTGFFTEQCDDGNTTNYDGCSSSCEFQCQNSSICTNCVSSRCETCAVGYVLSS QKICIPICGDSLIVAFEQCEKSFILPYKGCQNCLAKCQNSCLTCDNSGLGCLACKTGYNR IDYLCYSKCGDGIITQDEECDDDNLVIGDGCHFCKYSCQDSCLFCLKGICQDCQEGYQLV KSKCYSICGSGFQQYNKQCDVVTSLQTYQNCQSCKFTCDSNCLSCQFGICQQCKDGYELV SNKWLCVKSIQYNSIVVEYCKIQIGDSCDQCEDYAYFEKGEQKCRLKAAPLSFCQYQLRL SPDLYCSYCFDYCESCNENNCLICQKGYYLDENYSCVSICGDGIIVQEEECDYGSEYQID TCLNCSFQCPQYCMSCVYGVCYHCLVGFYLNNLSNQCTSVCGDKILAIDEACDYDNYEGY VDCAQCQFKCQDECLDCQLGKCMLCELPLILSKSKCEEIKQCEGLVGLYYDNDSNDCLPQ CGDEIVAGNEDCEDFNSLPGDGCYECKFQCSKDCQVCQDRVCIQCITDYYLNNYQCLLDK ANDNLNSSIIEEPKDNDPDPNIIIETQNNGTSTDSTKLEQSLWKDNKICRGDECVYSKKA IMQLTYIKQLYALQYVDITFDQEVKIQDNIIKDQMLFNISIKDLDSKYYNITINPIQYIS FDLQYAQYQVQIEILQQLSINPVLLIELNQKFVNSNNQTILNPNESIVLQLPKIMSESSK QISNLASSSNKAFMIGAITICGVSLISSQSSFVIETLNLLQYQSFLRFINVDYPENLQIY FQAQEMLSITSYIQYFQADDYLNIITRKEKQVNLSGKFQQYNVEADLFTNFLPQFIQILA LLPLLYFARSLFLLFFKLGQYMKCLEYQTTYESKILMAIINLLLNFQNHVNQLTKLRYLQ NYNKILQPIYVNSWDLIFKVILQLNYNRVDNLRSILTTIFATSIFLMCICLLLKSFSICS KLKDQNTKVNQQVKFIALDISRTMFFHIVLIKFQSQQILQWLLISFSNLSQFYLIYQYKI CTKFDRIILLTIEGVLTVFSLSLFVYFDFGLFSISYENQVTLGFIQMNFLIMCLGIVFAK QLYPKVKLILSMICKHGEVQAEIKADINADVQAEVNAEIKAKVKAVTSNKVPS >CAK83699 pep:novel supercontig:GCA_000165425.1:CT868485:58050:59772:-1 gene:GSPATT00018016001 transcript:CAK83699 MSFERMRQSFKSFLGLNASPQIDQSSQVSIPQELKSSNSQCIIKNKFVQIEKKQERLNPY KKSLIRLNEYYKTIVKGTDFSKCPTIQYQINEDEKQAINHKICNPRWKRDDQSQDCSSYR WSSINDFPNPTIYKISSKPTKNQVYDPQMLSQSREKQASINFQKKQFLSYLEDKPISVIN DNHLIEEKKKKSSQISIRRAESFLFDDQEEPIYEKGRQAVQKQENTTKPNDEDNQSASES IVIKKQPLSKFCQIKKQEFEFNPKIEAPIQIINKEEQQKTQVQQQENVIKPTDEQIQQQQ TPIQQKQEPPLEVKQEPVLQQKTEPLPQPIPQQSVIEVNPFTSNIESPLFSAPWLTSQTP IQPQNQSTFNLFQSQPIQNQYQAPFIPQQPQQAVFPFQNQTQPQPSVFTNENFFSQQIQP SSSPFMNNQMSQNVFNQTNSFQTQSPFTQNQPFQQSQSYSNDLFGLNQSKTMNNNNAFLF QTNSLGGGSFSADDQNKPRTIDLFSAQPAQNSQTSMMTSQAPVNLFALDQNSQAQPQINK ESDRYKNKFKQNTVKYNTAAQRME >CAK83700 pep:novel supercontig:GCA_000165425.1:CT868485:59888:62240:1 gene:GSPATT00018017001 transcript:CAK83700 MTSTCEHINEQHITWSVKGQMIYKNQCTRCFVEAKSENGIQVCLKCFNGGCIEIHSPQHS YQHNHYIVLNIRLYEIEQQKQSVDEITQQVIEDIPQLDFETSVICLKCQKMLNSPQLDGL IQSIKQTNATSFGLIIDEYTIQPCQHTLTIQQRQLVVKNLQKCRRCRLSTNLWLCLQCGH VGCGRKIFDGSGGNNHAVDHFVELQHHLVVKLGTITSDGQADVFCYKCDDAVVDKLLKEH LATYGIEIEKQVKTEKTMAELTQDKILVLQLSKLIEEGQVLDPIFGPGFTGIDNIGNTCY MNSVIQVIFSIHEFKQLYSLEHQFNCTSLPYNCVLCQICKVNHGLNSGEFSIKKESKNVQ GTFRNFYQDGIKPYDFKLLVAQGNSNFLFNHQQDAQEYWQLLNSFFQKTEKQFKLPQLSS IFSYDQTTILKCENCGGHKVNVVKNQEFKVPVEQPTQDQIDLYRKQEKQKYEERIKTMKP EDIKPFSLKDIPEYVTTFERCLQLVKEGERVDIVCPSCQSLQSFIKQEYFKTFPKYLFVP VNRFVQENWVPKKLNASIKIKEIYDFSDFKEPVLQDKDAIKEQTEQEYTEDTLQILMGMG FGENRCKRALIKFKNDIEAAMIFIMKSLDDPTQDQPLKQKEQINEKFIEQIVIMGFSHDQ ARFALSKTDNDLKRAMDYIFNHDLEAEMNQTQAKQQQQKQDQFDNQTSKYQLFACIVHLG KSVQSGHYVSYIKKNGEWILYNDSKVAKIADPALNKGYMYIFKRLD >CAK83701 pep:novel supercontig:GCA_000165425.1:CT868485:62288:63300:1 gene:GSPATT00018018001 transcript:CAK83701 MYNTSFYLASPQPAYIQSSYFRYYQPPVKVIIKPPNFQIQQPPISTTRVYHTQPIIPPRH SNSIHQSYITRTMAPPTQQMPLNTSYQQQPFVRSSIPYQPQSQQYSKSFHQQQPVTPQVQ SRQSKPAPQSKSNYQAAPQYQHKPQYSQPPILSQQDDDLEKKFQDAIDRTRDLVQKYNKP QPKQEEQQQLQDLALQYEDGYIYRGQGYEPATREGFGVLNDQNDNEVYSGYWQDNQYHGQ GKLINYSAEEINGPFDYRDLSVIENGWLSYEGEFYQGKMQGNGALYLTNGERYEGQFNDG MIEGKGVFITQDGEQIEGIWREGVLEN >CAK83702 pep:novel supercontig:GCA_000165425.1:CT868485:63364:64633:1 gene:GSPATT00018019001 transcript:CAK83702 MIQEQEHIVTLVLNQSIEMGEFAIQEAIQLFELFGIPYQELLKLYFPINQFPKKYEITSQ TLPNYPFVDVYLKDLDIIPKVLSRSLMIHDILFTISRANTIEELPMNVLLQAMPYIENSN LSCKCDIITSFFKITQEKRVELMEQVFGEKGFNCQNTINLTEPDILYYLMITKDQKYIAG FNLAKKKKRREKNFARIYELPNRIYLGPVSLAHDLAFLMANQAQVKENDFVFDPFAGTGS SLVACSHFGAICFGSEIDGYLMKGHCIGYINSKSTYLKDPNYKQVKPYINLNFQQYNLPP PNLIQTDIHLPNFNPRVDEFFDAIICDPPYGIRASIQQDGNEQDLQANRTAIYKRMFEVA RRVLRKGGRLVYLYPLFKGMEKKVEKEDGFELIDFREQKMVDKRSRLLVTMEKL >CAK83703 pep:novel supercontig:GCA_000165425.1:CT868485:64810:66559:-1 gene:GSPATT00018020001 transcript:CAK83703 MDCIFLCPSFNQIFININNFWRLNPENDKLYFKQYFEIIDSMYLSNASSSSGKFQSAKQQ QQESIKVKEQQDQTINSEGDLESEFNQDEQYQRSQHNQKNQKFEASEQEVVSIEVKKEMV QVEQLNTEMQEEKPLTLNFMSMPSNQRNNLQTYKSSNRKLVPINQHSLSQSMISNMTLNN KGAGFRKINAFYYDRIGDQLSLIFMENKNIIKFLARETKVLILVVQNEIGEFCFIDGIDC SSYWVMIMVICQIIDSFQIRDCILQKLIQVKNGRPLMEYFVLILMTILTDFIMIFWVGFN EDWLFIVYVYIIGLVVFFNTFRMKTWPSQLLKGLSYFLYDVTIIGLLLVCFKLLPSIKQS LRDHNPVAWNEYYMFIYAFLDLGMEIIMDLTLSLTKFSELYIYQGQLLIIGTRVGTLIQA DMARFDFWFCLFFLIMFRINNISIVFTVFFRRLIYYASPNSLKPYVSMEETNLTRKGKKW EACWEHLFWIIYFYMFIISGRTFTNWVFDKSYISNGKFETSIWDGYEKSNYMPIIVWAFL LNT >CAK83704 pep:novel supercontig:GCA_000165425.1:CT868485:67055:68832:-1 gene:GSPATT00018021001 transcript:CAK83704 MQYYIPTKDVLGALSDVFSKCNYQFDSSKRSESFEKLASAFQSKLDTNKFKSNLDNLILE KIQSQDENDGSLYLTSQCNDISNKNEQQMTGLLNSIPSKAPPETFDQQIQTDTLISSEAP GVLVDNGCQIIVEKMPQPILQLKRRVQKQRIKINQIYLVKKSLFKFRVFKLNYNNSDFSC QVEQLQEDSQNNLLNQRLENNSDQQQQSYHSSDLIDNLQIIHDQINEECVKCPCGKSFLL SKKKLIDAECQYDRSPNTKQSIRMNDLDLELEEKFKHIRIRSRCMSQTNQINDKMQINDE DQITFLENELQLKSELLETKNQAIFKLHSQIEDLHKLIIDQKDSARNLNNSDSDISNERD DGKYENLQLQIQTGTDIQDQLTKQMIQYSEENRNLQEQLRDVRDQNQDLKEELDKLTIQL TQLKHYQAEQEENKAKEIDIINEDYQDKLSKQNMIIDDLQQQISSISGSPMGLNQLSMPQ TMKYISQQQQTLGSDSQQSKGSNSKTQEEKQQFMRNFKKMTSMTAGLMSNKQDYTTLGVL GKMDQYKSQQKLESFNKLN >CAK83705 pep:novel supercontig:GCA_000165425.1:CT868485:68866:69352:-1 gene:GSPATT00018022001 transcript:CAK83705 MAELTREEKKEKSKQMKDKMKYVEQQARFRKAQTQLREYGLENTTTSTKREIMRALEIDM DDQQQKHYQGLQKKLVRMNYYGSFFGLTTAAILPRTFRVYQKLGLVSRVALCVGTFLITQ QGVAFKYLCDVDDFEVDFIEKHKSKFLETSFES >CAK83706 pep:novel supercontig:GCA_000165425.1:CT868485:69382:70003:-1 gene:GSPATT00018023001 transcript:CAK83706 MGKAHKTKKFATIKRMISKKDPRCQKRHLEQPKEEKKKGVNDVEIKELPQEPSHLFFKHN PALGPPYRILLDTNFLNFSISNKLDIFKNTMDCLLGKCIPYISDCVIAELEKLGQKTRLA LRLSKDPRFEKLTCDHKGTYADDCLVNRVKQHRCFIVATCDKDLKRRIRKIPGVPIMFIQ NHKYTIERMPEAFGAPR >CAK83707 pep:novel supercontig:GCA_000165425.1:CT868485:70092:70575:-1 gene:GSPATT00018024001 transcript:CAK83707 MSDDERLSLHSYDPIQDGDDDIDEFYKELSLQFYGEEKKKKVSLLMSGSTQETNKPSRDT LPDVKRGFLFVKQSSSRPGSPKCDRNQVQRKSPNQKLQSSPTTVKMIQTKKLIQLLQSPY NKKNKQQQTFLKKQIDMIKKIQVQELKEHYYK >CAK83708 pep:novel supercontig:GCA_000165425.1:CT868485:71365:72348:-1 gene:GSPATT00018025001 transcript:CAK83708 MYNFQGNQKLEIDNLKLGYQFEQRLYQREYDSVKMMLAQSEKEKQQATQKYNLLNQKYQE KKIIDILDEQSLQSQIKNDGTRLFKAAKYAILGLERKQDEVELPNKTEKVTDKSLTSDPK IQTFQAQDSQINAQFSEDEQSKIYQSKDDRNYSQSLINFMNELDYERAIYTTQERLPDYD QIPSKQIKSQGQSATVSFMQKNQPKHKISTESKIQQLDDNAYFHFTDDTSRTEQKINIDQ QIETLLDDIQGIVARTSKQPEQTLITHKLKLQNEKPQKVNPVQQIPNFHPVNLAFNQTKQ EQQSTKRVADNSKQIDNLDQLILDLCN >CAK83709 pep:novel supercontig:GCA_000165425.1:CT868485:72459:72802:1 gene:GSPATT00018026001 transcript:CAK83709 METKAQFLFSDRIKLLQQLGPEPGREEIQKMAAMYKVPEGKLQKWVNQYDKYVLPQRKEI MDSDDDQINKLIQEQRQLCQELSALTEQINEIHKKKLFYYQ >CAK83710 pep:novel supercontig:GCA_000165425.1:CT868485:73103:74317:1 gene:GSPATT00018027001 transcript:CAK83710 MTRIFVRRDESKEIVIQKLTYSPTDIEITLQFGNILSGQFYISKMIGNCLMKKEEDFIRI YLIKSEILEGILNTECLKAPPLNLNLLVQQNDIWSLSEQLYHTFLPSQLIQQMLVEYNQT SYQIINQHYQFIPTKELGIFIFKLNSGYQHLNLVISFASKIVNNKLQIHLREAAQYAMLM GLQKMDINKFFDDLKFKSFEEFERINDFWDYIQKFFITTLAYTFFYETKFLQLQIFQKLR HQQFFIRVFIQILQTIQGFRQYLSTHLRRDPHLDQRQLCQIFQNLTDKYLHILFIKCYDD VPILPQLLKAYQEKLDEQITKFKNSSISFEHEINVLTNKLSNISIELNQNETSSYSLKIL SQQNVQLEYKVTKHYIVKKQIASEKQWSISEFPQSEEQIILSHF >CAK83711 pep:novel supercontig:GCA_000165425.1:CT868485:74508:74966:1 gene:GSPATT00018028001 transcript:CAK83711 MINQSDHESLDSQLVEQEPSIQQIKSIRVQSNHYSISNQQQKVESDSLDTLQSIFDSEQE QRLIFETISNISTTNTIKQKKKKLKRVCQANSFRAKLAQRTRIQSFRLDEEACSQDEEES LPQNLMQVDLQFREEAIFSVQKIIETRKNLII >CAK83712 pep:novel supercontig:GCA_000165425.1:CT868485:74992:75792:-1 gene:GSPATT00018029001 transcript:CAK83712 MKQRFQGKVCLVTASSTGIGLAISEQFAKEGATVIISSRDKKHIDAAVEKIRNSGGKAEG YACHAGKIEDLQKMIQFIKEKYGRLDILVPNAAVSTHFGFALDMTPQQYDKLFEVNLRGV YFLIQAAYPLLKESKDSNIVIISSIGGYESEMGLGMYSVTKTALLGMTKVLSRDLAPIRV NCCAPGLIKTKFSSVLWEGKEQAATEFMKVERLGLPEDIGNAVAFLASSEASYVTGETLI VAGRASPRL >CAK83713 pep:novel supercontig:GCA_000165425.1:CT868485:75885:76549:-1 gene:GSPATT00018030001 transcript:CAK83713 MNQIQIDALSELTITLLNTLRLELRPEASTVESFRNLILLFQGTEDWVQINSTAPWSRLV QQLLKPKRLIKLIQNHYHYDLSQNLYLLNYEILDDHPQNEIEETLNRIVCALISYHYQVT IPDPPQVSQASRTTQENSESSLIIPEQTRANHLTTSSSISTMIPIECQNEQYAESQNTRR AKLILDKLTHQINNSVVGHYNKLFQY >CAK83714 pep:novel supercontig:GCA_000165425.1:CT868485:76588:78193:-1 gene:GSPATT00018031001 transcript:CAK83714 MLAQLITLNNLTSESLNISKSLFKLGRDPTNDKQLTNNKISFLHLQIEFEESQFTILDNS INGTFLNGKRIGKGNKVIIQNGDTIHILPLDRVQPEEIIGFQFLTDKIKDSRSHSDEIQS INHNKSKDEIVSKLNTHNQQFEELADELICTICNDYLFEAVTTNPCNHHFCGACLSTWLE KQLHNDCPNCRVSIKSIMIARMMNNLVEKWLKCNPSQNKTATLMAKMKEENLIYKNPNYY LNFQEEYAKKNQNQFDQQNESDEFLSNDDDFDDNNPQLYQNQLVLPNNAVQFQLFNPPPP QQIQPVQPCKSCNGQVWKQYQCTDIQIHIGCSSCGRLMPKRLLSKYLSFNLSAEQENEQL QMMCCICKVYDCKFYYGDCNKPNLNKLMLVKDIRDMVQIPQNFINNVEYQRIINHLKDNQ APFIFDFMMEHYINKGDFYFEQNKRTFNYPLQDLNVKITPDTPVCWQCHRKLINFIIFKY VQSQKYDEPIFSYPDCFYGINCRTQHWNQFHAGKYNHICEQTKFH >CAK83715 pep:novel supercontig:GCA_000165425.1:CT868485:78223:78645:1 gene:GSPATT00018032001 transcript:CAK83715 MNQQANVKYEFEDLKQIVKEGWMDKQSRFLKKWHKRWVVLTNFTLYTFKKQQQYNNPTEV IDLNHIVSIKQADDQELQKVNSISIQTHDSIFYLVAQDEQQQQQWINLISSHMLKLQNKI KLQQ >CAK83716 pep:novel supercontig:GCA_000165425.1:CT868485:78661:79992:-1 gene:GSPATT00018033001 transcript:CAK83716 MRKCLIKYRPHNTNFIWSEFDLQLKTYIYRINELFKDLQCSLHDHDSIIAICIDSNCEEV QHILCLKCIISDHQHESQNILVIQEIVNKREKLFRLIQYLHNKENSDHQSNLHEYTWLQD CILNGKQIPYPSLTFDNVFLTVQDAFLTNMDDLKSQVEKSVHSLQCNSQKYKKIQQFKQR MDHLIKTTHSQIYLNFQSILYNETQEQKSTDAKSMPQAAFLNLSQIDQNVEQMDKDSFEN IHIIENNLINTSFNDTTIISPLMPVVQFLDKTNKRSFLGKPKVKFEEGGKIVTMQKNTVI ISQELYCQDNIEIRIRILSFLSGCLHFGFIERTTYELTKNIDNVGIWACLDSQSSSDHSR LGKVQEFCLQIDSIVGLNLNFVDDVVSLRLFTRDGILILFQKKGCLMKQKQYVYISCLEG QTKLEVLN >CAK83717 pep:novel supercontig:GCA_000165425.1:CT868485:80083:82875:1 gene:GSPATT00018034001 transcript:CAK83717 MLMHPHLNGQQGSSSRSMSISMDDSINMQIQPIVQQMTVVNSHQLLVRRPSNQVQDNLRS PPTTSVTPQLVSEQPPQNELKDDLLLINEEPSIKEQSMQISQDPKKIHKAIQPKVNNPEL VIDEKVQNSYSNVQWSRLVTSKTNVTHPSFQLNFLQDKAAEFAFNVSSLQWLKPKKQNTY YYLSSAIYSAYLSFFITIMLVDLEKGFYLQPIYQVILLAFWLIDIFNQIMFTQFKDGEEI NVIQDLIARYFKYQSIFDLLAIAPIIFCLLSENLPVIQLLHLINIWKIKRVLYELQMLFG KLALYIYYILEFHFVQFIALSIIQLQQDYHINYFNEFMVLFYKSESANVLTYIVRLLILI YYCYCILRFNTKDKWKLNQFSIQNTTKQQINSYLNKLNTQVTTDLNFLDYLPQSYVEDFR YQRYKTILSKIPIFQSSFSENTLKEICNLVQEYTYNPNQTILFQRTSNQQLYLIISGEVR ISQRTEGTQPSCEFKLKILSDGQMFNNKAFFKNSYSNICATSIGYSQIAQLDLERFQECI KHHYQEKQKYKMMLDQIVMQEVYSLCLMRCYACGKFHDIDECDHVHYVPKKSFLVSYIQS NEVQNRHSIKRIRRKQKRSKSYMLKIEENALLYQQLNIESDISDEHHHQDEIISIQQQHY LREVTNPYTDRQNSLQQSQQTPHMVSQQSLRESLQSQQQLGHPLIDIPNISPVQCVQQPP SGISHSSYAQLLKEASVNRKNFNGSSDKNSSGNVFSLPYSSNGSKTNPNNAMQNKEKDEL ISIQLKKAKLQDSVYYHQKRIQNQIETEGQHTPSKYQKYHTTAPQQQQTKTHRSDRKSNS CISESEKGEYQVQQQQQQDKPTSKYGITSSKFTGETAKQFMRNPLEMPNIEFYQSFEKSN QFSDYFPQYNVDQAIESYKQYQQSRSSAHN >CAK83718 pep:novel supercontig:GCA_000165425.1:CT868485:82894:84336:1 gene:GSPATT00018035001 transcript:CAK83718 MIIQLPQKYTLNLIGDILSNQVTLEFDEQQVQQSRESFLTFIQNTQQQLYGFNTLLGQKM PQPIKDLQNYETKQLKQLLECTSADQLQQDLCKITLFVLINNLIVNSGVSLDTLRQLKEL FNNKTELHLPSSNFNTQYYDASVNWSILQVLKNINEYDTIIITLTKSLSFATLLYNTHRS LKCIKMAFIITSISFEATNGVICAFTQLVNEVKQHQGQIEVAQELYNLLTVDDEESDLNL SLKRITHNILQDAYSTRCIPQVIGAALDQIKFIINKIESQEIGQRAYEFEFDNNSKKIQD ILYNEQNNNETELLLIDYLAIAVSEIGAITERRIQRLMNPKLTKLQEYYEEYLKDEQSLF GFQNLANQASNLIQKNKKYCHPVSGDSMPQTTHLEDINNNFEDSILKMRHITNNTIQILA IDMFLSARIIKKLEQKEGKLIHAKLDAIVQKVLPIENVIQVAQWLEQFIQL >CAK83719 pep:novel supercontig:GCA_000165425.1:CT868485:84356:86012:1 gene:GSPATT00018036001 transcript:CAK83719 MSDYASSLGFIQFWGHSKQLNLVTPQMSGTINILLSGVSDLRHLLETCLCNHNRDDLQLN FYIHEKNKETVCRSLLLLLIMHTRNFTYRERSDMFLEVFGNTFLRESTTNYIDKVIPFLD DLIAKETKFTSALNQMVDLRELKFKDRDEMTEVIRSWYSYQEFKIEKLRDQRLRHHYGIR FDHRLNMIDWDYQMNLKDFAPIVHFIHYKEWRQSGVAFESRYASYPTPNRTLASYLPGKH KQTKDSLLVRGYWGDIVVSPYIAFGIYTSVQPENEQLFKVRNTQQVSHAVTVSEFNIQHM IQKFDNGTDYHIHVDEYLERQDNKQKQKEEKQKEEGLEKIDEKEEENEQQEQEKETEQQQ QEQQEQQQQLDKQEDTNISELNTTITDKSIKQVITKDNMIVEIQQDPLLTFQKLKVKIIP IFEDFDKLYGKKKYQNFFDVGVLGFMESITVKDPKLRELFKKQSTLFVESTQFIVPFTKE ERIKGNENVQKISVSDGGWILQNGNLEYITLIQK >CAK83720 pep:novel supercontig:GCA_000165425.1:CT868485:86289:87864:-1 gene:GSPATT00018037001 transcript:CAK83720 MIQKQIFNPPKSISDLFLGSYFNLDDVPKINRHPILKKIIDHINQRINHFFQHQISTLKF LIDQQKLIDSSSTRSSPIVIDIEIDSNQKIQEEQQEKVLTQESELIQLAQYQHPTQEQLN CPYCVCKKSNQTVLKSLALRCAVCKNYFHSSCLRIEKPKKVFVCPECILIGIDPLHELKE SILDPVIFQSVEGRANQFTQKFQMKKGIPSEQLIELRSIKIDGQYEDISWPDLGDLQLNG KKIQEFRPLANNSCLKKRKDEKLMLNIELGQVNLLTIRESNGTPEMKAYRINQGIPYMLG IFQVKVYKLSEFIKKVKMDQSCLLGIEQSKKFIQLSILQNQFDEVTMESIKVSLDCVYDL NQIQTPARGNICEHIQCFSLENLVTMMKNVTPRKWKCPICKQMILGLQVDAYQMCILTII KHLQAECLILQGEVENPELRELLKQQETTLPDSTRSNNNRVIQLEQISQRILKISNQVVA EPKNKYKPPPPLPEPKPIKKIGESFSDAILID >CAK83721 pep:novel supercontig:GCA_000165425.1:CT868485:89215:89637:-1 gene:GSPATT00018038001 transcript:CAK83721 MQKVIAYFHMWIIAYSKSISINRYQQAFQSSISFSKIIYCMYNRLYYYMSSSLTVFLHYL IIKSQVLPFSPKIKPFTTPSTTSLLTKQPPRTPTMQGRDFFNVLNVQFNFTISKEVNVCN HCGVIVRGLGQNLDLQHLEF >CAK83722 pep:novel supercontig:GCA_000165425.1:CT868485:89900:91457:1 gene:GSPATT00018039001 transcript:CAK83722 MFICCNSNKKAKRRENQNQQSIQQKPNQTKYQIRVSIEQDQHSTNLTNIEGTSDKMNFSS QDVERFIIDGRKTIEQERELVMRLIELPEKTHLIEFNWAFAYSNYIKDERIHPGEITNNI LVEKLRNIKELVSEKDYVLVNEQVWNMLVHIYKGGPMLTIHDLDRINLEKTLRGFSSPPI VDLDKKQNQFRLLSQLPVKEIQIVGLENENYFCYLNSVLQCLMGIRQLNHFLLYSYNQEV QLFTQAYTFLLKKANKKHYKGRVSPNELIKILEKHFSIYEMHDKELSLKNQLQTTTFIDE LFQGQITSFMKCPYCNKTITHQEVYFDLSLPLLSKSFIQRKLTINECLSNYFKEEIIDGE WRCSVCNQQSKNIKRGIKISSAPNILILHLKRFQNYPLKKKIKEPVNIDMEINIKNYCCT DITDTKYDLSAMIVHSGTIDEGHYVAVVKRNQQVIVLTYKQLSFSYSMMMKSKDFHMIKS LALILLTFLSIIEKYD >CAK83723 pep:novel supercontig:GCA_000165425.1:CT868485:91488:92590:1 gene:GSPATT00018040001 transcript:CAK83723 MQPKELKQNFKVLEFNYPELFNKAMTFKQYNQEYTARNKDNPLKQGINENLIELGSDLFD FYFHDFFTFQDLPNKDQNTFTSYSDIRTQKQQLLTEKNLAEVAIQLGLKEKMLVSINQNS DLKNNQKIQSQSLKALIGAQYFDKQKDLNLLRKLMQPIFKDLIKKSLEKTINVQNESLNL VKQENFKGEFIDFMDKHPEYTYKLVFQESLNLFDKNRKIYQYELLINDVLPLKSTGEQKK AVEAQVFKDALQLLKTDEFLQLLDDSMKNQQEIIQQSGISESQVQSHIHNNLKFVQQLGQ IEESLKQKEQINEQEELGRLVESYLQKF >CAK83724 pep:novel supercontig:GCA_000165425.1:CT868485:92601:93520:1 gene:GSPATT00018041001 transcript:CAK83724 MSEQDQPTNSRYSTLQSNNYQSQLLTTHFGKLLDKNEAFFNHLKGDCICGRCICGQCKCP KMLLSNDFKKGQESLYQRDFITHGKQKQIPLIDHNVTYKSQLPMDIDTIQRSTYQAHTDA KPAESLKPEQKVFLIPFSSSSAYRLDYAGGGMSSLKINPPHHPTVVDMPMTTHSTYQDNF YKKPIPREEFLTTANKSSFKSPISPELPFIHQSTNSAFYKPYQTGKLNKIDSEQKVRMIP AFDGQFNSTTQKDFDDKNPKKCPSRVFLNSLYKQKLQQIA >CAK83725 pep:novel supercontig:GCA_000165425.1:CT868485:93562:94523:-1 gene:GSPATT00018042001 transcript:CAK83725 MDRLKDIESMAHGHAGALAGLFSTCLLYPLENIKTRMAASQQKEAIQEVIIQVWDQEGVW GFFKGVTPLALGNYISYGVYFFWYEYFKHLFKTDIANSFDLIKPSLASAILTTFVTNPFW VVQSRMTVSKDNLNFFYKTKQIIEKEGWEALMKGLQASLILTINPIIQFVIYEAFKRRLQ YVENQALVNFIGGAISKAISTILTYPYQLLRTKIHVKKNSSKSYFSAVEKILKNEGIQGL FKGLTPKLCQSVLNSAFLLMFYEKIYEIIKQGIILIIIEILKYRKKLRKLQKLK >CAK83726 pep:novel supercontig:GCA_000165425.1:CT868485:94782:95228:-1 gene:GSPATT00018043001 transcript:CAK83726 MKSCQRIESNHFISSQQSFDNVSIDHSQHSRAHGGKDLFDLLKKMTNVYDMQEIVQQDFE DSIDKERDRLKQQQYFIKSLVNIRNKTQKNLDDDVFYRLNPQRKKSKQRIQKLVEYFETY EDQFSKEQLFN >CAK83727 pep:novel supercontig:GCA_000165425.1:CT868485:95385:95800:-1 gene:GSPATT00018044001 transcript:CAK83727 MRQIRTPTKKKPAMFATTNLEQKQDLVHMKLSKLLSQRQMLNTSHIPKKHAHTLITNFKY LQSVQKFIKSLHFVEVENIFIQQEIVDDLSKEVQKYCEVYDPDEEAVMKFLETKKMFFQH HYDKALEGF >CAK83728 pep:novel supercontig:GCA_000165425.1:CT868485:95994:96581:-1 gene:GSPATT00018045001 transcript:CAK83728 MRNKDDPRDLFQYHFEQRQHLIRYKKKLSQSEAHSSNQASIMNIFATQVGQVAQEIVEAT IEDEIDLKLLELIKNVNNELNECILQQDLLAFTDQVVQNYQEYKEQFDRLGVECIQLKEK KYELQSNMREIIYCKYPETSNGLLPLKLEILNFLLFLFIQNFLIQQVCFSIKTFIVYIRQ LQQQLDYFKYIINKC >CAK83729 pep:novel supercontig:GCA_000165425.1:CT868485:96641:97321:-1 gene:GSPATT00018046001 transcript:CAK83729 MNQGDNFFQELIDGFRSFESQSQGDEKGFKNPFNQLQRAKKKISKQLYQKQLYSPQQAYQ GSTSNYFSMNRQSFSINEEARNSNTNLTSECYCFNLEKITDCKKHTDSVLDLLRGFNKDN EAAIQEGIQSNKLLQQSKINAIETQEYHQLLKNVQNLKKQSLIDKHSLIQQEIKQIQDEY IEYRQNYQQKYEITQQQRQVIEGLDGKKAKQN >CAK83730 pep:novel supercontig:GCA_000165425.1:CT868485:97963:98598:1 gene:GSPATT00018047001 transcript:CAK83730 MIAEILKNQRYSFVLLDLHYCKIQVPVIITFAWHCMKCYFIFTCWSDDISINSIQFLVTL IRQIGMSNILSAFDLIKFIFLVNIQQLQSISAQNLFMKVLKFTKIKMRNARVTILFNTRS PINQILILTILLELFINSNLLLVLTFCLPLKFTLFLLNKLTHLVLKFILSNFQACLKLDI MKTQLHCLYVFKISTQDLCSSTFAITIYRNA >CAK83731 pep:novel supercontig:GCA_000165425.1:CT868485:101086:101614:1 gene:GSPATT00018048001 transcript:CAK83731 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDATRKGIPPDQQRLIFAGKQL EDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEHIRHY >CAK83732 pep:novel supercontig:GCA_000165425.1:CT868485:101698:103670:1 gene:GSPATT00018049001 transcript:CAK83732 MEEHFQIITSKRNPLSTLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEG IPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLKRWNTLTGKTITLDVEPSDTID AVKAKIQDKEGIPPDQQRLIFCRKVIRRWKNTFRLQHLKGIHSPLSFEIERWNTLTGKTI TLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRL RGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLS DYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQ RLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDTIDA VKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKSLT GKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHL VLRLRGGMQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDG RTLSDYNIQKESTLHLVLRLRGGQ >CAK83733 pep:novel supercontig:GCA_000165425.1:CT868485:104296:105528:-1 gene:GSPATT00018050001 transcript:CAK83733 MFEQRKSNVDILFKKSLRSGNKSAKLTKKNSQFHDSDTIQRQLSQIVFEMQNKNNSFELA IQLLTEESSVLRQHLSFQVFIPEIRTQFGEKLLKDKNLWIIILVVFHSLCKEKSKYSDFL QKNILNSMQKNYQDENIMKSIQKQANQIISTSEVGEQQLQNQSASKCSKKQLRVQFQENK ENQQSASNHKQYQVSNQIEYSKEQENTLSNEQLKKKIDDLTQSCLKMNKESLENQEIVVP QVEEIINEQVKELEEELKNKHDQSNKTQRQSITEKLFSQLGFKAWRSEQKQIIPNPIQTK MRGIRLLDGLDSRELQTSYVARVEKILVPNLNDNGLHSIVQVRFLFIKLSAKNSQELLEW GFYAIIQLSNQDCASKQYFEDMQYYKQLVGFKFRQEKQIYIPFGKYELII >CAK83734 pep:novel supercontig:GCA_000165425.1:CT868485:105687:106160:1 gene:GSPATT00018051001 transcript:CAK83734 MQLLMSTLTGKTIAIPFEPSDNIRKVKLKVQEFEGIPFNQQRILLEQSELDDNQTLSRFV IENNTMLKLLLRLKGGGQVFVKTMTGKTITITTEYDKTIGELKKLIYEKIGIPPQQQKLI FAGKVLDDCKKMEDYNIQKESTIHMTERLKGGFSDKI >CAK83735 pep:novel supercontig:GCA_000165425.1:CT868485:106620:107160:-1 gene:GSPATT00018052001 transcript:CAK83735 MKSIKLIDNLQAFNIDYKITARHALFLTYGMYLTYQYYTQMRTCDDQIRDVQSYIVSKEL LKQKSQIHQQYQQLYSKSNEGILDCTLDKGDWIFSNQNPKINEYFNQKLSLNYKYQTIMP LYLCAGTGFPSLTILCGYFQNRDDRFVYGLIAAAIFSGIKIIVKV >CAK83736 pep:novel supercontig:GCA_000165425.1:CT868485:108723:110123:1 gene:GSPATT00018053001 transcript:CAK83736 MITLDHVIENSIKMGKFQYIVLCIISMIDFADGIEKTLIGTLQSILKNQWDLNDLDISLM TSSYFIGIVSGTLLCAFYADHVGRAKTLLYSSLLAFLLLLWHSFAQSFVEILVLRFCFGV IFGTTIPLGHVVISEIVPAKIRGQIMTIVATVFIIGKIYCTGLQMIFLNDFKSGHWRLLL IVNSLPLFLCFVSSILYLKESPRYYFTKFNYDQGFLELEHIGKVNNGTSYQLTDEQKQGI LEQQQQINQEQEPIKTVKYLFSRQYINFTIRIWIVFMLTSIIETTLYYLMPFWLGDSQKG FMAQMYMMLAELVAGIAVYFIIDSKKTGGRSKLVMILSVMIFVTSLILIIFQDSFLIYGL TIISFLLKVMFTTEMVIMNENYTTQYRSMGVGMTQTVGKFMGVISPYFIYPMYSEDPYSP FYLYSGCMIVLAVMSATLPFDMTQVELDNIEVYKQKQNKKSLVDSE >CAK83737 pep:novel supercontig:GCA_000165425.1:CT868485:110278:110818:1 gene:GSPATT00018054001 transcript:CAK83737 MKSTYIGYISIRVKQINSIRQEIPQNYVMPHNLYLKCFNNKQMNKVVSQFRSDSQRIVAN ISQSNNKIAYTKSNKIKTFLQQTKTFYITADAYKLAPDQEHSQACKRFVHVNQNEILTLL QIAHQVNNPFNKKHHPSYQISFLINEFILIIIKNHLEIVLNQFILQNEYV >CAK83738 pep:novel supercontig:GCA_000165425.1:CT868485:111280:111611:1 gene:GSPATT00018055001 transcript:CAK83738 MNNPAQPNFNFNSLTTNLEEDLDCGNVPSYASSLWGTNKRDKKDYILTNNKLHSDSNKTF KSIIKLSNTKNFNSKRYKLNFDSVDYQTPPKNKETKLKFIE >CAK83739 pep:novel supercontig:GCA_000165425.1:CT868485:111655:112254:1 gene:GSPATT00018056001 transcript:CAK83739 MMVKKQNEKLQSFSQIFLDFQRVSDQQHYRNQSSLNNVTMAMLKVNQNKKDQIVKLKNQL LSSHLSPDIQKLYLQSQKIEIQQQTTRKRLQLRKRNRQHYYLFKRRSKQQKNNHLKAHLG DFITSFQVIFSLDHQLGEIFKLGIKVSKICLHIIRIKIMKMIQQALYLKEMEIKFLKNKN LYVKVNTVHNTLMTTMINL >CAK83740 pep:novel supercontig:GCA_000165425.1:CT868485:112790:115261:1 gene:GSPATT00018057001 transcript:CAK83740 MSVRIKYTSIIEAKTGLKSLGLSKKNILALGSGEEEDWLLLNEVNAQFNLHPIQIGKMEK RMISQLAWSPSGLYLATSNDKLIVWQFNGGNLSISRTYQGHKLEITALCWSPDDQRIATA SLDGNIFIYHIKQDGIQHKIDCGQKTVGICWDPFDKYIVSLKFDNTVQIFKYKVNFYKID NWKQEITVSLQIHGKQCTTKREDRKLDWSVDCRYLAVPNLDDKTIPTVAILDRNQNFQVV RTIIGPFSSINVVRFSPMLFKNIIAIGDNDGNISLWQINENQVDEQPFLLVKGHKKAGEL IEDITWNQQGTVLMATTSKKYIIVIDFQLSLGQVLNETEKQQAIKSLYGDLKNTIKQIRF YQPKINNDNIKFADMQLENIDVQDELKNQIPDSAFQQREILKQTQTQFKQIIVDGKKKLI PLDVNSSEPVFKKVEQQQPLLIIQQYEILPKSYSFSMNNKSLLLEVQKQQQRIFNQAVYG TLIRCIENNTPKWIDYVEGQIKNIQYNDDLIVIYTDQALLYIENHKGMRQESPFILPFLS QIVLSPKNYILCLQNTGEFKVINLNTKTISYEGDIKILMQHVYEWMDQKQLFNEDEKKGK QSIDPLIKANLKIDTEGYPLVEFLFKDYCVYTYHKQLRQWIKTKQIDVNNQNQDIKNLKK FNFNQYQTPPYNDAQIYKRIIQKSTIEDAINDIQQISKLEEQLKIYWSDGDIKTYEKALY TYIKKLCDTWPNNQEKLSNIIETIITNYNSAEYKYLSERVQDMEELKKKIIYILQQFPQT RDLTLCLFPDHF >CAK83741 pep:novel supercontig:GCA_000165425.1:CT868485:115288:115980:-1 gene:GSPATT00018058001 transcript:CAK83741 MKYGSPKVSSNTIDVLDETLSPRKSNRPYVREKRSISNKVKDFTYDNQLFDKVDNKIRAY LQKNQVNYEKTLIKLYKLDSLKSYKAKRLLGELNQTRRKQQFSAVRQTISMLNSHTSLQR ERNNSLQSSKQMQMDLKQIQQELKKINPDIEHKVLMNISVFKFNQSNSARLELDEWERVA LQYPYKIRPKMTNFEQELDRLNSHYSRNEKCLNQLMHIQKDLLQYKLLQQ >CAK83742 pep:novel supercontig:GCA_000165425.1:CT868485:116010:118827:-1 gene:GSPATT00018059001 transcript:CAK83742 MSDSEEEQVKQAPIIKPHQKQQKDFYAEQLQANEEAESQAPLLVVVQGPKGSGKTTLIKS LVKHYTGQKIKKLVGPVTVRSNKQHRVTFVECPSDINAMSDLSKVADLALILIDASIGFE METFEYLSLLNNHGFPNVMGVLTHIDFFKDNKQLRKTRKKYKKRFEYETGGNYKLFYLQA LKNEYYLKQDIHNLARFISIIKIAPVRWKTEHPFILADRYEQGKDNTTTFYGYVRGCTYR LNDRIHFVGQGDYYIDSFEEVLDPVEIITNQKKHRSLKDTEKLVYAPMSSVGALTIDATA GYITIPQPIFTDKNKFVNIEEQEDEEIEEQEQEQELPEGVRMVRELQQLTEGIDKQLEEE EDVQLLEGFDLEEEKPIKKKINQQQIQQQRELVRLKNRVNVKIENGTVMPITDTLLATDL HELIYQNKTGINEFDSVRYIAKVQERESYREIKELFVTGFYGQTDVVDLENLEKQIEEEQ LEEEQEQEKKGENQKEKDDNQNQKENQQQRQQLVNQLTNSNLGLYKKGTYVKIVIKDFSS SIKDDYPMILARSEVGEDNLGFIKIRIKKHRWHSNILKSNDPIIISLGWRRFQTIPIYCV QDPNDRLRFVKYTPEYDYCYAIFYGNFAPQGTGLVCTQSLSNKLSKFRIAATGVVLEMNH QFDVMKKLKLVGEPFKVIKNTAFIKGMFNSSLEVAKFQGGLIKTVSGLRGHIKKPSKLGP DGSFRATFEDKIQMSDLVFCRTWVRMHIERFYRLITSNVKLMKTMWELRQEKQIPLEFKP DSTYQDQERVPVKFAPLRIPNNLQQNLPFESKEKVKSLSFRERLRQQVEKNLPVKSNMTD KEKEVYSLIQRLNTIKNEKEKKREQKDIQKQKVKKAQIKGQKQHLEEGKRKYKQQKQKDK FIKQVKKQQQQQQ >CAK83743 pep:novel supercontig:GCA_000165425.1:CT868485:118858:119436:1 gene:GSPATT00018060001 transcript:CAK83743 MSQQQEEQKAIPLDKLTPQQLLQIKKQIEEEVQQLSQSLSQFRIANAKYDESKVILKRLD QTPKDNDLLVPITASLYVPGRLISPQSVMIDYGTGYFVERNTEQGQHFCDRKLQLLKESQ DKLSNIINQKKQFMDKLNIELQKRVLQVQQIQQQQQQQKK >CAK83744 pep:novel supercontig:GCA_000165425.1:CT868485:119449:120899:-1 gene:GSPATT00018061001 transcript:CAK83744 MSRNQSPRRFQNVLDKWHYIKCSEIKIHFFVKNLYMGNQTEDQFLNFVKDRVQKAGPCEV IQITDKNLNERTIDIAIGMRYDNDAKLIYIGKKHINLVSKHQSEKKIIPLISDIFLMMLR EKGEVDKQALEEKLRQQRFGEKKRDRSSSQDSSKSESQDKKKNAIVLNLQVIPQQKTIIT PPIVDIQPVQLTIPKETIYIFGIPQEFNLQECLMDIKNRLKLQQLPEGTFRSEKQQDHIF EYLEVQLEILQKNVQLKIKNVPCLICQKKKKSESFSDILKQYQLFIKTQHPINTNKLLSI FQQYGDIIGLYEILLNQEESSNSYCIVYTSDQFIKQLKPPGQIEIPEEKTICEYRIPDQN VVSFQRIPYKSTLHAQISRDSSSSSSSSSSSSSSRSRSDSNPRKKKQYNKNNYNNRKRQN YRRNNNYYDN >CAK83745 pep:novel supercontig:GCA_000165425.1:CT868485:120927:123604:-1 gene:GSPATT00018062001 transcript:CAK83745 MQSAKEFKSIAITETKGQCGFDISGNTALLAWNQSLVEYDLMEHKKTAETVLESPMQALA IHPLNSQVFAIGDMRVDTYDDIQMTAPSLIVTLTGKVTQIKYNKNGKIMGIASEENSLIL NRDTKISRCKPGHDGMIISCAFDPKDRFVCSIGCDGCLIVYQLSQVPHLLQRYTISKQIS PDAFQKLQSDFHPSGDSFWIAGRMNAQYIITEDEPQDLQNQYQISHSSEICIIRWIQENC VGSCSLDGEIKLWNFKSLTDLSLHWQFNIKCTPLHMKIIQNVFYLLDCNGNLIETTLDPP KTKYDKIYQDKISAIQDLVDQKTKLRISQRMEEELMDEEIIENKDEQDGIKKGNQMERQQ KQNLDSGMQDILRPGETQPSRGRQYLCYNTVGTIILCKNTNMIEFEFFDSTFYKKFKISN QQRYAIGTLNYRGCVLASKGPNDLDEQGYLVGDGKSIVFFQQFIGDVDDFEFALPEEEGA ELVAIGNDWFAIMSDHGICRVISFCGLELLEFNTNRAVVSMCAYEHLLCLVFHDTSPMLN QQVLKGRVYDIDLQKQIEEFDIFLTPFSSLSWIGYSDEGLLSIQDSKGVIKIYQQGRWIE VFHNPKVWLYGIQDYKLCLLKTEQPLASMKQEMIQESFEQPFVCSIQDEKLIANYKQFLI QLIILNHELYRWNEFGKYYYARNDDKQNDILLRYTQNLYDTTKIEETQKLLRKYRVDLFK QILNESKDKAIEFFKCHIKDEYDMNSIISLLELQKEKQTTNKEFQKLLNQIKLLQRQQII KSQQNFNIDKRPQSPKRQGFGFLKQNDQVNMSQIKSILEHKEQHHQQQQQHIQQFQRVES QQEEEEFNPFAKSANKKQGSIFDIGEKRKPTQTFGTQNIKKKN >CAK83746 pep:novel supercontig:GCA_000165425.1:CT868485:124590:128912:1 gene:GSPATT00018063001 transcript:CAK83746 MSYIIFNIVFCGLQVMAEDTYNFPLQSNQPNIIYLEDYTPDKFQAPLYQLERDNEYNLNI QQSALSLQQGQFNLNGKILSASNYISESQLSSNDFWVLTQSNLYQGLLQNNGSLTLNQVP FNFTQLSCFYINLVTENMGMISCLQKKYLNFVLINLSNYSHQIYETNVTFPKKFSNRKIN LQYFNQSAFNIYLTYLTKDNATAISFSYNLKQLDYASEQVYNGTFIQIVLSQDFNFLFLM SKKSLYFINLSTQSSFKEIANYKMTTPRIREHFSQMAVYQLSKNIYHVVLLQNEYLSQLY YDFNSNIQTENHKHFVLNAYKKIIQLEVNVNSIYVVTNNYVTKLNSISSIKPTNYYNYSL TSMALIMNERQIAILFDTSEQSTKFESMLFNKPQILVNVYQTQLMVSNLQAVKIKIKIFS QIQRQIEHTFLLNIIMSDISQSQCPVQFSNNFPNANLVYPIETTVKGNTMTLGPNITTTI TNSDKQYLTFQKSKINKYLSDSNETYSAMCSIYRSQIITCAQFEDEVRIQYSDSLQLTKT STYFVVPINFNQNLVQCTCSQTFFQIEVLMQFQTQILIQTIDYQLFQQGLYQYSFSQGIL SSAFLDETLFVITTDNNLSAYSKNNNKNPKFTVQNYQFNQIYLNKQNYPNYLFIDNQQSL VILSYNGQSSCQFVNQIPYPLLSYEQLKLGILNTGIFIAIINNQRNTQLYYYPIQSVYID SPIQSYYELNLLGYQFAFSQLQTGYTQHNFYIILYKSTSVYWAQYQGSGSSFFSLFQSNW IQAISSSNYISSLSVIEFEQGKQILEYLQIINTKTEFKKYVITDWSVELTPLYRAGDLAV QTQVTYNASNMLCYSLQQQDVTILYDKKVILPQIQGLEKKTIELTVNQSQFEVNPLEFFN GNVDNYTATCKNCQQFNFTQPVSQQNQVLLGQYLQGLISLNETFLYVQNNLSVFLLEQST GQLTKLYDFPKRAQFCYNLFVEIVTQFPISICSNSIIYSYNMTSKTIMQYDLSNLVTLYL KFYNNGILQIISQTNIYHNYNQYYLQIIDDEIILTQIYTYARFQQTSQIDQVIVKLSSNF TSDPCQILGFQNQIAENLNPKFYLLKTCMPSSIAQYFGFPGNQYTNVTNLYFLIQDWMLT QTQVVSVSVSKYMQQVGSPKPISDQVNQILIACPYTDNVRVYRIQFNLTNGRIIGYQFSG SLFLDFSSQYSLNCANNVIFLHNVSVISQNQSQNLYIYNVEHLFYSNLQIVPYISYMPNI FSSSKVLFQVNGNNLLFSGFQESRSIIITIQNDLRFNVSVQESEQAEIEILALNQQSQCQ RSLFVMDEQNDDNNDDDDDDNNDDDDDNNDDDNNNDDNDDNDDDDIDNPNQKSKSSLIII VSASVLLLIIIIFIIVIYCRRKEVQKQNKEMSYQLV >CAK83747 pep:novel supercontig:GCA_000165425.1:CT868485:128974:131260:-1 gene:GSPATT00018064001 transcript:CAK83747 MFIILLVQCINAQVNPMLYKWGTYKPQLIHAITERNMQTFNPLTITFYYFMNNNIRWEKS IKYKINERSNDEPTYYQYLYHDGERYAIHETIDGEYKVRFTNEFYKVNPEKIEDWVTTVV NNDALVGDEEYISLVYSIAIEKLNQEKTDLRKLQVEEEGDSLKITITTAQQVNYIYVALE SNGKQVNLNQNDNFGYSLQFQNPTLNYNLRTLLYSELRKTKEDIPFKFNKTIDQEQGNIV FVQYVAKRNELFKFQLRYMQREISVSSDYFFDARILKKLDNMNFFSQFNRTFNKIPLKNP FYLSCSISSFANLIGGFATSFGNIECEEANPICSQQKMMFTPTPSRFGFPRPFLWDDGFH NMIVCRWKSQLCIQSLLDWFDTMSSNGWIPREQARSPEQKTAVPQAFLAQKQSETNPPTF IFNFLYLDSLKNGEIQQQVRKLYTKAIDWYHFWIKSQGVNEGDNFLLFKWWGMNDNINFG SGMDDWPRSHNGLKSKFNIDASMWGWFFADSMQKLAAIYDPQKSNQFHQTAEMIKKSINK YHLDPDDKIFKDVLIDGSFSPHLGYPNLFPIAFGFIDPTKSDILDAYVNMIKKELWTNHG LRSLSINDNHFGKGDNYWTGPVWIPINYLVLRGIQKYYSTHKGLMDLYKELRENLMNTVC INWRDRGQHYSTYTRYFFEHYNQRQDGLGRGYHPFNGWTSTITLIIHEIYD >CAK83748 pep:novel supercontig:GCA_000165425.1:CT868485:131280:132277:1 gene:GSPATT00018065001 transcript:CAK83748 MELLIFQRLIRLHKEFIHLYYSHMHKRSKLVSFFIGVASLYLIKTLAKLLWLTVPRVRSN LISKYGRNSWAVVTGGSDGIGKEFCIELAKQGFNIVVVARNEQKMNELCAQLQNSHVETK TIVVDFSQGHSVEFYEKVKSELRYLDISILVNNVGMSEGTLFAYEKMDNILKILRVNALS TLMMTRILINKLECRQNKSAVITLSSALAYLPCPYLTVYSCTKSFTHYFTQSLGLTYKNT HFLSVTPLGVRTKMLAMKQGFEVIEPNQLVKNVLDDLRVGKQTSYGWNVHKGIINYVLWR NQNYRDQVKVRIGNNLRDLYLSQK >CAK83749 pep:novel supercontig:GCA_000165425.1:CT868485:132461:132930:-1 gene:GSPATT00018066001 transcript:CAK83749 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGPSGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVRDIAHDFQKELRFQSSAILALQEAAEGYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK83750 pep:novel supercontig:GCA_000165425.1:CT868485:133092:133961:-1 gene:GSPATT00018067001 transcript:CAK83750 MINQLLSEQNFLTSITHHKHSSLVTHLSSPHKLQIQASRQNRHIDCQGEVYDKRDLINAS NDNQLLKPETVRKNNRLKSKPAVSRFMKKLIISNFKDNKEIFYSVTPMQPIYDQFKERYE QRERDRVFQNRHFDKVYKHHLSRAIQYILQQVLNFLSFDDVFKVNLDRSDYDRYLKRQPK LLRNSVSSMDLINLQQTQLDNELTTNRKVQLIANVFSDPHFNAKERQVLYQVLRFQIAQA QKSIVKLEKASIGIKALNRFQTKKEGHLEQLAFILDYMQNNYDKLVKLI >CAK83751 pep:novel supercontig:GCA_000165425.1:CT868485:134578:135253:1 gene:GSPATT00018068001 transcript:CAK83751 MSDTETESLNPYYKEIVAYLHTQQILIFQPYDMLDEFKILSQFQFRLKLKISTLYLAKSI YLRTNILTQDYLLTSLWIATKFDQQDRCKWQMSTEIETHILQKLNFEITKPTAMDFIEQL IYYIKKTKRISAEQVRLIYALSLMSLPWKLNYEPSQIAFAIIIISFTLKNIKNHDFQSDC YTDVCQQLLNKYDSNIIISQKYPASYLLIKKNLHRLY >CAK83752 pep:novel supercontig:GCA_000165425.1:CT868485:135700:136563:1 gene:GSPATT00018069001 transcript:CAK83752 MQECKFTVILDQCVNFHSSVYIPFLQVIYTLFLFLVGFSKKLLDKNPPTLQIQLLLQRVL NFLNTLSRSKIEEFIQSYNVKTRFNAIMKQYSEYKLSIKCQNLQSTIKMILLGLTQYCKL ITLTQKNTQKQRAIQRIKNFAFVRNQLVLLRINQYHIFEAIIYIQDSKKLILNYLYRCKH MYSKMSIIKVKQIMKHNSAMCNHPYNNNQSYCDFLIKQGRVPPLSLTIISSTCKLSKIGY NEILVYVSRNYLSGEEIYISCNQCTFSKLAFYTIIRARIFALMTSII >CAK83753 pep:novel supercontig:GCA_000165425.1:CT868485:137127:138035:1 gene:GSPATT00018070001 transcript:CAK83753 MQIQFDDILQIASRGNYVIKSFVLLRYLPKNLFTVATVYHPSSRGILKNSLNQNSYKHNS QLQHPCELQKSHPMKHSQIDNQKYIPIQQEFQADIAAVREELVCQNVIEIGKMRYCWLLK HESTETLLFVQILQCSILLSKVKQNVKIIVVIQFREFTSIQCMQIKFVILFPQHFQIQCQ LIVRLEFERFVEQLLIFMSLVYEYCTISITISLNLCVDTIKLILTYIPDLNDNIFITIRT FTKYVMLNYFILGECFKRQNIINMEVIFIYSLNNWNDTEKIFHIFDKTIFTYLQSKVDYE YQ >CAK83754 pep:novel supercontig:GCA_000165425.1:CT868485:138295:146340:1 gene:GSPATT00018071001 transcript:CAK83754 MFQQVFFIFLGCLNLVNSQWTIRYSLLYLNTYFQDDFAPNYINYPFVEGTNFFMIPLEFN RTANFINCTNPSTSYITLDYEYPKVQYYFLKDGQFQGNGYIHYYYNHIQSYFYKGILCFD LYFQGNWTNGKVTFSIGSFIEDYFYDSPENYTLNSSFCDDFSYDIKKVKINIPQDVSGDF QFGIFNQNESGSVSIRKIYQMHYQFSCYPSCESCTGPKKNQCTQCYFGTPTNNICPPCPS DLYYVKNVGCRSKCESIQPLCFDGFCNTCPSIHKYILNLDNFSLGFNQVQYKIASNPNSY KDFLLWSKIYDPKFIDDSVDVFNITYPMFFTIYGIFKYSSGIMRQIKINNILGNYLKVTI KILVFDGFPLDCGIQFKFNNTYVGSIYRNTSGIQLHKFKEFQQISVGPQNSYSSSDLYYI YGVFDYPKYNILFSAIGNFSDPSFGWAMKSVEFYSSQCTINCQSCDNVSLKCKICYSSFL LYRDGTCLPSNQCNEPHQQMISDYCKDFDDETPYSSLLIKEDLNHFEQDYYPEYTLVSQS GFNLLTGSDRYFSYWEGKRILGGQFIWAQARLRRIHQNFGPHHSISIGFYVLFGPAFPSD GKFIYQIDSQTFFTSTFGASTFSNGTKQQIIRKKVNHFQNDLVLELECFGPNNEVTQAYC GFYNYYLAIHYCQPYCLECTDDKSCTQWNSTYSPTLIQFSQAECQLNQYFDQYKMLCVDC EPTCSTCKSKIVCLTCLNPTYTLTSFGCFCKQNQYEESSQCFNCPIQCNQCLSLTYCTEC LSINNRKLSKGQCLCSEGYYQKDQDLVCLLCDKFCGTCFGPTSNDCLTCNIEVLNIQLVN SSCICPNNSFYDIQFNRCTFCHSNCLTCFNGSIDGCLSCDSSQKRVLTGLNCRCNTGFQT LNNVCIQCPNDIDTSLLECYKYCNNGDRIWHLNPCAACGSGFTLISNECIPICGDLQVVG DEQCDDGNTIQNDKCYNCQFQCPINCQTCDINTTLPCPDICGDGLITGDEECEDGNQVQY DGCYNCKYQCQNACTKCIKGKCTECATLGWQIDLLSEPPLCNEVCGDGLKVGIEECDDAN FNNFDGCHNCKFLCRIGCSLCDQTRTKCLRCEFPGFEPYQYYCQPIQNDGLLVFDPYGFY YEKAQYYYGSWCDEYCNSYYGTCNVCGGVNAHYPCQPLECTNCFQGRCISCIPGQYLSSN NICKPYCNDDIKALNEYCEDSFILPYRGCQNCQPKCQDSCSVCSTRGWGCTQCKNGYELN DFLCYSKCGDFLMTYDKECDDGNLIPDDGCHFCKLNCQATCLICIKGICQDCEEGYQLIN SRCVALINAFEQHQYSNYVECLQQEKKRIIKHTNYGWNDIKQQITLQNTSCKLCFLNCEI CNEDTCIGCLQGYYFNEQQECQSECGDGILVQEEECEINDENCFHCLFVHPQYCKQSLND ACVNCDVGYYLDQIKMICTSYCGDGILAHDEQCDLQKKGCFDCKFQCSEDCLDCQDGLCL SCQDSFRVWDGGCHKVEQLVKQDLECKVQIQDVCFQCYEQYELNLNGDCVPSCQDSCIIC KIGLCYECKESYYLDQNSCFLINECSSYFITDFSLLEKTCRNIQQSEFLRKSHNYIKSDE ISHYFKFQLGYELDFIDSEFFQNWIFIINLFNNLSVEIAENEIFQVNTDFDYFDSNFDLQ DHNCNTHCELCVDTQCIQCQIGYFVSDFSCVSICGDQLIVDNEQCDDGNTDQYDGCYECQ YQCSNNCEICQRGNCSKCLNHFEQNSLYQCRKIEQIQIASNEQYDCKAVQNNSCLFCEHG TLESITGICIKNYQLENKCIGHCSLCLNQKCQQCEYGYYGTYCLEGDGTNLPIQRCNDFN QQESLICKQFQQCNSNCLYCINKECSLCNEGYFLFNNECIIRKVHAVAYRSNIEPVCGDG IVQIFEECDDGNNRQFDGCYECRFSCDYNCYDCFQGICQACQDGFLLNTNHLCDPFCGDN FVVPYSNEQCDDGNFDSLDGCYNCKYECQNFCLYCNQIQCLKCEEGFGILKTYCFPKCGD GIIIQEFEDCDDQNEEPYDGCYECKFQCRKNCLLCNKGVCLDECPEGMVYVGDICMKKQC TINCHNCEKGVCLECNPGYFYDDQVNLCLKDTQFDSTKNKSPMEMDENYYLCRLLECVYS PAPIMQMTFLNQTFSRQFVRIFFDQPVKLKDDEEEIQFYFNFTNLQETDYIITLHPQIKI SSEQITVAEYIVEISNLAQLNEKPIFQAFCQTEVINSYNQTLQNNFTSLKLNYPKILSSQ QVKSSQFMQNTNKIFMYSAISVSMISLFSGDSSFLLETLDVLQQQSFLKFINVDYPENLH IYFQASDMLTVSTYFNQINLDYYYNLITRKSETYQVNGKFQLYDVDPDLITSLLPQVLQC SGLVLLLLLSRSIYRIFQVIMSKQKIYNYFQFQSGVIKTTFLKVATTLRNYVMNLIKIRR NLTFNHYKHFFQLNAWDLLFKALLQINFSSYKEAKGYIQLVGSFFLIFVYGSYIIEFLRN KGKSEKMSDFKTNIFSSLNLCRKFMFHFVLIFFQECPTLQFLLLSTINLTQCFIIYRYEQ SSSLDKMISILNEGTIGFFSLTCFPYNDINRIYFSNETITNTGFIQMGALLVNLLIVFFK QMLLKAQIIFKKRKPQHITNLILEF >CAK83755 pep:novel supercontig:GCA_000165425.1:CT868485:147694:150453:-1 gene:GSPATT00018072001 transcript:CAK83755 MISNQLFKPQIPQKKCINKNDKKICCLCPYRLNICYDFIRKMPIPLQGVHQFLKVQQGFF IVELQQTYSTFGYQEPIELEYLFSINQNAAVTKMVVELGDKKVYGIIKEKEEAKQEYEEG VKQGKTMAYSEQDEEFPEIKRVKIGALAPQKELKITFEYIQPLEVFLNKFWKVEVYPMID ENYFNLQQQQQVRLQSVYFQSLNRYIQKYVYLEKFEYNFKQNITASIDIGSPITYYKSPT HSILSGNAKIESAKQSMEEEHPRKLILMLEDTPSNFIPTKQFTLLFTSDEINLPRAVLSH TNNDALFTQKYCATISFIPKFNQTTLDDAYSQYLDGLNIAQNQVINRGNYLFFIDRSGSM TGARINKAKQSLLLFLKSLPEDCNFNIISFGSTFRSLWSESKQYSQNTLEDAIKHVNNME ANMNGTEILKPLSQVVYSKYYGKSKSTTLNVFLLTDGEVEAQPIIDLVKKNNQAETRVYT LGIGEGCSQFLIKRLAEVGNGKFQFVSDNEDINAKVIDLLEDSLTPYLKEFNLETNVTNI AQIIPNPESVVCLKKNQELTIQVLFSIDQYIDNLQFTLSCFDPQDQKPIKYEVSLNLNTS QENEYFHKLATHKFITFYENSLNYGENDLNFIKINKQTIDDQDIINTSITHQILSNKTAF VCEVCELEDNFKQQKSQKVYITYNKKENIVYQKKMKCLSSRATALSSMDRSRPMTNCFKK QSCVQELQFRQYQIGISHVGGSNSEEEDECEEQIKCIKEEKKKKCQKKEQGNKKSENCRD MIEEDSIASKKEKSRSREKLNKSRGSFHQEDDLPKAQIPPQTYKIEYEQIISYAKADGAF EYDLMFEQKINFQGWINEQNYPQNIWFTLLALLYLDYFCSQNRKSWQLVYQKGIQFLQKN GVNYKQTKEGYLQKQLIQI >CAK83756 pep:novel supercontig:GCA_000165425.1:CT868485:151518:160356:1 gene:GSPATT00018073001 transcript:CAK83756 MIKQRLFCNIIFVQIVFSSIDSLNEQDQLRNTQIEIKYNEIQENTCFQIGLFSKYNPLGN ILQIGRVGIFDSNCYHLFSITDKSTSSLNFIYYDCIFFESKTIIKTIEFINYELLQIHKD VNIDIYKYENTWHYFQIYQCFDQLSIQVFQFRDQKLIQLLTNTKYPFTSTNIILTFGGGL IVSSSLIDSIQIGRKFSFFPGKLYLIDYSLFQSQIEMNIIASAFQDFQDTSICKCVQNSP KIIDKVDIQYLQYEILTIQYANCDSFIFAGWFRIQEVIQLDEEFIYHFLNLKHNFENLQL SDKNLSPLQIFYKISPIQNQIFITTYSYTFPSVNIDFSDDPFLITRNLDINNNIKLWHKI FVKQSQQQMIINFEFFEQNKVYPYEIQLDVHQFSNVQFKLYYGNLQQKSKNYFNIQVRNL VFLNCDDQFQQQNCHFSCETCDGPTSTDCLTCAEASKRLYVSQFKSCICPYGMIDKNENC LSYQDSNFEIDYDLNQNQKLQCEFGYFEMDKECIKCPSIISDTQLTCLECLNNPTSWHEV SACSTILRLDTRDTAQALSQNTVFYKYNGDSLYPCLYCKQKGLQIQEEQYEELTLLSNGF ISFCWKSFYDQVQNYQCYECPFSYCLECKMLVTGAVCLRCGFSQYVENGICTSKPPDTSS PNECLSPYYITSNMECKYCPIDKCKYCFEFYNDDLSKCTLYKNFEPFPFNEYLRIGCALC YDGYIFNFLTDLCEYSKPITNNCLRAFINENGNEICLLSSSDDFSVAPEIVNCEKYIQNC LQCILTKYFIIKCTVCKSEYTSTSLGGQCKQSNLQNSKINTEGDVYKQDGWVQLIQSFMM NFLPNQYYYTFGTKWYTQDMSIQCLDGYARNPYGHCIKYCDPHCLQCVFRDQDQLGERYE CKTCPLNYYLQPIQVQNSGNCVKCSQLCQVCSIRSIEEIQSINSNFIVDDQNEIYLMRCI KQISDGRIQLNPYLQFAKYCFEDNCNSQLSIQIEKFFCQECSWEFYENQIINNEYCNEMG VTTINMKKQYEMFEEGISPQNHFVEFKSLLKEKIFSLQFLTLQFIGSDNQKMIISQDSRP FIHNFDTIEFHKFVFSLSNSDILSIYNNNQKVNLLFKDCIINSSQIINTAQIFLTSKYGH LNFLNVSFTNLTLRNSSSLATLSQSEIQTITIKTLSLKNCSFINSNLFKFELSKFKILIE DIKIDQCQFKNSSIFIFTSNTIIDKIIIFNQITVKNTVFYLSSIINSTDTYSLRISNLKI ESNIFQESKAIIHLNNFSTVYVEAISNKLIESALIVVEVVPSSLVLCEVNQISVEKNLMQ QSNILKITTISQLNSFIVQLNNILFKEDSEVSTSSGQSLLVTVSCYKLLVSNIKIINSNN LQVLQFTNVQEILIANIIYENDYILNKIPLSLDCVNSFDFKNQLLETIDCKHIKIANVRV TNFQSSDLALLSFMSNKQDLNIKKERIDLENFHFSGNLLSKQSLTNFISIMSIYSENQQL ITIKDFYFESNIFHQHIDDPSETFASLIYIYSPKSDIDIINLSSSNNVLTNSSSSFISIT SNIVKLVNYTAANHNIVNQTFWSKYYQIKFEQNEEQSKINSIISQTFKFNNKGGACLITA SSFSCLNSSFTNILSQRSSLFELKTQGQGSIILSNINIYSLNNDLSSMIDYPGSIYIYSV NSLLDLRINKINFQNVFNRKSSSIFTIYPSQKQNYISISNVQITNCISLINPFAKIEFTL DNKNSNRLIIENVTILQNEEMWINYIEKIGQLSSLEISEIITENSLIYIKGGDISIKNYQ VQGIFITQLLYIHSPNKLLIQNIQISKIQTFYPLNLIEINLSQDIQSQVYFHSINVEKFS IYQVTNQNTSHKVNNVINSIIGCFILKQNLLDEDSFKQDSLFDTQNIIIWLHSLLEQCFQ QGFKISPLYQSIEQQLPQVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXNNYKVFGFRNYIVLVITLNNLVVQYRIKRFFIFKYHLNYLINLSQQQWH LRSCHQIFKGQIALIKMLNHVQQCKLGWRWIAIGNKHRKFQIEISKEGGGIYFIGNSNLN SQNFVQSSVNFNKAIQQANNLVESPTHLELSINSIELNSSSLQINGTQTNMLLLQPYKIL EQGKLILTKYLMMPSNQQITKFSIFNPQTQIYQSYIENIQVLFKNSQNEILPFISDCSCE IEENIIFTNQTVVQGPQISKNQKLNQETNSLDLGSLEFQFNPYNEEYSHYQINIKCFLEQ SSKKLQYFFKAKSFLCQLGEFKVESGCQKCQPSQGYYSVTYNATKCSIFDQAKLQNITQN NLQLQEGYWRPNYLSDQTEYCFKNPKQCQGGWEVGDYLCSLGNIGGLCEECDKYNIQGQG YFFKDQQSSTCLNCFQFQDSILPFILTSFWALLSILMTLKSIDKTNKLFTQLKIRQKFNY IIFKLDQDHESILLKMLLNYFWIFSVIFSFNIKFTFALSFINQAGNPSFFMANNLDCYLQ EMSETELIYSRIIAMITLIFLQLLIICIGFTIYSLAFKKKFNRSVISATCLYLYVSNYAA IIKQFSSILSSRRISDINYIQGDVSLVFGYENHYTWIYTFAFLDVVYLFRFSFLMFIKKD QLDDVKLRRHICYLFNEYNSDKYFWEQIKLAKKTIIIIIMTYFEINILLKASLFGIVLII LLIIGSSKQTIHYFQLKQFGFVDGTNLFHSYFSSLCSLYQVFFSVILQVLIILLCLKLCF PFVFDISRVYIRKYRIQGVSLLLRLFQCINGNFCLTIYLSQYKKELIEKEKRLKLRFLKM KQFLLSISKAQIKQQRHMTILMGSQSSARVKLNNSELDQRKLVKIELERLFETNN >CAK83757 pep:novel supercontig:GCA_000165425.1:CT868485:160849:161448:-1 gene:GSPATT00018074001 transcript:CAK83757 MGANSGDLSKTNINSDSYQFVHSIKEKKQKHQNVAVSFNYNSSILLVNQVLGFRIFSIKS QNLKQLVQIKNPNYNSTIQCFKKSNNFVTGNFMKQIITQSLIAINNNKIISKSIIPIQVN CLILNNAENQIIAGGYQKIVILNIQNGLSVHQEFQIHDNWITNLSISEFDTYLAIDLDEN CILIMEQCVKDTQKIWIQK >CAK83758 pep:novel supercontig:GCA_000165425.1:CT868485:162256:163012:-1 gene:GSPATT00018075001 transcript:CAK83758 MDQGFAQNKGLFKLRPEVLGKVFDFLEYKQLLEFRTVSKKADQITQGILTHRLEKIEKEV KRYENLMQPLKDEVYKELNGELNNEFMTRRMNIINIVNKMDFKSQQFKDAFEKDPVGIEM TKYLKLLFNDNYETLWKLQVQSISEEQFVDLLKIQELEYQINTAPPLCKEMFSVFKDIIE LRKMRFMNQWHKQYKIDIDSDKEIEKQIKVQAILARIRQTELEKQFSNIQI >CAK83759 pep:novel supercontig:GCA_000165425.1:CT868485:163750:164688:1 gene:GSPATT00018076001 transcript:CAK83759 MIIKLVYNKEIHLFKLENPAIVEIKNHIQKLHPQLNQNYSLFYKDDDGDLISISSDEDLK VLMESVKNQTVKITIQTSNAWTCKNCTLQNQEGIVCEACSSYKEGEPQQYGQQHQGFQPG YYPPQCYPHQQFGPPQQFGHPPQQPPPQFPFMPPQMPPGPPFGHFGMPGFGHPPHMFGGF HHFKHHGHHHRQKWHKIRQIMKDPQFKQQREKLQQLLKEVSQTLRNTMQNSQQQQFYQYQ QQMHWSEEDEKRATRLAEVLQCNQEQAREYLQIFRDLTLDEIVMMVENAE >CAK83760 pep:novel supercontig:GCA_000165425.1:CT868485:164755:165530:1 gene:GSPATT00018077001 transcript:CAK83760 MQVNYEALIDETKNKMLKWQEINEQNMWELYSNKDGYIVYTKKNPENGIAMNRTQTEIAR TPDQILDLVGDVNKRPLYDEKIETAHVIEQIDANTRIVYVRIKPPIPFMSSRDLVMVQKV YKQNDGIIIVCSKSIIHQKTPPINKVERAEMHLSGWIIIPQPNQMTKVIALQCFDPRGDV PQSVTNQYAKLQSDMMKAAVKYIALNYK >CAK83761 pep:novel supercontig:GCA_000165425.1:CT868485:165542:167463:-1 gene:GSPATT00018078001 transcript:CAK83761 MKVELEGYKKLLETIWPYASLSGTSLNQFGMFETCLRNGYYYSSLKIEMDPIPSYYGLCH SIECTTNDFNDEELQSDFKELINSTGFLDKYNVSIDDADFIFYDPLTYRKDQGIMFTFTL FLIGMFLILSCFNPVFCQMMKFKRQAKVSINLSDVESRERHDENLGLTNNEITTIKQEET KKSFFIDYSIKESYNTLFSFKEHDTNLSIMEGFRSLGFLMVIFGHQFLDLAKSSFQYEML AQLKSWLCLNLVNMLYSVDMFFWLGGFFSGFMLIEKNKMKLVKKNGLSIFGFLLHRILRI WPCYAIAILISSQLVPYMGDGPRWFMALDRFECATGWRNLIFIDNLFYDTQYCFPWGWYL STDMQLFTSCLIPVFLYAKLNSRAAKIVIISLLVITQTIGTVMSFVNEYLLPPFALTQPK MHQNYYTKPFTRGPPYYLGFLLGVLYRELKENNVNVLSKLMGYASKRQLKFKSLCYLLGF LIIGGIWFGWRPAQLHYEHQDYWNKTIQSLWFAFCRLGIALGMSFLSLPCLFGMQDLFNL TFMRNNYFRFINKISFSGYLLHQVIIFGVLGQFYQTPNFSFETIFTLYIGNVFITIICSI LFQQKTE >CAK83762 pep:novel supercontig:GCA_000165425.1:CT868485:167643:168838:1 gene:GSPATT00018079001 transcript:CAK83762 MKQNKNWSRSRRSSSTKPKNKKTHQGKKRKSSDSNYSSCNTSSESHDLDGCQPVEGKLLK IIRGLEAEEEFKVLSLKLNPLSLGSVEQEMTIDQNPDHPEFRKYFQYNKYYQQEFQQSLM DENVFLGNSLSHQNISYYQRARMVDWMIQVFGKYSSTTSDATFFRAVSIMDFFFQKSIKQ YSHNDLHLIGITSMFIATKLEDIQFIPLKQFVTKISRNKFSTSDIKEMEKSILETLNFKI TFPTSLDYLQNIFYQCFNLNDNPILQNILKTSIYILKMCLHDYSMTSFNSYTLASSAFLY SIKDYVSKMNLFNTEFIINQFFNKVMEISQIDQTQIDLCLNKTQDLIRSFKIKYPQLYNL SEFQ >CAK83763 pep:novel supercontig:GCA_000165425.1:CT868485:168879:169790:1 gene:GSPATT00018080001 transcript:CAK83763 MQSNKPEILMRNFDFYNNATWQQVKPKIKAIIEQFQQQSKPQIEDLKSFYQDLEYDHSFI QWMFPNFYASKFNPNSYKLTLEERNRMIKSETIRKRFYKNYILILRFFGILSKSKQVIVI DLPDDSNESNQYSQLQTQTIQKQQNPKGLATISVQESQNSNQKQSENSKIKQATLDQFIK SNQQTTKDEQQQELVLIDKNQFELCCLRNTHNLLRLKRILSSLSVLKHRKEAIQLCQFLK KELTNLGREKVYQEYFSGFDRYDEELTHKELKKKKGENRYFNAYKLLYVDEDLVEKVDIS IIQ >CAK83764 pep:novel supercontig:GCA_000165425.1:CT868485:169825:170575:-1 gene:GSPATT00018081001 transcript:CAK83764 MQTSPPFSHNHSNPLLMKDDVGKSKPSTYNLPNQDFIYGQPLSRDKEGAKEVTMTWKFHQ ESQDRVPNRDFAELNKQSIHNGSVKAHDMYKYRQTHDARLQIKKGTNIQAIELPEEEFRY GRKNRPSTPMKLVMGNSYGIEAESTILDKYQHRAGSQDSKMTSSVVKGNKASQLFHDSNH KKLAAIQGVEKKEPFKMEKFKSVNPKINTNLSTKK >CAK83765 pep:novel supercontig:GCA_000165425.1:CT868485:170815:171569:1 gene:GSPATT00018082001 transcript:CAK83765 MSQEEIWAKQEEMNLQVEEGEQRRKNKKRVITNPNQLTGHWSEQEHNTYLDFLNMHRTVM ESQDQKKTSKIFKLMSETIGTRSPSQCRSHHQKFNPFVHSVKKRQKGVARKRKENGNQDK VDKYNENGMLQQFMVGPPFYQQQQGFQDYQFQFPQMPYMFQMLDQMGKDEDKINIYDQQQ LYYQQYLMAQQQLQQQMDEKNLFCYFMQPQQVQMNLNYYPPQVISNISDEMNIKDNQFDE Q >CAK83766 pep:novel supercontig:GCA_000165425.1:CT868485:171619:172532:1 gene:GSPATT00018083001 transcript:CAK83766 MKIQLLLVLLIAANSQSFLEESALYYQTPFAPIMIQQDGQQLEIETAIAPEQEYVYDPES VLQSAKEQQILIARNYEQNLPAKGDCVILYSECNFRGSSFKYCNKPDEVLSFQLPIQSVY VPIGSSFSMTDTQEGNTINMLMSNNCIASGLHLPEPQLQEVQDTGSTWFSELKDSEKAIL DEGDLSTPKIQFFDNDGNVISREQYQKMVEEDAKRSYEILTGQVNLASPGEVITQQTATS SNVEEMPAEKEAKQQSQQQ >CAK83767 pep:novel supercontig:GCA_000165425.1:CT868485:172646:174386:-1 gene:GSPATT00018084001 transcript:CAK83767 MKKCDNERIAQQIYASQMLKLQGKILEKKLTKTGSKNHIESQSQNISNNNSPVVGRQKSY ENLQKVNKTFINQPVSQQKLSSILNVISGQQQQQNTKQKYSINNELIETVKISHKLRKNQ SMIHIEQQSPALTKNASFHHSVKNKINSNSVSSDQSQIQKVKEKIKLLLQERDQNLPQDA KELAFMTKFNQILNQLVVVLFQEPNLQVSQQQFNLPSSTQDTFQAIQIDHFFKRQIQILQ QSYQLQLDKKKMENVLLQIKKKQEILQQENEQLSENKSQMNDQVTQLKQQISELQKQNHN NQENELLESETQELKYLVQGQFEAIQKLLQREQLMKVFLKRVGDSSIIEMFEQFIQSAEN VNQDDTEGNLNIDMLPQQKHSQKTNQQQQLQQSQQIPDKIIQKYETSYKQLDLCDSLLAD DSRVNDSEESSFGYLGKEQATEVSCYFNQAQQLIQSVFYQVILTTTTKHITILITIELEI EGKDQQQRQIKNQYDGCAISIGCKHEYLKQQQMLQQQQQHHDKILPDDINSEDF >CAK83768 pep:novel supercontig:GCA_000165425.1:CT868485:174406:174893:1 gene:GSPATT00018085001 transcript:CAK83768 MLKQEDQYYAQQDQQENYREYEKTALSICELNYRLTQIENQNLQESRQQHNRIDVDFRKY LSSFSIIKKKDNNITQIQKIFNRQCGDFDLILLNEGRDKKEYKKMLIKLQRIQKKAWNLN LDKREDIKQVCNAYEFPDKILDEIAQAIKNHNRE >CAK83769 pep:novel supercontig:GCA_000165425.1:CT868485:174919:176271:-1 gene:GSPATT00018086001 transcript:CAK83769 MITPIFKQIHPVDLKFATANREIDEFYVIPTPFAYNDLIFQIECQYWTTYGLIKGNLEFN KTKIQFIANNNDVIDTLVRTTTKQSKDINEEIIQKFSFTILLEDIYSIKNRDDWVQFYLF GKTGIELPVLDHENKPSVLSITLLLNQKCPDDIIQLIKQLTNNQEIRSVCQSDQVHHLMN YILKLNRYQTNKKTFRKILHSYQHHQEKTNQMGCLSQVTYYDIISIQKLDVEHKYDTYQI RSTTLNKPSSIISQSHFEQLQINLPQNVIDNPWYQVFNPKYHGNSFQEFLRRTKNVKEHL LIVKDDWDVIFGAYLEEGWRIDKKYYGSEQSFIFSFKNNGFRIYKNSKMNEFFQFCNQDG FIVGGPEEEDQFSIKINQNFLNGELNSSSTFSNELLSKQNQFKILEFEIWGVQQNCELIM RQLRLESYLKIEEQ >CAK83770 pep:novel supercontig:GCA_000165425.1:CT868485:176306:178649:-1 gene:GSPATT00018087001 transcript:CAK83770 MIILLLFNLAQAIDLTTLHLDANYLQNHTAQFKTQLQLDQQTQTKPAINTMPEDQFSDQT RMNQILYEQEERAVQKTIKIHDTPPPSAYRTKYEEIPDEQKPQTNAKLPRKKLQEEAMLG EPILDVISIAPIAQRHKVALLATLIFALCVLILMREMYFKSLHRIYREYLWKISLETTYC VFVIVIFIALYYNNYFDFWLLNYQYQQEKPKEDILLIEIKDYEENYKYNIYAYLLIGGLV VISNYLIHFLQIFVFDKQMQIWSNQEKKWLNKYQLVQLYKQQKNELNLKKEQQQQFNSKE VEQEIIEIQNVLLNTEECMDYLLIKLQFTSQMSPYLGPNVLDSSFNLSNYLGYISADVIY QISVWDTQQYIIFTIYFLFMIVLLYFINIIIVSMLYCLILFIKIINLNYTLIMIKEKCLI KKEYDNLLEDELQVVPPFMEPIFENILPKRSVDIQTDLFPFANKRYISIVISSLTKLSTT LFFVMNIYFYYWQPYAYYVVIICNIVCIATCISIIYISNNIIHHYTIVLSLYIRNYDFAY NVIKQQKQLLIQASNQFYELLRYINKKSLQHTLQKNHLLLLRPVMVDIIDLCKKYQKKGM QQAQYDPREVELVDLGIEGIDLIHKKHLKTIIYALTDKFSEQDLVYIESFCSDDNAEYFS PMKLILYLHLRYQEVKMNSRQLIREVLEKVIPMTFDVPQKLEAEIPDNYIEDGLQGYLDI NVLDNFLKESVKSNFYKDEYRVLLIQQLNKLKSKKLDSISNFISDQISQLPQ >CAK83771 pep:novel supercontig:GCA_000165425.1:CT868485:178672:179960:-1 gene:GSPATT00018088001 transcript:CAK83771 MKPTAKVFEYLNKYNYYLPQNCEQVQKMFYEQMVQHLQLGDSFRNSFISQISQINQRAQK ETEFRQLIRDTWFGFIQKTPIKDICELNLQEIKDVQEFILKVLLEPTMFDITFVDELLFN YEIYFNSVLTINVQQNKGLAQVVVRNRFRMTISKLICSKFILSLDEKYRQKVRSFLFDIY LKKVETLDQTVVIQTEFLTIQNFYKKMFDQLFDIQEAQGTFYNIMKKVIDIIVRELCNIV YSLEIFTQFYQLYIEKDKILFEKYDPKTNIDHNKLANEILQKYYEHGNLREAIRQVVYKL FQSQNIEYKKLLKELVQKDIYSRENEQWVVQILREFEQYQIQKNRKQPIENDFHTYLRLQ GFTFRGEKRGELEYDHAKLSLIIHDQTNFTVTNKIMKQTKRFVSIKEAHHYIQSSLQNLN N >CAK83772 pep:novel supercontig:GCA_000165425.1:CT868485:180029:180644:-1 gene:GSPATT00018089001 transcript:CAK83772 MYKSLLLCALLIATQAGHVRKSHGSVHQKRVFNSAFMEFVNLGDSDYHLNPKEAQHWAQI TTEETKHDKQAKKHASLVQTNEQYVPGVVGQVTDLSNNAGVCSYTVTDINGNVLEQDSGD HLPKQFYNAYLQMTQEIEKGPAMIKLQTDLDNIIREDQLEQETKAEQQSQALEGTALSEQ EQ >CAK83773 pep:novel supercontig:GCA_000165425.1:CT868485:180699:181352:-1 gene:GSPATT00018090001 transcript:CAK83773 MEVILGYVDEFRHMKFRTVQQYRIYMQKILQLVSLAIVIGSALSIWKSLQVVSLSECPVV VVLSDSMVPAYGRGDILFLTYFNKPFEVGDVIVYKLKDQEIPIVHRVLQIHKQQEIQILI LTKGDNNQVDDRALYPKNQMWLKRSDIMGKIQGFLPYVGHITIYLNDYPYFKFVMIGLMS LFVLTAKDPQS >CAK83774 pep:novel supercontig:GCA_000165425.1:CT868485:181544:183202:-1 gene:GSPATT00018091001 transcript:CAK83774 MTSINDIKHQKQEKLRLSLRKERNENTFSQKRQISSGESKTKIFLLFPVNLYKNIDILKN YNQIFLIEDDQYFRAYNYHKLKLVYHRATMKSYQDYLLNNLKDVTIQYIDQNENCSVFLE TLQSTDKIVITQCDFYDPIDKPVLQKYSNILLKLGIQSEVKENLSYLCTRNDLQQYHKEH VKLKDGKPNYSHDSSFYRWQRRRLNILMGPKGEDPEKWTYDSENRQPLKPGAKVPKKPDL IKSSYYDEAVSYVTKHFPKNFGDIKNTIYPIDHDSTEEWLDCFLKQKLSEFGPYQDAVHS DYPFVNHSILSPMMNIGLITSSLIVDKTLQYYKQNKAPIQSVEGFLRQVIGWREYVRLLY FFEGEQQMKANFFNHQNELSNNWYTGQTKLPPVDHMIQKVIKYAYLHHIERLMYIGNTML LSEINPKEVFKWFMEVHIDSYEWVMAPNVFGMTQHADGGMMMTRPYICSSNYMQKMSNFN KSTKSEIKIEKQIYNWPELFDSLYYNFIGKNEGVLKQYYATARQVAHWTKKSEIDKIKIT KIASTFIKQLIK >CAK83775 pep:novel supercontig:GCA_000165425.1:CT868485:183317:190307:-1 gene:GSPATT00018092001 transcript:CAK83775 MKEENYSDSDSDSDSNPNQDTTDFDFTQLEQSVLISKDDGLSKLIKGSDQYYYQYFLNIL NQKGYQLDSIQQEHFSTYKGMKTKNYKKICLRRLFVEYDNLMNESMETEETTKRKRKILY KINKRLFKINFDDLSQDTSTKQQNAFNTNLQTKELVSQFDNNKLAMDDYLKDAYTEKGIS KLSAGLLQQLNLLELIKAPNKVIDIFFNKLNHSSQRVILNDNIPQFFNQLVGKKDFKFTN SCFNILTIEQMEELGKLNKKFLEYTIFVGNLYFKKFGKNKKQDENSEYLLVQKEEILSNL NKAYIWTKSLLERFNSLKQIVLRELLQYGIEIQHYDFDLFLDFLKNPIEIYQNITKSHRD VQSKIRNDFSINWQEWHGFNICRCNYQRLYVDMNTNQLIEKYLQKYFEQNSDFSIFEQYL ESHYLKKQKAISRLYKGEEAQDLNNYFTNNEIKLMNNTKVLYLCDNNKPYFIHGEPVNLY VELKNIQSLTIKIFQINMKNFYMAKTEQLSTNICLDGFIPTEEINFTYDCPPIKKVIKEF AFESIQKTERGTFIIEFIGNGLSCRAIIEKGRLCLKQTIVTAGYKYEIFDEKFEKLLDDK IGLWIEETFYSLVKGEIVVPFPKEETKYSAIIQYGDFYQKENLLLQPESFRLICSFILGD EQIQLGSDVSVIIIPKLTLNGTNIGFEILKDANVQISCQNEKGVPQTFNFRDINFESQVP LELKFPVNQKLLSIQVDVTGKIKQLHKKDDLELQQTCNIKMEQDIKQQIFIKQLLRFDDA DGYSIFVLGKNGEPYKNVQVQVLFTHSLINEQIKENLITDENGQIKLGFLPQIEKIHSTV ISTKYQIQKQEWKLLKLFSAYQSSYELVVNLGEKLTLPFRTKLENVLLYQIKNLQSEITP INNIRNQIQFEENEITIEFTQKGVFLLRLLEEAYSFQFKVIDNTLKQCKDYLYTQNERIQ KEHLREINLKSTVTQLNDTKLLVQGDILYDKEYHIIALATTFYPDLSSLEKEMQSFMQQY EQPLDLKIEFKNNIYLCNLKQSDELGYIIERKNQPKYLGNTLEKPQILLNRFLTDCTETQ EENLKQVQQNLLKPTNSRQMASKAYRKSGPMQYHQQSFNSFCDFLKFSGRVITNIHKDKN HYSFEVPVHYSTIVMLVYTDNNYVIKVLPLQNKEIQIKDLCHESLLEKNKFYSTFRSTKE IIPQTPFQIQDISSTEIFIIDSLNLLFKIQKELVRINKQKIQEKDLKMLSELLKWDKETS QFQQKFYNQYQCDELNLFLFMKDARFFETVILNHIKNKIEKSFIDSFLLQDHLALSKYEQ VQLFQQLNALEQALLVIYNQEIAEKKEEAQIMAKYLQQNFKTIVNKQDDDKYKLLFDTIL GVEKELINQKNKSQEIEKQNSKEIKNHDQQIELVGRKKKKRVSRRCEDVEDDEDDEDDEM SNSRSMSKSRSMSRSKSRSISRSISRSRSSRSSSSSSSSSSSIPQFKKKRQMVQARGGAR TRQTARKSTAGCASKGFGARNYNSMRESLVQTFQQVEATKEYCEKHYSVGINQQQYRKLV RSSEFFIDLANHSIQKGYFTENFISSSFMYCTSNFTEIISVLALISLPFESPKHTQQQSG NKGIELLYPSSALILIKEIQEAQVQPNSRIIINQSFFDPQNEEIEDQKDNEDEEDLRYFS IKKVYGCKVVVSNCTAVSQTFQLLMEIPNGSIPVDTTFSTKTLTYTVAPYQSFIQKYFFY FPKTGNFTIYPANISKLGKVIQIAKEKIFEVYDERAKVNLENINELLTTDNNQDILNYIE NKNIFNEKWFNPKLLYHKFSDETFYNQVMQIYRKRKFYDYHSFAYALLHNNVQCLKELFM TQKAQKALKQYFNHFACSLFEINSIRILEYYPLIIKRVHKLQNGENNILNVQLRKQYTNY LIYLLEKPQFSTIDKLILIYYLLLQERVNEAVQVYSQISEEEQKEQQLQFDYLSAYLDFY TGYPNFQKGRDICKKYLSYPVIHWRNMFYEMINLLIEYDGEEDNQFSKLEITQQQRQQEI AKKEETLSGSIEGDSISITYSNLSEVKIEYYKLDIEILFSNNPFMKNIIQDFSIVLPNVC TTHTLEGQEIQKNLYQQKIQIPREIVKENLFVTIKGLQKQVTCKYQPTSLFVQTMADSGQ IRVFNQQGQYLCKVYVKVYSRDKNDKETFYKDGYTDLRGRFDYSSLSSANFKDIDKFSML VYHEELGSIIQQVSPPPTLGQYEKEIKLIGNKWRQQERDEYQNKERVQQTMFIGKGGKGM GIGKVSKRRDRDQDQD >CAK83776 pep:novel supercontig:GCA_000165425.1:CT868485:190678:192761:-1 gene:GSPATT00018093001 transcript:CAK83776 MNQVREYLCKVSEGKENETPIYRHPQSKDGFPQSKCTFQQEFIEKLQRFPDKKSLGYYNE ESQQYDQITYKEIFDTATQIGSELYSRNSIVEVKDEQGLVIKPVGIYMSNRREWSILDIA CILYGFTSCPFYDTLGYNSMKYSLEQTQVSICFVQSSTIKVLVDVNQAHLKTIVIVGEGF QEEDLDKLRAQGKEIVTWNQVVEKGKTAIIPYPNLQPDVSMTLVFTSGTTGQSKATLQTQ YNFQQMLHVFDYPPNQKLNENDVYLSYLPLPHLFERVLHLGSLLASAEINYYSGNAQNLA RDIQRCKPTYFGGVPRVFNRFYEAIQNVVNSLPEDAKDKFEQAFEMKLKYFQQTGIPVHE QLDAAFVKTRAIFGGRQRVILTGAAPISTKVINFLKMTLCCQIIEIYGQTETMGGSFRTD IFDPSCGHVGGPTISQEFKLVSIPEIGYVTDKNVDGLIRGEICIRGPSISKGYFRDPEQT KELIDNEGWVHTGDIGQIVDGTLRLIDRKKNLFKLSQGEYVAPEKVENRYLRLKGILEIV VFGDSLQNYCVGVVVVDPTVLKQFADQLKIEGDYPTLCANKEIKNYVLSQLNEQGSKEQL NGYEQVKNIYLESKPFQQVGILTDNLKMQRHMAKKHYQQIIQQLYDEIIN >CAK83777 pep:novel supercontig:GCA_000165425.1:CT868485:193442:195971:1 gene:GSPATT00018094001 transcript:CAK83777 MSLFRSEQMEFYNLVIPRESAWDVMNTLGYFDSVHIIDYDPTLPQINRPFSNYVKRCDDV MQKIEQIDGEMRNFKIEKRYSPDVIDLLKKRNGTHKQFEELEQDICKVADDLEHQQQTMN SLQEKKNTIRENLEVLRNAVAFQNEDSEEASLLGFQKMVGVILKEDEMRFKRIIFRITKG NIHVDIMDIQEHFIQQDRRIVQKCVFMLIYPNGDLTQKKIQRVIESFSCNKFDIPTSSDQ HAQRITMLENQLNEADQLLHLTITQINKRLQDLAEVKYNCSWIEEMRILVTKEKYLYMNL NMLNMTNSVFHGQIWLPQGQDQKIQQALRNLHGNDKQLPSGQIQECQTQLTPPTYYKLNS FTYPFQEIVNTYGIPRYKEINPGLSTIITFPFLVGVMFGDIGHGLLLFVCGLYLTTEDAR KSIFSGIVPMRYMILLIGFFACYNGLIYNDFLSIGLNLFGSCYNLVDGEYELQEDCVYKF GIDPAWGSSANQLTFMNSFKMKLAVIIGVTHMTFGIILKGFNTLHFKSYMDFFCEFIPQF LLLLCSFGYMDFLLFLKWSTKFEDTKDAPSVITTMIDMVLRPFDVPEKPLFESGEQQRFI QLLLLTIITFCIPVMLITKPLLFSLKKKNPHQYQQIPSYVPDEDPNPEQLQNDMQKEQSQ PHSKVSVQQHNEHDDIGELIVHQSIETIEFVLGSVSNTASYLRLWALSLAHSQLAEVFFS MTIASHIGDGGFFGTLGSIVQFPGFALATFGVLMCMDLMECFLHALRLQWVEFQSKFYKA DGYLFKAYSFTNIKSNEQDD >CAK83778 pep:novel supercontig:GCA_000165425.1:CT868485:195996:196850:-1 gene:GSPATT00018095001 transcript:CAK83778 MLNLIPPSQYKPYTNTQTTAQSAVCILLRGQFKPQQGYSQLNINNPQSTKFQEQKDIEIL YIQRQNSKRDQYSGEIAFPGGKCDNDETDLQAAIREVHEEVGINLNGLECYYVCRLSKNA YMKKLKNNKSLYCSAFVIAINDPEKTTDHMRLSENEVQQAKWVKLSYFDDPQYKTKKSQH YFGPRSIAKYFKQAETAALDIGFDEVLYGFTFFLTISFLYLIQKHQKVWEHAHIAKFTFT GPMKYALEYGAMIAYKRERIGLFEKWNWPIYTYLIPMLILMILI >CAK83779 pep:novel supercontig:GCA_000165425.1:CT868485:196902:197285:-1 gene:GSPATT00018096001 transcript:CAK83779 MSDQSQYLNKSVKVVCSDNRVLYGVLSSISSPFSIILQHSVATHPSPLELDIEFDYNPQY LAKYFTNDESLREAYNNYNKATEETKQELQQKVDEAKAFNDLFMKDKYYVGSVAIPGEHI QNIILIQ >CAK83780 pep:novel supercontig:GCA_000165425.1:CT868485:197309:198000:-1 gene:GSPATT00018097001 transcript:CAK83780 MSNSLMGNMLVESVMEKALKDKMKEQQAKQQEEERRRAARRQYEEEDEDDCDDEDLKEML KKMREQRAKELQEAMLKKNKGFGEYREIVEEEFLPSVTKSEFSVVHFFHRDFERCKIMDK HLQAISQQHPETKFYCLNAEKSPFFVGKLQIQVLPTVCLFVNGVLKNRIVGFEEMGGKDT FETGTLAHILLRYGMIKVRKGSNDDNSSDEDK >CAK83781 pep:novel supercontig:GCA_000165425.1:CT868485:198028:198495:-1 gene:GSPATT00018098001 transcript:CAK83781 MIQNTNKILEYISYLNIQVMPLNESEVTKSQSDLQESSKKIQKKAGHWNQEEHESYLRFL LENANHSKGQRLFKKMSQIIGTRTPSQCRSHHQKFNPSKPTIKIAQTRLLKTKQLVRLYM QKHKVKDEDEE >CAK83782 pep:novel supercontig:GCA_000165425.1:CT868485:198883:199524:-1 gene:GSPATT00018099001 transcript:CAK83782 MQIHEEGSDIDRLLFLSKNIEKRKKRRRNMIFFLIAMITFVTLESSINGRCFFKDDKQIY LYIDLVMYLGVFAIVLMEIAIQQKKIVKKFQQQTRLRALICQLIIYQTFVAIIFVLSLYH VGVQIYFFFFHFNQDCFYFKNRIEEESFKLLKITIFFLFITIETVMLCFLGWVLKENYKA QKNPFKFTQSSILFRSQSNDIVLHFFGGPIDQN >CAK83783 pep:novel supercontig:GCA_000165425.1:CT868485:200547:201927:1 gene:GSPATT00018100001 transcript:CAK83783 MKNFTVFEKLGEGSFSTVLRVKRQSDQQEYAMKKVRMGQLKEKEKENSLNEIRILASISH PNIIAYKEAFYDEQSQSLCVVMEYADQGDLQQMIQQHIHQKQFIQEIEIWKMIYQVAIAL RTLHQMKILHRDLKSANVFLHQGNYKLGDMNVSKVAKKDLVYTQTGTPYYASPEVWRDQP YDAKSDIWSLGCVAYEMAALKPPFRAQNMEGLYKKVQRGLYERIPPKFSGELMTVIGLCL QVQSKQRPTCAQLLSNPILLRNARQFIIESRVSQQTQSSQAGSNVLLQTIKLPKNLKQLK EKLPKSKYLMENVNKSYDQTQANSSFLPKISNPKEIRPNFSVPPVKSDRYQQQQLQQNSL HEERSKSIVNSVQLLEMERIRQQKLLEKQQNLKISIIHQNAIPYINSSSSQIQNLYANKQ RNVRDSSKQYVEAPKPIWWG >CAK83784 pep:novel supercontig:GCA_000165425.1:CT868485:202337:204614:-1 gene:GSPATT00018101001 transcript:CAK83784 MGTAPCYKKKNEEVKVAHRGHLSDKSMKSNNEDFPEQQGEEQEEEEEEENIVYDYIQGEL IQEGCNVYSALNTLNGQLLALKIFKLSSEDDFDKVISIVDILKRLEFKNIHQIIGWDYSV FKNEVIQNEIKILMPYESGGSISWLLQKFSSFSPQLAIMFMKQILQGLEYLHSQGILHRN LKTSNVLVDGEANAKLSDIYILNKYKLSMYSAPECFNGQEYSQYSDVWSAGCIFVEMLTK MPPWHHLSSDITLDQIRNSINKGQLFQFKRITKSEDILQIFNQIFKLNPKERSTPNQLLT HSAFRNLETEPLKSVVISTRKYYNTKHDDRKSFKPCNSNMSSSSIHGGLSVSIRRSNNPD SSKYQQVLQQLKSIHQDFNRTENMQETQLLSHNDLCSVVTRKIQIEAKIKEKGIHYIHKD KNALVENGLIRPKEGPTQIAKISVNGIPDQGNKNQVHKPPINQNSQQYKSIMHSGSLEKI QTIRSSEFVKSNDQSIEPQPAIPNKQLSESQQLEELMAQQFYQNQNHRNQKEEIKPKINL NDIEKMMMDQFSSSMLKSNQNEEEQQPETHEQQEYQQETKYIKSEIILMNNNNIQDPNYF ENLMQQQYLEEDDQEIDELQKLEDLIQQQYYNNIDKNNDNHQDYVIQRQLSNHDKSIEPL EEKQEEVLQENLSIIAFDQLAQSPKNDNEMLIEDDFIYML >CAK83785 pep:novel supercontig:GCA_000165425.1:CT868485:204921:206240:1 gene:GSPATT00018102001 transcript:CAK83785 MEESQFNSLQKTIIIPPRKLHQTILQEYQTENPNFSQFINETQQNPCFINCELIPIFIIY ESDIEVTHSFQLKKDQQLMRSYPSYYQTNLYVNNIYTESKKQILQDNKIIHCKILEINVN EEYIDQDIELIVIGSYEWKYNFNGIKNILRNEIPKEQYKQLQYQHPIKVVVNNAFELEYQ YFGNTVKMNIAFQIKSHCQLDLIEMDFLLSESQMIWKDVGINRVVPKCMLQNISINKQYL NHEEGYIEVLLDWPAMLQNEQLQPDLPSRRLSLVQVNPVLEIKTPLYIRWGQQQYTKCNT IQWKVKPIQTIGGEIKEVVRYKDAQNEEYLALKIVLTNYSGKEHEITFCPPSQIFGKKLN QKKDQPLVVLGITLSTEKNLGILQPNQICETILRVQLINNGILNMQTIDLKIDNQLTELS LNFLYSHQ >CAK83786 pep:novel supercontig:GCA_000165425.1:CT868485:206336:207889:1 gene:GSPATT00018103001 transcript:CAK83786 MTELLLIMNVRIDEGRQGTINVHFGDDPEVLARDFCIEYNVNQKLVPLLIENINKNLEVA EQQKVNSGQDTMQYSTETCNSKFMETPFNEPLHQLSMNNYYSTHSSVHDRLYEDAKNKRL KQKLNISDSRSKKIKIQEPEINYGLFLYQKGIKKNEEKLQRAESAKKDLQNSQLVECTHH PRINHVSKQLVQRKGESVSEHLNRLAQEQKIKRENASQEHLKSEVQSCSFKPQINRISRY IVEERNDRSNQPWYEQLYTDYDSKRQKLEQLEKQYFSSNYTFHPKIDMISEKIVQGSSFE QRQKIRSTSRQNLSVCDDDSQLFKPKTGRPPEKRPRDLFQNLYNQAKIWEKKRQNKIVQI HQQQMSTSQVRASEKSNQMIQSQMQVSLIKIFNALDSDNDGFINSENCDVGDLDDNVIKI LSPLLLEMESGNHTLNKKEFVESAERLVATLSPCEKYDLLKKPILMCVNILYMSQKIVAA KRN >CAK83787 pep:novel supercontig:GCA_000165425.1:CT868485:207926:210503:1 gene:GSPATT00018104001 transcript:CAK83787 MSDEEPQKDDDQGQGDNPDQDQGDNPDQDNEDYPDDQEYIEDEENPKDEEDQQNNELVNN LKLTIEEQGLEDNQKLRKWKDLLTEHVQQVQKLRRRNNNLRGQLKKLGDKAEKLVQSNVR KQKLLEQKLDPKHYNLAEKKILYEIKNGKSKQDFANKIDPRILDLLIAIDKMEQSNSQMR ESIKALKDRNKNLEQTEEVNKLSNEIILVEKQIAEEDRLRRKMQVIMKEQEAEFKQQQFD CDYEGRLNSLKDQIKTLKDSIREAQKVELHETRKEFELNNHFAELQKIYRQMCTQLNKPC DEKQHFKQDKQKDQDNQNEKQKKVQEFAQKSQEENLKRFLQLKDSQPQPEDTSELHKALK EFKEDKGKFKQIQEEVKNLQEELEKKEREAQKEKSRQIEEYSKLNEDVVQLAKQLKEREV QTKSSVIKLNDLQRQVQLLDRKQVQEPIQSTHQKPENIFYGIDKDSQTSVPFDDIKNLDW TSNMPINQIVVCKNSYNNLIIGLELTYGDAENKEEQKTTKHIGLQEGSIEKEQIKITPEE KLSYISGFYNSEQIIFLKFETSKKRVIQVGNMKYKDNQTKDFRIEIKDKEILGFKGILDY TQESQNTSERYLVAIGLNLKPKELDISAKKQQKKQKMLEEQEKQRVPVDYRKITYPFRKN HPKHLDLIKSQPKLDVSIYDEEKTILDKLFQKEKERYLQAQRAQLGLLSAKQFSPATYKQ QEYLDEDQKRRQERDKRKEWVEQKREENKNTLMLPVIETKTPVDQDKKGAKAQKTVSPQK QQKQPQKEVPKKDDSKAKKK >CAK83788 pep:novel supercontig:GCA_000165425.1:CT868485:210547:212028:1 gene:GSPATT00018105001 transcript:CAK83788 MVYQSLIEVHVIVEDSIRHLMHSLTNGLNVSDVLRNMPSYTFTKTMELIESIVKEFEYDK IKSDLVEECEPEKTTYDQWRRQNANFMKEFIKKPDNVMEELAEEQYEEKPPTWEEFQPEI VQTQQEPESDLYDDHLRSQLSYRERMRKEFQENQTLIEKEKQEERRKVRVLVDGQPEKVT YDIDGKIILKAKRIQLAPVRKIEGQQMKESAPSQTEELAVLKPRRPAFRKPKLKVFKVQP TNEDGEAQKIIAQQERNHLQEFMDVLQLKPGVFLEMEHYEKGQRNKHTQAQDLPYRIQNK MDQYLASEQSQSIRLSKEEYSSVTINNSILRGSYEQSYLKKKTGVRQNSTQKTKQSDYED TVPKNGIVKVNDIGKFYDLLIKDSEIIEENTHTDFPPLMMDRQESLSIQEFKTPTKSELP SIPATQFYKYLSKQQPPSLSRIDNNSVTGSVTSKLTRDRSMPEVVSNMKLHQCLAAPKIG KFLGFGVNQKYKF >CAK83789 pep:novel supercontig:GCA_000165425.1:CT868485:212069:212527:-1 gene:GSPATT00018106001 transcript:CAK83789 MKQYIEVGYALSNRVKCQNCLQNIVKDDIRIGHVLTRPPGFGFDKKIWYHLLCLTSIKGD RNQDLDIVNIHSLKEGDQQKVRQKVDQIKKSSYQKKDQKEVKYLSKQEHFQNYVKIQKDL HFNQKLRQQAMFFQKMDQTDEQW >CAK83790 pep:novel supercontig:GCA_000165425.1:CT868485:213556:213913:-1 gene:GSPATT00018107001 transcript:CAK83790 MSFEVVEIILSFEIIPDCSSVYEHIFDPTQIIYFFSSRRWNIIVLAVAYLIWSFLAAYQI QYSVSYFFNFKPFLEYQSRQLILRNWRYFNNMPGTILERGLSSFFQGDLDS >CAK83791 pep:novel supercontig:GCA_000165425.1:CT868485:214382:214700:-1 gene:GSPATT00018108001 transcript:CAK83791 MSIRLIQNQKIASQSITIFGEQQISLRNIQRSQSKDGIDILQEEEVGYYFCKMTNFCSIN NLMITQYNLQIEMKLISIINADAIEKVQFLQYKAGMQMY >CAK83792 pep:novel supercontig:GCA_000165425.1:CT868485:214998:215633:-1 gene:GSPATT00018109001 transcript:CAK83792 MEHILIKAEGVENYGSMDMSPECVPRPLNDNNSFGEYVIIAKTIFLGPHWPLFAGTLIGF NIFAYLMMRNQLAHGHDITFGVIITIVQSIFYLVVGLANPGIANDSSLNYKYLGQLYTLP NKPQQRNVWYCEKCQKIQPARSDHCPFCRVCIKNYDHHCPWTGKCIGGENLLHFWAFLIS TIVFFSYFITILMDQREEAKNQ >CAK83793 pep:novel supercontig:GCA_000165425.1:CT868485:216402:218329:-1 gene:GSPATT00018110001 transcript:CAK83793 MTQIEGIKNWYNNFESTDNSNVQNAFISVDASNNYERVFYDVDKIFQNFLQIQINKIQQK YDKQQNDILEQEELIKQQQEQAELDKKCQSKQVQLDSLPLKQPSQQFLQYLHSFWNQIEN KYITLQNIFKGLREQREFITNYLMDIQRKFIDLINTPDEKFYHVRNFQEQYNQFVQENPD LCEEDVCKEELHQRVDDLYDQLIDIIDQKRDDLLVEKQQIQSSQFIENEIDLFFIIKLDR AQNSVQLLNDTTMEHQRLQNYKTFNLVLENCNCKMETILMQDQINSLLILQEYLQEKKKL QRTKPKKEVNNQQKRMKKKGRKERKRKLSKKKFKTLMLSMLSVQKNKFLRIEWMLQKDMH NNKLEHLDRGADQLYLKIDEWIYYTHQTEIKALDAISGLFRGYIERVERIQKELQLQFVD VIISHEVLNFLTPIPPPLTAREPLSKERYSISQLYYLIEDLRAITSTHLLIDIKQLALLL ARSSYGVLKYSPSKWMQALLILDQNGYVNVRQICTYLILLSSPTPKEDELQQYASKLGQP LVNKDTFVNTPAWFDEYERIPEEENTNYFDRVIYIKELLYFVHKDENDMLATKQYIEILN VDGDRFIDYLLQNIQQQ >CAK83794 pep:novel supercontig:GCA_000165425.1:CT868485:218397:220812:-1 gene:GSPATT00018111001 transcript:CAK83794 MSQLLMVWLNDEVQLSRKVTSFEKDFHNGYLFGELLSKFNQQLNFEEFSNKDVREAKMKN FTLLEPTFKTLHIPFNFQIADQVIKGKKGVAMQLLYQLQMQLQKVNDPHDVMMHAKTGKY NVIQPLMVIRQPKDQFDKMEQEFFISKLNEKNKAQKDVNLDMHLGKFTSFAIQQAEKERR LKIKEETEERNLKEEMRKIQLNKLQRNMAFMEDWNQKGIENWKKNQQIRHKNKVADEKFK STMQKATEDRLIQTQQVMRSEIEDQIEQFETRATQEPVSLGLRNKMMSEFLRKERDKRRR KMIVDQEKQTDELQRREYTVLEKLKQQSKQEKEIMYEIWRAYQCQEVILENRQLRDENYR NKQELNVINQKFKEEEMLRALQSEFNEEIELQQRRQLEINVEYKLHIRQSNYEKCKKLVE VLFEIEEQLYEHLQNNDSNQFNKQFTRENHHLFKQGMELIPYKRFRTYDPIKEMKKQESL NYKQKVFLAKMEMQDYLEGTGAWDLYQAQNNYSLGNLVRYLIESQFQNSTSEVQSTDLPF VPFRGSLIGFSFSGKKTISELLAKKYGIQILSIDVIINELLEYIKKYEQGEEHSQVWDQQ QIELGQQISEYLCQGEDIPEELYIKAIVQKIKRLFDKQEFEQIYEEYKAKYQSFNPRQIR TLDEFNDDGINWEDFHRPYNKGWLLVGFPHNYEQAKLLEKHLTGIEPQDELTPLEARLKE AARVVKPIDYAVIPRKKQESGVGVCVYLEMPSNEQCLRRAIGRRYDHHNHSLYHLESNTP QVGQCSID >CAK83795 pep:novel supercontig:GCA_000165425.1:CT868485:220835:228394:-1 gene:GSPATT00018112001 transcript:CAK83795 MRIDKYYICLRGGGCGTTKIEPQPIPLSKQAGLQKSNLLEDLRKYSKIISEKSSTVFDKA QSDEVMIAIQWFNFNKENFGLLCQNDRQVTQAFDIALISLDQVLRQITVYLRASGFLCLQ ILQICNDLFRIVFSVLLKNQDRFIEDSYKQQILEYLSEFENNLKIESQNIWITGAEFELT IMQACVENCRSNSQKGQEILINFMSGLVSSFMSMSPTEDLIYSLIEGAQFIFTALQKKIE NSIQLYEIYYFFESLKWLIINQLKQQQFSVKSQLNQLMDGYTTYIANSKNWLIHFCWLKL VTELLTYRPIVNKFDFFKTQDSVQQQLNWNKLLHAKVLTLLSYNRNEAKINFFNDYQSDG ILKQFESQFQLVGFNKIRQFQKYLLEEKAFQNQTICESYCQFTFSQKNNNIHIHTFLSNL NIEKCQNTLTIIQKITSDYNILYQDFQQNFNILIENKGLTKNNIQLQQDHCASLVSQLES NTKSLIFLIVENQSQLLTLANACQLTISILDLTAIPDDQRKLITNQIQIIKDSFGEPFYQ LIHEIQSVVIHQYEVMSDYQIHFQNASYIHMKQTQQYNITKFISSINRFTEFSSKIINNL RSFNQQSNQLIPSKSHQQRDVQFNNNQLFNLINKLLSREFLNHYVKQAILMFEKLQQNQN EISLFDQIKVNYNLIYLLNGIISQIRIYEYQIYKVELAFKSKLNKDQKEIKEVNLQQQHK EVLIRQEHYVDELFQDYKNASQLNKETLNFLIKQINADFDSLNNAMPLNEFKKDQMRFFM DIQSLLLQIQLQPTNLDQSESKLRKLYQDQIKSVDNNQQQTNIQDEDTTATLQQENLNQL KLLKSYLSQKLFDFQEFLQNLNEINLLLLNSQKIDDQNVQINEIQKEVMQTFKAMHYKKF NKDLEDMRNLKETLFAINEQNLKIDIIQSVIDTIYNFDDHIDQSFEVEIELDPFIWQSQQ QIQQMSNTEKNNNESGSSDYKIRECLAFNIIKIQQIIQEEPINKFSQNIISQLWIHEKDE RVKRVLKNKEMIEFQRRLFSKDITTVSETLKQTIQGKFDIINVTDQEIQMEIDPKKKDQL QKKMLILNQELDEYVEGVNEMSSKMDLNFVFLKEIQKQLHLIKEKIQNIQNSINQLSVDL SRLRGKKYDELLEIRKQRVLLAREQEELSEIYIPLNTMIIDPITGQDIKVSELQQEINGF LLNQDKMCDVMLITGRAGSGKSKASERIEVELWKIHTNNEQWIPLFISLPTIKHPQFNII DQGLEKEYYQFDKIQIKELKEAIKKKKLKVVVILDSYDEMRSEYIQSNLFHTNKFIAEFG QDVMGSNFKVIITSRRELLTVMGYQTWFCGSSLEKMKENMLLSLNEDQCQHYIKQYCLYC IKRSIISIYEKLISLQGKQFIVTEFLQVWNSIYDFLVQETNFQNTNSNQLLSNNEIKHLI RLLKCKSTFKSLNDNQIQQLSQNLFNIWSIKKYQNVILNSNLQILFNTPFMMNVVVQVLP SLTKSNKEISTIKDQFLQNFIKIKKKLIESEKKMMRLKNDSDYQEFNVKDLKDVGMKIIM NLDNQNFFSFFSESNTFELIDDKSLKIMNQLFNIENDARIVYESLKQKQFTSYQFYESFI SYYHDQQIDKWKKMGKVYNYETVKVDLQEFSQSLALDMTKNQVTSIEYKIKGELKIRKAQ HFSQFQEEWQDQYFNDSYGEKEYKTLIRNSMLIVQSGSNYSFSHTSIQEFYVAKYIYEFL ERIKLDQDITALQRQYIQDYIEKMESSLYNQPSFNITLEHFSGSLNILKSEVIQIDQLQS KLLSLVKLSGKFPKLERVSSNCIYLLSFLQLNLNNQDISNINISDTKLYGLSFYNCNMQN TKMNNVAIDSCNFNKANLSNSEWKNMICKEKPFFQGHKDYVKSIAITSDGSTLISGGEDN IIILWNAKTCQQIQILEGHTDMVRYVSISNDNQILASGSNDKTIRLWSIKTGKQMDVLEG HDESVTCVIFSQDSNILVSGGNDNTVRIWNIKSKQILAVLEGHQKAITSLLLYENSQKLI SSGQDKKIIMWDVAKRSQCEVLQNESEVLTISLHKDEQLLSSGYKDGRIVMWDIKELRQL STLEGHGSNVNSLSFTRNGQILASGSDDQSVRLWDVKTFKQIGYLQGHSHFVTSLVFSPD GMVLYSGSQDKMIRQWNVTATKQDYVLDGHLNYVSSLSFSPDGEMLASGSRDCSVQLWNV QEGTLICRLEGHTEMVWCVLFSPTKMILASGGDDRTIRIWDPQFQKQLHIINSECDSIQS LAFSNDGSMLASGSGGFSYIVKIWNLKDYSLTQVFDVHSHTVNCLQFMKNGNIISGGADN TVFVLNVETKQKEHQIKIHRGSVNSLKLVEDILISGSSDHTIKTYNLKEQREISVISGHQ NTISSLAVSPDCKMLISGSDDLSIGIWDLTTQKQLASLPTTDQVKCVDFCPVGQIFAAGC FDGSIHLFKMMDNQKFDCYRTISRCPLIQAKDCILKNSNIVSRSDSLEPLLIQKGAIKI >CAK83796 pep:novel supercontig:GCA_000165425.1:CT868485:228776:230298:1 gene:GSPATT00018113001 transcript:CAK83796 MDQCDSSKLKIDYLSQEIQNLNQRLEEQITINLQYQSLINGRTDMKMLLSVMQSEQELLK QQITKLLTERNEAVSKVIRHAIQVLILEQILQVTQQENDFILKESEQRIKQLQEQIKYYE QSCQNLNLYENDPQNQGYLIKYKQIVNLNESTLRLQQEIENYKIQLALAHMKMSKLQESK NDLIQLNFTLSNEICRLKMQPNQGVKNVDKYITQLIEVQKKLVQIEDDDSDKDCTSPMQD RIQCFSNKYHFHLAQYAKIGFEEGSANISLYLIANQHEATKEPRFKSIRRTYLKNNYDAS KILFDQLKIQFEDLQRKYHETLSINATLIRANQNYEEKWQSINKQHNIYKEFYLQYKDSI EIFNKSLSNKKLQESLSERKDLCEFSIQDSKLRPTSQLRRSSVNVVGITSVDSTTNCINY KDKLIQMTKDVFQIFKKKQNENESSDEDIPKLNQMKREFTVSNNFQSKQRQVKK >CAK83797 pep:novel supercontig:GCA_000165425.1:CT868485:230334:230908:-1 gene:GSPATT00018114001 transcript:CAK83797 MKFLLIPATIYFFVTGWYLFIETKLPYYPFQHVLEFTPNHAIQALFAIALGLCILIDIKK LLMAFLLIGVVDLSLIVRYCLKLEMQELSEALQHVGYKAILLGIIIEAMRDQVVYEQPEK KEKRKRKVKKMVEIEVEEEVDEDEVQDTQKPNTQVTEETKPSPSKKKEKKGKQ >CAK83798 pep:novel supercontig:GCA_000165425.1:CT868485:231026:231601:-1 gene:GSPATT00018115001 transcript:CAK83798 MNPISKQIKNILRTEIILVIVLITINITLYFLNIAENNENTCQLSFNAMERCFDFDNQLL YKQRMPNTQCDSCTVLLWLMPSNIIMLFMMTLILINKTRYYIKNYMNKLHLVEIIYFGLQ FVYNLFVLFYIFQMPINDNYKTKLAPLILLFIESLINCFICILIKIDQIYTINYLRETNK KESQMSTQLLL >CAK83799 pep:novel supercontig:GCA_000165425.1:CT868485:231721:233133:1 gene:GSPATT00018116001 transcript:CAK83799 MKYPYEFQQQDVPLLELALAILMLGSFFNDLLLILIYDVQIDLTEKSQMIFSTAFIILFM IKCQKMRLTIYLLIPAYITLIISKYWSHQSYFMVFLGLLFQIGYVSLVMVMPQYRYPKPT GKYLVGFREVKLQNGDLVSIYYPTLKKSKIRSINSCRNRDYIKQVYNDFQHVMHWRPPIM FIYWMLGFLNKVKVEDIEVDSPIIEGKLPTIVFSNGLGGLKDHYSVFYKEWASNGYQVYS IQQQEVTIILNEKEIHQYKIGEATLENQLGQQILSKVKMIRHQQLNDRVTKFQSLLDYVY KQQNVDFNKVIGAGHSFGAATVHRSAQIDNRISAGIILFDPWFLPFSEDTLNIKLSVPLL SVNSETFRLRKENETPQRYSVVFNAQQKKLVIMIKGSQHLCTTDLVYKMPFELKMFTKLN NLNKILQITIAHLRLSQYFMDSLSPQKTLTRFEEFSKESFRQPIHEIEYK >CAK83800 pep:novel supercontig:GCA_000165425.1:CT868485:233149:233426:-1 gene:GSPATT00018117001 transcript:CAK83800 MGGWAVVEVEERHHQVLGVVHHGINQHLGSHHQTFTIIEVRHQIVAGTNYQFIVETEDHK RIQVKVFEPLPHTNQAAHVTAAVYL >CAK83801 pep:novel supercontig:GCA_000165425.1:CT868485:233709:234047:-1 gene:GSPATT00018118001 transcript:CAK83801 MATLNVHINLNHYQLILQQEKMKNGYQLQKKEFPVGPINFYEQRFNSVNFSNTKINEVIG WILIIAVILLMLMMAISLIQGSVVGFAIPLLSVVTFFIVYMNWVSMKFFVNS >CAK83802 pep:novel supercontig:GCA_000165425.1:CT868485:234531:235427:-1 gene:GSPATT00018119001 transcript:CAK83802 MQLHSVIFQFKKLRLIQKKMEDVKADQSPTVIKYMMRRKSAEEVQAPLHDVEYNNQPKKK KILFFKSFQKVTIDPHIKLDEIKEEKWTIMTNNYKSLIEKSRQSLIKPYDGGQSVTSNKE PRKMQFLTRRQSIEFRLREIGTAPSNHSSASPIKLNTQPNAQQSIDPSYARFKKFYQKIG IPSDSPIKLKLQTVMSTPIAPPKKMDKSQHSANSPNQSPSPLKSKILHIKANNHIQYLKE IREICQIASNYHAQVKQDEKNQIQHASQQVGYIQAEFNKFHNMLTSDLETVDFIDENK >CAK83803 pep:novel supercontig:GCA_000165425.1:CT868485:235970:237011:-1 gene:GSPATT00018120001 transcript:CAK83803 MNRSFQIVPSDTFLNILNQNNMSVVDIDDEYRVEQDWMFENENNYADQQNEPEQPIVYER SKALVGSQLLQKFKKTKEEDLLKQNKEVFQANQSKSLYLNKIQQLIDNPAVVSKPKQTRQ KVIVESDSSDGKSRLAKNRESARNSRKRKKVYVELLENKVKELTEQIQQLECNLEQYKIK NLQVENFREEYHKQLSQLNGLQSIQTLQEQYGATSQRRWSVCTELINLLIDSTIPIEIKK LMESAKNGTDMFIKPLLNHNSCLQYREYFQQGIIDLEIATKNFGLSYDKIREQVFYLERL KLEVIQTIGANQFIEYLNQQLY >CAK83804 pep:novel supercontig:GCA_000165425.1:CT868485:237041:237367:-1 gene:GSPATT00018121001 transcript:CAK83804 MIQSLKQIKIKKVPFNYTYYSFPILLFLCSYSCIETLSQWWVVPTLLKIVTTMITYSHST NMGTAPFWTFAIVYQIFVLDLITTGSLFWSQRNLSVHREKRQELYKKY >CAK83805 pep:novel supercontig:GCA_000165425.1:CT868485:237713:238695:-1 gene:GSPATT00018122001 transcript:CAK83805 MKTIIFLFISLTMITSTPLTCSEALTTAECTNIINGIHECIWHENQCQLKTCQNSQIPCD GLVYQGELCSNSQQGCQSVKECSDIDNQESCSTLRPQGVECHWDQQCKVKNCSHNTKSNC KLTNSEKCIYQNEKCSSINQCSDIVEKSRCLVSQIQGLDCIWSNDSCLTNNCKAVLNKEE CFKSVRNSEKCFYTQNRNGDNHCLSCSQLAEECKCNEYGIFGCQWQNNSCFEASCNQYTN EISCTHESECVWYKPMNKCVTTQEANQYDRACDIYIYGSILHICTLLILIVLW >CAK83806 pep:novel supercontig:GCA_000165425.1:CT868485:238793:239496:-1 gene:GSPATT00018123001 transcript:CAK83806 MFESDSKNYWRKIRNVCLSVRLFQMPLIKSISSPDEVTFNGNQTKYRSCSYIDYINKEQH IAKQRAHDDLSDALKAIKRERELFYYVEKGSSIDQIEKIIMNDPKRYLYEPTSPLRLVNK KNSSGFTPLYIAAKNGFEDVCKILIQYGADQNISVNQIDDYPLVAALRWRHFEVIELLLT NNPNEKVRILCQQSIDKSLRHKYSKYF >CAK83807 pep:novel supercontig:GCA_000165425.1:CT868485:239656:240263:1 gene:GSPATT00018124001 transcript:CAK83807 MQKYQAAIIGKKSNQPDINWTDFNWPPLIKIFHFDLSELQDPYKSLARLLYISYLLIFGT TCLNLLDSFIQAGVGYPKIRILYGFLNIFIFNGIQMYIFYRGYKGLCADQSLLKWYKILH LVAGILWLIVSIIDALGWNGFVRAAYFGDQGEGGLVFLSIMESLGFLFSFILAPVCIYKV HTFVFDTIEMTDKL >CAK83808 pep:novel supercontig:GCA_000165425.1:CT868485:240503:241412:1 gene:GSPATT00018125001 transcript:CAK83808 MDSKQNLISFLAELKSKEINDDTYDWLEKQMNKGDQTIIHQLILNIGDHFDDQQYVLNAF QGLIKIYDINPNVLVKEVKETKMTAKILVHYLCEIEFNTWNECGLQLLVLSFDDNHFHHQ IKQLNNKQQLQLVNNILNYFAQSDNAEVFSVLIQILFVFHDNQDVVTVIIQHQNARFFQE FLLEYLNHTKNDVSKPLFFIETIMKLDKDFFYVNDFKILQQISIRQVYDGTENERRLYLR NLKIIIQYGNKENILGDEILEAARMVQMTYTDNYCLKKCISIIDNLMNKQKQ >CAK83809 pep:novel supercontig:GCA_000165425.1:CT868485:241456:242912:1 gene:GSPATT00018126001 transcript:CAK83809 MAYHKKSFTWSPCVSQVLTKTCLINLKPTVTPRQGPVHLKIDTERELQFSNDLGWNWHKS WNIPNLSIKLHLLNEDTPSWIDCQAQLMAVKLKQDGLYEEVGLEGVTQQDLIDGKAFFSG VKFNSTTYNHQGHKFHLIFLLKTQNNIIIALESPPVFVDSRKSARDEHRQTQFIQPFEPK YLERNFCKKEKHFNDVIQAPIENNENGLHNYLTAPNIRNKIKHPLFLALKFSKCMAIYHL KTMTTDNHMIEFQKQLKQKQGYSEYIIAFQSNNNRIRKKIEESLIQLFIQSSVKVMEKKY VDESLYQKLDYDIKSYYASYNKLVEMMNSTNAETQMEIQLEEQNHQQISSQNQPNRQQPN GERKSAFKKFNKDFDKMPTKNFNDLQNEYDNMQKKVKVEEEVDNGQPMLPVNIQNLLLQE QLIKYYLFQQQYMINLLSQQI >CAK83810 pep:novel supercontig:GCA_000165425.1:CT868485:243033:244848:1 gene:GSPATT00018127001 transcript:CAK83810 MDYQFPRKQLAISNSTKDKVEACKRYIERKYTDLLKAEMERREDWAKLWVKMKDMQLKAQ DQEYYKQLVLKKEAELMRKKRQKFCTDDFEPIAIIGRGAFGEVRVCRIKKTKEIVAVKKM KKCEMLAKNQLAHIRAERDILSQENPWVVELKCSFQDDKYLYLVMEYMAGGDLMTLLMKK DILTEDEAKFYIAELVLAVDSIHKMNYIHRDLKPDNILIDRKGHLKLSDFGLCKQSKIFN LRYSEIKPKVELGRKIPNQNVAPTPILNKMQKRRQLALSTVGTPDYIAPEVFTQQGYTET VDWWSVGVMLYEMVVGYPPFFSDDPQTTCQKILHWQSTFQIPQDISLSPQCIDLIRRLVA DHTERLGINGVSEIKIHPFFQGVDWKRIREKITPYQPQVNHEIDTQNFEQFEEEEPWNWN SSRRVKKDHFQGYSFNRQAQKEQSPVRVALENIDKVEFPKRQQPTQQPQSQIQQPGSPSH RKVIAKPIAYAYNKSARSNSPVNKNQQQQSSLKTIPQQQTLLNILSQYKRNSNNNNNNNS NNNSALNYSSSRLPNLKSVREQSPRSPRNAQKYYYQ >CAK83811 pep:novel supercontig:GCA_000165425.1:CT868485:244916:246720:-1 gene:GSPATT00018128001 transcript:CAK83811 MKRENKENLTHNFSCLKHLKLKNQLLILISFLIILIVTYVVCASYVHSAFVQFYFTQSSE EIYTKLSSAFIQTQLNKYESYFTSLFDTNGQTLISVFQLYNYLKKKNKSQPSPLNPQFNM LYGGKDNDIPQAIISPDKISKYNSTISFICYTNKTKFNDILTDEEILNIKTQEQLQAFGP IIHKGMTTYQELLSVYVKSDNILMTYPCLQRYDIDSYIPEKRGWYLEGIRNFQNHVPYDR YNFSLTSPYLLFQSIYIGLSMAMPFVDSNLQLIGGAAFDLIPNLLIANLVQQFGQDFTSI YLVTLDGILVMHPYKITPKQLPLYFYNTSITGFTEEDWVSLNSVTISSNCKNQTNQKELN CLFNSFYNQDMFVSMQTISSFNISIVVQKLIYYQSLISSSEFNKFQEDYNDNLVQQLTTR FYISLIQLISVFLFLCLVIYFTTNQLFRPIETIIEFTISKIQKQSCQPKLISKILQHFLS VQIAELYQSCNNFYKLLERFSFTKSSQCQQIENLQYPILNGIYFLSKSINFKHLKYYSKK TNEQNMEHYSLIKNIVRSAFKSDKKKIL >CAK83812 pep:novel supercontig:GCA_000165425.1:CT868485:246972:248045:1 gene:GSPATT00018129001 transcript:CAK83812 MAGGLERVYGTEEDKVNCSFYIKIGACRYENKCQRIHSIPPISQTILFKHMYQNSPIEVA IASGNAVSQAGIEEALEKFENFYEDVFLKLAEFGEIEDLIVCENIGDHLVGNVYVKYTSE YYAEGCFNALQNLSYENKPLQMEYSPVLDFSSAKCKQYIDGTCQRGGACNYLHLKKIATK FKKSLFNQMYEEHPDFREKKEKENIEKSPKKHKKKEKKSKKKKSSSSRESSRRNSIERQK MINDWNETGIQNVSQAQKMMYGNNMPAPVYTPKVSMRTSPELLQLQLQLAALKEQLAAIK MS >CAK83813 pep:novel supercontig:GCA_000165425.1:CT868485:248121:249239:1 gene:GSPATT00018130001 transcript:CAK83813 MYVFYLTQIQEDNALLQNCQQLNFNWKRVSKNMNLMGFKRSGKSCKERFQNQLNPQINKD QWSQNEIDKLFELQIKHGNKWRIIAKELPNRTDGLIKNYFYSLVRKVLRRLSKAINRNKN GSKMTKTLKPSIISQIFCTNQDESKQSTDSLEFAYLFRNIIFKYKNYNLSQQIDNDDIGK IKFIFLTLQKLSESYNSDNSKRSETKINQKQEKCNNKNLNININAVILHKINNKLPIFTT NMYPLENLYKRQDNNFDPPQQINYQAKSSFNTDQNLSSDGFPFKQPQQYVFYHPIPQYYY SMTLNAYIQQQQNLRSLYSSYLYESNKVKQENEE >CAK83814 pep:novel supercontig:GCA_000165425.1:CT868485:249463:250642:-1 gene:GSPATT00018131001 transcript:CAK83814 MQQSIINRSHQNQSLRNSTHQNTSSKTVTAYQEYIANRQSASKSLSQVCYNCVNNEISDQ KKHLKQKIIEQDKAYVQEMTKSIIKQQEEENTQIIQKKLKEREFLQKSYQESQKYREERK SKEKQDNDKLAQQIKKTDILDQNRIQQEVIQSYYKKKQFMEGLNEQIKNRKIKTQDDSQN EWFKVGDEEKAEQIIYQKKIIERNLLKQQRDESLKMKESVLIEQEKQRKKQERQQADYQH QLDQQIKLAEQGKKRMIETQYSKYLQKAIQEQKEKKRNERIMTAEEYRLNCELINKQIQN REESEQNQEVQKKLQYIEELNQQYKQQLEIKAKDKQKNRYIEQKLQESNKKYEYLRKELE KPNVKPCQKCNKSYDAKCLSKSKIF >CAK83815 pep:novel supercontig:GCA_000165425.1:CT868485:251185:253302:1 gene:GSPATT00018132001 transcript:CAK83815 MQKSIGKYTTSNSGYLGSGSLGRVDKGKDPEKNIDVVLKAIPRSILKDDEAIRLALLDEI KLFQKIKNPKVVELLDVFQHEGTYYMVMEYSQLNLQQLNIIQKMCMSEQEAIKMLNDLLE GFTELNKHGVMHRGLKLSNIMVNEGVFKLADCGLSKCLESFRKEQQQLSQYQYLSPQILS GQKYTNKCDIWSLGIILYQVLFGYTPWFGAKLDDYQHQLWHTLLQFSEDKKQISNEMKSF IEGCLAIEEEERFDWDAVYKHKLVCEHFKNYRSQIKQDQIKFLMNEVRQKIIKQNIDVIK LFNELDVNGDQNLEYKELVVLLTKIEKTLSNNDCKIIFKQLDLDGDKTISFDEFAKWLTD NNTKMTLLTRKFHRQSTMKTAPSPGIQPQGSNQPIQSQANIQAPAGFDNQLYNPMFTQED LETNPFEDICKQRSPEQTILLIKDGINQFRLNLFDFFCRFDQNRDGFLSFQEFYVMAKQI NQQLTNEELRVAFKVFDLNDDNFIKFDEFKEILAITVQRPNKLNLSFPQFPMQNFKSCSF FWYLILIIAIITIIAIITIIAIITIIAITFIITITSIISNSFLISIITLLLKLKLIIIIQ QQQQQQLLYQNNSYQSLPYNQFQQGGQQQQYPNQQQFNNNIPNQNNDLNIAYQLMNY >CAK83816 pep:novel supercontig:GCA_000165425.1:CT868485:253371:254463:-1 gene:GSPATT00018133001 transcript:CAK83816 MQKEVQLLKSLDHPNIVQYLDSCILSYSINQYHQERLGYICMELGSYNLDDFKQAGYPID NTLIDQTLNALAYLQRKHIAHCDIKPANILVMELKPICYKICDVGSSKLQSSELVDSVGT YKYMSPEMLQGDAKNYYLSDVFSLGLLYLSIYKDFSISHAKRCEITPQEFIQKLHAKINQ DEDDVSILLRKMIQLDPKDRLDFIQLEQFWEDHKRNKIKQSMLDSKEGSIRFNSNTTFLQ DDSPLKSSPYKIGRLTKKLQPNSKQSFYSQGNNFSNEKNNSVLPFSSNQITDRKTATSTR QRVQSFQLKFESIQKPAKSPEKPKLASPLKQNTNNKFQLPQLPLQHLKIRLIQS >CAK83817 pep:novel supercontig:GCA_000165425.1:CT868485:256287:258587:1 gene:GSPATT00018134001 transcript:CAK83817 MNQVMTLGCFSKRMMETYAVQIFCMNTWDHCCLIYHKKKMLFKYHYLEEKIIRQVLWCKK KSYRIFDITMSKKKRLYFLLCQDWFIYFLNFEFQIVNKVQLECKQFTNLLFLDHLNTFVM VQFNSVSTFQVEMKERFKIVKYQELPGSLEWNRQCKLQDYFYILYNSQNITIYDMRTIKH VGSIQLGLTISDFYYINDLLYLGDVYGTLWCYDLNGLLLHYYEHAHEGQIVSMTVHKEEL FTVANDLIIKVWKLSNLLFCQSYPIYQQQIQYFKFINYSKYFLQSADELFICDLNHILQA YYLHNSSISAMSNQCIAFKDHLLYSNLTKKVIFPSNTVYQVLQIIDNPNQPNTCYFHLQN QTLAKCVNNQQTEVLNFDKIRTINGDKINQEITFVQILAISPPLYDVELTIDSKDQIKIS KMLKNADQSLFFILGCSKGAVLCVPFENFTIIYTRVNYNKTAIQNIFHFNDQLVINSIDN VINFVQIQSHKTQLIKSLKLFKLGPIHLTYSKQLAIAISNELFVFNSNLIAYETSSGHEN DIKQITSNKNYIISNSLQQMKIWTTNGFCIYTINFHVQIDFIYYLKDDLITSVNGGLCNL SIPEHEVLEIDTNEYNEIQIIDLVVPKNQSSIKRKSQIIEQQSLISQRFKLKNTQELPSI TLKIYTQTNETPQTSIRNQCNTIQTITNKSTNISTSNSQVPISRSVDCRYQLTKEASFIL PTVAKQIEDTSLFNQYLHSKILRGQRRNRRDLTLDRMNMGNILQLN >CAK83818 pep:novel supercontig:GCA_000165425.1:CT868485:258945:259999:-1 gene:GSPATT00018135001 transcript:CAK83818 MLFLTFLISVSVASQDLLKKCYLEQFTIGDPEVKIQIYFEDHVVKNHQIEYECLEFIISR GYYKVALSLYENYFLLNHIDITDRIVAFLKNDKYLSQREMQTLFKLAMAQSNQVQVVQPV VQWAQSKNATFINIKFSHRQDAPACLNAKLEVVEIKSDSLLIEAFGIVSHIPFKYRYAIK LYKLIDPATSYEKVESVGTMYVNLTKVEPVLWLRLTEEDYKTPIWWDLKDNYRKDMEEFT QMLEKESERKEKNADKQAKKNQKKRDQDKQKQTTLKAQEAKRQLEYEHNQCYKPGKCEIG WYQRQ >CAK83819 pep:novel supercontig:GCA_000165425.1:CT868485:260033:261183:1 gene:GSPATT00018136001 transcript:CAK83819 MKQLNRTQNEQNLVHSAIAQIRKQYQNAESEEVPQDLLENKYYKEYEPLDKLELLADQHI KYCTKRLLNIGYSILYLDVGQPWCIYWPLNALSILQEDVSKYEEKILQYLQQCKIGGFGG GPYQFEHLAPTYSSLLTLFILGSPASLGLIDRKGLENFFWSIQDPREKGSYLMHINGEAD MRAVYIVVIMVSINICKYISPKLLDGCAEYIASCQTYEGGIGAVRYSEAHGGYAYCGYAA LVCMGKAHYIDQEKLLNWLVSRQMENEGGFNGRTNKVVDSCYSFWQGAIFNLLMLSGYVN EQLMDVQELKTYIQMCQNPAGGIFDKPSKNPDTYHTCYGLSGYSLSDSNFQNPIYNVPNK CIDFVQKFFQ >CAK83820 pep:novel supercontig:GCA_000165425.1:CT868485:261367:262100:-1 gene:GSPATT00018137001 transcript:CAK83820 MPPIQISWSKNKSNISLIVRHMKLNSFGTSECPNVYEFKQQFNYSKLNIQEHGEILPNQT LQWFQQNKDGNVLIHSQFGINRAPALIAAVVQKITQCNLNEILQTISFKKPDIKVSSKIQ EEQELIQLDQYFQQISAQLKPQIRTQKDCAFKCIKCRTDVFVSAVLVHGIKPECNHYFIE RPHFIEEYEQDGKISCQKCNQRVGDFKYIGSKCNCGEYVCPAYMYNKSKVDKKII >CAK83821 pep:novel supercontig:GCA_000165425.1:CT868485:262359:263701:1 gene:GSPATT00018138001 transcript:CAK83821 MELKNKYKFLGLTAILALTGFFYIQKKKNRPFSQQIAEKIKGLFERIDSHFGGQQVEELN KSLINNEEFMEILQNAAKDFFDLKKVDEEISKVDFIGQLSIINKQINQLLEIRLVAQYVE KNSLRSVQEQIIQKLASQRVTKKQPIIQFGDAAMEFLIFVQCSFLHLLHEVENTLQELTN PQKIVSLNCYLMCILDYLNKLLAKYPNLRNLVAIVMLEKIGSNQFNFDFQHECKDLYYTK GIKDCKILNSCDCCIFELLSRYISIFQTSVQKDLFEFFKCNQLFQTHEHLLQMGKILFKN TFYLGGQLSQDQLYYIDTFSPLHGLLIEATSNSSVQEELLKSEEFGVNIQYLEKVINSHE NPFLNKLLASLIDMYAITYPLFYNLNALKQIINEDQKIESILSTFFGVLLNLENRETYDS NKCITIYEIRK >CAK83822 pep:novel supercontig:GCA_000165425.1:CT868485:263733:265002:1 gene:GSPATT00018139001 transcript:CAK83822 MGTIFTQQLLGNISVEFILQLLKLQEIQKKILFTYIYCLRVSQNKRIQDRQSKKKNVDTN SLITKLISLVVISLLKDDFSNQNMDSVMKNLGFVDEDERQNILLNILRIQFEMPSMNLNY LKYVSTKCQQISEETYHSFNGYFTNVLNPTTLSYDQYMISMQLGIIYGKVDSFFASIGQS FLRDLQQFEQYKDFLQRDLILIVDLMENPYYFANVLIHNGNCPEDVYKNLLKKVAITIWQ LNPKIRFSQLQYQISLLLKLEVLPQDIKEMFIVDQNNIIKLKEQYEQLWPFMCLQRDLQS VEVYLKLVADEVLFNELLQDQASFEFLSRIQEVMFRSPNFIQIIYQLLMCANRMNLKIWL GKILFYAKKFSHVNQECKTLLKSKECQSFIQEMPSNYKKFMQIEN >CAK83823 pep:novel supercontig:GCA_000165425.1:CT868485:265433:266536:-1 gene:GSPATT00018140001 transcript:CAK83823 MSKMFHTMADLSDLDAITKSNKERLRLLNILPKEQKAEIEVQTDVQTEKIVQILPKEDSL TLLNLSINKYIQEVDQYNKQYQQNLEELINKIKATVRRVLNQEAYVYGSFATELSLPNSD IDIVIMTAQTMYIVDQMKKLEIEFSKTKFIEETKCILFTAIPVLKLKSVSYYFNKRIDIS FQEPRHNGIQCVSLIKSYLNYLPELRPLTMVLKQFLSQSSLLDPYQGGLSSYGLNLMIIS FLQSRTGQQLSLGQCLVEFLYLYGCEMDYIAKTIYVFLPDQQISAYPIYFNPQNAVNQIP TLLIQDPLNEKHNVGRPTYNIQAIKFIFAVGFMKALNYQSDQIDDFLSCGKDVQLKLESH IHNFYQQ >CAK83824 pep:novel supercontig:GCA_000165425.1:CT868485:266581:268449:1 gene:GSPATT00018141001 transcript:CAK83824 MNQDVLKQIRQHFPIIDNILFRNILSQQKDQNLLITLVYILKRVREIVGHMEDVTKFALS LWEKEEIQISKELHDEIILNLVESKFYYQALLANKNSQFLNKNKIYTEVQELSEQSKKVN DILIQNFSAQRPYQLFVEEQQELQSKKTAQTPKIPQVQKGQKLCSLTLNIQDRDQLDKLY RNNKQYLQQLGIKMEYPKNTTATQTEQVDGLMPLSSKKNKNQQKDQSKEMKFYEYQLEMG QCTQYLPTYDTVEQLTQDIKLFVSEIDQYNKKNKNAFQKLIDEISAVVSATYAGAQIDVY GSYATELCLPHSDIDLVIKISNQHEKFVTDILQRIEVELKKCKFIEETKCVTQSTTPVLR AKCNKQYMNKRLDISIQETKHNGLQCVQLIRKYIKNYEPLKPLTLIMKQFLHKSDLSDTY SGGLSSYGLILMIVSFLQSYQNQDKSWPTIGTLLIEFLNVYGCELDYAGKTICPDQPEVF EQETTIIFDPHNFAYCQQQSLVIIDPLNPQNNVGRPSYNVAKLKLAFTVAFSKLLTFDSS TQQYPLKFFFNSAQNIQCSLHSVLVNQYKAYADQIMFGTSTRY >CAK83825 pep:novel supercontig:GCA_000165425.1:CT868485:268850:270706:-1 gene:GSPATT00018142001 transcript:CAK83825 MQLQTTLFIILFDLVAISDSVYTALLPYFSPVAKDKGFTETEIGIMLAFYPIGSVIATPF VSMCSSKRKAILIGLIQSSIATIGMGVSKFSEGGLFFALSCIFRVIIGSGASFLLIPSYA ALPILFKEDVTQKIAGLEMGHCVGRITSTGLFTMIAQLISFDTYQLPCYGLALIYVVSFL VTYKYFYLPEVPEGISPKKKQKEAPKPQINQNHPITITKSQTITPIEVIVQNDNSLIQQN EDLNQSQQQLKPNELLNQSVQNAEVIEGQLQRHDTTMQTLEVPQVNGMRKSSSSGGEDRS CEEDTLHDKKAINKWQTQIRSIIQQRREGKPISIPDSPKMNNSQKMNHVSLFESGNKMQN STQLEVLQEQEEITEDDGKLEVKDYVDIFKNKSLQVTFIFFFWLSALENGLRPFLANELG DYYGLSEYNISYLFMIPIFTYLLSAILVARQTYFQEQTLFKFGMWILAVSFFFYCPTVFK LPHSIAFILVGEFMRGISLGIGCALFIPNISDSCVDKVGVCKANLIGANLYQFGWSLGDI FGPIICGAFVEKIGYELTTLFSGFVIIVFTIIYLIFRKNKSRIQVITVENINNKVPQNNN A >CAK83826 pep:novel supercontig:GCA_000165425.1:CT868485:272416:274235:1 gene:GSPATT00018143001 transcript:CAK83826 MEEQPQIENSIIEEKYKKPNGETAYRRYQKGKVLGKGGFAKCYEVTSIESKKVLAAKIIA KSTLKTGRTKAKLITEIKLHKSLHHQHIVQFEDVFEDNENVYILLELCQNQTLNELLKRR RRITPIEVQCYLKQLIGALKYIHSHRVLHRDLKLGNLFINDKMELKLGDFGLATKLDYDG QRRLTICGTPNYIAPEILDERDWDIHYQADIWSVGENPHSRLQMLRTTYNKISQCQFNFP DHIQISENAKNLISRILVLDPSKRLTLDEILSHPFMTSNPIPKTTHISQLLSPPNAAWLS QYSQAAMFSSQAVLLNSKPIQPELVSVKTSGQIPKTNDLFSTRLKMSQAERIKTLTEGIN YLKENQQTQKKQDLNLENNNQPQFSKENEVTYVIKCCDYQSKYGIGYVLSNNNCGILFND ATKIIQCNKQQFNYIDKQNLIQDYQYDNYPSDLDKKVNLLQKFTLYLGVDENNTNSEISQ VFVDKFLKTRNALLLKLSNGVIQANFIDKSVIVIQSNQSIVFVNEKGEKSSHTQEQIKDN DKIQRRYNYVQQLRSGCQ >CAK83827 pep:novel supercontig:GCA_000165425.1:CT868485:274287:274848:1 gene:GSPATT00018144001 transcript:CAK83827 MDNSKKEHSYTYWVKHDPNHPKIDCQPKKVEDPSQVQQPQTIGSQWNVSGTWEEQKVPMN EIKKSLENIVGMKIGQTKISAVESVEGEAHLFLSRGKKRMGYHLKITYALEDDGQIKYTD FTDDGDRDYVLEDVNDETVKHQIEELHDRTKQYVEVFKN >CAK83828 pep:novel supercontig:GCA_000165425.1:CT868485:274931:275382:-1 gene:GSPATT00018145001 transcript:CAK83828 MGICHCSKSSEGEQKLVKVKVGGGEDGNCTWKVLKGVQQHNLVTNSGWEMKFELSSNGTI SGGNKNDNEEGALKKLYAGTLKNGILDCVATFEDGAQIKYDGVMEGSIMKVNCRVIKGSE KFHEGDIAECIGPVKYD >CAK83829 pep:novel supercontig:GCA_000165425.1:CT868485:275414:275884:-1 gene:GSPATT00018146001 transcript:CAK83829 MYKEIYGCTLIHPSKYTEKGNLFVGGIKSLDQIQKHKFGAIISAVEKLDKQIPDYIHHLR IVAYDEPNFNLSEHFEQTTSFIKQHLESTNVLVHCQVGVSRSVSLLMAYFIKELHMTPDA ALQYIKNKRDFVCPNEGFQQQLRIYYDKCQENNKID >CAK83830 pep:novel supercontig:GCA_000165425.1:CT868485:276340:276708:1 gene:GSPATT00018147001 transcript:CAK83830 MSQEQVLDLQILSQVSHLRLRSKRKWKCQKERGIYMLIIKQHSLVLKVILKSSNKFIFEQ AAKQFEFCDALDQATYGNNMDVIKYFENFKQNIKPKIKTVKLELKSKIANKSHSCIFGCY FQ >CAK83831 pep:novel supercontig:GCA_000165425.1:CT868485:276782:277051:1 gene:GSPATT00018148001 transcript:CAK83831 MELNYLPNIAVVGKENQAVEVICKDRQIGSIILQSQVEDIESIITIIKMNPLKLRLLQRI FIRIAKMNQNGGSKLRKQEREPRSLVKML >CAK83832 pep:novel supercontig:GCA_000165425.1:CT868485:277135:278333:-1 gene:GSPATT00018149001 transcript:CAK83832 MFFLLLYGVIFLALSLLMIGLFEYNCMFYLFEWIWLWFFGMILSMVFLIKEESINEEDRE QLLEKEISEHKQTYIPFTMYAINASIQAADILLICFELFYMSFGLFIICQGIVFVFYIIY TNKYDQLKLIYGGVVLLTGIFSLALDTDGNDKCYIGLIATLLQIGTNIYTIKLKEEFMCQ YIFQHSKYISYTGLLKFLMWVIVIVIVNFIPCPKVDKTTVCPNNQYSDKKCSANGNLGDF SGYFETTFSEEYNQNQQKSITYPVIVFVVLILVSSAISYMDNKITIKQQSDKKQIIQQFV FFPLQIWVYHSHLNTTLFVFCILFFILQWLLGLYIIFQDKKTAKYEQIEEDQDSEFS >CAK83833 pep:novel supercontig:GCA_000165425.1:CT868485:278391:280931:-1 gene:GSPATT00018150001 transcript:CAK83833 MEEIKACISSPQAFQLNINTVVDKLKKENDLAPNFIQFADEIFQSNEKLLKKYLVLMIIR EAVYTSPVGSVTNKLASNNSILNYLQEIVMFQPGSEDEFKGIYKFENDTPQIAIKYFNLI LELIKFLAEKYPVSQTNKPTKFKIMYDRLVQQDIVFPKQIRELTADINEIEDAVEDNQPV KRYQAQQMEQNQENGIHQLEELQIEMNDQIDTIWDMLNDQNCNPEGAKDMLQFYCDTFKE SDAKLQQLEYELSDKLSQQQKDQIELLCNFIKIFNLKFNHFERNKTKNGFLEFQHAVLTE ASKITKKNYTPSDAYQKSKIQPLSPIRQVGQDETQDASRFNEITNTQIEKKEKIVQQSNY QRELEQQQQQQQQIDQSRSQNKVKQVEKQKVIQSQQYNQYDDPDPTDIQNQLLQQQIQYD QQQQQKQYQDQQKYQIQQDQQKYQIQQDYNHQKSSKPAQQNNTLLLETDYSQFEQTIPSQ NRNQFAQDYYQQPSKQEDKHGSLEQYQDQYTQKPQGMKLTFGNRIEQEQDQKREGSSQVQ SKEVRNTGHNLQQQQYDVFQQQVSAPYPGYQQNNQFLDIFQKLSKSGYTQKMIDVWKKTC LLGKGNLFENDLIRVYCTFGLQFQVLNNKNYLKISLYILNKIDQSLSINIKFQGDKSTKF WIKSDNKKVLERELQYLIVVDECKELINCQIQIDNQILNVLLPTSQYNFIDFLDLRAEIF QVKIKDVRFYQSQPFRLQIGWDTFKKIRFTEVNNYYGKGFVIIQLGATYGNNNYVRVMMI EKNIWIVEATDQNLIPQLLFLFS >CAK83834 pep:novel supercontig:GCA_000165425.1:CT868485:281837:282124:-1 gene:GSPATT00018151001 transcript:CAK83834 MKFPKVIMLRNGNLYDEDFWVCLLQLKFNAMICLAVESRMLLQKKNEIKPISQNFNSSNR SNNDKDNQEFKQQQFILIQVNFCIKMGLFASYFSN >CAK83835 pep:novel supercontig:GCA_000165425.1:CT868485:282397:291804:1 gene:GSPATT00018152001 transcript:CAK83835 MHQSKNKREFFKPKLIQEIKKKRIKELRSSPNWEHIQQVVESIEQTTYTINTIKALDDNI LKALTFKDFCGSDIAYHLITNFQFDQFIDILGQAESLFTSDFNQVIQSAIKGISQIKKEN NHKKILAFIKQLTSILNTRRESEQAKAFKFNLDIVVGSRYNYIKNLWELKLLMNLYKLLG DFESEITKLSIIKKDLNIHKLLNSTQQERSQVSHLKIQLYFSGDAQNYINSILIKKKCWD LFYKSNVDSIFKPLSDGFTLYMRLLKAAPFDVLVEFHQMHNQIIKDSLNSQHKGTYNILH CISENKNPINQEFIQILQDLDLGDLKRQSYNQQIPLVLYLRIQKSINQNYLNYLSKDIID QNHNQYEGLQLLISLYTRGMYQKYDIEKNRKIVKGFNNYKKKVKLVKKFNMRYKKIKPRY KLSKSVSVKQDKFRQQLSTFSDDIKNCAQNIYENTAAIHHIYYLKGIKQDFNITHYSFSL CHFDYNISKIDQPLAIWIQDSTLLDSFLNYLEKDFCSNINQEIKEAIEALLLELKHSISI DDLIIQRKIVILNALLKQNRTEQENARIKSQISNLLSKSQDGFRKVFCSYSKYQTFQDYL ICNDLITIEAYQVNDAFESVINLLRLGHRNQNNILGLAEKVEKLQQYPSLRSLLQICFQQ NNIDKLSRLDKWKNQTEFLDNLIQQNFMKSSLLKTPQGIELLYQFSDKCLHRDLLKNVLY NFQEACVHRFFQLFYEIESWDYFVKKKYSVKLFLCHLTQQQLGLVFNYQLMGMRTEFNFR LFEKKATTIQEEIVLRQVIESKNFLQVLVSNDKYSEFLQVSLKFYPLFQERDGTDYVLEA LNNEAYKNLAILEQWIFDNFDECHSTNKYKNTIFSFLRHHSFLISGVFGKTSKKIDRVKF NRQIKNYFDIFERQIELQRKLEQPNIFDYNNHKKFNKSFICYLDKENTILFSEYIKQFFQ SQKSSSLFHYIRNSENPAIPEIIHYCRNKRICEHLEYLNLITKDESELKSIILNLEMLQK SEQQGEEENSGIQINKFVILYYLTHFTDLEFIKPYFLKCNYHDIGYVFQKLLENNQFRTV EFILLNHKGKLKQPQIQLQSSKDTYIRALGSHWSITLTTNQFKDLVQNNNIENQEKEQIL ELLTNSGHFEKLEYVTNWINNNNQLIELTSIACSLLVKEYILQNKKFNNSNIPVFMYQKT SMNYTLSKLMNQSIETPYLQTYRLGTAKVLYNLVCERDVDLTEYYKNYLLFQILFPNTKW TFDNRECEMATQFLCLIELNLLKQNWTNYIEIILKNAVFYYEAIFDFSTVLQSKRNPQSW QSLKNMVDQLIPIYESISKESPSTNKLKQIQTFMNQTRTEKVKKNNFAKQLEQHFEDIQF QNLELNTRQANIYYGLPFESGTFNEVDLYVALMGRNQNSILKLLKNSSIPIATLLNKYNV IQYLIKHGNEDTVIEVLKLLTSSEIYESHFFHHGLPLLTYIVFKKWYRLYNFAQNYLINQ WTLKPKIIGQTIKGQLQELTIHQFAQLNSFYVYFELPIQKQLILRTSQFLIPNSHNIQLK AYRVYSILKIMFNSMPLRKLEIISLLCVQIKDDTQISLENLFKKFGKNQLIEDLFLSIYD NQKNDKKELVLDLMSELGLSCSIQLDQFNEAQLEWLYKQIYHKYGHYHFLIEKITRLAPN SFINNDILNCPKLALIVAQHGRFDALQNLIDVQNFGQNYTKLVSAHFIKIILMVKYGQNF DPLIEPKEIEDIHLKHYVDALDFIESDQQGSISIQFMNQLIPILHVENSSVQYIDKFYGL FKSESSQIKKAFKIIIRLKEFNYELQQIELNAIAKCDYLVKNFKFQFGEKFGLVQSGQSS TIVIPFDYQENELELFLNGLPCFYKDLYENAISSKAFEKKIAIFKKKEKLIIKFEDKNLS PFYNDLRFLLPLLKEQKQPADSLEYKNEYQIKGWQQLSKKDHDDYCFVYTSDVKFSYEWA QTIFYQEPQKSEYFQKYQNQILIFFNGKTIFNQVEIQDQLSNSLKYLLNLSTQGLDLKCI IELESFMKVFFMNKNLYSVYNNWYNLINAISTYPTLIATLKHILTQVCQITSMFNQNSDN NQIIVKFETTDNQGISPKSHYLDDYFSFCKPSIYLEKETIVVTIPVSESGNKGERLTYLE EIFNASDLDQFIKEQFSLKDNLDALILRYQLEETAKQTCEQFRQLNNFDLLIKLDRNDIR QQIESFNYEQQLDYLIKFQTYFRWTLNLDLQNFIAGNRFSQFYKDSLCSGKYLTKPLDIG NFSQFNVNSLKNSFGIIQNKEQFLTNVKKKRIYHVFYTKHNRNHAVFCLFVKSIKDRNYF IQLDQFKLKKKYNKKTNLQIKSEYIKGAIYYTYEYDKQISKQNEKLVLIDFEGHYYLNQD VNFANQIEDHTTLSDNIKVTKLCFNLSFDRSNIGQNVLYFNLIDFRLKDYLETSSIQQDK SYDLQELKVDKSQIRIDPQLAEYNGYDDKDNKKEKLDNKKLNKMLLTILSNVSDHDTTPI QFEIPNQNTKLNDENLDSLTDYCKQYRKQQLQTRAGEFRKDKIMFYDCLNNLIRDKKVIN DIQCYIQHEKFKELIHHPEVSFDDGVCLSWTPYIKGKYDVFVNGHLMNFKFSVMASKQIQ SEKFKLIKDESEFQYFVEKDLRFELYDIYNNLITRSDELSSALSVQVMNSNFTVKNRCEY NDSGCVLYIQFCNYAKPDDKVDECKLEINLDQQTIIQGKIVQIKPQPLSQKRIDTFSSKF TYRNSVQYLIIRANFLNSLAQMDNHIGQKNLKFSFLQEVANDQGGPCREFFCLFGRNLKD PQNNLCLQTHMIGYYYLNKGILLLNDSITFAIGMLLAYAIANKLKVGISFALPFWKIICD LPLEFDDLSCVVEPQIYQSYQYLRNLKEDELFQQDIYFVNQSDEAELCENGKTKKVDCSN LEEYLNLSAQYILQRQYDKVFKQLKRGFQGQFDAKLLIQNFALFEINQLIDIIDYQINKE KLLKCIHFSRKNQINENYFTQYISEADQEKLEHLLTFATGSKYSNFENFKIEIQTNLEFQ KNRLPVSRTCSNVVIIPQYPTYDDFKAKMDMALDWGLEFFGQG >CAK83836 pep:novel supercontig:GCA_000165425.1:CT868485:292061:294232:-1 gene:GSPATT00018153001 transcript:CAK83836 MGCGSSIDVQDNKTTLLLNQASTAITDNDSIYLMKTDTKCPSLETEEIRYFVRILRANCL NFLTTTLMNHFIFYSLSDEMFYCCLHSNTTLYPKECVASCFFILERGNLQYEGCPLQKGS TIGELSLLLRLEKQKNVKAIDDCFFWGLDKSTFLNSLDYIMAKQYLQNKQFITGQSFYQF LTPMQQDGLAQNIIVTKYRPNAIIAQEGERADSFIVIKSGQAAVYKGTKFIRYLQPRDCF GENSLQTTTKRNATIQAVSEVQCLVLTREKVKQILGNDVKKQLQFAVIRQILKQLKTPSL EIEKLLPSFRFHHYNNEIFDINELHDYLVIVVEGTMRSEDQMYHKGDLVSDVYDDQQFYC TGTLAKLDKVKLELEDYVIEDSTYEFKRVRPQFAELNNQKLIANSSYGTLYKVEYKNEKY VLRKISRDIISQWNLEKQIKQERKILEIINNPFVANFHQYYSDEQHIYFLQDYIKGKNLG DYLHDNGLLDKEKAQFLISQMILLLETFSNLSIISREFKPNNFIIDKNGYIYLIDFKTSK QAYRTHTIIGNPHYMAPEIIEGKGYTTFSDVWSVGVCLYEFLCGGVPFGEDQDDPYKVYE DILNYQIKYPQFYRDQVGKNLMNQLMSLNVYQRVGSSFDELKAHKWFNIFWDQLQHKQLK LNPKKQDSNLKRLNVEQKSSLQFL >CAK83837 pep:novel supercontig:GCA_000165425.1:CT868485:294680:295072:1 gene:GSPATT00018154001 transcript:CAK83837 MSQMEPYREEFLEELDKIRQQYSFGQSQQMSQSQQKKVNLQFKVTDTNDIWNNSKYSDNK WRGNLTTTFYNKEHNASHYEVNSLLNPEVTRKNFNMRATQISEYSNAKHNNTVFVNPKFT SC >CAK83838 pep:novel supercontig:GCA_000165425.1:CT868485:295735:296230:-1 gene:GSPATT00018155001 transcript:CAK83838 MNFIQSPLHNQFDLYSIVRTYKSLQSTITTHYNIRRLTLDQNMLLKNNSPISQYILAGRS FQEYRFANQIMIQIILATEAIESKESIFDKELQNILKKLVKKDKQIIYNPQDSLLFQYLE KYFNKNFYSSNQIHKEKLSQIYDKLVAFNIGKRKQNL >CAK83839 pep:novel supercontig:GCA_000165425.1:CT868485:296238:296489:1 gene:GSPATT00018156001 transcript:CAK83839 MNQLYKLTPQELAIRKDIVVLSDKGLRFREEIKSQGQFDLDDFHLPQSNSAILRVQSIHQ KISHIQEGLCSKEKNQLNCWLLI >CAK83840 pep:novel supercontig:GCA_000165425.1:CT868485:296541:297204:1 gene:GSPATT00018157001 transcript:CAK83840 MTAKITQEKPSAAINNKLQKLYQELDRHTRLLERKKVKFQKKPIEQQMQDQTSILEESEF QDKVASLNALEARLWRMQQNYQNEIKIREDTLKKVKQNLNVMRIQKEAEIEQVPVPVQVE QLQQSQYLDRRSNRLKDYQKYKFEVTKVSIYRINLEQTTSTLGILSKQALIEEERKRQLQ QIKEQQEYEQELLRRNGHTNNHVMSNHTEHEQ >CAK83841 pep:novel supercontig:GCA_000165425.1:CT868485:297499:300690:-1 gene:GSPATT00018158001 transcript:CAK83841 MDKKIIADVFQRHLDQLTSRLNDDLPALCILSGKEDGSIKPKTKALFVWLFGYDMIETVF IATRKQIFYLASDKKLQMMEETKQRLGGRYEVHFYKKVADNRDSFEKIRQKLGNGKLGMP TTEQQAGSLATEWYDYKGWSQIVDASQLISDVLAVKDDQEQAFINQSSQLTTRLFKKLIK QIEDSIDVGTRITHQDLAKKVEQSLDNDKQKVIKDIGLQDGLYDFAYTPIIQSGGNYQQV DGPNKDYLSSDVIIISLGTQVNEYNTNCIRTLFINPTEVQKKLYNTIIEVQSKIIGLLTV GTPLNQVYKEAVPILQQKIQEINITNVQLPSSFGYGIGLELKEPFLAISEKSTHLVAKNE VYFVQVTLENLSNGQKGISYTISVGDVIVVTNGAANVTTNSIPKAYKQISYQLQEEDEQP AQKQQPKQTDAPKEGRTRAPRNQQIQIQRENEKQRQIHQEKLAKDKQNELEQRLEQDQFV QNSQETKALELDKLQCYQKSEQYPKDLQKGQIYIDNQKCAVLVPLLGTHVPFHVSCIKNM GSSIRINFFTSETTAGQIQFPQIDGETIFIKELQYRSKKSDRPQNLILQIKQLQKKVKAE QQVEREKQHVGELEPLIVSKSGRKPIFKDLKVRPTFGSGKAAGILEVNSNGFRYVHSNKE QLDIVFKNIKHYIYQSPEQDIIAALHFHLHSPIVLGKRKTHDVQFYCEVGGAVEHLEGRR KNNKNDDDEIEEEERLRVHRKKMAREFEVFIKTIEEMGADYKIQFEKPFRDLGFEGNWNR ARLFLQPTHNTLMNVVESPFFILTLSEVEICCFERIIPGIKSFDLVFVFKNYDRQVLRIE SIDIKDLEGVKNWLDRMNLLFFEVAQNLVWKNVLAQIQKDIPGFVQDGGWTNILAESEEE EGDEDDPEAGDSEFSPEQSEEDGDDDSDFTEDDDDGDDEDDDDGGSDDDDLSDVLDLNNI SEQDDDDDDESDSDKPRHKNKSKPQSKPQPKPQQKPTSVKPTQQQKRPPPRK >CAK83842 pep:novel supercontig:GCA_000165425.1:CT868485:301018:301995:1 gene:GSPATT00018159001 transcript:CAK83842 MKVKIVYNNEIHLFKQSNPTLQGIRQHIQKIYPNIDSNFTLAYNDSENDQITLSCQEDLQ VLIDEGTPTIKVFVLTSKKPQEFPQGKMVHKRHTCDGCYTYPIVGSRFKCLECHNYDLCE VCQAKGLHNNHKFFKISTQEELEQFRKEHWGKFGHWGHGGCGFHRGGPHGPHGQHGPHGH HGPFGHETFKQIREFFQDPAFKEIKQTVCTIAKDTFSVIKTELEKHKNSKQEEQKQYECN TNDVQETQQEIQQEPQQEIQQEIQQEIQQETISQQNDCKIQEEFEEKVKGLIQVLQCDEK VAREYVECFKGFPLDEIVDMLLEKQ >CAK83843 pep:novel supercontig:GCA_000165425.1:CT868485:302016:302831:1 gene:GSPATT00018160001 transcript:CAK83843 MSYSNSNNSACFFQSPFYIWEKHWQRIDDEFQLNENIRILEDTNLQIQIREAKTDDPIRL NLHEQSIYDQLTTSSQHHLNEDEWKPEYQSSQKQLRFSDRSQNHIEEENKPKQARGIKDK EQRQRTKRRVDYGVGDSFSNDSDLKPCHCSKTKCLQLYCSCFHKNKACSSKCQCKGCFND GNHKVEMVKALQKVKLKEYRVSQSDLDSFDTRQVLGCKCKKTHCKKGYCECFIRGRKCTS QCKCCECNNQRQKSQVKKRIKKN >CAK83844 pep:novel supercontig:GCA_000165425.1:CT868485:302859:304396:1 gene:GSPATT00018161001 transcript:CAK83844 MMKDQDVLEFHDYKQSVLLKMLRIYQEIYSDCDGDRIVVEAYNTKISKQDLDKFIVDPNF ELSIELVILYLNYIIKYNQITQKIAPYFLIITSEDYNPSFGNVILYDGQLITQVPLAKFK YDGNKQNAPIVILMRCKNKWNMIILELQPWIIDFRTDKNTSFNAISAFATQFILDTFGIQ IKNFNDFMLDYEQQGDQGDLIVYAIYKVFVMNLDLMGDTILTRNDYTTAKRKLLWLALSH MRERRKEDDDAEFTRRLMKKQREEMQKQMEEEEERKRQIKNQLSEKRMQVYGFLHTVEYP QEIKKVEDTPEQILLKKQLAQFNVTRPRDKVEAYLTRMRVKDPFEFQYPEPQKYEAPKKD PKGKVKNFVYEVEWPKATPGVQQMITPASYCVTQLFSDNTLQPEQPKLQKVYDYEILEQW EKQRQKLQQQTQVQTVQRNGSYFGSIGSRVFDNTDYTNGVVVPVGRKIVKQVDRWESKPK RLHKIQMVDRCIEQLEDDLFLNY >CAK83845 pep:novel supercontig:GCA_000165425.1:CT868485:304409:304836:1 gene:GSPATT00018162001 transcript:CAK83845 MNDSKGPKIMSSHSFNSIKNEEPKKAEVIDEQSLQTTQKRGQPKINISRLPPAFANKKPQ KKQIEPLAQKKEQKQQVQQNLQNIEAIQEYKQVSQMLSPLKALIINQTLARTQLAIQESI STFSLVHERPKENS >CAK83846 pep:novel supercontig:GCA_000165425.1:CT868485:304877:306305:1 gene:GSPATT00018163001 transcript:CAK83846 MRIEDMITTGTLRSYGDQSPVKKMLHAPTMKLYVVKEEPLHNKEIRKNLKDWISFWQNKF ANSNLHVQVYATFWNTPEGYVSIVMEYMNGGSLQNLLESMGFLPERSIKQLVPPILQGLQ KIHQQGAQCHGALGPSQILFLRDGTVKLGQGLQYRVQVQGNQLFNSYILGKPKDVQSLYD PTILETPSLWSKASSEKVHFPAQEDFILERANDIWKLGWLVLNCAIGTLEFHPKAQQIYE GSRIIIEEIAKYTDHLKDTCCLLHSESKVISLVEQNQKIVSEPTKITILELLPSDKFSPE FIQFLCSTLKIDPRQRQYTEQLLQHPWLVSGRDPKGIGSHFILIGPNVQLKELLNISNAW NAFLPEEFQGQGAQKLERLCDALYLVLQNSERPTVNKMQLQENSPIIKELSYDMGLNAKA VSDRIMSIFQSLI >CAK83847 pep:novel supercontig:GCA_000165425.1:CT868485:306559:307136:1 gene:GSPATT00018164001 transcript:CAK83847 MKRQSESNFVKEKYFFQDRDTIEDPLANSIDEYLKGSNKRIYGFALVSFVFLLLLIPPII LEPNLLELIVLPQKGVTFVVTKNLNAPITFEIISAQSYSIQNVQLYLDGNAIEQFTIYQE LISNSTHTITSNKSFQLSINLMILAVRLISQFTYIPESYLIIQIVMFVLSFLYLIQELLR KKKF >CAK83848 pep:novel supercontig:GCA_000165425.1:CT868485:307383:308216:1 gene:GSPATT00018165001 transcript:CAK83848 MQVTSTLSLIIDHNKSFENPKTSTQFEHPFRRKSCYCKQCGNQGHFQLQYSNLPTLKEIQ FSKQQRIKRKQSFGDEIKTEIPSEFSQIESENGRRIRQTFRSFSIMNIQTQGAQRFEYLG TEKSKKIKRKSCECSECGQLNTFQIKHKELSILKKQRKIKEFQIKRQRQFQKYGSTEFQT SKKFIQKSIITSPHSQSQRSFQVKQINEMRRTKDINKLINNRISTRDLEQPKQNQSKFSI INSCQQLDSPQILQNQIRRQDTLKYSLIQTNIKWKIQ >CAK83849 pep:novel supercontig:GCA_000165425.1:CT868485:308309:308742:-1 gene:GSPATT00018166001 transcript:CAK83849 MNNNQFHTRQWEQQYAKHKKSVEQIEQRNNKFPDQVEEILQRCNIYLPNQEKDANKKVQN SQNFKQKEVLSRITASNLILSKKLQQIENRKQIKFIDAPIRLSTKSIRDENHNKIKVENH KQFERITQVKGTLQS >CAK83850 pep:novel supercontig:GCA_000165425.1:CT868485:308830:311305:1 gene:GSPATT00018167001 transcript:CAK83850 MNSNNNQNNDSSSEDDLQVYETLTKLAPPNELLPRKLTIDIDVKSLYPLKPLPQFCKTYT TFFEESLQRECSIPDIQVTDENRTLLDYYEENKQNLVYKRFDQLDLGKRTSNDRTYFASL IANFKRTQPRVFFRGEALFDQKLTPCPSDQIQFDSNFESGNLFCAFKKAQNVYDLIIQND INTRGNTQWFFFSVTGAKAGQTIQFNILNHLKTGSLFNEGLQPAVYSVKENQLIGTEWCR DGFNISYFKSVFMKEYPLSLKKKYYQLRFHYTFKHEGDKVYFAHSYPYTYTNLLEFLNTQ LEDQERNQYLSRKVLCTTLGGNTCEVLTITSNSLQKRAFRKGVVFLARQHPGEPQASYVM QGIIDFLTSNNPQADYLRQNCIFKIFPMMNTDGVVNGNYRCGLEGGDLNRRWKKPNKYLH PSVYYAKKYVKGFSKERQILLVVDLHGHSRKQSSFVYGCAYSSQVKTIERVFALLMSKVN PFMDYSSCTFRVESAKDKTARIQIWRELKINWVYTYECSFYGQQKKHYQIKDYLNCGVSI CNALSQIVKDTTKEFTNQSNQPDIQQQMLEELGKMPQTDDQDIGSDSSQSEAELSDDELV QLFQPKTYSIKQKKPFKIIGNKQQKKTIITEQQFKINNSFTKSIDLVGVPLQKFRIKTAY EQPKAEESFISNPSSIEKTPLMVDKSVQTDDWMYRQWLIQMGKLKKQQEFQSFTPTRNIY KSSSQSVTRFVVKNSTMKNDRGRVDSISLMASGLQNKLSKMQLTNKFLPVNSAK >CAK83851 pep:novel supercontig:GCA_000165425.1:CT868485:311866:313096:-1 gene:GSPATT00018168001 transcript:CAK83851 MKIILLLLPYLALSTIHPEGNMDFVKYCKYFNYPVEEHVIQTEDGYLLTYFRVQAKGTKM VSGKKVVLLQHGLLDSSDTFIINDEDKAPAFLIANKGYDVWLGNNRGNKHGRAHVKKNPF FWSFWDFTLEDFAIYDLPAGFKYIVNKTGQKIQYIGHSQGTAQMHIHLSLFKQSVVRDNL IQFIGMGPVAWVTTKYSPLVRLLDTNFLEVLATFGLHEFMPGDSFLTSEVGRVVCGIMEN LCGDLIGSFVSADPVLDNYDRYDVLAGHSPAGTSVKNLKHWQQFTRTGEFKRYDYGDKEN LKKYGTKKAPLYDLSNIDVKIFYIAGYDDLLAAPKDVNHLFSALVNAPNKELKFYDAGHC SFMWGRKLPYLEDLWAHLE >CAK83852 pep:novel supercontig:GCA_000165425.1:CT868485:313109:313940:-1 gene:GSPATT00018169001 transcript:CAK83852 MFQSQLEQTGRISQIQQNVVGEVCNFENQFNSRVVYSRKLYQYLLIQMIVISLFIYWVYA SPSLDSYLEGKPWLFWLCLFISIGTTTMALIFRKDVAAFPWNWVVFVTFTLSISVVCGTL VALGDSVIGLLVFSSLASMVFFLFMYSLTVKRRLTYQGSILFISASILLIFEIFTIFTEV SLFWLSSVSLFAFLLAFLLIYDTYTNVNSGDQYDVNQADEVSGSVIIYWDVILLFLKMNE LIKDYLVRERN >CAK83853 pep:novel supercontig:GCA_000165425.1:CT868485:313969:315362:-1 gene:GSPATT00018170001 transcript:CAK83853 MIVIKTEELNYLIYTYFLEQGYSHSAFALINEVKIDQLLYRDDVKPGQLINLLEKALLFQ QLQDHIQINQYYECKVPQLLIQKHVCQFTEKKQLAEKQERRDREIMIQNALMRSQEFVSR NGQTMDLNVELQQDIIQLQDEILLSDWNAQKNILAIYQLNCIKLIQNNQILQIMPTVVGE CFSRVKLMKFDRSSGNNMLIVYENGAAKVIDTTIQIIKMFNIKNASKLMDFHWNLNWPNV VALIFEKDVEIVDIYKCQTLKYLENVIHFEWRHFDHFIVMDLNYYINLMQIDIDKPQLQL KAELETTLIEFNKRKTLLASFSSTLNIIKIWTLQNDEYVFEITDDQKILQFCWCSSQNAN YLITLTSQTLNIWDVEFGQIKSTYEIDFNVAKMVSMDLLNDTPQVVLVGEKVVLYDLINK KRRVFNCDTPNKVLRINDEEILFIFRSSYIVYKKN >CAK83854 pep:novel supercontig:GCA_000165425.1:CT868485:315381:317672:-1 gene:GSPATT00018171001 transcript:CAK83854 MASRFIQNSFHQQESQRPSRALSEHQKSRSEKGGQKVHHKSHASHHYNPLVIPCDWVLAE NHAKARRVARELEVENQSTCPCCGYSIERTDLEYDCDPLEMKFLGSGFPLFYMFIKYCIF ILVEFWLLKGMFCLATDLMGDYCYNQDKIKIQEQAHHSIHDHLNQQHHHKHKSDNSICGG SLWHFVSLANVYDREDIRNLQSNLSLVVVFANMVSFLFFRKAQRSIDIEVDESQLTPSDY TICVKNIPKLNEDYKEVLKNIFQNYAADGRELSVTKIVLVYNLDEVIELEEALKEMIREK QEYLLENGMNFTDFKVKQLDEKLESLEQKIHQKEHELFINKEKFAGIAFISFLTEEMKQL VLQHNPHTSWERIKAFFNNGLTGDVKEKGLIFEGHKLYVEEAPEPNDVDWEFIHIQTGQK VKARVIAWSISISFMAGCFFLIWFLSELAERMNEQVEEQEKKGIKDSLTQTIAFLTSQSI SWTVVFFNKFVIGKVYHLIVDLEKISNKTKFNISFARKQSVALFINTALISLVIDFYLTG NVIGKGGFIYNESNVFLLNAFIPPIVWFVDPWSIQKDYQRQKQQKNVKNCVLTQQEANEI MEMPDYSQAKRYGDIMKTMWFTFFYGDIIPLGILFSIMGLTLYYFVDKYNVLRRRTIKES LSKHLSIEMIEMLELIIIFTGIGNTVVSSILFGEVKWQDFIIIIIGVVYILLPMEDISSV LFPLEQKDEVQTYYEAEEYFNTDYDRENPVTRREILHEIAKKK >CAK83855 pep:novel supercontig:GCA_000165425.1:CT868485:318002:319304:-1 gene:GSPATT00018172001 transcript:CAK83855 MNQSNSSTPINTVTVYQYGQNPMQVSLAQANSLDSLIKLVSRKLSFKKIRLFDHKCQELF DEDLKYLKNGNKIYITTNGKCLDGRFVLFEYNPISVLCKGGQATVMLISHKTTCEERALK FISEGQENQYLYIAREAVILEQLKHRNIVKLHKYKLFPERSEAVLELEYLKGGSLLDYVL DHGALDEPTARIFFNQILDGISYCHKNNVIHRDLKLDNILLCDNTDLRIKIIDFGLAYLS GTGIPFDEPLNVGTVLYASPEIVFGKLKTVNFSVDIWALGVILYYLVFAEYPFKGEENTD ILLNISEGNYNIPKQVSWELVSLLTDLFNPDHECRITLKEIKQHKWVRGQIQSNHQLSIP LSEMPTTSKGLQTFENSIRKTKEDILHQIEKQQLSSIHSEKLYKRLTPKSCMQNLLK >CAK83856 pep:novel supercontig:GCA_000165425.1:CT868485:320001:321459:1 gene:GSPATT00018173001 transcript:CAK83856 MRFVHICLIIAIASATKFLDETTVFGQEAEVQISYDQPTSLFTEDQLAQNTEQGMNKNEP FDYQSEQISPLQSTEQQQILLAYEKDLLPQGDCVILYAECQFKGTSKQVCKGVEEVMSFS LPVYSIYVPELQQFSTIDVNSNSQVTFLTSDKCLNSPIVMADTQLDFFIAKSMSNWIGEN TVITQLIQQEEVENQETASQQVEQQENVSEQVDQQVQESADVNAEQQADQAQAEQQVDQS QAEQQVDQTQAEQQVDQTQAESQVDQTQTESSVDQTQTEQQVDQTQTEQQVDQSQTEQQV DQQQEAQAQEQQSTDNEQQSAQEPTQQNVEVQQSPEQNNQSENQQEQAQETTSTEQNQEN QTQQADQTQEQVEQQSEADVQNEELQQKEMEEVVETVAEIVQQVEDKQEVELETEKEQLK QEEEAQQQQQTQQQTEQSVDDIPQNQEPPQQYSQSETAEPLVGQSQYTK >CAK83857 pep:novel supercontig:GCA_000165425.1:CT868485:323168:324028:1 gene:GSPATT00018174001 transcript:CAK83857 MKNYKKVGLSNTKHLNDEIGYYKECIRFLPSERVNDYCISDAINYDLLREAGMKRSKALQ FCTAHHCKIDSTQIKHGPPGLKIFKTKINKFKQLNGWQMVGRLNYEESMQQEYSDDTKWQ IQVQNKEESKKLEQLKQFYSDLDIKYSFNDLSLDETITKLRSLSSEQNYKNRVPQHQIKD SKNNIMYYEMAEYILQLKKPQKTLISDLCAFDDSLPLARQEYYEIQPKRIKNATPLYSPQ NYEKRSKLLKELTRAYTGNVTITESSEEINKVSNLSIDGYQIFLLD >CAK83858 pep:novel supercontig:GCA_000165425.1:CT868485:324260:324725:-1 gene:GSPATT00018175001 transcript:CAK83858 MGHTQGKELSPQMRERVLKLFAKFDVDGSKSIEKTETIKYWKSNFAKLNTEELFKSVDTD NSGTISEEEWLNFWTSVLRSGHTEEEISDELESIETGSSWCKFENLDKKG >CAK83859 pep:novel supercontig:GCA_000165425.1:CT868485:324780:327166:-1 gene:GSPATT00018176001 transcript:CAK83859 MKIKVFLEGLLPIVLIPLFDFSWLLMIILLCLMSILQFTAFLSKYWNSKRQLEMKIFYSI CQGLFFVECSIQLNKISVFFLYFICIQIGQVRIQDCKWNKITMLLNFYVLFRISHWNSFD LYIFGAGLILLFLNLYTEWVYSKQVEVDAQQQSYLSKKSSEKSILNIVPTPEHKKQQLHS STNSLQQELQECFHFFNLIPDSLVLMTAQQLNVHFCNAATLELFKISEMSNFKSCLEQLT DIVSTQNKSETRDPRDSLPSFHSFQQSFQQYKINNPKQLKEDLDLNQILSDLSSIQPNKR FFQILKSSPHNTIYIGSYLANWYENACCVDLEQPKKKRLLEINAHATLVDERLMILLQIR DVTHRDYISLVKKSYQAKSNIISFVSHEYRTPLNSIIQFISALQEEKDMNLQSKYLKIAL SNCNYLLNLSNDLLDFAQLKAGKFSICPVEMDLQKLIEECLELFRLEASLKKIKLSLIYR KSAPRLLNNDPNRIRQIIINLLGNAFKFTLQGQIEIRVSNYLNNSIKVEIHDTGQGIKEE YKENLIQAFSKVDDKDSKKLNPQGVGLGLLISNMIARNLSKNEKGLQFQSEYLNGSSFWF YVSKNVYETEIKEEMDEIDEESPERRQSLWRYNNIKTHRQSKCKCISILIVDDNAFNIEV LKFLIMKISPSIQFDCSLDGYSAIQMVKMNRCKKCNGYDLIFMDIDMPTMNGIQTTISIK KQFPKIQIIGCSAYSKKEEEQLALQNGMDGYLVKPIQIDQLKAYLRSKL >CAK83860 pep:novel supercontig:GCA_000165425.1:CT868485:327941:329324:1 gene:GSPATT00018177001 transcript:CAK83860 MHNTRITFSGKQICQQCGIFIYNVNYSILNQTSTKVYKTIKMRYNAFFNPTNILNKALDP QIIQQHQINRQPVIDFIEQASERLNLSANTSFLAITYLDNFFNQQGIHEDQIFLYAATAL MLAAKAQELDEKIPFISKLKRYSSMTSHPEVNNYTTQEFRNAEKAIVQSLDWKLQRVTLL DRIETLLSFGAIDDDDSLVQQQPPQQKENQEQQQVHIKIRDLNETQIVNYVKEIENKYIE TAFTVLRDDSIYFSNDQAILALSCVAYLRKKAGLLNIWSQQLQALTGESTQKISSTVSQI MTLTPKTKAMNIPKPLITTQSSSYLQNFQNPPSQTKTSQAKNRQYLFESNKQSTADILQS YNTTKAQVKVPLFQSQSTMQLSKNDIMKPHQLNQSKYTSRNTNFPITTTANYSYLSDNIV HPNSYIKHVELDKKYEHVHKVSEAKFRTKLFNQ >CAK83861 pep:novel supercontig:GCA_000165425.1:CT868485:329382:330540:-1 gene:GSPATT00018178001 transcript:CAK83861 MQGYLVEGKEINNDYSYQWLTGSPDLVAIERGIPILHINSEIQKRDLEMEFRKLLRRLTE TQFHNENLHDFHPDLQKLNRYNNIIPFKHSIVKLKQEGEDEENYKDTYINANFINLINGK EKMIIATQGPLNQTFWHFWRMVIQEDMAMIVMLCNLRENQRAQCEQYWPKNVGESIQVGN IQVNLLSQDDLGNNIIKRVVKVTSEQEEKSVIQIQWCGWPDQGVPSPSDFDVMRELLNMI NEKLLLDQKVVFHCSAGVGRTGTLIALANLMILLRAYKQHIGEDKQKLEENPELFRISIF GIVRRLREQRWGMVHTSEQYIYIYKFINEAVKSMFNV >CAK83862 pep:novel supercontig:GCA_000165425.1:CT868485:330567:331329:1 gene:GSPATT00018179001 transcript:CAK83862 MRPGEVFEKFISQAPEFVPQKNQSYTLQEDLKIMLALKDVSIVQSKNFRDIEESQIVKRT YNSIKNRYMDYLQYLSQDNMDQIAQHLNEHGLVGYLLFSNSTPRELLKIVTFDPKIMEKE KKKVEDELEINKLQKVKVEHKKQIDPTFPRNDFDKFSIEDMDQVVTILSEYKGVPKAYLV ERLHQLSGNLEDLDGFLKTNDDTYCFLEEEDHLLKDDHNKESPAIRLLIRKKGIQRVQRR LKYLGI >CAK83863 pep:novel supercontig:GCA_000165425.1:CT868485:332578:333273:1 gene:GSPATT00018180001 transcript:CAK83863 MGNEQPKPQAPKPTIDDAILDMKLASKRFANESRRCEKEKDKLMNQAKVALQKNNEEGAR LFLTSAMNKQKEAESLQKMAHKMDHMQGIIKSSTNQTKTVEALAKISPLMSQQIQNMPLE LVYQNMNQFEKAMDEMTVQGNIMTGMMNQNNNIGQDLAIDQMMGQLKQELHSEVANDLNA NPNVLFKELNQQNPQQNAQPISNNQIK >CAK83864 pep:novel supercontig:GCA_000165425.1:CT868485:333273:334482:-1 gene:GSPATT00018181001 transcript:CAK83864 MRIVPVLALILAVATARVTKTESPKEVLAQINKDSFGNSVLSVLQLQLATGGPVGEIQIL LNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGE AESEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQMEAIDEASKIVQHLQAG VAFAQLKSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLVA SRASLLATEERQAANWEVQSSHLQEEHKRLVERKAFLENSIVQFKVTIQESVEDLEDQTL FLEDAEDSLAIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQIREE VF >CAK83865 pep:novel supercontig:GCA_000165425.1:CT868485:336176:338110:1 gene:GSPATT00018182001 transcript:CAK83865 MQIIEVLKQKPSKNQKQIILNYQNIREIGLHNSFMYITTLCLSHNCIQHLDGIEQFVQLT TLSLHHNLIQSVNELNKIKQPHLLKLLNVHHNPFTVNPTYSTVILQKFCYLEQLDNQRLN KQYYQQYFHWIKCIGQLLIPYLNENCVSEQLVNQLSIELKKYEICIFKLNSIIYTHLINF HYSNQHTNQQLQQSGEQVFSKLLDILNNNQKSNDLQMCLMNQALQDQEIDLFEFQSNNVY KQQCLYQNFLQLNDIIFKEQQFCFPYFPLCLDYVKSIIELIKIQFKRQNKYVNTRFQQTS LQSYRQQSVEYQENTRSNHENNQQSINKTKSSQSIGRMKNNTNKSILTSQSQLSHRINHN SVSIFVRVLNNFTKKQTEHQQQLVFDFLRKRAHIQQIFEKIMKRRQIGLLKHSFTKVKQL IATKIIAKVTQPILYNIKEEAFNIMLRLKNRYEAKQLEKSVEHFEIQRKRLVFSILLINQ QQMAQQKQALFSLIKKQRQLPLREHFQRWKIYSISEQFYDQIFQQKSNEIRSLASISTRN EMFKKYPTKSIQFLESNSTLDQDGNKQQKRNSTTSINQHLKNNGTNIYCEYVVINKLKKK KKKKSLKNSKSKKESKSKQIKNKCGICRDYLDENGNIIEKKEKK >CAK83866 pep:novel supercontig:GCA_000165425.1:CT868485:338381:338761:1 gene:GSPATT00018183001 transcript:CAK83866 MKQRKVQTPQEIIAFLRSFKNYIRKPYSMSPQSRCQTNVQTAKKMMRNDHLLKSPTERQQ NNEFVCEGIEKKVESLAIQIKDLQTKQEQLENQHYQLSMSVKKLTQDKKHQLQVRGSKHN FRSEVR >CAK83867 pep:novel supercontig:GCA_000165425.1:CT868485:339012:339128:1 gene:GSPATT00018184001 transcript:CAK83867 MKKQSENEKIAIYASQMMKLHGKILEKKMTKVAFKTVI >CAK83868 pep:novel supercontig:GCA_000165425.1:CT868485:339274:340702:1 gene:GSPATT00018185001 transcript:CAK83868 MAGQQTKPKNQSLFNNELIDTVKISHKLRKNQSMIQMDQQSPQLTKNSSFHRPNSSVKIK NSNSVSCDQTQIQKIKEKIKVLLQDRENNWQQDQKEMAFMIKFNQTLNQLLAIMFQDQQN TQPTSTQDTNQALQIDCFFKRQIQILQQSFQQQTEKKKMENILISIKKKHESLLQEHNQL LEIKKSQDEIIVNLQQQINGLSAQIQEQDQVGQNDAEILELKYLVQGQFEAIQKLLQREQ LTKIFLKRVGDSSIIEMFEQFIQNTEQENPDDTEGNLNIDINPINQPNTLNKLQTPKQKL SSPEQNTYPLQILSQYEDIYKQQLNLCDSLLADDSRINDSEESSFEYKGKEQATEISCYF NLASQFVKPQIKKKSNQTISKEKLKINMMNVLLAQAAQKRQELLEQQQQQQQKILPDDIL DEDQIDPNISDEEVFNSQKLVLKF >CAK83869 pep:novel supercontig:GCA_000165425.1:CT868485:340776:341887:-1 gene:GSPATT00018186001 transcript:CAK83869 MGSCQSAPSIIDDLPTMDSSACKQTDNPIKEEIPPQYNENKIITIQAYYRGHKVRKSLVP TLQSPVPSAPTQPDNPKFCFDPTFVQENDQPIIMKNGAIYTGIWKEGKANGKGKYQFHDS YIEGTWTANELQGQGVYMNPNESYRGEWLNNMFHGQGEFRYQDGRIYTGQWKKGLQHGMG KEIYKDKSIYEGKFKNGMKCGLGIFQLADGSVYQGEFQNDLFHGYGSFTWPDKARIFEGY WGNGLKNGDGTMKWGDGRVYIGQYLDDIKHGYGEMQYIDGRIYKGQWKHGLQDGIGLFVD KEGIARKGFWSKGKLKKWL >CAK83870 pep:novel supercontig:GCA_000165425.1:CT868485:343022:343729:-1 gene:GSPATT00018187001 transcript:CAK83870 MLIINNKRNQNRRTTQKLKYLDSVQEQPRRASCCCDNCGKLTHFQQIYQNSPLVVQQHQV EKQKLSQLIQCKPLKKMISTSFHLSNKNTDSIKKRRHSCKCEECGSETLFQKTSCHQIPL RQPIKSAQFKSFIIKKSLGKAFQEFSDVKKSHPLTSRSHKDIIIKSPLLVNRLKTGSCLL PVQNSHGFKKQVKANKQMVELKGFKNGNSSEKFKLLVDKHEHIFHTTIRLKTIFN >CAK83871 pep:novel supercontig:GCA_000165425.1:CT868485:343899:346547:-1 gene:GSPATT00018188001 transcript:CAK83871 MMKLPPSYRDPKIFNNKYIIKQQISSGSFGIVYLAFDKHTREEVAVKIEKEENEDVSSLD REISILNRLNGVPGTPKLYWSGFEQDYNVIVIQILGKDLSQHIKQFKKFSLKSVLQISYQ LLSTLQQVHERGVIHRDFKPENILTGYQQENGTIYLVDYGVSKVYLDNHGKHIPLKDKKS FIGTTRYASIAAHRGYELGRKDDVESMFYRTFFILVKLPWQNLQNIGDRDRTDVVGEVKQ KTEVSELCKDVPPEFAEIFNYLKKLEFKSEPDYKYMLSLLLKASTNNHIILDKLFEWTDR QTKIKDQMIWALSEDKQKQPQMNSSQQIMGSNNLLKPPEAMRATGGSPIRMESKHLTSTS IQGSLSSMMIKYIPSQVENTSMCNQDEKRSKSKKSKRSRKSAKSSKRQSVIFHSGVQIIE PKDQLRRHKTIDSTWGFQQYMNEFSGDEDSQKLSKKLTVFTPRKYQKILLNYDNIQNMWY AQILNSLEVDDFSEELLQDITNHLQSISVTDFSKGYQIIVTELADMGYEFMSKNQLPSTQ LIIQYLQLWNQEVCTFSNLWVLQNLEIAYQIKINRITQARARLLALIDEQQYYSIGGTVS QIYESALSLYHFCTIDNQSKQQALQAILKLQQLIIKSLEPKLDKKVHLLMAKLYERMAQL EKGAYESNQFCIQAYKVASQVLTPDDSLLQYYESHIQIDNQQSQPPKPLSITHLQENTVL LEERDVVISDQAGLMRVTYNHKAKELTILFLNMKCIFNLSDVENEITIYNLQSLPVFLNE FVNVVSQQLILEETLIISTNIIGLESFEKQVQITQKTRGHYFAYFTEQVLDYMDDESVQP LLIQ >CAK83872 pep:novel supercontig:GCA_000165425.1:CT868485:346728:348470:-1 gene:GSPATT00018189001 transcript:CAK83872 MEELICPQCHNIFNEFENTPLMLPDCGHTICQKCIQYMLSNVDGQQISCPEDKYLFYSNP SILAKGKTHISEFPKNCQLLKMIVKQRPSIEQPEYQLHLNNLAQERIELCAEHLEKLEIV CLTDKIRICTKCALFGNHRHHEVKSVDDVVREVTLRAENIMQTYQRILDKQQEMSESKFY DLLNDKFQTMLLDSQMQVKEKFKELHQQLELKENKLLEQLNGLTQTLEQQTKKQIKDQIS SSLQQADLWKIGAKDRLLYFSTKTDNGELPLDLLYNQEFKGNAIIEEMDRTIKMLEQRIN NIRIKKIRVDFKKTEIDKCFDTMCSLTLQLNHTENNHVENSFTKFDQEEPLLLRDNSVAD WNDIEESLITQVAHNQSHVSTQSVVQAKSPLRTENLAHSHHSITPLKSIKQKSPTKEHSN NTLQPPAPVSILRQGISPTPKLQEKRKKTFKMSEKFDPAFQAFKNDNLEIVDFSNAELGD EGCSIIADQLKICKKVKQLKLARNKISDDGAAVLLQALAQNSNVVSLHLSSNMITERTLD QILTLIKSSQLPRNIYLSQTLINATKSKKKV >CAK83873 pep:novel supercontig:GCA_000165425.1:CT868485:348492:351130:-1 gene:GSPATT00018190001 transcript:CAK83873 MDMKVKLFGGLAATFAASAIYLFFKENNKSLNQKMFDQINKLKNYIENHFYECTKENLSQ KLEDRKDFINLLNEVASNFFDIDRVNDEISRHPYFKQFAGINQQVHLFNQEYTSLYLRKQ LISPYPSRNSLDAVQNGLNMIVSKHRLLKQEQPQLSENTQKFINFFYLVLINLVKLIEET LLDLEDKHALIQMNFYLAGLLDQIEQILRQYPLLSNLFGIALLGQLQLNDPQIGFGHKCD DNFFNAKIEDANSNQNCTCLIFDALIRYVSLITPTLQKKFITILVDYQILSTKDHLVQLG QILLKNQFFLNSQISKVEMYYATDVSVFNHLLIQAIAYKEVRQELIKPDLLDLYFLYTER VIAQNNPKFIAKILSSLIASHVMIYPLFGDEEFLAQMINNEKQQTLVVVHFLGLLLILET KDTIDVKENQNVQMLDWLAQNMDFMLAVEVLSYLQKQFILRIFRSKVSDIPLLMKQYLQC LRVTHNKRIQQRMAQKKQFDVNAILQQMIGYLTAIQVTELPSKDSFTKIISLIPFQDQNE QSNIFSNILRIIFDLPTINFHFLKFVLDKCEKVSKESIMSYNGYYSHILTAQTVSFDSYM FSIQLSLLFGRPEALLGEISARMKNDFTNFERYEDFLTRDIILLIDLLENPYYCMNVLMQ TGSEFPQKQEIVTQLYQYSAISILKLNDQMTQLQLQETLQLFIHIQELPQYIKDLIEDGQ DGKVKLKQEYSENWPYLNLQKDMESVKYFLSKTSAPFDELMYAPDSFPFLFELRQQVYEC EPFIKIIELALQSKDRMKLYVWIGKLVYYAKQILLKKGSSKLEQLFALEECKSFIQELSD KEGCNKLVQYLQQ >CAK83874 pep:novel supercontig:GCA_000165425.1:CT868485:351142:354082:1 gene:GSPATT00018191001 transcript:CAK83874 MKTPINQDLLDQYIGMGFSKELITMAWEESNTEADVINTLLTLSDKNNALANTPTKQDEE ILLNQALIDSYKTNPQATTSTFEMVSPEQRKRTNGVPCGLKNVGNTCYFNGLLQTYFFNS DFVKIIIKFQPPAQIEQGKQGKSIQLVQNLQQLFLSMIGSDKKYVDPSDVIKSICDDFGN VLPIGDQKDVGEFNTYFLSRIGEGLAYNEHQPSKIEEQPHEGPPSILRMKSSTIHDEDVV SKLFFCKVHHYLQFDQAGVPQNRDNTELYNFIPIDLQDGNLYDGFDNFVVNSIEDFKNDL SETVCATKYNWVQGAPQTLSFQIKRVAYNKEKNDLVKQNDEFYFEEEIYLDRFLYENSKR YLDIRNQNRELKAKQKVIKQELLQLSKFDDKYDLQDVLQTAVKFLTIQSKENPQNPANFG PSDQKNTIEQLQKYSQQVQKKKEHLQKQYNDLEDKIQASYNNFKGNKYQLQSVLIHEGMA NSGHYYTYIKDFRLNKWFKFNDIRVTEETREKVFQDAIGVKPGINAYLLIYVKDELVQEE MKFPKRLYSTSTEKDYLQDSYGGFLSQSQNESIKRENQLFQNEIEDYKQARIIERITEAY QTRFEFVNEHYRTLVNKTQNIKFKPIFIQNFPIYVKSKASQISNQNTQHDNLIKWIILDS ALREVQENKQGIFGGQIGENFQNKLINKFSAQFNEFKRPSAKLTQSEQNDLNKFEQEYLN YVNLGSWATIILELLEKKDFIQVLQVLQCLTKKVKTLEQQSYFFKFTKNLKILVPIIIIG KMIPKESQVNLKELQLLQAYLVHNHFQYDDPKLWKTEISIMLNAIIENHNQQAVKEIIDN FEAENKIKENMDLLEFLSEEVQNYQLVISSSYEIYFWSTYQKQDQIYDKLLEGMNKLKQL FDVRYHNKSYQPFIKIQQALKDPQPLMKEDLEKIIK >CAK83875 pep:novel supercontig:GCA_000165425.1:CT868485:354524:355261:-1 gene:GSPATT00018192001 transcript:CAK83875 MKLAIQTAMNNISKPQVGHEVTSYMQNVLQFRGLLQPQIESVYKQFRKEISTLNDNQKYD LADSLMREQFGEDKRFGLMVAEKIEFDLPRIKQTEQWFLEGFIQDWGTSDIYCSRVLKPF VQDKENAKLMIEWSKCNNLWMRRSSCVGFIFLVNKNKCDLKMLFDICQENIIHQERFNQL GTGWLLRELSQVDLQQVIQFIEKNLQYFSSEGLRYAYEKMDQPQKDYLRDLLNQYKKQQR TLKKL >CAK83876 pep:novel supercontig:GCA_000165425.1:CT868485:355895:358360:1 gene:GSPATT00018193001 transcript:CAK83876 MSASTTKKKLNINSQPFNIEQPEKQPHHSLVHTSKPYQPKNEIQEYFSQKSYTPIDELAP DFNYHKSTQHQQEPAQVVNQNQGPKEKKKLRKTHYEFQVDPNLAEKIDQKSKEELELQKL EEQRKIEEEKLKLEQEELRRQEELIKLEELRKQEEENYQPRPYTKEQLESMFANMNDFQY FESDINWIKIKDRKHFDYKEFKRYEQRKDSKIPTQKKDTKTEIQLDRQPVQQNEPPQKFP KRAQISIQEQLWKDKLKQEAYAWSQILDTTPEQKKAIEKDLKYRLNQLAPDNEGQVSKYI IETIEQNQDFIDFLTEKIIEKAQIEPKYRGLYINLCSKLATLPSLQLKVQDKNGKTKQQS KFKASLLNRVQKMFNSRKTMDYDTSKLKQEEKIQFHMIRKRKIMGNVRFIGGLYLTSLLP IQALSSVLSELMGEYLIGYVPKEDTADESLEGLIELIDQIGQSFNQNERIVDEAFINKIG QILNGQKKSLDQIEGLFRDIKKQTVLDLMQKVFEKLLENYHFSQRIRLLIENLLDKIKKG WQGSYAKKEELAESAQKNNEQEEDDPLELQLREARQNSAKKENSSNELDQKIRAKDIAMF VSSSFQFDPVDQMDKLRGQLKYIKLEAPELMIVFFETFFQNMLASKQVKQNIERAQLVFD LLVMEEADEEVVSKALQYILDEDLVYNISESKTSWKLITNILSYFILEQNWNALKKLYLK PLLDIEEFNEFLIRVGNQLIIQIPDQSGRELIVKYFNMLKQDLSTQLNFD >CAK83877 pep:novel supercontig:GCA_000165425.1:CT868485:358573:358926:1 gene:GSPATT00018194001 transcript:CAK83877 MFEEPIMESMLDHSQPLTLGQKLQTMNFPLKTLSEVKEKYKYQIDYSTLQERKFNLRKTR QPIIIFSSAEIDIPLPRSEVRVKSVISPEINQSLLFVSVQPHNNTKSRSLGFRKKRQ >CAK83878 pep:novel supercontig:GCA_000165425.1:CT868485:360200:361979:1 gene:GSPATT00018195001 transcript:CAK83878 MNKEEEPIQEPLIQESSQQNKGKGQRGMSQMSALTEETKNETHIYEIPDLTNVTQNVLCR LIAVSVVCVVFLIAEVIGGLWAQSLAILSDAAHMFSDMSGFFISIFSIWLAQRPASQKMS FGYHRAEVIGALASIVLIWGLTILLFYEATERMVNQTLVTDPLIMLITAGFGLFCNLVMA KVLHSSPTGGHDHGNIMHQCSGHHHGHGHDHNHGHDHNHDHNTHNEESKKQIKKKFQVKQ KRSMVSENGVSLLIVEQCIESESSSNKSKSNKTIEEASRESKQSSNGKQNYSQEQVTQQS NQKEIADQNNSESCDHDHSKQIGSDEFISQNNISKKKIENKNQSDQSHSCSHDHTHSDSH EHDHDHDHHDHDHDHHDHDERDEQTKRRKNKVKNHNLSEINEHDNYNLKAAMIHVIGDIL QSIGVLIAAILIYIFGQKKDENNEIVFTYWQYADPLCTYLFSILVLFTTFGVAKECVRVL MEGTPTNLIIEEFRDRLNAIHRVREVHDLHIWSLSVGKPAMSAHIVCLENPEYVLKKATK LCRKFGIYHSTIQIELLDRQGAIDYIKCNHNLHK >CAK83879 pep:novel supercontig:GCA_000165425.1:CT868485:361983:362911:-1 gene:GSPATT00018196001 transcript:CAK83879 MLFLSLFIGNCLASLNLTIGIDITNKESLAYLGRLEQHYEQFEEKGLILNIVNHILPCYT CQQRHNYTQPEPNCFGGGRYCQFSPFANGQLLLTEIIRQNCLYTTNIYIYLSYINLFSQD CLENPHYTFCSENILKNIINYNITELDECINASFKGVGDKALLENYILSKEMNKQYNQSF LNVFLDNKDITYQPFNDMIVQLCQKLHNDPPEYCNIYSVVEKNTTIKLDEQIFFYLFIVM LILLILISIRMLKKANYVILNKASIPIEETIGIISEENVQ >CAK83880 pep:novel supercontig:GCA_000165425.1:CT868485:362940:363536:-1 gene:GSPATT00018197001 transcript:CAK83880 MDSNTKVDEQLIYEGKWLHFKLLHFQVNGHQKVWEYVERPPGNRGGVDIIPIIKYKDKPS QVIVIANFRPPIRKFCLEFPAGIVDPEGSIQENGLREIKEETGYTAQIGQYQYNGVRIRN DPWKSTEHGLCVIVDVDGDSEVNINPKQHLESDENIIVYKVSMGKTMTDEILQLAKEKNY EIAGLLWFFALGQQFNNQ >CAK83881 pep:novel supercontig:GCA_000165425.1:CT868485:363582:364799:1 gene:GSPATT00018198001 transcript:CAK83881 MQQIQKQIKYPNMQKYLQKAITNQLTRASMAHFGQQLKPPVLLVKSDILQFSELPAVKCQ DQINQSSLHLASIYSFAMSALNGVVMEIQDCAFPLVQGIVATDNQAVGFKDVNYALMVGA KPRGPGMERGDLLKDNGKIFTETGKYINDHASRDIKVVVVGNPCNTNCLILANQIKDIPK ENFTAMTRLDHNRAQHQLADKLGVHTSDIRKIAIFGNHSPTMVPYIDQMTAKNHKATVDQ QWVTQTFIPTVQQRGAEIIKARKLSSAASAGNAAMNHITTWVNGTAEGDYTSMAIPSDGS YGVPKGLIFSFPVTVKNGKYSIVQGLPITPFYQGLLDKTIKELVDERNAVDHLLK >CAK83882 pep:novel supercontig:GCA_000165425.1:CT868485:364874:365513:-1 gene:GSPATT00018199001 transcript:CAK83882 MKSSQNFRIKSIKTNQQNSNQYRSLQMSLTCRSLTNRVVKQMGQFQKSVIQIQPRQALQK QSSINCRQSLVSVTESQVSADEEFEIWDNNQDLRLKKLVIEERKPSVGQFLQLYKARYGG FPGYRQPIQNEEVIKAAINHASSSKQLFKKYFPKKQIVFEDDNDYSQPYKIEDDQHFKSF NRFFRNKKLQTSLKIPLQNQVEKSR >CAK83883 pep:novel supercontig:GCA_000165425.1:CT868485:365551:365814:-1 gene:GSPATT00018200001 transcript:CAK83883 MLPSIKKNKDNRSSYRLKTEGVCRYPRSNSFLGQISREPIRQRLCERGPLKEIQSFDKEI KLLEQYEKKLDAAYMERLRIQLYRMQL >CAK83884 pep:novel supercontig:GCA_000165425.1:CT868485:366386:366781:-1 gene:GSPATT00018201001 transcript:CAK83884 MFSRISIVYCLKILKDSYLVKYLVQQKQQSIAMNNTLGIMNNRFHKKAIQSRKRNTSVDT NTIIQIQKGNKKNEYYERWLTNQKKPQSRLDSESNLDNQTPQTPKAQIPIQFEPKLIRDV VQKFYPDKYQI >CAK83885 pep:novel supercontig:GCA_000165425.1:CT868485:366906:368730:-1 gene:GSPATT00018202001 transcript:CAK83885 MGSGQSNQNQLNNQNHQSSQNNQEHVPEQQTLINSSVALRSQALKKRMISQDDDDDDDDD DEEEEQDSNEDSENDEQQFESKKKEEYVIGDKLVENKSMYSGYLLNRTQLLAIKKIALNN KENFKIIKYNLQQLANLRHQNLEPIISWNFENSNNKKNYYLNVFSNFQSNGSLQSIISKY CKFSQELVLTIFQSILKALDYLHSQNKLHRNLKTSNVLVDPEGTILISDILIQSQYSLSN YSAPEAFEYADYSEASDIWSAGCIVYELITKRQPWQTDERVLSIQEIKRKYSQNQLFFNE KENIQRSFLLILQQIFSFNPQERPSASQLLKNQIFVENSQNKFKQVLKQTSQKEMQKKPQ QQIMSLIQNFEHNNNVKTPKPFQFVKMIKKEDDETQFHIKECVLSIQSNTLKEFTVQNNS SQFSITKSLCSKQGKFGTNLIQQQLCQNKQSSRVQEAQNLLQFVSQMKTAINFQGSNVES QNSVSDKNFDLKSVQRHNLILQSEQSVKLENQQKQDSQQIQDQKVIKSITESIQKEDLIN PQAEAVNHQKENNLESEQIFFDGDFVFIL >CAK83886 pep:novel supercontig:GCA_000165425.1:CT868485:368894:369243:1 gene:GSPATT00018203001 transcript:CAK83886 MGLVYWLQQFLILLTEECTILIHIFMLKLLILKDTKGKFDLEQCLFYQNLERIGLGLLAI GAADTIQLFIQKLDFEKYLKYFQEIEQEQNQSGLESLCQQRRNKIS >CAK83887 pep:novel supercontig:GCA_000165425.1:CT868485:369313:381872:-1 gene:GSPATT00018204001 transcript:CAK83887 MGCCLSAANQIKEPEILNNKPIQISHPQIQQQDIQNNQVIEEDINEDERKRYVQKKKDAP PPSKYPKPMKKDIREDIQFEIPQQPIEPQKPKLPRNQKLHKLIQLGREYVGSLHHNSETD KQIISEIELQTFEKTLTLEELNNISQKYEKSLTEILFLKCCTGITLLELILINIDNFEII TYLLLEQKLHINDDLYYIAIRIINKIKPLRKSDNQVQQQINFLKAIRESNQVRELVHRIE SVTLFNGIQNFESEFNFKNRYHLKLLGTIVKILKNFQIDSTLEQIYCNAKIDHNFTNSCI PKSIPKGESKQVNSCKIELKYLKMNIFHQIALNKDWTLFKQYSNNYYQQPDISGMTPFMI FFERAPINIILEYITDYPSQLKQAIKYSTSQGKNIAHCISMNKDISEENEAILMNILSQL SSCGSEEQMLKQLLLQPYNDFIPLISYLDSHKVGLNSVCQFLSQFITKEFDLNKLHIQAN IHSNQIKNQRRKDLKQNENNVYPSLYERFYRFKKYGKTLAKQYPQKKPLTQYDNVYLHYI QLAKQVIKKDFKVKFDWINNFNIYTSYFPYDIGTTQQSLMNMLLRNGNSEQVKQGITQVI QLMDSGLNLDVETPNGFSLQAQLLAELISLKREQKQYPYQTVFDMIDSVVIEQINQQPKL LIASIFGNTDFRRTEYQSIYSYLVQLKDQKLLEGCLTAQLLFDINQNTKYELLMEAFELK QLNSKDHLLKKILDSIQIRARIHLTTKKDSLEIVLNDKSQPKEDEVIYTINFSTLIQLDF SNYQSKRTIIQQLKESDDKKDDQQHTFRVKISYHNILIFYSQSESQKTFIEILKHKHFRS FTKFWILKDKINFHPQLFINEDYFPNVTIDNLTVDQYESAFDWQILGISAKIINSTFPIK EDKLTHKQKVIRDQIVDKIDFVNALAQSNKLSLEFQGYIQICQKEHKDRMNLIYNIWKMI EAEAFDNIMYLNSLLTLRQYDHILITIHTFLFQKNIKRQTCQWDDQDVLKYNQILSKLLD LKFPEKEDDHYNPIRGCSLNIITLFSPQNWQRFNENTKYLFYSYIKEWSYSQEQHLCVLL SSPKDQQVIYPISQNIIKNKKMPKNPNLISLILEYYLKQSYDEEELGQIQNFFITLFQSS EQLALKYLNQMKLKKNQIYILYGNVLRKSQSTKSLNQLLHFCENNSIVLDNQIYQTPLKC PEGLENYYKALSKPYYIVFTLSQYQEFYKQIPLTMEQKTKHLQLFLENGLHEKLQFILSQ GDFSFETYSTAYFQMVKSYLEEQLSIKFDNQDTNEDEAEEMDENGNQTVKKTNKYLFFDL KPNELKFKQLRNKKTKLELKQEAQKIVDEFCKTNNMSLLKTKYVKKVHNIQKTLNHQQNQ SFGSQMQDRSPLFMNSGGQKVDFLKCIEIVQKQFNPKKVKSKQIIANYEYYSNLLYPKKQ KIEKYQAIITTLLQVFKENRFPNSFLSSRAQGYIDLYNANANLKQAEIEFEFPQRFTSFQ KQFFLEILLSLRNESQNETANLILSQQHENMKCVKFLQKNLSELENIRFNSTTLYYVTKQ VELLKSNSYSSEIFNYYKESEKIKTTFYNLQYTSNELTKNQVFLRKGRHDHITKQSQFTQ NHLVAAIMSNNYASITYALNLHAEPVKCGLTNNVFQILIIQQCENNIIKYFNSFVKGKVQ AYEKLLSLNNIPILYYVFFKKMEKVFAECFLAFLTNLIGKQKTYDVIKSQLQLSSNLTEY KVYQIALMNKCYYVLNYLDGIITQDDLKLISSPYILKCQIRPDKLEENSKEEQFYKKYFE LLSLAQQELHWPEFERKKKKEKQQENKNKVGEGEEEEEGEKKKKPKKKKKKNKKGKKKVT SKQKTLPKKSNSFYIKDKQINELILFEQACINSVFKLKAEIELKNTMLSLALSENKWECL EKYKYPEHLFIQLITEQKSKNQIQNLDQSLFQNIFKNCLQYQILNSKLVIELCQINPQLL LKVLKAIQVTLDIAVILYAKLKDTPELDNFLSYHSKMYKEYIRIPQNGVPIEDEVIEQEE EHPIPREYMKPSVAYILMLLHSIKQDNYNPNLLKKQYISIPLFQKTYDLLKQQLNQQSLS QIQFTNNTLQFLKDFSEVTLNIEKDRFFESLNFQENALEIVVLKSMIQIKQIETIIENFK AQCSWQGYYQKEKKHDKQIKNRFQIYFGDEDIKFSSECYEIYLTFENNQFNLSQDKIDRI LTFFKQKEHKKNLDEQPILLENILQHKTVNNKQVNLSKFDLRALNSIDFDDINYENCINQ ILELTKPQGRCGQYEIDDLFAPHQHEQIRQPELSQQMSIQQPVLQRQQSSQMGQSLGALS LSKQVSTSKRQKLREDFQFMLELLPDNTYQVRQRHKKQNQKEIIFSEFYQFQQPNVKLNV IKLSEKEFIEQYDSRKTISILVQDLYKYNEYLGNLIEGQKQLEEWVVDFPFYIQNNSIKF SDCLFTTYSIQCIFNKLFNFVEKVRTYSSIDKKDNLKLIWRINATSFLEVFISKLIDQQS QKYPLVEIYSLIDALLEWKSLAMIFNTLIYHNLEQAQQVLKVIRGVYVEFNEISDLAQQH CQFVDTNCHLDKTFLFGNTTYLLQNQILIIRLNVKIEPNSSQITQIKNNIMLPDTRIQLY YNNILNIYDFFNQIFSADQLVQFIFNKLQVDGCLLNYSNEISSLINKTVTFSVDHSQLQF IFSGEIMRVMSIKNNETRLKEFESIWYILNKLYCYFTTDLYRYLENSFINEKFNTMFYIR LHCLLNSIKHKKNLNEILNSNTRQLFKSKGGFVIEKVCNLNKQICSTKNSNIDLQFGFQL TTSFYVSNCKLGDICAVFYSENSKLNLIFGQLVCKTKPGSLIFHILTDNLPKGQEEIVRL QQAYQIGMNNQSVLSISLEDLQAIVLFQSNWPSDPLFNNSILNLFITDMEKDLFICNEKN FIKHMIEIQNEVDENVKQEKIDNLFGVGLASSYSDITVSQMTPTFVDQINFDFISINSLK RQQSVIQPNTVFIRINEDTFVVDPIPTQPINTACYFESNIKGYKLQGELNNFVKQHEEFR EVFLIFDDELDNQVYRAADRIIAENSGIISFIKGYEQFLSNKNQSSINSSLQMFFEVQSI SSIKIRIIQNLITKIEIIFAPFKHEGEALIKLKDQTLQVYSLLGETKIIYPEIDEVSNFF YNLIINYRDHHFESQITNDNQIQINFKRLPDLEFIKVFVDIVLIAQYFHKLVCIIDKENQ IKAINIQHTDEITTNNKYSFYQFTDDMIIINYCKYFDFSFSNFILDYLNRKQINQINKVE QNLTFSGSQILEKQVDLIPKTIFSGLNQTDQVELLSIIDMNMTIHNQDKANPLEFKELIL IPKVNGFYNNLFYFKSFQHPTLTKYNNQKQNKYQVGDEVHFLLHPINSQISLDQQLQKLK VRVGLRQTKQKKYTLSQLIFQTSEEEQLITNCLFLSNNVMLVTIKSESRLKISIYSTSSI TLSQLNYQFSRQLNFQYQIQTVQDLEKTLHVSVTHGQKTIKVKLEKDDHTTYGKGNRQLM KILSKEAKNNKKEIYQSTESTTHSSELQSGNTINMISNQDIAAATRAGVLRKDYIEFRDS NCNVVEQFTCEKVSFVHSIFYQIQYVPEKERAEEGLNLIWTPTFKGIYHLLIDNVKIEGR FVVLANVPNLEKSTIEIQDNLEVIPFCEKILISFYLKDKFENCYGDTENQIFSESNCNVK QVSGNQSKLNLTFNNLTKVGSLNAYLTFDPIEVLVLEDTSAVEFYINNQLKKSQIWKLSG LGLDKRRQRFQQAINQVWKPTSYNLNISRVNFLEDLLELAEKKLNYQFSIKFNNEPGIDA GGLKREFYDMIGNTLKDENYKFFSPVSSNQSKYFLHPKFNKQKNKERYALLFGKLIANAI CNSYLIGIDIIAPFWKVVFDERIVFTDLSLIWDKDTYNNYEKLKTMSEEDLESVCLVFTY SSGNTESELIVKGSQTNVTKKNLDLYLNKTAEYVIHKQFDKIYKSFIEGFQSVLDISVQY CEQNLEMSLLTQGLLEIKSEILLQKFTFSGGKPQHKSYFETYVSQASPETLKNMLKFITG NQSDNSLGSSSIPFDQSSYVISVRFESGLSDRKLPLSHTCFKSIEVPLYKSFAELKQKLD IAFTIGFEGYGFG >CAK83888 pep:novel supercontig:GCA_000165425.1:CT868485:382199:385489:1 gene:GSPATT00018205001 transcript:CAK83888 MISHDDTIKQQSQPTETFRRLMLPHKSEIALGFQSLTKHTNTTKNFQRKLYTTNKPEVDR NQENANASSSFEKNIADELLKHEVCDWQFFMQKDQEIIQQMNTSDEFPQEPTYKAKTQES TNRSSQLQKGNERYLYFQRMKQGNKLLPNLSTQDFLSSVRHTTKLNEFAKVYKQQPQNLY LSLNLSQPQRVPKTFGLIQNTQQLVSVNTNRCLRSREDCRAFSHAMQTQQSKSITSLQMN HNIFDPMQLRDMLLSFPTTLKDIELIDCKLHYQHMDVLMSYINKNQISKINLEKNNIRDQ GCKIIVKYLMNNYTLQHLNLNNNQITESSCLGLSNLLKQTQRLLELYLGYNHLNSSAGNT IWKAMYKNTSIKILDLSHNNIASLDCATSIAKAIARPYNELLHVDLSYNKFTYLQAQLIT DALIKNETIYGFHFEGNQTELVVNQNGFLMNNIQQKKVQNDKLTLLYKQPQFFKLLDQPK KDVFIAAKQYEEFVMPYSRQRKIRSTKLNVQKVNQMGKLDTCWICEGWQEIKFEWTAYKS GSLYNEPIFIHLDFEDYRPLLMTHLNNEFFLVKMCPPNQNIHYFFTNPILGVQQPALDQP IIQLETPLPSIPFLYNDEILVDGSTIECLNVLKTFNKQQLFDKYMPLVQCKPREPLAKFD FSPYLNIKKHCWSVENSIFRNFQPDTPQLIDQCYEFDFQNSKVTRLVKENEVAEVKESLR ELYRNLFHVYKYHASGSLNTPIPCIMIQDFIDFLVQTSLMDGMKTNDIDISFTSTSAAKD VAFPQAFEKGIVRCQLLEIMIRLCNDKYIRSGVYTTMVDSINAVKQQSQEYFARFDQSQQ WRKTRLWNQKCDILLNDRLAMLKSLYKYCCKLSKKQQTKFDYVSFSDFKDFISECKLICD DLSERESYTVYLQSMVTQKDELFSSKHYQMNFQEFIEACSRLAEKLSIIRGDKPMDLDDR RVKDLHTKLDGFLLYVYLNIGNQMKTVLSPNDPDIKGLDKCMINDFKSLKQKLEDSFTDG DEPPYDPKVELPHLSSQITNLLGNTIGGKKQTLRQQIKQLKKEEQKFALINFVQYFKSLQ QINKDQDD >CAK83889 pep:novel supercontig:GCA_000165425.1:CT868485:385574:387236:-1 gene:GSPATT00018206001 transcript:CAK83889 MGCTTSGDTVALAFNDFQLLKLGTQSIELTQLFLVANNLLSEVQQLYKKVEGNRLILLRI TKVSLTANQTLIDAFGFWTRYVSICNLGQGGQNGVFYKFENEGKYLNYSLGLNGDLKLQW FGEVLNDYLKVLEGITKIIPSILEQLKVVLEKVVGMTANIKEKNQASRHNISLLKFNISF IKIINIKIIKYNEELEMLRDKYKQVAENADEQGIKLYKKYGVRKLLNEEKYCLKTKLNIL IEQCFQGQMRTELEYEMQRKEKDKRRLKKPAWTFGDDIGIGIKKFPFRIRWTGCECVDHS FFIISNYLENHSKHLLLLRKLSVILRYLTQAYKTDEDTLARAWSIFCCYLNERERSIIQN SMSILEGIKRLKLKNQNAEKCRKYFIQYIEQFDKELLDKLEQEWSNYQEGQSKVLSLWSN NQIFATIEFQELDIHDKYYALTSMAKNVYALQHYFPVATKIYNTVYQKKLTKGKDFLNEM QSIYISSGIYSLPFENLHTLNKAEDEAIKVKNKEIKFKYAYDKFEQKG >CAK83890 pep:novel supercontig:GCA_000165425.1:CT868485:388058:388779:-1 gene:GSPATT00018207001 transcript:CAK83890 MQQVFISGLPYTASENDVQTLFEECGEILSIKLPRYQDSNRLLGYGHITFNDSDAIQKAL ALNGSQLGGRYIDVKEAKGTQSQKPSVPPPECHTIFVKNLSYDLNADQIGDSFRPCGKVA NVRMVYNTVTDNFKGFAYIDFEDHQSVIKALQMNGKKVHGRQVQVDFDIKKPKAGFRYST KQVEQQNKYNQEYQEIQKKKIKKEKAVQKVKKIKNFAETQKQISAQRNKQQI >CAK83891 pep:novel supercontig:GCA_000165425.1:CT868485:388799:389107:1 gene:GSPATT00018208001 transcript:CAK83891 MLFNIVASDSEKLYEKENPTYDEIIRFIKDQMNIVSPLLTYIDDDGKSYYVNCTNDTNEI RKRLSIIKKVNLYITLQSWTCAFCTYEENTEEKCEVCGQMKK >CAK83892 pep:novel supercontig:GCA_000165425.1:CT868485:389687:390385:1 gene:GSPATT00018209001 transcript:CAK83892 MSIMNWGNPYDWTAVEWQSATWGERFMYIDPYFWSYFGVALALATSIIGASWGIFVTGVS LLGSTVKAPRIRSKNLISVIFCEAVAIYGVIMAIIMIGKVQTIESYPQDQMAQCYTTALF GGYSLFWTGVSVGLSNLICGIAVGVTGSGCAIADAQTPETFVKILVVEIFGSALGLFGVI VGIIQCSGASFLKDCL >CAK83893 pep:novel supercontig:GCA_000165425.1:CT868485:390549:391671:1 gene:GSPATT00018210001 transcript:CAK83893 MIASIDIKTVNIEQQGFCLSCKKLTANGTVLQIQNFDDTLYSQLQDYSWRKSGQQFYHSN PKSCCQQVVCKISALQYNSPKRCIKALRKITQEHFDFEPIKQSIYNNLLQYVDLSRPCRE TLQTRQAFQDFLLVLSQRLDFTSLNKFGFKEHPHDELKKHVGSLNTELHMDVKVDKQNQL HFKWPSDFVALLKKQKKSSLIVIAKPATYDQEAHQLFNDTYFQVSKQSYEKMFCDSQSQM IKYYAYSQLAGVSFVELRSNSFHRLSFHYTQQIKEINRIAMDYEIKWAQQLNLQNYYYVK KSSRSVDNQVINQTIDNNFPIVRGQKMIQIKQLRSVYQKYLIELLTKMNSVMGPQLFKLF IYKYD >CAK83894 pep:novel supercontig:GCA_000165425.1:CT868485:391691:395002:-1 gene:GSPATT00018211001 transcript:CAK83894 MNIDQLLNVVAERMDEFDIGSVVQHYLFDLAQMVDDNQNQVIDLQSFVTFYVQRMEESGL DGLITITRLLQFYQDVRSQIQCSVQLKHITDYLIQSAEQLDIHFNKKFLPKHQIRTKKRQ LIASEISPPEILGLNQDMLRRIVNDLKTIDTNSKTQIIQAIAHQNELFLLMQFQERISLF VGFEFQKFINLKKNDEGNYIQFISYGNERLGCVMRDLTIVFWDQSDGYQFDYKVENNVEI SKLRYLHLQDQWISVNKQNQIMIWNLETANYTCITQQINSITEICEIDHLQMLLVISNPN IINCFDMFEQKFLFRFSALHNIITNLKYSRTFQLIFTIGLDSQICCYQLHTKYNDQNLKC QLKGHLQTISCIELLENTSLLVSIDCKNTIILWDIRSQQIHQHIKLQTKLMSKQLFYYNK CLCLITTRLQALRFEQHDDTPKNTKLIELIYDQWNQRNIIVTRTDVRIQNCINGKIEYIL QDFKDEITAVCLIEHNNYMILGTSEGHVMKVLIKNGEIVQQWDQLFNEEIIKIAYDETSN LLHIFNIDSSLKCLPLQHLNVRKDNVNAKDKRALRQLMHHSQVNICTFNLSHGLLITSND DFITIWNLEYYSVVIQIQLEEQAIATSIVISNNKQYFIVGTNQAQLYFISITSKNDHYVC AIESILNVESGLLMLTSINEKNYATQMLIDDIDLIVGLNNGYMLKYDISELKLQHQDPIN TKPNYNPYRVIYHNSIQEHFIDNYRILNSYLVKDINMSQHLIQLQRSPNQKIKQKFRTGM TRLSAVKSMAGQFYDQHFENPNQSPTLSVSIHSESVIQLSYMQIDPFEVLFSTKTQLIIS ASSNDIIKIINKQFEVLCLFEINNPTSHFWNLNAQTYKLKAHILFALELLKSIQHKLTKE KQMSYNIDNILRECSLIYMKNKYYPSKAQSHTLTTNQNNITSSLPDLINKSTHSLRFYNE KIKYQKQQLDIQQQEKQIEDSAKKRNRYFQTQQLQSQKKEILDYLRSDDKQNEHDDFAKT LISMRMRTEPKSNLQISKITRTTNFTEMTNRSQVKFPNHSKEVFNLIKQVIASPSKRFTA QEKSELKSKLKIPKMEKFKSKLS >CAK83895 pep:novel supercontig:GCA_000165425.1:CT868485:395479:396174:1 gene:GSPATT00018212001 transcript:CAK83895 MSETKLCEGWQAIDLQLSLEEVLTSNYLLHSLYCERNITKCNICDQRMDINEQDVHMDSH QKTECLYCSQMFEKRLLEMHQNNCPNKPEKCGFCDLMINLAEMPRHQANCGSRTEQCQIC KKHIQKRGKLLVHALEFNLHISVCGIDQQPPSKLNRLKRITSEPTSEQSSDDASVKEIKQ VPRRKPQKQTKPKSKQKEEISKQFNDDEDEDFQKALQLSLNQK >CAK83896 pep:novel supercontig:GCA_000165425.1:CT868485:396839:397566:1 gene:GSPATT00018213001 transcript:CAK83896 MNFRNRVFRIKISSIITPQLVEKVADSLDKWTIYKPEFIALIINSLGGSLIQTQNLANII KKYSQTNKQSLFQISVPIYCFGEDIVIKSALGLLTIGDKAYVNPYAIVGNMGYVQPFFDL RNFASNWFIKQKHVATNDNMKLLNPLCEFAEKDKEWVEQQMKNQEEELIHMIETNRKLDT SKYEDRQKDIYRNGIIAPNLLLKHGIIDGYTSLDNVLQGKKVLNLV >CAK83897 pep:novel supercontig:GCA_000165425.1:CT868485:398145:398543:-1 gene:GSPATT00018214001 transcript:CAK83897 MGNQCCSQTSTTTQSSKEILNPIRQDSRIKSLGDSIQIGQFGDLIQQHCQLYQYQDGDGL LSPPPLSPKQQHVIVYLEPKVVIDEAIHFQTDVMKGILSTRSHECQSDSPCSPNNGKEKP QRRVQFKEQLIQ >CAK83898 pep:novel supercontig:GCA_000165425.1:CT868485:398772:399199:-1 gene:GSPATT00018215001 transcript:CAK83898 MDQLALKKLVNKLIDEKLKLVCEECRKKTTQKKLGYHNFNISIQPTPINFDFSIDNENKE NCLSSNRNANRVKASKSQALDLGQQIFNADISVIRPISQFSSPTVKASRKKSMPFLKKET QTTYEKVLKSKK >CAK83899 pep:novel supercontig:GCA_000165425.1:CT868485:399241:399511:1 gene:GSPATT00018216001 transcript:CAK83899 MSHSSMEQRNGQLLVMTIQIDDKRSGRIDVFEGDDPEILARDFCIKYNLNQRVAPLLIDN IQKQISIAQKERMNMVQYVRS >CAK83900 pep:novel supercontig:GCA_000165425.1:CT868485:399630:400914:1 gene:GSPATT00018217001 transcript:CAK83900 MKQESINQTVYDRLYQDAHNKKLKKQLQLSENKSSSQIMKSQESEVNYGLILYQKGIQKK EEKLQKAESARKDLQKSQLVECTHKPQINSLSSKIAQRSPKPVGEHLNELAKIIQEKREN AQNYKLELEQQSCSFHPQINRVSSSIVDEKKKQSQIAIPHYESLYQDMDVKQQKLNELDR NYFTQKHTFHPKIDQISEQLVQGLSFEERRQKFRHKSKERNSSADESNMFRPRTGRPPEQ RPENLFDNLYKDAQLLAQKRAAVLSCSKERMMNQSKVRASQKSEFLTQQAIINSLANIFD LIDTDDDGEIDAIRINLGNLNQTVIQILQPLFSEMEEGRHVLSKSEFIESAMRLVYTLSN KEKHDLLKKPNSKKSDVPTYTFQVQHFHQFLAINQLKTFKTFWIEKQMKVYSNLFVQDY >CAK83901 pep:novel supercontig:GCA_000165425.1:CT868485:401288:401473:1 gene:GSPATT00018218001 transcript:CAK83901 MKRAMERKSQREIPLTPTIVLSDKEIEIDDLDSPTTLNLQIPIFSPTKMIFSLPKSTGTI K >CAK83902 pep:novel supercontig:GCA_000165425.1:CT868485:401782:403319:-1 gene:GSPATT00018219001 transcript:CAK83902 MQEQNSLFFCPENEDSEIIKAKTYDAQTQRIAASFYSQFSDGSDPFMDLRRHHQCNSAYS SSSLQSSRTLPDECMQHNYSKCLDLDILSEAAKQSFSFVFKSQEDWLEHFTSKCIDLDTF FKDCAFNSLIEKEIQNEDCNIKKGIVLTVDYFTNLFTFSMYLIWKWIQNENQMDMANYVS CQNSIPVFFNLIFQFRFNELKNDKIFIAFVEQTIQTISKSKFEDDQQSKPYLGLGISQHS KPLPLLKENEVFPWNPEEVAKILSTINQAFYADLQIRNVVVKGGLKYYFKRINTLSQYII YSVVKSACKYKRQEALSYWIDLAERLQIQNDLEGLFIVFKYGIQLLLKDYISTMPILFKH QNRISKINAYYEQQIKDNLKNMKPNPKGYNIPSFQKYQTHIKRLELQAKQNRQAFEQIAS LLAELVAISKEQQQRQQIMNHQLSFHEQQIVRFLTQGIENELENSLKIPLEKETLVYIQL IKLSKIMN >CAK83903 pep:novel supercontig:GCA_000165425.1:CT868485:403510:403863:-1 gene:GSPATT00018220001 transcript:CAK83903 MEHCEHCRETINEMFALEKQVESIKNQLEIAETQLKLLRQENELLKSNIKKPNKPKHYNQ SMQNESQKCYQEIAKLQEIIRHKDEIIQNQIRNDDSVSDKTETRPKQIDTCRKKVKF >CAK83904 pep:novel supercontig:GCA_000165425.1:CT868485:404067:405060:1 gene:GSPATT00018221001 transcript:CAK83904 MQLRSGVLKKPPTRNYISGYQIFYATKLPELKKEGKFQVGDAGHKISEMWRGLDDDDRQK FEDQFQEMESKYKEDLILYYGGNTQDIKKYKALMEIPEKPRKPASACLVYIAEHRKDFGN ENPDMNMAKVTKVLADKYSALSNKDKKKYEDDFQRKLEQYHKEIEIWQKKFAEKQEQFDK LIEEKFKRSASKQDLEYQELPPYKRGPKKMKDEEETAGIKIEQKEKEVKKEERKEERKED KKDDKKDDKDNKKNTKNTKDEGKVVQNKGESKKQLEKDQKDGQMRRKSGQRRC >CAK83905 pep:novel supercontig:GCA_000165425.1:CT868485:405116:405322:-1 gene:GSPATT00018222001 transcript:CAK83905 MFCKFIAKATTQVIKYQFRSLIATSIIKNNHLNIHNLIAFKFDILPSLQEEKEKEINELL TMSCRLRL >CAK83906 pep:novel supercontig:GCA_000165425.1:CT868485:405348:406759:-1 gene:GSPATT00018223001 transcript:CAK83906 MEIEKSFAKYIHYTVKQTLGKGAQGKVKLALDGEKEVALKFVQFSKEIEKEMQIHRGLNH KNLIKLLNFHVNEEYTKRSGKKEIKSCLVYDYMKDGELYEYLSQTGPFKEETARTFFHQL IDVLSYLHGQGLAHRDLKPENILFKDGQLKVSDLGFATFVGGNQGDGVLASFKGTISYMA PEILVRQKYVGQSVDIFAAGIILYLMMTAQLPFKQANAKDQLYNLICTNRFDQFWAYKEK SGIPRFSNELKTLINSMLAFDPLQRPTLSEIISTSWYQGTTISNEIVVKLLNARKLKIQA QQDKENLQKLLDKQKRQAANQNQQLTVGIGNFKRGEEDITIDLTLKRELKRFKQTDVILP FEPNLIFVKLIENMDKFANKINYVDDKKYRVTLKLLQQLHYWFIQNNEEFEMAIQLVHGP MESTVGLDFELIKGNGLEFYQKKQQVEDMLLQIE >CAK83907 pep:novel supercontig:GCA_000165425.1:CT868485:406830:407859:-1 gene:GSPATT00018224001 transcript:CAK83907 MNQEVDLYMITIFHIDNKLKTLAPIFYSIIFVIYLGNNIIFGELLLGKAEPFQYVTITLC CSIVINYCLIKLCSQQQVYVSQEIHLIGLGFAVLVLSALLSYKYNVMPFYSIILIKGWIA QAINETHLMGEIYQKKELICFIGISVGAILCTFEWTFQFLGILFGWIGAASMVLIGHNLK KVKKVRTHTITQYVMIYTITVLGLYYPIHKFGTFNVELVTYSIINGVLFPLCCTLFLHAF QIGYPSMNLAIFMLINMSGAALQIGHQFDMLSIVGICICLISVSYLLLNHKSYIEVAGFE IEAEENPYYELNQYHQRI >CAK83908 pep:novel supercontig:GCA_000165425.1:CT868485:407902:408252:-1 gene:GSPATT00018225001 transcript:CAK83908 MEIIQAQCKSKKIRKRTWVFITEMEQENNLQLNFHIRDLKQPQLLEQVQNQGLTIIQSME VVGNQFIIGYSKLQWYHQRSFTQDYMLGIINMEWSELVELNKKDIQSRGDLEQEQL >CAK83909 pep:novel supercontig:GCA_000165425.1:CT868485:408338:408895:1 gene:GSPATT00018226001 transcript:CAK83909 MKSLEGCFMQPFKQVKEARYLQINNTNWKSNNWVVNKGSFNYNSFSQKREYLYKIMLEIW KLTGPQGLLQNKVEGQGGCLFENVESFFKSITSSTFQQNIVKALIDLSEKMKEGREITIE DQKEFLNQIKCDAQNTDNSLQNKKKMKAQKKLVGLLQQQDQTQDQITESFTNIQKNLIEI FLKEN >CAK83910 pep:novel supercontig:GCA_000165425.1:CT868485:409048:409885:1 gene:GSPATT00018227001 transcript:CAK83910 MLSETNKEVQKDQNVVPQLNQTPSSTYFVYNCYIPVVQDYMSQPPPLQVRNSSESFPQLI KNNDSIQMSHLAPGVSIPSFQFGASAPFVTPDDVMRNVQPAQNHFASFILNEQLKTQNQP TKNRIIEGTQTLCDIEIVLISRYFDMKAHVFCPPNNKYTLKEDTNISNAEWLKDRMLFRY KKPIKESFSDMIDQLEKEGEINFKTMIHPVKFAQGGFDNIERQVPKSVKSEKPFPVFIFE IQQLISQFLFTKY >CAK83911 pep:novel supercontig:GCA_000165425.1:CT868485:409916:411431:-1 gene:GSPATT00018228001 transcript:CAK83911 MNIEQQCSYEEQRMLSQYQQCASTGRYGSIDGIKEHYILLIKAAQLKIRYFLIASSILLL LTTVTSLSCLIDPEMLGIELSKWFSHLLTLILYMAIYLDLKNANSEILNVPKENLKLLCI TYEKSVYEFLEEFKFQEKFDLINVLMYSSFIWSFWFSQFVFILHSNSKIFPLLMISYVLH MVLYLLAWIYSCFRQSPGNCECSILKTKVGYQYINLPILGLIDTKRNTLKMTIILLMYYK IKLAVIASFIDSVESETTIVIYLYIIYCVAMIIKQFYHLILLRMIRKVNYRHLSCFQNAY MLYYKLFFYESCVNTTLKGMVYLSSFACVIVCLTFNLKNYFKYIEESIFKIEIYLVNDTI SFVISLVIYVYYAIKKYSNRVQLNHLESYESMFDLRFYDLNEIVQNPYYNALSRYLQTEL QQLEERNHREIIRKLQLSPDKSINLEENGDCVICRERLLLDQQLVGLPCHPTHIFHKECL INWVLLHTTCPTCRTQIQ >CAK83912 pep:novel supercontig:GCA_000165425.1:CT868485:412067:412779:-1 gene:GSPATT00018229001 transcript:CAK83912 MSYTLYGFAMSSCTQRIRSILNFKKIPYTQNSVNFLKKEQMSEEYKKIHPRQIIPALITP EGHTLIESVAIAEYLEEVHPEKPILPKNRIQRAQVRAIAEYINSSIQPFQHLGIQQYINS HSEKQMEWKDQWIHWNMLGISNLEKSISRTRGRFSVGDQLTLADIMIYCQVDSAQTRFGL DFGQYHNIMNIYFNVNNIKEIEQSRGIYQKDFPK >CAK83913 pep:novel supercontig:GCA_000165425.1:CT868485:412790:416319:1 gene:GSPATT00018230001 transcript:CAK83913 MSLNDLLVDYSGSGHSQFEDQTKKQFTGPAKFATKIDPLQDGDYTIKPPSMSSLHKVTDH IPPSQSPNSGMIQFSPKTSILEEKVLMNPQNKEQIKEIGQTTVLAQKEGINNFIKLVLAK SYFNRFVENMLQKSYVKKLSHFNIYQNTMLDDLRYIDSKHKIHKNLIGKICKKLRFVPIF DQSSYLVIGWQLLHILTIIIIFFWTPFNISFGITFEQVVFETLTVRDVEYYFLFSILVDA FIVINTSYIEKGIIIKQRGKIFINYVNTQGIYDLCSFASMLVAQQFNVDSSNEKIGWQLI PYTIYYCSRQFKLQARVHKLEEFFNFSGWVQDLIELVKLLFMVVYVGHLFACLWHGVAFY QIGFRRTWLEAYDVATQDIFTKYNYAFYWSVQTMITVGYGDLTPQNNYERLCANLSMFLA CGVFAFSFNSIGLMLSNLNSRQVLYKRSTNLLNSYLTKNQIKIELQSRIRNYYDYIFQEE QEINDEEVSQITTKLSSSLQEELNFEIRLNVMKTNKVLTKFSQKTLRQLSLVIEEVRYSP EDQILLQGTQDDCSLYIITKGTVSVLFQDEPQGRNTRVLSYLEKGESFGEYSFFTGLNRC ASAKSIGFSRAYKIPRQSLLVVLQTNQIDLERFCEVRDSMLLGENFQPAKLSCYSCKKFS HLIKDCPVLHYVADKERVIKKEYFPFQQERTITYKRKRTDRNYYATLLEMKKTIAYIRDF QTRETQADNITVIEDDLDVSYEENDGSPNEQDYSSFSKSFSKISRQQSQNRSYSQYDNNN NTKNLQPIQESEDSADSPSPNKKRNMQVKQTLQTAGFGGQDSFQTRIRTDDQDLNATSFG NVTPSMKDENRYNIKNDSLILPNQVDTQARLNKKRITLVRKQKREESYKPFSSQTKVDTN QEREKSQPKKSKMKDPLADIPITPSIQSVDHKRDSIDRKDERREQKRRTTKTKTNRSRTA KTHKTTKLVQDTPTQEISIPVFERQAVSMELEDFEVLKNFSHYFSWNNAKVVVARTMKIL LKNLEKRRYYINQFSFYTFNSLAIAKIQRIKRKLKLIEDPPLEKKSVHPLNKNIKLRRGS KRSTQADDIIGLFQKKPQFGTQIQVLQGISISRFSRNDLDFR >CAK83914 pep:novel supercontig:GCA_000165425.1:CT868485:416559:417285:1 gene:GSPATT00018231001 transcript:CAK83914 MEEDLHFKIQMQEMPSAPPKPLIQTSTDEPTKLSVASTSDSVPLQTSNYDLNRASHPKAC IFTCLFKALALIFYLIFGESIWSFILVIIFSAFDFWTVKNITGRLLVGLKWENIIMEDGS SKWEFYSLPNKQVNAVDKTFFWTAQLGFTLAWAVFTFSNMISFTLMKFVIDVIGLSLCWT NLVGYYKCNGDHKQKVKDLQGDITNKVVTNFVGQMMSK >CAK83915 pep:novel supercontig:GCA_000165425.1:CT868485:417450:418164:-1 gene:GSPATT00018232001 transcript:CAK83915 MSWQQKLKKQIGTQAYSEIKQLRFNNLQLNKLPDDFVSEIHKCTNLEVLSLNQCGIRSLE NLQQMSSVTRLEYLDNFTTSKTLQQIAKAFPNLEILIAGGNFLRDLTDLDSLKPLRKLEV LNIMNGINKPDSEVRKYAFQILQNLKELDEKDQYGQIYVKPKEEKKPLEVETQNNMEQEE VSQEDEESALCSDDSNEEEESDSEDEDDSDLSDFIEKKVKNE >CAK83916 pep:novel supercontig:GCA_000165425.1:CT868485:418224:419740:-1 gene:GSPATT00018233001 transcript:CAK83916 MLGSRRVRSHESSLTNLDAYNPNCQVRVHINHHQFKTLKCESQHQIDQKKFCPFFHDESD RRRDVKQHSYQQKWNNNYFLIYRCQLCPQANRCPRGDQCQWSHNKVEQVYHPNRYKTKYC THLKDCEYGAYCSFAHSEQELIIPVKLDGMVQDKNFWIYQYKTVWCPHTINHDRASCVYA HNVQDFRRDPKILSPKECPHWNKTNQILNYDKGGCPDQESCKYCHGWKEYEYHPLIYKTK PCTQQNCTKKQGECAFFHSEQEKRVRKQVAENSWVIEEPNTHVEAKRQPYKNTSNYLGPI IPNYIPQDYLSREKMEIGQPFCQQSISNTKTSDTQSRRSSDCSDGSKTQKKKHNIQQQYQ SQKKPRTAPVTPDQKQFMGNNYTMKVQNNVQTKSYLTYSKKLYEEILKLNEGEYIYKILQ GFKIPEKMLMQMSDEQIQELKLTEHQINQLIQVLAAIKQEQRYDETCGDEILSLISNQGK F >CAK83917 pep:novel supercontig:GCA_000165425.1:CT868485:419773:420321:1 gene:GSPATT00018234001 transcript:CAK83917 MDPSSSQTYIKQHAQDNSKSNENKEGSQTQIKSQTNFRNGQEKNDIGQFKDSELQTIEFI ADNIKYQLDPRYMLWSTELLKQDKPQDQNTYNYQQDNQSIKKKSHKFMDLKRLYEHNKST IEGLNKELNDLELARQKTLQRLYEVRQEKEKMRKIFKVERTKTENLKGCKERLDKLKKEL GF >CAK83918 pep:novel supercontig:GCA_000165425.1:CT868485:420328:420876:1 gene:GSPATT00018235001 transcript:CAK83918 MLFLLISIALAQQLEYFNDANFQKRTLISKHYVVYDWVIAFCDQECAEETITVVNSFPDS YQKGLVNLQTNPWIKDNIAQKGIWIYHLNNTMCEFKNGNCHHHLQVPQIYNIPKVNFFES RMIVVQIGCVILVVFYIMAFFDLKKRFWDTKHKVKSS >CAK83919 pep:novel supercontig:GCA_000165425.1:CT868485:420980:422354:-1 gene:GSPATT00018236001 transcript:CAK83919 MEDLLDINVERNFHDITYIININANTEMLTIDVESKQSGDSWIANFQASYIEEITSKTGN YKKYPTFLKMLQSAIKNQTDTVYIDILTFQDLEQIKNKRPKQNQQQNLVPNNKRYLILSY IVEFDKVHYPLPLNFNEQPNIQQMKNTIIRLRKENEQQAKQLTTLLESKKNEVNPLEVIN EQNKEIEFLKNLLEQKDRDILELKNIVHQQSSTTVNKQEYIELRSKYLQSENTNAEITKK VIELEDCLQQIIDENHLLKNQDKKNKQRITSLEQELQQTLTRSKTKLQPNISRSPSTSKS SNQFKVSSSINKVLSKKKSAEKTTPIRIRKESFDSDTESSYRRKSNSKRTDQSPSARSNS SRKTSQKLKQSNSNLFKKQKQTTTNESRKFEDPEEQRLLKRLRDLREKNKENTIVTTPSN KMEASCEDLHSIDERLNKLNNLLQRAKNQ >CAK83920 pep:novel supercontig:GCA_000165425.1:CT868485:422586:423601:1 gene:GSPATT00018237001 transcript:CAK83920 MFDQDFVYQYRTKNTLIKEGYAFAISTQWFRQFNSFLQNPNGVNISKIGEINNTSICDLN HSFQANVDGYSIPQQHSMTFSRIYQLKSNVKYGQDYEIISIEIWNYLKLYYNADYDVIVF VTQILPNLTNYFTCENLDQGVCICTEVFYLVLVVVQPHDKGLMMAIKVPACPWVKFDKFR TYIYDMLEQKINPKTFNNKAHMYYNGKKVPFKGNKTLQEMEINKEMQIMISCQSLTIGEV EEEIETDEDEDDQETEKNLHTKQEFLQILEQALNQQPTELLTLKSIQEIQECLEQNDFFQ I >CAK83921 pep:novel supercontig:GCA_000165425.1:CT868485:424530:425825:-1 gene:GSPATT00018239001 transcript:CAK83921 MKYLNYDFIAPTHLHYLKSYRYKGTDQSLLYNYILSPLAELCLRFVPMNVAPNVLTLMGL ACIILPHILYFFVMGDNFAGFIPNWLLWLTALLHMLYMVIYVFQEEFRQLGWEASKENKQ QQNKCFQENSSPLGMILDHNFDSMIILLQGTSMTTAMQFGNTIFSVILYIIPSVPFYIIA HEEYYTHEMNLPIINAAAEGTISVAVVFAATAYYGCDMWVQKLPWFYNYQMNQFVMLMFI ISVIITMPAVFQKIKKFTSITSLLKQLRYFFFFNTVILYSILFSKTNVIQDHVRAYMYTV GFTMSKAVGVVALNHVSNQNLPEYQNSIYIFLVILLNTISGQILGQTIIDEGYLIKFAAT ASFLIHLHFLYNIARQISEALNIKIFQINSTNK >CAK83922 pep:novel supercontig:GCA_000165425.1:CT868485:426050:427656:1 gene:GSPATT00018240001 transcript:CAK83922 MSSTYLEQVRAAFSQIEVIEKTHRYSAVLLDHRIHNLIQMAQKTAADALILIDGADGWKK EEMNYLQGVGGSGDVWENFYERFKEIKDYHKRVANVQTMQNKVHYINLNQAFAPPFKEPY FSGEEHHGRFLDMHASYKEFLNLKKLKESNKIKIGDYLWYLQNFQNFHDIPLYIKEKEGS KYKRYLINMLEYLRSFYLRVNPLQDVSKIEQKIDIDFQTQWENGQVKGWEHRKEETEEIR GHPHYCEACQKKFQNENTFVNHFDGKKHKALAKLKEKQIEQVIQASNQQELPKEDNEGQR KKKMAYLEVAIIQYKDTLQQQLNDTMNLVRKKQSRRYEENEDDEAVPVQDQQLDQPEEAS SEDESPIYNPKNLPLGWDGRPIPYWLYKLHGLGVEYKCEICGNTSYWGRKAFEDHFQGWR HSYGMRCLRIPNTLHFKEITKIQDAISLYKKIQSDQERSKFRPEYEEEYEDTDGNLLNKK TYYDLKKQGIL >CAK83923 pep:novel supercontig:GCA_000165425.1:CT868485:427708:428754:1 gene:GSPATT00018241001 transcript:CAK83923 MNQWKFVRNAVGLFGSAVHEGQTKTGTELAPRSLREGGLISALYNLGWEINDMGDIQGKN YRLHNVKSQQFRNSQQLGVINGEINKRSFDIAKQNKFMLNIGGDHSVASGSIHGLLQHYG DELRVVWVDAHADCNYELGPNRNYHGMPLAHLYGSILEPIKGFEWLKHRLDPKNLIYVGI RDIDPMEREFIRDQKIVYYGMDEIIELGIGQVMNRILKQFEGKPIHVSFDVDSIDPEFAH GTGTLVDGGISYREAHYLLRKLASSKQLVGMDLVEVNPQLEKTPEFREEFFGDFQQIGRI QGTQTVALGIELIASALGRTLVL >CAK83924 pep:novel supercontig:GCA_000165425.1:CT868485:429657:430079:1 gene:GSPATT00018242001 transcript:CAK83924 MARTKQTARKSTTGNKKPTKHLATKAARKTAPAVGATGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK83925 pep:novel supercontig:GCA_000165425.1:CT868485:430283:431408:-1 gene:GSPATT00018243001 transcript:CAK83925 MSLDQSLDNSSNHWEQFEQFRKKITQECQSEEKHFKTKRDSYTSLEKIRKSSVHDDSGPK EVQFAVDSKPPILVQPSEADRIIKLRIENQELKEKLEKYEKNEYTHQTNSEELELIKQAH AKQLKSQKEYYEVENNQLKNKINSFNEELEELKKVYKEKNMKLYNLVLQLEQEKQELIDK NIHLLENRQQSSQQDIHQLVQERTRVLSEQIYQLEERNAKLQVEKSKIEEKYMNLLSGKQ QSMQNTLRSERSERKETKNCDTDRKSIEKLNRSSIHKSDCKPPMPTSISQSFEQLPPAQK SILKPSKLNISSKENLQPMNHSKILDTPQSNRKSARAQSSVSKKTTKPQSQTQKVVKKKQ IYSKIK >CAK83926 pep:novel supercontig:GCA_000165425.1:CT868485:431510:433182:1 gene:GSPATT00018244001 transcript:CAK83926 MTNNYQNFAIQNIPEHINQLQNFKKWRRVGSNLKGAIMIGQIEHCIHNNEIGTLKNMIQF TSCQGNDKALKFKTILYNALNKLQGDQVINFIIEYLIQKDQYNNTSQQFLDEFFKQKYNY SLSDDDLIQEFQKVFKIKLIIAKYPPTKQSNYQTIDSKDRNSIIIFNLENKFYVIQKEQN YLVDKCRCCQNKSLKELLKFECSHLICLTCLKKQFSQNQLKIICNQQHCPSQITYQQFQQ IMKNYGETPIIPTPQECCILCKTKSSLEFLIRPQECSHMFCMQCLQDKFKTNQQNDFRCL IVGCYGKFNQKDLPSSISSKITQSQIVQKQTEIIMKTDNQQKQISQSMISSNGKPIDKNL NNQCNQCLKQFTEDLIYKTRCNHFICKFCFLKIESLNAEQFKCNVLKCNEIIKSKELSQY FKLSQSQNSQKKCGNCNQICEKTESFCKTNCHHVICVKCVSAIYKSQRTPKCLRCNIVIN EDLLDEYFLQIQVQFTKEVVLGGLYILSFPFYRVQYLLKFKLPKPRNRFMLHNLPIRLPL MLDELIDC >CAK83927 pep:novel supercontig:GCA_000165425.1:CT868485:433384:439395:-1 gene:GSPATT00018245001 transcript:CAK83927 MLFILLQIQNILCGTLWPTSDAITLSISNRYEFADYTFKMIPESAIPIGGELQIIFPSQY LSGLGIDLVLDDTCSHVCTISGYLVRFYMENDIQINETITVKINNIKNPERKGGTGNFIV RSKLGQNVFDENLIFGTVGIADYALDLNSMIIKIDETSTNVVNEMALYNFYIKPPIDLPS GFYLQITSPLISDLTTCKLIPFNFVTLSGEIKCEDGIIVTGFPSVAALFTFGIQINFKNP SYSQTTPSFRIVAYRKNTKMAYAWRSAVDGLYIQPSQFITITITPGSKKTTIISDYKLNL KIKNAVPKSGSIIVQLPLNFQLANGYDYIFVSSGIVSDALAISSTLYQFIITDFEEIPQY SDIEIVFRVVNPQIAGQSQSFIVQTTYDGTHIIEQCNTNLAVNIIELTTYSPVVATLTSP YANSLTNLQFTINSIKNLASKIVINFGPQWQYQVTTPTNIQCQLGGASSSLCELVNTTLT MTFATSVSGTKTVDINGILKAPPYAINQFLIYDTFDTSNVDIESGSVKITINPKLDSGAQ FTWYGQGLSTSTVNLYATLQVKFTPSVKIPPYIIIVDDETDEGTVDEGTTTDGGTTTDGG TTTDGGTTTDGGTTTDGGTTDGGTDTPTTPTYPTLEPPSAHGEIWIEFGPLLTWDSNLGI DTLFCITDLVPLDQYLIDCEIFQGSSTSLPEIRLKNFKEITENTAINIKLTGIKNPQLSP IILTIYSGIRQYSNRIIYDYATITITLSTIVPLTELTLTSSFSTSAQAVNQLFNLVFQPG FTGAVPVGSVIRIQLPGYENGFLQDTTKCTVDITDMACNKFRKIDWVEIPLTSAASTTSI ITLSNLKWPTTSKAFGYVHWVVFTSNMEASKAVVQGSGATFFPAPNPNDFNSATITQYQT GRGYPGQIFKFEFSVNNDIPAGATMRVQFPNEFALRASNPTPACNGYDPDEIELTAIPAK TIFQIICMGVKTPTSPPDNESDGWSAYIYIDGRMLNQRQNFFSYFYTNVFSQGTINILQL FAIPLNINEIATYTIEFQPENSLLIGAEIHVTFPSSNFDNLPYPPNLADCDVEGGADSFQ SCLLDGSSFIIVLNKMYESGSIKFMINNIQNPPDLISDGFIIQSYYDGVLIDSTDQSTLL NRTITYYSKPNSLVINQMSFQPQNQGVRAKYQFNISTETSDSVNGSIVITFPNTYDKRLG DNLKAYVNSIKTDLSVNNRIISLPESQYATSYNSILVEIQNVINPNTEENSNTGEFRVGI RQSGRYTHYNGSVGVLEMLTPPGWLYLVNIQLANQYSRWQSKYTFDMILYNKIPINGYLE MDFPEDYEISDQLAPCSFSKCTYTSNVLKAYANQINGQLQLNVYVENPLSEVIIKQFVMQ SKDERQVVEQSYPNLDPFEYEFQYSGPLIFINNDQDIIVPQGSESPDLLFLVEYPMALNL TFKHTQTGDFQVTPKDIVFSYTKMSTTFRVQIPSTLQTGIYYIYWKTYGEKDQPVYTPIK KSKVIVVSQTLNFLVTALYPIPNGGNSLTMTVSTVNAPYDTTTVTIKNNDNTFYFVSGQQ SGTSIKLTFQVGVNSQTFRVYQNYTELIRQTQLDILTEDDRLIFYSSDNPLYTIPPQQVV KYIDATNLKPKLSKFSVEDIKQYSASISIEINQISEAYYMYCLNRTTQPEISELLSLGPP LYMSSQSKYGYLNILAKSSISLDLFAQTDYAFYIVLKNQEGITSDLFSLNFTTKNRSKIA QFNCFLPFQANQAQLVTVMNYIAFILSLPSWKIQQKVEYQTPTRLLATTTLQMYIIPFND TETYPVPKDLISRITSDAQFKRLMGMYLSFVSISAINQAIAEVPTIQSSTLSNSYLYDCE IKVQLSTYGYIFAVASKNDVSTPFQIFKGLNNINVQIPSANVHVTNLTIISSFNLSNLEP NQTYSIYIISASDLPEYPDLSDKVQTLTCATKKLTDRAPLEIVYNSILAVGLIIYFISI >CAK83928 pep:novel supercontig:GCA_000165425.1:CT868485:440100:440723:-1 gene:GSPATT00018246001 transcript:CAK83928 MSVGKLKFKGSFGEKQEKNEQKIRNKVEREELAQDEQQFLEKIQEKGPAKRVVIDKPLQD GLGMIFTSGTSVHGQDTQFLKQLEAGDFLVILNEQTLEMESREILTMLNQKSLLIREAFG QDIPVFKPYQFRKKPTVEEMKSLEDRLEEKFAGVAKKIKKQKQTLEMRQKSGMWSYKTDK MAVDGELSREDLVLMRSKQSRDRHCWY >CAK83929 pep:novel supercontig:GCA_000165425.1:CT868485:440726:441715:-1 gene:GSPATT00018247001 transcript:CAK83929 MAKSFKVLLGTFFFQFWILFMGLAGYMVYRLIVYRETWNIVLFIVTMILQIKYVKSELFI KFQLWFQMMEWFDKREIVEVEKPKKSNSMFCFHPHGIYSMGMVHNLYTKNTFFENLVVLS SRFALSIPFSGLLLTLFGLQGVHPENLTKLLKKGSNVGIMVGGFEEATLTKYGENRVYIK ERKGFIKYALRYGTTIYPVFTFGENNMFYTYNGFQSLRLWLNKFKLIGTIYWSRFLTVPE PHTEMITVCGKGIELPKIENPSKEDVDKYHQIYIQGLKELYDTYGPKYAPKVPLQIF >CAK83930 pep:novel supercontig:GCA_000165425.1:CT868485:441884:444949:-1 gene:GSPATT00018248001 transcript:CAK83930 MGQAQPAQMNSQQEEQSNAKLTQYPAQKNEKNVESQELNSNQPNQYQFTQNLNSHQNDKA DLQNIDEEIQSAQDIENIWLTRTFGIFTNTQQIYGKEVDFIPKNQILTVDFLIEQVYYQF LTSSQFTEQEKLDFLIKSLSSLSNSQVTNEIVNLAEGKIKKERYFDLLNHIQGQLLTCTL YPDAFEWQNIDEDPNVFEDAKNFRANIIFNEIFCSNNFGISQNTLINLLDYLETQASEDD SFKFLELMLKRELKLYTRLAFDDIRLQQHSLALLHILAQYKKLMEKLLSNSWAYCNFDLI NTGINFQQRSLVGILLSLSSFPTDGHLWKSHFSDDRKQMMEQMSSLRTRMFTIIDDLCML FEKILNSNEILRYKFFEFLSNIIKLNLNLEKQLNIQLQKLSSSPGLVNHLFYILTYLFNK FADSQTTINLFIKKIDLNLLSYCKKHPLFQPLYQNVDLLASELTPFVEPKEFKTIIDPMT ALYLLTQRLSHIVATCLQQFYISTIMREMKDLPQEAFQTQVFDILLKRKISFDLQILHPK GIKYITQFLSFANQLALSLIDTDLKPIYPYGLLSATFLIDTQTFVSIYAYNDEIINYVIE LQKCCEFAAISMNKKLLPNPHLRIRSINIFQIIDETKGSFLQKYTRQNWRQSQELNILFD SKFLRTCLVDGLIQSFIDTEKVAEGNQYFQKLNIRVKICLIIRYLLQVHKSLYQESLFHG FKNDQEQQLHFSNYFLNDFIYVIEECLLSLKNIKKLQVEQQSFFQNHQLHKLQKELTIKS QFFYEYLRSLEVITSIQPEIFLIDEIREKLAIHLNYILEQINGKSSEDIAQNIDVQNFDK MFVVEILINVYTNLRKNQQFILEVVKDERSFSVELFKKTQNETKQYINYEKYSLQFEEFI NQVEELSQKQKVLFQNQEDIPEEFLDPLCFSFMNDPVKLPHSNVIVDRLTIKKHLLNNSI DPFDRSPLTLDMVIEQKELKQKIDEYIAKNLEKLINKQTLNQEQK >CAK83931 pep:novel supercontig:GCA_000165425.1:CT868485:445010:447320:-1 gene:GSPATT00018249001 transcript:CAK83931 MGICSSNKANKIHSHDREVDYKPFVFDTSIVPDQHPEVNTKQTNTVADRIILYIIILIKP QQRNVIYQLILRQNKQQTKFEDFLFPPNQSSLSKDPNFKKGKNLVWKRPDQFLEPGQIKL FDTIDPMDIKQGELGDCYFLSSLSALAEIPQQVQKLFRHQEYQQSGLYGIFMCNNGILQE QVIDDFIPCQKQGGPIFSKANGNELWVLLLEKAYAKIYGSYQKIEQGLAGQALKDLTGAP SEYFIRKAETLKDADLCWEFMEKYDKEKYILTASSETNEQGTEQDNGNGIVSQHCYAILD VQRVIGSDGKPDRIIRIRNPWGRKEWMKDWSDNSSKWTPELRERLQVHRKDDGIFWMSVN DFITEFSQVCVCKFKPDYLYTATPLKVEKSDAVTTKVILMKVYEKSHAFITLTQSDKRFF QKGHQYSLTRLIIGELDAHKKEVLHYSGSAFDNERDIVVEKIFEPGYYALYVEVDWTQNY DRYLAVSCYGSKSVQFTELQFPAGQEKHSIDNIFNGFLRAHERDTDEEKVKDIEPGIRRI SGIVGGYIYYWYQNQTTKKTLHEVLHLSNIQNLEICPPYSNPSKVEIQIKPLSTVMIKYR VTKQGGGSYGYSLKCQTQVIEAKSEQDIIQQAIEQADQKLQRSPLGENIQVFFYLVKFPA GVAFYYENKDQRTYQETISFEVSNLKGVELDISKEVVIEIPPGQSKLIKLQSIDPSQGYS YRYSFMSKLI >CAK83932 pep:novel supercontig:GCA_000165425.1:CT868485:448394:450548:1 gene:GSPATT00018250001 transcript:CAK83932 MIFQYSKELGQKQFQLYIFHIFLNMSKYFWVFLDFQISFTQIQGKGFQKEEEKHAFYYQI NLRTFQILGFVKFVIILYNFSQVIILLWYQFEDQGNQSITFGKLISQLICQIFLIYLISN SIHTIIFNVFFGLIANLNYHFILINYQLIMSSQKNDSKVRSKISTIMMNMNIAIGSLNIG YVLSYLTLSIDTLFANLQITEEERTSKLSLLNGILPLGCVAGVLIGYIIKQKLTNKQCLQ VADVIGIFSLLATISNYQVIVAVRFFLGISNGISSLIMPVYIKSLCPSQYFSQMSMLVGY GINTGLAIGQLMGIGYINYNGPTSNWWRVVFLFPSIICIFRQLIIHFIYNYESPEQLIKR GNYEQAKYVICQIYKLENVEEEYERYTQLAQQQYITKCLKNKNQQPFKSELFLCSYKYGV ALSLVFYYSAQIFSDLSDNDITQKTIYTICLGLSGFLAQFSTIYMVNKIGSKYILMIGSL IIGSLNLAVSVISRHASEGTEFLIFILLLLLIMTFAATLGPVAWSIVPQINDSDGTFIST ELRWSFQAILIFCFPFLEKGIKIFGGFLLFAIINFLYFIYCHFCLIDGRGKTNEQLVAMY HNKYGYSVVPLEKKNTQANLQTETPNTQVKQLVIDPPENQEEGEDHPKNQDEAVEIEQEI >CAK83933 pep:novel supercontig:GCA_000165425.1:CT868485:450812:451517:-1 gene:GSPATT00018251001 transcript:CAK83933 MSQKHKLLSNRKVYSVKNCGQDSPTQTQQNESPITNSLDKSYHNIKLIYDEYLQIIQEQP PITKEIMNLKDKLKLIYKSSLQSSKIEKEMRIFLKILIKITQAITKVSFQWINFIVKVLQ FSKMVQYMQFSCFLFQQGIYFRVLVEEIFPILSRQHLLDDNGQTSGIGKYIWIEGSTYFG DFFGGSFNGFGQMTFVNVKINIINHFI >CAK83934 pep:novel supercontig:GCA_000165425.1:CT868485:453922:456378:1 gene:GSPATT00018252001 transcript:CAK83934 MSQMESILRGGGCGTSKIHPLQSGISKMDNQELQNFFNKFNFYVEKICTKAVVAADQSES QEIMIALQWFNFQEENIYNLNQNAESVAKSYDLILEGIRKLLKSCLIYIRTDSFKCLYIL STTASLSKVIFSFHILKQERFMKCDLQQEFLDISDELRQQIKIEKNDLIQNQLEVYLFLT KTSFEISPNNSNERDEILKGCLSGIIRSIIDMKPSEQLLESLFRGACHIYKLHEVSNNRK QFEVYYQIDMLQWEIISYFKNDKFQNLDEILLEVQQVHENIVKNSNLWKYHYLWVQMIGK ILQFNPLITKKKLSQLINSFNFGLKPDQIWNEYKRKGLLIQMNHRNDQAVIQLNQLQNSQ LSQMDTQILEVFFKEWEMFLLLKDFLINEQYLNNSFTFGSYLKSTLVIEGNELQKSEIIS AINNIKTFQDIMVSKNLLTLIKQNDENLDGVIKILSNFMKNKQYNERTTLKISLQQLKKI IQKLEDNFINTQDIIKIMSLNQGKLIKKSENKNFEEINKLSIKKELLELYILEDQNVNGE DDTMRNLIFEELKNFDFKPYKYSFLESPYKNKSKVVGEVQELIQIYQKEIYKLEINELQN DLLQYFQNLVNNLGQFYKNKCKEIQNTFSDFNLHLKETMIMMYQFEAVPKIAELNRIKQC FADKHFLEFVESLRFKILMLKIKLVASKKSFQLILEKGEPEKLKGLSKLINIDEFLLNVI EDFPQRIMRENVNLNLLPSELCNIETTEEIFEVNLSKQKGIIQYLIFKQSINKKLIEKLG EDLDLIEKEFGDICITDSPSQQSMLKIKKICQDLEPIS >CAK83935 pep:novel supercontig:GCA_000165425.1:CT868485:456403:458635:1 gene:GSPATT00018253001 transcript:CAK83935 MTNQIQREMKLEKEKYENFLPQLKKIEDFRKFIQIQNWDQLIRKTETVIQTLEKFGCPDK EIIKMLINEELTQLKTIISKINYEVQESSKDQVRRVVIQDDKQVGKSENGVFANQIRKKF NFSSNESQRTYLSSIMKVIKLKKLILTEEFVLLHKLLEEVVFFSDTFRQIQEYEKEIQIK FSFRFQCRISEFIQKFEKRMKIFICIWQKPSYLKVQMKQKLMFQIFLIAQNFIYIKKLDQ KDFLIQQIKKIYLEKESEKVDSGDEQTEQFGLLDNMVQRFKDFTNNEQWKIKQGLVFTII QISSNQFSDSMTSFCQKVIIQLWVQEKDQRVRNILKNQGLVSMQMQILQKDWQTQQDRIE GKMQEMLRRIDELQEQIFHEANLSQRDHYLKELDETTEQLDQQIQNISEMGQQLRLITDF VNHIRKGLIRVEGKINEMKEQLKSIGNDVKFLRGKSKMESIEKKPHQGMQNPFMYHYKLR RYLIKVKKKEDKLKQIDDANGEVNEFLLEENETVLLIHGVAGSGKSTTAKKIEEFVWKLH TNNKKIRNQILIPVYISLPSLKNPVFQAVEETLHQDEYGFDELQLRECKEILEKKEFRLL LIMDSYDEMKLENIQKNLYMNNKVKQNWSDPLVIFTTRSEIFTSSNYTFWFAPDNKENLK EIQLQKFNPKQIMEYLKKFTIQSVKMQTFDIYEWRIGYQQF >CAK78282 pep:novel supercontig:GCA_000165425.1:CT868297:3308:4273:-1 gene:GSPATT00039265001 transcript:CAK78282 MQQIDAYTIKCPNSEHAYDVKLICFNESCRVNRLYCIQCVRDGCHVSHHQNQQELPKLFE FIQKIQKECNDLITSLCKQMDLAYQQFHLLIDGIRSKYQKSKQQFLNLNSKQMNSFFAET IQFKSFQQAIDNQIQQSIKQFQNQMQEFTSNLKLAELNYYSISNTYILMSEELYEKGNQS IKLGYQLYQNDKRFEEAIKLFDQALIFNSTHQLSLFSESLWMLSKYNEAIIWADKALEVD PKHCNSLFCKGQILLKLLAQSLRRLSKYNEAIIWADKALEVDPKHCNSLCCKESKDAQHI Q >CAK79599 pep:novel supercontig:GCA_000165425.1:CT868338:77:418:-1 gene:GSPATT00039340001 transcript:CAK79599 MYILQLIYATLECTRAPLLNLILLLISLVISLFQIVLLTKYSNLNIYAQFAINILSVFAK QNDQFMVLQSLVGFILFMENDQKRWHLNILACSSSIGNYHSPCNPNLYIFIKQ >CAK79600 pep:novel supercontig:GCA_000165425.1:CT868338:448:2800:-1 gene:GSPATT00039341001 transcript:CAK79600 MILIIFTNIASNILEFWKCEQTIEYVFLSISLSIDVFLIALTMRNQYQYLIRLLLIIQTS IIFSIFKDPKFLAIMALYQCIMRQIIQAKIILSYQLVFFILIGVLSTKLEVFDIIRTTMV TFFFVGYQIQVFFRQQQSKVVEKQTEQITIKMETEQIVIQHQQPQPSQTQRQGNIPEIIL DDFLSVIQSNKKMNEILTYFNYDDPNNLLQEIKIINIDSVSERKLGKWREDTLMSLLKKI ISRRSEIQVQVLEYNHSLFQKYLILVHYKNQFEIQFIQVNERDTFIKKKYLSQILYQLFK TFSHEFGTLLNYLLALSQVAIDRFPNQVGFFQPIKSTGQIMHHFVQDMVDYSDILNKKFQ LQFGLVDVEDLLQEGLIITYQIMLEEKAKFFDGRRCKQVLSNLIANAQKFTVSGGIKITV TEQSGFTIFSVEDSGFGMTQETFDNLNQTLETEFKSEQKISQHTAGFGLGCYLSQQIALK LSNLPVTAGGGLKYVRLEKGIRVSFRVKNQPFEIFYTSCDISSAKSGILFNENGYFDSRQ SVIDLQLTNRHKPADNHLLLTLTKKSSLLSASFSPNQIIDCVEPELKQDNEIIERIRQRI ITRKREGQKQSPTFGASVADIASVKTIREQPHILIVDDEMINIISLKILLSQFNIKCTSA FNGLEAVNKIKESNEKFNVIFMDVNMPIMDGFQATEQILKFDNDNTIVACTAFSDVETKT KCYSVGMKYYINKPVTMFELLKLLNHLNLIIQ >CAK79601 pep:novel supercontig:GCA_000165425.1:CT868338:3043:3324:1 gene:GSPATT00039342001 transcript:CAK79601 MSYQYILIRQMCNFVFILRESKYHLVEYSFLKFTTTNIGQDKKILPLHIRFSPTLFYVNL LKVNSFWICFVLFETLFDLIGTQYSNFYKQRYR >CAK79602 pep:novel supercontig:GCA_000165425.1:CT868338:3375:4880:-1 gene:GSPATT00039343001 transcript:CAK79602 KQQFLFRKWFKKQEQKSEDMQEQVLAPQEPTYMAQVLLLKLISQASEKAFQAFISKVIHF MGGSGLIWQNIRKAFWPRALGFESLEAQRQIVQLTLELCEYLNAQKQKDIIQLEKDLNRQ KYNKRTQDAIRSTIRGFINQSVSNCYIQGMDSISYILLEAFNYDSELSTICLNEIYKKRI VNLPPEEEQVEISEYFGQKLQEKILLYNWTLQYFDPGLSNHLKETDFKCETHIVSWFSTF YAREFNLENVMKIYDYFLISDESFEILLACQIMLELKSTFEIKDSEGMLSCLKNLQNNIQ LDNCLQNSLKFSTQLHKTFFILTHQDEEICNQMKEENEYLQERPWEHPLTFKQLQEQQTF SISIHDFQQLLREQKQSFNVLSLDMRSAKDYEQACITGSLFAYYDKKKINNIQLLNYFEL RGLVEEQSIYYIVVICDTDKQNVKEIMDYLLKQRIKRLVHLKGGIQAALLDAQDIIKVKQ KAVLPPWIKSQIDELKKKNIQ >CAK85066 pep:novel supercontig:GCA_000165425.1:CT868536:994:1308:1 gene:GSPATT00039717001 transcript:CAK85066 MMHVELYPQTSNLSFMLQVQSKDVYPNYHIWIKFCTFSQYVDCCSIDFALNLKKFINQIH IVQSQCSLMFRLTQKSNFTALIHYHYSGKIINITCNLEKVVHIK >CAK85068 pep:novel supercontig:GCA_000165425.1:CT868538:2:567:1 gene:GSPATT00039719001 transcript:CAK85068 KQKGIYKILLILQFILSQNCQGGGVSEVLAKTIAAPIEKVKLKLFIDPNRITTSYTSNQI FRLQLGILDCFKRVFVYEGVLCLQRIGHSHRMLPYISYQLFYNSQVVLIPKKDQAGFNYK QLDSLLVDCHVVVLQEVLDYQLRIILISVEQDQQQISEREQMKENLKDW >CAK85069 pep:novel supercontig:GCA_000165425.1:CT868538:595:1329:1 gene:GSPATT00039720001 transcript:CAK85069 MVSQQSIKDLASTKFKCLVFKNTLSKTRGIIQQMPLCIKLYINQSQIMLKKMQEKFCIKV QDLLLLQLKFHFGTKIQDSPFNLQYIIYSIDWIKSSIESDETLKPLITISDYTHSANQSK SQIFCNKQVSINVIATYQVIINKDVKRHYNDGIVNQMKKQIFNSFFKSRYFQQIQASVII NQCLVTMNLPKQRLIPNIKIFHNYKKINLMNLWPSSDQHYKCTFNQFQIKIMTRMSQHIA SQVS >CAK62587 pep:novel supercontig:GCA_000165425.1:CT868020:2127:4182:-1 gene:GSPATT00032438001 transcript:CAK62587 MINNGIFRPKRLPTATTRIKSSSKPSIDSLMFNNIQKQSVMCDSQYFKTSRKTTRLQTSL QLPSKDALFELPLYSNDEETKESKNKDVYYHTKRKLFSNKYSTTMPTHMSTQQSIIKSQP LIQSVTKRTTKTNNSVTKRVTKSQTIEVVNQQQVLQPNPININELFSQIQQIRQPPQLLQ CDLDNFRATKKILQINPEEIKALYDELAHLDVKFMKMTTDTEVFIHNLYADKNKFLKIKD NLLTEQELIFLTDQLKSKRELLEQGQPFDGINFDEIDKIVQKLIFFERFTKSTRINLVKL GQYIEVPPGEYVFHQGDFGDNLFVILSGSVVVKIEKKFDQFGPVIEQVVSSLYDGQHFGE LAMMETTQKGSEEVLEEKLENINVKSLKEVKEQLIKQQLKDLGHQDEAFQKQKIKELQRQ NTNIINLVKEDNVKEKHYIMNLANDDELGHSSGLTKSNNIQYKKVEKVQQRKASIQASET CHLLAISRENFKNILMVLMQDELEQKIKMLKSLRFFKQIQPFVLIPLANQLIPQRFHLDE VLVKEGDLLEYMYIIYKGSCNVIRTINTDRIKLPEYFRNRQHRKSYRYYKEHPIKITRNQ PEDYLSCLTQDGDITYKIPDYAVCNSNNGYIQYQKSYIYKKLYPGDIIFGRVLTGLRLEG DKVHLVLQLFIKLA >CAK62588 pep:novel supercontig:GCA_000165425.1:CT868020:4258:5472:-1 gene:GSPATT00032439001 transcript:CAK62588 MPLEAAVVVLDNSEFSRNGDLEPSRWNAQQEAIELYINVVIDSNMESGMGLILGGGKQVR LLMTPTNDRDLIQGQFHKTRLEGNLKFSVAIQQAQLALKHRINKQQHQRIVAFVASPIEE EVDTLVNLAKRLKKNNIAIDLINFGEQNEDHLKKLKTFFDNVQKGQSSKYINILPGMSAT ETLFSSLGNNSDFQAEPGQEQQAPQQRTGGQFSEYGGIDPNLDPELAMIMKMSLEEEAQR LQQQLTQQSQPQQQQQQPQQQQQQQQPQQTQQQKEKIQEEKVENGIEEENDELLEQARLL SMQEEQPEQPEQPKQPNQNAQPQDQNTQNQLFQDQDFLDELLDDVNKDEEQEGLLKKDND KSKKD >CAK62589 pep:novel supercontig:GCA_000165425.1:CT868020:9650:10639:-1 gene:GSPATT00032441001 transcript:CAK62589 MFVRLNNNLKIVCSYRLIVQLYNMIQYLKLGNFSNLSHFYKSTANLDLMIILKMSYLQSR NHIVHNVSAIYKLYKNNYLMNLLFSITLILHLLMNRIRIYSQRNRYNSIDESKFKSTTYR PIQEKNLQLINKNTLILYINRICKYIQELQQYKNEGYIRNILNKIFTSTSNFQDIQEIFS IPVVHLNRDILLNRTIHFINTLQIKPSQQTLYLQNFMKWFAYFDELLYSEMEKIHEHYLC GIQSKIVDQIKLNKLKQVELKRTLNPKKKEIIQYINQFSIKPIHKQFSSVQSIEQYENKF GEFDLISSYSRNYAKQLDKINLDLNQYYQ >CAK62590 pep:novel supercontig:GCA_000165425.1:CT868020:10919:12569:-1 gene:GSPATT00032442001 transcript:CAK62590 MYDHQFQYWPIFQENDQTKAFWNKKLQLNITQSIISQFTMNRITWKCSKPTEYQFLLNHE GLLFYQHHNKTKGYIQLNHDISLKIIDLKISNKKSDLIKIYSFIFGIMISKIINYLFSRK STFQFFKNLSQFSFIQNLDQVYTFSDVIAKGGFSKVIQLTPNIRLPNQPLNYAGKIYYRE ELIQKKDSQKFHNFIQSECYVLRKLNSPYIIKLFEIIQLDEMLILVTEYIKGGSLYQYLK DKKKLTEVESTQILLKLTIALQCVHGIGYVHRDIKLENVLIDKDNLKLIDFGFTEKISRD RLQNGQGTAGYIAPEVFLRQPYLEVGDVFSLGIIFYSMLCGRAPFRSNTYDGLLKLNKEC QIDFSESKFLSVSQKSIYLLKAMLQKQPENRITVQDLLAQLQAQTLVSHFGNFVSTQSIV EGSVGMSFNYLQSKNTLKSFYQQSQNSVSEHSSQLSEYKQKKRRFKSQSMDKKGHFLKQS SFKPSLKNIYQMRIEQSNEDNLSSDNQEQPIQEQNLYFLQFSYYQLNRQKFV >CAK62591 pep:novel supercontig:GCA_000165425.1:CT868020:12673:13556:1 gene:GSPATT00032443001 transcript:CAK62591 MGICQSTHIQYLEDLKIRHAPILYVKKSPVLGMHKIYLENLPTLDEFSHEDDQIRRAQFS YNDDIIYNGQWNGNKRNGLGVLVWKDGTYYEGSWKADEIDGNGKFVSNNLVIEGQWKNNQ LNGYGIYKVDDLYKYEGYWCDDQKIGIGKEYYDDESQYIGNFDQNVKSGIGIYIWNDGSK YEGMFKQDCLNGMGELRWENGSWYKGEFVNNYFHGQGCFQWSDGRRYEGNYVYDYKNGYG KYFWENNQKYEGYWKNDKWNGHGTLTKSNCIIEGEWIEGKLQKVK >CAK62592 pep:novel supercontig:GCA_000165425.1:CT868020:13573:15156:1 gene:GSPATT00032444001 transcript:CAK62592 MQYKSYIDPFLNKQINNQKPYLNRSPSFHNPIQNCIQYLASLLAILCKSISEQKLKLSID QESQTKLNNIGQSQWEKIGSKLPKRVQLQYREIIKPKQFEINLSQPRIHSPNANQRFNRS FYMGLQEINSSSQKRPLLNLTSTVIMIQDSTQATSELQTQKIQQKFRYNFIFHYIIGLGG FGNVWKVESKKTRMIYAMKELKKTKILAKKSVKSVMNEKQLLQKLKNPFIINMVGSFQDR DHLYLILDYLSGGDLRYHLLLNKTFREEQIKFFVACIILGLEYINSYQVIHRDLKPENLV LDCKGYLKITDFGIARYYKSENSNETSGTPGYMAPEIILKQNYNYCVDYYAIGVICYEMI TGKRPYLGRTKKEIRDEMLGKQAQLNAKEYLQYSFNLIEFTNKLLVRKQQNRLGYQNGIK ELKNHKLFQSFQWEKLINKTMIAPYQPKQEDRNRPCQKTSDSQQTIFNEQLKQLKFKEIQ MLFDGYTYQTEDKII >CAK62593 pep:novel supercontig:GCA_000165425.1:CT868020:15199:16635:-1 gene:GSPATT00032445001 transcript:CAK62593 MKYLKMIDIFGVQYKPQISLDEKEQKSILSGICSILVLVASFSYFIYVMNEWWSSKILPN STNLMKVQNYSQIFYNEDALFEFCYWKYSNEQVDPFRFQRNILTPIGIYFINGIPQKPFS LLDQSQTISPYNTSLLRVDNLSLVQNSGFDNDLNDTTELMIVITSCNATLFDIGYECASD EEIKEFFEKSVNYISFWLNLKQYDPYSQKFQAVKKQYYLTFDSQISHQGQLILTQTQATI DTGILFSSSESKSFIYNAQLITSATTNQFWSTLLVKNSYLNLFIRLDPMSIDTQIVYPKL GEILAQVGSIMSILMTIQYLLNYYNEQLLDCDLVDKVLGFYFLDYIELKKSKDKKDLKIC KELIAQAKKKLVYTNIIYELSRIQLFLINHFGRNQLYHTHQYGIQAKEEIKSSNCGQVFD NSITMIKVDVNRGQIEWHFSKEDFNLLSRGKANMFKNNEDLVRVYQEVDSASFQSIQL >CAK62594 pep:novel supercontig:GCA_000165425.1:CT868020:16727:18076:1 gene:GSPATT00032446001 transcript:CAK62594 MTQREETPYFRECQKLGGIQQKDIYLSPTKAATYLYLLNHVRIMKVLPCNSAKRRVCKWD TTIEQDGPATCRILVPVQKREKCINGWQNVGYEVFYQNKDTIADQKQLNLDYENDEIQQQ LENNERMLTNDFKYQMKNLAKFYKELNQKYRLNVQDPDFKERVQIAKEQLQRQRNKPLQY FKKDGVKFVELLQELIQADKRQPTLLERFKAFNQLKASQFRIKEKLPEKLYNKTTNDEDP TPRSRNKLLKKSCKFIGQTSRNVTDTLKMKFQLKDKSYHMSKQFDNYTPNLLKVQSQSSI SFHKKNKTDNEEYLDRQLEKFASRMIQPQFNVQEINTENINKLIESKSNLKQLCLKKIQS KTLIAQSEHRSKTERQESDCNVFTSRSRDKDSTKASIIFKRSQLLTSFTNKSDCIK >CAK62595 pep:novel supercontig:GCA_000165425.1:CT868020:18159:19077:1 gene:GSPATT00032447001 transcript:CAK62595 MYNSRSQVSYPQASPSSGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDAFNEFQ EQIFTKLNGLRDQLGKLQKQVEEDKLAKEQANETKNREVQALEKKFENAIQNETQTRKEG ETKVLRLLEDKTALLRTEVQKETAARVDSIEGIHQGLQNDLPKIQEAIRNEANERDESDQ NVMKSITDELVKLSNLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKKTREQSEEHL LSLLEDTCNKLSIAANL >CAK62596 pep:novel supercontig:GCA_000165425.1:CT868020:19082:19561:1 gene:GSPATT00032448001 transcript:CAK62596 MQYHQFDKNLSPLQRLTLFHDGSMTKFLELYFAENVQTEVIKESSQFDDDYLYDWKQFIP DAQIQREVILKNQSQGNLIYARSLWTKLNYDKIMQGNKNTAIGKNLEKKQISQRRQILIA CQTKLPKSSNLPIRDVLSRAYLIYQEDDLLMYIEEYMLF >CAK62597 pep:novel supercontig:GCA_000165425.1:CT868020:19574:20302:1 gene:GSPATT00032449001 transcript:CAK62597 MFQQHNNTISTSRSNSSINQTPRRLIRVGTPSGIDNASAMKKNEEFNKQIELYKQRESHY KKIIFAKDQEISMMKSHIQQLEENINQNNLSNQIQKQIQDLTQQVQILLESYNQRIIEIE NLKKSQGHDHTELERQVLQLLRENSQLMNSLTVSHTQQDALSKKNSLQNISKIHPQETSW KQRFIKLNKEYYELQEKHAFLTAELENNRSNFRSPSLESFEAIIRQ >CAK62598 pep:novel supercontig:GCA_000165425.1:CT868020:20542:22211:-1 gene:GSPATT00032450001 transcript:CAK62598 MIQSTLHDRCVYVTQYKSETTLEQLKQAFSEFGKIEQLQQNAKSNGVFIVFDSHDAVKKA TSKTVTIEGKNLDVNEYLDMDKLDEEANIFIDGLSPTTTQLQVKEKLQKYGKILNIKVQM SDKAGVAYIQFEQRKSAEQCLADQANLGLHITRAIKKGKLQQIKRDQLFISNIKSQQPLD QIKPLLDNYFSEYGKIESIQVNVNPKNQTYFAFVRFENSEDAYKAVKASKTFQNQTITIQ WAVNSNDNIEANLYTKNLKPTVTEQQLREAYESIVVLDDIQLLPPNKSNKLTAYIYLKSA EDGKKVIDLAVKTKKIFDLYDKLTVQISPMLSPAEIIQFKKTQQKPAFQPYPQPIPFPYQ QPFQGYPNYQNPPYGAYPQQPPQYPTGYPPQQPPYQPGFTQQQGNYNPHRPKRNQYSNQQ SNQYHNQQRPHQPRMPDLQFLQSLQAQGNEKKITAIVGAILFPLVNQQVEQKLAPQVTGI LLDFENYGLEDQFKMLQDATYLNEQINQAVDLIRKSY >CAK62599 pep:novel supercontig:GCA_000165425.1:CT868020:22257:22986:1 gene:GSPATT00032451001 transcript:CAK62599 METKCKRNGCKKAYNENENNDQACRHHPGKPIFSDLKKGWTCCNKIVYDWDEFMKIEPCA VGRHTNVEATEGSEFYQSKTVENAQKGIDNFANNAPQPVRKIDDYNKEEAEKKKLLEQQQ AQVQKQIFVTPAGKHKCTNKGCLKEYDPKENTECFYHPGEPCFHDLKKFWTCCKVEKYDW DEFMKIPTCAKGSHTPKVV >CAK62600 pep:novel supercontig:GCA_000165425.1:CT868020:23020:24712:1 gene:GSPATT00032452001 transcript:CAK62600 MRTNQGSNNDLLNRNNQGRREIDQLISTSPNGWKDPTVQNTSGYVSREKYNKLKDLNSKL KSTLKEYIQDTKDKERQLQMKEEQLIKYEKERVDSQNKGNEELKTQLNELKIKLQKKKTK IRLLKEQSKTIDSRLDDKQSNYQVEFDRVQRMYDSQLNEIKEQEKRYLILKDENNILKQA LQSKEDQCRYFEMGAQDDRQHLQLLEQKIKELQEEKKILQIAIDNQTTKIDETEKFIKLN DSRHQQEIIKIESEKQQLQNEYLSQITQKKDKGKSFQLQIQLLEQQLAQQQKEELSFSKI VQGQKQDYLRLQSQLEDQKYKTDQALKETSFKAQEVKELKLKLESQKSIVQTYEDKLAQY DIQYQLDQNEKQQMLFDINQIDQENKKLQHLLYESDKDISYLKQQHEDDLRQIERKIESL IRQLNESKEEIQEQKKLIQELKKQIISSDEQANVFKNKYLKVKQNQKTLQSEQKYLEEKV KLIESERIFEEKEALKTKDYAIQQRSVQQSKIRVLDEIQSMIKQHKKITS >CAK62601 pep:novel supercontig:GCA_000165425.1:CT868020:24898:25628:-1 gene:GSPATT00032453001 transcript:CAK62601 MENKVILGYWAIRGLAQPLRFFLEYIELPYEDKRYTNPEEWFGKDDQTFNSPFTNLPYLK DGDHTVFESDAIYYYLAHKVNKPELLGKDAKQQTMVATIRGSVGDFRASFFQWVYGGKET AEAKKEAMLKETGEFFKIYNTTLESSTWLTGDTITYVDFLVWEYVDEFLVLAPEVITSKP KLVEFHKRISEFPQIKKYLESPNYIKRPYNWEIQAVFY >CAK62602 pep:novel supercontig:GCA_000165425.1:CT868020:26299:27682:1 gene:GSPATT00032454001 transcript:CAK62602 MKYVKMADIFGVQLKQEIHQDEKLQKSVFGGVLSIIVTSVSLGYFIYIMDQWRNSNILPK STNIIKAENYSSIQFTNDNLFEFCYWRYQEGVIDPFRTTDNILMPIGMYIIDGIPQTPFS MLSNITTLSAYNSNLIYVENLNIIQNSGFNPQLNQTKELLIIITKCNQYLLSVNQTCASD TDIENFFIQQTNMLSFWINTKYSMQAQQIQIILKQQKTRIDSGILFENYEENDFVFDAQF LMTTLQIDFFKKMINMDAYITFTIRLDPFSYDTQVVYPKLGEILAQVGSIVSMIMIVQYV AYFYNEYLLQNSLVEAVLKSLVLNYDSLKNSQEKSKKITYNNIQKLAKQKLLFVNIINEL SRIELFLLSLYDIKISDSQ >CAK62603 pep:novel supercontig:GCA_000165425.1:CT868020:27804:28530:-1 gene:GSPATT00032455001 transcript:CAK62603 MENKVILGYWPIRGLAQPLRFFLEYIELPYEDKRYNDPQEWFGKDDQTFNSPFTNLPYLK DGDHTVFESDAIYHYLAHKVNKPELLGKDAKQQTMVATIRGAIGDLKASFFTWAYGNKET AEAKKEDMLKEAGEFFRAFNTTLERSTWLTGDTITYIDFVLWEFVDEFLVLAPEVITSKP KLVEFHNRISEFPQIKKYLESPNYIKRPFNSPPFAVFF >CAK62604 pep:novel supercontig:GCA_000165425.1:CT868020:28844:29356:1 gene:GSPATT00032456001 transcript:CAK62604 MNKQFNKTSPPEIFLTIQTKQAQQEDSLDQIKQVRSVSLEKELAQLNDEQETYDMINEIE YKMLNFRSDQRRERAEIESTKSQYKLLEIVAQSKIKQLETSLKFSVEALENKFIREQGTT NGYVEYIQQSISQQKKEDASINHYIKLLEKRVAALEFELGIENVNNQQGQ >CAK62605 pep:novel supercontig:GCA_000165425.1:CT868020:29422:30050:1 gene:GSPATT00032457001 transcript:CAK62605 MQIEFDQYLSLLSYLDEETNIKIRKQYLQIENNLSKPSKQKESQRKLLKSLIQIFFLIVK TQLIFMYVIMCGTQSQNCLANLQWMVDNKQKIQKINISLKEMNQNEDDVLVKLVINTYQK KLSQKCNKSTINCQNLQEIGGFINQTPQYKGPWVVNVEFQFMKTMLNHRKNWQIVASEMK NQRTENSANIYKFKFQKA >CAK62606 pep:novel supercontig:GCA_000165425.1:CT868020:30820:32054:1 gene:GSPATT00032458001 transcript:CAK62606 MIINQLHNLINFLKKLGEGSFGFIWKVNHIETGRLYACKLVKNSMKKEKTLLQRLIKILH LLQGKKGNKQSKIGFTQIITSGQDHKNTYFRMNLLGDNLEQVRTKFGNFNTATILNTGQQ MILLLKELHNAHIIAQRYQVIKSEKFVVHQEKLHLIDFGLSISEGKHLEFQENKVMIGKA RCASIYALKGYEQSRRDELESVVIYQFIYSMELYHGTILKIQRMKDIFKPETCINLPLEL LKYIKYVKQLEFDQNPDYEYLENLLKKGEDFTRSPKLQIQQNQSQVNPNLLNLWVLRRNR QQDNTSRRIKLEDLSSTGADIMEELDKDEGIQLQNLSVDIKQPKILNKTRTEQGQIFGFE AKLKTNQLPISLQQSLRYLQQFL >CAK62607 pep:novel supercontig:GCA_000165425.1:CT868020:32088:33039:1 gene:GSPATT00032459001 transcript:CAK62607 MNSSIINIGVYQILLNRLIGQGWHSTTYQCINIQQMQKQLVAKIYQSQTVPNDAEIIKLK KLNHANVVQIYDVYQNEKDVIIIMEKCQSSLRNEMKFQKEYDEMELLAVLSQILKGYNYI LDQGLEINELKPTNIMIDDNEIIKVSNYGMSNLYKHSDVEVRPYAAPEIFFSNKQTDAKN IYSLGLIIYQLVFHQLPFSLKQNGDVIAFLQRIKKSKLQIPQNKFQKITEIISQMIIYDY QERINREKLGELLIQNFKRGTNCCKG >CAK62608 pep:novel supercontig:GCA_000165425.1:CT868020:33039:34983:1 gene:GSPATT00032460001 transcript:CAK62608 MAHQRNEMKIGNYSFDAQKDLIVEGKRGPILRCKDNSGQVYCLKVIRKLGDNYRNEIEAY KRLKQEKEKHFNTLRVYELQEDNDNFYIFTELCEATFDQYLSQRWKNMMLNFDEIQDFLG QIVKGYQYLRKLKINVRDLTPKTILVQKLINDRMILKVNFHLIQISDYCIYDNGRIDPQA RTPIFQSPELFNFNSMKIKLSDLCDIYALGVILYMMCFKGDNITNVEDFSNLEKFHEDLK AKNAFQCPKNAYCQKELLSLISQMIVYNPEDRIKWEILEQRYPPHFFILSDLYFVNIKQV LGSGAQGSTYIAQDLRTNEQLVCKIIPNGKEGTLREKQIVDQIKKVKANENVIKIAYTQT RHQDTCIIMEKCDESLQNYLKGKSDSQRQLENKEIIEILYQIVQGYCFLKQIGVIHRDLK PDNILIKKIQGRNVIKIIDFGVGKIIGKEVTFTEAGTPLFAAPEVLKQGKAYDYQCDIFS LGVILHYLAFLRMFKDINNRRELLEFHNSLETRPFYCEQHQLQNPLITELINKMIVYDPK KRITWEQLQVHSIFDELRNIPPIVRFTETYKYIFALYNLASRVLGQLEENEKDNIMLQDS NYPA >CAK62609 pep:novel supercontig:GCA_000165425.1:CT868020:35130:35456:1 gene:GSPATT00032461001 transcript:CAK62609 MKDVQALNQNIAKGLEFLNMLKEIVKKENTTFLDIHYYFHQFFQLVRILILKSNLTSKAK YSLLKLKNVFQEYPVHNLEAFIETKMTLKILETSQMENYIDVELKQIQ >CAK62610 pep:novel supercontig:GCA_000165425.1:CT868020:35525:37490:1 gene:GSPATT00032462001 transcript:CAK62610 MQRSPSSQLSINQLTNSLLECILKEQHGSIKFDIIKKFQENINNSEIHQFIFQLCYIYYV TGNNHLEEFLKKYGLMNSSNYYRLEWTLKALSEDSKCFPIPDYFAKFFTLMKHEYVNKGL TNTNSREDYFQDMYLIKEARANYQDRQDQLIFNLIEQSLRLKSIEIEERKQQMIAFLKKI NQNINYLWQITSQGGITIPFNHGLIDSYLVVHILDDEFTCFNTAKRVPYKIIVETIDPSE LELIKKAVEIYQNSSDIQELNPFYDIEKEMKSIAQLKILQQYDIDELKKLALKKVEFHLN PENQKIRRKPRDKDIYASSTNCTSIWGEDWNETQSRIRKSSQFGHLKTYQIRQIIIKGGD DLRNELLIMQMIKKIKEIFEKHKLDIFLRPYDIILTSPNSGILEFIPNTVSIDKIKRDYQ DYTLKQFYENFFDCFSEAQTNFLQSLAGYSLICYLFQLKDRHNGNILIDNQGHIIHIDFG FVLTLTPGNLGFETAPFKLIEEYEELLDGKGSYFHNYFQTLIFKGLMALQQEIDEILNFV KIMNFSPKHNLLVSLEKFKIEDFRKRFMEKCSLEQLYENVQNIVKESSNSWTTTLYDYFQ FKTNTIYY >CAK62611 pep:novel supercontig:GCA_000165425.1:CT868020:37507:38613:1 gene:GSPATT00032463001 transcript:CAK62611 MKQPEYKCTEKLWKPIVRPPRHNYRLKDMGNEIFMVQDTVVKRIDFEMVNSRGLTLQCSL FEPVRMQDKPHCCMIYLHGNSSSRVESLTILEYLLPQNISVCGIDLSGSGQSQGEYISLG FYESRDVVDLYNYLRSNKPFITQIGLWGRSMGSVTAIIAASLNSNFKMLVCDSPFSNLTH LCQELASNTYSIPGCCFNCFWCFVKSKIRKEAKFNIDDLNIIQIIQTLPTDVSIVFLSAK GDTLIREKHPKVLSEKFRGIKELIQFEGTHNSKRPIEVMKATVQFIVAFMEKNDQHSCLK DTKVSLNCKDQIPITDVVVPLLYSERPYISKTEK >CAK62612 pep:novel supercontig:GCA_000165425.1:CT868020:39291:42271:-1 gene:GSPATT00032464001 transcript:CAK62612 MEIKLQVDNLIKPIKEIIQNIDQVNSQILQSHTQQFALSIIEFVFLGNCCPCTLTPVEYK TILIQYVQQLRKHYQNNKESTACQIVSKLLKQRQEHGDREQEGFMNSDEEETRLVKQISF QQNIQDYSNDADLEKHKVVLQQKDYEIATLKVKLQLKEQKLLDLASGYLKDLQNMREQMY KKSDDFDYYEVSYFDVTDIEDPRYRDLVNNKIANLKSQYETKTREIYLFVQKQKNEVQNL RQTVGNFEQKMKLMENVEYLMNRVFALEQDPYKIWRYIQDIKGNDFFHDVFEKQKPASGI RYREVNKLLMVTKAYDRELEYFKKGIEDQMYIYLERACGIINLQNQDLLDEIEELKKEAE RVNQFEGRVNQQIMQSFEQFKKQLKQHQEEYMDMKSKELQQLQKIQLKYATRLWYIVSQI KKGRDIKELILYAYQNDYEKLTSQQFLEVENNYLKSMIAIKASQEEIVELNNKLEQMGLS NEEFKTQNSQMKVIIQDLKAKIKNHQKCLQQTIKHIDSKINPEQLNLEDNNRETTISFKD KLKQLRQQHNGLKFIQEVNEQYQKNNLALDFLSTSYENKAIQTMIGNVNKSLAEAIEIHE THSNKENIHEDLGCVKKESHDEECQTDLIDVEELIKQTAELKQQIKNLAQDIDDMEGEGV AQQHYKLSNQQNQSFDNYSSQKSGNLKRRYVQANEFKDSNPNYQNDLKVYNEKNNGYTLG QPNQLIILHQQQSFERIAQTQTLQASKHQQKGVFSRLFEDSKKKSERIQKIKNNLELLEQ EHWQQVAELVKENQDIMNAFKDTISTSKHFYQKQLKRSLEIEQKYFPQKHNRAKFYFKKF KDFHVHQQELNEIFFDPNSSDEKTNEIQRLNIKSVVDNRKLNGQIRFNGLKREEYQFFQS LERKKLQSRTFRSTQQSPKQKKVQYVSFNKPFIGSLTSNETNDFNNQQIVKHLQKVYDLG >CAK62613 pep:novel supercontig:GCA_000165425.1:CT868020:42316:43250:-1 gene:GSPATT00032465001 transcript:CAK62613 MNDVHCHLCIQLVEELHFKRNSVMHIKSQPFFFVSKPYQSPDCYPNRLDSRSSQVDVLKV FYLEQLVSDLRKDNQQLFDQMEEMRVKNFEKENEYINQLKDLQEQILDVQALNKNYEYQV QFLQMKISYLEQNHRPQDLQLTNSTDLFNSKLQSESEESNQQIRSLNNILEQKNIIIKEY DEMRNKFKLTQIEWSTKIEQLNIEIRNLNKQITKLELQLINQQKQVSLYQNESQLWRSKF LQLNKNYNKSSEKLVLTNVELDTAKKEIQQINELRNKRRSVGSIV >CAK62614 pep:novel supercontig:GCA_000165425.1:CT868020:43257:45493:-1 gene:GSPATT00032466001 transcript:CAK62614 MQLFIITILVLLVNGDIKQLDIRENLVCKQLILKGELKCQEVHADRIVTEEIDIPTEFSS DVLELNSLSPFRSDTRASAFIEEPKEDTIFISANFQEIYRDDEDESTDHRTIVTDFIQIH GQSQWIPVIVDEQNVGWKKESKNLQNDHEKLRQILKQNSYFSYKHEHFKRSQLDKYKYER NDNNRIYGKWNDELIFQYNDLPQNHTYVQVTANFVMLTDFWRFGTRMIMRVDGETVWMQS HHQNLKICLYLEHLLSGIQSNRNLQTEFNEMLYQEWTLPINLSVKHIRHKLHIEFGLILG VKIQLQDSICRISVHLVNSCYFRNQNPDSHCLVLTILHYIINELNSLLFALVLLTIAVND NQTKQKSIEEQHPSLFRALKNMALLQEQSQQLDYSRLINAINDLESEIAQNKEDENASFN KDFLSNNADQEFYENQITQYQVEVAQHEVDLADLTEARNSLQSLLNDAIKEQQDVTLLRN QLDQQIKTDAANTAAQLQEYDDSAAAIDEALNLLTSLKNAESLAQVNDKLKTHLSKQKVF FQPLIVALTDIAQSNFANQELISKVTNLLNQLRTSLLESRLQLVVSSQGLETLNKELLGT YDDKLNVLSTVVLPDLRENIEIKDAEIKAKSNLLNDAKSNLQDAQDNLKATQDAWIVRAN LNSKLVQQYDNDLSLIQQVKQSFDRAGIKQ >CAK62615 pep:novel supercontig:GCA_000165425.1:CT868020:45669:46553:-1 gene:GSPATT00032467001 transcript:CAK62615 MSKNKTNGRGRPQKPKEDEQEISLDNNYIATNELALKLMEIFSQFATYRLLTITGPNSER NNDETKLRRKNVEKVQSKLREQLKIQEDYTFFLRDLDNCTLQYDITNDQLVDVNKYQLNK EEFKNLKIQDSVLKSIELVSNQLNPELQSFLNEFVKQYSQKYDQEDEFKKGFLNYILTNQ HKYQEVINWNKLYDMAYNEKLELLKLQIVTFKGKYKVNDQNRTKKYKVDEKRESQLKQDQ SQIEKIENYKGNHKSLELFINDIVDTLKQYWIQNDQEQET >CAK62616 pep:novel supercontig:GCA_000165425.1:CT868020:46651:47462:-1 gene:GSPATT00032468001 transcript:CAK62616 MGNNLCHQTGERSSQFPQQLLKQDMGNCEIVLTAGHVENEDVECLVIPTDSEYSNIKQSD KPTFVQLNGKCVFVSARKKQKNQILAVVIDKEMVLNSDKSDDEKQTIYQAVQEALKIAEQ KQMKSIGFPVFESKDSTTSATIMLMAIKLSVTEQKIKSLKRIVITLDHIEQVSSFKWVFQ QVFRGNQQMAHSRTYSNSIMDQMESISIDVVKPKQKSATNEEKP >CAK62617 pep:novel supercontig:GCA_000165425.1:CT868020:47956:48531:-1 gene:GSPATT00032469001 transcript:CAK62617 MQNLGLTTELSYEQQHELYNQQIADNKQLSSAMAYLLMDLCEEGDNDANSIQELEAFNSS KIPSISIYDYLCRILKQAQCSQECLIMGLIFIDKLSQKQGRIILKSINVHRLYVVAVMLS AKFYDDRFFQNSYYAKVAGISHEEFNHLERVLVFLLDFKLRIDPLLYFTYRQRIITNYFD NPD >CAK62618 pep:novel supercontig:GCA_000165425.1:CT868020:49250:49979:1 gene:GSPATT00032470001 transcript:CAK62618 MHKIRVQRVKEVEYQRIKNQYLLIKKNILWQTFKNQRAFQKQEGIFQNSKKLLAKKTSKG VRYWKEVGLGFKVPKDAIEGNYIDKKCPFTGNVSVRGAILKGIVISTKMTRTIIIRRDYL HYVAKYNRYEKRHRNVPVHISPAFGPVKEGDIVVCGQCRPLSKTVRFNVLKVIPNEIIGN VRKQFVLF >CAK62619 pep:novel supercontig:GCA_000165425.1:CT868020:49995:52982:1 gene:GSPATT00032471001 transcript:CAK62619 MDDMNNYDEFGNYIGPEIDSEEEDNVQIEEEQMAENDQEHVIANRVYIPNQQVAVILNED KQYYPDAQQVYGRDVEINVQEEDAQPITQPMIAPLKSKEFDIQETQIPQTTFDYEFLCRI SKNPALVRNVAIVGGLHHGKTSMMDVFVKQTHLKQFSLQKDIRYTDTRQDEQQRLISIKA IPMSLLLPNSKDKSYLINLYDTPGHVNFMDEVCCALRASDAMLLIIDVIEGVMMTTEMLI KAAVKEKMPIVVVINKIDRLIIELKLPPSDAYLKIKNILDEVNIIISDNGGNQIISPLNH NVVFGSGLFQFVFTIQSFARRYNNFLNPEQFTRLLWGDIYYDNKEKKFVRHLLSLFQNPY ISYLGKWFLRIRNNLEPFLLSQGISLKKSEFKMDTRPLLKLVCSIYFGNTSSLVDVLVEQ VPNSQEGSKRKMELYYQGDKSKQSFIQASQGSHKGPLCINVVKLYSRPDCMSFDALGRVV SGTIKKGQNVKLLGEKYNIDDEEDMAIRNIKNIYIYQGRYRVEVNKVPAGNWVLIEGIDQ FISKSATITEDSQQMDILRPIQHNILATMKIAIEPLVPSELPKMLEGLRKVTKSYPILTT KVEESGEHILLGTGELYLDCVMHDLRKMYSEIEIKVSDPSVRFCETVIETSSKTCYADTP NKKNRIKALATPLDKGLTPQDKGLAERIENEEIDLSWPKNKLTEYFKSNFNWDIIQSRSV WAFGPEKTGPNVFLDDTLPSETNKQLLTEVKDYMIQGFQWATREGPLCDEPIRNVKFKII EANIANEPIYRGGGQIIPTTRRVCYSSFLMATPKIMEPMLLTEIMCFQDCIPAIHNVLLR RRGHILSEQAKPGTPFSVVRAHIPTIDHFGFETDLRVHTSGQAFCLSVFDHWSLLPGDPL DKTIVLKPLEPAPSNHLAREFMIKTRRRKGLNEDVSILKFFDDQFLIDSLKQDKDYQQYI >CAK62620 pep:novel supercontig:GCA_000165425.1:CT868020:53191:54152:-1 gene:GSPATT00032472001 transcript:CAK62620 MYQKIKKNKQKPIQYQNLNPFKETEKKYKFYAGLQTDFSELIDVNNLNEQQMEQQGIHKN GSVHRFDYPQGVILVKGFLNLDDQISISKLCMNEYINQPYRTNLFIYKEDENFDKFIVHD DKKYHFNNKIRWANVGYQYDWNNRHYPPEKTQVPDPIQEISQRANNFLQLQNHYQSESVI INFYQSHDYMTGHLDDAELDQDSPIYSFSFGLSSVFVIGGPTKDEKPVAIKLDSGDLLVM SGHARKCYHGVPRVLENSFHPIQNPFQQSKLVANLNTDFHVFNYLTEHRININTRQVYKP LLGQ >CAK62621 pep:novel supercontig:GCA_000165425.1:CT868020:54336:56790:1 gene:GSPATT00032473001 transcript:CAK62621 MISLFILGLVDLILCQNRFYYHDPSNDITKPRTHAKISDSDTHFDFYFEFSEDKKEVIMF IEIDKISYFSLGLGKSMSDGDLWVFEVYENVITVNDSYCEKHGRPPTDVSLGGTDDLQLL GYYYNQNGKTGVKFKRSVKTGIHINLNMLGDKYDKDLVEGEAVEFIWAHGKTEANITLSN HGNVNRGAVVLNFTDDGGSNDVIIVDGDNTYYIHKWTNFACWGIASDVAIIVGRYYKTWG YRTYLHGFLFILIVTSSITTAMMMLSTDWAILEWSNFKEQSIKNQFHIIIFMIVAIFMIA QSIGGVLYNLMLSSLKINQKVSVKPSIHAILGNVVYTLGKLQIIAGLFMDNDVRLMLILG AVFTTRLILEILYQKGSLVSVVMTGKDSHSNKVYEEGQNRLLDINSSQQDDSFEKNSSKL WCIYKNQVVELSQMIHPGGNYIWKLIQGQDVTRYIIGAYSLDQLKLQAYKHSIYTLKILE QYVTGIYVIPDPEFFVNKANRRVVKQLEETWKLNTVNPYTDQVAYFGFVNEKYQFKNTLS GLQTFGQYFVITSQEDSAISTRQYTMVLSMTQQRIKYRKDISELFKKILSLQNIQKEVPK EEEYLSELPLIIKKYQSKNGFSSFIHDDNRNGEYLIQGPYGNNLTIENGSHLVFIAGGTG LFPFLDLLEYQLKMTYHNILYKQFGQDAVQFMNPGTIKNFKITLFLAVNSADDLIGKDIY FTLLSLQNQLDTPNFKMIVKGNFKLKECEIIPQRFNAQILKSFLGDFNSVSNFFICGPPT MNTTTEKILRDVGVNNITVL >CAK62622 pep:novel supercontig:GCA_000165425.1:CT868020:56908:58224:-1 gene:GSPATT00032474001 transcript:CAK62622 MMQVMINQIIYKNVIIIKFDMLCSLIYMSLVFAVYLSATSTGLNVMTQIFEQKGFDYLGN ISIFTIFFFGMIGNFVCILYIRKLPYHHSFFIHSLGYLLFLISGLLVCSCADKEDGICSD FWIYLVTIISSAVSGFTSSGLFITQNDYVAQLSKYSKKAGLYYGIAWAVLQLSQVIGSLY SAFLIVKIKQFYFYCLMVAVATLGSLSFLLVPQPKRYTHSVVLLQEDEQQFKSTQELRKL NPISVILTMKEFRVQCFIFPMMITGCLLSFQWNVMHYVIENSINTSSLSDEEITKYTLFV MTVLGCCEVLGGIFVGVFNSYTPKYTSQLLQFHLISIALLLAIMNTYEQNYGLCFLIAIL WGLVDCGTTSTLLAIIAQDWNDHIQYFGLFNFLQCCGGMISTIVSIIKTN >CAK62623 pep:novel supercontig:GCA_000165425.1:CT868020:58229:59170:-1 gene:GSPATT00032475001 transcript:CAK62623 MDSQTTRRMISQESILEPAEFISARTDTKIKLGYLFIQNPNKLEMFGLRQVSSRLPKFNQ GKPFIELPQNENQKSLVHQSQSIECRKYNKSQSKLILNKSSQEQLILSNPTFVPEEKREK TKSPKRMLQSHHSRQRKELPPILAHANLSPPPFDTNQLKKERKQRISGKIYLGRLTKFDC KQRESDIQQKFVDQLSFESSNAKLLMRHQNAKRAKQISLSQAVKVLKRNQQQEKRTRLEY LFKSKEKELISLFQGNDEQYQSIDELL >CAK62624 pep:novel supercontig:GCA_000165425.1:CT868020:60024:60413:-1 gene:GSPATT00032476001 transcript:CAK62624 MGNICKSQKDTEFEDTQQLQTVTLETGIKSINSTIQANSQQLNLIKESTQEGVQISNIQM IMIKPRSQEEGIKIMRDLLKFGNKIIIIPKVREESSNVSSRSPTKKGRLKNKGTQVTNFR LHSYKQQKD >CAK62625 pep:novel supercontig:GCA_000165425.1:CT868020:61026:61818:1 gene:GSPATT00032477001 transcript:CAK62625 MRPFFLQTLESIKSSAGYDNEVDLFCELQVVDSMEKSSQPQLKYMMSSHSSPDERIQNTF EQEFHANPSEQKLNDSNQNQMQIQNYNDKNERVQTLNQSEPKRPKRNRRKLNVNSQLMDF QSENNSSSQNLSIIACRCTKSNCLKLYCLCFHQNQKCSDLCKCFECKNKAEHFEIRFNAL EKVKQKLHRQKNDDDLFDRSKIWGCKCQKSQCQKNYCECFVRNQKCSSSCRCKDCANKKR FPFQQKKKQKNQSQV >CAK62626 pep:novel supercontig:GCA_000165425.1:CT868020:61978:64137:1 gene:GSPATT00032478001 transcript:CAK62626 MKPYQTPNSGHSRQGSLRVQRQPLAVISNCPEFSQCANYLQQKVQGLEQRVKNLNSQHAD RTENRSTTTQKNEASLSPFVRQKKPSSSNQKVDSQSYYEAIINKRLENISKMDQSPVHIK TDNTVAQQDKYSIHSQLSNLGPCSGKLHSNSIVRIQKLTKKLRKFKMIKDQNQDECAPSL AKIKCFCQEYYNDCFDFYRCTDLIALKYFIYLLVQEINTLYSQDAFKMADNSMQYQQQIE MLKEQLDNQKSDQVSFQNQLKLQKMVVDTQKLIQDIINVLQTKHNQSTLINQVEVLNNQM KNIKENMSTNQLQHQNATSFGQQLAKCGIDVNYQQRVTNDTSVVNQSNFKTQTVDERNQT QFSSKSKSPYNRNQKKINFELEQQVDMNKKLFDEQVVTNRQLMEKLFKLQTEKQQIDQIL KQVQDELKQRNQAIIELENNLQKESSIFNEMNQNLEEALQQNKEIQKENVKYKESIDQYM QIEQKYYDLLQENNKQFQQLNQVIKENGCLQKVQQQIEAECKGYQQQILKLQEKCQAQYA QMQDQSDAKYLQQQINELLLQQQEQSKIITLITDEALYLGQMTLYASDILQRQQTDGIPT TLVMLQKDLNGKRSTIQQKMNILQQFGNNMKNQQLIAHSSSSQFNDNVDLLENLHSEASS TRQKNVSSYEETASTIHNNNIQSNDLMMMLLVQCNTLEKMMEL >CAK62627 pep:novel supercontig:GCA_000165425.1:CT868020:64203:67697:1 gene:GSPATT00032479001 transcript:CAK62627 MQQVNAQSCMCNQTYPDGCLINQNCTLVISHSNSTWNAVDELLIENCNVTICLEKLVGKA ILNFSQLTINNANIIQDDACYSILSQQLIFLGNQTIISSSNILLYGNIQFQFITTNMSIS HANLLSNSLNLCTDYLQVSNSTIRTLMQNKSQCSCLSNQNCSNQMYIKTIFSFVGYITQN YTNLSSQYIEQQWISQFQCSFFSPKTLTYFTSLISVKNHFSLAQHSKIKGFYVGIYAYSL EIDRTSQILTDGMGYSTNEGYGCGYTDKIIGLRQQCGGTGGSHGGMGGFSISPKSNYNQL CLQLQSKQIYDYSFNPVLPGSGGGGVTYGNQFYKFESQGQGGGVMHLEVLNLYNDGIISS NGSAYIQEPFFGSGSGGSIQIRIIYFSGQGLVTADGGGSQSGNDFDTDQFARQKFYQTYQ IYGGFGGGGRIRLFFFNITEMINSSYYNNCNSIMQTNPGGLSIQQKDILNMSNQGLIKFQ GSITPTGCPQGTQGIYCQKCGKGYYKMLFGQAPCKPCFNTINKNTSYQIEGENTPFCKVV CNDGKQPKEDQCLTGLAEFSQKLGGQNVIFALFVTIILLLINIAIVWASRDKNSKKSRQF YGSYDESSFQQMTNSLSELNNKTYLISQDLHFHVRRIYLAGCNTYQNPWQIYQETLVDSD LNQGDNKELQVLFETFNQKALFTVFEKYTLIFFKFYYYPLYIWILQLIQKNKFKTLSKLF VKQEHLYNGLDSDRDKMKLKFSCSKDKTLAFIDRLNLALKIVEQSNTLELPIYLVLSGYG TFSYPFQINIHDALIKRLWQQFSFNTNEESINLFEKFVTEFNYYAVKIDFRQSQSSFIQR FLELVNYTNQYNYEIFRLHHFIQVDICIHIIAIQPCSQSQVYLLGNANQRELEFLLKQIH VMKNLPEEFTIKLSIIFNNYNKERIINLESFNNLAYNINEQLQIIVNKDKDDLKNQIQQE KKKMQSKINCFKMDGMKQYKLKGIQVVKRFFTWLFSQITRYRDSRVNQQFLIAGICITLA IQWCFVIAYPVLLFIVNDLESDQIFVAEFITQLVIFPVAQIISLVILSAWLLKSRRNYGK MYLLFNLCALASSLIEFIFGCYDLFNFNSSAEFNLFKLFPFFFIMLSSHLVCLQMNFNEL NKTKYFEI >CAK62628 pep:novel supercontig:GCA_000165425.1:CT868020:67860:69375:1 gene:GSPATT00032480001 transcript:CAK62628 MNKYTLKFRDKKIEEQFQQIHQIPTRQKHIKLISVFFLALQIFKLIASILQHQKEQMYIL IFLIATTLVLCFAKITSSFSSNMALCFINLSFTFYTVYYDPKDEITNSYYRGANQMVFNI INILLTDFLESALITMTIFATRSIHVMLYGDKPDISYFVLGFIINLSFLYIIYTYRSAMR SQYILSRTDKQWENILKQIIHDEQYLLINFDVEKLKFSKILSNFFKDEQRNDQIGDFLRN SYINQETLENYLFNQIKLFQTNYHDVFNKIISLKYEKFHLSVSYSIFQGSRPTILIQLVK HQYNKEIQSLIIKTLINLKLLTNLIRIINGNQDYSKDKFQKIATILIYQYFQAKLHSKRF SFKICNLKNIILDLKYYFYPNSMVRLLENNVHLKTIPCILKLLLLIVVESSISRVLFIKT LNKTGQQNQLKIHSTFNVQSFKVRYLQFEYFLQAIVKSISNDEKTIEFELHQESFLPYCK PMSIKGCYYDSKRNRFD >CAK62629 pep:novel supercontig:GCA_000165425.1:CT868020:69457:70343:1 gene:GSPATT00032481001 transcript:CAK62629 MKSFKVSSGIMDIIKNQSKDVKSSQMIVDKVNEIHSGIDENNLKLVNQHLINHFQLKPIV SAIEQPNNPLQKIRNRSKPKFQSLLHSQISQEVESVQNSYQYTELLSEKDKEINYYKNHI QQLTEELQKLTELFETVRNENQSLKNQVDQTQNYQLIISNQQKQILKLQQDNVELEMILK NTLLQQERQKFQSQSSKQSIIIKKNSLNGQKLKLSNNLSLSEQMNNSNMSTSFISCPDNY NQKQKSHRANERVKTNQTVPTLPDSKIYSNDQYYVQRQGKENIKMRY >CAK62630 pep:novel supercontig:GCA_000165425.1:CT868020:72870:74209:1 gene:GSPATT00032482001 transcript:CAK62630 MTEINSYETIIMILKNLSNPKFASQYEQQLNRLPFFSFYKQVLKTNTFTQSASKYMQLLY LKKGQVYKHPTDSIESVIILLEGTLSLIKNDQKKQILVKKVKPPDHLFQAEIYKKTAYSK HKIIALTDCSAISLSFISIHEISVYGFQFVKHREEVDYFRTQYPDIKPIEFIPFIKQLRK ENFMNNVTIYSSNQIANKVYFIISGEVEIYGENEDGKLQRIAIACENDSFGEEALSSSVP RLYKYTAKTQYFKKTTAFAFEIDDYCHTYAPNLIKKIEDKMKLKEQLILQKFKQTQTQTS TLPIIINGSIKKPFYQYIPKFIRFLNMASPASMAQSIKMNRAQSLDEQGLLNFMELHSPD NHQMRLFESQVIRPLIKQQQYDESANQSRDRTKSGELQKFWNLSSESKKLPQIKQH >CAK62631 pep:novel supercontig:GCA_000165425.1:CT868020:74654:74984:1 gene:GSPATT00032483001 transcript:CAK62631 MQFLIEISGRINVPIKLEKFCNFNQNTCFGKIFNKYAQFLKYTLKKYFQNEFPKLNDFIL QSEEEAEIFKGSNLESYLIKPVQRLPKCVLLLKDLIKHT >CAK62632 pep:novel supercontig:GCA_000165425.1:CT868020:75166:75489:-1 gene:GSPATT00032484001 transcript:CAK62632 MFARSQQSIMMKCSVKVDNKWMGILLFFSASQQINVMDCSQEDTVNRICNIEFQVCFCNK ISHQGKDIHTILMLFNQNILISLGKNFHDIALQLTPINGFALIIFSS >CAK62633 pep:novel supercontig:GCA_000165425.1:CT868020:77819:78508:1 gene:GSPATT00032485001 transcript:CAK62633 MDEMIEASRNWIKNLKSIKSQQFQFYDEIECLIHSKQNMEIEQIAQEIKTLNKSWIPKFQ KKLKFFSSFSPYPDCKNILNNLTEDIKNLGNQVGPKKQEQQRVGIEQISQNKVKNSYEIP KDIVGPPLLPKSQTCYVPTYINNPTEKPTYYHNQKPQNYEQKPYVYYQQYEQKPQVQPQK IPQNPTVQSLGYPQNPQVQSLGYPPSKPQDYNNLQYSTKPNKYWCEKLI >CAK62634 pep:novel supercontig:GCA_000165425.1:CT868020:79475:80536:-1 gene:GSPATT00032486001 transcript:CAK62634 MQFSDITNLENSSHNLKTKSQVSQKTIPASPKKKPSVNYMDIHNFKFEWICLDDFELEIM YQIDCNPLQYSKNEQMQWFQNYHLHLSYPLKLDHINTQESLKHHSICEFGRNKIICWIGQ VLGSFESTSNETYFLAISIFDKFLQQYPYSLSNQELLSIGISCLSLASKQKDIYCLTASQ LVQISGEIMTEDQLAKCQFQILQTLQYQIEIPNYFKNFDYIMRDLEFRYFQLMSSNIVKN SLMKMKISAIYKCTLNLLRYVTQYYDTTIFYQSTIAYCCIYYTIKRMELLKFRQLDDLTK ILMSIQIEQNIVNTEIALKYIYRLCQNDLTQTQSEFLSQTDFKY >CAK62635 pep:novel supercontig:GCA_000165425.1:CT868020:80565:81555:-1 gene:GSPATT00032487001 transcript:CAK62635 MSNSSLQSSSSLPQIKNKLHVGENLMYQIHKKALQEYLKSGNIDQRIVSMNLLNVSQLNN EELDVLQKSLSAKNQKLEGDAGKILSKFRPKVKSNGLPLYFKKPDYSHEDQELNQLEDHL KIVLKSKLNTCKVFNGTYLVDPSISDLQRTNQKSKQPQQQFIYFPAIKRQPSQANLRDGQ QINQKVVNQRLHDSELTLQELRERYKESKLSDFQKYFFQLIDEGDLGELQSLFKQKEITQ NILNSPNHKGYYPIHLAIKYKNPLLFKLFQQNGADMGVLTRKKKSIQQLLEIYYNDEIYE LINGKKKGKLQL >CAK62636 pep:novel supercontig:GCA_000165425.1:CT868020:81573:83235:-1 gene:GSPATT00032488001 transcript:CAK62636 MDQNKSIPEERYQLEIFVKAKNLKDMDTFSKSDPFCRLLHTIQGEKEKCEGDTEFLKNNL NPCWEKSFVIDYIFELNQKLVFQVWDKNQSAGSEDTEMGQVETTVADIVGAKDMKCEFEL KDKKGKSVGTLVVNIDKQPEDNQFLQLTWIGKDLMNTDGFFGKSDPFLKFFKKVGDDWLP VHKTEMIKDNLNPEWKPFQISFRRLCGGKLEEKFKVECWDVHEKGTNNQYMGHFETSIHE LINDNKSEFNLEFQANKSGGKIILKEKKVTKRPTFMDFLRDGEQINLIIGVDYTAQNGNP NFPDSLHAFKTQNGNQYETAIRACAQILLNYDYDKKVAMYGFGAVPHLPNYNVNETEQCF PLTGDFQKPEVYGLEGLVNLYKQTLPNLQFSGPGCLGELLNYSKQIAEFNAKKKIYTVLL ILTCGQIHDLHQTTEIISQCANVPISIILVGVGDFDFKKLEFLDQDQKQLQKEVLTRNVV QFVPFAPFSHSLPILQRELLAELPHQLTNYKSLLGLVPSK >CAK62637 pep:novel supercontig:GCA_000165425.1:CT868020:83539:84303:-1 gene:GSPATT00032489001 transcript:CAK62637 MKTKPKISTQPTSAKKLTSLLPSDSLKASQIQELIHDDQTSWESRFQSTIIEYSFTKFMN PNKLTKYAMQENKPKRGIPDTSREQGAKLKKSYTNSTLISSPRMMSPKEQVEVIHYLEFK NKTLIEENKRKTNLIAKLLHTKSPSKSNNIPQQPPKSAELYSPSTRFPLITTPQTNEIQV PSNSKLNQPKVTRIDQNWSFGKLSEEDRDAKIKSQFSRITNATHQKSSIKTNFQKPLLKL PKEFHPQSWNSIKK >CAK62638 pep:novel supercontig:GCA_000165425.1:CT868020:84512:86465:-1 gene:GSPATT00032490001 transcript:CAK62638 MNQIFVPLALLLFQQMLSNPKLDVKSNVNFKIQIQHQLIKPFSTIKNLIEIFYCKAEIDK MILQMSYTQLLILSDEISQSILSQDRRQNTTTWDGQIKSYNIYQLKGIHDGNQSIINFGF MLKMKDYIHQLCMARLFNTIHILLLKNKNYYMFCLCGIRNEKNEQERVQNSHGTSLQSNM RLEKYGSLNSLFNAQDSNRVSQEYTDFLCEYDKNYQEKSQLFEVNVYSPCQKLEESKTNS EESVLMHQGDGLLVKLSNVNQQSIVKLKDTFTAFIMRILYDIKFYFEITPQQEVKFVKQL VPNIITELTYRRIKNDKLLIVHDPTGSFLFKHLSSSQQVYFIEETQVYRPIIDKGIDILN CHNKIHFVANSDIRVKQDTAIVNLLFLHDVPIFSIIQEYLTLAHDLILILSPKLEYHEMI SQLRQGIKNSEQSRIYCSVEIQSVYESNKIVAFIVYYGEISQINQNQQLHFLYKCISKAI QKTFKYKVFLKNLRTQIGLMKLVDLFSLYGLLFDSSDVNFKKFYKQVKKQYFPKIKSEKF IQMDSSKSSTESESEGIQEVESANSLFTEIKSYSKSESISMSKYVYVHFHSQNSQKSTQY EEQIQFSQ >CAK62639 pep:novel supercontig:GCA_000165425.1:CT868020:87422:88397:1 gene:GSPATT00032491001 transcript:CAK62639 MGKRIRAQRKGKSNSVFKAHQNQRIGSPQYRHLDYAERHGYVRGVISAIRHDPGRGAPLA EVEFNDPYKYKKVTKLFIAPEGSYTGQYIYCGAKAQLATGNVLPIGQIPEGTVVCNLEEH PGDKGALGRATGCYATIIGHSDDGTTTRVRLPSGTRKTLSALCRATVGLIAGGGRTEKPI LKAGRQFHKYRRLRKCWPKVRGVAMNPVDHPHGGGNQQHIGHPSTLSRYAPPGQKVGLVA ARRSGLLRGGAQLKQMDEDLAAQQAKK >CAK62640 pep:novel supercontig:GCA_000165425.1:CT868020:89619:92288:1 gene:GSPATT00032492001 transcript:CAK62640 MSQNPYSQALKYSMDQSFVPNVENKEVSITNIADIDHPDKIIPLLEQTFKSGQIYIDQGY SNLISLNPFQDTKHLSDSNIQNHKKDYLKLTNSQLSLSKPHLYKLAELAKQACFSSSNIN NTCSILTQGISGSGKTVSAKGILHYVAALSSSGLFTSTTLNKVEIEQRIIASDIIFEAFG NAKTQKNSNSSRFGKVVSIQFENQKNPKNQKIISGKIVTTLFERTRLNQKGFMDRNFHIF YQLFEAFHKTEEIKNFAQQHEEDIEFKYDLDQLIKEIEKLALDTEFMLLGTQNQFMSSQD DQYGKQEEDQAQADEDFYKFMKLLQAFGDLDFTLEEVVSIFQCVAGLIHLKEDNYQKAEE LLQIPNLKQTIDKNIETGQSRKLCAESIINGIIMDFYYKLFMWIQNRVNSNISQDFDPNK KYILNIFDSFGFEIFKNQEQVQQNLFEQLTLNYINEKLQNLFYQQIVDNAQKKFNSEGLT IIPPDFQKNDRIIFAIEDVIFKNLKDSNLLSRKTDYFKDAIRKSIPEKGVQDILIDESSL KKKQGSLTQSQITHKFQSNILGIRHTGGEIYYQLDCFLSSNKYMVSQEIQNINQLSQNQI IKSFTQQFQNQTVADTTQKEINDIIQTFKKSETWFVKCFQTNYDQKSGYFNVDEMKEQLS YSGLDQCANMLCQTYFLSIPKEEFFNTYIKLNPQSQNVGHLVDFINEQMRQSKEYTTIQP LQVGLNNILIKEQAKRKLDQEIQYIIHWESDQQYRTCSLQHDEQIEYLQQQLQKETQELL KSLEMKDEVIKGLNKKLKDKEDSEKKLIELIQASFKFNNELQKEFEKLTQNNNNHVTQLN QPIQNLQEQENYQGQ >CAK62641 pep:novel supercontig:GCA_000165425.1:CT868020:92503:92763:1 gene:GSPATT00032493001 transcript:CAK62641 MHSFYKLAFQTLSNFHSMGLVSDEEKSYLKDMIINWSNPQLNASQDQMSVLLLRNILVLR NQVKQGCSIQKVLCLIEEETDEDDNF >CAK62642 pep:novel supercontig:GCA_000165425.1:CT868020:93503:94627:1 gene:GSPATT00032494001 transcript:CAK62642 MQYNRLGNTGLLVSCLGFGNMINFQPEDEEVNIAIIKRAFEAGINFFDTAEFYQHGEAEK QLGRSIKKLNLPRERLVITTKVFINQTPGGLNVNRMCTLSRKHIIEAVNTSLQNLQLDYV DVVFAHQFDTDTPTDEIVRGFNQLIEDGKAFYWATSNWNSAQIQEAINYADLHGLIRPIA EQGEYHMLQRSQFEFDLVGLYEKYNYGTTIYSPLCGGFLTGKYLDGIPEDSRCAKDNGWM TKERAQNRWLLKYIKNPKNVEGVKKIVELAKELNVTPAQLVLAWTMRNKDINVAITGART VAQLEESLGSVELLKRFDEKLDERLEMIFENTPKQDLNYRTFQQNRRRR >CAK62643 pep:novel supercontig:GCA_000165425.1:CT868020:94716:95901:1 gene:GSPATT00032495001 transcript:CAK62643 MKSTLVVLLIVVASASTVADITQRLSNYADHPFGSSMINLVSVNMKTGGSLNELKQLLQQ IKDELIALTQLQDQENATFTRRSQVDLAKLQATLEQAQSDLDNQRQEQASLSNELTTLQT RVKEDQAALDRNGRGSNDAQARLDSENADFATKFQDYSDAILACKEAQRLLLNLRGEGAS LIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLESNHKTQVDNLSRLGDDLRNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQK LQE >CAK62644 pep:novel supercontig:GCA_000165425.1:CT868020:95953:96615:1 gene:GSPATT00032496001 transcript:CAK62644 MYNYQQQTGPGRLIFNNLRPLAAVKYLNQNNLKSIIYPLRKPKPPASNNNMFRNQPTKGE LFFDPVNHEGGQPLCTLSMGDYKEDGTCLNCKQKYPLNQWYYDLSLAMIIMQFRNNQNKR DIIECVKYYDDGEYEILYTDYQEAQETDQYLNNDPIIDELIGVQKEILQKVGNNQNVILE QSPDLIENFTKIIESALQQ >CAK62645 pep:novel supercontig:GCA_000165425.1:CT868020:97086:98132:1 gene:GSPATT00032497001 transcript:CAK62645 MPTWFELISPFLIGGISGCTATTFVQPMDTVKVRIQVRSELKGQGHSVNVSTIDIVKDII KTEGPFGFYKGIGAALLRQVTYATTRLGLYRAIDDHYKRTHGRSMIFWERCLASSFSGFV GSIVGNPADLCLVRFQADTLLPEAQRRNYKNVFDALYRIVSEEGLITLWRGSLPTVIRAI AMNLSMLTTYDQIKDIIVSLHGKGKEDYMDKLLSSAAAGIGCAIASLPPDNLKTKLQRMK KDPTTGQFPYKNIGDCFLKTIQREGVTGLWVGLPVFYTRVGPHAMITLLVQDTLTQMWNP PKKKN >CAK62646 pep:novel supercontig:GCA_000165425.1:CT868020:98168:101871:-1 gene:GSPATT00032498001 transcript:CAK62646 MINVLNDSRIDIYTINITSKELIVSTRIDKQVYQIESLNLFDFLIYNSALYFLSKELGLC LIKNYINQYCWNMNDIFDVFSVYIEQNGYHSVLLGSTITLDIFKVNLFDDQTYKIIQMYK LQKNMTFSKIFHNDKYSFIIGTKNNNTKKYFMDIYSQMDDQTSNLYKTIEITSQNELFYF DSLNNHVYAINNKSIFIITPQVSYITIDDGQCFGDVIEIQASFPSLEMESLCNFTFQVNK LEEGTQDIYDKQELDASVIVLNKSINNLYLNNFVIGPNVDYYLYNTSSTETNRKSNEDDF ERIKFKDHFRISYAQTMDIYSIIFNRAFRIAAENQYIQIVQWKDLTLQIFNCVIFDSDKE INCNYRQSIKYANPILQIVTGLTCSTECFVIRQDKRADLYIENNARFIATNCFIDSQYNI QTIKLHLEKYLVELENNKIQIFLPVFKSSITCSLEKVFEITSLELEDLINSSRSINIFNV KTNINIYNLYISTNLGLIVIDPGLKRFQQIQLNCILIRNRIITLQYNQIMIINLVQQNFY YNEKVLPKYDFTITNTTNSQVAFSQNYFYLQAKDSNYSDVIIVYKVDEPQIASFHTYFLK TNNLDFSIIQNQDDEIFLVQLFEAQKLYSSVILQFKSSQSKIIKVLFQGFTSYAKLMDVN VNVKTAEKQYNLSLLINETQTIVYEDLAQDFIYLDGYNDYIDGSVSEWSINCYSCKKELE LINNINYDQYLTQIPNTTQIKHTYDYVLIQQEQSIIAIDNFGMVKFYIPLPLPEKSTKCT SITGNTQETKTKLVVSVCNTTSSAQFYITSFIGSLPVPMGPFYSPLVINQITHIRMLNEI LFILDVVTEYVYMFNLTIEDYYTDNLRVINSLNAREFTADKGQVFVSFDVAYNDNTYLLF LLTNSSQFIIYTYPQDDAYTSDLISFFYELGFADIPSNIVPQSLIVAEAGQKEKKQFYRI IVANQNFHHYELEITIEYFNKDTLINLEFIRAYMQYGYFYANGKIRVSEESQGFFGLVYQ NPLDEKQKLLVVYDRFSQGKEKLRKILGGFKIFSNNIKCNYLLFSRLFDFLHFKRMNDEF SYGIILQDGPLLSSLTLSRYLAIKVKDKEFQTQNMTFNASNDFGKWVSFQANIYNRSGQT KLLLIMVSLGVVVFIVVSSSLLYIRNKLNKLEVKHLGEIEEEEAIVKEDQ >CAK62647 pep:novel supercontig:GCA_000165425.1:CT868020:101959:102537:-1 gene:GSPATT00032499001 transcript:CAK62647 MYLIIYFLFHEIYCQCYQKYKDQTIYLSPGETLKLDLQNYIYGKQLQYSSSNDCLENKIR YNNISFLNQTSSEYSEYSLCYDPKSGVCNKMKFIIIILELGQIVQMHVLNYQATIQFYNI SDTLSILIYNTTFRIDIVLILTYHVEHDVLQCSLHEIEYGFGRMQPQNQEAIKYLSDQCN FAINLNPFSTNK >CAK62648 pep:novel supercontig:GCA_000165425.1:CT868020:102674:104491:-1 gene:GSPATT00032500001 transcript:CAK62648 MKKSEVKQHQKFAQFHQLLEIDSVKDQMLVSYQQDFQQLKNFYANIDFNYLQWLNDVYKT NFEPIYNTEEILRNVKNGLRSGEDTLKQNIRKNLIQLLYLQRPVPAIFVFLKISSMSFEI VYKEDFLVILDTMLQKANLDQKSELYQKMYDSLIETTDEEIILQTIKLLNKKFELASQLN QKQFEVFDKISSQFNKIDFSESKEVIIQIVKNFQEFQEKLKNKRQSLDQVKSEKFPQFVL KVVLMLLKEQQIVVPELLLFLCFKETHEKKRSIAIKAQVKEILKMFAIPRLKPCLDHLKI EDSIFTKGAIGYDQLYSLCQREYPVFLNLKLNGALISYIEYLHQVTHVNTQQFLNVFENP EFNDFLEQIWNTCGKEIQQLLQNILIEDLEYAKYGSNILRIGTYLYRIKFKLEKKKMNIM EKGYDVDEQSLERRTYGVTERSMNPLFKTFVTDLVHRKKPFPLLLKTLKMDLYNLKVGYR ITEMRELVVDPKFKKHMKEKHGVVWNREDPDENLDHIIARQYPIKVSNNGKKYELNWPRL IKKQAKLIYDSREQLKAQLLDVYANQNFIKVYNELIKLNDNNKKKMQTKEILMNLVAYIK SIKEE >CAK62649 pep:novel supercontig:GCA_000165425.1:CT868020:104569:105698:-1 gene:GSPATT00032501001 transcript:CAK62649 MVRLEKLVYEQLVNIRALKRERIIGSPRKWYSEPRTPAMTLQAVKLFTQGWTGLIYKFVE PLFARFLYRWMRNIGLDRGVAMEDLVLFQDRELRRDPLFEHIQREGFHPYTWILFNKRRA RFSKVERGVRGSTAPEWLQAEARERTLADSVENIYEWDNYVYQNYMSDMTPTARGTILQK LLPLEWFLFFDTSIMKSCTRDWNTQKNNWLTFLNHSRLNQPLEEGRRQFEVNVNRFIDLY PGAIVREGEKFDFQRFYALEAINNNRDLSKFDSSLIAQLKSELTQQVALKTSTKVKKQRA SFPSWLQQDGKGLLA >CAK62650 pep:novel supercontig:GCA_000165425.1:CT868020:105830:106984:-1 gene:GSPATT00032502001 transcript:CAK62650 MKLQNIGTIDFSKANRQIKDLSHKFLQSKLLRKNKENFNPNQSHQDAAAKLLLEALQKPL LRSLRDLIMIKESVVCERNIFDEVCSMGVSENSDIGEGFKISFANLSIKQEIIVDCESIQ PTNAPILLFMVIQNIRKKKMIISFQEIKYFILQRNLSSVNKRLEYAKQEQLRKIIVNKTK QEQILLQVYLKYWSQQTQLLIFFDHFGSKIEKIYKNRLNEYLIAMKQQLTKREAAQHLVR VINTKLFEYGQNLNRVKNNLHLQHCLRKVVIRQLKGPFQQMQLSRPRSPVIFELMNKFQS NLLRESLKILQLNVLRQKRRIKSFSYGFEDLNKIMNKLDYKLGFVKLQNKNQKQQRMLPT KYLVMILKRIEDRQKHWVLRKLNQ >CAK62651 pep:novel supercontig:GCA_000165425.1:CT868020:107823:109411:-1 gene:GSPATT00032503001 transcript:CAK62651 MNSFTLTFNSTDLELKYQETRRGFMLPVFKGISIISLIICVIRAIISSVAQQFSFALVFL GLGIAIIISHFIINKLQKQWIDFYLFTINHILMMYQIAVNQSYDFQESFIFGQVMMTLHI IIILISDYKYAVIQIINNLIIKILIAELSNGDLSLITYLYTILIACMAIFVIQGINKKYR QSFLFVIQDYSKEKFIPLLFDNPFAIFTFDQNTLAFQVVQSNFNQFPQFNPLNNNQNNLK AFLRNYKLNDMKFEDFLFKRTKEYSIDNSMNNQELVLNHQKFANQNVIVKLSEVQVTELT FVIIMDQKSKLIKSQIEKIEQLCTWIQICSKSFSKFLKSQMALTYQAQLDQSKYGRIQIK YIQMINKLSHQTKKTLSSCSIQKKMKQIFQFYESSYNIKIKCDYRIEPDYTIVTNQQILN KLLFGLMQILVKLNQKDVNFKLQEELGFLDIFISLNQLTTFDLELKKNNQFRRALKILGP QDQPIFNQENVLVRIYTNMEILSDLQPFKPQ >CAK62652 pep:novel supercontig:GCA_000165425.1:CT868020:109432:109866:-1 gene:GSPATT00032504001 transcript:CAK62652 MISFQDRFKGKMSYISKYGINQSPDLKQNSYILQQDQSNNKSPQKIINLNSKSPIKNSYY YKLPPIKNKIVDRTQNFNQQKQQIQTKKIYSADHSKISLKKYDSDIEETQLKHLQYLDKI EQLYMLQKN >CAK62653 pep:novel supercontig:GCA_000165425.1:CT868020:109897:111199:-1 gene:GSPATT00032505001 transcript:CAK62653 MIYFFVLLAAVRSVYVGETPCASLAVASDCDNSGYCKVDTGVCVALPCYMVNEVAACRSG PSGSGIPTGALSSKCDSLEQFSLQYDNVCVDKGDGKLNFAFVRFSKTTDGSALSTTGSTS TLVGALTAVEANMFSLYTINPWKSPEASQTAATLKTELEAILDKYILFYQVLIDTSNSHP FYLERTTYQALQLIRDYVGFDYTMRKAILPKIWQVAEIALFRIAKFSPSYYQTNYYFINF ASCPYSRRELKVNGQLHTVRIDWIGYTYSTNGYMQVYSYAAEQFGIRNALSDIIALRPTT SLTTAATINLDTLFTGFFLEWTWTDTNLVVVEKDIKLYQFDESAAVATDVVKQVTTTITC VSATKTCKTANIVTPITTATTRINYFFSYGPGKCVDKTEVQCKLSIEQGAQCTYNAAITT DYKCS >CAK62654 pep:novel supercontig:GCA_000165425.1:CT868020:112626:113138:1 gene:GSPATT00032506001 transcript:CAK62654 MLRFQFKNRILSMHFRILLIKILQFNKSQYQLQKSYTFIQISSIIWNQQLNHYIQYERHP SEISFNVEISQSILNNQYVFEVCVFLLNQGKLNKDLQSNLMVLMDRYEKMEVILLLEIQV AKKEFIFTVVNNQIFIQYQLTFEINVICLKKQQLFQQIFQFSLQKQQLYD >CAK62655 pep:novel supercontig:GCA_000165425.1:CT868020:113656:114249:1 gene:GSPATT00032507001 transcript:CAK62655 MSYYKPFYQSAYDRTAPVYPPSQYGPSYYGPYDRPYSYQSRAPMRGEQWSEYIPVEQRYT DYVPETKIEYRPVEKQYTDYIEVKHETDYVPVPRLEKRVEYIPVDRYDEHVDYVPVQNSH VVKGPQSRVGYGSQSQYLPPPPPAPTSYSNYRYSPSRVSGYRPGATGYGYRYL >CAK62656 pep:novel supercontig:GCA_000165425.1:CT868020:114249:115456:1 gene:GSPATT00032508001 transcript:CAK62656 MYKFIALALIVSCAMGIQKDTKTVLAEIDADNFGNTILSTVQMYLQAKGNAEEILVLLNQ VLAGLVDDQNKHDNVIRVDRSACTRIVTDLENSIAYHTAQVAANAQMREDNEKALAEAET DVRQTIQDIESNERTFAQEEANRNKAHETWVRKNGEHDDAIAAVDEATKLVQHLSLGATF AELKPKIEAVKKRMIGKKSHGGFFQPNVTALTELATKVDQKAIQRILQLLSQLRQQLVEA RSVLEDTENRQAQRWAEFSTHLSNEHNRLVDRKNQLEQSIQTFKTNIDTATHFYEVHQLE LEQAQETLDAEHEWCALQESTYETQTTERQRQQEVVDRILEHLTEKLTATSQYLGGRF >CAK62657 pep:novel supercontig:GCA_000165425.1:CT868020:115455:116065:-1 gene:GSPATT00032509001 transcript:CAK62657 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSIVDQIVNLAKKGLNGSQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDITSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK62658 pep:novel supercontig:GCA_000165425.1:CT868020:116065:116704:-1 gene:GSPATT00032510001 transcript:CAK62658 MVQHLNLIQLIIAYQQPQDNKLQQINQCTSILSQNNKTWWTHTSLVPTQTQDKVTSLVNG VGSFQNKASLISTYLSLEAVNRIPVAKKLAIYFKAGIVGAVFLGSRIAAASIYQRNVQGE IGKVLDGAPIWENKFDVPELDKKFFFIDDDNNFEPSLWHHGINSIEKPKVFYKHE >CAK62659 pep:novel supercontig:GCA_000165425.1:CT868020:116757:120308:1 gene:GSPATT00032511001 transcript:CAK62659 MDPISLLPKAESAVVVDSEIEGTTKITNIEPIRLQKRRIVLGVFLSVITSFLFTLACSWS KKLVRKFFFKESQLEKATHMWITNNDNSNNISKLVKKQNQVYFINRKLKYIYDSAMNTFK ALEYDLKTKRELLKSRGVLCKPKINHHRTEFGKCIIDIPKPNLFVYLLKELTAPFYILQY LSCFLWVLEVQIDLAILSIIMISVSLIFTTINFLLLQNSAKKLRDMAKSLAQVQVYRGED TFNQQGIQFRKIDSQDLVPGDVIAIENKMTLPCDCVLVSGDLLMNEASLTGESIPIPKIP IEDQDQPVSFMTDRRHCLYEGTKVLLARPAYQYVIAIVGRTGFSSFKGQIFRSVLYPKVQ PFPFYSQGIKYLICLAIYVLIVYFALLPRLISVGFSFMIIFLRFWDALTWIVPPALPIFV SMCQTYSLVRLRQKGIFGIDPNKSLVAGKINTVCFDKTGTLTTIGIDMFGYQMRNQTKFG KFIQKNQVNFKNSLEFKLFATCHGTYEIEGDLMGDSLDVELFKFTEFKIEKNPPQNIKSR VSNREGIVLDVLKLYEFESALQRMSVIVKDKDDYYIFVKGSPEKMAELSNQNTIPVDFKK NLNLLTMKGLRILGFGYRKITQEESERLMNASRQECEQDIQFLGLLAMENKLKHDTSQVI NLLNNASVDLKIISGDNPLTTVQCARECGIIPRDKPVLLLDYNEKEQQLSLDEISVFDDN LNANQQKQNSEIEILVEDVEDLNEQIVDHQQIMNKLIHHLLTAQNIKRSIISENDSLNAS HCFAMSGKAFDYFWGQLPHDDIKKQTKQSFAGFEEPLDNVEQIKIQGLTEEELHIKLFAS ICLNARVFARMRPEQKSMVIEKLQDLKKMVLMIGDGANDCAAIKQANVGVSFAQSDAAYS APYSSADDSIDCVRQVLLDGRCALQNALEVFQFYVGASVIKYIAAMITMAFGQNFGDLQY IVINYVGSLPYLKSISLSKPSITLTKDLPNESMMALSNVAVLSFQILVASLGLVINFLYW NSLDWENEPGVYDGKFSKEGTLQTTQFKSLQIYFIMAVIAIYTSKPFKQKIYAHKIMIFF ISISLCFTLWIFFTFQGWQYTVLKLYDTNEQHGRSYNFMQFILTIIVGLVMLLGDEYFIR KVFPTNQKKTKKSRVKPSMSNHNF >CAK62660 pep:novel supercontig:GCA_000165425.1:CT868020:120335:121851:-1 gene:GSPATT00032512001 transcript:CAK62660 MSSQEEDNQFDQEYEQNNQQIDEFEQRYKQNQTSSEDEDQHQHKSHSQNSKVEQLPPQNK IEAIQKQLQFYKKQPPKTLAEECEDVINSKNETLKDRKIRELVQKNRQLLLSYEKEKQSR KKLEEQVNKALKETDEGIKALDKSIPKAPIPKPDPLAKALKGQESQKDDIGIADDFKSKF KDADKKVQEQRVKLQQVKTELNKAMRIIQREIGENVNLDQVLMDENGWKGRAQQIEILKS KVKDLNAKLGSSSQYSDVSQMSKQSKQEARNNNEKQQYELLKSQYDAVKQENENLQQKIK AVSSRRQILEDQIKDVKVEYEKNKKILLEKSENDDKYIFALKTELEKLKKNQPQQETKIV YKPADDEETRKLRQELKYCKEEISRNEQMIKELIAEKVNRGKQELPQQQQQQEKVQAKPG QTDERVKKLEEEIKALKRERDEFFKTLTKDVETQKMIKDLTMQNVKLRNKIDDLTKK >CAK62661 pep:novel supercontig:GCA_000165425.1:CT868020:122913:124180:1 gene:GSPATT00032513001 transcript:CAK62661 MRSITGVILILLLNQNVLCSPKKINTDFLNLLSSKTNVLSSQDAIQSVLILLEDLQGANL EAQDKADLTFKRFDNAILQDINEFSGIVNVNSKSAAAAQQDLEAVDLKIQQTTDYLNWNN KRYKGNEVKLENLAEQRCEANALFIDTLREYKNALSVLDWVKSDAQSKQTNLVEKSHVGD YAEKLSKYANLFEEQAVQDFVKLGDDENTFSQSRQRENGAELVQLMTKDVVGVVKQLIEK LRETIKGLEEQEIQSANDFADFKTNLLAEQESLKQEYDAKAKFLNSLQNDKELASDILIK KKELQEQSMRILSLTQEEYNYKKKLYTEEKAKRHEENQLLEESLLIYRERIATVNEYLKK RVNEYVGDSLIEENVVSKQQSVSQRKGQ >CAK62662 pep:novel supercontig:GCA_000165425.1:CT868020:124185:124714:-1 gene:GSPATT00032514001 transcript:CAK62662 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVRMITEVA KQLLNYQVVSTNAEWANNILPARQFGCVVLTTNVGILTHEEARQRHIGGKILGFFY >CAK62663 pep:novel supercontig:GCA_000165425.1:CT868020:124741:126113:1 gene:GSPATT00032515001 transcript:CAK62663 MIPEHIIQKLKPKKGHYSIDVKESFIDKQRRLSEIERQTVLQKKHKEMQLFRQTFSSSNS KLSKPGKRIMIKIMGCSQAIPLELYQQTNSTPIPPTPLSNIARKHYQSLSLSQSQPKLES EGNYNTTLRKEPELQLNTQQDTHNQLEQQNQNIQTEQIEPLEQIQITPTEENNNDHIQCM VEQHLSDYQAFVMHLAGKCICVQCPCGKCKCKYEYQPFKPNISWKSHYNQEYKESPIKDQ ELKMNLNSIGRYQTLDLNEFKTTMASDYKQCDAYPNHVIEKPKYQANYGSTPMTSYNKFY MDYGDLHHEQFKQSHYKTVIPELKFNSSTTYGSEFKTPKQVDTTSQKPPNGKPFPTIDLF LGQSQNKTTYDLKVVEKCFQLKNYQEVIKSMLQQPIQTIPAYQKQFVSMTKKDFVIKEVP CIRNQYSQQQQY >CAK62664 pep:novel supercontig:GCA_000165425.1:CT868020:126168:130018:-1 gene:GSPATT00032516001 transcript:CAK62664 MPNFIFPLLYRNRTLQNHVVQTIIANDNVLVTAGQHGDIVIWMQDLNPRIVIYPNLSNAY GKLLTMNLVRMSFLNILDRAEECILTIHEDKRIRIFDCNDGRCVAISAHESAGPVQYCIP LSSNNCRFLMLGMQNGVSLFDLWKMRSVKQYDCKLRSSCQIDPNTVCILDKDNCLHLINL SPLNNERYLNYKLYKKKRDSIKQDFKELKLCDGLRKLKIEGLLSNRIRHMSFNQQFQHLT ILMHRQLYLVFEIYDTPWIMCISQSKNNQKFSMVHYSQDHLYITTRNGSVLGLEYKDIIY LLSILKEQSDFVYGFKEFQFTKIAGSRFSRLQINFQELISGSEVQKELNGIFILNHLVYQ YKNQCLCKYNLKDATLDMSLIRIEQPDSDIKFNGFQWSFNTFSDLIKIETLRLGCKQKEA FDFTKSYNEDLVWNLGQMTSSQFKTKYLPYINIETQITSAFISVSEESQIYWFGCSNSTI FGFPTTYSSKSYFIYRIKLDEKAVTFIYQKGKCLIASSESGQFIILDYSQVFNERMGEQQ VEFIDLEPSQRIYFPSYAKKTIRIHKLENESYEEVQTTNQSQKFQKIAILMENNVILIIN LQDGGVQLKLHGINQQVLGLYYEDQGDQWFVVVQGGESLVFDYKGRYLRTLMIEQYHSLF QVEKKLNKVKEKMISHHHLSEFKKEFNKSISKVYQFLEFQQRNNYIKMQSPYPSLYEVGG QFKQWQFEAEQAAKMLYLIDHNSVKNNMRMCKFVETSIGELEWWDLKKSGDNHNQNRLFL ILHPWEADAQLSTQLDQILNYQKPTFSPLIGVQSMGYCVSFALSRGWDVSPHFTTQRAMS LMHFYLSCLSKEAQVFNQLMIQTVELLTKKKQSLDVNFKPLDLFLLAQYTIDESNEVMNA ACNLILSQIRKSDQDMHEQHKLIRELLDLNKEQQGHTFSNVEVILLILESYLTGMCQTLA QSEDQKRRVIQGLHLKNCIVNPHLCAPLLKVFVDVQQHFRNSYNPSDIFKNLFFIFFHYN TVIDYSEILTQGGQQMQLINLLKEISKQSEEMKKRMRQLTAKSIIQVAIAAPLEFTKILN KDIVNLETHFLYPSSIIEIIRYYIKSQHNNIHKLLPSLMDIILKSLDPNNPNLRKVCHRS ATYALQTICKTFKCVCFHQQTQKIAIGDTQIIIYDLRTAVKWRVLDGHSGQVNCLEFDHT GKQLASFSDADWKVKIWKVGSTGFFGAIIGIQGKAAKDTSVKYQPLNHPQMQWSQDDSKV YLMSNGQSIVQLQV >CAK62665 pep:novel supercontig:GCA_000165425.1:CT868020:130170:132121:1 gene:GSPATT00032517001 transcript:CAK62665 MEAAVGIESFLQEGYPFKCIFKHRFTDFIVQEIDLKNQVAVISDKTKWNNTDQKQDQPFQ KKDKALPFANLTLSEEFLQQSTQLFGQEVTEQFKQFVEKVKTTGKCVQFETKVPLPESKE DRIKVHQLIRQNIPILESFTKNVHNQKSKAEKKEDKANEKLQEQVLQDHILIKTSTINFK KYVASQTTSKAYGESKKFVKFLLMKRNIDSINAGMFISKQLGLSNKHFAIAGTKDKRGIT TQWATLQGMEIGQLIEIQERKFWNSNILMSDYSYQDSGIRLGDLQGNKFCVTLRFLSELT QNQQWELAKNIENLKSYGFVNYFGLQRFGSKSKIKTHQIGKEIIKKNWKECIRLILLSSQ QEDEERINQLFELDDFVTMSTLINPKLRIDKQLVDSLLQNGVHNYFTALQSLPRNARELY LHAYQSYIWNRMASMRIKQFGNKIVVGDIVSATILDEGVAEEGEEIVQQVAEDEEQQKNQ TISACVLVTEQNISQYTFDQIVLPIYGHKITIPEESIASSLLKEIFQEEQITKQDFEDAS NKFFIDGNFRYFVQIPTEVDHQLFKYQNKDQDVVDSFGELIVDQGTYQGLLFRFKLKKSS YATMLIRELTKCPSTLEFQQELSVEFK >CAK62666 pep:novel supercontig:GCA_000165425.1:CT868020:132120:132604:-1 gene:GSPATT00032518001 transcript:CAK62666 MITNTSKGYQNFIILFPNPKVLGIVQIHTYLLLLMSQVLIANPKIGGLEDNLISQIGFVI NLFVHLIYQINQKRFKNGIHILLLNQGAHKSLRMKKRLIKANKQNRPLPNWFRYRTDNTI RYNSKRRHWRRTKLNIN >CAK62667 pep:novel supercontig:GCA_000165425.1:CT868020:132713:133361:1 gene:GSPATT00032519001 transcript:CAK62667 MKQVVASNEYYTMKKVQMLHSHAQTKDSSLTLGRTTSYESSQFCRNLDMMIYRRASTKEE VNNSAISKHLQNQDEKLAISNINWIKQLPIQNRSPSLYIEMNELQTKVWRALRKQQLQSV YSVEIIVLKNQSEFEFTFDISHLSCFLRVRELGILINETCADRVKLKSPYLSILIGRVKT QKLDGNMKLFELAHILINGQRTLILQES >CAK62668 pep:novel supercontig:GCA_000165425.1:CT868020:133460:135619:1 gene:GSPATT00032520001 transcript:CAK62668 MHQMLQQSIHQQKIVELTNQGLYVQLEEYIRTNQITQQSYSQAFLECIQYTRDSEDHFQC IQQILKQSIDFNYKDNQGNTAIVYAARTAKISILNELIKYKEKIETKQIKLALDIAIQSE QDNWDIVETLLQITSLDKPQHLIKSLHKGNYKTAAKIIEKYGASGQDESGDTALHVASRK GELNIIKQICQKEYLFQKKNKQNQIPLDNCQFWKRLNLQNLPIEKRSKRKFQENTQKTGK QEEQPDILPKVVKIIQQREQETQTDVKEKKELEVQCPEPTCINQPFYNQLISESQFTLPQ HKISLDDIVKQLTFEINTFTQELSKYLDEQKPIIDKVVQLVDETVQNVQPKSRAFLYGSC QTGLNLLDSDIDIKRSSCCSNQQINSKTSFIKDVKVIDNAKKPVLKMQCSKEFQEKLIDI TISRNDHFGRKTANSMMEFQKEFKQFKSLALMLKFYFKSINLLNAYQGGLNSYCVLTMIL ALLQIKRIRDNENEEIGKTFLDFFDLFSQDIDFYNKIINIVPSSSENMQIDEPNIYQQQY FQFDQGYQELIILDLHNKSNNIASSTFKIKNIKNALSFGYSAILNAKKCEQPCFFSRYNK PVCCILKQMIQQSKNNHLNSHFKSKQPFYLFNYNNTY >CAK62669 pep:novel supercontig:GCA_000165425.1:CT868020:135663:137580:-1 gene:GSPATT00032521001 transcript:CAK62669 MIQQTRGAPQKKYTLNLLNSATDYIDRILSEVSGMKCLILDQETIGIISLIYSQSQILKK DVYLMEKIEADASTKQKLQHMKVIFLIRPTQENQTLLLQEIKDKRFCEYYIFFTNTLSNF YIEQLAEADGSDLIKQLQEIYLDYYIVQPDTFTLNLPSTISLTKSVSQWNSKDEQLFQRV LEGLSAAIYSLRRIPMIRYQGSSEICAKLAQRLSQTMREEYEQSQSQFMLSNCLLLILDR REDPATLLLNQWTYQAMLHELIGIQNNRIDIRQGQKALNQAASINKTDSENEFVISSALD DFFAENEYSNFGELAQNIKDFIDKVTQQKKETVQINSLEDMQKAVDKIPEIRKMSGNLSK HVALSCELSKLVEERQLLKVSKIEQDIVCNEAKSEHQKAVFQMLEDRTIQTYEKLKLVML YALRYENCDKISRMKDVLRDLGVKNNSLNLINHLLDYSGKARRQGDLFSDKNIFSKAQQK FKSVFKDVPNIYTQHQPYFLTILEQILTNKIKENEFPSTNLNQFRDRPSEIIIFYVGGTS FEEVKEIGLLNKQPNQPNILLGGTYIHNSRTFLAEICQLAYENNIEVVVDKSNPRNDNKF HKF >CAK62670 pep:novel supercontig:GCA_000165425.1:CT868020:137613:138566:-1 gene:GSPATT00032522001 transcript:CAK62670 MINEQQITYSVEEILFKNARTIVYRASDKQKNNFVVKKISLEYKNEVEVWKTLKEKQYFA KLLTSFTSEHENSISLIFEYLPNTLASKTEMNHQEFKKYIYQLLIAINSLHKQGFVHCNI NPQNCLIRDNELKLYDFSMTQRLNEQGKFEIINCYTPPEVILGNWHISEYLDIWSTGCVI SEVLLGQPLFKGTKSADILLSIIKILGTPSNHEIQQMSNFAITEANLIKVKKVSFPELFK FKFDYYILDLISRMLIYTPKDRIKIEDAINHPFFQSDPVAQMAHHSDLLQTSDQKEQKKE IIDYGEYGTFKQVNSST >CAK62671 pep:novel supercontig:GCA_000165425.1:CT868020:138584:142603:1 gene:GSPATT00032523001 transcript:CAK62671 MNTNFQITTQTFKQLHEPLQKLPRTCLFTELYKTKDNKITKKKIFQTKKAWLTWKESITR ELIHQRTLSAMYPGKVLTILNYTVETTNHQRNLYITYDCGDDVEPLFQYLNNNSVSFQEQ TQIFEQLLQITCILYKSQFEHTNLKPNNILYTNKQVLITDFGSARTNYQNFLKQYSSSAE KDWQNNLIFFYPQEYQFIMTEDNVDFREESWEKLKAEMSNKTFRKRIDIWALSMMMMQVF ENNQILPNKLTNYYGLNNELLLQKIEKLKQFSAGIYSEVIQSLVIEKKEPEQVYQKFIKQ KQMLKRESSSMESTFTIKQIFDLEPDDNYFPSYKPENYFLDKKEIKQIEEQYDDDASSVI SSNYQIDVIGFTENKPNNLTQVPNLLPPVRSQGGIGRQKPQTQKYDVAQNDQRIFGFQDK DAPPGEPNKRRTIMVTNYDILQQQNQGSSFQEPQPINGGVPLYPIQSEMYRNKENPNQFS LKKVEDKPATGGGGTSGKIDPNVQLELNNNNSLNPLQIISLADNFNENDGEISSPGFEQN QTSTFQFQQAQKLDTFKEKGTPQRVGGGDFINTFENFQFAGLQPENLLQFEDPDIDPNNT GRFIGTQNQLQPNKKFIDLLEIDDLNGQVPNPPIQNQPYQEIFKPDQQESNLIKIEPSKQ YSPSQIVPQKGSLIILNANQNQIPIMEIRDKSEITKPTVPIVQQDDYENLNNEDFKLIQI SENDDNDFLDGGKRTSLIQFGKNDRQFQPVSQIDDPIQQKPDNVKIPTNPYSLDVIKKIL QEGVTQLQDPEQSGVTEKLKQVQKVLAKVVQHITDPDEIFDNDDLQPEEKIQVQQFLVEI NKQNEKDMLKLIEDVNETVEIIEQKQKVVQNIDNKEIEKNPLLLNLLNEDELKRVKERNQ GNKILTEQIDKLLEVKDDLKKFKQNPNMQNLLKKQQLDLLNKEELKIYQRLLEIMPKDKR QGDKILIQQREIQRRLDELQKQEKEEAIKKRFAAFLLDPQYDKLLSNNELMQLTDDEKKK YQAALEDLLLKVPQRQKKSIQQQIDLLKKDNKKKHESKKIEISILERQKNPQNEQQFQDA YMHVMKELGEEEDGEVLSKNKYIRGFQQNKTISQITDIIPDDCQQYQQQSKNRKTKYYDF KGQKYKGQTLNDMPDGLGILRKEGVSSIYKGIFKEGKFYWGQVLEMNDEGLLTEYVGYYH LQHNVKHGKARLKWYQPQREGFCGDYQFSDHDQYVGHFVLGYIHGKGKKIYKDQSEYEGD WKKNKREGQGRYTLKKKGQKTITYEGEFQNDFQHGQDIKAIHHHQTGLDLVVQGKYRNGK PVGQHQLIFNNQPQRYIDY >CAK62672 pep:novel supercontig:GCA_000165425.1:CT868020:142694:143444:1 gene:GSPATT00032524001 transcript:CAK62672 MLSVILRRSASKSIAIRGGHGWDRPDVPLTIAYQHKRRMDVFDTNLWFYCGVMPEYFINQ NEGYLNSAQNAIKYLILDSKYIFAAFAAIFFTYEVFYEARFWNIQPDYLKNPIVNYPRKV QQERSFLVKHFLDLSITGDMGMQRMNPGEKSFATELLETYEEQNKHIQLMNLEGKTYIER VEAESRQAVARRHGQVEAHHHHH >CAK62673 pep:novel supercontig:GCA_000165425.1:CT868020:143769:144176:1 gene:GSPATT00032525001 transcript:CAK62673 MGTACSLNQQSIELSPQIILDRFELRAYPFQCNAKLYTHCPTRQEISKILVQQSKEFIDE EQPSDSGESFLEDTHKRTESQNTQRNSLDFSINKTEFHKKGILRKPHSLKTISQKQVQQR QKKKVHFQKSKFVNM >CAK62674 pep:novel supercontig:GCA_000165425.1:CT868020:144303:144709:-1 gene:GSPATT00032526001 transcript:CAK62674 MLGLDQIKKNNSRIIIQFGIGNCYLYGMLKNAIIFYKQDDSSQEQEGIILNSQLLSILQI LIIQLQVDMQLKYLTQIVNCLASLDSRANLFEQIIHPLIISLKDYVLSKIKLQTIQMIDF SNQNYQQ >CAK62675 pep:novel supercontig:GCA_000165425.1:CT868020:144920:145251:-1 gene:GSPATT00032527001 transcript:CAK62675 MEQQNQSSKNSQINDILQVLFHLLQEPISISSSDDCIEMNPQAYKVSILKHKLKKKQQNY SLAKILFGFRFDFQKATCLHCKQEGHINCCQINFPIDNIYKNK >CAK62676 pep:novel supercontig:GCA_000165425.1:CT868020:145285:146937:-1 gene:GSPATT00032528001 transcript:CAK62676 MSLEEFDAFTSLKVLRDLKKQIEAKAQVDQKSRVALQYIQKAQPLSQYDLRIQFQHWLAK LDDLSTRQIAYSNIFQLVQQNQSQANLQLLITCLQTPINGKGSESIVKTINIIIGIYGDT ITVTDIYKFIEIILKYFNDSNNNVQVAISECWSNIYIKNISKKPVQQRVLLMYSTLSQLI GSGGSRQTQETATIVLAQLFETLTTAQDLAFIKDVVKDYLGIYLKQQIDHSGFYTIMFFI LMTLQIQSFTSQQIQVIDKTLQGINNLKLNYRTRVGALNLMKLIASQYQNSNFKIALGNL HEQVIIVLEDSTKDRVIAVQNAARAALKEWMRLILQIDCDLIPNPVSLDQSPGFLKKQSG TGGGQVYVNQKIQTQQQFKQDIKSALIEDQFENTVDYSNIIHEPNLNVWQKAMRLQQDGL LEDAVELLLHEGDDLYLIRFLMSYKNYSQLFDLLPNPLALRLIDTLILILESDFLNILCL DFIQQFIDCGLADWFKDTDFTDYLEYNTEQNLPSTPLCKKVRDQLK >CAK62677 pep:novel supercontig:GCA_000165425.1:CT868020:146962:147815:-1 gene:GSPATT00032529001 transcript:CAK62677 MATFQERFKGKTSVMGKNGLESVLNSCQQPIKKELDYQNRPPPPMYQPLQQQTNIQISPT KIQYSNHTKNQTLFQYNPYNGEQQILDSLQYLSQQYYFQPKASYQVTTEPQKKEDNRSKS AKQKVESADETKRYKPYTIQDYEIMKKTANAKLGGLGPNLSGEEWAKEREKVLKRQEFAE QVRQFNTTNIVSTRIKEPKQSEPNARTKAMDFARNIPKPQTRKKDEQPFRSTPNKPSDNN NVSKDPFDDEIARLEKEHLKYLNQLDKMK >CAK62678 pep:novel supercontig:GCA_000165425.1:CT868020:148087:151161:-1 gene:GSPATT00032530001 transcript:CAK62678 MDYFSLLLILFLLQTTLGGSVIEFWDYPIIQTYTKCNQSSLFPFNICDNFQWECTVKIKG KCIFFMQDSGESLSQERNFLDSVIIGSFIQQEYEMSIQSQVLELNKNSLSICGDSIVSDD EECEDNNNFPFDGCFNCKFQCEEQCLICVKGLCIQKGIQVSYDIPLIQQIITKASFEVSY NSRLFEKDEISCIFKCRICIENQCTLCEDGYQLNDVTKLCETTCGDSILQGLEECDDGNQ ENYDGCSECKIIKYDDCNHDEYQFCSFCHYGQCLMCMSGYILQDSICQTQCGDGLVNQAE NEECDNPNDVGCINCQVVPGYLCYGPTFSLCQTCDQNCDNCSSNNQKLICEKCKEGYFPV GKNCELCDSNCITCQESSFLCTSCYRNDCDFCESYEGFYTDTEAKACVSICGDGILVATL EQCDDGNEEDEDGCDSACHLEGQAIDYSNYKQIKSSGFHSFDFQMESENRKFSLDCEEVV VNIDSYDSSQFEFTSTNNQGNCRLQFKFYQSIYKFNKIHVQFTFEEAKLRLLNQSENIIQ FEIEPEELIIQTDNQQNQADSISSAQQSFGLVFIILIPISIITNLFDYLWAVLEILSWIN NFYFLNVHYPFNVEIFFLNSDWTSIINFPTYQGLNQPGCIYYFQAPQRFESKGINPLFLN NAQIPFMFIFSATAIYVMMKSLFLLLLLIRSKLNEKNNPKEKRFSIFHLEGIKHIENKKT EKNGQMMKNKDHKLLNSLIIQLNSIQKELKKKVKQTISLCLLDITLAIMLQINYAENLDH IVVGINQLLAVVSISLILFHLHQSYNTINIHKLLAENEYFKEKYEIYYENVNTDSAFGAK YKFFGLLRKIFYIFFTPLLQTLFCFVASNFGLALILYENPYKTKAQFTFQIISDLSLSSI LLIIVLFAFNDQTQIQFIETHKITFGWIIIAFVILTLFVEISVLFYQLVKSFYSIFKLLR GCIPKLLQKREETNPNVIEQESQVGNQKLDKLQKSVPVLFQLVPLEKSITTSQKNQTR >CAK62679 pep:novel supercontig:GCA_000165425.1:CT868020:152558:154342:1 gene:GSPATT00032531001 transcript:CAK62679 MSSILFTPEQQGKIQQVSEFSNQTKEKVIQVLIGNNWQVEKAIDFLTNAGGYISDPNPNQ NVKPIVNYSQNPGQNNINPLQSKKSSNPYQGDKQNSKLKIEKSDIQKIEEQLSLIQDQVK DTSEIIQVKNQMLQKSLNKNVDHALRRIYQYPVGLANVGEKGYFNCIIQILVQNPAFLEK VLAWQTGLKPNNHEDKNISFMQEFQTIVRMLTFTNQRFLNPSKFIQQTTEVIDIITQTKI SNRNLKPHEFFEIFIITLDVILCNKVYEIKQQKKLLDLILQPQFQIIQQQQQQLQVNEII LNFVREDRYLYISLLKLVCQDQFNRFSKLPKTFSFSLQSNQNTNLQASINQSNVDYWLPL TINLEFLTNEKLCKQLGSRLLSVVNAQTIEEINQKQILLNSLIIVEQSYEQNKIIPINVI DQLRIERKKVQSELQKYFLQNDSQIQTEQNQDYQYYLHAVVIQIGKDDKSHYYAYIYNFM LNQWYRYNDTDVRVESDEVVRKDAQQNGCFFVYVSQDQKRSITDHQDRQSKISNNLDQEQ GIINWNDLELNKLIKPQIPKEIKKLIYSQNEGFRILDQ >CAK62680 pep:novel supercontig:GCA_000165425.1:CT868020:154382:155663:-1 gene:GSPATT00032532001 transcript:CAK62680 MSIIELRVCGRYKLGPKIGVGSFGQIYLAKNVQSNQDVAIKIEEVKSKHPQLLYEGKILQ NLQGGVGIPSMLWCGQENDFNFLVMDLLGQNLEELLVLCKRAFTLKTVLMLADQLISNLE YIHFKNYVHRDIKPENFLMGCAKKSHIVYTIDFGLSKRYRDARTHDHIPQKEGKPLIGTA RYASINTHKGTEQSRRDDLEALGYMLIYFLKGTLPWQGIRANRKEEKYQKIMEKKISTPI DQLCKGVPNEFQQFMNYVRNLKYDEKPDYSFLKKIFKERFVKEGYEYDYVYDWILIPMST RSPFYSSKIPLTIELLQNEEKFLIKEYDKDRFGESNFSNWNMVDDLDHNLEELDQQPDQN TKPVEQQKPKKQEVQQPVQQQKKDKDCSIF >CAK62681 pep:novel supercontig:GCA_000165425.1:CT868020:155675:157181:1 gene:GSPATT00032533001 transcript:CAK62681 MKQTPKMSQAISPNNKLINTLLLKSKSPSQYTIQSPAVSPTQVSLPKALYPHKNSSIDLM KLATLMKQQKVKQSSSEGSKDSMTQLIAKARAIAISAKTPSGPIHIRNDSAQVRKGNKDA EVVSPKATDLKQINKAAFTFEYVIGIGGFGKVWKVKRTGQQFAMKEMSKALVITKKSVNS VMNERMLLSQLKHTFLINMYYAFQDRENLYLVMDYMCGGDLRFHIGRMRRFNEEQTKFFV ASIFIGLEYLHTNNIIHRDIKPENLVLDEKGFVHITDLGIARVMKQENSSDTSGTPGYMA PEVMCRQNHTFAVDYYALGVIAYEFMLGRRPYVGRSRQEIRDQILARQVQIKASEVPPNW SAEAVDFVNRLLQRKPASRLGFNGGHEIKLHPWFKNFPWSKLQNRELKAPFIPNPSDDNF DQRQIVIEDEENADLIAQNIQLLRDPNAQQQFAGYEYQSNNEKIFVFDQSKQS >CAK62682 pep:novel supercontig:GCA_000165425.1:CT868020:157665:157910:1 gene:GSPATT00032534001 transcript:CAK62682 MGCTITSQKVNLQKTIMLESTFKNEQREKEQRMKAHLVLDQYKQTKTIRKQIKLKSNVKD ALQEQLYRNKAAQPQKSSIVH >CAK62683 pep:novel supercontig:GCA_000165425.1:CT868020:158887:159219:1 gene:GSPATT00032535001 transcript:CAK62683 MDFEEVENAFLQVGDNRHIQCRNINNYNKRNNQSENYERTCKTQKRQEQTHKDKKWTSDS EREKQFKKVQQFGRKLKLMEKKVEKQVDLKKDDKKIQSKHKSKLRHQLVE >CAK62684 pep:novel supercontig:GCA_000165425.1:CT868020:159396:162189:1 gene:GSPATT00032536001 transcript:CAK62684 MQPQQFLDLVQCAFFDSNNQRGQAEQVLLKYKDEFTDEFLMYCVSAFANRAIQNRLRIAC STLIKRLVGLIHPSNQIMWLACSQNTKNEVKIKFMEQLIDPEHEIRRSAANTISEICAIE LPRQEWPDLIQRLTTNSKHSNVLVKVSAIMTLGYICESLKNNQSSGISEADATLILMGIC VGMDTNEQNLEIRITAIKALQDSLYFMNNLFTKQEIFTFVKNLILQNAVSNIQEIKLKSL QCLIDYVKQLFAFLPVFISDLYQTTQAAFENQGEITIAAIEIWNTICAEIKEIISENSNQ QTPETNSVDCCVSFFKKNYEGFIIPFMRNLLLDDGDAEDEYQQLSVSNSSVKGLAYIIEF AGSSTYDSVKNFIQNTMTNQQWEYRKASVMAFGALAEVQTEEIGLLIKSALTSLFGCLVD QNIKVKKATTQTLSRIAENYPQCFHEHDQANQMLLTLLDQLSNKIPIVQNLVWVFVYLTE GLANFQNSVFTKEKFAILQHLASTSIRSDIKNSEIALIDTAFMAILNIIYSVTETKLCND YLVQFYQQIQFLESKNQYPVEIKYHLEMGLMSAMHGCVVRLDDSTTSEKQFEDIMKTLSK VDPRVKNDYFYVLSGIAYAFKKKLTKYSTQIISELNKPLSEPDDMDSFKTALFCLSDIAR AMEEEFVPYMNILNYFFGLIKNGNFNRELKIQVYNAIADIILGLKEKSAPFVNDLKDILK LGFAASIDLTKSKLSVDQDYAERLKETMTAFYTCMLHAYCDPKTPIYELGDTVQWFIIFC QEMCNLKLKPTIEYVRLTLCCIFDCSHFFQNITDMKQKIKEFITSDFVIELIRKLSQFND KDYQDCVSFAQQLLNDVYGYQLKLY >CAK62685 pep:novel supercontig:GCA_000165425.1:CT868020:162357:176371:-1 gene:GSPATT00032537001 transcript:CAK62685 MSQAYQQMNDEHPNEIEIPVIKPPNQQDNVAQQSNQEKATKVQKNKKKVADSESSSSSDD DNKPIVKKSDSGAKKHGDKTKSLQLQQMELEEHNKQALKWAIRLRSFIRIIFLIITTTVL FTGFYVIFEFFAFYDGIQKIPANSRLTMNVTNCRMHIIDSEYQITKSMSSIKYLGASITT LKKRNSHTQSLNQTTLEGPKLGDAIDESSSLLIPDFALEYSIPGRFNIDPNANTSTISED IQGSNYSYEFTNLLSEQSCQIDLHVYKQAVLDDLSINCFGSCFIVMNSNNISYNTINITG ELVELNAVKLVTKNLTFNSIQGRFQGNYLQFEQFSDVRLENGDIVFQTDNEMMVNWTHAN PHYCYAAPVIANPIVVGCQEAPASEVKAFIQGVGTGKKKKKKDEDKDKDDDDKDKDKKDE KKEKKDKGGETEFLSLEDEIYESFFADEHENLQGNIILLPFVDTYNDSKQFQVELDSITH EEEKAAAVPKKDADPKQDDKTNPKANMQSKTKDVKEKQAILFQPSCFGNSIFCQSLECQS IKDALKKTNNTIKPTNSTDQNQGKPSGSQLRFLKEGDEIAKDDKNETGKDANKTEAKIDY KGIYAEAQKKLPHTVPAGLRFLNVTGRFGNVYVNIIKRQNKTVTTKDTVIHGLSYASGSV DFDLPSQKTLRHYLKMAEDPHNFDPNFVLKVGSKITRSDNYQQWSLTYNPAYSYVKPWWM GTFSFTLMSTASWTLDLNLSPGFCPYHPALDLDDIYNTRFLIGKYFEKAEHSITTISWQN SVKFPTENYPKEATGLRKYIESNNEFERWIEIVKLNETSYQLTNINLDQSPATQWAVYVS VLISILTAFFLMLGVLYMINSVYKQILEQVAATNKYSGIEKKNDADGAQPLMKIAPEKED KDDKKKDDGSDKKDDTDKKKQSSQDMEIVLNMNLGRLLFQLFVLAPPLSAFIDYLCVLIS KKYINSAEEFYTHLFQKKQKSNTDSELHNLTTESILGNEMKTLYEKFCFLNGFLEQKLDD EKNLKLLKQKGFKITLKEDAQTESYIRVLLNGMMPKLPVITPDKNPLELFINNCCKLTNF EEDNIVVQTFNEQYQEFCVLNHLPYEQPSASELQKSYNITSKYIPQQWVERDEEEVHKED DAPPSFMMKVQNCLTDCLKKCLPEQQTYIINRQKMQNHYSLILNNPELIKTQAELAEANR ELILFGGWWMVDFFTVLAHFITTVILIIPLFSFTILEKILYAQYSLIPIQYLITLEDILQ NYWVVPLKLYNESTWIKNVLILVGIYWVYSIIDLLYYYISMQFPQENFFRKVSPKTANRI QLIFRQIMWALVLYSAYQLCVFITLALVWLLLGAIVNPTAFLPYATAAATFITVVSSKAA QFKEIATDGFETVIAYVQKIAKDQMGGMIAKMDLKGKMHDMMDSDAFKSVAGQAASLGLV DQSTLAHIEENADALLSNPDKALEAASNAASELQAIAANPQAFVAKMMKELEEKAIQMLK EQMAKQIEGYGQELANIALALFKKDKNMFKNNLTQLIYTAANTLKLDSLKKIGQPIMSFV WEFAYPSGNTAQMSPEDYLEALIQPCAECFAQLIQQESAILFQDQDMQMFEPRILSLVLK KAISLQKHLQQENFLGVFNDLDQIIKQLKDEPQFTQIKYLSKYSGLLKIAMSLFEGRKGN QITFHKIFGIISGFFDEIPQLKDMKDLLGLIELLVNSFNKQHKALPTKLVRQRISKALDV INGMIKGRKSNMDKILENPSLITLLFNFMQHNFEKIPKEVIRDVFKFIFENFHDESVKSI RDLVVSEREFKKLIPLMTGVFEMVSLFMEDSRELTSNLHLQANAFSIDEKKAQQLQAVAS IKYYKYTTYEGSENEEKLFEKLQTNPLLMNLATSLRLDTKTLLAIIAVLLQLYSKQGLQD LFQIISKIHKTEVNRVKQFFSLLILFKCNNATHLSNACVDLDIPREYVILVLLGKKVLDI AYADESNLEKLGASNETIDAIKSPDFELKAWMQKLKKDVVAKEDNSVQGGNSGRLKTFLI ETISDPACQITAQKVKDILMDVGNNNNQFAQITQREIDLLTNILLLKNIDLFTSPQALTS DTKQSVYAFASILNVNKQFFEDFINIIFLTNSDRVIQSIQNSQPKIDSTKGDEFISKENQ KDYESYLEFMYSKMEMIQKKHQFIYSKMQNLFPMIKLPLPLIEKLLFNKDKGMDVNSFYQ LAYLIIEKDENGKLPKGTLNQLNKSVSFLTSTLNSQNAKDLKQALDKKDLNDGQVLVIQM LNENSKQRSITLLLNFLIQNSKRSKNDEKRPLPQIYHIFACLYLYFTGQWCDLTLTFEDL ETKQIQKQNILQYLTKKLDIKQEFFEAIHTFFLNDNQKFTQTMVKFMRRQQACKFEKENP GIKIPLQSLVKIPDQFVLNFINLITGQGYQTSFFSKELSMDSGVVEMISTLTTIKNAKVS SQRIQNYQYLSTSSKKLGPLLDKVGVKGDEFVLILQIIFQDYEINNIQALINGLKLEQKL KVQGVQNLLQLDKTVSNYDKDWLKQISSIKDNQLIKDMKVNPEIAVMTKGLVRGKYTGLE AYIKKLPQFDYAQCDGRAEYFGLLQGLIGCISGPIPDQFNVKGFLANLYKLLEDPKTKDI PQELTPTNNSVEYAIYKLVQIIQIDPIVLMLTQGMIPAWRLIQETYINEEKKWINPVLVL STFLTFQAIPTLLKEMFGEVEWFRQMDELFQKIYYNDQVEVPDFEPFWGEPDWIQEQEEC IEEVKKKLFSDTIDSIEELQDMVDICNFNPQVISFLNIGKNDIKNAQLDVLADSVEMYGN LTGDDDLLGIANIIRNTPSTDPQFNRISYDQAVEMLKDDPEEQQKLKALYPLILYQQMIK VYQETCSLFIDPRRNMEQKVLTDSWIMKDIECQQTPIFTMGITSTLASLRRSFWNPHENQ QPRDEEHYKITVQQIDFILTSVERMYYFKNFTDYFSKTKAAILIGLSCGFAISAENMISA NCTASLVTYQRALKHKSLQANQQQKVLHKFDFLKDLIEKRQEEYCSMQNRFFKSYQFNKI LKYGLDIISEPENYLKFSIQNIETTPDQGINWDDYFFDIGFLQGSSQITKWIRAEGVDKK VSAQTRLPILKERLKQLMDKEIHEQQDAMKKAWVNQQKLNIDPKQNSSQEPTLEEAVLEV VDVRTGKKWLSVLSSQEQWSQACYQYNDNIRLLIDIWKGNYQSIIEPDTYFTDEEPAAAM AYYGLAALSGAFYKVKNGWKQNTPQQLSAYLNACCWNTSSNKKNLQEILSVFLKSFEGFT VLAQSLQQNVQLVNSFVNLSMQLYETDIQQSEDQLTLIKSMNLSSNDTEVLNSLIDAYLG KMDVYYQLADYSQVINPNTATKFKPVQMNNLIKKKRGDAQTQQKQEQQLNEQKKAEDTKP EEIKQNPPEEQQKQQQQQQQGNGQNQGPVPPELQNAQSKEQKQKKAPERKGKSKLQSSFG SSGDRYFSIKTILLKLLYGFDQSQLHQIEKIDAVRFDFSKYSALSSDPKKTEEYFVLLLW QLAQAITKETLPNDLRLPILTDFEIGQSNDLANEYKNNLTTIRLITQFIIQIVNDDYSFF FQKDDDVSVVLQKLSFTKEDPQVELQFLRAMIGLKSNKMMLIQNKRALQKNKRNSQNANK PELQLDIQKQVENLIESKIINIKLENKSDQENEDLSKNIALLFLAISSGNIEKMYTAILP HDSMSAGFILTMFMWKNISTIIGNEEFLKPDNAMRIIPPLQLLPLLIYSQINEQQDKKNG TLRNNQRQKVITSFQIILNAIKKKQNKPGHSDQEFYNKIEKMSQSRKNQSFSWSLWLLKM PDFLRVQTQIQMEMEKEVDKSKKTAFSRRECDFIFKIMTGSYFVEKIEQVKFIGPIFNLY KICNQIINYPETVKIQNIDFKKIIPNTIITISDYVPDAIRTYLGVFDKFVQLFVFIQIPE RSLKAMKDSSDKLEQQKNLVGSQVHSQRDEEEQDYEDEDEDRDDEVDEDDDEQEDEDEDD EEANDNEEKKQQDDAPADGLAQITETINEFILDVLGQTVNSLWLSFKEENRQSLSDQIDK LNKEINEKKANQLRQDQSKRLSTNLPLLGQGQLLQPQIQQGQQLPMQQQLGGPIVGQTQI VMQQQITMQSQIQQQIPLQQQIPLQQQIPLQQQLPLQQLNQQMGNQQQIMPPLAMVPQGY NFQEKAKKLSELQVQLTKIDEQSTQVKRLISGIILLLTGYNIDDLKAQNDGEKKLQVLCF IIDSVLFKNLNLLQYVNTVIIRELFQEIQNINNCPLKSITNQDFLFQIESLLYTLTGQDP SNEVKDKKQKGGVVQQKEKQASKSDQWTIVLPKRAKIIELPMGVIKCIFGLASYNFEYVP KLLKELSVSDSAIKIVEQIIKQVQEMGVFGGKQLSIGGGQQDSKKAKEIQELMKKIQEGT ATNQDMFKAIDEDGTKTISKSEYGTLAKRLGMNLSEHRINEIFANIKKGSSSANQDSLNE KEFDQAMKYLQQKNTDMALDFLGISPGLLTMLLIQGAIILLLIFIFIFLGIQAFALGGTF GAVVNSILPMAAGGGAGSKAEKVSEKKGDDDQIGDSVDKTQKIIQSDTI >CAK62686 pep:novel supercontig:GCA_000165425.1:CT868020:176640:177960:-1 gene:GSPATT00032538001 transcript:CAK62686 MSMQNSNFQQENVASSDFLSESQYKQNQSQQNEYSGRNSQLKKNSIQKEQTPIQLQSKKE NQVIASIQVQENDTNYFYISKRQSAKSSSLEKKQTIQPNIIQTEEPQQQTHLQVRKNSQL KIQPVFQVKIQITQYNNNYEQVTEQLLTPQNAAQQMKDIFKKEQNELKPVQLIQSNIFQS QSREIINRRSTNQRSASEFNQENSDISYQEQKLSSYEIKMGVKDDPMMKEKQDILQDIHE MLKQLTIKSTNKRHSILEDSLQLCLLFLPEIRQSDHQVHSQGFYEEIKLRYQLDRSYQAF KRRFYHMQLFADSWTIQNLQKMHSILKNSHDVQLDSYHINYSIINKTIDFPDQNYKKRSP EKPKVVQQIIANSQNKKIVLSLTTKTLCQYVNLTAKEIDEDIQEFDDELRFIDMILKLIP LAL >CAK62687 pep:novel supercontig:GCA_000165425.1:CT868020:178107:178565:-1 gene:GSPATT00032539001 transcript:CAK62687 MIGPKQVFLIPLGLYQNHEDQSVQCLDDFEVKSKSKEEFSTTHINQTQYSNKKLGKNHNK VKFNPNVIRYNFFKNEPAIVISRQIKKLILQQPSLKWINPTLEHEIKMILFNFHSPQIKI IQNFIPLMYFTKNSSSFIVENGIYKSLQLAFL >CAK62688 pep:novel supercontig:GCA_000165425.1:CT868020:178783:179600:1 gene:GSPATT00032540001 transcript:CAK62688 MSKPRSTSTIEQRIKDVRMQRSRNYSHVEGTCVCSDCLCGQCKCQVMQQHYDYPKLLLSN YKREYPWKCSSPPKPVQQVEYQPNFERVFRSSTYQRNFQQFNIQKTQNFKPSPQDWRNDS PVVEITSYRTNFKQQPNNITPMLRPIEVDHSVNLPMSQKTTYKNHFRACSSQLENDHYVR EAHYKRHTNQSPNPYLQTSYQHFYNVVSQSQTNAILPQQNQPFMPTLSGLQNRQSRYQSD YKRKQKLKCQARQYLEEYIIINQD >CAK62689 pep:novel supercontig:GCA_000165425.1:CT868020:179743:180090:-1 gene:GSPATT00032541001 transcript:CAK62689 MGNQIQKINRKIDQLLFKAYDYLIKDDELSDKTVDVLSNDENINENFDETQQQRETQSED QPQSLNLYKNKSKLINKSTEPDPNLGSKRKAKSQLLSDRKSNKKICEDKENYNYI >CAK62690 pep:novel supercontig:GCA_000165425.1:CT868020:180281:181423:1 gene:GSPATT00032542001 transcript:CAK62690 MSNIKYIYLKKIHKVPFTVNSYYSLVETIKMTYKQLKDIYLFAIINSQNGEAVWEINSDV TFQSLKSTSQQQGLSSIKILVTENQNYQEVLKDSWNLLNQSTVATEKKSQDASTYFQPSN QDQCQQSAPQLGNIGTQAKAQQIDNASNTNTIDYRNNQQLKELIDEIIDQKLKELGLIKS NDDKIDPSDYKFSLTKKNTKYTANIDSDLKVSLCFNNNGNKKWFNPYLTNTGIRLHQKFS DLAPGEYTQVIVSIPYIPNHFQGNPQYTYRFKIYAENEKGQLCEVYGEIPIIVKAPENIQ LSPEEQKISKLQSIFPQIGKQQIVKFVQQQNQDKPIDQLIEEFLQ >CAK62691 pep:novel supercontig:GCA_000165425.1:CT868020:181499:181984:1 gene:GSPATT00032543001 transcript:CAK62691 MQQCNLADFSFSLQNQNDIEVEQQTHFLGVNSSAADISSEEENDLDRKIQQLYSQTKQAL QSIKKRQISEQVNSAKDLSNVKSCLVCSKSLPFLQLFVSKKVFARNNKIKGFTAIQYPQH LNSINKSDNQQDQKQKLNQKIQNNSYFSVPKRNICYSYIKI >CAK62692 pep:novel supercontig:GCA_000165425.1:CT868020:182038:182931:1 gene:GSPATT00032544001 transcript:CAK62692 MKSREDSVSIRSDEQSLDLDCRIMKPKFKQPDYDTYQTETSTSVYKRIMEIKSSSSEYKF KTCHEAVPSTKQYGCVRKFSDCQLQESNEEKYRNNQFINQNFDNILGKDKTRKKKSKKGS LYSIQSQSQSPISNKNQQNQLQETSSKKSQKSISKSSTVNLPKKGMYNSQEKKIGHTLDH QPIRVQQRTNQLNHHVDQFQNPIQEKITIGQLNRYSLNDNKIGQMYRNTNHFLLTASQPK SILKSKSVCSESSNGENSSKYKSMKSSIFKKVTFESIKGQNNMKQSLHNQQQLIIIQ >CAK62693 pep:novel supercontig:GCA_000165425.1:CT868020:182965:184367:-1 gene:GSPATT00032545001 transcript:CAK62693 MFLGFRRVRFNFGAVLEQYQLLVRQEEIARDERQVNTIVQFDRVNDQLVQTHQQWLQYSK FLDDFIKRNHKPQQSQVQQPSAKQSSFFSSLFGGSQTISINETKLQSTQQDHNRPFVQQI QGLYVFGSPGCGKTYIMDLFYEQCQIPQKKRIHFNEFMLDIQKDMHNCSSKEDPVTKVGI AKAKELRLLCLDEFQVTDIGDALILKRLFETMINNHMVLVATSNRPPEDLYKGGLQRHLF LPFIPFLKQSCIIHNMDSQVDYRYSYSAAQTERLLTFTSPLDESAEQTMKGIFKRISGTD KFHEKEIEVIEGRNFKVKRQANGVALFDYEELCEDVVGASDFIALCRNYHTICLKGVKQI SMSNRNAARRFILLIDEMYNHKTKLYCSAERDLMNLFVVKSQGEQYDEEFALERCRSRLK EMQSKEYLETPSYYDQQKQ >CAK62694 pep:novel supercontig:GCA_000165425.1:CT868020:184367:185010:-1 gene:GSPATT00032546001 transcript:CAK62694 MEELLMFSAQNKLTFAYNRNTMLIQTELKIKNISQNILEIQIELSNPQNYKIKPNNFYIK KQETRVVEITQLNYVPMRLDERAKIMYRTILENSTTSRKNTQTVYHFYKYFFFELSSRKK SSLNDESSNFTQVRQPEQRQLQSVIEVNDSLNYWQLVTLTVSIIVIIFAVFNWLYKKFIK RDY >CAK62695 pep:novel supercontig:GCA_000165425.1:CT868020:185062:185840:1 gene:GSPATT00032547001 transcript:CAK62695 MKSRLQNGNYFLNKDFAYYHRPQIPVTIQSKSAIEIKPIPQPTNYQKKQKTIHYYDQYKF EQYTSAKTKLYDLLSLRQTNRTPIQRRRQAESSLIVKRNPEIFTSVVEMNDVKQKVKTVS QECPRTQQKITMVQHSAQLASTQKRNHNHSKSTNNNNTSTPILNKTVSQFQCFTPLTNSK NNAQSDLMQRIKQQKLESLINLIINNQLNNIVHGFTLIKNTAPLLPYIKDLERRKAEFKQ KRFQFKLIRIK >CAK62696 pep:novel supercontig:GCA_000165425.1:CT868020:185902:189050:-1 gene:GSPATT00032548001 transcript:CAK62696 MNQIFYPLTQVYRTSTRQINKVVYYSNPLPEIKLKSNDLKQSIFDWLRQLNQEQLEEVLS FTSYFRVYLYLKMFKIDQIGLHEYQELLCSPFEVNVAEELSRYYSIQNCKRLNKIDLNAH KYILDHIYVSDYEWLFDTITLRGDVEQIINSFETISNGTIFTSLGELESHETEGYYEINL KKQKVYQTLPELLVEEFQIAVLLKYRQSHEGSNYTQHKNLLNLNLVRFNYASLNLNQAFL EFQQLFTQQSNQKQFINELNLIHSWNEEIKMNPLQCQILCKEVQYYFNQPKELFSVPVKQ VLNEYVLFCKFLISKTLLKEFPLTQAPEIKRVKPEQDKQKQQQQLQQVGKLFKNPSKSKI LPENDQRLFSTSNEEVESIFYEFATSFMKRVVDESFIELENQKKFKKQKQKKAKNQKKQY MSECTSNCTEYQQIQQQQEIQFGDEEWINDTQTKNQKKKQRRKENQEKKKLKKLPEIQQV ESSESVQTQQFEPSVQIEIQKDEEVQEQVQETFLCTTTSTHDDDGEFIEVTKKKFNGKKK KQNSKKEKEQKEDQVEKQAILMKKSNSSQQNYLSDDYKMGNTTPPKILSQKKEQDSTLQR PGLVKSASKEQKTYKYDLEKETLEKIYQEILEHKISRDIQSIYQKEQDNLLKFRTAREVA ILRVQHIIKAHFFQFQVEPVIFGSSRTGLALHDSDVDMVVFGLPVFTKAQLFDPMRKLLE VFSQMKWAISYKHIFQATIPLIKITIDPSTGFLEFVGNPLYYVMNHRNIDQFNLKYGELS QNIQIDITFELQQPYPMYGYQGYHVGLLSTDYQRQVGNSVKGFTEVAIVLKKLLKHRGLN DSYTGGVSSFCLTVMLAAIGENITLGDKLLQFLLRYGWNFDPEKQYISLHSEETFMPILD QGDRLPLNIISPINDEKIQIYVSKIQEILQVFKELYLELKENMNQIAISLQKKLDQKELL QLHQTNIEKLVDLIQWQNTNLLDSHF >CAK62697 pep:novel supercontig:GCA_000165425.1:CT868020:189093:189835:-1 gene:GSPATT00032549001 transcript:CAK62697 MGAYKYLQELWKKKQSDVLSFIMRIRTWEYRQLPVIHRATRPSRPDKARRLGYKAKQGYV IYRVRVRRGGRKLLIRKGLVKGKPKSQGVNQLKPTRNLRSVAEERVGRKIGALRVLNSYW VAQDGTYKYYEVITVDPFHPAIRGDSRINWITKPVHKHRELRGLTSAGRKSRGLRVKGHR NNQTRPSRRANYARRNRISLRRYR >CAK62698 pep:novel supercontig:GCA_000165425.1:CT868020:189930:190562:-1 gene:GSPATT00032550001 transcript:CAK62698 MENGSSSQLQKFQEHGLYQFILNRNRVKAKIVKTQQQITPLKQKRPSTALVESQGKQKLH MSLGLQLESFSTIQPKQQIFEEHNKNNSLHQAIFNPNQKPYANDQKIMRAMSTLSKIKNQ RAFRPCPFTMGFNIEQCAIKPKSIKERSISQLQQIKSLNRTDVIKYKRFESPQILIKAKQ QGQLTKWEQYDDSNLFDLNFLNNNLFKYEL >CAK62699 pep:novel supercontig:GCA_000165425.1:CT868020:190645:191094:-1 gene:GSPATT00032551001 transcript:CAK62699 MATTRHQKRTKTYTYVRNVDENQRSLRTQSRLDILESDYYDSPNKLAEEELSDASANRKK SKMLRIAKKKQNNSLRKNVNLKKMLKNTPLESEFLNFQNITPKAKPQQTKQCSICRSQAK YTCPRCLERYCSLDCHQTHKEIQCLRMDY >CAK62700 pep:novel supercontig:GCA_000165425.1:CT868020:191144:193111:-1 gene:GSPATT00032552001 transcript:CAK62700 MYSFDGEVIMRLENVDLQKMKRISKIAEEQNQMAMQYGGFILEIEKILYDPYKYIMCIKY KDHICLKKLEYNQFMDEQTKYLLINQACVLLKILSQISSQQQISQKSSEQEISQHLGQLL FNSQNFFLNGANEILAITFISDNLWTNSEQTHTYRKAIARLMEIIIEHPQGNLGEDIQAF FNEQIQELKQDNFNIYYFFSNYCEMYDELQQNSQQTMPTLPKELLNEAWFNQASAILTEQ PLQFIFKNKMIDQKKYQQQARLCCSQENFDPNYFYYFDQRRLVLYREQNRNKNSIDRVNK LDKVLNLYNLISDLIEGFQLDKIPTLEKYDPTEQYFVLYHVENHFSQNGNKDQVRDFRIY FSQIIHRLNKIDPKEFEFNQIKKEIDTGYINKERNKMLFWLELENNKIIDQFIQYQTLKQ QGQMMALKDLNKVIGVDQFKISYFIKAQDHSGGVQIGYAIDDLGNYYKGIFQNGQLYFGD ILQVSQNQQQITWYENVSYQNKIIFGDNCKKTVYNLKSRGYQELEFFRGNLRNGQYHEQG YLKQQSKNQKYEGKWVNGLFEGQGTLTILNCDNNKLGYTKYVGNFHKGEFHDQQGIFYLD DKFTQSEKRNFQNGQQIGKAIRQGKRSISFQQIFN >CAK62701 pep:novel supercontig:GCA_000165425.1:CT868020:193630:195122:-1 gene:GSPATT00032553001 transcript:CAK62701 MNSSQRQPRSRIFDVDSQRPSDRSNTPTRALSPLQPSQHIQPQQQPQQQQPIPTFQPQKL KHILYNAQQSTPIVSCHYHPDQFIQNFCKNSDCLLPLCPMCVTIHQDDHLGQDYAPHFDL LGYCLGEQYNKIVDICNMLGEDIEDVVELRNLVKNHREAQKKKFLDAKEQFYKAIDTFMQ NLENNLNSQSIKQTDQLLNEINQFHRLAYDRWNNMQGRLQKLNSEKCLKTLIKSYKQSRP EDIYSRQHEMTISFVDQVKNELDQVEIRPSQLQIILDQLQSYIFISRDQVKQPKQVSPIK QQQQQQPIIRRVVHERPSSQQNHHASNSPIPTRVLQGFPILQKFNPITAIETMNMPQLPP LPSGFPSLLPFPNQQFNFQPQYAQSMMLPNQQFLPQQLHTMPLPFQSQHPYQNPPQLLKQ QQLQPPPQQFIQPLQQQTALPVQQQNQPLAQINLNQQDKPGEVIDLKTTKNPLKMAEQYH NKLFNSGE >CAK62702 pep:novel supercontig:GCA_000165425.1:CT868020:197500:198539:1 gene:GSPATT00032554001 transcript:CAK62702 MFQSTPKLKSYASQQLLQNTLQQKQFQQPIFGELNPSTPQKFSIVKNLKVLNAPSQQTES SFRQSNSKRINTQESPSVNKENISNRVETSQIEIQLRHVLQENKKLNDLIQKLTREKQQL TIGDKNTDLILIKQRVERLESVIDQQSDEIEEWKKKYKEVCEQDQRSNSIEQMEQQMISV IEENEKINEEKLKMQQQIRNMEKDVQQLKYQIADQNNQIIAYEEERIKLLDQLNNNVISV KQPQQQMNNKDYFLEQISLLENNLSDLQSAYSIQVLDNQKLNQMIVGLNEELQLVNKQLE EVKKNHKIEPNQKFSVMKSLLGKLREEVNS >CAK62703 pep:novel supercontig:GCA_000165425.1:CT868020:198571:200507:-1 gene:GSPATT00032555001 transcript:CAK62703 MDPTPQPEVQKEEKKPNQSRGENRKREPKQKQQQVQYVVKGEEGAATEAKKETQEQQGEQ GEKQQKKKQREDRKRPEFDWDKTKITLESKVPELPAHPPQKPSKDDHYKQLDKINEDIRK QWNHFNDFVKNVKEQQNLARQGNQDKFQNEVKPLGQVIGEKIKLATEKSSELQKMKSEND EIKIQINKLFNQSQEYRQKMKSLDDPDRIIDELARLKESLQQDKLSANEEKRIVQQISAL ERSLPYIEPLQKLEKEQKVLRTKSAAIGKAMSVLYQSIQELNGEIGKYKSDYDKLKDSTK DSVVAKQNPLIDKERQIIETKVQELKDRKEKLKKDYNNAWDKYEDFEDLERYREWFLKQL NRIKKDSERKKREEERKKREEARAKREADREAEEKAEAEAKAKELENVNPFQYQVDLCDT LITYLNKLKPTAAQQQAQQQKQIDVEQVLKSDDWKKEKCTVIKKEAEDNLYNFSQLKSKK KNKQPQQQKDAKEEKKDEKPSILQHDLQTLQFFDTVKVATPFYITELDNVVKSIQERKEY YLNRENWGKKDEEETHTEKSKAKKQQKAQNQDEFPALQ >CAK62704 pep:novel supercontig:GCA_000165425.1:CT868020:201099:201748:1 gene:GSPATT00032556001 transcript:CAK62704 MSDFLILKKFLKRYKNFEQAQYNFSFNLQFTQVKQVVNKKIRQIKSMPEFLTKQDIIFFN SYMFSFLQLILFFLNNFGQIEQNKLESYHGFFVLLLIMQSLGYKFNCSSFIYYVLDLEIE LLSIFQSPKQIINQNRYSLKQGNFSVIGIELQTMIQHSITELNIIQEYIQFSLLLIIFTQ VSKGLLYFKHMSIAFMMILICNLN >CAK62705 pep:novel supercontig:GCA_000165425.1:CT868020:201928:202628:1 gene:GSPATT00032557001 transcript:CAK62705 MNQPQTRQVQKLTIDDKQKFELQKEIFITIRLIDMVEKCAQLADDKITPEKHSQEITKLI ERYKNFTSKIDKYDLNQFIKEYGLEDCKFGIDRINKGPPQIKQGNRIQLVVDLMQRFYLM QDIILENKDNPKTQVFKPIVDQLIMLLIRAKTELPPYKSYLEDLQQLQYQFLIVFSSKQH LENQIIGVIPEEEFQKFESIIDLAQQSFMTSHSQQ >CAK62706 pep:novel supercontig:GCA_000165425.1:CT868020:202675:204193:-1 gene:GSPATT00032558001 transcript:CAK62706 MATTKVKKSKRVEEVEQVQDEDVIRTSHQGPKIDSSNWPLLLKNYERMNILTSHYTPIPS GSTPLKRPLIEHLKYGVINLDKPSNPSSHEVVSWIKRILKVDKTGHSGTLDPKVTGALIV CLNRATRLVKAQQSAGKEYVGIIRLHDAISSAAKLEKAIQDLTGAVFQKPPAISAVKREL RVRTIYESKLFEYDPEKRLGIFWMSCEAGTYVRTLCVHLGLLLGVGGHMEELRRVRSGIL DENKYMVSMHDVLDAQYRYEKFKDESYLRHVIIPLEVLLTNYKRVVVKDSTVNAICYGAK LMVPGVLRFDDKIDIGDEIVLITTKGEAIAVAIAQMTTGEIASCDHGVVCKSKRVIMDRE TYPRKWGTGPRALRKKALIKEGLLDPHGKPNANTPQDWQQFYVTEENNNILKDEQQPKVS KEEEVEVPEVVEKPKKKKKQVVQEEEE >CAK62707 pep:novel supercontig:GCA_000165425.1:CT868020:204465:206513:1 gene:GSPATT00032559001 transcript:CAK62707 MDQSQRFVLVKAILPQKDMHYVSLINEQLKLQKKYPQYILAINGYDFFEDKTLLQITYNC SKYAKSLTEVQFKTQEEKFRIFDQLIEIAEFLEKNKILHNNIKKNNLIIDHNKLYLTDFG YIAGQPDLNIFRNKLNFINLNLNQKKQIYPYLTKGLMEAIITYNERQLKQKLEKREPIEI MQYDQHVLTSLMVEIFSPLEWNNCDLSYLKDFSFSQKIGYIKINNLDLQDYAIVIIEQIL NFRFQKENQLTLEFPRRLEPMLKKIDKQRVLNNKNLELVEDYFKNKDFEFDLGLLYCFTT CKLLELETQVEQSGKEFDCKLRKEISRILAILNMIDNQIFQKKDFEKLESLDDKLFCLAY LDEQFETFEISKNRDQTQKIEKLLYNYSLKYQLIEFYDQINRESKDQFMVNQAFQKQYRN QLYIHPETFEQNEILKEYINIHIKIEIQFDINQDETIKKDTIIIKQRNKGDQTILHIKKN NEDEKIIHEVCVDHINYIKHLKVVKLNRHFQPTPTVVFKLIKKFKYYTPLVLLKKQKQLA ELIDYNKKIKYKGECDFDEDNRDIIFQGKGIREILESDQTTLKIGDVYKVSGTFKNNILE GDQIKTFYYHFIENKRTFSIGKYKNEQLSGRHEHYLINQPNEYVKTIHHCSCCFCSPLQS CYYWRAWCAWCPCLFRYYWQII >CAK62708 pep:novel supercontig:GCA_000165425.1:CT868020:206606:207928:-1 gene:GSPATT00032560001 transcript:CAK62708 MQKIEFNCTICLNHLSDPTCLSCGHSFCEKCINHHLKLNHSCPLCRKPTLPEWPVNEMLK EVLLILFEQGPEGNFYAPIRNLVLQTEFPQIVLCLDCGLTPINPVVLPCQHLFCSQCFQN DLVEQYCPVCLQQCMITDPNINLLFNQFLQWYCETEEKFVLQDQQVHSMPIFLFDKQICY KRNFSLRIVEDRYKQLIKLASHGSGKFPVVPFTDQLPVCADMVEIVSITDQIQIIGSDRL IIDAIYCFINNEKIPFTRNTFKALPNQLWLCNYTVIKDNQDKSPIWNKIKQFIQYTFTEL TEEMKSLFEKPYHLMSIFGTKFHQVNSSLIMIQLLKINNQQFQKLYYSNDEQLRNLFIWN FINQIQQKIIQIIGTQNQSAKLKQQTIDQEIQLPNLQFHNIYEEDDEDFNEDLNDSFSNM TITQQIILNLFHLHKVPIFQ >CAK62709 pep:novel supercontig:GCA_000165425.1:CT868020:208222:209888:1 gene:GSPATT00032561001 transcript:CAK62709 MICCSSSKKKDDNKKPIEILEQIAPENQQGDKSCRTNQQQEVELEMEERPKKNSVGYQVQ DENVSASICPSFVVGKTPFTLFVMSLLEKQQNMKKESQQQEEMKIDFQEDLSVIVSYPQI EAEVKNNEKDKSQLVDIPDQPEVIQEEDEEDDDREMSEEEAKNAAIFKWAKEIHDYNTDN TEELISKAVSNFKPLQSKEESRSTLAHKDGGKVCKNKKLIRTLRAIGKELIKQIGKQILS GNLNLTTVSFPIKAMIPKSALEKVLMSSCLFPLYINRAVLEKDPIERMKLIICATLGNFY LNCSFLKPLNPILGETISGLYQDGTTAYAEQISHHPPISYFLTIGPKNSYKFYGYYNYEA KAGVNSLSLRNKGKRFLQFADGELIEYNFAGEEYSGSFWGPMKVECKGKITFLDKKNDIS ACVELDSVRWKASDYLSGEIKQKGKKVCKIYGSYMGYIEFGEVRYWDVNYIRPYECKVFK PNQFLLSDASFRPDLRQLKRNKVQEAQKEKDDLEMQQRQDAKLRKPKK >CAK62710 pep:novel supercontig:GCA_000165425.1:CT868020:210190:210921:-1 gene:GSPATT00032562001 transcript:CAK62710 MKVLQALKSTKFPFASFTNRDVKSDFQQLKPHFETLVPDLYLQKRLKLPSDKIMRQRRMM NYQIEFDKDGNMTRFHEEYRLDNYDETKCLQYANVYEELTKEMKHDQIYKDFLYNTLQIT WQLKQYKKAQISVHAVRTISYADQPAQSTPEGPNRDGNDLEIIGVVNKINCQGADSQIYS PDKTKLLFEMSLEPGEGYIIDDKSLWNYSTSHKPVDQSQVGIRDILGFVLKIDE >CAK62711 pep:novel supercontig:GCA_000165425.1:CT868020:210981:212688:-1 gene:GSPATT00032563001 transcript:CAK62711 MSYQQQRIQNIQEYKYTSETKTVRSNTPQTRETRYQIHETSIDNIRSTPESRQQKSSPQI CQFLMACEIERLGSENEKCKIIPKIDIIWNQQIIDLTNKLNEMINVVETHKIEKYELIIQ ITTLQEEIQEQKELQTNYYESRVVNLSNQIDNLTLQLREFETIKLDEMQVLRNQLESEMK TEIEKALQTQELNSSYQREFLEGELKKWKDLCNQKQKENDDLKNVILQKEINKQRELENQ LLSYKNETERVNKLLVSKSEETESWKQKYLKLQLINDDHKRIQQENQQLNDTILALEGQL KQRQEQLNQVKSQVENANNQFLTEKSKVNQLLTELQDVQKKKSELEGRYQNLLLEVDKLN QLIKQKEQITITQTTKIEEFQSTVVSYRNTIEDKSQEIENLRRLLTKLQQQILQLEDQVE QLKKFQENCRVLSAEIDRLNDEIKVQDDELRQWRMQYADEGSIIKRLQDQLSIIVVLASE VESLRMRLHDKEQEVEEVRRSSLAPYKI >CAK62712 pep:novel supercontig:GCA_000165425.1:CT868020:212830:214674:-1 gene:GSPATT00032564001 transcript:CAK62712 MNNNKLNLLEVLRKKRLEQQNEQVPKTPPILKQKSKEDIRKYLLQNPKTNLISLFKKKRD DDNTPCTRQKLLKFYDSQGRSSILSPICAANHIQQKSVSDESTNALQVLCQSQNRQITIP KRMSLVSSRLQHLNSQTSILESPNEIESFIVGGSNASKLDLDEHFLRIENVDNPRIMRSQ SQCQEEAQMAIIPNTLSVPMGKGPRRLQSFSVGDPFRNVINAAEQRRSVRLMRGLSGIHQ PKETQILERSRDEAGRKKMNNFVILHELGRGAFGKVRLVYNEIDQSYYAMKIADKNKLKR KLLTKETSAYSLLEQEVAILKKVDHQNVVRLVEVIDDPEERKLYLIMEHVKKGSINSKQY WKSEGVNIDWDEGEKPPKITCEKIRKYLRHFLLGLDYLHNFARIVHRDIKPDNLLIDEFD NLKIADFGVAQMYDSSSADLIQGDVGTKAFLPPEAFKTSQVKGKPADIWAAGITFFMLTQ GGHPFPAKNVQQLKEAVSYNEINFSKDTDPDLADFLSCCLKKDARQRHTLEQLMDHPFIT MNGEEPLIEQEFSDENFFISENEISKALSKVTIRATVRVFAKLKQKLNQSRQRIKKQQ >CAK62713 pep:novel supercontig:GCA_000165425.1:CT868020:215480:216175:1 gene:GSPATT00032565001 transcript:CAK62713 MNSKDTHIKCKQLIYNLQLHFKNGEVIKLDGYSTYCNRIDRSSSIISILIFAPLRVVKNN ILYYSLSILSLILLTSIWSIDYPQYEFYFIFSFQLLAHVGVELYNKFKINGLDKKLNNQE NKILKQWDECISNKEILKRIKQKAATKHKMDDVKERDRKISFKNSDNLQRQPSQRSRSSG CIAVVFIQCNPNFLDYHQIKAEPRVHQINTQIASIKQAPDFQQ >CAK62714 pep:novel supercontig:GCA_000165425.1:CT868020:216265:223165:1 gene:GSPATT00032566001 transcript:CAK62714 MPSMFGKNNSKIKRPLENKSAKNTDKMDLIKSINSSEIQIGDVIIVERNQIASCDILVLY CSDEIYTVQNQLCGYSDKTIRKPLIQNKFDSHNLVLFKKSFTGTIHITETSNSMQGYLQL KKDPQSYIVQDDNFIFAQEKLLNTPWVIGVVIKVGLKCHCYRHFQIKPQVPNYPQDLLLT LVFIQIALLIIMYIIISQIDNVNYNLDLMQLIFESLMHLTFLLPSSFAFYYSIAALYQQQ MIQKISRLDIKQIKDFHPEQYYNIKRISMNLDSLLDGSFEIKNIIYKNQICYCKESELML QAQEVASSLNSQNLFKTAYSKEDSKPEIQLSKNIQNNTYNAGKYQEDEIISVGEDEDDIG ANMPQSIEFRLISNFTNLTQQDVNIVVQPLNASERSEFHPKSTNRIHQSIHIRSLRQIAM KDSRIDRKSINVNEMIKSINTNNENLSLLQLSLNQVSYTKLITTATGEEKLKNTHVNILD EKQIQIAKSFGVELVCVNKVQNTLTYIIKQNLQLYSFKLIDMQMHRHHQRLYMLFELDPE HEKLLDAPYILFIRESNNKVPEMIDELKTGLRSSLPIIPNHDNEQIHQIHYFYCLLNHQD AEAYVSQQATSPQNTLETEEIIYNSLESLQLNIVLGFKYNLKPQASDFIKSVEKSQQQLF LYTEQYFAFANSILSQTDIQHHNKFVFEQDNEEDLRAYFKKSLQQFSQIFQEQQPMFGSQ MHSLKQLQLTNANPSMLSNKKTSEDFHNTSSLVVILSSQAFKIIENNSYMINHLKLLLSF SKVTLLYDANPSSLEHLQKCFDGPNMTLNLIFENQSLLKSYQGYHLQVALLQEFSYFQQF KYDNVNKQTFFKKMVQSLKVRLVYEGCTYYNSNIQGLFNELLIFHNSDAILQGFNELNEF LFYYVPLLNVLSKYQIRIAFERTLFSSCFAVTFYIVNVVQFNPQFNIILEFIFYSFFYTL IIYILATIEFISIYKNYKTDVHQQKVIESYINMSKKQRYYFYFGIKCKSFHLSLAILQGL LTQLIFSHQSKLQTADVVIVYTFLSITVNDAINTIVNMGLIVMSSIAYVICFSFYILIHF TIMAPNSQFTFEISNLFEILLTIFVLQISESWLQYFAMLQVPDMDGRFQRIYNQFNLYKS ESYYRHHFLLLLQREINRVFENFEQVDLNLQKLLLNRKTLPQSLGTWQSQVFQKAQSIMK WRKKSTIQGLQLICFHCQMLIIIYSQSGDTYYFLIIYIVYLIIQVLLFWFQLIYKLNSRQ LQYLEVVKFMICGAATLITIMGLSITNMNSIYHPFTELLVTFQLSSKLHPIYDHRLYLIP TVVVLIAYFIILIVKIEIAPYFIIIKIVLILLFSVQQYVIKSYVRSVSVFQFILLEEDQA TNQINFIEENNKIHDILGILLPKFIRHRLNETDEYNIHQNQGEVAIVFCDICNFDQVIME EQENIVQFLDDLFRTFDKYCEFCGVQKIETVGKTYMASAGLKACEQELVYLSEIQPVQRA LNLAEMMMIYIRSKLWGINSQPLVGKIGIHYGRAISGVIGFHKPQFSLIGDTINTTSRVC STGQEDKITLSEKAFEQLNNDKIEFEVRYVEMKGLGLRPTYVYLQKLNLKNSISKADISN NSSKQNTINITNRVKSQSFQRNGLKKRTVALQDHLAQRSRPILQFQLSWNFQQQQQQQSQ LWQNSQSLTDDQNVKGLQKQLSNCNLNQVNNLNIGETENDEKISLIPNASKTGQLQNLES MMQRKLHFEKYQPEVDHYIDYDQLLNVILLKNETGVEETTILESSFTELLQKSYYQNQNN YLEYHDYIYQQSQTLTNKIIQIYSLYYLIKQFCLIGDYHVISVPLIILQWIGCALNLISL MIYRKKIISYWIMLIYLELSFQLIIGGLFIIFDVNSFLSYLHIVEMIFIQSFFSNIQLLH FWIKILFCILSFLFEALILIFIDEYRISLFFVFAAVIYNINYSYFLEEQQVACFNQKNIF QSQQAKESQLLQYLLPRHILQTFFDDNTRARCLSDKIEDVTILFADIAGFTEYSSKVTAE QVLLMLKNLFVEFDRKCYELNVYKLYTIGDCYVAIGMIDFNDRNPTEEAKNIVDLAFEMI RIIEIVRKQIDFDGLDMRIGIHTGCVFGGVMGTDIVRYDIYGPDVLIANKMESNGKKGQV HVSAATKQLLQQDYEDKYSFTFHTKVTLSSINRSIEGFIIESLIEDQYPSDQIIDHQIEP LHSEH >CAK62715 pep:novel supercontig:GCA_000165425.1:CT868020:223181:223560:-1 gene:GSPATT00032567001 transcript:CAK62715 MGVRVTYRRRTSYNTRSNKIRKVKTPGGNVVVQYPNKKTTASTCADSNLSVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK62716 pep:novel supercontig:GCA_000165425.1:CT868020:223637:224652:-1 gene:GSPATT00032568001 transcript:CAK62716 MISISTNQEGEFVFDSKEQDYVLLDLNTLQEQLELGRKNEILDLLQQVMKSNEYQSSTEK VQSQIEWALQTVNEHPSEQYYLFQEDISYELEQQIENQRYPEVHSANKMIDMNIGKIKAR VQFMSQQERRNLMKTKSLERSMQQIYTCKQNKFYKEQLQQKYQLMQKEIIDRKRSEIYQQ RQKHLQIMQRTKGKLGQMQIMMLLSLQIKVEENKQLKESIQFGLYQTMTELRSDNIKAYR RVKVMEQQQQEKLQLFWQTKQVIAKTNYKRKVEDAYLLNMQAQDRLQQLEQQETQLMHRL RQTEQQYQMSSIKLEKMKSKSYKDLGNMWS >CAK62717 pep:novel supercontig:GCA_000165425.1:CT868020:225804:226990:1 gene:GSPATT00032569001 transcript:CAK62717 MQESIQKLNKTSTILRLYILICSIFVSLKLDNFINWEWSAVLWPLWFGLFCSIVISVGCL IITFNMVVQYLFEQLTTQKSKLISYIWLTQLSIFATICIGLTSLGYLDYLTKQLNYQKNF KILQYFIIAELIIIMFYSVCFHCQINEYIFSILQDDEPLSNQQQLSAHTQPNNQTLQNLN VLSSRTGIPLVMQKISKAYFSIPKGREKYVRDSINGSPEPKQSQKGHKRAFSSQGVGSEV LQESDFKIVEKQQSVINQVNSNRTRSQVEKKRSNSQLITIARDESIQNQKLDISQTSNVC IVCYERGPNAVFMNCGHGGTCYQCALDIWKQKMECYLCRDKIVYILKVNLEERFGDLFKV ISTTQMIDQFNK >CAK62718 pep:novel supercontig:GCA_000165425.1:CT868020:227045:229059:-1 gene:GSPATT00032570001 transcript:CAK62718 MHYQNREAYTNQMDNLSQVGIKDFEQKDFYEKCDNKMLVLHELKQFEKIQKSYNADPKLK ASDFYSSFTFLNAVIVVQQNMGNLKKIFESNDELYGVWLWKQGLEKLVIVDDQIPCKLSG NYPHLATIISEYQWPIILEKAIGKMLGLGYNSFKALKNDSIEFYLQVFFLKLTNQMITGQ LIHEQEFQSFEDLEKKLKDKSNILFVKYQQDSKSIASVITTHPSDGQDQLKLIKLIAPNS ESVYFQGRQKDDSVCYLSWEEFKTNFQSVHVLQWKDDYIMTAISLENPIERKTDIIEHTY SYKFKIENQGNYQCTLWQKDFVIEDNEIIIRSQNNKKQIGLLRVLLFQELESNQYKFIDG QCDFQCDISINALLEKGEYLILCQAYFNNFGNDSQEPLQKYFDLNFSIKGEIANSKIYPD DQFEQKKINLIKSLIQHTLAKDKKRSIISSHQQQINVYTNQIYGFLYFYYENRGTIDIQE QIEFKEQGYLIRYDSLKKENQVLVEVKQNCFQILLYTLDPEIINKSENTNFQYQYTHALV GQCKHQIGQWKIHDQIRADQYTQKIVCDQFIAYINQNHSGITMQFQNNHEQDVSLELQFT ELKNLRLAENPQCQIDGSSIYIQLQKKTEMQIYFQTNEPQQYYGHKITLEITKLN >CAK62719 pep:novel supercontig:GCA_000165425.1:CT868020:229387:230399:1 gene:GSPATT00032571001 transcript:CAK62719 MIKSRQNSLQAIRINQSSEKIMKVIPIKPTSQSEFQNKKSADHLDPNELRPFLEDLMRRY DKMALELQAAQAKNYEYNDQNQKLIRENDQLKEAAKSREDEVNSLYSKLVGYQNKYGQQI DQLQNDNVKVVQVLTQKIDDLESELIKTQNDLDYNKGLLILREQEVLEWRSRRQFDESKT LKELNQIKQTLQSKEQELQALRIKYDFKQKTTQSSSGQQLELDALRQELYIKEQQLEKIN NQENSEQCSIYQNEINRINKIVVDLRSEVEKLKQEQVDYEWLKRRYEKEHQSYSTLRV >CAK62720 pep:novel supercontig:GCA_000165425.1:CT868020:230770:231373:-1 gene:GSPATT00032572001 transcript:CAK62720 MGNLFEKLKNFFSTQKLEVCMVGLENVGKTTMLNQLSMGDPSFTVPTVGLNVRTVKKGGV TMKIWDIGGQIQYRPEWGNYAQGCDALIFLVDTSNQATLGTSKKELHNLLDNKSLRNIPI LIIGNKIDVNPHLNEKQLIEGLNLDYITSNGWAVAMCSALTGNNITQVVDWLITKSKKQ >CAK62721 pep:novel supercontig:GCA_000165425.1:CT868020:232056:233373:1 gene:GSPATT00032573001 transcript:CAK62721 MARKRADPDRFLEYEEFKDHSGFTIQEDGDYIDFYYDQFKGWFDEYGNYYNSNGESVRPS QASLRFWDSIKHKYSQDDIDDLLNQYDGGTDSEDDNDDDFEREYHNKAHMDKLLKRLRHY GDQEEIKIRFSHNKWSTKDKDIIQLLGAQNVKSVQFDLKSGTTYKTGTGTIITFNKKCAQ QIIQFHNTEINSHPISFDIDAIDINDSEDEVVDIQKEDPLLSKPQQQQQVQQQQQPQQQQ QQKPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQKEQQQQQQQQQQQQQQQQQQQQQQQQ QKKEVWVQEFLIVEGFPDKEPQQNIKQWLSQKILNNAQIHENMFEIKEKIKKEKGKVIDH YQAVKLDLGSKEKIEDAKTQLDQCNMYQGKRRITYFIYKPQQ >CAK62722 pep:novel supercontig:GCA_000165425.1:CT868020:233402:234527:-1 gene:GSPATT00032574001 transcript:CAK62722 MQLQNSNYKILSNIGSGSGHQVFKVQNNQSSRILALKIEKIPSLGQLEGEIQRLQELEGV KGIPQLIDFGKTKDSNYIITPLLKRNLSEIAKESVLSLQSTLAIGLSIIEILEQVHKKGI LHLDIKPENIMISQPQINVPVDQILRPGFIQLIDFGLSQKFGLKTFLNKVFIGSLRYASR QAHRGGQLGFKDDLESLLYVLVYLRNQKLPWQSLKQLQSQQLEIKKIGEMKEAVFTTTVL SQRFPPEFSQFKSYIETLTQQTMPDYEYIKNLFRSMLSVGDRSQSSKLASSSQSLKETKQ NKNSEQILMFLSNLPNNDSIVIPEDQIDIETSIVFISDLITSYTTSSIKSINDIKY >CAK62723 pep:novel supercontig:GCA_000165425.1:CT868020:234757:235815:1 gene:GSPATT00032575001 transcript:CAK62723 MFFYSSLNLQFSSLIVLTIKDCLKDTLNYQMLQVEQYSCFWQYHSPYDQYGQIFHFQDLN NIKTRSFIPSIYYHFKIYFKQYMFIHKFRHSIGLSQDVINSIKLHKAQSQMNLDAQLNLH NLNLKTLQIQTVQFSLCKFLNSFSTKFQFKFKCIQLIDSAFTRIQKTILNSLLSGVTYPA HYQLELMDYHNSNSKIHEGPSKFTINSCPKQVTHLQSWKLLIVLFIFYNYGLLFSYFIRF NLFRFTKLSEQICRFKQQFCYLQRLIKKIFHIIKNTNASFCQVVTQIIKLHQNTFQFTLL IKLTFTIPNINNYYAFKKFNTKSFIQISQSIQIQGMTIRKRNLWQNNKKKWL >CAK62724 pep:novel supercontig:GCA_000165425.1:CT868020:236252:236983:-1 gene:GSPATT00032576001 transcript:CAK62724 MDYTNQKQPQNYSQMEDLKTLDLEESVSETIMRDLRMIAYKLKYVLIPKEQEDNGKELRN WDLWGPLIFCLALAMTLSFKADSTTSSSKSDVFAIIFVLIWVGAFVVTLNAQLLGGKVSF FQSVCLLGYCVFPINIEAIIIAFVGSYLPFVVKLIPVIICFAWSAYSSVGFMASLVPPHK KKLAVYPVFLFYLFLSWFSLIV >CAK62725 pep:novel supercontig:GCA_000165425.1:CT868020:237185:239121:-1 gene:GSPATT00032577001 transcript:CAK62725 MIDLDQIKLEQINDFKAIQLVNFVSQNNKFELNSEAAQFLSQLRGNIAFVVVCGKYRTGK SFLLNKLIDVQNEGFQVSPTTNSCTQGIWLYTKPVINKQSNLQIYFLDTEGSESGVKSQT HDAKIFALAILMSSTFMFNSIGCIDEQSIIQLHLTTLLSKNIQVQDHDNSNNENILGYYT PKFMWLLRDFVLEMKDGQNRKITPKEYLELALHDDRQYQSENSKKVRKTLLTCFKDRNCF QFVRPVINENELQKVNQLPNNQLREEFQQQVTQLREYLLKNTSPKQLNGVNLNGRMFCKM IETFITNFNKGKVPIISTAWEHIVETECQQGLAQAKQLYETNLKKYFGSDEAKSFEEIFQ ILKKIRDESFQTFHYIAGIREKNNHFEEYKKKLLDFMTEKENLAIRLNDDMNNTKNEEVI AEVSQKLKENLDQETFTIDNLEVFFQHFNEFLNAYDKKSAGTEKANTLIHFLQNHHPIIV KQLVNSVVQKYSKNKNQAEEEKAVQQVKEKQLLDNIKILEQNIAQHETKIKKLDQDKVQL EAQKKQLSDQLSKLQTENKTIRDNIKDVDELQNMIAQKNEEIQGLKKIISV >CAK62726 pep:novel supercontig:GCA_000165425.1:CT868020:239283:241161:1 gene:GSPATT00032578001 transcript:CAK62726 MENNEQEDYIQLKKNYALRENEWKKDKALYEQKIQLLEIQLEDYRTREMNQKKLNDTITQ AIDISGKTQQKSYTEFQKSIEVQMANNKKHQDSISKLEEKLRCLNEQLNEKEIQIKDLEL QQQKQQITYDHKIQSLESEKQYLNQEISKYKDQIQKNEENSKSKEQVLKLQCEQEIQKIK DNFFKDMQEKQSDYDQRYQQLAQLYEKEKEQLQQRLIRSQNTIKKYQTHIESNQEVQQLQ QKYDEQIAALKQEMHEQQVQFQYEKKMLNKQVDDQRINTNNYDSTSKKKSQDMIKIRNSI ASQESPVQCKSFHISQPDFKQVLQQPKNSISSIQKQSLQPPKNNQSFEKIKANNHDTLPM PIEDFNLMMSKKAKSQSTNSLPSNNFNLNSYLQDASIMKEETETGDEFIRFKISNQQTTR FNNEVDQRRSYSQQGTKTHSINSATNLSKMLGQTDQSYQNQTTSISTQQKTHNTSFSHFK VPSFTQGQLNNLKYSLYQQQPQTTLRMNDNHINYIQQIKARYINQDETSQNDSTAIKYVN EIENQQPSKSMHINNEIKFLIGKLLQAKGKLTTELENTQKSCRYKT >CAK62727 pep:novel supercontig:GCA_000165425.1:CT868020:241507:241716:1 gene:GSPATT00032579001 transcript:CAK62727 MEDNRSLQASYQKCKESLQALNSELEKIMNDPQALLETDKIKHQKLMTKYKQINDENKRL RQHLKYICL >CAK62728 pep:novel supercontig:GCA_000165425.1:CT868020:242272:242991:-1 gene:GSPATT00032580001 transcript:CAK62728 MKLNTEQLSEIKQELKKFSSAPIQKSYQLIAQVYKGQYELQSVIESQITKSFVRIGQKKY TDEDDEKYSKAIKICRKLVKQWKSVIISNDVSTNNEANEDCKQSQQKQKPSKNNEPFIQK KFKTDDQKQETTVAQAKNSNNTKSNYRENQNASSEQVQEDKRKKAIEGLKKYFCLQTKNE HIEQSKKIEQTIYDLYKHSVLIYMDKVKCIVRMIDRDSEFREKLLKGEFNLTSEITNLK >CAK62729 pep:novel supercontig:GCA_000165425.1:CT868020:243087:244455:-1 gene:GSPATT00032581001 transcript:CAK62729 MILSTRILKCFSSEKKILRFIKTCSSPKEIYQIYHKNQEHFTPLLFLEALKHMNTSPVKK KFDLNDDAAFYDQLTEVFKQVPMQEKWRFYVQVAKIGRNKQIIKQYGNDLTCIRVKQIAI LLWGYHKNGIKKPKLVIHLIDQLQQNLDSLVPVSEKPEDLQTPKEQLKSENQEDNEINQS DEDEHFLEQQLEEDLQSEKRVLKDFKFKFKDLVLIIWAIQDIKLDSSALLIYTFKLAINN FNNKELITNRSLILLLQATLNIKENKQISAYQKLVIQNLAEQDLSNEGLLQLLLLLRTLG QLQLSPEFAQKLAHIMLKNQEKSNQPFSSKFASIMIWNLNKLGITDTDIYNKLGLHLTKS NEFKAMDISQAILIYSLQQTKSPQYQQYQFILRSLISKANMIKLDPRSQSIIKESLEQYQ PHLIKLQKK >CAK62730 pep:novel supercontig:GCA_000165425.1:CT868020:244466:245240:1 gene:GSPATT00032582001 transcript:CAK62730 MFKIIQRACFRYSAANFKAEAEIKSVPGHKPPSFEDTVQGKYAGVLFATASQKEALHFVL QDMKYFKELADKSPVFAGFLVNSAYKRNQQRNVIQALTKEGFHEVTQNLLNTMIDNQRIS YLTKTADKFIEYYRIFNKEENITIISAENLSEEQRSQVIQALKESSPNMQFSVQYKVDPS ILGGLQMYSGNKFLDCSLQSRVNKLRSELQKLSI >CAK62731 pep:novel supercontig:GCA_000165425.1:CT868020:245240:247004:1 gene:GSPATT00032583001 transcript:CAK62731 MDILKDPTNDRQVKTLKPPPHRPLSKNLMFPDKLKNKPDWKLLKDHLQKEGRVAKEELFK LVADCNKLLKNEGNVLYLQDPLTVVGDIHGQFYDLLKLLEPKVGGNPETTKYLFLGDFVD RGSYSIEVVILLYAIKINYPNTVYFLRGNHECRQLTAFFNFKDECLYKYDQETYEMLMDS FDLFPLACIINSKFIAIHGGISPDLKSIEDIKKLDRYHEPPRSGLFCDLLWSDPVDHDQG NLDGQWKSNEVRGCSWFFGIDASMKFLQRNSLISIIRAHEAQLDGYKMHRWNGGQDFPVV ITIFSAPNYCDVYNNRGAVIKFENNTLNIQQFQYTPHPYLLPNFMDIFTWSIPFVAEKIT EMLYNLIQAGDQGDDEDDVNQEDIEQFKQLTNQNKQFNKQQSGGSTGKSTEKLKNKLKFV ATMMKLQKTLREESESVMKLKGACPDKRLPKGILSAGKTAITDALADFNVAKTADIVNEK MPAQAQIPQQSISIKKPSNNTPQPKKK >CAK62732 pep:novel supercontig:GCA_000165425.1:CT868020:247293:249106:1 gene:GSPATT00032584001 transcript:CAK62732 MYIEYVQNNFNPSASELELLKSQEIKELYEYIQQQGGFIKYYSQQYNEFQSYDNNEILQL FQKYLLNYELRTTDVDGQYLHRCAYIELNKAINCFTEPPQMWLQDQVTLWSLPNYVSAEL NKNLFTFQDLSKNHKNFEIDIIAQNPFTDTTLAEEENYYQTFRMTLSQYKEYAEDPKLFF QNNPQYKSDKVYQAVNVDMEDWKEEIHRLFDFLPTCFTRNNGLNYLRQNCKGANVPEIYM RVQNCWIGGQQDFQGLSQVNINHGPGDCVWTIIDANYIDKLFSITPDLFRKEGRWYVNIE FFLKNQIPVRQVIQKKGDILIIGAGCFFQAKNIGNSIHTSFNFLMVDEFSIQQIYKRQNR NDFFRFQDVIAIRNLFLDIYIHEKLSILQNYLKYFIDQEFRRQLSKNKLTNFELFISSKD VLICTKCNKEIFIFFQFIKDWVYYCPDCYVDYEKVIYMKYSIIQLKYLIQADNIQCSKLL CSNYVGESNCALKQIIQEQVQLSEEEDNSSIFESRKFLDEFIVGLNMESLNSHLQNGVSQ QQDVLSNDTRQVQKITKKIKTIKLQQVKKKKHKKSAKYSELQVIKYIQQTKRSILN >CAK62733 pep:novel supercontig:GCA_000165425.1:CT868020:249302:250083:-1 gene:GSPATT00032585001 transcript:CAK62733 MTSYVISAADQLIIQSGTPFSCEIVHPGLSCEINILSNLPRIMRSNSKVYLPVHLIPFLL YKRKQVMKSPISTISRALVSYFKSICFLSFMVQILRYNWCKQKNLLKKVDPFVPLSGGFI SSFALLLESNTRAMEICLSIVPRFCETVINLLKSRGKMIDIPHGDVIVFSFVIAIIHYYY QHDPKSLKSTYYKVFEKIWGIN >CAK62734 pep:novel supercontig:GCA_000165425.1:CT868020:250136:251523:-1 gene:GSPATT00032586001 transcript:CAK62734 MNKYSPESLKCLIGAVLVKAGQALLMTWGKSNVYYYSYYKMNDPNLSVAYNTLPLALMGI PLAAASVYSLKLADRIGYEKLIRITTSLQFLSFLIALHANSYLEFIIFYLGFIGLGYALI AFPLLKCLWSHFQEEEGMVTGILFGVFGFATFFFLLLVTYIVNPNNEEATLSMKVGSQEY LYFSEEVAVNTYQAIKFAGFIAGALSVCGSILINQRKLEVAEEQELQVLTSEIQNIKITP NDPLLQVVKTTQFKIILGSYFTVFFFEVTLGLNYKTYVLQKINNDQLITWVDTLAIILGS IANFIFGKMADQVKFTLLLHKLLIIMSIIAVMIPISLNISKDLFIIDYLLLSIVSKGIIV ILGPGLLQIFGKKTGADILPIVNFSGLLGFIISSLSILLIVPILRFDGTFIFQGLLIGLA AYSTKKINQ >CAK62735 pep:novel supercontig:GCA_000165425.1:CT868020:251555:252008:1 gene:GSPATT00032587001 transcript:CAK62735 MFQQKEFDYSQTPEVQQLYQEFVDAFEEKLIVEDFIPVVEAACGEQCSLLLKKLQRRKNQ KLDQDEFSQQFNFELSEPENFDCIYEVLEQGKGKITFEGLKAANQKYKFGLTDQDIKIMI QYTNVKDQPITKSVFRQMIS >CAK62736 pep:novel supercontig:GCA_000165425.1:CT868020:252011:253090:-1 gene:GSPATT00032588001 transcript:CAK62736 MSLMTKRIIKELEQLRNDPPSSFVAQCINNNLYEWHFTIRGPENSDYDEGIYHGKIVKPP NIFFLTPNGRFEVKTKICLNITKFHPENWNPSWTIRTMVEGIIQHFHVKDFAVGSIQYTS NQIKSLALASHKWECDICGPIIKCIVPKGKQVEQFQSEKKEQHKVDQNENSHTQEAQSKY LKENEKKVEKQKNDLPEDDKEIPDKKAQVDAFQRLIWKTVFPDTEKVHSQLKIFTHKNFN ILIKFIQNQKMRKKFIDETKDDKINKMDDEIQKEFEQANNKNKYSSQIKLIGLVLLSLIF GIVFVNFGEFVEQTAKDWLLFN >CAK62737 pep:novel supercontig:GCA_000165425.1:CT868020:253917:254337:1 gene:GSPATT00032589001 transcript:CAK62737 MQQHYAEINRSNSALLRRMMYINSHTTNLNKQKLVKSYKEISHVFKNNKQLHTERDRVQD ENEKIVARLQATKSYYERDNQLIKAQKYIACRNNISQNASINIVRSGRTAYQDFCDESYG LTKISLSKQY >CAK62738 pep:novel supercontig:GCA_000165425.1:CT868020:254419:255178:1 gene:GSPATT00032590001 transcript:CAK62738 MASQNRPPQTRQLTKPKFDKLSDVKPGIHGYNTYVKIEEIKKEQIKRYDGSTLIVAEGLA GDETGVIRFRVVGEYANQLEKGKSYAWRNGLSEVVQERHRLSLDQFGRITPEKDDLVVVN QNGKKYSDIEYVRKEPRPNTNRRENRDNRDNRDNRDNRDNRDNRDNRDNRDNRENRDNRD NRGQRRPQGNRGNRGGNQKRD >CAK62739 pep:novel supercontig:GCA_000165425.1:CT868020:255299:255700:-1 gene:GSPATT00032591001 transcript:CAK62739 MLYVQESSGYRKSVRNSLSSSRNQSKKLKASQQCEDILLNINSMMNKLDYLQQAIENRNY ETKAQLRKYTPQKQFSKGVQNFSMNQQDSYFIGDKENNYEKLNCYYLMKKFETQKNQHQP QRSEFSRRRSFAN >CAK62740 pep:novel supercontig:GCA_000165425.1:CT868020:255882:257638:1 gene:GSPATT00032592001 transcript:CAK62740 MGSVSSNPNADETGTISNLPMHLRRMELKFDITKGVNPNLKQLLHCKRSLLEIRQVYEQF QRRSTSPFIDKNIFCKIVPFSRSNASFIFDQFCVGNKVLSIYEFICILTITAYTQYIHKV HFLYVVFDLDCSGNISLNELLIIFKSIILGYCKLTEAELPSYIQLEKFAKLMFLKSDIQV DNSLELSEIIEWLDNNPTGLQLFQMYEPKQKVQEPFEAFRSFRAYTEQEAENMLEMITKS NKNEYYMKSLNDQVGKRNKFSYMANTKSQQQQQISVIQQQRNQSLPKIQAQKQVNLEEEL EELNILEKALDQHQKKYDLSTEKPSQSLHHPAQNPISLGKRVKSQGRIMKNIIITKGCTL TRNEIFRVKNYFDSLSDNKKVIGVKDFTKAFQNKPHMKRVTASLYNYLDSKQKGFVTFDQ LILKLYPSLTKVQLDIINNWIKQYNEVFSKSSKESIELDVLKNNDTKQIKRKRILPKSSM IRIQQIYDLIDQENKGCKQIQQKLDISLEDLKKTFTYGFTAKEVEDLFRLHDLDKDGKLG MDDFIRIILPPDYVIEDEAEEQ >CAK62741 pep:novel supercontig:GCA_000165425.1:CT868020:257666:259038:-1 gene:GSPATT00032593001 transcript:CAK62741 MKQYANLWKVLYMNLVLTFHLSQCLALASLLPQIAEQNNYPSLGELGLICIYTVNLIFNL IAPLYLTKMKYRYGFVFQAILVLPCFIPAFYVSRCNGDNIEDLICNPYMLIPLTLIGCVF LGAGLGGYFVLQNTYVSDCTNEKTKELYFGITYMLLGCAYLLNGLTSDQLLKYIGRESFF LYSGLFECGLSILFIFVQQPDKSNQHKISEATQNMIQNDSIGKSEEQVANINEEFAKIGF QAQLKQIMQKFQLKEMKFLFSLFISTGVVIGFEFGIFHKFISASLPGEDQITVNVRTARI FLYVGVAQIVSGIFNGLSRSFVGIIQNSIFYGNAFQCMNIIAILSAFQQNYDINIILGLL IGFTDNSGQFNSAVIISDIWNEDMAIFGLYLFCQNFGVMFINLVAIFLDGQSLVYYLILL IILQFATSYSQQQFKRSQQKE >CAK62742 pep:novel supercontig:GCA_000165425.1:CT868020:259893:260496:1 gene:GSPATT00032594001 transcript:CAK62742 MLNQVDLSQTQKEETNKILEIQNDQSFQPHYGKNYMFRFYNGQPQITIGPHWPLSVCTFI LIIVGAYFISAIIHIKSGIWYSSGSVISSLILEICFLRVFLKNPGINFTSTYVHKLRVSI LTNSNFQNSCQPCKLEKEYGTYHCYQCDICVKGYDHHCPWVGKCIGVGNIKEFQMFLMSL LFFFSCNLFLIMI >CAK62743 pep:novel supercontig:GCA_000165425.1:CT868020:261175:261432:-1 gene:GSPATT00032595001 transcript:CAK62743 MPKPLQQFVQFGPVQIPFSQLFILTRRHVFAAVNLKPVVLGFLFVNYNGHVLVCSRRPVK ISLRYDRSRNCRVLDNCPRSCQSDV >CAK62744 pep:novel supercontig:GCA_000165425.1:CT868020:262314:262673:-1 gene:GSPATT00032596001 transcript:CAK62744 MVYDFINKEKQLIDIFVICLKVTEQRLTLETKQILELIVNVQAKILKNMLIKQQLLVRFF KEEIWTNLYQLILVVPFERLLNGYLIICIWDLTEVLLLGKLQTALIECILRQACKIFRK >CAK62745 pep:novel supercontig:GCA_000165425.1:CT868020:262684:263998:-1 gene:GSPATT00032597001 transcript:CAK62745 MIQVETTTVIVNYEDIIDQGNFGSLFKGKISQGKFVAVKIQKDISDFENQILSAIKGKQF DHLIEVIAFEKKEKAAYTIMELGEKFDLSKILNKKNACLQMAKGVQELHKLGFFHRDLKP GNFVIGKDNKIKLIDFGISKKLKRNPKPKCKEHINIWLQKYQKPKFMTIRLIFGLWDWFF MRSSQGEMIDDLIQIKQIQINEKLRANKIQNNGNKNYYNEKKLNKDVKRISIDEVIRQLE EVDELNKLDGNSGYASDDKSIHLQYLKQYNPNQFNLGEKTKNTIEQNNIQKCIQKAIQEK IVEYVQLKQGLKLSINQLGAKLNGELQYYELGEQKYDYQYKKIIFIGEKDVGKTILINSF VNYFFRITLDDNFRLIVANQEPTTKISHYYLGSYQRQYGINLIHTWYLRIQQ >CAK74247 pep:novel supercontig:GCA_000165425.1:CT868178:2942:3546:1 gene:GSPATT00038980001 transcript:CAK74247 MKSQSQHKRVCFETIQELEVYQMNQIAKRIKKVKIQITKNSDNLITFSQGNTILKKAYPC ELQNNIDIFQNIEQIQNLEWQGEYGSNKRKLGMWIATWKGKQILGVGGYYKDEQKIGLWK QPIKNYWSQAQVYESGEYFEDQKCGRWNYIYKNKIIYQIQLIQQRRRII >CAK91194 pep:novel supercontig:GCA_000165425.1:CT868662:2838:6181:1 gene:GSPATT00023922001 transcript:CAK91194 MNCTYHIKNQVSMICIASHNCQFQRKLCVKCLYEHKVDLKYAIPIDVFQERVTQKLHEYK LDELSEIKQQRMDFKYKLSHIENILRKIWEEVSESIQQIYDLIEQKNKQYINLIQDNQNI ADSSYSDLEQLVSIFQGKTLNDWMAQKNSYFFKLEKEKNWLEYEVHAFSEKLKKEVKEIM SSTINTSIQEIVQVYERTEDIYELLAQSKKFEKQLLSRIIEMLKRDKITDCLFYLSNPEM LKLLDQTGINNGYLITNILQNICEIDFNKKNNSTEDIIQIRKQLIKKIEKEEKILQFLKF IVQLTAIDERFIKCGSDSLKLLVEVKMDIREQNFENIRIQDISLIGANMASCNLNGSIFD NVDITGMNLNGAQLFNCKWRNIKIHELNKLDGHSSAVRSVCFSPDGTTLASGSYDNSIRL WDVMTGQQKFELKGHDGIVYSVCFSSDGTILASGSDDNSIRLWDTTTGYQKAKLDGHDDW VISVCFSPDGTTLASASDDNSIRLWDVRTGQQKLKFDGHTSTVYSVCFSPDGTTLASGSH DNSIRLWEVKTGQQKFEFEGHDGIVYSVCFSPDGKIIASGSDDKSIRLWDVNLGQQKAKL DGHNSGIYSICFSPDGATLASGSLDNSIRLWDIKIEQQKAKLDGHSNYVMSVCFSSDGTK LASGSLDNSIRLWDANVGQQRAQVDGHASSVYSVCFSPDGTTLASGSNDNSICLWDVKTG QQQAKLDGHSNHVLSVCFSPDGTTLASGSSDKSIRFWDVKTGQQKTKLDGHTGYIMSVCF SCDGATLASGSIDTSIRLWNAKTVRYEQPNQMVIVIMFCLFVSLLMVQHQHLVVQISLFA FGMLRQDNKKLNQMVIQVLFLPSVSPLMVPHQPLVVMIILSVYGMLKQENDKPNQMVIQV RFIQCVSLLMVLHQHLLVMITLFVYGMLIQDYKKTNQTDIVELFIQFVSLQMVLHQHLVV KISLSDYGKQRQQNEKPIQMFILVVLIQYVSLIMVLHQLLFICGMLQAETTNSSFRQSLQ RNSTCKSTLNIQQQCSFRNSYLTVLCISQSLNLEAQEALIFQGEFVNNQGIDLRQLFKSK GSCILESQIGLQQQQN >CAK91195 pep:novel supercontig:GCA_000165425.1:CT868662:8085:8839:-1 gene:GSPATT00023923001 transcript:CAK91195 MNKSQSQQEVYKIILVGDQGVGKSSILLRYTKDLFNREYNVTIGLEFASKKVTVYDYALT LQIWDTAGQEAFRSIAKIFLQKFCCNHNCIQIDKSRFILKCLRMGKRYPRKQRSRSCNIF KAERSVSNEEGKQKAQEINSLYFETSAAIGKNIDDIFINTLEKVTKQINPIRTDCEQNVR DSIHLSQKYQSQPKINQENNGGIKPKQTESPKKGCC >CAK91196 pep:novel supercontig:GCA_000165425.1:CT868662:9147:9713:1 gene:GSPATT00023924001 transcript:CAK91196 MVTKNAKKPRNAERIDLQQIDIEDDEANVYKFSHKHFYSSFGEKITYWYWVQKRAHFKND IWKNQREFDKQLQNHQPHFKLDEIIKTRDKIDAQQIHQLNIQRPLLEWKQRSPKENVGKF KLLKKIAKYSMNLNSTYIRLKLLDKEVIKAILEQYLSKSNYSTQYVYQLNKFQLRGFWNR LQQIELIY >CAK91197 pep:novel supercontig:GCA_000165425.1:CT868662:10187:10369:1 gene:GSPATT00023925001 transcript:CAK91197 MIIKDESSFGKDFKELDAKNTRLRQQYQGLSEVLQFNVSNAFHHAFQDNGFY >CAK91198 pep:novel supercontig:GCA_000165425.1:CT868662:10478:13418:1 gene:GSPATT00023926001 transcript:CAK91198 MSEGQNNNSYQEEEQIQRGYKNCEAFCNSNINFFIFRQLVELVKDYKQNKQSISHYYGEI KKLFSDQPTVIDNVNRLFYHDARIKSNDTIQQLECLFKQDKQRINLLEQTKNLILKGNLD QQQQLDLISIQFKDDPEALKEIQNVFKLKKQKQKIQVDWNDIKNKRVKPNPPTMEEIQSY QAYYTQHFYNNAYQLQASREIKNEIIVLDKLRLKCFSHFTSESDFNQFFDEFVKVINLYT ECIITQYELMDILSSHMWIDQEIIDELRIAIFTRAPARRQQTQLFKPLKDTDFKNAEHIT GSYVRMHPGYANIMKEDPKLPNVLNHLWVSVPFGSEDYSFSIMRKNSFEEQLFKIEDEMF EYDVNINCYRRTIKLLDQLIAGNNSQAIEQQIRKILQIKCLQSVYKTNSKDQEEVITLWQ KNPILCSPILRDRLNQKCQELIKSREIANQTWKITQKNNFSRSLDHRSFYFKKNEKQYTC VSRFLKEPDEKYSLIQTNNAIQAEYLNSLVQLTTIKPLSHGQYVNINDIDQSTVFSFYIS SKTLLEETFEIFKYYVEQSNQNESEWILDLFQKIYQGYFNLDLVDQNYNFSLLRAELSVI EQYVTSSSFSYIDQFNIEQEQIKKSHINTNNSISNNGKDSDDFEESDYELQRIKILKSPL IDEQDVFPRNSLQLSTNFQSKYKPHGKIIYGTSGIYLFFRYFYSLYQRIELAQQISQNFE QNEKFEKLDEKEKKKIIELRYKLFKHALLYQIKNKDFKYQDYLSLLFGKQAFLFYTIDKM LSDCCKHLASLVNDKLTDSFFDFLFKSNHNKYELDPTKTEEVQLSNLSYALLDLQLEQAQ RVLFRFCLQDDSLHVNYLPNWSVIQDKQQLNRISQFVKSYTTTYSNRIKGVFLQRNLRET RANTQNQIEWTVFESMRIRNNLSDILIK >CAK91199 pep:novel supercontig:GCA_000165425.1:CT868662:14042:14515:1 gene:GSPATT00023927001 transcript:CAK91199 MDDNPVQLRIDFENLQVSDQDDECYSGVSDVSVELQILEYQPQQPASLNIERSIVSFLKE LGIKMKTDPRAKQERYFKRKRHLKRAQTTLKEEKEIKSTTMTPPQISKKPKMQLQLNSII GRRTPQNLGSTPLLNFPNKITEKSKFSLQRETSSSPV >CAK91200 pep:novel supercontig:GCA_000165425.1:CT868662:14681:17763:-1 gene:GSPATT00023928001 transcript:CAK91200 MNQNSNQLLGQPKNQFKIVESVYQQQQPQQQQQQQPRQQQSVSSKPVEESHCIRVRSKEV KAVHRMMLWFSGKKQQKLYQKMKKKHRYRKNVIAEIINTERTYVNDLAIICEKVKTSSLS ILSPNDVQTIFMNCDEILAWNKEFLQEMEKGYSKYNLDKNQMPRPYQSVFYGNAQQLKTP DLVAFKCYYEYCSKFTKSNKHFEHLKANDQKFKEFLTQLNKNNTLRGMDLGSFLVKPIQR LPKYILLFKDLEKNTDEDYPDLPNIKEIHKYFKEVNEENNKFMDIFMGRLQLQQLSESLK INQEELLNEPQRIFKFEEKLTTIQPNAKHDEDCQVTVYALSDMLVVVQDEKVQKKLKLDS LSFVKNQSDNNKYFSNLFQIVGVGDTIQCIAETPAAKKKYIDLFEKLIQENREHEHEREK ELGNQQIKMRYPVQVVVVGTEERNFQSFTKHTQYITEISINKVFQNIFIRYSEIEVMSIK YKQRYPRIELPQLPDKNWLMSHKTKSIEARKIAIENFLQALLQAKESQSDPDILNELKLP KNFFDLPDLYQSILKSNLTQKQQNFKRTTLEGKEELREKGINIEKLKISAGEILKAVYIE QETRKKSVVQICPNKVISYENSKDPSLQKIIITFPDGTETALGVTEQTRVREVLEYVAKY NHLVYYKDFRLYLVDQLKKQRVLDDDEVLFKVIDDERQNNHGILNKLEQMLAKSNAEVLI KMKKYIYLPAKLEESDYKEDPVRLINLAFSILDDVHDQKLQLGFKEYCLFAALYFHMKHQ RLDDKLFGEVRRVIPSEMFQSSTDKEWKDLVTQCFKALDNELSTISKKNENDQIQKKPNS QYKHTDKKYLAAGVTLNAARTFVQSIMAIFQVQVYEGTQKYFKNLGLEVHPTIYLGLSIH KIHFLNPQRKEQFREIDYSRVNGVKSYPSQIIFDLQIFKEPIRFDTYQSYEIKSLVEQYQ AIQKFDEEYELGHQFNIKH >CAK91201 pep:novel supercontig:GCA_000165425.1:CT868662:17799:18155:-1 gene:GSPATT00023929001 transcript:CAK91201 MRWQHEVEELKRKKRDFDEQKDRHFQLTKGDTVTKMEQHRKEEILKKKKNKFSESSIVGI SLAKRFLRIGRKKARATLQRNAIKTLTGIQSVPHLSDSNRSDDVRSMASFREIQNPQM >CAK91202 pep:novel supercontig:GCA_000165425.1:CT868662:18232:18651:-1 gene:GSPATT00023930001 transcript:CAK91202 MRQSVDPRTSLQMILSQRSQAQFSQVVDQEVPQEQPIKSLSSKKGKKFEFQISSDSSSFT ITSEESSNSQKSQHSTNYLLRQQAAASLKLLVKDNKQQIKLPNIPIRSISNEKQQINFLK GLQQNSELE >CAK91203 pep:novel supercontig:GCA_000165425.1:CT868662:18722:19910:1 gene:GSPATT00023931001 transcript:CAK91203 MQLKQRKRIDISDDPLFFNDEPVLKLRKLKLKKLADLETFLHQQNQRRETFDQNLHNNNL KLQHQKNQSHDGGKIIDYVKVQNRELNQVRLQQKFLNRSRILQDEPQKSKEKELPFVEEY LCRRTLNNIIKKSHLKHDTIKASLQKVETFLYSIDTTRDQFVQISPHTQSKNTQIKTTRN EEYSSHSIKSQLFNVSESPTGRDRSKSLKQTIEQNHEIRNLRSDKVKEIIYKTEEIRERS MAAKLSVYSEKPDSIQPTKKQLQQLRYKWVRKLKYKIELHNNQMKQFTEFLDYLKYSDDI VTNEDHKYLKYYRDKLLNGDHLVDHDFQMMINDQKTIKIGELIRKCVAIEN >CAK91204 pep:novel supercontig:GCA_000165425.1:CT868662:19963:20405:1 gene:GSPATT00023932001 transcript:CAK91204 MPFVRIQIINLLYINLIQMAENFELAAEEVKSFVDKLNDQTKLQIYGLYKQATVGDVNIG KPGILDQKGRAKWDAWNANKGKSNEQAKAEYVQVVKANAPADIAKNL >CAK91205 pep:novel supercontig:GCA_000165425.1:CT868662:21170:22509:-1 gene:GSPATT00023933001 transcript:CAK91205 MALDETWVLSCAFFSILGLLGYSMIAAGAVRYKSVQSAIITILLGGILTILAFYITGYAF AFGQDSNKFIGKSLFAAASFESTPSKNDYDNFVFHTIAAIFVTSIFALGTLERSRFFSFS FGVIILQGLLYPIVVHWTFGQGWLSTFGFQDFGGSSVIHVFGGVTALLASLLLHERRDQN GKIHPGIFPHHAPFFVGIGTILLVVVQIIFLSGLNRGSATYVKGLVPVNALLAASFGGLS SYVSYYLKKEKTSLITIARGSLAGVVAVSASVDDIQLWAAAFIGTLAGISYLVIQLIVKR SHVDDPGQAVGAHLVPGILGTLLYGILSRSHGLFYGNNLKSFGIQIVGVLSIAGWALLIL AVLVPLKGFGIFKIKPEQESLGIDQSYAGGEAYVFSDQTVETKSLLNSQKKSIFH >CAK91206 pep:novel supercontig:GCA_000165425.1:CT868662:22536:24444:-1 gene:GSPATT00023934001 transcript:CAK91206 MIDLVSIITTGGVILFYKALCQIKEDVLGKVIDGCLQQKTSLQLGSMKVQWVILNELGLI FIVSYQEIFFIQYIDVLLKMLQTQFKQIYNPAIKQKGIYKSIPEFDSGFEEVMKMWQLYC DKRSEKEKEGPTRTQDQQQEQFSTFEPKVETGNTPNEEPNDGQQKLSKSEIIKMKMQQKS GTRSPKDGAKSPQDGAKSPVDSRSPKQSVIMNTNITKKQIDSLDFTSKGQTQVQSNQNEK YMTQEQEDDLLKQFIGDDDPLFGSDDENPKQQKKQGLFQKLTGKIQNLTGNKQLTEEDLL PVLDDFKNALMQKNVAEEIAQKLVDSIKTNLLATKTKAFQSIRTSVQEAMKSTLTRILTP NRHIDIIAEALRAKEKQKPYVIVIIGVNGVGKSTTLAKLGYLFKSQGFSLMIAACDNFRA GAVEQLKTHGQCLGIPVYDRGYKDDPPEIAYQAIREATIKKIDVVLVDTAGRMQHNEPLM RALSRIVTMNNPDLVLFVGEALVGNDGVDQLVNFNKALIVNSPKDNVREIDAIILSKFDT VDEKVGAALSLTSATGKPILFVGVGQKYTHLKKLNVNTVVRALLQ >CAK91207 pep:novel supercontig:GCA_000165425.1:CT868662:25239:26183:1 gene:GSPATT00023935001 transcript:CAK91207 MSASKIFYSPSRSNVNVSNVQPYGGDRALSPSRAKISQLSEKLSNLQHQIDEDQAFKKET FESKIKILEDRATKQAQGDDSKFKLLKEQLQKVEEGAQNEKIIREAGDEKLRSKDLKGLE GFLNKELQSEKINRKDFEGKIIKNTDDKVYSLKLDLARQKKYREETEEKNAQEIGDRILQ LQEEVEEERRQREQQNQNTIKRLGDSILKLQEILTTEKKQREQAQGQMFRMLDEMNHYLN SELTSEKNEREATEESLINLIDQTCNRVENSLRK >CAK91208 pep:novel supercontig:GCA_000165425.1:CT868662:26741:28268:1 gene:GSPATT00023936001 transcript:CAK91208 MFASSIAEVTKKRILMFVLCFFQYAFLHCCRSTWSYVSGRMVGEDYFTKEYLGYINFSFL FCYGLAISILGQFGDRMSLKVFILIGTYTSSFVFTLIGVMIYIEAKHNYVFLILQIINGI GQSTAWCGVLAILNNWFVTDKKVILMGYFAACPNIGNILGDVYSGILIGKDNLPLYAPIY LAGSSLFIMNLIDTFLLENAPPEETKRRMIEEYEKAKVSQQPQLMISMIEKKYEENLDQY LDYTRKSSQVQKKYSMSQMAVQEKNDLNNQLNYFNAWFVPNVALYALAFGCVKAVYYILG FWLPNYLDSKNVKDVAWITAMIDVGSIPGGILICLIGYYYNKRAVITVPSLWIGTIIMIS VSYSGSFKHEIAGYMCLIFLTGLFIGGCYNNISTAMTVELSNQPELKNSKSATSTVVSVI MGYAAMFAAINQLIVPYVEKRLFLYCSALSIIGGVFLTPLIINEVKRNKQMSQPQ >CAK91209 pep:novel supercontig:GCA_000165425.1:CT868662:28377:30104:-1 gene:GSPATT00023937001 transcript:CAK91209 MKQKFWIAIPNELKWKNEKPILKSEFQKSNQKKVRYLIAYDHYILFTKKPEDPPKKYLKL DFETKYEILRTQVQKKDEDDDSLGTIIGMNLMRDHGQDQMTSYSLSASEKVIAQWREFFQ SRINQWQFHHLFRVFKKIGKGNFASVYLGERIEDGAQMAIKAFSKQAAYAEDHGKEAIVN ELTIMRKLNNHHLMKMYEIYETSNSLYVALELLEGGSLYDLIKEKVILSTKQMQQILVGI LQGLCHMHEKDIMHRDLKLENILFKQPKKMETVVVADFGLATHVNEPVYLYCRCGTPGYV APEVINIKDMKAHYSSVCDIYSLGLVFYLLLTGKPAFPGKSYGTVVKQNREATIDFTLKQ LQNAPNTAIDLLRRMLEKDPNKRITAHQCLQHAYLAEMNQIMLEDNQNDFIDEGEDNDLG MRMNALNEESAKFDAFRRNQLMNSPQSSPGALATKQLKHQKNIDSSNQLQLNSPLLTGKT DSVDSIPNIGMTQQKQQNAFQASPQIKPSRFKQNVPQQKQENPLLKYTSKKE >CAK91210 pep:novel supercontig:GCA_000165425.1:CT868662:30138:31112:-1 gene:GSPATT00023938001 transcript:CAK91210 MKPILMNVLGKSYEIKSGITKVGNTEACEIQVPLAECFRLKREDNFLWVQPLVNIHRING FGLKESLCAGKEFELDLSTGKKEIIAGDERYTFEFGKPRIIRINLIDHNKSMDEPPIIKK VLNESMYSQNPKTPQKKAKALHYCFVQNYIKQLNGVYKLKFSNSYVCAEDQNKLYKLGIE IDPNEYNILVMDSYKRTFNLLLALNQGKMIVNSQWIKDCLSSMQVQNPYLYVLKTNNFSI LKSIGSSLSNKIFLNKQFHLSPELKSNMTKEEIVKLIEAGGGTISNESNAYRIVNNGEGG GLQLEEFIQMILYQKV >CAK91211 pep:novel supercontig:GCA_000165425.1:CT868662:32142:32498:1 gene:GSPATT00023939001 transcript:CAK91211 MGSCSSKKQKRIILDKSFQKTTSTSVTPIKPKNLALNLQKIQNDDLEVNEKKEPKDEYKP SPPPKLYFDANQLNVQKESIFGRPKVQSIRLNSQNQNNQKEDIFFGRKVRYSNSHLQK >CAK91212 pep:novel supercontig:GCA_000165425.1:CT868662:33320:35889:1 gene:GSPATT00023940001 transcript:CAK91212 MKNKTSITCIFLLCLGCLLVIQNYLDVSQELIKFQGQETNLQGLSEVSVDVCVDVDYQPS SNEISEAKRLREELLSTNKKIGLDILAENDGKLTSDQQEDAGQAAIAILLPWAILFVISL FTYITLLICCSNCCPCTCCRCTQAQKIDDIRIPLAFSIIFGVIILAFSIAGLALSEDVGN SLKSIRCAGYMIFSDINYGVIDSEDAEITRWKGLDSVINNITIIQNGLGGFVSDTQKQLD QINAKQLQDQYGELSDENKKIEAIPLTTYYGANDVLGYISTGKTVNSNSIATEIKQIPVL PQQQLFKQIYENIIKEIANIKSYGQQLGDQQGDISKSLEDTKKSIKDTQVNLINAAHDFL ETTDSLENGSDLTSIVFYIIFGVFGLFALLIVVSSTLLCLGKGLKCFRCILVCACTFNFL FVLIGFILSAALGLTSGVLAEGCDYIDVILTNQTKFENLNYAIKDKEIKDIMTECLFKDG DILNYYNVYDSLKQAIDLDQSISDFEQQKAQFQSESAKGDAALQVNSDYIKSFITFERVD EEEYSTSNPANMKYLMREQVKTCNSNKKSSVPDKMSFCDTSAIQYLPSSGSTFSNPNNKP VCLQSSIFSADPTLLNQQISNCAEISTINQFYTTQSSKWKPVQDQEKSIINKHKQNQDAL IAKFDAITSYTTAASNYLKSITDKNTGALSGVNCKFVQVSITRVQNVMCAQSFRLFYYFW IFFAIISISMWLSAISIFRVSIGIYYLSKGDAYLPGDYTNGQYTQGNVPIPIPQQDISLQ QGLVQQGYVQQGYLQQGYVNQPAIQNNQYQPPQ >CAK91213 pep:novel supercontig:GCA_000165425.1:CT868662:36005:37537:-1 gene:GSPATT00023941001 transcript:CAK91213 MGICQTKPKVNEPQSKMKKMNTEQRLMPKPSRILDVNYKQIPNKFRRQATQKRVQQIQQF DTDTQGLFGLTNLGNTCFLNSSIQALSNTQPLTDYFMSQLHIKEINPENPLSSQGNIVKS FAQLIRLLWQKVDQYQYDDMKVLKPTQFISTVGNYNPIFAEGTQEDAHEFIAFLLDMIHE DLNRIKKKPYVEQAKFTKFPTNEDADAEWKKYLSRNQSIIVDLFQGQMLDTLSCLTCKSS RYCFEPFMYLSVPVLNRECELQECIEEFLKKETLKGDEGWNCTNCNQRRDSNKKIDLWSM PNILIIHLKRFKFNSQFRAKIRSLVKYPLQNLSFENLVCTKQVEKPTYDLYAVINHSGTL TSGHYTAYGKNRDDLHWYHFNDSLVTPVQQPESLNDAYLLFYFKNSVEEFKRQTLEGINV SQKRKTIKNTIVIKQTMLNMHSQQSNSNLSDYKRNNNNNLAPSVSSQAQKKMLFRKQTKH FQIVKASEQPSFQQESYVIQEEN >CAK91214 pep:novel supercontig:GCA_000165425.1:CT868662:37584:39408:-1 gene:GSPATT00023942001 transcript:CAK91214 MSQVHGSSLLSKDNPNKAPDHLGSNVFTDLLSSTFYTVIGYVCLDVVFGISLHFIAQHND PNAVAGLGLAFTTVNVLLIPMALGTNQSLNVHSAQALGAKKPKLAQSYFTLTVFIHLMYF IPFSIILILIKPLVAKTINEEDRDATSDASQIYLYYLLPSTLFAILYECMKSYMIANKIF AVFMFIQLGTAVLHTLWCYLFIDYLDIGGGIAGAGLAIICTEILNCVFCLIAVCATKYKK QVFSGYKFKFSMKKQEKKLFQSFLRESIPIIGHIYADYFVFFLLNFISVGFGSDELNAQL ALSNTSNFYYKFPISLSLALMTFVGNEMGAKNIKRAKRYSWVGVLLFIGFTILFLSALTF FKQTWAEFYSAGRQEITDLILDVYPIFVFGFFVIDGLQGTLTGILKGIERKDFVTYSTLL VYYLIGIPLVVYFAYDFGLGKKVYGIWFAFGIVNAILAVLYLILTFTTNWSDMSVKICKR IEEQHKMQNLNDPLNKDFEEKPENSKKKRKSKASKVKPSKQKRDESDASSSDQKYQVKRR PTGSSDDEQQYSLQATEQTKVIKQEVDSVPITP >CAK91215 pep:novel supercontig:GCA_000165425.1:CT868662:40514:41906:1 gene:GSPATT00023943001 transcript:CAK91215 MISFDQILESKIGTGFYQFKTISIVGLVEFCNGILFTFMSIMMAILKSEWNLTSSQTSNI GSSYLVGIVLGNMLSAFLVDKIGRKTTFAFSTAISTFLCFKISFTNAYIEMILLRLLFGM IFGITYQLGFIILSEITEAKFRGRFGMSLSLLFVLGKIFLIILCFVFLDSFEAGNWEGLM LFNVVPLGIASVLSFIFLKETIRYQLNQGKFDQAFKEIETILEENGREAHLLNEEEKKGL QNWQEKQKAECQEQQLHKYGIFSSEYRTITLLLWLIFILANFQSMTIYLLMPFLLARKNS GFSSMLILFSFELCFSIVIYYFIDNPHYGNRIKIIAYSGFALLLSNILLYIYQESFLYVG LFIIKIATRGLFSTISILACESYPLYLRSQGCGIAQAVGKIGVIPSPYLLVPLLFFDPYL PFLLMALLSVLILMFACVFDKDKTLKPLETLKDE >CAK91216 pep:novel supercontig:GCA_000165425.1:CT868662:41950:43336:1 gene:GSPATT00023944001 transcript:CAK91216 MISFDEILEKNIKTGWYQARTLSIIGLQEFCAGLEYLFMSILMTILKEEWNLSQNQVALL SSIFLFGVVLGNFLCAFLADIIGRQITFIIFSGLSVILIFYTSFCDTYKEMIILRFLYGL TFGTLNPLGYVYITEITEAKYRGRFSYALTLIYILGKIYFVILCFIFLDDYTSGNWRGLI RFNGIPITIAFILSILYLTETIRSHLSKGEYEIALNLINQQITINGNSDLLLCDSEKNGL ISWSKQQKVELQQEEINIYGILSQEYRKVTILLWILYILTNFQEMTIFLLLPFLLQNNNS GFLPMLALYIIEFIFGSILYNIIDDAKYGGRIKIIAYSAITLIFSNAILYLFRDKYLYLA LFLTKIATRGLFATICIICCESYPVKIRSQGQGIAQAIGKIAVLPSPYLLIPLYFIDPYL PFAVLFILAIVILAIDCFFKQDKTQQHLEILKNE >CAK91217 pep:novel supercontig:GCA_000165425.1:CT868662:44078:44482:-1 gene:GSPATT00023945001 transcript:CAK91217 MYQLFNTIKLSLLPVQDTSILFQKPIQISPPSTPEEIYRIQPKSIRSTLHNKNTNVSSSN SNSQRIPHQKISFHLPKFPKSVSPSNLQQYRFPFRQKGYTQNNIESPTSIREPNRRATKL CSKVLQKYKQKYCI >CAK91218 pep:novel supercontig:GCA_000165425.1:CT868662:44823:45629:1 gene:GSPATT00023946001 transcript:CAK91218 MSQDTKIIKRTQFTPQESDIKNIIPTQGKSKEEMRIFLFEFFGMALFAYGIICSQGSDEF LALFFFASVCLAAPFSGAHVNPAVTLAMLLSRRINFGQAVLYWLAQFTGALCGACCCYLI LNEVDSPQVESKEYSWILSDVSGEAFGTFTFILFILIQTDSETTLTPGGQPMTTYVLVAL ALYFSRGFTFHSGGCLNPGMAVSLQLFQSFQTGDRQRMEFLWVFVGGPLGGGFGASVFFE LFYKKQIRRL >CAK91219 pep:novel supercontig:GCA_000165425.1:CT868662:46351:48267:-1 gene:GSPATT00023947001 transcript:CAK91219 MRYPMTNKHSSPSGSPRKRLFSAKRVGSKEQQQQKCKATASIKTGSSIETLKEVLMKQTQ MSNKHTNNLNYFVHNNKRESQNKLDTKTSDSTERVNIRQGTLSKEKTTISELTTKKTSIT KSEFEWNKCQLPLTPQVCLAKYGYALTEFEKTEILTFKRIYCIGINAKKIQQSQSNFNDG FDNAQGEYLYALHDHIGYRYELLEHVGSGSFGQAFKVFDHKRQQVLCLKVIRNQKKFQNQ ALVELNILSFIRDKDEENITNIVKIKDFVIFRNHVCIICELLSLNLYELIKNNNYQGLSL ELIRRFAIQILNALNFLYKHKIIHCDLKPENILLKQPNKSGIKIIDFGSSCFENERIYSY IQSRYYRAPEVIFGIPYDMGIDMWSFGCIMAELYIGYPIFPGENEQEQIAYIMEVKGLPD QTLIEKASRKKHFFTSSTPYQPLPYKNKRGKIRVPKSKDLKQILKCQDQLFIDFLENCFN WDPQKRMKPIDALMHQWILEGLPKEIRTQHIKYLESEQMTQKVFFKNNETKRQHHISEPE MEKKKSSSSYSQKKSKEKDINLTLYGNINNETTPQNKRILSQSFHTSKNGSNQKYQQYFP GTTKNQPLKYKFY >CAK91220 pep:novel supercontig:GCA_000165425.1:CT868662:48338:49620:-1 gene:GSPATT00023948001 transcript:CAK91220 MSKQSKQVSVQQQIPQNTLNKQLNTQTSPTLKPKIESVSTIQKPLQNLSNVPTRTQEDQI KRTQPRSPIRQTFEKKTLENKSCISNFDEKPIPKILQRGKSPQSNQTARNTKAQDQQASQ PEKQSTQQKQPIQFKSNEPDQLPTNQSNTEKATPNLSKQQAPVQQKQQTKLQLQSNQLKS QNNQQQQQSIRPKTATTSQLQLKQTVQQDQLLKSTRQDLSSRPNTQQTKSQLNVNKKQEV PNKPRQQPMLKGEQVVEEKLYQCPEGCGRSFNAKALEKHSKICKKVFQQKRKVFNSQKQR QIEAEDNVKGRGGAMKRQVQKQPMKQGQKQQQQVKSEKPKWKAQSEAFRAIIRQAKGQRL TKEEQTSLSGAMESAQDLVQCKFCNRKFNTEAAKKHIVFCETKAKQCGKSGVQKKKK >CAK91221 pep:novel supercontig:GCA_000165425.1:CT868662:49691:51189:-1 gene:GSPATT00023949001 transcript:CAK91221 MQDLRCAIADHNKKKFVSITLQKEAAKRLFCFQCQSDASGGDITKSQLVSQLKLCDLDEV MEGNAYSVYNWPADDDGNKVKEYLLGYKSIEQQRKEIEDFFAQMKQKLNEQIENVKQLLV ESLNATQFIKDEKLKQEEPEKKEVKKDDKKDDKKETKKDDKKETKKDDKKDTKKDDKKED KKDPKKEEEKLDQTPTIENLKKHYDVIYDLTKIKTLIKENAKGDPNLLSAKINEYLNDPK KSEQIISMKKVFQGVMSGKVEFNQIASNRQALESYWESIQTSIQQWTQNQHKFGKVQWKF NPSKADQSKLIKQLAEDYKECAGAGLQSQIIYSETSFSEGQHGCIILFKEFDQKRAGSNG NFYVGVVKDDQKDKSPYGQGFRKDLFSQNGEGLNVRIGTDFNNMPPKMLAIKLDVDNNYF EVCDGKRTIVYGLEKESKLKGEKWRIYFWWNIAADRIQLIHSY >CAK91222 pep:novel supercontig:GCA_000165425.1:CT868662:51236:52927:-1 gene:GSPATT00023950001 transcript:CAK91222 MGACNGQPKRVITSKRESLTTFHKTQEVSTIKSNKSLVKQRTDFRVAPCIFVSLKSGDIS QYYEIESTLGEGTFGRVSLVKQKSTNIFRAMKQLAKDKILASQRERMIQEVNILKDLDHP NIVNIFELYQDERYYYLITEYLSGGELFDRIQQRNNLNESMAANYMKQILNAVNYCHHRN IVHRDLKPENILFDQRGSDQILKIIDFGTAKQILSNTQLKQKTGTPYFIAPEVIDQNYNS KCDVWSCGVILYIMMCGKPPFKGNNLEELYRNIKNGYVDFTGSEWYDVSQDAKSFISKLL VVDPAKRMSAEQALKDTWIVSNKKVEKINAQNLENLSQFHNNSKLSSAILQLISTQIMTQ KEKKELIKGFNAIDKNGDGKLSKDELIQCYMDLYQDEVKCHQIVNQIFQYSDVDCSGTIE YTEFIVAFSEVQNLMAQEKLQQAFKLFDKDGNGTISKGELQEVFGGLALNDNQLDCVFSE LDTNGDGVVTFQEFTQLLMKDSNK >CAK91223 pep:novel supercontig:GCA_000165425.1:CT868662:53285:55000:1 gene:GSPATT00023951001 transcript:CAK91223 MNTQNEPKIKQVGDYILDLNKEIGSGYSSKVYLGENLKTKQIVAIKVISSQTYTSPIQKS LLKNEISILLRIDHPHLMKVYEVSQSANNTYIVTEFCNGGNLEQQMKKTEFSVPKVLSIL RQITLGIQALHEKKIIHRDLKPANILIHENTYKLADFGFALIEDQFESIIKRFNVGTPLY MAPEILSTNQYSEKSDIWALGIMSYEMLFNCIPPYKSDIRTFHEEILKNCQKIDLLYQGV VKQLLFGMLQVDPNARENINQIISYISQKEIEHVSPRIQQQFIKLSYNFVQSNPNSPLSS PKHKPTMESQRQKYQKKVQSHNKAQTFASVKMLSLNKFLDDQNKHNRVSDFNLNEDHPKL ISHTSAKKYLHDNHEQIGQYSTQCSFSTNNNNNTNSSSSGKKTKLKFKMQSSQLPAIVLP TYNFCIFLENLIKTMESSTEQKQKCNFLFRKLLAIKAKAFYSFAPKSIKEQLSLWIDQLN NYYEKVQFSLNFTLDNTFQIFFNSSLEDQGKLLSMYLVSLMSQIILKEQTSDFETLIDIL QDNVKHQNDPFLFARKWAHNQQK >CAK91224 pep:novel supercontig:GCA_000165425.1:CT868662:55070:55925:1 gene:GSPATT00023952001 transcript:CAK91224 MGTCQSQKHTSNPVQKKDQTTISSQFTTIRELIHFFDQISLEKLNFPDLSAFLSKHIDKN RIVNEGKTWVAINEVKTILNNKTIILIRNFNPETDAAIQLIYLLKQLIEVSMGAQELQIS FNENAVELFHYYQAQLVQVSIEVLLAVNTLIKKQEQWWKDSYFSWRSSYIQSMFKIKQPT VEKIDLIQPFYEFIALLKQCAKQICNQQKSPDLIEIDIKAQQEVLEQFYSQIRTGFLQNC STHYESINWERQNASKSIGQKLQFYNLYIKKQNYTA >CAK91225 pep:novel supercontig:GCA_000165425.1:CT868662:56935:58620:1 gene:GSPATT00023953001 transcript:CAK91225 MKGDMQKNEKKGSLLNVQIKYFQLFNEEQELVRICTNSYSCVNSALKCVLREKVQSQPLK QFWRMLSNIKYNQNSNPCYYQNLQNIQHFPPQFQEIIKKDVDRTTQDEECRVKLSSVLNA YSIRNAQVGYCQGFNYIAYYFLQHFNEEETFWFLCHLFETILPPNYYNHLYGVQCDDFII KDLIVFLRPQLISHMQKLQVETSLFTIQWLVCCFTFHNQLSETIIDLLLLDGSKALIKCV LTYLNFLEPILLQCEDIGSFIISIENFIQSYQDREQFVKEYNRLYINNKILQFVRQDYSR KVVTMIQQRSNQIAKQLDTYLLDLKQKKCNLESPVCQYILETWTRNKRHLDFIIIQEQNI KIIQDYEQTKNRKSILDKNDQLIFRQKHICQSDDIILIREIQYSDVQDVEFVNIKQIQKN NQVSKRARSPIKEILLFPLKAFNQKEQEQKLKMNPQKYKIEPYQIQRSVKSVQPQQSPNS VQYNRARSPQYRRSYQPKDMSHSQHAGSTQRTQIEEPPTIRQSITIQFLAELSKNTGLIN LEFEYQ >CAK91226 pep:novel supercontig:GCA_000165425.1:CT868662:58920:59827:-1 gene:GSPATT00023954001 transcript:CAK91226 MSILDPIIYPHLKVSVPSFLIKTYEILENESLSNLISWNKEGTAFIVYNPHELSSKVLAN YFKHKNYPSFLRQLNMYNFKKTRNQYGSSEFRHKWFRKGQKNMIQYIRRRNQEESDLKIE TIEQKNHELDYYKQEHENMKILLQDLQESHKNMQMNLSKSIDQSTSLNRQNFITLQTIHQ TQLEFNKKYDYVTIMLTNVLANLPNIGMIYKLQNTVTNFKEIIKVCQEETNFQQTLLSLE NGPPSQYPQVLPYNQYQFYNQKQQPCIMC >CAK91227 pep:novel supercontig:GCA_000165425.1:CT868662:60210:60663:-1 gene:GSPATT00023955001 transcript:CAK91227 MADDGGADKGEYIGEEVAKAIDDGINAVFQLKEGENPPATLIYSKEKVISWSNQLLDYTN RNLSKLDKPFKYCVSCIIQQNNDSKFTVALGASFDTNTDGICSAQREINDIVIIISVFAM LI >CAK91228 pep:novel supercontig:GCA_000165425.1:CT868662:61539:61661:-1 gene:GSPATT00023956001 transcript:CAK91228 MGLPLGFYFREHNLQPPVAINMESIKKAFEIFAEKEDKDD >CAK91229 pep:novel supercontig:GCA_000165425.1:CT868662:61724:66666:-1 gene:GSPATT00023957001 transcript:CAK91229 MSQLIIFRILQLTQYFSIIMASYHVKLDSFHLNSLITILKASLLDYDSIPIFLGYFSTFI SFAILTYLLILSCFNLNQMAIVKQVILIYIGLLPQFICYLQISITAHTLIKEENNLFEQM LGTITIIIIFIQSFLSLLFMRNTYLSQTVLCRDLTIIGLLSQILKFTTILFYFYNSDQDN TMYIITLISALLWTISETADILYYYPYSLSDNQLQFCLNSIKIVCTITRLLDASLQFEQA VVIYELILGVLGYFASRQIFRILLYNNCFHLFSQAISQEQQSLYFKIILYLKEQQKEQTN IHQSFLSCNVMNLHQKYCEQKCQVMIYFNEAQVYSHILECLISRIFQNEDESLFLYKISY IAFIKKQYSLSLMKIKQYQIFNGHNQSWYFKLMVLDITKNIEKEIQQVLYSTTVAQNWNK YTYLTSKDLVEFEQQEIELIPLISQLINKKIDIWQSQIRGFKNIYDLQMKLVNFSSLIDQ VRKMLAKIFERDINDLSITLINNIYHLRVLSLYYSLIMNDQLNSYLCEKQIQIVYYFEQT LKQNELTSLALITDKISVIAVSLVKKQGEILNKNKQKIGSLFGYHKSSEYNALLSVNQII PDFISNIHNEMLTEFLKKGQSPFFVQFKTVFGKNKDHFIMPLQLKFSNDFNFVDDFVIKG VMYQGQKQQDFILFDDQGHIQGITQQFYEILIRDNQKVNQIDQEELTKQMYIYYFMPEIF TIIQEYQQQKQHNQLVQFLEDTQFIIIQNLMEFHDDFSRQAKKFTKHTVTDPALYASSYT NCSELTNNNVKAILRRRMFTEIDRSLLNEEFAKSAIKFIYNNLDIYVTYFIKFQLQYYPI QNEKVKGFYILEIIDYRKNYDKKAGSEAVFKDLESQMKQSIRIQSQFMQRIENQTEFDEI NVCQVGKSNTNTTENIRLETNGGNSSRRVIFLKPEIQSEINDFAGGQNSSSSSDEKQEDF FSPPQSNRLQFCKENDSIQPHQQSIKNQKRNISEMEMAINQSQSSGISRQSSRTSTYFNN LFQNKIKYTNNVKAIILICMLTVIVNLFLCIFNSVKLYAGYQTFQTITSNMKVPMNLNRY YSKTATLAWITTCSNYSILNISQFQKNQNKYQMKLINQFMLGNVSLIYPSLIETEEHLPY INQSQGLYKFKEVKLTQFIDYMNFFTKQILVNEEINSDYIQAMTILRYNVKNMRLITVLI MDELENQFKLITDLQQTNQLISIITNTILMLLLYCVQFIFSLKIQIQNQTLTLLVGRVKE QEIMNEIVKIQYLLQSLKNIAGEDSWKRINFWSYVFQNDYDNIYKTKLIQGKSNRTSNLN SRIKHIRIRFKFQLLKIVIFLIIYLCFIWGLYILYDSQTQRMIPIVKLESQYVKFSVNID ILVFSGLIIKSQPQIYSKFIENHIYSEQQIKSFDDGNQMIQLFIELQTTFSETMKSLFND VISTLPPTEDVNSLKKLIDQDICLVLYNEIPFCQFYNVSQIEFVNRYGQYYDYDNDLDYL KSGILGIMGIQSSYYQLNYGYEIDNVEFNPNQNEINGVYQTIEFHYIILSHFKDSYLCFV RFLELLTKNISDIRYSDFIILLLYIYIAGLLYFILYIIFHYFWIKKSNKYIRSLKLSLVV IPNEQLFNSSIINILRKFE >CAK91230 pep:novel supercontig:GCA_000165425.1:CT868662:66973:70511:-1 gene:GSPATT00023958001 transcript:CAK91230 MLNKNQLPLLSNSSQAPVITNSQSNKNNSQMSLMEGNSMRQSGISANLTLNLTKTRITRY QDTSYLQQKTVVPTSLSSLVDDPKLHEYTQQRLKTNFYGTMYRNSQSMTSYKTNFTELDS KDGTLDLNPLLKNVKSSQLQSYLKKIIQQQSDKIQQSSTLPNRQMAIDLQLWFDYMIKKV KQSVSVENNNTQNIAEEISLIYSSCLKEIIRQVSLDCKERGQLLEKVWNSYIVLIDDLIS NINKTNQENLEKLKTIQQSQQLNQTQKNYQQDYLTLSQEFQQFKIEKEALDKKNTFLEDR IKKQREEISLLYQKIEQISQEYKKHQNHQAQSTDVVIQLPNGFSNMQLKHLQSIQEGKSI VSHIMTKKAQIPIQLKNKSLSPIQIVKTSDDEQSKTPLSQLQLEFDNMEDSQLIKIQKYR RKSNAMQNVILDEKQTQTDKMIFSSIPILNEFIHNFINHGVKAIFDEVKEDEYRESTQIV RCATSISIAQEDFVIETINKSKIKQRVYEELQEYVTKLNDKYIEFQSKNIEQMLIIKEHQ VVAQNLEQNLKEATQDFVEILKEKQLTIKTLKHGQTQLLKKLNSISQEQLSSKRSPQFPS PTRKTPSFKNTFDFNKEAISKEIDIIKVEEDDDNSSIKSDDEKQKINQTFQINNEVLHLN VLQDQDECDGQLQGDEKQEINLDTSAYAEDENPLNDQKSEITQSLQLDLQLESKKVDENR MSNVKELIQKFGLQRQSTKKFTKPLQMRVSLLNELKQDKKKVKRIYSQNTDAANSLMKEL IAKTPTKKVVRIPIIPIIQLLKIFYTLILDASKNPEGYKIPLHISIYDYFLKKYGFKNVA EKKIKQIFQFICSKMETLPKMQFMARLCYMHGEMDEAIYKQIVETVKYFNNKEVNFHKND LIIQLDLMTEYCNEFLANFLGQSTVNQYLNSLKHKKEILFEPEMITILNLYFSKKKQCED SLQLIFQAADLDGNQLIEFSEFKTLYKAIHPDLYNKTKALHQFITFADFIDENTKDKMIT LDRFAEMAIELNLFNREKINQYGQGSQSLVHEWNENKNLIKYRYLVSNNYHKVKYTFNLL TEQIQLHMQKQNCSHSIQILWVSYKLLFERSQRVILNYELKQLMDDFVPQELAQITQIYN AIAEINL >CAK91231 pep:novel supercontig:GCA_000165425.1:CT868662:70545:72566:-1 gene:GSPATT00023959001 transcript:CAK91231 MFKKLFALGLGGSLINYSVKCCGIIGVLTTEDNAEKVIFEGVQLLQNRGYDSAGIGTVNK QKELVISKHASDQINKVDCFVKLNQELQKHIQSQVGIGHTRWATCGSKTDNNAHPHSDIA KRVALVHNGTLENYVELKEELIQKGIQFSSDTDSEVIAQLIGQEIQKQNFLEAVESVLTR LRGQWGLAVIDRENPAQMIVCRQGSPLLVGYAANSIFVASEKIAFEKYTQNYIALQDGEV MLLQLENRNQLYNQIKHRLIFNENQEVEQVHLKPKQPYQTFFEMEIHEQPNSLLRCLGNG ARLAGFTDCAKLGGLDMKEKELCEIKHLILIGCGSSFNAAQSVLPIYKSFRTFESVQAIE ASEFQIYDLPYSSVGIIFITQSGETKDIVRVLNLAKQQGVTTIGVVNVVGSLIATNVDCG VYLNSGREVAVAASKSFTSQTTALILIGLWFSYYKEKNNYLPQNTKMKPLREKYVNQLRM LPMVFGQGIVECQASVKLVAKILQTKQSLFVLGKGSNYSIAKEGALKIKELTYIHAEAFA SGEMKHGPLALIDSSKEKETSIILIILDDEFLQDMKLSLSEVHSRNARTIVITDSAQSLS NTMNKIDHLIQIPKIGEQLNWLLSVIVFQLLSLEICYLQGIDPDKPRNLAKTVTVG >CAK91232 pep:novel supercontig:GCA_000165425.1:CT868662:73289:73644:-1 gene:GSPATT00023960001 transcript:CAK91232 MTRRANKQKKKYFLIFYNIYETIKVSGQGEVGISFNLRFKIGFLKGFKYDDQLALYFKID SKSWESLTCATTSCSSPLLIDFYDFETAQVPILIYYINHDINQINFVLQ >CAK91233 pep:novel supercontig:GCA_000165425.1:CT868662:73769:73972:1 gene:GSPATT00023961001 transcript:CAK91233 MGICHGSTKNNNGQLKQVMAKVRKSQDIDIILKETTETYTTYNPYMNPILNRRLREQSTP TYSNVQQ >CAK91234 pep:novel supercontig:GCA_000165425.1:CT868662:74627:76314:-1 gene:GSPATT00023962001 transcript:CAK91234 MQLEGPISFEFNCFKKGQKGTKQYKGISNNNKLDLYKENAKAPKYSLPIQLQTHIKWEVE EEIVNGKKHYKIVSFKFQQIKQIRDSQIAKVTCFFGDDVTLSKLKEHLRNKIIFQRIQDF YTPLQTLGKGASSRVLLVRHKNSELYYAAKCVDKSYVNETENGIESMFQEISINNDLDHP SFIKLHAVYEGDNTFYMVMDLLEGKSLHDELNSHKNGFPEEIVRNVMWQILTGIEYMHKK NIMHRDLKPENIMLQRKSDLNSLKIVDFGLATYCNIDKYLFPKCGTPGYVAPEIANLIDK SEKYDKVCDVFSAGVIFFKLLTGKDLFPGVGFNLVLKLNKQCKIDLTPLQMKKVDPSIIN LIQRMLEKDPTKRISASACLLEPFFEKSHMQFQELKLQQTSTQKKQFFSSGGKNFQTQEF QSDSPQIQNTKQIDKGSFVTQDCAFKGFKEPQHGKVMQKFNTTEFDHVDPNINGSPIEKK NSKFTNDQIEEEDEK >CAK91235 pep:novel supercontig:GCA_000165425.1:CT868662:76758:81702:-1 gene:GSPATT00023963001 transcript:CAK91235 MSLKQEFTQTLWDQLKLSLFNVAYQIIGQNNQSFQTTCLLSFIQFIQLLYFPFQIQLQFA WHNVKLSGEIQKFLQYFTSIRMLNQQKTSVYLIAMYCGISIVLLIAIIVLLATILKQLLN NQFLMLVLSVTLKLIMTAGFVEILRLHLGFLVCKRDNRGELRMFYTTDQVCWQDSYIIHA CIVIFSLAVLFFIVIIGSQLFIEVRNNQKNTFSQREGSTYTFMFVYVSLSMFSYSLIELP KYAVIVILIQLLTSFLFFYKIRFKKPFYNRSVQKIWSAISGLVFYTNFMLLTTFTFEHLV FIGTMKGWLVTMPMLLVILLQDERSTVNLLKSNMIQELSSQEIIQLSEFLIELCDCFEKD KNKEILVLGFLEVHKQTCLNPQCAIKTSIELAKKFKDNLKYTDKRMILKEIIDQIYQVGL QTYPLNVDLKLHYSYFLLDTLEQGQKALIEVDKADMCCPSFAQQFLIFKMRKIIDQNYQR SATTNQVKYIQTLDRSMKYQYKQIQQLAEECAYYKIQFWNTLLDENPNLSSIQKYGKLIL ESVHLLKKQLFKIQINTPLDSSIYRLIEKFSKYVHQLENYKGFQIANKQKVVSQQSEHQY LKNQDELSMYSYPVIVLELFGKPNQPTIIKNVNQAFHSLLGYSKTDVIGKPLSLILQSTY QKLHSVFVESYFSQLRPDQLNETGERSQFLVSKNKYILQTFSINSIYMSDKGIFQFCRLR QEKCYNNCAYIIFNLEGKIESISATCISILNLDIRQLQIRQLTIQRLFPYLMYNKEEYIN KMNLVVFEHQTHSLSQSSDHEEKFNDSCISRLYYAQLYEISTKNYMFSQGDYRQQIYGYY LKVQMNEAATTKDDYCLIKRNIFKHQFKFSVEQNAYIFEHVSQSTSQYESNKNDSDVQDE PKVAIYTQQSIPSKKFLSMTNLKLQSGLGHDIKTLRLFQGEIKEIIDLDQDEEEEEQIRE RTQKLQLEEDKEQPQQIKINNQEELMYHLLSVPYSPLLKKLILILNSLLILMFVIAIVMY KVIINTQNQFESAISYLAASNQRFASILKIQSNLQDLRGCYLNLEQYTMKTTGGRYVQIN YAELNNELKILLEIDQILTSANVNINEKYQKVLDDFQSASVQMFTKNNNFQNFTFTQAIE QMISKAITLNNSNISAYVDDNEDFHYYLHNTLNSIPKYQLVSQAYYYYNILSLIDDLEIE EESFFTIQICCLSFIFLFFQLYVFFHQKIVKEIISLYLEIRDNYIRKMINQFQIFIQLLQ LNENDEIESIEEDAEVQEEQDYNLGFSSKNKKRKSTFEMNNYRKVQVNFLFFLTLIISYF SYIYFSSTTITDQTKSLVPLVNLTSFMPTQYRLIDNSIKEMLYDENAILFNEFNSQEKLE KELEEVRLLDAELHALNQKNELILSDRYKQVFNEIYILNPCDIILANDPSVNSTACLNFN QNILQEGLSIAITNFFENAQNMLQQYQYYNKNAVYNNLTFNISSDHRQNYTCNIYNTRGG NANRKMQKVFIRVCHMQLLEELQSYLYSHYSDLQLQFTLLFMIFCVISVLVYFVIWIPLQ ARFYSESKTAREIQSLIPVDLFNNCQSLQAYLRQIKEKR >CAK91236 pep:novel supercontig:GCA_000165425.1:CT868662:81802:86498:1 gene:GSPATT00023964001 transcript:CAK91236 MFNRSSSDVNISQIIRLANEIKLSIYKVVYLMMKQGEESLYVSIFFSIVQFLQLTYLAFG SKIMYVWNNEGAATDLSNILSQLFKQSIRYFVISAHLKHQKFELYLAFFYAFFGLVVISI ALFVIFAFAFKVAVLPLMILKSLLKLFLTIGFLPIMLLFFGILSCKVNSNGIEVWSYNAD VECWGNDHYIHAIFAIIAILLFQILTSTTCLIYFESKFVYGNAFAQRSGRPYSYYHINVF IIIMSYQLLETPRFSSLLLAIFTISSFFLFYQIHTEKPFYNKVIQKLWSIITALNMWTSI MLIFAYLLEGQFFRDVIWGWVGGIPLIVLIIVNEQLFEVDLLGSNINRAISGQQIIILCE YLLSLLDKNDQHSSLMLDGFIEVHKQTCYRNDCILKFKKSLNEKNKYASLHDMERYTVFI ELISQIYYDGIKQFPQDVFLRLNYSYFLIDRLKLKQQALSELQQAESSGPSFDHEFIIYR YKRIMEDDLQEQQQENINQVDLVTEIAFQTQSKQLIQQIEKTSLIYIDFWAQLQEEVPDI GRINNLGFKILDSVNLVQEQWNRLKRLNQKASKLNRLMGKYYSQIMNDEEMGQKLIQQSR MNQNDKFKAIDLEEIQNECLPTITILTDVDKIGTISNLNKAACSLLGYAKTDLVNRKLNL IQPDLFSKYHDHFLENFLEKYQDNNPNQQVKEKSIYVKNKQGYIIPCQLNIRMIQTINSG VFMCGTIKQDIYHKPISIFLCQTNGIIENINSTCIRMFGLELKSITIKPVNILDIFPDIF DRKEELLQKAGGILTYVIGTRSSKTNTSHNSLQDLEIEHSQILDHQNKQQIQFQCYLQEI KFQCIEDEIIQNKLQGYIIKLDKFKSPEKMQLYTQNKSIQNQFQFKFNYLTLTYVLDFGN GLDSQDPTVKVDQSIIWEDQTKVSQQVIIDPQIQGSDCQTNYAEGIKIVRLIGDRIVEIE ESKSDEYEDDNMQSLFQQNENNEDDQKNEKDTINLYRSKNQLEQLLSQSKIPRTAINFRI FINIMTLVLVLITFLEYFINSDFNQKLLDSMPLVSWNNQRSSTLMGIQSVIQDLKSVHYD QLPLLKDPEVGYTIIEKQFRGWFDKELTQLIQLQKDLTLVSAEINDDYKWVQDYYEMEIV EMVSLEGGKQNYTFNEAVQSAIAKALQINSSSLDEINDQNGDVYYIEYNVFNSITQSLTI PAEYFKYNIISRGEILETSVTAFLTAALFNSIILEYIQVVNQAALQLFLDLNEKKIKSIY VKCENFITSLQVGDDDDDDQFFSDNDDKEEENENKEKEKEEGDNLLNGRKKRKKFRNSNQ NYKHLIFALLLVIEIMAAYFIYLFVSVQSETSDIIDLIPVFNQTSFAECYYRYSDNALRK ALEVEQYTFVGNSSVEVLNFLIAELYNLDAAIHQIHSSNSGILNSDYLDVFNNIFILTPC DYIVQIDTQITYDICLNFNDGVLQQGLSIGMAKYFGEVFSASPFNSYQMPTINLLRL >CAK91237 pep:novel supercontig:GCA_000165425.1:CT868662:86542:86865:1 gene:GSPATT00023965001 transcript:CAK91237 MNLVNTNQAYNMRLMQERYIKAAYQYVSQALIDSVKNSAQDQKNLKVALFISFNIFLFFI YFFIWIPLVFRILSDMLKNRLMLTIIPLQVIHKTASIRLYIRKIINH >CAK91238 pep:novel supercontig:GCA_000165425.1:CT868662:87322:88744:-1 gene:GSPATT00023966001 transcript:CAK91238 MRNIVIIYSKNIIIYILMTKFANNIIIMRKLLIIYVDINLYQYMQQANQSESTPQHPFAL LTQAPPDPIFGIMNAYKADPSDKKIDLGVGAYRTDEEKPYIFDVVKRVEQEIINDNSLNK EYLPIEGLPDFNKGCQRLLFGKDNPLIESGRIVTAQCLGGTGALRVGFDFVKRHFAGDVY VSNPTWSNHNQILDRTGLNQINYPYYDPKTKGFNCTATLDCLSQAKQGSIVLLHVCAHNP TGVDPTETEWLQIAEVCKTRNLIPFFDCAYQGFASGCIEKDAFAVRKFAELGFQMIVAYS FSKNMGLYNERVGALHIVTSSQEIAAKVLSNLKIVIRTLYSCPPAIGGRIASRILCNEKY YNEWIEELNTVTGRIIRMRTLLKSELDKLNVEGNWDHITKQTGFFTFTGLTPEQCDLLTK EHHIYLLRSGRMSMAGITSKNVGQLAEAIKIVVQNK >CAK91239 pep:novel supercontig:GCA_000165425.1:CT868662:88744:89961:-1 gene:GSPATT00023967001 transcript:CAK91239 MQQKADYRKELAETAQKICTPGKGILAADESQGTIGKKFVTINVENNEENRRAYRELLFT SPGIENYISGVILFSETVKHATKEGKNFVELLKEKGIVAGIKVDKGLGVIPGTQDESATL GLDSLATMAAEHYKVGCRFAKWRAVLKIGNGLPSQQAIQENAWGLARYAAICQENGLVPI VEPEILADGDHSIEVCQKVTEKVLAAVFKALNENNVFLEGCLLKPNMVTPGSTNSERSKV TPQEIGYRTALALSRTVPPALVGVTFLSGGQSEEEASLNLNAMNQLTTVRKPWALTFSYG RALQNTAVKTWAGKQENWEAAQQALLTRAKANSEAQLGKYQGGKGGASNESLFVADYKY >CAK91240 pep:novel supercontig:GCA_000165425.1:CT868662:89961:91147:-1 gene:GSPATT00023968001 transcript:CAK91240 MDTLFDLIIVGLGSHGSNCFSHFSGKIKVLGIEQYVSPHTKGSHNGETRIVREMGYSGEY VDIARRSLELWRQLQDSTSEQVYVNSGGIIFGDQSDAQFRNQTQFNNPNLQQLQHQDVES KFPIKTSSDQSFYFDKSAGFVRPEIAISIFINQGKAQGGQVVNNCRYINHEYKGDEVHVY TDLGVFRSKKLILSLGMGLKRLQNTYPLDISLYKQQVVFFKTDNQNFDKLPVFISENNSQ EVYGFPRVNGEVKIGLHHFGPSLEHPDLYDQTKNEQGSVNIIRQFLTKYMPELRDAAVSR VETCVYTSTRDHNFTIDFDPRSKSTIILSACSGHGFKFCIVMGEILEEMFETGVQKYKTF QLSRFQKPNF >CAK91241 pep:novel supercontig:GCA_000165425.1:CT868662:91454:93010:-1 gene:GSPATT00023969001 transcript:CAK91241 MKKISNQLKNVDFFSVTYSPAISDGLNYNHSSVIGGIISLIIGALSLIYCIYYMYLWWSY QLLPKVTEDINNFEENTDFGFINNRMQVIAYDNDGLSKINPFKSDEIILMPLIMDLDVGS FEPLISNITDEQLLDINIQMGKEKQFIIIFTLCKDEYLIGDYKCASEEIQKQYFNESGNM LYTNIEFTTINPSTFEPQQFLRTYPIYIHTDAELCSQITLHYQLNHYLINKAFLFSSNEE EHNYISNSLNYPQFGTKKYCDKTFLKNTYGVYWILFQQQYRTIQIGYPSISEVFAAIGSI ISILFSVKYLITILNLSQMRQSVLDDIMRQYYPEIRRFKMIKNFWGKIKSVKFDGVQVEL PSFLAFQKQIHSQMACKLNYKNLLYEMSRFQFIIMSIKRRKEIEKVHAIGIKVPMRLSDS GESYIISEGIQNQQKTLNFRNMNTLTTKYDILSINDALILSQDYKKCTQQPETQVGLTTN NVDNCNENEDNDREQDFYDINYIKQQESPKIKLNDEQL >CAK91242 pep:novel supercontig:GCA_000165425.1:CT868662:93153:95206:-1 gene:GSPATT00023970001 transcript:CAK91242 MQALFEDLGPIREYDERIEEQDDTIYSQIVVYDRDSLRVEQQNDKDLFEGIQGTFNIELQ ETMETTYNKYNELIKIATELTSLFGLQDMFTFKTTQLTKLCLIGQLQIQSVIENLSKDYS HIIGEFCLYSKDINLNHVYQILSILNINLLPKILHVCEEFFIKKHTRKPKHILIELHYNL SKFLCVLEAFPHACASQDIRYEYKNSIIVREIAKKDQIEHAYKFILSAIQSAQAMMQKKS ETETKIFRGIIHNLGPIYYMLARKQGLLKAHQLMAEPTVELAFAVWNMGETGLAKHLMPI IFPSIKYKQCIYIPRYFKQINIEYVKYYQKHYMGIISPPQPLIDKSPKLLSFYQLIENGE DRVKVRILNSQALTISPSSSLQNYYQDPLIQLQRTNFIDRLFHKTTNTTYENIIIHIHGG GFVSMSSRSHQTYTRLWANSLGVPIFSIDYRLSPQYSFPAALDDCWQAYLWIIHFSLHYF NITPKKIILVGDSAGGNLCAALTALCIRESVYLPAGIILAYPALNLDPKNFNMYQFVSLD DGLLPHSFLKLCVKSYIQDETLNYKDSLISPGEAIDEVLQQFPQTYILTGTNDPLHGDTW TLLGRLMQSIRICLSNLGKKCKLYKYDYMPHGFLNFYNFIPNGEQCINDVIQIIKDIFSQ >CAK91243 pep:novel supercontig:GCA_000165425.1:CT868662:95784:97125:-1 gene:GSPATT00023971001 transcript:CAK91243 MFILLHLFLQCLGQTQTFLNLEIGIHILQTFQRGPKPNHKIVVKLEQAIFDSTQLLICDS EITLLKENCIYDVNSYDLKRNTQIIQLVHGFKVEKYQMQLLSMKQFNEFPQVIGTYSKSI SNFSITITTLDISECASQCQNEGICKDGMCECVQGYFGADCSIVALDITHESRYHKNGFY YFNIKGCDQNSCSLSINFTQVGTYRQTCYAEDWYLLQQNMIEKREIKISDVQSCLSNINN QKLSPQQFSYYIFYFETECENSQEPNIQNTKIILIIVITTVGGSISLCCCIICYYYFFRR QKEEHQILPTISLYPKTDIQRYLPKQLYKTLINQYPGLANTDECLICLDKIKEQDQVRLT YCTHIFHVQCLDNWLEKNRICPACRSELDEVTLIKIAKLRKNDSLMFENKASRLYGDMGT PNLTMTPQLRSVEITHRH >CAK91244 pep:novel supercontig:GCA_000165425.1:CT868662:97581:98024:1 gene:GSPATT00023972001 transcript:CAK91244 MGSQIQKSQINMKAQLSRKIQRPQAKAEFIEVRVNDPGAPINSFFVESKNSLIENTMLNS HNQNQDYGNSQNLEPKKNLHNSNNDHIQSIKGILKNKSNMSQSSSRYFNEEAKFVRFQCR NTHFSQQRIRDVEKVKKPRKGRISPLL >CAK91245 pep:novel supercontig:GCA_000165425.1:CT868662:98997:101978:1 gene:GSPATT00023973001 transcript:CAK91245 MNLRWKIKRNIQREELFILTIVERVLAGHLQLISFVDQAQFSELLTKRQTQQKAIPPLHL QILGKVKRNLIKNQTPLLEKKVQYEIRTVASSSIDMRKNYIHSFSNRQSNNKEQQTTEID AQMIGHLQPQSNRNSNLKLDKTDNSCLPKYHTNRSQKMNNSSARYISPFQLISPNSTQAE NINKELPSLKQSTINENLPILKNEMAVINIVSQDGEIEQQQDFIIKNNNINIEPIVNQNQ QQLQRIEKQDSNAQYSYSRKQSQNLPMGSDSSKMDLKEKLQKYYSNDEDFSSQRKPRTQS DRLKDISYGTDPNAKDLKIDNQLQIIQSAKNLDNNNKSQVISQQSQQAKFSFIPGQTQEL VSQSETFSKDQLSPKKSLEEIHAPGNFLSPPQSARSIITAKSTKRKLNTLQSNDLKLFDQ QNPQEQQQVEISASNEESQMSLLEQSLHQNEQNHHTHISSLRPATTHIEASKQQDYPVFQ THDNLDIMKKSTQKLLQQQTSITSIMHDEENFQNNQTLIQHINIQVNQCDPTQPPNNVII EESIEGSPNCKVQNTRNPAASFSLLKLEDQKQMPTQTNLQFRQQSSSPKNGYIPSSSFIP SSTLVQGSQSQSKMLIPINNTQNSIKHNRVNNKSRSQIKDFIQQQQQQQRSDDSQPESKL TIESSQGDKSNRSKKGKNKKQLKLPIAAVALNFARRKSSNQISPMDPKKLEQQEKEKQRQ LEYQKQLEKMKYERELMEQFKIPDNMPLMQKRSIIEQLMKELELNPDQDAKYQIMLYKQR QEQIQNASKFELAFDNPLSNCYEELSHISEQKLKILGKDNDPTKVQFLKFEDQVQTNFHR QSKSFTQDLNSPNKKNLKFFSKLDPVEIENQMNRIKEFKQETDILKFFSSFNNQYDKEVN QCDLDQPTVKDRQFSMDFQRGFLPKTIHRRKRTKKKSTKIVINSYRMDPKKLDQVLAQTE KIKQVGQFLL >CAK91246 pep:novel supercontig:GCA_000165425.1:CT868662:102159:103425:-1 gene:GSPATT00023974001 transcript:CAK91246 MISTWSNFTKSPISIHKKTVVFKTSPKFSITPEQFKSKLNLRQQITLNQIPSPITSLKKI SIPLNKQKSRIDDPLLVYSPLILGSPVPKGFKKFLDGEVDQCEMLKSQVKQNTRQSELVA FNQRKSKVKRGKTIYIKNQKENEETAPSKLKKLQKLIETKILKKQLQQTTQSQKRSEIVN IIQGKKPIQLSSSVKKFKQMQMLFIPKNPIQQDHETLSYSKLKLINESNDLMQQILDEQT LRNFNKLNSRDKEKQYFAQFKKDKTLNHLKNVEYYPQEQTTLSQKDTALYNYLREQKCID GSSLYANQQVSKSSQSTRRSVLSNHRKRQPLGQQKIKPNIKDNDSCISVDLSLQSVYEYF LDDLYTEQKKLESKLNFQQAFNADLDKQMKTINKMKNINSRVIDCSLNKLFETL >CAK91247 pep:novel supercontig:GCA_000165425.1:CT868662:103446:104717:1 gene:GSPATT00023975001 transcript:CAK91247 MFLHQTLDQPYSQVGTPLSRRNATPSDMIQLNSPFSTHSKHQKDYLRNRGNVGSNNQQFQ HKTIKLSLPSRIKPKQKRLLRQDDPLVTYSPINQLRKPPTNLFIKYLDGEISTQDLVSQS ISENRIQGNKDKSKAQENKMKKIKNVKLNILQRFLQDKIKKKNSKATIDIDFNLSKTHIE VTQTSDTGIKSSNSVKKLKQLQILFFPKVELQKSEEPVFNYKQVFNVESDQLLQDITEER NRVNQETFHQVGKELIIRLQSRKNQNLPLYIPMEKEVIISKDVQLYKYLHDHSKEVKVSN CKKAETEKVVFMRYKGTQRKFATKNCLRNQKTPYDNPYQIDEPYSQESSLESEQQSYNLS YLRIQDSKFYDQSNINSQTPQRRENLASRQFNYLQPINKTQNKFKSQKPFQNQTESKDLL KEQ >CAK91248 pep:novel supercontig:GCA_000165425.1:CT868662:104999:105697:1 gene:GSPATT00023976001 transcript:CAK91248 MKNSISNKQSEQRQQGKNSSNLSDLTVQESSNYRMRFTPLGSPSVRHKGTILMKSHETIV LNMLSSTHRQIDQPFKVCQECNSEIGTVHQYCKCRGITYHEKCIQDTARRNSKKQKEQAF ICKNECAYPFQIEGYYMYEMVQNQEKYQHCWKVAHSYNSDCLSCVTNHGNQHFRLSRYFQ QSSYYLNCDCNHRTLNSNYCFAEQTQTQLLYITLASQTLLDQLKTRNHLQKN >CAK91249 pep:novel supercontig:GCA_000165425.1:CT868662:107352:108436:-1 gene:GSPATT00023977001 transcript:CAK91249 MLRIYKQNQQKSFFFNVPKTTCPIKLSPLTIIILTSSNICSIICTTICSNIRPCLCPYLC PNICSIICSCSYCTTNIQCCKTCCSTQPVIAQPVVAQPVLQQSVIAQPVVQQSVHATIKG ESRIEYIPYQKAVMEYEEQEVVQYVPRERKVTDYYAVEYQTEYVPQVFQEKYTEYVPVDR YQERVEYYPVERQVVHQQVVQQPVVQQVVQQPVVQQVVQQPVVQQVVPQPVVQQVVQQPL SVVQPVQTVPLTYAPQYAAPIVSSRVIPSYPQYPSYPQYQQAPQQHQAQPQQPPRSNLNV H >CAK91250 pep:novel supercontig:GCA_000165425.1:CT868662:109355:109722:1 gene:GSPATT00023978001 transcript:CAK91250 MDKFETIQGKLFYTVYWMHKQNEISEDQKGRIKDMIVQWDPQIQEISKDLNRLRQNLLEM VCSYSDNADSKQTKITPRSQSRLNVRIGQSNFKLANKSYSSLSSPIDHRKPLLI >CAK91251 pep:novel supercontig:GCA_000165425.1:CT868662:110323:110670:-1 gene:GSPATT00023979001 transcript:CAK91251 MKGLYKFKLNDKKGNYLFLKEQQQSQFLFLREKQRKQQQLERIQYRFIRVQNQSSKIYKK VDIESLQQTSHLMEFLFIDLFTFQFQPIINSYKTLQSSFTEQHTFKLLNNQMMEI >CAK91252 pep:novel supercontig:GCA_000165425.1:CT868662:110865:112004:1 gene:GSPATT00023980001 transcript:CAK91252 MFSLSPIVQKSYQTSSFSYEQQMDDMTCIVDNGVSGSIYLGNIESASSLENLRRHRINGV LSICMNKIPFEVQTQLQNYQHIYLEDCESENISRHFDSSNQFIERAREGGNVLVHCMAGI SRSATLVAAYLMKKNNMSAQDALRLLERKRWQVYPNNGFLRQLQQYERVLQQKTNKSDIM EFSPLKESLLKSKQFQTPTKEISFMNKYEDKQLYSSGIKSRPLDDIKYESYKRKSSGANV YNSPEPTQKMQFSLTNHRPNSAIRTSPDLIRKKNYLQDAIHGSKKEGLASLALELNSLDW QNRKQDFGNKFAKQMTQPSSKTFVSQNKPLQLNQHQSKLDELLNAFNRKPIL >CAK91253 pep:novel supercontig:GCA_000165425.1:CT868662:112026:113027:-1 gene:GSPATT00023981001 transcript:CAK91253 MDYEIIDQIGKGSYGVIYKVKIRSQIQVLKEISLKNLSLKQQNEAYREAQIMHTLNHPNI VSYYNSQLRKDKLNIFMEYCEDDLHNYIYRNPSPAENQVWQWTIQLLEGLEYLHSQKIVH RDIKPNNILMKSNILKISDLGESKSLISTQQLSTTKVGTPLYLAPELIRNRPYDNKIDIW ALGCVIYFVCQGDPPFGGSNIISLGYNIVNKSPKPINSKYSRRLQSLIFKLLNKVPEFRP TAAQALQQIRDDNDMKSRHQFQKIFSVHSQQDISRGDDLKEQNKTVQMIQSRRLERIIQQ QKDAQLRLCEADKFSKIILLNRIIKHQSEHYVQ >CAK91254 pep:novel supercontig:GCA_000165425.1:CT868662:113960:115365:1 gene:GSPATT00023982001 transcript:CAK91254 MDRLIILLAILLMVGNNYAFDIPQALEVPIEEEFQLTQTQFNLLYSVFAIPNIGLSIGGG IMIDKMGGRWGVFTFSLLLGLSQIFIFFGGCYHNYSMMLCGRAIFGIASDILHIAVFKMV AQRMPKDMGTAMGLILTVPELAAALNSFLSPYLFEKTNSLKIPLLFGLFLCFLSFLSGLM MIYVDMKYEKVESVKQTEQISVFNFKLTKPFVIMSIITTLMLASYVPFLDNANKFYHERF GFSIMDAGQIVTVGYVVAAFTSPVVGRISDKFTNYRPFFIVVSTIMFFISHLQFYYMPLT ISPNYYSVFGLITLGLSYSCFSSVLMPALQSTVPEDMLATALGLLGIIENFCMAVVPMIS GFVYTIGGGIDPMKNVDIIYLFLAGIGVVLSFHLLIENILLPAKTHAKLPNALDI >CAK91255 pep:novel supercontig:GCA_000165425.1:CT868662:115448:115941:-1 gene:GSPATT00023983001 transcript:CAK91255 MSEELANTVYLGGIPEDVTVEQIKHLFITFGEIKSVDLPIDSETLKNRGFAFIEYEDIED AEAAIDNYDEGELFGKIVKVKPAKPYKPKSFYTKPVWHSEDWQRKNNEEYTYKERVRREK QKKRDEEERKKEEEKAKKYQKKQ >CAK91256 pep:novel supercontig:GCA_000165425.1:CT868662:116298:118079:1 gene:GSPATT00023984001 transcript:CAK91256 MENNNNHVSSIVKSNTNTYRRSLKISVVHPADEALVTVNDEEKFLYEKRQWEITNQTVSL DKIQKEQMERYKGEWNWDAFIEFFLYHQIFFIILGPFMVILFSIWPGMTLMKNMKFYGNS MAFYTQALLWIGSVLGGLGYFLWNESVITLTEILFLWYALTIRSVVIAAKYATFSKSIIN LYKNVLLPEEVFSFDLMMGEWREQSPKILFLEPYRSLQRYQFEISLFKMDFIVQPHQETK VAIAKVDINYFKDTGITLDDEEYSGFQLFGYLVNHYQTKNSANTHMYLCLLEAFVLSTTP MWLRIGDLLDSVEALDMFRMVLNVISSFIGFWGSNIFFHQAFYDFNRKFFLLEQLLLIIK VRPDQIEKVKLLPTLNFNNITTWQAWSMMRAISFDYGQTYNLRTQGFYSLCFLGFIVLIF LSLLLILDFAHLDLFQLILLGELAIMILGFTAYYLFLGAKLNTYLDQCEVALQDVKSIYQ DLLRMKEVYFEQNKEPQNYIHKKFKQLLQNESQVEEVIKSIIQELDDNIRIIQYDSRNNP FKLYGIKITFNLLKSAAVGLSTVYSYSLQQRFMNIK >CAK91257 pep:novel supercontig:GCA_000165425.1:CT868662:118178:119221:-1 gene:GSPATT00023985001 transcript:CAK91257 MEDCLFEIKGAGTQQTIQKQMGNDAQSDDQNIVINDNDELMPLFKVNVDEVQRILETIRS NIAKIEELKKSYTSATRSEAEKDVSTKLERIISQNNQQQERLKKLMEQVAQDVEEAKEKD PDEPETRMKMDIWAAVNLKAQAVLQESQKAQLDFQNSMRNKIKRQAGCLDSNLNENQIDE LCDDPNKMQELLQKKIYGQASIQLQNAVQDIQEKYQDIVKLERSVQQVYQLLVDMAVLVK NQGELIDNIEQNMVKARDYVKKGEAQLVKAKKDHQAARKKMCCIIMIGLVLILVIVGPIL GTSL >CAK91258 pep:novel supercontig:GCA_000165425.1:CT868662:120653:121147:1 gene:GSPATT00023986001 transcript:CAK91258 MQFQQTAAFKELLQGENKTQKSNLIRQVKMLVHKPSLEPKINLTHRSVEEPAQNKQRSGV ALPILISKKQQFNIDSDKAKDIIKNFNIKLIRQRVSQNEKIHRKLSQHNIQNTQPLNLTF GQNFRIKTRPILSRQDNSVDLRDSHSASRVMSHSQKRLVNKLFQ >CAK91259 pep:novel supercontig:GCA_000165425.1:CT868662:122078:123180:-1 gene:GSPATT00023987001 transcript:CAK91259 MIHSSDHNQRRKKRALFKHQAGPFIIFFTVLMPTNCVILEQFYFDLKMDPTTQIISGILV YLSYSLAMWSYYQSITIKNNTIDKAIPIQDNRRIDPLYKNTNSCLECNQWKPIRTHHCSL CGKCILKMDHHCPWIHNCVGLRNHRSFYLFAMYMTIGAMQYSYASWVYFRFLFRSSEGFF AHQSTFFYIYWGLTSLVLYPTCAMLCFLFFYHTSLILNNQTTLEQMKSGSNGNCCIQSDR PPRHINLFDRGTLSNIAWFFNYSYFWFLPFENIYKEDGTKYPISPLCNLQDIQINNPSIP LVQIPEQQFDFDKIDQKYEEYLAFAKLKYKNKRLVLIGKEIQLS >CAK91260 pep:novel supercontig:GCA_000165425.1:CT868662:124438:124746:-1 gene:GSPATT00023988001 transcript:CAK91260 MKAYFQNNIRQLIQPFEEDPQLGISSNSDYVLVPDLKCMVFNLVDLQRNEFDQQSFNPSI YIPSSTDASSNKFPEILSSQGYESISSNSIEEEF >CAK91261 pep:novel supercontig:GCA_000165425.1:CT868662:125667:126528:-1 gene:GSPATT00023989001 transcript:CAK91261 MQQLVQSYDADYTNKFFSKDIVMILYYTLDQIKQETLYEYPKISEILADTGSIVSWFFTL SYFVTLYNKELSMQNIITEVIQMYYNDFKNLSIKKNWYGKINEISFKDKSCDMAKMQKLL KKLEELAIQKLDQKSILLELSKLQNLLVRQLGQEQIYQQLIQSQKLESLIDKYGLLDQKD SSHFANLIFPQGELSNMNLKQQEGLEGESNLNIEQSLEQELEKQVCLFYYLDNLKIQIED KTLLIDFKDNHQIQKFNSNVLRVEHFSSFQ >CAK91262 pep:novel supercontig:GCA_000165425.1:CT868662:126621:127318:-1 gene:GSPATT00023990001 transcript:CAK91262 MNNFLSSIDQFGIEERVLFQRSISTKRSAFGGFMTLFLYGIILSYGLYQFIDWIENNKLP KVTSTSKQINHSETVQGLGKFSEICYLQQMSNRIDPFNPKQLIYYPILIINPSQQQIDGI AFEQEILDSGKTVNKFVLYDIELVGSPLQSQQLAQKDYQLTFKRCNPDKIQQGMQCADET TYNLYKEQQIYSRSKFIFSNSISKQNSWTRFQSFMFQICWRIN >CAK91263 pep:novel supercontig:GCA_000165425.1:CT868662:127380:129028:-1 gene:GSPATT00023991001 transcript:CAK91263 MYNFFKTIDQFGIEQKLSIPSINPTQRSAIGGMATLTLYGISFAYFLYEFVDWQQNNKLP KITSLQRQINQAENVYVDGVFAEVSHLAFNENKIDPFDPQNLIFYPILTTQTNNKDENQI LKSRLDNSVQENGHSINKFLLENTNFIGSPPTASQIQYVDYQILFGFCDPDALDVGQQCA DKDTILKFQEQQNFFQIQIYIQQYDTKQKQLIKIPKFYTLDISTEKMQYCSFNLQANQND VDDGFLFSNSNQQIFFSDFVMFTNSYEISQSEKVYGQQSVLVAYFTIDQIKSVVYIEYPK ISEILANAGSIITWILQISFIFIKYNEMICTHNARKDVISMFYADYADFNITTNWLGKMT QIGLKGKNYNMMKVNSFFESLHQIADQKMSYINLQFEVSRIQLILQEYLGIDQMKKCLQQ NHKLEPILDKIGLHECPQTKKPLNQIQPQDISNDNLKRQDQSEGELMMYQENIPEEDLDQ HIGLFLMKENAQYTQIDNNQSMLPQAGSQIDLKIRNIEKQPSSIS >CAK91264 pep:novel supercontig:GCA_000165425.1:CT868662:129189:130281:1 gene:GSPATT00023992001 transcript:CAK91264 MASQKKENRLFNLTPNKSQQLQQSTFFQKGFVSSNNFPQKIAPNYQFTYESMPKVNCHYH VDQYITMFCRCQTCQMPLCPQCVKIHSQEHQAYNTYGDFDTLENCLTEVYQSVASNCNRF VDEMGQLNKVTALNEGINYYMDKVKQARQQCYQVIDNFFNTLEAELAQEIEGNRGFMTNQ SQNFSKYLQQRWKTLMSLLEKLNTERCVKTLVKYYTSNLPQENEEYYHESNQFMKQFNKC VPEIILDQSALYELNIDLAKFVSLKKVPVMKQISRGYPQYPPNEPIQPMYNQFIQPQQNQ TLMPLTNTEPPIEPPKQYPVKQGSFKQEQSRPIQQENTQIHPYLLTPPKTSNFYQ >CAK91265 pep:novel supercontig:GCA_000165425.1:CT868662:130294:131570:-1 gene:GSPATT00023993001 transcript:CAK91265 MTETVEHLRDFNYYKLNSDIKNVLAEYIWIDGTGERMRSKTKVYKGPITQLSDLEWWTYD GSSTEQANTKWSEIYLKPVVYVKDPFRGSPHVLVLCETYLPDKKTPARYNFRFIANEIME KAKDFKPWFGIEQEYFLLKRTGTTHIWPLGWPTGGFPYPQGRYYCSIGERNNFGRALAEA HLRACLNAGLKIAGINAEVAPSQWEFQIGIAEGIEIGDHLWLARYILERLGEEFGIDINY DPKPILGDWNGSGAHTNYSDIKTRGPGGYRYIVDTLIPILQSTHQEVLKLYGANNEQRLT GHHETSSYDTFSWGDGSRGGSIRVPVITKELGAGYLEDRRPAANIDPYLVSAVVVDVALL NSTYVNQLKQLLVDSAKQL >CAK91266 pep:novel supercontig:GCA_000165425.1:CT868662:131937:132170:-1 gene:GSPATT00023994001 transcript:CAK91266 MILEAFQFLVHALYLALSYLIPISMAGGAYASNDKTKMSRWLIHFLLINILNQTLFPILA FIGLCIKNQQQFHRDNE >CAK91267 pep:novel supercontig:GCA_000165425.1:CT868662:132599:133742:-1 gene:GSPATT00023995001 transcript:CAK91267 MSRNYFKQKVLLTFGYNGANYHGLQIQKGVEFETVESKLFQALKDSNLIMEQNANDLSKS GWSRGARTDKGVHALCNSIAVKLCINKEFFKDEIQQNEEEIVSKLRDKQKVDYSKVLNVI NSNLPNDIKVHSIKLVTQGFDVRKNARYRFYEYIAPVSIYSDKKGEEILEKVSNLVKKFV GTHNFHNYSRGMKYTDPQSMRYILSIQVELFKCQDREFFKFLIHGQSFIYHQIRKMMGIV IQIFQEELPDTFIDNTFFKNQLRIILAPAEGLFLNRISFEGYNTKFDIPQRLEIEEEDQI KIDQFRPTIVDFICEQEIKNQTFTNWLKILKEKNFNIEEEEENNNKAENDD >CAK91268 pep:novel supercontig:GCA_000165425.1:CT868662:133933:135151:-1 gene:GSPATT00023996001 transcript:CAK91268 MDDHIQQAWQFYRNLGSPKFICAPMVDQSELAFRMQTRKYGTTLAYTPMLHSRIMTESKS YKDEFFTTCPEDRPLFAQLCGHDPQVIIKAALMIQDQCDAIDLNFGCPQGIARKGLYGAF LLEKREQVLTIVKELKQNIKVPVTCKIRVFKDRKRTLDLTKDIQSAGCSILTVHGRTKEQ NKDFVGQCDWNIIAEIKQLLQIPVFANGGIYTWSDVERCLQETKVDAVMSSEALLENPAL FSGEIKDLNELALEYMQLAKQYDARLVEIKAHLFKLLYTGLQIHTDQRSKLAAAKTYEEH LEVVIELKNKRADIPKEDKLGWYKRYQNFKQPNQKDKEDDKQENIIQEQDLLLQNYDS >CAK91269 pep:novel supercontig:GCA_000165425.1:CT868662:135168:137135:1 gene:GSPATT00023997001 transcript:CAK91269 MATELLQENYEFNAPPRVVQKMKYREDRDACNIMWDKRVIRGNTYSSVLNKSEPTMQTTI KRIQNTKVVTEKKEDETIHDEETQTDPNVEELTDKPPRHLRETQTEFVIEKVVPRLYMRE KTGIDEETQVWDDGELFNFEYEADTHSLSIGQKNFGFKSNGSIIRRGAQRDEGEQMEMAE QDRLERKEQQLYNENIKLKQQFHNQKQRDIKTHEKLVSRASAKKYLSKCVNLALQQLDLS GYFRDPVEIQLISEYLPWIYQDVTTELLNSNHILVEYNQMLDNIDDNMFQLHTKTLSKER SRRQSILDERERQRIAKEEADAQRRERRKIRLEKERRQKLKETVYEQMITKGEEKEWFNL ISDVDGYYQGTNTIGYLGGIIGQFVIIINALQQVGETIEDISELITNSIAALPEGTIIEV GLRDQIDQMLADIEPELTLANLPEQLTQGIENAIKSNIVYSLQQHWASFGFLQNVNQIID LVIKNLFENRPKVKWSKIKGQVDFQKIPCLVKLLPGMESLRFHPKSGKTRVEKQVEDEAT EEEIINPQNLGEAVVDTKATAFIPQNEEIQTAVIHNVAEFHLRNLIIQQIGEQDIPWLVN FTDKCQQLETQIIEILNPQLPQLEVQQY >CAK91270 pep:novel supercontig:GCA_000165425.1:CT868662:137157:138857:-1 gene:GSPATT00023998001 transcript:CAK91270 MLNSNYSTPVKHIVLTPKQELICNYNLLMNHYQELESQSQINNQSPDDQLRYQQIISKID KVLNDIINNIAELQKSTLKDYSSFLLELRTQRENYGQKMENDIKKQQINYFDEIEKKEQQ RQSMYQSSFKINDTPQLIPITSTMNSNSNSLPSTYYQSSSLPVQKTKDIQYYSCHKQLQN NQDQNSAFKQIQPQYQQLQPSNFRFKTSPPKQHFPHQILKRKEVNDIEPPPYVHHQQQGT LQSLDLQLTQPSVPLFDQHQPLNYNHFTSPDQSSLYDDYEQFISSDLSQSQNKKYQKKKI FDDDQSESFKKNQPHQSNITLNQQNRLKQNVTFNSNVVPINNSIIPTKSNTKGNRLDSQQ NYINDLLGNLGYDQFYQYLCQRYPVQRIIQKFYQSKQNQAGLKQQNQALDFMSYIYCTQC DQFISINQANNHTNFCMSNKSHLQNQQRKYLDYFICCNGSLNNKNETEEQKFKRIVNDLA KIRYLMEIELKINEPLTEIQQKQQEYCWFALEILNLIIENPQNLSFNQQVLDLISIYQVL DQQQCNFYQQFVFLLQKANAKLQKII >CAK91271 pep:novel supercontig:GCA_000165425.1:CT868662:138892:140209:-1 gene:GSPATT00023999001 transcript:CAK91271 MNRIQHLSTQVNNCTPVPAKSDNDVVICGAVRTPLTKAKKGLLRDTPPEVLLSTAFAGLL QRTKVDPKLIQDIVVGNVNQPGSGAITSKMAAFLAGFPDTTCLTAINRFCSSGIEACAVI AAKIRTGMLDIGIGAGVEQMSMYDMQSQMNAELLSDAIFDHPCARDCLLGMGQTSENVAA QFGITRLQQDKFAYESQQKAYKAQSEGLYKDEIIPVKTTIKDGEKTKEVTVTEDDGIRKE TTLEGLGKLKPAFGKDGSTTAGNSSQVTDGAAAVLLARRSVAKKLGLPILGRFLDYTVAG VPPGIMGIGPAAAIPQLLQRNSLKPNDICIYEINEAFASQSVYCVEKIGIDPTRVNPKGG AIALGHPLGCTGARQVATLLPEMKRKKAKYGVISMCIATGMGAAALIENEQN >CAK91272 pep:novel supercontig:GCA_000165425.1:CT868662:140523:142448:1 gene:GSPATT00024000001 transcript:CAK91272 MADIPAHVLAIQSQKNDPPLQQIEKTPKLPIQEMKLIDVEELMENKNMNNQYIVVQVYQI DWKNSTQYLIVRDFNEIWLNLTILQQNRLCNYQINDWLIVKNPKLIEFGIFIQDSQDVVA INQFTYQALMNSEIDQTDPAQLKETGNQYFKLQQYRLAYEAYSMVIKYEHANKTNLIQEQ CYNNRAQCLFQLGYIQEAVNDLNQVLQLNPQNEKAIQRQALCYLKLQNPNDAKKLLESLP NHKTDKDIVFKLVECELMIKHLSGNFDLISLLNQYVNQDEFSFEKFNNFKHKSIERKKSN LGGLGLFVNQPIPKGTLLVVEHPMHKIKQNQTLGMHQRDDVYEEIRQQAFNNKQFAEQLF NLYDGTNNYSLKHVKQDFEYQLQNYVVDLLRIESIFRYNAHAFQLLKVFTKNKQIKYLDQ SEGLWFTLSQVNHSLTPNIFYYFLGELLIVVSAKNIEKDEEILVQYHPPLNQKEYQNNLK SHNIPLDQKLVQQNQLWKMDEQFNEIKTIIKTVKTSKSLNDLTTYTLSNPNVIQQIKEKY PIKYLKIIQQVSFDLFQVKKIKEYLDLKFQGLVFQFDQYPQCSTIQDFFMFLTVVSQGSD IEKEMVNFIFRVGILMFGEAFLKNQNSEDLLFQLGFKQIKK >CAK91273 pep:novel supercontig:GCA_000165425.1:CT868662:142896:143703:-1 gene:GSPATT00024001001 transcript:CAK91273 MSNSEQIQPKRKAWSMLEDQMLQDLRQKQCLDWIEVARRIGGRNPSQCAQRWKRIKGFKL RRQWTEEEDDKLRNLVKEYGYQWSKISKLLPNRSGKQIREHYLNQLHPQLNNEPWSQEED EKIIKIYKNVGGKWSIVQKELQGRSENSIKNRFYSYLRNKYLKIKNPYYIVPKKEQMNLI KTEQKLNSIVSVQSQEQSPSINISSTQQYLIPVNIAGIPSVNSMYCYPQFSICYPYFQFA QPQYYLQCLPNAILQ >CAK91274 pep:novel supercontig:GCA_000165425.1:CT868662:144349:145556:1 gene:GSPATT00024002001 transcript:CAK91274 MSNQYVIVPQNYRSDSMVSISPADKRYFEQAQAKQKSPPQQMMFGGYSPSPSLQKSLSTQ LSEQSIDDFSRMQKDSDTELVVQYILQLRDADKREQALSELSKKRESFPHLAPLLWHSVG TIAIFLQEIAVVYQHLQPAQLTQAQSSRICSVLGLLQCLALHVQTRSCFLRAHIPLFLYP FLNTSNKSKAFENLRVTSLGVIGALVKGDDPEAINFLMQTEIIPLCLRIMKKGQELSRTV ATFIVQKILLDDNGLNYICQTPERFFAVSQVLQTMIDDLHQSQKDDQRLLRHIIRCYLRL SENQKAGEVLKKYLPQVLKDPTQSFIKDEVVKKWHNNLLQNLSIK >CAK91275 pep:novel supercontig:GCA_000165425.1:CT868662:145623:147734:-1 gene:GSPATT00024003001 transcript:CAK91275 MQQTTSISKRIATIFHNIKGIYKEDPQAQDVEGQKEFQEYVDYLLERGMKINNLRMAQFK TRNNLPYLGLKTIEKIESDSILVSVPRELMLTTKIAYFSDIQEIFDAYPQFFSQHCAGGW QDRILLTYLLYQSQLGRQSQWYHLIANLPRDIDYLIFWSDEELKLLNDEKLVLKAKRELQ DFLLIQKTLTHILDQYPQHFKKETYSLENIKWIFIHLVSRCFGSTLEQVAFVPFCEMFNH ENTDVRYKGLYLESNVNKPKEDKQLDPNESDDSDGDSDSYEQDDYQYPIEIQEQIKNYKQ KTPTYKLIEQELALYQNQVDFQNPDAIEKLRLYQEKCNNRIDLQIQFLKYKRWFQENLNL RDNFTIIFVSKSLEYIQEQIKLYETDVLTYDQVSSALQAVEEKSELYLQNVKEYAQEKLK SKNYSLKQFEIPEQPSAIESVEEMLQIAIPENIKPFEAKEEWKEDLFDNFVIKCSSKDEF EKGAQAYFSYGKISNRSLLLRYGFTLEYNIFDYVEVKTQFIQYIPFASDIAAYFQLSKYK KFKIRYTRINDDLLMYFKILNWQYDQGISYLFDDIYDTAIIDQAYNLIKAYHDEHFKDTL KSQSEKLRDSKLNYHDYFALIYHIEQQRIIEAQLEALQMLKCKNEKKDFGEQQFEWTSYL VEKFTN >CAK91276 pep:novel supercontig:GCA_000165425.1:CT868662:147794:148435:1 gene:GSPATT00024004001 transcript:CAK91276 MQNNKKAKRTKLTKEQIDVLQQAFTLFDTDKSGSIDESELRNAMKALGFNASKEEVQKMV EQIDRDGSGTIEFQEFVEMMKKKMLEDKNVEVEIEKAFNYFDDDNEGAIDLEKLRRVAAD LGEECDEQTLKDMIYAADLDQDGKVSKDEFMMVMRKMKLI >CAK91277 pep:novel supercontig:GCA_000165425.1:CT868662:148454:149625:-1 gene:GSPATT00024005001 transcript:CAK91277 MKVIFTLFLSLLIAQCDKTLNSKIESLLQTQLGHTLLSTAQLALQTNTPLDRIIDTLQDL EDKYQKDQKEEDLENREFQSKCDEDLTNLNTEIDAINRLKVKIQGAIDQLKASIESKKKI LNEKDALRKNLRKGMNEVDVIREYQEKVYELKRGEVGKIIKTLEEVKLVYASKLRTNFLE LQTDKTAVQPEVFAEVTKQLVSFGKFKSIGKPFQNIIDFMIQMSKNGNNIGVLRNIIDLS ESLIRQIDEIWQVERKAEEERVRWYSEYQKFMNTDFKIYDKEIGELEAQLQALKDRLAEN QRKMEDLNIRLESKEYTKDDRRNECQQAAYDYTQRRTSRQEDRNIVSEVVGLLNVNMRDL KETLAQKMAAGDHI >CAK91278 pep:novel supercontig:GCA_000165425.1:CT868662:149653:151447:-1 gene:GSPATT00024006001 transcript:CAK91278 MLKLIKLSNVGLSRRATIFVGGMTCIGLYSAGYQRFKKAQYASLYENLELKQVIEIDLFK DYQQEYLRGHVHSVNGFWSRMIYYGKLQLRFLQLILTFTPLVVFFPFALLFKSWLYKHWL RLLIKSLELAGPLWMKLGQWASHRGDVFGYEVTSELSKLRDSATPHNYYYTKKQFEEEFK QKIEDVFDSFDTNPIASGSIGQIHLAYKNGVKYAVKVRHPRIVEKLELDLKILYVISNIL SSTQQIFRRLAMPVTFQEFSVTLMNQADLSFEAKNLQIFEQKFKDSKNVIFPKILKEYVS KSVIVETFEEGVSLSNFMKLERTREHRVAANLGLKAFYKMLIYDNFIHADLHSGNILVRI KDNNTSKFDNFKNLLEEGFYDLVEYAAELIVPLFEKFILKKPLKVSILEKEKIFDEQQFW NFLRQTNQNQKNLDLIFIDPGMVTILNQNDRINFIKIIMFVALRQPKECGQLMLSLASYN TQLKEEKKQKFLKDIEDLFGEVCNAPLSKMDLGSIFKGMLEILRSNGLAVEGHFATLLTN MMILEGIGKELDPKINIVSKAASFLLQIRTIDSTINELMNISQ >CAK91279 pep:novel supercontig:GCA_000165425.1:CT868662:152255:153267:1 gene:GSPATT00024007001 transcript:CAK91279 MFNQLLEFRNTKLLVQAFTNKIHEIEYFQKTGQQYQYGNNEDFSIAGQQLLEFYFYDYML LQGFPNQQSQFKKPSDIIQLRQRLINDKYLSEIAILLSIQNLLNIGNQKSLKNNPKVLSD CVKSIIGAHYYDKQNDLEALRDIIHPIVVQLLNKGEQITRTQWKYNPKSSFLEYLNSYKG ELNINPKLTIEWKKDDSILNQNKSLYLISLELNSTMKVQKVGINKRETEQSVYLEALLQL RNYHTRNYQKQKKLNYKTEIQENQGESELSTSLDSTLNNQDLSYYSFTEKTLDKLQLNFD QQLNLMLEQLANE >CAK91280 pep:novel supercontig:GCA_000165425.1:CT868662:153366:155656:-1 gene:GSPATT00024008001 transcript:CAK91280 MKKRTSSAFSDALNIMSIAKFLHKTTYQHDISQIKDSLIKDPFSRNDQDIQSLYEFIYVY NYIQRLKSKYSDQFVREICRYIYYKQVSQNTIINTHKTVFLVLSGRVVVTYYDDNEDGDQ HNGSKSISQELTFGMSFNDYGLSENRKCHVRVIRPTEFACLDFKIFNEINSNQRSNDTYE KQKFLKSLSFFSIFSDVEIKYFSYHMEQSIVQKNSYIYQENDESIEFCYFIGRGEMEIYK QNTQRKQFCLTKLLQGEFFGEDGLFLLNKRQFSAKCSQDNTLLYRISLQEFDKRIWKKEQ RRWLFELLNQKWIFRFQRFYELTQMNMDKELLSQQIKNLSQKLNQDEQPKIDLEFEENQN QMQTTTAQEQFITASWLLKSANQAQQILQNFRQKTQNKDEIKQITSVSPKYQLQLERIKA KSKYTKSTKTNFSNRQSIIKANQSPYRISSFHNKGQGKVASNMSYLKLFNQETERLNLEQ QLTQYLDKIGITDENMLEIQKLSGSATDRYEKNNQFYQTMETYAQFQLYKNLNLQESKSQ HAKNLEVEYQQLVQDQQTNIKKAFEQLTTRKSDNMIRKEFFLNKLKKMNKRLKVLELIQK GQLECKATQMVDEDGEIVDIDEPIKPDEAYDIIDGKRVRKFNFVTSEVFEQFEKNKFHDF KQQIDQQKQKIQVIKEIVSGKLPISAANDDCQQIQNRTKPTNSFRPSSSVKQVIPFYILQ QKQQSTSYKLQQANYQASSLISTRLKM >CAK91281 pep:novel supercontig:GCA_000165425.1:CT868662:155698:156324:1 gene:GSPATT00024009001 transcript:CAK91281 MFKGSSIKILLIGPQQSGKSRLANYIADREDISQNGYRPTAGVRILEFEKEAPKNPKRPG SEKVIVELWDMSGDNKYDSCWPAVMKDAQGVICVYNAENPKHEQELEWWVNQISKKAGIP AAQNVVFAHHLTGKAIKGQSKLPKSLSSLTVHDTSIEEGNQTIHPAFEKFFNSLMLSIYE KQEKEENRLMN >CAK91282 pep:novel supercontig:GCA_000165425.1:CT868662:160015:162185:1 gene:GSPATT00024010001 transcript:CAK91282 MNLKMPSIQNIHTDANSNVSQIQNDSIKRQDFSPTQISPSYLFSNNSFQPTLKIIATQKA SIFGQRKETFLNSSYREISQCDIYGNLQKYKIQKQEDSLARLRGRKRSIKQHSPYTLNQQ SPTSIKNIESQKKALENVIDDLKLNKIKLKKLEKSPQAKNFPVHNFYYLKNMKYIYPFQV EKSVTDLMKPFHQQRDNLLSPIQTERSLIYPQRPQIQRPQEFLDKLKNRLLKRKKTMYLP QAQIQEDPIQRKLKHFQKSIFENQCLHTIYIRPSAIRIQKYRIQDSKNESILKRCLSYRW WWQEADEADEEVQLLWSCQASSSFLSKQRTRHISDKIEFQPFEQILKICDSVEDQMKFAI EQKLCLLSPYIKIHNHIDVIDPFWTKKNLISRFQKYCTLTNQNDNDFFPFSIIVNNLGEE SFYDFIRNYKTSTEIWIVLKCQNEGERIQLCENTKSVYNFIHKEYSMPSRKQQSFIVQQY IRSFVYQQIKLDLCFYLLIAQINGIVRAYLFEQFYGEQSQLNFSPLEKDMLFKSNEQFND NYNSDKIQMKTILEYFDDCRVDYDYKILPEIKMIICEYIRSVFTQMPVKDHNFELLQVKI LIDNQYKPWLIDIKPTTEFDLSTDFMKEYAQQLIDNALQLSVDVLFPSPSIWPKDKRRLI DIYSEQNDFAVVFDSRMDGQGLKSLFEEKQPESIKDDEF >CAK91283 pep:novel supercontig:GCA_000165425.1:CT868662:162289:162728:-1 gene:GSPATT00024011001 transcript:CAK91283 MKKSHRLVNTFEQDLNQLETPPHKSMMTNYEILIKTKNYLDKVFNQKLEICSIHTQTIQG MSVTDKKSLVLDEQRNLRSRTIDHFPLDFKIDSQLRSVGEKKIKVKNCSQTKLNQVETQG TQKTTRREKGNFKKPKFKK >CAK91284 pep:novel supercontig:GCA_000165425.1:CT868662:162789:163340:-1 gene:GSPATT00024012001 transcript:CAK91284 MSVSPPKSQSLSADRRKTSLFMYPFPKPPSVSHLPLFNMTINKENVQPKKQSWNTFQQSK QATTVSQKKRPYHFLELESQQDPKVKYKFPIFTDNQLGIKLEYQQLLQETYDNDDDINTR ESVMQYFIEVCKQDLIQGMKENSTIKDKEGRNPIKNFAGLQNRLKEQYTQVETDAV >CAK91285 pep:novel supercontig:GCA_000165425.1:CT868662:163938:166124:1 gene:GSPATT00024013001 transcript:CAK91285 MSQSFEMDEKAKLLVKLLDGVKIELQKINQNTRVGKAKILTYNKGYIQYMNKDEFMAIQK AQATSRLTKEEYIYNQGYFVYKAGVNINAIKNGENQIEFGWKNNQETVYYFIFRFKLKQK IIYNPSPEVQNKQKKKREKSSIKDVTWYFEVELQSEIAKLQKRFQEIEDYNERLKKLKVC DSFAYQFDQMEIKIYDSKTLSDNLIAFKIDIEFLGYISNKLENNTNRNIVTAEIAARSLF LYISNLNEYKSNKMRMIEEMLQALYKDKQRNFNQEVIQFAKSRFESNLEAQNFVISDVLF LNALMYNFEFTINLDVKNLLDYQSFFSSLQFNTLENKENDIIKFSTNEVPNEMQQMNYKN FKIFSQKQQNFSDISKYCIGLFGMENQFLGKIYLKLYKKGNEKKNLDYAISFLERNLKNS HSLLKDAYALSKKQESIQKSIHQSSQQMNIKNSQHIVSPPQQINDQQSTTKSDAQNSFKE TKKTIKIIECNPQNDNQHDHTIKSNSNQQQISIEQIQIINVSNPAVKQLQQEGQYIKFDE SDKSNSTAVSESYQKADKLSYQIAEENYEKGQYISALSAIDAISNKTKMVLRLGFQIEKK LSDSQNQYYSDRLLKNYLIYGCGVQDFQDIQEILQLQFKQYIYDEKISFQSESWKFIITQ LKSRKFSKEKVQIVDSIVAEIRYYKYDNIRTYFKSLIEKLKDFPQDQMVNYYDQFQLISK IYQSSQTH >CAK91286 pep:novel supercontig:GCA_000165425.1:CT868662:166350:171226:1 gene:GSPATT00024014001 transcript:CAK91286 MYNSILYLILQDFQTSKFFVIISSFFVFCQYCYLNFGLKIKYIWNDSTVDVFNLMLKYFT ITINVKNIGFNKYLLVFYLSLGVVIFSIILIIVLIYYIRNSSFQKLPLSILQYLLYIILT FGYWPIIRMQFGLLACQYDKSGKFVMMFETKQECWTSNYTIHAIIGIIGLIITILFTLLI SYFLFQQKFSKKNAIAMKNSHAQVTLLVYIIVQIMVYQMIPTPQYTVLIIIVYFILSIIY FEKVHIQKPFHHQIIQKMMSIFSAINFWIILMMLYSFVLEEKLLKNGLKILILGIPLICG IIISSQSNQIDLFRINFYKAKNGTEISQLCIYLLELMKNWDNNQISELVLQSYLEIHRLS CQRADCYSKVSSTIKLKANTNFQEYVVIHLINQIFEDGIRHFPDDIDLRLNFVFFLIDSR KQYSQALQEISISEQFVTSYAQQFQLFFYKRQIQEILTDSQSTLQFDHFAEANFHKMETE FYQKMEKITITFIEFWNQLLDEIPDIYKLTQLGYLLLNKRQEIEQDYSRVSQQNRRAKKL KQIYQKFTELILSDFSSKTLQFELSNQEVQCLQIEEIEEISKQPDPIIVIQSHLGQESKI MSINKSFCSLLGYSKADLINRTLNEIMPDIYAFKHNQCIENYLIHKISDQNQQLIKSCQV YIKKKNKYILPVHLKVKLLEVHHNELILLGILDYSFQQNNQCYIISSPEGNITDLSSNCI SFLGIDLQSITLNQILISDLFPGILKNNNQVFHKSGCCVTYNDHLQMLKNSKRLKQSIHS TSSYIPKTNFNCIINYIEYKCLREEQDLKHGLVIRLEQIKTQLQCKQECLIQQDTIRSPG PKIIGFFRFAQRSLTYRMENIRFNELDSLNNETLIMENSLNLSKLMGCMRMSRHFKTLMK PNYGEGIRIKRLIENQICDIEDSLVLDGKLKKEAEDQNDEEEIEDNQESDIFSQRDTLQS QFFQKSLIKKELQEKPMSFKIKMSSRVIHSLLFVLLLLQILSYTFNVQNEQELLSNLPLI NQVNQRMSNIFMIQSILQDIRSINLHYVVNTQNTSQVLKQNFEMLELEIQSLKSVQNDLS SIKVDIADQYKQLNSKYYEKIIVMVDIYGNVSYFTFNEAIEQLISKTKALLNSDISQFDY SKPDFFYFIYNSLNYIIWNGRIAETYYWSYLQTLVDTIINSEILYFLLHTCMLFSLTILI LLFLNQIQKETNQIVQSLLFLKESSIKEQVGNCENFLVILQSEDLEDKDNLQIENEENKK EKKNVQNKKIKKFKYSGSQLSKQFVKTVLIFILFQLFYIYTFTDSKIVSDEYNQLMPIIN QTSIVESYYRLGDNFIRELFFNPNISILNTPDNKAAVFGYLELLYNLNADLVEINNKYQN LLELEYQIAFNEIYFMNPCLKLYKSQSLVECENFEEGILIEGLSIGIIKYIEDLRTFFQS YQQFDELQNYNLEYNFSDVKLINYALNLLNTKRGLDIRTMQQRYLRAAQRYLNQALSSNI TSTFQGLEIRKTILFTCFCFMILIVITMIWIPTCHKRCAGISDSKNAEYVYLQQYKQVEA NRVRSETARDDLIFEILQMYNK >CAK91287 pep:novel supercontig:GCA_000165425.1:CT868662:171356:176307:1 gene:GSPATT00024015001 transcript:CAK91287 MEAESEVLKKLINNTKYKLFNVVYLILKRQQYPLNVAIISTIIQMAQLLYFSFQEQIRYV WSSENSTKWVNYILEFFTLMKYAENTSFTIYLLVFYICVAIVQITVILIVLLAVLENNHT ATSIPYQILRVTLPILMTIGFEPISRILVGYLSCESDDSGIVMMRYISSQQCWVGEYELH AVIAILTSVEYLILVIIFAQICIESKEVEQNALAQRQGQTMLFYYLFVIMSLAAYSTLQE PKFTVIVILVQLSTSYVFFYKVNNHCPFYNSNIQKIWSITAGINFWTQFMMLYAYTLEGQ FISKTFTIWLFGVPFIFAILAMKELSYVDLVTACMKSTENGIETVEICTYILALNTQSET NQKSQILLAGFIEFHKQSCSKPDCVLLSNHKKKNKSVNVFKDRKQQIIQLVNQSYLDGLE QFPECSDLKISYAYFLLDKMQLKQQALNQLNLAESCDPSFDQQFFIYRYKRIIEEEIQKQ QQQHSNNKKNVDIITEKTLKSEIKNLHYLIEDSCMEYLYFWSQLQEEVPNLRVLHEHGQK IIKSVEKVEQQWNKILEIDSYEINTYRLLSKYYEMIVHDEQIALDLLLQMIKKQKYNTKG NEFFEDLSSNGDPLIVMQVSVGHPTVILNVNKAAYSLLGFSKTDLINRNIDVILPSIYVD YHIQLVDFIFEKQSVDVNQINKFDERLMMLKNKQNFIIPCYSQSQIMNTINGYIMTSRLR QDVHYKPKCYILTDIHGKILNVTSSCLQILKWDQKFLHLNDFNVQKFFPELLLNREDYIS KPTLINYQFLFTSSRGSLSKDDSFDGVEDKTFNCSLFLIETPYANQNDDLYQIYGYIVKL EQYNTDIIQYPLLVKNKKLSKVFKFYVASKTYQLENADLDHTNNDSLIDKSIIWEEPQKL YLFSQHSNTSSKKVYSQSKHSLLKQRIYGADIKTMRYFDNKIIEIEEDSMDESEFSEKKQ NKLNGEDENELDKQTKVEQRSLQISSRTGLIKVINNSKLPLIMLKLGICISLLFLSVLIV SIAMFILLNQTQENIVQALKLLIANNLRLCSFIKMQSNLQDLKFTNFEILPFTQQTVHGV FETINRQELATELNYTMDYQTTLQDEEINLVGEYEYYQNAFKNTRITMKQVTGETQSFLF EQVIQQLISKAISLNSSSLTSFNDSNEDYYYFSENLFNSVLMNQHLAQDYYYYNIIYQTD LLDQQQVYFSLTQLFVTVFTYCIIQIFLNKHQNYLREILSLFMELDEKTVKKFVKNSQEF LSALRLAEDKQLDEIEEEQEVEEDHFDMSSFNKKKKKKQNFSTTEVKKNIIYVSMVLICY AFFFSYTFISTNTIISQTEQLAPLLNITSIAASQYSLIDNIMREAFWNQSKVILDLNAFT ALSYMQNVLYEMDAESHQLNQQNSDILDEVYKVAFNQIYILNPCLIIDESYDESSSCSYF DQGILLQGLSLGVTKFLETIKYYLSVLKAFDPQYEYTYLTYNLSTDPKLNYTYNLLNEDG LNSNRIFTKVYMKQLQIYLTNILQSSLNSHFEDLQLQFISTFLSFLLLSIVLYFLMWIPR LQKNQKEVYQNRLMLSFIPVKKLDQIEPIKNYIKKYQQQE >CAK91288 pep:novel supercontig:GCA_000165425.1:CT868662:176416:179498:-1 gene:GSPATT00024016001 transcript:CAK91288 MEAEDTHSEGENVMHQDSLFRDEEKMEESANVAYLLTLGILLGLALREVNKKTKFPYSPM VLSCGLLIGLLQSSLGYLGESASILSHMHPHLIVYVFIPVLLFESAFNCDWYVFKYQMVN ILLLAGPGVGWGAILLGIVFKLFLFYSDEDMNWYQAFTLGSVLSATDPVAVVALLKELGA SAAFNHLIEGEALLNDGVAMVFFIFFNKLSKAAAGIGGGVTFGGVILNFCRNSIVGPVLG VVVGFFGALWARRIVGDDVEVGWLTFVFTYLTFYWAEFCFFKTSGLLAVVSLGLFWSAFG KTRIRSESEHAVHTVWSFVQYACDTTVFLLVGMIVGTEVIEERYIYTSDYFRMIVFYFFM IICRYLMVISFWPLLKKYGYPMSQPELIVFVYGGLRGALGLTLSLMVGCDPDLPPRFRHL SVFFTAGMAALTNLVNGTTCKALVNYLNMIENPVIKKKVYKRYLTDMIVNQEDTIKELEG DEHYAMADWNQVKALVGSQEYLQEVVQLENEIKQIQGGNISRISFEGLTDSETFGEVRYR VLRILKGLYYSKFEHGQCDEDSVRLLVESSDVALDHTGSILNIWEQLFQSFTSFSSIKYF FKAKEWTFLGGYAQQYITKHLGFVYDVTTTFISCASEVITLQQHMPMNKGAVKLIMDELR NDITKAEIYLGSLNDTFPEVIRAIQTKRASHTVLMHQRHHLEENQKNGLVDDKEFNSLKA NIDARLVELENHSFDWQIPTFHAFAMEFPIFTGVPKEELDTIVKSTYEKRYQQDEIVYEK GMTCQNIYIVQKGTVDDEVGTHILKKGLGSLLSYANLIGDGKCMTTARAASETVLHSLNL NVLKSLMSKSEVFEQKIYINSIEYLIKLFESQAGPLAQMEAKRLGQFLRQKTQFKKFDVH SNVEFMFGGYIIKGEIQSCISDDGEGIERYRDYCYVPPRESDFTVNKPLICLVFDDAIDI IKQDRLEQFNLENHEEIVEERFSRIEVQHQQQEF >CAK91289 pep:novel supercontig:GCA_000165425.1:CT868662:179561:181671:-1 gene:GSPATT00024017001 transcript:CAK91289 MKGPFLWGFVYKSLFRFRKPTFKFLQSPFQLNKEDLIRKSANIPDYQFDFSPFIHADSTF LVNQQNIQTLRLLQKDFASDPQNPEKTFNYVRQLNRMQMYEEVVQIFKQADFREGATPKQ FQQLQEQYGIAITNQKSNLYADKRKSLILPGVIQLLITAAIIYQLFYYFQPNQTKPKNEK AQSENSDQGVGRMDRFYNILRNNQSVQEERNIPTRFNDVLGIDEFKEELEEIVEFLKNPK KYTDSGAKLPKGILLVGPPGTGKTLLARALAGEAGCAFFYKSGSEFDEMFVGVGASRVRE IFKAARAKAPSIIFIDEIDSIGGRRRAQDPGYSRDTINQILTEMDGFKQTESVIVIGATN FEQVLDPALKRPGRFDKMIHVPLPDVKGREQIFSYYLNKIKFEEKKVLPSNLARQTSGFS GADIQNMVNVAILNAIKYDRQIATTEDFEFAIDRISMGIGRKNMHVSDKEKLMTAYHEGG HALTSLLTVGAMPLHKVTILPRGGALGFTAMLPEKDQLNYTRRGIIASIDVAMGGRAAED LFLGRDDITSGCSNDLAKATDLAYMFVKQLGMDDKISLISIQGDRVKTSDQFDYMVDMEV KKILEESYNRVKTLLKSNENKLKALATELVKKETLSAEEIRKLLQIK >CAK91290 pep:novel supercontig:GCA_000165425.1:CT868662:181690:182072:1 gene:GSPATT00024018001 transcript:CAK91290 MNLDSAADFCPQCHFMLELPEVMDIIECNRCGYKCSITDYQPKYIISTIKMEPKSWLSNQ PQESKLSDQSHRAVIEQVCPKCSHEEAYFSTAQLRSADEGSTVFYECVKCQFRYQLNN >CAK91291 pep:novel supercontig:GCA_000165425.1:CT868662:182135:182804:1 gene:GSPATT00024019001 transcript:CAK91291 MLQNFIQEFVHTQFTLRPQLYQRCGFEARYLFNFGEYCDPRHQLPISIVIWRLPPAEQLK QATHPQNDIITYILKGQYRQDNSISENQSFKEGDAVQYICGNDNSYHRDSNNSQDVSEIL QIFIQNIDVQRDTCQQLYKHNQIIRNEKYYEMCILQGESLIDNTNFTFLIVLNLDEALLS IDDKLNLRKYECQYFNTLTKIKISGNKYIVIKLL >CAK91292 pep:novel supercontig:GCA_000165425.1:CT868662:182836:184427:-1 gene:GSPATT00024020001 transcript:CAK91292 MKQQKKLVLHFDLNKTILLADSKYTNQTKEECLQEILVGYAWGKLEQRDEKSPVLWKLLT NNFTPIRPSEDMISYKEYICEQFPLKTEGDPDDITEYNNSAIEQRKQLYFQFVKLGQPCM KLKPEYDRIVKLITLPKAVIEELKQQAEEFGFLNEDEVKQRNLTQLLSDKDMLNNLFSDN KYQLLPTFYKTIINLKKQKREFAVVFRPFGTDPKNILREFNKFCLGEHPCFSGRNNTPIV KFDGSKGTKSYIILDKQCALVYRQQKQLVTGTLRRTDKQQLEDGYEKELEEEQVQIYNET QMLLKITESLKESCALCYVDDYHFYQAQPNEQNAKQLYVDQQDPDTLHIFFDDGIQENEN NLVQVTDCVTLENLSRKKCLNKYLVHVDILDVIKDPDYFIKQIEICERNRNEEIERIEKG IPEEQAEIPKKSDWELLEECSDADYLRKTILPLLMPALQLVDIERPKDPLEFIAMYCLKN KEMVKIPQPPEQQE >CAK91293 pep:novel supercontig:GCA_000165425.1:CT868662:184457:186421:-1 gene:GSPATT00024021001 transcript:CAK91293 MNNSQITVFNVFFRKLDFLQNFSFYKKLDEGKKQRLVSVWRRVFLASYFIGIMRSFQNRV KIYGAIRQDDHMDLIEDEVFKSNQEEKKMNNLKQFWNMLLIFLLLATAVITPFKVCFIDS GNEGFWFWVDVIFDVLFFADIIINFLSAFYDEEQKIVDNYRMIAKQYLYGWFTVDVIAVL PITYFLDDQTDTAALRYNKLLRLIRLPRLYRLVRLLKISKANLNIKNKTQAARILSYLGI NEGIIKGLILLGKILLINHLIACFWYFIAKFNEFDPNTWVTQAHLEDATLYNKYIAAFEW SLQTLTTVGYGDIKATTIEERVFAIIWMIFGTGFFSYTLGKLSSILENVDKKWVDFERRM HLFNDFSVRVKLPYALKCKVHKYYRNNYLKNVYSSLEPKKLIQELPSQIRNELLMICYKY LIDSVSLLKIDKNFTATILPHLNFLEVHPGEIIYRQDDPPTDIYFIEKGKVNFVTHDKYT LITLLEASFFGEIEAFEDINREYFAIAKEPTNLFFCSYDIFRNLLKEFPTVASEVKIIYD KRKSKYKTCLYMIELQRKRNSGSDPKEELQNSTQYYLGLIAQYEEEQNKMNSTKGLVNTK KKSVLDQFSFKKKQQKMSVFQTLK >CAK91294 pep:novel supercontig:GCA_000165425.1:CT868662:186480:187971:-1 gene:GSPATT00024022001 transcript:CAK91294 MSSNATAERLKHVQELRKAHFHFGFDEDTNHQSVAKQPMPMQKAAKVRPMTSVVEKDQKL EQLQPQQPIQPPKLQQNHFHLGDDKPKLESIQKSDYQRPQSAAVAKLSDETKKDLRSHHF QLGYHDYNKPTEYEQNFADQGKNNFKKADNADLRKHHHDFGDTNNYYSSMYDTVHNKQYD KALLGQGYSKERMAEMNVQLRKTNLVMGRDGNGYTTQNQAIYGKPQAQVNNFQDKTQQLV RNTHITMGTDRVEYTSQAKASHVGNQGDRSILNEAQLKDLRATHFQVGNEQAVNYQSAYR SQFSDRKGQQEQVRNPIIFQSNSILILKMKLSRPTVQEHYKSTYNQQFDGQKAEKVNPIV DNSKNIMIGNDKLSYQSEAQARFRDFHSKPGKLQEEVQAELRKHHFKFGTDEDTTESVAK AQFKNPHGQPSKMDAEMKKDLRTHHFQLGYCRNDYQTHQKEFGSKQGPPNKLPPEMAQRV RQSSYQN >CAK91295 pep:novel supercontig:GCA_000165425.1:CT868662:188252:189361:1 gene:GSPATT00024023001 transcript:CAK91295 MLRIILAFSIIFQSLCTKSILILRHGARDPQVWTEFDQLYLWTNPIKILTQKGVDQLIEV GTQQQRQSIFDSQGNCIYEMLEIQSSKTARCTSSTVCFIIGLCPKNYKEILHRFFSEYYS NRVLSQKKFQKILESDFSDPLSLDYDIFDTTGDFMFQGHKTKQCPNLKPINSNVQNSKQY KNKEKEFKQMDQLNGVYEIMVKAYPSKTIDKSALTLTDVDDIYDDTFCNQFEGFLFPNPS LSTYNYMGDVVKFLKYFGQNSEMFQHQASLTEPFKWIISQFYSQEQFSVYIGTESNQFAM LSVLVDEQYLTPFASELEFIIKGNMVYIYFNKVQLKTKMCESGYYCTIDQVVQFMHQYIV QDVRQLCGL >CAK91296 pep:novel supercontig:GCA_000165425.1:CT868662:189424:191159:-1 gene:GSPATT00024024001 transcript:CAK91296 MDHLSQRQLLQLLYEKDCYIQALEQQLEFSRQEVQLLKSQKQSIFIQNVSSDEDCNIDEN SEPAISDSRVEQFQSSGQKIKLKAPLQNSNNFNISQFRQSTQQVRQKALDYFDIQTATTN NARVNNEQLFDDLFILGLGQEQQNEPQQTFIVKLENQQQILSISKFILPDGCKPIVLPLA FSLEDINLMMNHNYQIDSPNCFVILTKQTEKQQLKYHICYRYNDFTVLSPLQVRFSKRAI CFTTSKCLVDFYQQILIVILSQLKSMRSNLYSRTNNISLADSNYFDKNIAQICLRILSQL SQKNYPNFITINNQELKISENDNIQWGIPQLFKKLDSQSLIKIFLSCLIEKSIVFVSKSP YLSTAACLLCQKYLLKPFAWIHPIISNLPLENIAYLGSPVPIIAGIECNFSILYSQGIIN KFQNAIFINLDSKQQIQFGNTDQIPLLSAELMAHLLGRLDSYFQKCQSNHSNYSQCLQIF NQLFHARVIRNIPIEPIRQNTYQTSKAINKVLLDYERIAQKTLQNMGTVNSDQVIWKQFF QTQIFIQFIDQYYH >CAK91297 pep:novel supercontig:GCA_000165425.1:CT868662:191830:192211:1 gene:GSPATT00024025001 transcript:CAK91297 MSDTKLVDENSLIGIAASFWQQYSAKTPQKLKIMDAFSLYCFILVIIQLFYCALVGDFPR NSFLSGIFASAGAMIINICLRKQLNPETRYMEISNERAFWEYLAAMVVLFLTVINFLG >CAK91298 pep:novel supercontig:GCA_000165425.1:CT868662:192214:193655:-1 gene:GSPATT00024026001 transcript:CAK91298 MAAYSFGTSQQRPPPKVESAPGVGNYNPDRYPHQRPPSWKIGTANRIGQQIAYQPGPGQY DELQKAIKRKKPEFSMGAKFQNHTEVGKGITPGPGAYNPNFKPLQKCASSYSMRNKPLLG GIDDNLRELKNGPGPAAYETNYSTILSRPASRIGNQNRGGFYDTKPFIPGVGKYNMRPAT SGPYHRFGNAIRDSTFTERVQTPGPGQYMHQSHLNLKATTISSKRMPTAADSAPGPGHYD PSTDFTKRSVPGGRVGTAKQRQVFDAHYTPGPGHYQSQSATKRRPPSHKIGSEQRRLVNQ QEVPGPGTYDISRDGGSKGYTLRPKYTDRLPDGTPGPLDYYPNVSQTKSRPMSCRVGTEA REKSKLNDNPAPNHYQLNQTNPGPKFPFGSESRVTDRNDVGPGPGQYNIPPYFANVPNYL IPNKSHLDL >CAK91299 pep:novel supercontig:GCA_000165425.1:CT868662:193684:194967:-1 gene:GSPATT00024027001 transcript:CAK91299 MQILQQISAKTIFTYLDTLDLQIVDAPSRKNTTSPLRSQSKEQKELKTLHEYDGTIICNC YDCFCEGCPWEQKCICKCCFSIGQCCTMLQNKFLESLKYIIFFLTKPYFYIIFNSYFSLI GFKNDDKQDEKDHGGIILGFGIIFNGLQFYVLLFAFSFLSTKREMMRLDKSKEDDRGLLL YYHIRKAIIFTIFLVLLFIFFGLGVCRLIYRFQIKSECLFILYNIIFLFIGSIVSVLLMS YNNCLSNDLIQDNDNILKAQQRLYIMQNNLIKYFPSEQQFQKYVEECNFDDQQISRLIHE KCSEAKGNLQLSLGANDKQQFKKIKKNLGQSKFLIGGIVSYLYLSTYLTLLASFGFLLMV LINVYENIKNGDEEWKDQLESVIQPKQPVFSVILLTLQLIQALVLPHLLPFLFIKKRLFG QDYGEWE >CAK91300 pep:novel supercontig:GCA_000165425.1:CT868662:195193:195887:1 gene:GSPATT00024028001 transcript:CAK91300 MHKQPKQTTPKNVIKQTPLNTQEILQRLMKKNSKSKLQLIDIADDTRIVKNEKYVSKSPC SGWQSFRNQSSERAIHKIRHQSNEDLQKTLKKPCHLNHVSSMIGFQQKDFIQNANAFLRE KNLENKLDTLTQQVSKLREKSEQLEFQNKLLFDNLQKYKCEGNQERNALLERLDHMIEMQ QKQEENLQHIKTLFGDNSRRIKTQQSQPKQRSFGKSAYMGFNV >CAK91301 pep:novel supercontig:GCA_000165425.1:CT868662:195922:196852:1 gene:GSPATT00024029001 transcript:CAK91301 MKQPQMQHKSWLQLQRFEEYETNKKKYLEKEQELKFKGQDQNAQQKQINWIGDIHERQTL LQKAKWKWIEEQKKQLEQKREMHQLEGATFHPQILNKDQRIRTPEQFYKDNIDYKNKTEK QIQQLIKQKEDSINNRSCSPKLNKKSVQMVAQPFYDRLKDKQLEKEQNLLKIKSSITPSF SPQIFSRNFQSRQTYQTVQQFANQNSSKNKTFEMDLENVKSDYKTFTFFEDIRRFQHSPS ENCQQNVEKITKNLLLLTENSQILNAQQSPQQCTAKKQQRSVSPLKSIMSKTTQKNYFKK >CAK91302 pep:novel supercontig:GCA_000165425.1:CT868662:197026:197376:1 gene:GSPATT00024030001 transcript:CAK91302 MGACHTKRSRRQSNEWRASERLTSERLDFIFQRLSLYLELVGDTHSLGGYLQEKINELFQ IKQNLLLVKDHLKKIELLHQASEVIEYLYDDKHFSQAFPILRESLYEITQLTLVTE >CAK91303 pep:novel supercontig:GCA_000165425.1:CT868662:197727:201578:1 gene:GSPATT00024031001 transcript:CAK91303 MSQPENKQPKPLKEVLGLKYVFGFRGDIKNSILQGTQTNVQEKSTKTKFIYPAANNIVIF DPIADKNKSQEIIQTVLGSKGVTCLTISPSRRYIAWSEESDAGIIVILDLNTRKTKILST TDCKSRYYVSLDFSRTEESKYLVALSAPPEQMLIHWAWDKSKCLGSTIINAKGDSIKFHQ VFYHPKEDDFVCVMGNGAIKPYKLIPDNPPKPKDSPFQKKKEETHSTNFLSYCILSDSKT MVVGTDRGEVLYFNENCEFKLVLSPLQNSNQQSIEGFPIECIVKYSNGFIVGGKNMKVIL KIPMFELIKEYKTNKQWPKLQVYALQIKRDNLIFGVESGQVFSIPFSADREHHSTSGPIT GLDVCTRKSLVVTVSDDRSIRIWNFNELILEVMKECEDTPLAVAIHPSGFHLIVSFSDKI VLYNLFEKDLNSFKEIHIKNCQQIKFAHGGHLFAVANQNLVQIYQFYTGENPQNYIFKQS TGNISTIEWDIDDLGFYTGSDNGFVLYWRLEDNQNKLQLAMIQSQPIECICTPKTYANIE LQKVYIAGPYDGQYCIYESQHHTKIHKEATEGTKKEIPLYPIQTGCRVSKMAIFNSEKIM LFATCQLANSSEFTQKQNIKNMKFEPGGLRFAKYPLAPEEIIEIQPHIKGITQMKVSYDD SYVFTASYDNSLIIYDVKDQSSKIEFKDGGQAYGVQAYADEFLMQRDQYKKKIAKIEDLK QKIKEHDINQKIKQNMYTKEKDELIRKLEEQIDQLEKREKAKIQELENTINKLDSDFKEE KKQLYENHEKNKKTTENDFKQKMALESQRLEELTKEKQLKLQEFQRYIKEQKDKSTETKN EKKREYEKQLKKEQELYEQLQRDKEENQRRFIEERNKLEDDAEKEIDKKKEINEAEMKKL SDELDKAELEKRTKKTEFDNVQSTLEQANSKVKEIMEDIAQNQELNRQYQKEKESHDKEI KERDKTIQDKQKRIYELKKKTQELEKFKFVLDYKIKELKRDIGPREEEITKMKEQITNMN TEILHFKKVNANLGLIVTDLNLRQAGMKQEIENQQQVIESNSQYIKAFEYDISETHAHIS DFKRLKSDMLKLFNKYVQSVVSKKKFENVDVQKEFIKERAHLETTNKGLKEKFSKKLRVH KQDNNRIMSQNVDLISEINDLRREIKLLQDEEDLKRRQIEQMNDQPEERELDQMIEEQEI EINQFREKLQFLKEAVQQRRLQQNIGSDSDHQEFQQE >CAK91304 pep:novel supercontig:GCA_000165425.1:CT868662:201648:203975:1 gene:GSPATT00024032001 transcript:CAK91304 MILKRGLNFSRLIKEATKLIHGKQYVDHFWYLKSNPESLQPLIDNETQIKNTFYEQPQIK EIFNDYYQVSYGRKDGRTHDIPELYDEYEYNGRFAYFDTGNDYQIIERSLKGGEKEVVLD LKKISFLKQYHATMKLTKLKISDDHKLIAFGVDLLNNEDIIWLMKYIDDDKAFRTKLFDC FDAAFTKDNTHLVYTQYDDKFRPYRLMLHKLGTTQEEDELLFEEKDEQFYLDLQQTKDGK YFVLLSQTKQSNEVILIDREDPKKQQLLFSRKENAINLVHHHEEHGLYILTNKNSYDYKV LKQEGDKFVDFYCPQQGEVLQEVDLFHKHLVLYFTKESESFIKVISLQDKEIYNLFIPPS LVYTNHQQNKKNLLRSIYDRVIKKDKSQYFLNQKVQNEVLGATIEPGVNHNYKSDAFQFH LSTPLVYDQVYQYDLSKRQLSKLQDANLTGKPFKREEFTCTRYYAPSKDGTEIPITLVHH KDLQKNRQNKLLLHSYGAYGVPQEIPFNIVYLNALEKGWTLAYAHIRGGNERGQDWHRQA IQENKLKSIEDLLGCASYLIAEGYTHPSLLCGLGASAGATTLGAAINMRPDLWKCAILLS PFLDVLGSLLDESLPLTKSDYLEFGNPNDKKIFDTILSYSPYENLKKQVYPAIYISAGTG DFRAPLWNVLKYTEKLKQIAVQSKKVETIGDHPLIIDISEGGHYGGAGAQSVIEERTRYM TFLDYYVGIGNKEITKKSLTQDIDESIQLADEEDKIQKQ >CAK91305 pep:novel supercontig:GCA_000165425.1:CT868662:204009:206253:1 gene:GSPATT00024033001 transcript:CAK91305 MKVSLQDFGFHQPNAPEINTPRSLEACRREGIQPNEIVKIPFEEFQKRYKMKNLDHKGVE QFYKHYEERREKKFEELIKQRHIVLEDEKTGTWGSDGLSKSKVISKYGQQETSSLVEKEK QQLEKIKKKQQKEIEGMLEYELKMQEIRLENEKKQADEIAKQQQRDLELQKKRAEQEEQR RQKELEKQQKREQEEELQRQKLKEMEVKEKERLKLEELKQKEREEEAKKKDEERKAIQEK LRKQNEENQKIQQELLEKRKQDMELKAEQRRKALEEQKQKKKQEAEQIRLQNEERIQQAK QRNETELQKLKDDFDRKIKLSEIKQKQYEEEKQKKYEQQRKEAEKHSELFQKVIEQNNLM EQEKKNQYLKKIQEAEERRKYIEEELEKEKELKRQQEKEKEQQRKQVLLQNEENNKQKIG DLVKKINEKEEILNKIQEERNEQLQDRKTMDILKRFDKRENVERIMRKQEYDKQKLQEKI VEKMQRADKLSEDLDQLLSQRHNLRREIEKQKREVMMKFEKVREGKLPPTEIQKQLGMTE TDAKLTNQTNPYRTFSSTRPKTNQAKERPDKLQSVRPAKIQSAKPEPIKVKDKVDLKTTQ PIFEQLANKTTPKFHKKMDAKKKSEPSRPEPPSPQILIFLNKMVQKHNQDMMRLLMEEHA NENLRDEKLNQVKGEEKAKLEKEYALERVKAQLKIEKLKQTQDSEQNQYKLTHNIFEIDI IR >CAK91306 pep:novel supercontig:GCA_000165425.1:CT868662:207005:210764:-1 gene:GSPATT00024034001 transcript:CAK91306 MKKESKYYLGSLLAPYYYEPNSYIELDPNDKAFLCPQLIDFSTPIGSLKNENISTYIVTK TKHFRQSTLVLKQKKIGKQVHYKPLQINGRISHCYDEIWWFLLTVDYVKVIARHVNFKYP DNLRQIRLDVYLKQNALTDQFDLSKFNQKAFQDGFVSRERFSYAESEEDLNVDLQYFARL SLLLLFDLLNLQRTIPAMIKSDKYVKIFFTQLEKFHSLQMGQPLRLDLTFKDFARVIITN NEYYRNSLQNSAFSNKLYSLKDFKSSCLFLSKNKYYTNEESQFAPVQQDVPIYQNVYFKK YMNTLLLEIQKFISTSTKHVPEPKQQNYQKYCFELLFLKLSCTYQSLCQGFHTHQPSIDK LNELRYKVDNIEQLSVKTFPSLNAENSSLYKEVQNPFIQVIVGGITHKKDKEYFELQISS GNLCDCQKYQQQLKQLDVNRREQVQKMIDFCTGKLKLSEEINENEINSFLNSKDQELYEH PKPKTMVCELKQHQKQALTWMLWREGIISNPKNQDAKDNSQWQLSPLWEEVLLENGKKLY MNTFTGKITDEFQSYNLTKGGILADEMGLGKTIMTLALILQTQKKGRVTLIIVPKSVLLQ WEAEIKKHSLPNSLQVLVFYKISNRNKKVVFSNYDVILTTYTVVAQNYSNWLKDNGLEDN EIQQRVRTKPDNDSKDNKETKDPKDLKESKISNDTQILNDSFEIELDSQDFCQNNDQSEE FKSIFDLKQSKSEKSQYFGEPIEISQNDYECGTKTKKNPQGKVTNIFDFTYYRVILDEAH NIKTKSTLQTRSAMALKSECRWCLTGTPIQNKHDDLFSLLSFLRVETFGEYYWWNAYINK EENEEEQQCILGEIIKPIILRRTKQQLNNQNLLQINESICWVKLENKERALYDKFFEGTQ QLFKVYLNSEKSRQFVHIFQIINKLRMTCDHPSIALKGINLDTNSIDEIKYCIENFFAKQ KSSDQEISEKQRQQLIDLIQRGNLNDCTLCSEDGITTFDISICGHVYCHNCFKEVIETIG ECPTCSKRLSLKDIMSVQSNSTEVQEIKETKWGPSSKMLAVVNETKKVQLKREKCLIFTQ WIDMIRLLEEKFQEEEIWCQVVTGAMSVEQRNKVIQSFEQHPAFTALILSLRATSTGLNL TMANHVFLVDPWWNPAIEDQAIGRADRIGQKKQVNVIRFLCANTIEEKINLLHQKKKKMI RKVIANDQKKSSDIDDFKFLIFEQPNMI >CAK91307 pep:novel supercontig:GCA_000165425.1:CT868662:210918:212895:-1 gene:GSPATT00024035001 transcript:CAK91307 MDNKLEKEIVQKIRLQMEKHTTQIETDTIRTTKQARQNSQLIKTSRNIKVEPMGNVPTVM QVATQNQTTSQLPGIKKKKLKKFDGIYYFDPKYPQDLIFQERYASMRHYFNVMNLSNCLF VSPNQNIYKAYVGKGNNGMLVRQILKSRWWWSIQDEQESCHFVWTQLKVNTIHENMKALN RNPNESMSCQSASSSLTTIGSVSLGFSKQDEQSESEQIKVDAIQKINNQWNKFLSNAELR QFSTILNGQGRQSKLLTLEQAQAVKPKLSIYNEPVKAHNHLENNFHLGNKKALFYNMKAY YESQNLNVFENLPVTYHIKSLDGPEYHQFMEAYKERQQLINQESDEKNKRRNIWIIKPGE ITNRGNGIKVSEDLNEIQSILNSREMHKNGSYKTFIVQLYIDRPLLYNKRKFDIRCYSMY VSINGNQKGYWYTEGYVRTSSKEFTMKNLTNKMVHLTNDAVQKKGEDYGKYEKGNKVSFE EFSVYVENLGGDFNKIYAKMKQMATEQFKAVYGKIDQNKRENTFEIFGLDFMIDDTFNQT QTLLSKFARPLLSKLIPQMLDNAFKIALDPIFPPPNFYNPKKIICENYLDNKFELVFDEM TDGPLITQSQPNYDIGLIEEESEEEEPE >CAK91308 pep:novel supercontig:GCA_000165425.1:CT868662:213004:213486:-1 gene:GSPATT00024036001 transcript:CAK91308 MNLLIQDKTEQFSMPNLNFSISQMINQAQQKQQQQSTTEFLTPSKHRSMEQLLKSPGVQE LIKDSIKAVIKKKDFSPKLFTQYKYDQLDRSESPAQQMLPKIEKVNNIHVLKQIQHVKKK KCKTYPIIYDSKQPQQLTEVKEIESFKILIRKVHADISLG >CAK91309 pep:novel supercontig:GCA_000165425.1:CT868662:213707:214519:1 gene:GSPATT00024037001 transcript:CAK91309 MLINLLLVFIYFVNSKNIKANRNFKVPQITGDYLVKCKNVFTYYLEQKNKIVLEEEFQAE KTEIDHISAADIQGIAEDKPIIFESPILLQSGPIRSTTLYNQFGFVQTWTILHHDDFENR NSMIGWNIPHRAYCELKGIHYTDAEGSLQNDHFIHEANCNVNNPSLQKTYNTNIEHNHIR VSAELTVFGDWNGDSINVLIDNKVAWSKVFQGEITQAEHPCPALFALGIPVQFTMEHKTH EFTISFQANHKRHPCDGSFGVDDIIVYYKQ >CAK91310 pep:novel supercontig:GCA_000165425.1:CT868662:214530:216046:1 gene:GSPATT00024038001 transcript:CAK91310 MQQLLKPSLNFDFQEALFEDNPKLTSQIKAQQKNDLLNQSFKNQDYKGNHKNIVRPRSSS GYRQSQNNQSLNQYIKAVRSKQVKQYKSSPQHYSAKQTIFDKNKTLGVASNMQSNMYFPY QYNMLTKQSNYTRQQQMANINNKQQSQKQNQNNLQNAAIFDWDDDDDMDNRFYISGNTVK IHKSYYESIEKANMQQFLQKQKKSNIIENSIKQNQDILKQQQREIQQKLDNIEQLRMTQL LQNNLVLSQVPNQNEEQYQSDKQQKYTPKNPIQLIESENNFEYGYEEENFEIDEDNKVQN KEQEFSKISKQQLQQAEFKQSQNRLDQDNNSKKNKSNESLNKTSKKVIYKAKNAQERKQE LMNMRSDLEKYLLSNNTQISKMFQELQETKEKERVMIEVSTKRQEELSQAKLTLRQLQEK FSKQQQIIEELNQKEHYANQIIQKLTENKQKYSQLWENQIEKYMACKVIARFLKGRRDRK LFTQLKRQSFINRLKQ >CAK91311 pep:novel supercontig:GCA_000165425.1:CT868662:216066:217513:1 gene:GSPATT00024039001 transcript:CAK91311 MAKFIDYLVDTGIIANNSQISPNNMMFELSDYLKNLTASDSFDMANRIYDSWKLKLKQKQ NPASLVKIITKIALGKPFRILNSQKNLNSPQLIRNQQRSLSQQELQYQSPLDKCKLSQMG FNDTCERLYQDGIAQKVKKEYFEQEKCKQELRDCTFKPQISAEKLCTNDTEVFDRLYKSQ LACKNDFSEVKQKQEIVQCTFTPQITKQQSISNYQPIEQIFERLFQESINRNNMKLSLAP TREERELQQCTFKPQISQRQLNRSGDDIFARLHNESAEKQQIKAFQKLESDTKEIESCTF KPKINTHSNFSPSSQPAFDRLYMLSSKSKQTGLDESQKRQKTNPKTIATNESLYERMKNH VNQRKRTLNLIQQEYDKELTFKPNINLRQGNLQSRLENRNQSVASVKSETKLKPNLNQSQ LSSIRLYNTRKSQQDKENDNLTMLAETAHFKNDQKQKKSISDLKL >CAK91312 pep:novel supercontig:GCA_000165425.1:CT868662:217660:217869:1 gene:GSPATT00024040001 transcript:CAK91312 MINKLQVGYLVIGFTAGFYFAINLDQAYYFSLHHKLFLPLRDEINKADRTFSTVFNTFKQ GIKNAYDDI >CAK91313 pep:novel supercontig:GCA_000165425.1:CT868662:217888:219863:1 gene:GSPATT00024041001 transcript:CAK91313 MNLNYIDNYGQLADVSSQQQKSSSIHNSHREYTFAPYGQNQVVNMSAKQSQQDSNFINRY HNSSLPPNNYTKKKSPSQPTSYLNKLKQQYAPLQSTQSTHHSNHNQSVESDHQSYEQLMI YNNLLKLELEKKLFNCGVFVIKQNNGKCVDFYMELKRQKDYYENQIQQLQAVIQNHENRP NEYIDLSEYQRQNQELSKSIEQAISKLTLANQTINQLEQEKESLLDYVDKQKDIAEQLKS QNDQQDLKQKEMKIMILQLQKQIEQYNIELMDKEEFIKEISEMQNKNKCLLQDEIMKYQQ QITMLQRQLQDYEQQNKDLSRKFNDSASQIHMIIRQSDDKQSQLQFTQMQDNHSVCEFDS NLKSSRNTNLIQKQQQGLDQMIKINKQTLQDFQQQLMLHQKLGEYQEKLSNQLSQIEQQN KQLIAITQQHQEVLQQNSSLIEKEADLNREIQDLNQKIEKLQQERQEQVEQMEILQQQAI DQNQINQDLNTQYFKQIDELQSVNQIQQKYNTLQIKVQADVEYYENIISEFNCQLIKIQK KIEDIFSKLQVFDLSKIQANEISTNTILISLDHLETLVNRNLGCNKIQLNTSNQINNTQL NFSTNQTPTNMVHNSKYFSNECFDSNQKNSMLSNLSNHNKPYLVKRNYYL >CAK91314 pep:novel supercontig:GCA_000165425.1:CT868662:219879:221078:1 gene:GSPATT00024042001 transcript:CAK91314 MLKSNKLTSESALTTNRPTSRIDTSYLNRGYSQHSQNQSTNKKLWIKINKVTEDATDTKP YIAIEKQRNTNSCHIRPSINRKTSCEIKNQIDFNEIKHFIKEVDHQYEDEPFTKKANQQQ TQQYQNEKRLNHLNLILASFRDRIKSRNISNDIEPNNQQKYNNKIKIRSKQIAIIKQHSD NKIGLKISQLKQQNASQQLIYSNYAKSFRASSDYIVNQIPHQPSQQIQKLTEELGNLENY KQYNDYQSISLTSDRLENSKDFSGRPLASIKWILKRNKKNPDEIDNQLLMDESMISETEG MSIVSIILAKLNSLYEESISIQKNLLQNQKSSPIKAISAIKKMDQIKSIINNVNSNKLMN KFLI >CAK91315 pep:novel supercontig:GCA_000165425.1:CT868662:221480:223505:-1 gene:GSPATT00024043001 transcript:CAK91315 MDSTIAMNQSNYPGPHIQEDLQQIEHYYQQPGKNQINKCSLFFLIFQNIVIFTSFLSVMI GNSKQLGCPFDSNGNICGGSPGLEQYKYIYFSNPSTDKSLYLTVCVKECPVKEIENEIDE LLEIQCMPNQFIKSCKNTLFIGDNDFSLIYYDSLKFINVCLPTKSNYLQNVLVGLESPEV IKFFKDLENGALIIFCTFVFSILINQIVYVLLIKLQQKTIWIIQVTLILMLFLQAFLCIN NYLFVIKLQQANKNSKLTLPEVVSIVQNENSNFTISFLPLILGIFFVILAIYYISDLIYN YKQYQTIGIKIKIVNYFYDYSSQALQDSQNKSKSNFQHFYLIPIVFGITNAGLFFVWVLI ANFILTIGNVTTHRYPFNTFQLNLLAYAFGIIHILGLLLLLLVISGISKFLIIGIMLKQY GVFVNEKSNSHLLNEQKSTMQILKTLIFKNIDAIILGQVLISLLWIPRALIKIYLWMLSN PNYLESNLIQNILSINERAYLLSFIRTEGFLQNAKCQYLFDQKLMKHTQIQQHGQLFMNS CSLTISILCMSFTYLLLIYTRTTIIIQAPIYFLVFSFFSSYFVTKFFSHIYGISIDYLTI LLYRDVTVDGQVVGKSVRKPIRLLKETLINIYE >CAK91316 pep:novel supercontig:GCA_000165425.1:CT868662:223564:226356:-1 gene:GSPATT00024044001 transcript:CAK91316 MGLSGIFGYLKSLEAKNSLHVAIGYILVAYFFHAVQITFYKSAHFTVVPQSLFLRSFFSL IFIFIFQYKENYYPKNRTRYMVQSQTLGAFGSLFYFYGINFISLSEGAILFATNSIWSQL MVSLMNRERITKSRLLNSIICIIGIVLVVNPTLTVDDPVMHIVGCLCILICSVIQSLGFI IMKKIGPEVPSTITTSYFHIMIIFTSSLQQQYIGRFEYFDGYYKYIAGFSIFTMLGQLIQ FRAQTLVTYDKLCNYTYSQTLLCNLQQDTKFEWYDWRKFDFIRGFQIISRRQEIERLIIK FVCVEANNNITLDIQIIHNQLFNFQKLLRVEMSQKAYQSIMDANISATQLLQEEKYNQGY QILRRIETTLKQEKEAPTIPLSQINHLLSVVTNNIGCFYYIIRVDKFQAAEKYLKQSIEY LQLSIPDETLLITLINLVILFIKNEKYSEASRYIEQSFTELNQLQDQQLNPKIRLFLGDH SSIMTLQSLEAILLFYSGLTFYELGQSSNALQAWKKVIDILQSNTKSPYYILTKSKIQNL EVENVAKNNKGNLYSSRSHLKSDRINKILEQYSKDNEKQNQQSFRLLSQKIKKKIGNEIG DYQQPKWQNKIEHNEKKIEINNYFSDQKKIQVQINVKDLLHQKSITQRDKTRDMPLSSHT SSVNQQLNKALKKRPTQHIEIIEKLNKTHNEIYKSYLAQRSNNGSKTQRGDSNIDIRIKV NDKSSHSQNTIQHNKSHDKKSVDLRESPELCGSPQQFFNMLQSTQSVIKSQLMRSGSQGS VSQTSPFRLAQSQGEQPKNTSKLPLDYAKTHRPLVDTYDKAIKELYQNQYVQIENKKFNR IYDLAQSVKNRMSNINKMLIQQEKSQQVLQKQFQYEFNQSQDEDSCETIISMD >CAK91317 pep:novel supercontig:GCA_000165425.1:CT868662:227940:228624:1 gene:GSPATT00024045001 transcript:CAK91317 MDLKCKDQGQKIILKKKAGLKLKKAPQFPDRVLTDALVTPSTNVRESRESNLLQGLVSPI DDEKTNQFNFFNCNSQKQLVTVKKVDPIEQIKRIISENERLRLTINQKQKIIDTRMKQRK EIPELLLSQRNSRSLLQPHEKQNTADDYGYFLDKQSISQNYKRSMLPQIESKQSSPITRD ECAFTFANNFFIKEQKVSPKKINMMQAFPQLHLQRKFFT >CAK91318 pep:novel supercontig:GCA_000165425.1:CT868662:228651:229875:-1 gene:GSPATT00024046001 transcript:CAK91318 MDQKKDIILKKIAENEETTIQKLPPQIRLAIPLKHKVQCGGGTFEIYTHYELQRNIGNGA FGFVCSGKDKLNNIDVAIKKIAMVFRDLLDAKKVLREIKLLKFFDNPNIVKLLDIIIPDE QDTYKDLYLVFQLMHLDLEKVIQSPQPLTDKKINWCMYQIISGLYYMHSANIIHRDLKPN NIFINANCHIKIGDLNLARKQVLENASIQTDYVVQRWYRAPEVLLSSSEYTKAIDIWSLG CILGELLGRTILFKGMHHQEQIEKIVAVLGKPKQEDLPYEIDEQSTEFLQQLPEREAIKW ADFFPFASPLALDLLEHMLVYNPNKRFTIQQCIEHPYFKQQYIDHPPQICKESFDWSFDD IELKEQALRKALYQEALEFLKPKK >CAK91319 pep:novel supercontig:GCA_000165425.1:CT868662:230065:232206:1 gene:GSPATT00024047001 transcript:CAK91319 MQQINLLNEQATAKIKQQKHKEALKLLQQSEQMLEFAASCGRVIDRNLIIIILYNQACAY QCQWILDKCSKYLDGVIYNMEIGIKEDEQDLQTLPNMQEKQAQLIKRQAFLVKAYLQYTA ILSQLGKHKQALLNSQKAAQTMRELFKVANSFCQSWLQVNGSQGTATTSQSNVSVRNESS HNLDKKKKSQTYQLKDEIEFGRVVIDGAQDVLKDMIKQEDLSSVRLDQKQLLKETKRQLY NWRNNPENNDKSIRKELKLVTQNEEYRSLLGNQNLADWIKNFNIGSIMHMAPQIYEEFTQ FGEMILELAKRQLLEKVIYLSISYFTIATELRFVELEKAKQHGVKDDKINTEEFKLSELY HLKSIEIACRHIQYQSPYISHLITSYHKHYNINLDVIREESLQSTASEKIVEEQEQPKVK GKMLQIQVNKELPNSKFEKQDQSPKLTGNFIKSFLNSRSPPKQSQKQSVKNLISETVKIQ TNLLEQMINKKRGSDSSPTNQRNSIKQQNQADFDFSVYLKKQSNPCNTSNLNTDTTQDIV NSAMTIQLQPYKNNSIKNTLNNMIKQSSPTNNNNTQLKTFLSDACRTERQVMTEQQNSYT PISYRVNNRSPDSSYLNAQKQRQQQLHHNKTPQNRPRTNTEQQYLQPSFPLKLETIQQLL RNKAINNPKVK >CAK91320 pep:novel supercontig:GCA_000165425.1:CT868662:233042:235165:1 gene:GSPATT00024048001 transcript:CAK91320 MRKNNKVQPANQVQVVAQGTISPEISQKALTTIPDQQGNQKQLDPRLIAGPLAERGCTDI LCLLLFIAGMAILIFISKEAYSKGEPKRLLAMYDPNGVPCGFDTNVDYPYLYFAIPFGDY FNRTVCVKECPLYADETSKPTSLDCQPNNLVKSCDQKCDAASALKTLATSNSTAIFKDFV CIFNSSILFDRVCYPDALLALFDNYQEYGSQFAMDVLTGYIEDLTQTKEAIYCSFAVAFL MGIIYLYFTRLLAGVLVWTSIFLFLVGLGFGTYWCHQQDLYYQDIMNDTTGKYTVEQTNK ATDNQLTFQYLTYVMYAICAFAVMGLICIFNKIRLAIAVIKTAAMCVKDHFLLIFVPQIT AIVLAGLWFWWIYTAAYVYAVGDIKGTGSSPFAEVTHTELQIQYIWYFIFGGLWINAFIQ AINNFVIASTCCFWYFAQQGAGGDERAISQSLYRVFRYHAGSLAFGSLILAIVQLIRIML EYIRYQTEKVAGSENKAVKCLLRCLSCCMACFERFIRFLNNNAYIMIALTGKNFCSAAKA AFETIWANSMRFSLVNGIGGAFIFVGKFCISIVTLMIFYYVITTMDYFKEKIFSPVFPCI VVFIIAYALAVLFMSIYGMACDAVLLCFIFDEDLNKQNGGQSASRCPETLKEFLEQPEMA NLKQA >CAK91321 pep:novel supercontig:GCA_000165425.1:CT868662:235182:237706:1 gene:GSPATT00024049001 transcript:CAK91321 MIQNSTTTPPNEDSLCKPIIQLMSTMNQQQMRVRKPSEESRKIQSETQQMKITHPKSPTL TQVSVQIASDRASPHNQQDLLLINEEPSNKEESQQLSMIKKMKHSDQLYRKSIFNKSRNS QSSMSLLYREKATLIQNLIISKKQFKLINDLSAQYFVPLKDQIEKFLKSECVPKLFLFSL YFIFLLFYLTVLLIEIGCNQLAISNLAIFQYILLITQVMDFSNQVISYRGNIGNIIVDNL GLIPFISYQIDNQQSQKLIYLLYLIRIRKINNFIQNLNFYSNQQDYIFIIQTAIQLHFST CMAQTIIFMFALSQQDYIIYIQSIFTLIFITPQISGQYYFLIYLIKILLIIFYFYKFKQI MNYQSLSLDQYLNYQPNTQKLIYEYTHQIRENIFDINLMPSFLQRKMKREKYFKILSEIP IFNASFSTQTLLNICDLIQEQILRPNEIIVQKQALYIHLQGVIQLVQKNDSSLQEFKLTK IRNPYSIFNNIAFFKNQMQGIQFESIGHSKVACLNSQSFHQLIKQCPKEFQKYRMLVDTI VLDNDPKLANIFCFGCHQAHDITQCPFVNYKPNKYFIINSDKNHKVQSRVSDFKREVQTK KTKILIKSANAQEDSESFESEEKDKVAPFNKILPSSNSSLSLNNNNNPINQLEISSVPYV SYSHSGQTLYSKKMDSDNHFSVQGVSSSNSSRKIIQNEGKEVGQSKFQKYGQLEEMQGSS FQLNPLQRVQDSLLQTRIKYKKNIADKQAQYQKYQTDVQQKQQKRNLRKNQTMMSNSSVV DNPKKGRISIEGNFMQSFEKISQFDDYFPHYNVDKQINNYQRIQSINKDSNQ >CAK91322 pep:novel supercontig:GCA_000165425.1:CT868662:237723:238495:-1 gene:GSPATT00024050001 transcript:CAK91322 MKQQQISTPTAQLGFRHSLQIQSSPNIKLYQQSPYQSPYQSPLLQQPSTQQQRQPIQQSN FNNVNKTLFNDSQSKKSKQLQLVDLNHVEEPWKQKVQELQRKVQQLEQQQKNEDFDQNES ELSQVYSQIQQLVNTIKILQEEIQNMQEKLSIKQHIIDGYDSQLIAKDKELEDQNHYIQD LHNQLEEQTLQMEDKQKFLLDEISTWKRKFIEQNRGLHQIQEEQIMLQTQIDNLKNIKLM LNKQSEQT >CAK91323 pep:novel supercontig:GCA_000165425.1:CT868662:238559:239672:-1 gene:GSPATT00024051001 transcript:CAK91323 MKQVLLILCLISIIIVFTKAAPQTNFKDLKKMSDQIAAKNKNSKSSQTNTKPIQSKTQKK EKSTFKNLKETQLQIMNVLKPLFGLRTKDQNRDENGKVTNPNTTEDNKPQNKTNKKTSKN KGNDRFIFQWDQKMGDFEPEFILSFDLDPGSIEVFYEEILKPTSIKGAFFIPQFKVEDKI DFFIKTSNNTLIYSKEKVNEAIFNIDILEKGEYKFIFQNKRSKYSKTVTFTLDVHDSEQE FLKMADLDPLALRVERILMAMKDNYFFDKIAGQQFEGNLEEIQNSNSKLLLFSLIEILGV IFITIWQVFYLKRIVGNQRIF >CAK91324 pep:novel supercontig:GCA_000165425.1:CT868662:239748:240673:-1 gene:GSPATT00024052001 transcript:CAK91324 MKFYHSQLSSKSFIAFHLLSITTGIFFILPLIFTQMQFIVCTFTMGLLGIWQCIIFSRFQ KLQIYIYSFILSLSFLLPNTYTKAVIIGGCLGLITNLQNQFTQTLQFQFLQECQYFGLLL YYLLLRNIPIQSMSIFTFISSSIIRLFLYEHNSDQAISKPQPLIIKQKQQYLSILGQFVI DLVFFGTLYLLYQLENHLIVIALSLLLLASIIVNLFQNILRQLIYAILIIQIVAIIQLIL IDLVVLIPVQFIIIILFVCRPINDIYLKNNLGFELYQSEHKNSLIISTISTIFAALLIF >CAK91325 pep:novel supercontig:GCA_000165425.1:CT868662:241007:242329:1 gene:GSPATT00024053001 transcript:CAK91325 MLEKDQFIQVTLLLIVIVSYLGHKIHGEQQNQLKKLIQKVAQSCSQDYDDVITQISENIQ GFFQDKVPPLLPQQQKQKEKKSQSNFIIRHYEKFFIAQYSNQTNLKSENSVQIKCQTNEI LYAKKFIDNNSDSFTGEGFQYKVTYDNNLNPNYELYEGQFLNGLKHGIGKLYGQKSENVY YEGEWKNDKMVSQRKEKFQTDQLERQSLIKFIIRDGDQIVSQNKRQIEKRKRVDDWIKYN QQISEHDIDRLQSKSKWFTSSIIDSFALYLNIKMEEKYFQIDPNERRQALRQVFIPSQIF TSMQNEKKDGNQEIFQKHFLEYKIIEYKIEKIFDRVNFIVNRNNAHWFLIQLNLRNYEMV VMDSLKNKHQYYNFAKDILNQIFDNKIKRITLSNQCHVQKNGNDCGPYTCIHMLEISEIQ SKLRNPSEMRDFLLSKLKQD >CAK91326 pep:novel supercontig:GCA_000165425.1:CT868662:242366:244587:-1 gene:GSPATT00024054001 transcript:CAK91326 MNRARYDETNRKFQVFLYHIHQEYQAEFKIPILPTNQQENFNAQTLYRLYPELIPFQAIK PHQAQAFNPEKTRLYIEALQKQNQEINYELKQQAHDIVTLSQMVNTLIDENRSLSLLLEQ KDLETHSIVETMTINEAEEISDLRHQLRLLEDENSVLLKHVKEQRQQLDDKTQDGYELEK QFQNSKKYCLQLEQQIEKHKLSEIAQSEQMQILKENCRTELELKSSYQFENQKKDSVITS LTFTVEKLNKQLLQLSTDYEKLQSDKTELLSQSNLKIYELNQELDDLKNELQKYQITNDT LIKEINQQHEDLKDKQLLCDQLADQNDDIIQKLSMEIEKSKKLTENENRLQNHIGELSIQ NIQYYNTMEQRSEQLTQLFNQNTVDLDYQKLKFNQTMQKLKQEHEEELKEKKMEIEELEQ KNTSISKDLNNIKNDYELLKIDYEQSKKEISDYQQQKRIIEQLQLQNRQLKLQLEENKSL LQEFVTHKGGVQADIEERDKHIQKIINQKDDRIAELERDFQMYYEKCAILQQHQKNNEHL EQLNFTYREQKELFEQENERLKQEISKLQTQINNYATVQKQQKIRAENKINEENQQLRKE CNDLSNELQKLKDQQANMNTLPIPPKQSSEAGSRVRQGGPSQDFQQTKQNQVYLQPQQLI QPPSPHHSQHSPVLSRRNIQESDDGSVKGGGNNDEQVKQLKQQQIQRQRDRENSYHSNMS NAPYRRKSQQQ >CAK91327 pep:novel supercontig:GCA_000165425.1:CT868662:244773:245819:1 gene:GSPATT00024055001 transcript:CAK91327 MDHHKYKVSLNMYDLSQGMARQFSPMFLGKQIDAIWHTGIVVYGKEYYFGGGICAQTPKS TIYGYPIEVSQLGETEIPQGTFEEFLRSISSKYSMEKYDLFENNCNNFTNECALFLVGKG IPENIIGLPQEFLNTQLGQMLKPAIEQFTNKQATAQTEHFNEPQNQQLQPPPSSQNINAQ IINQALLQPQPQSSQPISLNQKVQPAQQPPQQQTITDTNVTAIDDLTTYLCLIESSPVSI VDFYTDWCGPCKTIKPLFHKLSLEHPHINFYNVNIDKVREIADSLQVSSIPTFIIYENGQ QKERWTGGNQQTLINNLQKLK >CAK91328 pep:novel supercontig:GCA_000165425.1:CT868662:245870:247588:-1 gene:GSPATT00024056001 transcript:CAK91328 MDFSNELINFIKELAPRMSSQDINNELKKAHGRIDYDQNLMHHQCNKGQLLSFIETDSLQ AIEENQQKSKDIQAYLGQIVKRWSQQCAKEKRINEDHLKYCSAVLLPYGSVLLGVADSKS DIDLICIAPEFIDRREQFFNGLFYLLSNEQGMEQINKIESTSNPIIKMIYKGFHIDINFA QLNSDRVPENIDDNLDELRRNLLQSEDKSMYALNGRKNGILISKSMPDHSQFVQALRIIK QWAKKRKVSSNIIGYLGGISWAILMAKICQLFPRVDLCQTVSLFFQIYSQWNWKVPVVID ESEQEIICYYLQDEDKRNDKIMQIITPAYPFFNTTFKVHKITFQTIFQEFQIGAQKLGDW NEVLRPFDFFDCFQYFVALSIVSKTSENFHKWTGFCEAQLPKLLKLVGNNEEVYDTKSFE LRITPEGEENLERDHAKSLTYFLGIKKLKQEAWPLFLRLPITQFAIELNQRKLQSFKNED EFNMKIYVANVTDIDQLRGKLSPKMFDDSDSIVKKIKTNVEDKNGSAYLVKRISSIQSTG INQDILNDMF >CAK91329 pep:novel supercontig:GCA_000165425.1:CT868662:247776:248133:1 gene:GSPATT00024057001 transcript:CAK91329 MSFQTTDSKKEEFRKYLEKAGVIDQLTRVLVGLYEEPEKPNNAIDYVKKYLGSPVDIDVD KLKLEYEKLKDENIRLKREVADLKKELQAAQQEQN >CAK91330 pep:novel supercontig:GCA_000165425.1:CT868662:248181:250239:-1 gene:GSPATT00024058001 transcript:CAK91330 METQSYSPMLDRVMAQEIQSVSWSKTMDVCAILYVNNQLEICRVSEQLQRMFIIQEDDAI SNIIVESMFLAYNVGNKLYFYHLASHQKIIQSTLIKNSDKITQMLLVQVNAKIIKEQELQ AAIIPLSTTNLQFSYLIIRKNWQNLCFLKQQQINNIIFSLTNKQIAYNFNGILHLGEIEH SSTTQLFQLENELHCFSKQFVEIYDIGLLKHQQILKYIQQLSYLNELLCFLKTSILLINT QLQDIFKCYQLNIIGPLVAFGPQETINYHILQFYQKGNCPQELVQFFQKELYNTKILQKM DDNITNSFNNIQEIIQDSLMNVLSRILIIINFFIQSKNMPFYQGLHKTPFEELQETIQKI QKVFSRFQIECHQTKLHLRNFFVWLNLCAIKAGNEQEVECENVNSPLNKIEVDLSKLFDF LKDNHLFQLRNLQCFYQEKITPKTFFTKVVVQKNVEFKQTENVTKILKNVFRDSYIDNIQ QKQNKIEQEDPFVTVNSLVRQLQNYLDKISINLKPQLINKINIDLSSSIIRFSEQSENSY MVSVLINNSIVQYTYQQKSFQQIHQIQFNNKYIKELQYFDGKLIILSTNHQDNQIQQQLT AYISSEDLETLNKFECHSIKDLQVSKKGLISIIIDSKKLIILSI >CAK91331 pep:novel supercontig:GCA_000165425.1:CT868662:250290:251832:-1 gene:GSPATT00024059001 transcript:CAK91331 MKISKLFCQNNHFQLFDDDSFIQQQIIGVCTRTECKEKLVCVECQDTLHKDHIGMILSYE QLKEQISHRYSDIEITSLNAELLSQQFLCLHKDLLDSISKVEKQFTESSILYQQLEQTQN IIRLIQSDRYYEIKNEQFHTFFQVIQDKALDSQQLDYLVKLIQSKMEASIECLDQLNQSI NNQPQQYNPQNIVNQHISLKKIKQFYLQNPLDYTKIPKELNIQNYGSIKEFKNENEIYHG EIDDKAQKHGKGIQIQKKGDIIYEGIWVDNQLRWGQKTQFNELQECSILMGCMRDKKLNG QGIKITSSGDLYKGQFTDDKLNQEGYQVTSNGEIYKGSFKDNKRHGQGELENRDGEFYIG AFKNGKKNGEGYMNLKNGDNYSGCFQDDKFHGKGEYTAKKDNSKFKGSFQNNKKEGNFEI IYNDKSLETGTFQNDIRHGQFRYYPPNQQTPSKVVQYNNGKVCK >CAK91332 pep:novel supercontig:GCA_000165425.1:CT868662:251965:252636:1 gene:GSPATT00024060001 transcript:CAK91332 MITIVLQFIQIGLFAFGKFLSADNNTNTFFALVAAFAIEIIVAAPTTDKTRWICSKSMQS ICKNALLFSIILQHIEDEHLYWVVLSGGVLKITKNFTTVLAIKKIDQILKYNTFVGIYAQ IFSFYKIIQVCSYLFLTSLFLQLELHTIFLGDHWKINSLIVVNFSFIPFALLIIFNLFES IVYIKWSLKGEQQKVQQKEQKKEKKK >CAK91333 pep:novel supercontig:GCA_000165425.1:CT868662:252638:253656:-1 gene:GSPATT00024061001 transcript:CAK91333 MSENDVILVTSSYDHNFIFWDATTGQQKHEINYGDKVIVNRIDTSQDKKYLAAGGNFFAS YYDIHSQKNTPVYVYDGYKNNITGIGFLKDSNFFYTCSEDGFIRIHDLRSNNLSREYQEK EPLNTICLHPNETELIVGDQMGNVKIYDFQQNKVRLRLSPCPEVGIRSISIAYNASQIVA ADSQGQCHTYVMNNMDLTEQSFLYRFEAHQDYILKASISADLRYLATCSADKTVKLWTLN EKNLGNDRYPRWELFSTLYGHGKWVWDCAFSCDSEYIITASSDLTTKIWQTDSAAVLRTL KGHKQAVTSLSINDIAID >CAK91334 pep:novel supercontig:GCA_000165425.1:CT868662:253755:254417:-1 gene:GSPATT00024062001 transcript:CAK91334 MNLTPTSQAIFQSFFIQKSKLQSSNHTQYKSTLSNKLGCSKIKQFHERPSSTMIQQIGSV DLSQIGLDRTRKISLNESQLTVRGIRRPFKISSSIEQTQQVPQISQYQKRKTRWIQITDR QSSPFTRINSPEQEKEIKNIKRLKLQLLSRDSKYPKFQLNLLKNKLQNQDGVCEYFNDKH FHKQKNIPELSDQIRIIITREQQTLEPLDMCRIEKFRNYH >CAK91335 pep:novel supercontig:GCA_000165425.1:CT868662:254445:255464:1 gene:GSPATT00024063001 transcript:CAK91335 MSKCVILFDDDKAQCKTQLHSKKMMTCLNPDCLTYPQICCSDCIEQLHQHKGNTMTLEKT QFVNQTNKKYAEIQEQAYALEAECQNFEKKGSNLKRLFNGDIERMRIQLNKIIDSLKDQI NKQIDISISTTKKQVTNKVEKTKDALQQFKPINISKIYNDQLKRLITAMWHTDYQLERDQ LKIFFPNLQDKLRGRLSQINDSVSQELVQMSQDLIQESQEQIQAFLDQKTPNDNLSTQSD DSIVDKLVNLRDSLKLQMEQHLAAKNCTHKGCHQVTPVKAYYGYYYHISGYAVQGELPIS VCAKSKKLAEKMDRVVNDLYKLQYRDSKIDDCVQAYFIY >CAK91336 pep:novel supercontig:GCA_000165425.1:CT868662:255845:256365:1 gene:GSPATT00024064001 transcript:CAK91336 MVEFDAKKQLAISNIALLMIEIVLLTEYSYMNEHPHRNESLTYVRFAISGQVFMMLAFLG LIATYLFTHRFMKLAILGSLWLGFVLVFIGMIVGVKYYEDGYDTIASTWWIEVGLTILGF LNFLKAFNNEPQPNEGYQRQE >CAK91337 pep:novel supercontig:GCA_000165425.1:CT868662:256391:257910:1 gene:GSPATT00024065001 transcript:CAK91337 MSFVTKIKNQAQEKYNQIMKKKNEQYQEMNEENRGQENKQQENKMDQEKVIDEQPKNDDS APNKPEEKPRQGTISYIKTNLTAAGDYLSNKVVEAQKTVTLQLQKVDISVKQAILKQKQS FNKWIALKIDQRISRSLKQMETKISLSVQKAVPSSCCFDFVNDAVLSLWTDASNLIRFEL RVSIDEPIITLSKRPDKIRWLKPWYRLRNWILYSLYPFDVEPGKQLRSPSFLFIKLLQVI PFYGIQVFTFLIIFLAIDKTEEYQVVNYILDYKNIQFFTAGVLNALIGFFSYFYCATLKP AHDFINEKDQGLKLNYCFSHGPGAESHLILSQISYFTQVILIWCAFAVLHKTKSRADFIS NEIKRQESIKRGGRLTGFMIYDLICFVGTCSLTGYIYYNYYYKKEDTFYMLPYVGNLIYF SHLMYGFLSLPFVIFVVPFFVRMFTSAIPTAYDQYGNVVPCINQMKLNYEELPLEQQDEI DIEEALEN >CAK91338 pep:novel supercontig:GCA_000165425.1:CT868662:257941:260607:1 gene:GSPATT00024066001 transcript:CAK91338 MGVYNLWKLLAAAGRNIDIASLRGLRVAIDVSIWMIKLLHGMSNSGVNFENVHLIGILKR IMFLLENGIKPVFVFDGPAPELKRQTLIKRAQQRQQYNINLQKLAEKYVVKLIEKGYDIT QQNQELIEEIVFEDDSDSQLPPEEQEIIDQQNHEILNLQFAYLKGTTQGFHDLDLQTKEE IIEQLIEERQGQYAEDQSISLKRFLMNADQKEKIKQIRIEAVKQIETLRIEKILEQYNDK ESELLREYLNKNEDLIIKMGQFGVNNVYVYLQDQEKRQELVKLLYGQQKKPKRKLKDYEI ENEHLKNIREALKRRYRERLNEEVVPENPNQVEHQEVEDLMDKYDCFDLDLIEQKMDEEY RQLQQMKEDSSSQQIQQQCSFDNQENMQKVDQSQQESNKNQQDNNLPQVSEKDDSHTSDS DSESESDNAFVQQIQQKQFQNSSYHNSNSKLNQESINSNNLPKQYSLKEDLNNTNNFLSQ DLQQQFLDLNPKQQQEIQQTKPTVQQNNIQNSNQIITDEQIDQLFTQADNNGEELDAYEM IDNIRRNQNLQSLDSVTMQQKFEDIRQLLALFGIPWIIAPGEAEAQCAYLQQNGLVDCVI TEDSDVFLFGATKVLKGFFESKTSLVYYDTQYIKEDLGLNRDQLIYLALFLGSDYTLGIK GVGIVNAMEIVEVFDNVEALKRFTSWASKADVLLENASSHYENIPEKERIYKEFHKNYKK YWELPSDFPNIEVINGYMKPRVDESLEQFTWGQPAVEKIIEFCSQQLRYSQERVEETIKI PFQKIIQKEDQKKITDFFRVTSKIAIINSRRINQVVLNLNKPDQSRQNVQQKKKRKLLDE VKRQPDKRRDNSFDSSNINLDQFKFKKSLKKQ >CAK91339 pep:novel supercontig:GCA_000165425.1:CT868662:260643:260942:-1 gene:GSPATT00024067001 transcript:CAK91339 MGSAVVTIQKNIFEQASLFRNRKTFLNEKQKQTNNLLKLYFLSTFAVLLTQLAIMQQENV IFQMYGDYRKKIEQERKFVRETL >CAK91340 pep:novel supercontig:GCA_000165425.1:CT868662:260985:262534:-1 gene:GSPATT00024068001 transcript:CAK91340 MSEQQHKSTSQFALLISNAVLGAFFFGYSVSYMNPAIKTADTQFGITNDKDLINGLINAF PALGAAAGAITSGKIQHQFGLRTSFLFADLIGVAGFLFQLPLNLATLLIGRLVIGYAVGM NSSLVPQYIKEFSPESLSGPFGAMFQMTINIGCLVALIMGMFFNADGESLDYFRFVVVFP MIICALRIFFLAFAFQDNPPSYYIYRNQYKAAKAVIRNYYKRRFADQIFDELKQQIEAKQ SEAQDSKTQDSASYKSRLIVGCTLQIIQQFSGINAVLIYSSGLFINITGGNNELKNWLNI AVGLVNLVFSMIAIPLLNNFGRRPLLLLGTSACTLFLGFISLFSFFLPSEDDYEVTTSAI MVIIFMFLYLAAFQLSLGPVVWIYDADVLDEKGMSIAVLCNWLGCAIVAQFFGFINNHGG MQTSFGLFFVFCLLGTIYIYFQVKETKGKNPKDIDQMFMLGKVDLDD >CAK91341 pep:novel supercontig:GCA_000165425.1:CT868662:263101:264561:1 gene:GSPATT00024069001 transcript:CAK91341 MGNYFKTKPKDKLDKEMIEFLKSRKLQHINEAIDVVNTKFPYSKYLEYSDYCDVFNPILE IWTQNVFQLLSSNSSLEGSNDIYESLAVFTIFSDEEQELKLQFIFQLFDTDKSGEIEKQE LVGALEKCIRGLCKLVHLPAPSIKDIDFYAERLFLELDRDYSYTISFNEFKLWIIGNFEL QDFLLKYALIQTYENATRRYKEKRILYENFFINAVGNDKCDVCELELIKQLFLTEFNNQQ IEILELLFNTLQDSSNAYLDKKNDGWICKQAYQDVMNAWAAFDASDINQDNEVSMSELKY LIYAYEGDKPDYYRLQTEMEILDMDQSGKITRDEWIKYLCVNEKGKFVFRGTLQHQFNEY DKDHNGMLSIKDIKDLLKNSMKDLRTSFKKLNSALNFDDMVDDLIAEIAKSLQEGNQDQH SYQNQLLFLSWSQFKSFMEKATLKQDQLRQYLAKL >CAK91342 pep:novel supercontig:GCA_000165425.1:CT868662:265796:268123:1 gene:GSPATT00024070001 transcript:CAK91342 MSNFAQFLEDISDFKERPVKLKQIKKVLKINEKSFPPCKNILNYYFQEFSKRTNEEHRTK WHRKDKILFVWTVLKYFELTNKTDLNPSDQDWQYLSSVLGVTEQLLNLKWISMLKTNLKM APWNTEEDEVLQQLITDPSENKNWTQITIDFNRANPTKIVRHAKQIRERWNNYLNPDLKK SEWGQPEQLQLLLLVQEIGKRWSLISKRIQGRTENQVKNQYNSMMNNYRRQNQLDNEDIA INKLLANLQGKDYEGPVPLTSKRIRKTINNNNNININNNNNNKDTILYNNDQPYMQVENN IIHEIKEEHQQEQSQQQFLQQQSQSQLQLQQQQQQQQXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDILDSICYYTL PITHIITINLQHKKDQQDLKRIAQI >CAK91343 pep:novel supercontig:GCA_000165425.1:CT868662:268180:269503:1 gene:GSPATT00024071001 transcript:CAK91343 MKSKVDSQPEESNTDNDFSEMSDTQVRRRGPSPKKVIHKNKLPNLERNVPIIIKNNNFVV RTIWTIVMILGFMAVLWAGHIYIIGLVLLVNIGIFKEILGLKRNYEKELNIKYSPIINWY FFGIATFFCYGKLLQSKLSEYTFKMNMLQFILNYHNIVTFMLWVAGFLMFTLSLKKGYYR YQFRMFGWTHITLILVVAQSSVMIMNIFEGIVWFILPCSLVITNDIFAYIFGVSFGKTPL IELSPKKTWEGFIGGCFSTLVASFCFAYFLQGSQYLTCPQHQLPFSPFSSLTCDIPSVFI STQRQLPFQIFGIDSVYFSDFQIHAMVFSLFTSLVSPFGGFFASGFKRGIKIKDFGDTIP GHGGITDRMDCQILTGMFSYLYLHQIVLPKAATLGTVLGYVSQLSFNDQQELFEQLKQTL QINQ >CAK91344 pep:novel supercontig:GCA_000165425.1:CT868662:270489:271756:-1 gene:GSPATT00024072001 transcript:CAK91344 MTTFCQIEDVFQVHLTSEQARVVAKRLPPGFSINLSRQSKRDVKKFQQDISSDEENKVTK KTYVAPPYRAENTDEMKRCLALLQKLKKHQLAAPFLRKLENVNYPQEFEYVDLQIVEQNL KNNEYLTATQFWGDIKKIWQMSYAMNNKGTQLYAMTQELEQHFNELYKELDKPYPQKQVV EQPLKQEKQKKPPPMQQTNSNSNHNNQHNKKPIKQPSLMEQPMNMQEKRALVSNINSLPP EHLRGVWEIVSDGLKIQEQNDELEFDIDTLPVKKTRQLEKYVNTKLEYLKKQQSKKAAED SKTQEKNDRIVHAANNTYNHAPQPVSQLKQTDTGDSSFSSDAEESV >CAK91345 pep:novel supercontig:GCA_000165425.1:CT868662:271979:272108:-1 gene:GSPATT00024073001 transcript:CAK91345 MNQPIGKILQTNDPKEDVKFVNQFNLHEMNDLTD >CAK91346 pep:novel supercontig:GCA_000165425.1:CT868662:273214:273725:1 gene:GSPATT00024074001 transcript:CAK91346 MENLEIADSEKFIAYKLTSSKCWKKLLQEQINVEKQARSHISVLLSKFNKRALILYNGFL KYGNIDAKLTPKYEININNLVDVRCEFQIEKAPYRNQFSYGYYLGRQKNQEIIFQFTFNF KRKHLRYFKKNQSIKDSYFLDEKDEKNLECWIFKGKLDKESQ >CAK91347 pep:novel supercontig:GCA_000165425.1:CT868662:274018:275745:1 gene:GSPATT00024075001 transcript:CAK91347 MNIKSLQQQTLKKYKKEYYLQIQIYFSNKKIAFKKYYVNLVRALMKFNQFNFVQMTRGKK VKEIINNQKQKVNNQPSSQVPNTNSFNNPNDQSQFEKNQNKFDPPNNETQQKDDQFEINN KKEITDVINTNDLELPLDGTKVKNYNQQTEVLNTGTLNGPLDETQINTQNQDNSVINTNN IKPPLDGTQMNIQKQDNSVINTQNIKPPLDRTQINNQNQDNSVVNTKNIKPPYDGNQMNI QNQDNQVIKYKQYQTSFRQNLNQQLKLRQFSSQYKEYQTSFRWNLNEYLKLRQFNRTQIN NQNQRQFSSYNTKNIKPPLDGTQMNNQNQDNSVINTKNIKPPLDRTQINNQNQDNSVVNT KNIKPPLDGTQMNNQNQDNSVINTKNIKPPLDRTQINNQNQDNSVVNTKNIKPPLDGTQM NNQNQDNSVINTKNIKPPLDRTQINNQNQDNSVVNTKNIKPPLDGTQMNNQNQDNSVINT KNIKPPLDRTQINKQKIKIIQQLIQMTLIVLRIALN >CAK91348 pep:novel supercontig:GCA_000165425.1:CT868662:277461:280181:-1 gene:GSPATT00024076001 transcript:CAK91348 MKNYYPIQLFFVSSIILTVVLTIRCTFSALFICLILNILECLASLIFIKKHTTFVIVIHK LSNIALITSFFFQRTFYDCTTLILYIISDTQPILALIEKKQFFLNFQYAVIFYASVSATL NKQLNDQLFLIMTMFVYFISSAPQQIFWSIAEKITNKSSIQLQQSQIQNRQLQNQLNQLQ LQSSHKEMKEFIDLDQPNSGKMDSFLDNHELPFKKSNISIQLSSGRPLKQSEQISIEICH QSQLQDKIWKQRLKSIPVGIALIDKNTNDFTYKNQYLDQMMTKTLQIELDDYPAYLLNQL KFKIIDQGSEYKRSYYSHYFSMKQSKANIQVEFPGRTLTQSYHTHKKKGSESSKNISEFE IHHPQKVYSLNQIINKLQDGFFDNQLNDQDHTLELYCKYQLNVNNVIHLNLKVLYSPDQV EMTVIIQDYTRQNKLQRFEEQEEFKSKMISSFSHELRTPLNSATLFFKSALLDNRLDKHI RDAYILPGLSSLTRQSHLVNDIIDFSQINAEILELQYSEFYLKELTQELTQLFKFEFKAK KIGLAFKVDYNIPPTIKSDYQRLLQILVNLLYNALKYSQHGYVLVTINADNQYNNIEFTI ADEGVGITSEKLNQIQNVLKDHHTSTQDWNGFGLILSQMLLRYLGPLQNNDLIINSLGLG LGTTVQFRISNHVNIYDYYVKTRKNLKTHNQILTLPDLDEYNGLLITIKSQTESKQILNK SLTSYTAIDEFMKIPDAQNHLVSELNQLYTNGWIDKTKNFKIQKSQEQKYQKCNCRTILS VDDEIFNQQSLTCILQKFNFEIETAYNGQQAIKMIEQKKSCGQLCRKYLLILMDCQMPVL DGWQTTRILKQKFQQGQLKPIPIVGFTAFTSKSDLEQCKQVGMIEVLNKPLQVKKLKCIM EKLKLL >CAK91349 pep:novel supercontig:GCA_000165425.1:CT868662:280357:281383:1 gene:GSPATT00024077001 transcript:CAK91349 MLKFDLYRKLPQDLIEPSKSGALISFTSLILMFILFITEFQEYLTQQVQTEMYIDQNKDD KLLVNMDISFPNMPCDFISIDQQDVIGTHQQNVEGELYKSRTLNGKVIDKYLSTNDSLNL ERAQQAYQQKEGCDLAGYIIISRVPGNFHISAHPYGGQVNMVLPFVGLSVIDLSHSIKHL SFGKQNDIQKIREKFKQGLLNPLDGIRRIKTQELTNVGVTHQYYISIVPTLYVDIDNKEY FVNQFAANTNEAQTTQMPAVYFRYDISPVTVQFTKYYESFNHFIVQLCAILGGVFTIAGI IDSIFYACNSRFNIQVQKTMVVLEQ >CAK91350 pep:novel supercontig:GCA_000165425.1:CT868662:281447:283022:1 gene:GSPATT00024078001 transcript:CAK91350 MSLKDFQILQELGEGAYSKVFKIKRIADQQEYALKKVNLQSLSDKEKQNALNEVRILASV RHANVIQYKEAFLEEQSQTLCIVMEYADDGDLYQKIVECQKKGVLMSENDIWNILIQIVK GLKALHDMKIYHRDLKSANVFMNTDGTVKLGDMNVSKVARKILLYTQTGTPYYASPEVWK DQPYDSKSDIWSLGCVLYEMTTLKPPFRAEDMNGLYKKVVKGYYPKIPTIYSQDLSNVIR ALLQVQPHLRPSCDKILQLSAIVNRLDDKVLVEEEGAKFLLQTIRVPRNMHYLTDRLPKP NYNPIRMTKIDKQQFIQTLAIQKLNQENLEENHSLHVDFLPRLNKRNEESLDNSVVSRNN KKVDDIQLDNVNNKNNQINQIYGVGIHPKKKDLRISNKSQKHDLKAIRRNELDGDLKPLI IKSYSPKNASDDRQPLLPLLPSIKKDVQRQNEDEQLKKIKQTEELIQQLKQKQQKHKRIQ KL >CAK91351 pep:novel supercontig:GCA_000165425.1:CT868662:283029:284336:-1 gene:GSPATT00024079001 transcript:CAK91351 MLIFSLKLIWLFDSKYIIIFAWLMDLITFIVILLDKNISKAISGIHFLEKVLSVSFKVLF LHLQFYKITIIIHFEIKEINLYYIPALQIIVTIGILFHQVIQQERNKRKNLIIAYSYSIL YILQLLLLSLKWNKYFVYSYYQTFIITWTALGINTFLMVLLLIAFVEKCFQKDIPEIDGI MFKYQGSIILWLLYYIFGLTIIPFFLLKEICQFYERDTIQINIRIAGITVLISIILYLIS FSYYTNKIRKQLMYLKFHYQNRIVLKLENRQTQVPIPQSPQIEPPKKKWSKLKIPVMFIR ISASYYKLVSKKKINEMNNRNISSIPICSDVLRPDSLNFRQSPCLTMMKQMKSIPRDQDS CDICLICFENEPDIILSPCNHGGICTSCSENLKKTTKQCFLCRTDIKYTLKLNQKIGEIL EVTDVQKV >CAK91352 pep:novel supercontig:GCA_000165425.1:CT868662:284570:285565:-1 gene:GSPATT00024080001 transcript:CAK91352 MRSVNNTIRAASQSNGKHRFLKVPTKNKHHVTLAPINRFIQIKDCAQKDGQYKLGRLIGS GSNAQVYQAMNMNTGEVVACKKILFKFKNQQKVDIELSFLQILQHQNIIKYISHVSTKDS LLIYQEYMPMGSISQLLNEFGPMTESTIKTYTHQILNGLEYLHNKGILHLDLKSSNILLD SCGDIKISDFGCSRHIKQDLCQSILQGSVPWMAPEVVRQEQIDTPADIWSFGCVILEMLT GKHPWFEQLDFDNVASTLLAIAFNQESPKIPGYVSEELKNFLLMCFSQDPLQRATIQQLR QSAFLQ >CAK91353 pep:novel supercontig:GCA_000165425.1:CT868662:285820:287545:1 gene:GSPATT00024081001 transcript:CAK91353 MLKKLQQSAKGAYNQRFGNEKYEEMEEEKNNKQADSLFSQFNATLQFNQTDNNCQINNNQ EQVIEISDRTQIDFTKALIPEELKQQMQEEEIKEIAIENNKKSYKKPKKNDDMPEFLTKK ICLNENGENYYEIAAKKAKEQNSTMFQLKHFVITKATSVQQQVKKSLKKLDAHVKKKILE KKQHINLWIAGQLDAKIVSLMSNMEPKITESVKKSVPFDFMQDFMHDAAINLWKDFTDLV RLELRAKFDSKKVEIKKREVKGPLNILRNFILYSLYPADLTTKDHMNRISFKVLKLCQYI PYVGIQPLMFCIILLCINKEEYQLVNYIADYKSIQFLTNGIIPCLIAYFYYFFYDQGPSY HQPIFVLLFTFLAQCINVWIAFYLLKRSHSQGEVLKAELTITQEEKGGRLKYFLIYDLIC LVVTVCASIIVYFSHTMNDPRQSFGDLLFFARTIYAFFSFPFIIFMLPFFVKLLTNAIAT GYDKYGNCIQALNSIQMTYREAALNRKEDIDIEEIIDQDQDDQHKDDSKLTNQNQGFNKT VG >CAK91354 pep:novel supercontig:GCA_000165425.1:CT868662:288212:289319:-1 gene:GSPATT00024082001 transcript:CAK91354 MSNRIKKSKSPQINKQGNIDKDLMKYHILQKINKPQGPVQQIIKTQPDEEQEPMDFKQEI EFKYQNCQINHAFCFPKEDYESSSDNSLQSLKDTTIYNLNCNNIERLTDATSPQFMKALN EKLSKLTESIENDSSQNTIQKLNTSIPKQPKFLFSFIISSQKPPGQNQSSTSIGRIIDHY IVNMNPVSQNNNLNRDSVTNKAQKNQYFRATPTISSEKKKQSKQILQILKIAQQRKINNH SNNTTFNKRTTQINSYSFNNTHSKFLNGQRNGENIRVQTESDDFEGSLQKFQIIPKSRSK VRENVAKFKKLESSQECKILNYTMDNLSIGPGRNAKSQNRSYKV >CAK91355 pep:novel supercontig:GCA_000165425.1:CT868662:289376:290902:-1 gene:GSPATT00024083001 transcript:CAK91355 MKKLKSKLESTNTDSFGISRTDFSRTQKSHRRYLINTSLKFKTSSSELNRMELQEEDSKI VNPIYLNNIRSQKKSRNNFKRADYFNLKKVPSTNSQDICHKSTRLQQLSSSIIKCYSDEM ASLKDIQTIDAIEFENYVSRYLNEASISIDILIKKLQYLQQYKQNREKSEQEMKEIMQEC FKQKNVCIQQRFCYIFGKMEQLFFNPISGAIYFKLCKRLSDNEQYYRNKMKAYRGLGECL LRVRPKLSQLYFTKYLMSAWKLNEKNHELYAYDLLGKYYFYVGQIEKAKQFHEKMIGGYC EVFCIQIYTKVPDSRVRVLAQSRLEQGSLSNRINREHQVVDIDVVTSDDECYEIVLTQPQ QVQVTFVGSGKYFQRKIPDPKTVKEEANTNIRQKKPKFNSQILESGGQFDMSKLVISNPH LNIGVIKDRVLLSHMTPNRKLEMYQYLCLANDKNAFNNVNHLSGLYDRFEVSKINKYLNK LISLMSNVQQWLINQSRVKTNIKKYPLA >CAK91356 pep:novel supercontig:GCA_000165425.1:CT868662:290938:292672:1 gene:GSPATT00024084001 transcript:CAK91356 MQNNCKLCGQSQQMLILEKLQLKYFEAFNFYFAKPINEILSQIPNTPHVIYFKDYVILDE QQEYMKRYYNKDEVKPRLDILTDFYVTNYKEVHPNLLVVESHALLTKRNKKIDKLYYQRM QESENHTQSQNPVVVNNVLNSKLSSKSQISYETEEHDIYSSEDQPQKQNRLDFKGISLLN DFEDSKLYHELLDKQYHTINKMTNKNKLLSPNFYIRSPPLSQQNIQTNLIKDLHTMKSVQ RRTNNMDLREKKAIFANSKSESKHSQSSFKISKIKDSVDQQVDQFCDCVNGQIEPSDMEG KNKMSRIQSDNFTIQKMLKEYQGNQKRQLKSTISQDQLVASTSTLIQGFQGSQKSIKQDQ NNNNGSIQNISQRQEQIKKVYQPVSQKKINQNQLDRITKGGCLTDRNMKQLPISEDLFTK LHDIKIKSSQNAESIKKPESNYMIPSQYNAMSTFKVANNNKAKSQYTKKTAGFDFATKSQ HQQQANHSQSKLLNINTQKTDDIVKKYIQLATQSIQNHKKFDFKLNLQNLNNHNEPHLDE EFCIYNTLFLTRKQTSKFSY >CAK91357 pep:novel supercontig:GCA_000165425.1:CT868662:292918:296130:1 gene:GSPATT00024085001 transcript:CAK91357 MEFNAQKNVFELVKLSFPQYTEENTLINCKNYLCRILNLKTKYQVLDDIIIEKEINENEN KVLQMRLRECFTKLLKSKIQKNQEIIHFILRVSQLGKQEISNNSQEQLFFENSNIIKSSI DIENQQTTNQTINKLDNSLITSIILEKQQNSITQEVNDKDLMKDILFCLQGIEGQYIQYD ASSDSFCLRKDVNISISVRQLVNLISECGWLYKKISSFCQVQQPNLIVQALQNVIKLELS EYYKLIANLEGMILSSQLITFKRIHFHLQSSYDYMIQINQLLSIIQTSTPLGATSCLIIN VLDQFSKHGCSQMAELFARLQKASCQPLMKYINEWIFEGNLLDIANEFFIEKDDQKAITK DQGELWRKQYRINYDKVPVVISYDEAYKIYDTGRAINWLRIQCDNRNWYLKIQPLTINIL QTKELSQLINQANKKTNTELVNLLFGKFKLMDHFNIIKQYFLLGNGNFSQLLIETLYKEL SKKGNLVYKHTLTGLVESTLRMSNAKQIVLQRLSVKLLEAQKNDIGWDIFCLDYEFEEPL RTLFNRKTMLNYYKIFNYLWRIKRVEYTLIQSWMQQIKNKCYLNVKSNVNKALHLSLQIM NSMIHFIKSFFSYLMLDAIEAPWKKFMDQINQIENLDHLIQLHEQLLNEIIDKVFLNQKQ EQIQIILLKLFEISFRYKQNLEHLFLYVRELMAKDRSDRFEQSMDPRVSHKTTSTYSINI DPEFKGQEIIKLLLQLRQMHKEQILELIKQLQNEEKMKFLLLKLDFNEYYNLLSQEKLFS LGFEKFLQQCPIQINETVCQKQISEQPQSQLLKPSIPQLNQKSMTFIQQDDIQINEGINH LEEQKKQKKLKSKQQIQTMQKEATSELQNPMSKLPMPFPQPQQPIITMSPINQQSLSDSN ILQSQSLKSVQQQFSQKILQQTQINHTMQQLQQQQQQQMQQQQHYVQLQSKAKPQLSRKS IAPTSQIYQIQPSAQPNFNNSILIFQNQSQSQISVLQPSRNDMSLSQDENLQQLMDKDFC FHDNNKDGDLI >CAK91358 pep:novel supercontig:GCA_000165425.1:CT868662:297143:297924:1 gene:GSPATT00024086001 transcript:CAK91358 MIQVDENPQPLDLTLYQEINTEKYEDIQIKIQKLESTFFQCLSYSRQQQLKQNEKLQSDN SQLQDNEHNTNKDSFQSEQFEKYIAQYFAQLRSQFGSTKSISFMTSQQLNLFFEEALEQL NNKTKLYQDILKLKLLQTSQDLFSKVYSTKTNLILKQWYLHNYCYPYPNQLEIKKLIGKT QLSKKKILNWFINARNSFKRKKQKKQKYKILVQEHYYALYKSKKQSQNSNQEQSNQQIFF ENQ >CAK91359 pep:novel supercontig:GCA_000165425.1:CT868662:298848:300139:-1 gene:GSPATT00024087001 transcript:CAK91359 MQLDLYKERQQQNENSQQVKAAEAFQSLVQYMNELTDLVNKIKLRNEAEFVIAYQNHMKK IKAELKELRNKTEEQRSIQNQNKINVTNNDNELVYFREECLKLYQKVEMKSKENYELRYK LTEQQKTIDFLESQIKSLMKKVKLQEIDKELLPNLQIDQIFCTNTPVNQQKSHRRRLTEY QPKVELTSSLSKHHQSSIKEMFPPDELNQENLKYEEIVNKFTKYVTIIEQKYQNQNKILN EKVNKLLISQNKKSIIRSDLEYCFLECVDAVRREIQKKRPLGNFQQYQSYLDTIQDFQQF YKEDKIKILELVVSNEKLLVFIYQKLFPNHVNLVLQSIKEDKTLQTFLEQNVKHSVTIPN NNEESLYNNHLLTNYKVEAPKTTREVRSVSLNKQLEMRKGKLFFKQY >CAK91360 pep:novel supercontig:GCA_000165425.1:CT868662:300173:301449:1 gene:GSPATT00024088001 transcript:CAK91360 MHKSPLLKENIRLNNNQRSTYSSKSKQKTSSLEKNSNSNRSLLNEIQNQTPNQPKKVTST QPSDQKLHNCQILKSLMKIQNRTNPILTQQNCYAGIKLNFNNYLSNEEKRINTNQYNSLN QTVQPSPKAKTISLSTYTSTQSPEVREKEMRQEKITSFKQLIQQTKQSLENMKISDIKQS IDKIFDNTIQPLLQLKNEVIKQIEKCLNAEQYQIRSTIDSLKKMEDDILSNEFNIIEYMT MGPFNEIMQIYQKRFQEHSFFTQQLTQQELVIHQFQKLHDNFTQQNQLLCKQLSQSFQTY LSLFISFQNKDDFYELKENNHTQPSALNNNQYTQENLFQTCETNNSIDEDQVIMKDQQVV RIPDKTSQFTQLLMLNNQKKLLLAESPQFKN >CAK91361 pep:novel supercontig:GCA_000165425.1:CT868662:301527:302729:-1 gene:GSPATT00024089001 transcript:CAK91361 MINNELDVFVKKAYQHNLLGKMMQYILQAPLMNKLRIRTQIVSRIIRKDQNKKLISNAAN TSQNEKISQQKFSDEDTERSNSKLLSSFLLRQERKNTLSPSKMVGVLSNSKEEMPQQFAD VFGEINRIEVESDNKNIRINKQQLATYLQRYYPDIICEQIVKVLKIPQILTFNQYYTLIR KIENLDLKQQIRVCFLFYDLNNQAEITTQNLVELLKQNANPQIELDILHMIKQTKIQISN QINNDVKQILPSILPLSVLRVQLDQSVILSYRKQSVVSNDGRKGQKGKVSQRNSLDLNIE IDQDSTSHSRNTPTRKKVMLITQINKEEQKPDVQNLVQHKSERKKNKSKLMKDENKNKNK ISIDLNKFINIWYPQKPNLFNDLLRNLTAKP >CAK91362 pep:novel supercontig:GCA_000165425.1:CT868662:303054:304807:-1 gene:GSPATT00024090001 transcript:CAK91362 MDRNGESIFTCNFWREINEQFFLVHSLINTSDISHFKKKFSYYVNLNCHKLLDKILKQNN RSKLSQYNFINLKQRLSLLLQQRKHHQEPIQNLIDEMIDQICYDQLIEGLQQTEDLIKNM SQKSEQQQQLIYQQENQILTNLHHVIDSKDELSKQIQQLEYQLEQLDNSNSLQQLEQHVQ EINNNLLYTYSSQIVKNHSIKLTRSRVRNHQEELKILKDLIILHEDYQVAESIGKAIFNE KNNTITVIFDKYLSQIQIDLNLEHFANLQRLREVPYEFPEQIPGLRSDDVFEMQMCHFCK LMVDVKNLKQCSYNHFQMGLHEYNEDLLTCQRYQINAKSQQQYILDLYSTNYIIENQQIH CKRYFCLKCLKHEFDSYEITQFLWICPLCKGLCTCIRCSRNEIIYKLKRQYLELNGDLED IYQSSYFEMLVKEKRNLIKNIPIEFNMFKSNLENNEDTVIPKSNLKHKKSITKNMIKRKI KKNDTSLTSKSHKLTHIESSSSSVKIKKSKEIKRRSLYFNSNHNDHSNQQIFIQ >CAK91363 pep:novel supercontig:GCA_000165425.1:CT868662:305512:306639:1 gene:GSPATT00024091001 transcript:CAK91363 MQLLKVCKRFCSILQNVPKELVDLKHVNFLKVKTAYLEQLKDQYILSLEFTKSPNEADDA MNITNNVELQIDPELTVKEFVENCQEYLKDNVTLYSIDKVPLAGVNTMEQVIKEPFYLLT NNQHLFFVKQLSGYDKDDYSYIKSYFTRKHVPMLEKNILIQYLVRMDYVLQQNFKTTFFK NYSSSQQKIEYNDLVKVILDSYTNMRNTQSDHETDMYSQYFELKQAKEVLEEKRKEIMEA AVKFAKIQMFGGFLVLCGHFCFMGAGIYVYYNWDVMEPIGYFLNTGGMIYLSYQYFKLND EWSHSKFSNYLINKNFVRLSRSNNFDIEKLNQINKQIQEIQDRIKTNIITNL >CAK91364 pep:novel supercontig:GCA_000165425.1:CT868662:306669:307658:-1 gene:GSPATT00024092001 transcript:CAK91364 MQAQETEKMKKTRKPQQQDLISNGSDTIYMGDVVDVTQKQIENEQISRAQQYQQLLKDQQ EKLEKERLERERIDRERQERMDKERQERLEKEKQDRLERQERLEREKMQKLEKERQLKEQ QEQERLKQLEQQEYKHTQQQQQQQVLKIQSNHVELYIQDIDDKQFQEERKNSFSIEQGPK FKLEIKHSKPQNTYKSNVQIRPLSAQARGSSIANISCRAKLIPVQQNQSVKDVKKFTILD LNPNSTQPSMHQDIPLQQEPYIKYPNTNGGQNTVINNVNNIVNNQPNKTKENIRIKSALI QQYSNKRQQQDQQSNQIVKHYTLKDLVES >CAK91365 pep:novel supercontig:GCA_000165425.1:CT868662:307735:308780:-1 gene:GSPATT00024093001 transcript:CAK91365 MKQRPTTAKVPKTIDQREIQQLHDLDSRQYQLIGSNLSQFTILNELGKGSYGVVYKVKSS MDGNIYVLKKINLTHLKPKHQAEALKEAQLLRKLKHPNVITYYMSFIEQDNLCIIMEYAE GGDLQKLLKDYKERRKFMQEETIWEMSRELSSALQHLHENNIIHRDIKTLNVFLTKDKHV KLGDLGVSKIFNSDTALQGTRVGTPLYLSPELVQHQPYDYKVDIWALGCVVFYMAALEPP FQGENLIALGYSIVNRAPKALPPQYSTRLSQFIWKLLEKIPALRPSISEVNTIYFQQRSQ PQRISQQILLITQTT >CAK91366 pep:novel supercontig:GCA_000165425.1:CT868662:309395:309850:-1 gene:GSPATT00024094001 transcript:CAK91366 MEVQNIQYEQCEFCNRKFFEGKLKMHLKLCSKEKPMIFVAKGKEEPKKKRSKSMAKPKSQ GPKKTNRENSSLDRSYITTAECHLCGRKFNPRNLESHLDLCQKNQKELMYKKMLIEKQLK QKKITTYHCKQCSYEMEQDHNFCGRCGFKRK >CAK91367 pep:novel supercontig:GCA_000165425.1:CT868662:309885:310617:1 gene:GSPATT00024095001 transcript:CAK91367 MKQRDHDRNALFPISEDSQYTYHRYSPPMRPKILPNQYINRDSKLIQLSAHEKSIQDKRS NIFYEPTKLPISPPKCIEDRPKSPNLDHRQRMLYQNASNVLPGYSYQKVKQVCETRTKIV EDPNNQVKHQNQNFSDLFDRHCGDTKINQRGRSASPQLDWTAHDSVRNAKDCTTNEYTTN NKPKSPLKVTEISNLEIKCIQQAKELKTYSKLHGKKAAPKEIVYEGMLKWKNNYK >CAK91368 pep:novel supercontig:GCA_000165425.1:CT868662:310648:313067:1 gene:GSPATT00024096001 transcript:CAK91368 MITTNRDLISSTTDSAEYSQTIQLSKRKTTNKQFSLSLFALRKQELYNKRSSSYYSPNIS ISKIKPVIDYRSKFKQNTKKLIIILSFFESLSQYSKEQLRQRIEYFKLKKQNILPFYPDQ YLIIKWNQFIQLLLFFYAIVYPLQLANQINVDQILLGIDFIFAIDIMMNFITAYIDENYN LVKEFKLIFLNYLKTWMIFDLISLPQYQLQIQQSELQMFKLLRLIKYFIKKPQKNYKGQI FYTTNIIDSFSLDINYYLSEGWIFLINVILNAFLLIHIFGCIFLFHDTNFNYITSVYWAS QTLFTEGYGDIPQNFQISVIWIIVSIGYYSVKIGDFAKLLMQTNLSNDDNQYYLFDRLAL QTKMPDDLKDSVQKYIRTNAQYNQFWDQEIIQMVDEFHKPIQTYFTLSVMLDLCIKIQFF LQDVNHTQNLLKSCKFITFEKNEIIYRKGQFSDEIYYLIKGDVRIVSKNRYNILTILQGT IFGEFEALNEQPRFTYAIAQQRSLILIVQLKSFIECFKQSKLINFEVSQLYARRKQLILQ QFKLEKIELKRLKRKNLIIFEDNDISPEFKKRKTNDNHLQTNQIYHYNLLKKIIGQAKLN KQIVYQRFQFCVNRVIDYIRQIDTTPPEDWKDLNEYQTITKVIPLKLLQQRNCLFRQQNR QSNFSMLSFNKKLRLSNYILVRQQEINIQRKIILFSKMQRERFKPLKQIFDKYGRIFDNQ SESDILNFESSYSSKITLLQSKYCQWFNVKQHEEIKINKNIVNNVKKLSQDLSKINDTWL QGSLIKFDLHKYLNQN >CAK91369 pep:novel supercontig:GCA_000165425.1:CT868662:313293:313562:1 gene:GSPATT00024097001 transcript:CAK91369 MQKYVAKYVALNFKNLVIGGDLKTINPLIKNEQQFFQYYDQIFMKDTYIQDCLKNDLLRM PVDFFKESRYDDFAEDHSEFLEQENQKQK >CAK91370 pep:novel supercontig:GCA_000165425.1:CT868662:314385:315889:-1 gene:GSPATT00024098001 transcript:CAK91370 MEEFEILDFHELQVEKQGLDQASKYLQKKIQNGFKVSIVIKKQHNFALPPLQQKKNDLFS KHHQPVDLVSQSSEVTENNDKHGSSTSSNQSIKIAKNQIISPTQNETPEQHPNEQISQQS EDEPVSFDKSKTNSFEQLLKDDLLNQKLRTGLQFLRDGKFKLALERMMEVYKEGNEKLKQ QAEKLQISVIILLCVQSLCYASQILKELGQINEALKCLDKCLNQFEINDFDVLSKIYIEK ANLYLLNNQYLQSLDYYKKALNYYEQVNWKLDIAKILVKISFVYALLHDSVDAKKICYEG LSILQNKLDHQDPRIFDTYYTLGCIYYLEKEYDFALEYLEQSKDGFIKLYGQKHEQLCKI MNLQGVIYHLQGSSVNAIEIYEQLVTYYGDTESIGLALIMNNLALAYLDRMKFKSANLLF AKAITILKSYFNENHPAVQRIEKNKMLVASATLSYM >CAK91371 pep:novel supercontig:GCA_000165425.1:CT868662:316011:317559:-1 gene:GSPATT00024099001 transcript:CAK91371 MIEIRRPLSEKNLGNRSSKELQRPNSSNQSNSQQKQTLQTMKSSIQLQKQSQNFYSKYFS YKDQKENIEIRSNQDRNQSSKQSPQEYQKLQDKLLYLENKIQSIKSHIDNSQRQTKNNLA SKFFGQNTKNNQTSTSVQKTVSIDFTNRGQKEQQIHQQTKEQRDYTPVQQNKLKSSTLIN NENKIEGIIIKKPSLSTFVTQVKAPLNENKTNFKNLRPESAKASNSIKENFRRKTSQEKN NETAFLYYFSSIIKGYMQVEINRPIELIREHLLQTMQASIFQKSVKIANSFEDKKVNLPS TNKKTIVFDLDETLIHCNESVQIPGDVILPIKFPSGEIIEASINIRPYAQQVLQTLNKHF EIIVFTASHSCYANVVIDYLDPNKNIISHRFFRDSCVQTEEGAYIKDLRVIGNRAMNDMV LVDNAAYSFCLQPLNGIPIINYYDNKMDQELLYLQNYVMSLRSVRDVRQYNCQNLKLDKL SQFSDPIELLQQLYKEYIP >CAK91372 pep:novel supercontig:GCA_000165425.1:CT868662:318303:318787:1 gene:GSPATT00024100001 transcript:CAK91372 MTDIEEKRILKVEEQKDQRGVCYISNVPPQMPISKIRELLQAYGIERVYFKSKGSGNNRS YSEGWVEFKDKKIAKMAALSLNGTNIIQKKHKQLSDYMWSIKYLPGFKWDDLNENFNYER RVQKKKLDLEINQSKKEHEFYLEKTIKKVKQQ >CAK91373 pep:novel supercontig:GCA_000165425.1:CT868662:318847:320390:1 gene:GSPATT00024101001 transcript:CAK91373 MEYTNIDIVYNEGTLQKEFDISPILERRSCTSPKNLIKIDTKNKNLTQEDYEALSITNND DKTYQVFVEQSTHTNKSITTRQFTNDNSTLSTNQSMIYYLYQNCTEYSIQHPEKAWDYIY VKVILQDGQDNNHTFPFQFTYTCNENYNFITFDWSLIILISISMMLMALLTRFSRILSFN LKGQDGQFQGFKIDATITIFYFFMYAIGFFLFFNSEYVGELSLVVKVSTYLMGFTCSLFI IDEALCLSPDGSVWKRKVCYKIRLCEVFSFMLASAFMTLYLLTQAWYLSDLISIFIMSTI AKLFKFKKLKSAVLFLIICILLDGTAAFLIFFTSNTSYNSLTLKKLNCPIELQLPLLELQ YDRSCAWISLFSIAIPGLYMGFTYRFDKNKRTFSYTIFTGLSLIIGYIIWITTTIVQTYS IPSSVFIYPSILIGTILVALKRNELQSIWDTEFFDEFLEKSYRLSNIYGQHDIVGNLNLM EGLDQCPDEQPIQSRILK >CAK91374 pep:novel supercontig:GCA_000165425.1:CT868662:320414:321899:-1 gene:GSPATT00024102001 transcript:CAK91374 MDKNSFVIQMDSFIENTIQAEGYNKTEFLQYLNSKKINGDQIELWNMDEMLIAREEFLAW KQQNESTQHPQQPLTQYANIHQNPTIDVVQRSKVYSGQQIQINQNSFLYKNPNIEVKIDN YQEQPGSLFSKSYIIYKITLNPHNYVIERRYSQFEKLREYLLSNYPDFYVPPIPEKMASG SKNHIADYRSLALEKFMNTIIRQFWFDELVDTFFSCQNESDLQNKLKQQKQKQREYNISN LTSLDGKIECKISSQMESFFAYQSKMFSKDMEYNNNIRQISQNIAGLYKQLSQEYKSLAD KFLIYQQRNKNQNEQLFEQVDDSYCKNLSQMFMTCSKNQEEIGSLVTKHVDYSYAYQTQV LETLREKIKQRDVMRDESFKLLQKIDSQIDKQFKQLSAGQIQQRVQGQGVLTEKQLKQNL FPAESKQFEIIQDNFGYVNNNIYQQIQIVMNMNQYQIIESILNMQQKISQMYQNMVKENE QLFGRY >CAK91375 pep:novel supercontig:GCA_000165425.1:CT868662:322037:322342:1 gene:GSPATT00024103001 transcript:CAK91375 MNFLDHFKGRTQRIPREGYLNLFGLESNRVVQNPQISKSKLNQSLQKIQQEEAQLQNEIM EIDEYQLKRYSKISTEITTPRSFTSKKNFSAIRSAKFILKY >CAK91376 pep:novel supercontig:GCA_000165425.1:CT868662:323166:324804:1 gene:GSPATT00024104001 transcript:CAK91376 MYRSQRLRMKSQNHSSISPPIIKRRLRNKNEYIEMNEIEPTDKKKQNILQDIAATKQKSL TNFALTVTPKYGQERDYDDDEDILFQSKGKKRPWSEQEDNLLIKLVQMHGPQKWTFIAEH LPGRIGKQCRERWHNHLNPQIKKSHWGDYEEWILFLSHRVMGNRWAEMAKQLIGRTDNSI KNHWNSAMKKRIPEMEERLKDIRKRGGTIQVNNPIGMQNSELMNSFTSLERQLLQKLLSS QQNGQMSPRSYSPNNMPRRRTNKQQNYLNPNSVNSYIQKMMNEIKIDGIESYSMETKMLK KTAKIADSIFWNEDKVDDLQNNLYEYIQEKVGAHGYDQDEKVDRWIKDFWIMCQDVFTVS VIKDFLSQRPSFYYNYLHKYKQDSANQVSKNLSRIYEQTNNSDEYQLPSLNQFKTPLKEK PDPNQNNTHNSNNNNNNNHNNDTHSPSNICLEVKYDDQFQHQIESPSKLLNLLTPKHQNI QQSNKKTPNLKSENKSVMQHSQLKDNLSLSKLRFDTTPLKKNSAFKFYNKYNQQQQL >CAK91377 pep:novel supercontig:GCA_000165425.1:CT868662:324828:325737:-1 gene:GSPATT00024105001 transcript:CAK91377 MFRNLIKLGGCLGLLSSSTIMCNQIRGKNDDDQLPHYHFLNDHDLQKLQKKHTNLSIIER SYTEHILSVIRDVQTDIVDFRKNADRLIRILIEQAISQIEKKKHIKQSPLGYYDAHELKF QDEEICFVSILRSGNAFLIEALKVMTGASIGQILIQRNEETSQPSYFFQKLPQNIKDQQV ILVDPMLATGGSASMAINILKHHGVKEENITFLTLVSCEQGLSKLFSEYPKIKIITAQVD PILLKDINYLAPGIGDFGDRYFGTVKRNQAQQ >CAK91378 pep:novel supercontig:GCA_000165425.1:CT868662:326739:327592:-1 gene:GSPATT00024106001 transcript:CAK91378 MNQYLATHDSSLNTSLSKQKYSFPRARREPIQNKSATDELSYKLPQSLGTRMAGFGYGFK HDFSKDAKPVPAPNMYELQSFVENNIDKKKGPTFAYSRDQMKAHGIWGSLNYLSPGPGRY ASTNSLTDSKFTFGLKPGSLKQFNTPGPGSYEALQITNERGNQFISKFKSSGAAIIGKDQ DRFKTLQTQKSFPEPASYDISNTGITGTGFCPKNGFKSSVLNSFPKATRKGPFDLGAKSP GPGSYKAYSEFE >CAK91379 pep:novel supercontig:GCA_000165425.1:CT868662:327648:328198:-1 gene:GSPATT00024107001 transcript:CAK91379 MKNKEGKSAKERERQFYHSFFSDDKEFIKVFDPQSIDDIIPFRKENSKMKTMNDTYLRKL FLSKRFYTKFSKQKYGYNKIISKICVHDNNEKIENMTKQIIKLLLQEILENQKVIADSLR KTMKQLNVKREPMKSILNILNIQNPLTITRIFHHLIRIHQQFWKIL >CAK91380 pep:novel supercontig:GCA_000165425.1:CT868662:328201:328641:-1 gene:GSPATT00024108001 transcript:CAK91380 MLPFMCAQPYSNYPQLNLQQFYMLPTQAYALTIQMKETFEQTCQFQDPIKNAAVTNNMEV IAEREMNSLLNLLSKIISLLQYSVFKEFVKENLKLFKPNHFFLSLLIFSTYTYLIKKRYI EKNQQNKRGNDQIYNQKIFSIYQKSN >CAK91381 pep:novel supercontig:GCA_000165425.1:CT868662:328742:329397:-1 gene:GSPATT00024109001 transcript:CAK91381 MKMATAETIVAHGLPSKKEVISSKRIFPEQHNRKGAFQLTWDIQGVFPKIAQKIGVKPVP DDPPLPPKPKYIPPPLPQNYVPPPIKKQGKKTGKPQLVPERQSYEESIAKKTIRQSRKSL SNTNYGKEYGSFYSMDRCFLKRDKEFIKKEVKGYGNFYEKSPEGRFVQVPMPPLSYKYQY VASTEL >CAK91382 pep:novel supercontig:GCA_000165425.1:CT868662:329948:330670:-1 gene:GSPATT00024110001 transcript:CAK91382 MLSSISVSLQDLRGLRTISTNGISNYRDFQRMPITKTNYKRQYLKGASNRVKSLKEECNF VQLCSDRKDNEKLFKFNQKGQLLNYRNFHLHKQYPLTQREQQNEIDNLNKINSSKQMHKN SSLKLVTDSNNQLIELVYSAEPVIQKNKKTSSISNIPKVEERSNSINNPLNSKRIQSANK NFQLIISSASNKEDLFIKSNQSTQNCLQKVQTKETKLDRSLKGRIPITFDGTKKFLKQFL >CAK91383 pep:novel supercontig:GCA_000165425.1:CT868662:330726:333986:-1 gene:GSPATT00024111001 transcript:CAK91383 MKGIRLERNYKKQILIYMLLTIKYQNRVKQLNLDGRINVQSLQILLGQLFNIKNKVIGLI DSDGNYFDLVQFVQQLNQTRCNKFTLVSDDGQPVTDNKLYQTTLKYSSTSEYNNKLHFID FIYDMEEFQNMLGDEQYTCIYLIDENDGLGFDFLIGLEPLINNLNPWINFYYCFNNRLHD QNREYDIQSNTLWVYKGSKRIVSIQLNNDKKMKQIDEILQKLVQTKILGHSVMNSNIRNQ SQLSSQQSPEKRRSKSIRKLVEESQIHTNQGLNNSKLIGSRYSTRVQAQVIQREASRGSD IPEDQVIYNLSNPYESIINQQNLLFRVVAELEDKNLLDITMTRLVKKLLIEENKEIIAIL LQYSQRILDIQGLCVRLNNIIEKSTNQQRPASPFQNLKQTKQPVNNNISESVEDVKKFIM EKYNCNFTSEQYGIINHLWSQKDQVVIRMCTDICKKEIKKEQVSLKPLQLYADTKFNELL NQNFKKSEITIIQEQKNSKSGFIYATLQHFRYETNVNYFIDDLRKSLQQIHNQNQLLTGP EKQTNQQSKEINLFKFQSSKAPSPFCVLMPKFQNLVNESLSPPPQPKLQQQDENGNNYQS YGKISDELKRVQLFQNFPIQPMQQEKQQEAQQQSSQQNDSKQELSQKKSNNVAIQDLIVS EENFIQRKQEQRIQYDINIQKFYSIQIEMEINQNFQDMISQMDLDEKKLRQVEQLFSDHN EQLYEIIKGFNSSRIVMNTRAKLIKLLSEKQNDTQDYRKTKMYNLFMNQVRQFTLQKHLQ ENEKVYLLKMFKENDLQVLGTLETYLQNQDAEDMLETLKMIIKQYSKFTNINQVGSDLSP LEQPQLNTPVLGAKEILSQIKQYFSAEEKSRLETIASGQGENSIIELYSQYQQDQDLNGF IAAIKKLSQQDSLKKSHQFITFEDLLKKLQKDGQLKIDDYMLNEISKKKNEQRIKGVFEI YLYSKNIDDFVESIKSILRLLRQQMIQQLLEQLETENLLSENQLLKLKEIAHEYHKDHAK LVGAFSLYWEQSQTDPEGAKNEILETLNIFVE >CAK91384 pep:novel supercontig:GCA_000165425.1:CT868662:334184:335461:-1 gene:GSPATT00024112001 transcript:CAK91384 MNNYDQLIQKLGQDPHLQILKQDYATIIDSMKDTDLSVRQMIAILWAAENDCLKFTSEID KLNAMIQQLQQQLVQENAPQESILSAEDEHQEILYEIEQQLIFNVQKLSENQLINFTIQK YDKLDYQTALDIITKFIESKCLNKEVEGILNQQLQDQMIKYQKKNYILQIENMKILSNTS AKDFYQKNKKLQSFSFDRIKLKFQAILKEIKSLKRELQQTKEIYQMQFKLLFHQDNIIDK MLTSQQFNFIYNKILTQLNHQRKYFNMSGQVQQSLLASQQIDNNDISIVFDKLQQKLVNQ IAKTACQVTANFEEIVTSRQINNNYLELILNTTKANFTKILKYNKMISTIQQEKETLQGQ IRSSMECLIQKLNDENIKLKLIHLTKVIEKSFKKQSQSSLESLKSIQYH >CAK91385 pep:novel supercontig:GCA_000165425.1:CT868662:336020:336607:-1 gene:GSPATT00024113001 transcript:CAK91385 MSTVNSEIRQLIYKFGMINRKTNLSYLTKNLFTSDYDQETLFQSPLKSPDKSRQFLNQSP MKYDSIDNLMESQNSLKTQRPSEFNLKKMLSKIDDFESAQDTQKPHKTFRFRRHENFQSQ PTLKIEVKNDLDDFFNNIDDAHIQKQQKKNKENKLYQLVTFTDYVENLYGKDWFQIPIRK PRRQNTIQEFFDTLE >CAK91386 pep:novel supercontig:GCA_000165425.1:CT868662:336636:337275:-1 gene:GSPATT00024114001 transcript:CAK91386 MNLENPNKLKWKQQYIRKYRDLLGISNLKVSEAQPMDNHITPGYLDHIDRVSKQRSQSMK YNDESFQSRNSSYSVNRKLSEMNSTKIYSAAEMNQIIKHAKLCGLLHNKPQVSPFQSQHQ PLLEQNRKHFEEVRNLQKSWHYSKIRQQEQNLQFNRIPMEQHYQFKLNHKRRDLNIQRLI QEQKTLYQSNNTQAIEATLSSGQD >CAK91387 pep:novel supercontig:GCA_000165425.1:CT868662:337390:338324:1 gene:GSPATT00024115001 transcript:CAK91387 MTTPLRQKFNIEDCSESEKEETQKKSSENQPLFQTSLFETTNTKQLKKKPLAKLTLQDFL TNYDQNFDDVFGEKELQPKEQVNKKKKKKPAQKKKPRSDSESEFKSMPKKEQIVDSEQSN EKQIEYTLVKEQTQRNQKKHRLTKINSSKLTSEEKSNEVKRYDPNSVDLPENTKAEKKNF KLRKFLFSFGLEQQNPEDDQEQEEEKEEQVEEQKESVKELNNKKKDNNTNENNDNQIEQL DIDQIENKKRRKQPKTQNNKQQEKEKVQKQPEVDLKTMLNLDTLKQNVYIPECNPKDNVD DINQ >CAK91388 pep:novel supercontig:GCA_000165425.1:CT868662:338342:338926:-1 gene:GSPATT00024116001 transcript:CAK91388 MSQPSETKQSIHSGRMVLHPSLKLDKSAAFFKSTKVSSCSYVRMKQGNPEAVPFYEIANK TPAEHETKSTYTTSTYADDYKVKPYLHVGSTNKLLEPYHTGSFRSRLPEPDAPILTKNAS QVELGERHFNVKRHFLSTAHNVYGNFGKFGNVTNPGILSEKTKWHHHLQQK >CAK91389 pep:novel supercontig:GCA_000165425.1:CT868662:338951:339943:1 gene:GSPATT00024117001 transcript:CAK91389 MRSNHQYNYNYKLDQIFSREKSYDLHLKKLSEIKSKKSHSQSRVQHIEILEKRNNIRQQR RRFDLSEQSERINKGNSQLYQKITEICNRPMQQDYYKYEDENLHHPHNLNLTFRKIQAQQ IQHENIKLADRLMKQEPVLKMDEYSHQYKENKRLMQRLQRYQQCQQYVNSIRNNLTLSNR DTTNNSSISQKKKDKLQLQPILNVKNKSIDVTELEKINYLINHEDEATLKELLQLQEKQQ QQDEKNQTKQELPEIPQLNEESQIETIRQDEIVENQIQQQIDNEVKQQSDDIDQQ >CAK91390 pep:novel supercontig:GCA_000165425.1:CT868662:340023:341934:1 gene:GSPATT00024118001 transcript:CAK91390 MKSKDQVLKQVYENDNKTFGKKNKPRNEEPNMFDIMKWPLQQDEPWDFKKKIQVEREPET KKVTARINHENQHWQTENKDNFRKIEKLKVDDSQIYVQKLDDKTIKNIAKSCECQNSKAQ QTNKHQQYQQPEDLDEQYKLPLKWNPKQQSAYRDNYQVKDIKDQNELMYQLEQNNKNFKG QYKALHPQGGFYYEESKKEEEEAVSSEEEEEEINEQQNDDQVKKMTTKFIKKAKTLDPNN PEDAEKLKRRKERIKKFQGETRWIADSAFTTYFGKPAWGPYGYNNVNPTVGGMIYGQHLL SHNVQPHRNKNDPFYIQTYQNALRKGAAVANVEPEPPRNCREEDRLNPEQVDALKSRNPL TPQPYQELKKQLDSKGKQVIPEFSIKKPDLGNTLRFASEAGSVQGESHPQENQKSQQKIK RPQSALDNRTQKSQTENRSKVSHDQKTKDSKKKLDKKVQIQSESNLKVDDHLAIPDKASN KFIEELKQKKEKANRLTCKVNEINPSLLKQSPKQEQKNQITADLQEVKSFDDKNPPPNYL QSLDPIELNPKNYKGVPSDWLHRIPFAGKKQDIIKNGQQTKECFDYGF >CAK91391 pep:novel supercontig:GCA_000165425.1:CT868662:341967:343178:1 gene:GSPATT00024119001 transcript:CAK91391 MAYQQPFYMNSPPRPQPVIPGKYPQPIVQSRPPQSYPSNIPQGYCQPQYQQPTLPLKQQP VTLQQQLQYQQPPLGYPSTPYQPGPVYPQNAPRPTQIYNPSYQPQYRQPVQQQVLPRSVQ QPYSQTCQPPQQLFQPPLPSNQYPQLKPQYQQQLVQQSIQNKPTQPPNQSRPQQASQQRP PQQIQPQQQRPQQNSQKDQHLEEKFKDAINKDRDSTQKYKNPQQPQKKSPDDEQEQQLQE LALQYEDGYIYRGQGYEPAMREGFGVLTDQNDNEVYSGYWHDNQYHGQGKLINFQAEQIE GIFDYQDLSGIENGWLGYEGEFLEGKMHGSGKLQLTNGEKFEGNFNDGMIDGEGVYSTFH GQTVKGVWKEGILINYI >CAK91392 pep:novel supercontig:GCA_000165425.1:CT868662:343440:344828:-1 gene:GSPATT00024120001 transcript:CAK91392 MNENDLYLINGLNFPQESKTQIYIISEPQGLKLLDSLSLAFKLFIYWSDESAIMDWNDFL EEPCFNEFYFKLSYQGKEYQIEGSRNQLEKLYDLCSGKFIFNKILETNSLYEMTLVTNKK NSKQYIKKRIFSNFNSQDLEMSNTYKTQLWQARVPEEIRIIQLLYSQRCPYIIKMVSIQY DGDDYSLIYANQNLISLKQILKRQKMGLPLSFVIEIVEQLLIVLNIFQELHIIQNSINLD MINYSLDSNSIVVSNFQSSTFECNRDMPIKGSSIGFIPPEYIQSKYLISPLANIFQLGVV LHHLLFYQNPFGNDLQTILKNNIEGKYHIPNHNFDMDIIEMLKSMMQANPHMRETPKEYL YSKIFKPQYRSKISKNSLLLCFQDISSKKVDEFEVKDEAITIQNIKFLCINNKKN >CAK91393 pep:novel supercontig:GCA_000165425.1:CT868662:345350:345734:1 gene:GSPATT00024121001 transcript:CAK91393 MKYMIVVLLALAATSYASKTQDQILALLQTGTKASDAIDTVFGLLNDLKQFKY >CAK91394 pep:novel supercontig:GCA_000165425.1:CT868662:345742:346539:1 gene:GSPATT00024122001 transcript:CAK91394 MKPEEIPLQLKLKHYKITNASATNYLSNRLNTTKKPLKLSNYLNKMLPVILQMEIHLNLH KCKHNRNLFQEHQIKSFLALASEQQEQSSGNGSTLAEKVLGVLQGLESELEASLENLKQN EINASWELAGWVSLSEAEITSLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQDA LDQAQSDLESKRADYEEAKAKRNEENAILDEVIIMFKKQVASWSGR >CAK91395 pep:novel supercontig:GCA_000165425.1:CT868662:346542:347370:-1 gene:GSPATT00024123001 transcript:CAK91395 MNDNLQTRVTGIFEKLNNIQNQVQDEKNSRFSIIAQLIAQFESNLRNASQQKEAIFSQLA NKFKELQVFLEGENDNRVRQEQETQKMIVDLERHAKRLLETSQKERVDQEKKLTYAISQQ IDYIYQDVNRQGVELLESHKYVDMYLNEDLPKIADDLQNEIDERREVEERIYHQFMEQIS DLRELFDRERKEREAKEEDIVESLREVQFRITELLKRNRKERETTEQEMVSLVETVIEKI KIEMLEMNM >CAK91396 pep:novel supercontig:GCA_000165425.1:CT868662:351135:353184:1 gene:GSPATT00024124001 transcript:CAK91396 MGCVSTQIIQNEPFVYQRKDDLYKILDSLKVIDVQLLKRIIAALKKEKVTNCLGYLSKHC QQKNKEQSNIKYIADIIGNICELDFNKKNYSTNEQQQQRKHLITQISYDKKILEFLEFLV QLTALDENLIQCGSNSLNILVEMKVDLAYHNFQNIKIKNTQLIGANFVKCDLSGSEFDNV IISGINLNGAKLFNCKWKNLRINELNKLNGHRDKVNQVCFSPDVMTMIFVFGMSKQEKQS QYYKEIGISIQSASHEMRDYWSPAVANLYIGGTFKLESIYINLKFILKMQIQFVSLLMVV YQHLVVKDNSIRLWDVKTAQQYLQLDGHTAQIQSVCFSSDNTKLASGSDDNSICLWDVKT GQKYHQLDGHTGYVNAVCFSPDCTTLASGSFDYSIRFWDVKTGQQAAKLDGHTHEVRFVC FSPDGTTLASASWDNSVFIWDVIKREQKVSIDGHTKQVTSVCFSPDGTALASGSYDNSIR LWDIQTILQYHQLDCHIDSIRSVCFSPDGTTLASGSDDYTIRLWDVKTGQQKIKLEGHSS YVISICFSPDGFTLASGSGDCSVRLWDVKQGQQKAQINGHNDYVRSVCFSHDGNTLASGC DDLTIRLWNVETQQVIHQFVTEIKYLFSTHFSPDCTTLASGCIDGYISLWDVKTGEQKVS LDYHNNPVSSVCFLS >CAK91397 pep:novel supercontig:GCA_000165425.1:CT868662:353698:356172:1 gene:GSPATT00024125001 transcript:CAK91397 MVVWTAQPNYWMLRQENNKPYQLAILKELHQSVYLLMGLHQHRVVKMDLFSYGIYKQDHY QENYMAILKRSCLSVSLLMVIHQYPMIMISLLVYGMFRQENKKLYQMVINIAPILSVSLL MLGITLSVYGMLRHYFQKQRQLDIAVLSRKSASLLIVQYQPLVVTIILFVYGILRQDKKN NNLLPQVMIFLQFVFLLMALKQHLSFQKTLSVYGMLKQDNKIPNYLVILVKLIQYVSLLM VLLWQLVVIKTLSIYGMLKLDNKKPNYVVILRKFIQSVSLLIRLYQHLVVTITLSVCGML RQVNQKPNYMVIIVMLFRCVFLLMVLHQHLEVMIILSVYGMFRPSSKQQNQMVIKIRLIL SVSLLMVLHQHLVVVIILFVYGILRQVKKKTNQQVILVMFILSVSLVIVQHQHLVAMITQ SAYGMQRQENKKPSLMYMNIMLIRICAFPDGTTLATCSGYLQYNADYSICLWDVKTGXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSQRTEIIINQYSK IINQVVNILNNNTICLQNIQATIYFSSYEIGSYSLESQPKTISNPAYQSLITKPITYTPQ LQKHLLQQFLQFMNSCRENSTFFFNYWEFLDCLFYQKSLSCTKTDCWKSLLIPYIFQDSA IIQNTFLIEIRFYTYLLIYWIKFTFLRSKTKLFQNLLSYLYLSILILEQLNKPLHSYLDQ IRKVSIFLKVIFYLLDIFYLASINLLNQLPFFFSHPWLKKFKFLSLIFPQITFTYQKYSE QFVNLKHLPCLTLTHFPYGVQFCIFY >CAK91398 pep:novel supercontig:GCA_000165425.1:CT868663:8601:9368:1 gene:GSPATT00024126001 transcript:CAK91398 MPKVSFIKIVFFYPILVYQNCMDTRSADCYNNDWSNKVGDCAGNIFLGPFTLNETISRQF DNVRGARFGFNFTIAKIDSWDPNDFLIVFADEIEIGKFWYTPADGVDMCYVQYLDLFAEK QIKFNFPEGRSSMKITLDGNFDEPLSNEGWGAKRFILQILQPCVAFYSDCNFQGEVWTIC SGNQTNHARDIPFEFKSILIENGIQVKMRDPRYYGGQTQTYTSSQYCLSSYQFPKYKQNA >CAK91399 pep:novel supercontig:GCA_000165425.1:CT868663:9704:11081:1 gene:GSPATT00024127001 transcript:CAK91399 MQIYKPSLLNQGFRPQSTDYYHRIQSAKTANTIHSNRTIPQQTISSNRSQTRITTVKPIR SFIISKTIKSKHIEDEDFDEIIKTSHDQDLFKFKHKCNAPQHLRMEQIYRFIYDKKTSNK QKYSMQSKINQQALPVASGSISIMLNVARKDYGFNLQSFKKKIAPKSKFKMKWKTIQWLL KNRKDAIRQIFQNYQSIIKQAKDFPDGLNREEFQGLLISFGLGADKNLAEKLFYVFDEDS SGTVDYKELIVGLEVLKDDTIDEKLKIFFDLCDEDGSGKVSEKEIFNILKQNIINENDKY QLKMVIREMIKQVDQDGDGELNKEEILQAASKNPILRRLLEQTISNVRRIDAIIQNDLEE PFHQFVPSSANFIQQKEGIHFATQQKLIDALEEIDKIHKKGQKIKEYTKPENQMGLTTYQ GLQEKKFLDDSQFD >CAK91400 pep:novel supercontig:GCA_000165425.1:CT868663:11484:13243:1 gene:GSPATT00024128001 transcript:CAK91400 MKNQSSEQNSDQLDQINNSNELKSSFQQGYRLYQKRYVILFLFAIAELCNTAVYATCNPI AVELSNIYGIDPSIVTLSATLYLFMHPLFTFPASYLIMYKGSSMSIKIGSILTLLGVFSR CLVRQSQEYINKCSFIYVLIGQTLSGIGRPLILNAQASVAVEWFPANQRTKLMTMLNFIV TFSGILGYIIPPIFFAGVTIDEKSPQDVLDTGDSRFMYLLFSEAAFSAVFLIPLLIFFET KPKTPPSAAAKGPTQVISFTDSICQMLKDTKFMQIFISFTLFYGSYKGYGVALVYILLPY GYGKSDIAILSVMPVIGGFLSSLIIPTIYKSWGKYKPIIIILEFCTIFTFYGFLWGCYLQ NYVIMLAMATLQGFFILPAIPLLLEWGCEQIYPLNDSFCIGLQYSGATMGSSFIAQIVSM VIHGKKATKFDGFMGITIICSLYTLAILSILFLKEVKHKLALKKSFVSPSDQIEEDFPRP YTEVNPHDLGLFDPDKVKDEDEDTGGDDNLIDNEQKDHEQNNNHSNHRSYQAGNSIGGGT GGFNDD >CAK91401 pep:novel supercontig:GCA_000165425.1:CT868663:13370:16684:-1 gene:GSPATT00024129001 transcript:CAK91401 MSDSIDQLENFHGPNLMMVSQRILLGDGDICQKSPDSNSFTVRDQSKVQDQSKDLMDVVP MDMHKSYKGLKRSEYQSAYAIDEELETGGKPQFLKLIISKSLQNNFINNLWNRSYLRKLH QLTPFQIQALDDLQFENEVYSEEDCTKKQINICEIIRKFFLQLEVFTPYSKLIFIWDLFQ IFTYVLIFFWLPYKISFQLENIGQLLNQKSQNQMIEIILLTILSFDVVVGLNLAFIYKGI IIKDRKRIIVNYFKQYAFVDLVSISTVTIQFFILNDSQQDRDIDNNLTLQIIFCSTFYVL RMTKINKILAQIQEFFNLNGSLNDLVGLLKLTMIILFIAHICACVWHGVAFYNDSYSWDS GNGSKYNTAIYWATMTMTTVGYGDITAKNDAELLINNLTMFIASIVFAYSVNSIGIFVSN MYKGTMEYSRSVTLINTFMSKNSIQFELQTRIRSYLEYIWQEEQNMNDDEVSQIICKLSS HLQDELQFQLRGNILRNCKVMVKIFSEKMIKCLLGQMEEQSFSPEERIITINQIDDSCLY IITKGEIELIFEGMNNLNERVKRNSLKYLSQGDFFGELSFFTGEPRKCTAISRGFTKVFK IKRENFLKILSSFPNDYEKFCEVKHQLKQGDFNSLQIQCYSCQSTSHLIDSCNYLHFCAD KEAILKKELYPYSQSRSGIIVRGAKPYRHKWSQLKYLVQRAKDFQNDFYQQPTDFENEEN EQPSQIANDNYTYEDESKVEIDVPSTLNQRSNSRTLSRVSQKPNQVGNEEDDESKLYTRK VVNPRGTVQTAGFGSASLRESKKFELTKDYEIEQPISEESSSEEKDQIIVPSVQKTSDRS QKQKITFIRKESSEEIPRQASLLSRTLTQNRLQQDILRRTATPDKLASEIEIQTNNNTNM KRQAGKKHSTTKTYTKQIQEPTEGNQNMTSAIDNQTYLPTIPQIFSQFDKMQMFTHFFPF SNYDIVIKRYARIQKFFGKKRQYPESSKYSFYFMTIKKGWKLKRLGEKLQGFKLIDTIKK PFGKTIQGTKIIKTKNIMQTIGNLGQATPQLEEGQERLDGLL >CAK91402 pep:novel supercontig:GCA_000165425.1:CT868663:16812:17468:-1 gene:GSPATT00024130001 transcript:CAK91402 MITSEDRISTILNVGLKEQLAKFKNQSFRKRQLFKEPELRRKSTDYWLASDKEKRLDLFM PTDEHEELNKKLLLRCNTKTLVVKRAKGLIRNEVKSKSFQSINNTVQNKIFYMKKSAQHL DKLADFETPSKKVNGFENMIKSKNYEIYIRGRTNCMIRNYINEIFNCDQKPDVNISKRIQ TLPNTQIKKYKHPLDRNEQILRTYNSKQNDIILKSLRF >CAK91403 pep:novel supercontig:GCA_000165425.1:CT868663:17670:18173:1 gene:GSPATT00024131001 transcript:CAK91403 MFGKNIYSDAIPNFPDIPEDYFDIKNEGTINELMDLYHSSKQLIPVNGSVSNQFREKQQG LLNLFDLLDNQLKSNSCKPDIYEPEQIDCTINTPYHNFDYYNLSNVEYDQSEAQKEADKF LNNKTNDLQGKFEQMQIETKHINKQQQNGKLTKKMELLSFQDEECND >CAK91404 pep:novel supercontig:GCA_000165425.1:CT868663:18226:20010:1 gene:GSPATT00024132001 transcript:CAK91404 MKSVIELLNKCNYSKRRIHDFGQIQDGILFAQLEDEFFKTDLKQHLWNLIITHLQRIRRN HNLYTKEFKEDIANSDCNEELAKFIKYFLETIFYVNKEEAIYYMKQCTVNQQEEIVQFLQ NDLLESNSLQDLGPCEFQTTNTIISLEENLYSKDNIIIQQTKQIEQLKYDYQNILDDMKT KIDMHEETIDKLTAQANFFLEKVICDDFEEACYKFQEFAKDQEKNKKQIEELNNLVQNQQ TEILNKRKKNQKLKSQLSSNQPTERASLDYETTDQKDSSETRTIELLKKEIQNQKDENLK LLSKQAEYEQQISKFRKKLYEQEQETAQYKKKMEKYKLELDDYLNSNQEKRTFLDNHRPQ GLLSSNTSQIIEIEQKFGVSPQLNGFDINQSLIRYEHPVSYINQSFKSPDENNVAKLQLQ IAEKNNKIANLEKQINVIQHGTHSRGGSLTKFTQMQQERHAEYSNLLENIGFYIQYTRIK DQEVKQIKEQQNENFQTICRQLIQQNRQIQKLNALIFEFQNQEQVKENSQTQNEDPQNLH QYYMQALNDKDKLLQLITTIFYEHVKI >CAK91405 pep:novel supercontig:GCA_000165425.1:CT868663:20271:20545:1 gene:GSPATT00024133001 transcript:CAK91405 MSSQTPQLHQLSNVFEIIARYSILCTEDNYIQKQNIAQLSKYEKPRQKRSQSLLDQVLEK KSELLSNFQKRKSFMQKKRNII >CAK91406 pep:novel supercontig:GCA_000165425.1:CT868663:21072:24003:-1 gene:GSPATT00024134001 transcript:CAK91406 MEIATCSKFYSPKGNFQKAFINLKRNNPKTFKTLIHDQIQKIDSQKIIQDYKEKSRQRQQ TTRFLRSRQQASQNCLQSIVIDSFEKKNFLSSPTSPASPRHNSVTGEKSMNFNTTYIQKR RQMQQIENLFTEQINNQNRNSLLEQTERFIADTLSQEFRELGSLFNKFMQAKNTELNEQQ IDLNINERKFEIEKQEEIHRIDRNFNSKKVQYEIQIYNLNQEVAQLQSKIKDIETNFIII VDKNNQLTKKLTLKTKETNLKHQQILKLQEDKNYLIRKIKILNARNKQTFGNSQREEGQD DLDSIKRSVDSLQNSQNLEKLMMIKSDSFSLDNYSDSIDMGEYRLDEVILNHDTIIEYRS KETQTTFELMSDLFSMSETQTHISLMDRRYDVINLDYIDNTLHYIDFFLSLNDEIHTDNP FKSSQEYNQNLYENQYNSMMISQQPASTFNILDKSSSSNRNDLISFMKYLHERQLEIDKI SAFQKQEITELNSQLIQMLKDKVQIREENQQLKNEIQELLKKLELLENQSNFQRPLEMLS DTEIQIDETMLKKNQSKQGRKIRKIRNLGSKISISYEFQRNQSRLLIEKVKNKNPQKFTN YMPIKLVLKFIYTIYQDKIYNQRENKLLRDQDMASYIYNYFLQQFGYTRITEQRFLILIL SIKKYLSIIRVNIFAKFLGLLEDKVNYTVEEQQKYLQAHEFIQNQHQLGVQVKENEYNLK FYVPYLRALAYVSSLQNWYFSGEEMNYLKQEIEQLKESDDKNKSGIIDFDQMMLRVLVVF RNNIEKTKLYVINAFNACDLDGNGFVDAHEWILLNKYIEPQKYDETKLIEIFEEAADMQL DEEKYLSFDRFSILCMESELFNDEQQNKFLKVRNNSEVEQKFFELRKGWLNEYVQTLNSI KKNQKLEDEEQKHWIQILNILNEKILLNPQQSKPLIISFKILQGEIYQKNIN >CAK91407 pep:novel supercontig:GCA_000165425.1:CT868663:24099:24769:1 gene:GSPATT00024135001 transcript:CAK91407 MLLSYKEFLKNAKIILGSQSKGRQGLLNMVDLFKFEVFPSTFEENLDKQLDPLTYVQKTC EGKVINLLETFKQNNQQWDICIFADTVCEINGKIIEKPNTKEDAYNFLKLFSNSLHLVHT SVYVVGKNDKNQIFQLNGVETTEVHFQYLPEQSIQIYVEDESNWKGRAGGYAIQALGNMF IKKINGDYSNVIGLPLPVLDKLMSEIIELLIKKD >CAK91408 pep:novel supercontig:GCA_000165425.1:CT868663:24982:26663:-1 gene:GSPATT00024136001 transcript:CAK91408 MNCQIHPFKQCSFYSKKLDRLQCPLCLLPSDLQQQVIDNTTKPLQNNSIIDIDLVESLAQ SLIQEEDMHQDLNQLEQKYLDIITEFKKNSIGFLEQLCQNIQSVLLQNLYKLRMKAEQHQ TEMEENQKLLQKIQEMRKKDDYDCIESITSLQSAIIKSDKINHQKIISLQTNTIQILEDF AKQFMKTLATIDVQQFENDIQLIFDPKSKPLNKQHEIKSVNQSMSSQLGNVSVEQIKKIT IQNQFQSQYIVNSILSSESQIIIGTKCGKLVLYECNSFDELFQLDAHKDSVKILAKGLNE KLFVSSAQDKVLKIWEKFYSETERAFQIALKFDLEQQVSQALCLTVCEGISVSKSKIINL LLVGSADNTVRVWNYDNGQYFFTYSGHTGDVYSVAFVKKINLIASGSQDKSIRLWDGINY KYSRPVFKFSGHTDSVTGILAISEENQILSCGLDKTFRQWDCDKKVQVRVFTIQFLPQRL IQFQSGIVVIQSSDTKVRIYDYRITTLLHEINNQRRNVATYCVDYDNKSILCVSENHINL FY >CAK91409 pep:novel supercontig:GCA_000165425.1:CT868663:26671:28198:1 gene:GSPATT00024137001 transcript:CAK91409 MNNLYVRISLICGALSTSYFVKQYFEETYFRRLRFIRKLARTYFKKDDGTEMFDDQIIGN VVARSDGMHLHFGNMKQYVAQKFSTPTAIIYVQKVEAIKKIVQKAAKYGFKVTTMDVDSI EDYKQQLQNNLNLSQKEIKRLTYCKHPFLIINLRKVQHKEYDSQTQIVKVGVGNKIQDVN QYLAQYGRRIPLAGQQRLFTVLSDNSTPLDSVEYDNLNQIVTGLVAISPMSHQLQTETPT ASSIINQLFIGQQHRFGIVYEVSLKTESLELPVKQEINLDKRYISKTNLYYLFDSLIKLS QQHRQKIQFLYDCYSNQYKIIQQGTQNLPDLKQTLDTVVDKYVTRQLWNHNTNKQIKNNS INHNLNEEMSLQLKYQLSSSQLLSCLLQLKELQLKQQQQQFQVQVDFKTGFLTVNIDQNL PSNEKLQMVKSFTHYVSNRKGKFIQCNDKLINRMLKLETYPMELGLNSMRLEHQFAELLD KNKVMFHEFLQHTELDEQIS >CAK91410 pep:novel supercontig:GCA_000165425.1:CT868663:28240:29103:-1 gene:GSPATT00024138001 transcript:CAK91410 MSANDNCQDGNTLDEQHKQQMMQLETMGMMCKYQMIFTKMDAALLKISSTLRQRQNNEKA YALFQIKDRSTNQKHSLMVNALSIAQRMKLKLNGLFYFCEKHAQENQFIAFHKIQIISKA RNQELKLKEENEKQKKEFLFKLNQKDEDIDRQRKKNEELEGILYQQKQRESDCTIKLQQK MKLIQRYESDLLELKRQPSSTKNSNQENRLKELENNNAILSLQIQQNSQSLINFVKEMNE LLDSHSFILNEKNISSFRAKYK >CAK91411 pep:novel supercontig:GCA_000165425.1:CT868663:29631:30833:1 gene:GSPATT00024139001 transcript:CAK91411 MERTIKEGNDYLQRMEQVDRARQRNQNGQKRQRELREVQEQKKQKETPKKIVQQKQVQVK REKQEKQQNKTKEDLQKQSQISISKSSRKIEKKTPIQMQKGQKNDLIKQKVQKQSQPEKR KSNNEMEVEKKNEKLVAKKQEMKVEKSTAKPQQQQQQQPKQGPRKTKSNISAASDVNQPS IGMVDCVFVVDTTGSMDVYLERTTDAVQMLVERIKQQSKNEQVSVRFGLVCYRDHPPQEL TYVTELHDLCSNREILKAIQKSDCSGGGDGAEAVLDGLNVAAQQISWRDSSKIPSLRYIF HICDQPPHGKEFGGYSELWDQTGCPCGLKPDQIIHRINMRQIHYRLIKADHTRLEKFADY FRGKVVNYDEVTLENGVADGMEIKISDMVIRELCPDILLD >CAK91412 pep:novel supercontig:GCA_000165425.1:CT868663:30867:32620:1 gene:GSPATT00024140001 transcript:CAK91412 MNEGIFNDFNEKEQVFEFTKGNQTFIRDMGTVTDYKVKNQGTTTKKECEDFEQQIDQNEL QQTKGKLYIMQFDQNKLAQFLKRVVPTVIKELDESLNLKKYHSYKPISDVQKKETELVYI LKNAQNKSVELEKKLEITDIQWNSNGLMIAASYGCLEHMGQCSHTSYINCWNIFKRDFKP DKPTTVIETNTCNMCMQFHPTKPNILAVGSFNGELYLYDVSTNSELAHSTIDEYYHRESI TAVLWLQDESIMTLGCEGKVLIWNPERGNGEFSLQNPLKGCYLVRKKESQTESVGGVCIS QSNEDPFSFIVGSEGGSVLRAQVTPINYTMTKQAYLDSRDKGLIWKEETILFMQNLNQKC LPEIRLHVESYCKERKINNIFIQQLVNSKPDIRKMYANPINYAYEAHYGTVYSINFSPFV KSAFITASMDGQIRLYLQNTARCLAVYEYIQTYILSAQFSPTRPCVFAACDSLGQILIFD LTQDTQQQVVTLKNDGQSASCIRFNQRQQDLLACSYQGCEVRIFQLNDELTQPKENELKE LQQLLS >CAK91413 pep:novel supercontig:GCA_000165425.1:CT868663:32669:35855:-1 gene:GSPATT00024141001 transcript:CAK91413 MSLTLKPELILCTDENIDDYKDPCMEASFELVQIQNEYHKEKEIETNRIKTQSDEHQTFI KTRKYRSSQELQIKPLFQKNEIQDHENSGKIQAKSIMVSYLVKRFLTKLSASRRHRLFFK HKHFQIVGDKASGEEQTLGEIKSIQRSKSKKGKSKNILQLLLSLILECPNFVSTVFKQLP IIYPQDIHKIIWDISFCFVLIYFFVMIPLELAFNKGLLYSQCIWLTVPLCLFLLIDCIMK MSTVYYENGQPIIDKNKIFKNYLKNGLISDGLAILVIIFNFFNYFYVKSYWISLLQLCFV TQFSYFTKITKNVEESINLDKTSTSILNLAKLLLMILYIVHLYSCLWFFIGDYGGQMNWS NWLDDRHLKNESSVSQYLESFYFSTVTMISVGYGDIVPQNELEKVLTILFMLTTCIQLSF TINTVAQIFSSINHATENTSEKIRIINKYMSKKNISFGLQYQIRQYIKIYWSQQMKEENE MEEVLINSLSENLKNKLIEEANSSILDKCEFIKNTFTNQTKSKLIKLLKTVFINPEQTIT SQLPQFIEPCLCFIESGELHLQNNSKIDNSELIKFHQGQYFGLNELLTGQLPTLQLQSES FVQLTVLLRSEFLDVLRENPIDYETFCAIKDQILMHDLDVQSKCISCNCSGHTISNCPVV HFVVDREKVIKSHQFYHSQKREQFMRKKRPRHMFHPILDQWFLSEMSNMFRNHGEWPIIQ FYSLSNKLLEQQPEKIGAESPIMRARDVTINNSCKSQSQLPNLHDILQISKIEMQQNPYP QNKTMRSNYKRASIRIKNIGIRLKFQKIVKKIIRLRHFSNGFQKKTDAQNQLSPQFVFNK SMYWVVTSYFQQPQLEEYLSKEDFLNLSFLNKRLNLTLNQDLDLNKQFDCAKEYLHYLNQ NNLTVVLDQLNERSFMKKKSSFQLQSQTTIILQQILNSQEKRKLYLQTYLIKYLSYPNEY FEKFHKTKKKYYNDEEQLGEKKRELIKRFSNKKSLRRSNNMTDFQRSIQQFHKLNRVLPT DFNIDTP >CAK91414 pep:novel supercontig:GCA_000165425.1:CT868663:36451:38204:-1 gene:GSPATT00024142001 transcript:CAK91414 MIAFIIFQLLYSAQNTKVSQPNITTYSEVIPSSNFSNKVRQTKQFGYTKQYFRIDCRYPG VFAVSLKEIFENNTEQSADLNGLEIEETQFGTFSGCYSTQRNIGINFNLQLQLEQLLTQP WMHFTDLATADNKFKAYIVRNDYSIFQIDISTALGEISLHPKNQSFNNLLIHNTSMVDQF YMPHPYLIDDEGSNKIYAITELGGVSFTTEGSIGDAKMESEEIQLRRHIYSVHKNSRAQI IIIACGSEGIDLYDIQNNGKIKHRAQLTPEQLGIEDGIIIDVDSNDDETKIYLLDSEFGL YIYDISDLNNITQVMSVLLPNTKTFDHYQNTFFLIAKTNTQLYYAVEIFVDFQTKSYYYN NLYIDEMIINNVNVFEHYAVLIGDDGHKIIYHSIYNKFINPAVKHQTYFQEENLLKIKEY DFSKFYNSSNRIMVGIAKHAFKYIEISFEDPKITCQSNEVVQKSYVVTLNSTQCENKTTH ADHSPYSLCQLTHSFVYQSEYNPGNKSVYASYTITIYALVVGIIWLVIVISYLYKKWAYK LEFLKWRKQKLIVTQPFNSELEMPNQNN >CAK91415 pep:novel supercontig:GCA_000165425.1:CT868663:38243:39118:1 gene:GSPATT00024143001 transcript:CAK91415 MLTTINLKINNQVLEFVLNKGDILWKKVEYFCIENNLQRHQDQIFNLIYNEFQKAEKEAK PQSLFKALTPSKQILQQQDRKDKIHKQQENRSISPSAKILTPKKTSHLKQQASQFVHFQK NQSGYQHLREDLQTEHAPNSIEYQKENCYLREIQQQQQDKVDNTLITNIAKFDNLIKAVY KQIENIQIDSTIQPICIQLYNRLLSTQEKRKSLKLNMINYNLLEDKEIYVLEQIVQKYSL SLAIEMSKTQFVILMIGLIVSGEDQKKKNGVKVRELINFNPSLTPHYQLYC >CAK91416 pep:novel supercontig:GCA_000165425.1:CT868663:39160:41021:-1 gene:GSPATT00024144001 transcript:CAK91416 MSTAQQFKDLGNQAFKENKFEEAAKFYSQAIELNPNDHILYSNRSGSYASLSKYQEALTD ADKCISINPNFAKGYQRKGLALHYLGEFEKAIEAYQQGLAKDPSNSLLQEGLKSAQTELQ GTQNNPFASALKNPNILKLLGILQKDPRTSAFASDPTFMQLIGLMISQPQMASQFMQTDP RISTALSVILENPEAQAIFFSEFAGKVKKPDGEKKDHPQQQSQHMEEEQPQPQQEQHHHT HTDQHHQAHTEQHHQTHTEQPQQKQHHQPPPKPQPQLEEWEVQKNLGNDEYKNKNFEKAL QYYNAALELNKEEALLYNNKAAVFIEQKLYDQALESIEEGLKVLEVHSSFQKKAKLLARK AKVLSLQNKVDEAIQIYEKSLVEDHVQSVKDELKKLQKMKQDLEAQNYINPQLGEEANTR GGDAFKAGKFPDAIQCYSDAIKRNPKEPKYYCNRATAYMKLMEFPNAVSDLEKCLSLDSK YVKAYVKKANCHFVMKEFHKAKTVYEKGLELEPNNVEMQQGLEKVRFSIMQGSGSEEEQQ QRAKRAMQDPEIQQILREPEVINLLNDMKDHPQDGLKAIKSNPSLAAKIEKLIEAGVLKT G >CAK91417 pep:novel supercontig:GCA_000165425.1:CT868663:41189:42654:1 gene:GSPATT00024145001 transcript:CAK91417 MKKEEAMHQSLRFTYFLIGTIAFSQGIMGLSDLAISYMQKDDYKQDPAQTQFFSTIISLP WIVKPFWGIMTDTVPLFGYRRKSYLIFFGVLGFFCWNLLADYGVENREFGLILLTVINIC VAFCNVIGEALLVEYSGHSDDDTHQNDKASQNVTFFFGMRSTGTLVTAYSSGKLLQLFDK RYIFKITSIFPLILAGIAVFVKEKKYSKDEPEKDKIKTVESLKLFSKFVSQPVIYRPILL IMVFMSSPSISTAMFYFYTNVLQFGPDFIGELRLSYSICSILSAYLFNRFLRHVKFTKVF GYSTFVYCLVSCQTTLLVTRKNVKLGIPDRLFCFGDGVLNQVIGELNTMPVLVLACKMCP KNIEGTMYALLMSAINFGGMVSQELGALLTYYAGVTDDNFDNLARLIVICSFCTMLPLPF LGIINEDQMAKAREKHQYESLPKEEDEINVEQEVEEITDTKCANLQNEQLLI >CAK91418 pep:novel supercontig:GCA_000165425.1:CT868663:42684:44900:-1 gene:GSPATT00024146001 transcript:CAK91418 MKKTLKYIRKCDHFGAQIKLNLHHKEAYKSILGGIITMIIAVAILMIFVRGTLSLIKHEN YTISSSKLLNIDPPMSKLSVNNFMLGFSIDLQLQFTKPIYKAQYTQQSQILNADGTRSKN ETNLLSLEKCSLDHFLNLDQTNSYNQQIKGQIQEYFCLPKNYTIFQQGTYKSNVFQYGKI ILVSCIDEPECASSEEVNALGLQDSVVKISTLILNTLVNLNQEESIQKYIYTDFYVETSL TKTTSTDIYLEKLNVKIDESPISVLNSVEQDELFSIQDTKLSQITSNVQSNNIISQFIIR LAQSEDIYKKQYYKFDELISYVGGITKFIVLVFGYFITKYNKTGLQIMLANDLYQFDIPQ TTKGEVTFSFQTLVTQILDNIKQIEDIASKFKIGAIKILTLGRFAKAISKTNGSQQLISA GSECLLTNQSVSKRNALLTDDAIIKTEQMETVKSSNAIQYVNSSNLDFYKNNFLEQIINI ILTGDKKLKYSIVIIIKYLTCWRFSNIGKHNKKILKQSKSMIQKDMDILVIIQKLQEMEK LKHLLLNKEQLKVFNCLPKPIVGSSRFTSSESIQGMTGQFDKQSLTLTFKKKKLFETRHQ YNTSKKLRKLYSAYESIKLSNNSKSDFEKLMNQKLIDVLEPPTLLHSFSSLAELQRLANL QTEKLAKMPRVQKKTSITMDESSSKHFQQIKKDINSYYFKPQNYQIRSKSDYIDEIVYE >CAK91419 pep:novel supercontig:GCA_000165425.1:CT868663:44953:48338:1 gene:GSPATT00024147001 transcript:CAK91419 MEDQKSILLQKLQSNTVNIRNLSIIAHVDHGKTTLTDQLISANNIISKRLAGNLRYMDSR EDEQLRGITMKSSSISIIYENHLINLIDSPGHVEFSSEVQAALRLTDGALVLVDVLEGFS SQTFNVLKQMFEEGIKGILVLNKVDRLILEKQMDPDQAFIHMSQIIEQVNAALSSFLNEQ IHQVEEQKEFSLDDDYITNLESNLYFCPTKNNVVFCSSIDAWAFTVGTFSAIFAKKLKCN QQALQKCLWGNYYFKNKKVTITPSKEGQSVLFVDFILKNIWNIYNNKDNIQKIQSIATQL QLNGQIANYKQLMTKWLPFDQCLFDRIIKELPNPIEAQRSRKDIICKRINRQITKNYDAR YDELYQSIQNCDPNGPLVVFVSKMVSIPPECIDEKQLNPKPQGILSYAFARVFSGTLHLN QPVYVIGPKSKIINNVNQVDQTDIQQFEIKKIYLMMAQYLEAIKRMPAGNLVAIGGLDDL IFKTSTISSVNYCPSFAPTYVKFKSIVRTMIMPSQQEDQPKVLQAIKKLYKCDPSLEVQA LDSGELVLGTCGEVHLQRCITDIEKIADCKVKISEPIIPFKETIIYKNMLEESNEKFQKK MAKVLVQGGQKQKKQQQQQFEQKSKDDDIDVEKQKQFKQKLQEAEETEIVKDTNILEQED IRYNQQLKEEVKFKYDQKQQVKKIDHKNKGKQATKNNLLVEVQTKSNLVEEFTANQKIAV KVRAIGLPFELATWIENNQKYMRKLLYENKGDPKQFLEEFDTIRKSLKIEKKLNELIENH LQCFGPKKFGPNLLINKMIKSEDSLIQKLRQQIQNPQPLVQQQQIIQQDFQQQAEEQNQM QMQQGEEKVESTKDSKSQLSDTTGSIAKGLELYLSQQDLSQDAMNNAINLGFDLALNAGP LCAEPIIGACFIIEQLKFSEEDQQQQQQDTYGPISGQLISAMKDACINSFLGAQPRLVES VYKCTLQTDFTNYGKSIDVLNQRRGNVVNEVLNSCTSLFTVQARLPLSSSFDFYCQVQSA TSGHVSAQLDFDGWSILQEDPFYQPFTDDDIAENGMQKVERNTARDLIMATRKRKGMNFE EKIVVAADKQRNLSKKK >CAK91420 pep:novel supercontig:GCA_000165425.1:CT868663:48658:50145:1 gene:GSPATT00024148001 transcript:CAK91420 MWNIILFATIAIVNGYLSFKEGPYDYTNVFPSFGELPSGTKCTKVDAKKLNTLFDQNQII FSGYLSVIENSKSSLGFIFYGSEKATQLSDLSKYPTLIWLNGGPGSSSQLGNFMELGPLI MQEDGTFTKNNYAWSKEYNVIFVDQPIGAGLAYPEKQSDVPTNQPQIGQQFLYALLQFLY NAEGCVKKNGILGLQKSPWFIFGESYAGKYVPTIAKAILDYNAKTQEQIPLKGIGIGDPF TDPYAVIAEYASYSFNLGLIDVQERAEIDSVLVYGLNELNKGNSLNARQAFEKSLDLIGQ YDGGMNVYNVLQYGSYNNAKTKIQEYLRDPFILNQLGLSADWVYKISNGADGPVQKALAY DFMLRDVVKTVEEILPKIPLFVFSGQNDLICSTPGTLRWLYDLKYSKIDEYRGKDLEVVK LLDTEKIVGYYKQAGNLELQLVNNAGHMIPTDQPQAALEMIVKFVNKHKN >CAK91421 pep:novel supercontig:GCA_000165425.1:CT868663:50259:53703:1 gene:GSPATT00024149001 transcript:CAK91421 MSDDEEKEFKHKQRQTKQQLYQFIQRDEFNLIIDCINMSISFILIFVYIYSTYEPEPFQN ATWAVFNTILHLLLLSEWIFKLYATKNFQSFIMSSESIIAILSLLPYFIIKISTLKLFIE DDDKVNIFANLVCLFRGLEFDRMKKYLDSEVNKQLTSIMITTATLVLCAAGALHFNSLFL ETVLHFKFHYFIYFVMTTISTMGYENKFSSAISRVLIIILVLLALTFVPYQTGQLIRHLS SKSYYARLNYKSSQAVPHIVILGTISLNAAENFFKELFHEDHGLAQKHAIILCPQRPDVN LESLIQQPEYSNVIYIQGDPHLDKDLKRCQIEKAKAIIIMCNKQSSDPTAEDSKTILLAI VIKSYLKQHNTTGVKIRFCMQILRQEGKTHYFLSLNKQTKFDQVICIEELKMSLLAKSCL CPGLIAFISNLITSSGNPPNLPQKWLNEYWIGQGFEIYKTLLPNFFRGKSFTQTVLILYK QFQVILFGIEISSPNDYEKNLLNPGDIILPSNPNYRVMGYIICKDKETADEISIYKQSHQ DKMNQIKSDGTTRRLLESEETVNIRPRRPTIFQNVKTLFGFSQAEPDQKKGNGAKNENSK NVVLHLKNQRGWVKTDMFDPSQDTLIHPKENEKFDQLEEIDENSDDQQKDIAEMQIKEKF QKDTARNWLQLDFNNNKIEKTSHLTNEKVGLKDVTFKTLQDSILAQNHVILCGLVANLIN FILPLRSKYLITYPPIVILNDQEPSEKQWAQICYFPEIYFVKGTAMSQRDLIRANIEQAI RVVILSPKEISTAKFEDDSQDNDQIIQQQQLTKDQEDLLDAKTIFKYRNIIRLKPHIQIV TEFVSPSNIQFLLFDKDYDLMKQYGYNHTPLFASGQIYFSSVMDGLLCQSFYNPALVQVL YQLLVGNIKENEKTGAMLQYQSKGLNQSKDFISEDKDISSNLFQIPVPKAFQNKPFQKLF YHLVKMKQIVPLGLYRLAGATDNKVPYICTNPEPNTILTNKDIVLVLAKEMPQSDSINWG GPLEEMVQQQKQAHNIYKKKESTSSSEDNDLIQINDNNDKEVQRAKQYNNETEQVMKKIQ NVIEDVQKLEKESEDFQKKMIDRQNRILARLRDVFKEELLQFQQKRAEVSQNFTYKSSDD >CAK91422 pep:novel supercontig:GCA_000165425.1:CT868663:54452:57151:1 gene:GSPATT00024150001 transcript:CAK91422 MEYQQTALSVDRKQNIPIEIWNQFLCKFPLCSEQYKLPADAFKEKSKFLSALMIANIFDI IISWQQLTKLDHIQKQFQQIVDDQTQSQLIKNQFQHYISHFKVLLDELKPFDFIEIYGNQ LSIEKIDIVQEYLKNKRKKIYDFLQDKDKINILFQLSIGIVLSFYLKSESQVTKSQIDKL SRQQIVNRLLQLRTDAAVENSPQNDFILEDYVVIAISDSLNYPIHVFINDFDTKNNGLIQ RKIHQEQNQDKAVAFLLDNVENNIYVRILASNQDILYAQNMINKKQQQQESIVNSLSQIL GNILNQVMEDFCNFNKLWILKILQIINHGETQTLIADQQPININQQFIKYSVELFQLLKF DLTAQQEFVKNFEENLKKKEQVVENIIISQITMIREQMQVIINDYKQLQSQVLQQSAIQT QQKSKQNLTVWIKPKDIDNDVPQNDDFDQFLQTDNQQQFTQQQVNNQNQPINNEVNNQYQ PINNEVGGKHYPKKQNYCKSEIINKKLTTTTESQKNREGGIVNLEEMLSFQKASLIYNQS ATSRKVQSEIYKSSRIQKDSLKFTPLIKQNSQIRKQGDNNIIPEIFDQSKISEIQSEKHS VVSVEKTFKCTSCYEYKQPDEIRTLHDDHKLCNFCLESWIKVKFNSIQWNYKYFQCPIQS SDGQTHQPCDYIIDHQQIKDALTAEEFDMLIVNTIKHGIVDIICPKQDCKANIKGIPPED KNELLCPNCSTKICCVCKQIDHGDSQCPQRLDEIKLALQDERISCCPGCLEIYMKNEGCE HVACAKCLTEFCFGCSAYRKPILGHGAHFHREGCLSRIPWYKDKDKKIENLDEEYLPDEC EYCKQHQKACPRPISLSEFKSLAHLNFENSQM >CAK91423 pep:novel supercontig:GCA_000165425.1:CT868663:57825:58588:-1 gene:GSPATT00024151001 transcript:CAK91423 MLPLFKVFSLIVRVFARPVIARTKAAHLKKAQSGHTNWVKKFYVRLGNFQHKWDQKIDSK FMGIDKKSSDFFFKPLNDEVALEKGVEFFYEILIYALLITLPTYEMYTAQQDSKKKSDQN NEKLNNLMKQIDENKQHSQANIQKLEEQDQIRQELMKQLNVISIQSFAQLDDLSKNWNLK THQLIEENQRLKQELEQLKLKYSKDEDQ >CAK91424 pep:novel supercontig:GCA_000165425.1:CT868663:58629:59252:-1 gene:GSPATT00024152001 transcript:CAK91424 MYQQRFAASNKMQQKSLQEYDIKPVKNPTNQGRQNIQQVQQIYNLQQRNTSGMQNEDGQK GFQIKVFQNSTKSNLDSRSSSQQSKQDLSLQKRLFSGLELDQSFSKINSTTFQEQQASPR NQSDIIQIKLLKPLDQKFLNFIREYGYIMGDLPHDNQSSFTVSTMERSRLLSNERQIVYS TSPPPSKTFRTKLFNHVN >CAK91425 pep:novel supercontig:GCA_000165425.1:CT868663:59437:61106:1 gene:GSPATT00024153001 transcript:CAK91425 MIRKILKFGFSTTTLNQEPKHRFSLFKIIAGAAIGVYGFDQGRKYREYLSNIFLTKEQLN DLALKNMIKSKDSQLIAHPINSVNNIKNKEKVVVLGGGIIGISQALKLLRMGYNVTVIEQ AHTVASECSAFNGNVFNPMYFLPLVTRDNLIYMIKNIFEKPELTTVRFNMNGFLEDNFIQ WGINSLLLSMTDNAQIENSRKQLRIGSLTLQDIEKLKPTGLLKGHLIAKGQLGFFASDQK VNAYKDRLELLGIPHIKVESFEQIEQFTKTDLKTEPNLKLFNRFKKALILTTESNLETRD LTQSMLKYCQENFPNQFAIAFQTSAQNFVLDPDKNVRGIQTNKGIVLGDRFVICLAHKSK QLAQKLRLNLPIVPAKGWAMGRTAPENFNQTLVKEFTLNTPNYFATNLNGHLRLAGCAEV CNDTPSSDASSEWGAIQILNRFNEQNGFDFKMNDFTVRSCFRPLTPDDVAIISEVPGFKN VFINAGHGSRGMSYCFGAADIMSQVMEGSKDFEDYSVKRYYFI >CAK91426 pep:novel supercontig:GCA_000165425.1:CT868663:61132:61621:1 gene:GSPATT00024154001 transcript:CAK91426 MQKKKGHSKNLSKQAIDLINYYNNLRTSITNEFAMMNLNKKMSLYIADQPIAEEDVEDSP IKLQRNCSKTEHININIYAQTFDLDEELQQDVKQLKQTLNQRITQLKSIIHQSEQMKSMK NQNNKENQCQNNQNYAINKMAIKKRV >CAK91427 pep:novel supercontig:GCA_000165425.1:CT868663:61829:62925:-1 gene:GSPATT00024155001 transcript:CAK91427 MDSIETLIQYLKKQIQEVRKNFDNIIDIIQDASKKISEFKIQFKPTEKINEFWNNLAKID EWIKQGNEVTKQMNDYNILKEWQQQIQKSNFSDGNDKQMKEFIKNLEFLSGGQILEINYV NPPESNAAFKKEYGTQKIDLENKFRNIIGYQRVRGDGNCFYTSFLYQYLNLLLSNSKNSK IEINKFINKVDELELTLFFNDQSLIKIQIENEIKKYFKYILKQLVQNPSDLLEYFSKNNK QFYVCSIIIFRNIVRQIYNQKKGYIENFLYTDIEEEIITWQKECNSNQAVIQLLSQELDL QVNLYFFRRDGVELEIYNQNQNLSQINLLFRPGHYQIALCQNSQLERNQKQFEKQY >CAK91428 pep:novel supercontig:GCA_000165425.1:CT868663:63192:64661:1 gene:GSPATT00024156001 transcript:CAK91428 MKFFNDLKLKFDEKELEEKYQIDKANSIKKPVFLFILFLSFCSNITVLGLHIFVRPSETW YINAILIGLTIIQVIIVLILKQLQFIQIGLTLSSITIGFLQLNVDPQNTTQTEFYVYGCL FMQFQAVLFMISNFNHAFFQVILSLAIRTSITSIYSKRPDYLALFVGAFGCILILITIYV NDKNARRYFIQNLKENNLRQLGKFLLSKPYLKIQFYEDQQIFQFISQNQIKQFPGYTDEI CHGCNIRNMLRNYKSDQGSLEEVLLNKPDLIRLGCILIVKCEKIRFIIKVCAIDPQHRQY LIIFQEFQQQIVNFINQEKEKINLKEFLKSKQIYYHQKIFNLGAFSVLYLNKLIIKKIEF NKLVNKIVRIYQSRFFPNIVIEIQAKEDQMYISQYLHQLRIFLIQIFEIISEITLNDREK VLIYIDRNLNNIEMKIGGLNQTIFSQQYNENFFISRMQGLILDDCQFDENEATLLFINYP LGSYYQKNV >CAK91429 pep:novel supercontig:GCA_000165425.1:CT868663:64927:65894:1 gene:GSPATT00024157001 transcript:CAK91429 MSSVSQSEGETEVIDQPTYIYRKLKMDEDFPNWVKEMFQRHGAYNSPEKLPQNFDLEEIE DKSQDYFFLGFNLNEQKHGYGVLMQDNKFYEGGFESDNKKGWGRQLEPNLLMEGKWENNQ IVSDKIIIKGNFKFFGQFSGNTLHGRGTMIDLEKEEQYEGNFELGIMNGKGTIKNLKYDA VYNGDIRNNQMEGKGIFRFTDGMEYEGEFLQNQLNGKGYLVYPNGMYYKGDFFQNKMHGM GILKEVNQIYEGEFRNGLKHGYGKMSVNNIEIVGIWDEDKLSEEFE >CAK91430 pep:novel supercontig:GCA_000165425.1:CT868663:65923:67300:1 gene:GSPATT00024158001 transcript:CAK91430 MKQQQQQLKGIPNIRSQYYVAAQALNSQLSSKISKTKSSYIDDSNKIKDYSYFQLSTQKT QPKCTVKRVNVSLDQPKQDDPTVLPPLRKEILRSRQSQPTVAKSKQQQRNNSPLLPLESL PAMEPSKISQKNIGIVASYAANTHQGLVRQYNEDRVSIILNLMRPNSNTNQGYWPQSSFF AVYDGHGGPQCADFMRDNLHQYIIKEDCFPNNPRLAIERGVSKAEKTYLEMADQKVLDKS GCCAVFALFVDNNCYVANIGDSRAVISQGGKGKSITVDHKPSTHEEQQRISKFGGQIYQT QLQQLNGEIQLGPHRVLPGRLAVSRTFGDAEAKLTKYGGIPNVISAEPDIFQLQITDQDF LILACDGIYDKMSSEEVIQCAWNVQTTNIHIFGGKAVEAIMRLSLQRKTFDNITAVFIGF PQLERKLKNKSQFQQ >CAK91431 pep:novel supercontig:GCA_000165425.1:CT868663:67310:69232:-1 gene:GSPATT00024159001 transcript:CAK91431 MNIENQLREDYIHDFHTFLRKNEMATKQKVKATLIPSPWQPNHPFRLVWDIISMLFIVIQ MMIIPLILSFEIVDERTSLFMEIMDDFFLADILIQFNCAIYIDGKLILKRSSIICNYLKF WFWLDLISSLPYDYFVEGGNVQVIRMLRFFKFLKVIKMIKAFKLKLLIRRLETFLGNDFS SFMEFIKLTFIIVVLAHWSACIFNLTNQDDYDYLTSFYFTITTMITVGYGDVHPQTAEEQ IYAIFAMILASGVFGYAANSMISIFQYQDPQLSELIMKQQIINKYTKAHGCASHLRLKIQ NYLEWVVENDYEVRSSLIICDLSEELRNQVITQMNLRFFKTLPVTMTRAIKLNEYILPPE TKIDDQHHIYYIIQGKVAVMANNIHLGYVEQCFGIVNFFSNIERTAELVTTETTNLVKLS RQQFLQQLNYEQFQKFHMIKQRLENNDYLDLDIKCFGCKRKGHVIKLRRPKTKFLRTRNQ KQRTIYNQFLIEYYQMMQARKIKSQKIVFQKEEIQQPMNQNVDIDQIKNYIHFDPEWNIN EVLQEYRLRTIYRYCQVLIKKNLKFLIRQSHQKCNNVKRFRLKTEIKPKYIDKYKDEKMQ HKIMD >CAK91432 pep:novel supercontig:GCA_000165425.1:CT868663:69264:70642:-1 gene:GSPATT00024160001 transcript:CAK91432 MFLYLLLPSLCLAQRFLQADETCYGVILDCGSSSTKTTIYSWPCRTGKVYPLTDIYQESQ QLKTSPGISTKYPDEISTYLAPIFDFINSKVPVAQKQYTPIFMGATAGMRLLDINKQNTL IDEIRKQLSKSGYLFVTDSWARVISGQDEATYLWLGVEYLLGKTDGSLITIDLGGASTQI AFKVDYMQYESDIVTLTLPDEDINLYAISYLGYGNDQARDAVLAKSISGTQVISPCYHQG YTGTWTYQKVQYSLSGSGSVEQCQALIQSFINSQCRTVGDELCGINSIDQPSLPTSYSIY AVSGVATIANFLQLSTFKLPQLLSQAQAFCQMSWSQVQANSTYSANQYVGTNFFLSLYVH QLLSVGYNIPDTMQICRINFRDINAPLAINSQTPTWAMAAVQYQLAQIDCDLDSSVCQGS ILHLIAFFCLMVFY >CAK91433 pep:novel supercontig:GCA_000165425.1:CT868663:71160:71752:1 gene:GSPATT00024161001 transcript:CAK91433 MYQFRSSIYYEPSDSGSVKSVQVGNAKQDQVRYLTDQLQAVIMFNEKLEKEINSLKQQNQ QLKQTVADKDKQIQEFQDSQSKTISKLHVLLSENKKLQEIVISTNKNLKNSTVKAQQLQQ ENNVLKQTMQQQEEYHVEEMKKRANELEEHYQLMQNIVSDLTQQVSQLCEEKQRLQNELE EKNKLQQK >CAK91434 pep:novel supercontig:GCA_000165425.1:CT868663:72061:73539:-1 gene:GSPATT00024162001 transcript:CAK91434 MKVQSQTDYDTRPTYVPQKKKEFQYHTKQPEINVLQNYQSQSNKIESIPGHIRSKSYEHD CFTKAQNTFGINIDQSQLQPLKTKRQHSISQKENDPGFLSPKFRFESCDTVVTRKSQNFI NDLQECVDLSKVTELSMQSQMSPCKTYSELYQKIEAKDSLIDELQKQLTNTLKNMTQQID QLRNEKNKVIQQQIRQIEIYEQQLVESRQEIQQKNKELLLFKKNQKDIKKVLDFRQEKCI INSTPSNLQSKKECMCSQLERENKALLAKVDQFRQQLHDLKQNLEFNNLSINNQISVIEK CDLTQFEKTFKQLADELNLTIESKNINSSFSLLNQEVIEGVKTLKQQFKENEKFIRCLKD LVIQCAPQDYFCQSDPSLKEVWKFIKQILQSYLEHKKQAQLNEVRFSQLQKDIVFTLCKY FRCTKGELNHKGACLIVDQEVYQRIIDKIKRILNLTNLSNIRELDRKLDYYLQ >CAK91435 pep:novel supercontig:GCA_000165425.1:CT868663:73570:74211:-1 gene:GSPATT00024163001 transcript:CAK91435 MAAVQVYSDAIRKTLEATLCLRAFPSEIIEKQSKPEIELNGYSSKTRQLVLSPIYLCRSE KEKCVIEPSINSTRISFSIKALDEVDRLIGEKFAKYLAVRADYFEILRRKPIPGYDISFL ILDSHLEKYNVQGIINFIIDYVENIDKDLSDIKLNINTQARITAACFVGGLANQ >CAK91436 pep:novel supercontig:GCA_000165425.1:CT868663:74408:75822:1 gene:GSPATT00024164001 transcript:CAK91436 MGQSKIGPSHPDRSFSSNKFQYDRQFTFHEPDNYELLNKALIFSNENLMIHECLYINGHF LSNCKFTVNSFYNLKEIKNLLQKENKILTFQHEFERIRQDFRFKSNIITQNTTIQEVNIT ELYKQANSFQHQNIPMMDSFGTNNSINQITNRGRQNQRQKKANESSSEDFTDLDDKSKSP SPINNKSQDNIRKNNVILKKNFPSDTDSGQEQKKMNKEFSRIIKDKKTQALNEDVVSNPN YQFDNDQEEQELVFQVNSDLMNLKSRIEQQISPSYKNIPKSEIFTNNYQKLIEKQNNQQQ QKQSYQSRGMEYQGQEKQQLQQDFAPQTKQIKYQQQSIILQQDKQQIQSNFDFQEQKERL KPDQLKNVTIKVNQNMQSPKNSLAYKPIVQKEFQVHLDSSNASSVYKPPWDVNQVKKQFP NESNSSACFDDIYLQKRKQQQQQQQQFTPNIKIDVKQFQQKRN >CAK91437 pep:novel supercontig:GCA_000165425.1:CT868663:76584:76882:1 gene:GSPATT00024165001 transcript:CAK91437 MGICHSSKKIQSAMNTNPISQYSSNPSILQKITNQKQSNYNPHVNLMVPSEPQNYDPKNP HRQFNVLINGVRFEIINSIESCIISNDGIEE >CAK91438 pep:novel supercontig:GCA_000165425.1:CT868663:78155:78461:1 gene:GSPATT00024166001 transcript:CAK91438 MGVCVSKQKKNKSCNYATSSQSKVQDFMITQDKQTILLYRSTKFQAQLQPKEYDYTNSHR QYNVILNGAVFAIVNSIERSFNDEPINNDN >CAK91439 pep:novel supercontig:GCA_000165425.1:CT868663:79335:80409:1 gene:GSPATT00024167001 transcript:CAK91439 MLDEISSSKSCWEQDLGPENQSHFLEKYFYDLITDNCDQILTNTLYRTLIEQKSDKKTLE TILTRYIQEQIDLQQRQKIHSKYFFAKSVVSLKKLIQQFRQLLVPEENINNTSSTLSQSI LKINKSGFFQNSEFIELYENEYFKEIGELNKGELIYIDVKFRITNSQLKEELYKIRSHIL KQLLYQTSTDENFFQTFNDYYQLKTITVLLFVNGDINFDYEKYFDLKELLVGQKKFLIKL KVCYISANRLSSNFCISNNITSQTITQQNMAFYELKRYGQVKVIINKTICELRKMKQKAN YSIFKDYKFYILAIGSVAAIGFLWKGFSNQENQAKKLLRQQQ >CAK91440 pep:novel supercontig:GCA_000165425.1:CT868663:80457:81244:1 gene:GSPATT00024168001 transcript:CAK91440 MNQPNHVISENLVISQPLYDNDLAAQSIYDKYLKDCSLMPIRLIEESKETQERPFAGTRQ SSQQQQNQRNEQIIQEISSQEREQRNRNQQQIQQANYQQDQQYQNNQMRHPQQNVYYHQR QFNQFQNYHYDQHQYHQHRRNQQNYRMEQEYYGHQMRRQEQGRRRQQQQPKYGLDQNEIA ALTGLHVGMMKTRDVVFAFQTLRRMKRSDFCPVYIGCVDSWLASKGSCPLCKKYVRSLVQ QFS >CAK91441 pep:novel supercontig:GCA_000165425.1:CT868663:81333:82076:-1 gene:GSPATT00024169001 transcript:CAK91441 MLTLHHKIASQPDLKVEYPHQPNPQILRSLKPAQFLNNLEQLKETESQFFAPLKAQEIYQ QGAQTDRIQEVTIRFPKIQQNSSQLINKPLTLMPESKHNQLKVQLQNIFSQQNSLGDSHI ILPGLHKQRKESHMFIKMIEDQLSFPNQETQSQRIIQNRFTRRGKNQTQSQTNLETPFLH DSENSQQDDLNTQRKVEFQKRVKVINLQNGRIATEVIKEEDEIPPPVKVKRKFVSQKTKF FPENQNK >CAK91442 pep:novel supercontig:GCA_000165425.1:CT868663:82888:83185:1 gene:GSPATT00024170001 transcript:CAK91442 MDQKPERVFEIKKWNAVALWSWDIKVDNCAICKNHIMEKCIECDAQEGQGECIVAWGTCN HAYHFHCIERWLKNRQTCPLDNRNWEYQKYG >CAK91443 pep:novel supercontig:GCA_000165425.1:CT868663:83213:85351:1 gene:GSPATT00024171001 transcript:CAK91443 MDSNCYQQIEIFISGRQLKDLDYFSKSDPFVIVYIKTNNQWIKIGNTETIQNNLNPNFKK TFQLDYVFETVQPIRFEVRDDDGSNSELIGQVETTIGTLFGARNQTSILELGQRGGKLII RCDKIQEGNEFMIMKWSGIKLMNTDGWFDKSDPFLRFYRQREDSTYIQTYESEVVMDNLN PLWKTFEIQGVKLAISNDKKIKIECWDWEKSGKHQFIGELLTTIPELQQTREYQLTNPKH KNPGKLRLEQFSTYIRPSFQDYIRGGLQLNLMTAIDFTGSNGAPHQPGSLHFRSPNGLNQ YQLAINAVGEILLAYDYDKMVPCFGFGANLNYPTMRSTQVSHCFPLSGDPNQLNAFELQG IANLYNYALANVTFSGPTYFGPIISEALRQIYAQQQTEQNIYTILLILTDGIIHDMEQTK QLIVNSARLPLSIIIIGVGNENFSMMEELDGDQGLFSGNRRAERDLVQFVPFRNFGGNQV NLARHVLAEIPEQIVKYHQLIGKKPNAPLIVDINQLGMTMANQQMRQQESQQQYVPPNIQ PQIQQPNIQPNMQPPFQPPPYQQQMQSQIPGYSSQFQQQNQPYPPPTQQGYPYQQPINQS YQQGRASEQINPSNFMQQQYGQPNLNNRQSCNLQQAPYQQQPQGQMQNSQIPNHQYPPIS QSQAYGQIKNPME >CAK91444 pep:novel supercontig:GCA_000165425.1:CT868663:85855:87424:1 gene:GSPATT00024172001 transcript:CAK91444 MEQQAAATQEKTQNQTQASSAQQPQAQAQKPKTVLSEIKLQILLLEKAVSSKDIKAIQKV SIFVKKFRNTVKSHHLAKLYTAFFPNVAAQQGNDFDANFNEDLGLSTQVVSKLSKIIEVN VFIQCLYLIWLFQQKQVEAYEQLNVIGKQLLQQVQQNNKRYLDTLLGVIYEYLSKSHEKL GKLDQIRDVLFEGYRNACQNRDENGQAILINLILRNFIHYNQYEQSYNFLKKTEFPEHAF GNQQARFLYYTGLIHAIRGEYQEAYKNLTQASHKAPDNTAFGFKVQAIKVIALVELLLGN VPNRDTFTSPEYQQALYPYYRIVSTVIKGNLGEFQQEVARSENILRRDKLFNLIQRLPQI VIKAGLRRINLSYSRISLNDIHEKLNLPRQCNAEQVVAKAIRDGTLAAVIDHENQIVITK ETNDLYGTKAPQEAYGERINNCLGLYNQAVKALQYQNPEYDYGEKQADDELTTDELLSLA ELDF >CAK91445 pep:novel supercontig:GCA_000165425.1:CT868663:87444:88929:-1 gene:GSPATT00024173001 transcript:CAK91445 MNKYTLRFKSQKIEDEYQQSRVHTISIPVFKFGSACLFIIATLKLILVAQENNIGVMPVL IVCQIFSILSFIFLVFKMHKINIQLIFLAYLLIAYELIIKTDTNAQALSLMQSNFTICGV IIILISEFRESVLIIVTTFFFRIIYVMMQETNKSYVIYSTTIILMCFMCYFSYKFNILFR ARFLLSQYDYMWESVFPKIVDNPYLIFTFNEDKLEFVLKSQSKIPFECNNTQQLKEFLRD WHLNQDSLENTLYQLYQNEDISEFHSKKIEIVKDKKAKQYIHYSMMKSLSSTFIIKFDDS VIKVEDCKILLQKTFKKQEHLRMKLIKSIYKNLHVSLNLRQLNNLWSIRNSCMKQIMNSK ILKQQLKIESFDIKNFLYLNDYFAYKFNKLTFYNPQNEDIMTIAIQLKRLLFEILEATFQ QGQVYVEVWERTTIIQYEGKEIMCQKDPVLKLVWSTLVERVEMQNNIWVLQLCREPCVNF TNKQTSK >CAK91446 pep:novel supercontig:GCA_000165425.1:CT868663:89489:90310:-1 gene:GSPATT00024174001 transcript:CAK91446 MNPLNYNDDDKPKFPQFIPNQNIENIGSRTQRSHTLTNNSTQDGLIQYQNLFPNKAKQAQ INFFKRAHLQKGSNNEQNQEKNVPKYQNINIISNTTQQNGNNVKKQTNFSQTIFPLPPPQ YQSNNSITSQAILPKIKILEIQQQQQFKKSHTLEVCSQCFQPKNADHQCNDEYGLINCPY CHEPIVRNFLDEHLVDCIPYIEYQFQNLDKKEECSICMEELGKDKKSLKCSHSFHGNCID GWNKKSPDCPVCRKPI >CAK91447 pep:novel supercontig:GCA_000165425.1:CT868663:90376:91833:1 gene:GSPATT00024175001 transcript:CAK91447 MQTQKCKLQYVNPQIQNIQCRMIMCKANSVVFQQGEVGKNLYHVLCGQLTCLFKEEDCTP SKQKFMRLQSNDIFVQQVLQLVPNITILSKQYFQSNQNIPFAQIYPGDTFGEAKGKRSYT VIAEKDSIMIELQFDEKYWRSFETRHSFLEKLNVASDLQLLSVCLKEKQFKYGDYIFKQE DQRKWIYMIISGEVNFINNNTNIYNLGALQIFGFEEFLRDSLRKYTVKCISAQFCCYVVD SLNYFNIMQLEKLAKARNLMIQQILRQKKQVSITNRQTQMPQVSKGSVSQQQQLNNSEEK NSFYNLYWSKDLSELPRWNLEQLTRDAGASPGQGIIKSKQYKTPILDQSQDGSLLNSRRN SIKPCSVFDVNPVQNGKLIRSYIKRMRAEKYSEYHACLKGNWDLFNVSKVSQQYSAPKKQ QTSVPNTQSYSKRQQALKSNLTQMNSIYVEDFSNSPVKIISDQQKLKNDKQSKEMSTMTI HPFKI >CAK91448 pep:novel supercontig:GCA_000165425.1:CT868663:91974:92726:1 gene:GSPATT00024176001 transcript:CAK91448 MKLHSETLFQYFQIDHSDLASRIKSIKCQLLTTGIWGQSKHGKNVDLNKLIQGICSFDSS LSFIYKLTKCIYNNSLNQETNIAILRDCLQLPYQLINTTYDPFVQTLPKEDEFVDAERFQ QVESDINDILDLQEQDLTKINERLGEEDQPKELGNINEKMKQNYINKMKKVNQMIDQLKE KKQVLEQIQQQNEVNDDPRYTLVFPTEYINVLQDYNQLQRNVKQSEAIPDLKQVKEQTKN LIDQEFYFIQ >CAK91449 pep:novel supercontig:GCA_000165425.1:CT868663:92798:93896:-1 gene:GSPATT00024177001 transcript:CAK91449 MYDISNIFKRCIEEKITILTGRSSRADRSQLNSSMMMSRVKTKDNHFQFNESNSLNKYDV ELSYQTELEYNPKFLIKNKEKKEVMSDCSDCYELPKISLLDQQPIKKYSMCNSQHDSSGM TTRKNTYNKYRSFSNRLKAMKVGYLSLDPDSPYTQEIFKQLSNSSIYQEITKPQLVKYLT KSLGCQASIQRLINLMQLPYSITQAVYRSFLLQIREMKIIQLVFCCYDLMSKTYLNTRDL FELFKSGGAAQKDADIIFKYLRFQAIRNPFELSPKISTKKKGKQKPQKQVVITMMKEKAR RLSELYARKSNQDKNVVTETTFLEIYQNTIPEFFKCLVYVLANYNCD >CAK91450 pep:novel supercontig:GCA_000165425.1:CT868663:93925:94988:1 gene:GSPATT00024178001 transcript:CAK91450 MDRKVRKRASSVNSTNPAMKLLEKRRLMFEVHEAYENQLFQFKQQEEDFKLKENEIKQKD EEIQTELLKFCKELQVNETKKKKAISLYQSERTEKDKKIKEIAKLQHDLSQDQQIFENVE RKAERLKKYVEYLNSIIKAYPDKYSDLDSITDRYKRLVISHQILKQEHEKMETDCEKMKF TFTQYEKEKNHEVLQLNNDIKEYQKLIEEKMIERNSLLTEKEEEENRITEENLNLGRIFM AIDNINQRCLDGYQKIKQDYEDQNKEKDKAMKQVREEKKPIKQPQDEENFDVKCQQASIK LKQIVQNLNDFKKIIEGCKNEIKNKQK >CAK91451 pep:novel supercontig:GCA_000165425.1:CT868663:95048:95859:1 gene:GSPATT00024179001 transcript:CAK91451 MFKFRNLYSLSVSSQKKTKRWYMEHINDFYVKESKRLQLRSRAAFKLMQINEKYAIYKPN QYVLDLGAAPGSWSQVVSEKGKVMAIDLLEVSPIQNVKFIQGDIMDKQIMNQIRQHKFDV VLSDMAPNVSGEHEADHQGITALNQTALLLSTFTLKTNGNLVMKTFVGSEEKLNYDFFRL FFKEFYREKPLSSKQESSELFYVGKGFSSDLIREILENTEKLYKYPEQIQNRLKNLVIDY KMFE >CAK91452 pep:novel supercontig:GCA_000165425.1:CT868663:95869:100487:-1 gene:GSPATT00024180001 transcript:CAK91452 MAKKSQPQVDLSWVVPNALCWQDLDNKDPMFSPALVISSDGKIITIKLESGGQIQCKPTQ VLERADPTILGNKGFDDMVNMEILNDAELLNNLIYRFGKDIIFTYVGPTLLVINPFKSIQ GLMSADIRNQYIDDIVKKNRLIKDLPPHVYAIAAQAYRQLFENEKNQAIVISGESGAGKT ENAKFSMNLLTSIASDGSSKDKIEDQILGCNPILEAFGNAKTVRNNNSSRFGKYVRIIVD SKSKQIKGAEIINYLMEKSRINQQGKNERNFHIFYFFLQGLPEELLNKFGVTMKMEEFNY LNSSKTYTIPNVDDAEMFKEIQESFSILGMQADFENIVQTVLAVLHLGNLEFNASTLTDT QPASVTESLAERLLELSNQQLSQALTLKSRVINKQTILSPLTLDECQFTRDSLAKDIYDR LFNWLVIQLNKVLKPKVESKTSVGLLDIYGFEVFDKNGFEQIMINYTNEKLHQLYIQYVF KEEEKIFIEEGLKDHLGQLEFQDNTQVIELIDKQPGGIFSILDESCSVKSSDDGFLQKIR TVHKSNPLVKTPKMPSDPAFILVHTAKDVCYTVTGFREKNKDEMSAQTISMISQTQNPLL KQLYIMEGMKEKFISQKIKKEMIELMTELHQCDVHFIRCIKPNESKLPNQVFSEMTLKQI RYLGVLDSLKVRKESYSIRRPYQFFYKRYADMTRNEIYGKLIKKPDINFRQLVVDMFKQH MPHIDSKQVLFGRTKIFIRNTGLQMIEDSYNKIVTLKHQRAAKLQRSYKIYKMNQHLKKM MRIALTLRTLAQRIRFKVLVRKRVKAASIIQAWYKKLHERRLRQKYEKSALHLKLYFERY NVLREVARKKLAISKIQKFGRYVVQSKQERKVREIKNVFQKIIDDAWQMILIKKAVMIQS NFRGNQVRKKNKKQVHQIKNAGRKIKMEGSVIKVQAAIRRFIARSQFRRAHDAAYLIQGY FRMKLLSTMFQRMRAAARSVQKFARIYLQKQMAYKKNYESFVLPWEKNVQQQKHDASNLW NLTNENIENEDIQPLLETCLIQWRPRLPKIALFSVPIDIDILSDIYQCYNPNYSYSRTLL NIIIQQFRKDHPIQTYFTTEESTLCVTLGGTAIFEFGSGQLILNKDTFETVEKNIFPDFI RIKSISCSDQFILVTTADGSLLQYGDQYKQIKYKPHYVNKECSMSSKKYIISDNKVFSLS NLNVHIPIKQKAKMISCGNQFVVTLCESGQLYSWGENYEGELGLGDRRYRHEPCLINVEK VLQVCCGFKHVIAKTRSKIYTWGWGERGQLGNSELKNEILPKPLNISALWVSAGRTSSSI ITNDRIIMQCGTNSLLNHQEKFEPVTLSFALNSMIPIRIISTWSKTIEITYVTFANTISL PENQLSKSLKILNHLNQIWSESSDPHSIDPPFNQSISNYLYEASMRKSNQFNKQQIKNHF KLQKEMLYIEDDRDWAMRKKVLVEDIISHQRTVTKTDKLKAVRQRFLDLMTKSEDQLTND DKAFINQIQNNEKIQALLKSIEQ >CAK91453 pep:novel supercontig:GCA_000165425.1:CT868663:100490:101984:-1 gene:GSPATT00024181001 transcript:CAK91453 MHGLNKYCIKKQKINIIKRMKTCQLPDDIIQHYSNFRNKKSKLKVSTILGSRFEIDDKYE ILDNIGQGAYGIVVAARDNTLDPEDNLVAIKKIEKAFEHKIFTKRTLRELRLLRLLQHEN IIGINTILLPKSREEFEDIYVVQELMETDLAQIIKSDQNLADEHCQFFLYQLLRGLKYVH SANVVHRDLKPRNLLVNSNCDLKICDFGLARALIPDLKAKAGVLTDYVATRWYRAPELLL SWRNYTQSVDVWSVGCIFAELLRRKPFLPGMDTKNQIELTFEVIGTPSEQELNMIPKEKY RTIAKGLPKRPGKDFNKLFPNASNLAIDLLKSLLTFDAKKRITVEDALRHPYLSALHCPD DEPIAVPVQRIDFEFEEYNMTLQQLKDCIYEEILIYHYKDFKDEYETKKRNSSSIINHII KNENSKIIDPDADDDDNDSDEEPI >CAK91454 pep:novel supercontig:GCA_000165425.1:CT868663:102154:103233:-1 gene:GSPATT00024182001 transcript:CAK91454 MLPKSREEFDDIYIVQELMETDLSTIIRQQTQLDQEQICFLVYQLLRGLKYIHSANVVHR DLKPKNLLINGNCDLKICDFGLARALDPQIKLKPKVYSPYVQTRWYRAPELLLQWRDYNQ SIDMWSVGCIFAEMLRKKIFLPGASAKNQIELIFDVLGSPNEQVLQMAPKSPLSISVNQM QKKRGRDFEKLFPNGSKEAIDLLRQLFEYDPTKRITAEQALKHPYLSKYHIPEDEPIAVP VRYLDFEFEEYNLTIEQWKDCIYEEILLYHYPEFSKDYESNIKKGYSIMKHIVNNDNAKQ LDQIQDEDEEDEEDDVPQNQQNQQIQ >CAK91455 pep:novel supercontig:GCA_000165425.1:CT868663:103241:103607:-1 gene:GSPATT00024183001 transcript:CAK91455 MKQYTLPHQIVQYYSPFRSNAQKTVIQDGENTFLIDPQYQYLSIIGQGSYGVVFAAKDTK KDSGRDLVAIKKIVKAFEQRLFAKRTLRELRLQRLFSHENVSFSSICAGDIY >CAK91456 pep:novel supercontig:GCA_000165425.1:CT868663:103662:105188:-1 gene:GSPATT00024184001 transcript:CAK91456 MDPEEKQLIGSILSDESEVQMYERKQPRKKSKLSLNAKEFQPTLINSIEQIEILGENYGN DTMTYNSTNSQPYIINERQKEKKPSIKIHHDLKQLQFSPKKHQTMQPITEVQTFFLTFNS HPTLQEDNQVQLQLRQDDYQFRVSLEQICGNQNASRNLQKIFDNGTPLQRGMIFETIEKN LIKTSKDLFGNYLVQKVYLSGEKQWKFSLFYQLKGHFVELSKNQYASRVINRMIEFLKDE DYHFQTEFMDEIKYQVRQLINDNNGCFVLLSCLENFDYKLCEYMKKHIEQSVYSMSQHTY GCRIIQFMLQKQHNQSLLDQIMDVSQQLCICEFGNYIIQFILKSNFKQQKMELFRIIKSN FQTLSYNKYGSNVVEVFLEVIEQEDIKFVTNIMLTADQESNYLFVAFATHPFGNYVFKKY LQLDQQCILPVLGIMKRHPELLQQINTSEYGQKIFSVVERSIQFV >CAK91457 pep:novel supercontig:GCA_000165425.1:CT868663:105269:106681:-1 gene:GSPATT00024185001 transcript:CAK91457 MSDTNSRAARLNINPISTANQNVQILKKLALSINSGQPSSIRALSAPNAAQNNRMQLEIE SKESKYSSMYEELAKIGQGNFAIVFKCRNTLDQSIYAIKKTKAQPKQNLETSDARQEACI LANLFSKSDTKHIVQYYNCWIEQNQFYLVMEYCDYSLKHQKQYQEWEIKIILKHILEGLR FLHSKNLVHMDIKPENILFKKKDLSFKIADFGLSRLQFVKEDEDIRDGDQRYTAPEIMEY MNFGTPIDLQKVDIFAFGCTIFELMIQEELPKSDAQYHELRKGISKRNFKDVGIQYSDSL IEIVCQMMAPKQKDRPTAEQLLNNTYLFVPEENELRFLENSNQLLFQEKQWNQQKELRES QRRKTFGSNWEFRGKQGVKNRVQVKNERIRQETERRQRMSRMDCFQTEEEQNSKILRSGY EVCEKQDSVEQCIKYECQNSRNKSA >CAK91458 pep:novel supercontig:GCA_000165425.1:CT868663:106681:107406:-1 gene:GSPATT00024186001 transcript:CAK91458 MFPILLSLITLSWCSIEETKEIMQDWDKYMRGFLPDDMISFQIEKGGEEIFIETIKKIPT NIRGTFFIPIYTMDTIDFKVIDPSGSMIYAKMMKKEAVFNFNATEKGDYQLIFQNKRAKE AKVVQFAIDVAKSDKEEIEQNDIDPIEDGVQGVLQKIRDVFYSTKLNELKSKGALADVQK INKQLMVLTFIETVAICGVTAWQVYYIKKLLNDRRLV >CAK91459 pep:novel supercontig:GCA_000165425.1:CT868663:107907:109358:1 gene:GSPATT00024187001 transcript:CAK91459 MNFILIAFSLLIVNLLAQSNQRPSQKKFVSEAVEKTIERISEKMKDNKLKYTFINSFPNT LDTTVTNYDPSIPDAFVITGDITAMWLRDSTNQLWTYMEFVNQDSKLQNLVKGAINRQVN QVLIDPYANAFNYQKKSSEWSNDNTTRCFLGVNAHAMSQHLHERKFEIDSLVAVLRLAVG YYKETKDASIIDFKYLKAVQRILRTFKDQQADQTEQFATFRFPYTFQRNARSTDTVINGI GEPVKRTGLLRTFFRASDDSTVHQFNIPENAMASAILKQTAEMLSEANLSWSLRKAADPL IQEAFALSSEIKDAIYKYGIKDGAFVYEVDGMGKQVFMDDSNQPSLLSLPIYGFIEIDDP LLSVQPIPIIFVGTAAKGIGGPHIGANYIWPMAIVTQGLTTNDKQEIRECLRMLVTTTGG KWFMHESFFKDNPSSFTRSWFAWANGLFGELVLKIDKEYPELLEENYA >CAK91460 pep:novel supercontig:GCA_000165425.1:CT868663:109376:110998:-1 gene:GSPATT00024188001 transcript:CAK91460 MQQLKNNYIGFLRDGLQMNKVEMEDYGGMFGIKAIDNIKSGEYVIKVSHNYAISAFTVFQ NEQCVDIMKKSPHIYPSLQQIGNKKSDFQTLILYLTIQRNGKLKPIFDLSTNPTCLLDCD QPIPFDYLRELKQEFEEEYKAAKDEVMEVGLNSEDYDWAYRYCMNRRLSVNNDYPFSFLV PMVDMINHGKNKAIFGLEQEHYQPEEYIQNNYMKFKYISQNKYWFSQEDQEVIESLNVNE SERCSKILNKLLEKLFQELLRTGSSDIWVTKLMMPDDSEDEDEPTTEKSNDQSQQLNTKD SKVQAAVNDDYLRDYYEQTLDQSEHDWYTDIQQQTLKCPAYLTARAVDDIEKGEQVLNLY GCLGNEHLLMYYGFALSKNKYDRVKFRIFMDCSTKYDFLTNIEKLVQLHYVPYKELLNLN TRGISLNQLTSEFKIKKSQFNLDLMKFLRTYLQLLDGEELINEETIIVLYRRIINSLYKK ISTPTVPKDSYWGEQIFNYQNSKARILKAHLEMIDNLTTKPEKMGIKVFMRLRAYLLKQN >CAK91461 pep:novel supercontig:GCA_000165425.1:CT868663:111020:112059:-1 gene:GSPATT00024189001 transcript:CAK91461 MRYDNSPVNQQTKGNVSNQNQSPRKQHTPQDTMQQVLQFNPQLLNLKSCSPHRPKDQPKD SFYFVQDDGQRQQETQLKFNKVKNNYQITKQLTNRSDKQRKRVDLSSNVFENTQNVDVIS KSPENQQLINTSVQWTNVHYGKVLTSVNEKAEFGKDYAKQRKHQDLQQQYQDSTKHKSPS KIIENEINIQSKKQNQQFSDVFGQELGNKERKWLRPQRSLSPQIKWTAFDSTRDYKDFGD MSIKESKLRNINTDNQKDTHKLNHPLTSPFFDCQISYEKIKGQYKQQTQLKPTENGSSKQ FGQEKKIEQSSSNKKYEGIYSWKNNYQK >CAK91462 pep:novel supercontig:GCA_000165425.1:CT868663:112295:112651:-1 gene:GSPATT00024190001 transcript:CAK91462 MSKENKCSRFKKSKKQIDTLNDFYQKQQIWGQNTIKEIANLTNLPKEKIYKWYWDQNKKF KQEVPLDDVEGEIVVKQDQLSSKTHEILLCSGLQLNQRLDENLKNRLIKLARDLILMK >CAK91463 pep:novel supercontig:GCA_000165425.1:CT868663:112772:114090:-1 gene:GSPATT00024191001 transcript:CAK91463 MLLSKAKQIVCISKTGERFKFANPDLCVLENSSVAINEQGVIVDIGDIDEKYQNYKIIDC RGRCVLPGLIDAHTHPCYAGDRSGEFKMKLEGATYMEIHNKGGGIMYTSRCVNQASQEEL EQILESGIKRAIRNGTTTMEAKSGFGLSTEGEVKQLLTIEAVSKRSPIEIVQTYLGAHAL PPGLSEKQAEQDVIQNQIPQIKKLMDENKIHPEFVDVFCEKGIYEIETTKNILQEGAKIG LIPSFHGEELNHLESAKLGADLGAIAISHLEHLSQEGIKAMAEKKVVGVILPTTQFILKL QPPPVREMIDSGVPTALATDYCPNAYCMSLPFTMSLACLNLKMRPNEALCAVTLNAAAAL NRHKTIGSIEVGKQGDFVILDAPTWEHLIYQIADSPIWKVIKKGNIVYEYL >CAK91464 pep:novel supercontig:GCA_000165425.1:CT868663:114221:115255:-1 gene:GSPATT00024192001 transcript:CAK91464 MSTQYRVPSNDNYIRDLENISQRERHGLFNQPPPLSLGDQYNDALKSQGKEKAILINKKL QKKHEPVLFSEPGYTTLNDPYKDQFKAKQIYDKERELAIKNPNSFKPNDHQKSVKHSEFE HMKEYNDKVFKTRNSAGNVVTQARNFLTNPAKKGLGRTTINNLFNRRKWIERRESSIKAS NYLEQQDLSQPAMEIDPLLQKVSYQMEQDIQYVIYINNPLEKKPPIYKGNPFRPSNQTKK GFQGTFEVLQYMEEGAPNIKTKQNTFEKLSATQTYERPWRPNSNGTFARPCPSVSQQLRN RSAGSNQRS >CAK91465 pep:novel supercontig:GCA_000165425.1:CT868663:116013:116880:-1 gene:GSPATT00024193001 transcript:CAK91465 MIQTHNEQCDHFQKEELNALSSIYLSDYEQLKDVHPYSFQVIVAPFVPADQFYHDNVVYC KIFIAFPDDYPLKSQRFHFEIQGLSRNFTQDNLIRARKVCEQVIDHHEKEADSQPIVFAV VEALRDYVFDQSMYLQELYDQQNSKPDDNYIYIPPMPKFATNTPVTLESFTEWKKKFDQE IYEIKKREKNFNQVEEMMKKISGKQYFDRKQNKEDDVDVQDIINEDDDIVELEDEDYQGE EYYEEDQDRPLYEREQLE >CAK91466 pep:novel supercontig:GCA_000165425.1:CT868663:116907:117962:-1 gene:GSPATT00024194001 transcript:CAK91466 MAFVKLVKGTPYFKRFQTKFRRRREGKTDYYARSRLIVQDKDKYNSPKYRFVVRHTNTKI ICQVIYATLKGDKVVAAAESTELKRFGLTSGLTNYAAAYATGLLLARRTLKTLKMDKFYE GNKTIDGNLYDVAEKENPERRPFFAVLDIGLVRSTLGNRVFAALKGAADGGIHIPHNNRR FPGFSVDNDKKEKYDANVHKDRIFGVHVDKYMALLQKEKKTNKDGRSKFDVQFHNWEQTL KTAGVKSVQELFKKIHDEIRKNSDRVKRGAKQNPKRDHTKYRAKRLNAKQRRANALKKID IATKQAAKLKKKA >CAK91467 pep:novel supercontig:GCA_000165425.1:CT868663:118615:121081:-1 gene:GSPATT00024195001 transcript:CAK91467 MYNIKISPKLKSDDRSIMNQILKEEAFFLNQKQGDIHSFVLDDDQDQLLNKLLNVHKMTN IDKENYTKHISYCVRNLGQKSTDKLPMIMEKYKNEDERFQIMFIEQLNLIYEASLQAQQP VIQILSFFLLNSILKIKELAGSQLTKIALHLQGDEKGNQLLPIIIKMAHDDLNQDNRIVA LQLMGKLSSMFGIQLSESFIAFEVMSLGEDSKQDVRKEAVNQLPLVAKVVGKDFFNKKLF PFYMKRCKETNTKVKTACVEHFLQIVELSSQNQKTADLTAQLLQFQNDSNKYVKGVAYRC LARFIAAIEKDKIEPRLIENYLKMADSDIRELLPEQQVMFACAYGFPAVLQTVGVARWQQ LHKLFNHLWKQKNERICKTLAASLHEIAKIIGAEKAEIDLFPVLETIFVKEPNDNVLMGC VKNLSQFLKIFSDEKKEAFLEIFFFIQRDLKKWRIRESIANQLDEMALIFPAEIIFRMIL PIAFKLCTDNVAQVRKIASSKIYAFFQGIKDSPLSEQYQTFIIESMIGFQKSSVFYQRQS FIQMCSKIMQFEDAIFQQHLLRPLLELAQDKVQSVRYMLYMALENYLKIQGRQQENPQLL EVFNQLSNDKTIKSLVKYKPTLEVQAKEPESKQQENLLPNSEMISQEPQLEEIDDDEIPQ RIVQEEPDILKQLRQEKQEFIKKQEEIQKEQLEKESDEKLIEQVSQITINENTEANEFND QPTQSVDDSSDQSIKNNESQELQQFEQLQGQGEQQQKENDGLQQNEEYEQGSQNDQKEIN TEEDASQL >CAK91468 pep:novel supercontig:GCA_000165425.1:CT868663:121118:121991:-1 gene:GSPATT00024196001 transcript:CAK91468 MFNKVQPKQGAQETQKVQSITEAMQNYDVRFAVPGSQNQTGSILAIDCEMVECENEIGAS VQMLARITVVNYNGYVVLDQYYKPRFKVINYITRISGITPQIIKDKPVYNDFEKLKLQLL FKDKTIIGHTLKSDFDAMEFNLEDYQTRDLMKVDFLKLDEGSRFKKDVFEHSSEVDARAA LFIFRKFRNEINLYYKLLGWEKDKQEKQNSKSAQKNQKKQLKVPLKQQRNQKTQNSKQQN EKFKEGLDTRTVQIQKKAISKKKSQ >CAK91469 pep:novel supercontig:GCA_000165425.1:CT868663:122945:123917:1 gene:GSPATT00024197001 transcript:CAK91469 MNMQRKSHSPELRKELALKLLKNEKYPFVSKQSQSPEIKMTRSTVIQRRRPQDHPVNSPI IEDLLRQCKLLEELVKKSKKIIDEKETKIQLLLQLLKQRENCCKELQMQSHKLMEERQIL IEHITQLESQLNLQEEEEAYSQDGYQQHMTNLNNLRHFLYQIRHSNEDIPVFVDVDNLTY EQLLQLEDTIGYVNRGLSKEQIKTIPKVSFDQCKTDEQLCSICQIEFESTDKCRALPCQH LYHSKCIKLWLGKEKHCPICKQELEIKMPNQYQTEEKEMVEQ >CAK91470 pep:novel supercontig:GCA_000165425.1:CT868663:123963:126566:-1 gene:GSPATT00024198001 transcript:CAK91470 MKNPEQLQLIQEMDEEQQQPQKQRESLSIDSDKDATGNHQIKQRPSSSSPSDQKTVKNKT NHSGSQAINSGNGRSSKTPQDFQEEIIPQVRSILKQGTYISHASLPRSKGIRPSRLQSLQ SLQQSIRRNRVYPEEIRDNSGSNQNDSISDDSFFEETEQNLVEDETRYRFDKRYAHLSYI VFPDDPIKLSWDILIIIALLYVCLLVPYEISFKNDDSAESAVQLGFNITIDILYGIDIVI NFFSAYVDDQDELIVDRKIIIKHYLTGWFILDIICVLPMDYVVDDYNTNGFQKIAKLPKA YKMVKLIKMSRMLKFFIQKKKYGEMLNQFSNISQNIRVMIISLLSVILVSHLFSCFWYFV GTISSETQTWIDQYVGNESNFERYIMSMYWVFQTMATTGYGDISATNSTEQMIAIFIMII GVIFFSVTIGSVSSLLTQLDSQNLKYKEKIDTLNEIAKHHKIDNTLYAKICKVLKQGYKN NQNEVVEFLHLLPQNLRTELSQAMYKNVFLGIDLFKHKPLRFTAYIGPLLTILRIPEGDV IYNEGDYASEIYFIREGSVSLCIKECDYHPFVTIDVGQYFGEIELIKETQRKYTAIAQKQ CELLSLSKSNFYKIFFSEFREIGEEVHEDARRKKRDYEDKFTKTKAYLQGLEQKAEQQLT ENNTETKIKHGFEKFKRNLMFQAQTKKGILDKAIKDAEQQTSNELKRRMTYLKTVLLQKG IINKMDERSPSRISPKLIKTNTLNSETQTRKFDKKNTFLPMDIIKQAQQLSSDEENHVKD KQQQDQNNHRITKKAMTTFLQPDTREHQPEFLLSQDGMLNSHTPSSYNTNIQFLKNRKKQ LYL >CAK91471 pep:novel supercontig:GCA_000165425.1:CT868663:127675:128401:1 gene:GSPATT00024199001 transcript:CAK91471 MNPLKDCNRSFIIIISRRDRQNIRDKMAERNQLYQKASQNYLSSLQREVETIKRTRPLAE TRNLEFREQIANLFKRYEDGSVRLKQAIQKTNIEKINYNEYLIKVYPNYFTDEKRKLREE NQRLMQQLQGFRQQVSNVPLQELQNLENEQYDYNDQQKFDQYLGQDYQEQDYQNQQYHFQ EQQRQQFQQPQGHQNQSGQSKLNLTKQQEQDFLSEYLMPQQGKK >CAK91472 pep:novel supercontig:GCA_000165425.1:CT868663:129480:130334:-1 gene:GSPATT00024200001 transcript:CAK91472 MPLKNFIDLTDGVISLIPCIATESRLDINIIIGMICRLTIFTLLILFKDIKQYSIGEVVA WIIAGLVQHLYILLIEWQYTKLGICTTLRREIQYKIMDSLQLLVGIIFLVLNLKSSLEIL VLVLFSINFILNLIVIIYKRKIKEGYTMDYIKKGGNYQLYFGFLFGAVGIIIVVLYYGPL FLEQVDSESFYRFAWILSFVGQSTVIVLSFSYFCRNCSRFSWSYDWAKGILHFFYGIKVG IFSIIYGLITGFVLLGWFIWGFGFKPRCDRHRKRKQESEQIATA >CAK91473 pep:novel supercontig:GCA_000165425.1:CT868663:131735:136583:1 gene:GSPATT00024201001 transcript:CAK91473 MNSIDSYQSKATMNAQSIISKIATRNGQADNGSLIAALDEETVSAAWNAFGLHVSRQLRM GRGVAVNKFGTFSFSAPDVILDGVTNPVERDKQPRQPVFLVAKEFVNGFNLKTAIAIGRQ LRPYKVQTSGKIQHAIVNWAEIALYASQNKDSAKMAVERVIKSLSDVVRNRDVVEVEIPC VGTFFVKSHCAAVQFMDSLMDSCKVKYGINICLQEITKRPLSERKSKGDMRLTQQYLQQL SKSQFPEENNLMIDEGARNYLTNSLGIELPDTRPKTAKSSQNQSKKGGLMSKTIELRPST QQISRMGFQRSVNDKVYALERLKYYIRDHALNIEDSFLDLCQQAFGKTSERKIRMNFDDF KRAVLKVDLPLNEIQIITLFQTLDVNNDGFVDKFDWLKAIVDKSKHHHINSIETHVNYIK DVVYKFQIHTDDLLQRMNLNRDHPPINLQQLKFALMHLDESLNQHKALKVAKEILDGKET ISMSDILALFNTVEEDDKMYDLSWFKDILHKMRDHLVDPQKMKVLRSSFEYFDEHQEGNL DTANFKTVLMESQLGLNVQDINRLVRYLPKNRDSLINYYDFIQMIMDVNKQMDQKDTAKD LVDFAQKISKYLAQKKYTVIQFLQQVKSGYGSCNIESNAQYLEKNLFTQLSHDECLEYCR EMDVDGNGVVSDEDMNTFIKRYSYFNVRKDQSQIEQIVESLKIKPSFGDNLSAIEKTCVQ SKSIDLVTMGQKKMFQSLVDGMNQSKSLFPVEELPESKFDQILKDLRIKLNRKGMSYEEL FTFLDTDHNGFLSISEFYNIDKIMTLSQPAKDGFFAFMDKQRIGLIDLNTFIKFMGKSII QQMPSLSEDDWDWELEILFKIRNWCQRENITIEDAFRTFDKDFDGQINKADLRTFLKDIL KIEEKEITEAKINRLFKLMDQYKRGKITLMDFRRFVEEGFFYGKNKQVFGQTTNPSSKQQ QESRSSFDWKMNARQQIGLIISRHYPSVKESFDIVSGYRKKLVFQKFKKWIDEKNVLSGF DLTEKLVYEIFSDLDSHKKGYLVESDWLNAFAQYNWQDQMIKEIQDALSTYFSSIQNAIH YFQMEHSHVITKESFAKALQALFPKRFVEGDIETLWTRVQKNGSLSNQAFALIFGKGAKV YEPPEGQQSLSNIRPMTQGGLCELPLDERNQVNISLLDKIRRFLRNSNKNISELFKSYDS DNTGYITNLEFRQVIRNLNMGLTFQDIDILSAMLDTDRNSMVNWRDFAKRLDFRQADNKI LERAGIHLQKVNDHIYHYLLSPKDAFRQIDAQHTGFLSFDKFKDMIEMLYRLATEDIPPF AIIKDLFEFIDKRRDGLLDLTEWMDAFSKFSNPNEKKRPMSANVRVKKSSQKSLMAQTDK GWMTKQSVQSPQQFEGLTDDGLMNATNKFKTFLRKPPAKQTALQYVENGIWESSKEFDKT INAIGKNRKYLLEIFKHLTQNGQIPLTEDLIKQEMDKMLRSQGIVVREEQWPQLISWSKK NGRIDYKFLLEVYKDRLNGMDTQPRMGDE >CAK91474 pep:novel supercontig:GCA_000165425.1:CT868663:136611:137193:1 gene:GSPATT00024202001 transcript:CAK91474 MSNQQLNINLKKALLAFQITRLLPDTTMSNTKNRVYKKSQTANSSKCLFVPKNQERDQFY VTPPQKRKNPLSNIEELNIDSNCSTDSSQRLENLSPAYYCPKGKEPCGELQVVLDGGLIQ VISQTRSRRKSRKFSDQETYAMSNFIAGPKCLDIPCPQFL >CAK91475 pep:novel supercontig:GCA_000165425.1:CT868663:138207:139667:-1 gene:GSPATT00024203001 transcript:CAK91475 MQQEFKLPQKIIELYAKYQNKSQKVKKFQLQEHTFMLEDHYAPVEIIGSGAYGCVIQADD KNAKTEKDRQVAIKKIERAFEHRLYAKRTLRELKILRLMKHENIVELKTLLLPKSREEFE DVYMVTELLETDLAQVIKSDQVLTDEHIQLFLYQILRGLKYLHTAGILHRDLKPRNLLLN RNCDLKICDFGLGRAMADPTSSNNANIMTYYVETRWYRAPELLVSFKNYTPAVDMWSVGC ILAELLLRKPFLRGDSTKRQVKLIFELLGTPNEAYIQSFQDEKVQNNLRKVIKETGPKQG IPLEQLFKNASKNALDLLRKLLTFDYQQRITVQQALEHPYLAQLHFEADEPSAQLVNQLE FEFEKYEMTREQIKDLLYEEILLYHFPEFQTSYEQKKKSGQSLISHIVNNENAKIFDPTA DDDLDRD >CAK91476 pep:novel supercontig:GCA_000165425.1:CT868663:140844:142312:1 gene:GSPATT00024204001 transcript:CAK91476 MIEQFLFALILSLRVTCNQYEFKIEIGQLKAVLELNNATNVTLTDESNKEFCWIEDEKVL LQTTSLMNYQDLDIMNKYYFLLATQSGLWVLMNDMILTQITHDGTIINQKKIQGNYSQFK GFYDEKSEDPIFLVDYQLLNDTQITFYHGAIKTYDGFLFVINKFIYVYDLQNNTNKYFGS IDISKFQNIIEYDIKKIDDFKYYLYLMDQHFGLNVLKLLFRQNNINSKIIYSEANPLQNP KSFFCNEYYSCFGLYYNNVYKILNFRINFLDNSIQIREILEEENKIIKIINVGEMMFIQS KNNHKVYLYNSNQIQNIQIMGLQQISVVNSSLIYIITNTKLIKLQLSRTHPKILCFCDDN EDCPLIYDYGINFRDKTKMMYLKFTIEFQTSIEDQMNQVLAILFSIISFFGIILLIFWIY SLKTQILLLEGKIQQGYQFMQKNKLPIFHTLENLAFTFRGGETMSKRIQQQESQEIQEIF >CAK91477 pep:novel supercontig:GCA_000165425.1:CT868663:143139:144423:1 gene:GSPATT00024205001 transcript:CAK91477 MYCTRKHLLFDKSYIITLNQDSMNIGESTQHTKYTIALKLQTVIDWKISQEDFQLVAFCI DWKNKQKYFYADQSVLLRVKNYLAGRVIFKNIDYFYKQVGKIETRELSSVYTIQGLDNNV VYVCKELNRQKLYSYELFQNEVETLGQLTHQNIVKLIEAYTKETSYLIVLEFLKGGTLSQ CLKYCRMSIMEIEIITRQILEALCYLHERGFVHRDIKPENILFCELGQFCHLKLIDFGIS CKIKDLSEDLQMTFGTPGYIAPEILQRKNRRKISQKIDVFSCGAIIYYMLTGAKLIFGIN QQELCQNNKVYTLNYQILQNVKQKNFRDLLSKMITEDPDQRIDARQALNYLKLMSIPTNT SLSISLQSSQDHIQELPNFKKLIAKN >CAK91478 pep:novel supercontig:GCA_000165425.1:CT868663:144776:146076:1 gene:GSPATT00024206001 transcript:CAK91478 MMCKSKHFFRDTEYFIYEKENSIQIGKNEQQIKYKIQLELTTIITWVYGDRNQFIGFKFK WKQKMKTMVVNYQNCLRLKSIFDGKVSYSNLVKMFNKVKQIRQHSQNTIILVHSCIDQRP YQMKVTPNSINYNMLVSIQIITKDFMIELEVLNLKHKNMIAISEYFVENDKFYIIFEYLE GRSLRERMNSQYKMKKEEVIVVLKVIILSKLPKQLLSLLIALHRRGFICRDLSQDNIFIQ KDGRIVVTEFGQITKLGEILRTKRGTKDIDLLNEYMFPNNLEGNQNNEEFDRQFWFAQDV YCLGELLHEMLTGKSLQKTIFDKYTIFQSSQNTMSTLRRKFGLRKLLDRMLEPDPKLRIS AQQAQNFIKDMEFGDDSFVDFSDQEERNNFQEVYQFISKVCLQNL >CAK91479 pep:novel supercontig:GCA_000165425.1:CT868663:146142:146900:-1 gene:GSPATT00024207001 transcript:CAK91479 MVKNSAQDPQDNSLQMKTRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIKFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK91480 pep:novel supercontig:GCA_000165425.1:CT868663:146922:148393:-1 gene:GSPATT00024208001 transcript:CAK91480 MLSISKQKQYPNIQDRLSGMLITKNAPIRNYSTCKTIINTTSATRHSRISYQSKQKYSQN KSEVKGISSRTFSVNEVTELPQISKPTKIIIKRPNFIPRPKQQFRKLMHVQTSPSNLESE RKQSNSNCLQFETINLNIEAEDVYILYKGFRNLNQFNKICILSYNLLFGEYNSHYFINPL QNPQIKPQNNKQLIMKIRTESILILQLNPNQKLNSLHNTQIDWFDCIYSTRNYHSKETLS SALNRNNLWVNISKIPNLFKVQNKIMNYYYVDVIYSDPSNIYEIDNYINKILLIDDENTR LFHLFINAPADLQIVYQRLQEIFRNNQTQDLQKVNLKEMIEKSNLDMQLIQTRIKQLKYL NDQKIKSKQHFKKQHLSVCPVSPEERAEKMRNEIVEYIKSNSKIKIANMHFVVGDTIMRN IEMFRNHENDKFYRHQETVQLIDHFMKNSLPNFQNNINKANYAFYCL >CAK91481 pep:novel supercontig:GCA_000165425.1:CT868663:148832:150906:1 gene:GSPATT00024209001 transcript:CAK91481 MGSTCCHTKFQSSSYGKMMKLSIAELCLEQGSSALVLQKQSVSQQEQSQMVAPLPLPLLG KESQTPSKLEAMKQQIQILQLESIKAGSDQMEEQVNTPNGEIKLSRNISIESEQLNINNK DIRSTNQQETNLLQLSPIQLDSAMNLPQQSPSPLKKNGQRKESLTVEQYNNTTFTSPRIK TTNRQIQDQSASPTKKSIGSMSSLTVRMGIELFVNLKKASINKVYTFGQVLGQGAFGKVW KVTHKTTGLIRAMKQIKKSELIKEDEQKLFQEMHILKNLDHPHIVKLYELYQDQNNYYMI TEYLSGGELFERIKKMQVFTEKRASELIRQILLAINYCHDQKIVHRDLKPENVLFSGPEP DLNLKIIDFGCSRRFNTSKMTKRLGTPYYIAPEVLGHNYTEKCDIWSCGVILYILLCGYP PFTGKTEQEIFEKVKLGRLKFPNEEWEFVSKEAKHLIQKMIQVDVNLRYSAPQALNDPWF QKHATNQPINKKVLDNLSQFQATSEFRTAIVQYIISQMTNHKEIEDLQHTFQSLDVNRDG VLSKEELIQGYKRIMKNQEQAEQQAERILEEIDKNFSGQIDYSEFIMASINQSKILSQKK IEQAFRIFDLDGDGYITKQEVEDVMGTLNQDVWQLFLQETDHNQDGKISYQEFLKLFSK >CAK91482 pep:novel supercontig:GCA_000165425.1:CT868663:151362:152478:-1 gene:GSPATT00024210001 transcript:CAK91482 MSKKGKKGKKGEDNDESTTQIMRFYNRKIQSLGVAQNKIFVQAVAQAIENQEHLTTLHII EEIGPNAIRGIFEALMDLNYKHLANIWLVKAGLGLNNNEGVKYLANYIQKAQNTKVLDLT ENEITPQGCSYLGYALSPAFKVPLQELILDFNYIGCEGMKELSKGLEMNTTIRKLSLNYC RLDLESVKYLQDILSFVDCDLRYLFLEGNYLRNQGVYQLFRSLETNEWLEELNIANNQFG ESEDVPLIEKICEVLTKNSSIQVYDFRGNALYDDSAKKFLECIKMYKTVCRLEVPIEIQN AILEEIKKVTKKRKRRKNKKKKSKKKKKAKK >CAK91483 pep:novel supercontig:GCA_000165425.1:CT868663:152910:153488:-1 gene:GSPATT00024211001 transcript:CAK91483 MRLCFSLLLWFFNSLYFLDQIFSPSYCLSQIKRQQTRLTCHSYLMACLYLSKVFQRRIAV GSQYRNYLKRRLIEIIQFFWKILLNSFFNLFRISLFDLIQISKIKIIKHKKMKMKIAMIS KYNLINILDIFFIQLDICPQYFQVLFSFIQFIYLAGNLYQFLFIDNIIDYKIHIKNYGTL ETLLDINSLFIF >CAK91484 pep:novel supercontig:GCA_000165425.1:CT868663:153545:155695:1 gene:GSPATT00024212001 transcript:CAK91484 MNNYIRETIILKKKNCSSADTEDIFRQHNHKQTQPTQVTEQNQWTIQQCDSEILSDIETP AKSRMADSIIKEFELENSANVGSNNKQSRPTIDVINEALSSKYRLRGENETLLFIMIGTY IINICLHLEHMLSPRQHDYKKYIGLLVDFLTSLYYIYDSYYKVKKMENEFHINIILHLLS GPVQLFLNVAYFMQFHQFCWFSIILKSLKLFATNFQKQNLSDIYNTFVNSLPIIFTSIVV YFVFTYLCTILYCDVNKHYFKSIGVSAFTLLQILTVDGWGDITKQLLPKTGISVIVLIFS FITILAMFFWFLIQAFQIDVMILDELVKQGKVDIDEKSISHRSQNLLPQRYYSIIFGKQQ SRIVLLVWIIQLLYANMQNRLNSINDFHYICFHIFVSLIYTLHWIAVVVLKDIEAQNSYY SNIQLTFHVLSGPVAFSYSLVELITTQQIKYSPILIMFKILTNPSIRDISYIAIRVLSSS LSPYILMLILTLIVMGIIKSFYHSFESIDILDTLLIYLQTLTLDDWDGSLSKGFVQKGHY FAAVIIMLYILVCQFLLIPAFMAQACEIFQQILGISFKPRLNQDGDLNLYQYTDTNVETI VKRQSPRVFRINQSRNLIYLLLKYQKALFSYENKVHRKLLTSLYGYLTNIGNLHFPKFTQ LSQSEKGLLKATRPSKLVIEFKNQQIEVDSNQIQFVYLP >CAK91485 pep:novel supercontig:GCA_000165425.1:CT868663:155722:157307:1 gene:GSPATT00024213001 transcript:CAK91485 MNNYTIISPNRGFQLKTQSQIQNYDQQKLRQIILKEFLQGRLLSQNVSNLILLQTPDQLY SEIVLLWYLRKEISQVEAQQFISRSDPNLFPFQFMSFLVNPQIKFPLLNLIFSAQNSQFL NSSLDIVLMNYQTKKEVLSVSLSFDEQIQQHELDLILQYYPKLSLLVSYHLRINPKFEDL SIFDTNLIAFTIKRSQTINKGIEALLNYLLECDDKKSIVDILIQLNFQNDTVLNLGILKS PEEVQFKEEYIFFEKWTQTKSFNEKIWGRFTSLVNQKMIKLDNQEFTVNNLNFAQPLLIK ALFSLVKRHTSNFIIENAINKEIKMKQNLFLDYPEFFKVFFIDYSSLLDPNYNMLILDSL NVILTHIERTTKQPIIQHLKLLLCQFLVQCISKMVDPQKIEISIYQFIQQLLAKDKNLNF QFAATLKLDQIEKAVKHIDCLFLLLSDLDLLSQKLHDKERFYLFCKCLQQKHKSDLLEKP LESYQNERQQKHKYNTNLIMKKKEERVIAQLF >CAK91486 pep:novel supercontig:GCA_000165425.1:CT868663:157509:159098:1 gene:GSPATT00024214001 transcript:CAK91486 MKINQPFQVFVRIRSHSDSTNPIEVVNENILRISNKEMSFQKIFTENDSNLSVYEGQTLS KFISKIFEGFNMTVLCYGMTGSGKTHTMFGNSNDHYGIVYQAVKELFQLKKNGFIKISFY EIYNEQIIDLLEQNAQNLQVQEDKNGDTQIPGLSQQCIIDEDHLVQLIQQAQKRRQLAST ASNQYSSRSHAIVQIQVVNYDEKQNIKYDGKLILADLAGSERCYNYKNGGTQKIQQEGQN INKSLLALGQCIMMLNQCKSHIPYRNSKLTRILKQSLSGNSMTLFIACVSKQFSEETENT LKYAQQACAIKTQLTQAITQIQIEQPKRSISIEIQNLEQQLNGYFVINTGLHKNLNSLYQ NVQKEQETKVIVQNVEQQIASNMRKQKLIINQIKGLIVEKENQDKSFTYRGSEVRFCDLS NKSSIISQIQPHTADQSCQTERVQSPIYLDLKHGRNNSQQLINKIEIHKIPESKRFSNPF LSTMVQEEKTAKDILQDRTNRFKIKLK >CAK91487 pep:novel supercontig:GCA_000165425.1:CT868663:159380:160011:1 gene:GSPATT00024215001 transcript:CAK91487 MNNYTPKQPKWAEKTIKNMNRYSFTDLNEQEKRGSTSTVATLATMSTDFYVQDDYPIEEM TSSSDESESHVKFKTEMCKNWSILGKCNYGNKCQFAHGQNEMINRQCNQKYKSKLCRSFH QDYVCFYGARCQFIHESRSVDQIRKDYKSQTSFYQPTPSQLRLKSFQLITRDWKSEIPLQ ECIKLWKTKILLQINISSSSD >CAK91488 pep:novel supercontig:GCA_000165425.1:CT868663:160680:161365:1 gene:GSPATT00024216001 transcript:CAK91488 MRPNPRQQSKKESKNVQQPPPPPPQSKPVQEDKQFNPDNYVRQNLSRDEVIELKKAFDLF DDDGSGTIDPAELKGAFEDLGLRAQNKMIYQVLGEIDQDNQGGFSFDNFIKLATAKQNLK ETRGSLMRTFNLFDLNREGRITWDELKRVSVDLGDELNDEEVKKIFRKADLDDDGFVTFD DFYNMMTGRVYYD >CAK91489 pep:novel supercontig:GCA_000165425.1:CT868663:161471:164744:1 gene:GSPATT00024217001 transcript:CAK91489 MYIKKLKHLISITIDDYRNEIYSAQHNQLIRQKIWPTFIALVKFYAIIGIIYVIIYNSLY FSTFNKPFTTFYFYIQFAAIVLYVAAIERIINCIRESYKLTLMIFTFILIETSFQTFLIE VYLDSEEENRPLTICQLFINLLLVLVIVRTIKLRIIILLKFYAYFLARGITFHFKTFQSV NILFFLILILYFWDQQLINLSKVSQFTDQTLKSIPSAVCVLDQNCQDVLFTNNFTKRLIQ SLNYGGLSGGTKSINLAQQQGFPSQESILSSSSDEIVSFFENLTLPQSEVKEQFDPNRPE SKFDSTDQHSINLNQAIALIMNLEQQTQGSIYTLRCQYENQNCGEEYPIIVEARIKTKLQ YGFNKNAILLNLYDVSPNFKSSYYKKLNQFKSQVIRSISHELRTRLNVIQGFLQVIQCNS HNYDKEMNKLLRAAFNNCRIQNLIISSIINYNLIREKKLATKQDKCKLISVIQEAIDLFQ EEAEMKNIKIQFQKQINQAQNEMLDYEKFQSIMIHIISNSIKFNNKSGQIFITITKENQE KEKDKLIFNKENDKKTLQPSCGSQLYKSSQDVNESSFHFPLRKGTAYKQQLINNSSSFSN AQPQQTNTHLYEYYLIEIRDNGMGIDQQKLEAIQNLLKNEEKAFQDLDRDSASGMMLGLR ASNALIKYISGKEEDNYITIDSVLDQGTTVCIHLKCRITQLTEGFMPSDLYNKESSQIEL EGNIPDIESQSYKFNIWTDPDISSRTNMISKTKKSSSNQMNMTNKKGSAQMFSINNISSF YKNMEGSLFKSITCTCAQKIVIVDDEPYNLLVLESLLKQLGYQSIKADNGKQCFDEHKCL GFKAIIMDYQMPIMNGQQATQKLQAIFQVSPRIQIPIFGLTGFSGEDDLINLIDAGMKQV YIKPITLKTLEEMINNLNLVECKDTPRLSSRQFQCFELNYAEDLEYYQLIYMQISNNSQQ LALTKIQNTAFNVQYSPFRLLNIKYTEATTQRLIEEPINSIHAFQIQSSNYQEHFNTKNS KQPKGPKESVKVISIDLKLQKKRFRTSSKENLSDYQKKLINDIQKIEKEILNLS >CAK91490 pep:novel supercontig:GCA_000165425.1:CT868663:165001:166100:-1 gene:GSPATT00024218001 transcript:CAK91490 MEDFDQCPEIEEIVHNEIQMLRSVKHQNLLQLRRVYEDDSYLFILFEHFKGESLYSLIQK NQLHEVQIASILQTLKFLDQHQFYHGNLNPLNIIINTNNQLLQIFLINLSFKQYRMNDKL DWILNRQVEGFIAPEFYLGFPPNITSDLYSLGVLLYFMAFPKQLPNEKHFEIYEIDMNKI KILHEIKTQQQSVEQLSNLDQQAEKNISLSELDLLIKLLEQNPSKRISIFDCMMHHWFVN IKSKIKQLNVVKKKKVILPSLKTIIELRSQSELDLKVQSQLSSNRRLSRLTMNQGEDFDF VPDEGHPLQQMAGLDFCQLLRISTQSRKL >CAK91491 pep:novel supercontig:GCA_000165425.1:CT868663:166152:166652:-1 gene:GSPATT00024219001 transcript:CAK91491 MKSTDLQSQNQVSWLLNLVGKFSTKDLQGQNLKEIGEDYVKKISQIAQLQSGFIFSYDIQ KQEFEEKLFHIYPNILICQSDKTYTHLILSNCTLQKEQIQYKEAKTYGFIISNNFGNTYL FFSQFIQYRNWYKLMKQYCKLNDFFGKYKLTDRMLPGVYQCYKKTV >CAK91492 pep:novel supercontig:GCA_000165425.1:CT868663:167537:170233:-1 gene:GSPATT00024220001 transcript:CAK91492 MSLARTSQSSKNQRKSETRFQQQIKTLVQNVSYPPQNITKQWIKEKENEQKQLFEEVKQS EFPGRSTTLTQLTDLARKIAKMRKNLKSVADDRNRTPASDKKSRKSTKSGKSIKSQSRKT LSKLAQSRGHLKSLEQSRSKSSKKSKKGLKSSKTQNTPKFKKKAFENKLGSFSKVVQKQK DSIRPNVRITESRGSKRIADRSISQSRQSYPSSKKKSNNRDAYGSYYDDGSSITESSDSK EMINLKLNPKSTLSKYETLVDSERKGQDTEICQLSREENLMLTTKLESISKDKLLREYRV LYYRSKEVRKLLTEYYEQNLTLSDELNELKQMLCEKQAEIKQLKKDLKSQTKELKFMQAE YSTKLDQLGKSYQQQEMNSVPLDDYDRMKQDNEKLLVENEMLKDDYKDISSKLQQIENEI YQKRQYEHPEQDISQRLQREIDTLKDQIDKIREENIKLKLQLDKEMLNNRELSQQINFKK NEIDGTVELKQQELFQTKTQLQTANTKIQKLEQQINQMKYQIIGDQEQSFQVKEKIQRQE EELRIANLKVENREKELNEQKSKELALKKKILELEQKVDNQASISQPYFEPNFSLNKSNN AESYISKELQAERQFLAKTQEQLMNIQVENEQLKRNVKQLELELSFEKQSNEQIKARLAQ LQHEKDITIQRVQKQFEETENSRLKELLVAEQSQKNQDNMLYSAQQRINELESELRILNK QEQELKNQINDLRIQFERQQIKMEQNEIKLADLNDQIYQVESENLHLNETNKQLRIQLQQ LNREVDQIDRIKDNYKVKYLHSRNESKKSMHQYPEKENVMRQTYTNEVERIRSEKEQLER LKSQEEQQRIQRDKKLQVINDLQQMIKVNKKSFDK >CAK91493 pep:novel supercontig:GCA_000165425.1:CT868663:170772:171650:-1 gene:GSPATT00024221001 transcript:CAK91493 MSRRYDQKTNTFTPDGRLKQVEYAIEAINQTGSALGILTNEGMILATEKQEVSHLLEHSK HSEKIYPIDRHIFSVVSGHTADANILINYAREAAANHRYQFQDNIGLEQLIINICDYKQN KTQVGGQRPFGTAFLFAGYDKENGFQLFSTDPSGNYAGWKATAIGKNNLAANSYLKQDYK DNLTLDQGLDIAIKALVKTMDTSSPQPSKIEIVVISKLGKEVKSKTYNEKEVLALLQKNG FSNEQMQQE >CAK91494 pep:novel supercontig:GCA_000165425.1:CT868663:173071:173802:-1 gene:GSPATT00024222001 transcript:CAK91494 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGGMEPTIAAIAKKYNVEKKICRVCYARLPPKAHNCRKRKCGHSNQ LRIKKKPKD >CAK91495 pep:novel supercontig:GCA_000165425.1:CT868663:174739:175020:1 gene:GSPATT00024223001 transcript:CAK91495 MNSLQLIEFCNRVFEKYDKNKSGFIEIDELTTLLNNLAKEINSQLPTQKEIDYIVNYLDT NNDNKISKTEFQKLGKLMVKVLGSA >CAK91496 pep:novel supercontig:GCA_000165425.1:CT868663:175160:175716:1 gene:GSPATT00024224001 transcript:CAK91496 MSDKGQKFDQQQDSELKISDYYIDQDEKERSVSIKKGLIRNKECNNGIINYRKSGQQFSL DEDRLILELVLNFGPKFQKIHKHFPGKTLAMVKNRYYKYLRYRWEVLNPSAKANDIGKQI NKVSQLTFESYEILSEKHQTLNTLLNQERDALVNTIAERCQLPNAKIYAEYLVANIF >CAK91497 pep:novel supercontig:GCA_000165425.1:CT868663:176092:176262:1 gene:GSPATT00024225001 transcript:CAK91497 MTYKHITEVHLSLTMDELITQMRIKQTENSLKIKVEQELDKLKKELIEPQRKQSNP >CAK91498 pep:novel supercontig:GCA_000165425.1:CT868663:176862:177634:1 gene:GSPATT00024226001 transcript:CAK91498 MASQKKQLFKIIILGDSGVGKSALMDQYVNARFTQLYKASVGTDFMGKKVMIDDRMVTLQ LWDTAGQERFQSLGGAFYRGADCCVLVYDITNPKSFDSLDSQRNEFLMQGQPKYPEHFPF FVLGNKLDKASQRKVQESKAQQWCKSHGNIQFFEVSAKDATNIEQAFQDIAKAAASQEKD EEIFFPTTVTLTKQSQKPQAKQGGCC >CAK91499 pep:novel supercontig:GCA_000165425.1:CT868663:178637:180453:1 gene:GSPATT00024227001 transcript:CAK91499 MRLNLCLIERQSWLEIHFRGQLQQIQVYEIYFEIQLFDMHHDISFVIDLSSQIFIQIEYW KSFALLFLINKFYGHDSTFLFIDNQVPSDSENADLLDKMTEISILSLQNQVISVSQKSDF IRNSVEKVFKIFIKVNVKQGRGDPQGTPFEQQKIFSNFIRINTQHSAMQGFQKVYFILFQ NILFFNIPCSFDLQIVLQALEKSMKINILLSLPWMFLIYSQSIDESCLRLLYMIIEIILQ LRMESQIQNLYKGLNITRGLNQSFQVYLDTFYLGAANAPILISCLFGSSSQEEFLMNQCV SPSQEMALSPAINLCNSQVFPQLSFSRQGIGVGSYLCNLEYLPKLEYNLQICHSHNVICC HHLSNCQISLRLFFIIKLISVAFYNNSLHFSAISILWLYLIGLILNLSLVIILLFHISFR QIAQNCLNELTCFLFNIQLQEFTAFDLSILIRCCGILSVKLQFRVRSTIIIAWSDQLFQC TYTSFLYFLKIKMWSVYEQTCFPKITPMKCECIFSYISHIRNFNLKSIKVPTDYQQLGMN QLVQYLCDFIPEKLLIFLIFIFTMKIYIYKNQFYIR >CAK91500 pep:novel supercontig:GCA_000165425.1:CT868663:180495:181013:-1 gene:GSPATT00024228001 transcript:CAK91500 MKQQKYQRRTPNNFKKILKKNPAQKMSQGMKAKSGVKNVVLDPMPQGLHTMPISAPNIKE KHLQITDITRTSSSIENEAQSLKNPIEKNQDTKLKILCWNISSIKKNTFQEIQQQKPHLI CLQEVRNKALKDSIKYKYLFDQKRSHHSGRIIIGIHKQFQSRIQPNITLTQR >CAK91501 pep:novel supercontig:GCA_000165425.1:CT868663:182613:183408:-1 gene:GSPATT00024229001 transcript:CAK91501 MASKKKQLFKIIILGDSGVGKTSLMNQYVNARFTQQYRATVGADFMAKEVMIDDRMVTLQ IWDTAGQERFQSLGGAFYRGADCCVLVYDITNPKSFDSLDSWRDEFLMQGQPKDPEHFPF VVLGNKLDKASERKVQESKAQQWCKSHGNIQFFEVSAKDATNIEQAFQDIAKAAASQEKD EEIFFPTTVTLTKQSQKPQAKQGGCC >CAK91502 pep:novel supercontig:GCA_000165425.1:CT868663:183437:184711:-1 gene:GSPATT00024230001 transcript:CAK91502 MDQIEIEIKEMDALYFDKECASYHPSNNELLEKDIHEYQHFKNVIACFYNYMNDMKVELQ RIKTHFETLNPDQRNNLLMNYQERIKKLDYCIKKNANFCFLIVAAYVDMFPEMDLSQVKF VSDLPYFPHAQHGDISKLRITLKQFYRDWSIQGQAERDQSYKPIIDCLQQYYPDAKTKDK KYQVLLPGAGLGRLVFELASRGFAAQGNEFSYFMLLSSHFIINLTQKKNQYELYPFANNF CNRLSENDQFELVQIPDIVPAEVLTENDQMSFVAGEFITVYHQEKYFNFWDSIITCFFID TANNILDYIDTIYEILKPKGIWINFGPLEYHFANQFSETSIELSYEDIKHYIKQKGFEVS FEQMQESTYNHSKLDQKYLMYNCIFFMAVKK >CAK91503 pep:novel supercontig:GCA_000165425.1:CT868663:186274:187065:1 gene:GSPATT00024231001 transcript:CAK91503 MGCLLQTMKQRNYQVVHGYKDGQQEQNAKNVDSQQIIEQVVHLEVPLIQEDISDLLTDSS LDNQEIQLIDESIRLRKIKLMKIKDPEKFKRRNSLPNPNKIDTEQKKIRRTFSQDQIVNS SISINNLYYQKFLSQYKAYDQPQDEQKHYLPQNIEQTDLEISEENFSQENSFSSMKQLVS LCKYCTKEIQEDEYQLECFHCYHAKCLEELILNKIEEDQSLISCICHQSIKTKLIKQILC INNEVKYLKLLENQITVLNSTLQ >CAK91504 pep:novel supercontig:GCA_000165425.1:CT868663:187081:188058:-1 gene:GSPATT00024232001 transcript:CAK91504 MGKNQRESLRMRLRYNEPGSENKRINYFKLNQGYDITEDDSEYMDEQDSYKAFKKIRKYK TKSKEDKVTCDNLEVLLQWKGSINCQSYETDQKKIVVLKKILGTDLSQYAKGVQGIFIDN LFKKDLKNLDISKKLISNGILFIWSDKSLINEILETMENKGFTYIENLVVVQLSLEQALE ELNKHMKIEQTEDAVLDNLDFLQQKVQVKDLILHRPSKVLNQSKQVLIMFRKFDEQKSQL ELRHQRTPDVLFDIVNNGKSCLKTKEYIYQTIETLLPKSQLMEIFAQKDQPRKGWISVCE NK >CAK91505 pep:novel supercontig:GCA_000165425.1:CT868663:188189:190286:-1 gene:GSPATT00024233001 transcript:CAK91505 MLAIFQLEIYMINFLNVKQQSCDLYDSGQVLRFDQKNYLKQSNTMNVQQVPITKPPSRSP MQTYNIAKIIKTEPSQSDRQFSAGHRCERSMLSPSLLDEGSVLVQKLPPNKLVINLNIKV KASGKYPEFCIRDQDTGKVIDIRFQDWRNNWFLYFQSIRNTKSPNNAKLIKAVKNNSYNE VKEILLKDKPDINARDTDGNTVLHLSVMSGNSKLVGLLLYHEAQIDSLNSKLQTPLMIAC SLGIEEITQQLITAGADINSQDINKNTCLHLATMNERQKIMDLLLNKQSLNMTLKNKENK IAMDYSSNQQMFLQAMQKQNNNSIKIYDARTDFGSENCNSFNEDKVGPHHFKVHALIGKG SFGEVYLVEKLDTNQLLAMKVLHKSKIQKQNLTRYALTERNVLSLTKHPFIVRLRYAFQT ADKLCLIMDYCPGGDLSSHLRREQRFPEERVKLYLTQMILALEDLHKRDIIFRDLKPDNI VLDADGYAMLTDFGLSKEGVQEHYTGARSFCGSVAYLAPEMLKRCGHGKAVDWYLLGVVM YELLVGQPPYYANDREELFNNIQKADLKLPTYISHDGINLLKALLQRNPAKRLGSGKGDA EEIKAHPYFKDIEWGKVKDKEIKMPILSRKTRFIKVEHNVFEIGSFLEQSHLAGWSFAEH KIQQ >CAK91506 pep:novel supercontig:GCA_000165425.1:CT868663:190505:192066:1 gene:GSPATT00024234001 transcript:CAK91506 MYSNQDPEEQFELNELIGQGAYAKVYKGRHKNGQIVAIKIVPSNGEIQSLIKEIQILKQE CQHAHIVQYYGSFYKDGNLWLVMEYCVGGSIIDLLKITQKTLTESEIAAILYHVLLGIEY LHANKKIHRDIKAGNILLDEKGTIKIADFGVAAQLIYTNADKGTVIGTPVYMSPEVISKN RYNHLTDIWSLGVTAIELAEGKPPYSHIHPVRAMFAIKNNPPQGLTNPEKWSKEFNDFVK SCLRVQVSERPSAQQLLQSPFIKQGKQDQKKLVNLIENFLNQIDEYRQSKSQENLENVQT LISKQENSEDEEQSCGTVVECGTLVIKEEEVQSKGEKELDFVTYAKKEKIINEIIDEQLS DEQQMENMNQDERKKFLAFLHAQMEEEISKVKQKYMPKIEMLTQKIKSNESPEPTKPQQQ ILQTKPQLLIQTQQTQQVQQQQQQPQKIEVAVPFQVNPDVLQKLSNMKIPQIKIQNVSSP TAQLRSNPKQKVNPEYLVKQNINSNY >CAK91507 pep:novel supercontig:GCA_000165425.1:CT868663:192424:193346:1 gene:GSPATT00024235001 transcript:CAK91507 MDQEIVDQLTLDSIPYYEEQLYGALQVIIDLQQKVEQNEMIQDDENSNENQIEDVEGDYQ EIISSIQQQQLKELQDIDEISLKQQEDFDQLFKQLHELEQLTNQYQQENNQDEQYIKTTQ QMISERQQQLKELSELFMLQDIHNKENQRIIQNLQQKLKLNAVSIPQEGSKEEKIEVTQI VKHQRQESEEDVDYIQYLQLTDYKQKLEEELEQIAKQPKVDKDMNDASTQTHQQEFLIKS KNYDDFVYSSSCVQPTFSVENTPKASQILLHSLLKEEKPRNRECCTSCRIY >CAK91508 pep:novel supercontig:GCA_000165425.1:CT868663:193367:195975:-1 gene:GSPATT00024236001 transcript:CAK91508 MEPNRDLLCKKIVHLTKVIHILNTKNDEYERILKAVVQAYEKEMDYIIKQANQIILAQKQ ALDTQNKNKDIHQELKQFQEKIQREKWGTQMEFMQYQKKMEEREQSLIALYENKIREMKK QTDFFQQKFDEYSEYIDKYLMKDDDQTGGSQKQLEEANKIWKEKYDSLMKKKLQLDDQIE EKDTHIQQQQFEIDNLLKKIEEEKRKYMQALDRFEDLKKQNLDQKLQQLQNEINLLKQEI SNLKNQKNDLNNQKSDLINQKKDLTTNNQKLQDEISELQQWIDKLNLDIKNARQTIQQSQ IDMLKQQNEYYKLKQINSELENRIKELNLQIEKLNQQLLALKQEGQISKAQLIEQINKLE EQLIQKNFEIKEFQMQQTVLQKKADMFQMESDAFREASYKTNSDKLKEIEELKKKVRKFE DQVENVQIQPKTQRGEMEDKYINKTLQNEKEQKELVKKYEETIFNMNIDHGQKLKELREL FEERINHLEILRSEEIEQMKNDYNKHQKQFEQFLRMLGIGQGQWEKQLKQDDQEQKLKGP NQQQNNEQELVNKDNTQINDVPQENETQQINVDQSLEIQNMLNQQFKEFQNEKQQLIKNY ENQLQYAHEKYEKQLKDQNSEFQNRLKLLQINLEQSWPEFKSEKNVISDPKTQLDNSLEI NQNTSQKYEQQIKVMQQEIKQLNAKYQQAEGEFSKQIKEKDLWVQARKDEAQQLEHQLRQ SLNSQLKESIVRQKEYAENLKNEFQQAQHFLMLKLQMVEEDYQELISIYDAQPRQPENMQ MIQQLQSQLKLRDEEIKKLNEQIQNNKSELTNNSNQFNLRPVIVTPRILENKSKPQSGKM QQRK >CAK91509 pep:novel supercontig:GCA_000165425.1:CT868663:195987:196259:-1 gene:GSPATT00024237001 transcript:CAK91509 MGEQGIKTHNAAPPPTAKPGTPTAQPSTSSPQPPPQSNITNNSTTASNGPTKEQLEAANP ARNIWQRLFGQLDSVQITAVMIVISSILLL >CAK91510 pep:novel supercontig:GCA_000165425.1:CT868663:196584:197019:-1 gene:GSPATT00024238001 transcript:CAK91510 MFVRALRLINPMGFQCRTFRFCSTTQNKELLEEVTAKVLQVMKSAAKCKVDKLSDKATFE ELGFDSLDAVEMVVALEENFGFDIQNEEAERIKGVNEAIAVFYKYVVQRNQTSVKQ >CAK91511 pep:novel supercontig:GCA_000165425.1:CT868663:198007:201066:1 gene:GSPATT00024239001 transcript:CAK91511 MLEERSLDIANFINRLNLEFNNKWGLKLELKRRREEILEHDKVEKREIKQNAAMSILNQL NLKQLLTQMFSIEEFIKQYQNLANPDKSISQEANKYILKLQQTKEAFTIAQQILDQQNIQ QEYQFIACQLIYRRLKEECDITIQPYLLTLLGRPLSNIALNQVCSSFAVIIVGNSQLWKG ILQELIQLMKVKMPIGIEILNQIAIQSKECHSKKEQQKLQLEFQTQESILSEIFLSLLCV QDLNIFKQTISCIESWVQFSYNLFKDSKLIQQIIVLIQHALQNNALEYSDKLFSLLYEAV AYSQYIKSPITEPIVQQNLIAMLEFIIKIYSPQISVMFASFATKFICDFYPIVQNSKYEE PVLTMMVNIVNNPQRKIVFQTFEFWSLMRQNNLLQGIGLKILQCLIDRCKVKSIKLTKQF LSGESEDENDFFTKTDCESQDYNISTSDFREHCKEIFISIYKSAEQINQTNSFFQIILSN LVITNAETSEQIIQSEAALFCLCSIIDEADFQIDNPLVLQIIQFVLQLSNSQNFEIIVKT TLQMFSGLTNQLNLNNELLLSITKYFFQYMLHPLLGSLAGIAFEQICNNGELKNQQLISD CVLFLDQHFNDFINQSQLSSFVEGILKLCYRIYSQGNIDCIIQLFNFANNQFQLFNNISL LTKQQFTYITTLIIAILTWINSNIEEISPQVKQISDLLCSSISEPLINLFKEQQGLSNND ELYQLVRMIMKVSNYQTHSQSIISLLQISFQIFYQNPIQKHQWLQLITIAIGRSSEFQFI SQWAFQSSQQIHQFCIEQFKHWRDSDLMKVYVEFVKECTRYCQQTLFQSPYLFLIIEVIC EAFLTLNSYEMQREILQFFKTLSQFIEKGQDYFNQIVIQIVTTLFLSISNINRAIIFQII QILQFILKKEISSEELKELIYQTLLKSWGANKNPQQVQLVSQAIVHYLKKTGDNGLNREL KLLLQNLREMGEEEIDYMHLEMVIKQ >CAK91512 pep:novel supercontig:GCA_000165425.1:CT868663:201068:202479:-1 gene:GSPATT00024240001 transcript:CAK91512 MSLKLNYISGNPRANKILVAAQLANVDVTSQLLEWKDLETPEFLAKNPLGKVPVLETPEG ILTESNAILQYVVRGTPLVGANEFQQAKVKQWLDFTSGEIEPSLIQLLLPVFGHFPINPT QATQAHADLDWKFKVLEAHFAKNQYLVGDQLTIADINLASYFQGIFSFIYGEDDRAKLVN ILRWYKQVSELPAFLNLFGRTRYIKKPFPALQFAGHAHGHEHHEKKEKKEKQPQQQQPKQ EKPKKEEKPQKADEEEEEKPKKQVCEFDALPPSTFNIDDWKRQFLASKDQAAEFQNFWTV FDSQGWSIWIVKYIKGEGEGKVLISFRNNCNGFLQRADPHFKKWAFAIHGVYGDVPNLEI SGAWIWRGTEVPQYLKDHPTFEYLQLTKLDASKPEDRALFEEYWTQQTEDESKVQGQTAR ALYYFR >CAK91513 pep:novel supercontig:GCA_000165425.1:CT868663:202494:202958:-1 gene:GSPATT00024241001 transcript:CAK91513 MGTCSNRPEHKQISENLRLKAIMIFQKIDIHNKGYIDKERTLQFWQSNFAKLNTEALFSQ VDYKKSGNITVQEWLAFWDIVKQQGYTEQEISDELDQLQEGKAWVQFYKVDAYIRADRER HKTQIDSIVQMEKKTLQKSKSFNYN >CAK91514 pep:novel supercontig:GCA_000165425.1:CT868663:203160:204235:-1 gene:GSPATT00024242001 transcript:CAK91514 MNNLLYLYIIGGTLVGVTCLYFLKQYINGGVCKVRKDMTGKVVLITGGNAGIGAETAKKL GEMGADIIIGCRDLFKAQQILDQIRSESRGNQRLVMLKLDLTDLRDIDSFVQQFKALNIQ HIDVLINNAGIMAPKEYKISKQGFEIQFGTNHIGHFYLGQKLLPFLKNSQNPRLVNVSSM AHKSSDGFDLNDLDCNRFANSSLWSTRYTLKAYSYSKLCNILHAMEFTKKYGIPAYSLHP GVVRTDLFIEIYGGWRKIIYFLIYPFWWYFTKSPEQGAQTTLYLSLEDKENLQTGGYYKD CSLQTPMFANEQLAAQLWDKSIQLLKEKQFDLSQQ >CAK91515 pep:novel supercontig:GCA_000165425.1:CT868663:204833:205888:1 gene:GSPATT00024243001 transcript:CAK91515 MQSFQSDLKYIREALNLLIDKLLPYIEQKQILQFCKVLKNKIADEKVTPFSIYMETQKNF EGSQTKPVRLNNTSDEKFTNSVLSKLKQAQTEFKNNTQQFTSIYNSVKENVESVYVTSQE LQIMMNEKQLQMQNKAEQRQDQIRRVSIYSKQNKQQTDADVQEEKPKEYKTEIKSEDKNV IKSDNKIGSKNDLKLEPKSDIKTDFKYSFEGSKRDLFSTQEQIPNQRITPTNQQQGQIKV EKPFTQQSPLCYQQLKPQNITPQTSNNYPKTSPNSYTQLPPQKNSQTTPFTYVQQSSLGQ ILYTQSDSKQNSQMGSYVPNYDLLARVDQLLQKTKIGTQPQNNIPCLTNRI >CAK91516 pep:novel supercontig:GCA_000165425.1:CT868663:207165:208878:1 gene:GSPATT00024244001 transcript:CAK91516 MGSCQGTSLDVQQDMRMREIMTKFQVPMPYVDEYKIFQTMKQVEIIQHNKIPHFDLIYSK MGDSSDKMKNLVKKWRTKYELHNHPNLLSIHNVSIIESMKIFIPYTDIILLMDKPVQPLT RHKKVIMKQPFSQNEILFLLDCVVSGLAFQQQNKIYPSGFDIDDIVTVKTPFNTEIYKLV DRYQKPIRTNLFQDFIQLYDDKQTLNELKKTALFSPAQIEAIPKKDKYLKHNRYKSDVFN FGLILIYLIQAKHPEVYDWKRWQVDDFALRRVQDDLIVTNLDEQLLRLIDLMLTIDENKR PDFVELDDMVSKLFQGLQMHRLQEKYQTQDFFIVSFDKHLENKNEILSDNNIYQTKQSKQ PTPIVSQNLIKSSQQQTQYLRIEKVKQNDISQASIKEQQNQIQNKFDNTLTVSQGKLHYS NGFYYIGQICNRRRHGVGTYYNSDNQKVTEGMWVFDIPEGDVVQYNQPKEEKEKSHLNMS RKDWLQYKGQMKQGQKNGIGVLYFSDGSKYMGNFSCDQAHGKGQFEYQNKEQIIGTWQHD IFNS >CAK91517 pep:novel supercontig:GCA_000165425.1:CT868663:209025:209588:-1 gene:GSPATT00024245001 transcript:CAK91517 MPQNSSILTKIGSNYYQFYFQYPYFPLFLFHILNQTFKSKFHFLQQSKVFIFFRIEFFFV IKSFQVLHFIPNNQQKLKINNHDPIRLTRTDLIVYRFYNFRSQAQSSSISIRYFYHLHLF LFSLGLILIILVFELKISLFACFYIFNLRILSRIIDVNQFIFSTLSLRTLMRQSIKIILF VLLYIIQ >CAK91518 pep:novel supercontig:GCA_000165425.1:CT868663:210296:211464:1 gene:GSPATT00024246001 transcript:CAK91518 MLKDTILYYFLILNYKKSAKRKRWIRSLSIFKKFPNIFKYIRLLLNQLSSEELQNQKQRA IENLIEECENQLRRDTKANHDSVIDYEQGGLLISNSGNVFNSNQNLLQSQANFKHGFNTE KDFSIETLTKVFRCFMMYDYNRQFFFMFIRRGFPIDKTWSTERNKLEKEIMKKLLDPDDP KAKPQEPPLSFKYKGDFGVYQAKYDKAAKCYFILLSRFGVKEDYQKSLVDKIYDEIQKIK NYITLKYDSLEHKVKRNVEQMINHYEEEIIQYMDQNLVDQKLFEKKYQKLQQNSVQIYQE EKRSPLVEQQNNDMKDALIIQQQYILDEEDIIPQMTELNEKNDKQQLYYSHNSLTNNKMK KMRSS >CAK91519 pep:novel supercontig:GCA_000165425.1:CT868663:211762:211992:1 gene:GSPATT00024247001 transcript:CAK91519 MKPLFREQINKKSQNQPKGTMINKKITKKIGPRLTRTIKETLKNAQVTQPSAGMRKLPRQ NRRNKLARQYLLRRKL >CAK91520 pep:novel supercontig:GCA_000165425.1:CT868663:212732:213390:1 gene:GSPATT00024248001 transcript:CAK91520 MKIHNTWFAQATYLGILGALVFATTRFTSSQSLTSLRFHDINQNNLTIYSLLIYASSYYI DSTYIFSFYKHRLHLQFTRLSNIIKRYQFKFINLFKQLNQNQPKKFQKNKKRIVINLNII ILIQIAISYIIYAGQFLAQRASFIEISFINCRLNKQFLVQKYLNSLNSFIFYTKIILFEQ NTFNHLVIQSKTLAQVVVKGSLSQLCMQHF >CAK91521 pep:novel supercontig:GCA_000165425.1:CT868663:213712:215903:-1 gene:GSPATT00024249001 transcript:CAK91521 MFFNFGRFFGFGFQSKLDNLLKQENLTLETILNEDDILSELKMSSSGKFADFIISHPNEY KKMIHYIIDDVQPQEQDIQQYIKFQFIISEVFSSENEKLINYLFDKPLDNPQEDVQNPLD DQQTESQPTQEQEDKESIRQHLLADFLQVLGNDTLVVTTAGYVNKIIGAIIHRRGHDFWE YLIKNPKVITNLFKHAELKHITEIIEKLIILDTNQEENDDKNYLKERSDLIIRSQKLLSV DSNSNAIISNLCDILIELYKRTLISLETMTSLRTILQNVAQPQYFMNLAIQTQNTVVYNL LNIQFEYYIKIEQIDEGKYAVDLKNLYSSLISHLPQALSQQDLFKVAFQTSKGDQVVPLG DAKLELISFIIQLCQRQEIADSFTKPIIFLNILDLVLKYPSNNQLQIQFDKLVTSIIKSK NTQLQNLLYQDQAILRFLIAHNGSESRKQKPAFQGVLTKITNYLNSNINESEELAKSIDQ IKDEWNTYIDELNEVNLKEQQWMCGVNPRMKEQENSSNYNPFVPIPLIPYQSGIRKVESS DDNSITQEKQEFNEVEQEDSQNNDNLNNDNQNQDQEQEQNNVVKFQPIELLFNKEVQINN QELPQIQELQITEDVAQDHDEQKEIKQNELKPEPEPTELIDQQQQPEQHLQQQDQENQQE LCEPEPQQQNQIQTQEPQAEPDAQQLVLTSNSQMQDEN >CAK91522 pep:novel supercontig:GCA_000165425.1:CT868663:216208:217011:1 gene:GSPATT00024250001 transcript:CAK91522 MKINSELIQGSHHFINPLNERQLDLRAKKITAIENLGATLDFFDHIDLGDNDIKKLGNLT LLKRLKTLNLSNNRITKLTDISDSLPNIENLILMNNRLTDINEMYQLRNCKKLKRLILHG NLITQQPDYRYKVIAILPNLKVLDFNKVTLAEREKAVESFKPDELTDYMNLVNLKDATID KDHIKKLLENAKTFDQINQLEMLLKQQQVKNLSILNDQ >CAK91523 pep:novel supercontig:GCA_000165425.1:CT868663:217396:218502:-1 gene:GSPATT00024251001 transcript:CAK91523 MISHPFPERVLSTPLHQLPYIVSQINSQIHKTSHDMTKYLEENIQVCMEQHNKKIQLRKQ INSTNEQYKLLENIGAQLQIAIANLKGESIEHDNQSQDGEEKLLNAIKNQNYDEMIKLFQ IIKDEVLLIKCAKIAANLLATPTKTYKNKIRQFLETIAKHQLIPQQQKDEIIEICVKVCI QKCFKMYFQQNVWNTNKNQVTYYSDIIQLYQKSFNCTFKLLSVFNLPNYHQAHIANNIFQ KFNQNVLNHLVTHDNREKSIQVILNFKSLQQNNLFYHLTNIYLKNQLIPTMKTELQIKTL LLIIRNLYVKQDQQLLNIGNKKDTQQLLEWCKQNKLINYNLNLFQALFDTEMQLQLDLLL >CAK91524 pep:novel supercontig:GCA_000165425.1:CT868663:218973:219566:-1 gene:GSPATT00024252001 transcript:CAK91524 MEPKNIILYIQYQREAEEDVKINQQNASNSNEESVTNSICISHRIDIKKEFNQGAQYPIT KMENTGGPNIIEGISIRNNAAVFKTVTAILILLKQHTNPPLYTFLSQRSPVYIKSINLND SYQLFIKHELRAAFVLLLNLQQELNYVIQIMMKEQYKQNIKKMTVFRVPAQYLIANILNN PIEILFQQMQRQFYLSI >CAK91525 pep:novel supercontig:GCA_000165425.1:CT868663:220037:221491:-1 gene:GSPATT00024253001 transcript:CAK91525 MHQKTSSNQKVFNNQYQIVKKLSSGSFGVVFLGNDLLTKQEVAIKVEKEENEEVRSLERE VQILKKLDGAEGFPKYFWSGEDQGYNILVIQLLGKDLAYHFKQLKKFSLKTVLTLGIQAV QILERSHQKGVIHRDLKPENMILGVGREISKLYLIDFGISKIYRDANGKHISFKEQKSFL GTTRYASIAAHLGHELGRKDDLESLMYILLYFLRGYQVIQQSRQLPWQNMVNVTDDERTK KVGEMKLSLEHELFKDQTGELQRVYDYIRRLQFKQEPNYKMILQELKRAADSTNTVIDGN FDWTEIKSSTHYQTDTNQNLSRNNIPLNSNEMKKSIEKQLSGLVQHGSNNLLAPPPVGSA RNAFQRDDIRKNSSLTQQSSINYCQSLNPNYQKSVCEEPLNEEQQRYYDFDSVEISENQK TDSSLHKKYDKMKMGIFVHFLPKTIKLK >CAK91526 pep:novel supercontig:GCA_000165425.1:CT868663:222253:223654:1 gene:GSPATT00024254001 transcript:CAK91526 MHNYGHIEGDSGLMTSQFHLGDSPKAMIDYSHSERIETLVQKSIMQSEIRKRRTGESQSL IEHSNMQLNGHLLPQITLNCQSPAVFDQIALELKQYNYTCIMREANQMMFLQTKLDSFCQ KIIKNVCTPTYIASQSNNSLILVKYSKQKLKIDALSGNYKLNEDVLLSVCFVVKRYNQTH KDFEPVDDMSYINPFAITAISMNRIYIYLEDNQDFKQKLDFILKDIDSIAKPKKQQLQKY MQESSQLVLDTFQQFKSKTLKYDYQYYLMAIEKVVYESVYDKLFHFYVDFNEKNENQFNI KKSQIIAKCSEHETMEFLEIKNKYRLNHQYWNGIAELNKVDKSTNPRDKLRSIQQMICLI KSLIYENSNCELTTMDDELPVMIYIILYSEFQNKFASIHYVDDFCNTDPTIETEKRTVTT LRVSLEYIANEWQI >CAK91527 pep:novel supercontig:GCA_000165425.1:CT868663:223686:224714:1 gene:GSPATT00024255001 transcript:CAK91527 MSEKKIRKKYHDKIDFKLDLPDTNNKKEKARQSAKNTRQRKKAYIDSLEQKVLNQQKCEV AQLTAQLNQNKKVESKPSLMQLMEQFLLDRKQQFDMLEKAYQEGNEANIKVITNSLRFKY GISGQGRLMAINKVFELLIDLLLPMHMRYLFWVASEDVDIFKRERQSSEFYSFDMSDSQI NCLNSFGLDDDQILKIQNMQQTIQEQRSHYDNLIIEIYKIQQSIVKESEKCQTIVDEIWD VLEPKQAAGLLITLDKANLFSNNRVELNNLGRRKYILIVQFQRFKRGRRKKYKDNHNFPI LK >CAK91528 pep:novel supercontig:GCA_000165425.1:CT868663:225048:225362:1 gene:GSPATT00024256001 transcript:CAK91528 MCFQYNGANNRSVDGIEQVLIDGKWVLADDVSKINQAIKNSINEEQVQGQIMNQDQPKVE PQQQKQGVNEETQVQEDGQQQQQQPIKDQS >CAK91529 pep:novel supercontig:GCA_000165425.1:CT868663:225570:225995:1 gene:GSPATT00024257001 transcript:CAK91529 MNNACCVKLILEDRTIGNLLRTNLLKQKEVTFSRQRQIHPLQHTIEIKVKTKGTIKPYDA IEKTLKDLSEEFKNLEDEWARARKVAEKEKHLMKLEI >CAK91530 pep:novel supercontig:GCA_000165425.1:CT868663:226481:227502:-1 gene:GSPATT00024258001 transcript:CAK91530 MNFIDQDDCNIPFDYEDDMIFPPCYENVETPEHSKSTDFEQKFKNDRKERILKKRIEKKN KTKSESNNNLSKEELRKLRNRNSAQQSRDRKKQQFDSLILENQNYQLLLKQKEDQIQLLM EENNQQRLKIQYLEELNQNYKCMSCTQEASHEMIRVNVITKQKMMNYGLLSLLAITCILS IVNNDYEFSPKPISLHQISHQNYDFLAPKQQFSNSTSLAIYNDLAIPEHQFNNQTLFYNC TGNEKECQTFLNIIKAENANNLYFVNDASDQIPAKQDHQFDEGENVYLIKIKQDDEDNFM IFRAKCQITESNKLLFERDSYDSFNNNSLSY >CAK91531 pep:novel supercontig:GCA_000165425.1:CT868663:228040:229500:-1 gene:GSPATT00024259001 transcript:CAK91531 MKNIKKLILTFQNQKLEQQYQMEKTGSIQKPIFIFIIGIFFISNLVVLGFHFLDHSIETW YLNVAFSILSVLLFIIVIMLKKIHYLQDALTIANIILGFLELNVDPASTNKLEFYTYGNT FMQLQAVLYIVSNFSHAVIQAFSHLILRLALTSILSKRIDFLLVFISIISTFVILASIYY NEKNSRQCFVQNLKENYWLKNTSFIIDQPFIRLNYIKEYLLFNLIGSHKIECFPGYDNYY CEGCNIRKLLRIYMTDKIISVEDQLLKPKIHLPQKFLISYKKRKFLLKICCVDIQTVEYL LILEKINAGNQKGEEIQIQQKNCIQFLSSNNKHIHQIFFNWGAQSLLLLNRRIIKKINLQ EMILKLFKIYKIYIFHKISIEFVTQNQNTQIFTFYYQMKIFMMQIFEILSTIQRRNQFQS QIILKRKGCDILIQIINIDLCSFKQQFESNFFLQNLSSLLLNHLEIQDSIQLHFRNYPLK SFAFKT >CAK91532 pep:novel supercontig:GCA_000165425.1:CT868663:229815:230375:1 gene:GSPATT00024260001 transcript:CAK91532 MQTISQICQYLINTSFIHTRMIFFDKYIYYFYFFISTYSEITLILQLSIFLPIHIANNLN FVFKTYYSHQQIFVQLYQLNIETLWIKTYLQHPINYDILIFFVNFSQTLKNQTFIQHQNI LLLLSKNSNNQQSIHSYCQLDIIGIMKIINLYINLYFKVTQFTNIIDYHMNLPIIVIDCL YITELH >CAK91533 pep:novel supercontig:GCA_000165425.1:CT868663:230420:230898:1 gene:GSPATT00024261001 transcript:CAK91533 MIKVFLIVNSSGKIRIRRFYDEVEFARQQQIEQKLVQLTSKLSPNSCNFFKDLDGIYDKK CKIVMRFFGTLYFIAVIDEDESELGVLDLIQNIVDLMDKIFENACELDVLYHPDKMNALI DEIIVAGIVVETNIMDIQEALKQQETQIKNK >CAK91534 pep:novel supercontig:GCA_000165425.1:CT868663:231028:231898:1 gene:GSPATT00024262001 transcript:CAK91534 MNCHKCFFAIAKFNHHKDYYAILNLSQTNDQSAIKKAYYALAKKFHPDLNQGREEKFKEV NEAYEILSDENTKRDYDNMRNVGSNQQSQQYSEQYQDPRRNYANQQQQSYRTNQNYSQKW NTRTAYEQAFKQASQNFYYQQQQQQQYQQKGQRNNYEEEMRRREEAVNQFAQEALLRKQR EYQARQQYYEQEKRKNQFYNEREREAYEDYLRQREYEQYQREKFEEYQNKAKNFEDSVEN IQKNWNQIKSNVSSIWNSIKGKKD >CAK91535 pep:novel supercontig:GCA_000165425.1:CT868663:232644:233122:1 gene:GSPATT00024263001 transcript:CAK91535 MSKTTFDELVISECNQEGQSESSEFRFESLQKRGSQTTDAEAKRYHKIPLQTQIQLFQMV FQQGKRIKQVAKSLRMNYSSAKSLIHYYKKNKRPIPTAISSIISLNKTCIIKETIQGNDN FFVDIRINQKSIRKYNYYQQQSIDLNNVSK >CAK91536 pep:novel supercontig:GCA_000165425.1:CT868663:233450:234063:1 gene:GSPATT00024264001 transcript:CAK91536 MKQINKLPQEKLNKRYLEKKIQKLKHTIQKLNDFQNESSNIVKTIFQINFQIIISRNSED QEFQFKKILIIYSICFIVGNLFKEFALNNQKLIKKIKNVIKKYYKKLHSIQLIICRIQII YDIFYNQFIYYQNVGIEVKFKQVGQILLAINEELIQEIKVKFNNMFLSEYKYKKIINLIR ILNRVLQSIYKLQQHCN >CAK91537 pep:novel supercontig:GCA_000165425.1:CT868663:234445:237103:1 gene:GSPATT00024265001 transcript:CAK91537 MSEKKGESLQDQMKSLQGEINKLHQEIDSKKREITKLKNDNTLRLEKINWVQVQEKVRKM QREKKVLELNDQTLSVGHTTAKHSTTTKEVQPQTEAQKPPQQEIQLEKMQMLEFFNQYLD QTNKQMVKFQTNRKKNGEKDEYINPYPTINIKQTIEGIEQGESSSFRLDQETTFQNLKEL AFYYLNLAPYLRKFDEKDSEIMDDLELTDENHSRIELKEKVIDFFTNRGVSNISQITLLL QKKKTVKPALNSLQIDSIKINGGNKAASYSGAFTVHKNRIKAIDTYNLFFQKFPGLKYYI SELQIQKEFQEQQREFEKNNVDKASEQKFELDDMNCLILSSIIVILILTLLSYTAYLSPQ FVNLNFTFINNLIGIGSNGEYTKITTIPEFYYYMENFLGGTMYNSDKGDNPFRSNYEMIG GIQLRILRSDLYECHKYVNELQKYSCFDVVYDSSKANKSDYKNYTYQTAEELGIKYFQKG NLTTYTDGGYVVEFNRDITTEAFLEKINNLRREQFLDAATAAIFINFVIYNPSINLWIQV NFLLERNAQNKLTPYRAELTGFIPNLYYGEDGERLFQIDITKLIMACILLAFVAYRFYRI KINTGKLGPAFLYITMEIGFFNCGVGILTIISVAMSLQQSLQGQDPQELVNKAEFVHLEL EADLYKKAELFLTISIMFLFLRLSYILKLNDRVAIIFLTYSKSFKELLPFLAIEFPLFLG FVMVFQIIYGNVFPQYSSFTLAIASQLTMHQIQGQLNITQFVNYSPIVSMIVILFYYFFM LFFIISLFQAILIECFRIVIMKNGYPQDKEQGLSIGDLFSWMFSWTKLFAGKKNASQEKQ NLKQQDKPPADQEEKQGINSATEN >CAK91538 pep:novel supercontig:GCA_000165425.1:CT868663:237127:238101:-1 gene:GSPATT00024266001 transcript:CAK91538 MSIREKLLRVKQSAPLYKLQTPQVLKEECLNANSKKWLTKGIDITDYFNYGFNDATLKLF IQKLNKLTDLNLSKLQKEYTARIAQQKATVFKDNIPIDYGGLGITSVSECLPEEIDCQKE SLIFQSIDYEGDLIFTNETILDIFRHYKQYLEQLVANGDYFDFEAVMQYPKEENKWQKEL EKLLKKDSNQLIDEFPDKKRIKHEKKKSKKEKKQKKEKKKKHKKKYSPDDSIQIVPQQIV VKQDSPSIESNKIECELQIDSLSDHLSQQPQEEIQLSSPSPIPEIQQQQLPTPQPQEQIR QRNHNWPRNLIHGILQGNKNQQKR >CAK91539 pep:novel supercontig:GCA_000165425.1:CT868663:238974:239339:-1 gene:GSPATT00024267001 transcript:CAK91539 MIQYLNKCLNETKVQAPAQMPGISTLKNQTFTRYTSPVPLQEDVIIQIKLVEKFSQLKCY ILAESKQHFLDLKCYNIKQIQFLRICITPQINITIYLFIILVLLKHQYNFQIFYIKQSKN A >CAK91540 pep:novel supercontig:GCA_000165425.1:CT868663:239546:241017:-1 gene:GSPATT00024268001 transcript:CAK91540 MQDYRGNFKRIEFGIQQTPAKSTANMNDFQSSQKLKYDQMSNKPLSSNISFINAPVTTVS KELFTLQIPVKVKQLTDDWNTQLILKMIMQQSQQQAHGQILRIELTDDNNLQFLQILDLT ESEFLVLKNEQSLHVDFATFPMKLADLLQLCINSQRDEKVNFYVCLETKNGESTLAVIEN NEFKKLTHLSLRLRSATDDVIKVFLSNKLAIEKQENEEHQKRNKKLTELLEEKHWELENL KSEVRKFTEDKDTAIQQLLLDEQKKFNDFREQTLSKETNFKRESENEKQFIIEKYDKIVF ELQSKYTQLQQTNQELSEQRSLLIQQERDLKNKVAILQQETSQYQKENQEFRQLNKELDI LKFTQERQLIELRIQKEGFEKLIHDKEEYLNNKQQLVENEKKQNSILEEQVINQKKQIEK LESRISVMSEEVNKGNQIIEKLENELSKQKEKIKLKNTVFLQQECK >CAK91541 pep:novel supercontig:GCA_000165425.1:CT868663:241662:242643:-1 gene:GSPATT00024269001 transcript:CAK91541 MDERMSEKLSRAESNLSFHANINNTNLLNETQELIPSASKIPPQALCGIYVICQLLQLMI GYSLVQNIDAITIATQRCIIKVIMTLMYIQFFDKRLFHLDQSSFRVIGAMAGCQVIASLV GFWAMIYFPFSEICAFHQLTPGYIQVFNHFLYQAGNQLNLVISLMQTLSTLLFGQNLLYV FVCLLQPACLAFSHVIKFLMSKQLPFFTLMLYQSLFGLPVYILLNLMAQEVQNIFSGAYF LEITFMTILQMVGYIAQNEIQAQKTIKMWILPGAVTILSLFVQLGYHQVDLNYGTLSIIF IVFTSINNEK >CAK91542 pep:novel supercontig:GCA_000165425.1:CT868663:242901:244011:1 gene:GSPATT00024270001 transcript:CAK91542 MSLSQLSSKQGINYAKLRHKKSPNDQQSFGLSEYFNKDNQFNQGTFQQRRKPSLDSKSQR AKSSLENNQNSYRQITLLHDREELDEDKLFDQFFKLNNSRTDYMKEPIQRDTAKKYHDER EFLNFVENAIEYHKQSEVRSFRPSTNNKCTHLEKKLKEATLSNRVLANQLNQQKQKEIEL KHRMKLMHKEFSIQFSRLIQQNTWLQELLAKQKIDNQNSLLAVKKAVEMLQIKCKCQKGN QLCDKILRDVKNQEKIRLQYDWEQDSQNIQSSSELNHDTHEDEQTNEINFQLYEKNRRKS ISKVQHNSIQSTEDQQDQLVQSYIQGTTKNLREYPKDLYQKKRQYQETCYIEEENTISSN >CAK91543 pep:novel supercontig:GCA_000165425.1:CT868663:244944:246011:-1 gene:GSPATT00024271001 transcript:CAK91543 MSNTPKNKVIPTTDYRKKSRLKEIQAKMKQKMIQSGAQTQSQNNTRFSVLENKLGLRALS KSDRFDVVLKEFKGLDTFFTINELLQELNTVGVDDRKTEIQRDKIKILLEQERIKRWKTQ FPQHLEFESNTRFSVKSELLLKARNQLDLEKYEPQLFETIQNLKPYLKDKFKDIDLRENP QLQLFKDITDIRQIEKEQKKSILARLNTNIESITQIQPSKEAKNQDYYDTFQSEADKLRV RLREQQLEFFNKKWGNSIVKMKVKDQQFKRQAQNNFKRMQTIQVQNQERRKKAARETITD PFMKELISDVCYEEAEMMEEKKEIQQIFRQMQQTHDGFYKKYEDPEVIGLRMITK >CAK91544 pep:novel supercontig:GCA_000165425.1:CT868663:246069:252012:-1 gene:GSPATT00024272001 transcript:CAK91544 MKILITLGILLLTASTSDVAVSDQCNCEKLLSQADCKAKQGCNWSTTTSLCSKTDSGSTS AEGYCGLITDASACAKTKGCAYVDSVCQIFAGCSAYKGATDAECQAISKQCNSDGQAFCV DPATCTTYKTAEDCTGKGSSGGSGTCVWDTSCREQKCTEADVTFNTDAQCNNFIKGCVTT GKGCISSLGLCSSYSGDVETCEGLKGSDGYCKGVDGKDKCIVKSCTDAEITYTTDTACAK YQIGCVTNGYGCVKSPLGSCSTQTGDDVICANKKGSDGKCKGVAEGKTCSVVECKDAPET YTTDDECGKYKSSCVTTGKGCTTSRGACSSYKGTSTTCDGYLGSDGKCKGASDAEAACSA KVCKDADNTLNTDAKCEEYQTGCKTTGKGCTSTLSTCSSYTGDATSCDGYVGTDGKCAGG ASSGSCFPKKCSDAPNTLTTNEDCSTYQTGCIATGTGGCTDAGACSSLLNNSQCDGKSTC QWKPQCVTNGDCTDLKTEILCKTVTLQNSNTCWWVGGSCVPRTCDQAPNTYNTDSQCDAF LKGCLTKKTGCVASNASCSSYSGTKATCEGFTIKCTNTNSATDTSACIDPVCTDNTDATT DDACKSYHATCLTKGTGCIAASANCSAYPGTSTDICGKFTGSNKTKPCWWKSPATTCADK ACSDADGTYTTDELCDKFLKGCVTTGNGCVPSSQNCDAYTGLNQTGCAALSKGCAKREAC TAEVTCSGITGAANQASCDAVLPNKCVFFNNSCVAIGLCSTYAGTSVAACKDLVNSSGVK CYWTDATPNACTERACSQIASPADQKTCTDHKSSCARMSNGSACEEATCTNVTSPATEKN CQDYHPTCHFGKVNDVAVCSTGSTCATFGKSNTASCSTIITAQGGQCKSSTTVTNNCEND VCTGTAQNDCNTKKVVYTSTFQGTAAAQLCFYSGSTCVERSCANANTHLGVTVSSFSQCN SYYELCVFSRPSTGPTCVNGNAADASTSGCTLVQGTQEECLTLTGKYKTPAGVWEYRLCQ SHGSAGTANCLDRQCSQKPDGKTDAICQAWLPTCKTDGNGCVDITTTCTSMSGTTESCQL LTGLVLGSKCQGNNTSTTTGTCVARRCQDNGTATTDADCKKYLDGCITTGKGCIPSTTPC SGQWGNQDGCKLLTGNDKQCWSLSLTTKGACIDRTCAHNTTATTDTDCAAFMKGCVTKRP GCIESTAECTSYQGVQADCEKAVGNGKSCTNDSTATATTSCKVKDCTKLAVDAYSESVCQ AYGLQCHYNGSKCDVITTCSQLKGNFISCTQYVASDGPCVGTALQTETPTSCTAAKCSDA PVTLTTDAECDAYKKGCKTNGRGCGSAILCGDVYSTSSCTALKSGNDFTCAWASQCRDVQ TTCSSYTANGQSVCASSKTTAGFGVCIWKNGACTEAKCEDLPLSVGSETDCTDYSSTCTF AGTGNGCVTKGACTSYIRKEVCSAAKSTDSIGSCTWDETVVTGTQIKGCRAKDCQDAAVT LVNDADCDAFISGCVSNGAGCMKSTFTCDMFKTQAKCLKDSSLQPCLWNDGACQQYYRCS DLSLKTVTACQAASKYCTTDESKCTPLKICSNYTKQIQCSIGSDGVCGWVTSTKKCQLFT QCTDLVSKVSNECSAFNAKCISNGTNCIDMGECSTYADSETACKIGGTDGTCQFDKDTSA CRLRQCSDASTSTSTHNGCFGYQINSTTKCTTDGSKCIALADCASYTKQAGCVIDSQFKA CSWDTAAKSCKTKTCSDTKKTKSSECAAALAGCISDGTKCIDQGKCADYTTKEACTAGGT DGACAFTAASGSTTTGTCKLFSQCSDANSDQTACQSKSLTCKWTAAVGTTASSCSNHTCD SAAKGTAKCVTIPSFDGKKYTVCQVQGGKCVTGDPSSLTQETCYKMSQYTYSWNANTKKC VACGSGASSNNNTTTVDNNSTNVTDPISTDHGSYLYVLPVLISLSF >CAK91545 pep:novel supercontig:GCA_000165425.1:CT868663:253908:254506:1 gene:GSPATT00024273001 transcript:CAK91545 MKNMQLSYDELCQNKLLAFTIFSKVKAPSPLIEQSDTAEFKLALQEHRSRASTTLYLSVL STFTFCLLLKMRNPIYALTARSSFFGVMKKYYFVPVLMSQPFQKQNRQLYDEKVNKILKK YDFTAPEFQQAFKQIEADGGLKKFMKKYLTEKDEEPQQPVQQTQQ >CAK91546 pep:novel supercontig:GCA_000165425.1:CT868663:254537:257387:1 gene:GSPATT00024274001 transcript:CAK91546 MKKISQQMLTLMTKNNLQDKPFTNDPKKNKSKPKTVNTERPQYKTQKNDLLDYFKKERNT FTQVASSSKAILQGSKSIDNLQQLSQKSDRLKTGQFNVTMTYYSLQTDARIQTDDGNSAR RQILKQKNLSLATISQDAQNIDKMLKNQLSKQPQTTKQSYNQSPQNQIKQPVHKQKDQLL FDLHILKNSVCTNECQNYLNLKQNKQSIQSNPQQNHRQSIEDMFSSQKLLKGSLQGSIQF QSQKPKRNESESKSTNQSHIKTEYSAGSNQQFLKQKSKDETVQYDLNKYIQIVLHYKQQK YNYLYEYQNQTTDDLYNYLIQQIACIERSFTKLGSGTGSTEVDQIQQELNKICQFQTVSK NIPYDYYLTIQNLTVEVFKGITLQVQPLYSQPITTKRVGLKDFTLVKCIGVGGFSRVYMV RKRDNGKFYALKLIDKKFILDNQKEIIVQNERDIMVQMENQFVTPLHYAFETKYYIAFVL DYCAGGELFYHLRKLKRLNEQDAKFYFVEICIGMAYLHSKNIVYRDIKPENILLDLQGHL LLSDFGLSKPDMTADDFAYSFCGSPEYMAPEMLMKTGHNYLVDCYCLGALLYELVTGLPP FYSHNTQEIYNSILTETVEFPQYVQISPVLKELILQLLQKDPTERLGYNGGIVEILTHQW FHDVDFEAIVNKQLKTPYKPEPLKYNFDEEEFNKGDAEFRKQYQANMQKEFQNIDTANYV LKNFYYSRESQFGLAKTKRTNEVNLNQLKTQAIPAEAQSPGRTQQMLQQQKGEFNSYQSI LASPQEGKRINRQTGKSEVYDYFKKHDLMTKSSQLLQQSTKLGHSYSKTMQQQNSLQDLK QFKLFVDQSKALICSDRTTTMPDQNHKVITERIKTEQFGNLPSPKTTNNSSLNKLNHFSK LFLSEKQKQK >CAK91547 pep:novel supercontig:GCA_000165425.1:CT868663:257768:258379:-1 gene:GSPATT00024275001 transcript:CAK91547 MYYHQNAPVHQYSPFQTMPTVQYKQHATIHPPRINQIYQAQSQIQPSQSSNFRYMQNSQQ LKQSTLSTFQPYQPDPEVEKIISKYNTTQKNTQIEQPVTHWPSNSIQQNQSNQFRDQNQN SKDEQEFKDNNTPLHFQFDDIKQRKPNLEQKNYSPDKNDFKDFIPLKQEQVALPEQNDKI VKLIVSGIIVLLILYIISFLLLK >CAK91548 pep:novel supercontig:GCA_000165425.1:CT868663:259741:260826:-1 gene:GSPATT00024276001 transcript:CAK91548 MDFCYWHENQSISDTTSQQYFSYNSISSGPYQLSGDMKNPQMDATELSLYGKENYSLARN TEKQILINSSAENIPLELLQIIAEKSNNIEKHLQVFIPYSNDEQLLQYYLKQKKFTIYTL DDDQVYLNKLKKQKIFQQLKYVKQQELVNNFDLKIFNCCSQTDRMFKFLETTRINSISTN QVFILDYCHNLHEIISKIMKDQETYKEELMLVTIKSIKKYYVFFTGKMSSTEGLSRLQTI QQILLEDAESDLNCPNQIKMLLKYLMDEKFTLQKILQIIGSIKQKHADELKKQQSDSILL MFLRKLIKNKIVDQSKIHQIYPSIPINHLTKTKLTSSQKDIIQNNMNSYDRVNNSRFQIK M >CAK91549 pep:novel supercontig:GCA_000165425.1:CT868663:261531:262238:-1 gene:GSPATT00024277001 transcript:CAK91549 MHKPIAPTKSQSPYNSGKKNPDYINPTFVSQTVFQDNLSQTKALQNGHTKQNSSYYSYYN QTQKLQNEKTQGTIEKQRYANILKFEQKRFETNDKNYFVDRKKQLSQHELTPDYSLNRIK RNSQIELNMSWNNGVQDHQIKQDVKKDEKRKLYTEIEQTEQFYSSVKEINKSVKDFKAQS YLRGLQLQNRHNEYLKEVEKTKKLEEEIIRCQELKIQELRRQQEQLSIAKSKFTK >CAK91550 pep:novel supercontig:GCA_000165425.1:CT868663:262278:263481:1 gene:GSPATT00024278001 transcript:CAK91550 MNLRPFIKTHIKTIMTNFDVQRGPFDSFLREYYKNNKTLGPSERSIISQTAFDLIRNDVL LSHFTKDVDRKCDLLSHIPDYEKDQNIPLNIRCSCPEILFQLVSDQYGQKKAYEFFTALN TKAPLTIRINPIKTTREKLMMEMQNLYFKKTLTSPYGLLVSKENNVNLSDTDQFKEGLFE IQDEASQICALRVQCKPNDKVLDYCAGSGGKTLAFAHQMEGKGVIEINDTRSEALSKAKI RLRRAGIMNYSFFTKKFKYDWILLDVPCTGLGTLRRNPDSKYRFSQERLNDLIKLQQDIF DQAIRYLRQDGVIVYTTCSFLKDENQNQVKHFCKKYNLSVVDNDYFQSLPEKGGMDSFFS ISLRKN >CAK91551 pep:novel supercontig:GCA_000165425.1:CT868663:263603:264283:1 gene:GSPATT00024279001 transcript:CAK91551 MGKNYRNRSKTSATPRKPFEKERLDNELQIIGKYGLKNKREVWRVQLTLARIRKAARELL TLDPKDPRRLFEGEALIRRMVRFGLLSEQERKLDYVLGLTTQKMMERRLQTFVFKSNQAS SIHHARTLIRQRHFRVGKRLVNSPSFLVRVESEKLVDFAVTSPFGQGREGRRKRKNSKRK QPKEE >CAK91552 pep:novel supercontig:GCA_000165425.1:CT868663:264933:266042:-1 gene:GSPATT00024280001 transcript:CAK91552 MIKMIKQLNSQCIGIGEQQIECSDQEVGLDDIPQNLINYLELQTNYPQSLQLCQPISKED LQMIEQQICHIIQAQDLVTNQECSEFQDFQISQQERKQQLILKYKKIFIKLNNFNYPLQN SLMLQLQFPNYLRITLQIFKKVTQTTQLSNNIIQFIINYAQNKQLEQPYVELSSKIIKLC KEFDNLDQFQLVNQENLLSRQPNDEPNLQNTIWTRQQMIQCLILYTIVRCELLIFNQKTK ISLNYEQNIANISKHINMCTYDYSWSENCLGNIRDYHPKLAKKLNKRYSTVRNLETSYEK QISIAIFNYTQSLLGYFHHHYSYSSMQLDQDVKDKIKSILNGKITDCDLSLVIIVANAVM FVQILYALK >CAK91553 pep:novel supercontig:GCA_000165425.1:CT868663:266930:268461:1 gene:GSPATT00024281001 transcript:CAK91553 MNLNSKHEGFSSDDSVDMSDYKIESSNKQSTIRYSNSKSSSSDESLTDMIKKKRQQINRK VYGKEEQQQQELDDATFDLSDTYNDSNKFKIGNESTLESRIKKLEQELNTSVKSTLQRNY QHNQSASMTSVDSFSVDFKQFQQSDLKVNYYNNRLESLRSKNQEPAIEWKKGRKIEEFDF EIQNLQQELESLKDSLNKEEKEQNKQKIKLKHQLKQYTQSNSEEDEQEYNERVYELPVQQ NHQKSKKLASSSKKSSHHSAYDQNQNQVQPTNFKKKSRAEVEIIDELPEQNSRSKNQEES SSDSESENEIEEPHKPQHKSHASKKQTSFQNLPISTIEFKEKDGNDVSIDVVPQKHKSNS QAPQILTENQFPNTLILPSKTSLQCDVSRLYKLNKEVEVLQQIERIRDKLKKSINKKMNT EKVVERYDPSFTMPSKSKILNKSGKLHCPNCTYLLNKGMSSAYCHCNK >CAK91554 pep:novel supercontig:GCA_000165425.1:CT868663:269248:270266:1 gene:GSPATT00024282001 transcript:CAK91554 MNKENEVFNLAEPICKAHQNKKAKYKIVGVPFVKPKFNLTDLVCSKCAIALVSQGYKVDD IESDQTQVRQEQIQQFLETISQTFLTIDQSEQSLLEKKEDLVRFCEKQKDKVREHYHIMT TTLDHKLKEQIDYLNELQGKALTMFEQKQQEIKESHNELLQMYSDIEVNLDNIILQIELL PYKQIMGQYNKRVQDIQQCLGKMETQNVYLGRVYKKATDQKILGGLFEDSELEIKIVQTS LLKTEQQQGQSVSRLMSPQSTQVTTPTNQTPDKQRSNSQPSKFLEILNKVNENQLKTNNF YTQLLRRDSSFDLSEKYCSPSFKK >CAK91555 pep:novel supercontig:GCA_000165425.1:CT868663:270371:272894:-1 gene:GSPATT00024283001 transcript:CAK91555 MNNFEKVDALGQLPQARFGHTITYIAKGKAILFGGATGDTGKYSITGDTFSFDMQTKQWK RVEVQGTAPNPRAAHAAVCVDINQIVIYGGATGGGSLASDDLYLLDLRSADDIGQWTVVP VVGTTPGRRYGHTLTFTKPFLIAFGGNTGQEPVNDCWCVNVEKSPITWMRLECKSEQPIA RVYHSASICTNGSANGMVVAFGGRSNDQQALNDAWGLRRHRDGRWDWVKAPYKLEKEQPI GRYQHSTLFVYSMLFVIGGRTGNVGETLTIDVYDTETSEWSKFNSIQRFRHSSWLVDTNI FVYGGFELDSPNIPTDIISKINLNKLLLPSEPLTNKLGQYQHAQRNVSPQSLSPQMSPAS TDTSNSSLNNSLMQKKGAPNNQINTQKQQKPFTQNQVEKPSFKFINQAIVAEESRDSKVQ NKKQRLPQSAQDNNIAHLFINTLLQPKTFINLQENAKFLFQAQHIIMLCDQAEAVIKEQP MVLRCKAPIKIFGDIHGQYSDLMRFFDLWGSPFVDGKDSDIEAFDYLFLGDFVDRGNHSL ETICLLLALKVRYPESIHLIRGNHEDKWINNGFGFSEECAQRLGEDPNDDDSVFARVNRL FEWLPLAAIVEDKIICLHGGIGSQLNYVAEIENLQRPLEVIHEVTTPEQQLVVDILWSDP TDSDQDFGIQPNIIRDPAGTGNIVKFGPDRVINFLIKNSLSLIIRAHECVMDGFERFAAG QLLTVFSATDYCGRHKNAGAVLILKRNLEIVPKLIYPQNLNAHNWIEDEETLKKRPPTPP RWKNQGQRRSYE >CAK91556 pep:novel supercontig:GCA_000165425.1:CT868663:274428:275408:1 gene:GSPATT00024285001 transcript:CAK91556 MGNSNKVQQNVIHIEFMTAKQKIKKDKALIKNKVDQSSNEHLLDIICIQDLTQYYEVDFR QTILTSDETIHVKKIVDLVVNPNSKTPNNLIQISEFFKQNVKSFQIFFNNQMFSQDEQQF QFAYEPLYIEVIYKPKHWMEKTVEKFKTYSSKIMSALAFASKFAYIAIPLYTSLSALQPF LLPTLKTAKVALRATENPKAQKAESVVRFLINTLKRATYIAAPILSLVAQYMPMSKTIFA GVGLYLGKGVVWNVVKLGVTIFCPFLAPLLMLFG >CAK91557 pep:novel supercontig:GCA_000165425.1:CT868663:275422:276411:-1 gene:GSPATT00024286001 transcript:CAK91557 MSNFLFNHKTGKEEKIICITKPIRKEKRRNQYTIEFKFGSDIDVSKLKQVIQINQQIPAY IPLSLTFRLESDIFIPIQFDPNLQLGALSIDPISFNYRIVRYDKHHNNYIFHFEPIDRPF TPHQVVKTTLEKYHPSLGELFEAYHFNLLKKEKITVSTEEEIKEYENSIRHSFAVYIQKK QKGIITTLMKSINTQYLQLMGINDQIFQDYINQTGLLPWCLSTDNNQFQNLITGMFQGAH QQINYTIQALNYNGQQFEVKITPKVFHIYNQEDDSYLQFMFFEQEYNSQIMTQNQIDQNM IEYFNQRSKIVKMDFIENDYTKRCKYRKL >CAK91558 pep:novel supercontig:GCA_000165425.1:CT868663:276646:278698:-1 gene:GSPATT00024287001 transcript:CAK91558 MLKFQKKNKNQAQTCFKNVYGSMDLFGQPPAFKILKQEKFTTSLGFLFTVGISVVCVLYT IIQLQDLYSQTGPRVTMSEEQVVDTSAYSLEMTNYTIGITMANMSLGSLQTLGTHFTMNV QNCKRVRSIDPTTNKTVISQNCTLYPTEPCGEQHFVTDLQKSYFSKIKLGFVQCFNVEQW QNNPPQLQGTVQGTITIAQCKNTTNKTDCAPPEKIWKELQTGYYAVHLSDNLVQMKNPGT PFKDIINLQYTTFSNSTSKSIFQTFKITQSDTDTGYIMEDVQTEYGLAQSQNRESQDNYN NQFIVQHIINLDSRLASYNREYQKLQDIFGNVGGLWQILFLAVSALLQPVTSTFMNLQMA NKLFRFENQNNQEGLQAQQSSDSIDEIKDNLKRSGSPTKMNARGSQQLDLESRKSYFSKR SLGGQKSMSKSIFVKSVDKVNNHKQMIQLLKKRKQSLNLSMRDIIIMSFGCRKKEKQLIS YATEKFMNKLDIANLISKVYEIDRLKLILLNEQQQKLFNYLPKPIIPESMFGEDFQKKMK IVEQKQAYKVILQDEKPEFMRLQEAFVAYVKIQQKDEISEVDRKILDILDEDILELFENL HTNTSKLENIFQDSPMKFQLQFSDIESPKSNFQPDFDEDMANQIPVLPAMLRSQQ >CAK91559 pep:novel supercontig:GCA_000165425.1:CT868663:279426:281274:1 gene:GSPATT00024288001 transcript:CAK91559 MNIRKLEIAHIFICYTFNQLRIFPYLLEILQVKLIIWDKRKLAKYKVFQQQIIIFIFILK SFFMEQLLERYQELEKRNNEELEHDKSITHLLYIEGFKWDDLDKIKKINKISQAKVEKRI TLVQDFGLLRREVEPHSTENEYCKKLLKDIDMHIYSIMFEIDKLEQLKQKSQRFLRLQSQ PNIKPETAPTDLMTQTGGLLSSTSDGQTRMYKSSFQFYRAQRDQQIQSHNQKWIEKQYSI QKKLVEDEKEFQKFRFEIEVKQMKAEMNLEEYQQWQARQLKEQEELNSKRLQLHKDRMLK DQQQRQATLEQKMQRKEKQLKKQMSLLQDFHEEQKQQKEEHFLKILQKSMGALNDKDKFN QYQKEQSEKKELENLRKLKYIEESKQWTKQQFQKQAEQKQQKIERANHILATQDYQRREK FDEKEKKKLNYMEKCKKTFSEYQHMINKGKEDKMHNFQINKAIEDASREQLIKYQFERRS NSQAQAQRLKERRLKIYQEEKAGEHLIKQLEINQRLNQLEWEQELKRRKQQILEDEQRQR QLMIENDARETMREREKNARKLEIEKESREKEMKTLKYLKV >CAK91560 pep:novel supercontig:GCA_000165425.1:CT868663:281332:282873:1 gene:GSPATT00024289001 transcript:CAK91560 MNNQLHNQNCQFCKFRQSKFIQKEIHEKPRYFEQFNFYFAKPITEILANVPVDHVILFKD QLYLHDENEYMKRFYQRDEQDPRLNLLSNFYFEQHKMIQPNLCKVNAHKFMEKRQNKLLK LQQKAQNSQNQQPITKKMIPDHIFSENYVESVSDSYPKEQISWDSKSHNIYEVSQVEAQK SIPINKPTEQCQCSIINTSGDHNKLFNCQNDQELDNIFNDVNVQTSRNLSAITKIPLKKK MPTATTTNAKKNPTPQQSQQPKVLIPPLKLQESAKSSTTTAANNNNKSTSIQSLMKKYDQ ILQKRFQVKEILTERNDIRHVEGYGSSYGNGTVRAEGGSSRKQITDEMLLKLIQNMKKSK NKSDNYQFHASYKSLLQQAQSGPTQSCTFEKENEKKKKYQVYLPKQIKKNPSQMSSPTTV QNTCSPSMLLRSLSNPSMAPSPLRRLEIKVNLNKLIQQQEQEIGYWTQRCKVR >CAK91561 pep:novel supercontig:GCA_000165425.1:CT868663:282912:283920:1 gene:GSPATT00024290001 transcript:CAK91561 MFYKFILIYQLPQNQIKDEHQLCLQYILAIFKELRKTGRRQSVNTDFMQYHKLSQVFNCE VQKDKTFTESFPVSLQRTKPNFKNQLSQLEFDDHQIDFKNHPFTFQDKTDQKTEVTKKKF VFDEHQSTKQGEFKNFMNAMDDYINFLNESSDCLTLNSENYSQLENILFAKFNIIRQKHE ELNKKYKLAQQNFTAQRYQNQLLKKQIVEIHSQLEEERQKSCLLLDINEKLKKKYRDLQN KTQFIQNSFVQDRMKYDHKRQNQFQQSISVDETDRGTTQQTYETNDDQQNVTKFLKSQMR KLRQNNQQIDDYSRLYKKISEHNNDDF >CAK91562 pep:novel supercontig:GCA_000165425.1:CT868663:284175:284661:1 gene:GSPATT00024291001 transcript:CAK91562 MQKSKLKPQFAVLSVIKKFCEVHNYESEAIRIKKPIINNKINDNLDQQSVQVLQLSDELF DKVLAASYYLSFDLLRQACLCILACQIYIDDNENDIERARKQYGLSEITPEQENEAITKN RPVFEQLQKQFFEMLKQFEDEQEEKLKLQQQLQ >CAK91563 pep:novel supercontig:GCA_000165425.1:CT868663:284829:285355:1 gene:GSPATT00024292001 transcript:CAK91563 MINFIFLQVHSIYQAVNVSAIIYNHFDIINTIKLRILCYHLRCFLLLQQCSQNNLVYSIH TITQHFTNWLISKRSRTYFHDLKLKLYLQNEYDRFIHHQVKLKQSLEQITSGLSLKANEF LDPCSYNSNDNLIGNNLQLMVIRVNLSGCIQKSSKIIIIYNYMHQLL >CAK91564 pep:novel supercontig:GCA_000165425.1:CT868663:285361:286134:1 gene:GSPATT00024293001 transcript:CAK91564 MQYIQQLDLSRYISGGEALKTPCNQNKPDKVKLWEQGKLRCVSYCFQFTGKMAREQRFNM FCTYFRSKLHVLHSKLAYDMHCRNFIKEMLDTTISIFLLIVRYECGDDLYQEMRTYFKIL MDAVDYLANHDSVEQVTEIITPEDFHKIIFYLKLLNLMRNIKQRKKFLENYPHAAIKAIQ QCYLYNVIINGPVKHIKYRFAVANQDYAKYGLQFNIQSCNKVVNKQKAAEICWQTLYDLN IAAVIKEGIWDDLKMYL >CAK91565 pep:novel supercontig:GCA_000165425.1:CT868663:286143:286456:-1 gene:GSPATT00024294001 transcript:CAK91565 MQNKVTFKIVNTSDPNLAFRTVSVPQEAPFSAVVKYVAEEFKVNPATSAIITNAGTGVSL QQTSGNVFLKHGSELKIIPRDRVGYC >CAK91566 pep:novel supercontig:GCA_000165425.1:CT868663:286470:287255:1 gene:GSPATT00024295001 transcript:CAK91566 MQHDSESEQQYFNDADEYFLNLPQKCWEFKRVRVPNILPGAKDIYIKKWVKVNDVYDTKQ QKPRINYQASINRTHQELQRLLANSTTNTQELSQLLQKMTQMKKQAVAQQPKIKKVAAPK FYVCPVTTCKKTFFDNSKLRRHQLVHTGEKPFKCELCQKGFSLDFNLKTHMRTHTGEKPY SCRYPDCQKRFSQSSNLTAHLKNHQNPDYAASESEEVIDELEEEIGEDDVDQ >CAK91567 pep:novel supercontig:GCA_000165425.1:CT868663:287264:288128:1 gene:GSPATT00024296001 transcript:CAK91567 MDTKAYSEISEKALITELYRRYRCQEEGLKKRIVLLGMPGAGKGTHSAKMLARFCMCHLS TGDLLREEVRSGSDFGKRLKGIMDRGELIEDEVMCNLIKYQLSKPACANGAILDGFPRTI PQAQKLDEILKQSGQQIDQAIFMNVREDTVIDRLGGRWTHLASGRTYNYKFNPPKQYGKD DITGEDLIQREDDKESTIRNRLRVYQSKTSPIVDYYRQRNILHEINAERQVDEIWRQIKN IVKQK >CAK91568 pep:novel supercontig:GCA_000165425.1:CT868663:288662:295020:-1 gene:GSPATT00024297001 transcript:CAK91568 MLIFFQIQFVIAQWEVYYSSFNRPSLIYCSDTTCPFGFKKHQSTQSALYKNCSNPKGTAL ILQDSQMSTISNYQYYPQNPYSSNHTIVFDVYYISQWNNDALIFEYKNQAYQFIYSTQNP LKFVNGACNSEQYDVRTYQISLPYTNQFTEIKFSLTNSLTKALIKNIHFSYISCHPTCKT CTGVGYNECTSCFSDVTLTDGICRCPQGKTQYGSKCREYCLLGYTDRRGRYCKEYPQSYF LYLHLIYFQYSKLINWDIIYDPKQLSNQNKKAGPLFGIFRYNEGASTIVNTTYYVYPLGV QLYLYTCNATPTNSGISLYLNNTYYSSIYYDGTNYIGNNIYIYQAATIVLSGCSSARAIL LYANLKVEQGGFSFAIKGNFTSDNSGWYLVYAIMGSPQCPIYCLKCEKSYICSQCFSGYK LVSDGNCIKQCPKTSTLQNNICIKYDDVTKYSKYLIQLFYDFTVPDNIKSSFVLESSTSE DFQKGDEIYWSYTDTNVVYGGKYVWATARFSQIYTINSPHHSLTIYFDAIFGYKFDGVTG YLGYSVNNQTEQSVNKNSTVSINVTTPSPTLSISSQCYGATNNVQDKYCAIGFYYIVVHY CSPYCLQCTDENNCLQLDNSIDTSVIILNPSSCSSSQYYDDQYYRCEECPQICETCLNDI ECLSCRYPYQIFVTSCVLQCKINEYFDEQNQICDLCNPVCKQCQQKNECIHCEQSMFRYL FYNQCLCYDGYYDDNINIECQVCDVLCTKCFGSSNTECNECITVDKVEIVGNTCNCKSEY YFEKSNRTCMQCHNKCVTCFSSSEDSCLSCSSLENRRIDGLHCSCQTGYYELNDICVSCP NIEDSSLYQCYKQCGDNSISWFNQVCSAITCLIGFKNQDNQCFPICGDLIVNGNEECDDG NNILDDGCYNCKFQCPKQCTICNQYTTLPCANVCGDKVISGSEECDDGNLIQFDGCYQCK LECQIQCTQCMYGQCYQCQTYGWIVDIASKTCIENCGDLIVMASEQCDDGYNFDSNDGCY QCKRVCRDDCLKCSSDGLKCLECQVGYQPFDYYCKNICGDGYLAIDPFNRNTEECDDFNS TDFDGCSKVCKFQCQTTLCLTCVNNKCLECVDNYYLDQKKNKCIELCNDDIIVGQEICED MNSLLYDGCYNCQLSCQSSCQNCSTNGCSSCLQGYQLIGNRCSSICGDGLKVPEEDCDDG NLIPFDGCHGCKYSCNSTCQTCYLGHCLRCQLGYVMYNGLCEKQQTFINNKLQSLNLDVS LNHIVQNQPTKLFNEFCKEAINGTCLVCQDNYYLNSITSICQSLCGDAYINGYEQCDDRK RQSNIICNQCKLCCGENCKVCQIGICIQCDDGYFLQFKTNSCESYAQCNQVGLYYDSINN VCYDQCGDGLISPREQCEDDNDDPYDGCYKCKYSCYPTCPLCILGKCADNGQTCKVGYYF DTQTASCFNVCGDGILAIPDEECDSEILLDDGDYQCVNCEKICNNLCEICDSYKQCIKCK ENYELLNQKCYSKELNHQPCLIENCEYCEDSKCIECSIGYAYIPIENVCQTICGDGIIFG KEICDDGNRINGDGCDSHCKPSQNTQCVNNQCIQISHPMPQLKFVKEIDNSQIIYLTYDQ QVKLSENYSISIFLDSIKTQINNKVTNVSFSEISALDQESYKYLQIEINIGYEEIIINPI FSIHFTDLNIIINELGMTSIQEDISIQLTSPNVLSEKQKQITQSLIQFSGYQVKIIAGLI LLSSFGGKFEIIQNQIDTIQQLYYLKYINTRKGQNLIQFFATFRIIQLTNLYGLIGFNPS NDLFFEFSSQKSEAIFEEDGRNANYLANFIQISTVFALAYLTHLAIQIWINYSMNKIQNF QIQNFNMFLLQGLQKVIRFSIKNCRNNFSEQFKGLFQSLIYEYSISSFLSLIYQDFSSTE GKFSLILNGGVTYLLFYFLLFQKNSDKESRIEFTYSCVQKLLFGVILIVCFKSAILQIQL CALNEFIYFYHLFKLKSDLDKFSLFKKQLKHSNLFIINIMLLLNELYKNDPFKIIQLGWA IIAMMSSVLTMTLVVDVCKILQPFLSKLLDRLKQQRPIFKNHEILDQIQQKNFK >CAK91569 pep:novel supercontig:GCA_000165425.1:CT868663:295750:296241:-1 gene:GSPATT00024298001 transcript:CAK91569 MKAISIQGNISIEDLSNQRINLDTQLKHSDDQYVRNILPLRIQNFKIKRLEWKDFIGKPN DESPWIAHCYWNNSYEYYFTQDREQANYREQSQLRLPRIPLQQINQVNQLNVKTETARTT VLIKSKDCLHQPLVHHLKELSTEVIQVLLLENNPQAFKKSNYM >CAK91570 pep:novel supercontig:GCA_000165425.1:CT868663:296574:297303:-1 gene:GSPATT00024299001 transcript:CAK91570 MASTIILNRVSLYIFPPQSKIRLQINKLISQKYFEFFILTIIIISSILLALDDPLSLANN VTLNMIDEIITALFICEALLKIISKGFIINGPDSYIRSPGNILDLVVIIFSSLTFDQSQA QTFSKINILRVIRIDSQNEDLKMSINALFNSLSQKMNIAFVCLIFFLLFEIFGVTQFKGA YYYCDIPVYNLNGKNMYFYFQALICVAQDFLASSGFISKL >CAK91571 pep:novel supercontig:GCA_000165425.1:CT868663:297832:298736:-1 gene:GSPATT00024300001 transcript:CAK91571 MNSQFSQQPKLEQDHQPLPYSLPPLQNPDRVQYYPIFYIKQNNQPIHSNFQQLPIDQQNG WFVCHPVMQNDPFFPHISNFSQFTHKPPTFNAEIPIKIEDDAEIPQTQQSQQFQEPNSNK KNEKSRNKKQKDQNKLRRNVENSKELEIQPCNCSQSNCLKRYCACFHSGRMCLDECQCKD CKNCTEFAEERDEAINHVYKKCHRDKKVPVNELLSLQMSYGCKCKSTGCQKKYCECFKRG QICGEQCACEDCLNIPFSLNQKDLKKKKTLLK >CAK91572 pep:novel supercontig:GCA_000165425.1:CT868663:298819:299894:-1 gene:GSPATT00024301001 transcript:CAK91572 MSNIPPSQHPPQAPQPGPYQQPTFQPGFAPQYAPAPVAYGPPLTQSPLRYSQPLYQAPVV QQPVYAQPVVQQPVYTQPVVQQPVYAQPVVQQPVYAQPVVQQPIVTQSIVAQPQPVVAAQ PIQGESRIEYVPYEKTVIEYEEVRQKIQVPKEKYVTDYYAVEYQTEYVPQVFQEKFTEYV PVDRYQERVEYYPVERQVVHQQVQPVQQVVAQPVVQQPVQYVQQPVQVVQPQPVQVVQQQ PVYQQQPVYQQPLVQSIPVQTVRPPVYGPATTLPLGQTVSPRPLGTTVPAKPLDKTQGPK QPAQQQNKQKSFLDRLFDRD >CAK91573 pep:novel supercontig:GCA_000165425.1:CT868663:299992:301483:-1 gene:GSPATT00024302001 transcript:CAK91573 MRNFDEENEIDLIEEDIPIVNEPIFQWISFGDEQYHYNFLDDISMLRHDSIYFEEEDNSR LFRVPRIQLGLSSSREKPQNIQKYLQKRTIPIQNQQFDLRLPHIKVTQLRNMNICHEYFI NEFGLQDSQKNTNSVDILIGRLFRQNKDIIPIDIVLPEDRVISRIHCKIVCNDYFRKDQK LDPLHAKVLKLIQIPSIIKFRISQFLEKPKIVQIQDLGSICGTYLRILKQKPCLLQKDQK FSVGSATWFNIVFNHTYDSKLKQRDPEWFSIIKHLISLKQSQKHEIHMNENQPLPFEPLD SIKNLTVSDLYKKLSEYQIPILVVKLQGQGVDNNKSLNLFIGNTETDTTDFFVGRGAENN IKINSNTISRKQCRIKYSQKYPGWIINDGLEDRESANGTWINLQTAEQSEKKIESNLIQI QHNDEIKISDFILKVELFKGTKQGNGHIINQFLHD >CAK91574 pep:novel supercontig:GCA_000165425.1:CT868663:301593:301955:-1 gene:GSPATT00024303001 transcript:CAK91574 MLKKFVLLLILIIAIQARHHRLRPTKSDVGHNLAMKPGDACLPTTNLGGQKKEETAANQA RKHLTKFADDLTEENRKATQKEPFPNLYTYQDDNCVGKAQDPPKRDRRMRRS >CAK91575 pep:novel supercontig:GCA_000165425.1:CT868663:302006:302601:1 gene:GSPATT00024304001 transcript:CAK91575 MQQLLNCLIDKDMILQSDGTLYIGSFYQQHLEGYSLVINPDGSYYYGLFKKSKPKDVGLY KLKNSCLKIFWGEDDSIKKVEDIENIGFLQKIITFKNNCYGNKILIKINPLTYGVAKNQK CDGFTVMHYKNGDIYYGNINKGKQNDEGIHYNNYFQEWYWNKYANGKLISHQLYGKNLVL FIKRNLKLYM >CAK91576 pep:novel supercontig:GCA_000165425.1:CT868663:302718:303200:1 gene:GSPATT00024305001 transcript:CAK91576 MVSLSNIQQLIINQLLLNNQQNHLSFASTVQSAHPTHREILSQILIDDEDTNNEELEILQ DSKIEQIDQELHIYNQNSQNDEIEAKLSKCQTDKALLKIEKQQRLNNFLTQPSPSMSPKD NIQQKTTSSSMYSTTKTTIYKRSVSPIFTTVRHLFSVIRI >CAK91577 pep:novel supercontig:GCA_000165425.1:CT868663:303236:303536:1 gene:GSPATT00024306001 transcript:CAK91577 MAQADRKAVVKNADMSEEMQQDAIDCANQALEKFNIEKDIAAFIKKEFDKKYNPTWHCIV GRNFGSYVTHETKHFIYFYMGQVAILLFKSG >CAK91578 pep:novel supercontig:GCA_000165425.1:CT868663:303558:304430:1 gene:GSPATT00024307001 transcript:CAK91578 MEDNQCLASLFADLLQIVKPQSADVQQFKEVSNERLRKCILELVRELTTLVQNLKEQLEE FIDNKYEQEIQRLENTIRQHIRVEQQQRLHIEALTQKLEEEQQKSDAEIKNQNEKIKQLE NMCSQISINKVQNLNQTNFIIDPNKKSPTAYDRLNQLVSAKTKQYTQSAATINSQQLLKI YSNPQQLLKKMQDQKNIEQMNIRVDTQQSEKEQSLLKLRRCAEDNKSEMFQSQRVESSSL EKYNRNSKLMRNSTRQSQILGNSFDLVSKTMQHYKKQQKSLSTLRQSWKK >CAK91579 pep:novel supercontig:GCA_000165425.1:CT868663:304473:305753:1 gene:GSPATT00024308001 transcript:CAK91579 MINLAPVSYEAYKEKFDKISEQKIITPIQSQTFKCCNKEFKSSKTYQAHLVSKSHQQNQL KSPITTNRSQTGNSLLNSNVCLFCDELCDDLEECLKHMNNHGFLIREQKHCINVEGLLKA LSEQINKKQHFHAAKDHMLDKGHCFMPQQEYKLLSKYYNFEEKLLGILAEQKEQQLQIEA KKQQLQLKQEQKEDEKDKKIENQPEDEGEWEDDEDEDIDIDSDDEEEDLKQKQKDDLQTD EELRQKRLKQLLKQISKHKATLTKTGELLLPDGKLLGHRDYRKYYKQNHIPFKVSETEKV EELDIADEKQLALLSDELIVGHLRHFYYQKTRTLAHGQTKIGQRNNLIQMRWLRKSC >CAK91580 pep:novel supercontig:GCA_000165425.1:CT868663:305942:309211:-1 gene:GSPATT00024309001 transcript:CAK91580 MEVLKQKKSKNKRSSGGSEDKLIPPDKQDQQQETANFSPKQGNQGSNLNVESNKVVSNYN INNATGSEFSDVSSCCSNESKDQQLESSQSNSPKKHNMFKEKNLYQKHQEILMSLLKNLG INEKLLINYKEIKQGPQIGKGSYGIVFKGNWLGQGVAIKSYCQRKDQQMHKQLMADFLKE VQVISNLRHPNIVLYMGVCIKQDNFYLITEYMENGSLKTKNLNFIQIIEDITLGMNNLHG RKIMHCDLKSSNVLIDSNWNVKLCDFGLSKIKSKKTKIMIGTPHWMAPEIMRGEPYTEKS DVYSFGLILWEIITGKMPYENLSVTQILGTVGRGHTQVEIPQSSNPPILAIIAKDCLKRD PSQRPIFAKNPERIQESQKQKCKTRRKLRNTQLIFYLIDININIFIQLMDSKKQAKGSEQ VQKSRGNIDMLIRTKKEELYTIKQKNDQKQKETRAQEEFKRAERANKIEDESKKANSKNA ELEQEWCNLQEKDECEELNKLINQQKDKFAQIMHAKDELIKQFLDELNKKDDDFGKMIKE QAIDIQTLIQKMRSQFFQLRECIHEDLESIENEYIEERANLLNNYQTEIRNLFDKRTEKE KSFVDERERKEEEYTKDIEKLRIQGLKSYAELKISMETEIQNLEKCYEDMKALYQLNTEK LDYNLKVLKEKQISHTHTHDDLKRKDQNLNNRLRSLIKDYNEFDTKFKQTNKELTQEYKR ITRQFKELQRKFKHFDKADQKAYADIHSMNELEVRKLKAKILKCDKTIHIQQLGVDWEEP QDQKETEEKSQIMAEEEVQLPLSEEKLQEIVEILIEEVDFLIDDKMRETLEKAEQQEISN VKLGVIKKCLNIDSIDEMLIFIDELIKNCELKVQEEQPQQEAVTEAIKKVQIEQPQTVPD EAPKINYDTFIINQNSIINFLMHWMKTNEQRKKQIEKMSSRRAAKQETERQKKERIAKEG KKYWEKLTQVLPEKTFRVWRILDKQLSKYYELLLKRSKLVEETGQIHNHNEELKNLLNQY LQINHELKIPPTRFLKLEQQQDNVKLQNSK >CAK91581 pep:novel supercontig:GCA_000165425.1:CT868663:309267:310230:-1 gene:GSPATT00024310001 transcript:CAK91581 MNIILFTQIRMNILYLQLLICKGEMKDNTKHGKGVYKFANGNRYEGEWHSNQKHGTGKYF YSSGELYIGQWQRNKKNGYGQHFGLYGDRYVGNWLNNCKHGQGTIYYADNSIYSGEFQDN KKQGSGYFYNAITRQLSYQLYDNDKLKEQNTVDQVPCDFENVFSAFLVIDNNKLYLQSLP NNKLNETHQIQQETLSALNEIVTLKGKKKMQEWNIDEVCTWLDYLGLSQYQEKFIKNHMI GEILHDLTDVELKDELGIDISAHRNLILSKQIYIRNIISKKWKGQSTGLIVKTQVINHQI >CAK91582 pep:novel supercontig:GCA_000165425.1:CT868663:310559:311247:1 gene:GSPATT00024311001 transcript:CAK91582 MNNIKELNQFMLDYELKPSQKLIKYLVIIGISAMKSKTQDISIETIKLIASSCKQQKLRD GMAELKEKVNNIQQSLSPKSSNLKFSENKSISKYKDQLMESQFSPILKRKDDAQNRTKKN QIKQDIDCNLKSLNQDKKENNPLNNQFQNQSKLNQQTQQSSDYFIQKKVSLEQIANHFLS SPLVKNPMSARNIAQQESELKFFFRIHGN >CAK91583 pep:novel supercontig:GCA_000165425.1:CT868663:311825:313257:1 gene:GSPATT00024312001 transcript:CAK91583 MQNPKAQEIKQQSCFDYIFDSWIQVKEQPKIKYSQQTQLTVLSQRQKKFKCTNKLNQNND YIIKVKSQIDKKEQDVSLNSFRIHLDEFKQKEEQSFLKQPIIKPKYRSHSVSKNYNEDYQ VKHVSVANCSTMISSNAKSSLQNSLNFSQNCKLIFPKIKQQYSPKMRIKQFRILCKVIGK LVVLFLKNLAKTSLQRIGNIQKLQYVLNLKKIFKFDRKIKESLSKSFREWVDPSLKKIQY YLQNTLVQKNDDNLLDLQKQKDQEQILNLNFAKFLFQNLELITRKGKIPKEIVSAMSKSL YKENNQYVSSFVAQRTKFLKKSFSILEAQLICCEYILFNAVIIQLFELANNLKYQSFNHN LKCKIQILMLTTIINQFYIEAFKNMPIINFDIKKEQLYTRMLYVTTDQEQHLKLVDTKEV NHSETLILGLQHQEYIKGLFQQKEKQKQKLQLIFNKFIHNLSSQIDISE >CAK91584 pep:novel supercontig:GCA_000165425.1:CT868663:313930:314273:1 gene:GSPATT00024313001 transcript:CAK91584 MEFQLYYNEHSMETDYKVNTQDLFDIYLCNNSKIRKDQGLILFKSQKDNTNIFQKFGLIK INLLKSKMNTQGINLKGESSNTIIIRQPTIYQDNIYLSNKCCVFD >CAK91585 pep:novel supercontig:GCA_000165425.1:CT868663:314418:320141:1 gene:GSPATT00024314001 transcript:CAK91585 MSQPSMSFFQTRQRAIYSEVINPENSVMYQDLSYLPDITLNTTMKQKVPKAYSLKKTTNT SRLSGAHSIQKISEELVVEPKVLEPYEPIGDNIPRKVAINRKQKLYASFNILQLLDQEGI DLNMTNPQVQWLPLELFDDNSNDDYPNEDWIKRRVDEEGRNRKLYATCLLKEDGIYEARR CEIIEYKDGLFSGKLKEKDGRDFKAHRLYICFDAEDPRKFVKRLGTALKNRELADSRVRY NYFIDGMPIQDLSELDTEQKRRLEQLAKTKKLENLDTTALIAEVNYDYMRTMNKIIFDRY LEYEDEELNLQIDEDTSKQVPYYGMFPVSKEKQLEMYNPREITQIDPKNFRETFKDFCFS TLFIKTEVIRALQEIRYECNKMQEFEIFNFQIDKVMVLEEFKHVQESSISQMLYSLRGSW IQDLLKIMKTYFSGVGKGWFNMKESNKITYDFGKLKRFLNVVRLMMQDTVQSLVENNYKR FVNYLKSFIPDEVNVDDPSNIINKYKVVGVKPIFTIDMIKTNDDKEFLYSTSPMNFVNMI IHLFDKTLDEITKVPDLEPKLLTDLYKQKTDSFIKTPVKPKERPQTPNPNERPKKYPDEN KWIWLLIEHIREELIRGIKPLEDYLKIFEKFKPILQMNPDDEIRRIEMDDNPWEIDQLKE EIFKYNKMEEELKTQIPDIVEVSIFQVQCREIKMYLCEKYSMISKLLIDMIARRARKKTM EIFQDYQRIQSKIKESPNNIEKLTDIKEFMQNLPNEVDKLKIETQKCFDIYKILDEFSYR GFQKEDLDRKWYVFGCPKDTFDLVAKRQKDMEKEKVKFQDEMKVSQEEFKESIDNLERTI QNFSQHQALKNHAEIAQTAQDVNKYLAEFQDQARKYNSREALFDQEQTDYNKITMLQREF QPYSNLWITTNQWFTNQESWFKDELPTIDAVAAEKWVEEAIRILIGSCRQFKDKDLQGVL KIATSIKQQIEEFKPKVPLLVALRKQGMKERHWLELKNRIGFVKPQPESEFTFTKVLEMG LMQNVDVCVEVGEKAQKEYMIETMLDQMETIWEGINFQLLPYKSITFIIRGYDEIQQVLD EHIVNTQAMSFSPFKGPFEDRINNWNTTLKMTSDVLEEWCKCQAQWMYLQPIFDSPDIAK QLPAETKKFKTVDQTWKHTMTQAKTYAQVLRVCTQEGLLERLQEANKNLEIIQKELNNYL EKKREKFARFYFLSNDDLLEILSQTKEPTAVQPHLRKVFENINSIEFDDAKKIHAMYSAE GEKVGFVKLLDPNKKNVEDWMGEVEDMMKSSVRQALVNSVSDYQVKLRHEWVQLHPGQCV LNGSQILWTKEVEQAIKSNSVMEYWEKTLKAQINKLVELVRTKLTKQQQTTINALIVIDV HAKDVVEKLFKENVTDVSAFEWISQLRYYWENDDCFVKCVQTNFPYGYEYLGNTLRLVIT PLTDKCYMTLMGALKLNLGGAPAGPAGTGKTESTKDLAKALAKQCVVFNCSDSMDYLMVG KFFKGLASAGAWCCFDEFNRINIEVLSVIAQQLLVLFGEKAKGTPQIEFEGSIIKIQATF SVFITMNPGYAGRTELPDNLKALFRGVAMMVPDYALIGEIMFYSFGFELGRELAKKMVAT FKLSSEQLSSQDHYDYGMRAVRSVINAAGILKQQNPTMDEEQLLLRALRDVNVPKFLKDD LPLFENIINDLFPGVEKPVYSYGDLLEDLNICCQQQNLQNVKVFIDKCLQLYDTIQVRHG LMLVGPTGGGKTSTITTLQKAMSCKLKVHTHILNPKSITMGQLYGQFNEQTHEWTDGVLA YIVRETVKDESGEKHWVIFDGPVDALWIESMNTVLDDNKKLCLNSGQILTLTPYMTMMFE VEDLAVASPSYSQQMWYGLYGT >CAK91586 pep:novel supercontig:GCA_000165425.1:CT868663:320335:326936:1 gene:GSPATT00024315001 transcript:CAK91586 MRILDCYLAQYVDTELKKIMVEEMEQLEAALEKLFFFSLTWSIGATGNNRQGFNDTLKSL AKFDKPEDFYEVYLDISTNTFIAWNQMYQNFSIDSKLAYHEIMIPTADSTRNMYLLKLLL SNNKNVLNPGPTGTGKTQNIFSLLTTGMGDDFLYIALTFSAQTSANQTQDTIDSKLEKRR KGVFGPPIRQRMIIFVDDLNMPKKEQYGAQPPLELLRQYLDYKGWYNRKELSFMKIEDVI ILAAMGPPGGGRTFISNRIVRHCNVIAYNELSNNYISEIFSSLITFFLKRFNEPIKNCIP TLVQSVLIFYQQVRSTMLPTPAKSHYTFNLRDIWRVFQGISSAAPKSTPDVVALVKIWYH ENLRVFHDRLTTEEDRQELKNMLKIGFTQFGVTSEQVLDSERIIFGDFMQSRDADIKVYQ QIPDMHHLVNRMDNYQEDYNTDNTFIIGGAKKQMRLVMFVDATEHISRIARIIRQPQGNA LLLGVGGSGRQSLSRMATFVTNYKLFQIEVIKNYSMRSWREDVKKVLMIAGIENKPVTFL FCDTQIINEQMLEDLNNVLNSGDVTGIYQEKDFEDITQACKQECIKRQIPPTRMNIFTQY LIRVKKNIHLIIAMTLRMFPSLVNCCTIDWFTEWPEEALVGVGKGQLADYEQELAIEGKI PVLVEMFKNLHKSVEKLSQKFLAELRRYNYVTPTSYLELLQLYRTILSDKRRDLNQQIQR LKGGLDKLIAANDAVEEIKITLKEMQPKLEQASIDTIKMMEKLKVDKQEADDTQKIVARE ESEATKQQEEATKLAEQAEASVADANRTLELTIVEVQKLRKEHLVEIKSLGSPPNAVKVT LAGVVILMQEYIKQNGEGQIGARKYLLSDPQKLLEQLLKYDKDSTNPAHIKKLEEKVIPQ PEFNIDAVKNCSFATKFLYMWVKAMYDYYRVYTETKPLRDQLIAMRKIVEEKTAELKIKK EELEKVNAKIRELEEMYNQKILEKEDLQNKMKECEIKLERAQKLTEGLSEEKERWGRDIK SLQAKVELLPGDAIVAAGMVAYSGPFTSHYRTSMEGDWVLKLGVVGVAHSEGVTMRQFLG DGVKIQAWNIAGLPKDDTSTENGIIIDKSRRWCLMIDPQNQANKFIKNMGRDNAEGIDVV KISDVNLMRTLELAIQFGKWVLLENVGRELDPSLEPILNQQLVKSGTSYTITIGDKQLTY NEKFKLYLTTTIPNPHYSPETFVKVTIINFAITASGLEEQMLAQIVALENPALEQKKIEI VKKNAADKKQLLAIEDSILKSLSDQKGDISEILLDETLINKLQTSKRFAAEINQRVKDSK ITEAQIDEVRESYRPVAFRSSLLFFCITDLANIDPMYQYSLQWFTKLFVLGVENAQPSSV IEERLKNLNDYFTYSLYENICRSLFERHKLLFSFMLCVKILQGAQMMDDKQWRYLLAGPQ GDIKIAHNPTAWISDNSWPDIYRQMKGMSTLEAFKGFDQFFLDNSDQFKGIWDSSQPQKE QLPEPWQGQLTQFEKLIFLKALRPDKLVPAIQDYIDQQLNPKFTIPPTFDLEKCYKDSSP MSPLIFVLSAGSDPVADFLKFAEEQNMAKRFDSISLGQGQGPKAERMVKDAIQRGGWVLL QNCHLAISWMNDLERICEELNENIHKDFRLWLTSMPSSSFPIPVLQNSVKMTIEPPQGIR ANLMRTYKNLDDKELSECTKQDIFKKLLFGFCLFHAIIQDRRKFGAIGWNIPYEFTNEDL TVCKRQLKMLLDEYDKVPYKVIQYLGAEINYGGRVTDDKDVRLIKTIIKKYICHEALRDG YKFSESGIYVSLASTNQEGYLNYIEQLPLNPDPEAFGMHENAEITNSQNTTRILLETVLS IQPRQSSGTGKSREETIEEIATFVQSRTPEVLPFDDIFKKYPTSYEESMNTVLVQEVIRY NRLLATMKESLINVKKALKGQIVMSDELESLANSLFDNQVPLMWAEKGFLSLKPLSSWTN DLSARINFLQSWVDNGTPKVFWISGFFFPQAFLTGSLQNYARRHVIAIDKLNYEFKILDT LSPQDIEEKPEDGCYVFGISLEGIRWDYKKHFITHPRPKELYSELPLVWLLPCIEKEYPK DLVIYQCPLYKVVSRAGTLSTTGHSTNFVTFLELPSKDSEEQWIRAGAAAFLSLRY >CAK91587 pep:novel supercontig:GCA_000165425.1:CT868663:327198:327836:-1 gene:GSPATT00024316001 transcript:CAK91587 MNQELNQQQNPKFHINLMQKLCQKAMIKCKEEQINQKFYYIPKKRLSSNCNKQIMNSLQQ PQTERMSTEISQKQRRIIFNKKNNYCWSSQENINEKNKQLSNLSLRALKDECQQKEQMRF HIPKLSLGNEKQLRLVQLNKQLQSLTSRQTVKRQASPINLQLRKVNNDCLIQNRQKQLDQ MFEAQKKIMKYIIHQDEYFNDALIQLQKSISQ >CAK91588 pep:novel supercontig:GCA_000165425.1:CT868663:327987:328556:1 gene:GSPATT00024317001 transcript:CAK91588 MQKLHINLKSASYQNLQRVKSLDLLSPVSQRVLEQVRIQEFKNIRTSKKKFNSPLKEVLH LDSNKKDLKTHLPSISQIPNSDLEISNKISKIKLKIVPREENESKLNLQKFKFNDRNTIR PNKVCIKKKEMDNQQKRSQSFNNKIHINKVQHQFMEQLKSLSTTILYHLSQQTNQLDRQF DQLENQLSF >CAK91589 pep:novel supercontig:GCA_000165425.1:CT868663:329503:330525:1 gene:GSPATT00024318001 transcript:CAK91589 MDFNEIQTKVTVALQQFTEYTQNHLHEYGYSIYILVGIISLIVGFKIGKSDILVSKKQIK QLEQFKYLKEQKEKSKEQLLNEFQKLTKFSKIFYFLILIGNSCIYYFKIEVGSIEIQIAF SLALIILIEGYKVYEKYRLSSIKHNLEQTEKETNQIIHEIQKNLGEEIRTILKKEALLEQ RDFIKKQLQASEALLKQQYEDKYKGQIEELRKKILTLENKEQQQKEEIQNFKRTTQIHKT EPLIRISQKKIEDGTTNLRKEIAHLEKEGKIQKDMISKVINFEWCGDCVSKEVHCIKNYF QYASKIGVEYQQQLDQLKQEEQVQN >CAK91590 pep:novel supercontig:GCA_000165425.1:CT868663:330579:332135:1 gene:GSPATT00024319001 transcript:CAK91590 MEITLTATIFSLFGWNVYLLIKKYRERLRQNFLHNLIDQESDNLIKNVAPYKKIKANEQS EKVVKKTQLEEAESSSDDNELIQYRRSKTILDEVRQENFQEQCYIQNSTYFDIINGPCRK DRRQNRHNKDFSFTTQNQDSKQMKKFRKNSKIYIDDSLPFESPKKTNKNSSNKVSEKKIN QFDFSGLKDQFDTQDQQQDQQQELTKCVTDLASQFELKQDNQNQEGNTNPLQFSLQPEKA NDEVKEQSNQQQGETVNIFGKFITQAPPQLKDKQQQEQKQLSPQPQPLQQEPAKNNFGLF SNLLNTTNPNPDVVTDKIVQPVQINQMPQVQIQNLNNGFQLKINEQNQPQQQQQNTSLFQ NLGQINNNQIPIFNLNNQNQDSINKNGSADIKQSSAIQNTTPSSFTFGVNSNIQTNSQGG LFDNLLNKNGGLFGNQTTSSYISQDQINNKPNLFSSQPQQTQSNLIQPQPNTGSTLFGNI LSGQQPKEQNQQSQTGGLFNFSSNTQVGSGLFGNLLKS >CAK91591 pep:novel supercontig:GCA_000165425.1:CT868663:332352:337110:1 gene:GSPATT00024320001 transcript:CAK91591 MVGQSKDEPEDLVEFSVHGKLLSYTRKSLNLFDAENKIRLWAIWMIEWMYIQVNLIFSWF DRFIITVILLNSILLATQDYSWRETNSEAPSSWTDSFEYIFTAIFIIEFLLKMIAMGFML DKQTYLRDGWNFIDFIVVITGIISLFISARVSAIRIVRIMRPLRSINSLKEMKVLIITLL DSLPALGNVVIFLLFIIILFGILGLQIFMGALENRCRETEYPDGNIWKASNYTKLCQDSS NCPAGTYCGNPNTYNLPQQESDDENFNYGYTNFNNIISATFTIFQALTTEGWTVLIFIYQ EALSTAIVYIYFLLLIFLGSFFVVNLILAVINDSFIATQMRASLKNSQTSASSIHQEEQD EEIEQNEDAEHQVALTGNLNNGDQTQNNQFNPEQQIREPIAQNINETNAFKNQENQNTGE QQQQISKSNTIEQIQQVHRSSSKQRCLKIQPTKFKQFQQFLIVIIETKYFLAFITSIIIL NTITLSLDRYPISKTESEILDVANQIFTVIFTIEMTLKLIAFDTSYLKDSMNIFDAVIVI LSLIEWILRSFSAIQAFRTLRLFRLFKLARTWSSFRKLLQAIAATVGGIAYFIILLLLFM SVAALLGMELFAYKTPYRYNFNNYLSSMLVVFMLLTNEAWNTIAYTFMYDLGSVYPVIYF VIVIIFGNFILLKLFIAILINNFHEANIDQSQSIEDNRNLLSSRHDQSMNDNQQTIKMNQ VSPEQIQQSFQVIKSASNQQTNNNSATRFKNLSQQFMASTIILNSTINQSLLEGVSLYIF PPQSKIRLQINKLINQKYFEFFILTIIIISSILLALDDPLSSANNVTLNVIDEIITALFI CEALLKIISKGFIINGPDSYIRSPGNILDLVVIIFSSLAFDQSQAQTFSKIKILRVIRVL RPLRLIVRNEDLKMSINALFNSLSQMMNIALVCLIFFLLFGIFGVTQFKGAYYYCDISEV NDKLECFDQGGSWQNKNFNFDNVLNAMITLFVISTTEGWINLMSDGIDSRGINLNPKENN AYGWALYFVFFIIVGSFFIINLFAGVIVEAFQSEKERLSQMKDLTKQELEWYEIQQKIYS SVPIEKFKISKHKMIRWLNEIISSNPFEIFILSVIILNTCVMMIQYLRSPQELTDAIQSL NWIFLAIFSIEAILKLIVYRKFYFSSGWNVFDFTVVLLTILGVILEQSNVLSNVGTATSI LRTFRIFRVLRLIKSAKNLRIIFGTFLITLPGLVSVGGLLGIMLFIFAIIFMNLFPYVKR GEGVTENSNFSSFGVSLFTLFKCSTGEDWNLVMMDTARTAQPNDICFDFNDYANYAEYGF MGCGNVGGILLMIIFMIIVSLIMLNLFVAIIIEGFQNTSKEENAPVKKLDVENFQQLWKD YDKNATGFIQCKYFTQFMMALPQPLGWSKLKYSAAQQRLHMAQLNLPVYNLNGKNMYFYY QALICAAQDFLESSGFISNFEISKDIVKLKLQPLMEKAFSKVSNLETEFDSGQYMAAVLI QQNTRRKFQRLKKSKSSAVIAQYTNDDEVQQFVA >CAK91592 pep:novel supercontig:GCA_000165425.1:CT868663:337229:338041:1 gene:GSPATT00024321001 transcript:CAK91592 MKAISFQGNISIEDLSNQRINLDTQLKHSDDQYVRNILPLRIQNFEIKRLEWKDFIGKPD DESPWIAHCYWNNSYEYYFTQDREQVNYREQSPLRLPRIPLQQINQVNQLNVKTETVRTT SVNKIKRLPTPTISSSPQRAVNRSNSSSSLRKQSPSVQKIKLHVKCKLLEKSWAKSKKDD LLLEHETGHYLIGCLSALEFKRKVECSDVMRNNNHQQQIRTIFKQNLREYIQIEKDYDEE TNHYKDVEKQKIWNRKLKEQLLLYEYYFNH >CAK91593 pep:novel supercontig:GCA_000165425.1:CT868663:338274:339647:1 gene:GSPATT00024322001 transcript:CAK91593 MLIFFQLQLAIAQWVVYYSSFNQPYWIYCSDNTCPFGFKKHQSTTQNALYKNCSNPKGVA LILQNSQMSTISNYQYKQQNPSSNNHTIVFDVYYISQWNNDALIFQYKNQAYQFIYSTQN PFKFVNGACNSEQYDVRTYQISLPYTNQFTEIKFRLTNSLTKALIKNIHFSYISCHGTCK TCTGVGYNECTSCISDITLTDGICRCPQGKTQYNSKCREYCLLGYTDRRGRYCKTYPQSY FLFLDLINFQYSKLINWDIIYDPKQLSNQNKKAGPLFGIFRYNEGASAIVNTTYYVYPLG VQLYLYTCNATPTNSGISLYLNNTYYSSIYYDGTNYIGNNIYIDYVGEKVLSGCSSARLI QLYANLKVEQGGFSFAIKGNLHLIILDGICYKQQFAVHNVRQIVQNVKSLTFVHNVSLGI NQFLMAIAQYNVQKLQNFRTIFVSNMMM >CAK91594 pep:novel supercontig:GCA_000165425.1:CT868663:339652:344631:1 gene:GSPATT00024323001 transcript:CAK91594 MQTTLQYQTQNILYNYSMISLFLTIQALLFEDFQKGDEIYWSYIDTNVVYGGIHVWATAR FSQIYTINSPHHSLTIYFDAIFGYKFDGVTGYLGYSVNNQTEQSVNKNSTVSINISTPSP TLSISFQCYGATNNVRDKYCAIGFYYIVVHYCSPYCLQCTDENNCLQLDNSIDTSVILNP SSCSSSQYYDDEYYRCEECPQICETCLNEIDCLSCKYPYQIFVTSCVLQCKINEYFDEQN QICDLCNPICKQCQIKNECIHCEQSMFRYLFYNQCLCYDGYYDDNINIECQVCHLLCTKC FGSSNTECNECITVDKVEIVGNTCNCKSEYYFEKSNRTCMQCHNKCVTCFSSSEDSCLSC SSLENRRIDGLHCSCQTGYYELNDICVSCPIIEDSSLYQCYKQCGDNSISWFNQVCSAIT CLIGFTNQDNQCFPICGDLIVNGNEECDDGNSILDDGCYNCKFQCPKQCTICNQYTTLPC ANVCGDQVISGSEECDDGNLIQFDGCYQCKLECQIQCTQCMYGQCYQCQTYGWIVDIASK TCIENCGDLIVMASEQCDDGYNFDSNDGCYQCKRVCRDDCLKCSSDGLKCLECQVGFQPV GYYCKNICGDGYLAIDPFNRNTEECDDFNSTDFDGCSKVCKFQCQTTICKTCVNNQCLEC VDNYYLDQKKNKCIELCNDDIIVGQEICEDMNSLLYDGCYNCQLSCQSSCQNCSKNGCSS CLQGYQLIGNRCSSICGDGLKVPEEDCDDGNLMPFDGCHGCKYSCNSTCQTCYLGHCLRC QLGYVKYNGLCEKQQTFINNKLQSLNLDVNLNPIVQNQPTMLFNEFCKEAINGTCLVCQD NYYLNSITSICQSLCGDAYINGHEQCDDRKRQSNIICNQCKLNCDENCKICQFGICIQCD EGFFLQFKTNLCEIYAQCNEVGLYYDSLNNVCYDQCGDGLISPREQCEDDNDEPYDGCYK CKYSCYPTCPLCILGKCADNGQACKVGYFFDTETASCSNVCGDGILAIPDEECDSGILLD DGDYQCDNCKKICNDHCEICDPNKQCIQCKENYELLNQKCYSKELNHQPCLIENCENCED FKCFECSMGYSYAPIENECQTICGDGIIFGKEICDDGNRINGDGCDSHCKPSKNTQCVNN QCVYISPPIPQLKFVKEKDNSQILYLTYDQQVKLSENYSISMFTESIKSQINNKVTNVSF SEISALDQESYKYLQIEINIGYEEIIINPIFSIHFTDLNIIINEFGMTSIQQDISIQLAS PNVLSEIQKQITQSLISISGYQVKIIAGLILLSSFDGKFEIIQNQIDTIQLLYYLKYINT RKGQNLIQFFETFRIIQLTNLYDLIGFNPSNDLFFEFSSQKSEGIFEEDGRNANYLANFI QISAIFALAYLTHLAIQIWINYSMNKIQNFQIKNFNMFLLKRFQKVIRFLIKNCRNNFSE QIKGLFQSLIYEYSIASFLSLIYQDFSSTEGKVSLILNGVVTYLLFYYLLFQKNSEKKLH IEFTYSCVQKVLFGIILIICFKSAILQIQLCALNEFIYFYHLFKLKSDLDKFSLFKKKLK HFHLFSINIMLLINELYKTDPFKIIQIGWAIIAMMSSVLTMTLVVDVRKILQPFLSKFLN KLKQQRPIFKNHEIFDQIQQINCK >CAK91595 pep:novel supercontig:GCA_000165425.1:CT868663:345126:345989:-1 gene:GSPATT00024324001 transcript:CAK91595 MDTKAYSEISEKALITELFRRYRQTYCIARNAWSWKGDSFSKNAGPFLHVPSIYRRPLRE EVRSGSDFGKRLKGIMDRGELIEDEVMCNLIKYQLSKPACANGAILDGFPRTIPQAQKLD EILKQSGQQIDQAIFMNVREDTVIDRLGGRWTHLASGRTYNYKFNPPKQYGKDDITGEDL IQREDDKESTIRNRLRVYQSKTSPIVDYYRQRNILHEIDAERQVDEIWRQIKNIVKQK >CAK91596 pep:novel supercontig:GCA_000165425.1:CT868663:346033:346230:-1 gene:GSPATT00024325001 transcript:CAK91596 MIPYSCRYPDCQKRFSQSSNLTAHLKNHQNPDYAASESEEVIDELEEEIGKDDVDQ >CAK91597 pep:novel supercontig:GCA_000165425.1:CT868663:346685:347450:1 gene:GSPATT00024326001 transcript:CAK91597 MKKFFSSIKNATTQLAKGLFSSKEETQQQENKQEMTPEESKQQCKITIQKIDDDYIKSYL DSDKELKAKILTDRQCFNMAVLDLCWKKILEIKNLYANERRINFQPKFVILEQQHWERIV IQVAELIQSYYNIQIYYDRQELIRIIKQKVLEEQCIKILFSKEEMKDYFQFFEKSDIYLN QLKQQFPQIAYEYTESQIKEISKQYIVDQAFELYKFDDCDEFKGVKLFHDDEEVLRWFQR KQAISN >CAK91598 pep:novel supercontig:GCA_000165425.1:CT868663:347524:348147:-1 gene:GSPATT00024327001 transcript:CAK91598 MKPIKIKNNENENPLPMRSKLKRINIASELFDNPVQFNISPLRLKVENDAQQYLEAIKSN RKRKSQKLEDTDFGAWQDQRYMQPNSNQYRQSFKKEQKSCQFKIRQQSPKIQQQNKITNK IIQYPLTGYLERNLNQFFQKDKYFKIIQNMTGATHRKKTKKIIPNSTQNSRAQSSLSFQL >CAK91599 pep:novel supercontig:GCA_000165425.1:CT868663:348822:351169:-1 gene:GSPATT00024328001 transcript:CAK91599 MNKPLLDDKSSMEFSIRESARNKSQILYDIPPIAQIQLFDVSQDFDPLFENYIPQQMLSK EIQMFKAEPRMSYCSRILNAIPVFQPESSGLLLWQLVVVIIIFTYLFYIPFKIAFTDEAD GLNPNDTTGVKSYLIFSIIMLGADLLVSFNSGIKKQGEVILDRRVIAEKYVIWEFELDLI GVISLMLSLLLKNDYIRILFYLRSYYVIRFSEKIDHSLQLRSNWKAAYTVLKLIIVVLFV NHLMACFFFGVSYKEFQRDEAGTSNLPTWIEYNGYVENDQTIQQLSYWSRYVVSFYWATT TVSTVGYGDITPKNDYEIGITLITMIIAGMVFAFNVASIRDVIVEMNSQEIRYNSYEAVI NRYMKIKNISMSTQARIIDYYQYIWQDEKNRNRPIEQLLISKLAPELRQQLQYETYINFV KCKIFWNLNFSEMFIRSLVQYMQEESYGPGENIHFDTLNPQLLFLQNGEILIYVDTAHNS INIKTRIDLVNSYSLLSKDLDTRLKLNNVVICTNWLKVISQLKLSTSPKILKFIIFSFPN NTLNSLNFLINQNQNVSHVNLQNHLADECDVTHYYPKHILTRIKVKDDRQKREEFERKIK AQFNSVFISKKVQLSIFDYNIRERKLKRISMKQQIQFEIIEEIEEEENQEQYQDDIGEIK NMLNLIMNKMGIKQQQAFKAQNVFIPQKPKFDPYETSFEIDQVKNYEHFYPKYNLDNIIN RIF >CAK91600 pep:novel supercontig:GCA_000165425.1:CT868663:351934:353835:1 gene:GSPATT00024329001 transcript:CAK91600 MKQLPKGDIPINPLTDQSIDEYKYPLYTNQNLMETVQSHSSFKPQLFKHQDLYEELIRKA ETSKPEQKHLKWRTNIHLRLNEEYNELQQKKELLKVNYQQLELQQCSFQPSISNKSKQIG SYFGSSPRYMSPKSFQTQTFLPQTKEIKQSSRKSNKENNPQNVWDRLSKQKECRQTPQSF QLQPQSTRTSQRHTPERVEAVSNRLYEEAFQPKKFKFNYQEILVASPKTATQTQRSEKHL VRHFVKQFYESITNARIWTLDHLDENLNKIDFKFEIENDLCLLTIDELAITLDNLGFAPY QTYDHTNQDNIVFRIFRVLQCPDDLDLILSRNLLTFLLAMQGFHVDFQLLPKYNNNYSIQ FPRQYKNDLVEFEDGNVIIQNQETISKQFNQLYLNYLAYKKQNKKLQEQDQNQQFQSQNK SNSQTNELANKYRARVQSQITETKPINLNDYDHYYQMKKEQLKQEIDTNIKQQCPFSPKI NSTQTSPRYHLNKKKVLSPKKEETPTFQPNLNKSQLSSMNDLIPLPEQREAIKRMVKGRV EKQLNQIIISQGTCYQKKRKNIEQQLYQEEISKLIQQPIMYINVALENNTTKKIAIYPGD QPRKLAEKFIKDNKLDPNLVNQLTQLLEEQLLI >CAK91601 pep:novel supercontig:GCA_000165425.1:CT868663:353927:355479:1 gene:GSPATT00024330001 transcript:CAK91601 MDYDDLFRILPKPPKKWTTQEVLVWLKYIGYSQLDTYFGKNINKNIVNECVDGSCLEILT DQDLLDIGISSPLQRKKLLQCKSFLKLHIGIQIGLKEFTNYCKSHTRSEVTPQVVQNFME VQQIPSPRVEIPQQDRLLLKIIQDRILQSRHEYDIEIDEKTTMGQIPIEPLPSPGRRQKQ QFILPAPKSQSKITEEDLARQVQQSNKKNIFAELQIQQIQKPILLSPQKKQQLQESNYHL PQFGTPRFDRQEKKDSEEIQQNNQQQQELQQQQQQQQQQQQQQHLIQSPNTQKNNQLNIK IKKEGLATINKLITKNATIGRNPENDIQLDHESVSRTHVWLTFKEKQFYLQDRGSLIGTF ILLETKTQIVQGYIIQMGATEVVIQSLQQNGPQCHIVLASQMGSVQFTLQIGKSKMIGSQ TFGDQTMAFDHALLEFTSEGVSIEDQKTSSGTWMRLSKSGIQSQSVALCRRRVIKIAEYI LIIDPE >CAK91602 pep:novel supercontig:GCA_000165425.1:CT868663:355558:357076:1 gene:GSPATT00024331001 transcript:CAK91602 MNQSLLHLIEIAKIHKNQFDEQYFEDMMASCRLNAQNDRYLILFLIKIWQNNQEFLGHRF KQWVQQLKDCNSKQALDLLRNGQVHECISKFEQNLSRNAFEAIKKHNFFPKSDSMQQEES FRRVKENLKQYDDATLNKINKILSGDVESILELSKSDWISFVMLYVQFCDYRIKPLDMTK ILKQLKFSIEEENNNLMQLFGEIVLTSQNVYIINCLLKYDLHLTYFLYLYFESKEKDKLR SEYEIQKSKFQLIKTILKDIPNEMTFENEILYFFEEITNVITDAEFEQEFLDIYNSKLKG IILSTNNFRKIMNFVQNIEKFSYGNSASLVLEVVLQKIFNAQQQPLEEKKNALNIIFERF NYTESFIQIIKQVINNHINNPYFDDIFKDFLNNFYFQNYNQYFQQELIQLQFKFGLKLYD LPQTQNFEYPELLIMNISQEFIRNVVKSDDAFKLRMKIILSSLLEQCQNTQDEKLNNRKN IIRLLIRRL >CAK87746 pep:novel supercontig:GCA_000165425.1:CT868630:1490:2041:1 gene:GSPATT00039820001 transcript:CAK87746 MFKQNQNLTQQIRLIFQKKAIGRAANFKKLNMRMFNKKWNQNTKQGVKLKDKGKRKMSQQ LKQNQQMKNQNALQETSSAIYKLKNNTSFLESAIQQKIEDFQNNINNFQVDMMQDYDIQN EVDVYNIGELIAIVLLQMNRFEEALENFYSAIHKNPENSDFYKGKGKISF >CAK78576 pep:novel supercontig:GCA_000165425.1:CT868317:3:2291:1 gene:GSPATT00039308001 transcript:CAK78576 KQIGKENCFWDSKNNKCFEKICENLSFSQDYECRSSLSECTSNGIHCVQRKQCSDAQNKF GCVTDAQGNKCEYHQNQCKIKSCDTALESLTNYQQCQDYDNLLDCVTSENGGCKQRPQTC QGYIGQVDCYSMKQQDCLWYNNKCENRACQHAPIHYTQADCKKYGDCIGKVDGGCERIPN TCDEILQEQFCEFDYNKQTCVWLQGKCTLLDCNNLKFPTYFNHATCQMASSLCTFNLEAM GCAEYQCENIYESEFCLINSTGTVCAMNQGCIDKKCNKAPPNYQSNQQCEAWLPNCTVNA QIIQSSKILIGCVDKKNECNSFLEEQCYTTFSGINCKWDSSGNRCIYQTCTDADLSKYLT NEDCNSLKVLEGTCIIGSSGIGCQLWSNTCVGLISQQQCNLNLLDGTKCFWTGSQCKILE CSDASVNDYTNNIECNTWLDYCIFNSTSGGCMNRPSSVDCTSSPNTNMYDTHFKCQAWNP KCTVISSINSIGCETKKANCTEYVRQRNCKTNLAGHNXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXVQIVQIAKLIQQQ >CAK78577 pep:novel supercontig:GCA_000165425.1:CT868317:2480:5496:1 gene:GSPATT00039309001 transcript:CAK78577 MTQSQCESSYGIQNSVITKCFWCAINATKCSNNKYCNSSSMTSPKSHQDCNDADSMNTIK FSSSAICTIKKSNCFSYTYENACVSTLTGVDCFWNGSYCITKCEVVTFIPTSHQQCHNWN SNCMLNGISSSCQFLDCSQLYLDSDCNIYATKCFWNGVFCQIIGDCSYYSTETLCSNNKN SKGIPCFWNNSTSLCLEKTCLNIPTAPSNNSDCYNWLANCQFNANNNQCVEDCNLADDSF TTHDQCESYYANKSCTVKLDIIQCVDLPISCELAKKTQCYLDRVGNQCFYQESTQKCLLL TCSILVTNFTSHEQCNEKFKECTINHTLNGCQQLNDCNNYLIQKQCYFDQSNNQCEWIMS ENKCTIKGCSTAQLIQYTAESCHQYFGDSCTVNQNLDGCEIGQTLCMNYNYQQCTSNGQM NLSRVDCFWNEEKSMCQERICANGPSNASSNSECTTFLSTCLKGDCRKKECFDYYYENDS ACAATFEDKRCATNGIHCVLRMKCENVTSINGCTFDINQNPCVWIDKKCINKTCQTAHLS LTKYEQCNSYLPYCTVKQDGGCARKQSCQDYQFKEACQIDKEDFECIWDIHINKCFSNQC IDFCGDGIVSSQDEQCDDGNYLPYDGCYNCEYQCSLGCIQCEKDNHCVQCESQSFYLDTQ SFQCKVIVSQDEQKPDEDENQYIECGQNKAIINNECITLCGNGVLDSNFEQCDDGNSYGG DGCSSFCIEEDSYKCINQENKLSSCTFIQQPIFNLILLSDRQNQTKILDLSFSQEVYLQA GLHFEQIVEFAIFPEIQFILSVVPLKNITSQLSNPHYQITVQFNSPVTDPILKINIQQNS ILNQYDLDLQTHKKEILLGTPLVLSLATQERTNQVISMNNAIIYTTASISGLLLLTGNWI VFFNLLDLLQTLSYIRYMQYRFPPHLRQFLDTYTKISLKPILDQLKVDEFIAKLNGGTLP NQQTNHCFKLVLFNKCQRMLLLLHYFHYYLYYVLSNILK >CAK81375 pep:novel supercontig:GCA_000165425.1:CT868406:708:1508:1 gene:GSPATT00039459001 transcript:CAK81375 MVWLLKILLLTASYSLLACSQRTQRQFYSKHIISYIQSSTITCQSCSLFYLNSGFLQCIL NYLIRIYSQCQHTSCIQNSLDNICSSYIQKMSLPLFLLAHYLIILTSYFQYQQYSGCKFL YYCQIVLIFRSQAFVFKTDYNLYINRLVDVYIYLMFIFNVGSLVHHDTLHLLNMHWMYYI FLLLCTMFFSFKNLKPSTFKLQELSYCFVLNALFIIAPQFLPNLQQQGPNVYLYLLYPSM KWTFKYISKIVLCQHYMLIKEKYYIL >CAK81376 pep:novel supercontig:GCA_000165425.1:CT868406:1573:2516:-1 gene:GSPATT00039460001 transcript:CAK81376 MQHTSYKYFQKVLNIYQIKLNYFAKLQLKYILLIQIIRFLPLYLIIPLFSYTFDQMSFAQ LNFIESLNQLKHLIYYRKQLPGCQILVLYAAKRHLSQPTIGQYQMKPKQQNYLCSKRARC MSLANFQFNVEKTCWAIQIQNDQKIIMKPLTSHKSIFLISTQMQAAVHIVHQQHDLQDGP KRNKAVSESLQEQFGSIYIKCERRISISIGEQSALKGPTRFTVYLSIHTQSMNLTEYQLQ GSCQHQLQALKSIKDKSLVHMVDSIIFYYCEHNDNQEIMNFENDHQTLQR >CAK73552 pep:novel supercontig:GCA_000165425.1:CT868157:1466:2123:1 gene:GSPATT00038902001 transcript:CAK73552 MNYVVSCISTYHTSSWVERLLFLALKFWKFFICIEMHPHRNVVCKYFATIIAYARICKGV TEIEVRIEANGTQHLCHPRFQPYQKGLYWNLAQVDNIFSCGFQNYYLTLVMELLSDGHYK FYCQSKQIYTLDFNDNMNQFCLIMSFQKGSYKCQLCKRPYYGKGQGCQLANGAYDYASMP ARLQPLWLSILQFGWKLYKLS >CAK73553 pep:novel supercontig:GCA_000165425.1:CT868157:2681:11328:1 gene:GSPATT00038903001 transcript:CAK73553 MHCNQMAHANIYKDTVIQVVRPAWTQIQIIVLLALLEKIESHQMVFAYVNQNMVCYNKCA NHALLAFVLIANTMIFIPVFHVNQDQIEQSLIRNVFVNLIHMILKTLIKNVLVDLLNQFQ FVIQHVKNVMVHPMQIVLNNFSCQFMSPCKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPFVPVSPPPS SSFALIPVEIALVLPPPLVYNVHHVLIAPSPLSAPASVSPPLLLLHTPLSSVPVPPSCSF LGCPPYCVPCAPRLAKDACLECPSSRTSVLSGSFFECICTAPNTFDDGSSLECQQCDQTC QTCYGPLSSNCLTCDKQYRQSELSSCVCSPEYYDIGQLECAKCHYSCYKCFDEKADSCIV CSLELSFRIIKGNTCKCIDGYYEEPGISQCQKCSYRCEKCDNKPDQCLSCPLNSKRAFDP IKGCPCPSEYFDKEDEINCQKCHFKCKTCYGKDQTECLSCDSSAHRELQISSCLCQPHYF EIEFSECGICRAFCYECVQDSSNCTSCYSDRYLIGNTCKCITKQQGSTISMFEYNGIIKC EKCHYSCGTCKGISEQDCITCVDTENRFQIGNTCACKEGYFDAGLPICQKCSYKCKECSK NAESCISCQDNSLRYHISGFNKCQCMERYFEDGQNEVCQQCHYSCLRCNDIDTKCELCSK DSNRTYDELFFSCNCNIGYYDNGVETCQKCHYSCLSCNSQDANSCISCVEVDKSNRVFYN QTCKCLFGYFDDGQSIPCQKCDIQCLNCIQQSYICLSCPQTRKIETNCKCQQGYYEVGLQ LCLECNSNCMTCLNTANNCTSCDSNQFREINLKTGTCDCQVGFIEVDGTCQQCNQKCGLC SQFINECISCVKYRYLKDKDCICINGMYESNDDKQCKLCSKICVTCVNQSDYCLTCSITN FRQFKTGNTCECIQGYYENPINQNCEQCASSCLTCSLLFDNCLTCNTSINLILVNNRCLC QQQYYFDYLTNSCLQCNITCLECQNSSQCISCRLTTRYFDEESKQCLCKNGFYENNQQNC ACNNVFNCDQNVTQHARLVKIQIQILTANKCLCIDGYYEAGIEMCQKCNNLCQTCQSSTS NCLSCYEIEQNRFYQDNKCLCKPGYFEQNTSVCSKCSSECLTCKGSANLCTSCDIDAKRI DQSIIHKCPCISGFYQDQEQICQKCHIKCQTCVNQSDKCLSCSFALNANRKPLSGQCDCK EGYFDDGTQIQCQKCNFKCRTCVLEANNCQICQNKLRINPPKCNCIEGYYEDEQFTCQIC STQCNTCEFEASNCLTCKPGRIDKDCKCIDGYFEIGQIICQQCAFQCATCHLDPLNCKTC RGNRIQEPYCICQSGYFDDQLNENCQKCDSTCLECNINGCQSCFGNRLLNDENDCVPPLN SISYETTPWCSTCQVAVVNAFLSDDLSNIIIHFDFPLNPKSFNSQLENNKCYQLFELEST QTFGYNPACFINPQDNQELLISLGENPNINVGDEIVFQSNSISHIDCGTALQQFIFTQLQ SPLHLLPPIIEYNVPLHKLNPNGDNSIYLKQIQYSGNRKLNNIIWSYELQSNENNSELAQ FLDSINFRQEINLFIPMFTLPINAAVKFKIEYQNFIQTFSYSEFTIQTHSGKLPQINIKA KPSYFVYENVKIGVSVGSLEELNSIDKPKYQVQINDIDINPKSHSSLKLNSLYQSDSCQM IYTIIQKYALSPNSNYTFQVTATNLKTSESQEQNLTVNILSAGLLCQFNNQGYQNIQRDL NLQILCQDLDYTYQWNSDPQLHIQVACKDLIRNSACLDQRKHSNSXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPFEKKSQLLELSIAIIYDYEIIEI LQPKYHSHQFKIFNYIKALKFGNNINLKFAAQYTSNIMPNLQNIKLSINYPPQCSKLTIT RSSDFALTNFTVAAVCESSNDSPYKFQLKLFLRESDLTDFQKGISDNSLTLFPFQESNQF LIQTPSSMNSSKIGILVQVLDMGGSVTNIFEKVIMKSAELNCKSLQFQNLNFQKKILLLF EALNQKCDRLHSQIYLDLLSNLILQDNDENTLKFQAVKLYKQLLFLQKEPGQRLLKETIQ ARCLGLNSKRLYITQNSTQPQVNLTVILGDLNKNIQKLDLALKYYIKMKKQFENELKLNQ YIWDEETFQKYENQQYLLISLLYYLDDVYSYLSSINLKNETVYQTIAEETQNILVVNEQP LILIGKEITWQIRRTTKTKFNQQFNLESIPEDFLIDFIQYESTYFQTNPLRFINDLRNIQ TQLNDQTIQIYPEHYYRINLKNTQHNRYLQYENFSSIYSTKFGSYQICQNSTELVSEQEI MCAVKGISGIKILLSQLVNVINLVIFS >CAK81374 pep:novel supercontig:GCA_000165425.1:CT868405:455:1901:-1 gene:GSPATT00039458001 transcript:CAK81374 MSIKMNPEVQNEIEAGLQEVYQQLEISYNRINDFIGNVFVPINCICSTNLPSPSFNQSLN LCVQQIPSSTINLENQLLLKISPLVKVMVSKQVQKVEQTLNKTQVKLTQELQQKIINFQE TQLKYEEIIKIKDQEIGQIKNQIKEQQKIEIQMNKNSKDVEFLKNHPRDVLKINQGDQQL INDFKIKDQEQTKLILDLRNKNERLMIELKEVEQQKVESEIKLRQKQEELEKCQESNEKN EEILKQLQQQSIVQEWQFSQSLTFSKTYKHNNLQVTQNAKVIENAQVGWCCAICDQMIPK NGIIYFAIKIIEKGRIMIGIGFRDIVQSKEYYDCYSIGGGTYNIWDEGYCYNHDQQDKTE EVAFSYSINDIIIVEVDINNKYITWKKSSTNQSFALTIDTSKDLYPCVHLSGQSKVEILN QLPQ >CAK63130 pep:novel supercontig:GCA_000165425.1:CT868024:47:1876:1 gene:GSPATT00032982001 transcript:CAK63130 MNDLFSSMKESIKLIFQIQKTEDDRFLYIIKNNSNPFECSSTELDYIVKIIEGNIFEDHN LKKKQASSELNEAIESLSKVLFIAQEQRKFIQNIENQFTKVNKEKSIITLKGFQKSKNTL EQTTFNLIISNEGNQVYQKDGQILRIETKQPQSSKDEQILNNIEQIKNLQFIGGYGADGQ KINLWHYFWKGEKIGGGVYSEIGQKQGMWQDICENYWDKKNVVEEGQYEDGKRIGAWNFI YNNQNIGGGQYDNEGYGTKKGNWIELADQFMNQSQVFTSGRYHNNKKIDRWDIIFRGQSI GGGSYEYQLEGDSIKIGKWIELNDRFYDDSQVTHVGLYCNGKKVGKWDVYYQENYGDKTN HQIGGGQYEDQLDGDQMKIGKWIELNDGFYDNSQVTNVGEYKNGRKVGKWVIMYKGQEIG GGSYECWAKGDSIKIGTWIELSYGFYDDSQVTYVGEYQNGKKVGNWDICYKFYLFETQNI IMQRQIKSKWWRSV >CAK63131 pep:novel supercontig:GCA_000165425.1:CT868024:1978:2863:1 gene:GSPATT00032983001 transcript:CAK63131 MGKKQVNGRFGLDIMFKSWKNNLIGGGSYDDNVEGDSVKTGQWIEISEGFRRISQTKYSG DYKNGRKVGKWNIDFITKDIYSNFNGGGFYDKEDSFKTGKWVELSDRFYNYSQVTYDGEY KNCKKIGRWIIKFEDEEIGGGYYDNQDSFKTGEWIELSDRFDFYSQVTYKGKYHKGKKIG KWDILWNFKGVNYELGGGQYDHQTDGLSSIKIGFWIEQSDQFDKWQSILNEGHYENGKKI GRWVEVKFQKIKKEVEFDYVN >CAK63132 pep:novel supercontig:GCA_000165425.1:CT868024:4137:5488:1 gene:GSPATT00032984001 transcript:CAK63132 MESIESPENKPLIVWYGGGPGCSCMLGLISEIGPYVREKFSQEFVYTENPYSLHKLANIL YLDIPAGVGYSEVHDEDYEWSDTNTGIDSYEAIKTWLEGFQDYKDREMWIGGESYSGMYV PCTAEVIVKKNKEGKNRINLKGILVGNGVLVNDDDFFDLWNREYMIKRNFYDIVTQNVMH NSCLRSPQSASCQKALETQAIVMKDLNPYDVYGYCYGDSSIEQKGRYRTIRDSDEAPCID VGPLNNFFNNPEVKKKLRIPEERTWEACNMDVFFGFHRDKDSHVLMKYLFENGIKILQYS GNSDDIVSIDYTLASLKLIDGIKLISRTPFANKETRQLGGWIMEYNYLTLYIVRGAGHLV PYDQRANAFQMFQEFMGRQ >CAK63133 pep:novel supercontig:GCA_000165425.1:CT868024:7676:8972:1 gene:GSPATT00032986001 transcript:CAK63133 MKFVYTFILYLAVVKCSNSFTFQINSGDLSRTTLEFYANHPVYQLSLRCDGYNSDLWFAY NYTNLAVTPQQRQQYKCSDKNFTITLSNDFTEEYATIQVANYTNSMKIQSFDFITNTQSS YKTALTVNKAITQGLYYTLNDFSLQVSYPSTVYVEIFKCPTSPLKIEAKTYDQFGQYRNL SGTNNNKFFYDVFNTTNGSFNYRLEQSSYDSPDVFIKYQRAQLQDIKEINTYITNYYLKP SAEVKGDKIFITFPAFQVQNNENIELRVSVGYDGSEQREIICAYGDADIWRQYNSYYTGT YNLTYNRYGINELVTDFPGYTQGKYNIRTTAIINFGSIKQTIPLQTISVYRNLYAPAGSL LVHILAPIVISVLALFGLIVGGCKYKKKKDLYLQEQQLAQLQQQHQIQNPYIGIQ >CAK63134 pep:novel supercontig:GCA_000165425.1:CT868024:9043:9815:-1 gene:GSPATT00032987001 transcript:CAK63134 MEIDILNELLSESVAKLFNPRAVYNFKKPNPVYDNYQKNSNNTSSSSISSMQSDQPKFEQ PQQKLSNPPTIEQWVNIIGPQKLQESLTKLNIDLAKLTKRDLELMSMDQLQNEKKRVKNE LKFYDANFQSIFNRFPLRNEKEPMRPLYIYYKKLKQQIDKQSTNNTQGEIQKRIEDLKKT RAELREKLNNFQNEFTTTHNRRIRFHKDIAPVEKEYKLYKEIKNEIQKLENILQKK >CAK63135 pep:novel supercontig:GCA_000165425.1:CT868024:10142:10563:1 gene:GSPATT00032988001 transcript:CAK63135 MNVYCQMAGYHQGEDEPLELLCMNPECISMNKVLNCVSCIEENHIGHQVIHYKKFINLLQ KQGNSLLNEIEFRFQESTVQNDLVCKLKNLIKQLTELSDIVNDAIHKQKDMLERKLQYYE CMYFC >CAK63136 pep:novel supercontig:GCA_000165425.1:CT868024:10654:11616:1 gene:GSPATT00032989001 transcript:CAK63136 MSQFFQKVEYNTKRQEFLVRNNYILDAAEETDGENLLFRKLKDVMNSFRSDVDMNYQTQV SQQSNSHLQKKLSQTNNEFKRSHQQLPSYKKITAIEKSPGSPDDKISVSQSLSQFYYKPN NLKKRIGQQMSLVETSNTFSTKYSFHSECFGQGLQVTSKQVKLILDCDKSIAFIKPSIYG SGLAREQRVTLVIKVCRNSNQRYPMAVGICDQQKLQENNFVFTGSAEHMPGSNNKDHGCY LLNSNGYIRSMTGSDMIRSSPNLRFYSNSTLELTFKPFHKQLIINRDQQVQTTIPLEFYK DQKLFFCVRLADLHDCIEIL >CAK63137 pep:novel supercontig:GCA_000165425.1:CT868024:11675:12996:1 gene:GSPATT00032990001 transcript:CAK63137 MNYVKFQEDVDDGIEPDEHSSKIDLQELSLIIDLTQLEGFNIFQSIYAIHFKGFSRIQDA AIYLQHDETHRYVQSSNNCGVCNKDREFHLMIDENSEKIVAKIIRIIDIIYQNQQCCVCY LMYLDKDLVHLNSEKHMICLNCFYNYLRQSIEDRKINNIKCPHCDIPIRDIDVLEHSQEL YTKYIKCHQNLEIAMNPNKAWCPTINCNSVIEFKQLSTVATCAYCQIEVCKRCKQRAHPL QSCEENLQQVLNEWQENRDTQQCPRCKIIVEKINGCNHMTCQFCQHEWCWICGSDYTSIH YAIFNPFGCPALMPGWIRQKDWSYVKLIIWRFICFILLIILTPMVVLVIAPILCIAKLIQ TRFYRDKNCWIQACLLIFAFIIGIALIPIAIIVFAVALVPSIIGIIVFYYDERKRLEFRH QTALSRHFQQNP >CAK63138 pep:novel supercontig:GCA_000165425.1:CT868024:13786:14316:-1 gene:GSPATT00032991001 transcript:CAK63138 MMNNIIAKFIVAQFITGTAGVISYPLDTIRRRMMMQSGRADILYKNTLDCAVKIAKNEGT KAFFKGALSNFFRGIGASLVLVLYDEIQQFVAPGSKSSGGE >CAK63139 pep:novel supercontig:GCA_000165425.1:CT868024:14317:15025:-1 gene:GSPATT00032992001 transcript:CAK63139 MAKLISMNVRENKRNQLLKKVLIKQIKSGVIKIRKLMNTQICSKISKRFIFILQMADFIR DFMIGGVSAAVSKTAVAPIERVKLLLQTQDANKKIQEGGAKKYNGIVDCFIRVPKEEGLS ALWRGNLANVIRYFPTQALNFAFKDAYKKLLCPFDPKKERFLFFLGNMASGGAAGATSLM VVYPLDFARTRLAADIVKEI >CAK63140 pep:novel supercontig:GCA_000165425.1:CT868024:15477:17249:1 gene:GSPATT00032993001 transcript:CAK63140 MLGTLVVSQPQYEVEKPKYFKFQKLAQQSISLISKFIVIQIVLFSVHQSLLQNKFVITSL QNIQIKHNKLYQHALILMIKETIMNKIMLNNQNKSQLSQKLKINPFVNSIQKPLNCKFQI RLINKKEHVIYLNEKTQIKLQAFIRKKINSIEILKGVQCEEKNVMAKLISMNVRENKRNQ LLKKVLIKQIKSGVIKIRKLMNTQICSKISKRFIFILQMADFIRDFMIGGVSAAVSKTAV APIERVKLLLQTQDANKKIQEGGAKKYNGIVDCFIRVPKEEGLSALWRGNLANVIRYFPT QALNFAFKDAYKKLLCPFDPKKERFLFFLGNMASGGAAGATSLMVVYPLDFARTRLAADI GKKSERQFTGLSDCLSKVYKSDGFIGLYRGFGVSVLGIVVYRGVYFGTYDTAKGTIFKNP MMNNIIAKFIVAQFITGTAGVISYPLDTIRRRMMMQSGRADILYKNTLDCAVKIAKNEGT KAFFKGALSNFFRGIGASLVLVLYDEIQQFVAPGSKSSGGE >CAK63141 pep:novel supercontig:GCA_000165425.1:CT868024:17493:18366:1 gene:GSPATT00032994001 transcript:CAK63141 MTDFLYNFLIGGVTAACSKTAFAPFERVKLLLQTQDAILKVQNGQTKKYNGIIDCFSRIL KEEGLSAFWRGNFPNIIRFFPAQALSFAFKDTYKQIFIQYDPKKQPIRFFLSNIAAGGAA GATSLLLVYPLDFARTRLAVDIGKQAERQFTGLTDCLSKMYKSDGFIGLYRGFGVTIFGV VFY >CAK63142 pep:novel supercontig:GCA_000165425.1:CT868024:18722:20533:1 gene:GSPATT00032995001 transcript:CAK63142 MNQDIQTQDQLIEYLTSIDQQHLITYIHNYTQQQITEFIDQINQLNKNYPGGIKEYANRA RKLLLDASEDVNPFAEYTAHVPQGQNVDIYSEEYCRLEQLGVEEIKDTCFVLVAGGLGER LGYDGIKVALPIDLVTNTTYLEYYCQFILNLQKKHGNKILPFAIMTSDDTHKLTLQLLEN NLYFGLQKEQVTLIKQEKVPAMLDNLAHFAQVPGKLLIDTKPHGHGDIHTLLYMSGLAQK WKNEGRKWLFIFQDTNAQAFRALPVVLGVSKENKFELNSIVVSRKPGEAVGAICYLVDKN NKGLTLNVEYNQLDPLVKAQGGEPVDEQGFSKYPGNINCLLFSLNEYETVLQETKGLIAE FINPKYADATKTKFKSSSRLECMMQDYPKLLGPENKVGFTALNRRFCFSACKNDLATALT KQKSNLPLECAGSSENDFYWLNAELLRMAGVQIPDSVSDELNYNGLEFKFGPKIVLHPSF GVTLSEIKSRIKGKVQISSNSTVILGGQAELNSVNIDGYAQLFGNGQFNVDIKGADGPKL VALEQQDGVPGYIKIRGYRLQK >CAK63143 pep:novel supercontig:GCA_000165425.1:CT868024:20546:21673:-1 gene:GSPATT00032996001 transcript:CAK63143 MSFQEISKQIEEMTNFLLEQGDDNLVNTSPTNREQINLIIHDQKVKQRINSMLKQKNKQY PHQYLTVELASEDNYEIELDQEDIKQVCENFGEVKQVYTKLNGAIIIKFNTLFDAFIVYK LLNKHQIKELDVTIKIEFTTQQDLLEIMEIQDSNQQKFTCRYDVQIDNDKDFQVARKIIG AKGCNMKKIIDQCLIDCDTKELDLVKLRLRGRGSGYKEGPEKRESQEPLHLCVSSKHNHL FLKACQLVEQLLIKIYEEYKSFGFNKGRKQSHYNIKRVQQSLKPQIKPNFMPELPNFNFS NNLFVDCNNENIMAYSNIPNQLI >CAK63144 pep:novel supercontig:GCA_000165425.1:CT868024:21679:22275:-1 gene:GSPATT00032997001 transcript:CAK63144 MKANNIKTEAQYGRANYYYSDGTGRDTYIQFDNGGLTMHAQKCHQPPIGSFQPKRSGPIF RPQSSNKTLHYVSDGSGRDKYVLDNHGGLMNTCGDRHWLVSFKQGLRTPERARQ >CAK63145 pep:novel supercontig:GCA_000165425.1:CT868024:23635:24183:1 gene:GSPATT00032998001 transcript:CAK63145 MDLELFTPQQSQFLYPIEINEQSFEDSQSFIKNKTLEQNSLGATQAKTQQMVYQQKDLNY KNFPKLIGNNFLKWVNKQVAEEKIGQIPQGIENLMKSRGKCKQPNFTIKDLQQLCRDQAS QKLFQEFMQYQLFLDLFHSNKIADPYSYIPGISNYFSAAEEPDKMKSNYLTRNQFTQASK QN >CAK63146 pep:novel supercontig:GCA_000165425.1:CT868024:24318:26178:1 gene:GSPATT00032999001 transcript:CAK63146 MKPATYLKKVGPYSLIREIGQGSFARVFRGKLDGRQEDVAIKMISKQNVRNESMSMIEKE IEILRQLDHPNIIKLIDFKRTQNHYYLVFEYCENGDLDAYIRKYSPNGKLPEEEVRRIVQ QLAQALQQMYKLRIVHRDLKLANILVSKNFQIKLADFGFAKYMEEDQYLTSYCGTPLTMA PEILQRKQYNEKCDVWSVGVIMYQMIYGRSPFVPPKGGNINDLIAIINKGDLQFPEISTI SLKLKELLIQMLQQDFKKRISFRDFFEHSWLQGEVKADYIQSIKQDLQENQSEIMPIIHH KATEDIQEEEIFNNERDDSTNEVLKEQQLKYIGYQLHKQAVGYLDLMFEEIYKVKQLCDK IQNAKISFQFLKNRLISLSLAIRIYEAFLLKQVYEFMKEQIVVLHTPSSNSSLISLQMKR PFQTHQIMMQKEIIELKNYFKENQMIINQFEQCGYCIADNIFDEILELLMNLIEHQQQID QNIFTQLLQLLYSFIQSPPQLLQVELYMKLQTGNDMNYYWHLFKLVRVNQKHIADSIENQ IVCENYEFDKKLQFEWKLVKDDSEETQVSFTKEEIDKLQDLLKEEYTKRDIRQQIQ >CAK63147 pep:novel supercontig:GCA_000165425.1:CT868024:26192:27536:1 gene:GSPATT00033000001 transcript:CAK63147 MEYLTNEKLLKQQQSALFSISNSRSNSVSRFPIPSQRAAPDPVQPLRSADLFNKLEDILK QKQLSTTTRKRQKQISCILNSQACHEDQKQQNIESSYYKSPIRLLDVPVNGFIDNNIEVR NRRQTILIGSPPFNQQNQSNKKRNTIQNQFQENLNQKKKESLKRFEVEFNNMQEKIRGRN NSVLYEEQDIIKRNQMKEKKVDLNISTSKENNTTLNKLNHQLQIQNNHLQEQLIFEQNQN TKLNMLINNLNDQITGLHKQMIQQQQQNNEDCISKDNFIKQFHSKIDLLENEIKQKDQQI HNLQQQISEFNNVYKEMQELENLCSSMSFLGKLCIGHNHLIQEIKDLLQVQQNIIEKITN NKDFPIDQLIIQKKQKKHNSQTQIDYQQLCQETTSVLENIIKQIKSSIEQLTERFIHDLL I >CAK63148 pep:novel supercontig:GCA_000165425.1:CT868024:27576:29403:1 gene:GSPATT00033001001 transcript:CAK63148 MQQIYNPDERINIELFLAARELPNLEFLSKSDPYLELYYSLQGEPEICLGKTETAECNLD PNWEKSFTIEYYPDLIQILRFQIFDQNRMGREFMGEAQVTIKDILQSKNSLISMPIKRFD KNAGQLICKAVQMKQSNHFVTWQFSGSNIKNMDGIFGKSDPFLKFYLHSDNVWCQIYQTE YIKDDLNPTWKDFEVSLQRLCLNDEYKKFKIECLDRHEKGKNNQLIGSFETTIDEIFNQN KEEFSLVQPKGGQVGTIRIKNKKRIYRANFDDYIKQGTTFNLIIGIDYSTHNGVPSFPDS LHSYIDQNNKYGKVICDVAQVLEKYNQKKLFAIYGIGAEPHLTNYTLNTYQTLFPLTGDF QKPQVEGYQEVANLYKNTLKQIVVKGDFQIEDYIKYIQTIAENNAGKLIYTICVIIAQGK ITDAQVFEDLLIKGCKLPYSLIFVGMGKNDFSEVKKIIDKMNNQQPPIRNNFTFYEYKEQ TIQMLSKHLMNDIPQHLVSYKQ >CAK63149 pep:novel supercontig:GCA_000165425.1:CT868024:29426:30429:1 gene:GSPATT00033002001 transcript:CAK63149 MGNCESDQQSQFQQPDHIDLSSSHNKRIFDHKAIEQEEFTSNKVPEPIDVQHEIEDELQN LDPQPAIINLPPFNFGEKKHLNAESRPAYRLVDGSTYVGEWVGDQMTGNGVLEGRNGKYE GQFENGRKQGRGRFTFPNGEVYEGDWKDDQEDGIGTYYYSQGTVYHGQWVQNQKHGQGRE NFPNGSNFQGNFENGIKTGHGVFIWKDGTKYEGRFIDNKICGQGQMEYPNKRVYVGEFLN GKMHGKGKFSWPDGRIYDGEYQYDKKHGYGILTGQNYRYEGEWKEGKMEGQGTLTKDGEI KVGFWHNNKFVGENNY >CAK63150 pep:novel supercontig:GCA_000165425.1:CT868024:30723:32147:1 gene:GSPATT00033003001 transcript:CAK63150 MASRFFDQVDHASNLKLNLILLVIISSSIDIVNSLEYQSGTLTQILYPSTPLHILADSHT ENSQISLTQPLTGFVNEPQIIYSVYRMNFENAVSGGYTFSITSQSTSFLAFTITVVAPTV QKIYNVWIKWLAFVDRNKQVISEEIVTPQNSETFTETHFNKNFIIAVPIINYLTYTSSFT FKFDMPQVDTAYVQIVFTSTGVSQIGFQLLVAIKSPVYLFGEQQWAPASSTLNLSPFEIN SVQIPPLQQSDNINFPIFLGLTSDLTDQVNIEEISSSINPQLSFEIGSSITCSVTSGWFN YMIISPQFQIPPLILQTFSQQEYFVSSGTQQISVELPLYKTTYTSTTGWIEMDNSFLGIQ LVITTECLFKYKHDYWFLGSVNDNQRYIQDYFYCSDGFNRMKYIINFTDGDRLEYKTIKF VFTPTSVEMYQQLDNVNFDEEYRNLKIVISQVR >CAK63151 pep:novel supercontig:GCA_000165425.1:CT868024:32221:32768:-1 gene:GSPATT00033004001 transcript:CAK63151 MKGDYNFFSDKKDDPLDAVCPKLSYQQRLWGFLICSGIGWFLEFCAFISFFQGNGKEFAI IFSIGNLVAIMSTLFLSGPKDQCKKMADKSRLISTIIFFSTLIVTLVLAFATDLTFLTLL MTLVQFCAYVWYVLSFIPFGQRMLKKFFSSCCEFE >CAK63152 pep:novel supercontig:GCA_000165425.1:CT868024:32824:33471:1 gene:GSPATT00033005001 transcript:CAK63152 MSRRGQQPPPQQQQAPPQKNQAGKFNPAEFVKPGLTEEEVLEIKEAFDLFDTDGTQSIDP KELKAAMTSLGFEAKNQTIYQMISDLDTDGSGQIDFAEFLKLMTARISERDSKADIQKVF NLFDSERAGVITLKDLRKVAKELGETMDDSELQEMIDRADSDGDAQVTFEDFYNIMTKKT FA >CAK63153 pep:novel supercontig:GCA_000165425.1:CT868024:34164:36109:1 gene:GSPATT00033006001 transcript:CAK63153 MAFVFQAERTDILQQSGVSQDIGPGSYITHEYYKVKQGNAPFDSSVSRSERNKNEIIPGP GSYYQDNEYDKYQCTLSSNTYGKQHSPKHMKLSDKQQQSFIFASASKRFEAPKVFMTPGP GSYETDIVIDKPIQQQFISQSYMEILRSLNKYQSIPSIPTDNQVYGYTEKGVHDLESNQN PDDTYSGIKQDTVGPGKYEIKDTFEQNRNKGPCWHKSKIPRFDPSISKDINQKVGPGSYN YSNSITPIYKLSPSGNFKSKSSRTFDNTKGEKQKQFMKIYFDKQKEKLMRNPGYSDLEDE EFEFSDVTTPGPGHYFGNTSTQSVSSKHSQQSIKLGYNCFGSRTKRFQEQKVQYQIGPGE YQLDTETVKYNIVQPPFYSSNTRFEEKYLGQLPGPQTYDPKITLADKLMKKLERTPIGNF GINESRYKEEYHQVPGPGSYEINNKLQKGINSIFKSKTDRNISLKQKTDNFPAPGTYNVQ NNTIEHEIKKNHEENQEIKQQKQAFGSSMPKFISRKNIQEQVHQEFEDEEEEEEIKKLHN SSGLLKKRKNVQASFNVRENRFQTKKKDTYKLGPGEYYSPNCSKWEKKSFNVLFQKI >CAK63154 pep:novel supercontig:GCA_000165425.1:CT868024:36171:37312:-1 gene:GSPATT00033007001 transcript:CAK63154 MSNNFLSKIDLQQSLAYKYSQQDTYYYTKSLNEYIDNARLAHVINFHYETNFNEESEQLR RTYNIRIQFCIILDEIATKLPLLTEYYKYHNEIPRCFLHKLADIMSRQTQELNYCSYHDK KRRIEYFRIKRMIEKDNKSNPNQPKKKIVGEEPDDTTTQKSGRQYSNVLNDITEVSKTID KIQTKLNSIKLNVGDLLLMPSNREQDQLDKFLKYLTEKKYKKQMHITQTPNSVSLKLPIG LSQKTIKQVLSRKMINQQSTQITQQTISSNKSTKQQSLYQQPFQIQSSPRINIKQLPKQI GSFTQRAVKENALSKLLSPLQVQIFNTNSQHSNKCKTSISISKQFKITDSVKSSIRLHQH TKSDFKFFKKL >CAK63155 pep:novel supercontig:GCA_000165425.1:CT868024:38294:38931:1 gene:GSPATT00033008001 transcript:CAK63155 MGKHKQRSSSSSSSNRKKHKKKHHHQKKEVKQEHVKKEEEPQPIQPEIIKEKPCFEASGI LAQYAENALGNGKVLKFTIPFDAKIPTANWQIFPFKGTQSYPSISLKGKSVFLIGKDKEI VDILVENLSVSKQHCVIQFREIKKVNGQGEVLSYIKPYAMDLESTNGTYLNEQQLEPARY YELLEEDVLRFGKSDREYVLIKS >CAK63156 pep:novel supercontig:GCA_000165425.1:CT868024:38953:41411:-1 gene:GSPATT00033009001 transcript:CAK63156 MDQNHWNQKSSVQEFGIDKNVQQQIAPSQAQLIRRVSKRNIAIKPTQESGLTQIFETFHP EQKNQALDDIKFIIKCFQGHFVFSSMTETQLTQLAHSMFYCKLSVGQTIIRQGDGASSFF ILEKGKINVIVDNVPRKEITPGQGFGELALLYNAPRSATCMAVEECYLWGIDRHTFRKSV ETIMRSEQEKNRKYLESVKFFNQLTREQKDAVAGVLISQKFNAGEIIVNEGDQASSFYII VEGQCGVFNKDGQQISVLNPSDSFGESALKQEHQVRMMTIKAVQKDTKVLALGKDMIQQI LGDQVQTIIYKNICKWALNSSKLFSKTPHTYQDKLLEGVQVRKFTANSKIIQKGDKVGQL VILLDSDAVDENKVKFVKGSILFEDSLQDKLSGTTHLKTISIEAEGHVAIIDYEAFRKQQ GSVEKIQQGKAQQQEKSNAHEEQIKSQSFKNLIYLNKLGSGQFGSVYLCKFKELETLFAL KYVTRAHVQQFGIQKHIQQEKAVLELMDNPFILKFYRSYKDNENIYFLTEYIAGMELFDA IRVIGLLSKFDAQFYASQMILQMEYLHTQHSIVYRDIKPENLMVDDKGYLKLIDMGTAKS FKNQQSTKTFTIIGTPHYMAPEVISGKGYGYFADLWSVGVCVYEFICGGLPFGEEAEDPF EIYKEIIKKPISYPHFMSDKSAKPFIEQLMNKIPEVRLGGSYSTLKSHVWFKDYDWEKLL NKSLKAPLLPGKDKVMTPAQIQSAQQRAISVFDQIQKDTQGQKKVLASAKDAEWDSVF >CAK63157 pep:novel supercontig:GCA_000165425.1:CT868024:41537:43096:1 gene:GSPATT00033010001 transcript:CAK63157 MKSLIVLSLLIYSIATQENCRFAFEYTQKELQSDPKKVQEFLSKVMKWESKFAKDLGIDK KSGLTLDGQQLDVNTGMPNGKPHQFTASSKESIHLALIGLALANNEYAKQIYSEEEALDL LNRKINTYEQFDKDYPGYGGFLPWVAVNDGVVTPTWDWTDGVPSLDNGQLFWAAYAVVSV LETWYSDQDELIGRYTRFYQKMANNSITIFYEGNGMIRAVTRIQDIKASVEKNQYSNRQS DCTNFKSPCYLDDPYEGELFAWMMYFYAPWKDQTEREKIWVAKKSKLQVVDYKVAGLNKY ISVQRGWWFSAHEQWKYLFMPYTHDQIQLNLLINGEKVRTWDARNNGKPGMFASITSNIT KNEDQVDYYSACGIEEVSYIPVTYRHLVTPYSTMTMFLANQEVAVSWYHNMISGPAGQNA YGSTEGVVVDGTSVAPFVTWDSKMTTVLGMLGGIFDYTAKKLNVEGNYNLFLKVLNREWQ QSFSNLKGADVPFAYPNATFPQVKKDFTTCARKTDVVEQ >CAK63158 pep:novel supercontig:GCA_000165425.1:CT868024:43124:46411:-1 gene:GSPATT00033011001 transcript:CAK63158 MLNLQSGFDFEQDVELRAVQNEDFEQQYLGQCLRETKKEFSLVLPQIASRTLSAKSLEAK YLHSPKSPDSNNDENILASNIQTPKEPVFHGRQVERRRSQANAESSFMSLFYIGRFVEKL SKSRKQLGSLNDAHFNLIGDKASDSQTLFMHSKRMKSAGFNIKKMQTLLKKETDIKDTTI VEQVKEDLKKLRKPLFNCLHAIITKIPIIQPESLFKMYWDFFTSIFRILLLILVPLEIAF KPEILFNNLIQITYVILVILQLDFLIRVNTLTYKNGYAIKDKWDLVVHQLKKEFLTDFST SLILIIFMIIPDMNTSANLFLLVILGQHKYVYETFAKSDQISYLTRPQRGVFSLLKFILT LLYILHLFSCIWFYFSSIRVEDSWIRFNDIEDKSWEEQYLQALYFAVVTMLTIGYGDMVP KNAIEKIVTMVFVLGACLWVSYSVNFIGSIIDDITQNQVERNRRMRVINKYMNQRKIPYS LQHQVKEYLTFRWKEDDEVDLEMEQTLLEQLSDELKEELDKQAHKVFIQKSDFLQKYFSE ELRNALFKSIKRKIVPPQNTFSTDFSNEQHLCFVEQGFIVYQHPERKQRSKMNAVINQGQ FFCVNDFITNNPQVDHFKAIGYVSLLILSKSDFMETLKDYPEDFQKYCQLKEGITLSCHP PALENGVFCPACLSFKHSLNTCPQVQYVPNREAVIKRYCMSNFQNSSQYTRKQDKEKQQW HTRLMKDLAQQYASVFQNENQQIIMNHTRILLNFECGSDSNSSLDDDAGSPAFKLPQTAP IFQAQHIRSSRVNRLISDQNNNTPLQKQTIMNDQQHQMKTDQQNNVNELRQNLNNALQNR KQSILAGAFGMSKSPRNRKDRPIPLVDEIKEFESGFQNISSDDNEMNTSIDFKKKREEIA EAIQDFNNNMLDNITNLYHQLQRNLELNENDNISKKALLQLEPNYWSFNQQKFDDFEIKA SFESYYNKQNVEDVILYVKYDIFEWQTRILQRMRKFMLYPFLYIQNFLRLKRNDKVKSIT PMKKAGRVENALKNLKETLKLKRKTTFIRSSNKIHSVVPEFVNPFQQYKK >CAK63159 pep:novel supercontig:GCA_000165425.1:CT868024:47307:48790:1 gene:GSPATT00033012001 transcript:CAK63159 MLYYKPKHLKSVVPSIFTTEPPSAADSIILDSRILSEEKLNLNSKFALLDQFSHRAFDIK EYNLTQRELPSIKKHVIQNNKLDVWGETTLTLYRNQFLYTSLDIHEKYSPVVLFIYVKKG DPNTKMRLFCSVRFQQPNRFNAEIETYGRVLKYHSSDGTTFYDPTLYVAILAQNDVVITI KNEFAKPPLPRKILQLKKKDEQNQEFEELADLIIRKRKLKQKSLNFINFNRSLLRKQRIE SPCNTERLKEIKYKQTSILKEQNLKHIAQIALHDVAKEIKHKQMNIQLKQMHKEWICKKW IQIVILINYIVPSISNYIKVQKRISEKNMRKQILTMQFINKIKHNILLQGPKIKPRTLLL CKMSMQICIHSQQDKVKQKSQHIIIEILRQNIKSYYTVTKGLHTVNLSNQKMHQHACQTQ EQEVGIHGLDEDCDIPSMQTDLWGHLEDEVAINLATAPLAIVAAGEEQEQEGSPSLLNS >CAK63160 pep:novel supercontig:GCA_000165425.1:CT868024:49055:49467:1 gene:GSPATT00033013001 transcript:CAK63160 MNSPRAETLDLELNDTINKIYNGCQKINDKHLKIRILQWLDKVRTPTHNFIWKQNSLLYA RVLLEMTLEKQLDKPFRGVPPDGPLPRLDKFDVQASTGLAETETSSVGPISQETFGDDFE QEPDSKVED >CAK63161 pep:novel supercontig:GCA_000165425.1:CT868024:49626:51134:1 gene:GSPATT00033014001 transcript:CAK63161 MLFIIIQILLTQAQQNQTNYLYLFNQSALEIQVTLYSHINQPFILASSNKKFENIFYVEG QDKIQSLNYDHESFITNNDVHVIFTEPAVDLYIYAGYLNQSYNQYSNFSILINNQSGECP SFCIQRGNSCNSISKQCLCKSGYFGRFCQLQRKIGVLQIQYDIIIKPLQWVYIGFETKQL NSNDTLKLRIRDQSSEMLMGLGMQGIENHEVPNIHYDAYIIPKKIQQQIYQLKGDLIYYQ SMCMNCSFLNIGFYNQHSFPILFYFELFEKEQLKENDPYHLQTILQSIFLTCFIMITYLI FRKIRQKQQREQIRLVQREARGNRRRRRIQQQQNDEIQKGFNLDFISTYFPQFYYLDLVD KYPQLSEFVECVVCLESMAPPQQGKLTERDHIDHCSLTPCYHLFHQHCLFKWLQTQKCCP LCRKEFIEQDIKNKPWISFNNDISLRESSRANPFVQRMKTRSENTQQSDIPLMVDTENVE TQPNKDIVVNIVQKSARDLIIR >CAK63162 pep:novel supercontig:GCA_000165425.1:CT868024:51184:51651:1 gene:GSPATT00033015001 transcript:CAK63162 MPPFTPDARNLGGRNLISQQIWNHLQQLKEISQQIPQLLISPRKVPNSPKQSIEPLNCKR MNRKQDYLLCPTYLFRRKTVSESFTSLSPKHIQDETQLLFQEPLLRRQKNLKSPQKIFPF STIQLGALYRVKANEIKINKNQDLMQDCLQQKIME >CAK63163 pep:novel supercontig:GCA_000165425.1:CT868024:51840:53688:1 gene:GSPATT00033016001 transcript:CAK63163 MGTCQQCKSYVEISNLTIIDQLSNDFIVVQDDYQHPLYGKVNILEYKEPKQFDFKLVHKL ITFVEREDFQQALQYYEAKFYGFNHPNLLIIHAMQHRQIDQFFNTQYKLSLFLDYYETTL AQELIFRKKIYFEEREILFFLDSLIGSQAFLQSKGHALSSLKFDKIYLTNLLNGAIALKV QSPLFDPKDQEMEFNALFDKIIKGEQVKLQDYPYLSPEQWQMIHNKKLESYDLFKSNVFV LGLMILELCSVRQSITLYKDYMIDQNLLNDQINKVKERYGEVLPLLLDAMLYYDPKVRCD FLQLDDLLNLQQLLNGKRLSQIWTTEDFQYSFIEKKVFGLIDQLQVHFTTRQHSLIHPEV QSQLSNQSLLQKGSHLSLKQVLSKNSKESKASSSFIQKRESYSPQTQKKNLFKKFETEQA SKGGSKKLITQDGFGVESLANGLTYEGIFQGGKKQGLGKLINDDNEIIYEGYFFENQYHR TGVLKNQNPQPLTAPFNYKDFNTLGNMWVKYDGDFKEGKQDGYGQLTLSNAEIYTGLFKN GEVNGTGVFLTLDGQHIKGKWVNNIFQEV >CAK63164 pep:novel supercontig:GCA_000165425.1:CT868024:53756:54922:-1 gene:GSPATT00033017001 transcript:CAK63164 MYKLQPFILNLVNPFGTNHSVTTQRTNAYLEITHLGTTGIAEAGLPPKKQNCYFTDYDDI EVFFKLFQSIKPLEKYDGFEQFKQTPYFKMEDGEAKEFLNSQLSILDQISNDPIHLASKS LFECALIDWYCKKTNKQISQLISDENNEKLKVPNKRNFYTISLLDNIDEVISQLDVGLPY TPNIKIKVGGDLEYIRNVLIGVYNKVIKGNHNFCGLLSIDCNSSWQPETALKFLDLLNTE LIQIKPFLYMIEQPFPLIFEKEPWYIVKERYLEQKIEIYADESIKTSQDVVELQKVVTGV NVKLEKSSGYRGAVANFIKAEELMLKKWVGIMIGSSLIANQAINLLGLATIGGDIDGTLL VEKSCHKVEEQFIWHSANSEFWGMISLK >CAK63165 pep:novel supercontig:GCA_000165425.1:CT868024:55663:60598:-1 gene:GSPATT00033018001 transcript:CAK63165 MLKFVRILLLTLVLVKSTEIHGTINVKVILPNQIYSKESSGELDNAYRQDELRENKVLKD VAISFLETGRSVEVNEIKDQSIEIWQQKSLEIEKQPLMKAEVSNEKSQTTQVQSNQENKE SESQQKKISIKVQKKDNASVEQSANEIVTNSNNDVQAKDNSNQTDLENENSQKKFSVSVS KINKQEEQNVAQSEQQQQDVKSEQLTNTNKENDQQQVQNNLIANSETKVQAQDNTEIQSS NQEVVEDQIETQNIDATQQVETNLSSNEDKVQQNTEQKNSEISTQNQNESQAESIEQSTS TDIKQTQSEQQKENVEQKESVEIASQEQQELNSLNDKNINKISVENSKEETQVQNNDNSQ NEVVIQDIKIEAQPIVKSEEINQQTDNHKVDDSEIVVKTDEEVKVVPTEPDSKNQDQGEE DATPADSEPQNNPETQQQQQQQQQQQKNSSEKTEASDNDQQGQPIEENTQCDGEELDTVH AQESHNEVQANTDAQDNTEVKTEVKAEQKTEVTTEVKTEVNTEIKTEVKAEDQSKIKQTQ QQSTKEEKNQKSEKQQKQSEAPDFKVKKNQLTKKMKEVINDMKQADKPPSNKLYSLNVSN LDPDDVIVIKDTSYFDADAEVVVQEATNPEQQLIGEVVKVEAEVEKVDLSIFSNDSSDLI PIIVEDTDNYKQSEIITDAIKDVTEKEIEIIGDQNLAEADVDVQSLISDEVEINANQITK DNIVQDEYTLDYEQLESDVILNKSLSNDGTTFDQFQSQLDELAKELDNLSQQQPSLEQPA LQETQAIDTQEIKISKEDQQYLTIDSNNDSITTNVASNDDYYLDDLDNELDQIIQEQNTA KTEPVVQEQIVQQNNEVEQNDDIQQSNEVEQNNDIQEGNQVEQNSEDQQNDEVQQTIQYD QNKEADENYDNYNEDYYQIDNQNEQEQSQDVVEQSQNQQQQDEIQQEDFELDNEEIFESQ VQSNDEAVVSDGVMVDTGDQFSNYDQESDINKDYDIQFEDAPQKQEAITEQKQEQAPQNV EVNQETQTDKQNQQETGVQNQVVDQIENQVTENSVVDQQENQVVVQQESQVVENKVIEQK VSKVVESLDNKVIEQQQDNQVVQQQQINQVDQQQQDTKVAEQQNNQSISNDAQPQIEPQQ ENVTKSETEIQSTQQQEQQVQQEIQQQVDEQDNEITLENATATEEPKFEDLPQVEIKKKQ LVKKEISVKDKVEADNIITNEDINGEGTVQEDNIEVFYNESQIPEAESEDNVAAQSEAEV IENLEDLQDCIENDQDEHTVENKEEATQNKPEKKEKHNSIKVSKEQKKQNQKQDKSLKIE QNEKQIKFEEQLHQQIINKKQKEKQQMSHDEQFDQIVNELVHELEASTNKRVETREQEQQ IYQDIKKNVEELRQIHNSDDVIVKKRIEVVEQDVDAVTDERNKDKEFQQKIEELLRNQKT QAVSNNKINDGERYYLDKPVNQAVSDHEKELSIRKQEVMEKIKNDLQIKKGRFSQDNQKS DNLSPYEFERIYLDWTQNKNDIISSMLSHASGSEVSLESSVSEEPVAKVERVSKKQEVEQ EIQELFSNYKPNKQQLKRAGDSNLDQEETSFFAVNQKVKQSKNLRANKLK >CAK63166 pep:novel supercontig:GCA_000165425.1:CT868024:61710:63770:1 gene:GSPATT00033019001 transcript:CAK63166 MILGTEVNEFVSNRQQSKIHYLSEENKSLQEYIQDLENALKLNKQAMSLTLDGLNKRPQL ISDNSTMSTGYNYQNSQLEGVIKLLNEENQKLINGLSNQQRITQQLQTKLLLQEQISEEQ QNYYKDLIGDLEYKLIELKRNIHDKEYAIQELERMKPIQEREGQFVKIIEVVTPSEQNLK LHEELENVRNLLNKITQEAQAISETNNALREVNYNLKREIFKMRIVLRSQINFQSMKDFV YNEYIDKTDDNLQINREVQNQRGKLEQLHQELYGTSSLGYGFSPEPQQLKYLSKNERMIY QEKLHKMEMMVKGFKELFEKEKNANLHVRKLYNDLLTKYEYILDTNELIIKSNNLKDERN EKQQIELQFYKKQSQSLMEQLRNRKAFNSIPLNQEQDIAVGVFSPRYQQKYLNNQSQSSF SKVQQTGSDDLEIKNSARQLNSNNFNKVIKPQQINSVQSTCAKKQIQQLEIQDDSSSLEQ DRYICQTARGENPKISKLTQQQQQGQIIQYYDMTKQECIDFLMSMFNDYFKKFDLSNIKK QQLMKQMQNSGFDKKSIKRSYSNPFLYFSNVKAITFNQPPKQSVIALAKNEIQQLLSELQ SKKQKQVQNQGDGVFSVDVSKIDLKQNKRQMFDDDLSFISNLDDQD >CAK63167 pep:novel supercontig:GCA_000165425.1:CT868024:63807:64253:1 gene:GSPATT00033020001 transcript:CAK63167 MSKQGVKTTSSTLAESMRFSEVSLSHYNFSMKVGSKILQVQIEITSDSLIIEALETAIDY FVQIYYDQDINEKLDNYEVYSADPQGNPLQTKFKTDQTLSEIDCQNFALIVKKKGALLSI LKQPLQKEVRITDKLELPKFRYNITIAK >CAK63168 pep:novel supercontig:GCA_000165425.1:CT868024:64599:64940:1 gene:GSPATT00033021001 transcript:CAK63168 MFQDSKVHGPSLVLQNLGPLHKSISKITDPDLQSFECQKNTYITSPRAQRFDKLGNLIHK NQKKKYQITFRDEVTAKTKLYDIILVDNWKKYNIIDEEKEKVGCCRSNSCQLF >CAK63169 pep:novel supercontig:GCA_000165425.1:CT868024:65968:66271:1 gene:GSPATT00033022001 transcript:CAK63169 MSDCEYYQKFGINQSIFNSEPLKQLTPILKISRSASDDTMVRKDSYGNVIMRGNKQHKIQ FRDQNEVHLVENWKQYNTDMTNKESPCVCQII >CAK63170 pep:novel supercontig:GCA_000165425.1:CT868024:66514:67216:1 gene:GSPATT00033023001 transcript:CAK63170 MSQQRRPSSQQKMQQNITQSINNLKTQLQQQKQHRWSEQKMNKELFDFLLQINLQQYYAK IAQHCDGSLQQLIDMKSTKDIKDLPFGYQIKLQKAIEKMSKETGNKIPKIEQKLQNDQKE CCWICFQLIFESIDCYGKKMCSDKCKQVYLKEIQINCKRCGKVKTKYDAINSYGEWYCQQ ECAYTEEELMFLLMKSSQLKK >CAK63171 pep:novel supercontig:GCA_000165425.1:CT868024:67918:69667:1 gene:GSPATT00033024001 transcript:CAK63171 MKILIVNGYGKCIKGFRSAEHYKQIIKEVLTGKKEMIDTELEFFFADRDSIDDFLYEIDS SFVRVECGKMFDSIDLIFFEGDANLRPWSPNAYKYLILLRMCMRSNKILFASSFAMQGLV FLIASNVECQFSVINGLNGGQLGDLSKIKKSLTEIRMNDYFLDNVTGDLYSFNYDTGEWV SKGNAGLHSRRAAEEFKTIGKYIVKAPQYKVQRMKEIDQPYISKENEIVCSLRKNSMHNY LFNGLPFEFVVPFKNSWDVHPFNFVNPKKTFQTMADCEKGPLVIQVTDNIVATQFSIRSK YKETVQIMRNFMGYQLTKLCSGRAQTIPIEIASIKQNDNAMDIYLEHLGRSKYHNQKTIK FNVITEFHHAGFAAKKSNQLDVVVNNAIGKRKFKQTIQKLNAKDLDRLLDQNSSLPNQTF QNDQDRQPSIYSSQPQHNVEDQPQVFNKTGAEIIQFLHPSIDKSLFHESKPLWVPGYLSQ SRLQKSQLKQKQNTAGDEGQSTPFLTEQKQITTPRLPRTASQPHFRVIQKDKWITNKNFQ V >CAK63172 pep:novel supercontig:GCA_000165425.1:CT868024:70509:71386:1 gene:GSPATT00033025001 transcript:CAK63172 MKKGKKTQEHFDIAIHYKKQQEYLRYSLQENLDNYGILKSKEGLQKQSFREQEQENIEIT PKKSMRPRSAYTSLSQGFSSRQRPITAPGFNLQVKHMMKINENEEMVSAPYHSETKYWRN TKKFDKTNQNVIRNHSALQKVSLGCESEFYNIEEEETRNQNMIEKQIIRNRLFSPMQSQQ KHQINDRDIQYLTEGFYQHVALIKGTQPQKTFKHSNLQICDNLVDIMRLINGQTYTKSPV IVKTKPQGLRPQSAVSKLVSVNKRYKPVSGKMQSIAIRGFQL >CAK63173 pep:novel supercontig:GCA_000165425.1:CT868024:71450:74741:1 gene:GSPATT00033026001 transcript:CAK63173 MSDIIRSSQYRAIQNVTTNQQANNPVLQGQVVKQVILETRKDEQTEQYELRIEKLTQEVE QWKRQCFLSEQQLNKFTTQLQEMASLEARLAALQQEYKSVEIKYREKCNEFEKLRIEYSK LDSALISYSLTHIETKKFEEAIQSKQNIISDLQRQLQDANERLLQIPKLEDLLRNEHRKN EQLVQEIDQWKQKYSATQQDKDNYIKQLKYESEDINQKNKEFLEAEIQKGNNDLLNKVKL LQAQIEQLKTEAKQFQNLLQLEQSENNKLKSEVDLLNARIVEQNEQLDIEIGLVKERDFK LNDAEAKIKQLEDDLLEIRRLDQIIQDLETKNQNLVNEIDTLRNEANQYKLVIQQLEHDL GKLMELENKVAMLSSEIERLKQMIASKNEQIDRLKQQIDQLNKAIDEYKTIEAEKQVLEN KCAMLATEIERKKFQIEQRDAKINDLNKQINEQQQFIDELKERPDLSIPLAEAENLIKLW QEKYQNLEQIQNKYTIIEQENYQLKNQLQALLQELDQLKKDLEQRSNQLNDAESTIHLME QDLNKLSSLQEQVKAWESKYQLQTEQFTTIREQLIQSQETIKKSDRDEILNELRELQGRY QSLETQNQDLIDQLEQLRQLYIKCQAELEEAIKLESKVYDLENKVAMLSSEVERLKYRTN QKDEELKKLQAQTKDFDSLKNDFQQQSGDLQNTSQSLEEVTQQLESQLDKFKLQTKELNE AQQMRDQLENKIAMLSTEIERYKYKLNSKQNETDELKKQILDLQQQISHLSQVENDNIKL NQECEKLDQKYNDQVEVLQQTKNERNELQQIKSQLEQDLHLLQSELQTSQQNQEIKNKQI KQLENVIQEKEQNISQLKNQEQKMFEYETKLAFLSQEIERQTNQYKVKLGELAELQSQLI NINELQIVIQTLENEKAKLSGIIQQKEHETQSWKNKVDEQQKAMEKFEEMKYQMENKIAM LSSEVERLNYKYKVLLDDKSKWNKRMKLLFDELTKVSMQNEISSNEAFNWKHKILELAEL QSKLTQQDGSIQQCLQETEHMRQQLQEQDFLDARQKVRSL >CAK63174 pep:novel supercontig:GCA_000165425.1:CT868024:74767:76041:-1 gene:GSPATT00033027001 transcript:CAK63174 MNQIVSGIQFNVGPRYDVKKLLGAGAYGHVALAIDKKQTDPDYQKVAIKKLHLVRDEIDA KRVLREIRILRTMKHENILRLEHLIYDDSNKELDFGEIYLVTNYMEVDLYKIIKSGQNLT DQHFQYIMYQLIKALKYLHSANIVHRDIKPSNILATENCEICFCDFGLARQIEELEAEDN RCQNMLTEYVVTRYYRAPEVMLSSHEYSTAIDMWSLGCTFAELITRQILFKGTNYIQMIK LIFDTLGKPGEEDLGFITNLNAKKYVSSLQTKQKASIGSVIKYSNPQAIDLLDKLLEINP KKRITSAEALNHPYLEPIRDPDDEPSFEGNLDCQFENDSTIQLKDLKALILNEVNIMRQK NSEPIINVQLEIEKREQITKINQQKKQQLKQQQQKQQQQ >CAK63175 pep:novel supercontig:GCA_000165425.1:CT868024:76265:76924:-1 gene:GSPATT00033028001 transcript:CAK63175 MDQGWEVSEEHYLEHLMQNPPLNLKRQGSQQLEKPTVVVAQESPKTRMRFRTTSMPKLDE SNKPSSPTKKSVVEVQPKVEQQVKQQASPIIIKTPIRQQKFQLYPQRISLRSTLYQTPGE EGSPIKLGRTLQPKQFNPILSNVNDTHYNVMKGQTRSVKSASMSNLLNNEDRLLYKKKIE DLVFKMRPQRNAIPYKLLQMLLYQEKSKNE >CAK63176 pep:novel supercontig:GCA_000165425.1:CT868024:77194:77952:-1 gene:GSPATT00033029001 transcript:CAK63176 MIKNLHRTVIIRTSNSRKLSTSSIDSDSTSTKSDIKFKQPIFIQQPLQPLKEVSEPNTPD ALKIPQTSKIASRKSRFQKPVVQVKQDSSSSSDTSSDASEHNIWNDDEILLKGVHLGDYA YLSPTDELQMLYKVRFETYPNKKEQISEQQLALETQKLINKLKLHYLKYKKILPLENLKD YTQQYNINDDMNYMRFWDYFRVRTNHRKHKQLIMPAKNSKENKSQQPQNPTIRSQQRIKT EYRIKSLNTRKL >CAK63177 pep:novel supercontig:GCA_000165425.1:CT868024:78021:79301:-1 gene:GSPATT00033030001 transcript:CAK63177 MQKLLALILTLSIVYSIDPSMVQLSTEKMDELSQSNLGKFILEMAQTHAEMRGPLDDLVT AIGDLENELTAELQQLEDDFTRSTNQHQVTQENLDINIGQTEINIFNEKDFIDAILLPSI DQTNAKIERLNGFMNDNRDALSRETLARQNQHQAYLDRVSEHQGAISAVDEALQLINSLI NGNVAFSEKATIHQAVKRVNSKIQKTSTIHPLVEALLQLTQNFADQGQAQKIRDLLQDTR NQLVSSLNQENTDEQQIQETWEARQKTLNTEYQEFKRSVLEATYVLAAYQNKLKSTQEAL TQNELDLQNYNDSLQQDKDAQAQEIQIYNELKAQYQIQLQTTRNAKEFVNSAEFSTVIRN KLNSGGI >CAK63178 pep:novel supercontig:GCA_000165425.1:CT868024:79532:82297:-1 gene:GSPATT00033031001 transcript:CAK63178 MPQCENNSEEASFEPNISSDQKLEQSVFEIPNIKRETKIPLDLDSNQDQLIKKSNKNWAI QGLSIMFFLTKFVKQMKNHSTQVKFKSLNADILMLISDSASDSDVLLQKNLNKISTIIYG LKGTLSHLPIIDPDSNFKMIWDIFLLVQIILSIFYIPMKLGFGFNNDKTMKQLFFESMPS WTFIIDIIINFFTAYYSKGQIHKSHKDIFRNYAKSKFWWDLLFIIPFILSFFSIPDIEYI LMLRMAKVKNLIDQLEEAINPSITMQTVMELFKSVFLLLFVSHCCACLWNLIGQIQLDGG KYSWLDSKGIVDASWESRYIHSLYFSTITTLTVGYGDIVPQTDLERTFVILMAMVICGLF GYTISSIGNILRQLTEKEQIYKQKMMQLNNYVKKRQLSKQLILQVRKYFEYYLKMQDENN EFGENMMKALNKRLKEQVAIDLYHNILMSSRLIKNTLSEKSVKKLCSFVREKRFAPEEIV ETQNELANKLFFLQKGQINLICDQANRETQLAIIDAGKFIGEKEFIMQTQYDYSIRTTKF CQIVYIEYEDFLNIIKEDPREEENYQMLKDEMQFNEEKQNYGEVCYICRWTHQFMKCPFV FYIANLRKLRKQFMINESNQRHRFNRIVTGKIRFNLSLIQQTALQQIVDNNIICLEDLTD QYLNSLGYIKQYDHVIETLLSVKSNTLKSIKYESENDENQAGLKNLPKCSTIDQKIQFIQ MSPDYSDHGEATEWASKFLTMRANRRTLAQLQQVQTLRGSSVSLLSQDLSNQGQSSQQNS SSSSSFTGQIQPQQIIQTSQELHHNGLANKIRKGNSSTFQNVDQKLPQLLQSSQNKSNSE LRQISIPVIQENNKLDEITYNPLQRIDFDVDKCQNTQNYFPQFNLDIVLMKAKKPKKTSR HATHLLTVIEKSKFRQQLSRY >CAK63179 pep:novel supercontig:GCA_000165425.1:CT868024:83879:84172:-1 gene:GSPATT00033032001 transcript:CAK63179 MNQRSWWLSHHAISRVANIFTSLQYNYNNKSVMSRIIRVVKTGAAYISSLIHTFQYCSDK CLKQSLMQEQQMDVYRFLHTRHRFIIIQYLYPLLVQL >CAK63180 pep:novel supercontig:GCA_000165425.1:CT868024:84774:85019:-1 gene:GSPATT00033033001 transcript:CAK63180 MQSKLNNPDDTYNTEPTAANKVQIRLDKFYIPILKKTKVHRVVFRDECQKGKTIADIHVV ENWKRYNQLTEESAKTCCSTF >CAK63181 pep:novel supercontig:GCA_000165425.1:CT868024:85038:85804:1 gene:GSPATT00033034001 transcript:CAK63181 MYIINNVQEQIINYYKNWQNIVTQKHSLRKLCMKAKEDFTQIAKQIILTMLISQIQIETN QSCPICLNEDLVFKGVQSEQCKHAFCIGCINGYWKYNQKKQLKCPCCRAKISTFAKSKLL QEEYQQECNSFILFYRVRCTVLKYNVIYPFQIIANIFRHLGQLFNLFKILLKLSLQLQLV LCFILCIYVLSPIDLFPEAIFGVLGLVDDLLCVIFIVWILITQIIIRIFF >CAK63182 pep:novel supercontig:GCA_000165425.1:CT868024:86344:88027:1 gene:GSPATT00033035001 transcript:CAK63182 MNYLNIIEQSQIDKLKAQLEKKEQTISTLQTIIESQKEQINQYQHEKQQLVKNIQLQVQQ KFQDDLNFENIIRKLKQDLEQKSIQFEQMTMRLNQNDPGFLLMKLKNEAEEPHRIEILQK EQIIDELKRQILQLKNQLQLQVERNNAIQIANQNELAQLKEKYESNLGVDKLSLRQVKEL NQLNERDQQQIQELLKDKEQQQNQIIQKDNTIKELRFQNEQLHKSKQQIIETLTQELEIE RINRRKLQQENDDLESALSNIRLTMKVNYSTNVKSDGTTIKDQEFIDLQNRLNEYIRLFE EEKDQKKKLSQKLNDIIIQTKQEKSDSDKIYENRIKDLQEQIKEEKGMKSKQSMKIKDLY ADIEEMKHELNILRDNQARIEERAKEVEVRERKVIERDMKLNELQREFDLKKPPEELMYD NKKIKTKYKQAKKKLIEANQKIVVLLTKIKDYEQNNENSTTTNNKNNVFEGKSTYNKQGY TNTDQQRKQMERQVFKNQSYEGYYDLPQDADYLGNPYQTEFQMDRISQRLREDIVKQKNS LETLEHKYNILK >CAK63183 pep:novel supercontig:GCA_000165425.1:CT868024:88136:88924:1 gene:GSPATT00033036001 transcript:CAK63183 MDSKQSKAIQKIEKAGLLEKHNQLIAQGYTNPWFNYKLLKDYDGNLDQVKVAYNENKKRK DEQNGKALKILETMGWLNKHQELVQKGFIQVKKNLKALLATEGDLAKTIEKLSIKKTFQT DQPIDQIIEQHGFKVQYDKLKEMGYNNQKRIVRLLLKFNGNLESIVNKLLNEKDRKSKNY KKKGEKCQKDKTSEEFQEFKQKKKEFKKKLEQIEQNGIPRHRAVKLLAIWNGDADTVVKW AKQLKPQAETQTLQEPQVLAQE >CAK63184 pep:novel supercontig:GCA_000165425.1:CT868024:89080:90573:-1 gene:GSPATT00033037001 transcript:CAK63184 MSTIKKPLPRPKQQRCEICQRNFSLLLQQHQCKRCKRAVCFDCGKFKAIIVDYDLKTQHR CCIICKEEQLAIQDIISKEQLSFNKNSLITNQWLRYSLDNVREQDLIDEYYAILGQSKNN LPPSAAEELKSIPVILNQIRNQYNYSMKEFDYYLTKDLMDNMSAIFLQSVLQCLLYKNPN IQINQNLVQMTYFLLCFHSSPLVFHFINCFREEISLGRFLDQNDSIKDYEIDFLLEIGKK NYLIEPTDLLYFRKYLEKHAEKMLSNTFFNFVNVQCLIFIYDHVLKFRDYFELEKIATLI GSLYLNDFKQKGLDDEYFTNQIIKNTKPSVLKEYLSKEDRIRLNDKRQTYSKSEDIFLLQ FQQKLDHQNETKQILYLLDDCLLKKQPLNNHHKQLISQLNQKQQQIINDLLKINYNKPKE QDESQLYHKIETHSNSSTQIKFDKSELVD >CAK63185 pep:novel supercontig:GCA_000165425.1:CT868024:90650:91877:-1 gene:GSPATT00033038001 transcript:CAK63185 MQIDLLEQQQLVKKKRSRSQKDNGDSIPAFLLKTYEIIDVKIMSNSQNPSNSDVISWNEE GNAFIVKKVNEFSDIILPKSFKHSNFASFVRQLNMYDFHKTRHDNNENEFKHKLFQRGKK NLLSQIKRKTNDQKDQNSLTLIKTEIVRNGNQEIPEISMQMSRLQNKQSELEKLMKILIK QNEKIIKENKYLWTELMKNKHKNENSEEQIMKWVLQSLQGYKQNGKHKNISNNNLLMIKQ TSENDDEFQNEYQHQKIFENEKPVFDQLPQQILKQNFEQQIEQLSKTQIIQILMKAVANN QKQEKKNIFKYEDDEVSFDEEYPITKKMDLRQENEEKKQDNQLIVYSDPFSFHKLDDNYI IPESPNLSRKNSFYDQDPIPQFYEY >CAK63186 pep:novel supercontig:GCA_000165425.1:CT868024:92209:92861:1 gene:GSPATT00033039001 transcript:CAK63186 MIKQTKDGEPIFIPPAKILQELKYLHKELPAFCYSWAWEARCVCAFEKGEFIEAIKELKQ IGCQKRCEVYAKKPILIGKRLFNVRLISYGASSPKKMFFGPNHLAHAFDKLMFDYVYIFL ETAQKTAKKLQLLIQKAESTNNNRQIASFKESYKILLGKEYEEQNMEEEQNEDQE >CAK63187 pep:novel supercontig:GCA_000165425.1:CT868024:92926:93529:1 gene:GSPATT00033040001 transcript:CAK63187 MPIKLNIQGQTVEVDEKFADVSNFLKEAWQPGSNEELPLLQSQVTLEAFKTLEEYYKFNN FNPRVIDAITNEPITCFLNEHDRELIMKVDVFNGNQLKELLEAAKYLQTTAFKKLCLARI AFEFHVDKQEPNKSFTELKKKFNLSNTALTLGDVERFKQDYPTIVNKYN >CAK63188 pep:novel supercontig:GCA_000165425.1:CT868024:93548:95638:-1 gene:GSPATT00033041001 transcript:CAK63188 MNELENEFLTQCNIESSAFSHSYIEQIFNEVHFNNISKRDQIARLKKEEIQYQIKQEKQQ LHVEEIEKSLEQKQYLEIDLDRTLYSILLRQKYINDLEEQLRLINNFYQDLPIEHRESQQ TKKLSYLSYSKYKSNYDEYIKQLQNKKVDVLDNLEKVKNETQQTQQNYYSLLQKIKQAQQ TLAEQEKTIQQLNDQNETNIFKLHEQRKVVIDLQVLQKLERMYLTFEEGINEDFVTEDIN KVDCNKEITDFMHQHPFGVSFLVENLIQQYRKYQVQIDSESSIGLGLMEEKQELQQELKE LKELCERFYIYDEEEESDSQFQDVQKLMGFLTMKQSQNNFKQNLLHKAESFIIKVFGNLY NAIKRFNDIFQAIHQKRMAIDLKSSQIDSKIKNFCANTYIGHYSLSLYQYMNNPLTSTQP DLYHQIQNSIILKLYVNEDALNQYLQAGSTFIKLYLKASDYYSNQIHTMIELVQSINQIP KRSKFSYNDSPLLQQNYKSKLSIELSQKKISLRTDTESRAQVQVNFKTKTTKRNSFTSTL GSSRRIQQDVNEENYLESERQKIINPKNSISNTAKIPSEQQKYCDLLSERGHGVEKKVII QNFKSLIKLRQIKRKIKTMPTTPKSIIGSSLIFKSTFK >CAK63189 pep:novel supercontig:GCA_000165425.1:CT868024:95644:96429:1 gene:GSPATT00033042001 transcript:CAK63189 MNIKQQLLKQQIPSYQHSDGTCFCSVCLCSKCYCKQKPQQTYYINEPKSIYNVDFDSRRT PIKHSRLPNQNYQGRQKALSLHSVYNDDFDKKQLNKSSLDNTQKPQNNSFSIPFCGCNSY ALQFPAKTSMPPQLLKPLNQIQLLPGKLDIKSSYQREHKHHKLDQEKVINFSKITNQRLH TNSKEKNIFLNSSYQEQYKGQTAKRVSQLPIQSTYLPFGLSNQGINLYVSTNKQDYEQQS LYQCEADQKLQELSNQILSQQ >CAK63190 pep:novel supercontig:GCA_000165425.1:CT868024:96443:97866:-1 gene:GSPATT00033043001 transcript:CAK63190 MYLFMLYDFKSNRFYNQLLKISNIFRKSKKNAVSMLYKDVYSESNWKFIQKYLNEKQCKQ DTIVFEKGDRGRDFYIILSGQVGIYIFSNNMEQLQHNKINIRQGIRECVNQLIGEGVGPN KDQTPEIRRILLNEKIKKLLKVNELHEGDSFGERALINETGRLATVVCEKQCYFAVLNKQ AYKEILQQAQSEKIQQQIKGLQSISTFQGMSRRLLTILLYAFQSQEYKFRDLIYKEGQLN KEEIYLILSGEFIVTEKLTYYLNQQQLNQTREIAILQKGCIFGDKETFQHLKQRTQTIRC NSDYGKVLCIQLESLVQRLLVILSLNQANNELHLINELKNLSDLKETHRQNRIQKKIQSP ERSRSVIKSNSPFQRIDQIVKQKKELFNQLNQNGKTKIQFIYDINIPTQRKQNSCSMEFS KQKRRSIIDRVMLNSSNNSRFGKRQSLDQNISTQFKQIEKKRYSII >CAK63191 pep:novel supercontig:GCA_000165425.1:CT868024:98358:99726:1 gene:GSPATT00033044001 transcript:CAK63191 MDTPNIQAAGSRPNKSTFDQAPQTRQVIQNKSDIFGVNTPAPAPQVSRQSVRPKTDIFSN ESQAPKQSEVRQNKTFQSQIFQQEAVQNAPPPARSNQTFENSQQEVKRYQKKNNDNRQVD HGKDFLFGKSDFDEYKSLKRAATLSEFKPKLNYDPQNRRNKELYGNEIARDHLPTKEVNL ETSSPQKPKKQQQQQQEQNPTNRKLMENQSSVFGESANKAVKNQKVEKLTASTQKWSTVG GQSNNQVKEFDPDTYAKNRKEAELQSSVFGEQQVKVQPAPIPEQQEESQTQQSTSQQQQK VQKPKERLIPNNQTWQQTDSVKNMRDFDPTQSQIKEDVDASQKKMDALKSAMDSHQFEAQ QIPTNQKISNKHLKQKKDIIQREEKLTKEKTTTKTQAKKK >CAK63192 pep:novel supercontig:GCA_000165425.1:CT868024:99820:101198:-1 gene:GSPATT00033045001 transcript:CAK63192 MNKLILDANAFIKEINFQHLSEHYSFVTHEAILTEVRDERARQKLQNFTYPLTFLTPSEK MIKIVKQFATQTSDIASLSPIDIELIALACTLIEENGQKDKLKLEPLKPLEFNKIKNVYG SKNHQQVEEEEEEEEVQKEENEQVQNKQQDEQQTQQKSEEPEKQETQKNEDNNDDDESDG WEEVVPKKKYYNNYSKQNNSQKPKQEEKQVEDQAEESSEDENEEEWINNTNIHEKLNEIQ INPQNNQMNQFGVALLTTDFAMQNVLLQMGVPVLSTEGYMIKSARRFILECHICKTLVRD PTRLFCTNCGNNSLLKVSCSLESDGTIILYRKKNFKVNLRGTQYSIPSNLTKKDAPFIFC QDQLMKSGIQQQLARQRKQEELRYKKVMKTYENGIGFEDIDYRHPQQKITVGYGKLNPND VNDMRKIKRNKKH >CAK63193 pep:novel supercontig:GCA_000165425.1:CT868024:101224:102696:1 gene:GSPATT00033046001 transcript:CAK63193 MSEPQVYNKLIRLYLMQPKMNKAIPVRITRSLLKSIRVNISLAQTKMTQLQTKSEMPIYS FLLGPELPLLKKKSNASKNISMAGGNVLILFSDGGESKSTSNLNAFIEKFGIYVNNDCVV RTCYFKYFHPKEAYIQQGVINQEIVRVINGEQRETRKNKASTPYLQGVIEMEDTEINNQS GLDFVYPNGATLIVKDKAFPILSTGPMSYPCEKPIMAVNQEKGKLVVVGSTDIFNDEYFE KDDNQKIFDFLLKFFLTKEVEFDKKTPFAENDCKNAPDIAELSDKLKSCLQESEELPKDV TTLFDSTLFKFDLDLIPDAVKLYETLQVKHEPLTLIVPQFETPLLGLQPAVFPPIPRELP PPPLELFDLDEEFASEKTRLAQLTNKCTNEDLEYYVKEAGDIIGITDKLKNRQKAQSVIH YVLENLINFKKLNQG >CAK63194 pep:novel supercontig:GCA_000165425.1:CT868024:102757:103870:-1 gene:GSPATT00033047001 transcript:CAK63194 MDKQMIFSFQTQKPKIREQVKRLEYFQQELSQIQDLSRQNRSKIDSIKVIMRRFLPLRYQ KKDIFSQSLNKIQINQLNKEIQTEILPKPKLEILQENVMQLQFQKEEIFNQIKSIKKENG LLITQNKSYEIEINAQKNKINELINEQNEKEQFYQQTMQQQNWQIIQLTNELQQIYNKQQ QLNNFELNNPFQMLLAQFSSVEQDNIVLAIKEAIKINKNERLQVIQDWIHMEDIKEEIMQ LKKHHNIKLKELMEQIKILQNDQIIEPELLSTQDSLQLVSNILYRAIKSNEMLKLIKQNS RMYNLIEDLWNKLKSRLEHSNFSQQHIRTSSLNKVDLNSTNKKFSCRKL >CAK63195 pep:novel supercontig:GCA_000165425.1:CT868024:104213:105867:-1 gene:GSPATT00033048001 transcript:CAK63195 MKGIKMQQIDILIIISQIPEIIIQLILSCINQKCAFSQVGVTIIEQHSSDAIFVIIPVKT QCKKLRNQIQKNIQKNDIYSDWIIQFSPLLRRCANYSLDRFLQIIVGCRSEIIHRNRICY IKGLRGWIDSRWGNVLPHVFKTRNHELRPNKIGRYFGYLAEMCGPGCSSQFGNFQEIGPY KVVEVSKDNYKVEERPQSWNKLTHQLFVDQPLRVGMSGAKDGFVVSNTETAAKYFANFLR VLYNKHTILQRTQLYIMGESFAGHYIPAIAIQILTQKLTIVNFKGVAIGDGWTQPFQQFS QYASYLYTIGTITERQFKIIQNNIAIGQNAILDGNMKLAYKQFDLLSDDETINMAGGINV YNFRQYQGQDIEDTSHERFLNFYLKTQFKAPTTKTQFEGCDGPTFDAFALDIPTSRKGDI EVLLESNIKVLLFNGQLDYIVNTPGAVMWMSQLNWDKIGQWKSAKKEMIVVNKETQGTWK QYENFIYATIYTAGHMVPTDNPLGAYAMLEKFLTM >CAK63196 pep:novel supercontig:GCA_000165425.1:CT868024:105912:106361:1 gene:GSPATT00033049001 transcript:CAK63196 MNYTYSQRPSSYYKIITNTVAIAYFGYFYGMAEAIYKNKSKFIQSNKYCFYGLLAGYTHQ QLNEIGTGILIRNYGFDQYWLSNTAAGLINCGIIYSAMQLRNSENGQFIKAQKYAGTICL ISMFLDLFSQMQRDLYLEYPQQGKERKKY >CAK63197 pep:novel supercontig:GCA_000165425.1:CT868024:106424:107479:1 gene:GSPATT00033050001 transcript:CAK63197 MILMAMLVIWICQVIPYYTLPIIHPDYYNKTVCVERCPANTSDTVKCQTNKNITSCPRDC TKVSVNTSATFDFTSVDSMKTYVDTITSSVTNPENWICLYGSEPIFSRACFPSTALDILN QTSNFSSSIDLNRLTSWLYDLSVAKYIILASFFIAFGLGLIYMVILRFLGGLFVWLTILL YFVGISVLAGYTWNQHLYYLNDSQQSASAGSTNASDNAKALEYIFYIEIAWIAFSILAFF LHFQQNQIGYRKQ >CAK63198 pep:novel supercontig:GCA_000165425.1:CT868024:107518:108490:1 gene:GSPATT00033051001 transcript:CAK63198 MIVPSSDWNLFRSLVGLVDCISCVINISNLQDTLLVLETSREMAVLLLLQNMVYYFMFGG LWKQAFLLALNQFIIGCSVCIWYFNQGPGQSYTGNLFQSIYWAFRYHLGSLAFGSFILAV VQFIRLMLEYAKYQTKQMSGDNKCTKCILDCLSCLVACFERFIEFLNKNAYIQIALTSKS FCPAAKDAFESIWANTMRYSLVSGIGSIFTFIGKLFVGFATVLFSYEIFINVEPYKTDLA SPIVPSIVCFIIAYMVAILFMSIYQMACDAVLTCFIYDEELNKQNGGMSAQHCPETLREW FDGTQSS >CAK63199 pep:novel supercontig:GCA_000165425.1:CT868024:108769:111061:1 gene:GSPATT00033052001 transcript:CAK63199 MKFLFYSICFLLLSMQIKANEENNTKCKEYFTSFFEAIANGEIPKNISAQSILSSGKGLQ DLGSYLVCRDSVDLHYIHLRMISGGRPLHMGFCLPQFCEMNYLQTFNETTKSFINNLTHL QYNRSMVDSVSYQDPELMYPEWGIGTYLTLMLLIVVVGLNVTGSILSIMAENKKKAYSED LQIEIKKKAAEKINDSKIEDPSFQADIIDEQYRRSELIQNEIMENQESAFMSGKGQFEEI KYEKYKEPSWERLALSFSFVHNYQKLFKINEPKGENEGFVIFNGIRSLSIFWVIFGHDQL IRGSNSFNLIDIPYKITEPGWVTLTPAAYFAVDTFFFVGGFLATVLLLEKLTKLRTIKFT LVPAMWLHRFLRIWPTYAFCILMYWQLSVYWSDGPIWNEYIASTSTCNAQWWKNLLFIDN MFSHANNGLSYCYGWGWYLSNDFQIFLITPILLIIYAKNTKIGLASIISLLVGSIFSAYY IAFSHNYHLQIGTPNSKPQPDYQDVFYYKPWVRISPYLIGILFGLFYRNYISGQNQKIVD LAQKIKDSVFIRTLLYVIGIGLTQTIIWIIIPLQKDMDAWPPQAQYFYQAFNRVLFVIGV GFCITPALLGCKNDPSRLILGHPFWQPIARISFCMYLTHFIVILFMTFSSTQLVYYQYSH ILFFTLTDIVYTIVVGGLVSLAIEVPCMNLEKILFAPKKHEAVKLNNNQLGEQIDL >CAK63200 pep:novel supercontig:GCA_000165425.1:CT868024:111140:112645:1 gene:GSPATT00033053001 transcript:CAK63200 MKLKRFLLRYYPPGIILEYIRSNGEQETKSIDLLNLSNDTDVDQLVEEIVMEEPIISESR KPQLAALIRKLIDKIESKKEQQFELFKTLKAHMLPLTNCAFNKNGDKFITGSYDRTCKVW DTFTGEEIVSLEGHKNVVYCIAFNNPFGDRVVTGSFDKTAKIWDANSGKCLQTLVGHNYE IVCTSFDPHSLIVATGSMDKTARLWDVESGKQIARLDGHDGEIVSLHFNSDGDKLLTGSF DKTAMIWDVRSGECIHMLDEHTGEISSTQFEFTGDYCATGSIDKTCKIWDIKTGKCIETL RGHKDEVQDICFNSTGTRLVTVSADATGRVYNVHTGECIAQLLGHKGEISKVQFNPSGNK IITASADNTARIFSETGECLQVLEGHTDEIFSCSFNYEGDIIITGSKDNTCKIWKEVNLP VKNK >CAK63201 pep:novel supercontig:GCA_000165425.1:CT868024:112669:113208:-1 gene:GSPATT00033054001 transcript:CAK63201 MEHSEIKSDKPVVIYGGDYCPYCHKLKRFLETKNIPYEYRDITKEKEHEKQVNEFVVKLK WSTIPMVFIKQRFVGGYTDVVNLDQKGELEKLIK >CAK63202 pep:novel supercontig:GCA_000165425.1:CT868024:113314:114017:-1 gene:GSPATT00033055001 transcript:CAK63202 MESLNDGSQTRKKYAVITPAVRMAFIKRVQSKQSTIKQAAQEFGIKFSTSKAILQTYRRE GRVGKKKTRQRRSTSKLESLPEKPQETNKTKESLAPVQSTQTSPIDQQFQSLQLQLNQQI QLMQLSLSMNPYMLLQQNQYLNQCLMQLQTQQMVNSQGWQQPISQTQPLLQQFGRIQEQR QYITPLQSSKCLDQRFDEGRTTLKDLI >CAK63203 pep:novel supercontig:GCA_000165425.1:CT868024:114175:115242:1 gene:GSPATT00033056001 transcript:CAK63203 MIIIDGSYNEGGGQMFRSALALSVLFQKQFKITNIRSNRPNPGINNQLLNQISLITKIIK SEVEGLKLGSEEFSFRPLHKFLPEDLVCPAQTAQSITLILQSLLPISINAQKQVSITIHG GTYLNHCPTIQAWSTIFLPIINQMGLKCDVKVLKEGYYPQGGGQIQAVLQPVQNTLNQLI KKEYTKINKLNCTYLLGSPSDTQIFDHQIVDELKQYAENDQLIINSEIKIVNSKKFKKCY GISLVSHSNLNSYDYTIINDSDKTIEETLANVIGNAKSQLEQQNCFDDHHQDQLPLLMAL AKGKSEILVSKPTSHTESLKYVIQKFLPECQIEFEKSNIKDNFIISVQGVGYVFE >CAK63204 pep:novel supercontig:GCA_000165425.1:CT868024:115309:116129:-1 gene:GSPATT00033057001 transcript:CAK63204 MSFERYHPSKLNMESNDNNHHHNGNNHVHLKYAPVKIIHNDIANSEERRKYPQLNLRFQP ADETITRQDPTVTIPLSFLQQLIQQAYSNKQLRTLNNPSFRVEENRNDAVEELIKQIEIQ QKGFYPIDEEKKIQNVLIQNQMNFIFQHEEAIQIIEIYFSENTNLKPHFKTWLQQFEVKA IQDMLILCHSDPKGQQCQDLFKTLFQKLSIEFYQKHAYAQVLRSDQQEKLKYLSQIGEIL NKITKPQEYYYFKQR >CAK63205 pep:novel supercontig:GCA_000165425.1:CT868024:116257:117373:-1 gene:GSPATT00033058001 transcript:CAK63205 MKLSKMNEDEAALIFEERKKKLIGNLIDQYTKVQKQQQLSKNNILSKHEQPKLPQKLNQS NQGIGDTSKENQLKKIVKTSLLKDIGNIDVEIQKMTNEYNTNRTKPLYLEKQYSHSKILT KTLNQTELSKSEQKTSIQNKNQSYYTNRQKSNKINKAAENSYSKLAESLRNYSNQRKIEE SKTNDQSKPYDFLQINTQSEKKIVKQKTKSVNIEANVIKSSKVISSERKIPKPPLKQLSQ KRNSESEKTSPVKTILKQTSNHTYDLSSTKANTTQQFYLNKDNHFNRSQNNSRSIGDYKI MEAVNIYRTLKQLVDSKEQIHPKHSTTLLQQKTSTLLSHKNVKQQ >CAK63206 pep:novel supercontig:GCA_000165425.1:CT868024:117388:117960:-1 gene:GSPATT00033059001 transcript:CAK63206 MSQEVHSTEIKGRASRKHFPQLDLSDLKPKANFLDSLLHKKYQLNKNVQQYTLGKVYLES QKERVVFRVFQDSDLNISSIFQQKLNKTTIDDDVMTTYSQISHAKKQNKKDLIRRIYEKE KWPKSKKESIEEMEQSLFGSDEEPQPFCTQQQNQDRKTAQFIQSQ >CAK63207 pep:novel supercontig:GCA_000165425.1:CT868024:118083:120459:1 gene:GSPATT00033060001 transcript:CAK63207 MRYQQLVTHVIDLIKSYNPIILTPDSHCEQYIQENCKKLFDTEIMFLKQVFSGVQRYEEF LKILTKTMFTLLSSTTNRNDATLYHIFSYLILFRLDELPYNEFKKMVNEQDPVKMNVLLQ FLFDIDKVITHVKPLWIEIYDPQYIHETVIGGLEQHFPMMKDLLSSLSSRATGKQSELVQ DDERPESAAKKQPTKTMPFKLSETKKKPDPPPPPKPELYKSKPVPSNLNKKTLQQIEEDN KLRFEQSKKKVQETYKDAKQFEFKTDLRPTNYETVKKEVEDTLNQQLQFNMKIAKPAPAD LETAEIKLNAAAILREEMLLKKKQDIERERVKNLEVNLRDSGEFEEWKRQQEEVEQIARM EHQQQKKIEMELAREAAMRAQEEKFKENRILAEKMKVEAVERLKERQELQQEQVEYKKQL IEQIMEAEKKVYLQVEKVQEKNKQMAEDQKLEMARMLELKRQEDEQIRIKREELIKQIRE LERQPIKRTKGYDPTETMGYGLLEEMSLAELRDRLEVVKAERKAEEEDKRKEIVTQKDEY LSSMQRKVQEIKAHRQAESQQKDMEREAKRMKKQKEEELRKKIREEQLLQVQNKISNKKQ VKSAEEQRIAAEIAIYECQQGYGGNESLGSLNRMVQQKNNWLGLEREIKNRQNEKLIVQE GVESVNLRERKILAEQAKRLVKTKVDFNQAYDNELKTAYKMNDQLHLEEAENRTQMHNIQ REWKEEHTKNMITRDEYKQKISETSLKNSKKKKQQQTIKQDFSRQLEQLPSAQYS >CAK63208 pep:novel supercontig:GCA_000165425.1:CT868024:120481:122792:-1 gene:GSPATT00033061001 transcript:CAK63208 MSEQQDSSLQGQLFDNLRSLITLIDQLRDLGVQEYIKLPRIAVLGSQSAGKSSLLENIVG LDFLPRGEGIVTRRPLEMRLIHKPDALKPWAVFDVCKDQKFFDFEKVREYIVELTDKATG NSKDVVPDPIVMTVYSRDCPDLTVIDLPGITRIAIKGQREDIEKVTLEMATHYCQDERTI ILAVCPANQDLSTSDGLQLAIKLDPTGSRTLGVITKIDIMDQGTDCRKELLGQIIPLKLG FVGVKNRNQKDINEKVRVGIALQRETDFFAKHPLYSQMPPDIMGTKALIQKLTTILFKHI RASLPQIIREIDQKVQDCEVKLAQLGPGLPRDNKEKMQVIWNMLTEYTENLNNKLRGKFD VKSALQKDTQISGGAQVKLYLNELYNEQSGKNYRVSREYTDREIREAIRLHQAFYIQSCH NQRRLRDPAIDTLNEVFTYVDSLSNSILKKVFYRFPTILDAISDISSQVLTEQKNKTKVV VENLIDAELGYIFTNDQDYLTMNASIIPQEDKEDQKTAAAAPTGDNAAGTANTANTSANT ANKPAAQANKQDTDPEKVLAQELSRRLDAYYRIVIRNLRDSVPKAIGYFLVRAAQEQMQF QLYNEIMKSQSVMGLMSEPEYITIEKRYHQKDIVSVEKCIETLEIRSTINIPTTNQ >CAK63209 pep:novel supercontig:GCA_000165425.1:CT868024:122963:123763:-1 gene:GSPATT00033062001 transcript:CAK63209 MNTQRDNLQSRGQVSSSHRTFQAKRTKTEPSLLPNFDILQENVKNQFALGHIRGKWLHKP QYVIPKTEIQQKRDETYGKVNNKSKTKKLTFLKFGFRMHEKKNNYYLQPLIKQVHDAFGE LANDGSMGVVDLWSDRNVNRLMDAIEMTRDNLLVRRMGKEKQKSDEPGLNRANEKKVNNI FKSSDDDTFKIKFQLTDVEKRRLTRIAMPTLCYQIRHGDPNEGIAAIVNSIDELHQENLE IYTNLYQQIKKIQKNIK >CAK63210 pep:novel supercontig:GCA_000165425.1:CT868024:123797:125315:-1 gene:GSPATT00033063001 transcript:CAK63210 MQNNNEAYLLEENAKLRKNLIELETNLQELVRQDSLIEKWTVMKNFVLVSTEVERLSLAY VALEKQHQTLLNAQNKDVVHSDPKLKKLINQLEELNEIMIEQQKRIEELNKNNALLKQLM NETNQKMQVLTDINKQLKQDEQILKQDKSKLQKQLLESNDELNNVMQTLKECEDMFKSTL LKQETVIKNLSQQSEGFNLENAKKDKLIDSLMKKNNDLINDIEKIDRKFNEQSVQMKKVS KMYESLEQEYNNFRLQQQGNQSEFHSLTKRIEHKNQVLIDLENKYDKLTVIVKAQEAQLE EKDQQLKHLKQKLIEQQNLLKDARNSNKQVKSDNESEIKQLNTQVKRLQEKIVEIRQELE REIVLKEKLQLKNQNQDAEFQTLFSQNEILKQELKELKKQIFELQQTVNVEKNMFLKVQL ECTGLRDQIRQAQEKSQVEGQMNLEEECFQLRNECEQLRNEAQYFKYQNYQQLQQIEELR QTKTYLSNILMDRRQY >CAK63211 pep:novel supercontig:GCA_000165425.1:CT868024:125452:127902:1 gene:GSPATT00033064001 transcript:CAK63211 MQLKTKLLLTQLMLQNLITLIQAGNQEHKDVMAITQNSIGLLLNLIIFCSEYAKQRQDFI NILIQINIIVELETVFYNVDNITNYFSLIYLLIVEDVLKKQHKCYQFLTHTICIYVSIRL GAQFYEKFTTQNFALFVLWQPLNHLIFYKDNQPNEIRKPSPTSLSQRQPNHNAHFSYAEQ IQQNLIDEKSPQVERNINVALDEDLLNCLPYGLALIDTNFQVIKHNEKLMSYLMISESDQ IMNHLDQLLSNAEINSVKTNPHTKHKPHIVPLRKLKQNSANHHQNSTGIKSNKTNWLSDR LKVNIQNKGSFQSSIHDTTTYSYVQFVMNEFQTKSHRQLNPSDNQSIASDQTHMFKFHVV KDFIMKKKHFQIKVYEVKLQTKTKDPVFLFIIENITDKEELKELTHRFKFQQALLNSFSH ELRTPLNSSLPLLQILSQKIDETLNDNYLQLAIISCRRLLFQINDILDYAQIECEDFKLN QNNFYASEIFEDLKGLFQQECTQKQIELILNFNDQIVIHSDKLRITQILVNLMNNSIKFT KQGGRIILSLKKRETQCIFSVWDNGEGISSEQMLALQNQFVQQSKGSIKMGLGLRVSKGI VKFLSGDGELQIKSEKGFYTVVSFSIEESASTIVKEEESSIRDVEDLGSDSKNSAQRVYI SSRKFLNHCECIQILIVDDVPFNHITLMALLQTYGYKADSAYDGDSAIKKVKQRQQNGCC KVYKIIFMDIEMPGKNGFIASSEISKLLKNERQKSVIVMCSAYNGQENAELAQQSGMNEI ISKPISLDSLQTLLVKYFC >CAK63212 pep:novel supercontig:GCA_000165425.1:CT868024:127934:128344:-1 gene:GSPATT00033065001 transcript:CAK63212 MSIACIAIIDSQDKLSFIDYYINNEDQVHFKFQTYTALQLISPALQKKLNGGTSTEPYLG VLYTIYLPNNDYDVHAYITITQLKMIIFIKQGTEKLNLLAIFEKIYGLYRASNFNPFHQT NLNKLIKPMVVEFNGI >CAK63213 pep:novel supercontig:GCA_000165425.1:CT868024:128361:129436:1 gene:GSPATT00033066001 transcript:CAK63213 MLIETLYIWIIWLILKLPQLEIKDTIDVEVQDEFMIRNAKSKYSLFHSFQDIQENKSQPQ FKVRLTQNYLIVDNQTIIEYDKEYFRVQQLNNEDQSVCQLKRNHTYMKELLLNFSVINYS NCSIAYMDEQTRITNNDIKLSFTNCKDIKVIDQFNYFQKGQLVIIVCRVLTEAKIKILFY NNYEEDFLQLYSYKISFKELPNLFIRYQAHESKQFILIINAYLLHFTLDQIFFYKIDQVD EIQFSEDGTQLYAMRKSVIYQLFLQNPKVQLLELVEQQSKIKNSRILAFKCWNQGILVLT DKGYFKYLEVQNSQQIHKITIYDGIGVIMIMITLFSIKVNYF >CAK63214 pep:novel supercontig:GCA_000165425.1:CT868024:129504:131705:1 gene:GSPATT00033067001 transcript:CAK63214 MKQKNKKPISELLKQKRIAEQQSQPVQQPPSPPSRSSSSCSSKTSNPYEDSSDAEDYEDY KKDGYHPVSIGDKFHNGRFQVIQKLGWGHFSTVWLAHDKQSETHVALKIQKSKQSYQESA IDELELLKDLQKHLKDEKWIQYQEQLSQIPKLDYTTLKWYDPNIKNTEQDMEIKVKLNET YCVEMVDNFIHYGMHGKHYCTVFEVLGPSLLDLIIHFDDYDKRMGMWLVKQITRELLIGL VYMHEVCNIIHTDLKPENIMLQLKSQNFGEFVEQMKMVKKKPISMKFLDTLKKSMKSTNK KQEKRKKQKQKKLQQQQEKDQQVQNEQQQSEQLQEQKLKDTQVPNQETNNITIQQSVQED QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLIVQECVDQNS TNVQSTLTDTITEEDTQQIQIIDNSQSQVEKQDKSDKNNLEQEQKEETHHIHKHRNLQKE KEKALKDKKKSHSKEEEVSSDEEDDQDWKSDEESEESESKEVDEETLYTLKWKEHIKIKL DRDLSIKIVDFGNACWTHKHFTDNIQTREYRAPEAILGIEYDTSTDIWSTACIVFELLTN DYLFRPKKGKGFKKSDDHLAQMQEVLGKMNKKWALSGSNSREFFNKTGQLINIKELHPTS ISKLLMSDYGFSYYEANQIEDFLVPMLAFEPKKRVTARQALQHPWLWSK >CAK63215 pep:novel supercontig:GCA_000165425.1:CT868024:132059:132423:-1 gene:GSPATT00033068001 transcript:CAK63215 MQKSQFRFENKPSKQFPLDNKVWKSHENPINNEDPLITEESEFGFQFEIKRIFNDSRCQQ YTNSMIINNYTVHSISQATFNHEDQELSQEYLTNQWDQYRYKSVIQ >CAK63216 pep:novel supercontig:GCA_000165425.1:CT868024:132466:134398:-1 gene:GSPATT00033069001 transcript:CAK63216 MDWNKASQLNEKEIGYKKYKFDSLTTSQFWAYCMGHMLNDLSAACWFNYLLFYLKRIIQV DFGSYAMLSGQVADALATPMVGYYSDRTKTSIGKRIPWYIGGYFVIIFSFLPVWNGNLIL DWMNMKDNTVVQAIYYTVFPAIFNFGWASLQISHMSLVPSLTCSRSRRDKLNSIRNTFQF IAVLIVYVTALIFFQLANSTDGTDSAQAFQYLSLICVAIGTATSVFFILQINEPKLTSDC SKFARTLLRILKDRQNGISNPAKAAQDQKITRTQVQDEQKNTISSDDLQKSTSESQIDGQ GNVKLTDVEFTDDEGEGSLHKQKANRGSMSEDVHDWKQYFCLKIYREWFGQMAFYRFGMV YMFFRMYCNVSSTMISFYIASVLKFTDPDSEEVKVPIQVALIPLSLYIMSVLTSASLSKF YQVLGKKVTLTIGTVLCLLSSSALIFLNENNSYFMYVVSPFIGIAQAITLNTGITLISDV IGLKGSSGAFVFGAYSFLDKISSGIALFFCSYGSVLDDENLVRWLTVLVPSISCFIGCVL VVTAPTKKQEEDAETEQKDERRSKSLVDELAT >CAK63217 pep:novel supercontig:GCA_000165425.1:CT868024:134826:136239:-1 gene:GSPATT00033070001 transcript:CAK63217 MKKIDCLRGCAFSAIKVHSPIAIIGGGTAGLNVAAQLIADGHAIPQQIRIFEPSKIHAYQ PGWTMIGGGLCDVKQTLKPMDQVFPKNISVSDSAVTKIIPQENQIETLDGGIYTYDQLVI ATGISTDFDSIKGARKYLEDSNAPVASIYNYKYAEKMNRLVQEFEGGNIVYTEPPLPIKC AGAPQKIIYLSHDRLNKRGIKTHHHFYKTAGVIFGVPKYAEILTEFCKTKQINCHFKQKL VEVQDHVAIFENQDTKELKSVSFDLLHLVPPQTPGQFIAKSGLGDQAGFTQVNPNTLQHV TYKNIWSLGDCSSLPTSKTAAAVMAQTPVLVKNLIRSWKLKQDPLPGYLGYTSCPIFTSQ SKLLLAEFKYNSELDETFPIFQSNESRLMFHLKKDFFPFAYWQIMVRGWWGGRDGLRFFK GA >CAK63218 pep:novel supercontig:GCA_000165425.1:CT868024:137269:138576:-1 gene:GSPATT00033071001 transcript:CAK63218 MDQNFILFETQRLQKQVGFKQDQFQCHLCNGITDELFTIKCIETVIKVCLNCHNVINNND NDKQLLGICWHMLITDIPYCSKVLINLDSQAKFYNLKFQECQICCVQKICCKICMNDHYF CIDCTDTYVQQQFSQSYRIPCPEQLCNLELNHYLIAQFISLQQVKQWKIIKRDLFCIKQH CYSQLTIHPYQQIESSSLQKINQNMVQCQQCKTKICNTCRNQINGSQQKHQQYCNQLALN QFKIYCQDNLVQKCPKCRILSQPRTCLNLSNPIQEINIQQCQLCNTKFCILCKSAQVVLF PQMNQYLYDQQYQYRSNCQACTSRIYSKKNKCKKFTKKFVRKCFYIPKILRGLCKLLFII IWLPGYQFMQVSKIVGDFVDNKINKIDKSKAPYFFCCCGPIIAFSIICSIFILYAILFIP LFIRNCYRKIKGLQL >CAK63219 pep:novel supercontig:GCA_000165425.1:CT868024:138664:139891:-1 gene:GSPATT00033072001 transcript:CAK63219 MFPPIALDQIYVSERATIGIPFIKSYVDEQIPQGQRKEHALKQMIAILKQLNCYSDIAQL QNTDLQKIQDQLLQDFGYRQAEGSSHADTFQKNLGVTVIKNVHSQGYVCKVDVVRTPPFI YNVMEEQIAMKVYIQHDMYESREVEIIEQFNNSHQPYLYSYIRYSNLLILFLKQHKISFY DLMSHCIGPRKKFVYPKEKVYQGYLKIFHSMIVALEVLHNQGIVHRDLKPQNNMFDCQKQ LNSLDDLLKEDITCVIIDYDRSKKVDSEGNQTNYVCNELYRPPEGTQMKYDSSYDIWQLG FIWLLQLHEEVFKTTEIQQIDDIARIFKLKKIFQDTVNLEDRRKNYDKFVDAALKIKTYV QIDEELHQIIKKMIHFNPKERPQLLEIKLLLEKLIIQNQNN >CAK63220 pep:novel supercontig:GCA_000165425.1:CT868024:140705:144102:-1 gene:GSPATT00033073001 transcript:CAK63220 MDFQIKKLTTVVNQISTKLEQFQSNQNFDYKLFSDLSLELNQVNAETSLLIQQAINIDVS QLIEKTFVCIHNLLMQALKSREQKNQPKKNNQNSTIQLIDHCIQCANSQLNCFGGVSISQ QGNPNIKIKNVTQVPWYEAQQQSQTQQKRFIEKSTCTTEDYYQNCTNVLSGSYDRQIEFE SMIQYANESIAMMGEALSSRVLQQYKEKGKPEELNKLLHAIKFKKEDIDSIFRSVDLSLL KDTIENYDQVEEQVKQHILQVQKQTAERVFLYCKALFKEKFKKGKFQTADHFNRQTTLGN RDDCRCQIYVGEIVSLQNKTEQQVQQLTKQTSDLKDKDRIIHSLELQIKLFESQIKQHLQ DKQELKQKIDQQYNQSRRSTVFQRQSSFMVNISQNDEPQDMQMSSPNGKVQKEERQSYSR ILKTPLLQPFVLQNQGQQENLNNEQMNDLVKKDKMLERLIVLTNALDKSNKDRRLSQLQY VVEQKGERQLSDMFDLIKDIEENDLLIKERRSFGNLQSDIEDLRSPMNASKPFYSQQMID QIEQVQKQKFLSTKDLNSPKVLYLEQIKEIDENKHILLVPIEQAAIQGKYSKKNTSQSSF NDSNQGMEEVSPENTKQQRLRRTSSNVQQIVETKNNNKQKRTNSIKLKSKRKVSHQNNSN EAVSNIYQTTSNPQQNSGILTDRQPARSISQQQQEQYKYERIIENELISNKIAIHNTSLH DKPIINILQSNHNVQFHTPNNSSRLIKVKNQNQERLDINTIPKQIKINNNPTQYKHQESQ IIISPQQQTKFQQQLNQQKFLISEDREKTAKKQQQQQQQQQQQQQQQQQQQQQMHASPTE NIQQQFQYVQENQIKPDKEITSINIISEVKKQVQQQNQRARLPNLEIDSVDKYNKSPRKL STQNNSNKLTDNKQKWPGFESAKFITHIDPNIQTETLNLRPQTTIDNDEIKKQEGQTREY INKQKDQYEKKQKEERLMFDQVLFDLPEQQEELIIRNAMNNNSGNIITEELNDNVIKHMY KNLKEKQTQITQTINKFYQRSDEQNIDYAEFQNFINKFSNLHKRCGKDCIHVTRFYMRLG FIPLRYLNKRKAMKLAKPIVLPCFSKQG >CAK63221 pep:novel supercontig:GCA_000165425.1:CT868024:144273:146689:-1 gene:GSPATT00033074001 transcript:CAK63221 MMRAEFQILEEEIDEDYEPSREEILEYAECLGMSPRSDTKYFHIAREGLKTPLPPPWRPA QLRNGDIVYYNPVTKVLQQEHPLDEYFKRKYIEEKKKDVQNSQPSKTSKKLKGLCEQLRE PTTQETTQKTEKKLQQATDISQNNLSDVPLLNESVQLQEQFELIDNQFKFELTQYEKEQE SFVMLYKMNRAKQFEQDKQDISDSSFEDFNLNVPEKALQIRQKFLNQKEELKIKIQEETD QKLITEQSKLTEQSASKLLDYKAAKSIKNEQRIQQLQSEWESKLQSLKQKIKSTQKELDD KKLQQQSMVSQQQSNIQAKWLKIQGQYEKQIQKEYQQILLNMDEILKQKKNDIVIQLKQV KLQKSQELHNLYKENNEFNIKKRLREIYREKLELAKVSNLHDMENDVKQEEISQFEQMTE NIINYKNLLRTNFVKLKQQQIDNERAYLNNYYKEQLQVFKVENFKSTELQIQKLELSQIV MANQSFSQLDNSQLKVQINPDNRIQKKELELQIQEYRTRIENLKSRLSNMDQTKRDEEFL IKVLNQNDKINFLQQSLQEQDNILNELTKLYVSQPVMTNKGSQQTPKLQEQDQLVNSVEQ EIQWLVLQKERLKYDKKKLQKSYEKLSLLASTNDQVETYNKCVNVVRNNQQILKNCEYII TQMQFNCQQYKQTKLIQYLKENDKLQEELQTQKSLIGSISQMQLDTSFPIYKQVSQSFHQ SDSFDQSYLKQQTNNQKQLLRDIKDSLNKSMLSRMY >CAK63222 pep:novel supercontig:GCA_000165425.1:CT868024:146718:147243:-1 gene:GSPATT00033075001 transcript:CAK63222 MKAQYFWPKQKIQVMPLTSPQNKSNFENTLIKTKKQLSLHEQENWNDEHIIKYRNHLKDV RELKQKWQIIERPMNASVLEKAKPYILDMEQSIQHVSLEKPKKKIIIRPFSSRQQKLLSQ ISQIYNFQSCANRQNKIKLYLQSDDNYANHSFRFYKNFANYLNSIK >CAK63223 pep:novel supercontig:GCA_000165425.1:CT868024:147275:148917:-1 gene:GSPATT00033076001 transcript:CAK63223 MSEEIEPYIAKKFEIIQKLGKGAYGVVWKAIDKKLKQVVALKKVFDAFHNATDAQRTFRE VMFLQELNGHENVVRLLNIMKAENNKDLYLVFDYMETDLHAVIRANILEEIHKKYIVYQI LKALKFIHSGELIHRDLKPSNVLLNSECLVKVADFGLARSLVQNEDDGMVLLTEYVATRW YRAPEILLGSTKYSKAVDMWSVGCIVGELILGRAIFPGTSSLNQIERIIELLGKPKADEL ESLDSQLAANILNSINASKKKSFVQFFTGATEEALDLIRRLLCYNPKTRLTAEQALKHRY VIEFSQPDEEIVSLQPFKISMNDNKKFTIKDYRESLYADISQRKKDQRKKWQLKYLAQLG VNLEEENDKKLKNKDPSPKYRDDIIDLNKVDDSQLIYQQQMQQQVLQQQKKNQRPQSQSG NQIRQHSQDVARTISQENSIQQIQNTQQTHHKSNSIVMNSNQYKQGYYYPFQQPNNNQQT QKYNGINKSANNVVQQQMGRSSTGYYQKVNKK >CAK63224 pep:novel supercontig:GCA_000165425.1:CT868024:148986:150161:-1 gene:GSPATT00033077001 transcript:CAK63224 MFTTRLAYRFAKSVPVVDVTSFLAKKGDSSAQCAQVAEALHNYGAVCIKDPRVNDRHNVD FLDMMEKYFASRAAKFYNDEKVEDIFPNYSYQVGATPEFVEKARTHSNVIRQYTKENEAY TPQPAPYDAKWRFFWPIDDAGKRTKDEDFKPPRFRPKDVPQFGERMEQWGKMMINGCLTV AEMAAIGMSIPADTFTTRMQGGPHLLAPTGSDMERHKLGTVFAGFHYDLNFLTIHGKSRY PGLYVWLRNGERISVAVPEGHLLLQAGAQFDLLTGGYVTCGYHEVIYTEDAKKRFEENKK AGKSTWRVSSTLFSHINSEVTLQPLDKFAKQGLPDKYKPIKAFDQVQQELEAIKLK >CAK63225 pep:novel supercontig:GCA_000165425.1:CT868024:150232:151580:-1 gene:GSPATT00033078001 transcript:CAK63225 MGVACSGSKRNEQLLLHVDVYIWKEKEKKYHLYDYSSHDSHYQQLQLIQDTKLCKYGENI LAVQPNQTIEGAQELFVIKEKVLRPITEIWSIIRNDQVNGVPVTNRVQLFPGQTIRLGRV KIILWEACFNQAMATEESEKEKDEDANGLDDSDNCNAENSCRICMSKVGTIQNPLINPCQ CSGSVKYIHIKCLQQWIHNKFKIRELNNIVLYFWSNLICEICKEQYKLEYKFKNRKYHLI DIPRPKEAYFIFWISHIDKNKEKGLYVINLNGRSNIKIGRVQENDIKLQDISVSRNHALI TFNRDDESIFLEDLGSKFGTLLQIDELRLDTKSIIQVANSVFIFDYLKKNQKHYELPSFY KVVEKETQQQPQDCGEDDVLVNVDNNKYLSGDNEAP >CAK63226 pep:novel supercontig:GCA_000165425.1:CT868024:151993:152462:1 gene:GSPATT00033079001 transcript:CAK63226 MAEVEQLSEELTTLKRVVQTSLHRGQLAKGVHEVCKAIESKQAKFIVLADDCSEDTYKKL VIALAKQFQIPVWKVDKGALLGEWIGISKFLTKTKKIKSRKCSSVAVKDFAIEVSENEKQ FVEDKIKAL >CAK63227 pep:novel supercontig:GCA_000165425.1:CT868024:152462:154366:1 gene:GSPATT00033080001 transcript:CAK63227 MDKFSMDEKTLDLHIFTPEEPKLGPYTYLNINYLPHLASHIMHKISHKEFCSLIEFELQT YLLELAILPQRSKTLRELTSFVIMEMRLSSKFWIHGSEAWNEVQLRLKLLHTLEPNCTQE QVKKSGGKKSLSEILQDAQALRKYLASLDFSKVDYKSEFLKIPEVIALVSEYDQANSQNK QLQAIDSYNEIIQSLVECKQRKYQLKLQQQIDHVKNQPVDPMSRVTYVQNKETRSAKTDQ VSYRDKRALLKEQQKQDVDERRTIFVPNQVYSYSKIDLVILPYIYRFIFGDSIEFTPQHL RIQNLVLYLCLSDQENYKQNKQKKNIILDDSAESKTSIKLFQKYLDQSKDKLMTDFDQYC KNQNISLKNYFSSTPASYRKELLLIRNILILSLRFAQQILGIDYKFEDQKIDQLEYEEEE KNDKSNSAYQRWNKADMQNEYYYFNVLAQLELYYDIPFDQYDDILRVYKNYIEIVYTSKK EHFKEYFGILKTNKDGLLGLKSSMEQVNSAIDEYVNYINAPKTQQKRSYIYHSDNREEWK EEQYKQFLEGLHKYFEIVVNNKKIAKFMGDVNPNHVRFIKGQYLRGLKKRAKEKNINRKE LLKQDIENFDISIFEKK >CAK63228 pep:novel supercontig:GCA_000165425.1:CT868024:154388:154721:-1 gene:GSPATT00033081001 transcript:CAK63228 MSEHSHLMDENVVQSQINFKYKTKLNGISEPYEFYCFTCNQPRISRIEYTYSKATFIWCC ILCFCTIILGLLPFCMQKCSSKTHYCPVCDKIVGHTDGELC >CAK63229 pep:novel supercontig:GCA_000165425.1:CT868024:154771:155499:1 gene:GSPATT00033082001 transcript:CAK63229 MISDDTQRVSECINHKRLLEAFCTDCHVLICPTCLMFGDHKGHLVDQMDKATKDLRASMD QSARKGLLKLEKTETVLVDIRHTKLTFEESKQKVLKEIDQTFNTIFQLIKQRKDEVVNLI NQHYEIQVNNIDTQEKIWMDKQSRAYDIIKLARSSNDYQLLEKATYILESLEILRQTPTY KNVYIVNSIDTTFNTNNISLNLSQFQKGLQNWIKLGESVLIQFKC >CAK63230 pep:novel supercontig:GCA_000165425.1:CT868024:155527:156286:1 gene:GSPATT00033083001 transcript:CAK63230 MLKKRFLFIFDFDHTIVEDNTDTYIWKLLPNGRKSLPPYFEKEKNWNKFMRKVLQFYYHN DISVQQVQHCLQEIQLTQGFGELFDFIRTNKDQIECIIASDSNTFFIDSILEKRNLKDVF DKIYTNPVQIIDDFEISIFPYHKNECKSTCPRNMCKRTIISDNYQLNNYEKVCYFGDGKN DYCPGTILRKEDIIFVRKGYALEKLIRKNDLECEKFYFESGIYCINRLKNLFF >CAK63231 pep:novel supercontig:GCA_000165425.1:CT868024:156401:157731:1 gene:GSPATT00033084001 transcript:CAK63231 MDQQKLIDESITLDVLQPKLNKLYLVCSINSREPFTDPETIQTDFITQKSETLMALKIPK MFAYIGLTGTKAVQNKQHEEQPNQLLCCFRLLDVDTIIAGYMDGRIVQKQIMTQRIIKEF NRQNQEAIIKKRPIKIHIFQKYFATLFLEGVVCIYHQEHQMFKFQNEYEKFIRLSFQYHK KLVSQKTLKNFESDPSFHYHYLNYGEFSNFQYCQLKMNPLFIFRFESMSINDIEILPFSP LEHLNKPEQRMEKGLCIALSGLDGYFRLLDVMNNKPLFSFKTECCGICSFSFNPNFSSVC LGAQDDSAYVINFNSKISWIRLVGHNSFISRGVFSSISATEFRIICGCYDGTISITDVDL NQLNPENSLFVKAQEKEKALQVKNIQSVSQDGVANVAEFQNYIVCMNFDGVIKIFLLQ >CAK63232 pep:novel supercontig:GCA_000165425.1:CT868024:157751:158429:-1 gene:GSPATT00033085001 transcript:CAK63232 MNSTKGTIQCLLSQFIRPYAILYDLLAEWEDDMTYPVIEYNKFRYQAESFGMNLFLYAYN YNVITQNNQIHNISIPLPNCIVQYKSELTEVNLFDKFVQLRAQELVKENEILQEHEGQNY RIQCRHQKICMVNFADKQMIMQPQILKTQNLSYRISFEDTPTQIQQRQSTIKRREFLF >CAK63233 pep:novel supercontig:GCA_000165425.1:CT868024:158533:159515:1 gene:GSPATT00033086001 transcript:CAK63233 MNKHPQPKNPINWLDEIKQAQDPKVFIYIILIELRILESQNKSLKTTLGVYDFEAAKRPQ SAIEKMANSKTHQIELGQKPSDFAKYPTNQKLSNLFVDESAFGSSAVRIEYIRELEYLVD ALKKQNAQLQIQISLKKPNLQRSFIVIPKKYEGHDCLNDKTIISKSTLVKGSKFTKSNET MTKSKLKPDNSLKDRQIQNLIEEKKLLQKEVALYKETFKTRQNKLEGFDTDKIIKKFNQN STLYGDKQKQLTQSKHSEEIKEQYLQGLMQEAFLLQQQLQRIQEESQYFDPHKKSEY >CAK63234 pep:novel supercontig:GCA_000165425.1:CT868024:159527:160652:-1 gene:GSPATT00033087001 transcript:CAK63234 MMPNNQYQSPQSSQLPVQSYKYLEVVREEDAEDEKPTHIFSENLTESQTRMLTAYTTPMK NIKRNGGNSSSSGRSPFQELQKSSSNQVIQITECLENINNCNPKQEFSFEVQMTFFLICI SPYQNAFTVINYFFTLYPIQTFHLIIFDTINQSAISILFISNKQFYTSSPLSQQLQGGET LQFIVYISIEQQLIFKVMQQIDQFINFVGLEACDYEKTLIGISHYLGFRRPSYLALLYLS FSLLLIYLNIQPQFISDFIGFCFPTFKTLQALNSIYDSQLKWITYWIMYSLVVLSHKIIL YYIQAYTLYYILRIAVFIYLFSSGAEKLQQSIEILYKKYSQGIERKLEELNQKFTF >CAK63235 pep:novel supercontig:GCA_000165425.1:CT868024:160678:161504:1 gene:GSPATT00033088001 transcript:CAK63235 MDYMELKDLDFLKFVRNFYRLRMATNEEYDPPFHEAINYYANYLEQLTLDYWEDLLINYN IYNYHFQQSYQKINNSKQDIELKKFTNVQLFNLYDKLIEFYKLNIKSDFIIALSIWYPSI FSILSSQARKDLSDRRENLTLKYLSKELTNMQQKLKFEFSIHKIVDISHMLFYDVFFIQT LKQPMQAHHQLLDVIDDAFIQFFQEQYNLAEIQQNTQAKCLQITKKQQVDKEPLKKFKQD CLLTIMQMRMKNHEQYTKFIKHYLKL >CAK63236 pep:novel supercontig:GCA_000165425.1:CT868024:162298:162546:1 gene:GSPATT00033089001 transcript:CAK63236 MNCKNTFEEQSTKISIFDWDILETDLETNCSSPKQEGFINQIQKHKFVIGISKPEEQRIG LSSIKKSKITIKGILGISNLSI >CAK63237 pep:novel supercontig:GCA_000165425.1:CT868024:164440:166217:-1 gene:GSPATT00033090001 transcript:CAK63237 MILIFVQILASASAEYLPSFEALNISNALSDIFYNGQRKELLCNGATNINGYIGNHSFAT QQFQMPQHTMLRLEAIIYGMGDDNQIGVYVDWNLVWTQQTSPMISTKCTLSDFKMIQLKV DFVHTGSSGIVIFIGNTLEIWGFSDLKLSVQGCPNGCVICEAEDISEQCSIWEFGLSNWN KLSSISSEGWSIFNGKDQTSVCGNVALIGGYNNFGAQSVLNKTITMKPHYRIRVQALWAK IDSWDNEQGQLMVDGKVIWQQNYTNPDGYKSKICGNTNENYKTVFQRIDVIVDHTGNNMV VSFTSTLDQASDDESFGVRDLNIFYLPCADGCQECQGPSISDCIKCSDNLFYDFGQCQNI SNFEILEQDFTELQFDDLKGWELHDRQDQTQITTCMGASLLGGFGVMGSGGYITRTFQIP PHSRLRLQVLIYKVDSWDNEKFIIEVDDAQIWTHNWFVETDFNYCGQSWPDSKIYVDLIF EHTNKEAQIKFSSTLNQEAYDESWGFREFTLMYESSISILEIYSATIMSVVYPLLVIIIY L >CAK63238 pep:novel supercontig:GCA_000165425.1:CT868024:166897:168733:-1 gene:GSPATT00033091001 transcript:CAK63238 MNQTQKLAYYPNLLGQSERVIQQNIESKRGLEEYLGILQERANIEDQYAKSLYKLSQQIE KSSITYLREQLVNQLRQKIERLENFVDLIRSEIIEQVKEIVQNQNQVSKRIIDEMKGLEW DLQDKQDEVMGGKLDYKLQAKDLEQSGISDMIFQYSHDISEDKALKQVQKTQQIEQECTK LEREFEIIVLSYNEFIDVYKTKMESYLSQMEQYEQQRLLVQKDTLMKLFLFESSISKQSL QDSEKLNDQIRKSNIQDFIENFILKFQKPQEAPRQLEFKPYSSFLTKTIESMRSQEYQKL KDIIKAHNDTFYNIYEDCVKKHNLQTIEEQELAHLMSRNNVQAIYKIMQIHINCVWNANE IPNNQTIVGLLRQSSLNRLLWCQALEIQANKNNYKVPSNDAYDQIVKWSQKIISLCLEFW DASPLRKLITVSSIINVAVQNKKHFLMYNIKENKVLQSADFLEASVVQAVYDALVEEIKE VTTLEERIRRQKINILTQLSKICFTLLQFNPISVIKMYAENYLRMLSVAHDASDNLLFQI ESFEQF >CAK63239 pep:novel supercontig:GCA_000165425.1:CT868024:168795:170304:-1 gene:GSPATT00033092001 transcript:CAK63239 MGLWSITNIHQINYLNSKYSSMQPQSVDDCLLQQVWMQLQMQLRRIFSFPVLSRNHFLLK RFDSTTGYIRLSCLAKENSFRQLLEYPNGMQNLVNILIQFNYTNWVYDQTFGAVMPIFSK ERKQVQILIKKNKVEEFRRFLQELEVKQEWDPKEAEYVPNKDMVKLFIVFETQEDAQIGF SKIQQSKSIYGIQNAKMEEVDYFTKFLSIVVFKQQQFQEQRMNNMRNYDETQNQYQIHSQ QQYQQQQQYQQPQQQSQQIQQQQQQQQQPQQSQQEQQFYDLSSSDIVSQRLNQIRTKDKV ELNFAPLQEQQFQFSFVPILYPQQELQPPPELPMDQKKETQAEPIQVEHPLEQPIVEQSA QQPDQKQTRETESNKENSVEKNHVRKYDEGRTEYHQKPYYQNRYQQKRRPNNDSHYHSNK YYDNSNNRKTQQHYITDRLEDDLREFTGYKKYQQRPQKEYYEPKKES >CAK63240 pep:novel supercontig:GCA_000165425.1:CT868024:170327:171354:-1 gene:GSPATT00033093001 transcript:CAK63240 MGNRSICCSTQAEFQSQICRQDGRPQAQVIQQTDINGCRVVLSLGKLFNEQVDIIVLTTT QKLKLNCQQSEHIKKFAGDQILNELHQTLLSQGGKVQLGSVVHTHAGEMPYDYLFFAVLP SATDASIIVLNESYEQEMAYSIEENFRVNSITAYADKWKFDSTQSREIQIVYEAVVNCLE KATELGVKSIAFSVMDQQINQIARSKLASVMLFAIKSFLREYCSKTSLVEIRISSCEAPS FKIYKTYMNQILTYQGETTMEKLFQQEEQIYQQEFKIDSQNDIGIKKDDIRKFCNGYKDG DYKANQYKSSF >CAK63241 pep:novel supercontig:GCA_000165425.1:CT868024:171395:172654:-1 gene:GSPATT00033094001 transcript:CAK63241 MKAKLNREDYQFRKKTGEELIKSPGQLNGLDFVISNCEECTIYICDHAAQIFVDLSKNCK IFIGPVGGSIFVRKCENIEISAASSQFRVSNSNNIQCFVYTSSDPALEKSTGITFAPYNF AYPGITDDFGKAKLDPENNKWSEVFDFTPNTEVNNWTLLHPSQFHLVSKSFEGLEAPEQC PVPIPTTYGGTSTKKIVLGSADQRAHGLEFDFLMGKENVKNQQQLSDENQVYLETQLKPT NQNQSQAQPNLIQGNYEEFVFDEESVKSSEPQVKIETQTAFSAFDDVVANNQSNKQNVYL SQQREKDVKELCKFNIGSGEETKRTEKKIRPRVCKEVHEVTQVNLCDYSDFAEQANQRKS QNRAIIREKVQKEEGWKTVVKNIDLKDNGGVKDVSQMAQAIKNKLMDALK >CAK63242 pep:novel supercontig:GCA_000165425.1:CT868024:173171:174827:1 gene:GSPATT00033095001 transcript:CAK63242 MNESLMNPEDSYRLEGVIGEGSYGQVYKATQLENGKVVAIKIVPTTGEIESLKREIQILR DCRSDNIVKYFGSYHSNGQLWLVMEYCAGGSVIDLVKAMSFNGSSLPEELIATILYQTLK GIDYMHNHKKIHRDIKCGNILIDHIGNIKLADFGVSTQLVHTMADTDTVIGSPFWMSPEI LLKSRYNKKTDIWSLGITAIEMAEGEPPYAHIHPIRAMFAIKNNPPNSLTDQTRWSKEFN AFVKKCLILDPKERPSTKELLQDPFFQRFCKSREYVQQFMIKNSKVIENYKRQKQNKQHE QQFEQSQEKGIVQLDTLVECEEEEDLGTMIVNDIDAIPMNETGTMLEHQYQDVEVYEKAM NRVSEYGLQSLNQMRTPVTESPFRKQKQIEDKIQQLNEEMNNEIKKIKQKYGDQIQSLQK LLQQVSVQKHESHKSVHEQKLIPQSTNYYRQLDFKHKTSAPSTPVQMQTKQKSQQENINL ENQLKPTKFQQTNLQKLQLQIQNRIHDAPKVDNNSKILKQLINRKN >CAK63243 pep:novel supercontig:GCA_000165425.1:CT868024:174865:175879:-1 gene:GSPATT00033096001 transcript:CAK63243 MFAINTQIIYKLPDFIPNLHIDLSSPEKLMPKHRPPPIKKDPVLDAPDEIKKWLEQRRKR YPNKDRPALDENAQELSILEQKLRKKISILSGSCRRTQIKAKQMKELCKVLTESKRIKKK FNNEPQESSESEASNQQEEDKKLDDKEAISKEITKLQKKLSDNRPKEYYKKAKEGGEQQQ LSKMEKQIIKKKIKDLKLQLKQLNPEAEQVQEQQQQQQVKRPENTQTLEDHTKEMIGNLE EQKEKMENLLDESLNYRVNPANFRYKSNTLYTNMLIGEIYRERQYILQAIRYLVKENFFE EKGQEGFECITSESDEEEQEFLEEMEENF >CAK63244 pep:novel supercontig:GCA_000165425.1:CT868024:176003:177165:-1 gene:GSPATT00033097001 transcript:CAK63244 MSTQSIKEQSNTQPISIFDPQEEKRLELQKFKKKQSIQKSVGCGICEDVYHLSCMRPKLT RKPKKWTCTRCLELKNTFPDTNELEIDTLCGRCQKHVQCDDEEFCSKCSKVFHSKCYGPK KVAPICIFCEQQKPPKIKQNKNLVQFLPLKISLKNQNSFILPCCIYDDTLREKCFNSIQY ALYCQNISFNDDLVYESVSKQDNDVALEKLAPLTGKDLEAFKRYKQVTKHGYYAPVIVEY NMDQGFYVKAVQPIANNTLICEYAGEVFRFADQVYSTSDSMMSLLETNFAATSLVIIPQK YGNLAKYLSGINNTKKNSKKQQQNVKSQRFNVEGESRVILYACRDIRTGEVLYYDYNEGG FNYNTRFFV >CAK63245 pep:novel supercontig:GCA_000165425.1:CT868024:178131:179571:-1 gene:GSPATT00033098001 transcript:CAK63245 MQKLILSTLLLCILVLLDYTYGKATFDLSDSITEYMQQQFDYGDENGLVESFLLIFYVFG GRQFMGLLFVILWLKSGLKEQVLKLITIYSITAFLGHFIKLILIQPRPFYEESDVRLDFC QKGYGDPSDNALRSIVFYVIVSETLLFKKYKVQANDLGVLSSINQDRKLQYQYKQLSNRD LYTQLYPNSMFSYNQYKFMLAFFLFITGISNSYFGLNYLSQVIMGWVVGGYILYVYYYCE FEKQIERLFQQAIYNQQDQLNNKLRHIGKLALCLTFPLLISLLLYILRTNDDELIQLQQE WGQFFKGNSKCVNQFYRFNMSFEKQEMVGTCALFLPFYLYLCCYFTPGQYKPEQYDHQTF TLKGFVRVLILIGLLISQLAIHILIRQVVMSNDLDINIIYLIIGQLFLELYFSLFLITLL PLLYKLCKVDIDGDFLRKINQIEMQEMEL >CAK63246 pep:novel supercontig:GCA_000165425.1:CT868024:179612:180793:-1 gene:GSPATT00033099001 transcript:CAK63246 MFRSLFILCISLHGDDYASFFYRIYNFRFELCLLLDFWILKRTTFQTPKVSSFDEYYLKI LLSDGTQKTYTNIPEIQLVLGATQPGAFYFSQKSLSQNEQDEIQAKTSNFTSNFFIDLLT EQVIKSIREIQILIFYEYDLDETISMNMQGYAFASLKSPHGISSASTIGQLRLSQRHPIF SGKTPRTKYNYSSYYNGLGQVLLDPIEIIENTIYNRNESIFYDYVSYIVPPTTALEVTNT PARETESDKDQFPIAFQTTTNDTTTNNTNTTQTVDIQKGVYLPVYLSHSSQLTINMTLQI PNKEMVMYQTEIIEALKFSWMQYLSIFLIFWFFGSLILEFVVQNKVFATQAVVNIPIALK SYSKLKLD >CAK63247 pep:novel supercontig:GCA_000165425.1:CT868024:181651:182698:-1 gene:GSPATT00033100001 transcript:CAK63247 MSLIVRQRVGMHDESLTQSLQSKNIQKKKPQTRKRHSQKQLICLVSVPKPFKEHVYIKSN VELKLALSFIPDHCLGALKETPCTYNYRVSRTDQIDDVYFYTLELLDQANHSIDQIIQLL SHQTDPHAIKAAQLVQERHKILQEYTSQPYISNEDLLDVEKIAQEYPYQCTIQKVNKDSS SLSQRIVNDQFYTLMGVSKEMMLNHLHETKTLPSIFDLGPSLNVWCDLSANSIQSFRSFE TYINSYEGAQYKCTVEQRQMFKRTQVNPNQIVFIEFWLFKVDEPLKSFLMNPQRIYQNQI DYFNKKNTQQEYEIFQQSMNCKQTLLYKNKNPCGYKELAWI >CAK63248 pep:novel supercontig:GCA_000165425.1:CT868024:183381:185529:1 gene:GSPATT00033101001 transcript:CAK63248 MIEEEGQQVKSQLEQQLIEAILDSLQNHMDQNAIFLAERLVYERDTEEHRSILAECYLHE NQAYKACHILKECKSEFNRYQLAVAWFRSKKYKEAEMALIGPSFSNQFAIQSTNVPNGGF GHFLLGQIYEQMHRLEDAKIQYYKALDQNPTLWMAFERLSKIGEPVAINKVFIEQKQRQY ETSRQQSCNIYKIIANLLKSKSNIGKSGSKEVEDVKEESLITDNQLANKRQTQGVKPFST TGVQAQIMHMDDSSNSQMKFSCKFNMIIFQEPQQKKVGAKMIPTPGIGLQNYQSLLSQPF QLSQNSNKNYNAKKSEIQKVALSSSSSSLMNLPQLLKLFAHPYQLWTNYSVEAIANFQKL PPQHYRSGWVLEKVARSFMDQVKYTDAERVWKELRQIEPTRLEGMDYYSSCLWHLKKQSE LTYLAHSCLQISTQAPETWIAIGNCFSLTKEIDNSIKFFGRAIQLRKDYSYAYTLSGHEF SQNENFQQAKKSYEAATSLDQRQYNAWWGQGNMYYKTDKYEDAIKCFIQAIRINPNNPVL PTFLAMSYAAKGEHNDALKYFEQSERLDPMNGLNKYQKANSLIKMDKYEQALSELQTLSQ FIPKEAAIYILMGRILKKLNKIQEAQNCFNMAMSLDMKDQAKIKGLMESLSNPNSEFNDD FDL >CAK63249 pep:novel supercontig:GCA_000165425.1:CT868024:185538:185871:-1 gene:GSPATT00033102001 transcript:CAK63249 MESAFIFGCLFGMGIRMTVSRVIRQPFLYKCHQYPKYMLYTGIAFSGFDWIRRLSLETLC EREELSEYLVRTARINQLATGAESVGDYKKEFVQIAVDEHIY >CAK63250 pep:novel supercontig:GCA_000165425.1:CT868024:186414:187116:1 gene:GSPATT00033103001 transcript:CAK63250 MSQHIQASVQFSFPKASRFQRIRTPCQTDYYEPNYFRSTRASGFGYGKKFDFTKIHFYTE TYYDPTSSFTNHKGTSFGLGRDLVKYRTYYQGNRVPGPGAYNKSSNKTVGFKIGEKLSKT QHASEMPGPGTYDPNLYKQRSISINKKPERFSTDSTFNPGPGEYFAQSKSCQSKGKFGNA QRKCFIDEAVRAAEKSGVPGPGNYDNFTQFVQLEKSHKRPSTAKN >CAK63251 pep:novel supercontig:GCA_000165425.1:CT868024:189188:189710:-1 gene:GSPATT00033104001 transcript:CAK63251 MDVEFVGGMQEFLEDRIIYSIARVLDEIVRETDIIESPQQTVFHTNKKPSISLAKYLERI QMYSYCSNECFILALIYIDRIQEKNQDVVINSFCVHRFMLACIILSIKYNDDDYYKNDYY ARVGGITITELNKLEEELLIMLDYELYVSQKQYYFYKDKLMRYAEL >CAK63252 pep:novel supercontig:GCA_000165425.1:CT868024:190462:190680:-1 gene:GSPATT00033105001 transcript:CAK63252 MGCVTQKQKKDFKFSTIPIQEQRQDDLDEWTKIIQQQQGKHLNHSGKAIITKSKTLSARY HQPLEQIDEEEV >CAK63253 pep:novel supercontig:GCA_000165425.1:CT868024:191134:192563:-1 gene:GSPATT00033106001 transcript:CAK63253 MSDIQQGQIVANLYKVLKLLSQGSFGKVYLGRNLQTRENVAIKVEKQQIEVHLLEIFQID ILIELQGVQGVPELIWFGEQNGLQIMITNMLGFDLMHFLKKHKKFTMDCVYNIAYQMLEI LEKSHELNIIHRDLKPENILGRVNSNQIHLIDFGIAKDLTKKSKFTNQKIPFIGTSRYAS ISAHFGEEQSRKDDLEALGYVLIYLIKQELPWMKCEKIQENRLMKIGQLKKNIPLEKLCE GCPSQILNYMKQIQNLTSQQIPNYRKLKGLFENNPLHIKWFIFDWYKGHYKNYKTKQEWK VNQQQNKKYDSAQQIQIQSSIEKTPSKASRINRSTTMNGNSNPSSQLNSMESYNKRRSIK STDSIYVDFVNSDSYFLSKKTYSKDKTANHFSEVDEFMPLRDQLQLMQLENQDLEVKHKL LHYRSVNFNFKNPIQRYLQFQIN >CAK63254 pep:novel supercontig:GCA_000165425.1:CT868024:192906:193421:-1 gene:GSPATT00033107001 transcript:CAK63254 MSLNLQNLYLSYMSKMEMQFHKQITQQSQNQYPYKSNKSVIKKLAKANLSYSSCIWNDYK QTLQAIISFLCLIQHYMYYKGYLLYAESNLDIEIYLILTFVENLIKAIEDFYVNCIMQLN NHNNFIQIYQKIKKKAPATNSKYRLEQIETNVIVYSVFESNKTISNRQNFI >CAK63255 pep:novel supercontig:GCA_000165425.1:CT868024:193646:194615:-1 gene:GSPATT00033108001 transcript:CAK63255 MQIQTTAIVKQVVSSLFAAVASMLLKMVSDLDFNQTLYLRALIGLTLLASATIYYKLQVY NFDKPTMDSLINRGLIGGFGAFIYFKGLNLVLVSESILLNRMSPFWTSIIYILVLKKEQF NIRLIINMTMSCLGIFLIAKNNNQTQLAVDSYYHWIGIFLILVASITQAIVNILVKSVNK QVDSIVITLYQAFFAINLPVINSLFDGTNFKIPSVNGTSMIAIASVISLLAGILQVQSMR EGKLSVVSNVSQIQLFFGYLIDFFVFSAKFNTEQIIGNVLLLCSLIPLIWK >CAK63256 pep:novel supercontig:GCA_000165425.1:CT868024:195812:197061:1 gene:GSPATT00033109001 transcript:CAK63256 MFYADSIQDEKIKSYLMQVEQEQEKKDRIKKLAESLMNKTKLKLKLSNKESKREEQFNKK LEEMKKISTFVDARPSINRLNLLNDRNLILKLQVIQKTQKYQDSNKHSENRTQNPKQEFQ SLNSQPLILDEEFKLQFLATQNYEPIQRKQLSIPKRFQPESPKDVEAPIKGEELLNFDPS PKNDSNLFYRSVFRTSPSPQRKQQIQQFEEETQKAKQFLQSQGLMEKQGSKNQKRTPLRK IPIQQYYQNFQKQCQSLVKDVAMESRRRRIMSHMVESEGCSSKKEIVKEAINHKSQNTFT MSTMKLNKSQTNSFSSPRQKINNSNQKNKLGSQTQRILRKSKSIFDSEQNSPIKTRLQTQ FESFTQAVNKTQEAFNKINKGNEKIIRRMSTAVNSIHKKYSNGIVQVQ >CAK63257 pep:novel supercontig:GCA_000165425.1:CT868024:197283:197681:-1 gene:GSPATT00033110001 transcript:CAK63257 MCYLPINILQKSIFRILVSQIYQLLQEFLLISFFLYYLILFRVEHHYHYHSSYSILEIKY FFPFFFNSLIININRSSSFLFFFSSVSAYICNYLKTRKISFSINQNFFSSSSLQFVNSSI PQNLKINITSNL >CAK63258 pep:novel supercontig:GCA_000165425.1:CT868024:197756:198165:1 gene:GSPATT00033111001 transcript:CAK63258 MFNNMNKQLGGSIKALIIGFAQDQMSIQEALGDDLQAYNNEIKNKLFSQDLRNQPQQTLE IVKLNYVSMNNLWSIWLKFLKKILLQSQKKVNRANLKLSLKSEKQLFFSKQGPSVNNQQG GSKFNYQL >CAK63259 pep:novel supercontig:GCA_000165425.1:CT868024:198566:199012:1 gene:GSPATT00033112001 transcript:CAK63259 MSNFLFISLKSCTNREKSLKINKLSTKSKVQLQAYGKDPLIDSEEQRQQLMQHEKQLGER KYEFHEDCHTFFVRRQGESQQIGCYSNSLPKKLDYKKHRLQKMLSLSNTNLHNVFHYKVF QVIFDKLEKILT >CAK63260 pep:novel supercontig:GCA_000165425.1:CT868024:199164:200493:1 gene:GSPATT00033113001 transcript:CAK63260 MIQTQAHLPQEWIDFLEQIKNLDPIDVETVTQYVKVRVNHFPTDQQMTEYYEEVFSKSTS DVNRKKWHQQDKNLLIWCMTKHLMSQNRSELIPNEDDWEFVSKILCVDKTLVELKWISLL HSNLKISPWSKEEDQILIEIANDHYYKNNWTELTIKFNSISSTQRYPKQIRERWNNVLNP NISRSTWTKEEKIKLLQLILNYGKKWSKIQVELNGRSENQIKNQYNGIIRNLKRFNVQES EERLLIKAIIENPDQKLSLTVTQFMSDFLAKKDSSKSIEPTQINQITESQTQKKPCVDPS PLESGITATNILLQEKLNQCKEVQQQMMQTSIQQNQYISTPQQQPYQSMPIVQNQYFYNN ATYPNYYPNYHQQNQQYINYPNYNQVQSLMPYQQYHYAYF >CAK63261 pep:novel supercontig:GCA_000165425.1:CT868024:201048:201682:-1 gene:GSPATT00033114001 transcript:CAK63261 MINRPPVPKFRDECPTPKRSTDLLEEVQHLVFKMNLDDAIEKKAIAILHNLNIPNTSLHA QALVHCAMKELNYSLPKADVKVEYLSKCIQNQYSSLISTLCQKLKLNSKATQVCCFLLQQ MQPLINKLPKSLQNAISVKIATDIIYLKQGGINAKIIAQMANIKVEQFQINLNRIKPFAF RIIQDLFNYINNISQ >CAK63262 pep:novel supercontig:GCA_000165425.1:CT868024:202380:207351:-1 gene:GSPATT00033115001 transcript:CAK63262 MYELPADDKKLENMDLQHNSFDVKLIEDDDEEDKSQISQFLQNVIKQPEENLFDLDISES QEQQNEPKDSLSSLVDQMLSSISNNQEILNNKVNLLEEDDTIVDTIEEFEFRDYQIELYE KGIGKNSIIYLETGLGKTLVIIMLMWDRLFKYPDKKIVFLANTVQLVEQQAQQIKQKLPR VAELISDDDSMIVKAKEIGSKLNVLHGSKCTDIWNQIMWQIILEESKILVMTTQIFLNIL RKGLVKISNFSFIAMDECHNAIQDHPYNYILKEFYLKTKFQSDNQFLPQIVGATASPVMN SKSVSNNQLLQELLQLSANMDSQYLHIDAQNLKKHIKEAQIVPIYYKMLFNDPDIQKVID LKASLTNCEKNENKALDVMRRNSYLTEFFQIKQTLENFKKKYSYDLQTKILIAQIEKQVL INGFQMYLELGQYLFVLLVEYVIIKLNDFLTIKRPPNQAACLDILKSISTILKDAKLRYS NVQQSSTPKVQVLFELIRKAYSQGDDNSRILIFVKQRLTAFFLNRLIEEYLASERINIVS NFIIGHCSSMVRKQNNSIMINVIESNKEDQEELIKKLYAELQNDQSKFSIEQLKDQVNRL NIFAYRISSTVQNDIINKFRQGKIKILISTSVAEEGIDIPMCNYVIGFNPISSSKAYVQM KGRARKENSQFMIFMVDKLQQAQVQSHQQVQNNIKQIIEELTAPQRQQMLNKAMQTLQSK TYENTYFDSFEIEGSGALINTNWSCQLIQQLCQKFNQKDADKTQPKYAIYQLHGNAQTNQ QFIAFLLLPISMKSFIFYGKLAPSSKDAKASAAFEASVQLYQKGYIDDNLQICFDSDLGQ HIGADQDDSQIMMTREQWQIACKYSQRLVQSQSTMGGKVNSQKRYYTNLFKTLFQTKDQE GQFEDFLLYECLFQNEKILLAFPKQMLPQISKSENTLQALGIQFQSMQKISKSQYEGHYK KYVETIIKEENLQQWRDDIYAIINQKCQIRIKSESNSNLLLILKGSVKSKFTLSQIINQF ALRFKVDLFQDKIKEQLIQKIQIIPQNIKPHINEVLNSTSKYIKYLTSLQYCYQNELDSL QLNFIHYSVSDVVLNFDVKDRLDEILFKSLDLSSHKYNELLLGHQYYKFLIAVVLYANYF ENDINITRAKYKTFTRSTYVRNCLLESYLFLYLHKNDLNDITTQFIGLEKFQDNFDMMME QLILDDFSVAKRKSQRNTIKNKIHISNQEFFQFIQNSLFLIQQNDQDLVNGLNWLRTFRI MTSMKIHVNSSQCLQEFLPGFVPLSEQTRKIKRVFSSLEYKIKYQFKHFELLFQAMTDIS FKFVINNKLKFKYYEQFQKKEEFQGMIQEQQWIEFKSQFECMNETDQNNYENSLLAMLGK ALWNYLTIKILNENNLDCFAIKIVGKILSKTPFLAYLAIQLGLQQYLNSIYSTILLNLAS EVEKCKDSSLAFKIGKIQKSIHTQCLETAFFALVGAIYLDSNCSSNIVMQWATLILDNVQ VQQFYSPDFIKRQPKYQFYQWYQSNIGNPFNFNLIKIPHQDYRRVSQGDKLFIPYDMLEA QIVNIKKDKYYEISQLHIYAKSKEKAWEKLYEMIDY >CAK63263 pep:novel supercontig:GCA_000165425.1:CT868024:207399:209064:1 gene:GSPATT00033116001 transcript:CAK63263 MNEFQTFFVGLGDRQYTPISDEYRKQFKIRGDFIYPSNKKFDQICKRLKDEKLRSKRKWD NSFKLFMIWFLVKYFEKQNLTNILPDENDWVNFENILKIDRHSLKQRWITLINPQMKSTN WLQEEDDFIKTQMKQSNQFKHSQKHKHIWTQIAVSLYERNLQGNIRTPKQIRERWMNYLN PELNKDQWMLKEDLIILNNVVKNGKKWSQISQQLNGRTENQVKNRYKSLIHKICKDDECD EIDMVKQYIRKNQAHVDSNKQGIIGKRGRHKKGIRSKDQNVESRKQRNLPKIIKQEEQLS HQSFNQPAQLIIQQAQQQQQQQQYQQQQQQQQQTQQMQLQQLQQQMNFNNEEMKIALNLH SNFLQEDMKNATPLMMIQCLTSPGYQFLENQFLKQLSPGIVEEGECNQNSSLQLHKLNNM YKASSASPFLLPSMLQSPFWNNEQYLQQHDEQMAPPMQTQQFTQQISTTPFLNLGYLGKP KLNGFSNKKELDLLQENPIQSFNKRRNLNQQ >CAK63264 pep:novel supercontig:GCA_000165425.1:CT868024:210135:210560:1 gene:GSPATT00033117001 transcript:CAK63264 MSHSSDITQISDQNSLVQKPITSEDEVNILKAQKSDVPIGKMHQVKLPSAILSKKQIELK KNNLLHLKMWNSKSDEEMEKINEVFKLFRRKFGRNAQYLDEFFTILGRANLDLELVQQLI QFEDKFLKKYIQDRSSENRID >CAK63265 pep:novel supercontig:GCA_000165425.1:CT868024:210646:211152:1 gene:GSPATT00033118001 transcript:CAK63265 MARRKTVKRAQKSHKHLFTRNKKINKTQKEQNKIPGWNKNISFTQNLSNLGMNTGYQINQ KITDELKQKEQAPQQYIEAEEISIDQIKELQKQATQKLPQEEVEKKKNKVRMNIDDEWAV EALVKKYKQDWEAMKRDHKLNTFQWTAIQCQKKYNDYIKRYGKCPKPQ >CAK63266 pep:novel supercontig:GCA_000165425.1:CT868024:211225:213315:-1 gene:GSPATT00033119001 transcript:CAK63266 MGQSASSQSNPISSSFFKTFQSQFKPIDLKNEIKTGQIYINDANQEMVIEFPYRVFNHES DEPWLKFQTMIKKIQAPYIIDYYGSIEKQSSEFCSTSTVISSYFEYIPQNLEKELMHRKQ HSERFSEKELWYFLWSITNALYELKQKGYNHQDIRPVTISLKSNGQIKLCPIGIIIDQEN SINKFINNKTETYLPPSLKKQLLQNQQPKIQWNSIDSYALGKTLLDLMQLNDQKQLDEQQ INELQNQCYNHYSIQLIRIMELLMAESANQLTIDDVYYILKPYQSKILNFQDFVVNYEAV KQNALPLNNTLKCKSVIESFPYRYSQLSNSQQHQRSQSEIIYETQQYQQSPRQIETQQIK QQISYQQANNIESQRSQPNIYPQNNFIEHNKLGNQPMFFQQKSEFQFQDPNLTQQQFQVT NQQPKLISKLMLQSQLIPSSQQPIQQDTFSTTYISPNYQLAQTYNQTPSSVLSNPNVIVG PSPTILNQPIDGSTIQAFQKQQQSPQIPLGSTTLQPQVPNMQIPIQKYPAQNQTYDFVTP KREIQSKNLPLGVPNQTINGAIQTADVPKVPIQQYNYTNPLLNTPYQPNNQMQTINAANL PINPITYSQVPNPYYVTPIQNHNISNQPLKVSSQLSYQPQQPMQIKQDINDNFKPQYHNF DRIRNILQDSEDLLQSINK >CAK63267 pep:novel supercontig:GCA_000165425.1:CT868024:213531:217959:1 gene:GSPATT00033120001 transcript:CAK63267 MSNDIEVREQPECGYSYILRIERGCIGFIIQICVSAQYYIIYLNQKSEMNSKGKRTLGPI QKINKPSIDFESALKEHRAVEERIKMETDSQDPRKFVMNLLNDRDNSLIMDNSLTHSQVA IKKKVYIRSRQVKSQVPFMQMQTKNNSQIQFASPSQSLKFDFDLKPDFNSKRSNFVPKFI NSGINFDVEVRGTLGEEFTEFQMYDNDSQPEDIPKNKNFSYGGRMTNKKLKEYDCWDEER TPQEWLEICKKSNPPHAKCPMFDQSDKYVWTDVEVLGFENGKFEVKILRSSKIKRIGRLS LQFNSEDPVKFEQRVELCKQRQKNADDELRFLKYVDSLPDSMTSTLSLEMQKKIEEYTVF RDLPYIIKEDPSKIAPGFPQIQNLKDELKQMITDPEIRRKQMQHLELLKKDLVKQVQKEY IMLMKKCSILKDMEVNKNDIKWIQLRIKNRFEQTKRPYHGLTKQFASDTKILSFESLGQQ TSKQVKVQDNWAFVAIRQNISQLHYSKFTVVVNTLNALTARSQLYQNFPLLNVLLNHQSL PMALSNFESQQKQHNIQGRQTLQVQWRSTIVGDIQDKLREKYRFYQTETEDYLDSELQKL LIRIDYMFTNYIRENVVRQTCQSWIDFLKKFTTPKDGEQWKINDYPLLILNLEVNLNFKK KKNDKKNKQDDTPLLMTDEDPNSAIYFSPNFSAIQQGLLKPIDLLLESVNSFNRLEKDLV PLVDIDQKKEVKGRLRAYEIENDKDQMWIKWAKDKVQEYLEIGYQKPNQMLQKFREFSFL LEKSVQSVLKSLFGDVSKKPIITSLDKDEISKKLSDFINAKFQIQRLCLDEKNEQFFQIK TRIAKENLISKANEFITSILKQCSDIVTDNISRLSQEYSDMSDRITKQPKNEAELVELKS YIAEHEVNLAKKKQEVDCIYDYLTMFEDMSHNFEDKNLYEFWNLYSFPPEIKNHVIEGQR KANLQEQKFMENLDNEKDKFQGELKELTELFSTVQKFDDYSRVKDFANDVVSLNDRFQNA QKKVESFNEREILFKQQPSVYEDLNQLIKDFTIYFNLWTNAIEFEYDRSDWCTGSFLKLN FTEIENKVRTNQRNANLLIKAFSDAQDDTAVEVARKLKGQIDEFKERLWLIELLTTEAMK TKLNMWKDIWKIVGIVDQETNDDLSLDALVSHGLMNFRNDIEEVSRRAEKQWQIEKNLNS IQEKLKDQKVEMIPYKKTGTFVLKSLEEVVQCFDDQFNILLMLKAQPQIKAVLHKAQALE QKIVLIQDTLDGWIKCQRGWMYLEPIFTSDDIKKKMPQETLKFQKVDTHWKQVMEQFSKE PNLWDGVESDKMKNEFDQDNKALDQIQKSLSEYLETKRNSFPRFYFLSDEELLEILAQTK DPETVQKHINKCFEAINLIRILVANDISRKRKSTIFQKELMQCGKVVV >CAK63268 pep:novel supercontig:GCA_000165425.1:CT868024:217973:226701:1 gene:GSPATT00033121001 transcript:CAK63268 MIDTLKKIMKASHLDNDTKRVVWVRKWPAQIVLAVNMIRWTRGSELAINDKDNQQGGLTG FLQQLINELRDIVDLVRQDLSPLERLTLGALVVLDVHARDVIRQLVKIGCNDINNFQWMA QLRYYWTEQIMKCNVKMINADLLYGYEYLGNSMRLVITPLTDRCYRTLMGAFHLQYGGAP EGPAGTGKTETVKDLAKALAVQCVVFNCSDGLNYLAMSKFFKGLASSGAWCCFDEFNRID LEVLSVIAQQVLTIQDAIRQKRPEFEFEGTSIKLILSCAINITMNPGYAGRSDLPDNLKA LFRPCAMMVPDYALISEIYLASVGFQDANNLARKIVASLRLSSEQLSSQDHYDFGMRALK AILTAAGNLKRVMNDIEDIICLRALMDVNIPKFTINDVPLFNSITSDLFPGIKLPEQDYG ALETALKNTAQEINIQAEKGFIEKCIQLFDTINVRHGLMIVGQAFAGKSKVLECLAKAMS SLNKVQSFVNVAVLKLNPKSITSDQLYGKLDPDTKSWTDGVIAIIMRQCAQDAEIEERKW VVFDGPVDAVWIENMNTVLDDNKKLCLTSGEIIKMTNWMTMMFEVEDLAVASPATVSRCG MVFLETQQIGWYALVKSYIQTIPEKFIEHHYLDDLLRVIIDASQEWLRKNGKFPIYKSEM TLVKNMLLILQTYLQEWTDIDEKAQQKQINHNEIKDVVSKAVLFSCVWSFGAAIDEVCRK QYNLFLIKLISAEDVQETFNLQLQYKFTPVSINAKLPDKANLFDMVYDRNKNNFISWTQT QPPFVIPKGCDYHDLLIPTSDSIRNNYFLHLCVRNKIHLLVSGPTGTGKTSNIVSEINKN YFNTEYTNLITAFSGQTLVNQVQKTIEAKVNSRRRKGYFGPEEGKKYIVIFIDDLNMPAK EKYGAQPPIELLRQWMDTQGWYDLETKEPKFLQGITFIASMLPPTGGRNVVSMRYLRHYV LLYVEPFEGDSLQRIFQNVLEWYYARQTNPFMKSITNLRDQTVNATLDIYQLIQTCKELL PTPAKSHYIYNLRDISKVFQGISKGTIKSFRDENDFIKLWAHECQRVFQDRLINEDDQGT FDKILKETILKHFKRDWKQLVQIEPLLWASFVPTLYPDDDKTKRPMTDIYCELTDRETLK KVCQEQLNEYNSYVIYECNPTRAQDSACGQHNIWSCFVGWCRRFVEEKSLAQLASFIAFQ NETLQVDSRNWIEELQKVMKMGGIDQKEFVFMYSDTQIIKESMVEDICNILNNGEVPNLF PPEEKSKIIEEMSSYTSGTPNEKYSYFVKQCKKNLHLEKHLEGDCVPFPALVNCTTIDWF LPWPEEALRSTADATLNHLDSDTKLRLGLVDVAVDMQIRVSDLTKRYYNELRRYYYVTPT SYLELLNTFKRLKSDRDQNMSKMISRYEAGVDKIIITESEVSKMQKELEDLQPKLEQATK DNSIMLINLQKKQKEADARKQVCQQEEKDCNVQRDGANALRNDCQNDLDKVLPILAQAAE ALEKIDKNDMVQLKSFPKPPPSAAIVMEGLCYIFQEDQNVPWKPKEPGSMEKVQDFWEYS KKNLLNDKLIKRIKDFRDDSIRQIPQVKINKLKAFSQNPLFQKDKVFNASVAAGNLSLWV RAVVETYDALLVVDPKRQQLLEAESKLKEAEETLRVKQEALQEVLDMLAKLEAEYNKAKQ EKEDLEAKVNKAEKLITELGGEKESWKKKAADYRVDSKTIVGDCILSSGIVAYLGAFPIA YRDDTIKIWQTLLEKLTIEFDPEFSLQKILCDPITMGQWTNVQKLPNDSFSIDNAIILKN STRWPLMIDPQTQANTWVKHMEAQQLVIVRPTQSQNVLSKTLESAIQFGQSVLLENVGET IDAIFESILQQKIIKQGSAYKLKFGDKMIDYSRDFKFYMTTKLARPHYPPEICVKVTMLN FQVTQEGLEDQMLNIVVKIEEPAKDEQRQRNIKEFFENKNKQKMTEDNILQLLQDSKGNL LDDEVLIDTLQRSKAESITIQDKLKKQEQDREQFNQIRNFYKEVAKRVANLYFVVLDLSL IEPTYQWSLEFYIILFERAIRESIQGKENRSKNIIDKFQISLYESICRSLLEKDKLIYSF LMTMKVMQSEGKITPQEIRFTMVGGTYTDPTYPQPQPEWISKKMWCLVTEAADTLPFFKG FPESFSKHLSDWQEIYDSSEPQTQQLPEPWNSQLTAFQKLIVLRIIRPDKFANATQNLII TEMGKQFMDPPPFNLEYAYKDADAYTPLIFILSPGADPRLEISTLADRLGFRQNFITLSL GQGQGEIATNAIKGAVKDGKWVLLQNCHLAPSFMPELERIHEQEICAKPAQEVNSDFRIW LTSMPSNVFPVTLLMRGIKMTYEPPRGLKNNMLRNFSSIDAKSFEQCKKPVEWKKLFFGL NFFHAVCLERRKYGPLGWNIPYEFTSADLAISVSQLKNFLDTFEDIPWEALNYMVAEANY GGRVTDPKDRRLISILLKQFYTTDVLQIDKHKLSPSGIYYVPPNGVLEDYKEYIRNLPLN DQTEVFGLHDNAEISSAIIETNFITSTILSLLPRSTGGSGVSAEDLIKEKCKQILSKLPN QFNVEDAARKHPVQYNQSMNTVLQQELIRFNKLLLAVRQSLIDLGKAIDGLVVMSTDLEQ VFNKVFDNQVPDVWHKVAYPSLKPLGSWINDFIDRLHFMQLWIDNGAPPTFWVSGFFFTQ SFLTGTLQNFARKYQIPIDTLSFEFIVIPPSSQEYDLTKPPDDGCYVYGLFLDGARWDEE NRCLNESLPKVLQYKVPYLWLLPSEEKKDWDADISVYECPVYKTSRRAGTLSTTGHSTNF VISIYLPISPDHHPYHWVKRGVAMLCQTDD >CAK63269 pep:novel supercontig:GCA_000165425.1:CT868024:226713:227156:-1 gene:GSPATT00033122001 transcript:CAK63269 MAPHRQCALEKPLTHSELLEDAQELTELESILEDQDVNKRFTEEKITNEQNTVHQEQARI SEAVQVVSQKLNAIVTQTKFQVVLQEETSVNLRKNQGGSDTQTIKSILKINQTKSQKSVH RPKQVAIQKKVSFEVRLLRHKNNKLFM >CAK63270 pep:novel supercontig:GCA_000165425.1:CT868024:227236:228645:-1 gene:GSPATT00033123001 transcript:CAK63270 MAKFTNKPKGRTSKRLALNKKYKIEKKVKQHHKKLKKEARKMSALGQIKKTSSKEIGIPN MYPFKKNVIETLKRKKDQEEQEKKIQKLQQKEELDLNLAEVKSNLYETKAQVVVEEEQIK QENLTQLTKEHKKYITQVKKVAEAADILLIILDARDPLACRCKHLEREILGMPGDKKIIL AMQMHGWLICEREFATVLFKANTQQQQSNLSSASIYKKTLSQRQDLADDLTSSSKAIGAD KLLELIKNYSKNDGVKSSVTVGVIGYPNVGKSSVINSLKRSKACAVSSTPGFTKGLQEVV IDSQVKIIDCPGVVFDSENKESTLLRNIIKIEQIEDPREPIGEILKKVSKNELLLLYKIQ TFNNLNEFLCQVALARGKLQKGGIPDLDCAARIVLQDWNQGKIKYFTVPPNQIEQE >CAK63271 pep:novel supercontig:GCA_000165425.1:CT868024:228677:230581:-1 gene:GSPATT00033124001 transcript:CAK63271 MSEIIVMIRSEVGMNRVSILSTQTFQEFVNKISQILNKPPESLTLSLDGVVLTLRISPTT PIKNISKFENGAFINVSVDQKKVQQKPQQIQTPEQPKVYMAFGKEANPQQIQQVDHKIHE NKDHALSQFCQHGPQAKCINCLSTTSTKVEQQEKTEINKCKHGEGGRCLNCAPYEQEKKN TKTANKILCQHGPNGKCPHCIDEGQIEAKHLSFDQYLHDMKFKCRGQHPDNGRCNNCLPP TMISYKLKKDCKNHAPYPKAMCNNCLPPSILLKRQIYRHVDYVEFLNIPEMSNLVQYWTS KGKTEQRMGFLYGYYAADPNYQNGVRAIVEAIYEPPQKGTFGHVDLLQDPAQTHADEIAT NLGMEKIGWIFTNVNHDCFLSSEELRQAARYQQMHSIQHPEGCLVSKFLTVVLRTKKDNP DEVVPEVYMVSDQGQQLEQDGIFQNSERRKVLQVREAKNELDVLPSFVYNGKSVKEFEPD FFIVNVAHGYNPNSNYSILKLYDFPVENRSVVAKQQDLKTFLHKHQKKPSHHRFADFHLL LYLSKLIDIHSIANIAQFIASECEVPNELLDIVKMYATGV >CAK63272 pep:novel supercontig:GCA_000165425.1:CT868024:230703:234422:-1 gene:GSPATT00033125001 transcript:CAK63272 MLDTQYDDCSELTQKHASSILYNKHQIFELLIAILELIIISNEMNHDYLQFHQICENLYN KILPQVLNHSTDIFTKGNQYTPDSSSYDVFINQNQNRYSFMSFTTSLELIQNGISNNQGS PQNQLSQMSFFSINSLNQSLIFQQSFTMKQLNEIFQMQKDLGSQEGLAIATVLLTHTFIR FMNLIIDYFNRNQLLETQDLLEQASIIEKIEQIHQYFSQQLKQLKLFQGPLGGFEELVQV MEKFKTLVNYSLSNKHDNSSPPEIFLIKENNLSVAISQKAIDDIVRFKDKSVFQALNKVL LKFKLKRIELDNDILEQNAIVQLIKKEYRNRSKEQYSLIFINVLIELLQQQTQFQRNRNL EYPNTIFMSHINYIVQLQRLIQAETKPVQQIINTNIQKQDPKYPNFQKNFDSFLQYLSTK IIPFLFKKVIVYQDQPYLSIVINSGKYSIIVLEFIRYLAEGQNQEMQNYVGESGLLLCIL KLLVDLFKSKQILEIVRETNNQNKIKSQTKASFQKAVHNVRTMQLKKKNSSTTDYLLKFL QKRKNSQKQTSTQNEFMQFGIHSTQGDQYGIDVSQAFLKLFAHTIITIAEFNQGSQQNNQ KLTFNELSKNRVWQVIIQILTVRTNENQQNSVYTLRLYIFQLILSLLEDPERNPDDFQYI MNLINHKLLEETLTQTYRALIIFNKLDLKDLSTIDSQLTEKYRRELGDNINESLIFDSKM LIKILYQIYKMLNLYATSSLEICQYLKDQKQQCQQGQPQLFKREGDHLVKSAFQFIFNIV GSIEYVNQKDQIEIFHFLKPPMCFFLSEETKLQFLIETIDRSNPASKLASIFDSIPQFMT EMKENMSKYRKSLFFQRLISVAISPNFNHTQLATSITALNIIVLLLISNTAFMKIENEEN RISMKYQESHSLALTLCQILLIFMSLLALICQLFFRMSIYYQISCKEVKHTFYNTFVIMF KILQRRDIFQFFLHFVLSVLGTFEPFCFYLQSFCFIYISQTMSSVLEALRLRWQQFLGIF SVLLIILNAYSYIGFRRFATSFQLDVIVHPEDDIHEEELLCDTPAHCFISLVYFGLREGS GLAAHGDIESYHKSSDYYPRFLFDFSFFIIVTLIMINIINGIIIDTFAELRDKQQQNEYD RNNVCVICSLERWEFEKQGLQFHEHVDEVHSLSNYIAFIVHLLQVGKQNMNGIETHIYTK IINRDMSWTPLKQTQQLLDKL >CAK63273 pep:novel supercontig:GCA_000165425.1:CT868024:234778:236920:-1 gene:GSPATT00033126001 transcript:CAK63273 MLNSRRSQIPEFNQTFKSQDCSLQTNKMIIQEMYQCGQCFDYPHGICKYCFEHCHKKTRN VYHQQAKLLKLRGNFKCECGLFKHKQKQLVVQPCPLNSQTFCPISNCPTCKTNLCSFCIK NCKHTHKYIPGEDCKCDQLHSKYQLRVHYNEQLKKYNITKTLKYKPEDELVMIKIINALL NNFDLFELLFGELKNTFENIFNELETGDFNQTCIWSQKLIDVQQDEQNLNEKILELFTEK RFPYTEIASIHVFIEQLLIKLKYNLTYLDDKMWQCMTSSQIKFLVSQYHILSQNLQESAK EKLSQLVLKILKIQSIQLKYEFSKFRKIDTLDIENSTPIQRSQIRKYNQCLDNGILQVEL TELIKRYYRILFDIEITNPILSKLLIVLLKCVKMGLQTGLLIKTQVVFKVILNLEKLCKD IDYYNQQKNFGQIQRFFTEQMFNLLSKILILTYFQMKDDLFYEILNEQINRNEKRQISLI DKYTASFYFEKKKKNLQIDLFYSGESEKLQIIWRVFINIVINYNLNGQYYNQMMNPQSKL NHIIQIMLRENLFVDTTLRTQIMQLQIEDLLLWKYERLTILNQDFISQVENFKAEWNKYF LNSVEISFEIEEGDLERLLKLCKELLNTKYFRELEKFEANQEKICENQKKKKQLYNLTQS ISKESAGGIKATFEIGYENHKIDSTSTL >CAK63274 pep:novel supercontig:GCA_000165425.1:CT868024:237053:237999:-1 gene:GSPATT00033127001 transcript:CAK63274 MNYFPISVLLGGNRKAVLQRFKGNGVVSVLDFLKQKRQKFYEKLISQKMLPNDVDALFNE IDSEIATIREMEQNILNQLHQNPQPKLYQYLVLGEYECLPLNNISLILGDIGSGKTSMMF SAIENYFSNQISNSNVIYYDCSCTLSAQRIYKMIKSSNSEAATLDILSRIQYKAVDCLKD FEQLIKNIPSKTIIFIDGLMTLYYESEIEIYNFYHIINELMRYFHELTESQQNTFVISIR SVQDQLQYIQATKYDQYINTFASTIIHLISTKQSKIMKIHKSLNDRLPFDKSILYQIGEN GIEWSNK >CAK63275 pep:novel supercontig:GCA_000165425.1:CT868024:238035:239839:1 gene:GSPATT00033128001 transcript:CAK63275 MTFQSSLPEIDIIPEGENKDQSYLQQLLHNRTPNPKAQEQQEMLIEEQSPPVRRKLFQER KLYKGESDIEEEVEEIKQMAKVKSDNRSALPGYQVHKDYTVMLNLTDISYGVKGHNKFYQ IQVLKKDGDYFLFTKWGRTGASNPQQEIKESDLVTAIKGFEKKFRDKTHWDWRERTEFKQ QPGKYCIVDVLGGGGGSVNSEIEKLNQRNGRIAARIKNLPSTLDPPIFELMSEIWDIQRM NKTLKELNFDTDKNPLGRLSVEAIKKGFKILNEIQQALRDFKYSLLVDLTNEFYTNIPQN YGMKLPPKIDNYEILGQKNQLLTVLQELELANRYINSTLAENSDVNPLDQFYRLLKTNIR ECQDFDVISKIEHSINVSHGPTHEKFKLQVAKVYEVERKNEKIRFFPFKALENRKLLWHG SRITNFVGILSEGLKIAPPEAPSTGYMFGKGVYFADICSKSAGYCVANIDHPYGYVLLCE VALGNTYQIYKAKDHVRPPQNYHSIHAVGRNHADPKTREKINNLDFESGKVIPNEELKIH NIESSLIYNEYVVYDVAQVQIHYLIKLKFIF >CAK63276 pep:novel supercontig:GCA_000165425.1:CT868024:240095:242679:1 gene:GSPATT00033129001 transcript:CAK63276 MFLAPQYQRSLFDLMNINGFHNIIAFYSEFSHFINTQYHLLKDHIKLQIFEIMKFFLENP LDQLEECFKSFLRTCIIYPHNSNYTFLLEFLNFLFDQHKQIIAKPNLAALLFYKYLLILN IDDYYKIQQFPHKKINDLMIEIWQLYQQTILSFGRELLINLQTPFQYSEFNISMINLNVI KQNLPISHLIANQTTTTAAYKANYQLALPYEVEQRIGFAIFSQMPLESMSTFLTMIFEKY GYHINFIGAIVRNIICGYQFDETEIIQNKIADTLLLIYEIADLQGEKWPVFLKFIYSDIF FMTKDFKDDHNIQAGLYFLRKLYHKQLYNELQGALWFIFQTFEVNEQLKKKVQPQLLSLS SHNIFQKLLEDQNIREAIKCKIHEYFLKLICNQYQGPGGLSYDQPHTALYTVDDLDTPQV QYLNGNTTNIDDSILDEFQGNSISNQQNDIMLEEADVANTIQIDITLQKILEKEKLDAIF QNPQLEQNLIRLYNFFNKSIQPDVKHVFLQELTKIIIRLYEDQFYDLDYEYPFNCLSTLL QINFNQDSLLYDLIKSLVSSEQFSIHYLFLVYFERKTKSVHLEKLLMQSPDQIIKSFKII QSLISAQRSQVIFEEVLSNNQLNTSIDFVFNLLMITNIQTIQKASNRIQILFCSNYSSIL YFLKKIPQIETLQLFGFVVELVQKIIKLNENQKDMNILIDQIFVNIENPSKAFWMVFTQV FQLLLQYKRISEENLIQFFVNCFVNSNKYCEGIAEIFLMTPNTLKTFIFKALTKHLDKTK NYESVEFILKHLIKDQKNVSFKNSLQNNLDNYMKQQEKK >CAK63277 pep:novel supercontig:GCA_000165425.1:CT868024:242752:244099:-1 gene:GSPATT00033130001 transcript:CAK63277 MCCSSKKPKSEVSKAPQSQIQNQSVVHNNNEQSYVQGNTKPVEVREANLLLSQIESPRSK QQKLFESKVEGFKEKYAKLQQDSVEVQYNQKPVDQLKVQLLKEQLIDLQFPNNEIKGPLF LDDNISSYKQRGSEFANTLRELEGEIRNMRIIRQTPYNSYSFIGHLKDKGMYFIKCWNGV NLQKFHNFLINLGKQQQKGEYYKKYHAYKVEPLKETDQTSPIGSMYLISTLEDHNLYSFA ASTQFNIDQKFQVAHQILTYLDQTDLRSSGGNQQFQKKMKFVSPSNILISTQKNRIDVKI SDWQQSLKEFNEIVPDIDFKNQNYSYCSDEPDDQRTYLIKLLLLLFFRLNLNQTESFGNL DGTEQLSRFIDVKQINADSNFYKQSNFNRFIQSKINLIAQPNQERYQKLWEELKDKHIQE LSFYKLEKKEN >CAK63278 pep:novel supercontig:GCA_000165425.1:CT868024:244245:245931:-1 gene:GSPATT00033131001 transcript:CAK63278 MTKIFAEGNYQVTVKNILNALSNQSEQDMQYLEKQMLKIFPICSKIQRYLRSTDKFRMFM KQFQVEMHSEKDIIFTMGEVGRKMYFILDGNLNIFPYEITEITVLVPGDYFGEVSLHHRL PRTATVVCACNSVLLVISYEAYYNFLQPVIDHLIAVQYYQLKPTAIFYGWNELALALIIH HLKLITILRNQYVYKEGQNDKSFYVVKSGEILIQQKIKVKGKLQDYGLVTLGTGQCFGDY EFIDNQSWYQNRNQLDNIRRSTDAVSTTVSEVYRVPLFQYLQIADNYHSVLLKKYLRQTK LILKERIEKLQDQVRKIENSEEFDNPETVFVPEFKPKSCNPYQNLLKTIRNTKVIPQQQQ AREEIESDTNLEQLKQKIQNYFYQPVNLQSQLRKNEQAQSLSSRKKNRIAFKSREGKDEK DNYLRKLKSMRNKLLAQTSYQNSQIETQRPPILNQDSLHNLFQQQYQSLNIHSYKNSRTV TPSRSYAIKTPNQNYSEKKDEFHNVQIIKSQSQSSFQLHRQDNYPVKKIIMEARSQKKSS LGCLITQL >CAK63279 pep:novel supercontig:GCA_000165425.1:CT868024:246335:249227:1 gene:GSPATT00033132001 transcript:CAK63279 MKAIPKQQTLITVARPCSLSKELTPISIHSPDRQLVYGLKTQTQARVQTYVGSPFIQSPT YDANKPLISSPNLNVQPQSPKKLIDSYHYKQRTLDYKSSGAEYKPFETTKQLIPQINQQD FAKTYQFDINKENKETYSNTDRRTLNNPFLESKENIKVGLEFLSISPIQELANQNTTNIP SKLTQTTSIKSKLQNDINKISSSLRELINSIQKQLGTSNLTDVLNDKMIDVLSNFRKLEE TIVSDFSNQQLPQVNSQHSNEQKIQSLTEDYNKLKAILDQLQNKMGSLVQENQKVSSIIL AFQLNKQLIDQEHQVQDERRRLKDENQKLQQNQCELNRVLGCLQLNQKENEELRNQLQKL EKNISDSVVCRQLFQQDEQSKEFQLKYQNLLRDYTQLESKYKQVVQERNTRDMNKSPSVL RSPSGTPHQQQSKQMEQLELKILQLQIENDNLKAEIAHNQVDSRSLDQKNNVIDQLEEKI RSILRERTESEDHLQQICYNLENQIEQIKSNLSQKETELNQMAKLKKDVERDFQSSVKNH QIVQKGLDDKITSIKADYEKLQADYKSVDQKRIKAEENLKSCEKSLELQKQESNSLQTEN LKLHDKLQHQQKQLEQLSQQLQQYQQSTQQLLKQLDNLKEIESQQKQDLDQLQSQLRQIK QDAKNQINDLNQALALSLTKTKEKEKQNIDLVEEMSALKDQYEVQKKIQLEHINELERKL KSLRSQLEETIENKENEINDLKLHMEQQLKLMDQRNTNSYFDFEEREQQWIQDLQQKTEI IETLKGELEKTRSINYNIQEDALKNNIQNTQLNVTINNLKGELNQAKQEQAHLIDMLKKR KEEAEQLHLGLEEARKEAQAKKNIAEDNRRTLLRQQDLQASLENLQRDNLVLTQKVNSLN NELSRKQREHQEKSEEYTILRRKYDETVQNLERLEKRWGDKIEQHRKN >CAK63280 pep:novel supercontig:GCA_000165425.1:CT868024:249391:250191:1 gene:GSPATT00033133001 transcript:CAK63280 MLSPIEVFQQMVKSDTQFVNNSTLSSFNTFKQRLSSLGFSSRSNSSFISETLATTTTTQS SHFNYQSNQTLNKIDEWQRRQDQLVQQLAQSKSKNMYIPNYPPKQSFDLRSRFEQHNAQS LQINISLDNKFQRSPSLIAHMLDSQIISDLSEHPISKSKTKDDEQKKKSNLKTSAQKTVE KKSVKIEEKRKSQSETIPGKKPRASKSEVKPPKTPDAKLKKQKSNSSLSSPSPKKKSSKP GVESVDSFLQQSKKKKK >CAK63281 pep:novel supercontig:GCA_000165425.1:CT868024:250541:251619:1 gene:GSPATT00033134001 transcript:CAK63281 MSEETKEKIMKSIERFVKLLKQVKYQSLNPPLSKLYHTYFGSLLNGFGTQSSDVDYTILT NSYVNEKQFLQFTKQEIEKIIKSNKNYSRFVIERYVDSARIPVINIKDTVENVEFDLCVN NILGVINTKLLNQYASLHENVRIGGVLLKVWGKAQKLINHNDFSSYQIILMWIAFLQREH MLPVLQDKELLRQTNDQSGKLEIARAKSGDEASSPTDHFTTDVSFLTDPEIIKQKMEQCT VGCYKISELLRDFWKFYQFPDGKFFKDEYLISIHQPNKDLQKKWATNLKFLTKDPFDISH DPGQRAKNIEERRLEIFEKSKIIMQKQLLQQVKEIFSQQ >CAK63282 pep:novel supercontig:GCA_000165425.1:CT868024:251650:253332:-1 gene:GSPATT00033135001 transcript:CAK63282 MLLILLQVVFADRLLTPAKRHVYEQIPHTFYSPNVDSTLLLQYNSYPGVYSMELGEIVDG DYIRIHPNVTLDPFTLPGCNQYERRLIYKHLVNQSTQSTNAFLTNMYIDDNLVFVTRSDN SILIFEIVLDMLDRSVNDLKLKSIQTTHGNSSEHHIACFNKTCAVFGQDSAFLFKDPDFQ LIDLEKNGQGQIFPTRIYFDEKLNALYLIYGTNLVEVYQFTETTLLKFTSFTAGSEIVSV RTNPESTILYLLDKSAGLLFYRILSIGEYQNTGFMINLKNCQQFDFYEDTFFLVAETMDG IPYALEVLVNEDSYYFNKIYSKDMDIFDVWVGEHVAILIGSEVHRVIYHSVFNKFITESE TPLFFQDIDLMNVEEFRKWESTTYSKNAIEQDPFSKTSFQYRQFFMVGISSSQLSIFSIK SISPWLQCKPTSTNSTQYFLKMNATNCPTKIKENDHSAFKQCIIMHNFTLTGKEIYFYEQ DQMTVIIGGCALIGFVLILIIAVVCVKKYLDSKIEQYRAMNKDHIRLDELEADSIPSENV IV >CAK63283 pep:novel supercontig:GCA_000165425.1:CT868024:253343:255143:1 gene:GSPATT00033136001 transcript:CAK63283 MGCNESRKENKISLNEVVLDKLHRLFDRLRTRYRETASTDYLCQESLEFLCDGNPSLGGK LYQFMLKHSTNKKVDFENFIPIVEILIEEPSSYHLQGYRSLDKMEIFFLISLQRLSIDDP EFKDSNVSYLEALQFLQDVEYISLRSGLALTSKFTPDESVARSILSAVYPNSSGAVKWWS LVLFFKQLFPHLTEQVKQYFTMLFLSVQQQKLQARFTKPSYLLNSPQYIYLALGNAYIKS SSQVNLLWSNAVSGWNFESLYRALLSFDGPTVFLLKFSNDSEESIVGAFQKKKWIDSGLY QGNEESYLFQLNPKYKVFAASRFKRTFPNESDQNTQNYSYLHYFGEGVGDYKGLNEVAPS GVGFGGANNKFRLWIDAQDMQRKSYVTPEDETYKKGSLINPVLKEYKLTYAEIWSVGKEV DILSTQLLIEKANYLESHYLRSSMRVQKEMIGLMKGPLNMEEEQQARLSGVSKSHIRTSS AVQQSRKAQILDMVDPQAVQPNYQSNQRNTQTSLARSKTSKSYVPQPAQIQEEGVEETGF GATTLFRGSAPPEQPREPDVGYGATQLIRSSYQKE >CAK63284 pep:novel supercontig:GCA_000165425.1:CT868024:255195:256382:-1 gene:GSPATT00033137001 transcript:CAK63284 MGQVSQKLFTTTEADEQIVISTNSRIGYIQKKFLFSQADVSNLHKIFRKMDTMGTGYISQ QNFFEFLDEEFNSVVSPYIEYLFQLIEKEVEQKVSFSEWLPAISLYCLYTKDSVVAYVFQ MLDSDHDNFISKKDLMNFLVQKRNGKKIFYYNYMKAVELLDIERPDKISLEQFRKIQQQI PFICYPAFRLQRELRSRIFGVKYWDSLYDRILHKENEENKQKQMQKIQDEMKRKQQNKLD KKVEKFLQNTHKSRVSMPSMKLIHQRVNGRRNSDTNMPQNQETADELINNMFQQISKQLK GQHNQRKQKELKVLRCRSKSLQYIKMNFKHTEEQVVRSSKYIKTQQSSQQIPLNFKKQER RSIFHMNKVKPPVDKPPGSSHNSSDSESVSQSLLY >CAK63285 pep:novel supercontig:GCA_000165425.1:CT868024:257598:262111:1 gene:GSPATT00033138001 transcript:CAK63285 MMLFQTYVCQWTYIAKYYYQDIKFQNQTNVGGFNIPQQSKSAYYIDCDSPSTSQITLTSE LPRLISEDQLNLNSGDYVSLDLFFSGIWNNDIFKIIIGGQVISLNYTKPDQYPISSGFCD NIQADAKSLNFKIQTTQQVNLEFQIQNSNVDGQVSIKNLFISRLLCHPLCISCTGQESTQ CTSCYSGTPNNNRCPLCPSNQSLMPDIGCTVMCDFYQRETYEKICVYFKSSTLYQETLYP NEKRYQVIQTSLIGLSQYMLASTSQDHGIFTLNSGTIKFIDLSSFNQGYFLIGLKLVISL YDPIPIDTRIEFHINNTYYGSIYQTDQGMQLHRIQLYKTEDLTCVSLWTNCKTITLFMFV DIPNYSFAFKAIGYYQTSGAGWSIKQIEVSSGKCQENCQYCELAYLCKICNVGLYVSSDG NCVKCEETYQIINEGSCIDYGQEAPYSGYLIQNEKFELTNDPSQTTKYLLASAEGFNFLK GSNIWYSFWHEKFIFGGQYVWSQAKFKIIHQSLSPHYSVTIGFYILYGPNFPEDGQFIYT FENDQPITKSAQSANLSFADATLSERVKTIHPHSSDTLTLYWECKGSNNNIYDAYCGLYG YNVVVHYCQPYCLECSSEKVCTKWDNSVDAKFHSVIGCQPKTYYNKQEQKCLPCPNRCKT CTSEMHCQSCELTYTLTKSGCKCLMTQYYADNQCYECPIGCNQCLNNQACVECLTANNRE LKNGKCECLDGYYSISSNPVCQKCHSQSICQCPSGTSYDASSKSCKTCHSSCQTCFNLTQ DGCLTCDITKNKYLKGLKCVCRAGYYEQNNICLSCPEVEDQQKVQCYKFCQENYMIWHTQ NCLICKSGFQLIDGECTPICGDHIVVGYEQCEDGNNDIDDLCFNCQFQCPSDCQTCNSNT MLPCSGYCGDGIVNGTEECDDGNQIQYDGCHNCKFQCFSKCTNCVKGKCTQCVTKTHNHS FAMSSENCFLQCGSGNVIVSDQCIDYKSITNDECQICRFKCRSNCINCEQTTGRCLDCNV GFKPNSSFCENICGDILVAQDSTNFYTEACDDGNIINRDLCSSQCKRECQIEEVCTDCRN TLCYACGYGYYLNENHYCYSICGDLKKAKDEECDEEKPYKCLNCLAKCQESCLQCSTQGK GCLQCKEGYRNIDNMCETICGDGYVTADEQCDDGNFIFEDGCHQCLKVCTIGCSACIDGS CTDCHEGYQYFKQQCIKIDENFHDPRCNSDCLECSIERHGCLKCQSGYNNIDNRCYSICG DQNKLDIEKCDDGNFIFEDNCHQCDFNCPISCSNCIEGVCIRCYEEFFLYKKRCFSKIEN DPLASLTNFQYSNLKSLQSFSSTLFSSYQFQVETPDLNQQKYNQKDYHPIVVSEITNSIR FTVDLQCSKNQKLDIQFNTFEHTEGFDKESLIFQGECHNTFYHSIRVSFILNKVITKNED EILVIIIKDNELKFALINGDFLQNLLSFKFVNV >CAK64890 pep:novel supercontig:GCA_000165425.1:CT868035:8886:12224:-1 gene:GSPATT00034367001 transcript:CAK64890 MYKWQQKKYLIEFNNEIDKYHWHENYDEKLEVTSTDVENLDKINYQEIALEVWNKLEENS IPIQFQLSQEFNDLNTKLIKVFEHNLLASDISFVKAAIQNQNIVEIICDALNEFNLTSYD FYDEFISQYYQKQIEKQRNLGKSILVDRFLHDLKRYSSNLAKALSGKEMTQVQFKQQGLL YKDEKEEEKWLNEYFDDDGQYGSYKKDIRSCSLVQQNGTNFQFVHKSIQEFLIAADLYAV LVLSKELQTQIFRSILEIMSKENIKDLKFFSSQQNYEFCLKVDDLSIFDKQQKLKTLQKN IDQTVKLMQILEKHKFNQINYSTEIYAETRKYLIKKISKDVSIIEFLKFLVHLTAIDKNF IQGGSNSLNILVEMKVDLTNMSFEKIEIKDTSLIGGNFAKCNFSQSIFTNVNINGVKLFG AQLFDCKWTDLKINDLHQLVGHSNLVLSVCFSPDGTKLASGSQDESIRLWDVKTGQQISQ FDGHNDVVSSVCFSPDGSILASGSSDKSIRLWNVNTEQQIAKLENHSREVLSVCFSPDGQ TLASGSNDYTIRLWDFKTGQQKAQFNGHKMFVNSVCFSPDGTTLASGSADNSIRLWDVKT GQQKAKLENQNETVRSVCFSPDGTTLASGHVDKSIRLWDVKSGYQKVKLEGHNGVVQSVC FSPDGMTLASCSNDYSVRLWDVKAGEQKAQLDGHSGQVQSVCFSPNDNTLASGSSDNSIR LWDVKTRQQKTKLDGHSQTVQSLCFSPDGSTLASGSLDDSILLWDWKTGQQKAKLDGHTN SVSSVCFSPDGTLLASGSSDNQILIWDVKTGVIKTKFHGHTYIVNSVCFSSDGKTLASGS NDKTIRLWDITTGQQIAKLNGHTNLVIAVCFSPDHITLASGSHDQSILLWDYKTGKQRAK LDGHSDTVQSVCFSPNGLTLASCSHDQTIRLWDVQTGQQIKKLDGHDSYIRSVCFSPDGT ILASGSYDKSIRLWDAKTGEQKAKLVGHDTWVQTVCFSPDGMTLASGSTDQSIRVWDVKK RQILPSYNRYKDILAQYKNDILLETSSYITILLLSQQLVFQSQGALIFEGEFINQSGMDL RTLFKQRGSYILESQIRLQYQEN >CAK64891 pep:novel supercontig:GCA_000165425.1:CT868035:12307:12918:-1 gene:GSPATT00034368001 transcript:CAK64891 MKLENIQKNLYINNKVKQNWSDPLVIFTTRSEIFTSSNYAKWFAPDEKERLKEIQLLKFD SNQMMEYLKKFTIQSIKMLIFEIYEWQTEISNRGVMDINKFEICWEKLLQQLKKQEVSKL NDETLLNQKQIENIQQFLKNDEFIALKSNEALRSLSIKLQKLWSVEKYDKLMKQINLNKL VEPSPIAYRENSLSIARNDGKSN >CAK64892 pep:novel supercontig:GCA_000165425.1:CT868035:12923:15505:-1 gene:GSPATT00034369001 transcript:CAK64892 MKFSPFQNLEIQKRQNNSLVTQKQFIVFKLLVSNFKKYTQKQMHLGILFTQYQHKQNHKN YNNFSVVQEYPKKIIRCNINFYKNVINELTANQFSEQDYQHKLSKQKGQIEYFSFQLSIE EQLTSEEIQDLELIEKEFGEILIEEFPSSQQMDKMMKIIEAVSLTESIKNLTEEKLNFLK LRLELEKFDSLFLELSKIQDSSKNKTIGQIEILHYEELTSQTESVIKTLKTFECTDKEIF QILINEELRDLERKISEYKQYYQNQQGKNIEVQGVVKRRISQQILKTEKQEEVELNKQTS KQKENHQIIEKDVLPRDIDNDNFNKETYSVFLQFMIKVVKLQALLIKQERELLQKLFEEI TLFSDKLDQIQKYKQEVQANLYQKFYLYFLTLIKSYEQQKWAKVKQQLKEDGKFQLQFQT ILDNLPLEVNEVTQQENNIDLNDLKVQIKEKLDELGFFTNHLIDQEFLISKLRKIYLLQN EEEFDEEQNQKSGLLGELIQKYQDFDNNYIWKIKQGLVFTIIQISLNCFSDKMMQFCQNA LIQLWTEEKDSRVRNLLKNADLISIQMQILSRNWQTQHDKIAEKMQQMLDKIDKLQEQIS HEANLNQRDLQLKEMDETTVQLDEYIENISEMGLQLRLVTDFVNHIRKGLIRVEGKINQM KEQLKSMGNDIKFLRGKSVEQLFEIRKWKVLKEAAFKNVKSIYVPLQTQEIFHQAEEKKE VKSSILMNFEQLNDTEGEVNQFLLEQKQTVLLIHGVAGSGKSTTAKKIEEFIWKLQNNNK KISNQILIPIYISLPSLKNPVFQAVEEALRQDEYGFDELQLKECKEMLEKKDFRIFIDNG QL >CAK64893 pep:novel supercontig:GCA_000165425.1:CT868035:15616:17577:-1 gene:GSPATT00034370001 transcript:CAK64893 MIFKMHQAKSTLRGGGCGSFSVIPQKTEHIKSDSYDIQNFIAKFDSYVKIIQTKAAVAAN QSESQEIMIAIQWFNFQEEIIYKLSKNAQSVVKSYDLIIEGIRKLLKSCLIYIRTDSFKC LYILQTTASLSKVIFSFHLINGERFMKSELQKEFLDISDELRQQMEIEKNDLIQNQMELY LFLIKTSFEIAPKNRKEKEIVLKGCLNGIIGSIIQMKPNNELLESLFQGACLLYKMYVAN KNRKQFEVYYQIDMLQWEIICYFKNEKQQNLEEIILQLESIYNKIVKNSNIWKYHYLWIQ MIGKILIYNPLLTKQKLSYLANSFNFTVTSNQIWKEYQRKGLLIQMDHSPDQAVILLNSL QKQGLFQLDGLILENCFKEWENFLLLKEFLMFEQSQNIPFTFGSYLKCQLNVGSQEPQKN QNILAIENIKKFLGFIIPNKLLSKMKKNYENLGVVVKIYRNCMKDTRQYNERISQSTYNS QIQKMILNLEEYFKNTQYLIKIMKLNFRKNNDQIELIDQNKKIEFNNFFQLKFLLKLLEY VLLFSIQELKVSFDDQQNHTLNQNYKTDPIKILKEQKEFILQEIKNLELKDKKATLVNDL QMKLLALPDDSLDQKNFLEAAQNLIQFIADLKKEVENIEISFLQNNNNLVQMF >CAK64894 pep:novel supercontig:GCA_000165425.1:CT868035:18763:21003:1 gene:GSPATT00034371001 transcript:CAK64894 MIKYTKPTNMLFFLIYVKVGTSQQFQLGISLDYLQKYKEAISCYDQALKLNPLNQESFKY KGISLSQLGHNEKSLQCYNQCIELNQKDIEIYYRKGISLQFLNRFEEAITCYDIALQFSS QFIENNQVKAQVQITQKIKNQYEDTISTYNEFLEQNPKHLQTYINKGILLSQLERYEEAL MCYNQAINLNARNFDALNGKGISLHYLKRYQLALDCFDKALKVNASEIRALVNKGICLTQ LDRYQEAIIFYDKAIMISKSLLNYFIQKGIQLQEIILFNKAIQNNKEEGNSFFNPLEFKD KQQQSIQTLTFSDQQINSFTQIDKIYLNKGVALSQLQNYEESLFCYEQAQKINAIDPESH IQKGIALYYLNRFGESITCYDQAIKLDSKNIKCYLNKGMALNQLDQYNEAIVCFDKAIQI DPQDYEIFYNKGIQDTQLEQYNSAMYCYDQALKLNPKYPDLYISKGISLHMLKRYGEAIQ QYNYAIELNKENAEAYYRKGFSLQVLKKYQEALNAYDLALKFKPQDIKVIINKGSIYFIC QGYLQKKLLIFNCLMLIEQHQEAIIQFDQAILINPNELDAYIWKGFSLEKQHMYVKAIQT YRQVQILQGNQENEYQLNIFAWQKQH >CAK64895 pep:novel supercontig:GCA_000165425.1:CT868035:21043:22454:-1 gene:GSPATT00034372001 transcript:CAK64895 MSDKNYRISDVSSEQGIKKIQSISPNRRSNYFNSTQQKLQYNYYPINIQSKTEEQNKISQ KVSEISIINPSQKIPTSQIYDQQLPSNNDPRNFLTQAEQLKYDLISTNQSKQNLLMIEKL LFYFNSQPQLQYFSNTQQYYVQNGKLYFTEFDNDMNPKFKIQEGSFMVCLSKKEFILHGN GKEYCGKSTLIKFQGQFENGIFKDDGRNQRSKSAPNKKIRIIDAQTGLQVNNYNQVNKYQ NQSLFCESWCKYNQQISLKDIQILENNRRLTSSIIDAYVLYLNLESENTYFSQKTINRQN INRILFLPTTLTTNFGRNYTDQHTKDIFEAELLQFKDLNYDLKEIYSQIGMPVNKNNYHW YFLLFDLKQNVCTVFDSLQKPTTTDQMNIDYQLIITLEKLLKIEKPKRQFSECSGKQTDS YSCGYHVCQFMNYCQEKQFKQKVNHDFNLNEIKTKLLKIIKI >CAK64896 pep:novel supercontig:GCA_000165425.1:CT868035:22836:23705:1 gene:GSPATT00034373001 transcript:CAK64896 MKILSQLSKSLNKQCTIRQNQDFKSYIQNFQVSSYLAFNKLFTDKYLSIIRIIVLIIKSE KKKNINKQGKPQGQYIFILFPLKINLLQFHQNSSQQNLLGLLILNYQQKFLTSLRISQYK RKGQNHLITFDDMINKLERKDQNLESTKKSSLIELRLLSYYISLFGNNIKLNFEIHSQSR VNDQKTSILVIYQLDCLNENLYIIIRMQSLAIRAAMLQLMHKNGNFSEYFYKKFALPFFL HLNINTYDFQYVAELIKNIKGTQVENYKQLQFDLRWNKIYLNDSLQYIA >CAK64897 pep:novel supercontig:GCA_000165425.1:CT868035:23833:30375:-1 gene:GSPATT00034374001 transcript:CAK64897 MNKTILLIALLVILTNCQTVTIATTCTCTQMLTETDCAKRTGCTWDKTKKACAVTETPTT PTDTTTFAAYCDQFNTAEECPKKNPCAWDGKVCTHFTACTPFVYDDDAKCQAVSTRCITD GVRCVEKAACSTYQTSKSCVVNTLGRYCYWNTADAANPKCEDADDCPKLPITLVSDATCR AQLSKCTAKEGGGCIELGAKCEDQVAEIGCVKDKSGVACFWSKGKCIDKTCDNAPTELAT DQACKDFISTCTTKQGGGCVTRSNCGSAAIQAACVTNNLNEECFWNGTACVDKTCANALA TNKTNTSCQSYLKKCITTGSGCTDNTGCGAATIKDACDKTLTGALCHWDGAACKSKTCDN AGSQYVGHDQCTTFMATCTAKAGATSGCQDRSCTNAPKTNTTNEQCEAYLPNQKCVTQDG GGCRVNTTCEAINLEAACKTDGTGKTCFWDSGKCWTKICTNSPTSNNNHSLCNAFLGTCT VGSGSTCVEKTCENVQEEANCNTDLNNKPCVFKGKCYQKQCSLASKDFNTHSQCNSYLST CTLDNSGLGCMDLPIKCEAITTTEGCQIKKGGGKCGLNGKNCVDQSCSTASSTDYTTSAG CTGYKSGCVVDNDGAGCIDLPATCGARKLQDNCQIEQTRSITPLKCQWDDQTDAASPVCI DIKCENAHLVSLKAGVSQSGCWGYQSLKCVVNTAGDKCIPRPKACSGLAEAACKQSGLIQ VSDTQTKDCYWDVKSASCRDKTCANITLDAYNHDDCKGAIGTCTVNAALNKCQDIVACDK YTVEGQCKIDTAGNVCNFSGTCKDKSCADAEDSVSYDNDDECQTFSKKCTLTQRKCALKQ ATCAEIKNEGACVAHTIGRKQQCEWSSGACANATIDCTLATGTGFTLEYCQYLSATCSVK VDGTGCLTATASCAGQTEGNCVWGSTDKFCYWNTTGPACAKIVDATKCSDIAGTGPGICK SKKASCTWTSGTKCTANCAAFTGPFNYDTCQAYNPQCTLKRDGTGCVMTVATCAATLAAN CTGSDEGKCYLSGTTCKYATGAAVIVTAGCGTITGVGLTPAYCKGISSDACSVNSELTAC IEKQDDCADYLTPFTGCLSGVTETKCTVNSAGAACEVYDGAASDPCPAVKLFKTGAVAIS YTDAICKLYDCQVKTDKTGCEAITAPVVTAPTCASYTGPFTYEACIGFLNTCSVNAAKTA CITIQDTCGLYSTTECGYAKNEGECVVSGTSCVQKSCDSAASTVTTLAGCQAVSTNCALR AGGCQFRANCASYTVQGACVKNASGGDCLWNPTAAKCVDKSCAAAEASSSFDTHTKCQNV GKCTVKATAEKAIGQGCIPQAACSSYTIEEQCKKNAKDESCVWNTNTDPATCADISCSTA PIASYNDHEGCRGYLAGCTVNVVDVNGTPTLQGCVAYKTCSSYTNEGQCKVSSDKDDKGV NLLCGWNGTVCANKSCLTAQETVNTPTLCKDYLANCTVNATDNGCVAIPEACEGMTQNQC YEGSADKSLRKCYWDTTESKCITKKCENSPNFGSESECDTYLSGCTTDAIKCKTKICEDF PLTTDALCKAALSTCTSNGVNCVKRGTCSQALAEAGCVTDSNTRQCQWMTPTGQDAYCTN KSCTTAPTSLTTEAQCTAYFTPSVGTCTTKKDGGCTLKGACTSANVAAACTTDSNKNECQ WDTEANNCRLKECKDFAGTTHAACQKQRTGCTAGLNGKCAKMTNCQDIKVRSACIEGNDG PCLWIAKYVNADSTLGACFSYESCKSLDWTSDPNCKLISSNCTTDGTECVGITSCAATNI KGGCKTGTDGQCIQTVSAKGATDTICKKFSSCADAFYLTHDECISANPNCTSDYVTGCIS LAACSTYTQNQCFRNKDGAQKDANGGITSTGICVWDDTGKQCKDQQCSDLTFTTESECSS RLKTCTSDGVKCLVKGACNSYTTQGACNVAGGTEGACFWVAADATGSCRTKICSDIPNGT TTQACQGVANCVSNGTACIAKANCSTYATKTACNSKGTDGICVWTETTTGGTTTGKCSLM TSCASAAGDTNACTQANDRCQMNATTKLCVDHTCATYAAQVQSCKFFYTWDQKKYNVCST VNGVCTATTADTLKEGDCYTLTAYLYSWNPASSKCTQCGTVVVQPNNTDNNNSSTGNETN TDSGYILGFTSIVVGLLMSS >CAK64898 pep:novel supercontig:GCA_000165425.1:CT868035:30843:31548:1 gene:GSPATT00034375001 transcript:CAK64898 MFSAVPSEEFDEIIYSFQIQLFFQGFDFTETKFDVKFDKKQLEPFATQTIAVCLSKWMHS QQKSIRMLKYEKTLKRNKLRNEEIKKIRSKKGNYIKTILSLIIEVHELYMKFKSKVILKP SENRRIEIPCVITQSYQKRLKKKKGPQVFNLQQIQQKTTFPLLIQIEQLVAKITQILVRV IIGFGRIFCCYISYQVGQKEIGQINLEILITNTYYINQLIIKFIY >CAK64899 pep:novel supercontig:GCA_000165425.1:CT868035:31637:34139:1 gene:GSPATT00034376001 transcript:CAK64899 MDQEVQVKYFRLPQKEDGEKGLLISILDCSGSMSSYWKYVSIYHNELIQKASMSIAITFD TAVKVLQPNENIHPNINQYGGGGTNITCAFVALNQEIKKRNITSDLTVVFVSDGQGSYDE KQIKQDMPTVQNLNFICIGVGNGFPTHISMSLRSLYHTGNLSIPPVFIVSVQEQYASKSR EDYLDSIFKEEFESVGAILKPRKQCQTTPCLSFPWSQEKTTMVWSGSWVGSHDHEIIFDG VVVQASNPSEPMILELASYWLQNIQLLSLKAKVRQEAQAALAELERLSNQIPKLQGQKKQ KTFAQRVQESQGKDTGLSDLVAELKLFTQDFTLNQLNDKDAADRLKIGTKVGKFHNKALK FAGLSLEDFTKAKQQFIECLKQHKFDGNDGDRSIMTLQSQKEILQEEDLIYGLENCTSQY QLVTAFPIIGYGLQVKRSNASMIDPYKIEIVSVVRIHKFLDSVSLIEHPQHELKFQIGNG EEEIVNCILPLYTKKNEDLKPFFRSLLFHTIMTFVVCENADVCFDYSYAALLANTLLFLI RQPKSEWTKEMISLINESYTLAYGQKYKGYTEKLINNPIQTLVDVNKDFDTQCQDTIKAV LILSAEKDKLQQEQVRNVLDRFVVETIGRVLKDMASKDHINIFFNVENEAQVIGVLSEKI INGFDNEKLKEYKTMSAITSQIFVEVEKIQVEDLQLQMAFKKDEVIKLLSHSKYKYENLQ GIYEYFMLEEIPQNLFIAAVFHARYQRSIERATNEFIADLDQLNKEIQFVKKKSVKLDVL EKCKVEIRSHVQKMGISNMFVQRMPGFGISRRRRRRPNVNKLQQK >CAK64900 pep:novel supercontig:GCA_000165425.1:CT868035:34770:35024:1 gene:GSPATT00034377001 transcript:CAK64900 MVFLLLVAFDNTCYFIIVCYTNDFYWGCYHDEELPIISVVETQSFSFAKNSVESSTDQQS IQFKDQIRKSRGESLSLFWYLKQK >CAK64901 pep:novel supercontig:GCA_000165425.1:CT868035:35071:35349:1 gene:GSPATT00034378001 transcript:CAK64901 MGNDIQRVQQLGDSIRQLREDMDALSKITPQVEKMVALAGTLESTTKNVIESFKYKINEN ISKVSKTVSDVEDKTKKVKELVDKVPAGMKFF >CAK64902 pep:novel supercontig:GCA_000165425.1:CT868035:35550:36108:1 gene:GSPATT00034379001 transcript:CAK64902 MKSIATLFITIAIASCVYTPAERQFNQEALTGAYAFNKLSEPQHISNCGDDATQIDLFNF VGYMLQKADKASVSQLLGLKKEVDDYFNGLPEEYTNCLYAEPEFLEICTIYGLKETDKME QITDKIYQYAMGHFIATKKEIHQGNLYWNTYHEYNDAGYLIASFTKKALVTGVEYVE >CAK64903 pep:novel supercontig:GCA_000165425.1:CT868035:36116:36776:-1 gene:GSPATT00034380001 transcript:CAK64903 MGNCETSNTVIGDPQFQQIGSLAQARNFSTTYKSEYVEVHGNLAVVEEQEEGGLVLLDEV AKEQDILKVKICCDTIQTSIGIGVVDFDIIKETDFSGNCNYGLNHGMWIVYSDKLVAASN DEALDKKSLGIEVHKGEIIECLRNGDVFSIRKAGENNKSITFKLPPNKNYRFAAYLFSGW DSKEAKVSEARIETL >CAK64904 pep:novel supercontig:GCA_000165425.1:CT868035:37177:38651:1 gene:GSPATT00034381001 transcript:CAK64904 MQKIIFLTFITLGLSRLSFKEGSYNYSDIFRSEGAFPQGTKCKKVDAKKLDPLFDDTQVI YSGYLSVYENSNAALGFIFYGSQKAKTEGELQNYPTLIWLNGGPGSSSQLGNFMELGPLL TQSDGSFKKNKYAWNTEYNVIFVDQPIGTGLAYVEKQSEVPTNQKQIGQQFLYALNEFLF GAKGLAQSPWFVFGESYAGKYVPTIAKAILDYNVAAQKKIPLKGVGIGDPFTDPYAVIAE YAAYSFNLGLIDIQERMQVDSILAYGLTELNKGNTLAARDAFEESLDIIITQSGDMNVYN VLQYGNYGETEKWVEAYLNRVDIVNQFGFSEDWKYKISNADDGPVQKALKYDFMLRDVVL TVEEVVKKIPFLIYNGQNDLICSTPGTLRWVYDLKYEKIEEYRQKDFEVLKITGTEKIVG YHKEAGNLELVLINNAGHLVPTDQPEASLQMVTTFVNKHK >CAK64905 pep:novel supercontig:GCA_000165425.1:CT868035:38671:40068:1 gene:GSPATT00034382001 transcript:CAK64905 MFGQVVHKFQRNQNHLLSKYLRAGNRSNPFENTLTILEDPAHHRKLYLIGSTHASTMLAY RTQKLINEVKPDSVYVQTNQEWWNIVKNIEGVTSQSELNAYNDVLRGAYKWQLESGVRNF RNSVFWARLYSWLGLMQFLKAFNSDFHPFIPGLEVKYAIEQARAQGANVVFGGLAITNDD LLSLKTEPRFDPLSVSLNFLKLLSQTRWRRERQDLAFQLAVLGGEQFAESVDKYRASWFV KLFEKLSPHQKKILVDRKDIEIFETLYKHTPGKTIVAVVNQWHTMGIEAHWRHTTKTQID TEPINPIGDLDLEALNEDLVVNDFLRQFTSRITKSEPASTRAYITQYYKDVFEYERFRHV EFDGHSDPHQFHGGSPFEGGYKHHHPQLPADVRKQIEENWKKQYAHHHEHH >CAK64906 pep:novel supercontig:GCA_000165425.1:CT868035:40166:41318:1 gene:GSPATT00034383001 transcript:CAK64906 MKTIFVLVLVIALATSLKSTFRQTPQKLQAELQKSNYGKALLHLLELHSMAGGAVSELID AIEQLDDDLIDGLQLLEFNFQQRTNQHNALVVQLNQQMNDAQIDISRSEDVIENLLIPRR EQLENRIANLEENQEINRKNYDEEVLIREQEHEAFEAQIAELTDATAAVDDALALLQTLS NPSLAQVKKFQNSLKKIEQSIKPRSKMAPFLKALLTLASNQNFSDQGIISQIVDALNEFR NAIVDSINDQTLAEANAVEEYEERLAQLDHEHIEFQRQINAVNVDLTATNNKIDDVTEFL NQRVADLKQFSAELQLENDNYADETQIYNDTKNEFTREQQITEQALALVQSVDFSHIQV >CAK64907 pep:novel supercontig:GCA_000165425.1:CT868035:41858:42482:-1 gene:GSPATT00034384001 transcript:CAK64907 MIGSMYAMLQFAEKMSAAASEVIVSSDEIQKNSLIKRSCVRQQDGTDMQLRDDTLGLEDD FPDTNQFKGSFAIYRFNGAINYINVKNHIAQIKQLPERDFIVLSFRYVSVFDDEAIDKLS LMIQALISSQREVLLTGLNQGMIDEMRYNEYMNNFYKKHRQHFKLLGQ >CAK64908 pep:novel supercontig:GCA_000165425.1:CT868035:42490:43876:-1 gene:GSPATT00034385001 transcript:CAK64908 MNADHKIPLMEQELPALDGIEQKLSNPHDSLVKGSTNQTKLSIKNAFSRISHLSVHNVNT ALFFIRENWKSGMTQAMMNMPFILNAGNNTGGNPSAAYTSALIGGLINGFFSGSNHSIYA PTWVAAGFNYQIVQKYGYEAVPWVTIMIGLQIYILSHMKWHHLIDFMPNYVIEGYYLGLL FLIANGYIDYAFGLSDMHSNRGFQVYHDRFEMYQEFFRRGDLYYFGASIFIFLLLYFGWK INKDLPLIVLVCLTGLMVGILFPTEKCLKNVYGDVSLKLSFIESGGPHFQPDMWTLIFLF VYAIKMTFYITIQNLLCAKGAEYFTGVKCDHDKEILCVSLTNICAGIFNSIPCCASIRLI ILNIRVRNMNRWSSILNGLSVILFYGLFSRYFLQIPLYFVSGILLYSAYMCPTWPYLENA VENQKEINFSQTNYNINHLCILWSY >CAK64909 pep:novel supercontig:GCA_000165425.1:CT868035:43962:46457:1 gene:GSPATT00034386001 transcript:CAK64909 MLRPYPIVLVLLLSSALSDDTSNGDILEFTATRYQLCLDIENFDITNSERQSVLDSIYSD RKEELSSQIEKWINNSYVISSDEYFIDLQYYTLPWLILAIATIFLSIIYLWITILPSSMM NWLKSHGEDEFILNAKRFHNFGIVTIVVLNFILIIVSIIALVYSNKALNGLDYSSCYMAS SFDDLLGRKDEVFTGYSILQADFNSLTTTFADFQTELKKLYQSRVSLTLQSQQQDVIEFN DQLKRNEPNSPLPILISSNGTNTVAAKESYFSKMKIIDYMLSTKEDDEYLTYLKRKTECN WQAKWTRSRNIMGISFRDLALRDSKLALLFTKAQQQLSYIDNSLLNYATLFTDFHQTLGD DINKYVDSIIGLLIVLIIFAFIQIILWLGHLINKNLKIKRVIDILWFLCNLILIGILIVN IFLQSNSYLSKELCIYFDGLINNVEFYSHQQIIEFSEAKTALHSCLYGDGNIYNQLNLNS RFKDIRTYINSENGLLEEIQRLNSNQALYLQKLNNNSLTITSILDGTFIDLNQDESDGLN KIIQEFNSHKDKENCAELQSSLCTDGTYPKRNGNFNDSQMCWHPSYLITKYTSSCLDQQD LFYKLKLHFQSQAQGWIRTQLLESQFVSQNLDLNLKLNQYIKNHTTFIELEQKTLSNLYS LLKGANCTFMKDDLNKMLDGMCVVYSYYLNKVSVLTIVIASLLFLSVLFNCFTSIKISQM ETYEEYASTKVINFAGSSMFRASIEINQIMQNESPMHITSTQLEFK >CAK64910 pep:novel supercontig:GCA_000165425.1:CT868035:46562:47371:-1 gene:GSPATT00034387001 transcript:CAK64910 MRFHFDPNNVNRIKQQQQEKQQKQPKIELPDSQNTVQVVPQISIELPNENSNAVKQMQIQ EVPQGNDAQKIIPELNQHIYEPKESWEKLPDYPLVIQDLVVPDCDQNEIYLALYEQYFNE EIVKFKEHYSQYKELIFYCEKMQAMMTAFLKKERQLKEKYCQPTQKDLPYHIKKLEYLQQ IKEYEKSIEKFLKAQAKIRQMLPHENLQHASIQMINHVSYDQSQNHDIFNQRISEFLEKN QHLKDQLQQRTTQHVLIVMACLLVRKVIE >CAK64911 pep:novel supercontig:GCA_000165425.1:CT868035:47435:48931:-1 gene:GSPATT00034388001 transcript:CAK64911 MNQEQATNFLQNQLLNDLISKFESLEYLPLDSTQLSEQLHQSGINVKYIGKICQMCSLQH IKDLCICDMISRVCKKVLRNNAADLMKNLKYQLSQKLQSDQSNILEFSMIQELNTKEVQD IKIVLELSSNLINESCLDFLNLLLGVGKESDIFWIQIIQKQIWYDYSYNLDVTSRFSMQY KGMLLYSLQQQCDISFAISPALINGMFKESFPLKEKPQFNVQQKAYLLNTSKIARKSKRK NIMNQEQLFQSLKWSLELCLLRKEGDDVFSYLLCEESCENANKALCFTKPGHPSQIKPLL QIIQMSMDNLDTALQYFEQILQILDSYFGSSHPYYFIIYSIFGHYLMESGYFNEALNLYE SALQCAIRLFQSHQCIADIYSDIGQLYFSRKDCSNASINYNKAVSIFEKCNQYQSIKYAN ILLLLGQLLQNQQQNEQAAQKVEAAINILQQFEYSQTDLLMEAIKLLIQICNCQNRKNKS NQLSLELQLLQIRHSIMQ >CAK64912 pep:novel supercontig:GCA_000165425.1:CT868035:49156:50627:-1 gene:GSPATT00034389001 transcript:CAK64912 MNDLQKMQPKISVIIENILYIGPPEKAKKQNSIEITFMAKDAIFPSKMKNRSTNMSVNSS YGRDLMAAQQPIVITKKKEIIIWEIAFSKPDILKEFTQIIDKVKHPEKYPQQDQQQSQVQ QQLPQQPSMVQSETAKQKNSIQQQQDTNEKENQQKEIQQKEAQQADKQKSEEQQRQEELH IQQQKEQEIHQSELKSKMEKEQQLEEENKILQEQQKQEQTVLNKEESKKNMVKNRIKTAW NYNYLQAIELANMKDPKTIEECITNSFVLHESIGRMRDHAMDVCQTIIDELCLPNQMKTI KPTDCLNEDKYIMYSHQDNTIDFGDDFYCHDGLFIKLSFCQLKSQEIHTQQGKQEIQIYE ESQLKALGNEFRYLSLLAEQIELLIQEESNYPLRVPLSCIVDYKGVRAFVMAVPPIDDST LIHGPTLDGQFITNNAIQQYMNSISIRLNLKQHKFFEDEMISNVIPMSLFQEVHKINKRL H >CAK64913 pep:novel supercontig:GCA_000165425.1:CT868035:50859:51228:1 gene:GSPATT00034390001 transcript:CAK64913 MNQHLQKLEQILIKFNDKHQLLRRGIYVGFIINAGLFYSQMTKFAALKRMQEYMVEKTQQ FESRLSSEQEQIIKSLKE >CAK64914 pep:novel supercontig:GCA_000165425.1:CT868035:51973:53304:1 gene:GSPATT00034391001 transcript:CAK64914 MDSLQDTEFLDLVEKCQKIIDQYEQDDEISTVLLIGNHYSGKSTVFNFLSGANFNFNDEA KQIEIANKQDKFSQMNNGTKSITKTPLNVYKNNQNNHVIIDFPAEFLNTDKSKDQQLIKL LFNKVVTSGPIKLIYILQCYDDCLPNRGTDLVHFSKMLNNPNFSLLLNKNHSEKSDEQLI CKIKKQWEESYQINFNKTPKKVEQIHILKKAYKNSLEVIFNDYSRKTLWCLIEQAKEIEI KPLEIPYGDELNLYKNNLEWEFVNDYLNHLLQENQNQKDQKQTENDEEELFYFVKIRNQK TALQWYQKFFELCNKIIQITSINSNKMVEKQGFNKINCQSNLDVIISFVKNQLQNIEEIY QKRKQYLNIQQNLEKKMNLQFKEIQNTIKKLLEGQFQLEKENLRLKTENEKEKLQRQILE KQLKL >CAK64915 pep:novel supercontig:GCA_000165425.1:CT868035:53983:54399:-1 gene:GSPATT00034392001 transcript:CAK64915 MATGGQILRYNGGTCYAMCQDVFSWYNPSIQICWKGCDYATGRVNDSVLRQEAEGMCKRY TAEAMWTKKGELDNIEDLRIHADMFPENPRNIYRACLAGVRRQKY >CAK64916 pep:novel supercontig:GCA_000165425.1:CT868035:54489:57022:-1 gene:GSPATT00034393001 transcript:CAK64916 MRLNDLTESRKMLKQFKDKDVRPPRSYSDFSKSPRQLQLDTTQLYSWGLNTCGQLLKNNK NITPHYQGVTLCSAGENHTIIVNNKNEVIGFGDNQFGQLGYESNTQPKLTPIFKDQRITS VVCGAEHTFMLTAKGEVYSWGLNLKGQLGLGCFDNISQPTLVYGLLPFGNSNGKAREQQQ GHKRMKSVNEADQSTSPGLKERSSSIDNIMEQLVLMKEKIKQKNPNESQCQILLQNDEAV QQVACGALHTVILTNKNRIFSCGFGESYALGHQENLTISEFKLIQNLSHFKVEKISCGLA HSGCIVEGGKPYLWGFCKSNKDFHKQPTQIKLVDEFNNQQCVLDMKMGEMFTLFLTSKGE VYSMGDNIHGQLGNDLSSSDVPIKISGLPLISQVSAGRNHSMALSADYKQIYGWGSNIYG QLTGQPSVNGKGIMSPKLLFTVPETQRIVCGNFHSILLSPSYLEIILDENDNNHKEQDKL NEEVEKYRNEQEILKQQNIQLQQKYDRMKNELISKKKVKDQKVQTTESYIQRQIKKQQSK FDISALEISPERPIKHRIFQSSLDIDFNDIMLEKQISEGGYGVIYRAKWRETVVAVKMFK IDGMNENHIRDFLSECHAMEALRHPNIVMFLGACTKPPNLAIVLEYCQRGSLWQVIQNHD IHLTWEDRRKMALDAAKGVLYLHSFNPPILHRDLKSLNLLLDEAFRTKLADFGWTRTLSN YMTSKIGTYQWMAPEVIAGQVYTEKADVFSFGIILWEIAAREPPYRNITGLQVSLDVLNN DFRPTIPKKTPEVFTRLTKRCWDRDPEKRPSFKEIIKELEMMKFPQGA >CAK64917 pep:novel supercontig:GCA_000165425.1:CT868035:57266:59644:1 gene:GSPATT00034394001 transcript:CAK64917 MQRSQSFSELKVLNNCIPIEFFEQKGPQYYQNQFQQYQTIFQQSFALHTRLCQTPLQKYQ NYDLGNTVIKQGTVQIKINSGSFTKTSIILTREKLVIVEDKQWGQVYNLRYLAYTLAQCN KNQFKLFKLEFKQYKKIIIFKCNDEIEGKLWYQSIRMIEEKFLNEIEKLQVKELYFGVDF VREEEFLNWAETGDILLFETDHYMAKLQRGITRSKFDHVGMVVRDKYSNDVLVFDVKNQA GVDQEFWKYFMKQNNLYRKVAVRKLLNVDRETVNWKLLEFIDKVKDQDYEINMMKLLQQQ SDGLINKGYFCSELIAKAYKYCDLLPQQKASSTYWPVTFQKSQQLFCWIMIYIINYELKH GFQWKTGINYYQIGVAILYIGHYLIILFQTLDYNQLWILVTLFSFIISIFWLVTTTIDPT DREIYIQQKLKEKNLKYETQLNCYCKVCQAYVKAPSKHCRQCNRCTELFDHHCIWLNNCI GLRNYKYFFILIVLLEFYLITVLIISIFVNKILSYIYMGLTIILMIPVTFLLVMHIYFKC KNMTTYDYVLSKRKMEQKTSQEKQQDGTSNQTNLQTNIISRNYLQQTNINVQTAPPKPNL IKKVINWDQEDADVEEEDSYHAKEPLPLPSSKSSARQMDSQCNSAHKMTCPNTLRQFNLN LIDRGNISTIQLTETKPIRSLFNVKDFQQMIENQLVNNVNEGSIIQFDSKHSQKTNQIEI NI >CAK64918 pep:novel supercontig:GCA_000165425.1:CT868035:59735:61630:1 gene:GSPATT00034395001 transcript:CAK64918 MQQNENDSQHDDPQPLQEETKEQQQVENNMEVNPNQEQQNETDKQNEQFNITSQFPNHEQ QEGNIEITILDTEQQGDAKETSNQKGEIINQEQAQNEQENIDQTKLNKQNSINNQNQDPS LSNNTTVQQDNSQQNNKVTQQDQQQSKEQKQQEKKGEENDQENQGQRKNQKKKTTKRRTT KVEIQENQEKNNPAQSNTNINEEKEKEEQEQIQQKIEEQKQIEELQRKQELEQQEQLQRQ KQLVDKVYKDLIFPLKRSQNKNGKKLDSSFEIQSEKFTLEQVRCKSAKRYQRERKPRTRS AINQELLDFYNKINPQQHKDQSKMTPNTLQRLKKQQELEQMKKDCERLEEQQNQQQNAFS QPQKENKSKTQNKQRAQTQAKKKLEVVVRKRKKIKIRNGLRERMLIDKDKDSQLKALDFI RKMQQNRMAAEQKRQEQLEKITNKISSDIESLRQGSQIYKQEQQKQKRKQALEQITKQEQ KRYEMMLEQQRNEKFVRELKEKSAVSSHYSVKKLALGYSPSLVTELENGQIQIEQIIDTN RKMNENQFLLKEEQKQRIKENKMKQQDYSKQVSLLHLPKVNNKKNYELLNEQLSTENKEP LPQIKQKHKKAWQIEQELMQKKHQNSEQLKE >CAK64919 pep:novel supercontig:GCA_000165425.1:CT868035:61863:62242:-1 gene:GSPATT00034396001 transcript:CAK64919 MARGTPAIGKRHQKTHTFCKRCGRQTFHIQKNRCASCGYPAARLRLYSGWGEKVARRRST GTGRMRYLKSIARRAKNGFRAGTQAQPKVKNTQKK >CAK64920 pep:novel supercontig:GCA_000165425.1:CT868035:64073:65155:-1 gene:GSPATT00034397001 transcript:CAK64920 MNPFQQIPTLAQLQYCPQLQQLQQLQQIQYLHLLCALQSNITNPSNLQIPSLNPLQQISL LSQSSQRTIPIVQQPDIRTKKSQSERVLPKQKKENEILKQFTQDEILWELKSLLEYLCKE EIKELEENEKNKYKILTRIDDGLIESLINRYQNQKKTKEEQIKFILRKCFKFLKQKMNLN ELTMSAYEIEKVFYKEYFSTNDNIEELIPFRSESSNKTMNTSYLKKIFSSEKFYEGYLSY LEHFDEIWDQENNEKIQNMAKQVLKFIASGQIDKISTYKRVPWISSMKQRCYELAVSFKA YHEQEEICKKVKQE >CAK64921 pep:novel supercontig:GCA_000165425.1:CT868035:65237:66759:-1 gene:GSPATT00034398001 transcript:CAK64921 MGNKSTREIREKIEQFKEDSEKKEIDWIIHNQNEVYEQMLLLSRYFSIQKLQLSFIDPNI GDITPLRDAIQGSEIFEVLKIQAQNTKINDSNIIPSHITTLSRFHLDLSNTQITDVSNVI QVLNQNIQLESIYLNFNKTHITSIKLFDVHLFTQLKSLTLFAGHTQLNNIEQFALNISKL QHLEELHLDFGATQITDLAPLGSGIRKLNKLLQLTIKLDNLQMENLFELMQGISFCINLC HLTLTFNNTNLNNIDQLGDLLKQLQNLEYLNIQFSNTKVTQADNLFQKIGYLTALKSLSL NFKDTAISSLTLHRILNSIQKIEHLRISANKNFDQSVLDAIPQQSQMKSLILDLNDTNLN SINKLMDQIGDLKLMRFLQILISNTQVEELNCSNLSKLQNLRTLQIDISQTPMQKIIGLE QELGQIKFLNQFIFDTVDTKFHNNEYKERIKQELKKLEYLKEFHL >CAK64922 pep:novel supercontig:GCA_000165425.1:CT868035:66936:67154:1 gene:GSPATT00034399001 transcript:CAK64922 MTFHQIISGIGDLHHQTLLSPLNQASAKRLKKVTFYNEALYLEFRATDSPIKVNSTLQKH FSSRSLSVITQP >CAK64923 pep:novel supercontig:GCA_000165425.1:CT868035:67640:73654:1 gene:GSPATT00034400001 transcript:CAK64923 MNVVALDCSTIKGTALNSYAYKTDGSVQFEFAIPTPGNVYLTFPTYWSDSPSISPLITGT TTCREVNFLKIIKTLQSITCTQDNLKFTCNTLQAGSQIIRCNNVKGPHTNKQIGNFRIQF AQQSCDNILINNFQPYGGSVVFSSNSTTGLYPNVDKLQMIWENIVLPISFSSNPQIEVQI LSNGEFVTTSTVKGYVSARSIGLLESLTVSAPNLIKAQMPQSIAVKDVSMKLYMESLKVL GNTQPIQSQIDFKDSDNVLQYQILFPTIQVQNDQLTASLNIASTEINIYTKYTFSITIKN ALNSAGFVRIALAQTLLSQNLICYCDNTVSIPTINADYIDVGGCLTTTGTHNIAIQNVLN PVSTISTITISYIQTMLNGFSVDRMTNFQYKSPSFTPGTLSVQYDRQGSDLDVVGGYSFF ILKITPKNRIPAQGLLKVEIPQEIKFVNQNAQICNVDIYGCQIQTIQDQVLIFKFSSSIE ASKQFIINSISIPIRNPLDTSQTNYFKFTTYDQFNNIIDKISNVQGYSVNKRSSFDGLII IESDQPFKNGQSNTFYFTIKTKTPQFYPIQMTVKVGDLTINNNPQCKLEGAVIKTCTKIS ANQLDISIQSTTDQLLLPTTLKLSVSTIKCKDTMTKSQDFEFSTQSTNGLMSYQSGPYIS NVQFGDITQTELRVDKQYYGASNAVYQFNFALQNGLIQGNHQVHILFPFNLPSSGYSCKD TTNKDLVCNVQSKNILVLTGPFDSTQLLYKVIVNGLPTPQNEQQPRTFTIKTYRKIDDSN YLVDSSVNGAFQFNIYCPQLNNCRTCNINDSNNVDCETCYASTISKFIYMKSSVCVDNCG DGYYQNDQEFSCQQCPSNCQVCSPTNSVLICDKCFDNYKYQDSICVDTCGDAYYLPLTST NKCERCDSECMLCSMNSSYCSKCQPNIPIQANKCYKNGCLQGFYQTYNSNQVLVCELCPA TCISCHSYNQCTECQPSQYYLSGSCRADCPLGYFINGQEMLCKQCVSGCSQCSNDTACVK CQDGFLLKEQKCVLACGDQYYMDTTQTSCLRCNQYCQTCEVKDEVQKCTSCMSSYFFFNF TCIQQCGSNYYSLNHECFECSQNCLTCAGSPDTCTSCLVQGDTPQFLDGTVCVSKCSDTQ FADITSGKCIECPSNCTKCTSLTNCSQCNQSVIPHYLIQGACKQQCPTNYQPIGLLCEFI PERVVINTEPNRYVPVPHVIIFAFFTISVLLSKQFKSETYMPGSIIGLSALLVWSSWFAV LFLLLNYYEFKELYYFWILIGSVGLNYVFNALHLVLVYQKIWNDQDFLRWQASSLKNKST NVILVSLSILFAYPLYKLIMSRYFGFSFFKAKIVDIKPLFYFNCLNALYITFVNVPIIVS CILVAYHESSHNTQTFISAIDSIVVTFSYILLLIWETQKGESFFDEFHQNYYFNESKQHL GKNEISGYFQHPFEQKSQAEQKIDLSDLDAQAVNQENDVTKQKSQQQSHDQSCNLNGISI ILSDKNSNPVFQQESDRSNQFPQKQVVPSNLKHTIYYKNVQINQLENPDDEPPYESPGSE NFSSMKSQSSQNCQQSFNDQSQYPLKDISLIQDSEEPHENSQLSIFQDERNQSPSKKQNT LQLQQILDNHPLDHYNIIPTFENENDENNPQQVNQLNEKSKSSCKKTQQQMKNNEGQQNP QQNLQSSSSRREILQPLQYSQVQQLAKSAQFNNDKALSSHKTLSRESQSTETIKIQLGQC RQQGLNQVNPQVIEQLQQTKLVNTKNNDQIPKQGIYDRQQQQIGVSNSQTQSDTESQNDQ CRIQILMDSRAIEEEQDIFQDQIQIQQIKAKSSNMKEDRKQSKIKGESELNNIFDSSDSI HTMSDQDWSENQISPSEFNSLNQRFEDEQELDLQIHLPNQSKTQQHYRQCKLIPLNLQFR DENNDEQPNIGQTYVDFTRNSQPQKETKKQQLEKVYLQKLEKNDKISSRTNKWGFKKKHP IKNHPKDISDAYIDDQIDVEDINF >CAK64924 pep:novel supercontig:GCA_000165425.1:CT868035:74236:75639:1 gene:GSPATT00034401001 transcript:CAK64924 MKVKQIKEILDQLKEKYKMEMKYNKIQENFRCEFYINCLKIAEGQGVSKKYAKNDAASKA YDILVNSDYSFARRTGMKIQDEDYKQQLEQYALKKNIRVEFKEGVKNELGYSQYYIQYGS RTFIGYGLKTKKCFNFMSHCILKKLEYNEKMDQLKNQKEPEKQAELQEHISPRRIKIVRQ TTVPMQQNQQIKIEDSEPPPQESNLIKELKIYHFLNSLMITEDVYNYFDMFLLQMSYQND FRFRTVGAFTTKSIRTLKPELDILINIPKGNFPQFEQFLERTSKQFQYKVFRNKKHIQIE LPFQTKSKFLRWTISSIKVNMYIKKKLAPDSLIHDEYMKQYQVQYLQNRYSTQYLSLIHQ WKEDSKIPLNTNILDYLVVQVSNYFQTKNHPIVILKQLIFLLKNGVLDDILDFEKGEIEL NPFLQAIPDYQIFYIKKIAEQTRKQIKEIAEYYDEKKIQTWISNYID >CAK64925 pep:novel supercontig:GCA_000165425.1:CT868035:75662:77188:-1 gene:GSPATT00034402001 transcript:CAK64925 MSQLKFVRSKSTNRSNPLGKIQQKLKQVQKPGKLHVSVGRSRQSSQTREDLLISNPKLKT DQQVSQHHHINRNGVSLQASDAWQLKPPLSHDAGQINNSRKFSNSSQYNGNLGNIYSKQH YYTQTTCCTPKLSESENIFKFDTLQIKTNKLNSTDQKTMIVSDTNPNSTFNFNQFAPKQS RIINQDCNLEEELFRVQSSVDINTKIKQNQVSNHEQIKLNIEKIDQIILDRYPAFTKKNL VNTKPIIEQNENEDLQAMKFSTKSSQRQIPKSFSEILTERSIVLNLPKEYKETDIQTSFS QYQESEPKQQGHARQVECKSTTNASVQTKTKNRNLGLFQYQLSFFSPKVNRNFVESDLIS LDDYQQQIIYGNQQENKTINQQLRDIDLNQYLRSQILSQKNNNLTMNLDSIEDPQHFNLQ AEPKEINTNLVDNKFNNNRSVSLGQNSCSSISKSKIEKEGLFQSSKCYKDHLSLLTNQTS QEKKQRYPLRNSNQSYKKQQRPKQTKKK >CAK64926 pep:novel supercontig:GCA_000165425.1:CT868035:77252:78128:1 gene:GSPATT00034403001 transcript:CAK64926 MEQQHKGIITEPGETITSSQQFFSTNTLFLNNVGLSTNISSSTLRHTAAQISFPKANRFH TQSQFQLPTKLELPSQLGKRLYTQQGFGNKKVFQYEWQKVNAKEFPSPDRYRVRQDPGID QLKRSFGLSWEAYSKTYLPYNKHQAPEVAKFLPGPGEYNVRQDLGQHRYQFQLKGKGKMF NDQRENGVPGPETYQPQISLTVPNRFSKISLGIGEKKNPFQSMSFTPGPGRYEQNSAFDK VSKKREFITKPGERRPFI >CAK64927 pep:novel supercontig:GCA_000165425.1:CT868035:78201:78817:-1 gene:GSPATT00034404001 transcript:CAK64927 MNQYGLNEKLSEDQKRKLHELHLIQQRELSELIRRHQEEQLLIFEQWKKDEQDQQNQSKN FSIKDDKNSMLNYKPYTLSQYKLLKLQPILKNPAQGLGPTLSIEKWQQQKGKMDRMLEFA EHVKVEYRNFKQRIPKSEQKQVTVRQKGLEFARNILKPLAPSPMRKKSISKNSVENDELL EYELRNQLLKEQIGKMK >CAK64928 pep:novel supercontig:GCA_000165425.1:CT868035:79041:80270:1 gene:GSPATT00034405001 transcript:CAK64928 MRKHTSPYRNQKPGSSLIRVLYKPEQQTRDNSIEKKVKNFSPIRFILNSRHNSFEYATTN VPQTKQSIIPTLSRKHFQLQYIIGIGGFGRVWKVIYKQQYYAMKEMSKTLVIYKRSVASV INELRLLENLNHSFIVNAVAAFQDKQNIYLVLDYLQGGDLRYHLGRNRRFNEEQTKFFIC CVIVGLEYLHSHRIIHRDLKPENLVLDSKGYVRITDLGVAKQLDTLKIDTSGTPGYMAPE VMCGLEHGIPADYYSLGVIAYEFMFGKRPYYGQNRKEIRDAILAKQVQIKQKLEGWSLDA IDFINKLIQRKPSHRLTDVKNHPWIRDYPWDKLINKVIQAPYVPLNNDNFDVTQIQYEDQ ENQLIINQTGFLISQNQNAFEDYYYVKEQRRRSSLNRSFLLK >CAK64929 pep:novel supercontig:GCA_000165425.1:CT868035:80551:81308:-1 gene:GSPATT00034406001 transcript:CAK64929 MTGAFDAQQSQIEKGYLTKEKRANTFIGSSEKSGLKSEKSLDKSNLDEHVCCNKLDDLSR QYQLIINEQMSKLTELNYLIQQHSKLLIESYNQGLEDAKSAKNKEQQILENNRLLRERDR QIQEWEEKYHKEKKFGDEKEEEIKELEHRIKELSTNISVISNTEDLEVEKNQWKNKFISL NKQFNETEQQVVVLENEIDMLKQQQKKTEVRTTTRRRTIAKEIQQP >CAK64930 pep:novel supercontig:GCA_000165425.1:CT868035:81467:83597:-1 gene:GSPATT00034407001 transcript:CAK64930 MLALIQIQSWIKEVGRNSGISAYPTSKPNNFQNPIRILINPKGLNVIEGISLHLPICYTY TRAIPQQQTQPPIRLETQQLKPSQSSYNIKVQEIVKVIEPSREELEFYKNKCSLYEKQIQ ELTNEVHRIKNTCQEKVTYIEDTHRVQQLEANLQQYQIELNRVNTLLRETTNESEQLKIK VSQMTQQFMAIQQNQVEYERIKKSSQDNEQYWQNEIQRLNVLVSQSQSQLQQMQKTLIES KKYEQSYIQQQQVQHQLTTELERITALLKQKSEEYDQSKQTYIKEIEILSKRMRDFELDI QDLKNREVNYKQKIDDQLRENHNQQDKYMNEMLNKNEEISKLQSIIQTLQMTLQDTSKYQ EYEIRSKLQNEEINNLNQRIRIKQDELDKYKQQLMIYQNQLQEYQKYTDYEIKYQNLAQE FDRVNNSLMIKLQENDQLRNCIAKLQITLNDHYKVDDYENKIGLQNQEIDRLHQSLQHKS QEIDRLNNENSRMSLLLKSRSEEIDNLKFKLQDITQLKEYQQKFALLSTEIERLTVQLRS KNEEIEKVRQQFSQFQLSEANKLKELEQKNVVYSNEIERLSSLLKIKLQEIESNKMNIKQ LQEECDSQKIKLITQMDVTTYNEKIAQLSQEVDSWKVQFINLNREYHKQQEQLILSNAEL DTFKKQKNGSFRVESYEIVKENQNSKISSYQYGTLANRNL >CAK64931 pep:novel supercontig:GCA_000165425.1:CT868035:84131:85168:-1 gene:GSPATT00034408001 transcript:CAK64931 MSITVSTCQQICGSPLNQSTAKNLWTFSKSDRFGSLANPVNCGKAFYDLPTQIEKRSAGI GKGTKTDFTKVAFTTPSPQQYNITSDVDVNQKKGKGNKFGMSREKMASTGILGNLNSKTP APGTYDLGSTLSDVRYTMRQRPKTNFMVLTGKEIPGPGTYESLPAVNPVGKYPISKYNNS CATLFNPKNSKRFVKDFSTNLYAPGPGTYPVDKTGIQKDGHYFISKFHSSNVRSFPKESR RTGSVGKAGTPAPGSYRLPSEFGYYESRNKANRSAGDMGETKPQQ >CAK64932 pep:novel supercontig:GCA_000165425.1:CT868035:85270:86899:-1 gene:GSPATT00034409001 transcript:CAK64932 MQNQKEILQYEAMLYIEPYMDQQTEEINKRDLLNMCIDRIKAYQKVNQLFSQLEENDQQK DPLKQKDLYSKAIKFYNEYSCYEGKDKFWIDDNAAHFFLELAYSESDEKINEFIKYQKHL FQIRCEAQLENEQTNERLLKIYKKLLGDNEITKISKQEWDEDIPKQLRLPNQVDQSNKLG NYVILGTGKSQLRNFNNVNTYLKFFKTPFYNVLHSVSSIHTYLKNGFAYIYEDELKNCLL DVFLGKLRKRMQANQIKFQYEELMKNDEIVRMFKKIQQTDSGMLSQKLQNFEQDSLNALT VRQQSKDHFPLCMEYLIDRLEVNHHLKHNGRQQIQLFFKSAGMKINETIKYMKMQFQQKI SEQDFNKNYLYNIRHNYGLEGKRENYQCYSCSYNMVKQNPGNDEYHGCPIKNFNSDVLEK YLKSKSYEETDIKKVIELKKGNHFQLACTEIWHLKNKGNQTGLIVDNPIVFYRESLNHHK KVQLKIETEEPAH >CAK64933 pep:novel supercontig:GCA_000165425.1:CT868035:86930:91439:-1 gene:GSPATT00034410001 transcript:CAK64933 MNTNIILILEGLQCVWWIILSIILKWNHQIAIITLASTSIVLKLGLLMQIKKINNKGQYL LLLLLILADTITYTEINLLLYQLFNPFSNHTNTLFIVTNQYIIKEIVAKQISYKIIRFCY PCYIILRLFSIVFIKFDYTILESIIIILVFVFAILGDEKSIISAKIRLSLQLQDEEQSDR YMNTLKYSKRKIMRQHESSNLFFQDQSKDKEKLRSPSILSNKHLSYNDLFSAVRQKESID VDKSDFCSRLFITRILHLIPDGIIILNKFQHLQFMNKKFMALMDCNKEELILSKLKDCIR NHVVNLDNGLNFPQSQNNRKIEMNQHTLEEIIKKAQQNKVQLDIFDVLLNQNKYLNQYLI QQNNYEGEKSSIDESSGQQINQNQFRFSLSLESNKFLKLTIIQTQMTNQQLDSLAQNDMQ IFGDFKNQPVLILILKDITYKNKFKKIEMKNQQFYHVLKKQLFQLRTPLNLNQQYLRIFR DVIKEEQEITEKVELLQCSTSFLQYQMNNILDFISYQLNEFAYFFCRFSINELINEIEQV FIPYIIQKKIQFQIRIQSNLSEKYLNSDKLRIIQVLLNILNHQSNNILQGGEINLIFNAK DDFTVQVAVENNSAQMSRKKQFSFNQIMMLRNQDDDSCNDIMTHPELGFGLNLAAKLASG FIEGNNKNIEILSNSADSSSISFLIQDQMLQKDQDQKDQDQTRNQSNTGGFVVPNKSQSW QQEDNFIDNTDHYENSEKVVQKSDGVKSYESPNIVEDFSERIIIPQSNYFQFRSIESPKQ QLIHQTFSFKISTLQLHECNATCKKILIVDDQIFNQIALKAILNHFAIQCDQAYDGYQAI QRVKEKLQTVCQFYDIIFMDIELPGLNGFETSKEVSLLVYFRQFQWDARRQQLQFVQPTI QRITQCNLIILGYAIIYKNQYCKLNYSKFSKNINQLNDYCFIMSNYFLPKDNPSETYEYY ELPYCKPSDYEEVIETFGQSLSGDKQMTSVYKFNSKQKIEDKQVCERNFINAEIKKWIEA IDQEYIIEFYLADFIMHDVVGHYKEGQYYLKNRITFNLYVSNDSRLMYANITMNDGDFKQ INQQDDDTQIEFYFTVKIQEYNETMNVHHHDIKWNSLLLKTIIILHLVFVICYLLRRSIK SGYSNIPDEENEIEPQGWKSIKIESLMPPTNRILFSALLGTGIHFLVTILILLVLGSFDL FDTRKGSIKSAGIIVYSFCGAINGYQTGRFYKFFGGKRWLLNLLITTGLFPLSAIFILFI IDVFSILFGTTSTYSFTAMFSVGFILIFVYMPLTIIGGVSGRLRTVDELLEKRLQKKLLI SNYSFLLKGFLYGIVPFISIALELYYILESTWSDHPFEYYTLLLFSYIQLLIIVGCLSII QTYQQLNKGNYNWQWISFINGGQCIIYMFGFIFYYYYYMNMHGFYQFLYYFGESILACFV LWLMLGFVSYWISLKFVIYIYSQNKIQ >CAK64934 pep:novel supercontig:GCA_000165425.1:CT868035:91558:94439:-1 gene:GSPATT00034411001 transcript:CAK64934 MKQILKLFAMNLILIANILQSLWWMVLALYFIEPINYIVFYLQIGCVTMRILQSILIIKN YGMLIFVHIVSLIYYATYIESLLLMNYDYSWSIFSSSLLIIITYNSYLKFAIQKWSYICK LGLPFYIILRLVSIAFISFNYFAIECLLIIIVVLIQYLLTYEQYDEKVNVNSNTKGKTKI PELQLKKVDEKESPNHQQQSLLKSFSRLSIIQTPLKSQSVLMKDQPSDLKVGQFSNLTNL SKSQKQNKPSEKSDLQLHIFYQNLINIFPQGIVILNQLQQITYMNNKCEKLLESQGGEQV LEKIKVCVNNAKMSDNESEISNNLQTTKLNRQINQYTLQRIVRKLQKDNRRADIFDIMLY PSKYYGMLEQRGESYSQEDSKTDQLTLQQQAFIYEWLFESEVQNKINQKKLKLIIIPTTM TGQQQEYISLPSQNKFSSKLNIQVNNDSEIPVLLIMIKNVTSKHRYQQMKDEQIIHHSLI KSFSHELRTPLNSCQHMLNLIKNQNKENNVQEYVDIAMCSITLLIHQINDILDYAAIQSF SFSYHLTRFSINQITQEIENLYNIQMRQKKIHFQIKVQPSLKDSIICNDKQRILQIIVNL LNNATKYTKEGGTVKLSIKQMSLFHLKVSVKDNGIGIEDDKLALIQNSLKGTSEYGARLK SHQVSQKAGLGLHIAARLVEGLAESNNNQVLISSIKNQGTKVQFLVQNFFNATNDQYQSN YVSQLTGRFNQSGIQYTLSERKFDDRILIKQMNSKLDYDYQFQDNFVYEQESSLNTPKLN DDMKQPQISLPISPEYFSHKFLPTCQNTLPQYSIQKSIFLCDNCVHVLIVDDIPFNQIAL KLMLKYYQIEADQAFDGLQAIEKVKTKLQGHCPTYKLIFMDIEMPGIDGFQTSKQINELT QKQSMIVICSAYDTQENFSEGQKVGISTFLPKPVKQDELEEVLKKLFQKNFN >CAK64935 pep:novel supercontig:GCA_000165425.1:CT868035:94585:97396:-1 gene:GSPATT00034412001 transcript:CAK64935 MNHRYIFLIQIIQQIWWIVEMLLIYQQQNYTYYFMCSLCIITRLLASVVCLKKNWQYDRL ILIATLANNVCYTEVNINFESKILYLNHINTLIILLNYMEAQLLIKNQSIRLISRFAFPT YVLMRLISLIFIQFDIQLCEASSLMVLILLVYFSNQNVSASSTQSNPKLDQSLQNCSSSL QQSQFKQEKRFTQNSNKFIFYPDKGSHPRNSVQINIKDSYREESAPIPSFHSKLKAGYKG QYSHLVVDRNDSQLSNFYQNLVNVLPEGVLMLNKFQQIQFISSKCEKLLECDGKERVVEY IKKCLSNCDIPEDAQQSLTRTRNKLTQRVLEEIIRIYKQSNQELDVFDVLLNPNKYISAL FSGQNGSFEQERSSSGSIYLSDPSTLQEHTFFFEGSIDKERCEDSKKLKFILQQTQMTLS QQEAISQNGLTNSKKQNSNDFPQPILIILIKNTTHKNRVKQLKKERFINNSLLKSFSHEL RTPLNSCQHMLNIIKEVSMDEIIHQYLNIAQSSIKLLNYQINDILDFAAMQSKTFSQHTT SFNIIDLIQEIHELYDQQVSYKNIKLEIQYDQSLQNQIFINDKQRIMQVLVNLLNNSCKF TKPAGDIDLSFKQMKCNLIQVIVRDTGIGIQQNKLEQIRKTFCKPSYRISHDTGLGFGLK ISGKIVKKLTNNKAYLDINSSVNNGTQVAFLFQNLETTNQDFQISQQQSNITGRFEVLSP ASSHIIQKSELSQTLMKGLQQKFDLESTILKEQIESPRINDDLSSSVVVPISTYRFKKNL IQLSRQQGSLLSFQIHANNCDNCSQILIVDDIPFNQIAFIQMLNHFKIKAESAFDGYQAV EMVKQKLIGHCKFFRLIFMDIEMPGLNGFKTSQIISQIPGVQSIIVMCSAYDTLENYNFA LQVGVTEFLSKPVNKKELDKILKKYLFKI >CAK64936 pep:novel supercontig:GCA_000165425.1:CT868035:98975:99604:1 gene:GSPATT00034413001 transcript:CAK64936 MINQQNVNLQSNVKVQSILGFIPALVFQHILENQIKGIKAQVPEIQSFKSVIMFADICGF TNLTEQLSKIGPEGSEVIAFAINRYMELLIKSISKSGGDIFKFAGDAIIVVWPPPTSYND KDEQLKTLLRQGIQSALDIQAKLNDTFILENIKLSVKIGFGVGDINILYVGGVFNRNEFL ATGQALIQAFESEALCHKRRLSYNIQGCI >CAK64937 pep:novel supercontig:GCA_000165425.1:CT868035:99606:103070:1 gene:GSPATT00034414001 transcript:CAK64937 MVGQYYNCQKVEQSLILFCEFQQRSQSIEYFKRSLNQEQLQVNQQDIYLLPYSNTSMQVC VQNQVDQEKWSSELRILTIMFINLSIDLSAALTSNGLSHIQQVVETVQKSIYAFQGSLNK ILMDDKGSTLIVVFGLPPMAKQDDPARAVMTAITMKQELNAINCGCKIGISTGCTFAGVV GTSGSRREYSVIGDAVNLAARLMQIACKDDQHSILLDVETSKEASYKMNISYYKSVLVKG KADKVNIYYPEANLELNKIRIHNPFFPRLLDNYLFDISNLRIIQRRIQQFQLQNESNVVL KISGNQGSGKSYSVKYSIDFFQESFNFIQIRLCSFLQKEQQYLYAIKIIFQHFLKKIGIQ LNKQYDVQLFKQIFDEQDQLLQLFDFEPVSQIQLFDKDLKNKNDSLETLDIQMIKENIDL LFKKFQSITNYHKLIFVLDDGHNIDEDSLKIIRHLMKHNQFILLIFIFRYEYIEQFQFHE QHQNQIQMIEDELDSITSKLNDISNFEHLNFKNISLNDYQVLLSDLFHINKIRCLKNQEQ SNTQDKNILHYEYIKSQLMQSNTQEFFLQTIYRKTQGNPLTFITIIEKLLKCNYMVVDNQ QQDVVIINDSLQNILNLDEFVILESPLNKFRLNSPYLDKVGCLELLILKVASIIGDIFDV QTLNKIQPFKEVIQKENLIKILNSLEELEIIETMELNDLNKYYRFAKPFLREIIYQRLLF SQRRELHKYYAQALQEIPSQFEIDEKLEAQRLEHNWILAEQRWNSQSNLKPRGLELSHKA KRSIIIKSIQTKLIAKTNNIKLGLLKKKSDKNVTWAERYCLMTQKELKYYYSESDYHRQP QNALATIILSSIYQIVPLNDKEKVNQKYAFEIRTGNWFKRQKLMPRRDFYFSATSEELME EWTIYIEFMRVKATYDEFVNNFGKIQFPIANFKEFYDMSLGAEMNNYQQKQTQNKNNKSS IIQTQKRNTVLSINSFFRQSIVEKKQKQNKEELKILISKFFNKSQLLLFTHIFENSISSR IPVIIGQNTKAMLKFGQFFVKTVSEYSQQQQQENETIQTEESPQTRPRASLNISEQIILE SQAESIQGTLRNTKRKQTAEQFYNKRSKSSEFLIQFNENSNLEQQQQS >CAK64938 pep:novel supercontig:GCA_000165425.1:CT868035:103102:104483:-1 gene:GSPATT00034415001 transcript:CAK64938 MLIQKQYQQYFRTKIKKIISYFCIHYLYYNLFALLFALFQYNRIPFAIPLGLSIVFEILV CYYYSNKQMVKMVDHILHIMAYNFVIILYYTNLQYFIFGNLFLLLSFVIRTKESCSSQVT HEYSSIIRFLKTFYRFSALVASVCISLKLSKYVEWTWPQAFWWYWMFLSALVGTCFTLII VLISKIINEIFKQYQTVPQECKNYLFLDKSLLWALYISVISSILSVMWIINTFNKLGLDL PIKIGEILFYSSVSFNLIIFCAITKLLWDSIIDFCFYLIQSQQTEIESSQRELPTSQLSQ QKQYERRNTIHQIFLKKLSSAFFRQTTKQDLIQQSQKMTELMTERGQTKKILQTVEMESK QLQENQNKINESSQKCIICCDNPPNAVLMTCGHGGICYKCALEMAQKSKECFLCRQQILF IYEISNFNDDLMKVVTITKFNY >CAK64939 pep:novel supercontig:GCA_000165425.1:CT868035:104560:107910:-1 gene:GSPATT00034416001 transcript:CAK64939 MSYKQQKDVEAQIQSQLSIDDLTDLFKLNSINDGSSMQKVKQLGDDFGIARKLNTDLKKG FQDKSAIEKSKQLYGDNLPVEKEPTTLCELILECLEDTMLQILLIAALVSTVIGMINEGV KTGWTEGATIFFAIFLIISITAGNNYLKERQFRQLRRKLDDGKCQVIRDGKVTEIQTKDI VVGDLLIFNLGDLFGVDGLMVQGSAVKIDESPMTGESDEIKKLPYIEMAQQPHNQLNVNQ EAARGHVSPFLISGTKCLDGTGQMIVLAVGQNTVSGKLKQLLIQENPPTPLQQKLEGVAS DIGKLGVLVSILTFIALMGHLGYDCYLGKFPFLSIKTLQIIVESFMIAVTIIVVAVPEGL PLAVTIALAYSVGKMKDEQNLVKNLSSCEIMGGANNICSDKTGTLTQNIMQVVALWTENQ PFRDQKDTIELMCESICYNSNAFPEKDPQTNKWVQIGNKTECALLECADNFGYNFNQFRP SDKVLRQLPFNSKRKKMSTVIYNQKSQYIRVYTKGASEIILAQCNKYIGNNGIEQMLDPQ LRKQIYDNIIQKFASDSLRTIAIAYRDLDPQSHGSNVRGQIPQLTKVAQNIPEDDLDKDL VLIAIAGIKDPIRPDVPNSIKQCHASGVKVRMVTGDNILTATAIAKECGILPTNREIGEW EVVEGKKFREFVGGLKDEQVDGKTVKVVGNKENFARVSRDMKVMARASPEDKYILVTGLI AEGNVIAVTGDGTNDAPALKKADVGFAMGITGSDVAKDAADIILLDDNFSSIITAMKWGR NIYDCIRKFIQFQLTVNLVALFMSFLGAVVLKESPLNTIEMLWVLIMDTFASLALATEPP NITVLERQPYKRDDKIVSPTMNRTIVGGSIYQICVLCGILFVLPQFMDLSIPTELAAQKY HQNVVQMSIFFQTFVVMQVFNSITCRQLDYKTINPFANACNNPLFWAVQTFTLVIQCVLI QYGGKFVKVSHLTLQQHLLCLGFGLGSLIFSILVKIAVPERWCQFVELFREQEVQSADMD TSLTSVLRRKSTSRLGNQRKSMDQQGSQVKMSAQRLQ >CAK64940 pep:novel supercontig:GCA_000165425.1:CT868035:110055:110684:-1 gene:GSPATT00034417001 transcript:CAK64940 MNCALILAHLIVVSILELVSTHKIQIGQSKQRINYTIMEYILNQTRYSQRSQINSSTQIR LRGYKKLNCQFTFIYLSQKIQKSVLEYFLPNNTSCFFDLTFLISSQFTYQSIFANFFLLF YAIYPNSFKMIGSIIPITQSLFFLFLIFFEYGCRILQEERAYDFILLLSVLLCSTLFVLL HTLIQFYRYEINLRQFYLLFVLTFKIYSY >CAK64941 pep:novel supercontig:GCA_000165425.1:CT868035:110720:111485:1 gene:GSPATT00034418001 transcript:CAK64941 MFFAKNRGRGITVGIHKRFFSKNISNLLPKVHELLECSLIKVTGELLENSILIIKKCTLK INNITDVSKNKSNTYHIWRYKSSNKLNVFHERCYSNIIILHQKDYCPNFAPVQKNINIFS AKTTLIKININYRISISRNKECHKITYQHLIQYLINGLKKQGTYEVLSDLWSKETADLIL NFGANRLVPLKKSISKQQCKVQLQNGQKLDSYVKFRHSINLESQNDSQFNQTKIITLLFD IFKS >CAK64942 pep:novel supercontig:GCA_000165425.1:CT868035:111683:114066:1 gene:GSPATT00034419001 transcript:CAK64942 MSNVLDRCSMHQQVGIAVQCLVKDQYHYSILCENCLAERVSNNQIYLLKDAQSVFEEFRL QCLSEFELEQNDKISFYRNLQLIVQKLQNHFLETFDQINNQINEKLEFITQIFNQGYLSG NQEIQILNPTITEFGEQLLEEITKFENIKNIGQQNAIDFQNQIQYQILKLQQSQILNECL ELLISVDEGNQIILKEQNGKNLEYQKKSVQRTPKLDLMCKEHEKEIIIFDLSEQQSREKR ARCVECDPCIFTSLSKCQNIWKNYERQQSEILEQFYLSRKSQIGSIKQQLLQLRSCFTQK INEIIQQLNGIMAQSEQEVFTRINSMNKDWNTMTMDEVLDIAETLSKQEMMTILVKEMEI KYKMKNLDIDSIFQNSIEGLQEIYNQITLLKLCLIQEEPEVRNDGSPQKNDCRKQSSNSA QSENHSVELSISNSDQKIKQIQNKIKSLEEWRIQQLKWSDKFIDYQLFYTKKQAEWCNAI AFNKEGNIMIAGCIFSIKVFDFQKGRIVQTYQAKQHQGDVNCLLFSKILNQFISGSDDRT IRIWSQSEKNEWKCIQVLQGHQDWVLCLILTEDEQILFSGSRDKTIKKWTKNQDNQTYQC TQTLDLHTDAVLGLSLNKSESFLVSSSYDKSIIVWYIKETFLVEKKQIIQKDSYGNRILF INETQFFWQPNNNQIVHVYKYNDQSSSFESSKHNIQQFQADDDYQYFPSKFLKAKGLFAN IHNRFIYITKQINEEEFEVVQIIEFDDYRKFGAFTENGEYLVTWHYTACEFQIRKNVGSS RIQW >CAK64943 pep:novel supercontig:GCA_000165425.1:CT868035:114327:115211:-1 gene:GSPATT00034420001 transcript:CAK64943 MVCRGIIGQQVGTPFYIAPEVINGGEQTQAIDIFSLGIIYYLMMCKNKHPNWQDGVKKKE YCKIISQEFSITYPYHLSEMAQDFVRNAVCHNPFDRITAEQCLEHPWMLGERSKSQPNYQ QRDQIEIFVYPEILNYHQCVEYYQIYKRQMLGRNNQMKLTKQCQINKFPLLLVFKQKHIS LNRHRIQNLKKSFALTKTSSHQDFTTMKNRQLNKFNTQNRYQTQLDLHLSIPKVNSQKKI HVHLPSISPQQTKQSKFRFKQS >CAK64944 pep:novel supercontig:GCA_000165425.1:CT868035:115224:115724:-1 gene:GSPATT00034421001 transcript:CAK64944 MDPKSLIDDLNDILLSEYYCFIEILGRGSFGIVIAAYSSNLDKIVAIKVTEYFEQEMELC LLQECFHPNIVKLYRVFKADNYIYLIKEKLVGQTLDVILRERSIEEIEVRNIMQQMLNAL VFLHRKGIIHRDLKPENIFICANNLVKLIDL >CAK64945 pep:novel supercontig:GCA_000165425.1:CT868035:115881:117479:-1 gene:GSPATT00034422001 transcript:CAK64945 MKQPQVLAHFEKSSDEITKYFTIKYSNNYHLIRELQNQRKILETPLQQAKRTLRSLEQDG ERIQRSGTRQTDRMKGTFSSTFTRPNSQVDTFMQTNHSFDINAGQIQLQNMKAIFESLQN KTETPNFQLYWEAVFEFRQEQYLYYFVRPATQKILWEYIFLSDISFSFVQCQLKDNILHN QQIYYQEMTVLTKLFVENFALFLNQMIKHLKQRNQLVEQLQQKIDVILERNNPCISFPQE IKRNNCFIKSIIEKLLQNDNQGIQAIIITCRYQLQHLNILDVTISRPQLRNAIYLSTQQI KKQEYQSPLKTLFNAHMVPKRQSQVSVDLMNSPFIQSPFLKQLCIILDLDETMGHFSEQL NKFISRPWLFQFLESIKPFCEIIIFTAGQQNYADNAISQIQCDHLIDHKLYRHQTVYNGI HFIKDLSKIGRPLEKTIIIDNTPINFQLQQENGLVISSWVGKSDDKELLNMKDLITKIAQ SKVTDVRKALKKYRDYMSRNNR >CAK64946 pep:novel supercontig:GCA_000165425.1:CT868035:117847:118297:-1 gene:GSPATT00034423001 transcript:CAK64946 MSPGGKAGKAGKGGKAAGAHKSVSRSHKAGLQFPVGRVSRYLKQGRYTERVGAGAPVYLS AVLEYLAAEVLELAGNAAKDNKKNRINPRHILLAIRNDDELNKLMANTTIADGGVLPNIH AYLYSAKEDPSQAV >CAK64947 pep:novel supercontig:GCA_000165425.1:CT868035:118985:119137:1 gene:GSPATT00034424001 transcript:CAK64947 MQAKKPKLNREIKEQHDEYQTRQQQKFEVEKSNICQQFKKRKNNENNKTT >CAK64948 pep:novel supercontig:GCA_000165425.1:CT868035:119636:120230:1 gene:GSPATT00034425001 transcript:CAK64948 MKCEVKTVKLKILGLTDLSKIIRNSSYEIQVYFGGARQSTKILNYYVGILASEQIEINQD LELNSIHGKQLQFWLFDKLKNKFIGAGSYELSENQVEGFQLLDLKIDTVITCVLMFELVV EVKDIYKPVVLEDDNVILKKDDSEEKRKEQERLEQEEKLRKQQEEERRLLQKQNKVSIVT LTDRYVEDQSYY >CAK64949 pep:novel supercontig:GCA_000165425.1:CT868035:120254:123506:-1 gene:GSPATT00034426001 transcript:CAK64949 MHNSDSSSFDNESNQIEQVKRRQISQSQLPKLMQTPSDLKPPTINSSFICPSPITEPLRS FISYRDNGQNHQDQNRKAQTLNVNSEGFDNKNVDESKKLKKSQFNQGMRSSRKQPLYSEL NLTEAVSRNIFDKKIVKQFANTLLQKAYIYRENYFNGYQKQLLIENYLENSPHYTIKDEM NKQQIHPLCFLFWDIIVIICIIMLCVWLPFKISFQQETNIALEIIAILIIISDLPINYIK PQIQEGKFVNFQIKYKLQFIKRQTLLDILYLVATTLSLYLSTNYVLIWCILLIKICLGVQ KLNFLIFRINDFLPFDIGCYKIFVIVLYAIHSCSCFWHFIGIEQQNSWMMTLNIQDEDEW TRYCYSIYINTCLMLNVGLGLIHVKTNVELIYSTIIMFISCWMIAIIINHTGFMMKQQYQ NYNKTLSQMEIMNHFLSKRGITLQMSARIRNYMRFIQQQGNKDDQIQILIQQLPPTLKEE LFLQMRIKALFNCKSLFKFSKDTLENLTQIMEYVKFNPNEFVIQKRKQDDCSLYIIDSGE ISILDQNTQLAHLVSGESFGEFSFFSGQLRQASAKAKGFVSLYKIQQSKFIQLIQQNKID HERYFFIRHSFLNQQFSILNMCCYSCRASDHLISKCPIWRYTPDLEKVYKQDNYNQQQRS SFSRTPKDVRKEFNRIFSKLIQNYDALKIFRLKYDIIYDDDEDEDDDDIQDDDLESCSEL SDDYQSDEGYSDNRIVDKQDGTIVSILKQQDQVKENSKVLQDICDENDQMFIIRPNNQKG TLSTAQFQYQADFQQQQQQLYKFSLVPQEPQKMKRTQTPQDPLQPSQLIQTATSSQLIAN EAPIPSVKRIKNTPHLTFKGDTDSQQSQKQSQRLRNNTKTRPQSNIVDKMKKNLSRIREE DSDTLNLSNRQHSDDQTSSPYGRQLGRQSQSSSQVRQSMKRFSSKLSTIPLRQGTITQFR STVSPIIRSNIRIPTGVGMVDLSMYKRADQDSEEFERMYSYNLYYPVDNYQNVINRLNLF LKWRLSRFIPSKYTFVFSVKRIVNKFKKPLKMELKSN >CAK64950 pep:novel supercontig:GCA_000165425.1:CT868035:123957:124603:-1 gene:GSPATT00034427001 transcript:CAK64950 MEQQLYQWSRNIKKQAHKGLQYLDQANDCIKDNTDQQKNKLKVLINQNLGKGKRMDFLIL LKKWIEEVLTFKYLLIDVQSFKSILAELAQIRNVKLDCNHLEQSTQKKMLQDIPIPQSFT CVISYEIMNEPILFNTGQTYEKNATLNKLNRMRANHSINARLNLQLLQGINELKKYGWIG IEQEEDYKEIKFE >CAK64951 pep:novel supercontig:GCA_000165425.1:CT868035:124682:125012:-1 gene:GSPATT00034428001 transcript:CAK64951 MKPYNFLIYIQKIFPQQDPQYQRKVFKAAKKPTLQQISWSNINPNSTPKYTDNIQQSLEE KAKGNNFFSQKNYQKAIECYTKAINLHGTDSIQYSNRAVHINF >CAK64952 pep:novel supercontig:GCA_000165425.1:CT868035:125166:125639:-1 gene:GSPATT00034429001 transcript:CAK64952 MVLLIFKKPNLFKRVQGNKQMELIYILIKCECIINCLQLAVTLGIKSIAFPLLEPFNGHI SKSKISAIMLFAIKQFLKDNSNSSLEEIKISSQDTSVIRLFKYIINHLLCDNSETTIDPK IRSALKTKTQKLVLYTKASIMIMNTLIK >CAK64953 pep:novel supercontig:GCA_000165425.1:CT868035:127430:127877:-1 gene:GSPATT00034431001 transcript:CAK64953 MSLQPNIAAHASSAGCQIKLSHSKLLFNEDVDTIVLIVSTRLKMGQNMEDLLKKYRLQSV MNEQKQVRLNEGGKLDLGQVVYTHAGNVQIQDINNRQSLIKLFTLCYKAVGKLLQV >CAK64954 pep:novel supercontig:GCA_000165425.1:CT868035:128009:128448:-1 gene:GSPATT00034432001 transcript:CAK64954 MATEKLIGVLERNLQLKELVFFNSIANQSDPDLLKRMNELIEENSRLRDQATNDETINSK DQQISEQQRLIQDLNTRLDRMRGQRMIKSLEEYQDHLVEDGFFAIEEYKKE >CAK64955 pep:novel supercontig:GCA_000165425.1:CT868035:128448:130855:-1 gene:GSPATT00034433001 transcript:CAK64955 MQEYQELKSELFGIHLINGRNLPEANPDDLIKASRFETQLNKFTLHIWRKRTWNPLQEVY DDAQALKKRAIILMIKTQESEQQRALDQWNKNVGLMKEVERCKCVIGLFGFIGVHIKNNI APLKPDEESQKKEKALLRLIGNFDSNLRYFFMKWYNDGKLEKLQGAMTDEKKKLLLEAIN NFSKNNDFARLRAILAKFRRNSSITAVQDRFFAKLFATQFGGAIKAFQKWKNLPEPVNTE QMKNARKFERTLDLLFRGRVKASFEPLKDVYQEGNSKKLYCLRKLMTLAMGQNKRLFLYW RDINRLHKSIETCKYTTNLFQTLAFTLAGHVQSIFKPNKQQESVLNKMLLNYNNTLRWAF VHWNNQAKQAKIQSQLDDEKKKLLLFALHRNLRTNDQGKLRDILRKFSKERQKQALIKKI QIQLLHTFVGQIEVSFQKWKALPETKALDQMKASIFEKSLNRFKIHMVRKSAYNQLYNIY LDGQAKQKYAINKMLYNCMSAQKKAFLKWYKVVEFSKAAEAFDISDKKKLAIQLFYQHKM NQLNVAFVEWKRLSSHLTNKQETAQEREVRLKREAILLFQNFAQIRLRIYFQRWNIRAVK KNMISVFNAIQKLLFINMKQDRELIKEALDIWRGPKLQNQWFQRVAEMIAKNTRITPQIA FWRMRDNATTQKAVSLNTLQIVKCKKLINNLLKAYDRVRQRAFTQYRTLWKRNN >CAK64956 pep:novel supercontig:GCA_000165425.1:CT868035:130999:134805:-1 gene:GSPATT00034434001 transcript:CAK64956 MPPGQLQKNKQQQKNVLTPQKLELLQENRKLLSHIFNMGNLNGQHNLHRAMLRMRRAMIE KKIDVSKISKKIKGKIVSQLLRYESHTSLHEAFLRQNDKLSVRWKVRADPEIVKKAVDQI LLNSRLNEFNAFHKLKNLLGQKDLQKKMNAKKRKNMCLMNLALFLQKKEFQLKTAAVAAL KPKNQDSDKMLGLLLWSISSKHRERYLREKFNWWRLYSKVKSDKLQKQLKALERLGDEYN MRNDRLDRQRLKEAFEKWRGDLMNFRLKKKFFSVLLKTTFGRLQRCYTRWVDLPDKREND MKKQGMLLITKLTNKADQNKRLVWNSFKAIDDDAKNKKTKVIRELIEVTFNQSYTAFYKW ANYNTYAKLTETNLRKIKALQASANVTQQLVKFETFKLFGLSKKAEICSFLNKLILKIQQ RQKIDAMRQIENVSMQKKMQEKLDNVNKQEIISRLGDTANKTEKGLLRQVLRKFALLREQ QEIKNKYFVRIISTTSGMAMDAFRRWKQLPDPAEQQMLQNVSKFQLRLQFLFKQRVKQSF DPLKEVYYQGIQSKRLCIKQMLVKQLSAPQRFLRQWQSMNKIYKSVIACQKTNNFFDSLQ DVLKNNIKAFLYTRKDAEVKEKCLVKLMSSFTNNLMIAFLKWKNYNKQQKISENLGDEKK KYMLLNLQRFIKNDNLNRLRRILRLFYNEQQVNQLIKKIHLRVLQTQIGQVELSFQKWKS LPGDDALKNQAKVSKFAISLGKIAYRFVKLNSWDQLENNLLDGQAKKKFCINKIIAITQS DLKKAFLLWHRKAWEMKMFDKFSQLNVTLNDQIVKQKLIGWINAGSKVKFFALTEVLRRF NQNAIEHNLKRKAMVIINRNTISQVWLSFNRWKQLPEENNNDLKVQATKFEKSLSKFVFH ILKKHSWNPLQEVYDDGLAIKKRAVLLMIKTQESEQQRTLDQWNKNVSLIREVERCKVVI SLFGLLGSHIKNNIAPLKPDEESQKKEKALLRLIGNFDSNLRYFFMKWYNDGKLEKLQGA MTDEKKKLLLEAINNFSKNNDFARLRAILAKFRRNSSITAVQDRFFAKLFATQFGGAIKA FQKWKNLPEPVNTEQLKNARKFERTLDILFRSHLKVSFDPLKEDYMDALNIKRMCLRKLF EKSMSAHKRLFLLWAQQNRQAKQIEVCRLTTALFVGLAQIVTANVQPIMQNPREAQAKEK ALHFNLLRLSRKLKQSILFVEKQSLIRKNELKL >CAK64957 pep:novel supercontig:GCA_000165425.1:CT868035:135306:135979:1 gene:GSPATT00034435001 transcript:CAK64957 MHYYTFLIQLLLELLSNLVCILSQAQFFFKTIVKNNKSSCFPEQSQNFIQPNNSIQQISW NLFSYTNIFLYFYIIASYKIITIQIIFHTDMNSISIIDQLPNQDVSFIWICIQHFCEINS IVPQQQNSRFFWQILLQINSEYSQKIQTGVWMGLSKAISKNFCNLFALALIYIVIESMKS KMIMHELSNLKDFFAVITFSLLFSIHKSKNDIV >CAK64958 pep:novel supercontig:GCA_000165425.1:CT868035:136356:138931:-1 gene:GSPATT00034436001 transcript:CAK64958 MNKGNKKQNGSSEYTLEQYKQHYQELLQYSNIIDNKSKQLICEKVELICEFSIWGDQNNS DFFDYFFEENIAEKLLKIMQRSSFKEIIIGIIQSFAILLANLTNKENVNYLLSHPVIQDL IMYNYDFTDEEIVDYYISLLKSLALRIDVDNVQLFFNQRMSVFPLLWQATKFYNHKEIMV RTAVRTIILGIMKIQNPVLKKYLTRFPFILFFVHFSCYLKHVWLEANKEVLEGNVDVKEK MDDLVDVFYFLNDIYAFCPEISNILDNSLLTYTVIPAMLGGILFQKKETLSISFTLHLTT HLINNFNTTNLSKNILLLLFSPSLQKKLCHLLEFNVGQPRSYLYSWSFKNFWDQHQDVLV SQANQLFDIQTYAQKEEACDTEIELINRVLGITDNDHVLFYCKQLLLNNGQEEMSQNKIR NNLMIYLQTKDDNLLFLLLNLIKSVLLFKDKDILRICLFQEANTNIIIKERNQIIYQLLD IFLIDPSLRLCTIILTCQIIIHFINLDKLILERETINKLYEVYNYTIIKMSQMIKQNQYH EVVVSQYEIIYNKYNLNLKILKPNLDYLAFSPIIDKYDGNVDIMYRQPVGLKEIAIKDLA IFFMIDLVFFQIISQEKINQNGNSQILQKINITNSWIIGQNYEPPDGCQLLSCRISAKTI KDKLQACTSGECFWMEDDENFIIMETQIVKKEWAHIIYHAKIKDVEAMIDRGEPRKLNVN IKISSSNSIKEQYQDVQLLFDDCTYAQKTKKHIDDTRKSNSKKSSQIIENKLAQGLELCN DYFKLLKQQEQPQ >CAK64959 pep:novel supercontig:GCA_000165425.1:CT868035:139860:140367:1 gene:GSPATT00034437001 transcript:CAK64959 MNEKIDHHLEMQETNYMLLQQFEKATELQEQETQNRYYDHSDLSSPTRQSKKKERIVEQL LANRARFNDRTALFNLVNTLQLVNDSTKFQAITLYNLSSIINIEGQSNLKFYDTTQIYSF YVWNQQGSLHVYYLIIIYNNGSYFKIINVFGGLFLCCIKK >CAK64960 pep:novel supercontig:GCA_000165425.1:CT868035:140378:142605:-1 gene:GSPATT00034438001 transcript:CAK64960 MLKNKELDIDKSILEILAQENQNLEQLQFYYSQQLQINCICENLNFTLQVDYIDKIADYE NQFKPKFKKPYKDHLINILCKSGPHKLDTMWFQTGISNNSQVSIQILLPVSFTLKHLIFK EFLPSTWKIHKLIAYIRGKKQINNIIQLKSLNKTIDHDLELYQLYPNIYDVQIEIVEEPQ YLIQLSDGIQKKIKINSNQKVSTLCDLIKREFNIIDDLVLHLQYGKMTLNHQALIVDEMI LNNSTIVITNQNLNYKTHISDIIITLVNQRNPSQIYVKNFSSIQSINALDQLIHLQDNLF IQYFYKGQQVKENTTIQDLVQGKETEINLEFIVSKKRQIMKNIVTCDGNYLFRFKYLNKE YSQNVSITISLKQIEENLKKNHQIPEDYSIFLNDSFNQSKSIMDLELQSLNIFFEFKNII PYEILISPIQQTLKMQASTEETVIQLREKIQKTFLKENNEARIQIQLNKNILTDDQNLYK ELKASKINQIEVFILNKFQIQFKDENQKILSDDVYEDYCIFDSVISLGLVGGNFFVKNTQ IDIKKSFQSYNLNSENQVINYKLLLSGIFNLNIVESGINKPYQFNVKKSSHRQDQSKKQL DNAFYHYQKFHKSLYLLYKIKLQIILTQSNMLLSNEQQFSFHQFAQLDKYKRIIIHLYFF LAYPANKRLYNPIIISTADKQNQNYRELYSLRLSYLIRISIYIRLGQFYLTIINYQQYSY NASSYYTGESQHHKN >CAK64961 pep:novel supercontig:GCA_000165425.1:CT868035:143794:144861:1 gene:GSPATT00034439001 transcript:CAK64961 MSSNKNLEQSPESQSVRNSIRHQSLNQSVHEFSGYKEKMQDLMLPNVEFVDPQNFKKQNE CIVCSIEFTQTNRQHHCRMCGNSCCGVCSQKTINKNRVCDICYMKASQITAEKKRTKFLQ TLKESAKKLRKHIEQAEKKKQELQEERDAQKDKSQIDLKVIEKETEDYRLKYSQKTQDKC LYEQEVEEIKMKISELNSLKEQIQQEYQEKEQEIRAYDTNLYLRDQELNDKKTQLQRLRQ QKEELENMSNRIEQNPELAEEINIPEPIQPILQEQSQQQWYNTNIDIDEIMYGNTDNSKD STTLIKQEQPQQQPKKQEVKKPNKPNKPKENDETEDEDKYKCIIF >CAK64962 pep:novel supercontig:GCA_000165425.1:CT868035:144908:145989:-1 gene:GSPATT00034440001 transcript:CAK64962 MQSNPEFKQIQALLHHSQQLSTFNITFAYIAKAYVAQKLHALYKKTQQQSYLQMLQQLIT ELEGLKAQNPQLQNKEENKKHYTEFVYKFFYDADTRERDGEVSQELLHMFGSVQKSIEVL QYFEPLTQDQQEKLKYAKFKMVEQHKKIKDPSLIKPKPKDPIEEELEQIAQQQIQMSQHQ SQNQVQQQQQQQQQQQQDKSAIIQQPIQPVAPQSQNPQPLPQQQQQQQQQQQYNNSNNNY YNSNNNSSNNNNKTNNTNINNNNNNLNNKHKFNKERQKLLNQHQLNKFKQETKLKLFCNK LYLKQILKNIKMLKIRFKKPLE >CAK64963 pep:novel supercontig:GCA_000165425.1:CT868035:146058:151382:1 gene:GSPATT00034441001 transcript:CAK64963 MDEDQKDQNSEIQMKRADSQAGVLEMQPPQIGVEQTSQQVPEADQEDDDQVVQTQVVQGD QQVVQKSGAQNGMNSQSNIHQSAIINKHVQDMLDQSVYQVPKNDEVDPPKEDAPNPILDL IPEDYYPPDDWQPENQDQTPPLIHPDTIQFHDCFGMDSNKKSNLWLIDSQTFVYASSISY HFYNFVQGTNEIFFSRDGGGIGSVAVHPSRKYYSVAEKGSFPNVYIYDASHKLYRILMKG TERSYSNSNFSKDGDKFATVGSSPDYQICIWDWRQEKILLKAKAFSQEVYKVGFSEYNVN QLATSGMGHIKFWKVAETFTGLKLKGEIAKFGQVELSDVYAFYPFPDGKVLSGTEYGRLI LWEGNVIKVVIGISEEEPCHKGAIESIFLYKDCIISGGKDGFLRSWKLTELDQAEGDDQL NYYTQPIKSLELVDDGENAQIIQVVTDEHFWLIGDQGGRVWRVDWETGKKEIVYRNNSGA YLDLAPSPQLNAAITVGDDGAITLWDYVKSEQTYKRKFAKKATCIQWMPFTMTNKGRVVV VGYSNGIVRFLLLNQDTFVLLNVQKVHPHPIQKIIVASNTNYVAVLSTKGEIFFLKYSDS NIQDIQAYCLWETKLSINDFCFDKTGTKVLMACKDGYLYEAIVPSDVDNSENYLQEFNAN KYLVRMMESQKPKKEDLDLQFLMKLKDDKMPDVEWDPASIMNVVYYGDKVFCTAEGKYLG CCYIIDLNKDRPVEMIPISKMQTYHLDYKDDVLTIGYKNGQWDIRHKTDFSKQINKQSHD MDYGRVRKVGLTFDRTGVLSVSDDGTFYVYKIDFQNFLMQLSGNEVADFQYPEFTMGINS GTFAEEIAFEEVQDILDDTIYSIQQAKLLAEEDNRRNEANKKKETVKSRILQLREQFSQV RQKNQTAEDAAKLTEDELCVDPEYKQMLLDRVAEDVEETRLELEWDRTLAKMKADKLKAY MIDELEIDKFMVKGFRNQASVQTFKVKKLSNFLIEQLKETYRLIEEERKNQENQPQIENK TQEKIQVQSQVKITAVDMKEDQQKATIQQMDPDQKVPQAKLERERLRQEEERIKKEQELL EKSKPIELAKPENAPDIQEAIKQYGDYKLKSSPNYEVPDNQRMNVSKKRKHMYMLEEFIY NTKLKFNQQLLNLKKRKVNLIDKVKKYNQQIKKINEQLGKQEQLFQPEIDKELEDPHSFM EISDEQINEFISKLQQQQQKKDEQKRIAPAQISKPAEQNQIKTRKGIRVQQSGLEEEMKT IQDMVLNAEKQRMIDEMQEEIVQFDKDVVKCQNEKNVLESDMLIAQMKLVTYYQELIILE DMEDFDNKLIKEVLDFKKEKQNLEQQVEKILENLATLQKKEQQNDKDLIEQLKAWKELVH PDDEQKRNKIHDYYRKKFKREMLKRLKKQQEKEHSDSEENEDDEENEDDNDDDIVSDDDE EEKPDISPVEQDPKVRDVIEKICGLEEQQDNFKQEKIQNEQQKSKLANKIAQNDIYLKRA NDDLTQYQRKKLQRVNQLDVSFVLKLSQVQNLNEQKQLPADLNKSILFTEYDFFKLCKRV VELKHEQGKITKQKAQKDKTKKMQEKEIKLKDLKIEDLNKKYEEKHMLKFGDIIDLKILD ALEPTKAVLDMRAQFNQEEKEAQRKVSRAKEELSKVKQQLLEVKRENTKIFTNITKLGKQ QMDLSKKLTSGNKQLFKGDNKEKRSDMESDRQSLEDLVKFLGKEIEDLKNEIGLYKKKGG HIYTSITQTNQNFVQR >CAK64964 pep:novel supercontig:GCA_000165425.1:CT868035:151410:152370:-1 gene:GSPATT00034442001 transcript:CAK64964 MYNFAKTAAKDPYNFDINQKTTTGGTKKNDTGDKSKKMSNMEPKRLAIRFDPPMIIVEYL QPSSGKLYHHKMKLLKLKPDTPVNHALEYLKKKHAMYFMNNKIPEKQLLELIGKIQKRLQ ISKPTSNANTNAKTSANANATLQRPTSSTRPLSSSTQASISMSKTQSKLQKDIQDYGMSE EDLYNYAMKNYKGKQPVLEDDDEEEDEECYDENYEEEENSEDEITEEQYRMLYQKMGYNQ LNLNKMTNEELKKHKEIMESMYKKNSVKPGDKNFKYDVQKDFKPQGQNQWDMDDEEDYDI V >CAK64965 pep:novel supercontig:GCA_000165425.1:CT868035:152401:153636:1 gene:GSPATT00034443001 transcript:CAK64965 MGQNNCTPNIPGLENCYARYEQTDENKYPYLVANKHRLAESQNSQILELYQKQQDQLRCY LQNSRQLSQSTRRNYEFEGRFLKLPSHLICHVMYFVIDDYLNLLLVNTLWYTRLNEAFSK TVSIVDEKFQQSHSFLKLKQSKNAFQTFQFGRQQGFRLDRCLIAEITPGVENSIVKISYT YLCKGQEKAVCYKFAILRRNTIRTIWVGVDQSTNIYDKQTVPVIQPVLPFCVGDYIKIPF TLLNLQGQVNLQSIKWNKPQVEKLDVGIFGNIKHITMQPPPRFEPKDADWTLFQYFNHPM KKCFDNFKQIKLKECRCCGNGLVINRMNYTCVSEGQLTIPQLNMKMVVKQGCITNQINRA TVSYELDNTLELRIGDQLTLYYLRGGDS >CAK64966 pep:novel supercontig:GCA_000165425.1:CT868035:153751:155680:1 gene:GSPATT00034444001 transcript:CAK64966 MDTKVLEQTQLVSFKNSIQLIDIMQQLNDLQKQQIYNFISHPMIVGQSQLIKYSQSHTQQ TILNIIESLGTIIAQVLAIEKFQTFTNKTKGLSVHLLSNEISEKRRHFVDLEDECKKNQQ QEEWQLARDEFKKLLKNLEDLLINKEHKLLLQNILQPPPQQSSIIIQPDKKHLDAIESYH YRSQVIFHNSLQKDKTGEELLKCIITYRNGLAKFLYFQFIEQGIKDLDLLLNYVLINKTQ GNHSTLQQLNEYIQSLFTKNDKQQLMKEIQLIIVVFPELAEYFQELQNNINDNSLYFDNR IELNPIQFEYVLLIYDHLVNPRRKSTRKLTQETIVFMKDLDSAIRAGSVQGIKSLLKNLN KNIKQEMLTDFEYLSELAVSSKLRLFMKMIQQINVLKEHDIKDDYLNFQIVFQYDRAIFN ECKNDDIYHEPSAEIQQIKVQQVLYQFTQVIENAADLEKNLKNDAILEKLKTSIKESTSS IESLITSAQTINKLLEILNCIFFFQKLNVTTFLILYQYFSDLKEIQQKIKNNQCDVDQIP NLYKLLLNFQYDAKQQFQKLLNEKKMSISEERAEDLSDLIKEVEQFDRNNAATFLNNVKQ YYNKELKDFLLKLAQIGTKQFQEAVNYLIQQVDP >CAK64967 pep:novel supercontig:GCA_000165425.1:CT868035:155732:156205:-1 gene:GSPATT00034445001 transcript:CAK64967 MNKSSESDMNQPKSEIFISYSRQQLRSMDFDPRTPDKYIEKAPPVEVKNDVPTPQSNMFN QKQQNSNQLIANNDFNQQKNDKTQPVVFKIVQSSSTLPIPSLKNYQGQQTYQINQGQQKY NTPLQQGAQFQFNQYNQNKQIGQYNQFPNQPAFYQQK >CAK64968 pep:novel supercontig:GCA_000165425.1:CT868035:157015:157741:1 gene:GSPATT00034446001 transcript:CAK64968 MQAIPGDFDAQMIANEQIEQPFMEQETEDRNKEQEEELTEEQKERRRKILEVQLANKRLL YSIHNKDMEIFRVKKEIEDQKQLLVELQQQDQEVLNDSYLSHRALVSYDTYLRGTLREQD RKIYELELNNADISEISARIEKINKEYERNIQPLVVGDFKTQIDADIKSSKNQIDALRNQ FKEIVDDIVKLHEKHLDNIDMYSTLQRQLQEQLLANEQLDYELSQLKKSKEQS >CAK64969 pep:novel supercontig:GCA_000165425.1:CT868035:157951:159528:-1 gene:GSPATT00034447001 transcript:CAK64969 MNLPQVKVKDLQQIDTARFTRFIQNQMSAMPHLNNPKQLAIALASKYIKLKDQPKLTQSV ILGKEVRSSDQTKVVPLPSLIKNNYIYFLGTGNNHQVVQRVLSKRNEWISTNNKNDTLIN FRWQQTQKGYQYDRLLSGNKVKQAVNHFEFHSEISQKSNLFRNLSQYCEENQLDILSFVP VTFVLDFTTEKADIWLLQFLQYYENNAPQSIKLHDSQNKFYLIRRQLNTYMQITDKIIQI SKSKIPSTYQGHEYLWILKPTQYNRGRGIHVIKDLDQMTHLLDQYISGKQQMKDGQIIKS KQFVIQKYLEKPLLINNRKFDIRVWGLLNQDLEFFFFEQGYIRMASEEYTTKNVLNQYVH LTNNAIQKYSPNYGKLEDGNQLSFDQAATYFKSKGDFYKQIVENIKQISLKAFQSVRKKI NVLGRRYCFEIFGLDFMIDDDFKVWLIEINSNPCLEESSLLLQKLIPRMLDDAFSLTLDQ IFPVTKKQVVFSVPNYSDNHNMWQRLGKLQ >CAK64970 pep:novel supercontig:GCA_000165425.1:CT868035:159746:162604:-1 gene:GSPATT00034448001 transcript:CAK64970 MEEQVKRLQTLVEHLQRKSKINNSKLHIECYEVFSECVLIVQSQISVEIANVMEKLFNLL REMLESAQSQTKPPPESKPILLTPQLPDFINALQLGSVSIIDQLGTPQQIKNYQQIPWSE YKSRGQGTRNLRTHQDVGTSTIIDYYQNCQKASSDLLRREEEMREWLEFTNDTISMLGEA LSNKKQLDIEFQGKPEDLDLVISDIQFKRLNLEQLLKSVNQDNMGVQNYDNTVQFLLQHI HHIQQQTAIAIIHRYKQKNQEKEKQLQLINEMKSKIKKKVFNNKEDCRCSQYVNEILTLK NKLETIQNEILKKDNQLLELQVINEQKDRQFKMMQAQLSQLEAEKSEFKQKLDNIYKEYS KTVKKNSDRERGYVSSSSNDEILINAISGNPRTTSREQSQQLSSKQQIYLSPKDNFIIQK KTQQMERLVKLVNALDGNNQTRRLTQMQFILEQKASSQIHELADLIKDIEENDLLQNDNM DNSQLSNNIDQPSSIKNLENKFKQNIFSKNLLQTSFEVESSNDKPAIKVSIPTEQQIASS RNMSSANSNYKKVEQFGQSANNKMDGLKIVNTSPNQLTPHYPLSKAKSSQNINMTRQSSI KTEQKQQNLQEQKDTNETKGTKDQYQQTDLTMMHNIFQKDQYNLQQENEQIENFKFQNSN IKINSQQQLTTISTANFNSAGTMGVGNLNSPIQSTPNSNLASPRNQQSYHLTNVFPSPSS STRQNKVPNLKLEQESYQNSQSTEQKYVSKGKTLKQKIYEQQEERHLNQQHRRMYTTTGA LSQTISPREYYTPRNKTKDDLVLTKLQQESEDKQKEEQLFYDQIANEITEQNEQDVIQKA INRTPGYELAQEINDKILETMFGNLNRTPDIAEMVILLSKNGQLSLNEFKRFVNRMQAFH KKCGRDCNHLMRYRMFLFNFRFYLRLGFVSMKYLNKRRMLKLSKPTVLPGFK >CAK64971 pep:novel supercontig:GCA_000165425.1:CT868035:162669:162953:-1 gene:GSPATT00034449001 transcript:CAK64971 MSVSEISFNFDDDVIELDGTHHHKSMAAYSKSDKKQNKEKLKHIQTSMQTQNNIKQQMTK DLLFLLKFEQHMLSSINSLHQRIMRSLESEIADT >CAK64972 pep:novel supercontig:GCA_000165425.1:CT868035:163045:164455:-1 gene:GSPATT00034450001 transcript:CAK64972 MSKKENVWNQLLFEKSRQGGFINKNLLILGRKCSGKRSLLDALADVTKTLKNGIRQKGIT PIVDYAYLNLIDLRDPDYNTNARLNVYILEEEGQKYLLPQILNHKNIKNTFVVIALDMQE PWTFMEDLDRWIGVLQDMMADLRLSLNELEEMKSNVMQYMSQMDGGQAHEGLLKTNLGIP LMVMVNKSDILERDDKGKDWDQKAEIIQFCLRQFCVNYGATLLFTSVKQKINIKVMYEYV LHRLYNMPFETSQHKNLSDFESLFIPLGQDDINIIKSTFTKLANNAIKYEESVPIVQLKK NLVKEELTVEDDQEFFTKLKEKSSQAPATRPILPIQRQVEQPAQQQQTPPADQPLSARGS QQSEQLRNFYKILTGDPIQQAQQQLQDRMRQRTATDIQPQGSATKTTPLFNSQDQQRLQR IFPKK >CAK64973 pep:novel supercontig:GCA_000165425.1:CT868035:164466:166178:1 gene:GSPATT00034451001 transcript:CAK64973 MGACKSCTQQPSPQINSGDMEQQGIHSESIRQISNLLNEFETFKVIKKTSYSFIQDATFR NGRVSANKLINTQGTVKPINLQLLQEVNKGLESLKALTNKQDNCEIEKSMKFKCGLELGD KFPLIKFHMLLKGEAQTITLKDNTYTLIKSWGQGEQMVNFQHAIELVESFKNVKLELIGL TRGNEEQQSVYEELVRSNPGWQQHPHIYVLAEEPENHIFDIFYQEQSNDIEEGYLASALL FYGQNLIWKRYTLEWDISADSDEVAQEVTNLINSGVTKYVGVKETNQQSNITKQQYQEYK QFIQQQQKQQQFTRGLEVTINKKTIYDKNNKLVIYEKPIIQVACSPKSQSITEAFIKPLQ LQPVIWDIQILKKVLTQKIRENNLNIEASLEKQIKISINYENNLIQIPNWEVATLTPYYK STQYISQVKQWIHARDRILSEVQDSQSPVLQRVNKMIYQFFNNIPTVEKVLNQQYGKIPY AEKRKPPYEEMSVSKSLGNEEIARKSDKILFILLIDYENDDTLDILKNLIKYKKENSVQL QIAVLGHIRAESWTKLFQAFMDKQQIAQRC >CAK64974 pep:novel supercontig:GCA_000165425.1:CT868035:166197:166741:1 gene:GSPATT00034452001 transcript:CAK64974 MVSSGRQNWMYGMCLEKRDCMLVDRDNQVRVIDSSRRIVPKISQVLDDLKISQVLNDLKI KNQIQEKEFTNYLSQYQLFKRSIRSNHQLLQLTQKIKEQCKEDECLIVYEQRKAKIWDFE GAVVNETKKYQQPTKILRLIPKTSEMGEFTKIIDNSDFLQMK >CAK64975 pep:novel supercontig:GCA_000165425.1:CT868035:166781:167892:-1 gene:GSPATT00034453001 transcript:CAK64975 MDEYLEAIDQISAERTIQMLKSQKKPKFKINRVPEELRSIPIYLDIEYQNYIFRDQFHWN LNDYHISPQEFVENLVARLGFGNSKQMHQQLLFQIMEYIEKHSVHIPEDLIQTQKKQLSE KKKLNQTTQRASRTQITRLSYGQNKKCIYCEFLNVQSGLYCKKCRIPFIVLDNVNPTEPI IRACFLFYEVVINRTISPIEPRRLVKEDFTSLYSLQNKLVDLSGEQDVIDEVFQEFMKNR DNLDQADFITSLKAPKAKQKKRQVKKGECEEEPEEESQQENQEEEDEEDEEDDDDDQEKN QDENQDDEEKSQQSEKQSFDTSSESSSPQQRINTRRRVKEEILRRSVRKRK >CAK64976 pep:novel supercontig:GCA_000165425.1:CT868035:167914:170784:1 gene:GSPATT00034454001 transcript:CAK64976 MPSLQFEVIINACQKLSLTFGDQVSVEQIANKIIETQKFPRQSNYRIEIDQAIREPSYIL NCQPISIIKANFNFMATVIFVGQFNTSFEHRIDLCKQIQQISNEIMNEILPIEYSVYILQ NGQNANNLYINSYEQIPKLIEFEIQANFYINFQGIHYQQKIHPLAQIEQIKYQINEVFCF DSEFQLWYRDTELNDQSQYITYLIPDHSELKIKLPTRIKLLIKYKDTVHQFSCALEEKLG SFKQRLKQVYHVSEKHPLQLMYKNNELRNDQESIQKLKFIENAIIEMQVGIKYSLTLQNK ESQEKFQFQARSTDLVSVLDKQHPFINKQVIYEFNGHKLKKEETFEQLQVDTEYITIYFQ IEKQKINVQFQDDFAKHKIEVITSDPIRNALQKFNQGNDPISITYGGKNISIDSTYEKEA IVNDSIIVYKINNGIQIKYTVAPNCVEHTYLVPKDTKGSQLLKALSQIYSNQGQKYKLML NSQEFPADQPVIKDQCYRLVRIFEMQFSYDKDERTYIDLFSQEDKIFEARKKMAQVFNLP FDSVFLLFKDCALKDDTLINQCKDKTLVVSQAIHISFQKRNGSVAIQKYYLKQEKFKYIF KDFLKEYQISQCRFEFNNQIVDENNLLKDICKDEQSIVLIYEFQYNLKLVNKEMQNEIIK VSFYPSEIIGSVFKRYLSEKFSYLYNGQLVDIQKQFEVLRIQNNSKVYYFQFHNFTLEIL KTNTKLQIQIDSLNYKIIDAISPKMKEHTQDKFTALYNNRELDLQKTFLQEKVMSGATIQ CQLPTYLQVIIHDNEFDKSFNVHPNICIGQILAMMMDSNPNKKMLSYKDQKQLENDIIVS KLQNNNGIIELIYKELISTPDTIYQPSGSVSTFFNQTQDLKTTESSQINIVIININNDDK IFETVKLDQKIGDFLKEFEIKNEFSSINIFYEGAVVDYDKTFEEIGANPGSLFEII >CAK64977 pep:novel supercontig:GCA_000165425.1:CT868035:171274:172158:1 gene:GSPATT00034455001 transcript:CAK64977 MITSPQEMRILSKRFFGNKIIDSIVLEEMKENYQNKDRIANIIQRCYPESYKLYLDLDPQ LLSIFIQYFLNQLNFVIEKKYIFQRATCLLELSVHLFNISWEKRWNREKSFEEFRQIIVR HSLYRPPHNVYIFSLDNLKEISSHFLQTFFRFYRAYFFTFTPWVDIVVTTYQKHQIKKDV KDLELEKLRQEAEQYNDQIDPEMMEQLMSGNSIYQIPEKKKREMLEQQQQKEKQERIDRV LKKRLEELQQVFDQKIKEQDEHFIKLAEDLKNPKKK >CAK64978 pep:novel supercontig:GCA_000165425.1:CT868035:172206:174096:-1 gene:GSPATT00034456001 transcript:CAK64978 MDQFKNYIYNILLEPSIKSELEYFVQEFEKNPTAYDFLVDNPQGVQENYKEGYIGLSQRY AKAQQMLKKKFPGNTHLIQFQHQLYELGTFYLNWQAFLPTIQMLGTPEQVKHWQDLTFNY QIRGAYAQTEVGHGSDVQGLQTTAVFENNSFIINTPKASAFKFWPGQLGIFATHSVLQAR TTVNGKDIGIQTFVVPIREPKTLKALEGVDVGDIGPKLGFLRADNGYLGLKNVRIDRNNM LMRYTKVDESGQVTTSSKNAIKYGYGSMLNLRVGLVNVFSIFGLFTNNLAYYQVKPLLKT QSIENEAIIFRLLRSYVVQYAQIIANAQVKEMFTRFQNQLKANDKQVNETLQLVHLYSSQ MKSIAGWEAVKTSREAMQIAPFGHLNASDLTIIYADNVPFVTYEGDNNVLMQQTAQYLLK QFGKVSGGNAQFTGMASYFNKYTQENNQKASFQNLEEVLERLSYSFCKKTVENFQNNLIN GHSIQTSWNELNQCELIKASVLFGYTNIYKQMGAQIKSSGYSLELLKIHKLFGLFLILEN RTTIISFGIADFKQVEILAEIDQQFSGNNKLEFLDYLANGVGMYRQRNFINIDWDKLNLK ARL >CAK64979 pep:novel supercontig:GCA_000165425.1:CT868035:174212:175749:-1 gene:GSPATT00034457001 transcript:CAK64979 MNKNSPSPSSPTPVILVLVTNKQGKVLEHSQFFRTFSVFGQVKKILIFERKIIWKVFIEY ETAQQAQSALILDGTLFDYQLKMRVHLSQRDSLVFQNNNNCGVDYTALQSKQQFFQQLKE DSNSLNQQLQQNLEILNQFISQLNQTTDLKEQISLIQQIQEYRNQTQQLTVLYQQQLRNY ASVLQREGKKQKQIVSSTTSPSPSPFQHSTLDSIRQQILEESSEDDKEEFSDGESITSEQ SAKSKKSARSEDNYNEVFCNDSSNPFSCGASPFTPYPQSQSSPFLMTPTPLPQYLVVQHP NTNLRVVYNIFSTITRVDAIYQSQYGAFLQLASKEEAIRIKNLLNKGLLLGMPMTLMISE KLPQDARQVALPPNERKPIIAQQLSNAIQITGLSGVTIEQMYQYFGCMTPILNMKFINQS SCKILYSDVGCSLSVLGHFQDAKINGRSVQLSFTAF >CAK64980 pep:novel supercontig:GCA_000165425.1:CT868035:175755:177007:-1 gene:GSPATT00034458001 transcript:CAK64980 MVEAPTLFSNPIKTLYLFSIVLFNYAKDAVKYLSKFWHIIGFLALIVIAPRFVEGEHSEL VRQGDEIAYFMLYWLVLGIMSSVGLGTGLHTFVLYLGPHIAKTTIQAYECNALPLFVPSK YATQYVECPKEATGIAMFDIVKQVYFETVLFGIGTAIGELPPYFVARAAALANKKLEELE EVLEKKDENTFMHRMKLLIYNKLQKNAFLTVMLCASIPNPLFDLAGITCGHFLISFWTFF GATVLGKGIHQNAHSVTHDCVCALLSLIQKYVPFIYEFLSELLDKQRKQLRDPSLFKEGT KPLLAQLWDYFIILMIGFFMISIVNSLVAQYVSEQEQEKKKDKQHQHHSNKHHAGDHKTS KKAKSS >CAK64981 pep:novel supercontig:GCA_000165425.1:CT868035:177063:178180:-1 gene:GSPATT00034459001 transcript:CAK64981 MLLKSLYRFCSLKRYNFQDILMLQRFSQEETKNLNLKQEVLYFYFHSKKVFFKAEGTVLE LQQAIKKQIDDVKSVGLYQQTNNHEQIEYASSTPMQLVLAEDMVLRLNQTENYIILNKVD NPPVIDLIDNYPITQHALHEYFQEIDVPQRTKVVLSNFIQKLLSEIEKHKELTYDKDLIM LIIKKVIMKLGIYSQAQKQIIDDQISFLECKQNRLAHLKDSIIKELEMKEQTTLYQIYFY ILMQIAFTQYGTYVVWGWDVMEPVTFMLGVTDMIIAYQFWMKTTKAYTFGNVLQHAVNKH LNKRLAEQFNYADELNDVNRMIEYLKFKQMVYSSDIYEVISVIEGAIEEKQAKLQAQEEE EQ >CAK64982 pep:novel supercontig:GCA_000165425.1:CT868035:178193:179714:1 gene:GSPATT00034460001 transcript:CAK64982 MKSSLVTENGRRTKTTPDQSSLISYSSRKSCLSSERKTQVKATCKVLCEMREDQSSNLAV KFTQLQIVLATQSIIQVISKFRSAKLQTYFWILLQDRRIIHPSAQNHSIESIPLPQVVDD WSYLQFQHKTKLKQRHHNQSSSPWILMKVLNKIKQKQQRSFFQLINQNAKICQGTLLLRK FIKKHHNLQKCSSLLCIVQFAQNYQNSDSLDCSKQDSDSMQLQQQQQKDSNIEEISIKEQ LAIKFASTTILASMLNSKHINLNFYFFLNFLRCQQNKSQFIRDVNLYQGIEVSQVNYDQS SLQENQQVIAAQNLHSFLFIKLQNYFQAIKIFHLKNDYQIAETMNTMIINKNCDLSNSFE DLNTQRILVTNLQEEEIEQSQVKNSTEQKKISSLQNITEIEESTKSTKAVKVEDQHLQLS MNTKKKKTKNNKIKNAIKQYCSIQSQSQRRLSNESMAKTESFGLIHNQEAKKSKNAATLY TAYISIVVIIIVFILFLK >CAK64983 pep:novel supercontig:GCA_000165425.1:CT868035:179742:180592:1 gene:GSPATT00034461001 transcript:CAK64983 MSRESSISEGGGWVQWFCAMEDHQFLCEVDDEFIRDNFNLYGLKSKFNFYNEALDLILSS ETPDDEDLEDERFLEVYQEATDLYGLIHARFIITAKGLSMMKEKYLGGKFGACPRVLCER QNVLPVGMSEELRTSRVKVFCPRCEEVYIPKKKCPDVDGAYFGCSFPSIFLMNFIQEVQI PANNQTYVPRVFGFRIYKKKGSRYQDTQQKVAEITHYSEEQLKKLRGKNDQQAKEDYVQK >CAK64984 pep:novel supercontig:GCA_000165425.1:CT868035:180592:180982:1 gene:GSPATT00034462001 transcript:CAK64984 MPKEIQDVKVFLSLMKGSEAQGKDASKEPKKNLYIKESKKITKFKLRGKKYLFTFKTADK NKAQKIQQTLPQNVNKIVIGNGGKKQQTKKKQKK >CAK64985 pep:novel supercontig:GCA_000165425.1:CT868035:181196:182089:-1 gene:GSPATT00034463001 transcript:CAK64985 MFTPEQFAANYQINFSKLLGKGHDSTVFLTMDKQTKQQYALKCLAQTTEQNAINLFNEIQ ILRHIQHPHIIQMIGYCSDCSCMLLELMPFGSLYKVLLQGPLPLAIANGITQQIAEALSF LHSKGITHGDIKLDNLLISLDFKVKLCDLGFAKINGSTPIPKATISGSEGYTAPEIWHIP QDLKKCDMFSLGVVYFIMVTGHPPFESNNPSTEDAWWKLIKNEEWSKFWKELKLTILPEY VRHIIEKLLCVNIQLRCTSDEILQILMDKSATSQQITDEIKKRLNQTK >CAK64986 pep:novel supercontig:GCA_000165425.1:CT868035:182089:183176:-1 gene:GSPATT00034464001 transcript:CAK64986 MNIQNLMQQLGAAHGNAPPETSIPDTAEQVTISALALIKMLKHARAGIPFEVMGLLLGDI VDDYHIRVYDVFSMPQTASSVSVESVDPIFQQKMVELLNLTGRMENCIGWYHSHPSYGCW LSSVDINTQQSYEQLNKKSIAVVIDPIQSVRGKVVIDAFRLIPQSSMITQQEPRQTTSNT GHLQKPGLEALLRGLNRYYYSINIKFKCNDLEQKMLQNLYKNSWAEGLKCNSAIENSNKN ELCVEEMSKLASEYQKLIDDESKKGEQETKIKNTGKRDPKKHLGLKVDELLDENLNAILG RMMATKAF >CAK64987 pep:novel supercontig:GCA_000165425.1:CT868035:183322:184137:1 gene:GSPATT00034465001 transcript:CAK64987 MAQQQQVQVAAEFKLVVVGDGAVGKTTFVKRHLTGEFEKKYLATQGVEVSQVIFYTTHGP IRLVIWDTAGQEKLGGLREGYYIGANCAIIMFDVTSRISYKNVPKWHKDLTRICENIPIV LVGNKVDSKDRKVKARQITFHRKVNIQYYDVSAKSNYQYEKPFLYLLRRLANDTNLALVQ AMALLPPEIPIDPEYAAQIEKERQNAENQPLPDEEDDEFK >CAK64988 pep:novel supercontig:GCA_000165425.1:CT868035:185290:185728:1 gene:GSPATT00034466001 transcript:CAK64988 MQDLKHSEGDEIVFHITKNAQQYEPNITLATSPNDKPVQNPITFEDIKLVSSLQASADGH YQSVRMICSGCKRKVNSVIKRRAGLQTFIAGVLLIFCSFGFICVTCIPCMVDDCKDVQHS CPNCKQILGKAQFNILD >CAK64989 pep:novel supercontig:GCA_000165425.1:CT868035:186238:187710:-1 gene:GSPATT00034467001 transcript:CAK64989 MDEIKLVESDNELQYQPQTLKNQKQIQPKSIYEMREQFRLQIRKERIEEQLEKKRMWFIP KQRLQGSQMNLSINNLFNEFKIVYAKKEMNEISQKLHLIANIIDDRNRIHSLYLTQRNFL QFKDLLNDESYYIDTFTIYLQLTQDEEYFQLPFEDIQWSIERSLELLIENKQIDDLANLI LGYLGNVSSKLAQIQHYLLSKNTVEILCQLMKDTLLYNVSYLIQTLCRNIPEQFKPQLIV ILKHLKINKSQEILQTLFIINKQCDQYDRDVNYFEIFQLLFNYLNEYLTNENDFESFYSA LLQMKYLSDFQQLYSIFQQFSILEIINKLINYKYQKIRTAVLYILQNLCKQGHNVIQQIF SQQELIKKLINILTTDKPINQSLVIRSIQHILKYGNQLDFTVLINLGLAIHLKSTVESAE PYIVLQGLECITSLMNYGLSLQSQQNVPNPILAILQQNQLIQAIEDLQYHPNKEIFDQSQ KLITEFFRTE >CAK64990 pep:novel supercontig:GCA_000165425.1:CT868035:188815:189513:-1 gene:GSPATT00034468001 transcript:CAK64990 MQDQFLNPIYLSAHQLVDLFNNSQKEIKFGDESKIVSKNNLDSSPEQTQEFSFSELQQIN SNRSIPDNNNDSANIIVTKEQYAQIISQIELNKRLNQQYHQLLKENQEQLQKRKQLEDEN AEMLDSNFQIKREIQEIKQKLFITQSALQLRKDKQIIELKSQLKELQHKLSEKRDTIQST TYTENSTVESEKSNSQYCNTSRLVRVDQSSSHHQSIKPYKSRNNSLIKLIKN >CAK64991 pep:novel supercontig:GCA_000165425.1:CT868035:189967:193225:-1 gene:GSPATT00034469001 transcript:CAK64991 MHESDEMFTRLISRFGVKAFEKKKEKDFMKHIAAKAEQKPVKIAEPQKGKDVPDLSKLSK ILKLKGCLGPAEILALKRDASKMPESYQPSFVESFWYKYWEDKKYFHVTAEEALKNDKRY VMVLPPPNVTGYLHLGHGLTSAIEDSLTRIHRQRGYATCYLPGTDHAGIATQTVVEKALA KQQLNKWEMGREKFLEKVWDWKEKHGAQILEQLRRVGSSLDWDRYHFTMDEQLKKAVTEA FVLLYEKGLIYRATRLVNWSCQLKTAISDIEVTYEDIKEPTKLKVPGHAQPVEFGWLTHF AYKVKDSNEELVVATTRLETMLGDTAVAVNSKDKRYAHLIGKELIHPFCNRVIKVIADDQ LVDMTFGTGAVKVTPAHDPNDYECGQRQKLEFIQIFDEDGKINQNGGKYAGMMRFDCRRQ MEEDMKQLGLYRDKTPNQMRLGLCSRSKDVIEPMIKPQWYVNCQHIKQRMIDVVKNGELV LIPSDYENEWFRWMDGLRDWCISRQIWWGHRCPAYLVSINGNLPDTSNQDNWIVARSEEE ALQKAIAKYKLPAEQIKLSQDEDVLDTWFSSGLFPFSTFGWPDVNHPDFKAFFPNTILET GWDILFFWVAKMVQFSLEFFDKVPFKYVFLHPLIRDKDGKKMSKSLGNVIDPLEIIDGTS LENLKSKIYEGNLSKDEVERAIKQKEEEFPNGIPECGGDALRFGLLSYLQKTPNINMDVK HIIGYRQFCNKIWNSCRFAFPKLTKDVNYVKLELNNLQLINQWILVKLNQAITGVNAAFD DYEFGQATQSFHQFWLYEFCDIYLEGIKNILSDKNQDQKSKLETQQTLLTVLDQGLRLLH PMMPYLSEELWQKLPFDKEDSLIIAKYPQPNPQWINQDVEIQFDLVLNISKKLRSIISKF QLPPNVRPDAYTLNLIGDKSLDDLIKQQADIICLLARIKSISTIEQETEHHKQCGVDTLD AKIKIFVNLKDNIDLTKENDRLIKKLNELIKYINALQDKMSKYNDKVPEQVKKTDLEKME KYQQEKLLTEQQIESLKKQ >CAK64992 pep:novel supercontig:GCA_000165425.1:CT868035:194919:196597:1 gene:GSPATT00034470001 transcript:CAK64992 MINHQSESDQGKTKSISDHSLVKSHTQSIKDIDLSVYRWAILLLYYLVVLVNGVPYEICV PISSFTAHIYNVTLALVATASTVFMVMHPILSFVAAQTISNYGWASATNLGVILTIAGCA IKLLINQAGFLVLLIGQVLCGIGRPFILNSQASMAMSWFHPKTRIMIITLLNVVNTLSLV ISAQLPGIIFKGYNLYDDPDYEDGRSRMQSLCLLEFYISLAIVPSLLMLRSRPKEVPVKI NKIERKGGMLKIMLRLFKNRNFVLLFIPFSLYFGILKAYLVIMELLMAPYNYKTDQVAGV VSFPLIGGLIAQGAFPYFVNKYQIQKPFVRLFLLSSTLAMGSLYFALQSNNVIYIYIVIT LLAMCVMPILPVIMDMGCDLISPIEPSFAVGAFYMGSMLFFVAFTYILTFITGKNKEDTR VLYTNIFSTIVLIIGLLCSLFLRIDNQYYALDVEQDKSSLVHPLEKNESILKDNCPFIYR GTQSKMSIQHQKGSMFSCSHYNISIVGDVPLIPGEEAEDLLDSEQQQ >CAK64993 pep:novel supercontig:GCA_000165425.1:CT868035:196678:197343:-1 gene:GSPATT00034471001 transcript:CAK64993 MLDQCQYFTSDYSYQFLCHRIEKRIYPAHYKVKNRLINSFLGKIQIKPMPFEIVSITNMT NVSKHIAESEIIYENAKTIDDQEKTQVPPNELWEKAKQCCNLLENSKVDAQDLIRVSHLY DQILDILQPPKPRNVYFISPHNSDTEIKQKKLVYNPEALNNLIKRLRSGRVQSQTRSLFR STQRMQTSSIGKQIQKTELNEQLSAQALNFLKQLKKKQLNK >CAK64994 pep:novel supercontig:GCA_000165425.1:CT868035:198281:199808:-1 gene:GSPATT00034472001 transcript:CAK64994 MEKELKDIRAYHLKVIEDKLIELITHKNRILAAQSEEIGEFKKSFDEFKPLTQVIQKTTR ECLQEFQSKLDEADRQAIQQFHQQQDQLEYHGNRLNQQQSQMQMIQELFEQQTKQRFQIA EMQKAKERMLNELQQTKEELEQKKFLKQQLMNNFKLSQQQYIQLQQELKDTEHQKRYWNN LLQEAKGNIRVYCRIRPNSQEDMLLLNGECTLVLRVPERFLKSTNCQKESSFNFEHIFNQ EADQQEIYNELSDLVQNVVDGHNVCIFAYGQTGSGKTYTMQGDDYNKGVIPRAVEQIFQE RQGMLELGWQTSIRVGFQEIYNEQSRDLITNQKCDEVKLLDVKDIIEVADHFNTAKKNRQ VAETLSNEVSSRSHFIFQLNLQGHLGDKQINSTLNLIDLAGSERANVAKTEGDRFTETKA INKSLSALGDVFNALYTKQQHVPFRNSKLTFSLYKYMEGSSKTLMMVNISSRSEDFQQTL ASLRFAEKVKSCQIKK >CAK64995 pep:novel supercontig:GCA_000165425.1:CT868035:200126:201375:1 gene:GSPATT00034473001 transcript:CAK64995 MNNNGNNNNNTNTNDVDEIEKILQMEINSSDNEQQNNQQNDQSKREQVEKYFDSMTAQDK MRLLKKRKICGLVEGCDTIEGYQKLNRIHEGVYGEVFRARDMLTGEIVAIKKIKFSQHID KEGFPITSIREFNLLLSLNHQNIVKVKRIVMGSDKVFMVMEYMEHELKDLIERSKYQFST AEIKCLLRQLLLGIQHFHQKSVMHRDLKTSNLLYNNKGQLKVCDFGLGRRCQRNKQYTLK VVTLWYRAPELLLSIPKYNHKIDIWSAGCIFGELLLKDQLFKGQKEMEQLEHIFRILGTP TEETWPGLKNITLAGPLRTIPKYPGVKLQDIISKEFQLSEWGYDLLKKMLTLDPEKRIEA SDALKHPWFSEQPLPLSEDLMPTFPPLNEVNRDDVRKKVKK >CAK64996 pep:novel supercontig:GCA_000165425.1:CT868035:202109:202351:1 gene:GSPATT00034474001 transcript:CAK64996 MEYRIAIVYDNIRRIKYAYKRDIIIRKQYRKLQFYLRSIALAIRRLKYWQLSEEVIVDCR YLRNTQEEFYNDGDGTKWEG >CAK64997 pep:novel supercontig:GCA_000165425.1:CT868035:202496:204494:1 gene:GSPATT00034475001 transcript:CAK64997 MIEEEDSTFRVIVILLNSLSFTFTIFMLLFICTIKQLHERQGHTIQIVLILECMYSFFLI LSQFYFDETDVYETTFLVSTDGAGCLTIGILNVFTSFAYFTNINLYSLESVGILSSRFTK NYKIRHLAVVSGSTFFAFLALLSKAIGFNKIGMCSLLPGNLFTVFLLILTSVTFIIIVWT CTQIHRLRKVLGKDLTSHIQEKKYNVNLIYIITFLIIRILPVLVLTIISLADSDTQTYTA AFYVLAIPMSLGGVCLSLIRSQEPTIQDYLNRRMQRQKSGIVELSLPKVEVRALSDTISN LSTESQIIKTHYFSYLQGTTRDNSVAYRKTCLDNEELVAKLTSQMENNQTKLKLSEFLLV FKIIREMNVDVVVPSITSILTHYHMKHMRQRILYFDSDASLNASEIVCTSYSESVFLQIQ SIDSFMTSFDEQSNKVFVESLHNSRLKLQTNILTTYDGEVLISIINNQAKRYLTRHLLSA IYNLSTQASRSFIPPMIGLYSIVNESNQYSILIQRNLLNAIMLEQQGFKIQGFFTYQLGQ VDEEIKGGNDIYAKIKMNPKVKQEFLNTLNQDLAILRDQFLWGYSFNVIYLEGEEKTNIL PNMYKTRNGYAIASLGGVLDQFWISHNESSAHPELYASQLAQQIDLLL >CAK64998 pep:novel supercontig:GCA_000165425.1:CT868035:205016:205606:-1 gene:GSPATT00034476001 transcript:CAK64998 MLKPTCIYDLQELFAWNEKANYSSYTNFMRHTYRKLINIKGFYLDEDIRKINDVIWNTYN KFNIQSSKVAVFEDGSQEAVLPEYSIRMYCQNVEEQEVKQDDDNFIDQPKEIMKFQLPLL PEFEAQEYAELLEQDDRREEKHHDDDILLRRYNLQVKSGDQVAVSINVMGIILIAKQLRI GGNKFDEYLIEVAVRL >CAK64999 pep:novel supercontig:GCA_000165425.1:CT868035:205915:210024:-1 gene:GSPATT00034477001 transcript:CAK64999 MFIPLLITIVFARDLTIFPLVNELFIYDLKDIFGSIDNPSYELTPVVSPFTIVESIQLIG KRECVESHNERLTEECSEQQQIYVICSHRLIEYCVLDKIVFILSIIRTLPVDTPQFQGMQ KLNENCYSLDFIDDTTLIIDCLDSKQFNLFYFVQVGSDTKQSKLLDKNSIAQSQITFRKM NVLDDDIVLLGQSSDEYSIIDVYDLNSLKISKNTLNTTVMIKLAQIKDKSYQFKLVDFIS KFGLVYVLEETRLSILSYKEEWKLNLQILLSSKGVAFDVNVVSDLNGNEKFELVILTQNG KLYYYNDFVNQPIEMNVVGQSVRISNQYILVQQDQALLQINLNDKQIVKSKPWNGNQFLV SGVYSTLLEVQKTFINKYILSNGYLQGSSKSSKIGQSQITLKATYIDAQNLQQLEVSKIT YEFLDSSDQNLYEISTPTLFKNAIQYDLYFEYLDPFLSGPNQMYKQESPQTDIVLNFYRQ IEQVHLALPTEIVFQETISIDQHLSIMVFQKKTLIMELWGCNLYLPKCECVPLQISIEND VSLTRNTFSGWVYDDDIYFAFSSAPNEIEIWEISLEKIHHIQTIKNKGLTVVQVLGSQKN VFVLLSNGEIDVYELGQLVEKITPPEPGLQIFNNPYHSSQILIVKTKSTLIVYRFNFLSQ EIEYFMNYDQDIYVSIYDNSFTVVNSKTIQEYFMTSQQNKAIKSLPLYGYKIVNPVYAVQ SKHNGLLFIPCESTNGKISFLVYQPESQAHDSLLQVISTSIAGDFNNIIISVDGFQTSSL FFQYLKQNHFFSILQKTQAAALLRSPDKFTDYCQSVNEAFSVSNSFNQLDFTTEITVINR MEYVTITDTGFKEVLLGEQNQTNFLSLGNDWYNGQVVDINVQCKQCPKEIVLNEPVFPIT YNFLGNARIITKFDDDHHLILNKNSLVIIDVNLKSTLDLHLPQEYYSVAVHPQQHYIILA GKNEKTTNLLMVTCKSSYSCSQLQTKTIQAPSVGQMYLIQDEFLILSTPQEIMIYHITYT QTSWTLELKSTTSIASYSPCKGVNYFFVSKIYTDNKPEYGITLLDSTNQILFLFYKIKNG SMSLINSQVRNLQNEITNHNQYAQSDTKFIQLLSYSEIEKTVTQQILFKMIVITNNVASY GMQFSFDFNYEYHSSDILFIVNQYGGWKTLPAGSIKSNKLCIPYSNGIKTVMLFYIIPTI TQKVTSPITLTSLSGIRDDVLPSDTPPVVYVLHTDNVSYYVLTNLVRYKEKICLNLYALK DQPILAVSNTVELDLKQELTITLLNDFSNASKQVTLKSLYEPVKSSASVGWIIAVIVIAS LLAVVMLFYVMRRRSIRLSYQNYYPMQDQQLRTM >CAK65000 pep:novel supercontig:GCA_000165425.1:CT868035:210166:211614:-1 gene:GSPATT00034478001 transcript:CAK65000 MNIEVLCPEHNEQIIQLDIEENIDLGRRTLCVECQGKKPVSLKQSFERLNAFYQEQQNNK EALPYVLIVQLETLRKTLVQEINSAIASIQIEINQRVSPLQDNFSIVGLSQLQILGERLS SLTSFQEKFLQKLMLNLKPQLYEILDRFFNQNPQKELLEKQLSLTKGNSTQEEGNTMDTY YKKINEVNIEDPCEAIAFNQNGNIMITTSKNDIFVWEFQKGVLNQQRVLREHNGDVVSLC VSRKQNFFFSGSTDAQIVVWYLWKAVSKLNEHKGRINCLVLGKSEEAMMSSSDDGSIRVW NYVRSEWRCGQVMRNHKGPVYQISLNDQNTLLVSTSRDNTVIVWEIKENQQWYQKYQLAQ SVYGKKVCFVYNCKFVWVPHNANSIQVFEIGQKQLNKLTEMNLEDNGSDGQFSPLIYNKT RNILVQKQLNKVTLIYKPTSSLNVLQSIDLKDDHFSIEMTDEGNYLIQGGKNKFIIYQLY SD >CAK65001 pep:novel supercontig:GCA_000165425.1:CT868035:212436:213242:1 gene:GSPATT00034479001 transcript:CAK65001 MLIQIILLSVGYAQLEYVKEVMNLLADQECNFKNRIVYGQQKLHHLSGIYFCDSYAKRTC CSQQNLEELKFKWYREQQQAVELTQQCQEIFIKTICSDCDGDIGQQIRVGFCPKYCSQMY HACQNDLFQYDEKTQKLRLCYQNDVFCSELRNIVNSGDQFCTSLGYKVNSYSNIDEWLEN KYLNLSTNPLCWDGTPSHRIWGADKKLPETKVNTKSKKQHTKEEQQSNLGLWIFTVIVII VVAYLAKILSKK >CAK65002 pep:novel supercontig:GCA_000165425.1:CT868035:214037:214778:1 gene:GSPATT00034480001 transcript:CAK65002 MVKLLSKSPVALNSPTLQQWDNSFRENYEMYQTEIDKNTYALQRSIRGFWIFGKAFYPAL TQHYSQKLELYQLKQQLGKIEQSEVNLYKTVDPSISTVLNKTFSTQWLWWNLQDISITAG LQVYAQLFNFGYSWRFGFLVLPYCARVFYDYATSYQNKSRAVEFLNWAIQYRKAKSQIER GSLDPQVVERYQALFGSVKKGPLQVYADVVELATQQNPNL >CAK65003 pep:novel supercontig:GCA_000165425.1:CT868035:214782:215830:-1 gene:GSPATT00034481001 transcript:CAK65003 MQVEQVDYQQFVERNNILPDESIYHFNQDEQNDFIDKKPWDSSPNYFKKCKISIAAVIKM LIHACLGKNNEVMGLMQGRCDKETFIIYDVIYLNAEASEVNVTLTPEAMGEYVQMIEMLE TVGRVHPTVGWYHSHPSYGCWLSGTDVQNQRLQQMGYGAFVAVVIDPIRTMTNQKVDIGA FRVYPDGYRPLKQNQDDNIGIPTQKIKDFGAYHDKYYSLDIEIFSNSIDSKIVQGLWERY WGVRLSQSILEDNQLYFRQCLCDLKDKCFIKYDQPYQGSGQQTNEKQQIKEAQKFSVELA GALLSETVKQILFQ >CAK65004 pep:novel supercontig:GCA_000165425.1:CT868035:215953:216366:-1 gene:GSPATT00034482001 transcript:CAK65004 MYEQSQRQELIDFRNYVRQMTSAKINNNQEKSPCRKNSAKQLSFILKSTQLRQSVQKYEP IKSNQIMKTSYEQSYIQRSTSQSFVQFDANWWKENQNSFSKIKNEGYVSVSDLIKISNGL SQMSKKEIHELSSKYEG >CAK65005 pep:novel supercontig:GCA_000165425.1:CT868035:217539:220055:-1 gene:GSPATT00034483001 transcript:CAK65005 MLLGSLTVILFQIIRSQDCIEQDDAEQQQFSISLSDEEVVYLYFNELDYSSTSFNICSNN KIDNVNVVVSMKLIQYVQHQNQWEYDVLFLKQYKTTKNRMPRQSQLIIGQLQIIQQAKFY QDKDPEIQIVFTLQDQIYNFVVMDTVLIVIQNWRKILIKVYPKMIDRTEIPIACALTSLV DQLNETIFSNGHNQINANNNWQKNMCPFLKLDYKCENQQFGRYQEVLILQTYQDQTLTFS SERTLFVIDLVSKNSECLSFQEIILAYDYDLKTKKFVIVHANQITYDGETYGLNLRVKKA QVFLTKSFILLGSGTEVTIFTKKLRLISTTDFKRNFQIIANQLQDQFIMADKNYIFKYLL YETPFFEINTKQQNDVNLIFEIQAADALKNIVILTQSDYDIHVKTLNISKTIDVSTIYQS RLLKFQKCQYDKILMGAQQFNQYNKLSILFTYQLRIFDLDSFKIIFAFSSSYIFLGQIDK GNLKVFKRYSVQDEVITKLNSAFQIQDNKINYIHCTYISCYFYLDVMNTYDQKKVKKIEN GQVHKVVSDNNHFYLLLPQKVLIYNITEQETTQSDDLDATDVVNIFASPKKKDFLFVQTQ NGSLCLYSISFPFQDLISSFSLNVTQILEFVIFEEYFLIFTTDSKEQTICNVYNYQNELN IFLQRKLPLFFFKMIDFNNLQVDYDKNILYIKGLLTTSNEHVIVAYRVDKRIEQSMQFIT KLKSQIGFVLPISNSMTLRFENKFNFTSIYQNGDLRETCLIDKFLNEDDQEDYDDDDDSS SDSDSDADQDDTLSLDESYKFSSSSFISISSAGELLILGMQSVYGRRRPQNIDIFQPL >CAK65006 pep:novel supercontig:GCA_000165425.1:CT868035:220491:221300:1 gene:GSPATT00034484001 transcript:CAK65006 MKGPKYVESNFQLLLARRVEYNKHINQIKNAKCNPMLEKRSLSQQQSFFRQLEQNWKQAN LKREQMKYEEIVKLNTKMLMKVANAKEDQFLSQNPQKGRIDSARSSKSLHSVIKKTKERE LFQENKRLKDKIENVQSSIAYNKIIENFNKHQGERDRLTRNSYQKQKKIKEMLDSLTSQS KTSLHSKNNNSNQVPKLRLPRINQQGQSVKSIQQLNKLRVDGFYTAR >CAK65007 pep:novel supercontig:GCA_000165425.1:CT868035:221310:221853:-1 gene:GSPATT00034485001 transcript:CAK65007 MIRGFRMLTMRPLYTFAADKTPATTQGKQQQAKPIQVYKDGQLITKNYLQLKKSQDIEGY VLTLVKNYYRTTNKSALTLDSELEQHGLDSLDSIELSMQIEEDLGYVISAETLPVLNKVR HYVNYIKQVEQYKVENNAAPLA >CAK65008 pep:novel supercontig:GCA_000165425.1:CT868035:221856:222353:-1 gene:GSPATT00034486001 transcript:CAK65008 MLKLATQTVKLILIPVLQTVAMNLKPVQDFGLVRLLRQARIASQARTKSKCASSSWKKRI RTPNQLYKIGDNKGVMKRVKIVGPRRLKFKPPGSRHLNRNCSKAILRRMRRTRYISDVNM PKMRKLLPLMRRQKSTSEDRELCEQKNGWNRNQQYILFQRQYLNQ >CAK65009 pep:novel supercontig:GCA_000165425.1:CT868035:222912:231698:1 gene:GSPATT00034487001 transcript:CAK65009 MSQPLRYGQIISLKPSEMDHMFVISDGHIKTKAQIFEIKAKKVASGTLFFQSLFQIYPQF QTSKIDQALKLERELQKEKYQKDNMKSNKIKEMEEKVFQEYKQNIETAEKNKDQIFTMAQ PIQFLHLSSNKFLACNYFEADEEKENFKLELNEYPSDNTCFRLVPAYFHQTRADGLIADE PLYIIMDKIYLGMKPYLHMSDSTKRYNNHLILASRTMFNFPNAKALQRKIISGQTKSKQS RVDLNDLQEPNTQIMLMALDYKKKQDLELAHQLASAESIQKKEINVSLEKRSPFLISVFS NTEEDENKIYFGDVIWLHHIELNAILVTVKNMSDEIIVSLQQTKNDQLGEFIGNTNGMWY IESLNMKGGPVEWGQIFRLRHFSLGKYLAVQKEQDRDTDTYSCFYLEDKQSENSLLQFSA LPSSINENEKFVTKDGFFKLKNNKKWIQFIDKHPDSDSSIVMLRLSDNCKDDDVLKCYKA NLNEIQETSFLVSCFPIMRKTLSILTDLATKDYKKIYQDKNIKFPKKIAQLKKVLQDLTR FCSNQFLISSQNRQKVLKEQYFIDILLEILCNISNEGEIKEYLELTRKKKGHGFSDTIQR SAAQKLHSAAFQVRDALLQDFGQVASRVQQENDKKQLRQYIGEKFEVIKMIYQLLICICK DNNQNEAYVYDRVEKFQYQAKFFKETTDFIISLLKNNEQLLQNLTEKIRLSEQKQRRIRH ESIKSYPQFRLDSSLLQRDPNNIVLFYKKLVEESQNSKDYIEFFRTICKYNNKGLSVNQE TIFKKCQENPKFNNALFWTITCTDNQMLIEKDIDKKTMFQLNKEELESFVAQLRLYSDLA LSRNFQWKGILEKKFPKQFTFEQIFNNELDPDVRSALCNLALTVYIDHEPLHLQIVPNLC RLVNQQAIKQQQEFHDHEIFKQLIPKVMTQIHDYKKNLIDDLTKGSQASKKKKSEAQLQL DIIKLAKTLIQFDVVNLINRKDIYSEILQPLITFLEYDKNNYVLSYLMNLQREETLKRAK NSNKIISTMKGMVTGAIDVGRALVNVVVRKKQDLEEEFAEQTLFSKHPITASLLTITSKI QSLNTEKGEETYQIDIEQKMEVCELLIFFQEMRLDFLITNFLAFYAAKQKKKSNKLKYEL EFDLLQVLPPTLRTGIEEPTKQGAKNIIQWLGDIISRMGRFLNKKFNNFTKEQEMPDLDT LMSGANKDLVKEAVLPSLLIVFHVSSDCLLLDKVVEVIRRCFSQKLELFEKMRDLEILFD QTEINCYQKMVQLLSRLRTLTESSEVWINTFHHKSTEKEASNEPKELHQVQHITKDLDSL LYKHTTVTPNLEIITQDDFLQISTSRQKVFNFLNGHTPLINFLRDSQNQLCDFLKGGYKA DYQQTVSELLKTIYQCLTNFCKGNRPNQNLLAQHFELFVDEIEIDFGQTMLLCAIYEDNK FLCENIPDSRIKQFLGYIRDFGRQSRFLELLKTIQICKGQSLVENQLKILSFLLPHQKEE NKKAHEYLLWGQFTKDSKGIEFNFFNDGQDKEQKHQPYKYHCKLIDLLLQTTQGEESYKL NTPKLKQIFSLGYLVNLVAEENDEFIEGYFPNGTKDANQLKVKVILFINWIYIQNSLTQQ EVMIKEQANFNKLFNKEKERLLKLSSYPNRDEYLKYFFDHLVPLFENFVNKIYAQEKAQR DDNYDVLKEILETIAMMSDGILCQYLTKNQYNALYRLYKPFEENTNRLQMNFSSAIKDQN DGLSSNKKSVYEPPPPEFMKSSLKKNQVMTIFNKKEKSFLTEQFKTPNSNDKSKMSRNKF SQTSQQLWVNRPNWFQFLDMCEQSQVLQDKIKEELHTLASAIFHIDKLVSDKMNTSQSNS VNLDFKGFLKKYIRFVEQGIINKAGKKNLIYSLQLLEELLTINDLEEMQNLFDQQNATRM ILNIIADYKIYPFDDDFFNQLLSFGCKLLEGGNPKVQKTIFNYFSTYSRSENIFSKLNLV INDHIEELNIKYKIQNEMQKEEKQQQILQLDTEPQVPQDQVNDHTQEMQFKQKLRESLLI NVLRFVQLFCEGHNLDLQNYIRQQFNSRNNYNLVSSIIELLYMYHLELTNENYENILRCL DTLTEFVQGPCCQNQQTIIDSKFLEVANSLLATQASKSKSKEHSSKSFFSQDEQSNQKNS SSNLSVGKIKSGKSFKNNRINIKKYMLERIKYKVMVLVTSLLELNSDSLAIKRIMRSLPI EILKKNLTQIYKKHKKLYGPTYTQDALKHIDEDPEGNTEKPEFHEAILETGFYIYFLILY YYELDTQEIDQETSTELQKAKNSLFNKNLFMDSLIGQLFTFAFAIIGGVLTTISQAKNYL QKAVERIGQKIDSTEIDKKNQKQKEINQEIFRETIQFFAYNSAHVEVVRNNQIERIQFYK PPYCKYLPKERKKEFHETVNRDSTNSKISDLIEQTDSIIEVCKHEEKLAVFFSKNKFIAI FANYVILWKDLAFILTLLLNLFIILSYADNEKTGQQTGDEVESIQTIRKNRISNPILLNS NLSVEETESLFFICGIIMIVCSTFVVLFFLLKKAPLYIKESYKKQDLSEYGVLINSLFQI FNFSYSIARVLLNIEILYYLSYGTLAFLATFYHPFFFAFHLTEIVIRFPQLRNIIKSFWE PKLSLLLTFILIILFNYFFTLFAYIFFYDDYSGKCESLLYCFLETFDKAFKNNGGIGGWL DSNQPQDPGNYNYGRFFFDNLYNIVIVIIMIQIFSGIIIDTFSSLREKQMQRDQDIQETC FICGFSRELFDRKSEAGFKLHTQYEHYMWNYVFYISYLKEKEPTEYTGIESYIAKKLKNY DNSWIPINKAMVLKNMVLESQHYETEKLQDIQREMDYIKKTSFEIYKEMEELNIQFLNKQ LLNQEK >CAK65010 pep:novel supercontig:GCA_000165425.1:CT868035:232460:232975:1 gene:GSPATT00034488001 transcript:CAK65010 MKSKNNDIEFVFEQRRFTCIMPIMIVYCDDINKNATIPLTNSKMSQLLQSNFQEIDNYEF NILIQMSEIDELSNFVIPQKKFPVSMQPCKQAKKEKSLRKSFIMKNPYIKQHSYHHIIKQ CLYKLANIIPLMLIFSVQLAFVSKIHSKLHKKLYLNHHPISYQGICQSQHS >CAK65011 pep:novel supercontig:GCA_000165425.1:CT868035:233873:235452:1 gene:GSPATT00034489001 transcript:CAK65011 MQLINRFFYQFSKVNLPKTIGVLGYGAIGKAFTEVLLKQHPEANVVALDKYDAFFPNEKR FKTIIQKRTRENITQTLDVMGLREGDTLVDLSTNIEFSEIWALCAKKGVRYLNTALEVWE DSEDANSCPENAEEAYKLTLGYIKDNAKKSPFWSNGPTALLETGFNPGVVSHCVKRGLED CAKHYLENPQKKYDLGNLRKYLKEKNHSKLAQVLGVHTIHCSETDNQLMAEIPKDLKTKF YNTWSCRGFLTEGLVPIQVARGSHEDMQIPNCYTIRDGKTIVSRKPSVQTWARSWVPNED IKGVLIPHGEAYSIQDYLSDPETGYSPSQYYVYDYNPLAKEFIRNLPPNATIDNTHPQTE VIHPMNHTSLKGWDKVGALLIMKDNRAWWTGSIMDEIDCSNMYKGMFGPTVLQVVAGVYG GFLWTCQHPNNGAHFSEDVETDDLIRIGEHLMGRFISIPVDLTKTKIKDCYKLQSFLCQQ I >CAK65012 pep:novel supercontig:GCA_000165425.1:CT868035:235495:236111:1 gene:GSPATT00034490001 transcript:CAK65012 MSLSWLVSPQLHKTTNGYYINHDNIMLAGPQDRRRLIFYFIASQTPEKITQEDHKKFVKE QTIDVDMRSGIFSFGGIFLALATLREQQMITSRITNRPILNGMFILGVGLVSAAFSYNLS KVLFEPLFVQKNQVLLELAEKYNFSVFDFALAKKEARLKQLRAELTSDSSNAAHF >CAK65013 pep:novel supercontig:GCA_000165425.1:CT868035:236111:236633:1 gene:GSPATT00034491001 transcript:CAK65013 MIRKLFSKTLPFIRPQFYINHIFDTQDQFKKFNRSSIESIKSSVNPLPSPSEEARLYMEE NEVDVRFLKVLKSFDKIDVKQINWEGDLNKDLGLDSLERIALITSIEHEFTAIFEDRVFD NLKSLQDIKNQILKDDSAF >CAK65014 pep:novel supercontig:GCA_000165425.1:CT868035:236874:238998:-1 gene:GSPATT00034492001 transcript:CAK65014 MQFPKTHLNDFISSDYDRTSEIDDYTLFNNVCYKSSQAQVPTEIQIQIRNSDQCQSQEQC LQATRDILDQLNHIIKILKTVKCESETKNRIFNNANRHFFILLKGLQIVDELLSNCKEQE SKILLELKQNYVQERSIKALKADDVNIRMLQVPYHYLQTEFLPKMQQQLKLYEQHTFASQ SLTDEIKVFGTEILMLNLIMPSAIDTDKNDIMSLSSTHPVWKKLECITTRKSLGDPEQIR QSFDKFVQSVMVGHALVNDCKKTTSKWGKIFSVSLNSMYYFLSRSAAEKGMKDHMSQLKA DTAYQAMNFIELPGVKHLINLGLPKISTNIKFYILPVVLPLTIQEANSQIRQGIYDQISN QPIEYVNQEIHRDDKFLFIKNKGRIRIRLLYNQHVVEQDNIFSFLKSFFIKQNNTIEDKI IIHIHGGGFISQSSSSHQCYTREWAINLNVPIFSIDYSLAPKYPYPIPLDDCWQAYNWIL NYSEKTFGIVPNKIIVTGDSAGGNLATALVGLTIKYKLQIPNGLILNYPAVDIRFQYTPS YLKSINDKILSHTILGICIESYSAHPDSQLGIDPFMSPLLLSYDILKQFPPTRLFCGDQD PLYDQVFRLAQRLQVVQRDVKITIYENLSHGYLNYNTIKGMSEIKQCILDNQDAFFELLN >CAK65015 pep:novel supercontig:GCA_000165425.1:CT868035:240499:241912:-1 gene:GSPATT00034493001 transcript:CAK65015 MEIKLKIGRSYLKISQSIITLNLRDSQIILEGSYKNMKKTGKWIAKYRYSESKGFEIMQN WLFIQIRGGGDYEQNGQKSGIWKELYESFSEQHQILFKSSCQVREIVQFQNGKKVGRSVF EYRKIHGSQFIQMLLIFIIAIRGEGYYNSQGRKDGNWNELHEKFRDFCQVTKKGQYQNGI KQGDWAINFREFTDQEFIKMQSSIITIVEVVVMRKGLKMDSGQTLIKNFVDLAKQYRNQI IILGVGLENPKFYLELIKQMNSQLCIKFADFIAERDYMILAGIKMEDGWNLIKTFGSKIE IKNGRFFQLYQFGQYKSGVKQGLWQTSKDMQFEQGDIIASGTYDNFGNKDKKWSDLSWNC LLSSFTLSEGEYSVGKRKGEWVLKLQVYDETQLIGSCNYDDNNLKDGLCIDLDKSFFLQI NLQFQQQMCILFDIYTWEKN >CAK65016 pep:novel supercontig:GCA_000165425.1:CT868035:242094:242690:-1 gene:GSPATT00034494001 transcript:CAK65016 MGKRTGEWKIISQNSVLYFIINNPFRGGGSYDTNGLKNGKWTQLKDILQGGVLILEIGEY QNGIKTGLWIVKWVEILTKNNKIIGSGNYDNMGIKQGMWQDLIEDFCEQNQLIYKGAYKD GIRIDEWVIQSSKDFPKFCHISQTRTKVRGSGQYDQKGRKIGQWNEPCKNYQQF >CAK65017 pep:novel supercontig:GCA_000165425.1:CT868035:243598:243960:-1 gene:GSPATT00034495001 transcript:CAK65017 MNQNKNSYIQYGDQLCYVEKIKIIKAGQINGSKPIGIWRIQVDKYCNNKCLVQTIFLKFK QNGEAKMIVQGQITQISINLIKHLRKQLFETKDSQVSQGELENIAFTKFKERVFKWYYIY >CAK65018 pep:novel supercontig:GCA_000165425.1:CT868035:244249:245002:1 gene:GSPATT00034496001 transcript:CAK65018 MKEDDNPEMIENNFSELSIDENAKQLNKFISNYQSLISYNITQEKYICHLSQKKIEHSKD NKLLSFCKEVFAKMKDINEKFDQLNISVNLFQETNLDKIKNKNDSLLKLFSQAKDSYSKE KKTEAFQKFTEVLTMNPNFIDARIYRGIIKIMIQKGYLSLDVNNTCYAQFDFEEVLKQDK YNLKALKGITKAFKMQCNYELGLKYALKVIKYEPKSPIGNFNAGI >CAK77692 pep:novel supercontig:GCA_000165425.1:CT868285:4520:6139:-1 gene:GSPATT00013157001 transcript:CAK77692 MKRCQKKVLDQVQNKIEYEASHRKSFFQRKFLRMEWSRRKIAKIQGEFDLQIEVILLGKH NQPKKKQLMVWQNIMKTTKRNGDSCWLDYENSILERLQNDQYGEGIKLIKCFDEIVIFCD VVPLLSYIKRFTIQSDFFKYFEVIKQISQETYRIQKRNETHDYQCTIYQKTTLTSQIEIQ LEKSVYIQRRINHEYLQKFYEVFENPEQIIVVTQLTLGGTLNNYIQKFPTLSEEKAQKFM FKIFKGLAYLHSKNIMHRDIKPENIWLGLNGSLENPCLSSYGLAEIVQQNVDSADSDNEQ LIPDYLRVRFGTPGFTAPEILRNEYYDQKADVFSAGIVMYYSLCGKIPFQGQNLENIIES NQECHIDFSKLFLSDEGIDFIKSILNENPHERLSSQLALNHQWLRKERLSEVMEFKASQK LKQLTEKNNQQKGQLQFCNQQTFSQDASPLSPQSPQINKLQRQNSDFRIRTQCNSFSPDV NQFRKQSSFTSKLNGNTQNQLSHLNENDEVRRCKNSIKKSIFKQ >CAK77693 pep:novel supercontig:GCA_000165425.1:CT868285:7039:7764:1 gene:GSPATT00013158001 transcript:CAK77693 MGQSVKCCNKQEDSLSEIYSQQIQAEYFGPEYAHQYQIQTKFITHFQAYDNEEKQLRKET QTLSTRNHVDSELAQSPFQKNDKYLINTDQILDSFFNLDSNNSNSSYENSICANNSILKK TNQQTPKHTKCVKFKDICSKISFSISQKKELGQSLKIQHKQFHLFFEKYYYFIFNLFFII DEFLLIKQKFKSPILQISHYFFNKQETLQPYQDESKFIIINAIQFNQQHFCYETYLNKFV K >CAK77694 pep:novel supercontig:GCA_000165425.1:CT868285:8514:9978:1 gene:GSPATT00013159001 transcript:CAK77694 MQQRNQLVSNNLDLRNSFFTPETNLWTTNINFVSQQNALIVVKYLGKVKEKNLYIGAQYI KYSRNKYISFIEAFLTILRNSNDEIVGFRLEKNCNRIELFGQISGWLAILMKECICLDFV SNFQIVRLLGSGSAANVSQIGLTQVYKVKSKIDNQDYAIKVFDKSLSQKDSTIQQSIKME VRILRQLNHPNIIQYKGVFESNAQIILVQELLEGGDLKTILGDEIVNEVAAKQILRSILK GLNYMHNKGIFHRDIKKCNLMLRTQDNFNSVCLIDFGLAEKANDDNNYIFKYCGTPGCVA PEILRKQKYGLKVDIYSVGILGYQILFGKDPYQSGSTKETILKNFLGHIDFLNVPQVSNN GLCFLKSLLYEDPINRLSAKQALKHPFLYNEENQLIVRNYLDLNRSKAVSPKLKPLIFKN SRNSSPNGSPKILPNKVYFRAQASPLRKKEQIDKISNSENYGKLSLSQNFKNTFKKMNTK >CAK77695 pep:novel supercontig:GCA_000165425.1:CT868285:10289:11313:-1 gene:GSPATT00013160001 transcript:CAK77695 MINTTTKREESFLTTKVSDRVITVENEQRSTYSFVRRNYLTTTFATLASRQTNGVYNVAF NTSCCQPCPQPCPQPQAMPMPIYIPMPYPQQCEKECHCEEEEQYKEEVLILRKRVAELLS RQPQVKMEKETVKVENTTRIADLQMEIERIKINLRNEQDRLRQKEGQYLELRSDNSSQSL KDRLAILESQLYNSKLELEKLQGLLQSKLQELDEWEQRYHHMESTVTVESTETVTLTNEV EVWKTRFKKLNNDFFETQEKLIMAQAELEALKKGGVTEVKQVTVQQNVTSSSVNRVIEQS SRGSRFIDQNLVGKLYP >CAK77696 pep:novel supercontig:GCA_000165425.1:CT868285:11457:14367:1 gene:GSPATT00013161001 transcript:CAK77696 MSAYEDPLSSPNMASSIVLMNDDFLNNFKMKQSQFTMLDKVKLSREVSEQSIVSQDSYDF EAKQGFKTKIWKDRALNIIVLVARFVTYLLTNSDKFKLRYLDHRQFKVIGDKASDFNFYL THKLIRGKAKQGSLELLSTKIKLYLGFLRVFSSIIKPIRPDNSLKLYWDVVVFLILLINI LYIPLKISFQISLVDGADFFLDTLPQYVFVFEILLNFNVAYYSRGVLVLNRTQIFKHYLK GKFALDFIVLIPFMIGRSNVPYIEFVLLFRVSRVMYIFENIVETTNLRVNFASVIDIISL MSTFLFASHIIACIWHFIAIQESSFEENTWIQRANLVEHNWQARYITSFYWACITTLTIG YGDIIPVTQYEKIFVIFVTLLSSIIFGYTISSIGSIFAQMSENKNYLRDRMTLIDSFLKK RGLNKDLQVKVKKFFEYFLKQERDQESECEKLMIHLSGTLNREVKIDFYKNILCTSKLIR QNFSAQFIEKLCILVKEQSFVPEEIISIEGQKVDKIYFILKGEVEAYISNNKTIKFYSRS QAIDEKSFISQHPALFSTRAIKFSKLAYITYDDLLELLKYNQEDREHFYYVKHQIEFGGS VKMGGCELCHQNHDFIKCPFVFYTPNSLRLFKKNDHEDKQLRRFRVRSRINQKIHNNSLA QLRNLQQLVFNYCACQGLLNEIGPNSEFILSNKFNFQQDSAQEEIDPSMTNSDNESEYKP IKSQNYLQIKRDSKRKVSENKDSQSIKSKKKYTRISIQKICQQNRNSALYERENCIQERK HKSSTKRVTLIQNQMKLEQSERLDDDPLQTQFQQTIALSQQQQAQQQLPSPQLQQNSAKL LDVDQVSFIEIDIARQMLKKECDIDLQKEMEFYDTGFNFQVVVGKLKKVSKKLPLKLKRK KMRKSFARSQSIRHISQTRS >CAK77697 pep:novel supercontig:GCA_000165425.1:CT868285:14858:16244:1 gene:GSPATT00013162001 transcript:CAK77697 MAEEKDTKTVPLDENDINLLKKYGMGPYAEKIKQLEEENKNSVATINKMVGIKESDTGLS IPSTWDLVADQKLASEHPLTVARCTKIFKSSLQQKDLYMITIKHIAKYVVGLGEKVAPTD IEEGMRVGVERQKYAIQLPLPPKIDPSVTMMTVEDKPDVTYNDIGGCKEQLKKLREVVEM PLLNPEKFITLGIDPPKGVLMYGPPGTGKTLTARAVANRTEACFIRVIGSELVQKYVGEG ARMVRELFQMARTKKACIIFFDEIDAIGGARHDDGNDNDVQRTMLEIVNQLDGFDSRGNI KVLMATNRPDTLDPALLRPGRLDRKVEFALPDLEGRAGIFKIHARTMSMEKNIRYELLAR LCPNTTGADIRSVCTEAGARRKAISEKDLLQAIEKVIKGYQKFSATQKYMVYN >CAK77698 pep:novel supercontig:GCA_000165425.1:CT868285:16246:17181:-1 gene:GSPATT00013163001 transcript:CAK77698 MISGALRRSFIGKAHFGLVSEYNSRVNQKLYKGVQVSEAPQFFTTSARPGNFGDHLDFKV QMDNWFDENRVHNEHETEIKRTQIYALNAVYYGGLLSFARLFAVGIIGRLDGWKRYDRDT YLEMDIGDLPPGEVMQIVWNGTPVFIRRLTQQEIKDEDNLPKETILDPSSEVVLTNCGNT KVLVVSALCTHLGCIPIPYLGAYNGWVCICHGSVYDKYARVRQGPALQNLPFINNSIYDD VIVCIEEMKFPREPSQRYWT >CAK77699 pep:novel supercontig:GCA_000165425.1:CT868285:17225:19012:-1 gene:GSPATT00013164001 transcript:CAK77699 MKFAQVTAGAFSSGKIVKVEHYSYNANDQIGSGFSSQVFKGKNENTNETVAIKIIDRSKI TNEVEEFLLNQEIRALSLMNSDNVVKMFDYYHKPQCTYIITEYCNQGNLGQLIKRKQRID EIEAIKIMKHIVNGFKEQVTKGVIHRDLKPINILIRNGIPKIADYGFSKMMNAPPEKIYY NVGTALYMSPQTMIKNIYSEKTDIWSLGIIFYEMLYGEVPFSAQSEKNLAQVMLKTQPQF PPNIPVSKETIEFILKCLSVDESLRFSCSDLEHHPIFYRRHTMTAPRPIADRNPSVKNRE NYSPQRTTEYHHARKINFIAQTAMPQSALKSRESVRCLTQNDEIIQAQFQFIELMFRILR ILDKQQVLNQDLQIKLKFLIIKNMFFKTILLREVVEKKKNVLQLNEFDTYIETVGKYQTQ VHELYQISKEYHDKQYQMIENNQTLKQSVLRDRSFQKIYENFKSVTESYEFYLLFSTLLQ RCIRDLFNKCNSRLAGASDSQQLQLQDETMVYVLEQLTFYYGLLRLIIENNSNIQIFTKK AQISRILQASPEQITKSNLMSIRQSIQEMKI >CAK77700 pep:novel supercontig:GCA_000165425.1:CT868285:19061:20506:1 gene:GSPATT00013165001 transcript:CAK77700 MDYTQKDSFFDDSEETSFWTQTQFPAQEIKTELIDSMLIHKERELVKRKVGMMSHYLLLF KEQEVHKWVNLINATMELIKNPAAGQGIRFLKNKQRFEMYGDVEPWYNYLKKYCIQRCFS QRYSLLKKIGQGNFAEVFKAVSKADGQEYAIKCFRKQDLKEEVDKLSIIKETSIMRKLQH ESVIKMYEVFEGEEYLYLVLEYLNGGELHKFMKKSPPFSEEKCSKLIYKLLKACLFIHEQ GILHRDIKPENIMLRKKDDLEDLCICDFGLADYYNPNGKYLFTRCGTPGYVAPELLQDKL YDYKVDVYSIGILMFILIAGKSPFEGKDYDDVVMRNYYAKVKFEECKLSEHGMSLLQGLM NKNPVKRLSALEALNHQWFVQEKLAKTCQFKIRRHSNIKRLPHLNGSPIPTTNFLSPKNS FNNLSPQSLTRTDDNTPNSPITPVTPLQNKGKPIRKSIFKLQQL >CAK77701 pep:novel supercontig:GCA_000165425.1:CT868285:20721:21475:1 gene:GSPATT00013166001 transcript:CAK77701 MSSLISTQIQEPQINPQLAPIIAKQLQMIIKQPIDGVHIIFNEQDVFDIQADIDGPVDTP FQGGVFRCKLILPPQFPQMAPKGLFNTKIFHPNVSEKGEICVNTLKKDWNPLQWSLKNIF EVIKCLLIVPFPESSLNEEAGKLFMENYDEYFKRAKLLTSIYALKQEANILKGNNQIAFD DIDKKNKVNQIQQKKENDQKKWLKRI >CAK77702 pep:novel supercontig:GCA_000165425.1:CT868285:22227:23555:-1 gene:GSPATT00013167001 transcript:CAK77702 MKREDQIYLSSLQIWNAMDTPIHQSTNLFSEKFKQGSELHYNYKQPFCLQHPEKKAKYIL INSEGSNEKLCTKCAIVFAQQGHKIQLIEEDNAKKKQTDSFIDQLLQTKSEIGNIHSHFL CTEANLKKFYNDQYTKVVRTFGNIEKQLQLCKSDLLISLSQSLWSGIQLTNQLKLQAKQV EHQLVTYINDITNHYDQIVQMSTLPFNQIMGGYSSKLFKLQQCLKEIQENNIPYLHYEDI SVLNEKHQPTDARNHESTRSSSGQKTCKSVEKDKRPYSQPNSKDAHCSDNSGKKTKQNFK ITEQSIEDWMENSNSKQRKTEPAEKIASPNLQAIKFVNKQMPPNRVSMKQETNQAMLNKL INEFSQIKKDRVKTMQSKTPELGKSKQQAIPNKFSPKFLYKNYYK >CAK77703 pep:novel supercontig:GCA_000165425.1:CT868285:23833:26923:-1 gene:GSPATT00013168001 transcript:CAK77703 MSAKIRASLAQMLLAKLQRISTSRLKDGQFFNIITMDIADLDLTWLLAFSGAPIIFCVGI YILFSYFGISSLIGVITLCSLMVFQKHITTQTGPLKSEKSKIQDDRTKLTEEILNNLPKI KMLGLESIFRDKLLAKRQEQDNKMREILKIDALAYCFNGNINVFVSLFLMMFTSKILIGD YPSPDQIFSSIWILQLFKVWCITYGQRAYKLIINLRVLQQRINDVICIPEIEPKLLNTRQ ATKKQKKYQQIEEEEAASLDTVEEQRQGQIEIINYSAYWTKLQEMQNQPILNKINLKLDK GTFIIIRGTVGSGKSSLLQALLHEIPFFSGQLKIQGHVAYVEQRPYIFSGTVRENILFGC PNNETHYLNVLVQSGLRGDNIDPDFNVGENGSKLSGGQRTRLSFSRALYSDSDIYLLDDI LSAVDANVQMTILNTLRYLKSQGKIVILISSRIAECDMIYKMQDGQLIQEEINTNEIQLL QQVQEQPEQQQGIQEEDEILEVSKTVYQKYIDEGGKNKAMLIVFLYLFDASLSLLIIKQF GESNLLINFFLMIVYVLVSFTKFNEMFGFTITVINNLHRKLISTIVRMRLENYTNIGKLM SRFTSDINSIEGLVMLDLHWVIEGLIDNIFVILVISLNSYIIIVSTAFLILMLYMKSKFH QKMKYSLNLDDQSRAQLNQSLRSSINGSILIRVYNQQLRIQSEFLQQTYSNLQAQFTFLR LNALLAFIIEFSLHILIITVLAILLSSEYSKDVIGFSVLMLMQLGYMFSLNLRGQIFLDI DMQNCVRVQNTIQLPSENYSGSKMNQWPTNGVVEFHNVYLRYPKSTKYALQNINFKIEQG QSIGIMGRTGAGKSSIINLLLRTVDVTSGTINIDGININQINLSQLRNTVMVIPQSSNLF NQTIRFNLDPHKTCYDEQIWDALGKVKLDSVIKEWGLDSMDYNLSVGQQQLLALARAFLH DAKILIFDEATANIDDETEEIIEQALCSITATKIIIAHRPKIVVNCNYIINMQEGQIVNQ Q >CAK77704 pep:novel supercontig:GCA_000165425.1:CT868285:28079:28772:-1 gene:GSPATT00013169001 transcript:CAK77704 MKTKKIFPSNTQIQNSVIQYEQDNTTVLRMPQSAFSKPQLSLVPSEACQNYYQIIEKNKL QYLEYYSQFNEMRFMENMAGLKSKYEKQALLENFLNMFEDMISCLMAIQNTLLLINFDNP DFSQVYSVPPNIMVDILFKDIKTLEGLHLEMQYASSLRFLETVLEALEFNSQLYYKIHQL DVKQWSQKCNNYLNSFTTAQQQINNSQRSCCCFK >CAK77705 pep:novel supercontig:GCA_000165425.1:CT868285:29126:29569:1 gene:GSPATT00013170001 transcript:CAK77705 MQNIFFTISVINQVLIFMKRKSTFFKYGKHQSPDELIKHLNQCSLCPKFIENKQQANVTN QNTMVLNKVEIKKSKSRDCERSTAMNRSRLQREYLRFLLTTDGPTSEFYQLEKKKLQIKL QDIYETAQPNVCLSKLLGQYQQLVNPF >CAK77706 pep:novel supercontig:GCA_000165425.1:CT868285:31271:31705:-1 gene:GSPATT00013171001 transcript:CAK77706 MQSANTQTTALKNIFRDIKMRSRGTSMEKFQENNNAQLLFTNQRLRTQFAISKQASEENI AIQNRKQKKKTTLKLTTRIYNNYLLNGGRPNKKQSLPLLQISPKPLPLFLIPNSKSSSQK ACTTRTCSQADIKKFQFKTLFNDI >CAK77707 pep:novel supercontig:GCA_000165425.1:CT868285:32906:34254:-1 gene:GSPATT00013172001 transcript:CAK77707 MQFLVKSSGRVGFFGFATATATHKDIAGKNFLKFQSKYAEYVTQFNQKLEAIEKENKAPN VAPQGKAFEHPYNNPHNPVNMSGIKSSELFYNFIGPEQVSPHYENFLVARKYLLLTYGGL IVIGFAAGTTNLHWIAKSSFLPFLFWMQIMYFYLEGHSTEEQLEMNVSNWINIITRICNS KLEHSLKQPKDKLNMGNYTRNFKDVKAELINTFLMNEQLNLQRHVAERSQNILKSVQQAE QINQNRLLQEIIEAAQKSLETNLKSNLPEIQKALFKSALKGLAQGKMTYESDPLIEMILK TIKEHVSKIQNLSPAEQKKLISLSKDQLAAIQANDKKAKEDFLRAEPKIDQTLKNYENVK RQMASWGQ >CAK77708 pep:novel supercontig:GCA_000165425.1:CT868285:34639:35980:1 gene:GSPATT00013173001 transcript:CAK77708 MGNSMVVDWNEQLKHQFTKEKCIEHNLLGPIEIYTYITDDQVKIFSKTLQLSDQQYFIEK TKLNHPNLFRALFIEKCSNFCSRGQDIYRVYYDYPGEETLETVMKKKQNPFKESAIWSII FQVVDCAEYLQNKFKSISNISLNRLYPQERNLKILEVNHLFNILSSYDQALQNCISILSP EQIDQLERNVRFPQINNFKSDVYAFGIVLLCLTTKSEFKQFYNQFNQLDKNNIFQSLQTI KNNYSELLHGLIQKMLINDPEERQSWIDLKKFLDPYKVLQEQEQPFYLNVKLCPKIIPQV PIVQSPQKNEMISLFPNQPQVVTLAQQNPEVFWIPFRQTQNQQIQQGYQSNFLDHSDTNP QLINTQPFISNHNALANYQSPQVNNQGNIFNQYLPGPQNGAEYIQPSLGIRQE >CAK77709 pep:novel supercontig:GCA_000165425.1:CT868285:36533:37848:1 gene:GSPATT00013174001 transcript:CAK77709 MDPYKNISQYIRFHHQSTDFSNSQNKIPGTQSHCNDTIRYESQHAQPKICVQYESNLEKN REKIQEIKKLISTSKKSNPLAIKSQNKQLSINESKMKIEIPKPIRNVSNFSHDSRISTTN SQTTPLRSSSHDKQHSYMQMSKNYKSPLNRFKKIKYLGRGHISDVYSVIDTTTGMIAALK TIQKSLITSKGIQGLIKTEIAIQSLINHQNILKCYGVINDEKQIALVLELGDITLLNYRK EKRLQEKQIIDIIYQVLKGVSFLHQNGIIHRDIKPENILLQNGIVKLADLGICVKAAETN QYCGTPGYMAPEIMDKKKYNNKVDCFSIGVLLHELLFGKLPKIGQRINGDTQLINLMNQL LEVNPKNRLSCKQALEYDLFKLCERKVLKQTEMIKNTILWDC >CAK77710 pep:novel supercontig:GCA_000165425.1:CT868285:38081:38587:-1 gene:GSPATT00013175001 transcript:CAK77710 MKYQYDLNNVNKLSHFKSYAKTISNLQNLSKQRNSFYRSQLKCHFYGSRRRLIFWNTYIK QKYKMHQPTYISDTLLLHIQTFLD >CAK77711 pep:novel supercontig:GCA_000165425.1:CT868285:38614:41234:1 gene:GSPATT00013176001 transcript:CAK77711 MSIIHNFVQQVLQFKGTYDDALLQVQSFIAQLLCSLFEVKKNQELLVFNACIKRTDQVLI NLHDYFLEISPPIKSIFRDRPYNQEGFISSDDEVKQSVLPSATKPRQSNAPVVKEVILPV DEKVRMRDDLIQKMTIGYLKDVQHLREMFVRKDLFPNEEIFDASYYDYTNTLDPIIRQFI YNKILDLTQQFRLQIQNLTQQNQKYLSEIEKLKRLVKKIIGSAEIESQIKAILQIDKDLY RFWKGVQEVIGTQQIFEIFEKRKQGYGIDYVLIDRCINNSQASARIFQEFKTQLEERQIK FTEQLLREFNMQKKETDELKYYLHDQKEQKDVDILKQKTYIKSSVEQIYEIKYNTFFQDR EQQRQNLQEALDLIADKDQQIWIRKCVKRHALYKWIYLAKIKKLLNQKNTRRSLSNDRSC KSTNVFGLKDNRVANLKKELKNQNEIAMEAQLNLFECQGDFQNLKSNYTNLKYNFEMQLS TRIATQQTNVQLENIVDYFGKIFNFIKNRVGLNYLEINLKNSKYFLEKADQHLKQFEQKV SSITVQDQNLIYESLKDLMKRQIVWRRVTSNCNSFTLTDVYDIKKPLQDAECELNSYMLL KNEQLKQAEYDNQIIELELEQAEKQFQQQLEKEQQKQQEDEEEEESLIVQQTDDQAQDSQ NCNQKAKVPSHDPQQADFEHKYNSNKYKRRKSLIRTEQNEFHQYKSIVGQDKDRGKMKNQ TQQTNVSIDCTKCYNDIFERMNPEFQQKQEEDKSQGIIQISKSKFFQTKQHTMATILTQQ NVVRMQSARFKKIERHQTNHIYSQINRPQSKQERSITLKGERAQIIITPKSVPITQRQFK YTK >CAK77712 pep:novel supercontig:GCA_000165425.1:CT868285:41570:43203:-1 gene:GSPATT00013177001 transcript:CAK77712 MKGKKLLDDDEEFLRSKSQIDIFPRWQELKPLGKNIHRRSYHSCVAHENFLYVYGGYETN EGILSDFCRIPSPVSPKPFCWTTLIKKAAADNENNLIPGPLRNHTAIVHQNKMYMFGGKE NLMSPTAKLWIYDFQEEVFIEGKECIIDGKKMAVEGHSSCLWSEQQQMVVFGGFYSDTGY RSSILFYDLQKEVWTMIFKGDNNSAQFPKGRAEAGVTLIGNTLYVYGGCNYESRFGDFWK FDLENRQWGLIVTEKSPGTRSSTSLLVHPQGIMLFGGIHDITHEKNDLWLYRTNEWMQLE EDTSRRQVADEMLNDSAFDKASQARPQKKEKGRNEPRSFLLLDDEPKNSIHQRRNSVKNL DQKQPTDTNNNFQLNSMDEQKKKRLQQKKNQMLAEFELNEEEKSKYRSSSPTTDQIKNSI SVITNQEKQGGQRKIQSPTGKKNAIQASLSGKKPCARDGHSAIVMGEIMIVFGGDRNLMS FNDIYMYSFNQK >CAK77713 pep:novel supercontig:GCA_000165425.1:CT868285:44249:46519:-1 gene:GSPATT00013178001 transcript:CAK77713 MAQSFAILQNSFKHFGEGHYKESMEELQKFKQLKEQSDQNQSMVQINENIILCEYNITRD ANVCIQKLDEIIDEIRKPQTQKSNNQSQFIILEKKQDDDSILQYNKAIMLFLSGKIRQAH NLLKQLKDNYNLDIYLNIKVNLLLVETSFQLQEYVYASELYKKLSSDETLKSLQQKQTKP NQIDDQQQQQSQQNYTSLLIGSDLPYPDSHPNTFSKEEFLFLLNVIKCRFYMLSNTKDQK KQLNPLEQSFKNYMTQLDSSNGISQNSLPSFSQDIQPYLKLHAQMILKLMKAQRHLTLNE NVHKCLKMMTPQPEIQNQQNAEFAQSKQFLYLAQIFNNLGCVHAKMGKYALAAVYFHKAI SQTKLVQQQQNLYEGIIMNNVKQRLYAMYQNLADALFMDQQYQKALNVYNQLQDLCNQSA KFWYNRGVCYIQIYHESMPDKNEIYEISEDQSQLNTQDEGKKIILPSREIYSDADEEVQD QFYKIDQKNEDNKFVQSKANKELLNNAIKSFRNAIILSKKEKREEIIHLDQEQLSIQGSQ IFESSIVFLTYSLLCRGDYNLALSQGKEALEYNLSDNNKYIIIQYVLEAYIQISKLKDAI NFINSSSVSQFLNRINNNNNTNLQFQCRNIVGIQTTCISNYNSKAIYHFNLSALHLHNNN LTQAWNSIQSIMNCCDININAINLAIPVPILNLLIWYYLKSDNVQLAIHLIKRRRLITGQ IGKNKISLLNITK >CAK77714 pep:novel supercontig:GCA_000165425.1:CT868285:46539:47649:-1 gene:GSPATT00013179001 transcript:CAK77714 MSGKDFSQFLSNKDNQSIQESVKKSVDPNLFINEQTLKQIEAETTKHFWINYFTQSIVTT FVAPLNTIYTSLQLSVIPHQNKYGDLPEESGTKTSTQLVKTTRDIQPHEKRKFELLIKSG AVGSNKPFRAPIYTTYREAFQGLTNQGWQAFFKGNLIGILHLLANSHLKIKLLSDLDFRL GAMWHEGNQLLRQAVILGLVTVSDVASNPFMLFQSRFILQNRLPNFYLYKNLLSAFKKHV RHKKEYFQGSTTYLYKNGFIFLVQVPIQFSQKLEQFQMLYLYLSYNLISYPFLTIVRRLH CQSTLPGMIPIRYSGPFHALRLITQEEGIKGLYRGFGLYLIGSGLLQYIMFGTQIDQGDF >CAK77715 pep:novel supercontig:GCA_000165425.1:CT868285:47682:48085:-1 gene:GSPATT00013180001 transcript:CAK77715 MAEGRENWAVDELLKAEEEANNIIKVAQKEREKKIKEAKVAADQEIAVFRREEETKYNQE ILRRFGSTKEEEELERKTKAEIDKIYQDYEANKLAVVDMLIKRVIEVKLEVPRVVKGQFE QPQQNQ >CAK77716 pep:novel supercontig:GCA_000165425.1:CT868285:48143:49996:-1 gene:GSPATT00013181001 transcript:CAK77716 MSAGPESKVYNCANIDLIELIGGDPLPIDTSKERYVSFQGDVRFSVKTHKQTMDSLKEGK DYFGTNSIHYSSIQNGIYIFVVEKSQENIIQTYIKTNLKRQYKQAQIPKAFYLKTIIEGD KKYAIHQIVISDKQEMKRELFKEEKETMQSFTGLQFSKKSVIMVFATLETGTNSDFTADE SFLLGVQGILEDINQGKKCDQFFCKPPSIANLAEALSDIPVLKITCQKKMCSYTQGGQTK VLFTKYPFLQTDKNFRSLEKAINGMLLTTISPKNQKFVSITAQLAMKCNIQDFDFHSGGY SGAFQAIKLGDQKLYSALIIEPGYNGIKRRLQTQTPEFYDLLEGIDKVKSIYYFMIITSK GPEELFNEIVSVIENTKVSDQKKFECTPIPKEDDISFIQLNSKVNSLKTQNRNEKPEEYE YVYEYAYDDIDDDELGEYEYVYEYQYEELPEELNQQEFYEEANDLQSDSIEFDQSTDDSD SEDIENQNVPQADLLEVQQNEMCFYAFSECDFQGASLKICGPTPSIPRELQNFLIQSIKM PDSMRITFYTNQQQKITIEGDQECLQRAFEIDQMRPQRQLLSHQ >CAK77717 pep:novel supercontig:GCA_000165425.1:CT868285:50056:50595:-1 gene:GSPATT00013182001 transcript:CAK77717 MSAQKNQQGYSKSKKASITKDQNDSGFLIVSPMKELSPIKEKISEEQKCIEVVEFGWQRH KLGGEIRYLEQFYIENPNLQKSDIQRLDLDSCLDEQGKPVYRKTTQALMQNMALKIQSRI SMAGEQIYSSIPLQQACLSDYHCFKGDYGKDWKGHQVIKSKTLSGTKRGQTSSKKIIQQ >CAK77718 pep:novel supercontig:GCA_000165425.1:CT868285:51020:51340:-1 gene:GSPATT00013183001 transcript:CAK77718 MDIYQHSYTLQPKRLCKEPKLAISILKRKFIEPIQDFAGVRRTRTSFSPQRRMKSIQTRQ KSAIQTFNFEVGQIIVPKRNSKVTRFQGPNFPFNLNYLIKQMNALK >CAK77719 pep:novel supercontig:GCA_000165425.1:CT868285:51635:52634:1 gene:GSPATT00013184001 transcript:CAK77719 MNVLLKGMKQLSYRPSFQYWLSAHPTTKSISQLSPRQLLDTALIKRICQKQIPKHTIMSQ FCLWHGKQPKSGNQTCFSEKKTRRSWMPNVQKQTYESLILGRKIHVKVTTKTMKCIRKAG SFDNYVLLTKPQDLDSIYGEYLRKLMLTKVNDPSFEIPHVLKARPHKYSRRAQRFSRRPA IVWHPPEIRHKDLTFLKIRTTNEMNPEELRKLREYDSLKDRFEDINDLLHPILNEKFFED EKEWPKFEQVEGEKALAEFLKKKDKEKIRLTLKSVEETLRENNKALGIF >CAK77720 pep:novel supercontig:GCA_000165425.1:CT868285:53286:53747:-1 gene:GSPATT00013185001 transcript:CAK77720 MLDKALSYCLQEETPVSIADIMQISQEQQINHKQPKIMAQPTKLDFHQIQQKFIKQSSIK DMINQASQEFKQTPKITQDFTPYQSGWQKNILTKYYNLNTGNNSFCNKTRRRAYSIQMNT KKVNLRIRDMSMFTERKFKLESNNQF >CAK77721 pep:novel supercontig:GCA_000165425.1:CT868285:53911:55560:1 gene:GSPATT00013186001 transcript:CAK77721 MNTKLEQVPLLNTTCSLHTSEHLNLVCLTPSCLSQGFICKLCRKLHENHNVVEANEFLDI LKRLVNYDGKYKTPNQIDPLIGRTTSSLMAIIEELEIQIEYFNEKLSQIKAQFDKYRQIS NDVCLLNNLIYYVYGVDSQQPLFQEQLQGVLKRMCFQQKRLSLISVASCQTNHLFVPNER LYQNFLEPVLNQLKQIRQSLDTKMMQPDSYNTLNLQFKNGLDLVHNAQFNTIIFESESLT EEDKIFEKFIIDRPDNNPFKKLKRYKSETNPVEEPCNQGVGRVEKISIVAGHKMWYHDLS YVRFKPRNWVVEPRNACIICKCHPKFARIKEFLNCKYGDLYGPVALDLHLFEAFKKAVAN RKEKQFLVERSGIYFHDLCVYWSSMVDCDEVKGSVDFESLCNAVRIAYDTFCYLCKRRGA TMKCNNKDCNVWIHYYCWKELDPSNQYLDNERFRMLCHRHALGQDYKPDWLYSNQIAQSI SSYYQQLKPQRPQNQVKITHKEQYEEDNKSDEDQQFRDQYKRKPIIKEQPIKSEQYFNIP EPYDYDSIL >CAK77722 pep:novel supercontig:GCA_000165425.1:CT868285:56666:57035:-1 gene:GSPATT00013187001 transcript:CAK77722 MADQSQAEYLNLKVKSQDGEEVFFKIKKATQFKKLMDAYCSRQNLQIQNVRFLFDGERIL ETQTPADIGMETGDEIDVVIEQVGGYKIM >CAK77723 pep:novel supercontig:GCA_000165425.1:CT868285:57100:57940:-1 gene:GSPATT00013188001 transcript:CAK77723 MSVRRLRSGGMHANSEEEYLNKIVKLEKQQKKKTISQDDEYFDGFIVNSQFTFECIEQPQ LPQITQKSHFILIMIDDLHLSPRRLQNMIEYSKFMFKVILADNCETMTQSTNDDSSTWSP QNMNYDCVVHTYTQECVNHLFNIWIINTRNINSTFKYCYYQLFEAFICVNNNKNNLFQEV FEEIRQINGKVQQFHIKENDEVSPERKQINNLQQILKTLIDSRTP >CAK77724 pep:novel supercontig:GCA_000165425.1:CT868285:58303:58617:-1 gene:GSPATT00013189001 transcript:CAK77724 MGTCAAQKQKRIQNDGELSETMLKVRMSNDSQAVLREKTKQKGSFNPFKNPIVSRRIKEI PAQSNNCLLIE >CAK77725 pep:novel supercontig:GCA_000165425.1:CT868285:58773:58967:-1 gene:GSPATT00013190001 transcript:CAK77725 MGICKSKTKDHVDAQLQGSFAQVINQGPEKNNIEALINEKTQSQELYNPYRNPILNRRLR QSLA >CAK77726 pep:novel supercontig:GCA_000165425.1:CT868285:59113:62741:-1 gene:GSPATT00013191001 transcript:CAK77726 MERKLYFGAEQQLQNKQYNYPTNFIQTSRYNLITFLPYSLALQFQRMANIYFLIIAILSF FKSISPFSPINSIAPLLFVVSLSMLRDGYEDYQKHLSDNELNSSPTTIWSNGGFVKKTWK DVLIGDIIKIDELEIISADLVVLQTSQDGICFIETSSLDGEKNLKPKQAVKETQTIECRQ GIIECINPNQLLYNFDGTLFLESKKIQLTHKNFLLRGSKLKNVKWVIGVVVYTGIDTKVM KNSEDQKNKMSNIDKLINVRIIYILIMQAFICLILAIIYGINCDVKTINFDYFSRSFSGY TSYHGEDEVYAPDVPNCAVASLMTFAAYFLLLNTFIPISLIVSLEFVKVGQGIFMQKDGE MYTAENDKYVKVFSTTINEELGQVQYVFTDKTGTLTCNKMEFKISVCGNEIYGDMSMFDK HEMSTFVGNQILRRQSTLHQRRSTVVNEKAGIEYTFSGAVIQSIISETDPNKNPIIDLNV DTYLIRNQGDLVKENLMLLATCHECVLEFSDDGTFNYQGPSPDEIALVDAARRLNILYKG ITMGIMEIDVLGVKEKVELLFSFEFNSDRKRMSVIIKHKGVIKLYTKGADAIIKQRLGPK QPFLEGIDKKLDMFSRKGLRTLCLAMRVLDEREFNQFSQAMNDTLGGNDTEKQQTELINK IETKLTLIGATAVEDKLQEDVPETLADFLKANINVWMLTGDKLETAENIGRSCNLLQEQM DVFTLTPGCDILNIFNQIADHVIQKPDTKRAMIIEGIVLAELNENENLTKYLILLAPHLH TVICCRVTPKQKADMVRLVKNELGKITLAVGDGANDVNMIQEAHIGIGIYGQEGMRAVQA SNYAIGQFKCLWKLVLYHGRQNYIRISEMILYFFYKNIIFTIPQFYFAFFNGLTGTSVFD EFFVSFYNTVFTFLPVVIRAIFDEDVFYTQQRKQTILGSKKITEGQEENDILRQSYPLLY YIGQKNTIFTSEKFFKWFSIGIFQGLACFFSFYFELNDTTFVKQSGYNNDLWFFSMSMST AIMILVTLKLALNTQFWTIITWVAYLGTSLGTYFAYMWVSNIIPSSAIYGTTQMLFSSYA FYLSLALSVLSMFILDLLMFTIKTSKDTLLNYMKRQARQKQQLDQAKIFKLEKKLKEQIS EMEMPDFELHQKRSLINSNLSQSQGNLKQSASKDNYL >CAK77727 pep:novel supercontig:GCA_000165425.1:CT868285:63271:63762:-1 gene:GSPATT00013192001 transcript:CAK77727 MGQTCCKKRQTNKSHQSQSSKMVLGDSHQLILTNPNSFQSQQGLQQYKQLCSLLQLVGNL DQLKSKTRDHIRQLFSQKNNCQIVIQNKIRRELRNQGLIRPPQQDDECILIQDKKFNKSI QTQLLIVAETIRILQQDQEFQLRFPTLCVSFMELADSIQSNGL >CAK77728 pep:novel supercontig:GCA_000165425.1:CT868285:63782:65479:-1 gene:GSPATT00013193001 transcript:CAK77728 MGCMSAKDVQQSINKNIYNNMKQIHIIAFDQLFLLQSQCLRDLDLLQSKVISRRINMINI TKAHLLNNPLLTEAYKLWTWGVSLCNRGNSKGMNVHYSFSNPNESVEKNPTFIYNLGSCK ELRFLWFAIILKEYVEYVQIAKQQFPEQRLKAKDIYDKLKTMKEQSQIYLNNLSPAEKVE AFSNIEYNMGKIHKGLHNADSFYEHLKELEFELLSVIDKNNQYENEADQWGRKLYRDLDV ILIGDDSYRMRAKMNSLVLLYHPGKKRAQEEEDYYYNVKLERSKIKPKIMNNGEEDKKFN CKLRWTGCSEVDRKWSEISYILEEHNEQMKQIKQIRNKIRNELQTYKYEDDNLIEAWKIY CLSLLTEIKDVKITLNISDQESVQRILKNQVPLTQNLELQSKLFSEYFFIIRPSKQKQLK EYWKKLDFDRQWIQGSFMNYDQFKQMHHQDQYNMMTNSAKNIYEFTSKNPVYQQIYEKKY VRLEQEAEQLFNNGKSIFEEMQILYEQYKIDKDVDKLMRQQLRSDDIILKKKQKLSKYN >CAK77729 pep:novel supercontig:GCA_000165425.1:CT868285:65955:68673:1 gene:GSPATT00013194001 transcript:CAK77729 MNKDINPIQITKHQYSPSVQKQGLQSLKWVVPICIICSLCSIVIAYMWYSSNGLTEYLLL GLNNVAILIVCIFSFYWSLTFQIVRDVINQQEGFPLSSIPYFHSLFTQILCAIQAGLVLG LIEYNSYNQFILILPLTIIEIFLLLYLANVSRQFNIREYGVQRLIIYATSAINLIFLAFA AYYLKKVISQINYQMYQVSSTNIEISFIKIILLVFFILTILVATFNVKKIKVFFVTISYI IVGLCIMAACVNGLLVRRIQSLNLELSSPNGCRFAMQTISETSLKDELQCSQKYLNLDLS PYLPCDQDQQTYEWESNSNIKLGCVNLQCCKAVQNYLFSPINSLTIWINLIIMIGLVQSF NAAILSESTFKKYKMHIVGDGVVFIILLILAILTVCTYNFTPDLQIGIQHALVKEQSVLN QITILPTPVYKNFDKQEKLIGFHNLQSCQQITAVMLQKITFSSDNNQKGIILAIQGTNGQ FTILNEVNQQDLSIIVDNDITKEFFQPQTLPFDRILIKGKIEEAENFINNNLYFCSDNPL SADFEILKEFYEIQKKRILEGVSKDAQKYADQAFKFYNIKIVIEDATNFQPIQNSQIEIY DGKFLSQSCQIIKQLESNLYELTTDENGSVTLNNLSQGNSYTIMIYKPNYKRTCSVLDLQ RRIPKTNYIFRLTSSIQKHSVRVILEWSSKSLNLDLYGIFKVNEHSCLTGPLSKSCGGME HISISKEDQHIEILDITQLEPYRYTLFVKRFLTRQESLDLLSKSGINQDWIDSDPHITVY LNELKYPLVEFRLPQITNQQMDRVDMTWMVFQIDGIQSDAPNSIQKMTSEISNDEIKTNT SFKKSYWPNI >CAK77730 pep:novel supercontig:GCA_000165425.1:CT868285:68753:69543:-1 gene:GSPATT00013195001 transcript:CAK77730 MNSQQYQQEIWDMRVAVRVELQDSKIPPMYLMIYRQHYLLFYYQQIFDHFHSFTPQVNKI NQITFQCNEVQLPYQIPFGVLVDLHPSEDIFMPIELKLIYTKETHFVDLEDEIKNQIKFN LKQACFGRYNHEGYGTPLKNLTQYMSISDEKQALKQIKSFENKEDLYRYYKKIFESPKTG RIQLPIRIYFKTGGHLQTIIELKDQQLTIGQALSTKLQGATFIFNGAHLNMSIPAQDFYD QLYSIDGFCYFVCE >CAK77731 pep:novel supercontig:GCA_000165425.1:CT868285:69627:70016:1 gene:GSPATT00013196001 transcript:CAK77731 MQPSVLNTSNSSFRLIKSKIVKRTICHGACIEEQDSKLQLNKSKKYDGIESTYSTKNLST YLNEDNNKYRQPKLKPKIDQDAAKRFTFFHMRQQSSDKFQIQQTKIVTKQVVAELRSTLN RVRSSKSII >CAK77732 pep:novel supercontig:GCA_000165425.1:CT868285:70968:77636:1 gene:GSPATT00013197001 transcript:CAK77732 MKQFITFINIPEVRGKASKMSDMQQEFQFYNEGTSMQKLYVRDVLHSRSTIQKKMAKNPQ NRIECAKSVKRYMEVQFKESEQIKNFVEQNHIAYGVDTLSKQWLGGSLTQQEYKNARESA KIRFDKTEISGFDNINYSLKEFYYLVGKDNTKLQNVCLTFCSKNPEVQFSAELVCLANFL LCFSSEASTFQLLGIIYKQKPTDECIVSILTYCVKGYGLGEDEKQLLKQFLQSRLKRYLL TFSINMFNFDTTLFLITQLIKKYDSFIKGLSAIFILASTQLKNTNHEDLELWILRNVRRK DAESKLNLMQLPAPKQEIESRDTSQSIRSVAFSIIEQQDQSQYNEMKAEISNLKLQLALK DNEIESYKFQLQQMQSPDDSKKDKYIQQKNEEIAILLARVDALTLENKQLSKRESNYSNQ NSIQAEQQKIIQELQQQIERLKTKLKESTFENRAMSMSLATSAQSIMSGKEVQDLKQKHE DEKRQLFDHIQALEQNKSQLQRIIDSQKQLNQRVVDYISSMTININIIELSLKPPFERSF RNDNEDFKLLIDTKNQQNQILKTQQQAQGYQVNDLFIMKLYNNNEKERINQSNCEFSEIQ IIQVILCLFRVFYLILKRWADYRWLTILLCLIQYLQFTYLNFGLKVQYVWQNKAYSDFIN LLLKYFTITISVKNVGIRTYLTVFYMCIGLIFLSIILILLLAFVIKNQKLQMPPLLLLKF LLKIILTIGFWPIVRMQFGLLACEYNKQGKYVMMFEQSEECWTSEYYIHAVLAIIGLIVT LLFSMFVSFLTYESRNASQDASAMRNGRSSALLLLYIFVQIMVYQLLPTPQYTIIIIFVF LIGSAWMFISTHLDQPYYDTFIQRIWSILSAVNLWTIIMMLYSYSFDGQTFQKTVQAWLI GVPLIIGILAVRQQKTVDLFVANLKKLKNGKQIILLCEYLVLLIKGSEKCQRAQLLLDSF IEIHKEICDRTDCSVKLKMQLANKFKENLIYTDRNYIMIELIIQIYHEGIKKFPDDAALR LSYIYFLFNQQRSYSLIMSELNQAMDFKPTLDYEFHIFRYKTIIEEESNKKAELFESTNS LNQTKEFIDQLELVALKVVEFWSALQEEVPDMSKLMAYGFKIIKLEEQIDYKWNKLQDNK SLKLYYYLSRFYQLVLDDQEQADQYQQLFWTLQKSKQQQLSELEEVCNQSIPTLVASAQF QKVNIYAVNKSFCSLLGYSKADLIDRSINQIIPSIFNEKHDQCVELFIENKARQEKELIT QSVYLVTKSNYILPIFSTIKLLQAQNNQFFFIAQYQQSFSPKQLCYLLLDIKGHIEHISS SCISFLRLDAQRIKMRKINIKELFPDFHLRKDEFLSKSGAKLQIKPHAMKYSTKTVDTTL SQYDLIEFQCYLSYIQFPCLKDSNKYGMIIKLEKLKVNNADSPGVILLQAQQKNFKYPIF QYIPPTVFYMDFMSLTQIEESINNNSHIEENTLKISRIADYFLMAKKMTQSIKHVVNYDD GIKTKRLWDSQIIDIQDNNQDSEEIEEESNQQTKKQEDEEKEIEIQEFQHYFKLFQSKSS IQRLLNNSHIPKALKLTNVFIQIALFTLFASGLVVFQFNLNDDTNVSNMIFNLSLTNKRI SSCLMINAILQDLRLVRQGEIRNTRSDLDEIFIINLELMDQEIQELITIHSQLSLIDTQI NEEYQKYETLYFTQNIELFSSDGSSQYYTFKESIDQLIAKAIQLNQKQISLFDDSNVDFF YYNYNVLNSVTQYSYIPLNYFYYSIKSVSENSLKNKIAFLVLQTFVQLVAQVLIAFYLIY FSRQLQQIYLFYFEINEQLIHAVIQNCEKFLSILNVGDEEQDEENEEHQFNLNHNQEQEE FKKVNKKTKKVWKNSNAKIYKLMITAFFIFFLLQCYFIYQYYSAQQVVEDTYYLCPILNT TSLLESQYRLGDNAIREYMMNPDKSIFSTNPSTFIETYLTQLYEVNADMQTKFTQNVDLF QQDFINAFQQLYIQNPCPLLVQLQPFIVEEYCNTVLEGTVSDGLSIGLTKFYENLKILQL NYEMQRQLNQTEAQNKEFAILLLSTNFSVEVRIMEKIIIRYTQRYLIEKLEQSISDSFTN LSITRGTLFICFAFYIFFIAFFLWIPSNSSLVKAMRKSRTLLLLMPMQILTNSTPIRRYL RAVLKN >CAK77733 pep:novel supercontig:GCA_000165425.1:CT868285:77648:78316:1 gene:GSPATT00013198001 transcript:CAK77733 MLALSIIILLLTYVGLRFWIASKYNKKYNMLILFGSGGHTYEMLMALRNYDFQQKCQNLY FMHSFADTQEPLRVAKFIEDNKIALPKVEWITIHRSRKVKQSYLSSIITTIKATLHTFLI LLKFRDLDIFITNGPGTCIPVVIVLIAQYLLFIRKRCKILFIESWCRVENLSLSGKLLYW VSDKFVVNWESLSKKYKRATFVGNLI >CAK77734 pep:novel supercontig:GCA_000165425.1:CT868285:78386:79105:1 gene:GSPATT00013199001 transcript:CAK77734 MFKNSFQSGFLSILYSIGSKPLQIWDKQIKNGHIKRITDQDIQSSVLEIMGTNVSTNFIT APADPKETLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT MPMRLDEGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANCRIRRIYFSDRLYSEEELPPE FKLFLPIQKQG >CAK77735 pep:novel supercontig:GCA_000165425.1:CT868285:79630:80215:1 gene:GSPATT00013200001 transcript:CAK77735 MGSKAENQIEQYSGQDFGDKISFTVGVSYMLGRLYFIILASSIGLLKGAIEGFPRQFNMP KKLILNNFFNAVGKRTSTYGQAAASASMLYYFVGAGMNLLFEDELADINQLKKNMLCGAI SGAVYKSTLGVVPFFVGGIVGGSLIGGLTLLVENFNRKGIVAFEMKF >CAK77736 pep:novel supercontig:GCA_000165425.1:CT868285:80254:80478:1 gene:GSPATT00013201001 transcript:CAK77736 MDSSICVNCTIKTEESDMQPFPQVQQIKFEYTDLYVIPETSKPKYQQEQQQTETSKIISS SEQRRILKHKRQQN >CAK77737 pep:novel supercontig:GCA_000165425.1:CT868285:80992:82053:1 gene:GSPATT00013202001 transcript:CAK77737 MQIQRFPTLGEWTEKKTNSFIEEKLVIRNPEELKSKIEKMISDGAKQTQIVTDFDQTISS FLSPATFSLFRMSELSPQDFKNKMQQYYDYYVPIEKDQSIKIDEKNKHMHEWYQKVSEAF HEAKFTKSLSCQILNTSHIYLRQLFEPFFNKCVEEHIPFHIVSGGLDRVINTILASIHDI DTYDEMTLHTNQMLFQDDILEKMEMLVTATTKAKILETSGIQFRNNTILLGDLPSDFYMT KFLNIPNQVSIGFLAQDHSYQLEEYKKLYDITIIGDPSFLVPLVLLSKVIGYPLSEECTQ LFNSKNYDELRELF >CAK77738 pep:novel supercontig:GCA_000165425.1:CT868285:82152:83377:1 gene:GSPATT00013203001 transcript:CAK77738 MSQQEQQASKQPDNILQSNEMHNSMQQESSNQIYTYKAPWLIYAMGFQSKPSPQSRIAIC SMIEDIQNEVFILQLDKEQETFCKKAKFNHRYAPTKVLWIPDAEGKYPDLLATSGENLRI WEYDDVNCQVKIKGDLKNTSDFNAPLTSFDWSCKYQNYIGTASIDTTCTLWDIDKQTVVT QLIAHDKEVYDICFSVDHQIFASVGADGSCRQFDLRALDHSTVLFETENNNPIVRLAWNK MDTNYLAIIEMDVNYVTLLDTRQPLLPLAKLRNHKDYVNAIAWAPESTTHLCSVADDQSA LIWDFTQLHSKQNDQNSIDPLLEYKAENEISNLSWSTNKIDQVSICYNKSCQILNV >CAK77739 pep:novel supercontig:GCA_000165425.1:CT868285:83587:85023:-1 gene:GSPATT00013204001 transcript:CAK77739 MIRFLSKIDNFGAEFKQRIVNSEQEHKSIIGGIFTLSVYSVCFAYSIFVLSQWASGQILP KILLESTLAAFEKFEMPNELIEITSIRYDDSLIDPFATQGNILMPIAVILENNKPISYQS VLADSETSNYGTNLIEMEQMQIIKNAHNDKTQESCRYYYLLVTACQQQYLKENQTCASEE EIQNYLKNTLVPIELEVKLQQFNTKDKTLYEVEKQLQFTLQQSLTLKAELLFQNTNTVID DNLIFSNSQSYTYFSDFTVLTQTITKASTNQIVKDDVLIEIAFKVDPIEVKQSVTYVKFG EMLAEVGSIASLLLAASWFIQQFNREEMENKIVDEIISVYYPQFRKLKKVKSCMGKTISI MHEKDQINQEEFQKWYEKVRQSVLLKLSVVNQLHEISRLYFILRSQIPCQQMMKYQNYGI PLPHKLFEKHSNEINNELPSQEMKMEDIEIESSILITTGLCDDDLNILNYKKINIKKE >CAK77740 pep:novel supercontig:GCA_000165425.1:CT868285:85049:86544:-1 gene:GSPATT00013205001 transcript:CAK77740 MVVSYYSCEFKHCRSFFQQNYAEMKWSSTPLPFEFASYQFRDTVKIKEGDSLQIRDLKVY DNYLVFEDQNRTFWLDYENSIIDQLQDPKGIRLVKCFDQVEFYSESHQWFKLLKRHTIQS DFQKQYVLIKKISKGRFTEVSQSLIDQVYRTKNNSDGNDYAVKILRKSSLIDEADLIALF KEIKILRIVQTEFCVKFNEIFENSENIYIVMELLIGKDLDGHIEKSSFFSEEKTARFIFR LIKTISYLHSKGIMHRDIKPENIIFRQIDNLDSICLTDFGLADFYHSDGLYLFKRCGTPG YIAPEILRNEPYDYKVDVYSIGVIMYYVLVGKNPFDNVNSTQILINNQLGQVNFNDCKLS NTGLQFLKCILNDDQSDRLSSHEALNHIWFQVEKVSKIRQFVFKKKSDQKKHQSSASLQK KATVKYNNPSLSPTCKITLNQIYSPYHSRKLSQKVQFSQDLQNSQNTTTRISQFSISNII QPLFISKFK >CAK77741 pep:novel supercontig:GCA_000165425.1:CT868285:87126:87962:1 gene:GSPATT00013206001 transcript:CAK77741 MTWGLYTIIIHEYQNLLIKCLRNIAIALWVISEICYVRIQFSDPGEILQKTVPLKLLNNS QLLQYYQNICDKCNSWKPPRAHHCKRCNKCIFKMDHHCEWTNNCVGALNQKYFVLFLLYM FCYIITILLIHILWIYSFFMTNYLDIWNSLKANQFEIFEVILAITMCSFFSFFVISMLQD QIQVIRDNQTVVESFQGKFGRQQSFLQNMKQFMGDEKWYYWMLPTTPILKLNYAEIVYGE SLVNMGTSYLEDIIYDEKNPQSAYFAEFMVDNYKKKKA >CAK77742 pep:novel supercontig:GCA_000165425.1:CT868285:88083:88725:-1 gene:GSPATT00013207001 transcript:CAK77742 MSTIKPFQIFDILDYNNINLDILTETFNVGFYGKYIAKWPEFCISIKNHFGNFQGYLLGK IEGEKTNNNKQNWHGHISAITVAPEYRRQGVARFLMNYIEDVTNSQNGWYVDLFVRPSNK IAVLMYQNFGYEIYQTVYQYYSSQNGKYEDAYDMRKSMLKDKQKLKQKPTGKVIKPEELE FN >CAK77743 pep:novel supercontig:GCA_000165425.1:CT868285:88742:88993:1 gene:GSPATT00013208001 transcript:CAK77743 MNQLPKESIIKLQSHINKEVVIQFQGGRQVKGTLLSFDNLLNLVLDEVRELPSQRILGLV ICRGALINSFAIDGMVEIENPYM >CAK77744 pep:novel supercontig:GCA_000165425.1:CT868285:89185:90737:1 gene:GSPATT00013209001 transcript:CAK77744 MIIIRLSRHFSKIKKDLLTNPEFDKIHPQFLDHKPPTTLHRQSKEVPYIKSLLRYKDIAV PTFENALHQNFKTFVEGHVSPKGALKNLSEEQLKQIQTQITKKLQDLEDTGLSREEILTN GVDKGIPLSYDAFFQLLKNNEQARLVYMAPGEEFTVQKIVDIALRQDIGKDQFNVPINKY PNNMKLKNHDKQKDILINTKDHLEHVNELVSDEVVYHHHPQPRKPIRRVNNYDIHWRNTE FLVQFLNKSGKIKNRHQTNLAEVQHKKVSRSIKTAKHMLLLPSNSIVQPYHKKSLTSFED DIQEFARRKVNLSTGQVYTETPLQKRERSLESQTMNEDDQEINPGTPRPNNLNIIKGIVY AEKLKKKELEQQGIKLTKEEEDIRNYRFNGNEVKLQAMRDEQLLKQEIKKEIDAKDGEFV EEFNQIKSNVEKIPTYYLSQAFISEQTLQMERLQELVYQKPKQAYTYDESLRIIEEVKSR LNK >CAK77745 pep:novel supercontig:GCA_000165425.1:CT868285:90750:91254:-1 gene:GSPATT00013210001 transcript:CAK77745 MLNESLESDREIDPERERQKLMLKRQKSIEESLQLNVKDHIVKLEVLIKQYLKNYLAELK KDLEISKKEMSNLRMDIATVKNNHGKSSDDLCTFIQNDAKRQLNEALKKSQDAKHDAEFL DAQLNVLKSDKEKLQDVTGTLEKRIISCETDVGFKHVYD >CAK77746 pep:novel supercontig:GCA_000165425.1:CT868285:91274:92021:-1 gene:GSPATT00013211001 transcript:CAK77746 MSSGEQAQEKKTLFSSLVQKKQAETDAQKIQNRILQLKIEHEKVLKRIQQDEERADEIYK HRVEIQLKKENKIKQKQAQPPPFSLAVSHHQRQILKKIKGDEILKKKSEAKLFREQLKKD FQSVQMQKSLEQEVYRIKAIQVKEEERQSTEVAVLKLKQKREKVRYQIENEKDQIIKEKK LYDFKISELEQQEQLTMMELQNSLAHQSYVQKKIEQAQKLSPSDYEKQYQNNKNSETTQ >CAK77747 pep:novel supercontig:GCA_000165425.1:CT868285:92091:93428:-1 gene:GSPATT00013212001 transcript:CAK77747 MQDNRKQILKSQDSDSSMKNTANTITKITNKKIQQLAFLKQFPLQRKSTVVEKVNKLIDK TQSKLKHQQIPKDVQFYQRNMKKFENLSSFINSKGLLSANFSMPKLPVREQINDQEHEYV DYLLAKSCKFQIILDKLQQEGQRKKSKQAKENYEQCWELENSDYDFESPINVGKELNIAH QDQIKGEIEAKAKLWEIQQIRKYKRKTTNVEFKSSQKSSYHNVEDDDEIVEGSGHLNAQN YKEQSDKVIKMIWTAAKKIYNKKQDQIQKEILMQKIAKNYRQKQRDMAKEEINKILTTGQ KPHLTFATTVRHLPNNSDSKLNTDQYFRKKGQTCRKLYAPFSLTPQHQQEEIKSNRKISL INSRLHDIVNQIDNLPVGDQTQTIKKMESEQILYFTLRDTLQPDQIKDTLKGLFHSTDHL YKKPLKYNKKHFQNQIL >CAK77748 pep:novel supercontig:GCA_000165425.1:CT868285:93991:94922:-1 gene:GSPATT00013213001 transcript:CAK77748 MQEFLIYVKVEKTKLEMLFLVDVERKKTETNKQIIHQAFLESQDLLNEANNKKLAIIQQQ NEGTLQQIIQNNQQEIIKLHKYYEQQIEKIKQQYASKEEEEKFNYQNSLQQLKIQIQTNF EYQLEQYRQQFLRERNEINERHTWDIQRIKEKNEQQIKIIFDRLSREYDTKMNDQLYQLT SSYECKIDQLKQQLTHIEQQRQVLDDQLRIIQKQNSDKIEKQERTYSNASVQASTLLKDQ DIQTEQYIHMIEPLYCTNYEEIITKNNQLKQLNFDLTSQNQDLKANIQFLEQLVNKYQNL FD >CAK77749 pep:novel supercontig:GCA_000165425.1:CT868285:95414:96248:-1 gene:GSPATT00013214001 transcript:CAK77749 MSKNNRVDSLKFHNQIQTFFQHQQHRGRISYQSIDQIENQYFDLKIWYTELFYVAQLVEY YDFHKDPIKNYFLDKIQFVLSRSDKIKSMVQQKISQEEEEQLCYIKLQTPKYLTVSRTSS ITELIDRENVLIGLELNENHQKSRGQQMNLTLQIQMHKKEQEPSLDKLMSDYKSETERMD HYRKSEMKIQDEAVKFKLEQRRKKLINQQNRIFRTEASDDESTPQLHHLIEIYLQISYKY NCSYVYSNYFVKKTKIVSWTSDKLFCKNVF >CAK77750 pep:novel supercontig:GCA_000165425.1:CT868285:96496:97723:1 gene:GSPATT00013215001 transcript:CAK77750 MNPKNSTQNFNFDKQSIINNSCPNKSGQNFSVYDDYQYKKVIPKLDSKDQMFHSNVFDTT ETLKQQIIDLQRQIKIKELSNEQLANALFSQQQKYEELKAAFAQLEEDKKNTQKQLKEHA EKNEFNQFDMKQQQEINTLFQNENTQLKIASVKFKDTIEKLQTENKQLKDQIESLMNNPL SCERKGSSRLSFDNFLNEADQTQPGQGISSTSLQNCDKNCICSKRLLYQQAEIKKYQIQL FEKDQLIAKLTQQNSVLQKTQGKIQKCQKKQNLLGTPNSGLSKQDPILVQDDSNDTSRQL NAYLSDKKFNNINNTLESQMPLKTSSSARSVLMNKSKREVESMYKKMNQSALFTASLFSN MLDYKNLHENNSQIRSTNFNQVKQL >CAK77751 pep:novel supercontig:GCA_000165425.1:CT868285:97970:98730:-1 gene:GSPATT00013216001 transcript:CAK77751 MLNISDLKTDLQQKFQAVDSIEVPQKYISDLHKTIKTLTKLLKIRIRTDMPQLSINQFKD TMSELIKISKQMAEQILHKQTQSLQETQRQNDLEKRLLFLEENVGSQLKELTQQNIDLQN QLDSMLRVKEEYENQQLKLKEIELQNKQLKHEKDQLKSQNSNLQSLIRQLKEEKGSKIEK KLKTLKEEVSHVSQDYQKKLEERDTYIERLEKELQIVKSELEMSEDYKMLTQSSKKLSKS PEKQF >CAK77752 pep:novel supercontig:GCA_000165425.1:CT868285:99216:100258:1 gene:GSPATT00013217001 transcript:CAK77752 MAKDFYVLSKQSSQQCFSSLGNFESIQHVNDSNKWDDIKQSRQDLMKKYQQFKTNEAKQT TLSTYQSQKVLVPKLENFDIKLNIKRDNVIKYRDKENITSSQQKQSEKSGMSSQRIRQES VYDRLYNQRDTEKKAGRPVQNKAILTNNSNQQSKSILAHNILIQKRSSDPSILMPISSLI KKTQKQQIVQQQDENNTQFQNFQEQVNNEEQYKVNVSRIQDYHPAKKDSSKQIQPIEFQT NVNLSQLPIKHCYFSLVNAEEKLQNKKVRSQSTEKIKTKQHLKSSKGNRAHTQGKQEIKN QSKINRLYEYILEGNY >CAK77753 pep:novel supercontig:GCA_000165425.1:CT868285:100271:101998:-1 gene:GSPATT00013218001 transcript:CAK77753 MIILFSLIPTLYCFYSKGILDQDLNHIMNELINGSLPQGRLSMKDVENLFAELENSTNLV HSQSIGQSYLNKTINSYSLCSNFSKEKPKMLITSLHHSREVASLQMNIYLFLYILWEVKH EKNPYYINMITHNCIMIVPFVNIDGYNEIESELNKHIYQPQTRKNLNRTINCYQQGELAG IDLNRNYGYHFAYDNIGSSNDPCDETYRGSAAFSEKETQAIKNVVENYNIKMAMNLHCFG NLWVIPYSYDNEELDKDGVPYLIYEDFKQKGEFQGRYKIGHAIELVQYTANGEAADWMLS QGVIAISPELGEQAYSNFFQNFYPDKEQTIKLFKTEFPPLIHFIKYLPFQPFIKNVYQYD LDDIERFKKEFSPNQYIVEIQCINHGVSNGNNQQFVVSNTVQLVEAYSMDIKYQEEFDRE HIDTSKLSIIEFSNNTFSNNQFKPRSQMVYFLILQESNLGNEVKFYFNSHGEDNQTSNYK KQIVALILKNLQQEQNQQKVIQYQKLQTLFYIGVLIILIIAIWIILQRVTKRADNDKKLN ITELIEQKPEQIELNVQI >CAK77754 pep:novel supercontig:GCA_000165425.1:CT868285:102014:102292:1 gene:GSPATT00013219001 transcript:CAK77754 MKVKSALKKMCEHCYFQRKGKKVYVRCKSDPRHKQRQGNKFSTMIGNPYVENGMFIQIPQ FPEYHIDFKDFIKRLPFM >CAK77755 pep:novel supercontig:GCA_000165425.1:CT868285:102475:104639:-1 gene:GSPATT00013220001 transcript:CAK77755 MSNQEINELPPLKVNVPSFLLKTYEILENSTLSHIIGWNQEGNAFIVFNTNELASKVLAN YFKHKNYPSFLRLQLNMYNFKKTKNQYGQSEFRHKWFRRGLKSMLQYIRRRNQEESEQKI ETKDNNQELENYKREHEEMRQIVRDIQNSQTKMQADFTASAESNATVSRSNHSISQVLRS SLKKCINQMQQQFNKKFDTISILLAKIVSNLPQICIQFIDLTIQHFRQEIFSKIHTKSTL LADLNPITLYHITKTTNIIQSDRPIHIISITVQSTIFFQGRINVKTLNMQQEFSEFCFQF DPNVFTYNRQQQQQQLALPAPNINSYLPSNNYSLQSSLQNSPYRIASPSHTSTTDSKDPD KVISQFTLNSSVSISQHEKGYCAYEYLIIQQTKSITFCTKKRFQIQDYSQNNRITLLIIR CLRYPFNPNTQIESSKIFNLLKLNEFLILEYNIIWITKFRILHVLYLEESVYITLVIILF TSKIKLKMNQISHNILVQKLIDYKLRKNKNKRKSSPQFSPPKMPISQRQTSFSNGHAYSV HQKLKSIQSYTTVPDLLDLLSQMFQIIQSYEQQASHLWSKNLEMLKQIKIPILQENEDLK QTVETLKYNDIQNSVYGQLKEYDQVQKPKPKLIDLKHINRDSNFQEEFLSKVNEFSLSWR QEVQQLKQI >CAK77756 pep:novel supercontig:GCA_000165425.1:CT868285:105071:105610:-1 gene:GSPATT00013221001 transcript:CAK77756 MNQFQSIREGYEQIGHEQYYQEYGSNYKNPHEDKIKQVLLMGLTKWKPKLIKVLDLACGS GEITLILKSLGVKNIVAIDPYTMEAFKKRTGEECLPISFEQIADGQIGDHEYSLIICSYA MHLADDSLLPGLCMQLAMISKQMIILSPHKRPVIKWGWNQVDEIIKDKTHARFYTSTYL >CAK77757 pep:novel supercontig:GCA_000165425.1:CT868285:105620:107214:1 gene:GSPATT00013222001 transcript:CAK77757 MIQDLSKDSIQCTYKRGANEQPQTPHSHIEFVPFKKIYDDALDAVGHTPMVRLNKIPKEY GLKCEVLVKCEFLNVGGSLKDRIGVRMVLDAEKQGRLGPGKSLVEATSGNTGVGLALACA VRGYPLVITMPEKMSQEKQDVLTGLGAKVIRTPTEAAWYEPESLIQVAKKMATDDPNVIL LDQYSNPSNPLAHYEGTAEEILWACDDKLDAVIISTGTGGTITGVGRKIHERIPGCKVIA VDPYGSDLALPQEVNKTDIKTYKVEGIGYDFIPKVLDRTEVDGWIKTVDIDSLIMARKLL SQEGLLCGGSSGATVWGALEWAKTQNFTENQRIVIILPDNIRNYMTKHLSKTWMIENKFL PYDELKEPEHPLAGRPITDLQLHEIQPLDVATATVGQCLEILKTHPAVPLQENGKLLSVV FQKKILAGIVNKKLNHADLAKKVHSKEFVIVPNTIDLNQLERIAERHEIVFVEDGDKLKY ITPKDLLVLFA >CAK77758 pep:novel supercontig:GCA_000165425.1:CT868285:107217:108894:1 gene:GSPATT00013223001 transcript:CAK77758 MEFIRVKKFTDPLFYEKKSKFKEDPQTRITAYHYSDQAITLGLSNGQMMQFRKKGFDTKH FLQSKDYEILKPVENKNNHKGEIRCLIIEKFSDSYYIFTGSADRTIKLWENDIKKGCIQT LFGHTGSIMAIAFAQNSLFSASNDKVLRIWKQESGREFMYHPWFVTVQIIYDFSMKKSLN QQSYITAFLVKMPQFQLYAGDTDGSLHLFMNSQPTLQQQQTYVKLEKSFFSFHRLHVIQI IEVEKDNAIFSIAYDQKILGYGESGGKFFSYKNPHKCLFTSIRWSQTHQELIASDEQGRV YFMNISSDKQVLEFKLYECKILGIDLIDHLEALVVYTEQFIDVLRIKRGVRTGNLLDQHK GPVIEILGIDCPQEFEPKKLVSASLDNTIRVWDSKDMSCISTIETGEKNEVSSMHYLTNA NLVATGHDNGEIRLWNIEIGSFLIIDQSKAKSRHNNTVCALTSCTFDSEEYMFSSGYDGR INVWEIFERKQRLMASYIMPQLKQSLLANPKATADSLGNEILCLLFDKTTKRIIAAGNKC TIYLIKYVHL >CAK77759 pep:novel supercontig:GCA_000165425.1:CT868285:108897:109376:1 gene:GSPATT00013224001 transcript:CAK77759 MMIHLLDIKTRITCLALDGKILFSGSHDKTIRLWNLNNNQALTYFSGLDHPIQKLLVIPE TGYLVSIGTGLLLTWDYPNKKVISKFTKPETFKCIAYLDRALFIGTEENNIHSYTQENNF EDTDNQYQMIDVNQEIDDDYMKKIIEQNQQILQEFQQQQ >CAK77760 pep:novel supercontig:GCA_000165425.1:CT868285:109390:112363:-1 gene:GSPATT00013225001 transcript:CAK77760 MRSLSRILFRRSQLLINRVAVPLRHKCVYGFSSGFNNNSNIKLKTIPLQNYSCDPEEVDS KIGSTDFCLFSSVQPIFPNNPMNCQIKIGNLKRITKPLLYTIISPDNGEIFTIGIALSKQ VEKNLNSELKVLEQRDSNGNILINDPMSNTFNLDIISKSKNYRFKITKIEMTPDGIFAQG IPYKDRPLTQAEQQIDVKNEVKQITSLVAQIRKLGQIDRLEAFTDVKQSLLDSSEKLTAT QIDELVYQVAAGLSKLQTSNLKLNTSQFVQQLLESQTYIERMFILRKQLENMSGVLDLVN KHFKESDSSLLKLHQQTLAKLATEYIRQNYLKDAPNSQSYGGPAGSQSQVGEKVFVSVIQ QKQSVLVKKYQDKLSLITDESSREKVKREIERFSLLDKQSSEFHKINSYLDEVFSIPFSK FTPVQWDIQYAKDVLNKEIEGLEKVKERIIEMISVNKLKNSGQKAKGFILLLNGPPGTGK TSIAKSIAKALKRNSRFISCAGVADPTFFKGHKRTYVDSMPGVFIRELIKSNTMNPVFIL DELDKVSKHHAGGSDPYYTLLEILNPEENHNFTDHYMDISVDFSHVIFILTSNDTLQMLE PLKNRLETIDVQAYIQEEKLQIATNFLVNKSIESNGIKQQMIKYDNETLTKIIKAWCYQE SGVRELKRCLEKIARKHATNLLTANPNLCEKVDELNQVVFDPTISSLDLTKDKNLELISQ YLGPPVFDIQLEQRSIKKFPPGQVNILTVGGMIGHVLTVESCFDDSEIEKKGQIVASGNI KLVLQESLKLAKINAFKYLNEEQKLLLSKSAIHMHFTEGATPKDGPSAGTAITTALISLV TNFTVPSNLAMTGEISLNGQVCKIGGLQQKLIAAKTLDIVDILLPYANLGDALNLPTQLL KGLNLYFVTDYQQIYDLIFDQQIGNANYTVNTIKNGIYETIQNRSKEQIQQSINC >CAK77761 pep:novel supercontig:GCA_000165425.1:CT868285:112436:113189:-1 gene:GSPATT00013226001 transcript:CAK77761 MISIFFLLFGLIQSQDDNIMNDVIEDRQDRKDLMQEWELMMQDFIPDDMIAFELKQGAIE LLEEGIHHPTTIRGAYFISMATKEKINFIIKDPKGNIISSKAGKKEAVFSVNITEPGDYQ FMFDNERGSSDQMVTFALDIHNATYEHIKHHDLDPLVKQIQHLQNGINDIMFETKFSQQR RESGYESMQTTHQRLFYFTILETLVIIAVSVWQVYYIKSIIDNRRLI >CAK77762 pep:novel supercontig:GCA_000165425.1:CT868285:113262:114683:-1 gene:GSPATT00013227001 transcript:CAK77762 MKSLPREFKKILKSIDLFSIPVPLLTNDAQSQYSSYYSGFISILASVLSIAYFVYVIQEW ANGNILPTITTNQSAQAYTEQGLEENFIQFKLSDPTNHDPFRRKNNIITPLIFSVENYKI ASPPTPLFSDESNPFTVGLQQGTLVVNNLNTQNNDQSYKPSKNYLLIFIECLDEYLDDNS NCASQEEIDNYMSKYHGFLSIIMKLEQYNFRKNQKEYIMKQAYQAFSPTYIQYTQIRIQQ KEINLDNGLLFENLVTHKYINDFNLINQSIDNKYIQSIAQSFSQQNFQLNAIFAYQISID NLQISERIIQPKLSAVLAYVGSIVQVIFMLKYLAVFINNQQFKVEVETEILQMYYPKLKD VKVKRNVLGKIISTNQGNEDNKNAQFIIQYQDHLNLAFKKLRLINIIYELSRIELLMEKH FGLQNICECSQLGSEFQFLGHDNKNESPKVSILSVGNQSPQPKDDIFRLFNKG >CAK77763 pep:novel supercontig:GCA_000165425.1:CT868285:115759:116217:-1 gene:GSPATT00013228001 transcript:CAK77763 MIGLHSAALGTISTAVGLWRYSKYLIEIITLGLFWELCLDLYLCKQYQLFQKQWKNIIYM ILNNLSIMRASINYGIKIIEQINRIYVIIKSELQKTIKQYLNEYWHQQGLSDQEQEMLIP ELKQELMYQSQRQFFVNYFFSMHVSKPFLKDL >CAK77764 pep:novel supercontig:GCA_000165425.1:CT868285:117717:118927:-1 gene:GSPATT00013229001 transcript:CAK77764 MLVQEEDYLFDTEFTIATKTIHKRCDQAYGAVNPPIYMSSTFELKDVDSFGKGYFYTRCG NPTRTVVEEIVAEIEQNNYAIAFSSGMAAIQCVINLLKEGDEMLAMDDLYGGTIGYLKAI AMDKHGIKVKFVDMTNIDEVRKQMNQNVQLVYLETPTNPTMKLTDIKELCQVVRQIKNNV IVAVDNTFATPYLQTPSNLGADITLNSGTKYLGGHSDIIFGTLSCRNKELYDNLFKISYL TGGCPSPFDCYLVTRSLKTLEVRMKQQIKNAYILAKYLEGHPKIEKVLYPGLESHPQHDL ARKQMRAGGAMISFYIKGGAAEAERMMKGLELFTMAVSLGGVESLIQIPAKMTHSGLTEE RRQELGITQNLIRLSAGLEHVSDLIKDLEHGFKNI >CAK77765 pep:novel supercontig:GCA_000165425.1:CT868285:119751:120229:1 gene:GSPATT00013230001 transcript:CAK77765 MKSVLIALLAIAVIADEACVKEKCPKEYNACVAEVFGCASKALNCKNKCGETDPCYRDCA YESKNKKLIDLYECGLKYCPNTGYWDLILQGCNVEQCVADFQAECLQSVNLRSVECLMGF SQRHPECDCLNE >CAK77766 pep:novel supercontig:GCA_000165425.1:CT868285:120303:121041:1 gene:GSPATT00013231001 transcript:CAK77766 MSELEELKLDLAEVQQVLSTLKRQTNIDHLNNRVKFLENSIKILTPQKVEQPEQQQQQKD QDTLIYQGITKYAWDQEGNKVKVFLNLEGIGQFPKENITSFFAPNSVDVKIKGYKGLNHR FSIKKTFDELKNKECSIKVTNNSIVVNLVKKDPQNWDQLNYKGKIIDTDPSKLDQSDPQA SLMTMMKEMYQNGDENMKRTIAQAWSKSQEEQGMK >CAK77767 pep:novel supercontig:GCA_000165425.1:CT868285:121115:121582:-1 gene:GSPATT00013232001 transcript:CAK77767 MEILHLALLVVISIICFKIGQGLGQKEVEKQQSQYIQLQENEQLIEEIDEDFIKQVEQQE QDLKEAIKKFNEINQRDKQLQKQLSDLKNAQTNNAKPKIQQHELLSDKDFDNLLDEGFVV DQEINETQLDQDLIEASK >CAK77768 pep:novel supercontig:GCA_000165425.1:CT868285:122037:123759:-1 gene:GSPATT00013233001 transcript:CAK77768 MSSILNKFKKQTTADTPNGIKLENTSFGQQAGTYQPPIIPAVQLTQSNQPRQKGRPGGGW DDEPLPQPEQISVSTNKATNSINLLNDTPPKQNIDQKNNQDVLLPPQPALGNIQLSRVTD YKRGQLLTYEELELGGFDYEFHLVDEAIKLGGIKLKQSDNVLKEFTRAIATIQEQLIGSI LLNKLHSEDNWKVQIRCIYAIQYVCQQYQNYREFFLINQQYLRVETDQQLLSGAIDQTLA EVNGQQVQKQKEFQFEFREPPKTQQPVQNQQTNLIDILDASNNDSQQQKQQQQKLNLKQL KIKQPTVQTQQQPIVQKQQQPIVQQQQPIVQQQQQQVQLKNTQQINLLDAFSDISLQNYN QQQSQPTQLQSQQQYSYQQQPLIQSQQQQQNNQQQQQQQNYQQQQQQNQNGISFDDLLNP QTTNQQQTQPKKNAFGFLKKDQQQQQQQTIQNNQQVQQPINLLYSPQQFYQQPQIVNQVP QQQSQFNQNQSQNYSNQQMYSHPQTQFNNQQQTQNNKKDLIDFLNM >CAK77769 pep:novel supercontig:GCA_000165425.1:CT868285:123884:125859:-1 gene:GSPATT00013234001 transcript:CAK77769 MYLRQYSKLPFYDGFEMFRRLKMLNPKQHNPLVYAIRPPETNLGFPLREEINDTENQKVL NKLMHRYPDLKYAPLVKEGLAENKNEGSLFRFLKMFNTLRKKGYHFDKAFEKAEMAFQAK MQRKIDQTKIANNLAITNQARSFMTLAQQEIEFEQRLKRDRLLRDLKIQEFEKNSPLDPE TQALMDNYKKSLIKVVHHDDKPVETTHKEDEETFAERATNVFNCYYNRVATQDKLNGLSD KQILQNIRQSPSKIKNRLRKYLKVIKHYNITFDEEGELVIPEDLPENLQNQIGKGIFYIT KLYDDLDFEYSHHEHLDTLQQELEVRLQEQKRNRKHYNEDAEFAIQKVLQDANIKAQNTY SLFESPQERLSRLQIKWLYRKIDEYTLFKLKNEDQSGESQQLIDEKIEKLGNQAQKLVDN LRRMKLELEYESFAKTGKQLFQEHAVLLKDKELFVDANKLQMNDIDIYFKIPVDQRRLTQ AGQVNDDIIHNLIKVQNLKSHVARLNHDDLENRVGDSSLKDETAEILKKKILAQYKLLYL AKKQQLKGMTEEDKFKESQEEEISLDDEAFSENIADNLDELRRKQREARLEFEKEKALEA KQKEDELKSQQAIKGQKGSKKKKAKK >CAK77770 pep:novel supercontig:GCA_000165425.1:CT868285:125939:127358:-1 gene:GSPATT00013235001 transcript:CAK77770 MLDFEQDFYKFVKAQLDKKREIKQLTFNDQYTEEEVIRRNRLQSENIQKVLQNERKKMRI NKISKEQHFEKKLRDFTSMDTFIDVKQFLKNLNDQQVKKNPKILFQALKRTQSDSDDEDM FDYAEIVQKSKKAIANSEHFRTKPRIEGYLQLENEISQRSKAIEEGRSTNEKFYTGIDKL ITDINHKNVLSEMDRKYEKERRRNRNSMSSEKIIQSAVPLDFGKDEIAVKAGKKFKEQSQ KVLQLLERTTKMLYKNKIPQPPNQKLLKQQQQQLKELQQQNPSSFEIRSQKHLLVKSRSE SNDLKFPLVNGKLINPLVRRVVPLSQLNHATLPEIKPGSFVSQREDKKFENFHYLKSPKT EQKQDDRHINTSRQTVSLNIKNQIGEFITQLDDAQEQFSKLYPSDSQIQKIQDNMSKNMS QIGNTPLESLKYLTKRKFNISKKYELRGKKNKVLQIL >CAK77771 pep:novel supercontig:GCA_000165425.1:CT868285:127804:128882:-1 gene:GSPATT00013236001 transcript:CAK77771 MGTCQICNGIQVKEIKEQLKPQIQRKELTLRQIALIQARIRGILTRRRVLIHKQKENNNQ QQQNSLTEDLICYEQIQQTITERSTVAQQQDDHIAISMSPKIRNSHKWQNGSMTGFGRMD MEDGCYLEGMWLDGYFNGEGLYSAPDGTYYRGEWKNSYMNGQGIYFNSAKNIRYEGGWEN NLQHGEGTETYADKSIYTGTFKKGLKDGYGKFFFNDGSYYEGQFQQDKFHGQGKFVWDCG QKVYNGEWVKGKKHGFGYIEIKGKYSYEGQYKDGVKNGNGILIWSDGRKYNGEWKEGQQH GNGIYYNQKGETFSGTWKFGLIQNH >CAK77772 pep:novel supercontig:GCA_000165425.1:CT868285:129528:132118:-1 gene:GSPATT00013237001 transcript:CAK77772 MLKLKPSESAPNSLKMPHLKAKDLDKKNEMDKVIQQQIIIEPEIVRFAGFEVGKLNLLKI RVINKYLQPQRILVIPPKTNFFNVKYDKKGAIASGMFEEIYISFKPFEYKQYQDSMRINT QFDSILIPIHAFPAINRDYLRDLFPRYLDFGTLELGEQFSQRFPIQNKVPLNFDFEFIVI KDNMDFRITPLRGTIPDKGVTEIEILYRPSINVTVYMEVELIVGSVDFEPLPIKLMGTGR SQNDKNLSRIRRPQSVRKLHSIIQQPKQNQKLIPKDLQSSQENIDEYSIPEQSPQVIYEA TGGNDQVEQFYPIIKPTTKRIRPQIIENEVLEIKQGVSLQGRQVKEKQYLDHFNQIANLD KEKENKLKQCIGDSPIQKETISEIMSQREQFNQQMLNQIFQSGITRYQLQLNIDNAVADQ ILPKYECQWDFEKNDVIKIKKIVRLLKLKKFIYAVTRVIYRIRFDNRMSKIRAFLKGATK RSEVEKLVNQDWQKAEYAGVGKKDFIAFTFEFEENCIGKFALPVQYQETNTYSFKFDINP RTGFDDWAPIEQIPQSDQEVMQYKNWDYPQLVYHPPLLQQVVFRDGAEEEKAVKGKMGPI QSILSTNQKLEETIKIVMQKPPEYEGVQLLLPHKTLQCYLPLETCNPIETSFLLNYRTIS NIGSDLDIDASRNNSIIKQEISNRQAGYNNLNLLYELKLDQFYYQERNMQLAYDFGFEDQ IEQYCASLMHDKEKDDYITDDSDEERPPQPVVVDHNEWLAKLEQDDKALQPFKQGEIIDQ QELLKHKIDTNLMFEKNKWISTVPSKTNDYNKFIIKNENKIIIL >CAK77773 pep:novel supercontig:GCA_000165425.1:CT868285:132140:133846:1 gene:GSPATT00013238001 transcript:CAK77773 MQNPYQSLGLSEDAALSQIKEQYRELSKVFHPDKQSQENYNLSVETFEKIDQAYKIIGNE FNKLVYDNFGFAGVQLLMENKQHFELIEWQFHQGKNVIEDIRVQLLLLKLEEEELNSYST LKSFLCEASFQNTFICTTNQNQKCAFIQQILQLPRWKQFEFALKSEINLLNYNNKEVEIS KNYLVTALSLNLPFIKGCGIEIQQQLPNMEIDQVTFKKKSSFGEIHFNLANNQQGDYVPQ IVAAIGKDQSSIQITFDAQEKQLNFDKQLSERLTLQGYIKQKNYNAQLSYQVVKEQNYGS CLRLCYFKNVNKSLFLLSSDIKVQYTHLRFDWQSTISDSNQMSVIFTLRKMNSQIRFPFA FQVQSLKSLLFWIVGPQVLPFIWKRNWLSPWNDLQNKKRQLYLHKIEEYQKFNEETRRNI EQGDNFQKALQFETDLNGLIITLAYAGELGDINQYIQNKVPNQYLSNIKEQLQAEILDSG LFIPPNCLDKLDGFFKVSSKKNSSHYWGYVEYIYKGKAGNKKWNWAEPLSIGNVCKQNQY SIIETILGYIYK >CAK77774 pep:novel supercontig:GCA_000165425.1:CT868285:133854:136970:-1 gene:GSPATT00013239001 transcript:CAK77774 MAEHEHEFEGHEEELEAPKDSLWRDEHEMEKSANIVYFLTLGIVSGLILREINKKTKFPY SPMILSLGILLGLLQKSLGYVGESASILSKMHPHLIVFVFVPVLLFESAFNCDWYTFKYQ MVNILLLAGPGCGWGAILLGAIFKLVLRYDDNDMTWYQAFTLGSVLSATDPVAVVALLKE LGASLAFNHLIEGEALLNDGVAMVFFIFFNKFSKAASGKGEAVTAGQVVLNFVRNSLVGP GLGLVLGILAALWTKRILGDDIEVTWLTFVFTYLTFYWAEFCFFKTSGLLAVVGLGLFWS AFGKTRIRSSVEHSVHTVWGFVQYSCDTLIFLLVGIIVGTQVIEETFIHKSDYIRMIVFY FFMILARFIMILTFWPFLRCFGYPISKSEFIVLVYGGLRGALGLTLSLMVGCDEELPARF RHLSVFYGAGMAAITNLINGTTCKALVQYLEMIENPVVKKKVYKKYLEELIVNSQDKMRE LESDQFYSMADWNQVNQLIGQPKFIEKIEKLETEIKYMIGSNKVQASSNNLYEGLTDQEI FGEVRYRIYRIMKGLYYDKFEYGLCEEDTVRLLVESSDIGLDHTKAILNIWDQLYKNFLN FSSVNFFFKVKEMPLIGPFARDYMIKHLGFVYDVTTTFLSCASEAFHLTGSFPMSKDAVR VVMEELNREIEKAEGYLGILNDTFPEIVRAIQTKRASHSILTHQRHYLDDTQQNGLVDEK EYQLLKKEINTRLVDLENHQFEMVLPSFHVLAMEFPIFSGLVSTDLDNIIKSAYEKKFGP DEIIYEQGMTCQNIYIVSKGNVVDEFQGGSIKKGLGSLITYTNLIGDGTCMSTAKTTADS LLYSLNLKILKDLMIKNPDFEFKIYINSIEYLRKMYENQSGPLANLEIKRLLDFFRTKSK LKKFTANQKCDFIFGGYLFRGELKDINNKVYSQYNYIPPQDTECLVTKDCQCLIFEDSVE SFNNQMLKQLDLDPHKDQQIQERYSQIKRTSMMDKSKHH >CAK77775 pep:novel supercontig:GCA_000165425.1:CT868285:137740:137949:-1 gene:GSPATT00013240001 transcript:CAK77775 MPIIRLNFNFKVNLYRNYQGCGNISFENILTFYIITQYCSYQMQDQIKLKLFPHFSRTPK K >CAK77776 pep:novel supercontig:GCA_000165425.1:CT868285:138153:141116:1 gene:GSPATT00013241001 transcript:CAK77776 MHALFVLLMYAAALNFEASLMSHTLAEVDALDTSQLNCQTPRSHFDELEQTLTQWQNVLE NKERIPSHIETLTEMKHLIKHKKSKTWISYPHQCFLNYKTNYKDCNLMDKHEREECTETL TKLCAYLIKQMNNVHQQCQQSPVTVIKVKGKIEDLKIIQSGCQQACQPTCPDEPVPEVPS ELPSEEFGEEPVEEGVPPTTPDDEPTPDDKPTPDDEPTPDDKPTPDEPVVPQDEEGPTDE PPVNPNTEESPDQPIVAEEGTEEWTIPGEEPEVPIAVPTTPDQPEEDQEESSNPPVSPDP LVFPPEEEESFGFEETPLPPVSPDPLVFPPEEEESFGFEETPLPPVTPDALVFPEEEEES FGFEETPLPPVTHDPLVFPEEEEESFGFEETPHPRISPLRFPFSEEHEEIVFEEEQEEQD PIICQSSESTDIIELTATQVDPGFSSTLTIAGEYGFGLYFQRLQKYPAFAEGDEFHLASL QDNGNTVLGVFVTNTGVKCVTVDGSTHQSTSVNXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXAHYADLEEIKLGDRDLAVFLGSGLVGGVFSFSTYTYS GSGNPNLWDNVQYTNTLGQWHHIYFGYNRLQRMAHFTLYLMEEQLIGANQFYDARHYLVP WRRLYIGNDGLYPAFNGQFYNWRVNRCIGDAARDVVLTPDDIPFGYNPQPLHKLKLLHLL KKNNHIWGEEEQEFVPVTPDAVPNVLPEEEQPYWPEEEEEFVPPAQTFASPEEEQPYWGE EEQEFVPPPVSPPVIPDEIQPEPLPEPEPQPEPEAAPAPSPKPAPGPAPPSEPQVPVVKE CEATIDVTKENAADILCAISEYLAQLASGHVPELDINPSRVCFCLQYDDSDSNESFMQVE AILKENDAFTINQLVGQRRI >CAK77777 pep:novel supercontig:GCA_000165425.1:CT868285:142292:144259:1 gene:GSPATT00013242001 transcript:CAK77777 MIILGYSKSKDPHRVCNICKSETDILKQFVKTNIIQFNKDSLGMEWLKNLGVDIRQIKEQ YKIQDQKDMLEYTQFMNQLDSIYDEIDRKMNYSIRDFLSLVVQVDKGSVLGSIRNVLGTF LRNHPEVGYHPSLVFTTLILLCLSSEVTSYGLLKVMYTQIIPKALHFRNLRLGYDFKSEL GVMIKSFHNLKIPTEPIKPFLQEVGPYIVSLTINAFEIQTSLFMFSQLFQEDGFNEFIKV LACSFSVHQFKESVERNKLFILWNVKDQQFQKYYYETKKQRSDSNSSVRSMSQSEQQQQL ENKFKQQISLLQQENSSLKQEMAVDKIKISKQKIEIDQLADQISSFQDEIKNLKLQYQKI ETENSNLLESNQNLKLQFMKQEKANESSSFTLSTKSDSTHLENELLRCKQEIEVLKLQNT NPTQMTQLVKLKNEQIAKLTQEKAELEKKIEELEEPKISKRTSSVAQISSVIKDLEDGKN LLEKKNQEILYLKKQIQELQLQKKTDQSMTSSQTLVEISQRNSKVDNEDDVSDDLQLLIQ DSDPAKKIAVRNIKQMISKLNEELIYYKTSAKLKKEQVEPFRQKSESLQKQLDYLVEQNK ELQIEIEHKQDLIQELEKKKVKQTIDPRPQVVQDDRRVLNENKQSCAANSDCKIF >CAK77778 pep:novel supercontig:GCA_000165425.1:CT868285:144635:146711:1 gene:GSPATT00013243001 transcript:CAK77778 MFDYYEKIPDQDKDQVKELQKNNKNILKLKLKDKVKERLKNQEIETLQKDSERLPEQLKK EQSKQIENQQRSIDERLRNIEKKYSTENTYIAFDIKTLNLQYNEEKIIRRCKYIGIQQPL ICYMHDKKNTYLLFNDILEYKLSGEKLETLTIQDYNRILVQLILIFNEFELRNFYWEISD LSQLYYIDGFLQTLVIDYVKNENKYEREQLFKQLIDKHFSKHLKSLNIDIFNEDDQFRNL LMCLLDQEQIQSGQFGLEAAFEQAIKWLLNVIDFKQLYYTPYCIIKQFDTPQQIKKAIHN LPEKMVFKQKRVHQNHKFYEQLIINLEREIEISEKNMEILGKPFLFMKLYKYHLGDILQQ WIEKKNIEYQKLKVYHLCESFSTALFGLKLANFIHRDLKPQNIFLDDWDEDDIDFMLKQS IVIADFDRSKMHDTSESKGQFKKQQLNQQTKQFGCFSRNILWSENSGQDDPQKKDIQMVN QTSSEQIRQYDQEKIEDENIVSNSVQNDSQIIIEGKMTVLNSENTGQYDPPEVEQTFSYD VWQFGLICLSIANKGVFAGHKFGGRALDDKEYNQYFSLEAIKNTLSHTDYSEEFLKMLAE CLQKDYNIRKPPYLEKGRYQTQLNGILWKLRSEEYEKEMKKLKENEQKTKQIIQQ >CAK77779 pep:novel supercontig:GCA_000165425.1:CT868285:146751:147407:-1 gene:GSPATT00013244001 transcript:CAK77779 MNYLENEEGQENLKKIDSNNEDEQYQYTSNEIIPPFKAYISKEQTKMPQQRFYTLINKET NSKNIPKTFGNNFKKFMDNVAIINRGQKSRPPFPQQMERFLNKKNKGKQSTYILKDFQAL FSNEICRKWFQFYMENCAFLDLIQSNRIEDVEEFILAIEQYLLGAQDPDNFLLQRKQKHK EAAKLQKIQMKLDEVKQDYDSNIQFISYNEEQSSNFDN >CAK77780 pep:novel supercontig:GCA_000165425.1:CT868285:148614:148886:-1 gene:GSPATT00013245001 transcript:CAK77780 MKTQTSKNSHRQMFIVRNESAALSRKPHLYQSSPQPPIQFMIHGKSLAPTKFKPQSKPTP KEIKLKQTLKSVHIISLIHIDQIQVAIFEN >CAK77781 pep:novel supercontig:GCA_000165425.1:CT868285:149359:150084:-1 gene:GSPATT00013246001 transcript:CAK77781 MSVYFYIPNLIGYARVICALLFCYTGSFDPIVSAVAYSVSQLGDLFDGMAARKFNQCSVF GSVLDMVTDRFSNACLLAVLYKLYPTIGFLFLWALALDLCSHWYQMYSTLYCNEKHHKTA VSKYKILEIYYKVPYMLFIMVLLSETAMVTLYLNVFIDWFVIQALMYISVPFLCLKHYIN FIQLLSASDKIIEKESNIY >CAK77782 pep:novel supercontig:GCA_000165425.1:CT868285:150103:150804:-1 gene:GSPATT00013247001 transcript:CAK77782 MLSTGQIEFIKGGIVVNIRSDGRKNNEVRETKLLRSHLICTSGSCKYEGEITIYTGIKLE LGDQSQIKINVSSMKRTNDEKQKAQYLQELLESLYKTDMSELKVGQKGWVLVVEVFLLCQ IDIAYLEAICTSIYEAMADLKIPTIKVTKNLLTEIEEYELQPNEPTKSFKCDKIYVVGKV GTELVKDMSLEEFYSVDQKLILAQGRNGYNKLRTFDSCGFDGDDLLRVLMFIK >CAK77783 pep:novel supercontig:GCA_000165425.1:CT868285:151345:159898:1 gene:GSPATT00013248001 transcript:CAK77783 MNPEPITYSSLIVLNMSAFPNLSLYTNGFSSQNFNLRSFHQLKGDLDQDYQNCVFRIFPV LNYRAHHDLIEQFKDSSFNKFEELEQKDRKHKIQTTLLNLENELSSNISLNNKLAGTPLV FSSSIFHLVHVSSLKFLALDDNNLEAIQFKLIDFPNDNTLLKFNPCLNFQKLRTNVVYSG DVVCISANKQVCNRTANLFSDYWGLNYYELNDEPQKYEDEVYRAKVIASVEDSTQWRIMI FQNQKENQETLFVGDVAIFNLPELNLYFNAKKTQDIQEKVKEILDRNSGDDISNLIQDKF VLTKQQTLFPIAQGAISRQVSEEVGKNEQRNNKSDSQNNSNLYERQKSMQSRSSQIIQPS KNVKEIDQALYSELKIHFSDFNSNKSDKVRIPFSAYWRIESDNFSGGEVKWEKCYRIRHF QTGQYLDINIMRQVRLTDQVPKSTLFQFVPIKQNSKFVSKDSYFLIKHFQTGSYISLKND QELHMKDVSTSTDINLINIMKFRKADYEDVWEKRFLVFLVPMLKEAISFFERVQPLASIV YMKKSEVQERIEFYYLFDKLSSLLDILNQFMYNKLVSNIQTTQDFSFVSQNRQDVIREEN ILPLLIWLICKTFPTPDEKTEGSEQTLTSDLCGEASFILRVQTMLHQKVDKSNDLEYERK VQKLKEHLEENHRKRKRLLQLKLFETIRIACHNNLQNQEIIMKFFKYFEKYISIDYVCNT IILCITKNYSILSSLNKQELPNDMKQTQNMSLEQKVQKSQSTILSRLVDSLQKSDQLQPY LLRFISETCEANGEPVFSNQEFLLNQIQSLHQKVKNDQGEDKVLMNLEPIKDQEGDYVEF YITYKTSNKIYVTKMLNEFLIDYRQQREFDPIYVLQYNYLIESLAFYSNICFHRNTAARQ LISKTFTYSFLLSYAEQNNQSSGDSYYVDEQVRAYFFRMIRTLYIDREPYTTTPKPELVR ILENKTTQKQLFLFDEASSKKQVKGDFDIQKLKTKMLKYLTNQSEKLDKNLDENQVYNME TLEIIKILELMLKFELFWKKESEVPASKKSTQKRGTSVFNKVQETGGGLREIYQLITALS KILEYDHQYFKCLDNAKIKRNFQVDKDKDQGFMKFNISGISQVFEKEDKEKEEQEIQNSN FRQVKQQDTEVINDSLFKKMKNLRKVLHRYNNKTFTLRENKEEDFQVLIKIQICQIFSYL MDVSQDYWIDSAIEFYKSHIQDKQMNDEINEEDLIKLFPQEILMSGEQWVEKPELERKPI RQSGPTLKSFDEVLKRPFIEVLLISLYFARNPQLENQIVELIQRFARQKKEFLQHFDNIQ ILDTNESQQLFKVWVKMIASLKNNVQRSQTWITEKNRIMEQTLQCLWKIDSIFQQTESVS LKLKQQIFEHIGGYEVLLELIYKSLVVIDQKSFHPDLIALLKHTLNIMGLFAKDNERNVL TVYRKVVKKVIENTNQNIGQISLLCSLFEKKPTLYGQLGQQEYDYFIILIKQHGRYPEFL QFYFEILEVTEIHSKKSVDMFEIVIEKLTEPMMPTESGFIHPLYPFQDNNRVSSFFDYLP NKTKYSYLFINILSKCLSKKIKASLINQAIEFLKLQDVLENTLKVTHRILECIKQKEKPN FEDTQLQSDYWNIIKFMIFHKHETLDDLMLRSNSDTLNKILEFEHQAIIQKDQFVPQNLN YLFDSLLPVVNRYNELLQNALMSSEKDQIQISQFVNFFLSNLDRMFFLAPSELTNNKQRL QTISDLGQSFNIQVPIKFVQSEINNNVQDERTSDLQTQSQSQQDNSQISQFRKQYFGQEF VKDLVKKESLKLSNSIWTIQKMFKDDKTKEVKDLLLTNSDIIVKILTYLEFWRTNGASRE NIIFILKVLSAILKDTENELFERQVLFNRMNVTQILIVLLCESELEPVYMGTLMSFMILL LKNGNSHVQRTAYEYFLSNSQCEKFFKQFKDVFDIQILSMSRAHKLNYQENKLVCKALKL IQLFCEGHNQDLQNYMRSQFNQKNSYDLVSQIILLLYTFQISKANYESVLQCFETLTELI QGPCKQNQQTLLKSNLLEYVVLIISEDEKIFEQSNEYFKYDGEKSYRISIHPGQLARLKF KCLNCLVSLLECCEPQNSDIARLVRVIPLNVLTNNLTRVYKLFKNFGGTYNEELFSRAEA KITNEQPPEYYEFIIENGFFILLLMQQFLGNQKAKQLLYEDTEMNDVLNEFSEKNKVEEN AIAHIFLGLENIAKMGGNFIGQIALTQKSEEEQKQDKVIQEKLLQEKIEQKRLTKDAIRF FRQNTCSIDMIRDQKLYTIYFPKLPICNLPKSARLEFHDQVNRTSSKTKLTYLMERANFL IKVMIYEEKLNQIFAKNPIFAFFASQGKLWENLAFVTTLVINFIVLLSYSQDFYNSGDQG NSGDLIYERLQDPRIFGIKQSTWTPIMIQGLGITMLVFSSLIVFFFLVKRAPLKVDHIWE DYQKQKKLISTIYDVSIRSVKSFFILLQDPDILYYSIYIIAGIVGLTVHPFFFAFHLMDF LKLQQLKTVLDAIWGPREEIGLALLLLAVIEYYVGILGFVIFFNDYPIVDGLGCEILSDN DHINCERGCSTMWQCIFMSFDLTFKFTGALGSGIMDYNTITTLTQNFDMINNPWDRVKQA QNEYDGVDHSFTSNYVSRFIFDNAVNIVLVMIMLNMIQGIIVDTFGSLREKLQERIKDQT MKCFICGITREKFEKNDEGGGVGFQEHIEQEHYMWNYIYYYAYLKHKDENDYNGNESYIK EKIDMKDISWMPIKRFAEEDMDDQQKGNEIQEAIEMKMKVMNDSLEKIQDRIKHIIDNIN NQPTGMKDTFHE >CAK77784 pep:novel supercontig:GCA_000165425.1:CT868285:159931:160563:-1 gene:GSPATT00013249001 transcript:CAK77784 MQNKYIPITKNIPKTILNRRFKKLQELIEKEEYFSEEQIRMRDPLLYFIYVGQYIRNQNK RPDGNIVLSEILIDQIQKQEYEIHLQEMYDKLGPNHDYPNLMIEARIKDADLEDQEDILI RLMHDRFINGLDKDFIDYQQIDQNEDYDDQKQMNLDMEEEYFENLKGDVREEEVKQSEYT GIQDY >CAK77785 pep:novel supercontig:GCA_000165425.1:CT868285:161065:162395:1 gene:GSPATT00013250001 transcript:CAK77785 MDSQDPSSQYLLLELGYANRFGIKNNVCLVAPAYQQEKQKSNLVSIRISKNNIDEKVLLK YRTLKFLTILQPEQYFQTEYEHCLIYENLYEHLFTKEKLPNLTYDEGQKFLLQLVLTFAE FERRKIYWELKSINQIYYIQGIIYFSLLEYGFENFNQPFNYLQSFWSFFNEHLSYKFNDV AQLRFAQSFDDILQYLFVKNGDLHKTPLLDSPPYEKVLQYLLNIDRFHKLYNGNCIVKQF KKKGLFSIYPNLTEDIVYKSTEIKGNEESQKQLIKQIQRDIELMELFSNKDNVASCFTYL RIKEHAFLLYRKFTGTLADRFKNWPKKEQTLDSIRKDVYVIASRTADCITIVLFQHQKFC MKKIQFIEIRNLRIFSLIMRICLNHSVMLVILTALSKLMMNQIQLVPMVIALSPLNMIPL KQNQPQNMISSSQV >CAK77786 pep:novel supercontig:GCA_000165425.1:CT868285:163799:165488:1 gene:GSPATT00013251001 transcript:CAK77786 MSDQFDDDDFVDGEEIQNKNSSKQEEISTEQQNQSKKVDEMDDDEEEQIIITEDNQPNST EIEQQIQDNEIQVQFFDQTQSQEQQSQKSIENQQIIEQQQETHVEEEMKNPELQQQVAVD NNNIQQQSIHKDENTQQNDEDFEEGEEIQIENVEENVMKIQEQNNQIEDKQIESEQQEQG QQEYQLQQQQQQQQQEQQRQEQEQQQDEQQQQQQEQQQQQQEQQQQQQEQQQQQQQQQQQ QDQQQQYLNHNSDTQSEEEIIVLDKDDKLVEEVQQKIDEDDFVSGEEIEIQNDNQEIQQI QNNDDDEDEFEEGEVIQEQENNETQVKEVNDQANNQNLRMSSVNKHSIDQLYNELCQGFQ CPLQKNFEFDSVPAQQFSSIETALDKNSVNYLLERELQHVQGQKDLNGMATPSKININEV GVSQELKNAFLDQIKLYTLIWTKYQPYIQMSDQYNFVIEYPMFEYVDVSQDKSPVPRGGT SQFQLNDVGRPGLQEILDLIPDYSKLLEQTFSNET >CAK77787 pep:novel supercontig:GCA_000165425.1:CT868285:165488:166560:1 gene:GSPATT00013252001 transcript:CAK77787 MDQHKLQFKEDQIMKPFKQERFNIKQFQRDLEINILSKTDEKIVFEIINIDAPIANSLRR IMIAEIPTMAIHKVQVIQNTSVIPDEVLAHRLGLIPIIADPLQFIEKDDQEEYNELNSID FTLVAKCEKKPNSKHDDPIEQQYTNTTVHSSSLIWQPKGQQAKLFAANPIRPVHSNIIIA KLRENQELNLRLICEKGVGKRHAKWSPVCTAFYKLMPSITIKNQLDAQRQEKLASLCPMK VFGVKGNQIKVVNPRNCTTCRECVREANGFEKDVELNKIDNHFIFSIESVGQYEAKDIML QAIEVFRSKVQLYIKE >CAK77788 pep:novel supercontig:GCA_000165425.1:CT868285:167356:168786:1 gene:GSPATT00013253001 transcript:CAK77788 MSQDKKFYFNFTSPFESVRQIEIFPKSPKERFFVPKNEQFKQKTLVLQRNCLIICDKKGA SKKYFNITNALLEIVSHPKLGLGISLTKNEENFTFYGLVEQWFAYMKKWCIQPHFSKAYS IIALIGQGSFAKVLKTYTLKVFKIQRISDRKEFAVKVFDKTLMQSQDQASLLKEIELLRV MNHKNIINIQEAFENDQYIYIVHDLYKGGELHKELMKNKTFSERGAFIIVQQLVEALHYV HSHGILHRDIKPENIILREEGIIDQVVLADFGLADYYRKDCKYMFTRCGTPGYIAPELLQ DKIYDHKVDVYSCGVLFYYLLVGKGPFDSNTQDAIVKANQNGQIDLTQFTFTNECLDLLR GMLDSNPHNRFTLDQVKQSSFFKRHMVVNNQTSSSTSLESLSNQSESQTNSLQSSPYQQQ IYQQELKSKQVQYASPLQLPLRVKSPNLSPLKDPQRQILNLQRVPIKI >CAK77789 pep:novel supercontig:GCA_000165425.1:CT868285:169734:170403:-1 gene:GSPATT00013254001 transcript:CAK77789 MNQKKQPQLACDAVCFRKRENEIKQVILITRGHEPFLGKYAFPGGHLDYGEDPTQCCLRE LKEETGILGLDVDLIDVKGAPDRDPRGHYVSIVYKVEIQPDAEPVAADDAKTAQWLNVEE LLNWERKPLHLIIMKSQKKLIKSIHESLQYMLTYNAFSQGQKQDTKKQLSTLEISLQILD YVTDFSRFIIFLLSLLKISLLIILRQKRFI >CAK77790 pep:novel supercontig:GCA_000165425.1:CT868285:170428:171208:-1 gene:GSPATT00013255001 transcript:CAK77790 MSVKVQLIHQQWDDIRKVLRATQRKVNNNNNLSLMNCVPINNSQDQVQREGNNSDNELEF YNSNSNALLLIKDTCRNTRRKFYLSQKRRELSSQNDQEFYSQLQSRREQATKQRILYSNI KNFEKAIKQITTPKLSMTRPSTRIRQNSQEIQLNMINQIKLNQSDSNKRNKAVPIETHQG YSSSHQKQIKKNSNNFWPRIKTPALDNKDNITQILKKRFKKQNDLSLDICCFNYESLSLL NQTKTPTLYYQ >CAK77791 pep:novel supercontig:GCA_000165425.1:CT868285:171864:172481:1 gene:GSPATT00013256001 transcript:CAK77791 MGDSDIIEPSAYFFGYIGVASAVVFANLGSSYGATKSGVGICSMGVLKPDLIMKSVIPVV MAGILGIYGMIVGVILQGKVSSITAQSASKQGYAYLSAGLCCGLSSLAAGLSIGIVGDAG VRANAQQDRIFVGMILILIFAEALALYGLIVSLILSQTS >CAK77792 pep:novel supercontig:GCA_000165425.1:CT868285:173081:174442:1 gene:GSPATT00013257001 transcript:CAK77792 MHQRVRLISIIKNEGLFIFYKRLQNSEQSQFQCHTIYKETQIAITLSITSILPLNAENLR GELNHKTFFNIVYQFEKQVSKKFFEGTINNIYQQQNQIEKFHLISALNLQRKKVFFDHSC LLLQRSSTIFSYFYNLLRIKSRFQMTLKRFYEFNTVDLQNSLSHQSQSKRQLLTNIILPH INKYYIKGKFLINHLLITNFINILLGLNQAIGIKNQIIFKTKYFESYERISRRVKNEYYL HYFQIKFQTGNSSLIRQKKELQFTYFMRFNEKKDQYQLVFIQFCSLFILYFSLSIFIPIS SFIVFEFLDQIINYQTGLSNLQPEDFLQLSSSGKISFKIFYWIQEYYKMPSFSPQQILFK FIHNGLQECINKIRIEPNFNIYLKFSLFRKQWYQKLNQYCQYQPLLLKLIKFQISASLLF LKQQQHCQQIYLSKICCFIYFRKKM >CAK77793 pep:novel supercontig:GCA_000165425.1:CT868285:174662:176170:-1 gene:GSPATT00013258001 transcript:CAK77793 MTFLMFLIKGKPDYWGQLDTNIEYQIKLDYSSKANSIIIKFYVSQSKAVCLVSQSSLGQV AFTDITDSKSESYAHDYQGYQANSVYQLVEIDFQQLLYIRCLQSEQNNTKSSYELYIAER PFIQSCINDCNGYNYPEIQNSVCVSKQCNCLDSYYGLFCQFQSSKIFSNVYYKLTIESNK WIYLYYELSTTNIELQTQNEQQDVFYSLVSEQTPQKTIPNLQNSYKLLSIVNVQEQIIII DADVIYIGIYNNQSEQIEFQFKIVTYYEDDESVFERNKIIIILTACVIATLLLFAFGISA LKTRKHQQIRKQVQETIRRNLNQQGISDQQLQSNRVFDPAIYKGFQIRFIKDHFKAHYYD KFIQIYPGLSQFEECAVCLEQMKNANHKLQKICSVTPCFHIFHYICLQEWLLRQKNCPFC RTQYNRKKIIQEFPWIDIGNLQIKNTDSNYLSRIKNQLESVNESRIELNQNQQLEQTNQL QEIKD >CAK77794 pep:novel supercontig:GCA_000165425.1:CT868285:176267:178912:-1 gene:GSPATT00013259001 transcript:CAK77794 MAEQIDILDFNEAHRNRNSQNQDTDRFSVRKIKQLLTLEDVDSWVEQINMPQTRNFEYPS SIEKAIIHSQSFYIHPDKKFLKENPKVINCDCCGQMIRRKRYNIFTCDMYSIFAGEFGIS IPLYFSIIKLHFFILLAIGCIFGIYYCYLSDKYCGQLNLKNFTENQLHEQYCTSFLAAQH FLLYNFNQEEIINKNYGEQTTFYILGYVAYLIMMMTPLMHEVLLRLQQIKYWNYDSLNHI RIPKLTLYIKHIPKKFNEQELQLYIQNAIASKYKDKIGGKFIEEIVYIYDIHPIKVLNEK RKETYLKSLQIVNELKVNPKLELKGQLIDLVFQLIKQTRDIQKTLQYGLPFTQKVILKFN SESVTNLVYKFYDKSFFNNILQRIETIKESAQNYQEFQKDKFELQAQLLEQSQTNSSKSS MISQQSDLQEMVRSQSELFNQPSELKAKLKQSIIDLLHLPENDEQQEMTMQQSTIDEKKG LHLQRGFRQDDIFWEHIGMHTLKRFFLRLITTCITLFVGIIIMALFEMLFIMEQHLKRQD GWVSSLIVIVMTLFTVFLSVFSTVLVIFMTKRSQRSTYSLQEKNIITFMSPIQQVCILAF PFIFVLSILQKHSALNSIAVVLAVSKLAQVRLISKGIIHFFHLRTVKFNSLKKKIQKNFK PTQYFQKQLNDLLTPPLFPIRSRLFYILFIYSSGMMMIFFNPIMILWCLILQVFFFYYDK YSVLNEYHYDKLFTFQLQKYLILTYQVIMIPIYCYIYVVTFTNTTQHIGSDGSLINQYST VSTLWTFLGYGTCILSFTSFLFFRQQIANFFVFVVLRYQKPLRDSTIFNEKSYFQSYNEY FHDLGVHELNTILEDTFKNMQYKSK >CAK77795 pep:novel supercontig:GCA_000165425.1:CT868285:179346:181369:-1 gene:GSPATT00013260001 transcript:CAK77795 MKKNLVIETDQSIPLQQTIFRNGVSALPLLAKKNSMGLALENIPRTQTFSRQMQQSCHND SVQQSRTPTMKNDSFYGNIFRKPTVMKKPTFTLTKPESDFNWPLFKIRNDPLIKQFWDRR ANKDMEKNWVFDEYIFAGNMLRIGKKKKIVKGYFYRLTSCGLLMYYKKESDTLPKGFLQL NMKIRIVVSFQKTKRNLQLPVLYLERNQGIGITIFDHLIDQTLKLGECIQQFCLMRGFNV LYEQLELLGSGAFASVYKVSRKRDEKYFAAKTYFKQFYDDHSHKDRLLSMVYNEITTLKN VDHQNIMKLYEVIQEKDRLILIMEYCAGGTLYSYVKLKVKFNDKHYAYMLKQLCDALYEM HRLNFVHRDLKLENIMLESKDYLQIKLVDFGFSEVINEKQLVSQAGTPGFLPPEIFRQIP YTAKSDIFSLGVIMYLIVAGYMPFKAPTATQILELNRKCQVNFEKPPWQDVNPDLKYLIK KMIEYKIEDRISCQDILDSPYILHHSRQTSETNYKSNQMLSGLELDKCHRQSMKSIKQSE QSNDRSKEFQLDFSIHTNDIKSLYQRNSQKTNKSNRSINSLAKNSSQKKISRSVPKECQH SKFQSQKKMEDLESHKSQSKKQSSFGDVMICMSFDYDQSRAGAKDYNV >CAK77796 pep:novel supercontig:GCA_000165425.1:CT868285:181909:182301:-1 gene:GSPATT00013261001 transcript:CAK77796 MQNKPLDWICIGCKNLNYSFRKYCNRCQTFTRDAPGTKFIPLEQPNIIDSLKLSEPDLTG SGHSTTDSVGSKTQDYTVFHQALFLENLTQSQQETVNKNFNFMKICTICRTENYFYQSKC KECGFRITMV >CAK77797 pep:novel supercontig:GCA_000165425.1:CT868285:183742:185304:-1 gene:GSPATT00013262001 transcript:CAK77797 MNKNMNRKFFQLRQMTRSLDDTFKEDLDLYVKLLQKKYQPNPQRLNNDKQMLQQWDSFKQ FRLSGIIDTTLDSDIFKICICDFLKKKRVSMEFWIKVAYDVFHDESKGKQVRMKFWRSMR KKSSSLELDLKNKKWPVAWRYLEREPCLNLEILKLYEQYMPIRPFFAQTLNTMTNITCDL RRMPMLKEEKSIEFDLSKTTWFPKIDMCGPAILEQLSSSDNFFCEQLVKFTTEMKAIMFP ILDFFRLDKKKGRIVNLFGEQHDFVLEVLYSLKAFCGQAINYTQGSLKRKKNYKCTNSYK INEMQCSIMLTTLSENDLNQDVMNDIQNNIDGGYSAFIQENQMYYHSEKNQRLVLIFSQK KLQFGRLKKGIKFYQIPDQQISALKNKVDYEFLVYYANILFNKCMIVQQNCSSRKPFYQV MICMWTYVLAVYLSAEIPNLEGINVFQDNERVDSIVDIQIDLGLSSHEQTYDEPEFDQDQ FQKTSFEFTNHVGGVSLDPSEEEDCMIVDQIQKKKKIILV >CAK77798 pep:novel supercontig:GCA_000165425.1:CT868285:185435:188869:-1 gene:GSPATT00013263001 transcript:CAK77798 MEADQLLVTNFFIEQQIKILKPQPLAIKQSTEILQHYYKLQAKEQVKVIETSLLSIYSFF QADNFEGFQDVNNKINNLLEDISKLDDNTIRKGQYRRSIGRKSLQKYFILIVYIKQIIQN FILKTQNNFGHNQQKVGKQTKYGLTSAFATYKSILTYLRQSTLNSDLALISKKFNKMFIC RICEQPVQATQMAQHCIMCEQQAESKKRLLELNLELANICDQAYLEKRNVQVKLAIKKMR DKTRRRQYHNTKYIYRRVQTLDDQDEDEDNQNEYQQELNNIVNIMTQIINFAEKTLNNAA EVEDTKSLLIETLVLLNDIVNAIQCIESQETLSIINATHKCLLDRLDFQKKKENIINNSI EGSPNQNQDNITKIKRAFTKSNSISFRMPKFQNSPSPSQRISTDPIQEEDDSPQSPQLQS PQKLGTQRKAFKMNSSIFKLSDGSESPKSIKQSSALTQMKPSYFCQTEVNQSINSSISDL KVEQTKKQSTSEITQSKDTIQNSLLKLSQFKATIQPLLMKNQKPQNLEEIKEEKQKYPKK IEIQKQSIKDSENSSNISSMESGNSNNNEVANQAPVKKQRKKKKLSFQSQEIDKAQLVGK NQQRKSKFFENQVCKSPMVLQENTIDEILIDKGYHSDSNLIKTVSPQLNETSKVGVKDFE FIKLLGKGAYGWVFLVKKKGSGDLYALKIIDCAQRNLEAFLEQLKAERNIFEILNSSFVV KAYFSFVHEQYLCFVQEYMMGGDLASILKQYTALDEFYVQHYMAEIVLALEYLRQQNIVH RDLKPENILLDCQGHSKLADFGLSEQGVNSRLKLKEKLTSTEIPTCVEQISDQQAYQPVY KQLKKVESILVEKQGSKTKKIVGTPDYIAPEIILGTSISNFSSDYWSLGIIMYELLCGIA PFNDDTVDKIFDNILNMRIEWPQIGEGEDCISEQAYDLIVQLLEPDFNKRIGHKSIEEIK NHKFFRGIIEIQVLGIQWNKLLSKPGLIIPELNCESKDTEKMQQFLKKLEKTNKDSENKK LAQQLKAQLQNLERIDLLKQRSTQESDKYLLQVQNEQKKIQHQIELLTQLYAKLYQCYSK MQ >CAK77799 pep:novel supercontig:GCA_000165425.1:CT868285:188888:189509:-1 gene:GSPATT00013264001 transcript:CAK77799 MFKNNFYSYVGGTRSDIAMSIESYFEVMEVLLDQYLSREMLFNFKNCSQVLNDIVVSAPL AFLPFSLLGTQWLFAGSNRKGANKAYGPILASVHMLVLWRAYTAPIPNKLFTKIVADPTV DGQYIRTQLSVMKPGLWQVLSRELYHKGYRFPEMLEFKIATEFPTGFVKPY >CAK77800 pep:novel supercontig:GCA_000165425.1:CT868285:189589:189903:-1 gene:GSPATT00013265001 transcript:CAK77800 MASRGFISKRVVEQFTKNIILLNRHKEKKFLQKNAYAQMQNLGMKGLDIILGRWSQEFVA KMSYEELNQMESEILDLDTIDIYNLLFQMKGADELKPLEQSKDV >CAK77801 pep:novel supercontig:GCA_000165425.1:CT868285:191312:192199:1 gene:GSPATT00013266001 transcript:CAK77801 MKNGILLKNELSFSGIKKLLLQLDELQELYLAIMEQTQIQLQNINYYSDCKLNITQIYSS NKPLELIETLQKYLIEMKHQKNPKYIKLNKKSKQLIFSLTKAKSVQQQINQYLEILSNLI KKLKYKKECQILITSISLILKKRQKFQLKLLQKHNQLSENLNSISQLVINQENTNDNVKQ FLEIKTLQDILEQKVAVKSQRKKQEIESLSQTIKIKQECENSGFFHDKQINEQQYQSQQQ ICYNNFIYQMYQSYCYFNQLQQTKFNMWNSQLFYNQNPFMYHYYPQFQQNFQQQT >CAK77802 pep:novel supercontig:GCA_000165425.1:CT868285:193066:194574:1 gene:GSPATT00013267001 transcript:CAK77802 MTFLMFLIKGKPDYWGQLDTNIEYQIKLDYSSKANSIIIKFYVSQSKAVCLVSQSSLGQV AFTDITDSKSESYAHDYQGYQANSVYQLVEIDFQQLLYIRCLQSEQNNTKSSYELYIAER PFIQSCINDCNGYNYPEIQNSVCLSNQCNCLDSYYGLFCQFQSSKIFSNVYYKLTIESNK WIYLYYELSTTNIELQTQNEQQDVFYSLVSGQTPQKTIPNLQNSYKLLSIVNVQEQIIII DADVIYIGIYNNQSEQIEFQFKIVTYYEDDESVYERNKIIIILTACVVATLLLFAFGISA LRTRKHQQIRKQVQETIRRNLNQQGISDQQLQSNRVFDPAIYKGFQIRFVKDHFKAHYYD KFIQIYPGLSQFEECAVCLEQMKNANHKLQKICSVTPCFHIFHYICLQEWLLRQKNCPFC RTQYNRKKIIQEFPWIDIGNLQIKNTDSNYLSRIKNQLESVNESRIELNQNQQSEQTNQL QEIKD >CAK77803 pep:novel supercontig:GCA_000165425.1:CT868285:195408:200221:1 gene:GSPATT00013268001 transcript:CAK77803 MSQTLNKLGIEYKEISQIQVEEAKQSIKQMRLEQVLIGECENFGWQNLVNRHDLITIKSL EKSQKDQKKAQNSTLFIFSNKNPIRRGIIKLIRNQNYTYFITLIAAICLVPYCINEKRLA ISIEVVCNIIFGFDILLFIIAYGVILDKKSYLRDCWNIANVLAFIFTWFIFLEDNKVNDV IKTIRLLRLFRLIQEIPLLRIQFTAYVSSFLKLKQVLIPIFLVMIYFAIIGLHLFIGLTE RRCRITPEPVDGVWLADDGVLKLCGIWDCPENLYCGSPEDYGLPKNVTENNVAEFSWDFI RFDDFFHSLLVVFTFLNVTGWSGTTFMFWKAMTTYVTATYFLVLIVLLAFILSNLLLALF YESFMEKSSIKNSSSKAKKQEQEIEEDLKKKNQEQLMNRLALMSKQKGHLVSHNQSFNGQ LNFEQQFQFDQTTLQQPQQNRFFDSLSNSKNFNFLNNLCIILSGLAIIIDYKDISKTALE QLQIVDFFCIIYSFIEMAILITGRGLKGYFSKDINKFDFLIISTQWILVFVLVVIDEPLI INHTVYVTFFKSLKILRVFRFVYNAKLFYTITLLMRSLVFTLTRLKNILALWACLVILIA IVGKSLLQNKLREEEMTIYYDDFGSALMAAVNIFYNEEWHVTMYKYALHTYVSFFYSILS VILGQIFFIRLLMAIFLNEFVQQLQKVENELKPIDFKQFIFEIIQCFKSNNKQNQKRITN YQTQKSDQMNQLEEQNNKKEKKGTRKENRTNSMINNNIPQQELEELQNWLQVDQQKQDQQ EIKSNLSNNNNQNEKENPTNLNSPINNDKAEKIRQIVDSFIFQLFCLLVVLASTIRIGLQ TPFLDPNSSTSQILQIVKIVATILFIIQIILNVIARGFILGEKSYIQRSPYNALNVLVTL VEIISLFLPSHTVFNFFSSLRIIEFIRIGAFLSQSINYISQALVKAFQTMLQLSIFCFII LLIYGTFATKLLKGQMYYCSSLSEEETNEINDKWDCMDYGGSWVNRILGYDNIFDSALTL FITATTEAWLELLVHTWSARGVNLTPVNNHNRWWAVYYQVFFFIGNICMLNMFISLVVET YQQTKIKAQGMSELNSNQREWLQIKESINHLKPRRLYAKPKTKLGLFIFSIAESRITKYF WHALILLNTFTLALYYNRQDKQFSDALDYINLICTLAFTVEVLSRVIAKFPNILDRDPFI IVDVIGIIINLVNQFKISQLNDEFYLQRGFEALSVGFQLLRNYYIIKRFPELEKLFYTIF SVVPSALSMLFIMFIFLFIFACLGMDLFGYLRPQQKMEGFDLHFKKFTTAMFSLIRVASS EEWWALLIDSVHVRTPDFACIYINGYEEFQEYGYNGCGTYWAYVFYVSFHLIFSLVILNL FIASILGAYEEHAKQEQSAISKYQLHDVLNYWAQYDPDGTGFLNYKQFWKLSSEIAICFG VPVKELLDPANKKNFLKALNIPLYEDGEGLIGYLFHDVIVSLTRLSVELKYGVRDLESEN FKGKEYVHHYYKKFKTSPYNSGQMSTIIFLQGKARMLINKRKGIKFLDLGALKQELQDQN EGDKFDNS >CAK77804 pep:novel supercontig:GCA_000165425.1:CT868285:200270:205198:1 gene:GSPATT00013269001 transcript:CAK77804 MSSTYKKLGIEFKPFTKDQISDSITQIQSMRLEQILVGESEQFDWNNLLQKPELVDCVNL DQIQPDIDENKQPGQFSAKKTLFLFKIDDPFRRSVTSFLNNKALSNFIILITILNIVAYS LPQSKISIGIEVVCNIFFGIEIILRVISQGLLFEENTYLKDKWNCIHFISFISGWLVLID FDLIGLKILKSIRLLRVIRLPEEISPLKNQLEAYISSFSKLGIVIVPFIFFLLYFSVIGL HFFMGLTTMRCRLTPAPEDGKWEASEEVPYLCGIYECPGHLTCGSPYQYGLEWDQKENDS EEFMWNFLRFDNLPNSLLVIFTYFCLIGWSETNYMFWKAMTTYFTAGYFLLVLVFLAFIF SNLLLATFYESFIVKSSIKNSHQKVKKQQQQIETEINQKKQQQMTDRLALMSKKRKSMKQ EQMQNANFTLFFQDQQQIGFKKGISFTNISNELSESIYFAFLNNLVIILCTLSIVIDYDG IDKSILVKLQIIEFACTLYFYFELSIFFFAKGIQNFFKKSVNYLDFIAIMSQIILFCILY FLDENVIINENRTIQVFKAIKLIRVIKFSYSAKVFYHISILTRAAIQTIAKLKSIISLWI ILAIFLALVGNAWLQDQLSEHETPIHYNDFSNSLMAAFSIFYNEEWHLTMYRYGRVTPIS FIYHIISILLGQVIFIKLLSAVFLNEFTKQVKIQEQNVKPIEYKQAAIDLMATFKQFTSS SQLRSTHQLSRGISNFPGNRSLIQNVRQGILRNLKLNKKITKIIVPQKSQLELDNLDFLK DQQLNTNKPQFNEIENDELLHQPQAIQQRSRDLSIQIDQNIVSSQQQIQTKVQMMKTMYV FNVDNQFRLHVQMIINSRIFKILGFIAVGLSAIRTMLVTPLLDPNAELYASLDILYIIIT SLYCLFIFLHIVAHGLYGNSNAFLTQSFYNVLNFVITIIEIVVVTTSTRNHVINFISSLR VFEFIKLGAEIAHSIKYAQIALVNALVKMVQLSIFCFILFLVYGTFAMKLLKGYNYYCTE VDQEENQVHSNIDCMDYGGSWQKHRLGFDNILDSALTLFVVVTSEGWSPIMEQIWSIRGE NHTPEENYNRYWAIYFQVFFFIGNTCMLNMFIGLVVSTYDESKLKAEGTFELEGNQREWF DIKKSIHKLQPKVKSRRPKAFFRKIAFFLVKNVNLKKIYLVVIFINTLSLSLCYENQDAQ YKNSLEIINFVCILIFIFEIVSRFIAKDFKIYIKELINIIEIIGIWICLVEKIIDIKEIG EFYFSRCFKALSVAIQMLRNFRIIKRFNQIERLFHSIFSVIPNALSMLYIMFVFLFIYTS LGIDIFCYLRPQKYIDDFDVHFRKFTTAMFSLVRVASSELWWELLVDALHTRSPDFACIE IDNYQDFLVYGYNGCGTTIAYIYFITFHLIFSLVLLNLFIASILGAYEEHAKQEQNAISK YQLNDVLSYWVEYDPYGTGFLNYKQFWRLSSQIAICFGVSGKDLLNPANKKNFLKALNIP LYEEKDGLMGYLFHDVIVSLTKISVELKYGVKDLEKKNHLENEFHHDYYRQFSRTPYNSG QMTAIIYLQNKARTLINKKRGINFLNIEALRQELQQQAENQ >CAK77805 pep:novel supercontig:GCA_000165425.1:CT868285:205229:206828:1 gene:GSPATT00013270001 transcript:CAK77805 MGCCCSIKSPSQTTLGREVECPKCQQLFPSYTTNHAVKIQNFNLKFNDHLDNCLMSSQQN INKTEDYRGIKYWEKVQNEQGFQWVLKEYNPSLQINEQNISSEPFGQKQVWFRAKLEKLR IPWQNGCTILSVSQNHLLETSIESIMKLKSRLLHGELKIKFQEDQKVQDAGGLLREWSTS ILQQLVEMEYLQKTETKELTYKFNPNIQSNTIDKRQLFSFLGIIVGKCLFERIPLSSFLD RTIIKHILRQKVTLEDIKYFDEDLFYSWQYLLNNNIDQLDLYFQLEYIGKTINLKENGCE IKVTNSNVQEYVNLNIFFYTQQFLEPYLSDFLTGFYQVIPKTLLRIFNPQELEMILFGLP FIDLQDWSKYTIYKECSAEDYFIQWFWQILSAWNQAELSQFLRFCTGSTRVPVEGFSKLE SNRGEISYFCIQASEFDKDNPYPKAHTCFNRLELPKYQELETMEVFLKATIHEALEGQFG LE >CAK77806 pep:novel supercontig:GCA_000165425.1:CT868285:206894:208424:-1 gene:GSPATT00013271001 transcript:CAK77806 MNKYEVKGVVGEGAYGIVLKCENKENNQIVAIKKFKETEENEIVKKSIQREVKMLRLLKH PNIIDLFEAFKRKGRIYLVFEYVEKNLLEVLQASPNGLDQGYLKKIAFQLLKAIEFIHYH DIVHRDIKPENILIDNENNLKLIDFGFARSLNLPDTLTDYVATRWYRSPELLLNYQTYGK GVDLWAIGCLLCELTDGEPMFPGENETDQLYLIQKTLGNLTHEQMEVFQKNPRFLGMKFP EIGKPETIERRYLGKLPQKAIGLVKGLLRMDPKERFTCLDALKHPYFADYPEAQEYIKQI ESRQNHVQNDGNIKRDSVLSQQKQQTQIGHTNQIRTKNIKPVPNFLSGHVLMQSTAYNYK ISDQHTAERELPNMKKTTSVDKLPQTQYNGFSIGNGFSFAPSKNQQLKATQPLKQSQPQI KIQNLNIIYNTNTYNYQSQQQQQQQQFPQQQQRKIIKKKN >CAK77807 pep:novel supercontig:GCA_000165425.1:CT868285:209302:210512:1 gene:GSPATT00013272001 transcript:CAK77807 MTIVNYTRSKKILRIITRFLSQWAKDPLPINFKIRQIENQQIYAVKIFNKNKMFDNEEEN QKSLWKEIQVMRLMNHKHIIKLREVYEDNNKIYIVTDLLNGGELISHIEKQVKVYDESLV RKLVYNLLDALVYIHERKIIHRDIKPENLILKDENDISNIALADFGLADFYQKDGQYLFK RCGSLGYVAPEILQDLHYDFKVDIYSLGIVMFLLLTGEQAFKGSSTLEVLQNNTYGKIDA YKLANCHVSLEAKDLCKQMLNFEPIQRPSAEQAIQHPWFKVDNKHISLHTISMNRIPQNL KGQKEFLFSLTPLWVNKSLRSINDSSDNLCALTLNVRDRTINEILDNHHFKLDSTVYDLE DDYINEQESVANRIENHKLLVKQRSLP >CAK77808 pep:novel supercontig:GCA_000165425.1:CT868285:211088:212043:-1 gene:GSPATT00013273001 transcript:CAK77808 MQEMGSTLYLTLLIIISILSLIGCSVLLVDYFQQKQNANLAGKLLAILSISDAIYVIAVV MNPTPQTDGVFCVIQALLKQSSSVSTFIVNFFFCLTTFLTIVENIDITDDRYDIYKRNAK IFSILCPFLIALVPITYSGYGKQYYACGFKTQDSYWIGELLLFYVPFGFLFGASIYFLVK IQKFLKEIQQNKLGHDDFIINRRTLMSQNSQITVGEQYTKFLFYYTLIHFFCWIPILISS IFYIILEGDILWIGGISQIVACLQGFLDCLLFLISKRVSSQSQVYERNNSFLTADPVDIY RGSVVYNSSL >CAK77809 pep:novel supercontig:GCA_000165425.1:CT868285:212855:213115:1 gene:GSPATT00013274001 transcript:CAK77809 MQQRMQLWGFVILNINSLRQSINQSKRHFMIKYKTQETDILNQQQKVDQLTKDYQVQFKL LCKGLHNKPYEVNQQGDNECCTILSK >CAK77810 pep:novel supercontig:GCA_000165425.1:CT868285:214227:217946:1 gene:GSPATT00013276001 transcript:CAK77810 MYLTRQGQVRTKYAFQQKSFHDNVNRYNFEKKTIVIDYSNVDIEKQIPEQYTKQNYKYCS QISNVDYQYDILIINPALSDQLKTDGFQVVEIQAPVLPIEQRRFLSDYNTQEQKLLLIHK TVISQINEERDILIYCMDSNEIEYLRVLFEQCQLRVIVKISHEFDNLFDRLMHQPNYQNT VFLLMEPQQLESYNITLIIHTNQREVIKKREDNTVEYSYQTLSQVDLSVREISRSNSEGV MIYYAFSEQQFLQLPIEAQESPKADLESDNFEKLLCNLSKQEDARQLHKFAVMIAALFTS GQLLQVFDRQSLQKQLNIKQSSYWTKQGDYHSWYNIVMQIIELLNSGEDEKISSIIKKFC NDNKVNLIKALLIIAKLKYFSFLYEIEDLDFIDGLHQLNLKKQSSSIDGFQTDNEYQLEQ LFPIARDCKMHKYSIQKIFKINLPQYILGIKEDQVLYSAQAENKFRKISVQEHIVQNICS AVMNLLWNDEDSEISKLEHKYKCIIEPFNINNLVIYADNSKQVEEDLLSIISNFKLQLSQ QIKEYEYQNSNIRFIISNGGLIEKCYYSNNSILEISQLSLETKEAEIRSLIEPYSQINSL NLIPYKTTQTAQIDLRDKDATLEIINDLDETEFQGSTIKVSSTYIKREQNKKFHPYIIKL SWFIYHCKGNAEITFNNEMAAQEFYNQYNDTILDGKKMIMQLNNDVVQISNLTQFITELD LFRLSTKIKSINISKSILYEPKFDIFQKVESLLIDENRKHHVGYKHQQLYRIRNQRKDYK RVLKLGVPSINFVNSALTNFNNLLIQLGLQQVKLYCEAQYRHQYKVKQDFIKFVRNNIKS IQQKFNNLFRIEQVDNSDSDIELQLVSDNYLVFSKVRDTIQNIIDGYVINFGELNTILFS DVGQQFLQELEIQKSVIISLDQFKKIIKVYCLDDQFQELQDIIKLFLESNSTITVRLTPQ KLKKLLGDDYQGLIFLQQKFKLTDVIPIKKNNQLKLSGQAKHLEEAIQQLQAFLESKDEN IENQSMNQENTCCYCLNQMKQPYILTNCNHKFCAECLNYDFSNSIKDISSLPIKCSLCQS LILLEDIQNILGLAKYEKLVELSINKYVNDMRGVLIFCFNPACSNILRVKGDAVFCENCK VTYCLKCKVQMHYGMTCWEYQTGDQKIMEELMKKEDIRFCPVCRSLAQKISGCMSVACSS CKKYICWKNLPNSTKPCMKVFDGSTECHQHLTNVHGGYY >CAK77811 pep:novel supercontig:GCA_000165425.1:CT868285:218040:219109:1 gene:GSPATT00013277001 transcript:CAK77811 MSKDPFDIKVQGKSTQDQIASYLVKVVLGLRDKENTQKSVKLLGSGSAIPHAILVAEIIR SRIKGVKSITQFESMPKDIKDQNDKQQEHQIYIPAIRITLTMTPTEEEQGMPGFQEQNEV GDDKHTELFDYVMMYIRNLYFSKRNFIDQRRDNRDNRNNRDNRDNRDNRDNRDNRDNRDN RDNRDIRNNRDNRDNRDNRDIRDNRINRDNNQRQDDDGRKNKESKSNYQDNKQKYQQTRD HQTNPDKSDNWNKDRDQFQQTQNQRTFQTRGGYKTRGNDRDGRVSRPRNNYENRGEQARE TPQPPPVIKQGEIRSRGGQQRAQH >CAK77812 pep:novel supercontig:GCA_000165425.1:CT868285:219198:219947:1 gene:GSPATT00013278001 transcript:CAK77812 MDQVSSQKVRLNANTNLVIKQITVYDQFSNFFASFIKTYSTPDHICAYAATANIRIIQQY GVKEGLTKLQEMNLVKSYMEEMMRFIFSARMKYAQTQWGKDINMIRQYCQDWVANYELSD YLKTLALENVYVFRHVGLFHPQLFEKTKNEERERIIKDETPFKNDPYFIYYPKEDKYISK NEFQIQDNHLYIFDTMGHFVCGWVKKKEKNNKDITILETIPHLDTKKNKNLQIFFE >CAK77813 pep:novel supercontig:GCA_000165425.1:CT868285:220679:222364:1 gene:GSPATT00013279001 transcript:CAK77813 MISRKNSKYESRRQMLYDLSKKAELQEVTQTVLDDMEDLQYLYKSYSQKYFDRKTVEILG DDPILKGQKLMFMILNRDNSDSKERIRKSLLNLHCSNSIFPEIIHFIKVDNQSIQIKYCS ELERELFKYDQEKQDRTEAYNKMEESSDQTIILIHLLCLKHSISNQQDFLQYFNTSKDYQ KIKDLHARCQELDFDYLEKNTQSIVHIIAEFYQLFSTYCQMIKPRQEKCTKLIFRVLLQL LLYQNIVIPELLRFMKIIRNSSLQNVQQYCGIIILKPTITLLTQMSQIPQISNQVELLKI EQINFNSNLPNYEQFYLQVLTHFPFILQINAHQVLVNYLEKLTQNYNKHIEVLEEIYQNT EFSQLMEQIDKICGAQIKSIINSDENSEDEFEEQGTNVLQIGTMLFRLREKVFQNNHSAK LKQINDLIEKFKKFLQHEIEHERSFPQLLKYINCNKNDLWIDISDNYDQELLEDPRFSDF LQKCDNNEIQVDFEKGENENEILENQIKLIYHQREQSLDQLKQLNGNLRCDQLMKDLQKS ELQHKEYLVKLLVCIQYQIYL >CAK77814 pep:novel supercontig:GCA_000165425.1:CT868285:222867:224563:1 gene:GSPATT00013280001 transcript:CAK77814 MSYHNNSSFFETSQKSQKILDRLMSALSNQDQDQSYYDMKQILDELNNDQFEKQKEQTEE IKIVFTPHKCQKIAEIEKELENLMIQITNMQVCDSVLYQSTLQKIKQVRKVITQIVDKYF ANLKNQYNFVANELVQIHLAPIKQQIFQLRKDIPNYEEAQYNEVLERHEQIKEDLHQLRS LLPKEINLQITNQSLYDIKDSLYKLISLKFKDQFKIVPSTFSGFKQQKKNLLTLKSKTGH LKFQEQQNSIYTFRNNTIYHSHIDYIDNIKQQELVLNDDNFRLTYCNLINTNQSLYFIGQ FYQDINTKQFKISQMVTKYNKQENKIEMMSELPIISYGGQAIKQGQFIYYWGGYILVDNV SIGNKKGFKYLINGNKWEQIESMKYPRIKGQCCLLDEKICITGGMMNQYQGNALIEIFST NQQRFLDPIELVNSFSYVPQVLGYSFPISNQEIFIMGGYNQFGNDGNAQLINLFLKTIQK NSNVIQRIQNVNCTQPIIFKQQIYLLNSEDRKIELIQINADTLQVKNIIPIQ >CAK77815 pep:novel supercontig:GCA_000165425.1:CT868285:225599:227777:-1 gene:GSPATT00013281001 transcript:CAK77815 MKGEATQPKTQTQGSAIKNDKPLKQVKQQSPLKHQGEPEVVWPFFAPQPALVNQTRFWDR KNCKNVYPSKDNLRFQAIMLRVGKKKKVTKGYFFKLSKDGTLSYYKKVSHLNIIKESDKV AKGYLQITMDYVAHLLEQKSKKDSLLIVQIEKYQGLSISIFDGKPQLTLEFFNHLKDYCI VSGLDKLYTQMELLGKGSFASVYKVKNLSNDQMYAAKTYFKETFEQSQHKSKFVLMIKNE IMVLRKVIHPGIIRLYDVIQEKEKLILIMEIVSDGDLYSLIKEKKRIPEKEAAVMLKGVC EALHEMHINEFVHRDLKLENIMMKSRDQYIIKLVDFGFAEPINHKELVSKAGTPGYIPPE IFKLFPYIDKGDVFSVGVIFYSLISGISCFKGKNYQAVLEDNRKCEISFQKSVWDNISSE CKHLLKKMLARKPADRYTCADVLKNEWILMHTSHEYEQQADSELERRNSYQTMKKSHYSH YNSVDGSQPDFNNDFSYHTNDLKSFYHRNSQKTVKTQRSIQSIKSNNEKMKQSMRNKSAN SKQQQFTNFKSQTLKNRNKSAVHSNTGEGGGDQKSVRWVDKKNKSDEPSFGDKKENDSVK TSISLKVFEDFEAINQTNVAFLKMSPMLFNLQKKPDSDAKINEAIKKKKQQATQFMRAK >CAK77816 pep:novel supercontig:GCA_000165425.1:CT868285:228110:229678:1 gene:GSPATT00013282001 transcript:CAK77816 MKGDVELSKLQIRLGDVRVPSYLKLIEETEQTHTNILKTLQNIQIKDIQDLFYILKPTQQ LYNCVCGLLCLVAGVDKSITTDYLFLQQRDWVASQSQFCKSYEQINAILSNLQQNLIKKK INISNIKEAQKYHKEEIKGQVYSVSDKLEKILTLSINYYTSFYKIMKFNQKYEQQSKTPQ EKSSDELKSYMLSKLNNNFQDDDSEGKVEEDTEIQNELVQQIPPTPKDQQQTTFKMLSRF KDFNSYKKGIAENRKTSKSPNLPSYSTTSKKQYYQSKSPISSQNKNHDNNYPTNEYMSFQ DHVSVKSFQTQPQEQFNSPKNNQSNKVVQQKSSQSKSPVIAVRQKEPLKKYVVQLPEAPN LNKLIEQIQNNDPHIERQLKQELEIIQKQINKLEAKKNQLKWQDERQSKKIRDQYEQQYL SEQIEQSKQFLENRQKFKEEQKVKQKKDFKKQIQIQNEAQDFQQQRVWSLFKELERSIMQ KEALQKDASRMEQQVCIKNQGIQKY >CAK77817 pep:novel supercontig:GCA_000165425.1:CT868285:229704:230015:1 gene:GSPATT00013283001 transcript:CAK77817 MAKSKNATSHHNARKHHRNGIKKLPNQRYTSLNGCNQRFAKNRRFAIKNDPSIKKNKSVE TRLAKRKAKKNIH >CAK77818 pep:novel supercontig:GCA_000165425.1:CT868285:230019:231509:-1 gene:GSPATT00013284001 transcript:CAK77818 MKGRTGGLTAKMSNVTLMIIAFIKNDQLVRKADQNKISLAEIFMANSQQLGGLEDGKVTK MYFHKFLFMEQVCFAFNLMGLGISVIQYDLEYEEITDDDPMKDISLWLLWLVFVSSCLLV FLTVYRYQAHIEWMKSRKSMAPGDQIWHTDDWLPMLIELAVYCIIPLPFTKGIRVNFYNS IQGSEAYIKVNEILALIMICRVIYLYRTLLTLTFWYNNRTQRVCNLYACESNYMFVAKSL LRTMPYTALFIALISLICIFGYAVRICERPLSRNDSSSNNLGLFENALWNIIITITTVGY GDFYTRTDLGRFVIFIVCVLGIFVVSVMVVTLIESLKVTSLEGHAITVLERVALREKLKH EAALVIILTAKAALGYKNGTLTRKQHHQLIVRLKQTLINFKMTHRQHKTKQDENSLNEEI TNQFSLLKNDFKQLMERQQVLISTNNLIYQKLGFENNKSVVNI >CAK77819 pep:novel supercontig:GCA_000165425.1:CT868285:231615:232963:-1 gene:GSPATT00013285001 transcript:CAK77819 MKIIGLKGLEDGRVTNLYFLKFEFMEKVCFVFNLVGIILAAIQYELEFEEYDSDDPFRDI SQWLLWMIAGSSLLLIYFTFYRYQTKIKWLKSRGVIGPREKFYEIDENFYMIIEIGVYCL IPLSITETVRISFYNVAQGAEAYYHINEMLTLLMVVRAIYLYRTVLTLTFWSSNRTLRVC DLYSCEHNYLFVVKSLLKTSPYLTQFLMLLSLIFVFGYQLRICERPLTRFNENIDNLGVY YNSVWCIIITITFVGYGDYYPRTDLGRFVVIIVCIVGVFLMSVMIVAFIESLKATPIESQ TMSLLERIRLREIVKNQAAQVIKLSMQQAFRWRNGTLTEKKQHQIEIDLRLALHNFKFAL QQQNKFGLDDQSANIINHIGFLGLDLKQLRNEQNWDVRLVMEKLEKQEQLSKQLMEKLNV VLSEKK >CAK77820 pep:novel supercontig:GCA_000165425.1:CT868285:232993:234639:-1 gene:GSPATT00013286001 transcript:CAK77820 MNLQVQSDIDLKLSALEKLGDNPNEVDIKYVSYILKIPYQTIHQWLEEKRVEEDFEIDIS PVEDTECQFIGSGVENKGLLNKQNLVKEEDAQKKQIQISFKSPKKDIKVNEVSKIKVKIN KIDSTKSSADKTLEKAQTKHINLVIRQSQQQSFEDCKTQEKSLQENQNANRFHSNKNLLQ QHEKQEVSNKQQNHKGSLSQNIDIKVQQNQDQFYSQKIQKQQLNQMNNLIRLEKEKDQQG MSQIKLIEQTNQSRNEKQHKSLIVLDKEAIKQNQIIETSKIKSKQSFKKQDISNKLQTLS DLTLLSKKKAQNPVQQQQFAIRQNKMFEHGVTQQQTQQIQNKIQVNHTNQNQISQKIQHF QQNQDHNSLQVNQQQPVLNFTEERIINSNVESHEQLNKQQQQVEQKTSNSQHQNMTQPPE KLDHNLPIESLKLQQQPQIYQVCTSQLRDQTNPTNQSSLQCQRGEVQPQNDSEANPLQTQ SSQITQGCIQQEYMDKMLQLPNQVEMIQIFNYNQQVLGQVIKLITTVSNQQFALMQKLDL INQCVNKK >CAK77821 pep:novel supercontig:GCA_000165425.1:CT868285:234739:236072:1 gene:GSPATT00013287001 transcript:CAK77821 MQGIVTEQFAGTLNHAFRELIRRQLHSMKEFDELSAEFQKHTDKMKITQTYQPMIEIFTQ INKWMIDRLEKGQHFTDQIMTQKKTYETIAQQERSVCLEICKLDLNRLNSLKQCFFHYQQ YLKKEWQRDFVEYQLLYLTCQEYQLDYPQLLAAIQKLEDKNNLLLDLFKSHNKERGFLYQ FYLKYAKLRVQYFEATKYNGEQLKKLAVIIQRNSNGLVNMIQNDQTLQHDQLLLELQKSI SFHVEIHQEILDILNQLIKFAEQSNDSLKSKYTKYMQLVLEMQSTSNQTNFHDFLMQQMS LTLSGMVQSNIVRDRCFNNFIIELSELIHFRINNLNCKANDNLKEAVQQYNKNQQLHHQM RGEQQWNDMLLRQKEIYERQLKEYQNVLQFRKQSYLKDQSRFCNNPKICH >CAK77822 pep:novel supercontig:GCA_000165425.1:CT868285:236146:236875:1 gene:GSPATT00013288001 transcript:CAK77822 MKEIQVKYTQYEPAPPSFFVHEENFDIKELIDQCCASKRVITYKQPNRDKLKIFSPEYVN CKCEQFVYFICDAEIMVEQEVFNDANQYCSLRKYMHIIQTNNNEVTLHYGYYKHELKFNI FGLLDYFSNSEEKDIYEQQLQPAMVKCVQQYLQNKENLKEERKRQFILNNNIDYMLNQQS EVNPQPFQPNIPFIKQANQLASNKWTMANMLSQESLMKLIFLLLLLNFFKPQVV >CAK77823 pep:novel supercontig:GCA_000165425.1:CT868285:237137:237403:1 gene:GSPATT00013289001 transcript:CAK77823 MLIFNIINVIKKDEIENINKILQITQCIHYTKTRHLKTFELNFSLFFSKLHVVSYFNKRY NLLNKISLSKDFSHYGNSSKILQQSVMY >CAK77824 pep:novel supercontig:GCA_000165425.1:CT868285:237535:238020:1 gene:GSPATT00013290001 transcript:CAK77824 MSHLVHSQLNESEIQQITYPNLAEVRYEENTDKVFVGIPTQNHYIQSGIPLPTGFLVTPV YNTDSNGTNVPYDAQGSIIPIQIQCKFCKRSGTTLMQHRAGPQTWIVAFFIFIFFLPLVF LPFVLKSCKDKVHYCPNCGQCVGKKKFKLCNSD >CAK77825 pep:novel supercontig:GCA_000165425.1:CT868285:238186:240523:-1 gene:GSPATT00013291001 transcript:CAK77825 MNQQFSVEYLGIKPTRYNRLPNLNNNHYLNLSQEMRTSRTKKNLAQQKLHALSNKKDDPN DYYNHTTIYNVKENKDISKTPINQELSFSKYNELQTKLMVSDFKKKVDAYLKKNNQKSNI MSSKLTSSLKELELLQQVKNEELLNKSLCSNKYTVKTEKKSMKAMEIFILGNNKIVTKQV ISSELIQQRLLKQMETFLKDDRFNYDLKMSTMIEQYAEIVTRIHEKAFTIKIPEKYIIQM SDYNEYYQIKEDTIDSFLENKLEIIADHIIQEIQQSKRTTPKILSHKTSEIHEPIVEAAI SQTEDLIMTEPTIVHQQEIIKEETSQIEQIIPEKKVSNKKSRQRKKNQQPKNDRNQSDQS SLSEIVDSSLKNSNIKDSDDTRRRKKEKQKITNQAQIDEEEQDPLQKENEKQRRQKIIKK LREFNQLFKPKIFSRGQSENTILQIEEPIILAQPKQAILKTFSLRPADDTTNMKEIYEII RAKKQQLYEYNQEQQRIQYQEQTIENSIQNQTKIQQKPLNLIVNGKHISEIKEAAELWDS KRNSPRSFTKQRQNLNNSAIPEQKQEIKVNEQIQIPAEVQDSQVDSKALPNNNPDNGGIN YQQIINQKLISIEDKLKQKCQDIFDILLASEYVYDVKIDDYKIGKPNSSKINHPNFIFQQ DQDEIVFTHQVLWRREIQQVIPKLNSVNKQMQVQINSEDEYIQDTQQIISEEEDDDEDKD DENEDSIN >CAK77826 pep:novel supercontig:GCA_000165425.1:CT868285:241450:242686:1 gene:GSPATT00013292001 transcript:CAK77826 MSKVENKKIFALMTGAAVLTGTSILLYRFLKKTDGKTIIDPQVIDRETIIKILKELKKEL FTVFQNFAAVAINIKQQSMGRARPEDIKMMLLEQPMFRGEIEQVEEMVYNQFRITKQQVE HYCQNVYRDDPTIKELQKQMKESFDRSFSGQSPDPKTDIPAFLTADTTFEILEKVMTESA LKLNEKLLELQDRGEQINFQSPKMMEIMQSLKMDSSKKDILEQYGLNKFEDPGSKILQYA TQVYSQQANSSFNLKMKQLDMKYQSIMEQLIMQGPMSNEELKQRMEQVPQQQAQFPFQGA QQPQIPPKHEEQKQQEEQPKEVAQVNVNEEPEIQNQEAQEQQQEQQQQQEQQQQQQQEAE GEQHANQPQDQPVPEQQQVQPENQELN >CAK77827 pep:novel supercontig:GCA_000165425.1:CT868285:242731:242973:1 gene:GSPATT00013293001 transcript:CAK77827 MNSQISGILKASKKDIQTSQRCDKFKNIIAQGSKKHRIAFIDEIDKQQSLIQVHEVENWK IYNVEEPLQDAKQSCGCIII >CAK77828 pep:novel supercontig:GCA_000165425.1:CT868285:243913:244167:-1 gene:GSPATT00013294001 transcript:CAK77828 MVFYEGFFQLSLLMNHPFQFQEFFQHHFIIQFLCFIMNLNLLLQFQCAILIQEWLLLLII GLIDSIDNCIIDISIMILLIILIC >CAK77829 pep:novel supercontig:GCA_000165425.1:CT868285:244185:245004:-1 gene:GSPATT00013295001 transcript:CAK77829 MNDDFDNIAFIPNQIAEQAFLKGVTEGKAKVDTNIYNDSYTKGWNKGAIVGMIESQISVL KIIDPTLQTQKYEEQLKNLEQIEDLINLRKTLTKLQPLKGLIQLKKQSLDF >CAK77830 pep:novel supercontig:GCA_000165425.1:CT868285:245151:246568:1 gene:GSPATT00013296001 transcript:CAK77830 MIGYLRKENLIEPGCMYFQKNQAEKVIVAGKIERKIFENIEMEPFEKEQLKNLKQALINH PPLRLPKEWQDSDYQRILAYCDHDIANTIQVLYLDNINKVLRQHLNWRNKINLEIPPEAI LLNGAIYIHGHDKRFRPVIIINTLKALKYKLQEFREGFDYLFTMLIRDVLISYYVESTII LVDMSNLDQSSLPITNEVINFVKNCEYNFYGRIHKIYIIEDFQNWQCNQLLQILKPESQD KVVFLQKKNLSQLTNQIEENQLESKYLGLQPDIQTNFWQIDQNLIRPPKDLSPNKTEKKD KKEEAKTVMSYTDEFISKSQFIIQRSRPESSQNSQLFLQVYNEEQEVVLSESHLIELADS INNILEYFPDSQFQSSQHNYKGIDSAPLQSTTIKPRDLQKQESEIWSGSEVQMSSNLVLQ NQKRDPCCQNSSICQLI >CAK77831 pep:novel supercontig:GCA_000165425.1:CT868285:247375:247795:1 gene:GSPATT00013297001 transcript:CAK77831 MGCLEFWVVNSFLASAVFFILFLVVDTQYPLYPYAVATVDKQPDKKPSATACIIASCLYL LIAVGLLFWKKSRDQRIKESQQYPRLAAAQGFEQQQSSQRGRSIEL >CAK77832 pep:novel supercontig:GCA_000165425.1:CT868285:247821:248743:-1 gene:GSPATT00013298001 transcript:CAK77832 MPIIQGKAKLWHPTQEEQDAYDDRMIANIELKSLDFDDENFSPVFNRSKKEFFLAHSEKY KKDLSKLARPFTSYSCEEFVNKYIYIKPNHTYWREWTLTKWLSGFGLGYLVLRELPLRNF YARVFVMWIFLAKLSDHFTSILPYHGKMVISIQKDRFTNKDINQYHNVCSALHFLEMPTF QNRISESLAWRARQPAHLLYNDTNWCLHILKRWHGRPSHIAHWDGTFNQPLERLADPYHK DAHFIHWI >CAK77833 pep:novel supercontig:GCA_000165425.1:CT868285:249169:251632:1 gene:GSPATT00013299001 transcript:CAK77833 MVVTNEEIMEGFQGEQDLQNLADKVKTENVEGNYKQVVETIQDLFQQEGQSKQKYFAMKL MMLLSKKQLFINSFIKAKNLFDILGELAMCDYQKPYEQRGFKIFGAQEDQFYSQGFYDLL MECISYWGTKYATDQKGNPTKFGRLLEKLTNQGLKPKVDLQHFELTEEQIEKLKSFSMPT EQQKLKSNMNDYSLEELKKMYQEMFSQTNDLVESLEQNLKGEDQATEIMDQLMENAYNDA EKLKPNLELLHARQSELGEGQRKQIGYRFKIISTLIEAHKAMRAEGFTNESYQIFRYEVL QATREITGSEKPKPPANYGKPPQQQPNPQPDIKVQTQQIQKPPQQPDKRQAQQPQPYQSK YPPAKEQPYQSKYPPGKEHEIQKTIQQSVPYNPQNQPNYQPQTYARNPQPQQQQYQQPKQ QKQPYYQPSQPYQQPEKHVQQPPPFKKLPIARSYDFHQSPNKDQFFEDNYDNKSQSSQQT GKEFYLKGQLGLEQQVYEGEFIPYDDRNFTIFEEIYNSNSGISRIKRANLKSRYSIFESL DIQIGFDSNLIYHEITNRFYLRIRLCVGNKTQQILQNVQYQFEGDTCMGLWTQEPIYQHS HTIQTDQLGRLILNPKQQIYIPICINYNRVPYQVISGRISYEIAYEEEGRISHFILPCLL TKFMSFRDTTIDSFLARWEYKSKSILKSEQVRLNQKIVNSRDDILKHFGPNNVLILHGEE DMLTSDANQMQKKTEMVGSEYGLVFTLSSPQIEFLLKIILFPNQTVLFQIIPYSSYQTQA EAILHTLVFLFGLPD >CAK77834 pep:novel supercontig:GCA_000165425.1:CT868285:251709:254355:1 gene:GSPATT00013300001 transcript:CAK77834 MLMMDISKSRFSETFWNFDLSYCLYDQYQQIRDFPNIQKMNDLNISHKIGSGAFSQVYLG TYKSMQVAVKVLEKSVPCYCELFNREVEILEQLQHPNLVKYITSYETFEKLYIVMDYVEG SNLTELSKSNLSEQKIRSIIKQLLIVLTYLHNKDITHRDIKPDNILVGLDGRVRLIDFGL SQQSESKISYDKCGTLLFMAPEMILKMPYLKSVDMWSIGIIQYLLYERKHPFHYENLVES IQTYNINFSIMDKSAQNFFRKCAAVNPEARMSAEQALMHPWITGEGDLGQPITIHDRMAI FQNKQKLICLINALSFIKYCTSFVKAIRLIIPLNEEVPQQEITVRQPNQDATNRKSTSRI KQARSTSQLFFETNVASPLKKQSPFRIVQPRQSQTRLQHSNSMQLAQMAKRGSLNKLPYY SILQGCQPTQFQQFPYRKEKNQYQIALTLIHYTSMVSDQSGLFLNFCQLYHLFSQESNKM EFSKFALKFTFLELQNFGTYRKQQLIKLPIVRKDKFQLIYLNLNMYRTTNSSYGSGVVTR NKEQMSQKTQDVDPIRIGIENIGNTCYINSMLQCLFNTPGISTAMKSYKDNKNSSELFKQ FYKIWFSIQAGIEPEHNDIIQFKKLMNQHREFDNFNQQDCIEFFHVFLDIVNTGFLTQPF FGQLTYQINCQNCNNSSKQTEQFINLSIYIEQACRLSQLLSDYFKPEQLNSQEKCRKCQK TSPLMRRTNLSAPPLVLVIQLKRYDSRQTRSNNAIEIEQSLILKGFCDQSPSYKLYAVIN HQGYSIYSGHYTCLIKAANCWYYFNDQRVSEYSNKVVDEELQKSQNSYVLFYQRQ >CAK77835 pep:novel supercontig:GCA_000165425.1:CT868285:254384:264834:-1 gene:GSPATT00013301001 transcript:CAK77835 MNKFSLVLLLIVFASSSDLDNQISLGRELKFQEAIHLSPNRFTCEMDPKFYDFIIMELSK WSDIIDRKPQLMKDLTIIEQIIKLVDKAKYIDAAQQEMFIQITQSLSASIREISMAEIKN QWAQFNIDDVLQQMKKLSSLTNVQEKSLMGDQIVGQLQIMEKQINIYLNECQGYSSSAEL QEKVQQLKNQKNKCGWSYDKEYQGSTRLPPGKSDQPESSDPENDTQSVHQQAANQDKPFQ SNPNYEGGQKENKQQSTRLPPGRSDQPESSNPQHDTQSVHQQAANQDKPFQSNPNYEGNQ KENKQQSTRLPPGRSDQPESSDPKYDTQSVHQQAANQDEPFQSNPNYEGSQKENKQQSTR LPPGRSDQPESSNPQHDTQSVHQQAANQDKPFQSNPNYEGNQKKNKQQSTRLPPGRYDQL ESSDPKYDTQSVHQQSANQDQPFQANPNYEGSQKENKQQSTRLPPGRSDQPESSDPKHDT QSVHQQAANQDEPFQSNPNYEGSQKENKQQSTRLPPGRSDQPESSNPQHDTQSVHQQAAN QDKPFQSNPNYEGNQKENKQQSTRLPPGKSDQSESSDPLHDHQTAHQQTANQENKLQGNL IHDESKSTSTRLPPGKYDQPESSNPIHDKQTSHQQSANQDKPFQSNPNYKDASSADESSA KPTHSQNNQHVTVNSAGNQNSHAGVYEEPFQGNPNYKEDKPEKIIEDVGFGSGSPNAYDE DECPQGEEDDTPINYEEEEVPEQPEPEVQEAPEETEKEEAKPEEEQKDEEEEKPKKEPEV EKPKVEEKQPIPEIPPDDDEPAVEGEVIKTEGVGSSVDGRVGQQVQNSQEQEGEEPEEID VEVDEEVDDGKGGKKIIKKIMKKAVKKYKKRSAKLAKSSKNTQKKSTKPGSKLKAVNKKA GQQKKKVKSKQGGNGDGEEYWEETEETFEVTEDGKETLVSSTTKSSTQKKLNFNAIGDTS IDLNISDINNEEDNSVEDQYQQDTFYEELDDRIIYYEYDPIDKVFRQSDIILKPQQQRSL MISNWSDLLDYVTDLPENEQDQDKSSSGEQKDTNPTSDEKQQIEKQGTQSQQENKDQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQASQSSQQQQQSQQQQQQQQQQQQQEQQQQQQQQQQ QQQQEQQQQQQQQQQQQQQQQQQQQQQQQQQQQYQQKNQQAQEQQKQQQGQGEKEQQNVK ASQQQEQQQQQSQQPTEVKKQEDKNQDTKKQGQAQQTKESSFGGPIQDLLKDEKQALKNV QNEKPKQKVEDHNHEKSIKISSIKEEYNPNEPTQYVPPKHKVNTRPAKDRVVVPVSTKYV SSSFDGEPAEQYEFADREMLQDSDEYGYGFWLRYTDNFPKKHSRQAQQYYFISRLTSNQD YKDYTFYGDRTLSVFLLENTFVFSTYDHGDKKKIKDQVVALNEELESMWYFITFSYSLAK KAAVGYVVGYGDNGKVLKTEIHCQHVPPIYFKLIIGGKHFAYEGFNGQFANIFYDIDAPA FIDSEEKINQLIKTISNVPQAVSSLTDLEILTTPRSFGANNKGDSVVLDPQESTLIIEEY SFAGWFRWVDDLKVDESNTFQLFNLRSTDKKQPNKGVLGDRSLEVHYTYGGGAKSTVYFN TYTIQGNKAKGTSYISKTVESPNYTWTYVYFGYDNDQVKAYGALIRPGKADEIIFDPVQH KLVTKLHFTIGGDEQISSFNGRIGYVGVYLGPGAYKSSLNFGQQFFYGDGAVGVYQLVKP IQFQDDASEPNVVRDAEYDSDKPLVDKILIHDDTKLRLNGQSEYSFGLWTRWLQTLPKFL VQRGAVHNIARFGTAPYLIEQVDGKLKRANTRPLQEKDQTLAVTLSKQAYEFYTYKAKDD IEFDDIEGSWNYVYFGYKRVANKGIAKGYVQFGVEGEIKEVVFDILHDFLLEYAEFVVGK SSAPFFNGQMCKIQCSIGPGAFINSVDQLKLLTQNTLPDKAQIRPISRQTQQLIGLPVEQ PSQKFQFDKFQGIKEYSISGWVKWSGIQKVGKVFPIASFAQKRLADLNGKFEQTLQILRS DLAYNFNTYSCKGDDCSGIVTQEQSLGEYWDQWTYIYYGYSQLLKKTFGYVKFTFTDSKF NQDQITHFYVAVFSVIISSEEQKFQGSMKTWVINIGEGSYREGNFDSDENIKVHFGFVSG TDHVKLQQAGQEAQHVEQIIECAANEKDVPLHVQFEQSEKLHLHGVSEYGFGFWARFEHF AQKGILYQQPQWMGLARLTNQKDYKDFEQPGDRVLLILQGKGIHHFSTYNVQPPSNNVNG NIPYLLESESEWIYLYFSYKRISQTLGHAVAFSSYNDITAGIQMDVIHTLLQNYLQLTIG HAGKFYPNFNGQITTVRFNLGPGAFIENKQGILARIKNKDPKPEINIITKQYEVVAGKQD AQNLKIVNPLTIEQEAREYSVSLWFRWFKTQVRPNQVIYRLTSNKGEDDAKNIGDKVLML AHIGTALFSTYSLQDLTLNVPYECNIPKQQLEIWTFAYFAYSKKERKVQYFLRADSHENK GNEPILHAVASKYLLFVGKDGQLENYNSRLAQLTINFGEGAFRNDNFLQLPVYVLGPKLF SQEKMYKWEKSEKLILGQPQKIRFNDEPDKPIESMQEYSVGLWCRFLQSWPERQYRLPLE MQLIRLTNNEKNEVGKVAIGDRILASYVIQNGFQFATYDLNDDAPNELHTISNQRLEGQW HYIYMGYLRSKQIASFFVFDGAEMLSAKNTDLLHKPLGDFVILHIGGEPDVPSFQGIISK LALSFGPGSFLSLAQEVMKTIQNSYALEQALTVGYIHKEKHGQQELIGKLETVTDEIGGT ELRGETWSSVGEYSISGWFKAANVNGMSANDCQILFRVTNNDKEHLNDKRSQGDRTLFAS ICVDSIKLSTYTLAGLKDWNEAKFLEENVPLGHNKRAWSYIYMGYNEDAQELHALLHLFE EDKPLIFKGVQHFVPHYTGIYVAKDPYTKRFQGEIQKWMACYGFGAFVSVQKRGYEDLLP NYNAIAINQKFMWFRKEDTVVETEQAITQEFNQEVESVDEYSIGVWTRWLISFPTTLTER QPQHNIFRLSANKLDQDKSELGDRVLSAFLTLGNYEFSTYDISKPSNAVDAKLPYGELEG AWTYIYTAYKGGQFYGLVLFREQQKAQHVELQVQHSALTGYAKFVLGAKEFGRKGFHGWL FDPRIFLGAGAFISEGQKVVDMVLKLHRKLPVPAVDAEDFKWPVAIVDTTLPDDINEKKD KFQFSFANKVGLLEYSYGFWMQNAVLQPEMPDDLRGLVRLTTNNEGSDERFIGDRTLAVF TKVQKLVTCTYTLKDPTFEPVSHEFDLLQYQWTYVYFGYTQGKARAYVLSTKGPSEQVFS VKHAVPNAFYLNVIKDQSHPLFYGKFYGLKVNFGQGSYLENPQELIEKWPYDPKVLPVPE PKEEKVLALNSAKVDRAPNTQHEQFRE >CAK77836 pep:novel supercontig:GCA_000165425.1:CT868285:267781:268015:-1 gene:GSPATT00013302001 transcript:CAK77836 MDIRLRQQSAMTYQEYLNYYNKEPDSPRIHPKDSPVEPLSDLELSPVQEKHRNKLTVQSC KVIKINSKL >CAK77837 pep:novel supercontig:GCA_000165425.1:CT868285:268643:269359:1 gene:GSPATT00013303001 transcript:CAK77837 MIQKIDQFLIELQEETSNALILFRKGAHSNSHFEQAECYTKTIILLNSLGKVSKNIEKFI EKCQQCLDLCQLNPYRLNDCKPALNESLEQIHHIDKLEEKVSFLIKRWNVLLVKGGLITQ YVMFKPKIFNSEPEHKYKAALFKNAVAAIDKVCHIYIYR >CAK77838 pep:novel supercontig:GCA_000165425.1:CT868285:269745:270715:1 gene:GSPATT00013304001 transcript:CAK77838 MIRLQVHYKTEFGQALYVSGKSKYMGQWNPEQAIRMTWTQNDIWTVEVAYHMMEYKYFIS QYDKVQKIQWESGPNRVTNKNSNDVWNHRKICFQCVNPQNFDIYISGSSISMGQFQRRVR MKNKDGISQSKFLTNINDSQIQYQYHIITKSEFSSPVYKADLNCQCKQQQYLILVQYHKD ALLIFSDGLAKLKQMVFQLNKNICYGYVPLELEDYQALKKANLKTIIEFCNMREQSLLEQ QTKYEDIVHLVVNLYHFKQENFTKRLLQLIQVLIQKYQLLYICNNSLTHLRKYLSAYEKL SFSPQK >CAK77839 pep:novel supercontig:GCA_000165425.1:CT868285:270774:272941:-1 gene:GSPATT00013305001 transcript:CAK77839 MDRDQETKKLRIQSEQQNLQCISSTDVKMEELDSFWNYNLKQSCSVNIEDLFQIQIEDNS TLEQIASKMKIFLKPQIDNFLKVNRRNVKLIKYPKAQWNTNLSIQQKDVNQLYFSDQQAG FQTNENQVIIHNIAPQLIHQYTNYLKIDQNIKRQETQDLNFKYNFTSELREKYKLDILKV NKELWRSQVGIDQMILLNKKYAQNQLDFIQIYSLYLYLIENKNISKLTLNEIFAYLQQQL IKKNVTIEINIKSLIQIYLQLQNKQFSHIKQTIFQKINFIQQFDLTAIQNLSFKSFRTNR YIEKDLAFICNSCSQYLNSCTCTFINNLAQIRNLLEFCSIVNYKGPSRKPAWYYDILKAN VQRSCGEGCYKNQNNFELLRKKSSNSQLIEEYQPIKIDRDPCLLTQIYNQDCLSIFIQAR YRYKEIKEEYLQTLKQIQENQDQEALVNYPFNNANLYIPCSHKQDFKCQNCNCDQFCSKY CDCQQGCCTKKLQGCTCKERCSIDSKCSCRIDNVECDPLICKCCKVDSNFVCSNIQILIN NVQPTLLGRSGVCNGLGVFARNYIMKDELIILYIGEVLIDDEDEIRDQFDDTFTFYNYQL NEDKYSLESRFCGNESRFINHNSQNLMNCKTRQIFSSGKFQLAIYALTEISPQQEILLNY NEGDQINKDLNNWVDMNSQYWNYKQTTFIG >CAK77840 pep:novel supercontig:GCA_000165425.1:CT868285:273021:273764:-1 gene:GSPATT00013306001 transcript:CAK77840 MSAKKITSPQTNKCHPTSRGHDIKTIQKLEFFTSTNAKINKSSKHQLTYVTEQIQVNCLT NKVSLKNGQNHDREKIINQLLQENLKLKEQNDQKNKLIEMLIDERTDIKRVTSLHIPHER DIQQSKTNFRLQSPNHMEFTFYKSPSRGLPKEFQITPSRKMFF >CAK77841 pep:novel supercontig:GCA_000165425.1:CT868285:273832:274420:-1 gene:GSPATT00013307001 transcript:CAK77841 MNSTPVGTRVRNNRESMSNITINKLLLPNNLKLKKSHQNSQSQQILDQQIDENVFYIPKQ TLRRAKYSEQNIMTSSTAGKSLQSHLIGKFLNENIIKFHQIKSRKQIGIKELVSSSKTYH ATKKDGNINTEPNQDKQSLPFMPQLKSSQIIDDQYKFTFYSPKTQENKLRLPKEFQIQPC SKKKYFI >CAK77842 pep:novel supercontig:GCA_000165425.1:CT868285:275262:276400:1 gene:GSPATT00013308001 transcript:CAK77842 MNCQNSKCSKNIPYNSILNGNQITCDSCSSTFYCSSKCRDFDWEAYHYLVCNGQFELVPK LSLSQLNDEMNLIGSGSFGQVYLKQQNGYKFAIKKINKYIGNRELKIHKLLKHKNIIQLL QFLEKDDDLYLILEYAKHGHLTTDLQIDPKQVVIQLCNALKYLHSQGIIHRDIKPSNVLL DHKNNVKLCDFGLATHIDIISNFSGTYEFMAPEILRNFPQSYSVDIWSLGCLLYWMLEKK PIISGTEQRQEMVEQILLFTEPRFTIVDQFAKDLIQKMLNPNPKERLTLNQILLHPFITR VDLNQMKVEESSNSYSDETQASCNPQQIVPQQQSDNKNRTVFQRIASLFTCIARDK >CAK77843 pep:novel supercontig:GCA_000165425.1:CT868285:276578:277773:1 gene:GSPATT00013309001 transcript:CAK77843 MRNCIRSAFRQHPQLRGAWSQNYLQKPGEGALKNVTLIPGVGIGPEITNSVRTIFEELHV PIKFDVLDNFNFENDESKKQLRKNECILLGVMTEKNQKYTDNYKFYKYLDLYSNITFAFS FEGIVQRHNNTDIVVIRENTEGEYSGVEHEVYPGVVESIKVTTKQASLRIAEYAFEFAHL SGRKKVTAVHKANIMKLVDGLFLQACREVAQRYSFIKYEEMIIDNCCMQLVKNPTQFDVM VMPNLYGSIVQNVVAGITGGVGMAAGASIGKDHALFSQGCRHTGRDIAGKNVVNPSAMLV SSTLLLRHLGLPNFADQICRAVQHTIQVKNVKTKDIGGNATTDQFTTEVIKSLGK >CAK77844 pep:novel supercontig:GCA_000165425.1:CT868285:278252:280327:1 gene:GSPATT00013310001 transcript:CAK77844 MNRKISEFLECFHCWYMRCIQFPQLVQMLMLSISQIQKSPQVQSSTKLNRFNLFSRNRTL DNTEASRMRSKYIIHDKESLYEEIQNLKQENNQLKLALRQFQSQNQYFKREVQSILKEES TPFKNNSRLKQGFLEKITRLEDNNIKLQQQLQEQISYINQLQSPMNKNNVEGLCISLSED NMKMTQLISQLEQSVTQQQNNCNKMNIKYNAILNKYKQLKNLNAQLLLEMAELKKKDTLF LERPQQKDNKKMEEQLQLGYDQAMVDLRNERQKNKYLENQMQKLQADNQEQIENLEKRMA EQKRQFETLQREYELEKQSKYQPKRTILIKNNGPQPPEEQGQLQEDIELQKKKFINVDKN DILAIARQVKQNLIGLKISLQQVETYLLTDEVLTQQQLKQNLSNRIFGLKSIEQVEMAAI YLADVENETETTTSARVRSIFRTLMENYQILSIEQLNNINQQIMKKKNEISDILIRKYPD TYTSGYITIDAYLEVLSSVEIQLSKIEIDHFYALILRQNRSSRIFLQQIHSPFDVNQEED DLEDQHQNINLGDVQPESLKLSNSEILIINNRKESEFVNLIEDDVDHNLRSNQRKIDKNI EKLEEQNEDEFKLSQKSNLELSEEEKNPFQDVQHEESDVKMIDSQELRKQSQPDLQQF >CAK77845 pep:novel supercontig:GCA_000165425.1:CT868285:280373:282209:1 gene:GSPATT00013311001 transcript:CAK77845 MDRYKKTSQQIDQIMQYEPTPQQPVTYFIGRSRPSKLKDDDDDSSRERRRQSGSLEQISR LRETLNARDLQEREKYERIRILNKELKITLKEYMTVNKELEGKLSTKDKQIRALEQENKQ LLDSLNKGDANTKEVKIKAETSEKLMEELQQQNHILKQKLGDKKQKLTAMRDQLKQAETV YEEQGQNFGRELERVQKLCEDFSNEIKNQEEQNKELEGELLKQRDELINRDEQIRYFEQM YQDIRYRDADQQSQIEYLNQQVNYYKQQLDQASYKQDEAYAQLSKVEKQQQVFLQVQESE VEKRVSNYKDLLEKKKQKINEQKQIIDSLNQKIIDFQRLLDNEEKNHQIQLQDSQKLQQI NSELKLVIDNQQNKLLTAENRIQSLVLEIQDQKSVLQIKKQKSEEFELQSRQDFRTIEQL KNQVLHLQEQLTKSQQYSRDLENDIQLTKHENNKTMDEIEIKIDHLVKQLAQSKEEIKEY KNRESELKQKIKTQEEEILKHAQKSAKYKQQVEQSQYSLKQIEEKVRIYESERDIQSRQV LQVKQDQATTQNKLRVLEDIHSLIKMHKKI >CAK77846 pep:novel supercontig:GCA_000165425.1:CT868285:282293:283069:1 gene:GSPATT00013312001 transcript:CAK77846 MSKLNYYELLGQLYSKGDSQALPFTYDNTATQNRELSNQQYIASQNSIIVENDKLNTIGF SNTFQFQISRLLDLDDLLQSGRVYEDDSCQSIISQVQTRNQKNNNKVSKSEQKQNKLKKK VEQVQKSEKNVHLGTQCQQLQFPSSSLRPRYVKIYQRNDELCMKRFQLVQLLFDEMSQIY PQCTDWDVIQLLDLSNKSFDTAFKLLKESSYFVQYYLQGIQQFLNKQYNPQQMLIELDQK INGRKNDLKMELIIVIQA >CAK77847 pep:novel supercontig:GCA_000165425.1:CT868285:283070:283845:-1 gene:GSPATT00013313001 transcript:CAK77847 MIQKLNKWDCIIDPIIKNNQQIGGLYLADIDCALSQELLQQLQVGALLSVIDEPKVTTSP NIIHQVINIPDCTEQNIQEYFPKTNEFIERHRQHTNVMVHCFAGISRSASVVIAYIMQKF NWGFQRTLNSVIARRPQVKPNSGFVKQLIQYETQNRNRPSSQQHRILNTINTVIDKIPYQ SDSKNNKYFQPSKLDLNQLEMEITKRQQDLQILRMKYQQLNDKIVNRNNVYVSAFE >CAK77848 pep:novel supercontig:GCA_000165425.1:CT868285:283897:285347:-1 gene:GSPATT00013314001 transcript:CAK77848 MGSCASMKQKKIDGNIQIASYKVCITAFIWNKESHNLFDFESHQASRKDLELDFSGNFVG YHLATLSMVDNQIIALSENEYVKKQVQLLRVDCEQDYVTLSGMSQKSDSRVWAILCRRPE FESLNEWELQRGDIIKLGRMKLQLLDFNYDLDALQQQRDQTDINDEEIQSQDLDPDGCQC RICFQKNATVSNPLFSPCKCIGSMKYVHLHCLQVWIQQSIKVKNQQSSTQYIWKKMECEI CKMPLKSTYTYQRQIFCIMQIQKPTVPYMIWKITSDDKSKGGHHLEEIKIGRIPDCDIKL KDISVSRSHALIKVIKQEDNHYKLILQDNNSKFGTLLYAQSDKLLRYNLPSLQKVLYQIG RVLLYIQFKDKGKSYNKQQFQFNKPRFTCYKHPDKIVVQTKINQNEQATIIPNVEKDKDN QMSFQTYNELHQEDIVINVKQI >CAK77849 pep:novel supercontig:GCA_000165425.1:CT868285:285379:287074:1 gene:GSPATT00013315001 transcript:CAK77849 MLQQSQNIDYLYIPTSKNLQSLLKTTTFWTAGNVQSAYLIKSQLVNLTKSQNYEYALTKD GSLVKFGHQKTKYCNLFNGLYILSYTDKSFLLTSYDRVKEYHCTDQKQLKNWIELLIRFC VTRGQIQTRFRFLSQINNGNYSKGYLIEDNKQRQFVCKTFQKTDLVKVTKLRDSVIGEML LLRPIDHPNVIKLLEIHEDNKQIYLIYEYAKGELFQEFQKKKAEKSQFSEQQISNFMKQI FEGLKHIHSLNIMHRDIKLENILVKDSSTIVIADFGLAAKKIPKFEYKKYGTPGYIAPEV LNLKVYNEKIDIFSAGVVAYILLTQQPLFSGNTISAILNQNTAGRIDFNNSKFVCLSKDA QSFLKRVLCLEENQRPSAADCLDSLFLQLQTVNNELGTPQKQKEVRLSYLGSSVLPEQIN LSKAKRRSMRQYILLKQAIITEKQKTQMMQQIVEQQQGEEEEQENLIVQETVKNIAGSFY TETKNGQNGEQQIESDSGESMNGEDSSESDDDDANLFLEDDSCHNLSSKLSQLGGFEIKM KR >CAK77850 pep:novel supercontig:GCA_000165425.1:CT868285:287211:287895:-1 gene:GSPATT00013316001 transcript:CAK77850 MDQVNQAFKGLYFQGEPYENVRVKIDQCPYDEVASLMEIYVKNYLDTVQNNLYSYSYNDQ DSAKFYIEQLNSHWLRIQNIASELGKYQQLSNGFRVNLKLIQIQEYHRIKFQDRIFSDNK INFALREICRIYKFNQLIESHFGSSVFQYFRPKITTHQDLMTNWLTYSQCEQGIDEIKDF ERRLECAMTYLPDNEKQQPKLPPNWEKNMVNLMKKKKKKI >CAK77851 pep:novel supercontig:GCA_000165425.1:CT868285:288010:288697:1 gene:GSPATT00013317001 transcript:CAK77851 MVLTYHWSLAINKLQKIFTLRCKMPLQILKNDEQQMKQSRSEQNSQIFVNKLNKHVRYLS LQPEIESESQQENYQSQEQIEDIETPQKSTPSQNNLLKLNIAKISNQQGDVKESAHFRSQ NSLDQLRFNEEFLELFKKQRELTQFGQLSQSKIKEQEILIKKIIDSRPSKPHPDLKTFLS LLKKPQIEVQQAKIIQQNQQPVYLILIILFISLISIYIIV >CAK77852 pep:novel supercontig:GCA_000165425.1:CT868285:288719:290222:1 gene:GSPATT00013318001 transcript:CAK77852 MQKRFFIALLTLMLVQTKSVMKGVIEFTAPSCCDPIIRKITFPDKFSDIPQILVTIRAIE YDAGPVGFFCQIQDVTEQDFTFQLTVLHATLKVLAYEYIAINQDEVEFGYFNVDALSMLK KAEAGDRVIPSLLTFKRTFTEDPIVQVFLVGVESQSKLIQFEVSPLTVSLHGVQLNFKKF GDTSVQKVQLAYVATQSSKELAQQVTHTGQKQAHIEPVDHTEESQASEKVEETKEFLQLR SKPKLIQINGINGLRFTSLPVTFMWQGDQIDPTALNVNLRITLDKGNQAVLGLYYFEQKE YKKCPIVYSMCNYQGSKVYLCKDVSDFNSIMRLAKSIYIPEKASVVVYQDPSYSGAKSKI TKSIPCISDWFTDVAETEMSDIKQFLQINQMKRHKDQEFKEIHLDNQKQLNKFNMVQLPR WNEAGDKIEVVQAILDSNSPPANSYKQELIQKLNEEEESFLKKRQIY >CAK77853 pep:novel supercontig:GCA_000165425.1:CT868285:290237:291830:-1 gene:GSPATT00013319001 transcript:CAK77853 MSMEQKIEELNLKYKKLINDDENYNSKFTKNFQNFYQYAIAAGDADFSRGIQATKKLKKL IDTLYDSSKLTNQIIIKEAFYRQYLTPDNSIQILISTLLQSIRWQDNNAGIMIIIFYLES NYQFLDIKILSQIFKLHLTQYLEESEEMLQENTSKLLTLLMNQNDVFEKPEYLEIQEKLV QSMNSSLQLCDNFQDCYEVIINYFQNNCYLQQDQDNYQLIGLRTQKVDFSEKYISKIQLS PLLQILKELYSKTNINNFTNLLIKCCLINQKQTQLSAFHQLLQVSNIDQSDFIEVLRYSL LHNNIEIIKLGTQMAKNVIQDYECNQLQEIKLLIYLNQSLQPVGDISTDLIMNKFKIEKN DTLILRDNFKLILNICSILVQSEYFEFRVQTWRIMYILIKQQNLQDLQQCKKQIVQLSQI AKSETLEKAIYYFLYFIELLVKKLEDSDKNENLLFAQIICNFVGQFRQNSITAKILDIFN YFDFNDAQLLQLQSQSNVQDSNEIQQAWKELRQKFQQLKSKA >CAK77854 pep:novel supercontig:GCA_000165425.1:CT868285:291873:293484:-1 gene:GSPATT00013320001 transcript:CAK77854 MALTDQLCLGLTILTFLSYIAIDVVLQMQIPYTKIGLPQKGQICPNQYIKYTDSFDMQCV SDCNQKEIAKKQSIHKHLSRSNQPNFIAYENQICLPTLDKNFYQLEPYVEKPIQMYISQS LKDDSLVIICIIFITLAIICGTLAFYRDYAEFIVKISQIVSMILSFAILLILLRKYRSVQ EANEILISNDSQYDLSQMAAIMMLNKMDLVYLGLMAFMGLLLCLLIFKYSMDRLKFSVFP LTHWFTISYYKDYLNNDQMDQNEKPKKSSWPLILFQIINFILFYTINMSSLSISQESSQY AFGETSIVFNIVVPTLSFILYLYFAILISLLSEYNLYQRQNTVLPQIGKAGIQAVYLIIL FPLNFLRSFLQFFGKDKFSYLTFTTLKQGYELIREQNHNMNQQELSEVDLRSKINLYDQL NKVTHIISVCLALACNFIMLILQQNIPAPFLISLLAYAISYTYYIPIVASPLRYSFFEGQ NEMDEHKQQNMKCLLRLLEQNQQ >CAK77855 pep:novel supercontig:GCA_000165425.1:CT868285:293528:294767:-1 gene:GSPATT00013321001 transcript:CAK77855 MKQPLQCRLIIQDARRKNLSAVALLDPSPKQITIRKASVGGLKNKENMNSNDTQRKQKSS QNTNTEDIRKQISQLIKKTAQSQRTKLKKIDIGCQEQIEINDQKEGIFDEMTLRLLYQKE LEYKIHPQFFEHQCHISPLMRSILYDWISEVCKEFTLKRETFHLCIHNLDRYMSKVSVTK SELQLCGLASLLIACKIEEIYPPKVNDFSSASNYGFTEQQILDKEQQILTELKWMINPPT LYLWSSWYLSQWDIYYPQANLQIKQPTQSSYTLFRHFMNFLDCVVLDIKLYQFTNREIVS SLLYLVLLKQYCGCTYQRIVENKVQEKDVLDFQRIYKPFVELVFGFQFSQLSRCIRYLTK FLILDIVVDQPGTTKVVAEKELVEVYEYFLSIQTHNPAGLQLIRK >CAK77856 pep:novel supercontig:GCA_000165425.1:CT868285:294993:295541:-1 gene:GSPATT00013322001 transcript:CAK77856 MIVIILSFTILNISSQVSAQPQESNCNIISKSLNSKQASQFEYNFNTIRNQVAMGRRANH KGQIQPASDMHIATWSQELAKLAQECSETCPEHPLNCRNQKAQQGFLIYKQLVHTEKWNI DPYFILNEWMQSKQSSQQLLQSMLFQIGCGRAMSSNLGEYVVYVVCYFDYVWITS >CAK77857 pep:novel supercontig:GCA_000165425.1:CT868285:295642:296457:-1 gene:GSPATT00013323001 transcript:CAK77857 MILLLFFISAVLSNSIDPIKLDQTEMFPDQKLHHHKNEFKIKVTGSGIVELQPTIGTVIF PIESRDKQADNALYLANSLVFTAAETIKWIFSDPRSFLDPKEEQSLNGSMKLGIESVFFE RFSIETGKQQISLIYDNKTQVEEYLVTNYLYITTKHIDMINKIIVECVNSGLNRGAGVNY SNSQKEVDKAKDKAFKLAIKDAKRKAKNIARLLNLEVHEYLNFKYLDSENNSATGQCDPK AAEILNQATCIPNQSAKKYFRVDVELSVLLK >CAK77858 pep:novel supercontig:GCA_000165425.1:CT868285:296867:297475:1 gene:GSPATT00013324001 transcript:CAK77858 MRQLSSPLTTLQDNLQSKSMPRIHSKQEQRFQLPTLKSKINNLIDSEDQKAQQVGQIFQG LVKGKTIMEQKNKIEFPKTSQNFYRIIYKAQYSFRPTKLNPRQVSMDQPAIQKKLGGPAF AFRTIQTSEEQLRKPNLEVIARQQVNYLNDSQSINESLNTEINQILYGKGQKKLQVKQRL NQANNNNDTSNIIKEESENSFI >CAK77859 pep:novel supercontig:GCA_000165425.1:CT868285:297756:298052:-1 gene:GSPATT00013325001 transcript:CAK77859 MADEQADFFKEVLKLISNLRFENKITEDQKSELKKLLMDDEDFVKQLQEEYQVEGLKGIV NIIIGDTISDCSFRDLLDFNQEKRKRSQSLTIQTDSSD >CAK77860 pep:novel supercontig:GCA_000165425.1:CT868285:298244:299058:1 gene:GSPATT00013326001 transcript:CAK77860 MPIYPTAPLTSSIPAPVSVVQPVSYAQPVTYAQPVSYVPQPVQYVPQPVYQQPVIAQPIL TQSVVAAPQQAPIKGESRVEYREFQRPVVEMETETIQVQVPKTKYVTDYYPVEYQTEYIP RTVYEQQTEYVPVTKTVPRVEYDAVEREVQRVQYQPVQTVPVQPVVQSIVQPVQPLTYSV ARPIAQAPVYAQPVVAPALTFSGVRPAYAPVYPSYPPVGARTQQPQQPVPSNKPQ >CAK77861 pep:novel supercontig:GCA_000165425.1:CT868285:299187:300614:1 gene:GSPATT00013327001 transcript:CAK77861 MSLNSSKIYANRFVMKKKLSQGSFGMVYQVFDRATNAECALKVELEDNQEKKTLEREITM LIQLENVEGIPKLIWYGFEGQTQLMALQLLGKDLSYYLKLYKKFSFKCCLQVAYDCIDIL KNIHKRFIIHRDLKPENIMMSIDLNQIYIVDFGVSKIYFEADHIPFRQDKDFIGTLRYAS VAAHKGQELGRKDDLESLFYVIFYFLRGNLPWQNIPVESSERNRIVGEMKQTIPVQELTA TKCPEFIKIFQYIRKLKFDEQPDYEFIKDLLAEAAKNNNIKLDGYYDWTEGMKFSKKLSE SKVSLDKKEPQSPKQQMKSTTKISNQVIWSVCDNSNRPQSQKNLLMPPEIQKKRSDIHHF SSGSNISVVMGTYSSMRLRYLPSAINKKSPGLKRMVSIEFVAHDESVYQEQIDENFEENP LNEKYKEYPNEICFKQNNKFNQIQKLCN >CAK77862 pep:novel supercontig:GCA_000165425.1:CT868285:301531:302730:1 gene:GSPATT00013328001 transcript:CAK77862 MIPMDTCSSKQMNLKQLRDVMFPLGFITYGGPLAHINVLRERFRDYTTEEEFQELFALCQ TLPGPTSTQMVIAVGANLTHSCLGGLVAFLYFSMPSAFVMMILGLTVPNLSIPWIQLLIN GFKYASIGVIIEAAYKLSNGAIKNKFHLFLWIASAIITTFFPTPSIAVLMIVVGAISNYV SDRQIGQQLLIPQEEQVQHSFDSWLLGYRSLIGYGIAFVLFFFLSYIDWMPIAVCSNLFK TGSLVIGGGHVVLPMIQFSLSNYLTTEQFWNAFALVSCMPGPMFNIAIYIGALIGGIPMA ILAEIFMFLPGFFTIFGILPYWKKYRGLRTIRAVLQGIAAVAVGFILSAIVHLIINSCSQ GIVIPIGIGILSCLCLYKSVPIPFVIIGGGFINLLAQYK >CAK77863 pep:novel supercontig:GCA_000165425.1:CT868285:302750:304559:-1 gene:GSPATT00013329001 transcript:CAK77863 MFKINQDWLIKNKYKAISMAAGVGLLMLAFRKKGQNPFESTYRRVAQEIQQKVLKARYVI STELKLSNEDKTLILNSSIPQIHKFYESKRFTCLDVLLTFLEVALEKGFKMGYLNDVNLE DAIQKARQLDQELKNKDYKIDNMPLFGIPISVKDTFIVKGTYQAFGCGAYAQKRSEIDGI QGYLINKSGGIIFAKTNLPQFGFSYESWNYLYGRSIHPQDPSRTSGGSTGGEGGLIAVNG SPLGLGSDSGGSIRIPSHFCGLYGYKPSAKRLIMRGQAKGVPTWDGIRNIASCYGPMAKH FPNLVNMMQALTTNYEQAPINIKDINFVMKTFDEKECYNNDKKYKIGVLRKINLLLPCKV NQRALDIAVEKLRAQGHTVIEFDIDQSIYENVFQHVYDVMLGDGGMKGTYELYKGEPWIT HFDNFKKATQSCPLWKKIYQKWLKLQDRKRELMIWKAGDHGLSYYENLQITTKLTASQIQ MIQIWHDLQLDAVISPVTAGVAVKHNTAQHCFSGICYTFFWNMIDFSCGSVPITTVQEDE QYYNEESLFGAKDIEYKVLEETMKHSAGLPVGIQVISKPLEDEVCLNIMRQCGQ >CAK77864 pep:novel supercontig:GCA_000165425.1:CT868285:305108:305733:1 gene:GSPATT00013330001 transcript:CAK77864 MTYRNDQKELMDFDNRYSRCARQRRLREIIQFLLKKSKELQISLQDLIDNKITQNKPYQQ QGSFAFLFYVKKGVTDKVEEMLGVNPLYVHDFDEKNMTALHTATKKQSYIMIKILLSYGA NVLSKDLNGESALSHAIQSNQQDIVKLFLSIQCPNDLEYLNYSKMAKNKQIKWLLKNAKL QELRIRCSKLTQFNISNNLL >CAK77865 pep:novel supercontig:GCA_000165425.1:CT868285:307086:309869:-1 gene:GSPATT00013331001 transcript:CAK77865 MHSLNSVHLDNINTVGQQDTSICKNLPVNNECDSSSIILLKKIEAPSPDIPGFNQAVFKP FQKLIIYYHIGLFVRKLLKYIKPDQSFKPKHYYLINDKSSGNIKDFITYSGKAKQTVMQY PSLDEVNFFVRLRYQYRQGLVNCQQKILYLFEQIPLIDPSFKIKIIWDLLLNVFRIYLMY IIPIIITFELLIEDYDYIIIISQIVFAIDLLLRNITIYYDQGLPVVDRYQIVKNQYHVTN LIELMEICLLFAMAYFNFDFNHQFILFGGWPKLWMLSYFIQLKNLLNFIGSWQQSFLMGQ LASSLIELFKLIGLLLIIQHIFSCIWLIIGKYQLLMGNSNWITFFNLEHISWTDLYIESM YYTSVTMYTVGYGDIHPINISEKMFAFLFVFVCTFQLSFSLNTIGEILTRMKNNNDIINK KLIFINQYMHNKKISHQLQFQVREYLNYYWYQEQTQQTKEQTEILSQLSDDLRKQIAVES NSIVLKNCDFFYKNFSTEFLNELLKNLQFQAYQPSSTINIFNEDDHFIHIIESGQVDIYD KSFEKKVLIGCFKSNELFGLTEFLNNQKNYKYEYKSSGFVSTLVIPKSKFHMILQKYKQD FETFWNLKTNNYQELKCAVCESKRHPPDFCKQVHYIPDREKVIKQFNFYQNQDRQKFRRN SIRIKQLYHASTDQEIIKEAAQMVKVKNDSIFFQKYQIIEDETTQYESQEYINKKDIDSV GILIKDLESRSYLDFCQKSQQKSEKDILDIRIHFSLELQTLKSLKDKLHHKDLYSEKDIQ QIEYLIKILELKLNLEDKIELDQQQEYQTFNQKANINLVIKKLIHPVRYIITQTSKSLDY FQLISGNGLLKYLFYPYDFINQYRFNVNRLGLPRKSMVVRQQERRLSSALMRKKQQNIRS MKRSIQVHPIK >CAK77866 pep:novel supercontig:GCA_000165425.1:CT868285:309945:311418:-1 gene:GSPATT00013332001 transcript:CAK77866 MEQEGNREEIIYHNLYFPYNKVHCDNCEKDITKQARILCNDCPNAIDICMNCFLNLQEFE SHLISHSYSIINKLNFPIFVEDWTAEEELLLLEGLEKKGFGNWQDIAEMLGGEKAQEEIA QHYDDIILSGKFRNMPLLSKRNQDTLELIKPKRAQTAPKRIKDEQNITKTGRLTPNMSSQ SGQEIVGFMPKRGDFDIEFDNDAELLLAEMEFNDDDKPYEIEMKLKVLDIYNIRLDERIK RKKFVIERDLLNLKKQNIQDKSRSKEEKELHNLMKPFARFNKPEDHERLVQNLIKEKQLR AKIEELRYYRKLGMKTFEEVEEYLADKRKRDEQYQRRQKQNDSFVYDSQKQRFMQRRTRF IPLMEGRDKHKSGPSFCEEEYQLCQKLGLTEQEYLILKEVLVRESVKNGIIKKDQALQNF KLDKERVTGVFDYLVVKGLIHEKE >CAK77867 pep:novel supercontig:GCA_000165425.1:CT868285:311954:320154:-1 gene:GSPATT00013333001 transcript:CAK77867 MFFVFYLTAVAYAKVSSTKFGYDAKGNICPLGIADCSQKQYIPCEFGYFLYVDALNQINC LPCPYFLYSDPLNILCGDCLEDPYNWQSSRACTYNYRIQTGSSADVYLRENVQKTIFYIQ EKPTQIVNPKRPVTFKYELSICEGCSVFCTLNTNQDEGDEGDEGDEVDENNDENNDENND EGDEGDEGDEGLSCFDNNQQDNLFNGILCLDHYYYENFECRECQPNCKTCTSSSCQACNE GFYLNDQSLCQMCQPGCIKCEYSDSMLLCLQCSKTNEEYLVESTDFQNCFICGNQCTRCE YISYDNYEDYGYLEYGTRDQYFDPYYKYLLRCRQCVSNSQFISYDGETCEDCSIKNCQIC YYELDDKGHTTLEYDFIPKSYPKTSQMLCHICANGYYRNKEKTECIEIPDPPPSNCNSYN KAPDGTDASDNKCTFCYDKILDLSNPDSPQCSPKLCSKIIKNCEKCFVQKRPENEDSTMS FCTQCAYGYYPDVFSGKCVACPVNCKTCWQYTPSYNFTSFLQNYNVVTQDDFSLFILEPQ QDAKCTQCLEGFSLYDNQCMGCTSNCMGCYEDDEKAFCYNCGDPNQQSILADMSECQECP NYCAACRDRLDSDPTVNRYFNPVSEVLYKYSRMCYKLIKDAEAACVFLDNKIGVPVKCEI ADCSLTKQYKCFNSDEVDLEFNCDTDLEKYNSAKTKVSVITFQNVDSFQQYQDYNDKTIK VLTINMKLTGDVCQFKKETQFQFSFRQNVFSLQTVILNINQDREDQQDWKVAGLITFKGV SQVNLNKIKIEYLKDGKNAPKEFGFYLKSEDESQLILNDIEFVKEKYKNQKLLIIAENPV NFTMSNVKIRNIETKDQVVFFNYSLRSLSYQDIKLSNVELTDCNYNFSSIITFSNLASSL FLKISNLKITSGNFLSSSIIKSTFQTNSLYYQIDKLILMFNYFSNSTLFPLSNLQTSQQF SNVDILYNRFVNSRAFLMNSFQFSQFYFDSNILQNNSVIFQTVKEMKTNDQLQSSLLEYK LDKIKIVNSNCYSINCLMIMSTPINTYSITSMLTISNLQITNIGLSDISQQNTFLTTSAL INWNKFSDVKLSNAQFDNVQGLTIFYISTIKSLTITTLKYDWSQTNYYQKQVSPTNNLIP TTTDCSDRKVTASSFSYILFFIYQFSSSVTISDVLVQRQLFIDASIFYIQSYDVAYQNTT NGNTVESINLSGFQIFNNSLVSTKKGLFSGCLTIQSNQIQTITMDNLNFQSNHLQQILSK QTIVIVTLFEISSPRSTIQMTNFVSEYNRAIKSDYGLIKIDCLKIVINNATFRYTNIIDR QWVKRVEPNLIITDDDINSFFEIKSYGTIMDLTTTTATISQVFINYTYGIQAVIKLTTIG MSNIKIRNSQLRNIFSSLELKHSSTGGSLYIDSSLSDLTCLISNLSIVLSRVRQTGGCIY VLASNKNQNITISNSYFEQCQALDTSFMRVDFLADASFQQVSLEEVSVIDNSIDKLISVT PQIQVFEYLYFLQTSSVYTQTSGRLIIGDSLFQQINYTSALQLNQLKLLYINKLSILKGF LFYNPLLSLSLLQSGGQAYIGNLDIIEFQETAYTFNTQYNCTSLTPPSFTYIIPEQNCDQ IISLQDQLDEMDDGDEIYEYYDESLEDKYKKQTQNSKYIPASISQAKLDKLSDVISVVIT LNSQLVSCIMNSILYLSKQLNLDNFSSLFYVAEVNDNHLVQIKKIQLVQNQCLLCRGGLL QMRSVSDTNTKELISITEFFCQYNTVGYYGCASLLFEDFIQDVTLLSEIIDESILQQSRL LQASDVSPLNHTILISSYECNNNLARAGTCLNLRGVTAIVQESLFSSNNASLVGGAIYFE NLGSNNMYLQNNQFVNNQGQIGGAIYLLNYQLSNTDKLGLSFDKNTADDGGTDIQELTIS QTLQLINIPFASDTYMDYDGDETDDGAIDNTSIVIKDNVTLENHKTGNYPQVTDLLILPS GQRISKYMYFYEVTQEQVPFEWVFRIINLNRFGDVVRNSKPDESCVIDGRIQNQQSYNDL LAFSNNFTIPTKVNYNPDKGGYDMDDLILIFDPQASPDLYLELRFTCNSVKLPIYNTKPP YQILGFNKNYELYARIRTFPCQIGEAYDSQQCKACQKSDLAYSVEIDSVQCKQMNRLTMR TVSPTGINLFQGYWRPIFSNDQVEYCVNLPNNCNGGWIPGNPSCYTGHNGALCESCDIYM IQNEERWAPSDPYKCGLCKESEKYNLIIIIAISVLTMVSTIMSVKGTFEALQLQIVEDVI KMFGRRLKSDSSNLATMVQTLTNYFQIVQIVMTFQLQIPNGVDTATKTAGNPTGSMAYSL DCLLIKISDIELLYFKMVWALVMPLCYLGAIILGYLIICIIGITKFSQSILYTALIYMFL YMHPTLVQGFISQASIRTISGLPWVKADVGYRYDSELHNYWMARFIGPMLIIWVIALPAL FMFLVWLKRHKLEMKQTKMTLGYFYREYSKDSYLWEFVKIFQKEFFVIILVYYEEYVIIK GLLIVLVLFIYGWYQVSVSPYGSKKLNEIDRYSTMVCAATLCLGVLMYGAQLKDYYYLQV IILIILALLNLWFIIMCILQIFQGYLIQFQDQLDMIREKLLKSKPELKEKRGFIGKLLIH RGNMNKRVKFLWELLKSRTTAAVKITKLSQEIQFEDAFEYVIQNQIEAYQICKVSSKKQF EQLNSHENY >CAK77868 pep:novel supercontig:GCA_000165425.1:CT868285:320198:321342:-1 gene:GSPATT00013334001 transcript:CAK77868 MIKNPNPLNVNEPKLGIQITQRKMLAEVVNNKTVENAKITDICFNNQKYKTQLASIDISG VLKIWDVAQNRLIQQFHASNMWLTTVDVEKQEGKKVACGTLDGKVLVYEINQSNKKGKSF SKDKPNIELFGHSGSIQCVQFLSPQYIIAGSTDSLVSLWDLENPQRYLAIHQQHTGDVLS LHAYENDSNIFISGSSDLTCKIWDIRVKKPVQAEYKGHESAVNTVKFIQMPQPTTFVTGS DDASINLWDLRMKDPIVSFQDSCYYDSIYSIAISLSGRYIFAASENSTLKVFDVLGDTDI YTNLDVGLQQNDGLIKSIDISADGYALGMALGTKSNHKDLLVIM >CAK77869 pep:novel supercontig:GCA_000165425.1:CT868285:322019:325554:1 gene:GSPATT00013335001 transcript:CAK77869 MLKFLITSKFSLRLIKRSIACFSQQSVSKEQEYFSDWESDSESKALLTKFEVYQHFANAQ TTEDVIKAFNENNLSYEQIHFAAFQLMNIVQHQQVNQDSQLDAFIQEKIIPNFDKLSSEQ SVTIIQLFLKLSNKSYCTQIKRHIDQNLKNYTHLQLAYVFSFYSKQGWKMVHIAKILKHH LSTQTFTAHPLCQIIRGCYIEHITLKQNYHSDLAYQACQQLVKLIDNINFHGLVTVFNQL AHMQLDQNTSQRKLPEIMSKLSEKFIKNFDNLSQFSKLKLLEAYSELPEQFPVDLYQKLY QSFESDNLTSANQLKLYVFLKLQKEQNQPSNEVLQVLLNKINFEDITETALLIRFLDVEF EEQNLESINLCIQNLKRNVNDFSVKQKAEFIKVLIKQNRLDEILDLNQNNDSSLLQFIEQ LHNCHKQINSCKSIKQQENINASVESFLDKVVKLDYDLKLKISSLIITLDPYSEDPFYEF IDRHIKLESLPESYFQNFILRLNSKSHFNPEIRSRSEQMLPSFEQLQRIINDINTIDFLN DKLVKQIAKWFLVLTDKSIIDDSFNINASLNLLFTFLLNCPYHSLHNMRGTVLQTIRELI ELFTKQVYQSQQNININIGMLIKFNEQITQMELKTNLINNICQRLCKQIDSMGDLEKLQV ATIITEANIHQFQSIAVINNFLNLALAVEIETYEAILMKTKLLLYQMKNQAKLRQKSDEV SLKFMVDQLKQEIIKFDLNNSKEHYLDGLMLLLEYDGWRYSNLRNEYDDGLQDFIETYFD NLEHLLTMNSMRPGLKAIIRTIGQSESKYQFLRSYGQLFKKMFSKCHDLKNHQIPQISIC LDYIEFARKIKVNNDDSIQVLTDYIKRQIDNLKQVQIVEVIQNLSEQKIYVESLFDSLSR LISRKLQYYSSLELIEILNSHAKIGYFNETLMLNILDKLQREQRIQANLVIFVSTLWSVL IHLQYFKKQLNRDYYYRYDKLITLLMNEVQKFPNFPINSKMPVVFRQFMDIYNLLEFNQH LEICNHIQFIFKELNQKHQQLGKQIVDNAKKVVNTKFIPNQKLTQQFKNLMLFLDKCQVE YQVNYFLDTQYVDFYIQMRNQIISVDNSLYVTYDMKHYTGFHHFNKWILEGAAKKYDLKE IRINAQEWNQLTDDQRRKLVLI >CAK77870 pep:novel supercontig:GCA_000165425.1:CT868285:325664:325891:-1 gene:GSPATT00013336001 transcript:CAK77870 MSKPGIGLNSIHIAFIQKSFGDIDEEESTKVYSERTLLENKVRFADDILICFFHQQDKVT QINEGKKKSVKDFLK >CAK77871 pep:novel supercontig:GCA_000165425.1:CT868285:326517:328079:1 gene:GSPATT00013337001 transcript:CAK77871 MAEYISLNEDGGIQKLILEEGQGDQPQQGNTCEMFYTGKLEDGTVFDSNEGGDPFSFTLG QGEVIKGWDVGVASMKKGEKAQLKIKSDYGYGKNGSPPKIPSGATLIFDVKLVDFKEKQK QKWELSDEEKTNEAKKFKELGTTAFKAKNYPEAIKQYLEAASYFEAETEFAHEQKLASHL NLSLCYYYTKDYKESVDQATKVIQDKPNNAQLVKAYYRRAIAYSSQGDYTEAKNDLKAAY AIDPNNQAVIEEMHEVQNKINLSKKKEKDIYGKLFQQQYYEDEAKPTSSLEESDPSNVTT YFDIKIGDDEPKRMEFTLFKKSCPKTVENFRALCTGEKGIGKQGKPLHYKGCEFHRLIKD FMIQGGDFTQGNGTGGESIYGEKFADENFNHKHTGRGYLSMANAGANTNGSQFFLLFKDT PWLDGKHVVFGKVTKGIELLDEIEKIETEQDKPKVSIVIADCGEIKQ >CAK77872 pep:novel supercontig:GCA_000165425.1:CT868285:328236:328835:1 gene:GSPATT00013338001 transcript:CAK77872 MQLIKDVLINKNVVPIYVQNKLMKELDFQIRAFGNKNKTSSSPRKSCNRRIKTLDHSPRN LLIERSVSKSYNKEQDCLKCRKFNFQNKIIIQCDTGQHMFHEKCILDLFKEQIQDIKPHF SCFCGMKLCPEFVRELKVKGIEQLVNQLYEQQLKCITYQVQIQNCLNPLCNFFWINNSKK SRKYGRQLKPLAKKYCPYC >CAK77873 pep:novel supercontig:GCA_000165425.1:CT868285:328911:331397:-1 gene:GSPATT00013339001 transcript:CAK77873 MIKRNLKWRINSQRENITAKPKSISIESSPRRPIYLIRREAKPKKLQLQSPLSTYTKYVF HSNQISPVSSPNTSKIIKLEEQQINFANNNFNQERKHSISTLSTENRSFKHKISAHNRHL QTESIKLITQQFTEQNVDIIIDNQDKQQEIDIECSNIDMQQKKISFNYLFNDQKKKKFRQ RVQNFQSKEQKKTSSLTDQVMKLIRTIKQPSYRYEAHQRSSRIWLENASFEPVKLKENYT FSQSQFKFYFSQYFDKNLKFYRILEINNELLQLMNNYQQNAKDIQEQRKAMFLNKIYSQT QLEHYKTECLFRFQNEIQTYGMIDFLNENSQLTISVDHNNSELNEKKIQESLEIDEDEDS ELFKYAKTNALSNLIPIQSEQNQSLNNHQFQLQSIVSELDKNSKNTLLSVDYSTTDQQIY KDNINQIVPTFEEEFNNPIFYKTYAQHYNQTYNDILFGNFCNSNPIDDLEYEAPDLIAVE KGNLQIEEGIDKRKMLNSLRQRKNWQSGVLQKQSQTIDGPTQHRATIQIFSNKQSSDSAA GSPQLPQINILQQSSNEQTIMKSIKKSIHGRNALSPKSPHNQDNSFQLVDPLIKSQNDTS QDSIGEIKKITMSKSQQQLPKLMIDSNQISLRTQNKEDSIKYLKDIKMISSVKSDIIYNE SMSDSVKSNTQQINLNNKGANPQSIKLETMLLFDKMMKRNRSKKKIATIMIEHGLLNQFQ EFMDLHRNFNLNGLTTDGIPLISLAAANGHEGIVRHMMNYNVNLNQIDKNGNTPLHYAMI HNNFEIADLLLQNGANPYLKNQGR >CAK77874 pep:novel supercontig:GCA_000165425.1:CT868285:331426:332204:-1 gene:GSPATT00013340001 transcript:CAK77874 MDESLKQYLPKDYKRRAYYTPYDVSVHNTANDLWLSFFGKVWDLTQLVQENISSKLCEPL IKIAGSDISYWFDKQTGEPRKQVDTNTGLITYYCPQGRYLHIPSSDPCGDDELGNHSKPW WNNEQQYCIGNLSHKTRKIKIINMLTDHEDIIEVPSEETINEILDRYKKINAHAASYTWK RLGKPLDMELTLSANGIPDETDEFEQLGVPETIHLYFNDDLTVA >CAK77875 pep:novel supercontig:GCA_000165425.1:CT868285:332603:333363:-1 gene:GSPATT00013341001 transcript:CAK77875 MRQFIVQEQQKSQEQVLTQQFEQNLISPKTFKEKYQTLEKWISKQHECIEKNKINFEKGW RGLYDTFIQTEKELRFLKQQNNLQYSQHSNSQQFINYSISVFSDSEYIKPLQELSNQNET IIVNNQFEEFENHRLKTHTSTSQTPDEKFKFQQLDYNHETIEWDDEQCTNNHQTKVCQIN HELESFLQKGLEQAEIDYLHQEVQIEESNWLNYDEYKFYLLLDISNLIYNDLIVEFEQEC IQRQF >CAK77876 pep:novel supercontig:GCA_000165425.1:CT868285:333404:335102:-1 gene:GSPATT00013342001 transcript:CAK77876 MLQECTYISKLTEQLLQFISKNTNQFILRNQIVFLLIDIQACNKRDKKNHREVLQEIHRQ PILLISVIQPITRLISFLKREVLLQQSQMIRIVLGNEKMLRNGRFPKYRKQQILKTIILQ GGTKSQRQFKEDRRQDWNTQTKSQKKIFNCFFKVCFCSQYIVQKNQGPQLIKQSIPKKTF NNMLHLKQKKQSNQQSKQNGQAKRKKDIKKQLQNSRNILKCKKSKSQLRSKKRSISSIPQ KKQATQRNSSSKSKNENTTQLTGYEGELLEKYKQLQARYSKISQALFDESSCVQYITDTD QNLLPSQSTSNKKQQVLIEELILNREELNYLKEFGILENEQNIMHQAAIKIQKVWRGFIT RKQILDLQFEQYYYKKPEVFNEETFRSLFRFEKENQSVQQGREQTLNQLNHQTDLNFILD LESEKKEKLQQKNSPKISDKFVAYQKLKWQELLNYISQLEGQAQEKTIDEVLKEVKLFTY QCSQDCSLSKFQIKKLEIQVPQFSQESIVMSEIQQAMCSEKLIKGKNRFLKKNHFINLRQ KS >CAK77877 pep:novel supercontig:GCA_000165425.1:CT868285:335724:337636:-1 gene:GSPATT00013343001 transcript:CAK77877 MDHFERIRKIGKGNFGDVLLVQRKSDGKQFALKRVDLSLRESFVVDPLNEVKVLKSLDHM NIIKHYDSFVHNNKLCILMEYAENADLSLKVKEAKQNKQFIQESTILAWLTQLAVALDYL HSQKILHRDIKVQNIFLCNDGIVKLGDFGISRTLENTSELAQTSIGTPFYLSPELCQNQS YNHKIDIWMLGCAIYELCTLQKPFTAESINALATKIINEQHTKISDHYSDFLSNLIDEML QKQPEKRPEISKILSFPQIQIEMQKLSKIDQPPKVPYQNNGFSSSKKSNRMQQNSIHFLV EKSKQQQGSSPISSRRSQSKLNTPYQGYKTDIIDQNSPNFKLNGKILASNLHTLPDYIDD QQKAQTPISTQRTESNEVNSKHLKYQKSISINTQIDDNNNKRNQEVESQPTLLKNQKSFT FVDLFFNPNTPTSPNRSLLLTDFLKRKLGNEKFYQMKILLEQNSDPIKLLDQEKGLVQDI IGEQNMDCIRIFKLLISCSITPQASHGRAKSAQVFTQEKQETLIDFIKDSDFQPSNSIGS NPGLEISFKNLQHFTEDQQSENLQLKE >CAK77878 pep:novel supercontig:GCA_000165425.1:CT868285:338060:338599:-1 gene:GSPATT00013344001 transcript:CAK77878 MQDNLDQTASFFHNPKLKIPKLKCTYEVICSPDLEIPTFSRKEDSYQQKSLFKQCQRRNS QTNITHNNFCTIFNQISIKKANSDSKANPKVPPLKIQQKSLISKVSDQKSISQHSTTILR GRGSIKILQNPFITDSRNSQKSTCTSGSQPPQPKGKPFLQRKAQIQMVNRVFSSMSQHR >CAK77879 pep:novel supercontig:GCA_000165425.1:CT868285:338729:341908:-1 gene:GSPATT00013345001 transcript:CAK77879 MDRESRSRDDSKGRQAPLYRESLYRSSSSVSSQFKVSSGPMLFKGTENSSVMKNAQPQFE EDADGFKKPPARSEKLEEERKRNKLLNEYTAFEWENIEQESDRKWYDYDEDDIGNASQQD WGGEVFKGQKEEFKFEEYSKRKGQTVRQSEKNQEQNRWELNRMIASDVFKRKADAYDFYE EENEKRVVIHVHDIKPPFLDGKVVYTTQLTQVQIVKDPNSDMAKLAKQGSEVLMLMREKQ DKTKMRERFWELSGSKMGKVMNLDRKKEMDPDRHLLNEDGDYDFKASSRYQTALQRVTQG QSDFARNKTIKEQREYLPVFHCRSELVQLLHDNRVCIIVGETGSGKTTQLTQYLYEEGYT NTGVIGCTQPRRVAAVSVAKRVAEEMGVELGSKVGYAIRFEDYTSKDTVIKYMTDGVLLR ESLQDPDLEKYSAVIMDEAHERSLNTDVLFGILKKVAQRRRDIRIVITSATMNAKKFSDF FGGVPIYKIPGRTFPVDVRFEKAPAQDYVRSAIKKTIEVHIQQPPGDVLIFMTGQEDIET TCYLLAEELNKLSEATPPLLILPIYSQLRSEEQARIFEKSEFRKCIVATNIAETSLTLDG VKYVIDTGYCKMKVYNPRIGMDALQVTPISQANADQRKGRAGRTGPGICFRLYSSLNYRQ DMLENNIPEIQRTNLANVVLLLKSLNINNLLDFDFMDPPPQDTILNAMYQLWVLGALDNV GELTELGRKMSEFPLDPPLSKMLIKGDQLGCTEEILTVVSMLSVPGIFYRPKDREAESDA AREKLFVGESDHLTMLNVFEQWKRHEFSPEWCNEHFVQAKSMRKVREVRAQLKDIAGKLG LKMSTCNFSYDVVRKAICSAYFQNAAKIKGVGDYINLRTGMPCKLHPSSALYSLGYAPDY VVYHELVMTSKEYMHCVSAVDPQWLAEMGPMFFSIKEDGETRASRIESEKKSKREIELSI EKAKREHEMKSEECLRRREKEDRERRLISERTATLGQRPSRMQTPLRQQTSSVAATPLRS ECESVISGMTSIQAKQMRMAYYEDEEEQDVGRNKIPKLE >CAK77880 pep:novel supercontig:GCA_000165425.1:CT868285:342218:342935:1 gene:GSPATT00013346001 transcript:CAK77880 MNPRMMLNNRGQQPQQPNGLRNDYQSVVQGIQSLMGISFSTIQLCIFGSMLMEKTKKLLE FIKSGAVTSLNKLLTLLRIKQFLKGELTMTQIGKSVVNTSTQIGKQWIIAIRLLLLVVIL LIGALLTHMKRKMREKQETEVEQKQSDTEETEEQQQLDTQAETSQLPIQQSDFWNHIEEN QPSNQEQQTQQQQQPSTVQSINYLNDLPGFSTTGNPSKPWMK >CAK77881 pep:novel supercontig:GCA_000165425.1:CT868285:343428:344036:1 gene:GSPATT00013347001 transcript:CAK77881 MDSQFQKLEKTVKKSSKFNLINLLSIYSISFIFLLIQPIKFDLMREILGSLKFTLFLIIQ LGQGGRLHQYLQIKPKPHTNLHLPLYRIHYLFFNDIEFLLLYFKSVMKNTKVSCTFLIGK NQMLLVIINYQQEHHTITKVSKSKNDLYQSFATLIIQLHNSIYSSIYFNKMLVLTNYQNI SFKEIILFYEYIFLYKLQLPLL >CAK77882 pep:novel supercontig:GCA_000165425.1:CT868285:344811:346222:1 gene:GSPATT00013348001 transcript:CAK77882 MFLQIQSIFLSVCCATQIYQKTFETVFMEFSYKLDSSKAYMIQVNEKGQYQLTIKKFPDD QSCLPYLEVNSTSSDVTETLYDEQGYATKSKVQSISVQSDQIQISVSNKKLTFIPESNLS QVIQFTITIIDSVLNSQNIKQPPEKLVCNFPSYGLDCQQNMEEIETEYSTTLIVPKYSWN YVYYILDNYDYKIEAESDWASFVVALLPIDKANTTILPSFQSNLILLSSNGDSKEVKLLR PAEISSYIFAIGVYNLDDDAAITLTLHQQSEGEEDSFPLWAILTIVGVFILGILISIFII CQIRKQAKVYEEQPKISLDVLDKYMPIKPVPKHQLTETCCVCLVQFLKRDQTRETPCKHY FHTNCLRDWTKKNTTCPVCRQELGEADIQKYLCLSQMTHKNEIDNSNSHQGELPKIPLTQ LRISDHDINTRGLIIIDNSPSNQHSHSDRLSLNLIEDQIVEN >CAK77883 pep:novel supercontig:GCA_000165425.1:CT868285:347057:348040:1 gene:GSPATT00013349001 transcript:CAK77883 MIRYGVTINDYETRNDVIYYTIHVNDQKAIKALQKRYSDLKALNQKIYQKNNEFKLKLSL PRFPRKKMFGRTKNSQSDIKTRGLELQQYLEIILNIPTLWSFQFFREFLPQAELLDETFR LEQINTEYSKDLCWLQMDELKKSFLQRQEQFQSNKKNKQKGKEISGYYQRFHFTINEFAI QNDFVLYFIILTDTKKTTKYRFEARYSNLRDCHHLLEKQQFKNSLFHFPKRRLIGQTRDN PQLIQERQEQLQRYLNQTFSVQEFVESEPLVYFITKIKLEGKPIKQNLNERVSQLSQQVI GSSFNLSQGIEEIREQKSC >CAK77884 pep:novel supercontig:GCA_000165425.1:CT868285:348764:350023:1 gene:GSPATT00013350001 transcript:CAK77884 MNQEDKEKIVVCGVNSDIQLEGIELSIVDFFYSSKDQWSMNEKYFKKFAYPEELRKKIIT TIKEYSKKNQEKYDDKLYIELETEYGDFINQILKEVENEIRSNSVTLYAFNTLLIQLIEN DGQKPKSAVFLSKFCQQIANQYKQRVICNFFAYDFYLKGNGGPFQIIGQAKLLEQQDALL NLSGFASYTQYKNKEEQDTYFGDFICPAMFALNYASNWKKEVQNQAFQDKQINEEFLKQL KSSDKFKYTILSLGNLMDLIDRQQTTPVVKVATLKQYICQEIQSYLSNIKQQGKVFVGGT FSEELFKYLQQEIKQIELQKSQDYEKIDSFIVGFMAVRKNKQLLNVFKGVTKATSDHCSG IIYIETDKPITILKQPEVKKPPQVQKQRLDHSEDFDIELQFSDQVQNIKSYQTKSQNKK >CAK77885 pep:novel supercontig:GCA_000165425.1:CT868285:350057:351169:1 gene:GSPATT00013351001 transcript:CAK77885 MQELLAQKYAELSQNTLDNVDFSKAEELYKEILKNLNEDLSIEQIKQLGQQFLEFLQVLQ QDEFIQRKRAKEETQEKDQLLHKEYPQLQDKLLKLKQNCQDIDQSAKKINETLKKLPEIE EANRKSMKDKFYEDVKDVKDKIQQEEIDAQKFAEENLKIREDMQALIAQYEQKEKEFWEK VKQNEEEQKNDTKKYMEQFEKMQGDAQKAMQEKQLIQDAQKQLNDKKMKLQVYKGKQNEF IETFEKSTSTFQSLTVEFLKLAFKAKEDEEKSNLNQQRADKANLLAVEIAQKNADLKLQI ENVEKEKDKLRAEILELNNQKKLQSQNQQQQKSNGEN >CAK77886 pep:novel supercontig:GCA_000165425.1:CT868285:351200:352445:-1 gene:GSPATT00013352001 transcript:CAK77886 MYFILILFFFDQIKSQKIAFGSCFTYEDAESLIFFEIAKHKPDTFVWLGDAAYVTSKTSQ NRRMSELNETIVEYKFNLTKHNPGYQKLLNATQIDGVWDDHDYNERDGIYSNPAKEMTRQ LYLNFLDVGKDDERRVNKDGIYYSFKLKNTKIILLDVRWNRNPETQDVLGENQWIWLENE LKDVEIGQFIIGSGSQILPNDRLFIDVWYQSSRNKLLQILKGKNALLITGDVHFAEILQD PCTNIIEVCSSGLSYGSHYRNILRGLFEFFAELFQPDTFSQYYERFADKNYAIIDVDEKK ISIYNETSLLYQVGFNKTTSVKCEDIESTPVIFKYFWNFSNKINAFFSMLLFQQVVKALT ICIILLIFMILFGFGVLKIFGLQIIRRKTIEKLKQKNE >CAK77887 pep:novel supercontig:GCA_000165425.1:CT868285:354376:357130:1 gene:GSPATT00013353001 transcript:CAK77887 MVFQCCVACGRKSEGLVKLLPHFKSSRDYEYIHKKCLLFYKSDWNNRLAVNDKLFYKDYL QHCSICGKKNYKQVVHCSQSLCQKSFHFDCVDNPIISKTEENGHRPPQLLIFCSYHDSIQ NVVTDLDEAVNSLYNSYLVQKEQQEEPHSHHRSKHKKKHKKKHSHKRSRSHRKKSRRSSK NLKFSDGEESESKKSISEIIKPMQTNKSLLVIQKNIITNDLICQAYSSKLQSKPQIEIES KAPKTLNYEIQHQNHQQQQQIKPQILPQIQQPLQQQQIQKENQKNIIIKQQIFPQITKIS VEDPEFPRQERETKRDQSIAKWWDKIEEVFFKGEKQMPLTKQEEFENSFQWHEQPLDQEL LDISDASNFVKISLQRLHECCFSNFTIFLLVHQLQNNLLDSYDIISLIKLVERIPKDRSQ QIQDFIDIHQKVSLFKEIRKFLKMKQKYKFAKKEPVGKSLIFHESCELSQEDFDQCLILE DKIKSQYQLLELQLDIENETVEQFDIVYHALKEDLNEVVQQNNQMRENINNQIITTKDQQ TMKTLKKKQQMIMDLLKWSQIVKAFINGYKDKQKDILNTFYPCLNLDSQSSQKAQLKKKK IHSKENSKPLDTDCKICFDYHYTDFNPVIYCGRCSTSFHKICYCFIGNLDEQDVLCDACL FEQNKSGTTKRNPAKCRICKKLGLPQKQIDNQFYHVSCLLLTNLVIIKKGVYKVRNSKSD IKQFCKDNETSTPQCAICGDDKGIDFFIIGFRFTCFGNETIPCRHAFHPLCAYLHGLTID IESEDIEKCFAEQKFGQLNVRIQCVLHCGKDLQDLLLQTYYRRFALNYESAAQCGGQDVF LEQFKTTKGYKYLYSKQPISKNDTLQSQIHNLNGTTTLM >CAK77888 pep:novel supercontig:GCA_000165425.1:CT868285:357554:359890:1 gene:GSPATT00013354001 transcript:CAK77888 MQYFVPQCHIVIEQAVLRENYEFGQVQLKEVQLAQLYKYATHHHSHHHNNYILAQNLIKE LICHFRDQKMYRFLIELVQKLITKADIIQYSNRNAIALKPHLASQIASLEYAANTINNFP QLIALRDIILGYFSTTFQTPPFIINQYLIDLFNEQFIKDIYLTQFNQVHQVQLITYGHHY TPNYSSFTFYLQDDDIYSQVQPQINTVVTSQYNYQQPPQNPYQSYPTNQQQLNMTDSQYQ KYQSQQTSYQQQQPQQITYNNYNNQSTYVQPSNPYQSVPQPQILGYLNNNQNQANPYSSP PQPSIVGYQQTSTYNYNNQTTAQTQQYQAVPPQSALNQGQPNYQTQPSGYQNSTQPPGYQ NPSQIPGYQNPLQSPGYQDPSQIPGYQNPLQSPGYQDPSQIPGYQNPSQSPGYQDPSQIP GYQNPLQSPGYQNTSQSPGYQNNSQLPGYQNMSQPPGYQNSSLPNHPKQIAQFPPTQGYQ YSLQNNQDYQSQQNQVYPNQISPSYPISNFNNDPKQPVEQQNNYNTNPNQQQNPQYQQPP PYSQGQAQSYESKQLATTQVAQNVAQAPDQTKEQYQGNLDQNNQNIQAQLVKQNSNVQQP IPDLRQATSIYSHPIPDNNQLEYQNPSNQTSGNPQMAPPPPPPPPPPPPPAQQQPSQEFL NQKNIKEQNPVPQQGSLNFQNSIQNNMPAQDDKSLLEALFLNQSLKNLQLIDNKGAPLID QKISFRNDRDVKGFKNHDEHALYILKCYIEGKYAQNKIDRTAEMKNLVSF >CAK77889 pep:novel supercontig:GCA_000165425.1:CT868285:360616:362672:1 gene:GSPATT00013355001 transcript:CAK77889 MPSVLSKIPLPQSFQNKVLSGAVLVLILMILKSKKEASSPRKIPRRQGQGNVDKVFMNRI LDLLKIVIPSIKSMEVFDLSVLTVFLFIRTMMSIYISSLNGRIVKTIINGDLEKFIKKIV QLGLVAVPASFVNSYLEYLNKSLSLRFRRRLTEYFHDQYIKNMIFYQVSNLDSRVANPDQ RLTTDIEKWANSLSQIYSNFSKPILDIFLFSKKLAVSIGYQGPMYVFLWYFFSGVLLKIV SPAFGKLTAIDQKLEGEYRSVHSQLLYHAEEVAFLQGQNWEKLKINQSYQQLNKHQIVVN KLRLFMGIFDGLLVKYGAVMAGYGIMGLPVFGPNSEEYLKKIGNDKSAITRDYVSNSSLL INLAKAIGRLVVSYKEIQQLAGYTRVVYEIKEVLSDLSSGYYKRTQVQQENETGQVVIDQ GKHQTIQLDKGQIIQTQDIIEFDNVPIISPNGDTLIKGMCFKITPGMNVIISGPNGCGKS SLFRILGALWPVQGGTLYRPAIDKLFYIPQRPYLPPGTLRDQIIYPHTKLQMLRRKINDQ DLIKLLEDVQLEYLVYRERGLDAANDWNDVLSGGEKQRIAMARLFYHKPQYAILDECTSS VSMDIEAIMYNKAKALNITLFTVSHRQSLFKFHEYILKFDGEGRYWFNKQNI >CAK77890 pep:novel supercontig:GCA_000165425.1:CT868285:363259:364760:1 gene:GSPATT00013356001 transcript:CAK77890 MHMEGQKQFFLFEYIEESQFLDQKIKDYSKIQRSFQYPEIQSYLKNLLLALYQLHKNQMP GRVFSTFNIVVQPNNKIVLMDFGFEPQIEQNYLDILAPPEYLKKIIDKENANQTDYKFDL KFDSWLLGAFLYHLIKFQSINQVKVNNIDIRFKYDKKEEFYEYLKNIEYIPCQTTRYKNT LLSLVQGLLTCDPKKRLSFLQIYQHPFIQDLQLQGQQEYIQFYSQCQYIRDLQNEVIDTR ELNRDPPPIMEVPTRQPNYLHVILNKPQFSDQSFYNYWFKIQLDCLRCYLLVQTADKITI LSNYNYPFIKVLVYIIKKMHLLILREMNNYLKSELYQNQPNRNWQIFLNQHQRDLISTEQ INLYLKVLEQELKIIFEQHCQIHLQQGSLLPLEIQQSLNDNRDQDPYYYFLNGYADAISM LLIYVDQLITQNQLFKLEELQSFKKLIYFCVDINISFQSQTFKEQFQKLTYNNQEVQPKD IITFLGITNKFG >CAK77891 pep:novel supercontig:GCA_000165425.1:CT868285:366173:367159:-1 gene:GSPATT00013357001 transcript:CAK77891 MHIILRTQQQYQVLYLNYQRQQRVLSLLKDQIQLTNNILNSLITTTRAYLNDEGNILKER LIGQLKSYKQTLKRDIKNQDRINKRIIIKQESQKKINFNEKYENYFKICGKRPLRQKLEL KFILIRITKRRNQIQLLQLKIQICSKNNLKYIKQIQLMLKKGNIKGFSIEIFNFGLQSIS KQGLQRRRILRIEFIHTQCFFTPSYSLIGLKLHRRTAQRLLSLYFQFRFPPCISKGFSLL LLFVHVFNLSFLFFAIYIPPYLFRFSEFLLFLQTLPKKIPLESPENVFNSPSNTTLNSHR QFKAQQSYQKVHRRLAFY >CAK77892 pep:novel supercontig:GCA_000165425.1:CT868285:368623:369024:1 gene:GSPATT00013358001 transcript:CAK77892 MKSNLNEPFIIVKNYRQQQQEAKKEQAKLKPAPKPKELSKKITKPKKISQTQQFQQPIAQ KLDPNFLSKLLQQHQQQQLMFQQLLQNPQVNQISGQDDDLDQYSPVYLYGIPKTPQNIAI PRGSTGDEDDFKI >CAK77893 pep:novel supercontig:GCA_000165425.1:CT868285:369869:370744:1 gene:GSPATT00013359001 transcript:CAK77893 MKFFLGRIFIPFHKCFQKFNNQQHSIKESLSPKRLSKIRTGRNTLKFSEHVSNEPSPLVV INNQKDEDQVKEIQKRKSCDCSECGKQSIFQKDTMNYGVQINQLKREQESAINKFKRSIR KQQTLLFPQYLNSPKNSTSNENIIEEIQILRDDIMIKSFIHRPTLSNLVFEEQVFLNKQS PIHTQSRIKFNYLESFLMKQQKIMNQERQKFSRQSELFESLHKKSNYSNKNTQMHTPQVL TPRSPASSIITAFPSQSPVKKKNQINVYLQHQKSKFFINQNRITTLPEVHQ >CAK77894 pep:novel supercontig:GCA_000165425.1:CT868285:372425:374019:-1 gene:GSPATT00013360001 transcript:CAK77894 MHMEGQKQFFLFEYIEESQFLDQKIKDYSKIQRSFQYPEIQSYLKNLLLALYQLHKNQMP GRVFSTFNIVVQPNYKIVLMDFGFEPQIEQNYLDILAPPEYLKKIIDKENANQTDYKFDL KFDSWLLGAFLYHLIKFQSINQVKVNNNDVRFKYDKKEEFYEYLKNIQYIPCQTSRYKNT LLSLVQGLLTCDPKERLSFLQIYQHPFIQDLQLQGQQEYIQFYSQCQYIRELKQDAFNTG SFISPVCQFPKKLEMIDSVSIFSPKEEQPQKKKIQIISATSIYKPQTNLPDYLVVVMNTS QFSDPSHYHYWFQIQLDCLRCQLLASAADRIAIFFKSANSFELVYAYIIKKMHLLILRDM NNYLKSELYQNQPNRNWQIFLNQHQKDLISTEQINLYLIELKQELLTILQRHCETLRKEN SSLPELIKLPLNDVQDSNDYFLNGYQDAIQMLYQHINQLITQNQLFKLEELQSFKKLIYF CVDINISFQSQTFKEQFQQLTYNNQEVQPKDIITFLGITNKFG >CAK77895 pep:novel supercontig:GCA_000165425.1:CT868285:375497:375857:-1 gene:GSPATT00013361001 transcript:CAK77895 MDTVSDINPRPFLEEITRKQIIVRLKWGWELRGVLKSSDQYMNLHMLNTEEYVDGKSRGI LGEVLVRCNNVLYIRQCPDEK >CAK77896 pep:novel supercontig:GCA_000165425.1:CT868285:375888:376959:1 gene:GSPATT00013362001 transcript:CAK77896 MQTNPNCPQPEAQYLDNYENFEEVTKTFKCLQNVHQPLEPEATYFLIRAPTKDNVHRAIK YGIWTRQICKKFLSSSRNNQKLNDASRPLYLLFNVTQTSHFIGMAKIVSNFRETKHFMYW AEENKWFGSFQIEWVFVRDLPYNELSSIQQSDGKCIHELIDCTQIENGDLIYSAFEKQPQ KSCMLKSFKELDNSEKRKRNERDSNSNFAIQFQEYISVFETMPFTFSVASYQRRKQQQFQ NQYYQQCAYYYQSPWSGVAQPSFWNQQQLQQQPLQIQQQQQQQQQQSQQSLNNPGFYQTS NYNRPQAQNQQNNKQPKKLLNHKNNNQQSPKKQ >CAK77897 pep:novel supercontig:GCA_000165425.1:CT868285:377307:377681:1 gene:GSPATT00013363001 transcript:CAK77897 MRSPQYYMEIIRQKDQQIGNLEKEIEILQTEKQVAQQQARINLEAVQVIQQNQKDRKQLQ LQAENIQLKKFVYQLQHNLRTLEKQLNEHQNQIIHQNNQQSNQESNIKQIFQTIYQSIQL SQAI >CAK77898 pep:novel supercontig:GCA_000165425.1:CT868285:377750:379040:-1 gene:GSPATT00013364001 transcript:CAK77898 MLQFDQEKPIGNLYKITTKLGSGSFGEVFKGVNMETNEIVAIKLESQEAQMPQIKHEFQI LSAIQGNGIPKVHWFGQWEDKTVMVMELLGYNLEQLFNLVNRRFELKTMLMLIDQMIDII SLLHSKGYIYRDIKPENFLMGINKKYNTIYIIDFGLSKKYKDKKTGEHILYKENKGLVGT ARYVSLNTHLGIEQSRRDDMESLGYLWLYFLKGSLPWQGLNVNNREEKYELIKTIKQTIS VEDLCHGLPHEFVKYFQHCRQLKFEEEPDYKRFKMLFRDLFFKLDFVWDYQFNWIDSLEQ EQIQSIMHSRNSKNSLSSHKGESYNLKTEEEDEIIEKIEFKIGGDIQANQKNVKPIINID KLISKPNLFESIFK >CAK77899 pep:novel supercontig:GCA_000165425.1:CT868285:380113:380576:-1 gene:GSPATT00013365001 transcript:CAK77899 MIQSEEQQCSNNSIDTLQQYANQLEQAIIQKQQSIEAQRIKFFEIIKKISFDFDVHNIEP QEIESYLKSIALIPEDFDEPLIKKKNKNNIIRIKMVQEQQPVSEVPSKQKRKYTKKQPSE EKQQQKRKRRTKKEMESARMQEQKQQ >CAK77900 pep:novel supercontig:GCA_000165425.1:CT868285:381685:382297:-1 gene:GSPATT00013366001 transcript:CAK77900 MKRTQYFQYLIHHEPILNVLIELRNNLSSENITLELRLAYIHKLMMENEVLMKILILPYM QILSQIKNQLCMTTLLILNLIPELISQGQYEHDHQSWLERGKDNQLNFHLNDSHHEYSIT LITKSDLVPIILQKPKDDYSGIDLIHLGYISSPIDKSDQDALKQKIKSELKGQLKVFSTI IKYEKMILSFYEDDQ >CAK77901 pep:novel supercontig:GCA_000165425.1:CT868285:382865:384739:-1 gene:GSPATT00013367001 transcript:CAK77901 MDGSEWSFDNLNSICWAIGSISGCLPEQDEKQFLIHTIKNLLSLCEVKKGKENKAVVAAN IMYVVGQYPKFLRTNWNFLKTVIKKLFEFMKETFPGVQEMACNTFLTISQKCGKEFVIRQ QIQSDQLEREPYICELIRNIRDQVAILQDQYKLVYYESLSHMIKFEEDLNIRIQLVNSLV KHLNDQLSQYTSSSNYIDLFKDEKVQQFFVQYFRILQQIVQPTGYAFTQSLIQLLPTYNQ IYLFYSQSIQQQVLAQGVVVMGYYTVKKQRAVKKEILKLYATYFQNNDQELLNKNYQLKN SLVIPICNLLDEYSQSINEQKEPELLLTFKYMLEKFLAPIEDLVPLILKGLFDATIRLIS QDFNSYPDHRINFFEFLRSCVQYHLVALFNMPQDQFKLMIDCIVWAFKHQVPNLQELGLD VLYYILQQVNSDAVVANQFYSLYHLRLLKDILEILTDNLHASGFKHQSQILMILFQVASN QQITAKLSNEQVGSNLEFISQYLVNSLYNAFPNVSKQQTELHIARMFQNLNNAHNFRQEL SDYLINLKQFQESHDHLKQPENTDELLTAKPQ >CAK77902 pep:novel supercontig:GCA_000165425.1:CT868285:384828:386528:-1 gene:GSPATT00013368001 transcript:CAK77902 MAEVDYNTLVQASQLLFDHSQAFNDQKAVALDLVTSGMRSSNIQIITACTKIWVDLQNDQ FFWTMTDQVILLCKQNQTKFLALKVLEEQIKTKWNLIREESRLGLKGFILKQLLHFGAKD QHDSIEESLLNQINMIIIQILKHEWKTTWVSFIPEICELSKTDQNLCENNLKLLRLLSQE IFDYSKNQLTTNQIVELKSNLHKEFQLIFELCFFLVQTFVEKQNIKVTLIKQTLETLYTY LSWIPFGFIFMTQLCEILIQLFDNNHFRNLSIRCLTEIVILKLDSDQQKMIIQQQKIGII FEKILIKLRQVFPCDFGFLGERQRLRITSNTQLQYFDDFCAILTQFFTGILSQHLDWLEN VSKTNPNVIQLVDYSLQYLIGFSQLPLEQNYKVCAEFWFDFTKRLLEQPSQLPKGNQIIL NLYSNEMNPPSLQNNSYPRILVELRKIVVSKMAKPQEVLISIDETGQPIKEELQNTENNA LYDLLKDLLINLAKLNWTSTKEIITQKLDKQVNQHYCILKRINNGVLVIY >CAK77903 pep:novel supercontig:GCA_000165425.1:CT868285:386550:388070:-1 gene:GSPATT00013369001 transcript:CAK77903 MGEIVPNQLFVAGYSRNKIPDEKGIKDIFKKYGNIKDVAYKGSYSFVTFQSESEAEDALK ALNGQTINGQKLKVDVVDNRKGRRSGPQENDECFKCGKGGHWARECPNRSSPRRKRYSDS RSRHRRSRRSNSRSRSYSSYSSSHSRRRRDSKKRNRYSRRSRSPRRDNKRKKSTSCKRSN TNSRSRSSVSNKQRQSHKIKQRKKRNQKLNQSCFLPFFIPFPIISELLLLIHRKTFNMST SQAIEKLNKFCIQIYFLRHFYYYQFIEKMNERFEQNLQAIIQRECQTENKNLLLKGQIEL LKQQIRDQKLIKQQQDQELREIEKIQVMQNLKEEQQKQIEEKEIQLIKQKKELHLRLDAC EDLQFEIEQQYQHLQIQQENHKILDESIKRLMDCIQRVKKPIKNQLFNNRVATSHSFDDR ASGFGIMGMFKSKNNVDDYIQRVEKINTSRLFDH >CAK77904 pep:novel supercontig:GCA_000165425.1:CT868285:388741:389894:-1 gene:GSPATT00013370001 transcript:CAK77904 MEEKIEQQKQLIIKAKLTIDKLRADLAERNQQLQDEKQKNDNLEQIVNKYLGDEKKIVCE RVLAKVKVEEIEWICIKNNGIEWVRESEFNKQLNSQMHEDIKLLDYSKSKDDILVVAQQY DQRMKILQQECHQSDKKKQEILTLFNDLQNEFDKYKQEIILNINELVNQSEEIRETSLTL VDQSTDCEAKIKLLLLQLLKSCSPQLHSLKQHLITLNQTIYELIQQQQEQTNLIEQQAKE HKQRLLEIEEERKMEREQKIQHFENGRQQIQLLENTIDQLTKELREKNIKIDLLCQENQK NANIQYIKNIIIRFFTEEYSVKQKTIPVIATVLQFTEAEHAIIQQAWERDTKSFLSRIFT >CAK77905 pep:novel supercontig:GCA_000165425.1:CT868285:390033:392735:1 gene:GSPATT00013371001 transcript:CAK77905 MDYLKQKHKNYEVSITSLKQHVDEYLHPIKQKRKHYINKFNSELQIENPQPKQEDKQSIQ QISVQKLQRKKFLKRLDIYLEFFEGIKESEQQFGQQLNDLDSKLLSENEITIVDKYTEEG NDIIQQLTGIQLSSKLYLYLPQWKDFKKVLKQISQCHTEVSQDMYRVSLQLHQLRKGYLK DVEEIRKQRKKLKHQTVEIYQHYQKILNEFVQNEKDLQTFTQAHSEMLKRKDDPTQIIKS EMKVKLQMQQSDNIRLKLTDARDRIKENQIEINEFNQKMQQFQVNYEEQRVIFVKQGFQA FLITSENYASQFQTYISTLIVIIDEDYYQIYPSRQNRSPKSPQKQVLENQQQGQLLELEI NEQIKEIQRQTTYQLQQWQIVQKYNEELEYFFRDTIQILNQMTIDKDFSLVKQQVEQEKQ DVSSVFNILFSSLVSVIKIIKDTNKQLVDQVERKRQDIAKCRLDMSIGKELEICALRELK KLQSLSTDEKALEQYQNDQVDKSKTKKAAQHFFNEMKQNISDVKSLNFGKFYATIGQTVS KVQKMSNLKEDDLREQQVKLMEDKKALIQLQGFTLKKMEDFLIKHSKLVAKMKKTLIEDL GRFAIQIFTHLRMIVDETTENIQIMQQKESLENQTEQQVLQNEKEDTTCQNEEIVEDLNV STTSLLLQKLLKVLVNDWKESEYFKGKIKKMLHKAFNKKRVTMLSEITVTDLKIAGDPPS LSEIKALRQDVNEFLCDLDLSFRGKIQIVLNTNIIINWPKEYQVPVEIKVTISTFTSRIR LCFVPTYLGKSWLSMIGQPVINLDIEPTLLQKYNIAKIQQIADLIREFLIGKVKLMTYPN KLSIKIPLSKK >CAK77906 pep:novel supercontig:GCA_000165425.1:CT868285:392832:393755:1 gene:GSPATT00013372001 transcript:CAK77906 MKSQIVNNQRYYSCQDEDGNSTRMLRNSNNSVRILTDIQLPQQMSKSTQDAYEKGESEMK NNIFDNVIKENIIGFSIDIYNHYIIQCILEKGLSEHKSYVLRQVVENMDLFCYRKYAYKI VQACLTQFQNNQIIQYIIDNIKRLQFDQYGNLIISTLLDTIQNDEQFAQIINKLQIDKIK YHQYGCVILINMVTCPKANHVGPIINKLIQESIQLSKSQFSNYIIQKMLKERTIEQNKVL INEFLIPNFVELSCNKFGSNVCEIMVTKSLAYQLQNLWNLVIKQYDFYLFQESEITFEQ >CAK77907 pep:novel supercontig:GCA_000165425.1:CT868285:394011:395004:1 gene:GSPATT00013373001 transcript:CAK77907 MKDFTKFVLCALSGTIFGLLYKFNREKINKNQIELDILKNQKSVNVSQLKDSFSITDDNQ LSLFVEGQVGQNQELLKSTNSKHVGVLIKKSKYEIETIENNGTSAQQRRLVSATIDSASS FILQSQQNSKENLLIKNFQETIILPKVLKHQKTDQFLIPPEVRTNMREPKTKSRIQGGKN QKVYNNYFKNWRTFMCVYGNVIFDRTYNTFRITAPKFFAISKEQIIGYFEADQNTLKFLQ ILMAIGGITFSIFALKYLYKTLFTNEKKQKFEVQIKTIE >CAK77908 pep:novel supercontig:GCA_000165425.1:CT868285:395092:402184:-1 gene:GSPATT00013374001 transcript:CAK77908 MKKKSEKNKLKDKVRESQLDWFKQEKTQLASSIYLIQNSIIENLDERLNARSIILKYLQI LQDQNEHRYMGLTYAGEDELTKYLQQIPLSTLFPSRIWDLSFTKQFLQRLQTIKEDDLMR IVTLIKVLAQGRFYSQDAPCFQINGQNVGSIKYPFQYDYEVKFQLENQIVLIELQIFPKK YPLMNGQQHPKQFDYVHEMIFVDLSDNGKSSQKHQLQYENYLKTQQSIPNFFSDMKYIQE GNFDKKQYKYSRIGEMKGDLIGPRIFKPLICSDDQFIYNKKLNLWMTLGDEEKYYKKLQQ YEEIRSHNYTIQKYCNLPSSQQLLTIIKNIPNFKVKLTQEQENVISYGGDALVIGRSGTG KTTCALLKLFSTDILYKLRINLDKIKNQNSDILLSQQDQNTQLKTIFVTASPLLACQVKR LYEQLVNNIQNVINTKRQRQTKVSQNNEQSENIDLEQSTFQIIEALQQNENEIIDNQQQE VQKDQEIEDEDISEFEKEMGKFNKFSEIKQYPVFLTLRKLLALIDSSLLNSFFKIYGGYQ TKSSQWHNESFGIMSLDQNQVSQAFNEELLHKQINLIDNQEFIETNLQEVTLEVFERVFW PKIVKLLRQENIEVSTFDPTLVWSEICTKIKGHETSHEYPNKYMNYENYSYYYRVLSLAQ TNLLYKAFETYERLKQSYGYYDLLDIVNHINYELTQGNDVIECVHYLMLDELQDVPRAVL VLLDRMAEFGLFCCGDNAQNIAKGIGFKFFEVQNCLSNYRGNQRKRKTNLKLFDLNINFR SHNQILQLANSVIRVLELYFPYKIDRLKKETSDLTGPKPIVLQTEDPQDLLSYIQEFFTN ERKTVEFGCNQAIIVKDQESKDKLPQELQNALVLTIYEAKGLEFDDVILFNFFNDCTTSI EDWKSLNELEVQSNYMTQEQFRNYQTIHQTEIIAADLNAYNKLIEIKQLKLSDWATSRNY TVYKESNQENVSLCQDLKQLYVAITRPKRKLIIFDQSNQKRQIMQSLWQKLDVVEIFQKR SIQVSDTQFILEHKLDNKANWKKQGYKMFRLNNYDQAAKCFQFSGDEELAKKSRAYFLAT QANIFKENYANYVAAGRLFEEINLKLRGAQCYFSGKDYAKAYELYKQTDCKNEIAESAYF AGYFEEAGDLFYQMNDLRRALDCYRKADKLDRIFDLLNLHRNEFPIDERMAFLNKFFPSF LKDMTDQIEQQEKDMEEQNLIEEANLIQEDENDQSQSFQIENSIINESNQFQIENVQETL EQSQVSILKQDQQEIIEINDSQSFSVQNEESFDHLSIYDPEDEWLNNNKKSLIKSIATSS VESQFSNVLLLNQPSNVSLLKSRSNIFIKNNVLQQLIQKFQYFSEEFKNHIENQHYKSTL LSNRAGEEKEFDHMINFLYDLDNIDIDTIYIILDLLEQFKSYKLCIYVCNQFKLAQHLGR YLVSSASIYTPLTRSPLRNNYQIIANKLYRKQILEQAAVSQLAINNVLESINPIFLQFKF EDKLSTLNSFGIESYKELIGLGYWKTVIYQLNYEHAFNLCKSFNSISDIISVISKIQEKR ELNEEEQFNFSKSQYIQNVQEALQSRGQNFNPLFSTTINYFQNNQQLNPQIKEDIIINSQ ITSSNLNYCQQLKQLEAIILSHLILRKMSTLDKEVNQDITQFIDIQVYFLNQMQNFTKNS NIFDSFAFLYQVSLPQGEIMDHYSQFAIVHLTSILISHLQQVQKDRQKNTQEQEQQQIKF IDIGFEYILTPYPVVLQAIHKSFIEMLSVLFYKISEKLNDYYLNQNDQQIKFTQNVYMSQ TLLSLQELYYLEQKQKNVLPFIFKPKQLPNKQFKNYNNGFRNTEIDKHTSSILSQTKQLH QLDFFKILIKTNIRNPNLSSSVKRFLRAESISLLQMFQEKKQHTKIVLAINLLNYINDLP LAIFTLEQMKKPNITSPYIKYIEFLECQEQNITEDLLGCFAEFSSELQNVLFLDEQLYHL IRVGLAILISLLDEEKQKIIVPCVFLEFVKGLQEKKIEAPIFKINNHEIVVEYLDILEQF LAYCNSEHYEFYGNILLILFIVNLPTLTKEIIEQISKIIEFDSKYKFYQKLKLFIVKDLP TRQKEYLKQQKFLYIPKYLDLQIVALDIINSKNEQELQQIYSTCLSNWENYQAQSESIKQ NGKQLITKWLKYKSIIAETKSLTKQEQQSQSQLEIVKFQQFYGLNHKVLNKYFNKQNIKF EENLKEAFAIQENIINQRHEVGNSLDLHFFNQLLELLSQLQLQISHGINVAEQLRKLSNE FTACQRNIRNFQEKEDELLARNKELLAIKWKNLKSGIKLKKKIIQKAEMQSIKEEEELEQ >CAK77909 pep:novel supercontig:GCA_000165425.1:CT868285:402314:404038:-1 gene:GSPATT00013375001 transcript:CAK77909 MGGAQSNRQKSLLSCLKLLIEDIELYADAKILNQLKEIFIKFPSHFEKNRKKVIKILSKS IPPQILQFEDILLNNISSVFQCSLIANKLNKQIQIQKIDPDFVRNFRLMNFEKFKPNYEG EYENSLLLLFQGLKSYCNLANQILKELRNNKLVQSQIYVLIWEHYQNHLIKFSKDPDYDL SPINKSFDEKFYKLSPELKIEIIGAKLWGSMVVQLDVDFILDEFKLARRSNNQSQIPFNQ LFNAMIDINIDVANLQWIGRSDFQFSEQLKDIIQFIQLDSKEFMNNLYFESKGDLLVFFE KWEKDNIFLKSALPLWICENYLDGLFFEYSEEKIQENFKLLSQVEQKKLIEQYSNSEIIP QPIRFEDSFQLQLNSKYFQFDDFLQKNSEQILIKMIKWLKSEKQFSNIMLISESTQQGGS AAINYQDISNCVFEENHQVEQGNQEVKVQSLIQSQIPESNILNEQEDEIVRICKKYDSIK NVIQSSIEQRDENIIFRNRGLNPIPIELIDFFSFIKPINEIQAIEIIKYLGKQKIKEKAL ISSALSNNIEFAKGSMAAELFSFIK >CAK77910 pep:novel supercontig:GCA_000165425.1:CT868285:404484:405577:-1 gene:GSPATT00013376001 transcript:CAK77910 MRETTYRSSPFEKQNKLTNGLFKDCQRFNPRSKFTEENYENATVFAKLRRAGLDKKKALK YCQTQHCKLDTTQNDFGPPGYKIFKQHYDPIKQYQGWQLDGKIKLKDQGEYERISKDINL GLRQQPNEDLYQLYNSLAETHRKTVERCNFTELSRQELIMKLRSLTRDDNRKYNTPIYQL RRHNEIYYELADYFEKYQPPSIKSLVTELEAFDDIVPRKYIKQFYLEQKSTGNKKKKLKQ EATEKIQRRSRILMELNALFSPVRSDNSNKRSVFFKQDQIWQVQNDSAITSLLDMDESSI CKSAERNSISFFRSAKIRLTEEQERINQLQDSLKKVIKTNIENINYSKKQNNILT >CAK77911 pep:novel supercontig:GCA_000165425.1:CT868285:405610:406645:-1 gene:GSPATT00013377001 transcript:CAK77911 MKQVRFNNQSNSPREIGLFRQCIRFQPKERSPQPNEEDAMMYSKLRRFGLVRDKALKYCL ADHCKFDASQCKHGPPNYKIFSTSLDKQKIIRGWQMVGKLKESSSEKFQKAKGDDGLMQY RNQEEQKKFERLKNYFLGLSNSSKQVKLPQFQMGNGKDHYFELADYYEQIKPLSRTLITD TESFDDIIPKQIREQFRIEPRVQRPALKRTDEEKGLKSRLKALHELIETYREAGSPNDPS IEIMLEQFNPKVDDERQRQEFPNIGLSKEQIFTDEGSATAKKRCSVLSHRFSKFQPFEEQ RKNQQFQQKLDKLVTKVIGRINCKFDGTLFGKDFLNS >CAK77912 pep:novel supercontig:GCA_000165425.1:CT868285:408104:409609:1 gene:GSPATT00013378001 transcript:CAK77912 MDSCFEDEMFQIKQLISQLRQSDVNFYDQRLQYDTQILQEWEIFKESQIKKIIHETMNSS SPDFKICLCEFAQNRRLSIEIWIKVAYDVFYDELDGNELRMKFWTYFKKKYFTLRDELMT KEWPDQWKYVEDEPCLCLDIIKVYEQYLPIRMLIAQNLNELAPNYLYVDVRRMPNLIEDN GLYLECTRKTWFPNLQMLSCDQLEKVYYSNTVFSHQILRFVVVIKKIVMPILAYFQERAE AGNVINIYGEQHEFMFEILQSLKALSGLAIRTADATLRVQKSFKQGSVDSRTKEDVCKVI ISTLSQHDVNQEILQDIYDNLCQGYTTFYSDVYKHIKPYPKLIIMVSERKLSFKMSRADD IQYHQIPDQMISDLLKTIDYQFLVYYVNLCFNKVMTLQQRSGQRKPFFQIMMLMWSYAVP LFFKNVKPDLSDAKIFEQMEAYEKLIEQENITIDLSDNELKQQFNEDEEDSKGSESQESE IQGDNMEITNLGKVVKKIRFY >CAK77913 pep:novel supercontig:GCA_000165425.1:CT868285:409657:411069:1 gene:GSPATT00013379001 transcript:CAK77913 MKSISQQTRSLIQKQQEFGLIDSRISQLKQQLQLQESNNHIIEFKVNKILETKQNTSKDQ SDYSRRLENLNYEQQNRNSKIKLLKHLNEEDSFRRKRDLDEEKRLEQEVMKERSMLYQLQ YKHSQNEELQLKQQQFQKIQEQKFRMKQKLDLKRIEKIENVRNCQIKKKAELSVKFDLQQ QEYQLKIQEEQQLLKKVSFSQLKFQALKDDLDLAQKISIRDYANSATNQGFIKQPFDQTK IKKAILTSPYAQIPSILNQKDLDKLNLLSKLLKPSFKDQQQTTERLNQKTNQTHFHTQIS DRTHTKGNSSKFDTETSQTLKNKFQSVQDQNAKLDTQGKGSLNCNDNLDSQKLLKSESKL PLQENHNEEEENKENQQKDNYEQEASYEDNYEDDNQPSQQDQDEQHDQDQEQQNQEQQNE EEFNDEEQYPADNDEEQ >CAK77914 pep:novel supercontig:GCA_000165425.1:CT868285:411195:411432:1 gene:GSPATT00013380001 transcript:CAK77914 MGCVFQKQKKFETRSKRYSTNANTCITPDTKQSLEVYNPTLRKNVQVPILVPASKSNIMK RRSTQITTGM >CAK77915 pep:novel supercontig:GCA_000165425.1:CT868285:412166:414655:-1 gene:GSPATT00013381001 transcript:CAK77915 MDNQYQIAKNLLQSIITIKQQDSNLDSAYSSILLALQSQLPLKQEHYTSILIEITKKLTK QIELYDEYYTSQMLKILQNIPKQQYIDQLQCTISILSTLINLLAKVNQTFIPDILQLISL LIENQKALQIAYPQLFIKILACLLKYSRGVIQENGLLLFERILSFIVSNTQSQYQQLNFN VASLKYEENQNYLVQQKVNEELQNFCLFVTHLPTPKLNKCYSQLISKIFQYPNGGQYFHI PTQIQLINLYFRFNEGQNIQDFSKLIIQMNESQQLRIYNELEEDLEFELKRFHSLQNEVD TSQTIAIIRKIKWLIYIIVEFQKKHQNQLQYVQISEKIIKYLLQGLQYKWNQIVVSVDQQ ITTLSSNLNLSIKNTINKLNLELMPILNNEQSILNEIISLLNNLNENEIINAYLENKLEK QLNKLIQTIIVDDSQIIKIQITQILYTSSILFILNNISSTCKHDSHYFKNMIKQFSMLIF NQNAPYNTIFQSQLIIYSNLIYQGIEEKDDQFLQVLYLDYLMCQNSQSTVLITEISQNYI KQFNIYDHIASILYRNIQKIQESNLQVDQGNSLQTILHIYSKFDGYNQGLDIIYQVLKTI YQIYDKYYLKSDILFTQKYLQFLILFFKQWINPSLNNNIVTILRQIALSLTQFFHHSQVH FQAFQCFYYSIPILAKQELQECNPKSVFGMNDDPNVKVVDTLGTIFFEQRKSFEFILTQD NQISKLYIWMIIKELFIYDSCSGFFQLKSIQQFLKQYLVFEQEENSNSLKIYEQILQFLI FYCKKHEQNPNLTDLLKQVEFFIKKCARFEANQLKEYCSFLNQKLSLIK >CAK77916 pep:novel supercontig:GCA_000165425.1:CT868285:414691:415160:1 gene:GSPATT00013382001 transcript:CAK77916 MGKIILEYLDGNRIYVCTKCHIHLSNYESRISKNFRGGTGQAFLFDYGINYHVGVPEDKD LMTGKHTVQDLICNGCNQVIGWKYIKAHRESEKYKEGKIIIERHYIKRIKWN >CAK77917 pep:novel supercontig:GCA_000165425.1:CT868285:415263:416767:1 gene:GSPATT00013383001 transcript:CAK77917 MFQKMLNQQMKNQVGSLNQQKQEDMNRRVVTEIEDVDQEMKTMQDITNLKGHLKMNQIVK SSFRKNKDETTPSIYIGKFENQLKQIQQSTIKKQGRKPLSIEKNSTKSVLMPASTERYDY SNFTYINLKVVGSGSFGVVHKAKVNETGEIVAIKKVLQDRRYKNRELQILQELDHVNVLK MKHAFYTPADNKDENYLNVVMEYFSDTLYSFNKSYIKDFKRMPDILVKLFSYQLLRSIAY ISLLGICHRDIKPHNVLVNPETNKLQLCDFGSAKKLVKGEPNIAYICSRCYRAPELIFGA TDYDTQIDVWSVGCVIAELINGEPLFLGESAVDQMVEIVKVLGTPTSEQILSMNKNYDIK SNQLAKIKQRDWKKVLKTKDTKAIDLVSKLLNYCPKTRLTPFKSLTHPYFDELRDIDQLK SLQSQTKIAIPELFNFSNGIYFHNPLLEEIYKMTQQERMQLIPDWYGISSKIVKTEY >CAK77918 pep:novel supercontig:GCA_000165425.1:CT868285:416793:418591:1 gene:GSPATT00013384001 transcript:CAK77918 MNEPIFHFYGNKAGVEGADQIKQTIDDATRGSEYYKRQQEKRQQVLSKVSKMQVELENYH KNEQKKKETKEIIQNKVKEFQINTDRIWAHFDMDMFYVACELLDKPELKDKPVAVGQSII STANYVARKYGVRSAMPGFVAKKLCPDIIFVPCHFEKYKSVSNIFMTILKKYDDNLESMG LDEANLDLTEYINNSQVDPATLCENIRKDIFIATQLTASCGVGPNKMIAKLASEINKPNG LHVVEQSPTAVLGFLEKLPVRKIPGIGNITEQILSGLNFNTCKDVRDRADELYIIFTPKT FEYIFYSCWGVARNCHVEFEDQLSISCQRTFSSISTQKEFEDKVDYIAEKLAEDMQQEEK VGNHLTLIIKTCKFEIRNKSLQLNQYTNQAKQIAIYGKQLLKIMQLDEPIRLLGLKMGSL ANEKQMQKQSISTYFKKQFDKSNSLENQIASNLGSKSHQISEDGDSINKVQLQQPEQSKP EIISEDEASNISNQLLSGVNSKGHQYQAQQQSFQQKQQAQPKSFLCPICNNEIDCKGNNT VLNKHIDRCITTQNVIVEQSDESNKNEIVQKKKISSKIESKAQKSFNFFKK >CAK77919 pep:novel supercontig:GCA_000165425.1:CT868285:419037:421480:-1 gene:GSPATT00013385001 transcript:CAK77919 MMNNNNKKPQSQKDVRAKVDSGLNRKNDIQQKRVQSAIQYADKQVDLNGTLSEQLNNIVQ DYLVRSNCFKTLEQFKVESQFATEQSNETEYIILSHFDRGERDKFLESWSRYIPNQQRQY QDFWKLEFYIQIYFLIYPIHPVFMKKGVIDKNSINQFKQYLDSKGGDLSKTNEVLPFYAL PYVKNPELHQTFQHLFTHEWIADLRQRLKEFIQSIFGYEQTGSLLKRLFLSKEGSSNVQQ QELQKKMTDSIRQLQQENNELKKKNNQQAQALQDINQTAQQNLFEAQRKWFQFTGDMLKM EKEMIKYIETNKKNPDQLQQFKKKIGQCDQYLSQNLDELMNKSQDISLFDKVTQPDHDLS DLTNQQQMMPIQQSIEEYIPLNYEKVIQLFTRNSNPVLVATVLQALRWRITRARSALERR SVVVAYQTHDLIGAHQRNIALAQYLIVKSGQIIQCQALKLMNALASDYDGRTYLTSNPQL IKLLIESIKKDQTDSIKRKNAIGTLQKLSLRKQSQIWMLDNDIIQVALNILKREKFNLSE YTYEYITALIMNLSLSSRGRDSLSINKELAFEVLFELIEYPNDQIRTFTNGTFYSMFSRR DLRDYAYQLNIPQELPKLLSISDDRFKKQIQYMIEQLESNDDDYDQSQLEEDNDVDDLED DEECPVDDDDEDDLQNNDMIVGEELLRNEFALDNEQAEQQRQLMESIMQKELQQRSRVLI GQNACWMQIHIISIEGPFMADSLLPNVNIKNRVTNGNPKNPQQSAQAFAPRPKLPRTPPI QQNY >CAK77920 pep:novel supercontig:GCA_000165425.1:CT868285:421503:422637:1 gene:GSPATT00013386001 transcript:CAK77920 MYIFIFFALAYAQIECNKDDLVTTCSLNSFSAYMVTYEKKTSDTMFLFIGAKPGQSALFF DQLGVGPNSTYEKWTDLGHLMFLDIQGSGYTIITKTPKSIAEYTQQYVNAFNTFKEELDY KFTRLYIVTSGIGSKVALELALQLQAYGTILFSPLLNLLENMRQLPTTAYHMGLIDFQER QSLELQIISLSQPKYSDYQILVNKVSKMSGDMQVSDFRKYEMDNSTILLTDIMNTQEVRD KYHAKQQFFKTFNIDVFNNMTDSLSQNIDLDKYLKLTKIICLIPQFSLSTNIQGTLSYLL QFKQFNELPFVQYVNEHKYAIYVNIGTIYIIYNSGDKIYQDQQEIVKNIIKKL >CAK77921 pep:novel supercontig:GCA_000165425.1:CT868285:422931:423428:-1 gene:GSPATT00013387001 transcript:CAK77921 MIQVIEERSEGQQQQFGIDGVSLRMWEEGNQVFQVFSSQLIQIGDIVYVTLVVVKQCGQI VLKVQWSSQEISREIEGDDDGQVKQAMQYMLQLILKRYRHFIQIDELITQLPCKHIYHKS CVDSWLQSSTKCPNCRSDVLEALKNMEK >CAK77922 pep:novel supercontig:GCA_000165425.1:CT868285:424015:425013:1 gene:GSPATT00013388001 transcript:CAK77922 MQGPQSNDNYISLDTAASQSVGTEQAQISESRTNQGNTNNRKHPLRNANFDNTQTRADFI LKVYIFLTMELAFTFLLVILGLYTNMQQWLVTTGQEESCYCAFGSFSQCGCTYISHYDST WLFWVSIVFSLILHLILFCGNQRVRQKPWNFIILGLYILFFGFLVTNLCIIIAYEFGVGI VWQAIGITFGFVLALTAYSFKTKTSFTFGIGSIFLLTPTLVLMLILMGVYSQFALSIFLC TLLVVGQGFFLIWETKAIIGDGKLKLSIDDYVIGSLLLYGSIIQLLWRIMMLIIAIKERK >CAK77923 pep:novel supercontig:GCA_000165425.1:CT868285:425256:426264:1 gene:GSPATT00013389001 transcript:CAK77923 MDQTTQDLQENWNEQVETFEDLTLSKDLLRGIFSYGFERPSAIQQKAIKPIIIGKDVLAQ AQSGTGKTGTFTIGALQRIDPNQRKTQVIILAPVRELAKQIYDVVKGIGQYLNIEAFCCI GGTSTQETREKCKQGVHIIIATPGRLIDMMKNKYLDATFMRLLVVDEADQMLDQGFSDNF RRNPKNGFQEIFKLLYLVLHSPKKLLN >CAK77924 pep:novel supercontig:GCA_000165425.1:CT868285:426267:426689:1 gene:GSPATT00013390001 transcript:CAK77924 MEQKEREQVMQEFKKGAARILVSTDLMGRGIDVQQLSLVINYEFPRLKEQYIHRVGRAGR YGRKGVAINMVAQQEANLLLEVEKYYNTKIDEMPKDLAEVEKELS >CAK77925 pep:novel supercontig:GCA_000165425.1:CT868285:426804:427586:1 gene:GSPATT00013391001 transcript:CAK77925 MDYAYSKKNTNCYNIKILIYKMLLQLAQLIDDNRKANDNLGSKHARFLQEKDVDQNSENT QTITSEQNQDDNDSLPVIILIVCLFVLICVCGTIVEVSRRKKVKKEIEQKLLQEKRLSLQ ANIGMLNSIQDQTQFTLWKLTSFNYKNFSTQKLLRFQSFFTSHNKLELQIRMEQDHDPWS AEGHISTNLEQEITIHLRTSLDCELLEKTEKGDHLYLLQQFKGVYNKEENKFIGSWSVYG SQELNNLNFFGEFELTRVLI >CAK77926 pep:novel supercontig:GCA_000165425.1:CT868285:428440:428649:-1 gene:GSPATT00013392001 transcript:CAK77926 MYQSLKIVSGKNELGLSGVGILQSKRAGCLIQNVKFDQVYTSDYKMYNYQVTKDALNLIL TLRISSKKN >CAK77927 pep:novel supercontig:GCA_000165425.1:CT868285:428776:429147:-1 gene:GSPATT00013393001 transcript:CAK77927 MDNQLENNIQIKNNPYNECDNEKLSNQYADAYNSNKDIPNNNKSNPASSQTYRTTKTIRI RQYQKDKSFSMSQQNSQYGPSSPKRDFSEKNEKQKTQTKFCIKTSDNFYLHIRRPKQFSL YQN >CAK77928 pep:novel supercontig:GCA_000165425.1:CT868285:429257:430165:-1 gene:GSPATT00013394001 transcript:CAK77928 MNYQAVLDQIKCYEPHFDDYNFLDLQKLHAKLIITNNYVYYGQFENNLRHGKGQFCISMR QSGRKYEGQWQDDQKQGYGWELLANGSQYEGNYAMGKPHGQGKFIWPNGEVYEGEWNLGV KEGQGTWYGLKGEQYQGEWINNVAYGYGEHIHSNGDRYVGNFKDWLKNGEGQEYFSNGDR YQGNYQNGKPHGYGEYYWSNGAVFQGYFKDGLRCGKGIWKRREDPPSDQYQGEYEEDKKN GYGVYKWSNGNEYRGIFLNDYKHGYGEMHYVDGKVLKGNWEIRKISQ >CAK77929 pep:novel supercontig:GCA_000165425.1:CT868285:430572:431402:1 gene:GSPATT00013395001 transcript:CAK77929 MYTLCTEGRSLPSESVEQGDKLIMLKQKLLKKENLANAIALEDGLVYIGEMEKGERHGFG ILIYPNGSILEGNWINGRAHGICRLQYSQDEYYQGSIVNNRFQGYGEYINHTTSIYKGQW ENNEKHGYGEEYFNNGSIYCGNFIRGMREGEGVYLSSNGSKYQGQFKCNHMDGDGMMLWR DGSFYQGEFQNNEINGYGVYTYPDGSSYQGQFLNGKRNGHGCLIRYDGYEVSGEWKGDKF YKN >CAK77930 pep:novel supercontig:GCA_000165425.1:CT868285:431428:432855:1 gene:GSPATT00013396001 transcript:CAK77930 MGQIFQKHATQFDPSLIVKLKSITMRELFDCVDTIDKYYPQSCYLNLEQFDDIFATLTDN CIDLFRRLADQTGEDGKLSVHTFEALAAFAIFSGESFETKCMFVFRLFDFDLSNTLEEQE MVSTLQCAVRAMCKIAGLVIPSVSMLEKLGYVCFQMMDEDKNKHVDFDEFYEWTVQYDEL QEFMLQYSGTQTYQYALKRMVNAMDESEQQFNKWLRECKAPQVPASKLQQNLYHIYKGID ELSIDTLFYMIIEYTLRSKSIGQEESSQQQKDLWAWKADHKKNQHLLSNISVKKSDYLDI IRAWNVYSITDVNQLSIINIKDLKLLIWLYEDEEPDYFRINQEMSIIDTNHNNIIERCEW MQYLCSEESMQRRQTPQVLMKKVFDNYDEEQCGLIPIIQIDKLLRDTFKQQMKKMKDFKS IQSVNSLIQQLKVEFMKQVKKNNQSHFDWQTYYQFLVEATKTHSSLNKLLNLNRD >CAK77931 pep:novel supercontig:GCA_000165425.1:CT868285:432898:435312:1 gene:GSPATT00013397001 transcript:CAK77931 MQFDQQKTDEIKLNPRYQNLLKWGLDNGVIIKDVDMPAAFGELTGVVATKDIPANTAIIC VPQPLIISQEKCKLSSLSIVYDKHPELFDENETSDAEFNILIFYLFNEKKKGEKSFYHPY VQAIQSNNTLIDWSKEELNYIEDPIILDEFAIVREDLKDLWNQAKEIFNEFVQVFGETRP TDKEDFYWAAQSVMSRCFGWSLKSTSMIPIADFLNHSNKACTHYLVHSKIEKLESDKLQA KKDGKNEQKQDSDEDSFMQIVQEQYKIKGNKINLSVLNVKQDESQFKQFVDPKQTYILQH QQYLTENQLKDIDNLDNISNSDKRAMINWINYEQLIQNSEVQLWDLGFVTSSDSEDNDSD EDVEIARNKQFETLKIRELSDWKLKFEEKKQQRNQQKEKNQQETQMEQQTAELTQDPDKE QSDGDLKGQTDSESILTICLNNEKKNLVTIKGLPPQQIQALKQRQQQMLEVQQQKEQIKQ TIQEQRQQQDKDNQSDSSEESKWDWLEENDKDVYFCITTTEPIRKHEQVTVSYGRRTNRF LLSWYGFTLPENKYSSYNFRLWLNTDICKEKILSQKQIFDTITINKLINPEEWDTGKINF NGNDVPISSITKEFRIKKNKLNMDLLMYLRLYLMLYQVQVKDVLITIPVSVDYEVFVMQF CIQLLQHYLNSYSQELAQDIKELESKISFSRRFALHINKERKEILINQIMILLDAIIILK KFKESNDLKQAYISEIHNNVYYKMENLRGLKVYLKSIHEFL >CAK77932 pep:novel supercontig:GCA_000165425.1:CT868285:435402:436897:1 gene:GSPATT00013398001 transcript:CAK77932 MVSFRLKAIALPIKFNLQIGANMIGNTQEHEICINNKQIVNNHCCVVLNEEEIELIVLDG PVFYKNEEGHFDQLAKESVLSLNIESADFSIRLGQSIEAKVQVVNGTQHQYLPRKLPQKE TKQFNLQERLSQIEVKVVESLYTSPQSDLDQFQQQQEQEPNDVDLQQNEEINSISFNLKQ QNNQEQKQQKQNCEQANQNETNQRTDVLQLNDYEQQTEMLSNGTPDFNNNSKDKKGIQNQ TKNKKKSNYIIIPQRKDRESSPKKKSNHKQMKEIKKESQRMSQRKCSRWKFCIAFSGFHP SRDEQQFLLLQNVQVCLEDYTFNMLIMEDNVELRSIKLLIALAKGIPIISRNWLTRSINQ YEILDHNQYQVQFSSEFCKEYNFDFKQYQKRLQKCKDAKIMPLKGVTIFVPKRMNYHIEH FELEYLVESLGGKFVHQIHDEGEAQIYMLIPKDQTKIVGYDQHQQSPIECLFKSALKYKN LL >CAK77933 pep:novel supercontig:GCA_000165425.1:CT868285:437278:438250:1 gene:GSPATT00013399001 transcript:CAK77933 MIILFTFITYAYSRCAFSVECQGNNCDPLEVDTEPFIARVSQCPHMDGKMVCCNKNQDDQ MQRNFQAIDASFGNAGGGCDICAYNLKKFWCEYTCSPNQSDFLSTNGYENMKDPLNPKNI LTVQKVEIKVKPQVACEIWSSCKRTQFASQVTAMKTPGGFFNFQGEQAVGQAKQFISVKF IDNDEETINFDFVPDCKYDYPVGPDGKMVTPDGFVISEKCSCNNCDLMCHDEEILYQATG VFEGFNGYLVLWVWAGALLIAALITGFRKYKQQENHEILIDPI >CAK77934 pep:novel supercontig:GCA_000165425.1:CT868285:438520:439741:-1 gene:GSPATT00013400001 transcript:CAK77934 MGSNCSHCGQCQKDNKDLLYEVNITKKTESNKNGMSILSQPSQASNHTVKTQSKMNQVKD NKEQMKQKQLEVLRSQKAIIIQKQWRGHKARLTYKNLNQGRKEVAKSDDQATSQSKLKRN TFKYFNSEEYADVNPNNTFREKRPKYQFKSGTSYEGEWIGDKRDGQGTQIWPDGARYEGQ WSNNKACGKGTFYHIDGDSYSGQWENDKANGYGVYRQANGAVYEGYWQNDFQHGKGHEEW IDNSSYKGEYFQGKKQGQGLYIWPDGSLFEGTWFDNKINGYGEYSWADGRKYQGNWRYNK MHGYGVYQWADGRAYHGEYQDDKKHGKGKYFWPDGRVFEGEWNQGKQHGRGKYVLADGQI RFGLWEDGKRIRWEQ >CAK77935 pep:novel supercontig:GCA_000165425.1:CT868285:439795:441839:-1 gene:GSPATT00013401001 transcript:CAK77935 MLKFQKRSRNEIKGCFLNLVNSLDFFGQPPFFRILKRQKFNTVLGHLLTIILMAVCALYM YYQIQDLLNQGTPNIIISETQPLSMPAFTLDPKNFTFVISITDTSLLSLSTFKKHFDVVL TACNRTRFFNETKQAQDVSLQCRNVPLESCNLDKHFPQDYQKQFFSKFLLKNLFCINSTV SEENPPQLQGYSNADTFQFLQVLMMPCRNSSTYNGCSPPEEIQTALKAGYYAIYLGDTLL KFDNPGRPYEEIITVQFSTFSSSQSKQIQSNFKMIETKTDVGLIRQDLRTELALLQSTQK DFQSSYNQISYIENLIFMEQRVGNYRRSYIKLQNILGNVGGLWQLVALTISTLVSPVVAT LMNLQMANRIFNFENNVSNNESPSLSHSINNINGDQNQNDFIKEDKNKLGNPQRKSKRNL NQVENRTELKKFLKHKKKQLSIGMCDLFCMNLGIKKSYQQQIKYSMEKIFNKLDVVNILN KMQEIDKLKYVLLNKEQLELFNYIPKPLIPSDMFSKDFEQKLNVLEEKIEFQFILQEEKS DLFKIESAFYAYMKLHQKKKLGDIDKNILELVGEDMISIFEKINKNQDDFLIQSNRNIHS NLNLFDQHQIEILSPVSSKGDNVQFNRNDHDFDCNSDQNDDHDEQAAQIPPKIPYKN >CAK77936 pep:novel supercontig:GCA_000165425.1:CT868285:442580:448101:-1 gene:GSPATT00013402001 transcript:CAK77936 MLYIFLIWSKLLEVGTCATIVSTTFFKATLSTNPDVTTLKLNTEYLIKFEFTLPTSPNSS TDYFKIIVAGFAALRSPDYSKCFKDSTAVGVGSKDIQFSFDKTEHPPFIMFYATTGASLV EHTCQGFSLVKSTDIIIDQSDKKTFTLTGGTITIDSISPSNRIFNLKSTYTIRLTFENPL SSTNQLTFTKPSALQSGTPIICSGNPSSGIQCSYDSLNELIIITTLDSTKTQVISLDFTN PQAPTTFLTFNNFITKIDNVVDTSSTSLVVNGYNDGILTDIQIDSGSYKVGSSAFIKYTF KNINDIELNSEIELTLPSDIKWDLSSKSCSLSVAGVLKVCDYVQSSTDAQVITVTITISN SIPGGTSIILTINSIITPNTFKSATGFSFKIIKNTVEYDKKIAFGSLTNTQQSGISLTNL ARSETKNGAQSTYTFDTTFETEQPVGTKITFTLPGFLLGNVNKVEFSDFSPYTGSNLIVI SSAATITIQLNGVVNKNQICVTKIYNVVNKDSIGTITAETVLGTYKISSTTQGTALIVPS GDPNTITIDFMNIEKKLLGVLDTYYFKATLFNIPSAGSTIIVTMPENFSVDVGNKECKAA MDRLAGLTVDTCQVNNQEISIKTSAISSKNIEFRLNNLIRNPKVSQSTYFFQLRTQGDTT IIDKSSSSDSLLQFKLNCPATPSVFCKECDNSDNCLSCYTDVSITTNIYLLDQKCISTCG QKYYSDSSNACKTCPDNCLECTSSTVCQSCTDDTNYELKNSKCVKKCTEKQFDFNGICTP CNENCNTCVDQSTKCTSCGTTNNLLYQNKCVSQCPTGIFQVGFSCIACTSPCKTCSTGPT ACLTCVNNYYYKKTSLSCVTDCGNRYFQDGSDCTQCTEPCNNCSSSTICIDCITGYYLFN NSCISTVPNGYYKSGTSLIKCPNICGNCISETECTSCPTNQYLLSKQCIDKCPDKYYSLN FVCYSCDASCLLCSSSTVCTLCPDNYLHLSGKCYQNCPTKYYSLEQQCLSCQSSCATCAN GLECKTCPTSSPYMSSNLCVSTCLANYYIKEFACVKCSNECATCDEKGCLTCIENYKYLN QTCVTSCPSGYQDLNNVGICDKLTSSEEQLISNLQENKYIPIPFTIVSIIMILSVAVAKI SKSETFIPGSAVGLLGLIIVGSWAVLLILQLDYILEKLEMYLLMSSIGVHIILNLINLCV IKHSTKGDIAFNQWYAARKSNSCAYIFLNVLSLLSFTMTRFYFSRYFGFRFLKCRLSDVE NMVGMNIINGLCAFFCCIPALIASVLLAYRDQQREQLFISSIDSFIVTIVFAICLICETQ KEENFFEENNNVTAPHSLQVVPDYFQTKRSKRFSFDESINGQQFNKNEQDHEPSFPKIDE SIEYKDNQSFQNMMHSQMIYKQEIPDPKKDNFNLSQEDMIIKIQSNDVSEPISVIESSRE YQNKIQEQKKLEELQRQEELKRIESERLAELKRIEDEIKQQELEKARREKELLQLEEQKK QQELLIQQQQEAMRLERTKEEQRQAELAEQEERRIAELKRIEEQLQAEKLRQEELKLKQQ EDDQRRLEEEQQKKREDEIKQLLEEQQLQAQKEQELLQSKLNKIILVDQDDDDVGWNMED EENQEQSIDQGYQQQIQKEIILDENNDAEDNWDVPTSRFVSIQPKSHLKKDKQIEEPQNP FRGENQRYAAQSDLLKFSSDDEEDWAKNQISPSEFNVLNQRFNQNDHSATQVDRSNMFSS TGQNFTSLTKRPTQPDPQIISDDDSINQSEQFPKIKQTKGSKKQNKGQHKKMQSQRQKIQ QKGKQNITTNDIQF >CAK77937 pep:novel supercontig:GCA_000165425.1:CT868285:449335:451442:1 gene:GSPATT00013403001 transcript:CAK77937 MPAKDMINVILSKTQRKTPTVVHPGYDISRIRGFYMRKVKFTQETIHEKIDAILQDFPKL DDIHPFYADLINVLYDKDHYKLALGHVHACRNVIDNIAKDYCRLLKYGDSLYRCKMLKRA ALGRMCTTLKKLTSSLNYLDEVRKHLSRMPAINPFERTLLVTSFVNNITNANLDVQPYPF TTQNLYVGHSDYNFVRWQVIDTPGVLDHSLSERNPIEMQAITALAHLKACIMFFLDISET CSYSIDQQIALFKDLKPLFKNKPLLLVMTKIDIKKFEQLDPIDQQKLQDVIQSENVFYSQ LSNKSGEGIALVKEKACNLLNEWRQNLKPEQLTGGNPNLLREESILQGVYIAQPKRVNQN RMPVIPQVVGKLNRPTLKDVQEQNGGAGVFSFPLQEHFLLDNPDWKYDAVPEIMDGKNIG DFVDADILQRLEELEKEEEMMDQAEVEDPDEDAREDMLLSTRDQVNKKREMIKEEHHMKM KQQVKLHRPNLEDAKEDFAKKGIDTTFLEQRAQKFAAKKIVQKQKRQQQSSDDSDDNDMD IEGNERNQRKGRDALSRSRSRLREISRSRSRGNKKVLTAQEQAMERMSKKIQRRRRNEAK AGDGDTKIDCKMPKHLFTGKSGIGKQSRR >CAK77938 pep:novel supercontig:GCA_000165425.1:CT868285:451442:452717:-1 gene:GSPATT00013404001 transcript:CAK77938 MNYQKSRILSDNEIQSIYEQLQTNLKLMTECLKHNKTLMKDFGKATCDYGDKIEDLSKRT IKDMMKINTNYILKEMSGQIKIIGSQIMGTSQAIKELMNPIVEEGKVLKQSYNDYVKQIN QRKKDQEEQFKKLDELKTKIGVYQTSIYPIEKQMTSQFRQLKQQEQMMIEEQKLKHMYVG QCKTDLNNFIIEENQIVDQKINQITLKCYELVSLCLDEYNKKFKDIAQVKHLMDYSMKSC DRSSKRSITPSKFSALSHCSSQNGHSQRSRSRSPSTQIQGMERFRDNNNSKQDISIAKNN QCQKENLHTKEPSRDALVSTKNQSKNLVKKNEDFNVVESELFEDFLNKNYNNNNNKSIGH SKSRSILKENFNETTGYMIKPQSKINTKQRSDSRRQISNRVTSDDDYI >CAK77939 pep:novel supercontig:GCA_000165425.1:CT868285:452890:453767:1 gene:GSPATT00013405001 transcript:CAK77939 MDDEKKKKKGNKIDLNLLPSSMKERYAAMGILPKAQIPKSNLQVDVQRMKQKKVEKEQPI EQKLEGIEIKASEKKQLEKVFQMLKKKDVDYFDAKDVDKMLRFLGVSLTKSEIDLMLWEV DENLDGRVSWTEFLNMYKKCTIDKTGLEPKSLFHMIQFLMYLPPDRKDPKVTVEDTLELL YVRFGRQCLDSEIHAIFGDEEKNKDGEEKAISFSEYMEKINERAIQQRKLRKEEQKQQFQ YFKKEKQME >CAK77940 pep:novel supercontig:GCA_000165425.1:CT868285:453974:455618:-1 gene:GSPATT00013406001 transcript:CAK77940 MEQGGQYYNKLLEDNDQYKTNLQYKGQQMEYLIQENNMYKDQMLQLQEENDQITQEITNL QEMLNQNELYHQDPINIQNSDQKKDKKHSRRQIKELEKLVIKREQELSHLYGKMEDMKNY ITQIKHQSDKYEQNLQQLYKDYQQLEAERNKYVHKIDQMQNYNERYSTLQQQLQNQEKHK NKEYHSLKNQCDQLQMHNLQYQQQIQTINDEYGKLSQQCIDLQELNEQYMQESQILKDSL IEKDQKLERMQLQLQQLQQKDLQIQQQVRDHNQQLKEFQNNKQEFQSQIIQLQRDNQQFC NQINTLKEQQTKELKDHLNIQEQYKKEKQTLVDRIKMQDERFSEMEIKYKNIEIYLKQVE EERLYRAQAEQYYLIYILDLSKKSLNNVYLLRQVANLENELQRNEEELKQLKKNNKNSQN FDKTTQQLILKDREILHLQSVVERLEGMNRQLQQECDRLAAKIQLTSHENSLLSKTNREI NQMGRLILEGQQIKEMLEKKKYEKPLTITNNKAPQVIQQLQQHSRRPSVKKP >CAK77941 pep:novel supercontig:GCA_000165425.1:CT868285:455704:455918:-1 gene:GSPATT00013407001 transcript:CAK77941 MSSKDEELQEMLMRYENLEKHNQQLQTENSNLMSQLQSLQQNIKQLETENNELIDQIENL KE >CAK77942 pep:novel supercontig:GCA_000165425.1:CT868285:455966:457657:-1 gene:GSPATT00013408001 transcript:CAK77942 MHSERKEVKLAGSCEMEDLISNAQYVIVQVYPYEVVNQHFSSFVENSKEFQETREQLTRI GSNLRLKVDDWPTIDEAKMLFQINMYRIQSSQKSQNARRRSVKWNDQDKRLFYWVVIRYC LLKEINQLVIKIILKTKTPIEWRDIAKMIIGRNAHQCRLKWEQKYKISLSEAPWVEEEDQ LLQQVHDRLGKENKWSQIAREMYKRSPNKIFRQPKQCRERWINRLDPNICNEPWSKQQEI DLLKTILIRGKKWSELSALYGRVRTENSLKNKYNSLLKKEKLKYEFETINPQLFSKVQKL RMDYANKYGKITPIEEIDNYEWQFIVLGIQSLYIDLCISEGKYEEAQKIKNDDFFDLFHY DLSSSNKSEILYKKLLNIKRVNSNQFSVENDRSGVVVFNKRTKKLFISPFNTLDYQGLIL KHIYKQIKTEENNNSKCSTLAQSDHNMFMMQKSIDDINRQSTMQPLLLLANQPSFMMPLG QSMINSYWLPPVLPCMSQSIGGYQHQQKNIQEQVAQTIKEINKPASFDDLFGNDIKVVDS DGEK >CAK77943 pep:novel supercontig:GCA_000165425.1:CT868285:458476:460836:-1 gene:GSPATT00013409001 transcript:CAK77943 MKVQLIGLLLFYLATCKNIPLQLEIGLIQFEDLQPGKLQYQEIQFDQPFIRVPQVALTIN SLMNHNSQVYFSKIYNVTADGFTVGVLSGTEQSLEYRYMAILDDRVQVNCLNFKVKEIVF IPYLKQFVKVPKSWVFLTGVRQQSNTFSFQQDIRNEGIMLKFQSIDTEILGVCVVAGAVD ILQSRIDDLPTFQGYNLMKQSTALSIIAQSTFICEDECLLYFSNNYYKLGNDQTKQLKIS TEFHNQQEKPTLSKFIPFKKENPTVIQDKVENLIEQTIKIDKIEQPHTSHEESLKKMINQ SILNLEEQINHPNGINSFDSNLNEIPQQEPIDSESESESEDEEIEMTPIKISKPINIEEE LQKLEKISEDRTKKINIIEFNPKESINVSFLSRNNIDMQEESKAEKLIELKYIDRAPKFS PKIQQMFEEAKKNIEVEQSTTDKFEPQINNKQSIKDQLKLKTESLLQQVENTLHTSKIDI DQKDIPQQLNINNKLEQQDIPNTLIEEVQKITPPPTEKKHSKQPILNEYDKIFAQFANNN NNLRSVKPQQRFSSPLFDTEITMELVQEEEEVEPKQELKILNPREERLQKYLQKADEVDL NFLENIKLVSEQNVQKENPIIEQEQIQEVFDQSNVSYTEQLNMRKNQILQELQQKYNIKA APIQSFEEFKLKVPQDQYPQILYEKYLDQLKKQQIGASFIETDHNVKSVENSYQLEAAYY EWHLQKNI >CAK77944 pep:novel supercontig:GCA_000165425.1:CT868285:461058:463661:1 gene:GSPATT00013410001 transcript:CAK77944 MLTKQQAFKRSESLSEVDYRIYVELLRGKVYRGVIFISVHIHKSDGLFFDWQGGKLDGVT VNGNAVEWKLEEGFITLVGQENLQGQTEIRINFENEYSTTGYGLHSFIDSDEQQYLYSQC EPHHFSKMFPCFDQPDLKGTLKLIAQAPKEWKIISNEKKVEGNLQNIELVLVQELGYIQH KDDYQIQEFVKTKKLSTYLYAVVAGPYEEIKCEELHNGIEMSLFCRVSLKQFLQGDSNDI FKLTKDGMKYYEQLFQFPYPFSKYDQIFCPEYATGAMENAGAVTINDNQIFKEEVPIDQI AQRGNVLLHELSHMWFGDLVTMKWWDDLWLNESFAEFISHLCQTKVHNIPIDHWVEFLKS KIWGYITDQRNTTHPIFCDVQNTDQADNIFDGITYAKGAALLKQLFTMMGEQKFSQAMGQ YFNKYQYSNTTLADFFGVLKQQFEGDYLLQWQQDWIMKAGLNLVELTSVNEKSYTFKQTA TLKDLHPTLRNQMFKVGLYDHDGKELEVKLIQLNPTEETVLEFEHQNAYVLLNFDDGSFV KTSYSQQQADFFLKNLSNLSTINRVLVLRSIWDQVRDGLTGFTTFINGVLKSLLNETDDA VLQMVLEWCTGGVSFYCPIKHRQTFENELAHVIIELLRKCPKENKNKRLILLDYLALWGT SQQNLEFLLQHINSDDAEFGFIGLSNGWRIIRNIFANLNIPKEQKWQLFEKWAQRDGTDE VRLQKRFLENLFNFDKLWDYFINYNKEESIPMVREAMAAFNHKIYLEQLQTYEQKYFEAL PTIFSTKSSEYAKEFYHSLYPINDNINSYIELCEKTLLKSQHDILTKFLKDSIDADQRRL KTYKFFLDPK >CAK77945 pep:novel supercontig:GCA_000165425.1:CT868285:463830:464882:-1 gene:GSPATT00013411001 transcript:CAK77945 MFLTTFIKSHFTKLSVPVFNSHSGTRIDKFLIADLNRSWNEVQKLIRNGEINVNNKKIKD HQFLLKEGDVVTYWDKLKKQADTQLRPVPNTQAYEELKKMILYENDQIIILNKKHGYASQ GGANPNYNIPEILNLYFKNFYIIHRLDQLTSGLLIIAKNKDIATEISTIIQEKAFITKKY IAVVQGQIKVQKGLIDRPLLFNTNNQKQTCYNEEQLLEGKYLPARTSYEVIEEYQYQDEM YSILDLQIFEGRKHQIRAHLSQVLNTPILFDQKYGFQFTKTNRDLQTSIFGEDQGIMLQA KRIVFQNFPVDINESFYQNSNGTIIQKNGKLEIEATFSTEFESLFQKISQ >CAK77946 pep:novel supercontig:GCA_000165425.1:CT868285:464897:467224:1 gene:GSPATT00013412001 transcript:CAK77946 MLLQILLLCLINCQYEPVLIARFGRSKNKLEKNPAPQFECVDEINEDEEKPKEYSIKVGT LYQLTDGTWYLKSTDPASGQTYLMNLNDITRVKYVPKEEEDDNKKKKTEDRPEQVLKEFE WTPLIAYESFPRNRGGHSMHAIGDIIVVFGGCFLDIKCFDDLFLFDARTRVWTSPRVFGI PPVGRTGFGALVNGAKLFIFGGSTLQGLTNDLFVFDLESKSWNQLFWPGIVPTPRAGHKM VLTAIGGIVFGGFMGERYSNDVYVLDILNEQWLKPVVSGDIPIGRESFSMVSHHGVVYVF GGYATGTVLDDVYTINEDLTWEKKEPAGKVPSPRQGAALAEYDHRIFVAGGCNPKTFECY NDLYAFDTTTNHFSTVNAFKKKNLKSVEFAGMAFAGQLLIHFGGCKLDKTCSDSLTAVLL NSNEYCPPCRNGGVCRVGHCSCQQGWQGIDCTMKVLCRQNCLGQGTCLSNGYCRCYPGFT GTVCQLQIPCPSNCTDAEHGECQLDGKCKCKEGFSGSTCGEDPKEEDKKEEEICKNKCNR HGKCNYYTGLCECEYGFSGPDCSVVSSSDDYKSIWQLLKDDDNEPETGTTNVNMQDFIAT GHKFKKQQTYQMSSEDEGEEDVYDENQDQENVFKRTRRSQTKSLQSNDQNMTNYQLTMVP PKAQKEEDPYQLMLLSECHKRCSEHGVCIEHVCYCWFGYSGDHCQASKIGDFYLGWRKKK VMIACLISLLVGMLMGYGVVMLMRKRGNDDEFRNMSEVPSQKIDDNEGEVDEIIV >CAK77947 pep:novel supercontig:GCA_000165425.1:CT868285:467251:470818:1 gene:GSPATT00013413001 transcript:CAK77947 MDDSFNQTQPKKKTFYIRRRADDSQKQSKLFPSVQGPISQYPSIPISKIMLKKPTSGVPS QISRGQDKQDPRVSTPKGPVSRISELDEEEKKNEVEGPQLKMKKEHISFLVKTENSRLQI MQLFQIMEVRLFIMSGSELRGGKEERFFCHHDQNVIKPGERIKFVFSFLSKQAGVFNEEW ELKCEPPCLTQLPNLKLTGIAFIDDELTNGRKEFQKQVKNKFALKIATEIVDDIFEEVKT PPPPKPDLSDPEQFKIEFEELNLGEQLWFTHEIMNFFNFSSDEICQVLKQDIPWNGDVKL LRQSCHTIDEEEVKDLVLRKLELWVKLAKKVPISRNPIYSVVKDLLGDVANQVPQLSEDM RKELQMWEYTFHEPEDLTPEKAKAKLDEFNKNESKLVEECPKKKKWNDDMEKELIEEYKT KLGAKVADALAQAAIKLENDGQLLQVGAMMKPELKWNVFRRRRYQSTYFYDLLRKKTIQD SDIEGKKIGLKIHLKLANRPDVYELKEKFEQTLLKEQEEENKRLEEERLEKERLAQEAAK KKGKGKPVKQKEVEVVQVQQKNYDLSHLDQATLQECVDTIKLLMERQAKIVYKFTSSTKF FYEWLKTHVECPVYFNDAIIENLDEQLETQTYQENSVLVLENLFFYPDEVGYPEEEPDIE SKTPYRTLLPYGNIYIIGDRVNFFSRFYPSIIHMNADQTILSSAIAKDIHILCHNLMGCQ DRNGTLILGGDLTGDKLLTIDQLSGHLSSIVLLGKLGLAFYLTMYEIPSDLVSEAVRDVI KNLLNVLRDESTEKPPQRLTRIQSSPRTTKTKGKIIQLQHFLKGMILPIKSMYRLLLQAL HLLFLKAIYNLKREKYFQIMDLRLLKLLNKKSKMPKDCFGQMMLTLDYTTSKTIALLKKL REEEAERERERLEKLKEKKGGKGNKKGLKEEPPKEPINIKTTKEINVPVFNLTNTDLGFF IYEMNQEKKDRHQHAQKQGHQVPYDFNQDCVVTIIGENLEKVLNQEDFQNIGNEEEIEQA PEPSQKGSQFPQSEAGEDQKEQEEEQIEDGKGQNKLTDFYIQDQDFTLSFLAGNQIHIQT LDEYPPKPESEINEEQYFEEDSTP >CAK77948 pep:novel supercontig:GCA_000165425.1:CT868285:471365:472270:1 gene:GSPATT00013414001 transcript:CAK77948 MKRERYRKNVTIKREILEKKNKISQSFVNLESRNFSDCFQEQTRSFSCYGTEFGQLNKFQ IRFKNILPDYKTKQSIHENEMNEKKRRQTLNIENVDILKFYRGIYDINKSKTLIKTLKML KTSKQDFDEVSKQIKQPHKDINKKKKRRSSCYCALSGKMSEKQIRYQHDPYFDKFNMFQQ QRKLSICLTNRKRYQDKLKSQIQQENIKISYISQNKSPINKYKCVSRTTKLILEQTQTKI LQQGLRYSALPSQRFKTEPAQSSPRSFKLYPIQLNKLRLGQSTFRSSHLKESFKTLANIY R >CAK77949 pep:novel supercontig:GCA_000165425.1:CT868285:472696:473049:-1 gene:GSPATT00013415001 transcript:CAK77949 MGQNQLLQLKRCPFFSKQPISFFPLQKKKDWFLSFIKSSTLLTPVNQLLVVMFKLILFLV NQQRDYPTLENKFQFQMIKQPLLIHQFYFFCLKQVEIRQETIFTYIIFNLLICNQIQ >CAK77950 pep:novel supercontig:GCA_000165425.1:CT868285:473118:473404:1 gene:GSPATT00013416001 transcript:CAK77950 MLKDLIAQEERPYDDLAELRRMIAQTEQCMVEYVDDMHKLNKNVVKYNNEAKKIGMGVDA QLFDFVDHKCNKYLTKSKTTTIIKDKKY >CAK77951 pep:novel supercontig:GCA_000165425.1:CT868285:473493:474434:1 gene:GSPATT00013417001 transcript:CAK77951 MQYYQKVRPIIAAHRGLSSLYPENTLEGFKSALQFSDFIELDVVLNRDRLPIVCHDAFLS LVNDVKKHYKFENRKKIRLVDSDFTLEELKELRLTQCINNRKSEYDGHLKIPSLKETVEY FLKYNQKIDNKKGILLEIKDYDYHQQYCNINIAEIIFTFLKEQGLSTLRECSQKLPIVIM SFEKQILQYFNNNTDLPLTQLVCLEDKNIPTITEIAQYAKIIGLDLRLVWENNQTHQYYN EAKENGLIIYGWTFQDDSDDLKKLFNEQDVGKIYQKSSTLLQGIITEFPQEAVKFIL >CAK77952 pep:novel supercontig:GCA_000165425.1:CT868285:475795:476257:-1 gene:GSPATT00013418001 transcript:CAK77952 MQEVTCFSYERDGMIIVLTSIANDWWVIQQTYQMAQKQIQHQMPINLIHQETNKYLCVDE KNLAKSKNGHQVTAMQSSMQQSFNISTIDNQQLIVGKPFFVLYQPMNKYLCQGPSLSEMQ STQYEVILTSKLTTSCLWIVEKVFK >CAK77953 pep:novel supercontig:GCA_000165425.1:CT868285:476334:477544:-1 gene:GSPATT00013419001 transcript:CAK77953 MFRTLFKLKNLYTEYKINIEVVQIRNYLTGAYLTATGFNTQEKGLLFGSTVNVNNYYVVG SDDPDNYYTLWTIVKVFDDSNRISYGDVVYFKNLSTKMYLIYEFQKHSEVTNQIRVSLNQ TRLENYPFILQQLGEQIFQTKNHAIQNGVPLKIQTTKLIDYLETSQKNYTFKQINQFKEV SCGKESEYNNWQIIKLTPEKLSQFQIQPTLEVYDEHQRNLG >CAK77954 pep:novel supercontig:GCA_000165425.1:CT868285:477670:479544:1 gene:GSPATT00013420001 transcript:CAK77954 MNAILSQIYQERNLKQIFRKGQFFDKDKIEKINDVQILQGFTSVLQMGQSSPLLLIDFKT KVFNKQTVLHFIKENKKSKSPVDLQTFFENKTFMTIYSKRMYRIKSIDFKSNPTCKMESL DVSYEQYYYQRYKITLSDLTQPLLVHEDRKTKQTIRLIPELLYMIELTTAQKNNFKVMNN IKQQTTLDPLERSNKIENERTLLDKYFSNHSISISNNSATPAYKIVKPALIPEASIKQTD GACFNIRGKLIEQSNINNWILIHYNEEQQVASLFTQEFIRATKELGNQISPPRKVVMNNL DPAAWSQALLNNFNTNGKPQIVVSILDRHEDSKLYNELKKFLISVQGVLHQNVSIQTVDS KKFSKIAQQIVKQVHSKIGKQLWNIQKITEISEKIMIIGIDVYHKTLANNKSCVGFNAQF GQQSEKHFTKTIIVEKGKELNKGVGQLLNLSLQQYQKQNKQYPDTIVIFRDGVGNSQIND LIEIELKAMKSVIQQTYNIKLPQFAYIVVNKRISDKFFSSNNENNGMIFPERVTSKEFDF FLIAQQVNQGTPIPTHYTVIENTTNWNEDTFWRFTYFQCFNYRNWSGPVKIPACLQNAHT VAYRVGEVIQSDAHVSLESLLYFL >CAK77955 pep:novel supercontig:GCA_000165425.1:CT868285:479625:480111:-1 gene:GSPATT00013421001 transcript:CAK77955 MRNSHNSSHSPIPQQKFITPEKLVRVSGSSGVKVNSIQKNGTRIRSEAAETVNKVKEQQK LQEYVQELEYKYVQQKNEEQQQLELRILMLLQQNHDQAQEMEELKQENEQLKQLLYEQQT KIVEQSQEILALKVYKDGLKKLSFSDYFRQQNI >CAK77956 pep:novel supercontig:GCA_000165425.1:CT868285:480793:482184:1 gene:GSPATT00013422001 transcript:CAK77956 MTHYSKQDPSGQWSRLIERQRISQEMQEKQEKEEQENRKRLYREELERLINEQKQSTIQK TKYDSEFAGAMQKFLHERDSVEQLKLQELKQKQSEFIRYSLEQEQMKKEQQRLNFLQERQ QQFQIGQMMDQAEKKDFYLKQQKKEQVQKDLAQSYAQQEEQKKMDQYLARQREQEILQEQ LQRSQKEEEQRLLHLSKIKYGYHQNENVLQKYNDLYLQKEYRDKELQRKFIEEAASYKQR QEEEKYIMERVNKEKLQRDTVDTILNQIHSKQQSQREQQNSKYKDQEFLLGLHQKFQMQE KEQSDRRNLQQQQYRQDLIMQIEEDKNRKQQEASMSMVELNMNKKIFEAEDDPQLAGIGV VPGLSNHVDYEKLKQKAYVDGTFKKSAEQQMQFKRLRNVSAYIAGNNVTQNYGY >CAK77957 pep:novel supercontig:GCA_000165425.1:CT868285:482209:485149:-1 gene:GSPATT00013423001 transcript:CAK77957 MQSSQQLQKPLDNAILQQERRNGYSEFDIRQAWNASSGNMSLFQQLLQGMRNQSFIMNPI NQQFNDDIQVAVAASLTLHQQYMPIGKVRQNYVPCGLLNVGNTCYFNSLLQTYYFIYDFV SSIVKCKFDHVNLSNIVDKRVVNSIELVKNLQSLFVLMIGSDRQFVDPKKVILSICDDFG KALPIGDQKDVSEFNNYFLSRIDEGITYLTQSLQKTSTSQSQQSIKQQLPGQSIVQFPTI HFPDSNTNSMISQKSLLIRQSSVIAENSMVFKLFYGKFSPQIIRKGQASKDCQQEMFNII QIDVNSKSLFEGFDKYMNQAVEYKNEKDEYQQAIKQFWIQSAPQVLTFQIQRVEYSKEFG SFTKINSFFHFEKEIYIDRYLLSNQKLAKDIQTQNQQMRDRLKKIDQELATLTQFNGNID IIQNLETTLQFLKLQNSNEKQHQFFVDSSDNKASIKSISEYHQHFIKKRDCLQKERKEIE QKISESYNSLKKQKYILQSILMHEGNPDAGHYYAYIYNLDDQKWYYFNDINVHEEVEQNV LKNAFGDQSNSKSAYLIQYVKEDNAKFAQNSMVKLKLFSTSEDTKKYLTDGYGLLLSKQQ REFLNQDNEKLKQEVEQQQLMNKIEQIITKYQQYLEIANEKQRKYQQKQYPPFPVKPPYL ENYSMYLKIKPDERLFKWTILDNAIIKIMPETGGLFSQPGLKEIVKTQILEELNKLGNNK VQHFPTAQEYQTSIEEYKSALKLQCMIQYLLDQYNNNNFIEALQALQKYMKLAKHTPQSS FFYTVAINLKHIFPLGIISKMMQNKSVTEADLKSLKLVIAFQMQEHFLYTEPKFWNGQLQ NLIDIAIGTFGSNEKWTQEVLKPIIQNKKDTEMCSLLDAASQNIDEYIQNLQSIYLEQQF ENNLEDFLLDNSMNILQRFFLTKGIQILFESIQKSPTILDKKVILKIVEDNPQNK >CAK77958 pep:novel supercontig:GCA_000165425.1:CT868285:485200:487211:1 gene:GSPATT00013424001 transcript:CAK77958 MQQIEELICPECQMMFNESDNLPLMLPDCGHTICQNCIQQMLINKKYIVCPEDGIMAKNK NDISQFPKNCQLLKMVVKHKTQYQQSRQSARNDDSDIGYQLQLNNLISNDIPNDLCQEHL EKLEIVCLTDQLRICTRCALFGQHRHHEVRSVDDVVKEITQKAENIMQIYQKILQKQSEM TELKYFEPLQERFSSVLTESHNTVKEKFKELHQLLDIKEQRLIEQLTTLTQSLEQQTKKQ IKELLQSSLSQAELWKITAKDRLVYFSTKTENGELPLDLLNNQDYACVDKGKGIYEELEK IQKQLDLKLQNIKIKKLRVDLKKTEIDKSFDNLFTITLQLSNVNNTSNMTKSSQILKTIN TLTDSTLLQDIKRNESFSKLCGQDVFSSFCQDEPMLLKDISMADWSESLMEETTNITQVK SPNRSSLDQEEFVKNKGGSGLKELKEIQPVISSQTIQSTTPTKPERIQIRALEKLKGSFQ QPSPGRRDLEQVNSIRQGVSPNTKIVDCKKKKMFKINEKFENVWQAFKSDNLEIADFSSA ELGDDGLQFIGDILKTSKRVKQLKLVRNKITDEGACKLLDCLVYNTNQAFQSLHLSSNMI TERTLDYFISLVRNSPQGCSLPKSLYLNQTLINATKAKKKIEDLKRVGYLVNI >CAK77959 pep:novel supercontig:GCA_000165425.1:CT868285:487227:487628:1 gene:GSPATT00013425001 transcript:CAK77959 MDNQKKCLYEKFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMG DKNTQYTGRDLIEVHKNLNISNQQFDKFKTHLKNIAQDMEVPIQDIDELLQHVENHRDVI VFQK >CAK77960 pep:novel supercontig:GCA_000165425.1:CT868285:487812:488621:-1 gene:GSPATT00013426001 transcript:CAK77960 MNQSLYSSNLNALLPLENYLLILNEKTRLNKFIHSQNTIQIKQLDTEKTTRRKSCHCGQC GQQSLFQFQTMNIPLKKIEILKEEEYAPPGFITTNSESRTNTFYKKSSFKDLQICIPKEN TNNTRLTLSIHGFQRAYNRQNTLLKMITDGQERSKRKETGSFSQSELYSYNSLNSLNSLN FATQSSLKNQKNSIQLKSPISLFSPKRKQQQKHNKLLSTNTRINNPYIVNQNKQFLIKPL KFQPSTQTFGCLFPKLNKKA >CAK77961 pep:novel supercontig:GCA_000165425.1:CT868285:488806:490158:1 gene:GSPATT00013427001 transcript:CAK77961 MKFQEFISNKQITELQEQLEQARSKILKLEAQQQHEGQVDDIYILRDRLIKVQNENFKLK NTLKYIEQNQQYNKLDAFYDYFNIPNVQNMDIKLQMIVDKFINLRQEIESKNQTLLEYSQ IQIQNKELFTKVTVLEQQLKLKESQIEKTEKQIIKLSKLIEQLQVQATESFWDLRIKCDK LKSLISDGWECDQKTTQNDDHPQKVAAIISEQHALLSKRFSQPISDHHAYFKLQEQTLYI VKQISCTSQPIQQIKKQIEALDEFWLMFILVKNYPIIILNDNNININNSELFKKVQFMKQ LLNSESPIYFNQEVAYEMNIDFQNLRFEIAQFAQQSFGWFFEQSNDLVIVSEYNKRIKLS GTSKVKQARECTLLHYITDYYQITQDNRVMICIQSNSKDAPKVSKQQVNTGVVAKIKNKD NYNKVCQIINSQITQDTAIQYDENYVYVYL >CAK77962 pep:novel supercontig:GCA_000165425.1:CT868285:490178:491595:-1 gene:GSPATT00013428001 transcript:CAK77962 MLSFDFLLKPFDQFDQWIMNSIKVLNISEDKVRLVSYFLFCIPIAIIFSKIKQQRIRLIY NIFWGVFFTLFLGREWFVYINITTILVYFISTIPTKNVVIPISITAFVALSYVHLFRQWF DYLSWKIDFSVIQMTITCRLIYYAVDLQYGKAYDTGFWTYYSYIFSFFSIMIGPVPYHNY LNFLNQKEEYSNIKFNQYKSVITNLIKALLFCAGEIWIRPYVDYDWYDSPQWNELNLIEK NLLQAIIGLVARMRYFAGFKFTQAAMDAAGITYNEDTQQFDKFITCDYSYEKDVSAIHKT TKWNTTVQIWLKYCFFDKFSTLFDVTTAYYMTYGISALWHGFYLGYYQTFFWWALINQNC KYVYRSRDKFQWIPAPLRSIIAFTYCTFTMNQIAMTMGLLDWDKGMRFNNSMYWSGPIAI LIVFFFFFLTQYGQKRRK >CAK77963 pep:novel supercontig:GCA_000165425.1:CT868285:491611:492260:-1 gene:GSPATT00013429001 transcript:CAK77963 MLKSFGIISGFLLISQGKVNSLTENFNASRHALCILFPDSNSGVNGVVSFSQEHINAKTK IAAVVRGLKPNSLHGVHIHEFGDLSNGCATAGPHFNPFEQEHGGPLDEKRHVGDLGNIKT DERGNGYLAYEDNQIQLYGEYSILGRSVVVHAGQDDLGRGNQKDSKTTGNSGARLACGVI GLASGFKNLQPYK >CAK77964 pep:novel supercontig:GCA_000165425.1:CT868285:492570:496338:-1 gene:GSPATT00013430001 transcript:CAK77964 MFFQKLRIYNTKEKLIERIIQYKKEEELRRNSPIREKIKRTISTCQPQRAVFNCNNRISV SPKIQCSLDIEKIRMPFSAEREGHLTRVTEIPVRQHLVTPSPPQKSILDHLNEQEEEKMI RELLDNYKPELFDDLMENDVQEIERFNEDAHANSMKQFSYQDYSPQRMNMIKKHQHHHHK SNPSRNTNNNKYDQQRQLYLQQLEYKGDIFQEPNTDRGRYLKKFRLANKNLNKVCQEDYR KVIKSQNKLEDFAQFYKQPPNLYMQLNKTSHQQSIFQSGLGLVQQCNHIQIANASGQIRT NQQVKVFSEALKTSQCKTLTHLKLSHNKLNSLKIQQLTKSFPQHLQELDLMNNGLDSKAC QLLSKYIQKSAIKKVNLENNRIGDLGSNALCLAIQDHDYLLYLNLSKNNLTEHCTVELSN YLKKSQVLFELYLHFNSINSVGGINIWKALYKNSSVKVFDISYNRTASLECAQWMAKVII KQYPELMHIDISYNNFNEEQSIEIKKALDQNQNIYGFHYQGNCPKYSVDSTGHLRDRIQE EIDIQRKIEECKKNPQTTLKLVDDNELQSLEIEEPRNNNNYNQQKLSNLKSDAELHRNFK FRRIQGMKPIKQNYEKDSELDSCWICDGWQEVKFTWTPGKSGGMNNDPIFVHLNFESYKP ILMTLHNGEYSAYRMCPPNYKVTYFYSNPVLGIQTTAKNQMITQTPSDDPLYQTKQSFLY NGNILIEGNRMGFVNEMYTEDKQSIMDRYFAKIFSKPREEEKTFDLTKFLTKREKQFWSY ELSIFKNYQPDNEEVIDECFEYDYGSSKINKIIKDPLEYNEVKEIMREYYPYIFASYKFY ASTLIGATIPCISSNAFFDLINSTSVISDKYRTGDVDLNFISTSNVKDTNYPNVNEKALV RFQLMELLLRIAVDKYLRTQICKSVKECLRKLFDEDGVRLKLLEIDRSQDWRDMRYWNEQ CDTVLKDRLPMLKMLFKYTSKLNPKQKYYKHLWLQFKDFRDLINKCDLYCDIFVERDAYL VFLLSMQTSVDELYLLKHIQMEFYEFLEALARCAEKLSLIRTQDSLSIDDRRQQPLFKKL DALIYLIYVRLGEGIKTFFKDSEDLSDFDKCMQKQYGQVIKQPNPDEEEEVEKLTPQQEE KFLEEQLKVIVVPPQITVVSQNKKATGMTFLQVIKQAQQQKQLKNLFNLTNVVQYFRLKE EEQLK >CAK77965 pep:novel supercontig:GCA_000165425.1:CT868285:497527:498738:1 gene:GSPATT00013431001 transcript:CAK77965 MQNKSQAETNQKAIELIPDGIFDLCDEFPVNDKDISFEEYFEMKNYIISPNLNYIAIFVV RTEIVVGSHSKEEYTVFIKSLIKNNSVYYGQYIEEELTIKDYFFSDDSKYLIFRKPTKVL YIDILGQQKTKKKDISSQRFLSSDSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXLLIDNTTILTIQAETDFQQITKFQYEILFYQKL FTSFAIAESNNQTYIICTKNQKILIRWQHNTPCKRYFQKSIIIEVHCSEAKLRNLQTGKL IRNLNPKFGLRQFSQDQTSIYLFQIVKTHLKIKNKGKKVHNTFSRFDILRGVYKKLNINI DPFNNLQTLNNDFYIDYEDLEEVDIDEEKIKKLRYFRISYLTQ >CAK77966 pep:novel supercontig:GCA_000165425.1:CT868285:499045:499403:-1 gene:GSPATT00013432001 transcript:CAK77966 MFFQFWDSGQHSSLVKEEENGLEQIRRTNSGKYEYEKQNRRKVVEKFKNKSDIFDLQDEF VAVAGYGITWSIEVKECRQTLVRMQMKKMMTSRMDHGGDESLDLFYKG >CAK77967 pep:novel supercontig:GCA_000165425.1:CT868285:501026:504808:-1 gene:GSPATT00013433001 transcript:CAK77967 MPRQLIVYVDDKIYHQQNYDNSNNSGVQYNCNSSYLFFLITITLQHSSPSITISMIAENN HWGVAEFKLSINECLNGCNYCNSQECFNQELFLTLFNEKTFSVVKTEEGWQSGFVGVNEI GECYGFNYLKTSGGKLHNVFNLGQHEMISFQAKLLIFNSDSTKIYISVDDILVTTTNYIQ KQLIFNGQICGYIQIQEINLLQYQHFSRSLEITISVDLKQYHQSPSFSTYIGLRDFQLFV KKGSVECYDWNIVPFDGCFSNIYDCVEGCGLCIKGICQDCLVGWEFEESSQSCIPICGDT LITQDEECDDGNLVAYDGCHKCKYSCPSNCRYCQFGKCLGCQNQHQILEGRCQFICDELE KTHYQENQDYHDFIILEGYQCRQDQFDDKFERFYFQQQKSLLNLNIRILEQQYNNSKFDY LDNCKVEQFGKCLECHAEYEFNFHKTKCIPKCQDGILIQEEVCDDGNRIQFDGCYKCLKS CQIECLFCSENKCYNCQNGWQLQDYQCKQICGDGQLAIFSNEQCDDPEDPNCTDCNYQCD NDCLICNQFQNCEICKHPFQMKNGKCLSICGDNIITPPFEQCDDGNDIPYDGCYDCQFKC SFGCIKCQKDNKCVQCDELNYILDTHTYICQAKQPNSDLEPKEEENNNDLVIISCNENQE FINYQCVNLCGNGILNSLFEQCDDGNNEGGDGCSALCFEEDSYKCINQNNSFSICNFVQQ PNLELILLSEIQNQTKIIELGFSQDVYLLSYQYFEQIVEFTITPISQYQLSLLPIINITA QLNQPKYQISVQFLEPVTDPILQINIQQFSIYNYYDMQLKSNSKQLHLGTPFVLSKATQN RVNQVIKMNDAIVYSTVSIAGLLFVTGNYVVFFNLLDLLQSLSYIRYMEYKFPPHLSQFL ETYTKISLQPILEILRVDELMTQLNGGTIPYLKKKSQKSNKTNGINQMYLMNAKGCYFSY FASLITYIICCLISSSKISDWVGYYFKKNEEKIKTLRLISIFQQKIQLQSSKLKNYYFSS GVFQLFYSTLQQQLFSTLLQFPEYSFNSIFEIINSVAALGSLLFLISIFVRLLSITTTEI KNKQKWKYFFQDSKTGFWAANFKPFQIYRSACYIIIITIFIEYPQAQSVLLSMQSFFYLH YLILVKPIKSNYEIAKLICREVILLIITGSFLVYSLELNHDQFMLYGWFHIALFCFLLVF TLTVDLLDSVQKTYYIHLKKRQTQERMKILQYYENPLQKFCYENKVDQQLKK >CAK86537 pep:novel supercontig:GCA_000165425.1:CT868576:878:2165:-1 gene:GSPATT00039763001 transcript:CAK86537 KSERGCPADTSQLYSRLMTELIQVNNQLKKQFKDVNIQLQNYFEQIQKKLDQDIKILENM THQLQNKEYLALKSQIHILKQFYSKEKESCKQQQIIEFNNILNTLKKMLTDQIRVEEQID SSYQNDIKVEQINQLKQEDKNQLNFEEAKRLLIEGVALRKLNKYQEAIECYDKAISINPN YDDAWYNKGLALYNLNKYQEAIECYDKAISINPKYDAAWNNKGNSLYDLKKYQEAIECYD KAISINPKYDAAWNNKGLALYDLKKYQEAIECYDKAISINPNNDNALSNKGLSLHSLKKY QDAITCYDQALSICINPVRLRDKGISFKFNFYLADSLFELQLRQEAKRFYLDALRQGSND KEYINRQLAKL >CAK73927 pep:novel supercontig:GCA_000165425.1:CT868171:76:219:1 gene:GSPATT00038945001 transcript:CAK73927 MINNSDDLDFVVFGSNGYRAQLESKTFFGSTADELLKRAKANIIMVP >CAK73928 pep:novel supercontig:GCA_000165425.1:CT868171:274:3733:1 gene:GSPATT00038946001 transcript:CAK73928 MKETTQLKPVTQKKSKSNFLLEMNEKDKEINNQIIKNMNKRVNYLKNPRFKINKAPVLFS YINTKQDIVVEKDQTTSFRVFPKQLIFREYMLNGMYEIDLYVTNATGTLQRIKVLPPEKK EFQIAAIKYPTKEDGFIAPGMSAVLKVRFNPSSLAEFDDTIALITEDHILKVPLLARKEP PQLDLPSQLDCQSCWIGDQVETRFVVKNSGGEAGYRFFINNQQDQQEEENYIQIGNFYLS PAEFFLHKGETQIIQAIFKPDLEGEVIENIILGCDNLTQATLKLIGRGNMVELGILGIDN INLENQEQLQKIFFNDPIPKVETSRQLKIRNKTSVKVKYHWYLQNDDKELKLEDEQNYYS IQPQEGYFQPNEVIDFKIFLQSEEYYPLFQTAYLIIDDIPFESIRNPPPNLRQQFETNSQ SVAIGSNSIKPSITYFEFELISKSALGEVSVTPQFYKFPVPICVNTLAQYKFQLSTTSKT QMKYSINPLQLDYFYIQEKQGVINNEAEIVLGVQSSEVGQMKLEYRIDFDYANSILITVF AEVIAPIISVKQSWLNYGLIQTYSLESQEITINNLSPVPALIKAQSGSDQRLLFNKDQFE IKPNSSIQFKVEFQSREAETYNDFLYFSVENGDCVVLDVFAEVQNPSVSLNRLSLNIDTL YCGNTYTFDQRAQQYIMLQNLGNISTPFEWVVDNEKQDKYLVQFEPKKGVLKPKTNQIIK FSIKPKEGGKLNELFVCEVQGLQYPLGFEMNTMIYGLSVEYELVDDSAHISSKTSVSSKS KSLKDSRKSLLKADTEIKNQQMDKLEFYNCTINQPKQAKFLIKNTSGIHTQFNLFMRKYQ PHALEEQSTLFDDFDAKSQAARTIKFAESSISKSVSKKGKISQGGRPVVLLTNKIEKTHN FTSEAGLKLNKQKKMEHDQRVYLSNNLGIAVVFEPSSGTLNAYGSVVVQVTVFNDICGLF EDLMCCDIRGLPTKEFPIAIDIKGSPIVISPSQLGFNYKTDFPTFDLGTYMRNFGTISRD FRVMNTGPQDVELEWKIYNLGNSTVSDYFDIKITEPQLGSDSLCDVQFIANEPPESTDGP YQVVPNKERIKQRKEKHFTLHFTTQDTGNYSACLVARPRLINQDKTIGEVCFLCEK >CAK73929 pep:novel supercontig:GCA_000165425.1:CT868171:3806:4378:1 gene:GSPATT00038947001 transcript:CAK73929 MVQWLQSKVRRRSWFQSIGKKSSFVCTFEIEGPFKLIQTSTNSPQKYELGMKTEIAKTFN LVTDSHVELLVKFDGYQPNDPVNWPLTYKVYHHGSINIFYANGDKQSIQLEGILLRPFVH LNTSGIDQVEGPEVLDFGDVQEDKTIAIYLSTFIFSACLMEIAALKKSIKEKYSGTNYDF GGQGRNEENR >CAK73930 pep:novel supercontig:GCA_000165425.1:CT868171:4472:4678:1 gene:GSPATT00038948001 transcript:CAK73930 MKQPLMTQESQVYSHSRFSLDSKQLMSLIFQPTAELLYKSKYRIKVQDGPSVDFILRGTG KDLIKRKV >CAK73931 pep:novel supercontig:GCA_000165425.1:CT868171:4730:6086:1 gene:GSPATT00038949001 transcript:CAK73931 MDLNSNPDFPSNISTDGLIQLKREQVRNSQRKEDIQNKLLQKRQQYIYQYEQLYLKQQEL RKEELALIFNKSIEQVNDHFYLNEVTEALEIMVNLESKLESITDIPEQFCVSSFTNVLNQ LVAQLSANNQLEFFLLTISFDSINMSLFPQEQSVMSEYFSKTLELLKKEKHRHAQHFLIK LYQNSPQWFEAVLFQEFCSVSKKLFELLLKHIDNQIVWVILSSLKIVPYDNLIFSTILKN ITNKNAFLLLRKTLCQEEYQLKYIQSNIFYLFTQVLQQAEDKQYFLNEVIDCILSICCLK FELFEKVITSGLALVISAQSIKDFTVEGIQNLQRIVYWMCSSKSELLNQNIREGIWFQSI FLILLQQQLNHVVQINTLDILKQQFKSDLGCHFKEFFRTTYQVPDNIQTLIERYL >CAK73932 pep:novel supercontig:GCA_000165425.1:CT868171:6096:7391:-1 gene:GSPATT00038950001 transcript:CAK73932 MVDFRKFMQIVMRKFNDKLNVSASAAMLIAVFCLYKARAKPNLPLVKISNFMLVLQKKLV EEVIYDGSHILFRCVNQAQWLSTQAEPMIKGKELLKALMEYGVPRFQNSTQIYYNEKQMM YIIMSIAALSYTFGIFASHLINSNRLSNKSMLAQSTGVKFSNIYGLDHAKKQLQQIIEYL QDPLKYRNVGARLRRGVMIYGPPGTGKTMLAKATATESNVNFLYCSATEFIEVYVGTGPK RVRELFKKARQSSPAIIFIDEIDSIAYKRKNQNFGTETGGDNERVSTLNQLLTELDGFKE NENIVVIAATNRIQILDEALLRSGRFDIKIEINLPSENERKGIMGVHLQNKKHQVSSGMI DVVAKNAYGFSGADMENITNESAYIAIEKQQDLKKDHNGRNNI >CAK73933 pep:novel supercontig:GCA_000165425.1:CT868171:7674:7853:1 gene:GSPATT00038951001 transcript:CAK73933 MHKQLNQVILTDAMITTRSQIAIAKKALQSNGVIQKNITAVNIVTCEKVYQKYFVNFLR >CAK73934 pep:novel supercontig:GCA_000165425.1:CT868171:7995:8741:-1 gene:GSPATT00038952001 transcript:CAK73934 MDKTSGNQWNQLGNGQPRKGPLCEENQRGIRVNILDCVLHADAIHRGGGQIIPTARRLYY ACELTAQPRLQEPVFLAEITAPNDATGGVYNCLNTRRGTVIEEEQVAGTPLSVVRAHLPV AESFGFTAHLRGMTQGQAFPQCVFDHWAIVNGNPLEAGSKVNELVLSIRKRKGIKVQLPD LNEYLDKL >CAK73935 pep:novel supercontig:GCA_000165425.1:CT868171:8742:9328:-1 gene:GSPATT00038953001 transcript:CAK73935 MKTWYHLRPPRLPFDQINGIYIQYLPVVRVAVQPKNPGDLPKLVDGLKKLSKSDPLVLCT TEESGQNVVAGCGELHVEICLNDLEKDFAGIELIKILTQSSHTRETVSATSNIVCMSKSP NKHNRIYAQATPLHENLPDAIEKGQVTPKDEPKLRAKALNEEYALGQG >CAK73936 pep:novel supercontig:GCA_000165425.1:CT868171:9330:9749:-1 gene:GSPATT00038954001 transcript:CAK73936 MFETLGLKLTQEEAKLEGKHLLKAVMSKWINAADTLLEMIVCHLPSPRKAQKYRTSYLYE GPQDDAIAQINERMQTQRDHQLCTSPRWFQPPIEEDSLLSVEFSLVPLLLDKRSELWEPT TKQERRKICLKKLSKEQFS >CAK73937 pep:novel supercontig:GCA_000165425.1:CT868171:9765:10632:-1 gene:GSPATT00038955001 transcript:CAK73937 MVNFSVDQIREIMDKQDNIRNMSVIAHVDHGKSTLTDSLLCKAGIIASKVAGDARATDTR EDEKERGITIKSTGVSLYYEYDIYDNKTLEKVFDQLDRFPRTLTAALRVTDGALVVVDCV EGVCVQTETVLRQAMQEKIKPVVMVNKIDRAILELKHDGETMYQNFVRVVDMVNVIINTY QQEDMGDLLVHPELGSVSFGSGKECWAFSCTRFARILCQQIQDCGETITLMLKGKCWRKD NIKSLSLLSSWTQSANWLMLLWKEI >CAK80834 pep:novel supercontig:GCA_000165425.1:CT868385:175:2230:-1 gene:GSPATT00015693001 transcript:CAK80834 MNFNKELLKSQNLNKIQSQAFQIQLVNIQDKTYFGYIPKPEYQNNQYLEEFNPLYKRSNH XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYGFQDYILL SELKQLQEQVILKIFQDLLIALYAIHQKNLLGRCFNVNNILFVENQHSVMMEYGFYPDLE YQVPEMIYNQTYNEKVDIFLLGRVIYYLMVGNDLPRFQMSNLSEVSSDINLSIAQTNYSE GLKKLVISMLSIDVNKRIDYLQLLQKFKNNQFYSLQEQFYRQNTLKNIISKVIEKREKNT NSKCEESQPFDIQVTTIIKKIFEEQQIISSQPLVQEKNNFDDSIEPPDQNISSLYPPEFE NPVNYKSLNQHQIISKVSQTQTYVKTQYNTEDTQLKGSLLENELLLSVLPFLNSDRPKDY LIWNQIYFYLYRFSLMSKLIDELQSHFQKTNNSLISIAIYGIKKAQLILKKEYQFALESF QNLYHIPEQEWQKFTQCSDEYKKMIGKMKLDIDVNQKLLLQKDHQQLKKILDQSKNEGVL KELYKFIEKYLEDNTVLNNFEDIKKSYRYILTNTISLFESQDDKNNPYIRLLILMCILIN RICDVQHIPQHFNTICQFLKIDSISSIVQIEQFLKKATQKEFNQQFEELKQTYFSN >CAK80835 pep:novel supercontig:GCA_000165425.1:CT868385:2694:3367:-1 gene:GSPATT00015694001 transcript:CAK80835 MINQELSEENKPPKLLLTWSKCSEFSEIDLENKQSPKLVKLRKLNSTIENNEQLHQVINR QIDLDENKEESQILENTKWQPCSCSKTNCLKMYCSCFHNGQTCVEQCKCEDCQNTDEYLN QRHNAVEYIRKKAHRNKKVTQEKLFETKDVWGCNCKKTRCQKRYCECFIRQKTCTVDCNC NHCENGKDEDLFNEIRRQNEQPKQFKKKRSERLFYN >CAK80836 pep:novel supercontig:GCA_000165425.1:CT868385:4178:4642:1 gene:GSPATT00015695001 transcript:CAK80836 MSRLYTLEDEQLDYGQDYESVLVVKEIDQPKNERENEKDLQKLLSLQFYSKKKKSSFQLN AIQRLITEQKENFKKQQENKYLNQKLKTPSSKPFPVIIVTPKCSIKTPQYSKSSKQQILI APLNFQDSFQKIKLLVSKQQKHQLDFSKFKRSIY >CAK80837 pep:novel supercontig:GCA_000165425.1:CT868385:4712:5063:1 gene:GSPATT00015696001 transcript:CAK80837 MAEGQSDTFWKSLYIYFNEMDFESQLFVKKIYKIIILTVAIISFIIAFFLERFSVCVYST IGSSVLCILVLAPAWPMWKKNQPNWQQANQQKQK >CAK80838 pep:novel supercontig:GCA_000165425.1:CT868385:5436:6341:1 gene:GSPATT00015697001 transcript:CAK80838 MKKQQSKSQSNRNRQDTAKSVKRQEKLTKIQEAFNFNKASKYIFQSGFQERAWLIKRGYQ DRIDFKDSEIAELRKYFSSLDGDGSGAIGIEELEDPLIALGLVNSREEVEKIMSEVDEDG TNEIEFKEFLTIMRGVQKGGNTDQGEKNPIYDFFKKMSNGQLEKGMDRHIPFKLNVSLFR RKQILNAITSDQKELKDKGSKILQAYKRQLLNYKQQERLNRGEDPNDISLDQFPNKDNGP NPRNGLPKQQRIIQPEAKIGSSNKHLEK >CAK80839 pep:novel supercontig:GCA_000165425.1:CT868385:6713:11326:1 gene:GSPATT00015698001 transcript:CAK80839 MIFQQLKITLPPIGAQEIEKSIQKRMGDCLETQLGFEYEPFTFQYLELHPDLVTIKNQKI EHHPIEDQQHVHTALGCFSKENKIRLFLSENIHVLQRLVIIMHSVYTTIKLEIVQTIQAP EIQPQQLSKQYAMFFLGLRQQFSAFAMEQFWVKELIQEIFGVVLIFQLLSSNTFIQLLRV IRLLRLVRLLQEIHYLREQVETFFSCFLTIHTIIIPLVIVIFGFSVIGLHLLRGVTGYRC AKDGIIDLNIRNLCGEWECPDGYECINHFNSEDLSYLDFYYGYYNFDTIYDSFLSGFMFF NATGWSPTTFYFWKAVTPPATAIYCIFMLFILHYTLSDLLLASLYESFLVSSAIKNSIKS NKSELFTQRRRTVTLVNNQNKAQINFHKLHMITQISSQNRVNLVTPAEILRNETIKVKNE AWFITRIKQFDQVFIITSTIILCMDQVEKQYNPKEYYADMVMNFMLIILTLFKLIFFKRS RRKLSFIIDVILSLALIVVIILEFLNYQSNVFIIIKAFKAFRLIKLIYKLEYFGIIRVLL RCLIETIIKIRHLIILWAIFAFLISIIGQELFAHYVKGSTEIEVHFNGIGNSLMAVFNIF YGEEWHVTMYQHALYKPASSFLFFLIVFFLCHTLFMRLLKALFLNEFGKKLNELDQKYPQ TDYLQRAWQYIKSLCFQQESPQSQSQCQNEDDSKAPLQETSPNGTRIKSQVSQINVSNYR RIVEHKMFRICLLLVVALSAVKSAVQNPLTDPRSKEKLILDVIQYVTTVIFMIELILNCM AYGIIKFINQSFLNIISIINIIVNLISIGLGNPPLFILTLFDSLRVLAFLKTGADQYPIL KQALQALFNAFTKMIQLAIFSLLILLIYSIIGMQLLNHEFYYCSLPEESSIEVHLISKID CFDIGGNWVDKPLNFDSLFQSINILFCVATSEEWTQLMIPAWSAAGIDHQPHHDTNRYWS IYYQLFYFIGNILVLGMFVTLVVETYIKTREESQNLNLLDDKQREWFQIKEQILHLKPKK KFKPPNNYILNAIYRVYQLLQIPFLIVILSNIIMLSLYYARMGQEYEQALDQVNQIFIFI QLFEILISTVQVTDYTFKIYEIIGIILSVVSSFLDYHILHVVSVVFQVTRIYKLTQHFKT IQHLFHAIFAVLPNTASMLLIMLVVLYCYTIVSCDLFAYLRPQKSVNGFDMHFRDFWGAL MTLIKVSSGEKWWIVLQETTLEQSPDIACINIESYDEFLEVGFNGCGSNLSYPFFISFIL VFSLMILNLLVANIIGAYEQYHKSEQSAISKYQLLDVMELWSQFDENGEGFISYKLFWRL SSQIAIIFGLEQSDLLDVNNKKKFLKALKIPIYELVDSNVLCYRFHDVIVSLTRISVTIK YGVVNLEPEDKDIYNKVYGNKHSHVEQKFRETALNSGDMVSIIFIQQKFREWKQKSLLKN TIILGGIGDYRSLIKIKSKTLSEKIHEQINSED >CAK80840 pep:novel supercontig:GCA_000165425.1:CT868385:11430:12299:1 gene:GSPATT00015699001 transcript:CAK80840 MNSKQKLNRQTKVQFFCKQIEERRQRLNIKKIKPYYHLTQTQMSQRIGRSRRLEISQNKF GNTSCCCSECGRDSQFKQRIFKIYPFNGTSYQYQESIKQNQILDRYRNSKYIKTFRKLVY CLLFIIRYRIVQNIRFRQRQRMKKVLKTRVEQPRMTFLNVLDIAKKSLNPKFKSASNFDF AISQNATALNSPQDSDEEFYHLKPKKSLAHKLSTEEHLPHKKLSKSQQKVYLITGLNSVL NQYVTSKLNKPTIKCNQQSICMPPLLSLTVSPRQNQSTHLPHIRIRHNN >CAK80841 pep:novel supercontig:GCA_000165425.1:CT868385:12387:13657:1 gene:GSPATT00015700001 transcript:CAK80841 MPLTNRRMSQYSIETVSLKYQSILLMLNSGGHTIDFEGILTQRQSEDKLLIVPEELGAQV PPRVEFEVHTTQNKVKKLKTIKMAKLPTKTQFILELSENSDYRELLASIEDLERNELKKA KARLLKIIGNSKEGINEAQTKIEQKQSSVICIQAFYYLSKVQQSEGLIEEAVATQNMILQ NFDIADLHIKGQLMLSLGNLYRILLKFQDAADKFYQALILYERLNWKVQQADCLLQLGIV YALINDYESAKLITYEALEIYRDNIIENNIKVGKAYYALGKIFYYSKAFEVATEYLLKSL KIHTDFYQNDYDFNFVQIYNLLGIVYQVQHQLEIAIDYYTLAVRCYRGTFDAQLGQILNN IGVAYLGLKKNELASDFFNNADQVYSIYFEQTNKLRKRVQLNLESVKL >CAK80842 pep:novel supercontig:GCA_000165425.1:CT868385:13685:15058:1 gene:GSPATT00015701001 transcript:CAK80842 MFNLRILKGFSHTIELSSEHYIQKYQQNFKDIYNLSYPPIPGHATIDGTKQYSSNNSEIP KSHFRTNYRNNLLLSSLGIGSYQGAPDQNNDIMLYGSIIDSVLSGGVNVIDTAINYRYMK SERVIGAAIRALNIPRDQLFISSKGGYIPADGDRGIQETQLIRELINKNLITQDDVVGAC HCMHPKFLEMQLEQTLNHLGLETLDLLYLHNAAESQLPFLGYEKFYDRVAKAFEQYEKSV QNGKIKRYGMATWVCFRAKPDEDRIHVPLEKIVEIAERVGGKNHHFEYVQMPINAMMPEA FSQEWQPFKGENTQILTVARQLNVNLVISSPLMGGTLMQVPLPSDIFKCQFLGAKHLQFL RSIPAESIKTILIGQKANRHTKQNLEVIRVPPLTADEFWSFFQPLKRKQVADDELDMQ >CAK80843 pep:novel supercontig:GCA_000165425.1:CT868385:15061:16602:-1 gene:GSPATT00015702001 transcript:CAK80843 MHSEQILKQYLNELKIQASDSLNIDQEKSFKKQAGQSEFILISRNIGKINKRNTEQQRTI VVTSENLYNIDKKSIKRKIQIQKVFGVTVSRSSFEFILHVPQETDYRYKSQENRDIILFY LSISLKLNNKDGLKLYLVDQEDLQQFCLHQKTTDLQKQVALHPKTKLLFLYPHDFQLQYI NMLNQPTKSLNPNHKITVLFINDKMKFRVKLEDFQKNTIISSGSLNKILLLTQKNDRQKV LVLKTIRSCDIDVDLMEFFLKNYEVEPFVEQLELCIRQTDLIHFFFKFVKGGDLFAHLQY VGSFTENQTKYIIAQVGMALQSVHEKGITFGDIKPENILIDEQGYIYLTDFGYGKLRVYQ ECKKQQNINFSVEYASPEYLRFGDLTRMSDWYSMGILLYELLVGISPFYNANQDVAIKLI CQGELHFPKGILISNECKDLISRLLQQDSSQRIGFSNDFKEIQAHPWFQDIDWTELKQKK KELPYIPSIIEGTLIQEQYIKMEFLSDDEKGWY >CAK80844 pep:novel supercontig:GCA_000165425.1:CT868385:16653:18100:-1 gene:GSPATT00015703001 transcript:CAK80844 MQQQGQGSTIQDFNVLEKLGEGSFSSVYKVQRKSDGQYYAMKKVVISQQSYKERENALNE IRILASLDSPYIVEFKDSFLDSEGKTLYVIMEFASGGDLNSLLKQGKIKGGVEEAEIWKV LTQITIGVKVLHDNNILHRDLKLANVFIGKSPEGNIYKIGDLNISKVTHGANARTQAGTP YYASPEVWKGEQYSWPCDIWSIGCIIYELAAQQPPFRAADLQSLSRRIQTGVYDPIPGKY SKDLSEVIKLLLQVNPRSRPSCDTILKNPLVIKKSGALLVEEEVGGKKMAKLLQTIKLPY NLKQLKGNLPKANYENKIKRSNSQSGIRVNTDISQTPQPIRKQPEDVKPPQQLQRPPQPQ PQSQQVNKPPLLKPPMAQPPKPQQVQTPQQLYSKVQQQEQRLLGQQKYPYVPYQQQQQIT PRDSSPRRGQYQNQYNMVAGMPSGMRRQNSAGPIGRR >CAK80845 pep:novel supercontig:GCA_000165425.1:CT868385:18127:19238:1 gene:GSPATT00015704001 transcript:CAK80845 MIFPVPKNTYREGDLKELIKIPFYEDIFRFQLTSPRMKIQFHKIDPFKPPDEIPHDVSTQ SIYDDHVDELPSQRIPRISQTTTLKPKNQMQYTFLLHRSGLRLSLSPRVTYNNELPTTLT NRNCKYTKEIPCLYLKSYTLTKRTIIYFHANCEDLKSSYNLLDFLRHNMRMNILAVEYPG YGIYQGEPTEEMILKDAEYIYQYIAFHSGIEEQNIILMGRSIGTGVACHVASLFKPAVLV LISPFLSLQEIVQEKYPLLRKMLKERFSNKDKMQKVKSPLYILHGLKDSIVSVEQARKLY GMNLNFHFQIELCKSPSLIRTPPEMTHTRFQFEQDLSLPLLGFMRQLNIL >CAK80846 pep:novel supercontig:GCA_000165425.1:CT868385:19368:20006:-1 gene:GSPATT00015705001 transcript:CAK80846 MQIIYGQTLILISASVIACDFSLYQRYNILSIYIILSYTEIIIFSFGHQSAPVTLVKPHN FTLFSQVNLTSASAYAFELIGQSTILANLPCLSLQIKNMNKVFDLFHDVFMSIQCSLSII KLNLIKQALDALEIIHQQLGGSAGILTYATQFKLKSMPTLASGSSLKFIILLLKSYTYFL LIWLLHLVLNFASLFIHPYYLNQSSFLVLDKA >CAK80847 pep:novel supercontig:GCA_000165425.1:CT868385:20311:20900:1 gene:GSPATT00015706001 transcript:CAK80847 MSQLIFINAQNRGERIFLNSIFKRFCKQFQFIAESFETAVGWKDVPSFCENEDCERISKN NVEKEPQVTLRISQVYDSGGFKGIDDPVKCYSGIEDAAREEQMKNVGSIYFSSWYLLKII NLLGMVKMRKQFKLKQIGDKGVRFQGELCYKLIQIICLGIRIQYENEISLFYMHKYIFKT NSQRI >CAK80848 pep:novel supercontig:GCA_000165425.1:CT868385:20935:23896:1 gene:GSPATT00015707001 transcript:CAK80848 MNKSDSIHNQENIDPNIIRNSSSQQKKIPRSSGQKTPWSANTGEISHSTNDDIEISCFQV GPVKTTQLSPNQAIVCQSRLEQYLTSNNVPDILSYLDEFEDLDWVKSNYITHIIEMIVKQ EKEYLKQRIVGLTAILTSKNYLLTRQIIKMIFSVSSRIGAQEGYYALYEIDNLLEQMIQK EELQQYHQIQYFPLILYCYAHQLLYWGLVAECWDLLKFKLSKEINESIKDEFEKTAQKIC DMLLRQLIELSNSNNHWVSLICIHLISSSISQLIMPSENNKSQWLNFLLTFMRDNAKIQP TIVTINTMIDQYFKNNQKDKAWKTFENLKLTSTKPDNFTYTTLINGLKNSDNMDLRLAFQ LFEEYKQYNQPDQIIYNCLLDACINAGDLNRGFQLLNEMKQSQSIQLDEITYNTLIKGCG RKKRLNEAISLFEEMKQIGIKPNRISFNSLLDSCVKCNKMNVAWRYFEEMRKQYGIFPDN FTYSILVNGIKTNHSNRDELLRAITLLEQIQETGQFKPDEILYNSLIDACVKFNEIQKGM QLFKEMKNKSIEPSSVTYGILIKAYGKMNDLNGAFRMFEEMKQKKIPINDVTYGCLVDAC VRNDRLDQALQFIEQMKSQNLPINTVLYTTIIKGFCKLNQTEEAMKYFSLMKQNQRTYPN LITYNSLLDGLVKNGLMNQADKLFQELVESTIKPDLITFSTLLKGHCRRGNMKRLNETVQ TMLHYQINPDESLLQLILESCLNQQQYHNGVQIYDQFQHQIPQSTQLLLIIIRLHSQDKQ LSSAIPLLNRLYQLLDESRIQHQQLETTINSLLIHPLDEQTYPIITKIVTLALKSDINVN NLDNLVSVDNSELMLLLQNTNQLPCTKLSQILNQLPVQDQQLCRAYISKNNKNDLKEPLN EQFGQVFLQCNSNGNNNQNKKTKPQERSSPFNNENKENVYHPKKQYNNYAKNDLNEYLNN KSYTKRR >CAK80849 pep:novel supercontig:GCA_000165425.1:CT868385:23918:25348:1 gene:GSPATT00015708001 transcript:CAK80849 MSNDQPFEDVDQFLHPLANQQAIPNMLQQAITQDQLLAQNVRLLQQLHEQQRMLNLQSIF TNNMLMNPLIQQQVNQESKKIKKANKTIKTIPSTTSLKDCAQPIQEIKGNVKNAYIKKIT SLLSVEGDKLLDEDLQEDLDLESYSEAQDKSKLAGLLGSNNDLLNSESKNKRLRQSAKNS RLRKKVYLKLLEKKVSELDQQIQEYKKTTRQSFEYLTQILQSHPILNSMIIGNSAAIDQV LECSSSDQAQLVLDSYLMRYGTCGIKRRDYVKYAVKNIQKNFLKGNYGLQLMSWNQQIQN CKEYYDTEFNQYVEIVKEEAKLEDDNLVYSVLPTIDKMLNHRKMSQQYERILLLDNYLNL IRISKTQNRINKRLRKQLISLHNHYLPSNIQNSQNRWRRWGLSIVLINDQYIFVLFQTSR NIKNIKQILISFTIFCIYYIQTIPLKFKFDYQF >CAK80850 pep:novel supercontig:GCA_000165425.1:CT868385:25465:27213:1 gene:GSPATT00015709001 transcript:CAK80850 MSAVGIRGERDQGQDVRTSNVTAVMAIANVVKTSLGPQGLDKMLVDEIGDVVITNDGATI LKQLEVEHPAAKVIVELSQLQDKEVGDGTTSVVVLAAELLRRANELIKIKVHPTTIISGY KLAARQAVKYIQSHLVHKITEEDTEILINAAKTSMNSKVIGPESHIFAKLAVDAVRLIKT QGLVSGKAKYPIQSINVVKSHGQSSNQSELVKGYVIQLQRASQQMVTKVKNAKIACLDIN LNKFKMQMGVQILVDDPNNLEKIRKKEMDVLKERIQLLLQAGANVILTSKGMDDLANKYL VEAGAIGLRRVPKDHLRRIAKAAGAKVVTTFANEETGESFDASCLGEAEEVYEEAIGDND YIFFKGMKKEQSASIIVRGANELMTDEIERSLHDSLCVVKRTLESGSVVAGGGAVEMALS IYLDDHSRKLDSNEQIAIAEFAEALTSIPKILATNAAKDSIDLISKLRSQTLEVDEKGYK FSGLDLVKGEVRHNLRHGVLEPTVSKIKALKFATEAAITILRIDDMIKLEPKKEQMPGRH >CAK80851 pep:novel supercontig:GCA_000165425.1:CT868385:27269:28963:1 gene:GSPATT00015710001 transcript:CAK80851 MSSDQQGDQKPLMQPFSVLEPEDKKASAYDSSKYEGKCVDPRLMTFCITNFVINVGISQI APFYPGLAADKAGLTYSQIGLVFSINPLGSILFSFVIGSFIQVWGRKKCLMIALVVQSSV QILFGCLNFMTDNKALFFSMSLISRFFQGMSRSVYSTVTFAYVPIFWPGEFQKKLAIMET MTALGLLFGPMIGSVLNYAFGYSIPFFVIAIFFLCAEVPTYTQLPPDSTMKSFEKKKKLP IGKAFTSIKVIVTIMNVMSITAGYTYFNPFFVNHMQSFGLSENVAAFILTIPAIFYIGMV NIIPKIGKYVKKTFMMSLALVICFSGNMLEAPFWGEGNTLASVIIGLILVGVSQSFSMIP SIPQISEFLAPVVTDPQFKNNLTDMASALFIMSMGCGSLVGPLLGGSVYDGFGGNVNLTG SPPQELIDQEREAFRGAMICLGLWQLTAATLFFFFGDGYKGWSDCCRTCSTGQQLPSDEG QQLTKPLNDDTSDDVMSDNDTLSSEASVGNDNDLLQGPPKKTITIRKEAL >CAK80852 pep:novel supercontig:GCA_000165425.1:CT868385:28963:30326:-1 gene:GSPATT00015711001 transcript:CAK80852 MKIEDILTTTSFSLYSQNIKSFLEQYFYHTLMKAIFFALLIALSLANFSGTDVHELTQDD FNAKVQDQKTFWVIVEYSNLSSEQTTQVTLAAEALKGMINVGVLSNGASTTLRVYSNGQA IEYPGEWDAQEIVNFAFDQVRDFAFKRVGKVPKKQGEKTPEPQIDESDVIVLTDDNLDET ILNSKEAWFVEFYAPWCGHCKKLAPEWAKLATALKGEVKVAKIDASVEGSKAKGKYKVEG FPTIRFFGAGEKVDGDFESFDGARDFNTLLSYARETNRRLKPLFFEQLVNQQQFTDNCLK STGICVLLFVPHIYDCDQECRDAYLNTYRETVKPLKSKPLVHFWSQAGDQYELEEQFGLS GAGYPSVLALSPKKQLFSKMRGSLTSANVDRFLNNLLNGKEQVSRFGSIVPIEEVKN >CAK80853 pep:novel supercontig:GCA_000165425.1:CT868385:30482:31199:1 gene:GSPATT00015712001 transcript:CAK80853 MNPKTNSQLTNPIQKDPKLNIHNSHFYNFIKNKRFPYINTNKTITLIKKNNHPHPAQLEI FSNDNGSLNKLEKHDEPQQPNKKILLENRLLPKINTSYAINQQLKESKGFNESRQYSKRK SQLLKQLMLLYQNDQTLSNITLALKDCSKTINPTQGQEKEQSKILEQRPQGKIQRRSQVL IEQNLDLSEARFSNSNSIIDISSFSITKKEIAEKRRSTCGRLRKQDYSD >CAK80854 pep:novel supercontig:GCA_000165425.1:CT868385:31222:32077:1 gene:GSPATT00015713001 transcript:CAK80854 MNRLLITELGDTMRLDLKKEVNKTPSSSKHIIKTTFPEIKNNMVGSSLFADIEKNDNPLY QKSLSDQALLNLSKIANLSLFGPKCKEQLKVQIREDLQMKTQNDYLNLQLERYVTRILDD KNLMTKRKRQLLNELKRLEAKEKLKQFNHMPTDLSQFCSIIEDTDRETKLMSIVNAEGKL LQLQRRYDQKLQEDEKLKQQIKINYNKFKEGQTMQIEALPVLSKDEMENNVKDIFSNRDQ SSQRFHQRINEKHKKQKEYIWRQHHFPNDHKRYLSN >CAK80855 pep:novel supercontig:GCA_000165425.1:CT868385:32385:32783:1 gene:GSPATT00015714001 transcript:CAK80855 MNYRIEKADLYIRQHRIIELFEDLTTAISYEQPADIKKFLIEQLQLKQKFGFKTGLFKPE EIDNIFTLFDLKQDGWISKKQAIDAFKVMAASQYQLKDESIFPEKVTKKQFAEIVGEHLG IK >CAK80856 pep:novel supercontig:GCA_000165425.1:CT868385:33250:34261:-1 gene:GSPATT00015715001 transcript:CAK80856 MSSDLQNQYENFKKTMKKAEYKEQFTFDYVQDNNPTVFLRILHYILIEYNSGFYKSLLDK GYELYSKNDLRFTEQVFKMLQFEFNYKSSINIAQFLSEQYLEHKLILINDIFNEVLQRTK KDKKVNIFKGQENSSHLQNTQKSQESNNKQKTQVFVQRENFQTEDDEEDIEQPKRPEPYK ITQQNELVQKMPSYNQEQYEELEQQCNYTLQLQQQPSPPQSNQKNEYQNEIQVLQAFKQQ INQVSQVNPIQTNNYIQQNGVTHQDLQKLMQVILLSNDNIKTIMQKFSELQTTVNIALAN FDQRLTRLELKIRDQ >CAK80857 pep:novel supercontig:GCA_000165425.1:CT868385:34514:34690:1 gene:GSPATT00015716001 transcript:CAK80857 MNNNNFIKEQDDLNQQSKQIQTLGLFEDPPVDSVDTEFEKEDALTTCQSVEEGSLSSD >CAK80858 pep:novel supercontig:GCA_000165425.1:CT868385:34966:35646:-1 gene:GSPATT00015717001 transcript:CAK80858 MLFIFLQVCVVFGRIKFSEIAKHKHHIPCNQLQDAKEYLDMIEHKDQLIEDSKILESYIG RTTKFENRDLTMQDLEGISQLLEYYDNTEDLERRDQLLQELYNQIVTMKSSIDYDLEQID DSQYDRISDLVNHSECEDVTVIGSFQPLFKQEEKPKKEKVQERIRLSNNSKTIKLPRRRK HAKKYVITKKPYEWDRDIKNYDDIPIPNHNDYPYMDEADEIDCFFD >CAK80859 pep:novel supercontig:GCA_000165425.1:CT868385:35853:36131:1 gene:GSPATT00015718001 transcript:CAK80859 MDKNEGLKEEEIKIEKVIRFDKTGCPIVRGKKTHKITFCDEVMAGKSVHNIIFVECYKQF NLPQNDIEVADECCILL >CAK80860 pep:novel supercontig:GCA_000165425.1:CT868385:36273:36524:1 gene:GSPATT00015719001 transcript:CAK80860 MEKVQSQTQLTSHTTLSPIIKKLNLRTDKRGFLILKGSKSHGITFKDQIKQGELHTIVMV ESWKQLNYDNKHKKKATECCVIY >CAK80861 pep:novel supercontig:GCA_000165425.1:CT868385:36550:38711:-1 gene:GSPATT00015720001 transcript:CAK80861 MQQVKRLHKDLTKPEKSHKYKQIIEELSEYFLFGDHQQEELFDYFAEHNILSLFYQKLKS SNHQLTIFIIERMSMIITNLQNPLNLNYVLSNPVLHDFINFNYDFNIPEIVDYYVNFLKT IAIRINRDNFYLYFNQRYCTFPLLWQAQKFINYPDQLVKNTIQNIVLSLSKLSSEPKSEK QTESVIYNQTKIMIQFKHYLTSSPFIQVYVKYIIQIQNLLESLNLDSQDEQDKLEDILMF FNDLITECPFLSTFLEKLILDQLIQPILDCLLLNKSNTIKVSYEVGLLTIYLFLTRLPIV YSIMSYFCKDQIYIDNAIQHQKLQKQSQKWVYDTKNMETEFQNIFLKEYDDIEERNNQFI DYKKNNEVQNPYKNHFLELLRSKHNTLLLLYLSIWLVAKQNNYQIPSLQIIKLLQLKEEI VFSKQVLELIILLLVNEELKELEQLYEDLKNKLISMISNLKVTNKKLSEGLSANWDIIEH FDWHKFKDSKPVIKFEDLKPYVDNSKLSEFKYVYLWVLVTCLIKNSQKQRIEKPYHINQE IELNLNCEFIQIMDSQHYLILDLDSGYLIYTCTHNNQKLGTVKFVQPLKAIQCSFINDTL ILESNKLCINSFKPYHIKCQKDVIPSVIDRIYQAQQSMSLLIINKLEALL >CAK80862 pep:novel supercontig:GCA_000165425.1:CT868385:39114:39680:1 gene:GSPATT00015721001 transcript:CAK80862 MFLCGGKKPKTQMRTLALESHLFTLRNNTEDIMKIFYNAEKMQLESQDKEMLIKGKKLQE LHQFNEATLVQQIEDQIVDMEKAKLKKQTKLDEIYEMEREILQNEIEIQVIKNLMKDDEK IVKNLQKCDIKFALDLQEKYRQSLEEIEEEMSQKPVMRSEGSANFQSQSQAQRRSSSSRA KLSNDQES >CAK80863 pep:novel supercontig:GCA_000165425.1:CT868385:39951:40378:1 gene:GSPATT00015722001 transcript:CAK80863 MSKLGCQKIRILYLLNIISDILFWAATAILYLAIKYTSIRRFQKYNYTIYSLIIIRLAYA FNLLVMEEGLTEEDATDCKFTSHEKIKKILFYLVENLFYVITVGTVHYLISILTNIKEQP KDLLTHDVKESLII >CAK80864 pep:novel supercontig:GCA_000165425.1:CT868385:40418:42269:1 gene:GSPATT00015723001 transcript:CAK80864 MFKAITFIFPLPTINLTNNRIKTLTNLIQSNGGTIALNAQTLMIVGSDATLESCQKQLQK MNLDFDQYKTQLINADWVSQSLQAKKLLDLKAFQLFLDIEQKQQKVSPQTTDTRMVYVES LAKTVPIKEGVEDEMDMESGEYTILKPELRDKCEKKRLEFKRQIIKENRFMLDYEYDKDL DNYHHQEDDGYEFLLDDFQILKKEEHDNNQQNKFYGDEDCQITEVRKPQIDVLQGLDMGK AVVNVDQPLINAQLKETKQFNPGFKQKIQFWETKKEFFICDAGFAQKCFNNQIIEELEKL LKIYTNEKDKGRCIAYRKAIGFIKSLAFPIRSSEDVKEMPTIGEKIKKKIVEIIQTGSLL KVQKLEGQDKNIAITQLTRVWGIGPTTAATFYFKGIKTLNDLRQNQHMLNKNQQVCLQLV EELEQRIPRDEATIIYEIVKRELDDLSGVPGLYKATACGSYRREKESCGDMDILITRCDG KIVEGFLMNLIQRLEGKLLTHHLTLPKKGEHDNESYMGIGRISNQGIHRRIDLKLYPKEQ YGCAVLYFTGSDQYNRSMRLWAQKIGFSLSDHGLYPTQRGTHNKKMWKGEMFIGSLDYNT NHLKRRSV >CAK80865 pep:novel supercontig:GCA_000165425.1:CT868385:42270:43144:-1 gene:GSPATT00015724001 transcript:CAK80865 MSTSQQEFFEYMSETLQTSQEGLMELQKSNPQNFQKTCREVEAQNKENTRMNLKQFKVVR KQRERNKDDDPLRDNDKKTIQNDTKQNQCIKLERQKEIIFTTIRKLGSKRIKLQCLIEEA IGRATSQTLKIDKQGLKNKRLIVYSLTTRSLLEIRQNRSRSLDSCFSFFCHLSKGNLINN LVSSCQS >CAK80866 pep:novel supercontig:GCA_000165425.1:CT868385:43973:44838:1 gene:GSPATT00015725001 transcript:CAK80866 MKTLILVVLICYSGSQMLRGSPKSLIEEEQAIFQSEQEDQMFYVGNSDVSAETLQGKENV NAEASLAVFKSDDTPMVYVEESTESTQNQNFEQQESLQIEKVDDEIQAQELPEATSDQAN FVDRAIVLDPEQDQQSSQNSQTDVVLNIAPKTEQTRNTFMFIILLAKKPFVGIKYPPMPV TKDRNYLSNSESLTIKLPAWSEQSNHRVEDDLEFFQFIRPSDVSVNEY >CAK80867 pep:novel supercontig:GCA_000165425.1:CT868385:44873:49033:1 gene:GSPATT00015726001 transcript:CAK80867 MQQEEKKKGDSGKMETIKIMNGFTDKEWNHLDRIERIELTLEDFGRMNVVQAFKNLKSLT LINVGITVIEGLDELTKLEELNLNENQITKLSGLKGIVNVKSIYISHNAIQKIEGLENLT KLETLWLCDNKIDAIQNLENLVNMRQLWLSANQISYLRTSLDRLKNLHDLNISGNKICSF KEALNLNRLPNLKVLAFYDPHFGDNPICNLCNYQTYVLYHLRNIFKLDTLIISEEQKSFA EGTFMKKKMYYNMRIKTMQRTFSTLCKLLKKGKKIKTDSLCEDISNLNIKLAQEADKDRQ QLLENKQEEFENQNDIYNSIKKKVYEYCNQSIHKLITELETGGNIRLEEGKASEKWYVSC VDLITSRFYSENMAKYGIKDIQIKRVVRIHNKFIRNKFEEKMESLVDVSNQSHKKSLEYL FYGVDPNFQSEIYNVIEEGFRGCQESKSIGLSAYTPLVNSILAADASRIQYILNGQEHNQ KLNKRFIKRRLFYQKYNVIPPGVLLICKVLMIKSVPDSKYPYFNPEQPWSEMFQKQSIDG RQYQDEYTVYRQMENDPKHRLWFVLDNNLVLPEYFVEFEYVMQSPLQNKVADFGSALGVL EQEDDDFITPANSNLQKENINDLYNQLADDLNTYQFENLEEYPTYELKAQDLDRSECASL KPALINYFKYCLSRSTLYELNPNLVGTPDLNEILKNQTQFLNLSNCCVQDIAFIKGQFHT LILSYNKISTIAGLNELPNLVRLDLSHNEISNLNGLQHLNSLEVLDLTHNNIQDIDQIAL LKYNSSLKYLCVVFNPINEYKETRKEIVMVLNNLQFLDHLQVTDEDKEKTNNQKQLITTA MLQTFSKVQMDWKQNIQSVMITHQKLSSMKGLEGLVQLRHLNLGHNKITQITSIQDSVLL EELNLEKNSIIQIQELDNMQYLKKLELGGNRISIIEGISNLINLMQLSLEDNAILHLREF PDLKSLMEIYLGNNNITNQKEINNIKHLQKLIILDLSGNPFARDTNYRAYVLYIIPKLKV LDGISIEASEQQMAKNLYTGRLTEEILYSRLQGQSANKITELCLQNCELRDFEDVFNVQQ FPQLVELDLSHNLFTSTKMLGFLPQLKILILASNKIDTLLYPNDVNQKKGLNGCQQLQIL DISQNCLKEFNGLQYCLLKELKIMKCEKNEIVRVDFLENLKQLKELDLNQNKVRQFDPQS FAGCNPIRCLKIDGNGLKNFQNIQKLYKLLHLFANSNRINDLPDIEYLVPLTQLKELELV GNSLSRRPGYRQMVLRKLATILYLDGREVTQEERERLELVDRQAVLPTMQIQQQPNTKVP VKLSSINFDGIFSK >CAK80868 pep:novel supercontig:GCA_000165425.1:CT868385:49693:49833:1 gene:GSPATT00015727001 transcript:CAK80868 MSELVEDMQKDYDNVKVSENDNLIVLLRRIEQRENIMGVREQVIKS >CAK80869 pep:novel supercontig:GCA_000165425.1:CT868385:49948:50241:1 gene:GSPATT00015728001 transcript:CAK80869 MKQVIYEIEEIKIVADQQLEILKSQHQIAYLLSELDGILESLKQENEYLKQQIKRFQEKQ ENEITKYQVRQGLISGRFEEVERQNELFKEIGSILKV >CAK80870 pep:novel supercontig:GCA_000165425.1:CT868385:50564:51611:-1 gene:GSPATT00015729001 transcript:CAK80870 MKSNKLEKYEKKEKLGEGTYGIVYKALDRNTSEYVALKKIRLESEEEGIPSTAIREISLL KELNHPNIVKLMEVVHSNKKLVLVFEYVEMDLKKFFAQFPKEKGMEPVIVKSFLYQLLRG IQACHQQKILHRDLKPQNLLVSKDGILKLADFGLARASGIPVKSFTHEVVTLWYRPPDVL LGSKNYNTSIDIWSVGCIFAEMSNLKPLFAGSNETDQLKKIFRVLGTPSPIEYPKLNDLP SWKPENFEQYQPDNLAKFCPRLDPDGLDLLVKMLKINPDQRITAKAACEHPFFKELPEQV KKLYVNVK >CAK80871 pep:novel supercontig:GCA_000165425.1:CT868385:51613:52040:-1 gene:GSPATT00015730001 transcript:CAK80871 MARAAKDTRPIADQATSETACTYAALILYEDNQDIDATKLAKIIKASNLRVEPIWTKVFE KALKGKKVGDLLHGSSGSAGSAPQAQTTSTPAAAETKKAEPVKEVKKAEEPEEDVDMGGL FD >CAK80872 pep:novel supercontig:GCA_000165425.1:CT868385:52082:52949:1 gene:GSPATT00015731001 transcript:CAK80872 MLFSISPQPPFQIHHRKQTTFQVINMVDHPILFRFKNDIHIRIMPSYGMISPNDRKLISV TNKTSQYDIDVQLEAINYVEDYLEMLEYSNPQLWVEKQPGVLATQTLSIRMNINTDSSST QQSDKKQTFEEVIRQENLQTQRSQPTFSRFQEQIVHKNSLDGQESRTSNISNNNPSISPI LQDASRISANMSREVKQPTFFTEAYEIPQEPNEQFQDRMDPEQSYNNEPYASGNFKCIDE EKSNHEVSGIRSQTSIIKIEQNQIISQLKFSSKQTKSRQAKTN >CAK80873 pep:novel supercontig:GCA_000165425.1:CT868385:53038:54986:-1 gene:GSPATT00015732001 transcript:CAK80873 MISSSRKLFGNIVLGKSLVSTPVFFAGKELSFGQECRQQMLRGCDKLADAVQTTLGPKGR NVVIDQAFGGPKITKDGVTVAKAIEFSNRFENIGAQLVKSVASKANDEAGDGTTTATVLA RAIFKEGVKSVAAGLNPMDLRRGINLACEAVVKDLKSRSKQVKSKEMIENVATISANGDV EIGKLIAELMDKVGEHGTITVSDGKTLHHEIEFVEGMKFDRGYISPYFATDPKTQKAEFE KPYILITDKKISNIQSILQILEHVVRENKPLLLIADDVESEALAQLILNKLRGGLKVCAV KAPAFGDNRKAILNDIAILTGATVITEDVGLQLEKSDHTVLGQCKSIIVTKDDTIIMDGI GSKDSINERCDIIKAQITESNSEYDKEKLKERLAKLQGGVGVIKVGGASEVEVGEIKDRI TDALNATRAAVDEGIVVGGGCALLYATRVLEKLKGDNFDQNIGIQIVKRAIELPCRTIVD NAGEEGAVVVGKLLEGKDEEVGYDASKSQYVNMIKAGIIDPTKVVRTALVDAASVASLMT TTECMIVEGKKDEKAGGAPNMGGMGGMEGMY >CAK80874 pep:novel supercontig:GCA_000165425.1:CT868385:55088:57458:-1 gene:GSPATT00015733001 transcript:CAK80874 MSKKQKHTQKQNKKVLSQDINESDQTHYFGLLGDDYDNNDNIKVAQKILGDYKTLLEYPP SKFWATVSYNLNLQNSLDLFLRYAPRKHFLKEPLHFTGYYGVLIKEIFKCVLAIYLRLID LQNEDPILFEQIGVHDLVYQKWIFDVMKLTDICTIYADQNENAVKKICSFVFYYQAYQDD YKSTIESFMRNVLGKIYEEMFQINNKSANESEIIISDVEQKMELLTLILDSIETLIIWAK YFPQKQYLFKPEVVQCLEQVFYELILAKGYSRGATQITGVWKPQFLQNLHHFLKLAVQRV IDFFVIYMKYLEELIANKQIKQSQEHMNYLIQNFGIMKRKFKSKKGGSNQNKEWILFEAL YENGFNMVELLLNIPWNQINLPKEEIEEVTLLMTTVIEERERLKGKANNNEPENFEVFEN FDPAQSTLPLDLIEAQEKQEAEEQEQEIQEDEDEQVQAEEKLVEIVSKKKQKLTFDQPLK DRIEMAEITAKLYNDEPDDTYDYQEALQRPEKEYTSSDNDELDDYVPNDHFNRYAKPLKK QQINPGHSMSQQNLDYNNDKQIKILKNPNKQQQQNRQKQNEEGFSDEEDQFRRDNGNRYN NNNDNRPYQEKSMKTYIKDNNNYQNNNSEYQRKNNNNNNQQKQDYRNKNKNQEYSRNDDD CYKRDDYDRDDRTQGNNEQKKVLQKERNRDGGYVGTNKPKVQQEYEEKQEAKQGQQTNQK QNNNKQQQNKKNLNSKATPYDIYVPKEESGNNEYQAQEQRQEKKQYRGNKRY >CAK80875 pep:novel supercontig:GCA_000165425.1:CT868385:57484:58289:1 gene:GSPATT00015734001 transcript:CAK80875 MMNSSADKTPLFKFIIIGESGVGKSCLLLRYTKDEFVSEYNVTIGVEFSSKTVEIDQNSK IKLQIWDTAGQESFRSIVRSFYRNVTAVFLVYNITRRETLEKLDGWLKEAKENAAPNIVT VLVGAQNDLDDQRQVSYDEGKSFIDEKGINLFFETSSKNNENVELVLTAKLVFLNYINET IRKNDNKASIALSEQRDNPQQQLQPQKKKKNDDSGCC >CAK80876 pep:novel supercontig:GCA_000165425.1:CT868385:58293:59638:-1 gene:GSPATT00015735001 transcript:CAK80876 MQIFNEDIHFDTELFFNFLLPPIIFAAGYNLHRNHFFDNFWLISYHGIICTILTFIILSA FALFMNETSLVQQKMGQDEILLLTATLCATDTVAALTLIKEKEYPILNSVLFGEGIFNDA VSILIFRSVKKYLSEDGGFSNLSMAISLRLCVDFVYLLLMSLIVGVIVGALSSLLFKYCD SLFNHPVKETSLILLLGYASYLVSESLHLSGILSLFCCGIIMAVYTYPNISDEAQHGTSL AFDTIGYLVEAFVFAYLGITTLQVDYKQIPWGFSLLLLLSVILARFVTVFLLPCCYFLMN KQFKLNTQELFVVWYSGLIRGVIAFALCITIKTSNSEIIQGCVLVIVLITTIFGSILLDS FIGLIGMKRVDPELNQINEFMLSQTSETDASVSYYKSIGEQKAKLKKGLWGGIEQNFVRP IFQKPKTNQVSEISMHTM >CAK80877 pep:novel supercontig:GCA_000165425.1:CT868385:60192:61974:1 gene:GSPATT00015736001 transcript:CAK80877 MSDLMSLHQLAQLQKNFMKRKILINLEQTKYKVIEEAVQLLEWKVTPSEIECHIFWKDTY VTDEEYRRLLPYQRINHFPGSYMLGKKNELCRNLNKMRKMFPEDYDFFPRTWQLPYQSEE VRQKQGTGIFIVKPEANCQGRGIFLTKKLDPFLDKHYVVQEYLCNPYLIDGLKFDLRIYV LLKSIYPLKVFMYQEGLARFSTKKYVKPQKKNLGSVTMHLTNYAINKRSKDFVFNDDTNK DDVGHKRSFTSVLKYLQDQGHDVKQLLNQIKQVIVKTIQSVQGELSHLYRSQQHNNDGIE QCFELFGFDILLDSSLKPWLLQVNHTPSFSTDTPLDKIIKKNLIMDTLILLDVKNKPKKI YLEQKRAPVYQRPMKMSQEEKEKKSSKMSLIEDKHLNGYIKIYPDENKDYYEQFMPPKPK ERDSMINQQLDQVKNLEKTLKIQVEIKPPKLKPAKRLSTNQSFTELEGQSLQQLTGRNTV IPQARTTSQQRPFSSITAINRPISAIRKTVFPNQHQNLNTSQNQKRLVYPPPSELPPKPI YKMIPIKTFSFQKIENNQKNE >CAK80878 pep:novel supercontig:GCA_000165425.1:CT868385:62346:64635:1 gene:GSPATT00015737001 transcript:CAK80878 MWQEQNRIPKIERQVNHQHAPPDSFQGSLSDSSICNVDDTCREQQAIEIKQVTLQNFQSN NIEIVLPKKKEKIFSSKLKTCFVDQQYEENFIVNKMQTGVSEHITKNPFQSTQQPIRKLS DIPSVTDRESYSRQNSQIDLHSQKESKQNINSIKQVPIAGKIDRSKVAASYSQLASKLYY ADQSPQIINNPQKLQQKALIVHQSLNKLNVAQSNLQSSLNINNCSPKSSNQKYVLSEQSE CFQDLLKDKKQPQQSTQQSQTQQDQSHRQIEKILSDNEILFKQVNNGKQQIFTEGIKSEA NILRQPIIAFKKHNTIVNFKHVIQSMLNNDVSKFQMLMKDSKFSYLNQHDILGNTILITV IKLYGFHKKYDELLKEVLKMNPDPFIKNKLTGWSAMDESLSQRSIYATALLFQQCYRIKR NEFVNQFYQLSTVLQQVPNFQLDMNWNFDSPLPFIKLLAPNDTIKLYKYKQQLRLDSTLV GFSKLQCKRRNMSLLFKQNKLYQINRSNQFYTDPLEELDTEEKKLIIYDILHSEPVSGAL DITSCTIKQCVDWRGRKIIEQVGQYSCEKQRFDLKITYKSSYLKKNNDTQIKFAVERELY EEWILNDVSPNTDLLLNQKPKEQNETRTISLWICKNHSLQFKDFANIVSLLAKGNHLMEK LNGLFQRPEMQEIIELNGFPIKVQIPFQFSIHATIQCCNFVSLENAEELFTIPNIKYLPR KEAQKILQTKKKRLLLANLYL >CAK80879 pep:novel supercontig:GCA_000165425.1:CT868385:64744:65805:1 gene:GSPATT00015738001 transcript:CAK80879 MHKTYQFDSNQKPKIKDLCLEDKKKIGKLIKRLAQEREEKEILLKKLADMQQSEQKQQRL QTEIEKLDEEISQLQDQEKQSHYQTPQLQTQSKQKLLYKFENDDENIHQSPNFKVLNQIN QDQKSLPLKLTNKYKTSMVQQQSQQQPVVQQKKSSKKKKEILMKKIQEFEKIVNRLNFSN EQSIELTTNRKSEEQNSQLNNTSYLQNVFQKLLNIEQQSLPTQQTVRSEQQSEIQQNQFQ QPPQYNEDDLIIQLLNSDASSYKPKNQNTLNNISNVSRQKQQHSRNNSTILQNENQQKKQ KITFDQIPSPIVQDAYCKNVVQKYNSLLQELNRQQ >CAK80880 pep:novel supercontig:GCA_000165425.1:CT868385:65949:66356:-1 gene:GSPATT00015739001 transcript:CAK80880 MGCTCKGSKKPKTTLPLQVIKFSSQQEWKSCQMNIMKQSIINIAIFSLLRVVPMLIKTSL SISSMKVTQVKETQLFQLKVQIQSKVNKRCDSLMLRFMYHKLYYDYFCFTLTSKTNPILQ QNIKIIIMTCKQNSQ >CAK80881 pep:novel supercontig:GCA_000165425.1:CT868385:66383:67061:1 gene:GSPATT00015740001 transcript:CAK80881 MVNLRLQKRLASTQLKVGVNRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKVLWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKAQRVKKTHNKFAA E >CAK80882 pep:novel supercontig:GCA_000165425.1:CT868385:67118:67721:1 gene:GSPATT00015741001 transcript:CAK80882 MLSNLIQADNKVQPAAQQAKGKKGKKNQGEDQPQQVVATLGPNVAGNELVFGVAHILSTW NDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKL RARGGVDTRQPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK80883 pep:novel supercontig:GCA_000165425.1:CT868385:68451:68696:-1 gene:GSPATT00015742001 transcript:CAK80883 MLNQMKNMGIKQKDLPVLEKYETQYLFYYFTSGKLVVFNKEKQIRITELVDTINIEDINP LQANRKQFIGLNQSLEKRKSK >CAK80884 pep:novel supercontig:GCA_000165425.1:CT868385:68787:69193:1 gene:GSPATT00015743001 transcript:CAK80884 MLNSNTKQQSSTDMKQKLLNILSEKNNKVTTKQKPCSSLDYYSLQRKLSQRSHKLPVKMT KFERERWLFEMLTNQDLTQQKVKEQEFKEIYVRTTKLITRYRNKEIKWNSKREALQKEIG LLRLLLQQN >CAK80885 pep:novel supercontig:GCA_000165425.1:CT868385:69236:70240:-1 gene:GSPATT00015744001 transcript:CAK80885 MEFIQEITLLKESFLEFIIKVTPVFTESILEQFNSKWNPLKKLLSDPSKSASDVFLLVSS MSSLKKSTTSSTSATSPNSDCSVQKITKDRQFSQEIQLTSRLKRDNQSKRAGQSVIVQRA QNRQSLYQNNIFLNTPRARPTSQQEIGSNNEKMYEEDMKAKILKDDIKCMMLLFTELVLN QLRVQTPEKKVSVTVRCNLGLKLSEAISQFRKDNFTLKTVHERSTEIMSPQSERSESPLL FVYRPKNQYFQQPVLMQQQQAGALKGYSIYSKKGYIQPIQFDVQFQMLRQQHQNNITYRK LYI >CAK80886 pep:novel supercontig:GCA_000165425.1:CT868385:70580:71386:-1 gene:GSPATT00015745001 transcript:CAK80886 MCIIKESIQGWPISSAHSLNQIRNQVATGQISFEGSQSASNMNVIHWSNGYAKMAQTCVE KCPLKASTCGQFQNYGVLFFKRHLNQQMRTNQVMEKWFKEPENAKQLLTARSSAFGCGKA EDNASEYIVCYFDEKYTGAKQAFLAGPVGASCKLGRSKMYSGLCATASSQTLIHQSTHIK NKKQKKQKKQKAHKKNKKSNENEVAFIAMTDFKEFV >CAK80887 pep:novel supercontig:GCA_000165425.1:CT868385:72106:72459:1 gene:GSPATT00015746001 transcript:CAK80887 MGSILNKQEVASRKLSEMQQEQKSLHYTQEVQENEQARIVIQTYRDTKISRKVHNLDPEV KLTFSKQFPKKQDNSNAQGQTSSIQQKETFQLSPRSPDQESDCDWQDIYGDRLFHIK >CAK80888 pep:novel supercontig:GCA_000165425.1:CT868385:72516:73161:1 gene:GSPATT00015747001 transcript:CAK80888 MSTSRKLENEYNHCIYKMMYTLAKRCVKAITGESVDHQKFAALVGKQFGILTKLEEERSI QFKFSESLSELTELLGLYGTYLNIREEKHDIIRISQQKQKVQIQQQPKLLEHSRKNLNPI KNVSKSIDQSTVKQVAIVRPESDLRDNKESRDSSYVKEMKEINQNIPRNSFFIQRRSIQT ENGAQKTLEQKEREFLERFRQRLEDH >CAK80889 pep:novel supercontig:GCA_000165425.1:CT868385:73208:74406:-1 gene:GSPATT00015748001 transcript:CAK80889 MYVLLLQLVILVYGYPILENGQLLIGAGGLACEKDTITHIKFLNVYKAYVPQVIFVLSNY TNEMQFSDFALDIHVELMKVNTTGFTIQVVCSKSCIKSVLYTWYAFGGDTYDSGCFPLQE KAQVTIEQIYTSPMQHSVFISGFAGFFKYGGRKPMLKLYSSFDNQNLTIQVESTFQYVYI CYILAQDMSSTTLNDTEIATLVQKGTYLDDTLIMGMESFQLFGNNLQIQMLNDKSFFPKQ IDLTVKVAKLYEPTYRSCPKVYTECMFQGDPIYVCDETVKLTNIWKEFKSLRVYDQKMKF KLNIVSNDNILWLSEDIDCSYDMYDQLMEFKIKNQPIERQIIRKRKMLGMLYDEETEEDQ EDSEDTILIGQA >CAK80890 pep:novel supercontig:GCA_000165425.1:CT868385:74466:75500:1 gene:GSPATT00015749001 transcript:CAK80890 MLAGREQKPIRLTRTNRNNLESEQLPDYLRSSFSDTVKVQEPPKVYKGKKILPEYAFGNS LETPSFFRNPNTLICRDTYDNPFIKARVKPRSKWESLHSSTLNNFEFYNVSEQKFMNLPN QGYKNVKIVNTVTNKVHYVQTPQVKGDEQTFQLAYRTLQKTGLFGRKNELFTDFVEKNDN QNVYGHLNDRQRPKGHLRDVKNYSFTPQEFQIHKRRASHYLNSSSNKVLQKSDLPIVNKN QQQKDQQVEKYFSRDQSYLNSFNNSIIVTQIDQQLKGKKRDRYRYVVMQICIKFLFKDSG LLGK >CAK80891 pep:novel supercontig:GCA_000165425.1:CT868385:75577:77755:1 gene:GSPATT00015750001 transcript:CAK80891 MKSQILPESIHRQYFANHVNSLKSIKARKNKVEEESLGVIRQVSMIKKIKHQVQAFESIE ENKSIAYSNQKIRQAIMKITNQKRAFPKLPHLRKEKTEVSEQIERENKRLKKSIENLQNS LTYRTNHQTIKKSYQQVLNYNKMNNYNNDSEIVNSSIGPLAVRRSTLNFTFTREYDLSSD SFDKAIEILQKNLQLNEQAARIYMQDILREFRQPRILILLSYFKALESQEEYFDYIEQTI TSMEYKQIKRGNIVFHCGERGDYFYLILRGTVVVYVPKKEGELASQKAILMKINQCKEDL AIAKKKEEQKQLQKQLQDLQTQLQEYQNPEDILLFPFKSRYYQKLQSGQMICLYKKVNIM REGECFGEVSLFRNEPRAATLIASDTLHLGALNKSNYLRIFEVKLEKLNFTLGMLSKLFP QSSKENVIQISFDFQKKIYTINQVIFKQGDVVDGLYLIFSGIVEIISDNVRVNQFCEGQF VGLFDLKKPESRTYTAISGSYETIIYFLPKKNCTALDKFMRERMNDLRISSETYRQEWVK KCHNMIAMQKKESNKAVLKGVNTKDILQQCILPHRIKTYSNTISRDRINEIVEYNNVQSS LKEKLHNVKLCLQMRDFDKEKELVEKMMKQQHTLLPRLRERPRNLVETYTVLMSKVSKAS PQAMFESQNQSEHLTRNIHVDRMKCSLQKKKSEQIVNQIIGI >CAK80892 pep:novel supercontig:GCA_000165425.1:CT868385:78030:79155:1 gene:GSPATT00015751001 transcript:CAK80892 MIGGVQSRLRKLDIYRKLPADLTEPTTAGALISVISTIVIVILFTTELQAYIEVDNSSEM FVDINRGGEQIRVNLDIEFHKFPCDILSLDVQDIMGSHVVNVEEQRMERQFLKKFIQIMK DTIIIINHQQILRDVKIAGYIIVNKVPGNFHVSAHAFGGILHQVFQRSQISTLDLSHTYQ SYSHLVKKDDLVKIKKQFQKGVLNPLDNTKKIAQPQGGTGMMFQYYISVVPTTYIDVSGN EYYVHQFTANSNEVQTDHLPAVYFRYDLSPVTVKFLQYRESFLHFLVQICAILGGVFTIA SIIDGMIHKSVVALLKKYEMGKLS >CAK80893 pep:novel supercontig:GCA_000165425.1:CT868385:79169:83627:-1 gene:GSPATT00015752001 transcript:CAK80893 MNYSILKQTFSNSIESEESKLSLIYLVTSNSLGISLDDLITLFPRCCQNFIAEKIVTESL AYKQFLSHFITEDSEGQKVYVLGRDKFLFDYLYKTNTSYLFSKAYKYLLQNTIEIQHLDS FDRTLNPLIIYEKQHQKDTSNPLHFQLYRISNIELLLREGLKKYLFTFTQNKEECYSLIQ QEKYYETTKINFSNFEIDQFHIELSNILANFQTSLNQNFSSIYQFLKFLLGCRIIYQLNK QHLRNISVKLICQSFCKQFFDHYLFIYTEFHEYMIKFIQMECDSLTSGILTNSLPNLSIT ISAYCQFYRINKKILNFDYKKHIKIGDFLDFYFMFDLLYLQFSQVSSIEEYAVIVDYYRL NLKNPYPNVLVREILQNIATSIDECQKPKNLDPFDFISYDVSPSRLMTKLQTFLVERHLY LNNYEWQFFLFETFSDIKANKNLLNDEQFVQKKIKYIQENYEDTKKINQVEHIFINLLPL TSQKSLSDYILLVLTILDHSLFIKLFSLIVYKYYAHSQHTNMKVLEDLQKFLCIPEYNYL LIGIIKLINQWKNEIKNSKDQFHIALDSVKWKYRQIGLVFFRCGSFIESVYYLEKYLAKK NLLFKFDLKKLSHKQQEKLHNTILYINMAKSHLNSSIPPEQIYSRLNFNFPFNPDSLLEV GNNWYKEQNVAMKMRQKQVPLDVQLYNFRELNSKGQYLNILDPSRLDKIVISLISDISRY VSQDMSKEQINQSIKYVKLSIALSLKSTSLSKIICQNIIQTSYIIKRLENYWEGIQGKEI RITFVKRFSQQYWMYLNTLVKRSSKQYKIINYREQYAKHLMKIDKYEEALAICKKKQNLI QCRIYERMGYYYKAISLTGIDPFTYSQNKGFFITDIQNCSQKIKFLRYLIKDQLFHRERV LQTYSDIWSSKHLPPLSRYFENKKKFSKFCYHYAQELEQEYKCKCDSQNQQNCLKLMDLF LSSVSAGDKYVQQSFPKIFRLWFMHKSKDQFAQKFDSYLNTISCVKLQYCLELLITGVET FKNDRTSSRSLAQALSILASNYPSQMIWWLAPLKNFNQTSQHKILMYQEVLKLIPEKREK FEELEKQFNVILRICQQKSKINQQQGWAVENVSSQLLSEYNKTREKIIYPGIENLSQPFK SEDNLVKILQIYPQMKIAPSKDKPKKIQVECSDSSIKYLLLKNEVQDSKSSGDTRREQRI ILMLQFFNTLLEPLQLKYPLFASMSLNQLSQVIEWVTQTTTCREAIGVSTVGAKNRQIYN QTEWKQLNEQEPKLNNYFFSQYQDPYIWYDAQQGFIKSMALWSAFQYLIGFGDRHCDNIL IHESGELIHIDYECVFHKGKFLPVPEIVDFRLTKNLRYGMGYLREQGQFRQILEQIIEMF QKHKDIIFAFLDPYIYDPLLCRTSSDVIELIKYKLNNQINVQNLIERNCDSEILRQMYYG WAPYQ >CAK80894 pep:novel supercontig:GCA_000165425.1:CT868385:83657:85071:-1 gene:GSPATT00015753001 transcript:CAK80894 MANSSVVLICIQNPDFINITVTHVYQNFIQFGTIEKILIFERNKPIWKALVQFDSVRSAL NALQLNNTIMHGLSILVYESNRKGLDFQARNQYARYYTAQQVQGIVHSTELPKTDSINKW LPHSQMLSPQTGMPSIPTQFAEALNFDKADGASDYDSQEEATEDKQEELSNQFVKCMGDN LTQLFQFSPGQRISTSQQLPNSIQVEKQIFQSEILPQSNSDHFYLEKLITKVLYANWFDP KQTSMTMIYNIFSTFGNIQKMIFFKTKCNVLIEYSTEVSVKFLLTNFNEGNPTLFGQKLK VYPSNYEFIFFRNCEEGQLPKNTEEEEFYLGNEASFRYKDNNFKYLVSPSQQIMLTNLKK EFCEEGIIYQTFSKFGQIEKIKIFCEEKNKNKCLIRYQELDSAIQAMAIMHNYEYNKRKI QIFFSKNKT >CAK80895 pep:novel supercontig:GCA_000165425.1:CT868385:85245:87223:1 gene:GSPATT00015754001 transcript:CAK80895 MPPKAKKIDPELQAKQFEQWKESEEYRIWSELQIIYKSMDNNISETSKDLTGNWQVYHDK LLEVCQTFKCKSKIKQIEHCHLRSAFFAVEDVEINKVVVKQYLDGFYYSVEKQDKDRAKH VKELLAKISRTLEDHKFFDMNAENYIAERKAFVGLLNDFLKKLPLLIKSSHKVIEEKLML VLGPLRALLEINKKMMFFDLVNTSNQARQTKDFILKADIEQYCICLQEAQRLLLESKAIS CNPNVKLIFNKLGYEGWQQNKIESFYLTPLQEAFDKMRNNLLCLMLKGINYYKAPLMDNT QFVEDVKELIDAELIAEHLMGTSLKRDQLNFTYNVLSVIFDSNAQAKEFLIKRDDNCVRG SIPKLITYHTVLYMRAWKDRKIADELKEQKLQQKTQPLAQSNLFEAQSAMSGMSPDKKRQ ADDELRKKEEENMKIQEKQDFEKYGRFWIWEYYAQDQMKANFEECVELIRHINKAVQQDI EDVIIKEGMVPKTRSRQVQQNDPSQMFNKLQEKDNANVYVIQRRPPELWNYPKIVEEQHE FRAIAKPRDCYKDGRIQVLESKMEQLSAHLESNKPQSWNELVHRVIDALSNQYNKKPSAI EPGK >CAK80896 pep:novel supercontig:GCA_000165425.1:CT868385:87236:87823:-1 gene:GSPATT00015755001 transcript:CAK80896 MNFKQQESLSKKKSNKTLLLKGDKINVNKTKSIPNTPTSSKLQHVGQSARIHTVNGTEKN EKLLDPKNKRITRIQQMSLDSEPKHLFRKASQLTIQSDSDLANFIQIQTTRTTRERKLED QNPLKSPNIQFMGKEQKINKFQECEQQKNNKILDLLLLNTQQLKDIFQKVKAPQIRQKPK INNIKGFPSDFFSIQ >CAK80897 pep:novel supercontig:GCA_000165425.1:CT868385:87902:89110:-1 gene:GSPATT00015756001 transcript:CAK80897 MQTLLQSKHSQIQLSKDTLILLYSKKIRQIKRQNYIQKQTDSEHIRLKENNFLAIQQSQI ELRRSFLNQSRQKKIIDSIQQNQSLYLNSENKKLNFDQTIQGPQILNYQMRYNCRNQDSS IFENKIRYSKLNLVQQQEISEVQFISKSNRDGKGQTYKTLACMNYDQIGQYFKLVKKFFN LDHQNIRTIIGYNIEDPQETNLSGQICILTQKYNYNLRTFLQKNKISTKEKWHMAVQIID AIYYLHQNEVIFCNLHPNNILIDGDTRMPVIIDFDLQFDKDYLIQNIWPNKQLKRRCHCL QRRLTFIQQDASYFIYFLVVNFNFRNHSTYHNKFYYQSFSYSDLRDIPRFESSFICKGWF SNTTEFRCLTRKSQSDYIKMFKWRD >CAK80898 pep:novel supercontig:GCA_000165425.1:CT868385:89526:89952:-1 gene:GSPATT00015757001 transcript:CAK80898 MIECDGSFHQKIIPMIKKGWFNLGNRFPHFECKSKAYINQLVQTKRKKVQRRQIWIYILI MKQNRKEP >CAK80899 pep:novel supercontig:GCA_000165425.1:CT868385:89969:90415:-1 gene:GSPATT00015758001 transcript:CAK80899 MNILIMKNHQLTSYPKKMHCSQKNSCQKMSNSKKKKSNCLFEASLLLVEQSGSWRKNNQK MLYIHKKMRLEKEFLPYYLMSLLYFQTSRIMDQFKLNPIRQNMERLIKEPHFGQLLIDQA LEAMKDFGYAQIAYPQKQLIKLRSVRLY >CAK80900 pep:novel supercontig:GCA_000165425.1:CT868385:90434:92129:-1 gene:GSPATT00015759001 transcript:CAK80900 MKLFKAFCQGKSILLKDTEKLTKYFDLCLKTPITYNGIKQSIGYQQIMVAQFNSKEFNKG IFPQFISLIGRDFKELDQQEFNQFIQSLSCYVESALNKNVYDKQILENVLNQIGLNATYI QKSQFYITTLSNSGFLKVLHQSIEASIKFQNELFKQAPQTAEEFLWRLFIVIQQLQWRKK EQGHKEIFQNVEHQIMESFINQIEKIETIQPKLTNILSRFMLLKYYMMLKHQYPQFEDKR IQKYLFSNYLNSHNYILENLSSLNSFEFLQYIDIFSKSKDLTAKWDLILNEINSRNLNEM PIYDVVSLTVNIMDNKKMTPQIGNRLIQHLYENADSINPQSYAELFKALHYMNINQGLKE QFLTKIQQKVVPKDLPLHHVSIILFVLKSFKKIDQNIIEKYLVGIQNRIKDIDNYSAMGI LAALNSVSQKNPLIFAQIQTLKLNEQQDQLLLVEILRYIQLHDPQNEMSIKVQEAFVKHF NKEANIVSILQILEILRQFDQKTQKKITLIPELKKHIKRGVEEFTGFISAKKNDNEQMEF LRKNYQIDV >CAK80901 pep:novel supercontig:GCA_000165425.1:CT868385:92293:92949:-1 gene:GSPATT00015760001 transcript:CAK80901 MFLRKAKNQSFNLCNIALKCEDNNKQRQLWILQIGLMLEKGGKYVKQIVQLTREIPLIKA DDILPYLTQNIKLDDFIDEICETLEEYHDQIEKQQNELEGYIKSNENLKKLLLQTSNRYI FVSQKTKCKNCFRKLFQEDYIASECSHGFHRECILQYVKSNPTVLDEKTYLSMLYLENSQ MILKNCKYKQTKVSLMNRFLDFHCFELNYLKQLKKCQE >CAK80902 pep:novel supercontig:GCA_000165425.1:CT868385:94615:96296:1 gene:GSPATT00015761001 transcript:CAK80902 MSKLANRLPDVDNKSFRDQRQIQELKKKLDEQKEALQQLVTLVKESKTESYKPRYKKMPK FEQDQFTLELLEEVKTLRRQVNNIENGPKANQVQNPFIIPQYLPVPHQPQMQQMMPPYMY MNPYFHMPQPLMYQQSQNQAPKKKADPYKKLVLKILQKGEQESHRSRKDSIYSDYSEDYN DRQATIRSRSQKDKSKDQARDLSQQNKTSKGSLHDSYVSKLTRSLAKIRSKKPREFTEEQ KLLLRRKLCGAFWYIRIGLGLQKYLKGVWLDRRRQYYQNEAQQLIEKFDHEFNHKEVFIL FVVECNKNRYFTKNWNIFDNKDIELKSTIVFQILTVLFKKMSFLTKNSLSDEHKQFVKRI SSQGGFLLPGHPKFVSDRVLLRPSVTIGAINAEVSKMIQMDYVYIQVIVQRVLLIHEWYS QYVKIPNHKEAIKILVSVLHQLYIDRFSYLKVYENNDAIYNKQQVVYCDFAQQNYVDVAI MIDESHENYEPTTNCCILGLATQEEMQQLYDQPGYKELQTMFKDHCDYFYSQINF >CAK80903 pep:novel supercontig:GCA_000165425.1:CT868385:96366:97877:1 gene:GSPATT00015762001 transcript:CAK80903 MKLLDNALDQDTPDVYYESTSRYFQLILFLGALVSNIMFGFSLSPITKEISSIYGVSQTW LQLLSVSFTLFSAIMIIPGNILSEKYGIQYNIKLGCLLTFIGSLMALLVNYSFWWLYLGE LVSLVGFPFRLISASKFTANWFYPKNRIIIMVIIALLFNSSTGISIKIPLFIFGDYDVEL DALNNYSQGRTYVFQLMAFLSGIMFITTVPALFFFKAKPKHPPSYSASEQCVRENYTKAA RMLIKNPDYLKLAFAFSLILGPVLLLTVQMEFVVKPFGYNLEQISNVILVGVIAGLIGDV VVGSFVKKLGKYKIVLQICNASTTFFYGFLILSLVIKAHWLFYICYFFVCLCSSILALTF EFSCEISFPVSETSTIAYLGLVGNGFNFLQAIPEILILQIGTELTSIVTLIIMFGIGLFG NFISYSINEKLKRQQVDNLHDTKQNLESQCDTD >CAK80904 pep:novel supercontig:GCA_000165425.1:CT868385:98053:98378:1 gene:GSPATT00015763001 transcript:CAK80904 MNNYQSFSNPVYEPIPTPLTPKYEALFSSQIQNTSNSNENIDQLKQESHQINSVRKSAQF ANEIRHSARSTLSEVELRDIVKHKNYVACQIF >CAK80905 pep:novel supercontig:GCA_000165425.1:CT868385:99685:100137:1 gene:GSPATT00015764001 transcript:CAK80905 MNQLLYFNDIQEMQFYAKRNQLSLFVYKKAIYDLTDFITQHPGGQMEIQQFENQDVSNIL FQKSIHKHKPYIIATLQNYLIGYIEKRNEPVIRLKSSQLIIEKTRKVLRESTLPQQQYED IPEDCSIEEMPILQTNKKLFHSQKSIQIQK >CAK80906 pep:novel supercontig:GCA_000165425.1:CT868385:100904:101394:1 gene:GSPATT00015765001 transcript:CAK80906 MSQKFKVRKLREQKPEDLLKDLEKLKGELIQLRTVKVSAGNAQKLGRIGLVRKRIAKYLT VINEQRRNQVKSTTKHASNLPVDLRGKKTRAIRQRLTRSEKAQKTQRQWKRLNNFPLRKF ALKE >CAK80907 pep:novel supercontig:GCA_000165425.1:CT868385:102191:104169:1 gene:GSPATT00015766001 transcript:CAK80907 MFINLQKKALKGLKKVDIFSQPVQLLIKQEEGHKTLFGAFLTLGLVVFFLYLLVINLYVL SQRKNPTSLTTEFFHSQPDQFKYNEKNFTLTFAFQSPDYSTYIDESVYVVEAKIRSKTTK MVDDQKIEEWTSQDLPITQCSKEIIRQVELQEYFSHLNLLTNYCIDWNRIKEINLEGTFD ARNFSFVELQFKMCNNQTKQSKECRSRDEIKQLLEQNYFSLQMSSYVIDVKNEEQPYLQR GEDIFTTISSKIFKEITFYMEPITIFTDLGLISEDYQVQKTLRYKRHTEMIDLNESDLIM NVLIRLDQIEQQYYRSYTKIQIILSQMGGLWQVFFTVAFLIQKPINLISYYVRIMNSLFQ FEQESKKEIIMEIDEQANIPEEELMTRKYQYSSRQDQLVIQNKNRAFQRLQSIRVKKKQI DVIDSMAVETKQKEEARQQLTKAISLSIKQYFQTVSKKLRMKWTDYVYFISCFVNSDNYK SLQIEYSVQKIIKQMDILYIMKKLQEIDKLKMILLTESQIKVFDYVQKPTIPLDPNSKQF NTSESYYSILKPIKTDFQRAIEAQNAFKEIVQNLDNPINVKLINSIDKTIVDLIKITKNT QELASIDNADINEQAQEKNTVFAIDIRKKQSSLINYQPNFKQ >CAK80908 pep:novel supercontig:GCA_000165425.1:CT868385:104540:106022:1 gene:GSPATT00015767001 transcript:CAK80908 MINNSLRKALIQCFSPLGLRGSLYSFSYSNNYRQHSSRSQYTGSYHQRSLISFGEFARHC ELLSKEEQSQIVKKLKLLPEASSNDVMNFIKENKIQGNIFNIAQNFDFLPQNLQEALKAK SITSPSAIQQAIIPLIFEGHDVIAIAETGSGKTLAYALPGIMHMQAQPQITGPRILVLAP TRELAQQIQTQYDLFVKTCCLFGGIQKPLQYFAKPQTPKVIIATPGRLLDFIKDGLPLKS ITQVVLDEADRMLDMGFEDQIRDVLKEVRKDRQTLFFSATWPKEVQRLANNLCNEDPVFL QLGERGLSVNKNITQSLIISGGNKFEQLIEYFNQIKDKKVLVFCQKKIDTQKLEYKLSQH GINARYLHGDLRQNQRDFIMQEFRDGSAKCLITTNLASRGLDVSDVDIVINYDFPENIED YIHRIGRTGRAGKKGEALSFIEPNDLDSKLKDDLIKVFKQSCQEIPSQLQKLKVY >CAK80909 pep:novel supercontig:GCA_000165425.1:CT868385:106136:106865:1 gene:GSPATT00015768001 transcript:CAK80909 MYINQSNPIYFYVPYYIPFQFNSRQPQEIPKVELHVDSLTSQVKPSNEEIHTNASGIIRG KGQLWTQKEINNLISYYKKYKGNWKQIIKHLKGRNISQCSQKYRKLQDQEKRTKRKWSSS EDQILREAYKEFGRQWIKISERLPGRTSKQVRDRYVNQIDPTITHQEWSAEEDKTILDEY NKGGARWAIIAKMLRNRSENQVKNRFYYTILKKYSGEKHPYLKVQE >CAK80910 pep:novel supercontig:GCA_000165425.1:CT868385:106950:109081:-1 gene:GSPATT00015769001 transcript:CAK80910 MGCRVSNVAPHMSYSTKVQEAKEGQSEIRRNVKYTTSLLSVPEQGVLNLQDLFSKSAERY AGKQCLGSFIGDDFSFMTYSQVQEEAIHLGSGIKTWGLANEVNEYKNYKMKLIGVFGKNR REWVILDIANILYGYTMVPFYDTLGPESIPFILNQTNIETMFLTADASKSLLKCKEKGKL QNLVLFDPLPEELERELKTKGYKLFKYEDVVQNGRIQIQPLAQIYPETMYTICYTSGTTG NPKGALITHGNFVSAVASTQITDARINDNDVHLSYLPLPHVMERLIVISLLYVGAQIGFY RGDPNLLKEDILKLRPTIFVSVPRLYNKFCDGIKAKISEVTGLKKAFVEQAISSKLSALR SEASYTNSLFDKAFEGVRQLFGGRCRLMVTGSAPIQQDVIDFLKIAACCPILEGYGQTES SALSFSTAIWDPVSSHLGGPAANTEFKLVDVPEMNYTAQDTITVRRGNKDEVRATPRGEI CLRGPGVFVGYYKDPTKTAEALDSEGWLHTGDIGMITEQGGVKIIDRKKNIFKLSQGEYI APEKIESVYNRVPGVAESFVYGDSLQSQIVAIIVPQSDYIQKQAAQMQIQGSLQELCQNQ KMIALIQQNIETFGRQNQLNSLEIAKLIYLEPQPLQNLGCLTSTLKLQRHVAKQIFSKQI ENLYKQKV >CAK80911 pep:novel supercontig:GCA_000165425.1:CT868385:109132:110134:-1 gene:GSPATT00015770001 transcript:CAK80911 MKEGQKDIFFITGESKASVAASPFVEALKKKDYEVLYMIDPIDEYVIQQLKEFDGKKLKN CTKEGLDLDQTEDEKKKFEEQKSAFEGLCKLVKEILGDKVEKVQLGQRLDQSPCVLVTGE YGWSANMERIMKAQALRDPSMSSYMMSKKTLEINANHPILTELKKKSDKDKSDKTVKDLI WLLYETALLTSGFSLDDPTHFANRIHKMIKLGLSIDDAGIEEEDEKLPQLEKKEDANTEA TKSKMEEVD >CAK80912 pep:novel supercontig:GCA_000165425.1:CT868385:110139:111356:-1 gene:GSPATT00015771001 transcript:CAK80912 MATEQKKEEQFHFNADIQQLMGLIINTFYSNKEIFLRELISNASDALDKIRYKSITDPDS AGLNVEPNFKIKIVPDKNNNTLTIQDTGIGMTRDEMINNLGTIAKSGTKAFMEALSSGAD ISMIGQFGVGFYSAYLVADKVVVISKAVGEQQYRWESQAGGTFFVYDDVENPVQLTRGSI IILHMKQDNLEFLEEKRIKDLVKKHSEFIGFPIELQIEKTTEKEVSDDEDENKEKKAEEG EVLRREGTRLKRRRRRCKEVSTEFEQVNKNKPLWMKKPEDITKEEYANFYKQLTNDWEEH LTVKQFSVEGGLEFKAVLFIPKRAPFDLFETKKKKNNIKLYVRRVFIMDDCEELIPEYLG FVKGVVDSEDLPLNISRRILVTQQDLESHQEEHHQEMHRNDHRNQ >CAK80913 pep:novel supercontig:GCA_000165425.1:CT868385:111791:112640:1 gene:GSPATT00015772001 transcript:CAK80913 MLPYGFQRNNRLIGVDCYKRRFKQKIKFLSRMAANKFWKKTELTNLEQQVGVALTQIEQS LADAKNLKLSSVVDYTAKINAKKQVYLVYIPHPCLSVFNKVSSKLLPELEKRLKATILVV AKRTIESKWVKSHRSQTRPNSRTLTSVYDSILEDLVAPTFILGRRTRVRVDGTKFYRIFL DEQDQAELEPRLDAIKAVYKLLTTRDLEFEFRRDDVFYSKKGATKKVQRKQ >CAK80914 pep:novel supercontig:GCA_000165425.1:CT868385:113477:118427:-1 gene:GSPATT00015773001 transcript:CAK80914 MKNFKFQMKSYYVQEFLNVKESWRKSFKIAIVILVIENIQMLSIYTQKLDGFEYDQFLSH IRNFIDYFRFYTVVGNSISIRITFILGGLLLQGLILLLLLYQIFKQKVFLRNNQGMQNLA IEQNLEEKIQQNHIINLTFSIFFQIHHGILMIPFLFCGFNFITELFKQSVSYGNTENKSN FELFAIVSGFMMIVLQILIGSFINLHQFDHRMKKFDFLGKFQTQIIHFLYAFQLLVIFLS GIQASGLLVQVLGIIKSVIQIICDYNQQVYIDYRISRYSLRIVVLTVIYQLQLIICQFGQ PKLKISILLFILLFYPPLILLTNKFLIRQDIRYTDYQNGDLEKYLRRLYIIFKQQMDLKK QKGYLEPKSSLEIYAFISSHIRECKIQREKQMQMNIKLQYKCFCQDFYTKMDSFQNFEST QQFAKGLIGQKIENEIQEKANPKLILTYIYFLIQIKKVPSQAIYEVIRFSIIQKEQSLKQ RAIVQKLKQDALQKFSELVKKNDLVNQKFVFKKVYQYEESLKSVKNNLCVVIKQEKDFYG CILQQIINIDKLLDVGLQLIENIKILEYQLQLLFRTNPLNNECDTIFNIFHKYVNYNNSR PKLYKREGQIMMQFLQSEEKIIYDPGSCVIQITLMQPRGNVIRYTRSFSNAIGYKDEEIQ DQNINKFMPSIIANDHDLYLDNFVERGRINVVKNAVRVILGKNKSQFVVPINTRLRIEAS PTEFGATALITPVNQQYGYMMLNEQGQIEELTQNIFEDIFEKHLGFEVDQIKGLDCLFFI PELSKIWDSLFDEDFDKLDKRLDCQLILPLMTKQLSRSLIRSRSNIFSKQNIQSYIAKQF ENTPNDNMVYQVSLHLMSLITINLRLIIVEIAEYKQLVNQKITSRQFVQLKYRSSIVINT QSQKEIQTQKTDINLFSLNSPPRGPLLNECNLEYEDLIEDIKMIEDFKTQLASINRTAQT KLNDLYLLQNSNNQIERRINEFQKDPSEKSDFSEADSDAKEKVQEQNIQYNCGSVDSQQS QNNTTALKRQIKDCLSDSKGTNIKIKMFLLFMYVLVMSGFFVNYLILYFNFEKINENQNF ENLPFQFSYYYNEFIIDQSFLAYDQFNFTELSEIAFQYFLINIKNIDKTIVLLPHINLIG NLTMESRMLNIMSQLAQVISMNYQINQSEFYNNTNAFNIQFAEIDNTDSTKNLRSLSIYV IIEEIILLILLAQYLYFFVRIYKMKQKVYQLFCTFPKELISEQFVQFTSLYAQLNNTRFR NYETDEEQFETTMMHQYQKTATSNMLEKHKTVGKEISMKQINSAQILFFLFVVLYAMLCS SYFIGSFILQQVTQQSVSARYQEKTTFSLTLNSLASTFAQQAILLNHTMTSEMVDTYKFA VQQLGALSTNLPLYQEDQNYQVYRILIENLCNLFQSQLSYDYEDYQTLFSLGQCQFISNL DQGLSTMVQGLYQNQFDFLQTISTKGLNSSLKYNYDNPLFQVQRLYAQYGFQVIIEILTK QIKSLINSTFIINTAVFILACIIMTISLITIKIMIEKVKYQYYESKQLLTVFPFDKLMEN AYVIGFITHDQHFKV >CAK80915 pep:novel supercontig:GCA_000165425.1:CT868385:119163:122600:-1 gene:GSPATT00015774001 transcript:CAK80915 MNQNQQDTEEPSSKLNQLMLTDEPIGEIQDFLNYSLSEGNFSIQGSKINGNNNQKNHSST QKAHEGKRVQFDSTPNSPSAHFLVNKQSIRLSEPPQHLHQQQQIYSVIQFCFIKRFINRI SWKKKINAQFNFYQYNILKDLGSSFNQKLFRDSALNLKPMISQFSNSNDNFNQSLKKKEF TKKLSRHYSNLKTCTNNLYKKIESELEKIPLISPESRLKIVWDCVVMISRLYFLFTIPLD LAWNQQQIIYGQLYAPTIFMIMLLLIDFILSFNNSFYQFGQIVSNRVTIAKNVISKSYGL EAISILILVIYAIIFNSSNQGFNLMDDWNIPKLISQVEETLNLSKPSSSLLELFKLLLVL FFVLHCYSCLWYFVGYYSQLYQEKGSWLEFYHIENETWQVQYLYSFYFSTVTMFTIGYGD VVPISYLERIVAILYMMICSIQLSYSVSTVGAIIDTISAYGQEKMRKMRKINSYMQNRKI EYELQYQIREYLNYYWESQNQVENDELNEIINQLSENLREKLMNQSNSMILNECPLFKNN FSDALKSKLVHKIKPAVIQPENIINFDSIFPSAPAGQLYVCFVEFGDIQIFIQNDQIDQI LENPQIAEVSKVGQGSSLGIVSFISGKQSQERFRSIGFSKLLMLSRDDFLKVIQDFPEDY ERFRNLYDSIQFDDVSALHMKCFSCNSSYHRVLQCPLLHYIPDRELIIKRHQYSQNQTRN QHFLRNPFRQRGYFAARFDQEVIEQEASSFNNNNWKWAEFYEEPEDEILKKSDVQVNQVS LDQSSSNLQILNQPQFQQISNSTSFLSVNDTSPKLNLEPPITKKKATLFKQRTKTIELMD LDDKTLKASLMSKARNQNIFNNNLMIIQEEDQFENSPNSKFKKMRPSVTIELNPLRVKSN IQKMKRVVKVITNMNRIKKRQKLKKETKQIVNSFLEIIQAQNFMKGLLSKVAIRLKQIQD GKKQILSEKDISDTMLLEIKIKMQINLLNEYQKKDQQLVMESYKKFKHYQVENNLDSILE RMYFYQQQHLLNNKNKDYQSQLLKYMIYPEIFFEKYRYQQIIIPKFNMSESRRDSAAESE HTLRRSKILKKSFRNVKSTQVRPVSEGTGKLHI >CAK80916 pep:novel supercontig:GCA_000165425.1:CT868385:122751:123627:1 gene:GSPATT00015775001 transcript:CAK80916 MFVKQSYHTTRFTTQFDEQSIVEMRESSKLKHYRMMTSTSLNQSRRTFIHTKSIPKSLID EGFRSKSILYHMSLLSNEVKMKIRPSRRERERTILFTDNMELYHHKSVKRIKEMSRRKSC SCDGCGPKTKFERKHDDFEARTQQAISSDLKLLQFTRAGQKKKQTIIKEIKMKQIHEKKS KISQEIANHSQIQLNLPSTKRDSLQIEKQETERNRLKTKVDLLKMLPSIKSIVSLTVLKQ LYIGGRQQQQMIFRKPSHDALIIKTYRIK >CAK80917 pep:novel supercontig:GCA_000165425.1:CT868385:124240:124937:-1 gene:GSPATT00015776001 transcript:CAK80917 MSITLTIEILPVQRTIYLDVDPYTEVCDLLEYIQEQEQYRAYLFLRINSDTKDWTCYSEL KRMFLIHHSCFGNANNDKLTINTSPNTLPNTSPNTSPNQKQIQQFSYNQQDSSQKSQQYY IREYNGFEVAMKPWELVVINFEITNGDSKCQLQCTYRGDDILDAFADTVLAYLRVSKQRA SCDLFFKGGSINNYNSRIKQICKAYAIESGITVQARLRWIKSNI >CAK80918 pep:novel supercontig:GCA_000165425.1:CT868385:126184:126728:-1 gene:GSPATT00015777001 transcript:CAK80918 MEMAPDTEVHEILECIQQYFDSDTKNWTCYSKLQKKFLNHNSLVGDATNDNLTINTSPQS YSQYQSNFPQSKQLQYESIFVPQDLMTIHFDIMDGMIQRNYSRAFKSSATLQDLSDAVLD YLGLGQQYNVRCVFSFRQCVLRQGKKLLSLKQLYIRCNTTLQVRVSWGEYI >CAK80919 pep:novel supercontig:GCA_000165425.1:CT868385:127687:129161:1 gene:GSPATT00015778001 transcript:CAK80919 MGSGQNRQLNVPVVYLENHKNVGSYRFLQNQRQNIPKAYLKIEPNYSKIERLETINSAVV HGVVGLKNLGNTCYFNTAIHCISHTQPLVDYFLSKAYKVNSSCPTSGNITKAFVSLMEQI WDDENCFAESKDFKQLVQGQKLKPYNKCINPIKLLNLLQKYSKRFALGDQEDCQELLSYL LDLLHQELNRSQPNSKSITKDYTGEYVDPKWAADSWGEHLKINRSIIVDLFQGQLKSTCQ CQECGFQSHKFEPFLFLNLPIPKQKVSIYKCLDLFQEPELLSGSCQWKCPNCKINRDFKK QIQLWKLPNQLIIHLKRFEFHHRKQVKLNTVVEFPLELDMAQYCVDQAISCYQLYAVAQH DGSSYGGHYVALCKAQNNNWYMYNDDQVFRIQDVKKMVQNEYAYMLFYQRYDNIYRQTLN KPEAWPHFRLPKISQTTHLMVQTDRKSQDSSPNQLESTPLDNQTGVISQQRSQQDIKFNS IA >CAK80920 pep:novel supercontig:GCA_000165425.1:CT868385:129206:131377:-1 gene:GSPATT00015779001 transcript:CAK80920 MITDSPSQKRSNKENSPKYLSITRQSNISHKIINEVLNSGSDYLQDQMEQIDLKIMQYQY NIKQKITTLLNESSTSLDQSVYQKKLQDLRIEEQNSQQMQNDLDRQQTIIYDYYENEFNK LKTQYEQINQEHQYFVKERNNYQDIHNQYLETKALLQEDLNGKLLQRAELVGQKEELEEF VEQVKNENPQLTELVEQIYNCDQKAKEIDQNILTLTNSISSLQQQQENKKRQLASFHQTT LLEVQCHQQNQKVKALRNKIVPIQKSLNLQHPDSILNEFINSFGGSQLQIESIEFQAKLQ TFTQQFRNNLFKQGNQSNNWGSMKEFVIQLESFILASLQQKLVQNQLSDMKCTLKQHGDE LQLSNDVCSIDYQVEVKKQQIRELEDDKSSLDYKREMFYESFQERIRQQTEDAFLQYQEH NEENLSCIKQQYGNVEYKSILDQTYKEMQQLMQDQEEEQFNNTMKLIQQYYIQDQAIKVN IQMVDQEILPQLKNIAQQIQKAKKDLERVSGNEKPFFDKQNKVEQEIQALELEFKKKIEQ FNLQETEIQKQLSTVKQAIENTQEQLLSKSKPNKALLEQEILQLNNLLTQLQEQKKQLEG VQFQFSKSPQRVDASRKSSNGGILAISKSLNQFTKMRKEPTVNSFNNSVVKGITPTKDAS NLYLINKFAKQASCKSSQEFRLKSNISIKQIK >CAK80921 pep:novel supercontig:GCA_000165425.1:CT868385:131457:132206:1 gene:GSPATT00015780001 transcript:CAK80921 MSHIQIIDQQSNSTDHSFENLSDQNSEESFEIVQRKLRIKKCYQEEEPLKKKKDLCRNYQ MNGCCKYGDQCFFIHTPAKTESTLYSSTSTKTKPCKRYFSGFCGFGPKCQFLHHECIDLV EQREFVEKQFKDLKLMVPLHPKKLDQSIRFDLQRFHHLYKIFGRKLNFKRDDLVMNNCKS RLKIFISICRKQDRFEQLLMSNNTSRKESEQC >CAK80922 pep:novel supercontig:GCA_000165425.1:CT868385:132596:136039:-1 gene:GSPATT00015781001 transcript:CAK80922 MNHSPLKTDVNITVREDDMRLNMGGFKFSAQQLQNIFTLNQRRRMCEEIDFLEQLGSLEG LLDGLCTSINGGIATQDINKRTELYGHNKRVVRPPQTYCELLMDAMGDFTMRVLTVAAFA SIIIQVATSDDEHRSLAWIEGFAIFVAVLVCTNVAALNDYSKEKQFRKLNAVSEKSKMVT IIRDGKEHRLHEENCLVGDIIKLVEGMEIPADGILIEASEIKMDESSMTGETNSIKKGTM QQCLQKKEELINEGAEFGEKDRFLIPSPALLSGTRVLEGEGLFVVCVVGDLSCLGQIKAS LEQEEDEETPLQEKLTIIAEDIGKFGLYAAILIVIVLMIRLAIERGIEGEWDHSKHWMEI LNFIILGITVLAVAIPEGLPLSVTISLAYSVQKMMNDKNLVRKMYACETMGGADSICSDK TGTLTMNKMTLTKMWNQNYIEVNYLAREQDLGVFGKIKQLMVESICCNSSAELDPESGSK TEVALLEYMRRGQIDYKSVKSSVKYLQKIPFNSGRKRMSVIITTQKNGLPIHRLYIKGAS EIIIKGLSHQHTFNDEIVALSEQDIKQIENVISEMAKQSLRTICVAYKDLNGREDLVTND GKVYDIEKNNLTFLCLLGIMDNLREGVKEAVTLCKKAGIKVRMVTGDNSETARAIALSCG IIEPGDSKALVMEGAEFMNKIGGIVCKNCQTESCKCSRTQSEAEKNGTQLRVDTLGDMGS FKKLYPQIAVLARSRPTDKYAMIVGLKDCEHIVAVTGDGTNDAPALKKADVGFAMGISGT QVAKDAAAIILMEDNFSDIVKAVMWGRNIFQSIRKFLQFQLTVNIVAVGLTLIVSAVLKQ EVLKPIQMLWVNLIMDSFASLALATEPPSPVLLNDRPYSRAQSIITRKMLKHILGQAAFQ MAIMLIAVFLAPHFVPEYTDGFDDRLNNWLDDKNSLEFPSDINHPKYNLDYYPEQLMIRS GRMLTVDGKDDYEPVYREFMVPSRHFTFIFNMFVMMQLFNFLNSRKLNDEFNIFENICNN SLFIIIVFFIFALQIILITFAGIAFSCYTYYGLTIQQWLICILIGAIGWIVSAILKLIPE QSICPESIEEPSTEQEQRKPSGILELRRGSSVRRSQRHQEIQL >CAK80923 pep:novel supercontig:GCA_000165425.1:CT868385:136650:138583:1 gene:GSPATT00015782001 transcript:CAK80923 MRSVKSANSRRPMSTIPKAQSQIMEIGLSPQIRRFVPSMHNLLETLYAKKCEELKIQLQP DQMARFQEKVLTQYQGHTIDLSELSLPANCIPLINKVVKNIPFVVLSQNPLYDGIRNLQL PMVSLTLCNCGIGPRGLAVLFQTLSINQTLYNLNIGNPFSNERNRLGLALNQLAEALKKN RILAILDISGCGIYDLRKLNSAFAENRNLLHINVSDNELKDALILPPYLERLEAQNNMFG QQVVQICEQLRQGSDSVHAREINLKRNKLTIHHLFAILEQIERNTHLNKLTLDENTFIGE NNICICNYLVYNNHLEYLSLRNCSLTQNFIEQLASGLCRNGSLKTLDISKNIIGDQGALA LVQGFQGSCNLKSIVMKRCGLTDLSGLELFKTFLKSNIQEYDFSNNLMGDQTAMYAIQLI KKNKDIIKMSFKKNLNSYETNQQIEKLLYDNIQDRKRNVIPHLRHKVQGMTDYREQQDFV DKKKEQLSMKKTQAESDFKFAQSQVVLCQQGAKERTQAVEERLTKLREEAQQLTTQQLNL DKFLWNQIAVIEDEQKLIADNIKNENASIVNLNKQIQDTQSKSKSIVQFYKQQMENLRLQ DGITKRKVDQLQQEYNSVLAQFEFVKKQQQKPIIKK >CAK80924 pep:novel supercontig:GCA_000165425.1:CT868385:140299:141451:-1 gene:GSPATT00015783001 transcript:CAK80924 MGQYITQLCKYFKKEAHCKVQQQPTALTLSLKQRTIINYNSDGYDSDFEEEKVTIQKEPV TIKSWKKGVFLGQGSFGVVYQGFDLQTGRVFAVKQIEIFLVDKESLNSFYKEIQVLSLLK HPNIVEYYGCTNDGTHLSIFLEYAGGGSIAQILKKFGKLTESVIQKYTRDILQGLIYLHQ KKIIHRDIKGANIIVDTRGVCKLADFGCSLIGQQSYSLKGTPNWMAPEVLNQQESGRYSD IWSLGCVVLEMLTALPPWGHFDNPLQALFSISSKKCPPPFPRNISDNLRGFLECCLQFEP KQRKKAKELLNHPFLQIKSPKKSLKSTRIEMSQLEELKAIPQQDESIPQAQREDQKSIFS DLSSLQIGDEDQQFQ >CAK80925 pep:novel supercontig:GCA_000165425.1:CT868385:141640:142227:-1 gene:GSPATT00015784001 transcript:CAK80925 MFTTKSQFYQASSTGRFQFKYKPSVELGGFPSLTPCNSDKRMTRLDWFAGPSDQLDRQKK HCTSKESKHHEDLSIGKRTFIQANKETEGLVSLEDIMQRKSRVPTLEKKRNQIPVHFLGD KNYPYPEIEPAFYKQDDGLIAGSNIKNRFRHPFKISKKFHG >CAK80926 pep:novel supercontig:GCA_000165425.1:CT868385:143018:146228:1 gene:GSPATT00015785001 transcript:CAK80926 MHLFSHDEINLLEAAQDGQLEFISNQLISLSSKQLQDACKKTDYYGRNALHYAAYRGHYE VVEYFLDLQCININSKDNKGNTALMLACVRGYNQDIASLKKGSKFDICSLLLERGASLQE FKKQGINNPLHWTCYFGDLKTTKLLMFVEPSLMLYSNDRDQFPIDLALMTGKELDDRGED EKVLEFMILKFLAQYLDNDEHKKKLELSLDEHESYRYLQQSNFNIKSSNQLAQVGLRYLF WASTLGRLDLVEPLIRCKYSPFEPSYKGRNALHAAVYHNRKELVEYYLESESVKEFREGN VINRMTKVKPQTALHVAVERGHIDIVKTLIKKGADPNYYNFRNHRAFDQSRLTEIKHLKR ELLNNSEKNYIRSGYNHVLVGWQRAKNQLLEQQFYNIQQKKNFEKGMFKYLPIESIDKQY TYYCLKVDEKLKNMIADETKMMIYNSREACLCPFNIQIQEQFENFHHIHDQQILQTLLYD EFDIDQFINDGLLIEQYPLHDEEEKELILKFWRNERFHILFEPFQLTRSQSRTFSALSSY FGAEIGMFFVFLCFFTTWLFLPAVPGLFIGIFHFINGDSINSVAPIYTLCMAVWATIFFE FWKRKQSETMYNFDMHVTKEQRRTIPQYKGAFIIEDVTHTIEIMDTRNVQWKYFKSNFPL VLIAIIFIGGEQFGYYYLKQINEGEQFYDSLWACVLAFSILITNEIFNFFAKHTLNYENH QYQDERENVYILKVFAFTFLNSFGRLFYRSLIRPDSEELDLLSISFTITWSLVHLIRYTL YPLISFAFIKIKFNWDFNKYKQNNSKQLAVAQRISVYDTETSAINKSVDKGLSTLYFLSQ IELNSRMIDPPNHVEQFTYFMTQFCMATMFSAGSQIIPIAILFFNILNIEGLIYGYRKFV KRPLAEPKKSIGVWNDILQLVGYIGIVSNCLSIYQANQTQLNSLIGEDPNASNDQTDLGL RNFLFLIVAEHIVIGIKFVIEGVIPDEPEWVELILKREEFLSDKNKTKKGEQSKIIEIKT KKE >CAK80927 pep:novel supercontig:GCA_000165425.1:CT868385:146262:147971:1 gene:GSPATT00015786001 transcript:CAK80927 MNSKTNLRQMISKRTPLNERVNRNPYDQEVKYTNKSFTSGKKQSANKINSIISDMLSKRQ SSQDIQNPKASLIRSETSNQNASQRSVSPTRLYQMPESLKKIYNQIHQKCVDSSNQKYPI KQKSKFLKQRTEESKFSTQCTPTSDYTEQYLINLVNQEECVFTILAVVTKQRKVVKLCQN FLNAIPQSQGDCKDIIQQKIVCKQMLLERMGIFVIMLQALTENYEDELQHIKNLLLYIHS GMVIYLEFLIKQNLTEDQKNILQNRLSKIKYNRRSNKSIQDITQLKKHANVVHSLLILFI ENSSDLKCVRLEKLLQNIDQISLQQGTMLIKQQFDKVICEINKMRQTMDTNETEKTLEQE EIEKHLELKIPYLPKFNGYTLVIDLDETLVHYQELVDDGQFLVRPYAEQFLLEMSKYYEI VIFTAALQDYADFILDLIDKNNIISHRLYRQHTTLIDGTHVKDLTFVGRDLNTTIIIDNM AENFQHQPENGICIQSWYGDEEDRALYQLAPILSQIVIKKCKDIRNALRCLRNQMLENIE NGVEDPHLHLSLN >CAK80928 pep:novel supercontig:GCA_000165425.1:CT868385:148039:149331:1 gene:GSPATT00015787001 transcript:CAK80928 MKVLCCFFFWISFVNSLIKEFQASQNYLLIEIFSEDWIDMKIEVAENSVIMNEIAQQNTQ YLNMYYKLKIGSDYRLDIFVMPDSNFTISEAPFRSCPNNCSNKGDCQNLQCRCQVMAAGD RCQYEVVQLYNRSQYSESLKSHQTLIIAIFYQQDQLIDDQDQTRNLQIESSSSVDSHIYL LQPFQYPATNLIDEKQIYEGQLTSTPEEIDYSSKFEKYRIQASYYSFVLVLKNMSSQKQD VVLKYQLLDNEQKFQDFILVAILSVISFIILLIIIYIVRRRCQYLSQSQAKENQEEQSDN IVIQLMPIVNPVKDQDCVICLDPLDNRLCRQTPCKHVMHDNCLKQWIQKQLTCPMCRETL NDVEHVPRAFKSRNQTSNTFILSHQGQSIVQSDRNSVRSMIITRTRQSRLAVFQ >CAK80929 pep:novel supercontig:GCA_000165425.1:CT868385:149464:150089:1 gene:GSPATT00015788001 transcript:CAK80929 MPPKVDPNEVRLINIKVFGGEGGPASTLAPKLGPLGLNPKQVGDKIIAESGKWKGIRVMV NLRCQNRNADVTVIPTSSALLIKEIGGYERDRKKTKNVKHNGNLTLEQVIKVARAVEEKS LAKTFTGTVKQVLGTAQSLGATVDGQPVKAIIGKINSGELKVEK >CAK80930 pep:novel supercontig:GCA_000165425.1:CT868385:150467:151179:-1 gene:GSPATT00015789001 transcript:CAK80930 MKIKKQKASRTKYKSISSSERALIIHYIEQYKYSTTHVSMITGHNASTIKAIYSVYKKQG RINKKAKRDKILNLTFRFILFVADDMYIFQQCSQGSLTKLSVDSQESQHLLVEEGQDIKQ TSHEQAIKKLLQINKPKIIDLLDNCMAIDTFEKQLKLMQQQEFPSRQFSSLKLSPDSSKW EYGNFAMRNNFNQYSGLFSKKQEDKGEEEESKSIIMRKLQEQYRLMKM >CAK80931 pep:novel supercontig:GCA_000165425.1:CT868385:152191:153703:-1 gene:GSPATT00015790001 transcript:CAK80931 MGNRSSNHQNQKIGHEARCPNCDRKFGSSTTYNVLNSHIDQCLQHAQVQNQMGFQMPQPH VQLGDNYIWIKQNNKWKRVQSQVNGGQIQNLKPTDVQKRSFAEKQVWFNMQLEKFRIPWQ LGSDKLNVNQNDLLSSSLNSARGVDFYKEVKVVFQNDKVQDAGGLLREWLTLIFKEMCKE IFVLTETNDVSYKIARQSLYFDLVGLAIAKALFERMTICVELDRPLIKKLLGLEVTLSDI EFYDKGLYLSWKYLMDNQFDENQLQQYFIICKDDEIIELKEDGANILVNNQNKQEFVDLC IQFYTDKLISTQLHQIQTNLYKYIPNDYLNIFTAEEFEMLLYGVSLIDLDEWKQHSIYKQ PYFENNQQIIWFWKVLAEFDQDQLKKFLHYCTGSYRIPVNGFSKLESNRGMYSKFQIVPI EYKNTNSFPIAHTCFNRLELPKYPTEEMMRKYLRSIVLNDLEGVFGME >CAK80932 pep:novel supercontig:GCA_000165425.1:CT868385:154757:155554:-1 gene:GSPATT00015791001 transcript:CAK80932 MNKLEYFKKFDIKLFLTAQINIQHYNHQCPIPNNLSKRFNHSNNNIQLMITQGCTINVLI IILQAQLSESETLDIDNKIQLLENNRNCYYLSHKVYLEFKDQINKISQQSNCILKIIFLI LSTQKQVIYISIIAYNCSYHKLYQLNNTKQNMGLQIQNSSIICFFDFMNNLKRKIYKCKV LIDQFTKIWKQQQLDVYYTSLSTAPSNNKVPTGVAKWKYNYMKEKDLDISSCQKSLFIFH YLKIVINVMALRCTDDYALNKDNIL >CAK80933 pep:novel supercontig:GCA_000165425.1:CT868385:155683:160641:1 gene:GSPATT00015792001 transcript:CAK80933 MKKFLFKIKAFYVRDFLFIRDSKRITFKMAIAMIFIENLQMLSVYTNSFNTIQYDEFLNQ VQSLINYFRSTRYHIYQDLHFIRIACVMVGFLIKTIFLVRLVFLVLSQYLFIKEKLTLHD LPLDQNKQNYHFEKSWQSTLIFWYMKINLEVMQVPVLFCAISVITDLIGLKSLNEFEQIG LLLSFLLIIQQILVGVFLHFHQTDYRIKDYDLLGKLNGLENQTLYGLKILIIFLSGSQQH IVVIQTLGLLINSIQAIHNYRQLTYIDHRITNFANQINFLMLLYQVVLILCEFGETQIRI SSSLLILFFSPLIIILFHQLHMRSEYNNKHIDNHRYLKKLYWNAKKLIYSKKMSQHQDQQ ILFEIYTVVRSHLQECKQIRIRKMLKMASFKQTCFCSIFQNQRDSFQNLEQCKEFLKLLL GQVLEDQLKENGCIQNSITYICYLTEIKKAPISAIYEIIRTSTIEKLQLRQKQIIHQLQQ SSQIKFNQLIKKQNLVNQMFDFKRAYQFEESLKVLKLNLYVIVKQEIEFYETLFAPIIDA SILQDKGLQLVNNITFLEKQIQLVFRTNPQNGECDTIYKLFQKYINFNKVRPKLFKREGQ LTAEFIQSIDKIIYNQNSCVVQITLLQPRGNVIRYTRSFQQVLQYKDDEIMNQNIKKFIP SIIASDHDQYLNNFVETGRINVLKRELRIILVKLKSGFVIPINTRLRIEVNSIEFGAQAL MTPVNYSYGYLMLNEQGQIEELTKNIFEDIFKQYLGLDFDFVRGLDFLLMIPDLSKIWES IFDENFEKLDLYLEAQLLIPVIEKQAVSPICPFNYGNKLSLNKRLADYIKNNSQNIIKYQ INLHLTSLTTINLRVVIVEIPEYKQTINKQYASQLELYNQQVQPHKTSVNFTHQSDLPTH KSCGINSPMNTPPPTKTLINECDLDYENLIEEKKMIQEFRNQLASITMNNTLQNNSKEPL IQQQQQAENIYEAKIVMMPQRILSYKSEEFEQKQYQQEQFQQQQQYNGSVGSRSSQNNSN TFKMQIKDCLQNKKELNLRIKIFLLIFYFLLLVGYILNFCILYFNFQKIDVNLNFESLPY QFNYYYHEFIIAQVYVSEQEFKFNQLLKTSLYYYQNQLKNVDQIISLMPQINVINNQTLQ RRTVNVISQMSEVYDSNKSTSLQEYLNNSDAFHVLLDKFSEANSPSSISSLLSYFILEEI LLFILLSSYAYFYVSILKMKIKFFKLFCTFSKDFVKEQFIQYHSFYNQINHAKFKTNETN EESFEASMITYYQKNSTQSNLESQVRVGRQIQTPQINMNLIFFFFVMLLISVFSSSYYFG TYLLQKQVLITIEANYFERLYYENVANHLLFAYSHSAFFYNKTITEEMLQYQTSALTELQ EYITQITIQQENSNNLIIQNILVDQICSVFQEGISIEDTGYQNLFSFEQCSSIPSLSKGL IFVAQELFSIYNDIVQNLQSIQYNETSKFPLLLEADIQIERLYSQFSFQIITELLRQEIK DLISQTFYLNTVMFVLAIILASLTLLIAKVSIEKIQSQHSENKRILTLFPYDRLMENAYV HSFISQDLHFLV >CAK80934 pep:novel supercontig:GCA_000165425.1:CT868385:161263:161878:1 gene:GSPATT00015793001 transcript:CAK80934 MSSDSDQHKKEEANRIYVTGYSAKESEMDIKSAFARHGEIQEFSWKGRFCFIAYTKPEDA ANAVRLMNQQEINGRNLIVELARAKKKDGACYQCGKQGHFARNCRLNRRSYSDSRRHSKK KKKHRKHRSSSSSSSSEKKKSKKRKRKSKSSSSSSHSRSSD >CAK80935 pep:novel supercontig:GCA_000165425.1:CT868385:161885:164307:-1 gene:GSPATT00015794001 transcript:CAK80935 MFQTTSSINSQQSPNRFKFKSKFSFFNDNMVPTTTTKITMQYKELLRNILSSLQEKASFA NLSEFDQLKSNQIAVSIQSCYNPKFKSTGVDETREAFQDLLDEIKQTINRKFPFIKVFRQ QINHPDHYLQIQLFQPDVHAVVLYSRQFSKEQITPKLIGDLVWLHLKKFNLTVNVDCPIK HVVPVCEDGMQKSILEGLQVTVRQREVPTVQSAQTPTKQIVIRTSFCQQAGSGQKSASKL DIYKSTENIRDMEKSVRVYFSPTKSQAWMTQTNVSQIDLSGSKQKVQSPEKKFTVKLFSQ TIPITCFEEAKFIDLVQQTNSYEIEISGNCIQTTKSQLNVDELLDENTDTVYVSTRVERT DLIKCIVKAPEDATVNITKNITETLTYDSNEQAFIFYGRDKQEYVIDVSKKDCFSQKRTI FLQGNTNVKIEFDLVSCVETTLQFRVYNILLRSGQHKDIENCRLEVFQSDQADQEPIIGM TNDQGLFNCPGLMFKQVRVHAQRKGFLQVSYDFDVLANSTGQVLNIPMIPDYYSLINQYH ILIYVPNKNNFQLDYSMICPDGVKIDSKNRSHNVMKSKLEINRINQQTMLWNFSVHVQSL DPFLSDNCYQFFINNQKPNRKLLCQEPLSPQCKENNGSTSSKASCFKTVNLNEMFLKTRV MQTEIKTFVRQESDVIAQKINNFCAEDSVRIFVTFGHKVLETFMIQSNLLMQNEKCFGVI DLDKRLFIRDNDTTQQNIKRNLSKMPTFKKDMKNSNEDRTMTTILSHITNQRFQE >CAK80936 pep:novel supercontig:GCA_000165425.1:CT868385:165109:167858:1 gene:GSPATT00015795001 transcript:CAK80936 MKELQKNSILKQWPHYQKILKSSKYSQWKQNDLVCKRSMFYHTAIALSAFYVLISLIVEI IEMENMRNIVQLTLFIVSEIVIICIYSFCKKIQIYFLIQYCLITVYILVTVQNEEKNKIF IFLYLILVNSHSNIIIKILLYLYFSFTIIFFYKLETLEVCIICIIAFIHNSQLELHFIGN YIKQLKLLSIIEQMPSAVCILDQNTKQITYSNQLFEKLAQTLQVSDELAQSINNSSKEQQ QIDFIRNLNLEEIKNDGFQISDFIPQINDEGITDDQYKIVNVDFKRQPSQNTLQNVSFMC PNSASLKKKSKDIFSDINTNDYLLNSSRKSYVTTIHLQIQISHQPKSFILSAKTKRRKKS SNNMNLSKQSSRNLGQFSKVQDIQNSMYCDNLKLSPNHTETLNHNLVEHTEQFKCVNDGF GQQSSTSHKVRLMVNLIQISDVLNEEQDYQIYCINELSPLLLQYTVKKLEQAKKTIMRSL SHELRTSINAVNGYISEAYERIDNIQQLNKNLELSLKYINLMKLKLQDFFDYRDILEDQF ELKVEKFDLNIAINLCVDLIKVQCQEKKLNLNVEMPSETIIAIGDKNRFQQVLINLLTNG IKFTQQGGITIQVSRDFQLDSISGLEEVREIKLQQLVQIRIIDSGIGMKEELKGILNKKF LYVDDDPKISKDSVGIGLGLSVCQHIIKAMGPQGLNSLFLESIMGGGSQFYFFLNYDSIK KYHSDQDIPESENKEQTIVRLSPTKRSLLKQIVTYQNDACNSNDILIVDDEHFNLDILAN IIHNLNSHHKKYEITRAFNGSQALEKIKQKRIQNCCCLGFKAILMDINMPIMNGWDCAKQ IRIFEDQYKVQRKIPIIAITGYSGKKDLEKCFKYGFDYVSTKPTDKQKILKIFQEFNI >CAK80937 pep:novel supercontig:GCA_000165425.1:CT868385:167999:170164:-1 gene:GSPATT00015796001 transcript:CAK80937 MLSNLLKRADLLVDPYEFNISHKQKSLTPIGGFLSILLLVFTSFYIIGVFQRSIQNQTAI YAGSQSDQNAEFVLSNENTIFSLEISTLNGTILNNNTDVKDYFTLNAQYIQQQRVEGKIG FTRNYSQLNQTKCSDQSISKFNFNSASMTEEQQKNLICFNGDFTLQGQFYEPYFAYIKLT VNVCNNHTSNSCKSAKEIEDFINKGVNVDMFIRGSKIKQSLNYTDPADIFSTNIFWRLTT GIGDNEDIYMQPLQMDLRKILFFSDLFNIEDTEILYNSSVVQRQERRQEPISIVSGASLC TFYLRASSDTSYYFINQQDLPSIILSAVSEATALCLAVMQTIKIVYKYYNQHKTFEILMN SVFKFDFKAVQQRKQTHIHQSQIPKRSNSVDSKNQKIQLQQVLKINYTINYSFHKFLLYQ IRRLIKTIFGQCIRLSDEKELVISQIAKSKIELDLDLTNILKKLYEIDCLKLFLFDDDQL VLFNTFSSPVFQDHMSDQKELFDILRANAKQMRSINPLQQPNTQLNIDNQNLYQYAATST IPINVRLAKLARFFKAQLGAENAEDLVENFQKVTQNKNYNWQTNKQLMQFAMQNQSLFRL VQKNYFTEEVVQNSDQIKQSEENFKLEVPDEFDEAKNHSEAIGSKESFKDLDMNQSPDSF QNRLQ >CAK80938 pep:novel supercontig:GCA_000165425.1:CT868385:170264:173260:-1 gene:GSPATT00015797001 transcript:CAK80938 MGACSSSKPITKQSNQASNRQMQEDPSVVNNNVDEPIQVVIQNSKQEDLNQENQDQDNLY QDNPQSDIDHLEINEEDNHKFVDEELLLDNTDDTIQKPADTVNFINTGQTLMQHRNQSDV KVLQKLTKKTCYCLDIPNFFTLIYGQFNYLCNNKQSKILKHKPNQLLESVYQRKSESITS YSKTEKTRRSNNKQSLIQIRHQIQDLHLQGFQMKAWIVKLSMKQEILLTQILQPGFIFEV IVSKKNTVKGKLDVILQKFLAFSDKCLTSQHQVRQADFQQFKKQKHLLSQLSQINVMRMK GVIYEQDVIEDFSIFTYYINFEKIQVGDHLNAVLIDKTKMIMSLKNKSIMGGKQWSLKSL QNPSHFDNWLYFRLGYAKQIGVNFQYQIMNEEERQKTQESIYGNLKFKQETGILKMDYQI EQDGFFPNKQNYPFMMQSLGLSQNTSFTSRNNLKTFMKINLDHRRHFQVCLSSQISNRSM YANRRVKAIIEKQQNKKHIINIHNFLVYSFYSIVFKLNIYLINLLCFLSFKTHLLQTRIY KPSLNHSINLKKTQEQFPEIEYKVIIPTFLVVLDLQPDLRYQEKVQFTLQSNPAVNTIFL NDQQDILLKFQLGIRILQILTLDKPVRDQKDEEQNVNHFLPSTYPYQQQSKLKIEIKLED TDEIEVNGNDNIVTILTRAQIKQTKNDQIKKQIQCLHQDIASKNEAQIVQIQMKFQREWY LKSGNSFLAAKKVLQDYFKSLSIPKTKFTAFDIQAPPPEQQVQPEIAKKQPIKPDVSKEP EKEQEEEEVPPDVSGKDLQNLFPLVSEDFVQRQREAIDKSNKFDLNLENSTPEEKIKRLF EEVRIKQFKSQPYSADKNFFKCPYHRCQKGYKRPNQLKNHMKQNHQKLSEIGFTIDDNGE FKYNDRILDYCLLLWKVYPNFVKSVINEMRQRKEQPSA >CAK80939 pep:novel supercontig:GCA_000165425.1:CT868385:173314:176104:-1 gene:GSPATT00015798001 transcript:CAK80939 MLSKLLLLFLVILISCDTVLDKACNCSQIQNETDCKRILCKYENGQCKEREQETYCKLAS TIAQCPVRGCALYENVCQAFAGCTAYLGKTFDACNKISDLCTSDGERCVPLSTCDTYLTK TSCYIDNTNQYCYYDESDAANPKCKTVAACKNLPIALKTNQQCRSSISTCTVNETNSGCV DSGKNCSDQKIKSQCVTNLDQTMECKWNETTSTCYDYTCVNGNGKTVDECQKYKETCVLA ETQDGTSSTCKDIDECVNYKFMDTCKIGIQGNCLWLVTQVDGKDVGKCVDYNCSQASDDY INDQLCLKFLASCTIDDDGLGCKMREAECSSYSQVSQCVSTIDGNQCYWNKSKQICVSYN CDNAQVDTYTSENCNKFLSICTANVGQTQCVKKQCTDALTSQLCTKLGSCIWQDNKCVSF TCANAPTTLTTNTACSNFLDKCYTTGAGCSSNGTCTDMKTEAACKTDSQNKKCIWLSSAC KVKTCSDLVYYSHSECNDQLDTCTSDGTKCITQAVKCSDYKLSLSCVISIEGPCLWIDSQ CFLFQDCTSLSGTTHQFCNLANSNCTTDGTKCVPITSCAKTLQTGCYVGTDGDCVRNLDK SNNTICEKFTKCTQMNFTTHFQCFREKKTCTVNSDKKTCMDLSSACSNYTIQDKLFVNGI QQHQNAEIKNALIQQRQLMGTVNQQMLNVQLILANVLISKNVMVTLLVIYANMDQMALVF LTQLKVCTDITDVKQCTTLANCLADTSSCVAKSTCASYKTENSCGFDGTDGVCTWNNSTC SVMTKCEDANSFEKGCKKKSEICKWTPKPSNGGSSSCKPYTCQSKNSGSTCLPLVAFSET EYQVCAEIQLTCQSASISDLTEDTCFINSAKSHYWDKTTNKCLACNGTTVTNTTVIDSSY SWMIGTIYLYIAFVQF >CAK80940 pep:novel supercontig:GCA_000165425.1:CT868385:176437:176919:-1 gene:GSPATT00015799001 transcript:CAK80940 MSQICDSSYLINFDCLYQKIKQAKKFKEYGQLSKVFSSNGIIAQQIMYFFKKRTEGIAKV DIIRLFYGVMIHNFQQIWYQKDFKQSKNTVQIKLNLKSTANLQKQAEIYYYIYSLNVEVQ YVQEERTTTINLEQTNQQIRAYISKFGKTQNGFGFQFFWV >CAK80941 pep:novel supercontig:GCA_000165425.1:CT868385:177022:177815:1 gene:GSPATT00015800001 transcript:CAK80941 MILREDLVYMAKICEQAERFEDMFNFIRQVALMEQELLTEERNLLSISFKNCIGKLRTTL KILKIIDTNLLQDQLNDHLSQSKLIANYKQKIEDELILYCEDLIRVIDCNLVKKQYKSVD MMFFYKLKSDAFRYLSEFKTNDKKQFMLDEYSKTQKQVEEIFEKEISKTDPTYLGLALSQ AVYTYEIFNDTVSACKIAQKAFDGALSELDQLSEDNYKDVTLIMQLLRDNLVLWESEQIN ELNNKEKE >CAK80942 pep:novel supercontig:GCA_000165425.1:CT868385:178250:179967:1 gene:GSPATT00015801001 transcript:CAK80942 MLYKLLSCIRCLTLKRATSLLQLLIVCIVITLCLIVLTVNRVMMDALIQEISDKLLFKYN FQEYEIQTEMLKNQINWPIAKRFRMMNTFGIIYQDQKKSMIFNQNPLECPIFLGIPEEQF ETLFELPEFCASNQQTQQKLERHRFNLFINQLNQLLIPLTWSPINDLYMSSTDSSQFFAS CPPFFNIPTFNPHDRPWYQNHMEKSKGSSELIQVSNLYQTFGSHEYEFTITYSLMSSCQG CATEQRIDGVIGYDLGFREIQNQLNFKSFGYFILNNLGQIIHTNYVETFNLKLNESLAYI YQQNLTGFNEIDWDQIQFQSKKQPYLNNCTFHIHHLCRYNSIFNEDIILHVLNLNSDFYL VIFQNVTMQQQNIHESNQRKNDIIHSFQTYLIYLVIASFIFLVISWIGLYFFFRPIKEFR LAFLSQLYSKFSSSNSLIKIQSLFQRSSYFGLALKNLKSKINDINSKKCENCYLIENFKY PRSQLTIEYYQIKNQIKFVNVNENRNLLEQQDQNEKEQVQQTLKFQELRQQLFSYISSSQ TIQSEIDENIQLINEPINTQRFL >CAK80943 pep:novel supercontig:GCA_000165425.1:CT868385:180143:181896:1 gene:GSPATT00015802001 transcript:CAK80943 MHKLTRFNSFIHHFKCIRNMKMQTQTFILQSFIFVLVFLIVITSQMINKSMMDDMIQKLT KEIEIQTNLKQINIQSQFIKYQAHYPLEQGFKFLESFNKLNSMFLKDQQTSINKLLQCLY VEENFDFYSKMPLFCYKFTKTNIITSNESLINHIVGYQALLNSIFLPISISQFQKTMFFT FISDEEYFAILPPKIVPDIYLPSKRPWYQEQIQKVQQTKQNDVIVISSIYQDFETQKYEF TLTKGMTDQEENFSGIFGIDQTLEHYKELLQYEDLNIILVDLKGRMLLSNLQIQQEIDLS KEKKYIYEIGTTGFDESDWNQIVNEANKQGQGTIECSEKDVKQFCRYNTFFKQDLIIIVI NIQSQFYLLVQSISLAHITKDVTRAKELQDQIMSQITQFLYYCIIVAVVLLLLSIIIIPI FFRPLKNIQNIIKTQMIQKFTIHYDHFFFSNRKKNQLAFFQDSFNVFKQKLLDFTQSKSQ DCYIIENFKYPRQQQIIIAELTSFIKFQTFVYIYYPIQKKDKIENLPNQNHQPEQSPKLF CDTFLNYSQDNQKSVIQLSPRDITFRIELQQHNNQT >CAK80944 pep:novel supercontig:GCA_000165425.1:CT868385:182048:182982:1 gene:GSPATT00015803001 transcript:CAK80944 MKEELQRNQSASTCENNNRYKKVPHKNARRVKIKESKELQEDLSKYIRKIMNFSLSEQFG KVSESENHEMYQDDCFLNPKRPEMIPNLSRMKQHFLKQYQDQFISEGIQEIHNHKHAVSN ILYSGLSASALASAKWHSVQAMRRMRAERPQCPEFKEYPLDKEAIQEIEQTKNKVNYKRR VNSEHEKVNEKLMLDRSHSLNKYIENLKQSNQIYTNVYGLQKGEYDLILEFAKKQNISVS QAENDFQFIKSKKRTAKSITYHENRSQKRIQRRKYVDDDDVYGVRPNRISPSGVQLKNKL II >CAK80945 pep:novel supercontig:GCA_000165425.1:CT868385:182988:185393:-1 gene:GSPATT00015804001 transcript:CAK80945 MDQKQRKKSQEEILQIPQRKTQRVAGIQQSLGANHIYETYVECHKQHQRSDIVFIVMCLK LHFVFSFLNDAQLNHLASQMFYCKLSKGQSIIKQGDGANAFFILEKGKIQVTINGEAKKQ LISGNGLGELALLYDAPRSATCTALEECYLWGIDRTTFRKTVEQIMKSEYERNRKYLENA TFFNHLTKEQKDAIGGVLISQKFQINQIIVNKGDQADSLFIIAEGKVGVYTDDGLLIRML SKGEYFGENALLQDNCVRGLTVKAIEESRLLALAKETLTKILGDGVQMIIYKNQCKWILQ QSKINLIIQIDQFLDLLTVRRLKKGDIIINKGQQHGELIIVIDGKVSDSNQSTVCEKGRI LLDKTVESNGNHDQDYLMQEDGIIAAIDYNIVKKQFGEQQQILEIYAQEAHNKVNKVKDY QLEDLSYLKTLGCGQFGMVYLCQFKNEIPLFALKIMTRANIKQFGIAKHVANERRVQSIL DHPFIMHFFRSFKDENNIYLLNEYIPGIELFDAIRDIGLLNKNDSQFYISQMILQTEYLH TVHQVMYRDYKPENLIVDDTGYLKLIDFGTAKLNQPGQKTFTIIGTPHYMAPEVISGKGY NQMVDLWSVGIILYEFVCGGLPFGEDAEDPFEIYKEITKKPLSYPSYMSDKTAKIFIEQL LSRIPELRLGGSYQTLKQHAWFKDFQWDVLIAKKLKPAYKPAANKIVTTGQAQVMKKIPV VEQMLKDAQYFKKSQPNPKDSEWDNEF >CAK80946 pep:novel supercontig:GCA_000165425.1:CT868385:185582:186034:-1 gene:GSPATT00015805001 transcript:CAK80946 MQKESFKKQYSKEQRLKESENILKHYQDRVPIIIEKDKNSRLPDLDVQKYLFLSNFRVFQ LNTLIRSKLNLNKAEAVYLFVNSKVALRGDMSIKEVYDKYQDDDKFLYIQYCEYNTFGL >CAK80947 pep:novel supercontig:GCA_000165425.1:CT868385:186148:186935:-1 gene:GSPATT00015806001 transcript:CAK80947 MSKALTIEILPSKQTLSIEMDPDTEIHELFEYIQQQNDINSDIRNWTCYSYLKRVFLNHN SRIGNAENDKLTINTQPTSQSITPQSDLINTSNKIPNTTNYQQSTSQGQQQQQQFGLQNQ TSNQNPQQVTNLQYPQNQTYQNNNYSQQQYSGLVQSQMVTIHFSIIDGNIKREFKSGFKS DDRLEDLADAVLAYLGASKQWAACDLVIYGQNYNTGAKREKTLQQLSIKSDATIEARLRW IGGSEY >CAK80948 pep:novel supercontig:GCA_000165425.1:CT868385:187084:188109:-1 gene:GSPATT00015807001 transcript:CAK80948 MIRITIPEIHSYFQLQVDEGTLVLDVLELIQDQTNTNKNIKYWTCFSFTQQKVLNHQDTI QTYQNEELYVYFEFKNNFSEIYEQRDLEQNQVTSNSNVSTDRHSVRQSLDIKTLEQSYII DKKTIVLDGIKHYIEMDGETSVKELLEFLAKENKIDQKKIFNWSCFSETRNKQLNLEEKI GFTKNEIFQIKTNKLQHTQSSRNINSQKVDLFENILYNQSIYESPEFKQCSKTITLIILV HDNSCIRRLTAMFDIYEKIEKIAEEVLRFCSLTKDYVSVDIFINDKQFNNPDKRGLTIQE ANLNRDSKMIAKIRWID >CAK80949 pep:novel supercontig:GCA_000165425.1:CT868385:188666:190716:1 gene:GSPATT00015808001 transcript:CAK80949 MILLRLIRRADVLVKPFQFNVHNRKRTQTLIGGIFSILLFVTYILYGISLFNRQELNQNT IYAGSQSDFQDDYSLNQSIVKFAVEISPISKEPFENYTYIQQYLNLDVFYIDQRRVSEVV GYKRNQTKLIMKKCEKTYINGFNFQNATLTENQKEQLLCFEGQFNISGQFYDPVFQYVKI QLNICDNQTNPDCKSIQEIEKFISQGQNYILKKTSINIDLFIKGDRIKKALNMSAPAETY PKNMFWRLTTGVANNEDIYMAPISLDIKKNSLFAKLTTQGSNQNIFKSAAIFKSERRQES INLSYGVLMNSFYLRAGSESFYYFSYQQDWLVILLSSISETTSLIVTFLRILQFFYGFYN KHKTFETLMNNVFKFDITKNTRSDFEENMQGSGMHIDPQQNVLIKKQKLEKIINFTIQYP MWRYILYKLSKLFLCLKQRFNLCQIAQEEMITISQLAKNKIQNDLDLTNILKKLYEIDCL KLLLFDDDQLLIFNSFSSPVFEDNMVQQQHFQEIVKLQGYRRSLRTQTFSKVDFKFVNSQ KLPFTQKLKKLSRFFSAQMLPNNAEELTNIFRKILEDQNVNFKKNQKLLKFAQSNYSLYK LVQQYCYASANVTVERKTNEALSLEGEDRHNVQNLQVHMRTLNK >CAK80950 pep:novel supercontig:GCA_000165425.1:CT868385:190852:191469:-1 gene:GSPATT00015809001 transcript:CAK80950 MDNQNSQPSTILFQEAIDFLVKIFQIFKFPQIKICFNGGKDATVVLYLAKMALEKLQISR EIECIYFKEKQPFPEIIEFMEQQKKALNLQIVECKGCVKENLQNQVQLQAVIMGTRRSDP HGKTLNLISITDNNYPSLLRINPILEWNYSQIWEFIRTFNIPYCSLYDQGYMCLGEVGKT QPDKNYKIGMKPWEFDPQFERQNRE >CAK80951 pep:novel supercontig:GCA_000165425.1:CT868385:192354:195710:1 gene:GSPATT00015810001 transcript:CAK80951 MDSINYQTTTSGAKDALEFSSRDLGVSSMEFQGEFDDQYKLIAIYYKINKLKNAFFIFLM VITGGLVYLFYRWFLSFKLAIRYSQCNFMEMTHLLINKTKNDIRIAEDQLVEVQQNTRKL PNCNYARMFEYELSQFYIDCQTFQLNYLKNSFSHLTCAQLTVATDLNEWSEVYGRNVMDV PIKSIPLLILDEILTPFNIFQIFALVIWAVDNYVLYAVLIFVLTLFQMIMQLREIRANLF KIRNMILFSTTVKVCQFESIVEKSSIDLSPGDIIIVEGNTKISCDCILIDGACVMNEAIL TGESVPVNKTALLKTNNLFRQKENENSMLYCGTFCLRSYSNSNQPVKALVYQTGFQTLKG GLARSILFNVNQSFSFQRDSLKYLFVLAFLGVVQSVISLYLDFSNDATVGEAIINALELL TIIIPPALPTALAAGVSLALNRLEKQKIQCIKPDKVNVAAKVNICAFDKTGTLTELGLDV VGFRPIKGMGFDKKVQISECDEISIEGMATCHSLSLIDNEVQGDPIDLNMFLQTGWKFTE KDITFQDKSLTLIRRFEFQAELQRMSVIVSDHKLFCKGSPEMIQTICQKVPENYKTILNR YASKGYRVIALAYREIPKVLKSEILTGKRELFESQLVFLGFLIFENRLKELTSRTIRELK ASNLKPIMVTGDNPLTAINIGQQCYILEQNQKIYLSQIKDQDIIWQEMAMQDQVINEGSS YSIDNIQSKTLTTDDILRSNNNFQLCITGDVFEQLQYQYNQAINKEEILNLFSQIFIYAR MKPNHKGDLMILLKQDKKNFIAFCGDGTNDTCALRQADVGLALSTEDASLAAPFTSSIFN ISSLITLIREGRACLVTCVECFKFMTLYSCIQSAMVLQCYFWNTDLSLYQYLYQDLWLII PLAFTMDLTSAFPTLARYRPISDLISIPIISSVAVAALSSIAMQVGLIQYLTSQEEFELD EPDEGAPGQINTMLILLSNSEVLAVAIAFTQGPPFRQQVYKNLAYIVTVTLGILGHIFVI FYPQGFQHLEIVVITSLRFEWIIIGFSLIIGVFIILYEKFVTQALVKKYSQQDVNLSV >CAK80952 pep:novel supercontig:GCA_000165425.1:CT868385:196222:197242:-1 gene:GSPATT00015811001 transcript:CAK80952 MKPKQKKFDLDEDLQPTLSQGKGITREHAIHFYEVFLNILLNKHNKFAEHAENVYLINYL IRNDVKWRVTETMLFQLFDVTGNNYISKSEYESFADMIDDVGDRQKLDSLRMLIDRNIDT KIMRTEWINFCNKVLRPKLIQDLQEWEKFHGALSNPYNITAQFTAINRDERNHRDLIHLQ LDRGLQMLHDGFKTFVKHYEEQTKHSKDDKDLFVRKIGFWILERFERWDGLFNWIYQLLD DNGDGELTPKECAAQLNTFAVSMVHVREIFEYMDEDGDGILLRKEWADFSETFRKLLSGY FNQK >CAK80953 pep:novel supercontig:GCA_000165425.1:CT868385:197283:197592:-1 gene:GSPATT00015812001 transcript:CAK80953 MQYIKQFKELIGCYFKKKWQIIFIEEKVEIMQFDRNVQIIQFRFQKRINKETQTVDKQKE EKGHSQENNKKNKKSKLQEPSTMDFLI >CAK80954 pep:novel supercontig:GCA_000165425.1:CT868385:197596:198314:-1 gene:GSPATT00015813001 transcript:CAK80954 MESFIDLNQLEPIPYKNTIAVLNEYMLQNIDFLNKFGHFNENKLFEMEVVLDEIESKVLL LEKKLESVPPEFLNGLVVPPLQQQQQVAQPQSVQQQNDQNNAIPPPPPPPPPPPQNYQQA VQNQEASYAAAIPSPPQIEQQQGAQAEQVQEEQPQQQEEVEDERLDKYKKLLSYGVNSQQ LKMKMQMEGLDPNLLDKYLT >CAK80955 pep:novel supercontig:GCA_000165425.1:CT868385:198346:198731:-1 gene:GSPATT00015814001 transcript:CAK80955 MKSDIKLPLLDHQPLKLNPSYKLKFQNPLRIQYMRFLDKSDLKETETFYMKNYAKAYNIP ILCTYRGLIPKQKEEKKKALYKRPNYKYFKEEDSDLEGDQVQRILSMKQSQKQKKLFVSE >CAK80956 pep:novel supercontig:GCA_000165425.1:CT868385:199556:204284:1 gene:GSPATT00015815001 transcript:CAK80956 MIIQEFTSQPSKLVYFFAQFFNELLVLKCGLLIQKYDYKIESLFEKFLLQVIRPYTYFIQ QELMLFYSIGTFVLLQLLIIFWVYLLNISGDQEKKTYIQLINKYESSSTLQFSLIYIWKL VFLMLSEFLSLIMIEGCFVILFDEKIDNIFCLISSIIMLLEILVIVMLQQVFMNQSLSYI PRQLNQIIRPTLLVYLSKLLKIIVLCLFTSSITNKSIMVLVILILNGLLELYMLLILSIK LDRYLVRQNVLMQCLIICVSIQQIINIYIDQSLYFIVVNPCHSIIQITISCRPLKTLIVQ QKFKLSYQKIKRNYIIHQQMTDRRNSEYRLVNKIFISYHQKSCRKQVCYCNNEEMVMIKL ESIILKDLIKKLKAQIQQSQQQSSLFYIQYIQLLIQNGKCFDALNVTQKVLNQFNRRTQQ FKKPTQLIKGQISLQQKILFKLLQQQILISIKYSIQSRSTGAAKHYIIKQAIRSLQQKVD SEKILQEQFNVILKAKMDFLDEIAKKPNLEVIYINNQALKIIKQIEELKKRLVNNFEIVP THNNQSLLLFFQIEILNDLINSQTINTMNTQLDDQEQIVNANNIYQEFATQYSYVTFILK EEDNIELVQDGLNKAIRIGSTKYTSFEEMVPSSLVSAHKYLMKDFFQTGQNKYYLNIGQS FIQISIGVISPIEMCLDISFDLSMNQLLSTAFIRLASNNILIHYFLLDGQLKIREISQLL YQKILEYPVNQKMIFMDQSIFAFIPTLAEKDFLHDRTLGLYQINFPTNNNKSITTHSKYS QKSIEIFQGVISVSVRKINQKNTYYILEITDLKSDKKVYDSITLSNQQQYIEVDEKEIIN IPFDMCSQPEQSNFNQVVQQQLTSRLDSQRPLLFTSLGECLDGQIDNVKGSQSQLSNKLE NSVQSKHKESKSDEVLKQFDDQGSQISSVAAVRRSAYFKQFSIVNQLIFSKVFPLNIKIF NFMYFLYIFAGVINLSILIEQVQKLQNYQDLLALLSIKYDIYEPIESFLNTRYTIVGLNQ AIATRVITQSVFNELVAFPRSNLARGYDDLKGNIFDVISRKEFQTFLKDRYFDSNWYIST NKGINKNMTFRSGILALLNYQYDFKLAYTVKTLQTDGPYFYYSYKNYIPLYTIFAQLNSD ILDQLTNQLTDQSDQLTMISAPFNVFLGIVSIIYYIYLIRQRRLKNKILSLLYQQDNEVV GHEVQRLKQLQTLINSNYSSLYQYALDVQSFDENLKQQEGEFFRLINKKGNRTAGSRKNR QIKLYNFMQLGILLANIIVLLLLYYITISSLDQYLTKSKNTGQFYESLSNTGVDVLIIYA QREVLYRITLFSYLTSEDASDLQLQVDNSLESINKFSGLLLVLNQNDYLLDDSSLELFDK ISSSSLCNYLPEIYANVSTTLCPQVLSGVLNQGLISTLNVMYNSIKSEKQVNNFTNRSNA QVPLRELEGATFTAKVIKELVKKLYSNLYNYTNEIKSNFQIIYICGIFIQLIFGFSIIYK ISAYEREQMNILRKVVYLLPQSTLLFDDSFQRQIKIIMKQGQLV >CAK80957 pep:novel supercontig:GCA_000165425.1:CT868385:204480:205256:-1 gene:GSPATT00015816001 transcript:CAK80957 MQSTLESPQTDRLKVLTEKWSNLKQGLDKEKNDKKELVEQHIQRIESLLNQEKPKEEQKF KTLKDHLLKLQDQVYQEKNDREQFDELKQKDLRNFEEQLTQQVEEEKSNKRKLELQLLKQ IEERFNSLNSNLQSSNGTCKEKTNKLLQSINLQMSELKYLLEGETQNREDSQNNLIEQID NQINAFQDILQVERQIRDETQKKIFNMIEDIHQRIQTELKSEKQQRESMADQLLRLLEDT CERIDEQFNN >CAK80958 pep:novel supercontig:GCA_000165425.1:CT868385:205317:205736:-1 gene:GSPATT00015817001 transcript:CAK80958 MAPKFITKIISQPPQQEQPDTKLSQIQILNQLFDQKVDQNSKSRGCITLRGEVFNEEQQY FMTQLTSICDVICLSRTNQTNLPKGVHHLPFQTDVGCSAIVRSLKPKFHIDDQQYENLHN FVKIVPWSMINNINVFNLK >CAK80959 pep:novel supercontig:GCA_000165425.1:CT868385:205817:207488:-1 gene:GSPATT00015818001 transcript:CAK80959 MLNELLLISLVLKGIECQLSQLSLSATESLIHESVSCACNFSTDFCDEYCCCDSKCDSNT IVEWDLARKCREKIYSSAYQIPECNRDYQKSSSDLYLGLRIAYNLFKNLGCVTNQNTLST LQNKELDSKDYTAKIEEYISKFSSTPTFIGLSTENYITSTLINQEMTMIKDVNQVKLEDI RLTLSLYVQDVLRYSIIQELTDDQITPLLLTEFKYSNYLSLTIWGLSVKVSATYQITYND ATKRYQYATITTPTDPVGKTNMVVGVIYQFNMAAVPIDDTEKSGKPTSIDATIFMIDAVQ VNADAVNIIPAISGIIYKTVKGEAYDISSKGGYSRGAPLRFKKSLDNTNEELLQQLFIGV ESYDGLCISSTTKDVSHSFQIKFLEDQKISCSKSSISFSTSNIYKNVADNLKFVARYGDS GSNNLSTDEWLLVSPCSSTDSYQFSLTFGYIVYGEKGKERFRINSASLICTQVSIDAAQY EYRFYIQFIEIPNTWTHFKGPSPQLIGGLPDDILAPFINYG >CAK80960 pep:novel supercontig:GCA_000165425.1:CT868385:207627:208750:1 gene:GSPATT00015819001 transcript:CAK80960 MKSKNINVAPDLNSVLQKKVRLCEDSQSTTLFNENSNSLESFPTLSKIASKYLNKPSLRE RFQQINNTGNLQASKYYTLISILSAIDSQLLFLKYRRESLFWPNIVKSCYDSQQLQVTTR QLQEILTVWSQSYIIIWEKFEKQGGNFELLLKFPEKQLLNSQELNSRKELFQNKLEAFLQ LNGDYVEPMKLPQKPQFADPNLKKENNQSSKSDCVQFSQSINISRIFQDKDKPCDNKQLS TVSQKLIDKLRERKLQEKQKSEESQDDKQLSSKKQHILVATMLHSYYKQRDVSNMFFNNV LKYIHDHNTNVLLSSEQIKSIIMSLIQTIANWLQLIDNSGGQILRLNKEIDLPNIINQL >CAK80961 pep:novel supercontig:GCA_000165425.1:CT868385:209656:210695:1 gene:GSPATT00015820001 transcript:CAK80961 MSQITNNILIISIILVYAQAQISLNISSENSVEKYFITSTENMVVIGFEGQQEVRDYIFC RINDCTCEDMVSKGPRSHPQLNSIQQTINVQCIDKNTITFERKENGCKPYVWNNKPQEEE QKPYQPQKRITQANTYTDSDMTASLTVGSTLSLKWKFNTDDTIEICVILNKKSWVGIGFG QGMNGVDMFAINIIDGAAELLDLYATSESQPPTDSSQDITLISSSVSDSEVKARIKRKLN TGDSKDAVLAKGSTYTWSYATSSSLVIEDHKSNVGQFSITLSESGSQQTSNANLISLIFG LLVLGLII >CAK80962 pep:novel supercontig:GCA_000165425.1:CT868385:210866:211852:1 gene:GSPATT00015821001 transcript:CAK80962 MKRQNSANVTGSPSRYFSTTQNQFGDILKQITHNCNNKPIKKASSPNPNGQLQKRIKTQT SCSKMPNQNSQNKKASSSVPKNRLEYYTIHEQIGSGKFGKVYKCVLNSTKKIYAIKMIDK QQLKKNQMNHQLQREVTIQQMLKHPNIIQLIEFFETATNYCLVMEYANGGTLFQSLMRQN NKRYSEPAASNMIKQVALAIQQMQKQSIIHRDLKPENILWSDGVLKISDFGWSIQDKKER DTLCGTIDYLPPEMVYGQSYDNSIDLWSLGVLTFELTTGKTPFQIQEGFALQFPDHLSGD VKDLMKGLLAEKKNRKSIDWVLNHVWLC >CAK80963 pep:novel supercontig:GCA_000165425.1:CT868385:211868:213158:-1 gene:GSPATT00015822001 transcript:CAK80963 MDNVISENYEDEGEICEKITIITRQQSHFQDQDSQLGNQIPVRSIKSCSPQYSDNFEWGN DKCRVSFFECMRLDKRKTTSVYRQTQESVSYSLKGEMNALIYYKLFDDECKYIVKEISEN LMELNCNIYLEQKQFDEIAELTHTNRLFVFNKESIPLIALIIVVGGDGTVLYALRQFQGS EPPPILAFQKGTLGFMCVFDLKDKYNILSQQIGHFRTAGQFIVERKLRLKGCLKQAGQQQ FEYHVLNEFVISRGANPHCLYIEIYINNVLLTVASGDGIIVSTPTGSTAYFLSAGGPIIQ NEVSSISIAPICPLSLSFRPIVLPTCLQITIKLANQCRANGFICADGQATIEFSKDMIFE IQQSENSVSIIQDKSDIDYNEWIVNLRKKLGWNKVFTEQKKQKSNL >CAK80964 pep:novel supercontig:GCA_000165425.1:CT868385:213273:214160:1 gene:GSPATT00015823001 transcript:CAK80964 MMMILLKKNQVFLVSKFIDRLRQQKEMQQKLKQQQAAILDHNKKVSQKSNQAEQLRSQIV KDILPKHKGLQLAYDYDGTFMLAKDLTQVRSHQRAPEIHKITSVDTVFQPQKPIQQAVIE NEKVKKEQQRLSKSKTNPPQIFEASYQKPFEGFTPNHGVKLIYQDQNQEIVKQQDIQNWG DGQRMTKKQFEALKNEGFKPSTYSMKQQMLQKINSDIMELQEPKNTPIIGQSFNQINELK ISQSYTNLKQLPQQQQTIHQLPQTAQNRKQNSKINVVDKYLLDQLLS >CAK80965 pep:novel supercontig:GCA_000165425.1:CT868385:214396:215039:-1 gene:GSPATT00015824001 transcript:CAK80965 MSIQIYFKVRCQTQFKQQVYIVGDQQSMGKWNPKQGIKLDTNGDIYPLWIGTLIADFELN QLIKFKAAIVEADNIIWESTENRVVQVWYQSQTVLFTFDSSPLKMIKIKSFFDYDQDSEV ETAYFSGARRRQLKTVVSPLEFDYMSSDSESDNSNISSIFIENIEAQNNLDNSECLTCSN FESLLSNLNE >CAK80966 pep:novel supercontig:GCA_000165425.1:CT868385:215668:216328:1 gene:GSPATT00015825001 transcript:CAK80966 MAEQLTEEQIAEFKEAFALFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG NGTIDFPEFLSLMARKMKEQDSEEELIEAFKVFDRDGNGLISAAELRHVMTNLGEKLTDD EVDEMIREADIDGDGHINYEEFVRMMVSK >CAK80967 pep:novel supercontig:GCA_000165425.1:CT868385:216399:217717:1 gene:GSPATT00015826001 transcript:CAK80967 MSNNTQTSYGFHNIKYWSNKHYEFCSKIKDRIFIAEDIKHNNRKIVIKQITNQSKKYRER EKQITKDLINLYKINHYNPHIIEYYDLFDENDSTFIVMQNCESNLSTLYQKTVTSFQVKN YQFYCNVLDIIRQIIEGYQFLYYQFGSNFQHRDLKPENILFVDNQYKICDFEFYKISYEN PTKEIGTQNFQAPELYGDNNYDNKCDIYSLGIILLWLLTGQYSKDKISGLNSNFQELLKI MLEDNPKTRISWNQLFKHPVYVKEPKDFLNTEKVNNTSLTLYDLSQIIQLADLDSTQSSF TQKTNTSFIQQNNCLQELELNKLISDTFKNVNENNMELSRILFALWVKIYDKKILQENDE IRRKEYHRLKQLIRINNQVDYRIEFLTIQELCQQLFYHLEHFKSDEDLIKRLKAFLEKEM NLR >CAK80968 pep:novel supercontig:GCA_000165425.1:CT868385:217778:218349:-1 gene:GSPATT00015827001 transcript:CAK80968 MNSDVDPLYTRLYHKYSMKYRRIIDKQYFDSPIFRLILHPGKRWFLCAFFLLVYFNRLIE TQSYFVVSYMLGIQIIQSLLRYFTPLGLPDIEDEDEDVNIQLPQHNDDRPLIRSMPEISL WEQIIFALILSNFATFFQIFDLPVYWPFLFSYFILVIIITFKKYLKHMQKYGYSWGDFSK R >CAK80969 pep:novel supercontig:GCA_000165425.1:CT868385:218751:218948:1 gene:GSPATT00015828001 transcript:CAK80969 MKERYFSQHCLWIPKKEYQSQDQRPGMKAINLFKQKQNLEVDNITEQIKKGGTMLKQDSK HADVI >CAK80970 pep:novel supercontig:GCA_000165425.1:CT868385:218956:219988:1 gene:GSPATT00015829001 transcript:CAK80970 MMILQKDYFVENVERIEKDKVILNALSQHYFFAHFSDQYKYKLYDETREDSAFLLLIKEF MISQQMGMEKSNFLLEKALGNQHYFMEHLILLLLKLKMVFFALYESVSLSGCGKEYDDNR KFIDPIKFFEQMRQYDWKNMIAALLELSQRSFYFAVDENGNKIQAIMKNNLFRWSFEKKT IIIMNKIQTVNYQANQKIYTTDQKCDKLVIVLEGNLCNKEKEIATKEQIVRDQFLPKKNS VKLVPYDIFKRKVAEILYTFIQLIVQQFSIVYCISNQKVISIFFSKVGYHLMYFSNTWRR NRLSNLEE >CAK80971 pep:novel supercontig:GCA_000165425.1:CT868385:220011:220774:1 gene:GSPATT00015830001 transcript:CAK80971 MQKQISKQQVDYLVLDDMIHIKKLGQDNLAQYTCDGKLYALKCIIKNQIEEQHLESHLTQ GKPILEQIQIPLMTDFIFEYIKGLEFFDVIRDIEPLNTYDSQLYVSFLLHLEYLHTHHIV YRDIKPQNILVDHHGYIRLIEMGTAKILKGKARTFTIIGTPHNMASEILIGKGYSYSVDL WSIRICLYEFMCGVPFSEDAEVPYEIYEEITKKQISYLIIQKINSQKIY >CAK80972 pep:novel supercontig:GCA_000165425.1:CT868385:221189:222199:1 gene:GSPATT00015831001 transcript:CAK80972 MGERQIMSLGLDQQTIYEIQIKQNSMKSAVILLICLLSAFSLLNKETNDSFTEFQRWKIE YGKSYSGQQEVFRFFNFQINRNKVNKHNSDPNKTYFMKMNQFSDLSQEEFSLIYLTHDNA EEVMEQNLIIDELQKTQENDKTINSVDWRKITQVKDQGQCSGCWAFGAVGAAEAWFYVKN KTTVLLSEQQLIDCDTQSFGCNGGYQNLALKYIANHGLNDARVYPYTQKQSAYCKYESGP YKTNGAQGVSSNNFKSYLTEYPLVVVVDATNWQFYGGGVFKDCNRSVNHAVLAVGFDEND NWYVKNSWGISWGEKGFITLAPGNTCGITSYAYRAI >CAK80973 pep:novel supercontig:GCA_000165425.1:CT868385:222498:222998:-1 gene:GSPATT00015832001 transcript:CAK80973 MKQFKKNVELGRNLQDLLIVIEYTSSKPAKELFTRVNKNIIIAYKNVKFLNYKDIQYRLN LDETNSIICCGMTNKKRIFFLHKDTLRISGYQNYQTVNCIIKSEYYNPQKIDFTTQYVKQ TDMPNEISSLAPQIVIQIQIKANLERQQLGSILKYEIVKEKMYQCF >CAK80974 pep:novel supercontig:GCA_000165425.1:CT868385:223194:224738:1 gene:GSPATT00015833001 transcript:CAK80974 MQGLIPLDFGEQSDRLDGISSISNNSSVMAPHGNEFSFQGNIQASMPQINRTIKNCIAFN MNEQYTTLGIQEVKENKLNLKESYVKITVIKNMVGLESNQKKEYYINNKGLVDTKKNTNQ QDIMIGRQEKFELQGQSIYPNDIVLTEQERLISRIHCKLICKNFFRKESYINPFYKKILG FLKNRLPHFVLIKIEKFLEDPNECYVQDVGSVFGTYIRVDKEYQKLRKSHQYSIGTDTTF QIQDYHILDKNLKFPDENFDYMIDQIRNNSFKCNIHGFAFEQKQYESQPTQQQIEKSPME IACILQNLKQYNVPFIQLIFSGSGLQGLQGCYLHYLFAKEYNGEFSIGRCSENSIRINSN TISRKQTRIRFNERENKWQIADGSQDRESANGTWLQLSTIDERDQKVESQPHPLKHLSEI KINDYILKVELFESRYVFKISLQENKRKVITSNVNKTSNDVHFIF >CAK80975 pep:novel supercontig:GCA_000165425.1:CT868385:224833:225479:1 gene:GSPATT00015834001 transcript:CAK80975 MEFFEDVTTQAVSSDVPQVDQSQIQQGQYDVFSAFSNEAPVQSLSSVVYMSPEQQIRKDK LKIIEEERLAQIREKDQQERLLKQQKQQKGREYLQQFKSQLETDIQQRKVQNKQKQEIWF ENKKNHSQYKNSWDQIASNIALKDGEYPGQKDVTKMRQAILNKRIDLTK >CAK80976 pep:novel supercontig:GCA_000165425.1:CT868385:227613:227750:-1 gene:GSPATT00015835001 transcript:CAK80976 MIQIQDLLVHTTILFKLYNEQQHRQSQLNYIKLQSSLKQIYISNT >CAK80977 pep:novel supercontig:GCA_000165425.1:CT868385:227865:229036:1 gene:GSPATT00015836001 transcript:CAK80977 MQGRRSQQTKSTTEDNLDCNGKQVISLDKVINTNEDQKQQKTKKLQKVVSTKKQNSTNTE ELSGVSVKESQNGTYNLRQYTRRNYLDFGSEAGGDDNNDIDFGVKKQINKERKNSDLDIN ETIEYDKLPKNKQGLIKLLSKVEASIESQKLEWFKEQNELPTLISSVPENSIPINADVLA FNFKSLIAQQQKISGKLFDAIMMDPPWQLSTSQPSRGVAIAYQSLKDDQLLELPIPLLQK EGFLFIWTINAKYRIAAKMIKQWGYQLVDELIWVKKTVNGKIAKGHGFYLQHAKENCLIG YKGTKKLNYYLKSDVIWSERRGQSQKPEEIYEIINAMVPGGNCLEIFGRRNNLRNNWVTI GNEL >CAK80978 pep:novel supercontig:GCA_000165425.1:CT868385:229196:230626:-1 gene:GSPATT00015837001 transcript:CAK80978 MKNYYTFAKLFAQIGEGRLLQNPINSRIQAKKVFLYYSNPLPSNEIENLLKSLHYFVPNE VIQIMKKSHKFKQVFGKQEFTQYFKRQSLDSIQKADLILLCYKLNLEDDLWMKCLTMKVD ELAPYYLSETMWALKNKSFELSENQEQSKKLQQEIISILPSRLNQFDFYSLSLMLQSLTK FHDVDWDDLAKAFLKLLKNSDHFSLCYGLYALAKQRSRLSITMNDWNLIQIVQNEMRNFS QNDLLLVLWALQKLNLGTQDFWNHAFTILQTQRLQTLQSIAIQSQILSQLFRVDELSWNQ IEKQAISLLKSDEKSLVTLFQTFSINNKGSQQFWDKICIQILKDIKTFQLESLIKLASHS DIPQLSKDIPLDWTQLKISQRCLIFNKFARIGLQIEDKYQYILEQIDSVVGKDLVLVLYT IFRYSPKLNKEPILNQLLNSIDKLNLIDIEQLLDLKEIPQEINAKLQQLHENQKKK >CAK80979 pep:novel supercontig:GCA_000165425.1:CT868385:231625:232194:-1 gene:GSPATT00015838001 transcript:CAK80979 MQHIHDYGIIREEENKSKLIYASPLNEHFQNFSNLLQVEKERQKSKILIPLDLNVINQQQ NGFKSSSRQSPNNYFTNKQVLSVDKIMQKMTIKHFSDHQVPIKKQEFYERQIRWLNQIRY VNTVKNQNKLNQEIQNCPFKSYTSSQKTINKEKQKENSKSILSPQHCNKSNKRNDSYTKI HLAKKQSFG >CAK80980 pep:novel supercontig:GCA_000165425.1:CT868385:232246:232653:-1 gene:GSPATT00015839001 transcript:CAK80980 MGDQDETQNNQFLHSLVNQSRINESINYINLLDLMSKYNPGPPTKKTIVNDQDFIDELEL FLDQLDQPKRRKFYEESMILLDENDNHSILDFTNLNNTINKQDTKYQKQSDAWLDKLPLK HEFDDLEELEKILSQ >CAK80981 pep:novel supercontig:GCA_000165425.1:CT868385:232681:233752:-1 gene:GSPATT00015840001 transcript:CAK80981 MNGIYSLKTIQCISNGLEKHQAWLAKFEDVKTLKKKKKSKLEQEQDDSIEDVDEFTQQII VNYTYYTRFPNYYLDGVENVWLNLLRGRGTQCYKNLVQVEDHLTSKGAQWIIQKYIEKPL IVFGKKMDIRQLVLITDWNPLTVWINDEAYLRFTEEEYDPKDLENKMSHLTNKFYVEKGR NTSIIQFSDYLMITIKPQFEQAIIWSLQKRIRMQFWGNDFMIEDKFRVWLIEINSSPDFS YSTHVTENQKLVKEVSEDLIKVVIDKENNKKCDTGKFQRIYKTKSILEKPASVGINLYLE GKKIKKVKG >CAK80982 pep:novel supercontig:GCA_000165425.1:CT868385:233997:234305:-1 gene:GSPATT00015841001 transcript:CAK80982 MTDLKLWKKKQRIDDSVKMFIIVLGYGDIAKALLYRGWVKNPNSVSPCFDFKFRNRLQQF IRILDCESLSQVYMFNHQSWIMQISKQFNLVQQQIHILPKGI >CAK80983 pep:novel supercontig:GCA_000165425.1:CT868385:234521:234888:-1 gene:GSPATT00015842001 transcript:CAK80983 MYEQNTIKDFHSPNFVIYVIMKGHNSIIEREENEKIGRKSDVLKENKIWYCENAIWDEVK LKSRYMKEDQKEIIQQVSLRTIKSVKKEELQQEQMMRIQGRQKQYQQQAFT >CAK80984 pep:novel supercontig:GCA_000165425.1:CT868385:235281:236000:-1 gene:GSPATT00015843001 transcript:CAK80984 MSRTQSRQQSAAQPTQQAQKNQPPAPLPAPTKGKAPAPVQQPVQQQPAGFDASKYVKPGL SKDEVLKIKECFDIFDDDKSGSISPNEMKNAIIALGMEQSAEEIVNMIQDLDQDGSSLID FEEFLNIFGFSGTIEDEQVLEKLYQEFDSSGQSKVTYEDFKRINDLVSERYTDQELREMV EYADKDKDGSLSWDEFKTVVQKEYQNQA >CAK80985 pep:novel supercontig:GCA_000165425.1:CT868385:236488:237433:1 gene:GSPATT00015844001 transcript:CAK80985 MQRQLRIATRSSALAMAQTNYVISELKQECEIIKVSNEVGDVNLQDPLYQMPTVGVFTKQ VEQYLLEQKADVAVHSLKDLPTIIDAQLHLAAYTKFEQRGDVVLLNKKYNYKSLSELPDG LKVGTSSLRRIATIRSRYPKLNLINIRGNLNTRIQKLDEGQYDAIILAKAGILRLGLAEK ISFDLDEKEFLYAPAQAALGIQCRKDDLETIGRLQILNDTDAQKRCVAERMFLNLLEGGC RLPIAVYSECREDGQVYIKGRVLAVDGSKVIEDEALDHFEIVGQILCEKIKVLGGVELIE SLKQQK >CAK80986 pep:novel supercontig:GCA_000165425.1:CT868385:237470:238056:-1 gene:GSPATT00015845001 transcript:CAK80986 MAKQETGKNNKRDRSPVAEMKIWQEAVRKENQHLKVYEHFTINPHKLYIIQEKPNNSIML QKHLEKTGAVQKPAFDVNQITDDSPPLEKDIIDKLNTMNRTPRQKYQFPQTSNQELGWHS VNSTSLSPSKFTYPRKLCKETNYANDYFTMNKISPYSNKFK >CAK80987 pep:novel supercontig:GCA_000165425.1:CT868385:238084:238278:1 gene:GSPATT00015846001 transcript:CAK80987 MFFVVPTHGAVLYPYQNLKLRFAVLVCQSKNNYAAIIPVVDQQINEIQRIQKFQSVGYFS ETYQ >CAK80988 pep:novel supercontig:GCA_000165425.1:CT868385:238740:240402:1 gene:GSPATT00015847001 transcript:CAK80988 MNKQASKPTNQSTKIPIPIQKQKSNDIEELQTTIKEANLPNHVREIVEQEMQKIEKGSLG VDSNVTRNYVDLILQLTWNQQMEKTLKERKQLIPMLRIDDMFIQTSWFWQNFAWSKYCKS LNRPIYRVALGGGSDEAQIRGHRQSFILGYQNVRYTNPVILLDEINNRLDPSSALLESFY GKLFEYRISFKPCIIIATANQLETIQPTLRDRSELIEIVGYTVKEKVAIANNYLIPKQLQ KNGPVNEQVTIPQELFLQSQNSTRVRQVSNNWKENISLKFITDQQNFKTIVIDQEGLIEI LGPSIHLDKHTTPLTTIPGVCKGLAWTPTGGKVLMIESVKMEGKEKFEITGMLGDVMKES VRTAIGWIKAYWPQIKMISKSTTHVNLDALDIHIHFPAGATPKDGPLVGVAITTVIVKSD IAMAGEITLTERVLPVGGIKEKILGGFESGIFSVIIPHRNKANLSDVDVEIREKMSIYLV KTIEQGLQIALECNGTNFKMVNLANL >CAK80989 pep:novel supercontig:GCA_000165425.1:CT868385:240548:241406:1 gene:GSPATT00015848001 transcript:CAK80989 MKQLIQVLSAVLITVGMLYQFSPQPNKEQNLRDWCTNFDGDNGCKGSQTDNPSDWLNRNF QTPQKGDSLWREGYQDYHILQGYAQQKYSSDLRSCSISIYTRVNPEYQGSLVYSFDGEEQ TSPNKTFSAPRSEVQIKVYLRAGGEITIAKLDLQPVDFVWNHPTVNQSSHYKNGQKGAIV ELFGWKYEDIELECEMIAKAGYMGVKVFPPQESILDYEHPENGELNPWYWLYQPVSYRLN SRMGTVEELRKMINTCQIKGIESIRRCCCQSHEWQWQ >CAK80990 pep:novel supercontig:GCA_000165425.1:CT868385:241408:242514:1 gene:GSPATT00015849001 transcript:CAK80990 MFPSHCYGSTYWGNKNSSGGSPWYTQGFAYQNWTWSGARPGMEFPAVPYGPLDFHCARPI SSWNDGFILNYGWLVNLGDLNTESDYVRQRIADYITDLLSIGVSGIRIDAAKHISPEGLA YIFKKLANNMGGKLPDDFSAYLEVIIGGEKDLLMCNENWYNYGKSFDNYMKQAGLSDSDI YKIKIWSSDYPKEHPACGWWPISSERFVAQLDCHDDQNPGSSSRDMQDKGSVLIKERNVE KHRYFNRELFFRTDANWQIKLVLSSYSFIDSNGAQAPPDGHSDCARCVGSACSGCTKSVP YKKAHNPNVCGYTIYQNGQWQQGEYTRVHRDKEIIMEMRRWMGLSTSVSNAEIGLPNHC >CAK80991 pep:novel supercontig:GCA_000165425.1:CT868385:242553:243722:-1 gene:GSPATT00015850001 transcript:CAK80991 MKLSDRIPELRSRIFKMVYIKILQIDSEIKYDPQYNNFIIKTTLQQHLNKKHRDDMCKKV DHLLFILFDYLDSKLGIAKKSIFQMEINEDLIFKIFRELQTVFQEIMLKNQYYRMAHYVF LYFCSLPNSLSRINQLFLSQLVQNIRSNTLSKTEKINSLYYLSSLLVRCNSIKCSVFVKA VELLLEYLNDKSQQIEIELEHHLVQMMIFLISTKSTQLEILKNQIHETILKKSSTLQYIH YDILVKFNEVLFRSKSDDLRQKLIEITANTISKHIGNNCQGDLITNYHSPNTPQKLIYDH ESLFSRTSTQRTVVHKNQLDFYQPFNSMTLIYSEPFIKEFYRYDQELIKDEGGSLNDSHV EEKRIPQFCESEKPQKKVKQ >CAK80992 pep:novel supercontig:GCA_000165425.1:CT868385:243936:244706:-1 gene:GSPATT00015851001 transcript:CAK80992 MQKRPEDAKKEEQLFIYLQKSYADAQKGMPNSMNKIIEEVCRKNKDIPQIGLWVELFNRL LLQLQRNGNDAKMVIDIVLYKIPWFTSDNHFQVLPQFKKILFTILSMSPSQLITIYRFLV QKLSLQMLIDSEQTRDDIPCEVMGQSYPTKAALQQQILVMAEAYQLTAENQIVKLSESHK QFIKEILIHHPKADEKLKGMSDIGYGYFPSKNKSKCFYIIKKDNSYEDISY >CAK80993 pep:novel supercontig:GCA_000165425.1:CT868385:244969:246317:1 gene:GSPATT00015852001 transcript:CAK80993 MSNYRYPNKLSQKSTEIRWVDKVICKNYRILNPINSGTFGHLYLAIHQVKLEYYAVKILQ TGKSPILAQSVRQEAEVLYKLNGNVGFPRLYYFIQDGTNSFIVQTLLAQNLYQLLMQTPN KIFSLKTVLMFLDQAINRLEFLHKNDYIHRDIKPENFMTGLKEDEIYLIDFGFTQLYKDQ GQHIEKQKNENMIGTPRFCPICTHLNQSQNRASDLESLGYLAIYFLKGLLPWMNIQAETK EEKIRLIGQKKMSTSIEELCYGLPKQFEEYFKYIQNIPFNGDPNYDYIQQLFKKLYQQMG YPFDQQFDWSSKLEVRNSDDNFETSKQQLFLEVGRKSFTADINIEELPSQIQKKNIERIH GFKISEDLLLITDLQQEEIQEFEPGLTLFEKMQYINQQQFKKQKNELKFYQIL >CAK80994 pep:novel supercontig:GCA_000165425.1:CT868385:246330:247733:-1 gene:GSPATT00015853001 transcript:CAK80994 MKLIAIILISISLRIYVAFGGYSGMNDPPQYGDFEAQRHWMELTSHLNITQWYEKSEFND PKWWPLDYPPLSGYFAYALGKVAEKFDPEIIAPYSSRGIETFNTKLFMRLSVFISEIIFL YPPLIYFILKQQSKQQLIALCCPLLVLVDHGHFQYNCIMLGLTLYAIISLEKGKLVLGSI FYVMALHFKVMSLYYSLPFFIYILSKTYKEPKKVAVVGITVILTTLIIWLPWLSDLKLIQ EAIATIFPIHRGLYQLHVATFWCISHIVIKWNLVFNNQLLFRLAAILTLLFSIPSLIRLF KQPNLFRHTLFIVSQTFFLFSFHVHEKTILLPIILLLISQKDYGYLVYDYTIIATITHHP LMIEDKLFIEYCVLLVLFFIFTRNNQPPQFQDSWILRFYSKIRNVIIQFQVQIPVLLYVI LFFCQQLIQPPQRFPFLYELSMQVLGFSMYTFMYIISHQSIKSVKND >CAK80995 pep:novel supercontig:GCA_000165425.1:CT868385:248241:249637:1 gene:GSPATT00015854001 transcript:CAK80995 MQMIDYRLITKKGEGTFSEVIKAQSVKTTQLVAIKCMKQIFQTIDQVLYFNSNIEIQALR KLQNHEHIIKLIEVLYDEPTGRLALVFELMEQNLYEHIKGRKIPLKQEKIRSYTYQLLKA IDFMHTNNIFHRDIKPENILLLGDHLKLADLGSCKGIYSKHPYTEYISTRWYRSPECLMT DGYYDSKMDIWGAGCVLFEITALFPLFPGSNELDQVHRIHNILGTPNPKVLDRFRKHATH MEINFPQKVGTGLENLIPNAPKDLVDLIKQMLIYDPEERITAKQALRHPYFKEIRDQEQQ RLLETSLQSIKLLKKNDDSLMDEEQNTTQIIHKKTLFNQTNKVLQNSFKNKNLHLLDCVK LPTLTKKQADLKKAYGPAHFQTTKKKSIQYEYILYGKKANLGNFLNTLRHK >CAK80996 pep:novel supercontig:GCA_000165425.1:CT868385:250170:252421:-1 gene:GSPATT00015855001 transcript:CAK80996 MSQAPQAGQQQAQKSNTFNKSEKTKDIRLTNIQAAKAVSDAVRTSLGPRGMDKMIQDAKG QVLITNDGATILKQMDLVHPTAKMLVEISNAQDVEAGDGTTSVVVFAGALLKSCEVLLEK GIHPTTISEGFQFALEYALTALDELKKPVDLENKQQLIECVQTALSSKVVSSNSAQLAPL AVDAVLRIVDPQKPNNVDLKDIKIVKKLGGTIDDTELVEGIVFSNQKASQAAGGPQQIKD AKVALLQFCLSAPKTDVENSIAIKDYTEMDKILKEERKYIIDLVKKIVASGANVLLIQKS ILRDAVNDLSLHFLAKKGIMVVKDIERDDVEFISKTLCLVPVAHIDQLTPEKLGTAGLVE TVHLNDESKVLRITKVPAQSKALTILVRGSNQLVLDEADRSIHDALCVVRSLVKSKGLIP GGGAPEIHLSLRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXYQIKNAHQRLSQFSRYLRRCFSQKIVIISYQISTLDHLIPDKS IKNKKKELHKNYKKFNNLSIALNSNEQINLTNDELQLFQSIKNSPQKQQNNNKFPDQKKT RKQKLIEFLKGQHQNIQFTTLLQRKSLKDQALLPSFIITKYQELQF >CAK80997 pep:novel supercontig:GCA_000165425.1:CT868385:252421:252926:-1 gene:GSPATT00015856001 transcript:CAK80997 MKSEQQQKGVTKTVKKVKVNKKAPVRLWVKAVFTGFRRSKVQQNEKQALLKIQHVDDVSS SRFYWGKRVAYIYKAHSLKNNTKFRTIWGRVSRSHGSNGIVIARFNRNLPPRAIGSTLRV FLYPNRA >CAK80998 pep:novel supercontig:GCA_000165425.1:CT868385:254295:258983:1 gene:GSPATT00015857001 transcript:CAK80998 MTRRCSLLNNVIKTTLVFQQFSTEIPTLIQLIFGFIAQLQIISYLEQPTFSIENSFKIVL FFTRPHIFIFTQDDNSTFFIAMFLFIQILIVIWIYSCVIVNKSEQKNIINEIYHLQLNSK ITRLIVQINAIFLFVNKVYIGNNIIEMSLLIVLKQKQPQLYLICMGVFLIFEETIILYGQ WQLINRSIKFIPSLQYLTINQSYTSVINLFLKFLQIICYVLLENKQGAIIIQSILIILSF LHKLLQIQYNQIHMKSNYQNLIISFSSFGILLGMMGILNSIFPDFFTRDWIYLFPLQIYV IKKSLKVELHQFMVYKNFEQLSINELKYISCMILDGLSLDNIKNHLFLYQFVEKNSNKSE KLYSIREKELSKQSIEIIQQFIQQMILRRLECLSEEIKNPFKLLQIYINYIQNLIVLSLY VEAQQIIEQLFRYTQNSPSKIVSKTFKLVIRAELNSLYKIYFEILEDKIIANMQNKMIQQ SSQLRLNNQTISQAIKQLTIINSNNIDVQSIFKDVLQQKLEFLSFNQKEKISEQVFSNKV YQILTEQEHLLTKLKSNYDLFPTIQNQSILMTYQVQVLNNFLDAYYFSQTIALDEDIILK HHQSEMVNFFNQSFNFVIFEIHDLQNIQIKYHSASQNENFTLKEGNQLHQLIPQSLKTFH QLLIEKFLLSMKLSFRQGKGIFQPIDICMDLNYKNTQNIEIITVYRSPQCDKQLMLVDSN LRLQEISLYLFSNGFNIQENQIDFIIGLHITKVIPGFKRYIDEKLFDIKNCPINFFNYQD EQDSKTKSKTHYNFDDFEQISFYSCHLTIIQRVFVDNQFYYILKVDNLKLDRANSYSQFK MTQNSEHFCEMDEEIEINIPDLDEFNQKQLILNTDRQEAQLELIQNHQEYDQNYDKKFTE TSIFNKQKQQAQIEQNEDSSNLSSIAKIKKSPFYKKFTQYSTIINSTNRSKNLIAFNIFY LIYIILILTFSIKVIVATYQIKEYISQMNLLSIKNEVYQPIESFLLTRYTIITYNTLLQA KVVTQNEYYYLIKFPNSNLLSGYDDLKISITKVLDIPEFQPFLQENYQIITLYEKTNIGK EYNITFREAIHLLLNYQYDYKLAYTVKSIVIDGPYFYYSYKNYIVLFNKFLELQNYTLES TFTLCDSNLKDIQIYLIIFDVLTFLIVIVKVYHKGQIRQFKNKILTLIRNQDLHQNEQDI MMLKQFQLQILNNRHMIYGYKLDLDGIDKSLKTRQQNFKQRQINQKRQVSLQMKKQLFLI SFHYLIFFGLSLLFYIIIRNYLDNYKQTALYYSKISDTSVNVLIIYAWKEALYFKSTFTF LNKTEIQQFQDHIQSSLTSLQQIASSLVNINDLNFLFGDSTEKIVNILTQDSVCDNLSDV RNIQYIQKYKTKAESICNYVMQGVLTKGLINSLNYMINSVKTEYDTTHFDTRQAYQQLEL EGASLVSDVLLQLTTSLKEEFTTLTIDMQFQIFIFVSVFIIFEVVTIYYLNCSFKIEQSR IDLLKKFIYLMPLGTLFYDEQFQRQFKRVMINEKIA >CAK80999 pep:novel supercontig:GCA_000165425.1:CT868385:259013:260447:-1 gene:GSPATT00015858001 transcript:CAK80999 MKQILIFVFSLYQVLCQDELLAVQAIWRHGARNPYYCNYECDPNVAKGDGALLTPTGMRQ QYVLGKWIRQRYITGTTPLLSPNFNENEIYIESSDVNRTLQSAYSNLQGMYPNGPTVPHF VDENVSLLLPPNKGSVTPPGIGDDALPKKIQLIPIHTKQREMDYAVAIACPKGADMVAQN KKTALYKQVNDATAQLYKDFNEQLKLTGDKQVNDFVVLSNYRDTFICNRYNGEKMPEDLK TETLKKIDDISNLAFSLEKFQTPEQVKLFSTPYFKQVIDHFDSFLNGSSHFKYYGSSSHD STLLALLSGLNLTSAQCQAEIYLNKNITYKNCITQYVEFASNIIFELYNNKITGPYVKVL YNGEYMPLCSDEGKTCKYSTLHHLFMSQQVDYKKECGIAGDMEIPIEEETPGWAIAFFII VILLLLGGLAMVIIIKRKVEDAE >CAK81000 pep:novel supercontig:GCA_000165425.1:CT868385:260842:261341:1 gene:GSPATT00015859001 transcript:CAK81000 MKRNGILESIFQNPPINKVRDQKILVLQLQQQYNKNQENLQNVIQICEGDNQFFVFDQQI KLVQDESGKQGNGLCIIKSSNELLRILDSASVFTKKSKKDVRGKGIEFTHSDFTIKIGEF KKNAKNAMIFYVSCYYKPYTILPPKELLETYHNIYAAYF >CAK81001 pep:novel supercontig:GCA_000165425.1:CT868385:261626:262293:-1 gene:GSPATT00015860001 transcript:CAK81001 MDLSREPLSIKLVVIGDGSVGKTCILLSYTTDKFPTEYVPTVFENYITSVSLDGKQINLS LWDTAGQETYDKLRTLSYSQADWINHHLIMRKISGTQNQGQGDLKNIPKLVVGNKIDCRN EISQKHVKFEEASEEFKSQNLVYKECSALTQEGLKELFEEAIKQGYEHKQKNPQTLKNQN QKDRVEDKQENCCCNAF >CAK81002 pep:novel supercontig:GCA_000165425.1:CT868385:262327:262935:-1 gene:GSPATT00015861001 transcript:CAK81002 MRALKQNNKKPIYIYRKLKLLFVICNTFDRLVAPIINCTLIFKDDQKEARSDYCVVDVFV AIIITAIIWNFIEYYFYTIVKDFVLILQRSNNRSQRYSKDKSLKKGDSSNLAIMYEVTGM RPVIKRRGQQVIDADKIEQGHLKSSQEAQRIYALPIQQNRSKSSFINFQIDFQILGSSSG LVEIDLIQ >CAK81003 pep:novel supercontig:GCA_000165425.1:CT868385:263175:264449:-1 gene:GSPATT00015862001 transcript:CAK81003 MFKVAVCALLVLASTAINVQSSIWTSKDQKAFAQIHQSGWGKFILNFAELHLTTGGILSE LNSEIEKLIGEMEEELAGVHHEFNRRTDVHNREVARLEQEIQDKERELFNAHDFYDNVLI PQGERFAAQLEQLQENIAHNRQTLEQATVQRANDHETFESEVVEHNDAISAIDECLQLLS TIAAPSLAEVKKVQKNLAKIQNSLKKHNQFQIFVKVLLEITVDSNFADQGALRDIVVAFN NLRVELVDSLNQITADEAEQVADYNAQVIALNQEHAEFQRAVVVKNAEIEANTTKQEQTL DLIDELDEDLATLNGQLQAENDDYAFATDVYNATVAEYNKEINAAKQALDLLNQPRFQEY VKSQLKGA >CAK81004 pep:novel supercontig:GCA_000165425.1:CT868385:264465:265097:1 gene:GSPATT00015863001 transcript:CAK81004 MNSFQGLFCQKKAKTNFSFHINQTYNHIIIQFSQCSSYSYNYIPNKNIKYNYFLSPYSYL SKFIIKNFDPNSIIFHLSLHLLLCNNPLFLTKNQQYNFLNINHKALHIFIIQISLYKSFN LNSQLQQIQIPHKILSFPQALFIQFSFISIFIIFKTIIAQFPPQQLIPYLIIVFNSKINR NCANHLLSILILLLLTINNRLFHFASHNCI >CAK81005 pep:novel supercontig:GCA_000165425.1:CT868385:265468:266709:1 gene:GSPATT00015864001 transcript:CAK81005 MSCYAKNSQNKYVPLGEANRRMQTEAGDGDELEVQQGVLKCRNVQDKQKTPLRNQKWQSK SPILNGHLPIDYSLYTYTNLQVIGQGSFGVVYKAKANETGEIVAVKKVIQDKRYKNREIQ IMQELNHPNIVETKHAYFSYGDSSDEQYLNVIMDYQPETIHSYNTKFLKQQQLIPQIQAK LYSYQILRGLAYLHNKGVCHRDIKPDNVLINPESNILKLCDFGSAKKLSSLEQNISYICS RSYRAPELLFGATNYSSQVDMWSVGCVIAEMFNGLPLFLGTSAVDQLVEIIKVLGSPSKE EVISMNKEYDIKQYNIVQIRKKDWANVFVNQIDPLAVDLVSKILTYCPKTRLSAIQALAH SYFDDFRDENMLKIYEKNIKIPNLFDFTKEELQNNQQLVNKLIPRWYDKRNKQ >CAK81006 pep:novel supercontig:GCA_000165425.1:CT868385:266818:267825:1 gene:GSPATT00015865001 transcript:CAK81006 MNINELNHLIQKCYSIEKQLGGNAEATKNVQKSREFDVIKDLLVAKIFQISKLQDQRDEK MAKYGNDRDQIAMGVQIRDEIHEVDRMIKQMGESLERMSRKVKQYSPQEIELRAKILQKY KQNVENLKLREQGERAEDTENEKPLLLKDLQQQLYKNKPNREVVYQITKDEQEALDRFAK NDEIIDGKLDVIIDGMGQLNHKVQVQGQKIDQTKVQIKQTDKQVDKTNKTLQHSNKQLKE LLIKFRQPNKFCLDITLFLLFLGIIGVIVNMFYSKK >CAK81007 pep:novel supercontig:GCA_000165425.1:CT868385:268078:268425:1 gene:GSPATT00015866001 transcript:CAK81007 MRLYIILSLYFLLTKEQMTYEECKRDCTMDWLDCVSGCDSIGNPSFCHKNCQNVVHSCLD ECPKIICIDDCRISLEQCRKNGKGVCEILFNQCELNCQDTYNLKEDI >CAK81008 pep:novel supercontig:GCA_000165425.1:CT868385:269623:270812:1 gene:GSPATT00015867001 transcript:CAK81008 MRTLIALCLVAAVFAIDTNKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWERLGAVRTDVEAQIRDGYSWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHADAIAVVQLLSQDVAGWLTNNAGVELVQKAETIADKLSA YSHLFQQDAMEKFQSLAEVKRDGTTGEQVLAILSDLQAELEATLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTGLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETARRQEENAIIDVVIQLFKDQVRALASSTSLGRK >CAK81009 pep:novel supercontig:GCA_000165425.1:CT868385:270819:271473:-1 gene:GSPATT00015868001 transcript:CAK81009 MQAGLTINQEYVRNLQEITKEFLCPISANIYNIQFLKFRIRDMESGQVLFEVERDPNEES IENIPPALQDEARRIKYHFGPQFFELKTIGAQLTFSVGDKIVKNFTIIERHYFRNILLRS YEFQFPFCIPNSTNTWEHIYTIPEIAEDVKQLMIENPNDTKSDSFYFVGDTLIMHNKAEY DYSPINE >CAK81010 pep:novel supercontig:GCA_000165425.1:CT868385:271886:272514:-1 gene:GSPATT00015869001 transcript:CAK81010 MRSIQVLLQLKESQEIFKKIFIQFFYHRMSAPFYTVKDVPAQDFIRGYAEYLKKNNKIKI PEWASIVKTGLGKEISPIDQDWMYVRAAALARKIYVRGHWGVGNLTHMFGSVNDNGKHES GSGKVIRYLLQQLESIKVLKKDNKSLLKKGSRIVTKEGQQDLNRIATQVALAARK >CAK81011 pep:novel supercontig:GCA_000165425.1:CT868385:272996:274256:1 gene:GSPATT00015870001 transcript:CAK81011 MTTTTLSNLTQQPTTELSKIWKRKSCITKIKISSNTQLRDDTIEALAVSEEDIRVTIQDI ATNEQTYAREEATRNQQHETFVSKVAAIDDVIDAIDEAAKLIQHLSLGASFVQVKSKYET IHKRLTDNTSHSQLLQPVVAALTELATHGVNQKALTKIAQLLSEIRQQLVSEKAAKTDVE DRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHTLELENSEERL AGQQAWYAVQSEIYETQTAERAAQNEIVDRLQEHISEKLSTTAQFISKRN >CAK81012 pep:novel supercontig:GCA_000165425.1:CT868385:274420:275280:1 gene:GSPATT00015871001 transcript:CAK81012 MKFLALVSGGKDSIFNVIRCIQEGHELVLLVNLYPKNIGKETDSFMYQSVGTNIIDAISL AIDKPILKREILGKPKVTNLDYQSNQEEREGDEVEDLFEVLKEALTLYPDIKGVSSGAIA STYQKLRVEDCCQRLGLVSLAYLWNQDQFNLLGQMLQNNMNIILIKVAALGLSEQHLGKS IQEVFQHFKEIHEKFGFHPCGEGGEFESLVLDCPLYKKRIQINESEVVCHENNSVAPVYY LLIKSYSLIEKD >CAK81013 pep:novel supercontig:GCA_000165425.1:CT868385:275300:277071:1 gene:GSPATT00015872001 transcript:CAK81013 MKIIRTFCQAKQLLLSDKLKLTKYLKLVHQTPVSYEGVRKALGYDVILNNIKFEENQSDI FPLTLKLIKRDISLFDFKEFTTYLSAINNYICFSKSPYPQNEQEFQDALRIILDYGTFIQ KFLTLQHIVIYFDSRITLYCQLATQLKDAVRDHQPKNTEEQILKLFWEQIDIYDQEKIES LIEKQIEPQNPTMKIDERIKKEFIDLIDVLQVECEQYHLTTQLVIFEIISRLYFDQDVEI SEKKQQQIYYVEKQCQYQIEQNLSSLNNFHLVLYTHMLNLNQHEVNILSWDKIINELTIR DFATMTIDDAFKLWLLLYEIGRQNSTVNDKIIEKLMNQIELISNKYYVLLCELLIETKNS KTVEFLKKWYPKIDLEKETPQNLCVILDAFNSAKLLNKLVLGKFLNALEDKIDQLDEIYI ENILISLVDHQYQHEKTLQRAVQFELFENTSKHFLLGLLHYCQMYNQSHPRVKEIQEVLV QVLTKEYDESILIELLRILITAIRKKNSQHIEIIPELKPFIKKFLESKEGKLMGQESQFR SVLQRLYNIK >CAK81014 pep:novel supercontig:GCA_000165425.1:CT868385:277094:278944:1 gene:GSPATT00015873001 transcript:CAK81014 MLHHLWRYTYNTSKALSLEQLTRWGLHNTSVVRNASTPELYEIAAMDPLSPDPETRPGSI SSTGAMVAYSGRRTGRSPKDKRIVFDEQTEHEIWWGSVNIPIPKKSHNLLEQVALQFLNT RPRLFVVDGYAGWDPHSRLRIRVFCTRAYHALFMQNMLIRPTNEELKKDFSDDVDFHIFN AGPMCAPKLVEGVGSETCVSVNLTDKKMVILGTQYAGEMKKGVFGVTHYMFPKQGILTLH SSANEGVNGDVTLLFGLSGTGKTTLSADPKRKLIGDDEHAWSENGIFNIEGGCYAKCVDL SKEKEPEIFNAIKFGSVLENIEFMSKDSREVDYHNISITENTRVSYPLDFIPGAKNPAVG GHPKNILFLTCDAYGVLPPVSLLSPEQAMYHFISGYTAKVAGTEMGVKEPVATFSACFGE AFLPLHPTLYATMLAEKMKQHGTKCWLVNTGWSGGKYGIGKRMSLKYTRSIIDAIHTGEL VNGEFEKFDIFNLQIPKRATGVPDSILHPKNTWINKSEFERTLRNLADKFQKNFQKYADK ATSEVINAGPQI >CAK81015 pep:novel supercontig:GCA_000165425.1:CT868385:278952:283496:-1 gene:GSPATT00015874001 transcript:CAK81015 MKQHQKVLVKSRETASLLNLIFYVQLISLLLINEEEAINVKNQNYSFNLLQTFTYLNQIG RPYQILLSYQSFKPFAFLLPTTFLFLISIGFIKSFIVHYLFSKRQVQKTAAQNPDFNSQL SIIYQLYFYLLALYTFDFYIISIAESISNALITNIYVFVISVILLFNSVVFVFMNILCSE SIHFKSSPLHVQQLQKYQFLNVILIILSMYFKSKSEEGSVFPVYILNILILPSYIYSESE KQANIYNKLSLIILSFGLFQNIFSLILIDVSFEYRIGLVFILCPFFINILNYYQKTRNQL NIKKSRNIPEIYQNLIILSDQKSKYSLKHQFDFVILNQKNEILNDQDLFQQELSLFNDRI VKASKSLKTFWYQKYIQIKYHQQNFTDTISLIYNFTQNQLYSESKKSYFKVSLSLSFLQR FQLELIQKEVYQLLYYNITKGNDSQENELARQYIIYSQIVQEDQQEVKNLFQIKEKIYQQ MLQGKHESQNQLFNMAYSLTERGIKFLKKIKLDLYVHKSYRIQQLLIMLYVDFFNNVFQA EILRFSQIVNEDNKQNIYEQFSYIGLQILDDMENLEIIQYSQDIISNLGYSKSNIQHENF NFKNLIPTEFYEIHQFLIKRFLQTGLCKKSTKPYELLIKGNQETFFYIQLQLSVQPQTNN HIQIFAFIKFLESQPISLFINRDNKIIGFTNSFINQFKELGFDKKSLFQSDINKIISNFK KLPLQKHTKFRFMQTQQDISAIIIEKDNLFELQILDNSDFIIDSDQEQDGEKTVVKSFSD KLLPNQESFVIIPNHQFFEDINLPLSEERRDKTDQELLKEDQKKQCIVTIKSKFESDKNS DSLKNKDQKNSKNSLNLKQDEYNNDDQASSKFSKGFLDTAIFYSKYNQLNKFLENKKLLF IYVTISLLLFVLLINITYFIIQLCYIVPNFDEVDKDADLLSIKSNFLSPVHDCITSQSAF VNYYILNMYQVITLETALRKMPFSRDAITKSYLLLKASYIEQLNNRNLQEFFYDKYIEMK FLNNNTLEAKTIPLKTSLFNYLESQFFVISDNTNVDLSALNLVVSNEFVYFMANLLTIDN YFDSLSDEVSVFIIDRSLNKESEGTKIFLKMTFLQILFILLGFSYVLKNYQTHTKHFHLY TDINQYALQLELQRLNQIDQILNQENASQYYNFEIAIYEKKIQSNLSYYNQKINQVRLQC QQYKAPRLKILIIFLIMTTFILCPQVVLQQMDSDFYEKYQVSSYWVEKISQTSSALIAVY SYRDINYQIGSGTPYYFFYTDEQRILQNEKVEEELKKINMFITYFPYLDYDTMIIDDQTM EVLESIQSSNICNFMSHFNSSLAQEHCDSVYNGVMKLGLYNSISTLYELISQEYENTNGF QAKLRPVIAPFEDIELGMITSDAILAILDSILEGVTEAIIKLRLTHQIISIMYILLDLLL ILVLFAQYFPFLVKQQNTVKSMIFLIPQYQFYLDQKFETNFRLLLLLTK >CAK81016 pep:novel supercontig:GCA_000165425.1:CT868385:283972:285145:-1 gene:GSPATT00015875001 transcript:CAK81016 MQSKQSETRQSWTYQEDKLLLELIKLNGCASWNRIAIELQSKMKNPTKIRSPAACRERYQ NILNPNLNKSIWTEEEEQKLFNLQQQFGNSWARIASQMTGRSDLLCKNYFYATLRKVLRR LSKAVGLDQSSDLLKQIKPSVLGVIYCNEDSLKSFNIDEEMKNDFKKMIKQYRHTEKSEL RMLPEEEINHIKTMLNKLFAINNNYITQKGAITFNKNNKQDLISLETSKINLNQNCQSDS KMLSKIQTSTLKHDYQEEYDQQLNYQTQIFQEQQPIQNLDKQPYSNHYSYPQPLISMYTP TPVITFYIQPNFNIWPIQPAQLYSPPQCLQIPQTYTYIKYEQSQ >CAK81017 pep:novel supercontig:GCA_000165425.1:CT868385:285367:286925:1 gene:GSPATT00015876001 transcript:CAK81017 MESQNSLTKSVMDGFENILLTQTQFTTQRRKVFLEDNLKYGKEIERDYNKLQDIIDNLQG KVDKIIKSQEDDFMIAYKEQMAEIQKELKAMKKKIDEETLRQNSDEKKRILEEERDYFRE EALRLDKLCQEQIRTIEELKFKLKITQEEKQYYECFVIDSKKENKALKQELLQLYKQKSE EQRIGQRVSSVGDINQRTVIKKNIDYRSFTQEGPQFTAIKDEQGDASKREFSSNKSGQKT QLSSKIQDYGSAQHEIFKREMSSQQRSRQNQDIQQIQDTTKQEQIQELRSQLQKEKQINQ LLKAELSKYNCQKGELEQILIDCVNEMKKEVLNRQNQQRQFFQHRPTSYSQPNIEGEKQY SQFTHTDKIQLLKRFISSDEFLNQLYQITFNNQMQLSTQLKLNEKWKIDADEAAKKFQNF KQFKYRYKTSQPILKTSLIKRQDKEVVQTSSNYNDKTRELIDQIIKVDQS >CAK81018 pep:novel supercontig:GCA_000165425.1:CT868385:286949:288400:-1 gene:GSPATT00015877001 transcript:CAK81018 MQQTQRGRKVKKSQSSKKIRSQTEDPKKKSLNRKPLCLKYYFVGPGNNGKLISNYLSQQS GWKILDAESGKSSNDFYLKWVQTNSEIQFQQFKEGQQIINHLPNHMILTSKQLIIQTMKE YERQNKQLQFNSSHFFPETYRIDLTQEFMSNEEDQFLKTDNSAVWIIKPTYFNCGRGIKL CSNAKKLKQELKQISNSIKSQKGFLPNGVFTPNLKKCIVQKYIQNPLLLDGRKFDIRCYV LIATSRPLFVLFQHGYLRLSVDKYNVEDMDDEKNRYKHLTNAAIQKKHPSFSSSKESTIW SMQQFEQYLIEKMNVTQEQIDKMYLQMKKIFAHIIRCAADKFEKRLGTFELMGCDIMIDT NLKVYLIEMNTNPALFLDTSTQAQVIPPVVQQTLDLVICLNDEKNKPIEKCLQNAAKQKL GNWEVIHDESINFNLVAQQ >CAK81019 pep:novel supercontig:GCA_000165425.1:CT868385:288438:291541:1 gene:GSPATT00015878001 transcript:CAK81019 MQLKCAEYLKEAVTTNNEQARYTYMSKACKQIAQLLPFQSMWHLLLKQDQLFFYMLMLQS MFGQNIKMLLELIIERFAPITQFQIQFLNACSQIFQQDTNYLSDVMKSHISGIYQECIIQ LQFFIKQIPKNDSTEGQSVAEQITQAYKAILSNIHDQKVTLELYNSLQGIVNKWPLIHEL NPLIFHEIEEIIATRTPRTVEIQVIEEYLECESPTRSNSIRKENQVVVELQRWLSKVNYP IPVISKKIASSVINSQNMFKLKDNIEIMLSFIYCPLKYDPANCWSRIELNRTINLKDIPN LKDCLSLNSPAQLYHIRSIRSLQSLTDDENHSIIAKVNPAYLKIAFSYLSQCFNEDQLKY CNFNHLTQLLTFYLEKEQDISVYFTLYYNIPYAFLYYINYDQSSSFLIHLFTQINLLIHI PSEHQQSLYNQVWEYFKAADLFTELINILVNPSSKSELLLKCSQNFRNNKMLDVFTINPD FQLKEKVYQQNDNNNFNYIIEEKAHLRWDIDQLKHFVHKKTIKQLSFFNKSSNNIIPLTI EKPASMMDVIRQAIQNAEIITEPHKKRQSREGRERLIVLRSASVMFDLDEQDQVKDPFVP YDYNPNPLELRVETKESNNKYDQKSQSFMKESSKTIFKKHSRNMSFQLYPLSLKDVIQGK WDQEQQSVQFQIENLIKEEPFCYNLTQFLSILVQHLIMANHKLLQSKNHTIDKRDIYLGM ILLDQTSSIFDNLIKIYFFKIKLSPYVKENSAILCGKLVNFLIKQKPEFINFQDNGQIYI DYLCKILINSLSWKNTNATKIDLDVSKILLCETLCLLIETGIERGQSNLLNRITATTWSC LIEICRQCLSTRPNQIFQGLFLRILKLNMEFGSDFNIHTIFMKLNLLSILKQLSELTQQN GVPNKRFSYLNEFIKSACSLLQYYINKNPSKECFKLIQNLDSWKLLKHQYRIHSHQHASS FYSVDSRILNNSTPATSQSLAKIRIRKSANSKV >CAK81020 pep:novel supercontig:GCA_000165425.1:CT868385:291824:292878:-1 gene:GSPATT00015879001 transcript:CAK81020 MQLNEEQQEKDNEVDYQHVYNSSLFRKLTTQQIRKILNPYKQNAKEVLQELSNQIDTLEE GLKKYQNENTMIPPSKQQILDILQQNTAFTPTESIQSLLSEKTKLKTSYLHVDNLYINQD EHIPQTKLEIMQMALSNKTIQKQQRKAIKSDDLQKKRKIIMQIQQRINQDEILRKKKEEE ERLRLLEKQAREVDVGQLTLNMISDLRKKYFNELVSTDTDEKFKRIKEIKEVYVPQQLNK VKEGYQKQQQEKLKHDLVSKKSQFNSYYQLLALERTMKSVVALKLKPEIKSYSRFKGAEI PKNYSSINSIVKGIIHTSKNSENKGFN >CAK81021 pep:novel supercontig:GCA_000165425.1:CT868385:292909:293976:-1 gene:GSPATT00015880001 transcript:CAK81021 MNSSQKLKESQIPEKEKDKNKSQKSKEDAKETLKKIVEQARQAILENKLNATEEVHKAMI EYKEILGGENSVLLLPGLFIQAEGYIAEGKLKKAEEFLQAAYWNLYQYNKPKEKGSEDGN KMGQDISEKENAEYNGQLHKIFSKLYFAQKDYKKALAELTIGIYTDSCSSDPEHPQTSLN YYYMGQIFQQKKQISEAEQFYAKTSQCWYKYLKRYYQYGTPSSENLPEEVIFKEALQVLK QIEEYFRKHIDENNIKLYIIPITNNLQAQAFVYKKFNNEEQYRHYMGLAYQEFLMQYGEN HKKTKKVTEIQEIIKQRERDY >CAK81022 pep:novel supercontig:GCA_000165425.1:CT868385:294048:295625:-1 gene:GSPATT00015881001 transcript:CAK81022 MGCGQLKQDSKQLEDKNFKSNVPISTQVSMGMTVIQKTEAIDTDYILHKLDPLATLRSYI RKGISKTQSQARIIRTYNCSNASVERKQQLQETINLLLSLKHLNIQSTSAYYYCDCRLSL IGEFIDGGNLLTQLDKFSQLQQYQQIEIFCQIMAGVQYLHDNGVMHGDIRLEHILFTNRN LEKIKLIDFGIPNSIKSEFSSWKPKNTMQELSFKSPDTIKGQSTFKSDIYSCGCLLYFII TSHMPFQANNYQSLKSCILRGVPNFDSTECTNMNPQMKQLLSKMLDANPQRRPSAQEVLN HPVLNNRNRIMYKPNKQLYKHMKEFKISSQIQAAMLMYIAENMMSEQDKKRLMDEFQKYD LNKDGQLTKDELLKVYSETLPPERALKEVNTIFKRIDVNGSGKIDYQEFIIATIDQKKYF NREKLLLLFTQIDRDHSGELSRQEIKKLLRDMQIPNNKYEQLVKQLDQDGDGAITQDEFL KMMLQI >CAK81023 pep:novel supercontig:GCA_000165425.1:CT868385:295725:298933:1 gene:GSPATT00015882001 transcript:CAK81023 MLTMTSDDKGTYYIKTQQEIEVVKKQLQKLKPNSQTSSFLQHQYNQLNEQLKTLEDKLSN VNSAFYDQLISNFDNTVQKLPNVNDRNLQPQFYTNSQNSMYQQQVQLPFIDQFLNVRTLP QVSVEPLGKSTLKPKGLHTVKSAIQFSRKFQSKKQSQQQLTKVDDLTLYKKGITELVNQG TIKKQDINTLLNPNNVAFRNQQAKYLPFLISSSFFDYTDQFGNKIVQLESQSFQNNFKTD LKQDVTGTNTQSVGQIQVQDNSKANYNQKKMSLQSKSKPKYVDAKEATSLSKEHERPISE QLQNLSTQQEYMIHVKNGLLQTDQLQYVQFQNRYYENWSKIEPILISLQKIAQSTSVQDC RILGSKVQKLSQEFDRSQVFTMAELLQCFENWEDLQKILKVPTLLYKSKDGQVQAATKIS ALFKGYQARKNYQRLKIMVEKVIIIQRSMRVSLFRKKVQKQIIKNNNYMLERFKNRQNVF KEEWPFIKLQQRFEIHLNSFSFEEIKRLSMDKFPQRQNTQITRIFNLKDPLVHIIYVAPF DLPSELIDYFYKILNLGDISDCKNRLHFVWPENYNIFNNHMGLSQLLYYSPRALKRIKSL IRGQSSYIVPGVCSNDDIKISDILNVPIFSGIPQLHQYYSTKSGCKVLFDELQMPQPPTI RNIYDEKELINSLSVLIIKNPTINTWIIKVDDESQGRGIAWLEVQKMIKNIQPLEINQLA QILTKKIKKKLHYAFPHLYGGYHEFIMSIANRGGIIEGSPLSRLSQIHSISYNFKVEPTG EVSILGSYEKIKTNEIQTIASFSPQSFLKINQEVLCHQIAQKLYGKGLIGYFTIDTIGYQ LENNKQGFQVIGLDCFLNQYSSASIYFDFLMRGRLDVSTGSYYVEDTNRQNNVRYSIYCP TIMNEGLDVLQYKTFFQLCRLESISFNLEKKIGSTFILVDSLQSGVISLMTVGINSPQVI KYMIDAIGFIQKNVGRGTEQIQINTRTDKVNMMSIHQKLKTMLKKLEGSEYKIQNSQYL >CAK81024 pep:novel supercontig:GCA_000165425.1:CT868385:298950:299213:1 gene:GSPATT00015883001 transcript:CAK81024 MSSVQKELQISNCSDRGLYQDGSCQCDLGYFGEDCELKLEELHMATYYTFIGFFLILFTI LLLFTLKQFQQSLKMNKIPSYQKYENR >CAK81025 pep:novel supercontig:GCA_000165425.1:CT868385:299278:300939:1 gene:GSPATT00015884001 transcript:CAK81025 MSNYLTKRTKDTMVRPGPLLIICISHSHFQQDYKERVCERILAEVVYTILFYIYGILLMV WYTMYDEISFNIAEKKNNNNQEQQSAPKTENRKFILTYYKDIMKIRLFLVLIVQLAVSTL NGLRLGQQYKTILYIAYAVLLLNFISFIFEFLLYGRSLNQCIEQQLKRLDQDNREQEKEE KLKFSLNNQQTILSKTQLMIQQEDHLQSPATPDQQILKLSSLSVSDESQKETARAIAITQ IDKHQYHTFFKKQVTFRSPQSTPTQQNTEIKRDAQKCKMKTIVSEESQNSLHADEGSNHQ KVNSCLLNEEDFDQVDLEWNIKKDRNNIKKIKKQQIKIVEKTKSQVNEVKRQNKTPKKNT INQVINLDSFQLENDNKTKIQLAQEYQAEMLAQQRQIRTSNLNADKKVLAKIQMLIYVGV FLEICFGALSVVILLTDLLNKPVGTICYLYISAMLQFLSLITVLKLFRDVRSQEVLNLIW IQKVGNRKNKINQKYYFQIPLEQTKADESKKRFEQRINMHIR >CAK81026 pep:novel supercontig:GCA_000165425.1:CT868385:300994:304181:-1 gene:GSPATT00015885001 transcript:CAK81026 MFTVINSKLGLRRGGMQTVTSAAMSEIRRQRQTEFRKKIKETRTNDFRKRPLGQFELYTL NDKLENSSDEDEFQKQKKQIEQLELPFESLTSTLRTTKPVFIEKKNYKQAIVQKVKSKIQ SFLKTKDNNKIAIQNQNDNWVFHADGYGKLMWDTFCMLLIFYEILSIPFKLSFDVEINAT LDQIIDIMFMSDIIITFNTSIYRKGIPIYGRKQIVLNYIRLWFWIDLAASFPYDSIITAS TGVSEDESSQTTTTPSKDATKYTQTLSLLRLVRFMRFIKIIRLLRLAKLKVFFDKIEDAI QLNIMLSTIVSFIKLSGFVLFWSHWLGCIFHYIAVNEDSQNNWLYYYGIYDEDWSVKYIN SLYWAVTTMNTVGYGDISPRTPLERLFGIFFLMIATVVFSVTLNSIGSSLQGMEDKKAEI RKKSNQILKYMKKLQIPVALQNKVKKYLTYIWEQEAIFNLEHITDNLSYALKFEFEIQVN GTILGYCPPLRHNFQKTFLKQVTKTFKQYTALPEEFIFFENESIATEKHLYFIQSGNIEI ILQRNNQKLNKLEQKDYFGEIGFFTGLSRTASARSVNYSSLVYIDKSLFTQLLKQNQIDL ERFNYIKDEIIFNKGYHHINVFCYGCLRDDHTVIDCPDMHFIVEQQQYCQFKSNFQKKMQ SLFERNDRVYVHALRHKNIVKFTVTQISSLAQKLMAQYDECNLIDEMDNGQLVEEKFETQ QKLAKPPKFGPFRSRFREDKAKYRKMKSYIQDQEMKIKSLKAQQNISQLLLKCSNSYQFL ELSIIMQQKSANQEEQVIKSEFPQTKSMATSMKVISKFKEEEEDDNKDKQSSDYQTVSSS DFDDEGSEEQADKLTYKEMKTQNQILELLETYNQQQKEREQREQQNKLESQFILINNFEV GADFHSYYPKYNKEQMIYEYNKIKDNQRFRPNLVQFYENDFYHELGITYIFDNGSEALID RRFKTMLQQDRPSGKKLTRIAKQSMGLIKMPEQLSQFQSNNLIKFSDQEIE >CAK81027 pep:novel supercontig:GCA_000165425.1:CT868385:304182:304888:-1 gene:GSPATT00015886001 transcript:CAK81027 MQGLQDFSNVLLMSGGSKCLSTNVGWVACNSYEVIDYLKFFSSAYMFTNSVNPVQCATAL AQLRILNSEVGSRLRVKVLENYHYMKKELNARGYQIIGYPSPILPLLIGNELVCRVVTRL MIDEGIHCNGIEYPIVKMGQARLRVNLQPQHTKEHMDTFIETFHFCFVKATQIVQESLEK YQLALEQQEQTQKAKDSQNKANFNDNRMELKKPNL >CAK81028 pep:novel supercontig:GCA_000165425.1:CT868385:304890:305810:-1 gene:GSPATT00015887001 transcript:CAK81028 MQKYPTLNPSQEFDRSIAISNMSLSHMGYKNVEGKNLFERVKGFQNLMGPLRQQKIILYE RRNMSPPAQECYGMDDYGDIFYGINFASADYLGLCTNEQAKEAAMNAAKEYSVNSCGAPL AFGASKYYMQLKEELKDYWGMNEVILYSAGWLAGFGVVKGLIRPYDFVVMDELCHNCLTE GAFAATKNVSRVPHLSLEEMEKKVSEIRTANPDACILVVTEGLFSMDSDYTDLVALQKLT LKYEAFLLIGLCSRFRLYGQDWQGCL >CAK81029 pep:novel supercontig:GCA_000165425.1:CT868385:305972:306888:-1 gene:GSPATT00015888001 transcript:CAK81029 MFGKVKGNRSGNQQSISKKNKKELKAQRKWEQDEERIKGSKFRLLNEYMYTVNSEEAQKH FKNHPEEFKIYHTGYAQQIEKWPESPVGNIIKLLTESEQFQNKKLVVCDLGCGQGEIQEY FQKDKRLSKLITVKSFDLVAIKPYIIETDISNLPMDDCSCDAAIFSLSLMGINYLDYLGE AFRVLKKNGHLIIAEVKSRMNTIDNFVNLVTGMGMKILKRDEHNSHFVLFVFEKIMDVCQ FNGPLNQKRVYQILGQKDPNLKDWQSLGQQILQPCVYKKR >CAK81030 pep:novel supercontig:GCA_000165425.1:CT868385:306945:308429:-1 gene:GSPATT00015889001 transcript:CAK81030 MISKKQIYRNFLCREWRIGNQIYCFYIAITLLSAIIFVFSNLIYMYIVTEELMTNTQEIF QKETYNHLKMLSYLEKHSTLDVFEDSTRQLLSINQLYKLIDKDFHIEKPYDCLNNQQYND SYAYFFSFCYAFCNLTNGLNVSKYENILKLTSLLTETLRIYDLQLITLMAHAGDEQFFTQ NRGYAISNYYPHSRQWYRDHMAKMGTGKKVIVSDPYVSWIDVVFISQTTNLSHTQFEGLI GQDLDFTRMPKIQTNTSQYYIVDSNGNIVISDLYAGGVKVLIKIYNQSLTGFNETDWNNI IQNDENIFYVYNSVYKKNIIVLKTELSELNYFLFVVYDGSQYLSQQQEIYNKQIGFQSDS IYLCVKLFGTFFLLSLLVQIVIINYLMKDLKQLEMMATKKIVYFCKSTVQLREKRFTSTI ARLYYAYTNLINNFDSNGQIRKSELCSKVQSIEFPQKYRNLKTRISSKIIPKKKIYNQTQ LLEYFMYFKNESTF >CAK81031 pep:novel supercontig:GCA_000165425.1:CT868385:309328:311040:1 gene:GSPATT00015890001 transcript:CAK81031 MGSSCCNHREMNQSQLQKHTQILTPVIKSNDNWDSFQDDDDLILPMQKYTTLSAVTKIEL DFTQITHQTKMKKTIQLPSARKSVKISYDNFITMKQGEWNEQYSILKKLGQGSYGCVWLG KHNKTGILRALKQIKKDSLLFEDQQRMLSELNILKSLDHPNIVRVFECFQEDDQYIVVTE YLPGGELFERIKKLQIFSERMAADYIKQILQAVSYCHEKQIVHRDLKPENILLSGQGEEI KVIDFGTSRHFTQNFDMKKRLGTPYYIAPEVLNQKYNEKVDIWSCGVILYIFLCGYPPFA GKTDKETLAKVQAGKLIFDRNDWSTVSSDAQDLISKMLNLDVSKRYSALQALRHCWIQKN AKQEIISLQLLSNIEKFQVQSNFKKAVMTYMVSQTLHSQEISELKATFNALDKNHDGNLS KQELIAGYRVLLRSQEQAEEKVNQILDTLDLNHSNLIDYSEFIMGAMRVEKLVSIERIRQ AFRMLDLNGDGYISKDELEEAMGFLEPEIWEQFLNDCDLNKDGKISEEEFSKILTTI >CAK81032 pep:novel supercontig:GCA_000165425.1:CT868385:311078:315196:-1 gene:GSPATT00015891001 transcript:CAK81032 MQNAGTFKKRQCLNISDIGNQKVETQQLADFNKGTACINETLSLQSNIFQVNGIYQEKKA LLTVVIITDKGNKAAGQCSIDFSTYLNQQKNDVYEQFHLDKCPDKHAKLFTKIKFILLGE VDIDTISTAQSIADEQTTDKKQPGQTQDKLETESTAKKNSIESQQIKPLQIDDSSKQNSN NTQQLSDKEQAINLAKQVSPLAPSPLSQINIQQLSDELGELRKVNAEIKDQNNLLIQEIQ KEKLLKDELIQQFQNLKRQLDNSININKYQDQILDYDKRAQQLKEALQESEISKENLSKQ YQSTLQLYSGLQDEFNTVKEAFLIEKENAKTFMEKLQENQEIYKQKDVANQELTIKNQSQ LQRIIDVESQINLLNQQILSLNRQKEESNNEILQQKDYIIQLEQQIQQQHFQCSSLNDQK KSIDQQIQQYQQQIQELQSQLLNQQQKMNQMKIQFQQDSEQNRKESQINNQKLQDYSQKI SEYEKLQNDTQLKIEKMIEEHSQEIKNCSESIYQGVMPQIKQLKQEIASLEQANLVQLEQ LKVQESKNEEQTIRETQLKQSIHSSEAQLTQLQKLISDIFGETQILMKQFLNQENFEKET ELPNILSKLHSQINSKINKLQSEIDLLNSQIQLQDEKYKDRSKSQQDCLIDQLSNKNCEI NDLKLAIENLKNQNQFLHHENENIKKNVNEITNQLEQKQNEFQQLKLINEDNIQTQTKQL KDQQNLNQELQLKLKNFESESQEIQNSQQNKIKNADVKINELLNELKQQENIINDQSHKL KEGNMIIQDYTKKNQELLNKIQEQQYQIEQNTFQQSQYEIKQKAIQQENAELQEQINLLN LQLQSFQEKIPEEVQRNAKEYEDIINENNQKIQEQQRTIKQLEQQIKAQMEEYKQNLDQQ EQLHKKEFQKQNEYNQTTIQQLEEELKISKQAFLEQKIKIDESQQNQNQDQLQNSQSIIE NLQLVNNTIKQQHQELELQHNNLKQHLVQVDKKNQEQQQLLGQQQNQITTLQQKVKEMDG IIKNLEIEKNSISSKLTNDLQELQQQLFSENDEWQKEKEIIQKQVEDLEAQNEGLKETLK EKEQQLLMIQEQNIALKSQNQLLNEIQQKVQELDKENKNNKLKWQQQVDEMNSNSNLQIQ NLTNQIQSLEQQSEVYKADINELKRQINQKDDDIKLIEEKSKLDNQYLNSNYNNKLREQD ELIQQLQTDLQQFQQSEVEQQSLIKFLESAKENLEKLQIENSEYTQRIQLANDKMSEKIK EYEVKIISLKETIENLEQRLNQLEFENSNHKQQVLQPVVENLIENKSQKESNQLIEQLQD EIISLKCRIGDMLNTASDIGGPKLVDRLQCALGIKE >CAK81033 pep:novel supercontig:GCA_000165425.1:CT868385:316427:317038:-1 gene:GSPATT00015892001 transcript:CAK81033 MLDDTYAEICFNYKRQKKSTTPKQATKFKSKKDVQLFVDQIQAQVKLPPLEHEFYLNQFR SPSLSKQHQYQCSKSVLVRRQTRNSRLGSLISDIGVLEEGVLQTLQQVKDSFSKEKNRLQ EQESKYASLLENLEIKNHITNTNWKTLINHHFKNLNEYLDFANKDIKDEKSFVYSHQISA RNHYLFVDNEIRRNFIRKRQMRA >CAK81034 pep:novel supercontig:GCA_000165425.1:CT868385:317547:318902:-1 gene:GSPATT00015893001 transcript:CAK81034 MLKINFKETRQNRSNLNLLPQKSKFSGLRNVQSWHSRSFELPSNSMLQSNQQQRQQPKYR TNLIVPNTAHFQQSARRSSRSDKVEIADAVSTTSHVVPETPVKKCLIRRISQFEYVGSKQ KRLNNIAPSIQVFQHSKDAAVLKKKKMNRLKMQYSMIYDQLEFMQRANQKKLYRQQRSRS LPLPAIQKITHKRAKTNCDQKYEGPITKLEPELERKINLLFQRKRNSHLILRRRTCIQEP KNSVFVSRDKLLKFQEVMAKKFVFIYNLNFKDQFDSSCESSPVTISKLQFKKTQFSKLQI ANADHHQYLTPRLHYEQNHNIFTRRESHSVIAQYVIDKTKNNKKKNNIFDQKLPNLNQPS LNDFNQYFLAQKRSVEIGRTTQQLIQSKSSDNLPKQIQLTCRQSQFINKQLKIMKQDSQI CNSTRIKTLPSDATLSRTKINLQQKLWPYFQ >CAK81035 pep:novel supercontig:GCA_000165425.1:CT868385:319053:319386:1 gene:GSPATT00015894001 transcript:CAK81035 MSVIKGIARANLKLVELVQTGYIIHGKSVEERMMNFDRIISEHNRKHDKQWLFHDTRLYE IPRPSRYKDLYQRELKRRNDRRTIAFLCKHVMAEKNVKIPMK >CAK81036 pep:novel supercontig:GCA_000165425.1:CT868385:319412:320048:1 gene:GSPATT00015895001 transcript:CAK81036 MMQNKDGLFFQSQFYVAKLCEPISLIEYVLDDVQPKQQIVQKMPQGLQVSDRQQKMNLPK EKINPSKSNAAHHTQQSKNSKQESTSKGKNWIIKKLKEDKQTQQVVTCLPNLPKPIQNQG KLFSNTASKQIKQRLNKFVVDQKKNQFLLQNEILQGQYSSIYHLNRYKSDSPKQLPIYDV NFNTNFQQFFFWY >CAK81037 pep:novel supercontig:GCA_000165425.1:CT868385:320297:321793:-1 gene:GSPATT00015896001 transcript:CAK81037 MDQNNSLQPGLILDQRFKLLKKIGSGSFGIVYLTFDLDEKEYCATKFENRNLQMRMMNRE ILILKQMKGINGFPDLIHNGKDRQYSYYMSTLLGENLEILLQRCGGKFSLQTTLQLAIQL IDRLEVFHSMNFIHRDIKPENFLISKEDTSLVYLIDFGLSKYYRMADGKHIEFSQKTGVI GTARYASINTLQWMEQSRRDDLESLGYMLIYFVKGELPWSNVKAFCKDDKYDQILQVKMG IPLSQLCFNLPKCFINYFQHVKSLLFQQQPNYSHLRNLFEKQLQDYDHQLYDWELKLMEK HTQDVLIDPDTIPDIRLQSEIDLVPPVDEEGEAFHLKQENQHNVKFMKQLHEKYFDQNTY KQQDEFFLQKHTSIEPKSQATSKQNNYQISNHTLIQVESQPYIHNNPMQFTKRKDSKSYT SFKQSQEHKENRKFRLSNDDYDLDMANDDGPNLDLKNLEVNYFLK >CAK81038 pep:novel supercontig:GCA_000165425.1:CT868385:321812:322889:1 gene:GSPATT00015897001 transcript:CAK81038 MLNNLITIIAECQINLEQIKQLFIDPHQAFQMLSSNGHDIDQSDIKRFISLNDEEIKHLI SQFNSNSQTRFTYPDFINSLKLNNNTHVKEALIKLLQQEAINFNKVQILKEDGNFNPTEL FHQIDQFGKGYITSRTLKKCTNLSNDYISAFFRFLNKDSDGRIFESEFLSAFKSSQKQQL AVQYKDLRQSQEKEKQIIQQCQQIQQNLQMSEEKNSQSRIKQVQQLLQSRQNLERSKGQT SYSSLLKVRQQLLQIDNIRRNSQRETFERLLKYQHQLIKNIKEKNEETTQKQKNLSSLHD QLYTMRTQQDLSLKRKREYSIHSQSQL >CAK81039 pep:novel supercontig:GCA_000165425.1:CT868385:322992:324608:1 gene:GSPATT00015898001 transcript:CAK81039 MNNIKASYTLFAFIRNWRFRSQVLCAQTIFIIIVILIGTGYFIFAQSFLIQEISHQSFII LAQRILSKQSYIYSVLNKKQLQQGLMLTNTTLKVINSLFEQQQSTNIRFQYHINSCDEFE SPSSFFSQFANSSCYCYGMPYNATEYSQHQDKMSLGNLLIQTALIQNKLYRTYFASNTKD QFYVFQPCKYYPSTYNPSFRPWYIYHNQSLNEVQNSQPYFAFSGGITLTKTLNLRGLNNE VKGVIGTDIIMKIFFPQDESYPYNFVLIDSDGHILLSNHYTINSTIVDLYYNQSVTGFDS QDFQTIMNFSKGLNYENYCEIPINQTLCLLDKTNNKDYYVKVQKLDQENYYLISKFDQAQ YKSNVDMLIDEVNAQSQKIIQDFVLGILISLLLCGCCYLVTIFILEKPLYKLMNLSLRRN QMLSSIFQQIKLQHFTNDTIDKLADAFTGLINYDNRLKNTFNNSTKQELEEIFSYLPQNI TVTRTLLLLIQEKLPDYKKQNKSLFYLNTLDNLKEIKEFLINERKILSI >CAK81040 pep:novel supercontig:GCA_000165425.1:CT868385:324789:325854:1 gene:GSPATT00015899001 transcript:CAK81040 MRGSITISTPNKTQQAFYLKENNINIESKVQSLLNENCILNQQLEKARFEIENYQQQNEQ FQEIKKRVSKLEQLIDTQQTEIEKWKLKYQRAAAGETDIQKMEGQILNVIEENERLNQLI QTMNEQLAEQNNQITSLSNTILQQDIELKKSKKSVEKTNKQAIPWSAFQNDRKGAKQPEI HFIKGKQNDLEQNALSKKNEQNCKQCQQKCNQDVIQLQQQTTQLQNENQQLLVKINELET KLQSQNGEQTVNQGEVQNTLNINSLIIELQEQVQNQQQFVQEEMIKHQQCQQQMEMIKEQ LKQFESFFKSLNTQVQNDQIKFNGQLYNQFQTGSENCLRILEQLKNK >CAK81041 pep:novel supercontig:GCA_000165425.1:CT868385:326221:327008:1 gene:GSPATT00015900001 transcript:CAK81041 MQQAYAIVKDDFEQIQAIQQKWKHQEIKKEFREDFKRLKLNFNEEQNQDFQLPDVFITTK QYHSKPAKSPKTRKKQSFSFDYVFQSIKMSKNQRNLELSTLESNYKKFKKYCCSIKQSPK EEILSQCVSISRDQNFQKIDGIKFPESLYTIHKKRRDKLDDIFKNQTCDNSIYKIKQFEE ENQKQCMISNKQKEYTSRSYLDNAYFSILSVNRECRQRVKNAIQYSLPQESRFQQF >CAK81042 pep:novel supercontig:GCA_000165425.1:CT868385:327057:327792:1 gene:GSPATT00015901001 transcript:CAK81042 MQDNQICQTDQKEENRQIKQKLLEYWVKLQKSLDEDYEEILQLGSESDPNDIINALFIIE DARQEQQKVYFYLIGLVRQITIRGVDKGIVIRKSETQSNNKADFQLEKRQLEIKINELSL ALVQCKEDKILYMEQAQKEIQYLKEQELELKSRIQMLQYEQTKKSSFLENEDDSKRLSKD FADEDIPRQSTSPQIQKVKLDYSKLSQGSNLKKLTKVDQVQGVSQASFKFGNK >CAK81043 pep:novel supercontig:GCA_000165425.1:CT868385:327940:329386:-1 gene:GSPATT00015902001 transcript:CAK81043 MSKHKHRRTQSNSEVDLRNALCQQDDKKHFIEIFNHASHIQPQIPEKEVIIEKLDDDYAM QHLKENCGLTFGNAKKKSNFGSYQTNNLFQIPEQNDLLSSVEQTQESGKKLAQGMKLNVN SQKRQTYSVEQKNNNDQKENQKNENNRQNSTLINQIQAQLRDVTHLMNSDKLDQFNKMSF TKELQKKTTVHPVQLPYSHQNTIQPSLNPNQHQQQSYSQHQQSQNQLNNNILQLQNSNIQ HQSLVHQNSQKNVVTKQHTITPDRKRTPSMAINKPSGVEAPFQKSDKSITNNTINESEKI KSNLSVSIFNNLFQQNKKISISNITNKSDQQNDSFRKLETQMKKIERELMSLKQRQDCQD EINKTIQQQLLQVIHDNRKQRDQSHLSLKKLEQLEMITRRNEESILYLKQTLGSHKRMDS TTHQTDSTELSDQHRRFTDLKSLNRYI >CAK81044 pep:novel supercontig:GCA_000165425.1:CT868385:329619:331296:-1 gene:GSPATT00015903001 transcript:CAK81044 MGKLENGKGQMSLSSLFCLNKLKVRTQVLIIQVIIQFLVGSLLTLISYLAFQYIIDMYSD ISLGFYVLQYYKKTDMITYLQVRSSQEVLLRSQTHLLKSDFLYQFIQTLDLQYVQQPLSC LNYDDKLDKYQYEQTFCYGFFGDQAYSSTDKDTLALNYSSFLTQALPMMDMDLDLLFSTI GDQMYFSIWPGDPFPNYKPHRRIWYTNHLKQIEEKNYNLTYFSEPYILWTWFLFMVTQTR NMTNLSGGLDGVFGSDLNFSLFHTLQSKQENVTFSIIDQKGQFLLSQFNISENTYIYDTD ISGFTREDFEQINNYLHETQFINNCSRINQLTDNQRLCRYNQKTKTEELIVAKILYPTDL ILLIQVDLLEKEKELLNQLTQIKRNFEDFFRMYILVGISTGLFSIILSSLVIYVTLSPIT NLILYTSQQITKESDLMQQQINQKVRLKQSRYSLKTIQELHQAFRKMNVRLFNHKEGRRN KTCTELEQIQFPLKPLERFSFNTSKINNYNLKNEDLQMFYPFEFLRLHQKRKRHWYNYIQ N >CAK81045 pep:novel supercontig:GCA_000165425.1:CT868385:332937:333476:1 gene:GSPATT00015904001 transcript:CAK81045 MNSMKFSSKPSLQALKSSKFSVLSTQQRTPDSTKLMKLKQTCLLYSQSPKFKTGRTKTFN FSETDLKPVAKMDNLKEKIEKSIILLQKTKGQKDLSTQLKVEEMVIEIMKLCQIDNKILK LLEQIKEEKEQKQQSQFKTMLFINRQQNQIEQLKRRCSEYN >CAK81046 pep:novel supercontig:GCA_000165425.1:CT868385:333492:336680:-1 gene:GSPATT00015905001 transcript:CAK81046 MNRQLTPDGTKSTMRSTILPKIANKQSKLEQQQSIYQSVDAEKMQKKTRNFDFSNLQRTI QTSYSKSRQKQRTILQPLTHTINNRIQTKQQLNRIINQLIRIMQNDAEFMNSCKHLHVNS QKLSQLLLMLTPHNFETEGFFTIHRKLKQQSLSNEHSYFIEIDRMFFSVSKLNIPVPLQH LMQLSQYSDSLFQDNSFGMIVNYQQMLSILKQAYQVHKNMLSQQELSTTTASDDEERLSP STRIVQRLLSRNTARKVQFKQKRLGYINDQNMVAYYDSFTDLKKKLERDWNAKNYIFGCI RFGYIDLIKYPQYVDQQTKKELKIFGQQRSRLPISIQVVYKHKSQAMNQEKALNKLNLYH PKNIYYESYTKDPDFISRVCYLNMYSLSNRFESIYTYKNDQITDYNIMHIDSICIKNLFT EQSENITKDYAFDDQQGGFHIKKKEYRPLDLEQADESDSEPDLDVYDPLYVKSIQSYPQY LIETQIQFTFPLLYRFYYNKMSTNAKIIRDNYAELVDQCKIKPEQQFKEKFVNVPSPDNC NYQRHKYKQPETVDLTDESDNEIYEQPLEALDKQDLSLSFKVQPLIKEEDQREHTQYLKS QSSIIIQDEQKVNDKIQFAHNVASPFICLQYSYALLLQLRFPLSIHMQIIPSIVERELPL KEVNIEASTIIIDNTLTIDQGIKDLESYPIIDIDSEEEPSFDEMPLEIDLPEQVEQQTIE QKNEDDEEITIDKVTEFVDLEIKDNLTIEVKNDYVSKLVIDGYPIYFYLDEHLRKTEPLI HKVTMEGKEIQSVQISCEQKGSKIYIKQFAIKALCDQRLESNIEKKQNYEEEDKQVNIYE PISLPEQWNQGQFVINLYYLQREDMNQNVEWLKSVLITYFPQIIIVEEYSESFEAYIYGV GPKDFRDANGRTLLFRKDTEDWTQFNQEFQFKTLLIYDTICYLISLYGSIDQLSFVQNKY ISENHSKHTKSKFEKLHLHPYKYVENVERERIRPTTGYSKAGPRRQNY >CAK81047 pep:novel supercontig:GCA_000165425.1:CT868385:336757:338479:-1 gene:GSPATT00015906001 transcript:CAK81047 MKPQYDYSNVLFECILVRKHFISDVKYYCYILNGYMLMSRKVKDSSPKYVLPLQLANQVG WVVEKKDKQILFKAITIKYMEKYKDFVGSNSDLQKQQNKLSRLKEHLSNKVTFYKIADFY EAQYNLGKGSSAKVIQIKELGNEQSKLAAKAIDKSYLQKSESGMQAFLNEVNILNHLSKQ SSCGPFIKLHETFEGDHTFYLILDLMQGRTLADELDILKLFPLKLVKVIMKQLLKGVKIL HETNIIHRDLKPDNIMFRELDSYETLTIVDFGLSTFINVAKYQFPKCGTPGYVAPEILNL VDRQQKYDSVCDIFSCGCIFYKLLFGHSLFMGNTFNEVLGQNKKCNYTLDPSEMNSIPYE AQELLKRMLVKNPSERITAQQALESDFFNKPSSPLQSKKLKAFNVPNISSRNIFQPTTDG LQSEAESPFQRISQKCKNQVDFDVDIQENKCSSIQIRQLPSVKKPTCVRLEDSTIGSFYA KDPLSSFKKLKGTSQKQLNETKEIQVNQFDVEQMQRISLFNRKDDN >CAK81048 pep:novel supercontig:GCA_000165425.1:CT868385:339091:340059:1 gene:GSPATT00015907001 transcript:CAK81048 MNKALIALFTTVLLATTSYLSQEDPMRTLYSEWKQQYQARFASQFEDEYRFEIFKQNYNY YQEENSRQSSYKLGLNQFATLTDEEFESIYLGGLDDSHVQIDETIKSYNYPESIDWSTKL NPIKNQGQCGSCWSFSAVGAFEAYFIFTNGSNFSYSEQHLVDCDKYSYGCNGGFAGNAID YLNRNGAILEKNYPYAMKDQDCQKATGDTKAKGRKTWTTAEQAYEAIAQYPISVSVHASN WKGYTEGVFNNCINTSTNHAVVAVGYDKKGNWLIRNSWGTGWGLQGHIWLESGNTCGVLR RLDQVI >CAK81049 pep:novel supercontig:GCA_000165425.1:CT868385:340075:340678:-1 gene:GSPATT00015908001 transcript:CAK81049 MINIQSINTSEESNPLSRSRNSKNDSSSSINQSLPSNSKSENDLEIRKLTWDQFQGVPPE DDPALAHTRWKIGYNYQVHHETDKIQVIAEVWCKVDPSSWTREKIDELLHHQQGHFYIGM ICALEFKKRVQEFSFSKDYKQEISEIFNRTLQEYLDLESKYNIETLNMLNTAKQRQWDIK IMKQLNSLSKYT >CAK81050 pep:novel supercontig:GCA_000165425.1:CT868385:340780:342414:-1 gene:GSPATT00015909001 transcript:CAK81050 MSDYESDTKDQCPAEVDWKQKRGTLDQRQRDEIYRLSKEYHFKESEVYNIAKRHYYQFEL VERDIQNLKKQDNNDDGEWITNENEKSKSQNKYRKNSNKDYDQKNNRKQSDQYQKQQEQR NTKNNERYGYNQKQFNSSQNYNNRNYRDDARNQDDDYDQQDNQQNYRTDRGQQRYQKNTY NEGWNQRRTNQYQYYNNNNKNYNQRRQQRNRNDDFVQQPQYVLKDQQASEQQQSQDQAAK QQVSDSVNQQVQDSQKRKETQQQDQKSSNQQQQQQQQQQQQQQQQQQQQQQQQQHQQQQQ QQQQQHQQQLQQHQQHSNQQISQQAKVQQNNQQIISNQTNKSQTKNQEDHSTKVQQQPVV SQKQQEVHQQQPQVQVNQQTQQQSAVQNTPIPHPQVQQQVHQSQIGQTSAQANTLPLQEY NQQQDQIKYLGNSGQGSQQYGIQTVPQQQRQQKPITQVPLLAQFLIYPNNCTSSTNLFPL TMQNLNNAFEQLRQIALNYEQKQQ >CAK81051 pep:novel supercontig:GCA_000165425.1:CT868385:343687:345123:1 gene:GSPATT00015910001 transcript:CAK81051 MNNLPLMARSITLQDNSTMIEEKQQQNHPGDKNSTQKGEMTQQVLTPRKGGNDFNRGSPF VKISIYKQHQMSNQKIYILQDDKIIGSNQVSKNEISIFRRKKNQNQGDNNIDIGLNSQEA NADQIPCKISTEFGFRHSSRITPQILCFLSLKYFHAKFKSMPSNIFEHIHQFIKERPIFY IQDCGTALKTLVRIQKESPRIMEENNSYLIGADFYFHVVQLNSVPKSIDNKKKDQESPIE YFFQTLVRENEKFGARIHGLTKEEQEVFQVYLQEYRKLKKKGRKQYQLLNTDRPFLKIQF DTPMSRQIAIFIGKAGSVQTFKIGRSQDCDIIVNMNTVSRKQTQIKFNKNQWEICDGEGT RQSANGTWQSLQPYGQTLLETQPKQSVPHLIEDKMEVKICENIFKFEMINFGVSKKRKLT NALYQELAQYE >CAK81052 pep:novel supercontig:GCA_000165425.1:CT868385:345560:346510:1 gene:GSPATT00015911001 transcript:CAK81052 MAQLGEEFKGKVDESQKGEKEQQEQLGSQKEEKNVEQNEEKNKNQSQPQTLFGGLSSGQN FGQNLFNNSGINLKPGQLFTGNILSSSTQPSSELFSSLFNNNSNVEIKPVQPQPQIIQQA PKESDGSDDDDEDDLDEKYLQTEQKQEYAPNTELITKQDIEKFRKNANGILEQGTAAIEK ANNGECYFFVYRNEKQEVVYAGQLIKGLSVTKPLGQKQENLLLKVLGKKESENQKEQEET TENKQFTIDTLKLMFKQEEGANAFKSELSKSFQ >CAK81053 pep:novel supercontig:GCA_000165425.1:CT868385:346541:348164:-1 gene:GSPATT00015912001 transcript:CAK81053 MQGTPDLDQPSVASSTQPWGKLISMNGAKVSSQDLLDNEVTIGRLPTNKIIIPDNRLSGT HCKLKWDAANNIAQLQDLSTNGTFIGDQKIGKSNEIIVKNGDEIFILHKSKVPISDIIGF TLIIQQVKEVKVAAQLDEQQQKKLQMMEEMQDDIHCPICDDVIFQCVSLIPCLHNFCGAC FSDWMAKQKTCPSCRKEVQSVNKNPMVNNVVEKYLLMHPEKKRPPEEYKEMDEKNKIKGD ALVFNQAAPPPPPPQQVPMIQNVAPARGRGRGRGQAQQQQQQQQSQQSQNSVQDQSNYNG PVTKDCVTCLKAINGFQCQKRGQQHLNCTNCESKMPKFDLNEKRLVFRCELCERFFCSIY NKNCSQISNKNPMHKLQEHKVPGTVDIQCFRQNYIEQKGFLDYQKNNNITTQDIFKFMME NCINKGQFRYIESNKILTNPVRDIDLTLTPDTPVCSYCFGLIWQQIVFRYRIAIKNQLSD DLKNRSQCWFGINCKTMIHNQEHAKKLDHICEQTKL >CAK81054 pep:novel supercontig:GCA_000165425.1:CT868385:348198:349692:-1 gene:GSPATT00015913001 transcript:CAK81054 MGAQQSVAEGIIKSKNFTILGYKENDIYGSFKIVESQGNLYAMVSKTCQNQEDYANLCKI LKETQQYNSINQLVKLVEVDTQEESNLCSTFYKVSALYEYYDITLREITKQASVNCLFVL KSLSEGLRELYTQNQVHGNLTPDFVLIDKSKGEVKFNNCTQLTGYNHYKRILASDGLWYL SPELVTALGKKDLRPQYNHEKSEVYQLGLIALELWGNGNVQSFYDKQTYSLNQSVINEAI DEFGVRNGYVLKNVIKQMLQLDPNARPNMTELSTSFAQLYDIQLQITEDEPKTPLNVQSV TQQQVVTLCELNQEEQHQDFVQIHKESFQFQELSNSNEVGKQNFEESPKKVEKQHVEVNP QSVKSSVSQSIKEYYVTGSIAAQFGQLALLESNPEYQSNGTAQFENHHDYDQDVLQEKPQ NIQPNSTKSHESTVIKKSVKVNSNPPPNKKPISIKRPKHESIETKLPKQAVVVNKRR >CAK81055 pep:novel supercontig:GCA_000165425.1:CT868385:349756:351654:-1 gene:GSPATT00015914001 transcript:CAK81055 MPLAQCGGLCLRVTKELGAKLEVTNQKIVLIEDVKFSDNRTELYFIGELYHLNEQDLIEA RKTENVRRILIDLYKAYNSLLKQNKQLDFSLYNLFQVQDQLKVLDIGVFNKKVQKDSTPT NQLALLILKLFLSRQQYKPLRYMNCEQQVEYIRNFNDFLILLPMLEDIQKRISWENLGYY LLSDSHKQEAPPVAVYYKQPYKLNSTLNSYSLAVQRMQSNLRSRSKRHLGSLYEQQKTSF NGQEDDSQENHRVIRSRRTIHPNVNLIKPGSMQSTPNHYKMEHPNRQSPIILNTQSQTQQ IRSQFKTENSLQTIQQNISYDNFFLKTQTITPQDSQSKFVSINASVSQNLYTSENQVTNE NEQLSTLQQLQEKSTIQHEKRIFKLPQHKQLPPNDNESTIKVIKFEEDLVKEFEQYSEKY KQYIECLNMIGKTVAKCITTLDAKNNLWMMPLFIVFKRMYQLRISLQDSIQNNQNIFQLQ HFQEFAESQKYQEFQKEFIDQNNIVKNEVQTLLQNCEFKIDKLDKKNQQKINPLLNLNLD QSIRDISFIYFYQQIYSYIKKLMVERPKQAQQLRDLIIKIQCSLLIMDQAVADFKFDLKE YNNRKQDELYRQENELKLEKIKMRIQKNGYQF >CAK81056 pep:novel supercontig:GCA_000165425.1:CT868385:351833:352414:1 gene:GSPATT00015915001 transcript:CAK81056 MRSLISPPRDPSTSHPRNNKSIRLLKLRAPNSKEKLIVHSKKEDEPATPGFADLKSYGSK RSEQSQRQIQLVAEIDANPEDILKNNENIQQSPIQSQRSLKKKRKDDSPICDFQPKNSLK SFDSKKLTKLKSLSEHKVRFEDNKDNDSQRSNSADNKSVKSIMKQELKYSQFRKMQTQGD VESRKKVQFSNNK >CAK81057 pep:novel supercontig:GCA_000165425.1:CT868385:352510:358702:-1 gene:GSPATT00015916001 transcript:CAK81057 MSKSNLILILQLEKQLELQLMRHGIQHEDSQRLLLQYGKVASSYADIQQSEQQIEILTKV YKKLLSLGVSIISNLSNQHWKLMIVIAIKLSKAMESKGQLQQGLNILIELEMFVKQCNKS LDWANEVELQELYYILAIFWDKLKNKERKYYAANECILLIKSQIKSNHTKKQINMLIQML EFQLSTVNSNNQQLSLYTEIHKYSKISLGDEHQKTKQTLLKMQQIKQQILFEEELEEHSK IELKKPLSKQDSTKHLITDKMRQSLQDNNNLTFKKLIKPSCSKHTQRRGSFYEINRNNSQ SKLSIQITHQSRKSLDDIKKSNDQTTKSKLNSRTISQGENQFYYFLNCNNLESRNKTDTT QFQTIIAKNQDKPILSSNINKTIQTIQSQKTQECLDNKNAKTNQNAKLLNLMIQRPKSGV NQQNYMKNSQILNQVKPSKSKGSSSSIQYKNDPLKKVLKQMNTQEFNNTKNKQVLVTELS TKKSNQVLDAMHQLTSQKSINILGELMQQQNQVDYFEQNLDKIIRIQKYFKWKIDSTRLR LDSIKINQAQQYSNEEKMTEKVPETITKPQIFRNFIKRSNTSSVESLKFIHKKEAYLQPK IIQKQKDCSFYSQTSSNLMNIKSESEINFKEKTQEQQIQQTLENLQFGYEYQVQGDCNFF CTDQSDQILRFIGFKSRLINNESLVFYFTIEKSIRSYQICLPIQFISDHWNSQSQFEGLS FCQNQLSEFIELNLIKIPFASSILIYMGDNFDETLTSIVQLLSIIMVNVCEKKQINGKKL FYTNQIQAKLNKKLIQSYYQKQRQEKRQYYFKPQNFETEDCSLKINLRVSQTDLNFKDSV ESGSQKQERKAQNGSIIYTIRTNPIIEQFQEYKSTTKLLLLEEPESSYLQMLDVSIREPE INQFTMKALNIPENLLSPQYQERRRFNSRLLTQSRIQFAQRNQYTLTPTASQTKKTNQLL LPQQKQRKILRRPQTSVCLVEETSSSRSQDSILLLNNQSKDHELQFASVSQSASLSFQPP LTSFQRRNIANVSSSQQQLFNSGHILLDTQMNLIGNEIFETDDLKIHDIALEEEDELNCK MRTVFKKCDYFTVSPLWKQDRDVKIQNFDVEYFWNQVKFEKQIFKSIYNNPFQLNEILLI GILKIDKQYFIVSVSQVLKSNIELFNQQVLKMKDLVKIQIVFELIFPFDNVWKDSATITF KEFIKWFISDFEISQYVQQFGLSKLQKISVLQYLSQFAHIKDSKLKLEYYEERKKEFQLK KIQRRTLEIQRMEAIENIFLRDKIDISYISNLGLQNLYQELLKDREAKNQNQQRRDNKYK AFLQLTDPSKLDPITQIPKFIGLDYDGESEEQTIQLPNYEELKILQSYNTIVNIHQKQQI FRHNSIYYIWTNKKLKIWRPFQRKIWQQIQIKNEEKLLNAIQSNLRSYFLNQMICKSLSL NGKKLLQKEKFLQEKQASNFNLLQTYFQLEQFTNQQFYDFLNLLNYALISGDKTQKFWES QFYQYKQLSHSKVALLGDCFFEIIIYFNKPSNVLKQNKRRYIMIKVNLFSKDNMKTNSYK LVLTLEDLQNTLKKSYDLNDSNFIQTLFNDVQQLMRRYVRYERFSNSRRPTIFIKNIKQG KTEKFINELPWIANYDYDNLDLTPYPQKFQFFHQQIKCINLICTTIEFIKLPLNFINRYQ VHKKLTQNKIPSIIIIQEIQDMKTYYIEIYLPQSCRRLICRIPFNQIHTLSDMSMEIFKD YGNSISITKRERFWRNLIKSFTFSINQEMKLILKIENIQVESILQEVLQYEIKSIEKTKE VILFKTFIEEKRKQGSEYFNIEYPLRLNEAKNINMVIQLQWLNQQEKIQQYRLPLYELMM GYFDKQLSNLNIFDYKFRIIDLIKMSEIQVENIRINYSLDLEMKQNDNFQFLMPHRIYQN ECQTIKLNVNLRTLQINKSSFKLLFRGILQQRPQILVGIYQKYEKSKLYFQIQRVIDGQL LLYTIEFEDIDNQYPGFQQNLKVNQREVGQNIFRMFKEKLILQCQYQLN >CAK81058 pep:novel supercontig:GCA_000165425.1:CT868385:359406:360482:1 gene:GSPATT00015917001 transcript:CAK81058 MSLILKNNIVFPIFKHQETLTYQNRQKSTHVAQRVDTSSPFNRLASPQNRTINLSPQRAQ SIKISPRNKQNSFITPKPIRYEENHSLQCYLNHLNSMSALKSPRKVIPQSTENRMILQRV KSIKESSNKRKKVSLLETVHNPNAYQYKKFTEQLYNLIHNLHQLKDYLNNQRKLFQVVKN LIGIKKNLYRILIKPQTEELHDFSEISRLCCIKDVQFINGEQRVIEKFDLSLMEDLIYMT DSIKQLLLQNQLKAINRMSDNIRVEQSEISKLKGQLGIREQNHSLSIESLAMKKFQPHLE LKCIEQKLGQMNYIPKQVRETSELLCDIVDKLNG >CAK81059 pep:novel supercontig:GCA_000165425.1:CT868385:360529:361456:1 gene:GSPATT00015918001 transcript:CAK81059 MPPKPWESQQRVQKATAITQELQQNQTINKSPDLQIQDTGIGEMTQEQAAQVSALAANSG TNNQTSYQIKKISISSLNNNLNSTTGLGYGGLNSYGGGYGGMGYGGMGGYGGYGGYSSGY GGGMGMGMGMGYGMGGYGMNRFGMGMGAGMQQGSKMMQALEYLDSVGFVVNSLCEIARMI EMNTQGLYNLGISGLALLGRLYTGNKWLWHVIINFIKKIYYQIKTFLLFENQTSNQINQK YKKLLIFGIIVSALLLSTLIYPKMVSNNSSNPILDQVFNQQKI >CAK81060 pep:novel supercontig:GCA_000165425.1:CT868385:361680:363156:-1 gene:GSPATT00015919001 transcript:CAK81060 MHAKEEIEMNEFQIEDPQELSVQEQISEKMKPAISNPEIKAIIRFTVLYFVIGIPAGFYS SLTLLLQGSGISSGQMAFWVTSFYPLSFKLILAPFVDSFYIAKFGKRKTYVIPSLYMVGF TFLTLAYSGYSQWIEHLNLYPLFGLTILLTVLQACATIATNGWVLSSFSKHYVHLGATCQ MVGLSLGYVFSYAILMNITSPYFCHQYLGLDQPIISLNSYTYILGSLILFIAILTQCLVT EDPVEEEKTNFISVLKLSFSLLSNSNLRFLLLFLLTRRFAFAPVIASTSLNLIQMGFPQS EYATIEAICTTSWILSSLLVAKYLQRGKEMTWVLNAYYFMFLVMGAHFVYVISFIKMGGY NNFTQTLYFVLQYLYEFNNSFISCTLSGFFLRIADPAIGGTYATLLFSSYLFGTQFSASV SLFLLHLLPYKTVVLLGWLYGLIYFTVIKDKLIKLQYFDLKSWKVM >CAK81061 pep:novel supercontig:GCA_000165425.1:CT868385:363482:364388:-1 gene:GSPATT00015920001 transcript:CAK81061 MNSKKNTQNDCNLSLESNIQINIDSAENDAFSKIDYMYVAQRFNVFTASSHCDNQNVYFI YAMDNFGTDIEKKKSKPCFKANAETECYQRISLPSSCRSFNMEVKQNLSSTNQKLLFTLN RNYKCSCLCVNRPDIKVIGSTGNTIGYVRSSLFSIQKTVEIFDANNNLLFNIKGIWNQFQ TYCYLPCGFCQTISFDILNRFNKLRQRRSAKRRDVVINAFQFPKLSSFDEKALILSAVFF LDYNYYEERYSDMCCLCLQKCCCSQCIE >CAK81062 pep:novel supercontig:GCA_000165425.1:CT868385:366626:367752:1 gene:GSPATT00015921001 transcript:CAK81062 MGIACCKNQDVARFNIQPIIPLIPTEEASLDETKIVKIQTSIRRYLSKTKQKQKSEVSAT PAVQVQSHTNEQVVEIIEIIPKDAQKVEWPQILLQAVQNKLKELAPLKQLTYSCYLLDDG CYFQGDFVNQQKEGFGRQIDQDGSLYEGTFKQNVKQGKGRQISCDGSVYEGNFDDNEYDG YGEFQDSYGFVYKGEWKQSLFHGEGHEINEQFQYKGAYKQGYRTGYGEITYVDGNKYMGE FQKNKFEGFGIFIYADGRKYEGGWMNNQMHGKGTFSWPNGRKYTGNYHLDLKEGLGEFIF ENGSSYRGMWKQGKQHGHGIMISELGDQREGEWVEGRRIKWR >CAK81063 pep:novel supercontig:GCA_000165425.1:CT868385:367851:370867:-1 gene:GSPATT00015922001 transcript:CAK81063 MRRTSDTSIAISNDVKKNSNSLIQSKHNPRLKEYTTNLIQFKKDNLSIIEENYEPSLGIS FLDRKESDKNKNKTELPEAIYNIGQATSRVLATPLDELEVFKNSSKNYVKGGLNLIRLVS KFIRQLKIHSQTFQYKMINENILQLLGDQASNTQLFIFLRNRYFNQNQRQKLDSNCLQLE LLLKKIHILNPDNMILTGFKIVLFCLLIVNITYIPLLLFLYEQDFNKQDIHHLLDTLPVV FCMLDCILRFITSFYDEGILYRDIGRIAKHYLKNDFIVDIVSIIPIFTDSLVIQYLTVFR IIKLKLTLATLEEILCLRQQIQGWWELIKSVFFIVYTCHFFACIWYQIGEQGIKNHTNSW LIVKELNNSTWQEQYFFSLYFIVITTLTIGYGDILPCTVSEALFTIFIAFTGCSVLGYTI NNIGEIFKNLNEREVKFKQQMKSILQYLKDYNINKNLSLQIRKYFEYHLKQQIENNNEGY QMINQLSKQLREQMQIDLYKKYLIKARFIKDYCSQSTIEKLCQYVKIESYAPESKILNQQ TSCEKLYYVLEGELDIYITLGNRNVSICNCLKKDEIIGQWEFVLQNPYLYSVKAVKYTKL LVIHRNDFTKVLKENKEDFEKFNQIKDKLQFSKKQRGNKCFICGWIHYFNKCPFLFFIAD REKDQIRDRNQRLRKFEKSRTFQRLNNLRQAALDFIQDSETNDLTLQHLVDLGFNRNDSI LHGSAAYLDELIEKKIQSTFKVIENEDDSNHSFLQAPKVVATYKNRSIVFAPTPENDSFL NLKFQSPDQFNRQNSNTLQRPRKQTKELSRLKQSQPKILFSTNKIESKMNFMKRNDGSSN FQSQISSNLNNILTTNNNIYLNQNDNIESQQGSSQHRSEQPSSLLPQQQQSYQAYNGAIE VNFDIDKTQVFSNYFKEGNIYEIVKDLMELQLKQITLKSPKMMQKSIMMGSNKNHKRFAL LQKVLKKDSLMI >CAK81064 pep:novel supercontig:GCA_000165425.1:CT868385:372012:372893:1 gene:GSPATT00015923001 transcript:CAK81064 MDVRLLKYDIFASPLAHKPSRGYIPKLADRSLTSLLKYKNETIKQPIHSKIFKPYGEESE IKTQQNMYTTGKLSYSSSVKNINKFPEVQLDPKAVKLLGQGRHFLRSDYQTKRKFQESKK NHHLKNHSSDYLMQNIQLKFCEKSVKKQYKANLIFFKKTRNIPAQIQILRKEEEKKSMPE TKTDFYDSQQRKIMMPASKQQQHTDHSMFSSTFRDNFLKSQRSNIHPKPTSQEKSTRQGH KKTQSVQTQSQLEEANKEVPLFGNNYQPNN >CAK81065 pep:novel supercontig:GCA_000165425.1:CT868385:372904:373487:1 gene:GSPATT00015924001 transcript:CAK81065 MFQIVKVDSGIDAKLEFEISNIVKGAYERFNNQFDRSKYISDYLDERYGGCWRVTIGKQF TSCGTYYLSQLLRLSYQNDQIEIVRTQGDSEFEIIQRDLGMNQAVFDSILGIISNAQQTQ KNLSAQVEYISECVESKHAGKWAVICGYDFNSRVPYVNNNLVCVAKKGIRYTVLMISK >CAK81066 pep:novel supercontig:GCA_000165425.1:CT868385:373494:374173:-1 gene:GSPATT00015925001 transcript:CAK81066 MKFSSGSNRLINSKRTRTSLTIIQDMTMPANHNRKSSIQPIELNKHEICQYQDKIYFSTR QVSPKKPANQKSFGETLLKSTSQKLLKTTQKEFQESNQIKDGDTYKMISPKVVFKDDKVN VYFVKEFNKYTQQIETRTLTQDQGNKEQTIQEVTNQRVSIKGPMGGNSNQMQARISQGIL SDPKQLFAGSSKKIKLEKGETFNTSVKSNLCKLLKLYQ >CAK81067 pep:novel supercontig:GCA_000165425.1:CT868385:374693:378238:1 gene:GSPATT00015926001 transcript:CAK81067 MELIVAKRQFDEMTKELKQRREEIYGKIKGVPQVEKKPQSSGKQEKFKSMDEHRITLQEL ENKLQTNVVNGLEQQEADKRLGIHGKNQLTEKKQVPWYMKLLHELTSVFACMMWTGSVLS FIAYGLTPEDPSNLYLALVLAFVVSVTGVMAYFQNQKSAALMEAFKNFIPPETQVVRNGK QMKIPAENLVPGDLVIVEFGKRIPADIRIIESNGMKVDNSSLTGETLLLQRTPECSHPEN PLETKNLIFFGTLCKEGNGRGIVVFTGDNTVIGQIAGLAMSSGEEESVLRKQINLFVKMI AIIALICGVVFFILGMSYGYPALQNIILMMGIAVGYVPEGLIATVTVALSLTAKRLAQQK VLVKNLECVETLGSTSCICSDKTGTLTQNKMTVEHLWYNNKKVKGLNYQKFGKKYNYEYD LKSKGFQDLFECASFCSEAIFDPSLPQEIRMKIQNDKVFNQQQKEQKIQLATHDWDIKLQ TMSWLERPTIGDASESALIKFLQPIKDIQETRNSKKLAIDIDGKNARMPFNSTNKYAFVI VEYETDESFYCLMTKGAPERIWGLCTTVNNDGQEQKKDETWEQAFQSINKQFGKNGERVL GFAKIHLPKTAYPRGYPFNLDKMNFPWDKQQFLGLFSLIDPPKDSVPDSVIKCKTAGVQV IMVTGDQPVTAASIAKQCNIITEKTVNEIAEDEGITFEEAFHRSNAIVIHGDLLTKMMID DEGKPEQEQGRPLQEWLSKSQVVFARTSPAQKLIIVDGCQKKGHIVAVTGDGVNDSPAIK KADIGISMGITGSDVAKDAADMILLNDDFSNIVIGIEEGRKIIDNLKKTIVFVLTGNIVE VIPIFAYFILNIPLPLTTVLILCIDVGTGIIPSTAFVYEDAELDIMTRRPRNKQENLITP KSIVFAYAQHGFIQLCGCFLAYFVVFYDFGFPSDSLIGMLAKNGVIPRATDIFDPLDPFF GNSNLRDNYNNRVSEQPETVSKICISDDEGNFEPIDWLFSKNNNVDLRMYYLQCNTETNK WEESVVWGECKVNQISPISNKPVCYTTEALQYAQTAYFVGLAYTQMCNYQSLKTHKSAGI FQGFNNVFMHFGFMTLIVLVMLLTYVESINIAFQTRDILFQHSMLALPFGLLMQVWNEAR KYMVRNVPQTTPNMPNWWARCTSI >CAK81068 pep:novel supercontig:GCA_000165425.1:CT868385:378323:379251:1 gene:GSPATT00015927001 transcript:CAK81068 MMDSLYSLFSQILLITASVILLFSMTFAFRKIMKDVNDILDSTDKLVFFIAAFQQLLLLA FLIKQYTLFLSGLRILRMLQDLMLLNCLIKLDSDNEQCLKIVDKISKLLSLILLFISGLI VFLEGLKSHFQCDQQIWMLLSGLLLLTNIIQLYFGLKILIQIYKYCQDSSNQHMFDGLHK IMMDELQNRKVQVIVFLLCCINSSVVMLLYDYAMFDQLNPTFCLQKTTISEILTYIAVII VSYQLPCLGIYYVFYHKNKKYLNNHNWEIQRNIVNFYDERSEIELGDYQNPQ >CAK81069 pep:novel supercontig:GCA_000165425.1:CT868385:379517:380683:-1 gene:GSPATT00015928001 transcript:CAK81069 MGKKETKDKKPTQFKKIYELLSKYTQVIIVGLANVGSKQVQDIRRILAKRNALLVIGKNT LFKKVLATRVQELPKEHEYYEDLAKFGSAIKELDALKNSVAGKVGFIFTDTPVFDLKPII EENKVETPARVGAVAPIDVVIPPGPTGMDPASIQFFHALQIPTKIEKGQIQITKDFVVLK TGQKVGQSQAVLLQKLGKKPFLYGMEVLACYDNGSILNKQQVSVNLNDIVAKFQQNVRNV SAISLQNGWVNEASAPYLLANAFKDLAAIGLQSGFIFDQIKQSSAPTTAAPVAAKVEEKP AAQQAPAKAEEPEEDVDMGGLFD >CAK81070 pep:novel supercontig:GCA_000165425.1:CT868385:380760:381924:-1 gene:GSPATT00015929001 transcript:CAK81070 MGSKCSQCDCRYGRNIGQEREIVLDNKETKNNTSTNLLLSNQGNANLDSQSKEQQSKSNE KQSSQKNKPKKAHIKFNEFQNESEKLLKAAIKIQSRYRGYKVRRKNGQKTVKNSIIGANK NYLKENFEIINIPPLINDYTLDTRDPYEFKSGAIYQGQWRGNFREGIGTQIWTDGAKYVG EWKNNRACGKGIFYHVDGDIFEGEWDQDKANGKGVYKHSNGSKYEGEWKDDLQHGYGKEV WNDGAKYTGNYNQGKKQGFGKYEWPDGSYYEGEWENNKINGKGSYCWSDGRGYAGQWIDN CMHGIGVYTWKDGRRYEGEYKNDKKDGRGVYQWADGRKYDGMWRGGKQEGQGVFIFTDST RKRGIWKEGKIFKWLDSDA >CAK81071 pep:novel supercontig:GCA_000165425.1:CT868385:382031:382966:-1 gene:GSPATT00015930001 transcript:CAK81071 MQQKKSPKFLDKLFDLLESEQYRFLRWSDDGKSFEVCDIKKLEKQILKVFYKQKSFASFI RQLNLYGFKRSKIRRNINVFAHRYFIQGEKYEYLQSRKQLINIISLNKLKVLEELSNQDN NLKHQIYEAEHQQLTETLKDLQNQQKQIQMKFNEQIHLQMQLKLRIIQIIHQINTHDITI NIKAQHSYQLLCKVMQLIPNNEQHKEEFAIIRSICKVFNEFHLESMSSYLGSPNDRLTPL PFYLQDSSISLDFGSKQGQNQIYELLQNQATRIFQPSQVKQQQFMI >CAK81072 pep:novel supercontig:GCA_000165425.1:CT868385:383088:383291:-1 gene:GSPATT00015931001 transcript:CAK81072 MSETLSVKDEEFDVLCPTSPGPFGKAVVEHIGNYLNHKQDQINLETQEPVWFCGGQESES SIFGFDL >CAK81073 pep:novel supercontig:GCA_000165425.1:CT868385:383351:384025:-1 gene:GSPATT00015932001 transcript:CAK81073 MSKRKNTSKGYRFIQIIQQMLDCNEFIDIIKWDEEGIKIKIIDKSLLQDSVLPRYFKHGN YSSFLRQLNMYGFTSCKDQNGILTYKHPYFTQNQIIQKFIEKKKQTRLERMKAGTFMMEQ EELLKTMTSLKQEQIKIQQQLVASIKQQLGFQHHFKLIIERLLEIKTIQERRGVFFIEGV RMIVKAMKPEACKLNFNIE >CAK81074 pep:novel supercontig:GCA_000165425.1:CT868385:384160:386532:-1 gene:GSPATT00015933001 transcript:CAK81074 MQSDFQQQSTINNGIYSLWHGIDEEESKREKKKQQKQAHPQFSEMTDKQKQRKQNLNLQK KKKGKLSHKSDMQTNDDESEKQEFNQHLKYETKPQFVLLVKPNNEEIQIDLQQYIDEQYS IQEDISKISCFKQESDFGHTEYKLRLVDPDPKRLTHLTTQMNFRLSEGNGMAYYKIGVED SGNPLGLNKSDMLGSLKTLCLMAQSLKAELLVVGINQGTNGKTCEVIVRKGLKDGINLDI RILLLGESGSGKTSLLGVLSTGQLDNGQGLARMKFLKNRSEITSGKTERVSHTVIGFDSE GKIMNHQNQLNFSPTCMVEKLVDLSTKLITFIDMGGTKRAHNQMIQIVNSQFPDYALLTI SSLQQIGKPTMDFLKLIQIQQIPFMIAITHVDQITEDYYVDKVEQLKDMIKSLQIQSVPI VVRSEEDVVLFSKQILSKTLIPIFLISNMKQRTLDYFIKFLNLLPSTNELTNNSNLDSEY CIHNVFEINNQKVLGGTVLKGIIRVKQILQMGPDKHGRFFPIEIEEIQCNRVQVKSAQCG QICTIRFKQGNQQFGSDQNPIRRGMVLIEGKSNPQAAWDFLAEIWLFDDQKEAKKIAVSF EPVINTQCTRQICKIIREEQLQFETVTLRKKQSRSIDESCMQKSDTLSSRSSYRKQSEQI KKASSSNSLNKESYRSPRRKGSSQVKSCTNDEDQKKTDLFVINEKNEKSMEFIELIPKQP NIVRLKFKYFPEYITKGMKLIINDNGLQAWGFIKYINY >CAK81075 pep:novel supercontig:GCA_000165425.1:CT868385:387591:389121:1 gene:GSPATT00015934001 transcript:CAK81075 MTDDDFETEQNLLGSILSSKFHQQILVNDENEHQDQKKLSVSDECNQAQTQTKLLRQYNF QTADTFSKSFEQISLGSDQICSPISPDQKLKYKNPGVCTSFSSDNQTSLENSMIFGNVCC FDYFSIQSDQFSPIYYSQNNPIWPQYQKSNQNLDIQLEIDIENMCGNQVLSRKVQKIFET GQTNQRQQIFWKVQANCQEFSKDIFGNYLIQKILEKGTLQQQIQIFKQLQPYVVELSKNT FGCRVIQKLIDIISKNDHLIVPFIQEIKQNIKSLLTDQNGKYVILKCLENLSIDVVRFIL KPTEELGFHMCDSQYGCKIIQKLIDNYPKQVDTLVQICITNQNLLYKSQHGNHILQYAIK LPKYLDIIANYILNHLENLCFNKYASNTVEDILKYLTPKLKNNFVQILMKPSENNGMFIF LNLAINPFGNYVVKKVLQIFDQEHKQQLVDLMKQNLRLLYLIKQSEYGQRIYILLTTQLN DQN >CAK81076 pep:novel supercontig:GCA_000165425.1:CT868385:389763:390182:-1 gene:GSPATT00015935001 transcript:CAK81076 MKAYRRENSPCSKLKLPQLTKSPYLKQSHQMPSQRSKNQSPFKKQNSKGRSISNIISNNS LNISTQISTQERIKTNNFNKKSFLQIDELLDKFNMNAGFDQQYKYLDQLEKQSSILVHNY LQIHQHMINQRNRIHCLRK >CAK81077 pep:novel supercontig:GCA_000165425.1:CT868385:390374:390769:1 gene:GSPATT00015936001 transcript:CAK81077 MYILNYLFQTKKCTIGSKLSPKKIKKIIRSIENPIVDQQSISSVWIEMQILETSLIQEEF IIQDCFQQFVINISFLILLNQFHISQRLLKIRFFVIILENINQGFGNLHYNFFISPNNYS FYILYLNLCCL >CAK81078 pep:novel supercontig:GCA_000165425.1:CT868385:390988:391598:1 gene:GSPATT00015937001 transcript:CAK81078 MYKYLWVIICGIIYQQFLTNYKQFKFQNQMKTFQISKDSSFRILVPQNRIEMEEETVETS IQLDSKNIYRPIKIIFPPPNLPKIITNTKSCNCKKSQCLKQYCDCFANGQMCSENCNCVG CFNNTLNMEQRKEAKVQIINRDPGAFKQSFKGCNCKKSGCQKKYCECFLSGLACTHLCRC DGCLNCSK >CAK81079 pep:novel supercontig:GCA_000165425.1:CT868385:392566:395747:1 gene:GSPATT00015938001 transcript:CAK81079 MLGKKQSSCVGFNPFSKFTKEKPSISTKIINKLKSLFQVNLNPSSCIQRELISQQTIYVP VNSEIVGAQIIEQDSIKICHSQSSQRQRRNKITSFDDHVKEQQSVILQQSEMSSKVQQKE EKELTKLNSRYKTYQELEFKQSYEHVLPEDIENSERKEKKANHPLRKLSSQKYKKRKLDE KKKEVGIKIAHKKHVYDKIQDSINESRIQEVQEQISDDSLKNNSLLAGGLKEEPQIKEII IVQLNDTKPKQPEPPMLTINEIIEHQSKGLKKSQSMINLNEQEVKSFSCSPKKIENSQSR KQSDVISKINEPKESEQQKDEVIQKKEEENQTESQAQPQQSVIDFLVQGPAKQEKTTTFQ INLINKVVPEEKKPFEQQEKNIINFSDTPLFGLAKSSEGGQILANKINVQSSLFPSCDSK ELNQQQSSHQSSGQNLQQNQFTFQNKPNASEVQQQPSISESSSSNVNNKKDSTDQQPKIE ENKQIFSLFNNFSGNQNDQLNTNDQFPHKKQLFFQNLSNVVQSTQGEQKADSKQDQADES TLIQQKPEEQKSGFLFSEFNLLNPNVKSQADKNNITITQKEQEQPTQSLLFLFNQNDKNS KEKPSTGLFNDLLLKQNDKDKPKSEANGEEKLPYLGNILNAQINPVQENKDATNPPLAVP SLFGGDNLHILGSKNNTMETPTNQDSKQQQQQINSSKLEIQTPLANSIGLNCQWKSSSDD HKNNQFNISSEPKPQQKQSPFAFLQDQRKTDNSKSESLSSDPINKSAVTPVKPIDNPFLQ QSPKIDQEQINSYFSNQSQQSKIIQDDQIRIQTSQFKNELFQQQHNGPSMFQQTSYPQQQ PQQTLQLQPAQPSLYFPNSIPQQNPLMPQNSLLQLNTNNNNMMNNTMINNNIMNNNNMMN NMMSNNNMMNNNDMNQEMEFPEATQGPQPLLLGQQQSQQQTPFSSYRQLGAPGFPPYMQS QMSGTQKAVSLFQNQQPPIDIGTSLFNPVNPTNGLFSHDQPKSNSLNSSFTSKNGQKKKQ RFN >CAK81080 pep:novel supercontig:GCA_000165425.1:CT868385:395914:398819:-1 gene:GSPATT00015939001 transcript:CAK81080 MLIYIVVVQVVWGLQSYTECASDQYYNTASGECTSCPQNTERYNISLCVCDNVKGYQRTG DNIIGFQYDQLCQKQANNCVQSQVNLKYSFSGDSLSQNSCTSCASNAYPNAYREQCVPCP DKLMIYTGSCECPQTGYIKSYDRCILSTQKTIGSLIFDSSYIVEYETDQKIASSYMLSRY QYAITMCYYYSSINACQLLANLCVLQLFDLTKPICSIFLDELSSKIPASAPALFPSVNTE TINIYQTAFPQTMKLDDSDSKGRRYLNYAIQKYDLDGNLISDSTLRSEFILCPHSPGDEI NSRAFGLNMKISCTLDLDAFLQNYEMYMYELSVTAIRDDNTIVPQNVYFLVNNLKDKDGN LPNTDKATQNSIFVKRIFLVDTLTSKKDYTSPAETPEYIRYASKIQIVILPSQDSYEQIY VPYVYVEYSIVRNSGESFGYADFEFALQISPDPTNYWVTIIILFAIYNVIIILVWLFRIY VWTKANPSSSIRENYMLQLIKNMAQLLIDSWTDWMFYFLFFMTAYWFIFFKFQNTPFLLM LNQNEPSNYLPFYGLFYTIFALRLIQTLAIIYAQSSISIYFIDWETTEIHRPVEKRRQEE LEENEILVTANKIKSKVSVWRTLLVANEFNELSTVRTVSVEWTLIILGFVLIGLGFQKRF IESPDLIETQPFVPENPILKYFLVQFVYLMIGLGQLILRRILNIWQPYPYENFVDLCTIA NVSIFILDDNLHGYYIHGENPLGFSEGGIPHLQECLRNESKNKGKNRGLVKDGYDSQLCT YELFVPPEFKHQFEVNYQGIRNYKEKREMKSLGIENVELQKFKIEQFLKSKINEVKKVDK RANFIRTKETAQRYFDYPPDELAYDDFNKSQVPYFYKDPDYQYRRVFFSGYEMYFLVTDI IIFTFFVLVTQNVALSILLQYFVTKSFEWLKQEWQKSNISEKTKIDKRFLI >CAK81081 pep:novel supercontig:GCA_000165425.1:CT868385:399191:400869:1 gene:GSPATT00015940001 transcript:CAK81081 MANILIQAFRNLKMRSQIISLDIFILLIVLSIAGIAIYVEIKIFYYISERSLQNTLVISD IKQISLTTHLMKHYIKEKHKTSILLADHISSFMHFYTKIQSEVVFTKPIDPCFSFDYYLN SIQVQKTQKMCYSIYENINLQDSFQKSPDVQLLYNGLRLLEDFGVEFNKFYPDFMQFVDI EDISFNALYPFGFYYTTLVKFYLNPRYVDHIQKTQKDPSQFYFFTDVYKMIVGDYNYYFS ITQSLYNKDKKFIGILKMMLAIDDLNMQNTRSNIMLINKYGQVIYNEMENHEFNNSQVFY IYNETITGFNDSDWKLIEDQAIINIDTDFENESELTKCIILYNKLYQSNVHLRSEKFVKE NFTLIIYTNITTKKNLEEKFDAAFLESETWILAAAGSMIFIGALSFSISICYINIICQPL IDINHNISNHVLSVGNNLNHQIFKILQPQKQSSNLYAKLNEQLWNLQDTIQIKQKKKCEQ CILIEKMLYHKKDSSLNCKQIKNAISNIQGDTVLDSLELYQLFQFTLQQFKMYEQ >CAK81082 pep:novel supercontig:GCA_000165425.1:CT868385:401991:403610:1 gene:GSPATT00015941001 transcript:CAK81082 MFNTSWIAPNMQSMQLTNEYNSNHQFEHQQKLPFIPQRNQFQLADKLQFKIKKQIPLQQN SLIYQSILEINQKNKHLLKEIRTVLNQDLNQQSEQNHSYIQTQEDNEPRAKPNRRRHPSF DTKVQSDRNLDDENKFKQHRKIQPRYKNDELRNYSITEVINKRINTNEINIRDSRVEFEA KQINNIKVLTTNRLNINQKIHQEAAKKQQQNFGLIKGSVQKKKSKLRIVFYFVLAAMRFS KKYRKIQDEQNKQRNQMNQNYLESQKAIDKFSKRQAIVQEKLYYAYVAEKVIHYLKDQAF IEETQKIQNLSSEYQSDIRKLHVFKFTTLLFKNVELFTREQTISDLIRGLLNISLYEKTN FPVSKFVGQRCNFYNDHHLKIPQEQLVLIALEHYFFGNLIPQLFEILANLQEDKSDTRQK VKTSPYHLNECHFYICIFATLIQQMIIQNFAHMKTVKNPNGKIVQKTIKTTEQQNLVIKT EIVINNQVNKNKVENQEIVEGLISSDLALALEADKPQWKKLIDQTFSKIIKNFQNLLPK >CAK81083 pep:novel supercontig:GCA_000165425.1:CT868385:403758:405831:1 gene:GSPATT00015942001 transcript:CAK81083 MLKLENFKQTSFKQPIINSPRSIEACRLCGILPEELISIGIKELKRRNPELRLNKQGWEI MWQHHEIRRQEKLKACLEARQQLIDAGFNFNNQEEEQQVEENSDQLQVSQNQQELEKIQW RQQKEIQQMLEHERKQQEIRERNESKQRQMQERQQRREDQLRQSRVKQEYDRVQRERDRQ LKQQREEQKLKKFAKQQYKKELHRVQLEQLRQKKLQQEYRKREEERKQRQQLFKDQMQEI QLRHEMELQVKRQIMEMKDRERLEKQEQSRQEKIQASQQAQFELKFKLQAAKQLQMEKLE RLREQFDEKQRKIEERRSEFERQKEQKIIENYINAQQHQDKMRQVIEKVQNQEEQKYYDY QQKQEIVNQRKKQLEFEKIKDYLIKRQQQEEKEQHRIDAIQNAAKNQQVRAMELNNRLIE KEQMLLEKQMEKQQLQEQIKLQEILKMKDKKEALERLQRKQEYEKEILRQKIEEKMRRTE NLQLQKSRVLEQRLYMKQIANLQKEELKNQFELIKQGKIDLLQSSLPNDSQQQGSRQIED HPISSQKKGRPQTALPNKKTEIQRPKSALTKKVQNEEKKVMKIIDDPQKEYDLELLEQQQ QEEMINVFKLTQFQLLENEQRLEQEREKQLYQVENKQEQIRLEKIFQIERTQAQKRILDL QEEHKNQIEEFMSN >CAK81084 pep:novel supercontig:GCA_000165425.1:CT868385:406074:407244:1 gene:GSPATT00015943001 transcript:CAK81084 MLNKFFGFSTQLLKISRESKIYFSFNVVGSFDYIQMIRKMKDYSDPKNNEQINNLVNEFQ GQIENTNRQAEQYFSYGKLKDAQVVLQNNSKALQDLLQDSKFQEQPYIDNLNLASAKTSM LLGDCYIRQSNFDQADSSLLYSLNILQNMNSKILNVQINLLDAYVLLYQYNLYSIFDDKL LKEIEDKIDNLMKQSQQNEQLSQKYSIFKMKYLEEDQEEVDKLFKQLNQQEQSSTDLTNF LMKQAMNKGSSKSALYYCYQLLNSLVQNQDPNHHLFEASLTNYFALSRENQQQAQYQDLI QRIKTKFFNKGLDALEKIIQFEEILNQGLSALNTQQDIEQIQNLIDKLEVIKFTTGSKYH RYAVFMLLGLKRELKVIQSQII >CAK81085 pep:novel supercontig:GCA_000165425.1:CT868385:407765:415166:1 gene:GSPATT00015944001 transcript:CAK81085 MFGECIKSDNYLMGCDVTLSEKLCVKQIGTVLGDLAQCIFDESCHVIQNMDSVQCSDKIT HSGCLQITSQHCKWENHKCQELNNQDYDTLQTTFMDKELSISVCPLITGYLIMHHSVLWP LVDYQPDMIEESDNLILEDEGQYIEETPTYSTTDALQNNYIDTTGKFVWYEYKVSKVSTA SNLKINDQTRYGCIAIEVLSDSDYLTTFSLRGTIFGVNHVYCKYLTKHPTDPQLSIFHES KCMTVTEEQLRDNNFIGSNNITCQQMSGYLCQRLRVDNLKCMIHGETEYDCISFDESLYQ PNTDCLQRSSTATYYQCLENEFCFLNIQSAIGFCDSSCYQLRFDQSACNNNAQCYWSGEA STVSPDSILLHCLPYNGCSTYGLSEQYCIRMALQCFWNAEKSRCEDSINVALISCEDANT LFTCTTITRQDQYCIWYNDACINLKKQPLLSYFKSLPQNIMMNRITCVSMESEYYYYVPS TKLCLQTKQITMKCLDKTLDSYKEDLLKSDLPCTNVDAQHITKFFCLNFLSSYTRWDQYN YKCLNLAEEQLKKPCDTFKLVNPRVCSQTVYAETEYCFYDEKRQNCYTKPKEGHTLKCQD RGLDKSLCTKITTQGQFCQWSYGKCRTLIYRQILPYKCNSLLNVNGNSCAYFTSPGSCLY DPDYASCAVGYGPESTCNVNLNPDGCEQSSASCYYDYVKYKCLDTTPDILSIINCEGSKL SQNACKQVTKAGQACVWDSACKFYPKQYTVTCTTFTTANTQVCKYLQSEFVNYFLDGTYC ELINNVCTATREAIVGCGSDRDINVHRCVAYTNSNCYFDSNFKCIEVKDEPYKNVLLNTL NCTQTNLNLCGKITTKGQTCWVVDNNSNLKCSYYSASKTYTCSEMGSLNTSGAIKVESGK KQWFNRNMCYLATDSCHFDITQSKCLQDLNLKLKCDHPGLSKVFCLTQSVGGCYFNNRTC DYLDFNDLSIACELRNRQGCLMGGQKCQWSNNKCSVVYDPDLSCPTIYDVQFSWMNCGTS QNIQCWAYVDQCTNQDIVDLPCEAGLSQGTCQSLDHYCVWSNSRCQGYNPKIHSCQNAVS LYDCVQNYNKDEYCAYVDKICFNVNPQENTCDQFNQVSLAYCQEFPNCIYDTVNSKCINV RVSEFYESKQLDSRNNQGVSCSDLDKMHCLFQKSANCQISYNSCGDIYWSNFETCPNYEF YDQYDGLLSDYACKQFSDCEFFGSKDGQVGLCYKKDEPITCSQLNQSFCVVEFATLECMW NLDDNVCQDVPEDINCDSIFKMNVSNSSCRKANLNSADKSCYFNSNESLCKPMYIKTNLS CQDVEINYADDQISKHVHCAFTNSNCYIIHIAEDFKCQSTADQTNVSVKNIKLCISQVDN TYMFNLQKYTCDVITTDTELLNCHFLNQNACIKLTNKYSSFKCVWDDSECRDIVKSDYDT IECKYRNLNTCFMSNTIQCIYKDDLCQNYAHVDSICTYSETTLVSPMVCQSNGCLSDGKH CFSITVEPDSYYRCKFMGLTQDQCINKTSYTKCYWNADLEYCDDANLSTLKCDDVVSQQT CTSIKTPGQYCKWTDLGCVNLEIELDCREYDNQFNGCIQQETKSCYYDFRYNQCRDAAQV YEACYEGLSKKACKEIANEVCVMDQGQCSRMTSTFYTCRAIYNKFGCYYIDLSCIWDDEL GCQPFTDKLPCNELPKGSNRYTCKYNAVPISGVEDCEQCNCSFDDATLSCTYRLSGLSVQ NFEQVDQSNYNQIRLTQLCVDMKDYHDCIGNLIDSCKWVNGLCINSDFKGCESQSIKACL AIENELCSWRDYSCYPWNKNKFEIALVTKNVCKQLDQSLNAKYDENTQSCIPTKFEVDDC NIVSVSKITCLSIRNHPCIYQDELCKFYSSNQQLPKCTNYQNINYLICQSLSHIACKFNA EAFQCVNIDSKTDGCSTVGLSQKGCASIQTEACYWDGFSCQKFQPINNINQCDSKTIMNS LACSQVAYLDRPCSFDSLKQVCTSKFNRQSKCDTPGLNKSACLQLENEACQYVNNRCQKF VNGNYKCYQLTQVNSKVCQILETDTCAYDITTNSCYSILNFLSCSSLGVNVNSCSILSNC SWNNDDKKCQCNQIEVKDVCYSKNKDTCIQNSSNCHYSAGQCYKKKCSDLQIGECQGIVN KETCYINQDYQCQGATQCEDIVYLSQSQNCNQFNFNGSVCITINNYCISATNLDELCINS DCSINSCILDQGICRPLSCQDFSEDDCFQNEGCAMIDGLCVEVESCNQISDPAICRMVIV DNKQCSWEEYRILDESKICTNSQCELYGPAYTICNGNEINGKTCVLVDLIKCKMCEEITD VCICNNSNNACTFVNGKCQSISCASFDNEQLCSDSKRCYWSQQDKVCRKMCVQNIRQDQC EALIYECHWNPYMKLCEQGVEIIPDISIDIDIDEQFGQLILLNLIIILSI >CAK81086 pep:novel supercontig:GCA_000165425.1:CT868385:415187:416197:-1 gene:GSPATT00015945001 transcript:CAK81086 MTYSIIALCLLLTAVNGNVEDRIEKLMGLQQNYQHSQSESFFYYTQVAKCSLKTITNWNC GSFCQHHPDMVEVKAFYKADHHAQAYVGYNKKENLVVVVYRSTQDFINWYNNIKFFKHDF GDCKNCKVHLGFWETYDDVSAEVLAAAKALKVKYPSSKMLVTGHSLGGAVAYLAAVDLKK LGYKIDYFFTYGAPRIGTHEFAVWFTSYVAATEHWRVTHYRDMVIHQPPSSFSYKHPPQE VWYTHDNKSYKICSSGTDEDPTCANSIIGDSSQDHTSYFDVSGSCTESFTEDIEI >CAK81087 pep:novel supercontig:GCA_000165425.1:CT868385:416326:417407:1 gene:GSPATT00015946001 transcript:CAK81087 MGNQCKGVGEERTVKSNNGLAQNIPSKKPRLQDFKKLKKLGQGAYGSVFLVEHKNEFYAM KEIAKSRIQKKSTKQHIDSERQILQCTKSKYLVELKYAFQDDRNLYMVVNYVKGGELFFH IREAGSFTLEICKFYSAQILMALLELHNQNIIYRDLKPENILLDEQGHVVLTDFGLSKHL CDDELTKSMCGTPEYLAPEIITSNNGYSFEVDYYSLGCIIYEMLNGKTPFYSTNKRQMFQ DRLTRKVTWPDSIDETAISLVNGLLEIDPLKRLQGDEIKKHKFFEDINFDELRNVKPPYN FNQSSASKFFEQFNSTNKTTCYTTVQPMIQEQQFQFQEFSFPQE >CAK81088 pep:novel supercontig:GCA_000165425.1:CT868385:418141:420656:1 gene:GSPATT00015947001 transcript:CAK81088 MGSGQSKTKKKAAQLKQPKIQNEELKRQPQYEDDVIDAANLAIAIQKEDLTTNIELFVHC EGLPKMDAFSLTDPVVVAYYEKDDKWVYIDRTEIIPESLNPKFVKTFIVNYIFERKQKLR FEIYDIDDFEAIDNLERQEFIGFVECEIRDIVCAPTQSISRTIINRKSNRKRNGLLHIRG AEYDMGGNTILFQIGCQQFTTKAEVLLRMSYFTDNKDWMPIHATEPIKYQKKQTVQFNDF YLPLSKFGNNEQAQIKLEVEEYNKTKGTTQLGECETTLQQLMENSGKTLRMTKNGLIVGQ LKLIQVKQHSRYSFLNYIYSGAKIQVIVALDFTNSNQISNEEEGQPELSTSNDYISALKQ ILGILQYYNNENRYPVYGFGAKLPPYYNVVSHCFACTGNIFDPYVYGEVDEIINLYKEIL QGVVLHGPTVFSQIISQAIEFAANEKVDQNNQKYYILLILTDGSINDMQSTIEQIYRAQE YPLSIIIVGIGKEDFNNMRILDGDDPQHKLRSKIHNDDIKRDLVQFVEFNSVRDNQDKLA KETLAEIPRQFLEYMEKNKIYPAKGKKNTKAATNDFIHSKIDEMKKLKKKEGVISGKIPQ FLNQQKEEFIKQLVQLGYDRLAVTNVINNDGVPCKDVNLVIEILSQKQKQMQMQQPRDGH FLSKRSEMAQKLLANVSSSDSKITHRVFCIRQRNKIDELMQLKKQESKITATNFLFKLTE QTKPKPKPIVEQKKQIIESQNNYETTKIGQTKENLCLNCDQNKIELVFIPCGHACSCLQC YKNLQYCISCKQKVQMFAQIDYKS >CAK81089 pep:novel supercontig:GCA_000165425.1:CT868385:421996:422448:1 gene:GSPATT00015948001 transcript:CAK81089 MITREIGYLLYHQIVQIKYVIVTNFIIYQVDNLIQRQQKNKGNSISEILPKLKLTRMKKL QIFRTNSIFGSSIFNQSNRIEFRKIEQKGLVNNDIAIKFQEIREFSRTFETTKKIVPQEV DQMMKDKDDNAILFRFDQDQTYKLQGQFKS >CAK81090 pep:novel supercontig:GCA_000165425.1:CT868385:424134:424415:1 gene:GSPATT00015950001 transcript:CAK81090 MISQIFFIYWQISISINCKFISGFGQVTNLFKGITKSILKVGLEINLFLSIFSGILDKEI SNYLKKYMLIRQAKTCINELKISRNYCSRYLAN >CAK81091 pep:novel supercontig:GCA_000165425.1:CT868385:426283:428700:-1 gene:GSPATT00015951001 transcript:CAK81091 MGNQSIQQKQKPGSQRQKESLQDVNKHSQFKDKYQSKNSRNLQSQMNQRGNLNRLIAEDM PLYSVKLIQYIFNFLNITEVLQLKLVNKKLKFMVELSSNIYANYLSQLFIRKIQISSFIE YGSDLRFQAAFGEQLLKIELNQDESWLWIYQSFQKSKLMMNHLNREMNLTQNLSDLIVNS LIEPLLPIAILTDDQLKQATTSWFQLYMAQNSEDVNCNIQADFNLNEKFQFYYLECLDQY QIQNLQHTQLLMEIKWVIIDNFIRDPSTISNAPTLLTLAANILHMLYLRCLFSRNVLRII QKGKVQAQILNFYVVLWKTYMGTIWSLNIYFEELFKYIDQIFNQFYKTSIPSYSFLTIAG RIWTQVILKEKYDQTQYSESIEQSILQSFNILLSEKRYQLLQVFILDQEQQSSLSNITKN QIFKDQYFQQISNAINCLLGHLSSSLLDISMHELSIHWIGHSKLKVGQPYAALLQNIVKD TQAIYQRASQLFGQNYSLFQEYIQSDSKFLQEIINRWTVQIIILPIGLNYLQETIKKNLR KLIINYVSNKPEITIDQDFIIVPANQFLNTPNSEKSIQADALTNIIKQIIKEEKLIKQEQ TQETIEPNQFKYIQSRITEEPSNTKYGDLSKYSMSNKSSQITEWKSSYSQIQETSNIEQS EQEQALIQQLSNHFSNEIWVQYLNKIKLIEFENQVKIDKRNSEIEWRNKIRKINLKISEK YAQILDFNYIPDVEKILSYFEHNHNQGIEQISFSGQTNHFIEINANGQSLINYLDQQEIV Q >CAK81092 pep:novel supercontig:GCA_000165425.1:CT868385:429547:430773:-1 gene:GSPATT00015952001 transcript:CAK81092 MDSNFTIQNLTPKSLVQEFGSPLYVYDEEIIKRQCQTITTALKNSFDQGAKVLYACKANT NPNVLKIMKSNGVHGIDAVSPNEVEVALQAGFLNNQITFTANYMTKEEIDYAIQKGVILN VGEIDTLKKLIAHKAEVIIRLNLDVGIGHHNHVNTGGNDSKFGINLMWKEQLSEIQKQGV KIIGLHQHMGSKIMKKDHDSYLLGIEKLFQAATQELELPYLKYIDIGGGFGVKYQDYEET SDLNLLFSEIKKLYDKYFINVKPMLFIEPGRYLIAESGYLLCTVQAINTNKSRTFVGTDS GMHHLIRPALYESYHEIINSEEKQVESRLVTVCGNICESGDLLGVDRNLKVEVNDVLVIQ NGGAYGFSMSSNYNLRERPAEILVRDSKPYIIRKRETLDYLLANVVIL >CAK81093 pep:novel supercontig:GCA_000165425.1:CT868385:430843:434451:-1 gene:GSPATT00015953001 transcript:CAK81093 MQLLEAQREFDDMTKEIMLRRKKLMQQFEKGKKKVPEKTDASKAEKFKNMDEHSIPLTEL EKRLETSVKNGLSKSQIDAKLQLHGKNVLSSREKTPWYMKLLHELTNVFALLIWAGALLC FIAYGLSPDDPSNLYLGIVLIVVVVVTAVITFFQNQKSEAIMEAFKNFIPPETLVIRDGE QQKLQATELVPGDVVVVEFGKRIPADIRVIESNEMKVDNSSLTGESMLLLRTPECSHPQN PLETKNLAFFGTLCKEGNGKGIVLFTGDKTVIGQIAGLVESSESDETPLKKELNAFITYI AYIAISIGVVFFVLGFAVGYPAVTNLIFAIGIIVANVPEGLLATVVVALTLTAKKLAGLK VLVKNLEGVETLGSTSCVCSDKTGTLTQNKMTVENIWYNGKKLRGHSREKMGPDFKYEYD LKDPGFQLLHETAIICSEAVFDSSLPQEQQIKIQNLIGLTQEQKDVKMEEAKAKWKAAYD KLPCQEKPTVGDASETALIKFFQPIKDILQTRNSRNVARDHNMKQARKPFNSTNKYAFII VEYETENSHYCLLTKGAPERIWGLCDTVYNNGKVEVKDDKWEKGFDQVNAQFGKQGERVL GFARLHLPKEQFPLGYHFNLDKMNFPFSKQVFVGLISLIDPPKDNVPHAVQKCKSAGIQV IMVTGDQPVTAAAIARQCNIITEKTVNEIMEEKNISFEEAFHQSNALVIHGDRLTKMAID DEGLPEDEKGRQLQEWLSKPQLVFARTSPAQKLIIVAGCQRRGHIVAVTGDGVNDSPAIK KADIGIAMGITGSDVAKDAADMILLNDDFSSIVVGIEEGRKIFDNFKKCIVYCLTSNIAE LVPFLGFIIFRLPLPLTTVLVLCIEVGTDVFPSMVFVFEDADLDVMTRRPRTKNEHLVGG QLLWFAYTQNGILETFCGFFQWYVTLNDFGFTPTTLYFISNKQGVLPKADDIYDPNDPWF GNSNLKENYKDGTCTGTDLDGYEDIDWVYANHGKYDLRMAYLKCEDGKIVTNIEFGDCHV DLVSPVTKKPYCYHTEASSYAQTSFFFAVVAGQICNYQALRQLKSAGFFTGFHNYFMILA YFVEFLLTWSLAYLEAFNTVFGTRDIIFIHYGICALPYGMVMIIWNEGRKFCIRHFKSKT ALPSWWDRCVVY >CAK81094 pep:novel supercontig:GCA_000165425.1:CT868385:434895:436184:-1 gene:GSPATT00015954001 transcript:CAK81094 MLTNLQDDSNKEQYTLGIILIVFSEIIIIASMCQNYNLRKTIQWTLFCLKLIGMALMFNK NPHQIILFFGCILSQFVYLNQFSSHQETNEIQHTYSQVNNIIQQFLDSQNKWSKQMRIRL QFIQGIQTTKQLTIKEQFQIEKILTYSINSEMWKNKFNKYILQLQSIYKEQFIRYQIVNQ RLKNNFQILVSRLNSYGKKLEDECYLSSQLSSITFKDKNQFNQNSLNLLDSLRTSQSKII EWLNNEECNLNETISLLLKESEALKDSLNDKEQQFLSNSVNQFANLLMSLNFDKQQLTYN IIQQQSDLRQLKHKYIRRLNKIKQFQQKVSFGECKEIEFNQIQSLEVHFKDRIKELCQEG QTAILEKQINQTVEEILQIFREKQRLEDENFSLKGLLTQTESQLMFEESQRLLTKNDESF AQSKICTHR >CAK81095 pep:novel supercontig:GCA_000165425.1:CT868385:436433:438888:-1 gene:GSPATT00015955001 transcript:CAK81095 MEEEHNHLVEITPEKISISLDNLSTSFNILNLTLQYVPYKIISPNPMIFHIQPEEGILDP CDSIDVKITAIITSSMNFQCFEKNNKLTILTQEDSETDWKNVLIENIQRHDISINIIRST NPFVKIADNVIIAQIYNNFKFTEEEKKIIIEYIMNKYGKTANYNISNTMMIFRYQNQVQG DVHLLQYPDSTHYFILRTYSPCLLVNTPPKYQLEPMSPQAQKKVKQIEQPQPQPTQQTPK PKKAKDDFKTNLKSSVDKKELKQQQQQLFASFQGQSIKRQFSQEQSGDANQVQEQQNNNG QTEGAQLNEEIQLIKTTSSQKDASSNNQSQIITAEVETQNSQKHIFQSQIVKGQQETASI AKPRYYQSEIIPKNQLELFKYEKFEQSQKPRKKQNLKGNLQNLAPIQMEQKQQINVSEKQ YIEQNPPRFKKIANFNTYSKIQFVVLFKDHSYLITVSDKEIITVWNLNMNSQEGQIRDHS EMKKIKILKVYEWADSYEKKLGTLASDQMIRIFSLEEMRLKYIWKIDDFSEITSIAFLSD KDQVCLAGTPLKQFNIFGSKYPKYRFLRIYNSRTLKEIISQKITANEGQILSPVEYTDNE NMKHARLNNILAVNKCYKDKDGGEILFYLVDMANVCTLILTLTYEQIQVWSVKNLYYLPS RGSFVVIEKNSPKSYYQNKIFVINVKQLQTDDGLKNGIVCKQFDDSSVLDDEIYVLPRQE QLLKVKGDTVQLIDLKTEVQLSLQISQQMGENPLNIVQSGQIIRIDGESLQILDLIC >CAK81096 pep:novel supercontig:GCA_000165425.1:CT868385:439049:439303:-1 gene:GSPATT00015956001 transcript:CAK81096 MIQRCFPLCRSCICRYKDDCTQWIIEQNSQDRDCKKGFIFDIEQQKYLLCPVGCQKCSDQ ETCLKLRGSIQIKGTQLLLFEWIR >CAK81097 pep:novel supercontig:GCA_000165425.1:CT868385:439466:440296:1 gene:GSPATT00015957001 transcript:CAK81097 METLFEDNFNFVKSNVITFNCKIMLQCGFKILNYLQKQWLPFFFTQPKYRTPFQQVPNIF QQKELMPFVFSKIKLGMRLSQKVLSICVVNGYNSSTSLQTKPFKVEQCGHLATHFPFYKL NQTSMCHKQVCLDMNYNLNKILLYLQQFTIVNFDHLQQIQQYQKQTKHQQYNLYDIINIH LSRSHYKSVMEIQTSLSCLLKHKFLLRFKNINISSNSQFLISVSIIKLIIYRLTQKKLPS AFSIHTCIFKQQLTLGHDQLRQQKSILSSIKQLHGI >CAK81098 pep:novel supercontig:GCA_000165425.1:CT868385:440963:443849:1 gene:GSPATT00015958001 transcript:CAK81098 MNYQILFYTQIIQNIWWIICSWIFYQPYEYQLCILSVSSIVIRITIIILERRITHIFILA ILYLLHNCLYMEASIRMPMNNPFTNHSNSLMILINYQHANKESKENIQIISKFGFPIFCL LRTMSITFIQANYSILESCILIFIFLSLIAKDELKKKNEAFQNSMKDLGSQDQHNFNQKF ITFFQQDSKKQSLGTSFKKSRTHYSQYQEKPDIFQNIPLYNEPRTMDENIKTSLQFQNLK KSFNKKISNISADKSDQQLHTFFQNIINNIFSAGVVILNQNQKVTFMNNKCEKLLGQKGS DKVVECLKKIIIANSLHENDESPLGQIPPRSQAKMNKATFERIVKYHNENLMELDIFDAF LFPQKYLHQFYQNLSPHSEFDQRSSLSESVNETFLQRKDAVTYEHIMSEGGILKKLRIVI LPTYMTNAQQEQLSYPSYQKVHISKTAIQAESVQPIIVVKIKNITKKHKIEQMNKEKEIH NSLLKSFSHELKTPLNSGQDMLVILKDRIKDPKLQEFVEIAHISIIFLIHQINDILDYAA MQSNTFKYRYVEFKSREIVDEIKYIYSSQLHSKKIDLQVKFDEKKVGNIRQDKQRIMQVL INLLNNSCKFTPEGGKIVFSLKIIDYPFIKLQVKDSGIGIGSEQLQNLRKVLTSSMGKSL HRSRIKKSLGLGLNISARIVEGLVQKNDGTLEIISKNKNKGTKIFFIIENLLIFRDESVR NPMISEYTTKNNTYKQQMSQYEGSNCSQVLPLLRQLSENSKFEAQSPSKKSKTIKSKFFN LLPANQESSLDMADNYSYRIDIPISPEYFQYKEENDLKKILKPSQCQQCTRVLIVDDIPF NQIALKALLLHYNIKVEQAYDGYQAIDLVKKQLDKHCQYFTLIFMDIEMPGINGFQATKD ILQITQDQTQIIMCSAYDTEENFREGEAVGMSEFLPKPVNKQELERILDRFGFL >CAK81099 pep:novel supercontig:GCA_000165425.1:CT868385:444243:447171:1 gene:GSPATT00015959001 transcript:CAK81099 MKKKGELIKTIFKSVAGKSILIANVSQGLWWVFLSIGMIDHTNYEALSLQVSCTIFRISQ QIIIDYWCEKQVILHLVSLFYSVLYIETFLFLQYDNPFGTYANCLLFIVTYRQYLSQAVD KSNKICKIGFPCYVIFRNIILIFTEFNFYLIESLAICVVVFLTLAYNDEQFNCQCFCSTN KKNILAKSKIKKFDDNDSVNQLQFNQSLGKSFSRVSIAQTPLKSQSIYLKEMSYESKLIQ CSNLGQAQKLQKSQFLGNKTSDLQFHQFYQNLINSFPQGILILNQSQQVSYQNNKCEKLL ECSGSDQIVEKIRMCLNSAKMPENENDITNLLRTPRFNKQINQQALFRIVKELQKENLQV DILDILLQPQKYLQFLEQRLDQLGSQDDSKTEQLTFQQQMFIYEWLFESTLYKKVKQKKL KMIIIPTFMTGNQQEYISMPSHHKCPSKLNIQLQNDVEMPVLLIMIKNITSKHQFQKMKN EQIIHHSLIKSFSHELRTPLNSCQHMLNLIKTQSVEMKIQEYVDIAQCSISLLIHQINDI LDYAAIQSFQFQYRYTSFTFNQIAQEIYYLYNMQMKQKKIKFIIKIQQNLSDALIFNDQQ RVLQILVNLLNNASKYTREGGHVKLSIKQKSLFYIHIKVKDDGIGIEDDKLALIQNSLIG NVELGVTFKTQSVTQRAGLGLSIAAKIVEGLIESNNNQLMISSTQNKGTKVQFQIQNFLQ TQNDQYQSSNLLSQLTGRFNQSGLQQTLSERKFDDRILIKSSITNSKMEYDMQLRDNFLQ DSESSLETPKLNDREQQQQIQLPISPEYFSHKQIQSCHTITNKEIYSFKQYPLVCKDCKS VLIVDDIPFNQMTLRLMLQNYQIESDQAFDGFQAIEKVKSRLQHPCQAYKLIFMDIEMPG INGFQTSKQILDITGNQSYIVICSAYDTQENFTEGQKVGVNSFLPKPVNQKELEVILKRF FTIKTGIY >CAK81100 pep:novel supercontig:GCA_000165425.1:CT868385:447266:450059:1 gene:GSPATT00015960001 transcript:CAK81100 MNATIILILEIAQGIWWLVLCLMFGWKNQIITITLSSSAIVIQLGLLIALRKMTNNVHLI RITLLFLINTIVYTELNLQIHQYLNPFANHTNTLIIVSNQYIIDEIVTLQISYRLLKFGY PCYIILRLFSVLFINFDYYILESIIVIFFIVMGHEKQQLLFSFRLSVQADNNTNFINQLK QSQNKNKKLQQSSQLFIHDNQKDKLSNFSNQTNKNQSSDQFFAIVGNSLRKRVTVDVDKT ESQLNVFFNHLMDYFTQGVVILNQFQQIQYMNKKCQRLLLCQGEEQILYMIKESLNNTLL KNKNSLSISPTSRKLEMNQQILEDIFNQASKRRLSLDIFDVLLNQQKYLAQYYPRQCNFD GENSSAQDSPYSNRNSNQYTFYLTFQTDRHIKLSIILSQFSNQQLDSIIQGSKPLFGDQI QQPYIFLLMKNITHKNQIEKIKKYNQQSSEMLNTFSFELRTPLNIISQVVSITKDLTIEQ QAIREYLELMQCSNSILYHSINDILDYSSIQSNMFHYKFNLFQIQDVIQEIEHIFIQQVK QKNVQFSTKIQSCLLDKQIYSDKQRIIQVIINILNYSYHQAKSGGEMSLSLFYLDEQRIQ ITVHHNEILQNGNSQAIPQQIILKNKENDRQFNLTQNPELGLGLNLSAKLVQGLVDTNDN YVEIVTCLQTGTSISFWIQDLDLSQSQEQIFLRGSLNTGKFMTCKKSRSLQDDNFIIRRY SDYIVQDDHFYLQKKGQKPDCLNSNDSPKIVDDLNEKIKVPQQNYFQFKSLESPILGNKT FSFKYSTLQTHECTSFCKRILIVDDQVLNLIAFKALLNHYQIQCDSAYDGNQAVQRVKEK MNTQCQFYEVIFMDIEMPGMNGFETSKEIIKQGGKKPTIIMCSAYDTKENMNQSDHQGMS EFLPKPVNKFDLLRILKKYQLVR >CAK81101 pep:novel supercontig:GCA_000165425.1:CT868385:450255:451875:-1 gene:GSPATT00015961001 transcript:CAK81101 MSTNISCLNFYKGKSLLISGCTGFVAKVILEKILRVLEVKRVYVLVRAKKGQSVTERFNK EIINSQCFDRIRKQKGADFQKFIEDVVKPVDGDLIKPHLGLDKEVRQELIENVNIIINSA ASVDFNSPIKVALEINYYGVQKVLELAKQCKNLENFIHVSTAYVNSDKFGFIEEKIYHPQ KDVESFVSVLYRSSQNFDEKQQKLALDKFPNTYTFTKNLAEQMLAQLRPPNMQITIVRPT IVGCSFRDPIPGWIDNLVGGAAVIFFGGIGLVKIYKGKENLITDQVPVDFVSDMILVAGA YEANKNTFQIYHCGTSARNPAPWKLTKDTCVEYWNANPPSVKVSPCTIEINNNLCYYRYM NFKRKMGALALKTFADTFGNSSQKKNASRYLKVIDKADTINKTFKHFNRNEWVFSQENVL QLMNCLSRDEQGIFLLDVTEMEWRSYMMTFHYGLQKFILKENVQPPVDEEPTDLLRSWKS GNFTDLKWIVEKQKLPVENKNYTMNGLFNRQRAKL >CAK81102 pep:novel supercontig:GCA_000165425.1:CT868385:451910:452139:-1 gene:GSPATT00015962001 transcript:CAK81102 MDRQLKLDTQLNKTAFDLVTYSAVGYFVGAAASVFFKRRTFIRNLSAGLFAGFAFNENQE AFNRQL >CAK81103 pep:novel supercontig:GCA_000165425.1:CT868385:452237:453190:-1 gene:GSPATT00015963001 transcript:CAK81103 MKIQIFLILLYYCYSRCAFTVDENDKPIETDRDPEIIGTVEACPFFSDQPVCCTRSQDRS MMKDFKSLDATFGNDGGGCDICGSNMKRFWCHYTCSPNQSEFMKISGRQNMTDPLNSSKI LDVQMVTLEVHPQIACEVFSSCKRTSFATQVSAMASPGGFFTFQGEQAVGEGGQYIKVEF QESNSLYFDDIWSCNHNHSHTTEDETGIHYWDDFGYELHGECGCNTCEDSCQSDKILYEP PGILYGFEGTYILFAWGWAILLSLAITIIRRCQQKKFELSDLEEQKQILG >CAK81104 pep:novel supercontig:GCA_000165425.1:CT868385:454212:455274:1 gene:GSPATT00015964001 transcript:CAK81104 MSITVSTCQQICGSPLNSSTAKNLWTFSKSDRFGNLANPVNCGKAFYDLPSQIEKRAAGI GKGTKTDFTKVPFATPSPQQYNLSGDVETNLKKQKGNKFGMSREKMASTGILGNLNLKTP APGTYDLGSTLSDIRYSMRQRPKTNFMVLTSKEIPGPGTYESLPAVNAVGKYPISKYNNS CATLFNPKNSRRFVKDFSTNLYAPGPGTYPVDKTGIQKDGNYFISKFHSSNVRSFPKESR RTGSVGKAGTPAPGSYRLPSEFGYYESRHKVNRSAGDMGENKAQQ >CAK81105 pep:novel supercontig:GCA_000165425.1:CT868385:455293:455846:1 gene:GSPATT00015965001 transcript:CAK81105 MPTTIELLKWSNLISSIMLILIGVTQIISLSILFSSVSFTFFMSFFLPFFLILFGIMLFA SGQKMEFMDNNFRFLSSLLGRGLFNIYLASLAVYQLANAASDIIGFIIGAMLFCTGGFYL ILHFCGKQEDLTSYKQQLG >CAK81106 pep:novel supercontig:GCA_000165425.1:CT868385:455863:457918:1 gene:GSPATT00015966001 transcript:CAK81106 MDQHSQYYSTQQFLEPNKDTNKSQRTKSTTNDLLTSPNIVYITRAQQQSQPQKRLESQQP ILKPSQSSYNVKEVVKVIEPDELIFYRNRCSFQEKQLQDLQTELHRIRSTAQERVTYIED THRIQLLEANLQQYQQECNRMNNLLREAANETEQLKQKNLQLSQQLFQFQQQQIEFDKLK RNTQETDQYWQNEIQRLNVLVSQSQSQLHQLQRTLIETKKYEQMYHQQQPIQTQLAMELE RTSSVLKAKVEEYDQQKQTYIKEIEILSRRIKDSESELKDLRIRESNQKQSIDELSKENN QITEKFMNEIRNKNDEIQKLQQIIQTLQLTLQDTSKYQEYEIRSKMQNEEIHNLNQRIRI KQDETDKLKQQLLIFQSQIQEYQKYTEYEMKYQNLAQEYDRVNNSLMIKLQENDQLRNCI SKLQITLNDHYKIEEYENKIALQSQEIDRLHQCVQTKCEEIEKLSYESMRANSLLRTKND EIDSLKYKVQDTQQLKEYQQKVQMLNVEVERLSSQLRSKNEEMEKLRQYLGQFQLQEANK IQELEQKNVVYQTEIERLSNLLKVKLSENEQSKQQIQYLQEENDQQKSKLLTQQENQQYT EKITVLTQEVDSWKNQFINLNREYHKQQEQLMLSNAELDTLKKQRTNSFKLDSYETMKEN SSLSAFQYGTLTLRNI >CAK81107 pep:novel supercontig:GCA_000165425.1:CT868385:457953:458861:1 gene:GSPATT00015967001 transcript:CAK81107 MSNFDSPSMNSKYSRHKGYLKWELANKDVKGAFDAQELQVEKGVISRDRQANSVMVPSKN SAQASQLSPEPSVTKEHVCCDKLDELSQQYQLIINEQMNKLTELNLLIQQHSKLLIDSYN QGLEDAKAQQQKESQILDNNRLLRERDRQIQEWEEKFNKEKKFGDEKEEEISELEKQLKA LTTQVQVTTSSADLENEKNQWKNKFITLNKQFNETEQQVVVLENEIDMLKQQQKKTSVRT TTRRKTVTKDAPQP >CAK81108 pep:novel supercontig:GCA_000165425.1:CT868385:458974:459799:1 gene:GSPATT00015968001 transcript:CAK81108 MQESETNQVSQFESEDQSNPACPNKPIIPYLIQKSFVQKSDNASYLNWQQPDSKRNKSSL AMIGTTYSKLKSRECCDQFEQIATAQRKAVQNQIQTMTQLNQLILDHSKLLEDMIGKKKE EAEKNKSLSDQSDIQKEVSEKERKFKEWKENCEKEISSNQQRDHKIKELRKKAAELEEQS QKSSYTISLSQEVETWKNKYINLTKQNDETQKKLKEYENELEQLKQNQSLRDSGVQQSTT TVTTTRKRGTIKLAEQIQQ >CAK81109 pep:novel supercontig:GCA_000165425.1:CT868385:459888:461056:1 gene:GSPATT00015969001 transcript:CAK81109 MQQQCECKIEGNMNVQIIGICLNRDCSEEWFCQNCVKNHDGHEKDLQSGDQMDQLLQQYN SAEYQKFLEADEKFQKLQEYYNRVLQGNQNDVKMLDNLQQFIMEENYQGINKYLDQFKEY KQQIDNNKPGNAVTQQFQLKYLRYWRVIQIIQKNQTFQIYLRMRPPEKKKKKQKKFLLKV QDFADFCQQNSYLTLKILMRHYKFWNILWKQIMNAQNHYFSKTLIQLELFENSINHCNQL IKINRQNFEVSFLKSIALLLIPNYDEFEEELQIAHKNSPYRFYCLILNLIEELEENCTVV KDFIQSLNFDLKKQLQSLIINNEIPSQTLKQQMSIIIQIIKLVDKIDLEKALFQFNQSNL PI >CAK81110 pep:novel supercontig:GCA_000165425.1:CT868385:461234:462006:1 gene:GSPATT00015970001 transcript:CAK81110 MKRQINYSIKLLKTIRAQKQIQPNQMNLETQRDFAKFINDTIQPQDRPKSESQLQQSNRS YKQLQQDNKPQNQGICKIQENLCNEYSNQKVERKSVKLDEVNRLNEVLKYTDKQILYNQN VSYNYYKKATILIKLDSLEIALQFIDQAINLNNKEDKYYYQKALLLSSLGQFLQALQLFD FAIERNPNRGCYYYEKGKALQKLGRQNEAEKQFQLASAMEKNDN >CAK81111 pep:novel supercontig:GCA_000165425.1:CT868385:462914:463572:-1 gene:GSPATT00015971001 transcript:CAK81111 MIYKLSFQWSEKGDQPTFYRTQMITAVSYQHQQILERTRPGKKGTLAGTILKKYKDIRLS NANNDKLLQGSFQTQEVISSRKSINMMQSQKKVIERQYSNGSSSPKLPTTISPNTPTTTK KCIAIYKLENKYIIRSKLGQSVDLEKMKHPRQLQPLFDNSSCSPRATRQVTDKTQNQKTV SSNSTNSLYIMNKVYGNTSNKNLPKSIFKVK >CAK81112 pep:novel supercontig:GCA_000165425.1:CT868385:465400:466836:1 gene:GSPATT00015972001 transcript:CAK81112 MFFSRAIIRQIRWQSFLLFVCAEPISFYNRSNFINQHYRIPIKNEIFRYLFFNQSIIFST FMNNQLIGYLLNNQDPISIILLHHQILYLQYLIFNQLLLIQLPLNFILQFPVSFLDQAAA FTFQSIQILLFHQQTNDDYLDLINPFVQEDLVELNIIINPSKKCLILISLTKPILISTLE RELIIFLRKLNIPQFHFWRYPLLQQFLSNLSNSYFFPVCKINQYYFQLFQRSSSQQNYIL RSYLSMIQNLLMQKFCKLYQLKIMLDIKQNSILSPKQARMFQTHQYVNFIHYLLMQQRIN KFIQPQALNSHYSILVFYIEQVERIKNYLSQIIILGYNSNFYNTNFSNSKLNAQISVYRR QSFYSRTFGKGFILDYCNSKLRKSICKIQEPSEVIRGIVIYIGVLFSFLYLHKLIQVNKI LQIIQIQNSFDCQVYLFYLRRFKNNHYITKKTKKKTSLLD >CAK81113 pep:novel supercontig:GCA_000165425.1:CT868385:467623:468229:-1 gene:GSPATT00015973001 transcript:CAK81113 MQNIISDQFLSGGGTYDEQSDFMKVGEWIQLSDEFQNSLQVLYNGQYKNGNKIGKWDISV SGKQIGGGIYEEKGDGMKIGEWVELSEGFSSLSQVVYQGEYKNSKKIGRWKILYSKMFDN QFKEIGGGSFDDDIKIGNWIELIDGFGLNGFVTCKGDYKKGKKVGNWMALDYFKNENLGD KLY >CAK81114 pep:novel supercontig:GCA_000165425.1:CT868385:468435:470399:-1 gene:GSPATT00015974001 transcript:CAK81114 MNCPNHPSNEITVICIAGHNCQRKYCPECQYDHGGEMNQYLPIDLFSKKLKEKAHAYKLA NDQEQVKQHREFKILFANTQINLKKAFQDVNQSIQGINETIKKQAQVYLNLINTQFSLSE SSYSDLDLMVKMLERNVLDKWLFSKEFYYNLFDQLKSTLKFEIEKFIRIINAQFSAILQE EQIQQQVGLKQQDQFLIKTNFQIQNSAQRETKYIYQGETLQMYKQLLQSVKQYDLLQKPE ILTNLQQIKNLKWAGEVGKNLKKIGFWSALWKGENREGCGGEYSQDGYKSGKWKEMIKNY YDYQYKYCISYAKVYECGEYVNEFRSGIWKYIYNNQEIGGGEYKQPGLKNGKWSEPSEEF SNKSQATYNGEYKNGKKVSRWNTVAWGILVEGGSYDEEGSEIKNGNWIELNDKISDYSQI YYIGEYKNGQKIGKWSTWWNMKENKEIGGGSYDQGNNGMKIGKCIELSQDFQYLSQVIYQ GEYLKNKKIGRWNLLYRRTTDEEFALMQQIKHIMEQGGEDYLMKGAMGLRLENGLNWQRD LDQVVELHIMGNTKTVKRLVDGIQQGQDYKCKILQGINIFSGGRSYDEKGEEKKVGRWIE LNDGFWNQSQVLYEGKYKNDKNLGNGISI >CAK81115 pep:novel supercontig:GCA_000165425.1:CT868385:471741:471874:-1 gene:GSPATT00015975001 transcript:CAK81115 MNRFEETLKKYDGSKKGSHQMDAYVFIPYNHKEF >CAK81116 pep:novel supercontig:GCA_000165425.1:CT868385:471900:472364:-1 gene:GSPATT00015976001 transcript:CAK81116 MIQLFKKTQKIRRYYFNKGKFKLNYFLAITLNKMNRYEEALQNYDSAIQKNPENSDYYDG KADTLQKMNRLEEALENYDLAIQKYPQKSMYYFNKAQTLVKMNRFEEALENYDQVILKNP EDLMYYFNKGMIQIG >CAK81117 pep:novel supercontig:GCA_000165425.1:CT868385:472688:473302:-1 gene:GSPATT00015977001 transcript:CAK81117 MNQFEEGLEYFDLAIQQNPENSDYYANKAITLMNMNRFEEALNHYDIAISKNPENSLYYH HKGKQYFNKFEAVTLHKINRFEEALQFYNFAIFKNFDNSDYYNNKGIVFISPFYLANTLI KMQRFEEALEYQDIAISKNPNNADYQNNKANTLSQLNKYEEAINYYNSAIQKKPKNSYYY YCKGK >CAK81118 pep:novel supercontig:GCA_000165425.1:CT868385:473429:475373:-1 gene:GSPATT00015978001 transcript:CAK81118 MNKFKKALTILVSIILKFSEGIILSGQFLGYTLEKLTRFEETLDNQDSVILNNLQDSRYC FYKGMVRMNNFIAITLDKAIKFEEDLENYESAIQRNPKFTDYYFKKGIINSLDDFLADTL KKMNRFEEALENYDLVIQKNSQNSMYYFNKAITLQKMSRLEEALENYDKAIQQNPEDSRY YYNKATTLNNMNRFEEALENYDSAIQKNPEDSRYYFNKAITLNTMNRLEKALENYDSAIQ KNPEDSRYYFNKAITLNKMNRYEEALKNYDQAIQKDPENSYYYNGKADTLQKMNSLDEAL ENYDLAIQKNPENSYYYNGKADTLQKMNRFEEALENYDSAIQKNPENSDYYNGKADTLQK MNRFEEALENYDSAIQKNPEDSRYYFNKAITLNNMNRFEEAVENYDSAIQKNPEDSRYYF NKAITLNNMNRFEEALNNYDSATQKNPENSDYYFGKAISLMNMKRFVEALEYYDLAIQKN FINADYYIGKAITLMNMNRLEQAMEYYESAIQKNPENADCYNGKGKMQITNLKQLLQVK >CAK87550 pep:novel supercontig:GCA_000165425.1:CT868624:1532:1759:-1 gene:GSPATT00039814001 transcript:CAK87550 MKGLEQIINFKKTRIKLQGTNQAKKQQQLTNYVASIQYESRNGEEKDMSYKAILTMIAFM YEIRVRELRIKTQKD >CAK87023 pep:novel supercontig:GCA_000165425.1:CT868599:708:1091:1 gene:GSPATT00039787001 transcript:CAK87023 MIKQASLKLQCQEDNHKEEIDFICYHEFCIGFRLNCFECFKQGIHHTHSDDVKKVNSLIS FIENKNKECDNLIDDLNKYVESLNQQFSQLIKGIKNKYQLARESLQNLNPCQINDYLNST IKLTEYK >CAK87024 pep:novel supercontig:GCA_000165425.1:CT868599:1404:2110:1 gene:GSPATT00039788001 transcript:CAK87024 MLEKDEDAITWFDKALAINPKQIKSLSEKGQCLKMLDKYEDAIVWLDKALAIDPKHVKSF SEKGACLRMLGKYEYAITCFDKALAIDPKHVFSLCEKGQCLRMLGNHKDAITWLEKALAI DPKNVRSLSEKGECLRLMKQYDKSEKVLHQALQHNPNYTFSLNSLGICLQLMNEKTQRCK ISRKKIKKFID >CAK87549 pep:novel supercontig:GCA_000165425.1:CT868623:1424:1723:-1 gene:GSPATT00039813001 transcript:CAK87549 MLRISIKLYLFLFQLKVNQNSSLQLKWGFLKIHDHHPTSFEIYPLRNYYYSIQQLDCQML YIVELRILQIFINQSNKRLTPDQTTMRVQLKPYICGMTK >CAK82851 pep:novel supercontig:GCA_000165425.1:CT868447:1229:1561:-1 gene:GSPATT00039515001 transcript:CAK82851 MHLLQGQDLICMIRTIFILITIIRICNIKDLRLGNALCTAQTIIKLVEQPSRFTISLITQ QIRGSMLMLIVTLLKSYVPCIIQQVQQVGEPKNSRFQQINKWNRLGKHNL >CAK56886 pep:novel supercontig:GCA_000165425.1:CT867989:1737:2336:1 gene:GSPATT00027919001 transcript:CAK56886 MNICKQNTVQATNTSYSEVAEELISVTKIALYTKNQLNLEKMNYIILNKFFKPLCLDIAR IHIQLREEVTRSALLKALDKNDSDQIYDESILGVQKPNELVHIKCNIKTPRVNPKWQQIC QKKVQGQQFRNFVCQADLQQLKNISINIKYMQDICHSQDGYQDIQNLLLLRIQQHQKILI KQF >CAK56887 pep:novel supercontig:GCA_000165425.1:CT867989:2713:4630:-1 gene:GSPATT00027920001 transcript:CAK56887 MITDAFLEKQSSNVRVQVQEEYEYPEQDVNFTGRKLTKELKNGPIEIREFKNINWIILYF VIWVLMIYCAITAWGKGNIYDIYMPYDCMQRQCGSGVTKDYPNVYILSELEYYCVSHCPG ISEVGLELPCYQCSAKPAPYSAKQFFTLCVPPESENPIYQKMVSKINYFGDSQLYYADIQ ETWPIILVCAGIAFIISAIVLLLARFAAGCVVWGMVVLIISILAWAGGACYLQAIGYNGG VKDEKVSKSLKLTAYVILALGIAGLILVIYFAKKIKQAIIILEAASDFIRSEWQIIFVPV FMQFIIIGVMAYWVVFSALIFSATSNENKTQNNPYVILYMDIKTFWQIALYLFGMGWNVC FLNALTQFVICTCCCYWYYSHQGCSRKGTIKKGFRNGLTKNLGSLLYGGVILSFMWLIKF IISQCCVIQILVNQYFYKCMIKINKHIPFICFCYLYTALCARCFDQVIKFLDKNAYTIIV LTGNDFCRSAVDAFYLDFRNSTRMTITQGCMNYLNSNLVGEIFQYLGIIFIGATSATLCY FTVKDKTNILDPFVPTLFVAVISGFIGSIFMSLYGYGVDTIMFCLIVDVEQNRNEGGPKS VPPILKKYALEEK >CAK56888 pep:novel supercontig:GCA_000165425.1:CT867989:7459:7916:1 gene:GSPATT00027921001 transcript:CAK56888 MQHQCLIQFFIITEVLGMACNFSPFYFKQLVLQKQKEFHANSITLKCMCRNKGTDLGCIS NLNQQACMQQQRNIQLTQRFWNKNGKQVKCFFRKRFIDANQFHLKNLDCDSNFSIYACVS VYGKNCVWTNKGLCYKQQ >CAK56889 pep:novel supercontig:GCA_000165425.1:CT867989:9654:10745:1 gene:GSPATT00027922001 transcript:CAK56889 MKCSQVEQLGIFKSDTYYAQGCTNLKGKCILSQICQQQRYNLMQFLTTFDNKCTDYIMNK QACLLNIRGHKCFFDPNQYPENKCQEYKEEQIVCSSLTQINIEICMNYYYIMFLQRIFLR LQIDQGLPCLWLNGACQLFQAVSPDTCSKAGDQRSKNVCLSISGKDNFVNIKILILQHKG NYKAENCLNNINKLQCNFLINQFLHTQNTVQKEFQQVWISFRSYKNLKLPTLMIAIQLLV INHAYQLQNMFNQIIIILSNQFLCYLNKQIIYFQCTIKYFKDYISNINLLEFGSQDLKFQ ECFGSNSILQIYNQNNFIGQGKPHLRIIYIFTYFSNLYFDALITLAQCCNGEVNSFFKQV ISL >CAK56890 pep:novel supercontig:GCA_000165425.1:CT867989:11243:14814:1 gene:GSPATT00027923001 transcript:CAK56890 MDGSCEQKEDLEELFIQVKNVDEKIFSALVEMFRQEDIQEYLSDDQQKNHGDQYFLQGLN LTQIEREQQLNNFKNSFQKVFNVIQKIKSHDFNKNDYSNQENFECRQNLIKKINDDKQII ELLKLLVQLTAKDEKLIQCGSNSLNLLVEMKVDLVKQSLEKIRIRNTSLIGGSFVRCNLS ESEFENVDISGVNLNGALLYNCKWKNMKIHELNKLDGHSQQVLSVCFSPDGNTLVSGSQD NSIRLWNVKTGEQKSKLDGHTNNVNTVCFSPDGSIVSSGSDDQSIRLWDIKSGLQIFRLY GHRDRVISICFSSDGRTLASSSHDRTVCLWDVKTRKKKLILEGHSDSVLAVSFSPDGTIL ATGSEDFSICLWEVMTGLQKSILIGHDYAVYSVCFSPDGTTIASGSQDNSICLWDVKTGQ QKSKLNGHDRIVGTVCFSPDGSILASGSDDRLICLWDVQTGEQKSKLVGHGNCVSSACFS PNGTILASGSYDNSIILWDVKIGLQKHNLDGPNDAVLSVCFSPDATSLASGCSDSSIHLW DAKTGRQKLKLNGHNNVVMSVCFSPDGQTLASGGGDNSIRLWDVKSGQQISKLDGHSEWI QSVRFSPDGTLLASSSNDFSILLWDVKTGQQYSQLYGHQQWVQTICFSPDGTTLASCSGD KSIRLWNVKTGKQKSKLYGHSSFVQTICFSFDGTTLASGGNDNAVFLWDVKTEQLIYDLI GHNRGILSVCFSPYNTLLVSGGQDNFILLWDVKTGQQISKLEYHKSTVYQLCFSPDGTTL ASCSHDKSIRLYDVEKVLKQPKFHGHSSGILSICFSPDSATIASGSDDKSIRLWDVRTGQ QKLKFDGHSRGVLSLCFSPKDNILASGGRDMSICLWDVKTQQLKYKLDGHTNSVWSVCFS PDGTALASGSVDNSIRLWNLKIRQLKFKLDGHTDSVWQVCFSPDGTTIASSSKDKSIRLW NVKTGQQKFKLNGHSNCVNSVCFSPDGITLASGSADNSIRLWNVRTGQQKQMLNGHSNQI NSVCFSPDGSTLASGSSDNSIVLWNVQTGQQQSQLNGHSDCINSICFSSNGTTIASCSDD KSIRLWNFQTRSEIKSSDNIYKDIQGYIKTPLFQYNHFLEKVNTNIPILLISQTLIFQIQ SALILQGEFSNYLGLDLLSLFKSKGSYILDNQIELNKTNN >CAK56891 pep:novel supercontig:GCA_000165425.1:CT867989:16167:16907:-1 gene:GSPATT00027924001 transcript:CAK56891 MDAHLSLVHLIAKEQFNRISRGSESLGYRQTNISYEVIDKRTQRKSMVNPSQNNNEQDVS ASADGSCIIWDLKSFTRVMCLFESTLFNLFFTILKKVNYQQQEVIEKQLIGKPLMVKQQE CLMNPMKERSMHLPLLNKESILSLEEKTKTQNFGVIIKESATSKDKAIPAQQQELPQAQN QNQSSLFELKEPQSCCLICQVQNKIIQKMIGSFIKKNDHQTQYRSVYFSIL >CAK56892 pep:novel supercontig:GCA_000165425.1:CT867989:16935:17164:-1 gene:GSPATT00027925001 transcript:CAK56892 MKELMIYSEVFATSSFNNIRIWNAKNRQELLKIQVPNLECRTVSFTNDGKSIVSGWSDAK IKAFYLNQGD >CAK56893 pep:novel supercontig:GCA_000165425.1:CT867989:17232:17702:-1 gene:GSPATT00027926001 transcript:CAK56893 MMIVWEIKAGKVLYGTPNRDPVNQIQFYNQSDEKLIAVQILEFKILTIDKQIKKVFLQQR FNLQMQTVTILNELSLVYRLIKMINIVIAVKKLAIEKRLAPVKKIFSQRVNCLGLLPNGD VIVGAGDEMGAKVSFQTMLIIQAVNFWKCYVNNIYL >CAK56894 pep:novel supercontig:GCA_000165425.1:CT867989:17800:18206:-1 gene:GSPATT00027927001 transcript:CAK56894 MLSELEIQAVIGFTDKITQGLILHPDNEHIIYPFGSTIVVDLQSAWLKYFQVEIYINFNY INLIFIFFRGHHNQISVLTVSRSGDYVASGQRIYMGFQADIIIWDFKEEA >CAK56895 pep:novel supercontig:GCA_000165425.1:CT867989:18285:18995:-1 gene:GSPATT00027928001 transcript:CAK56895 MNRSYSPILRPLSNISNRKLTSINVQNKQNQNSSKSFAQNSVIYPQQTALAKSTIYEVKT LKNNIITPKSSITSFTSTPRLSLNSDDDNKSKELRKTFNSQQNSKFLLFKIIVFLSVIAF ALLLYQIQANLSLSSKSNTCVSVEVQYAKEKIIADSISNSIISAVVYDRQKINLQKEKDD VKSFYQDEFSSNLWKMVVENVNKDLRIRLEKQDKEEFWTV >CAK56896 pep:novel supercontig:GCA_000165425.1:CT867989:20567:21113:1 gene:GSPATT00027929001 transcript:CAK56896 MQQSDGDEIFFHIQNNADQYNIQSSDEKNQNQNMIPDQVIESQTTKPRPLTFDQIKLVSS TQVSGDGHVKSVRVQCPQCKQKVDTVIIRKPGTQTYLASCILLLCSFGLVCVSCLPCIID DCKDVMHSCPQCKTPLGKTQFKILD >CAK56897 pep:novel supercontig:GCA_000165425.1:CT867989:21152:22614:1 gene:GSPATT00027930001 transcript:CAK56897 MKQFPIQQARTLVNEFFDFVAKAVSPFHVTSLCKERLAKSGFLELNETENWKLEKGGKYF FTRNLTTIVAFTVGQQFDPNNTGFKIIGAHTDSPCLRLAPVSKLDSNGFLQTCVSTYGGG LWHTWFDRELTLGGRIIFKKENVFQSQLFHYQQPLLKIPNLAIHLTTDRNSFAPNNESNL RPVFAQEAYQTLTVIQKPKTEGQTNFENKHYKYLLNLITEQTGIPTSEILDIDLYFSDCQ PPSYFGLNQEFISAARIDNLFSSFFALLAITNPESFTEDQTFINMICLYDHEEVGSQSAQ GADSSLLSNNMKRIYDILSNPTNTSTDSFYKAIQKSFLISSDMAHSIHPNYSDKHQQNHR VKMNEGIVIKVNHNQRYATDGVSSAILRVVAQSANVPIQDFIVKNDSPCGSTIGPLQASN TGIKTIDIGAAQWGMHSIRETAGVVDGYYLEKLFVEFYKQYEKIDHKLLGI >CAK56898 pep:novel supercontig:GCA_000165425.1:CT867989:22623:23880:1 gene:GSPATT00027931001 transcript:CAK56898 MIIEKLRNPYNTYIQVKDNPKLLFKISFYSFALLLLSQLPAFACVCLIISYLTGKKFFEI YFHKHLKEGIMEMFPQGLRDALMKRSIFDLLCDIWFMPKFSLYIKAFLKPFVANIDPENA EQALNGLPLEDRQIITKKGIINTLPMLLQTTVDTTQQQINDSEAPLTPHTPSKNKQKKTR YDRKQYNRTKSKRVFKEDKIVSTFKMPWTIVKTYEEDRASIMSSQSDIDFSNKQNFSIVE EMKPLPTLQENIGFKNIELVLKPQTSQEQIKWDNLDNFYNQVKDTVPGSHKRPLETILKI TNLINNIVHFEKRSKQILAINNNALIKAFIISAAALAFQSYISTRTRRLMMQTIMIICYL GSAGIATGTLGLCLIKVVNQSKKKRN >CAK56899 pep:novel supercontig:GCA_000165425.1:CT867989:24314:25392:-1 gene:GSPATT00027932001 transcript:CAK56899 MLQGEHQFSDFIAVIFVYGIIFSEYYAFVFIFLPAFLIKHGVTSYFIGIEFSIIFIFLNL AYIMIQYIKPGYPPQDLAKHYHERTLEDIKQRTKKMTEKINKHRQRRMQNHIELHDENDD EIRYYPSIIISFILFQMTHHCKECNKCVLRMDHHCPWVNNCVGLKNHRYFCQFNFYAILC MIQCSLFISYDIFVNDKLVLQVKFAQAILQELTKNQQFILTICNVTCFALVVVMGFLLGF HLYHIAQNITTVEFHINEMKANNPFKKPRIIDNFKEVFGPEIKYWFLPIRNAEKSAFPRT DLFEV >CAK56900 pep:novel supercontig:GCA_000165425.1:CT867989:25661:27136:1 gene:GSPATT00027933001 transcript:CAK56900 MEPEQLLDQLNDILLADHFRFIEVIGKGSFGVVVAAFCSNLDRVVAIKITSNVDQENEAN LLKACCHQNIVKLYKVLIANNHLYLIMERLIGLTLDKLMKQSVLSEQNIRNYMTQILNAL VFLHRKNIIHRDLKPENIFICENSYVKLIDLGLGQEIVCKGCVYQSVGTPYFIAPEVILG KDQSQAVDIFSLGIIFYMMINNLQHPLWDGQMRKRHYYQLISNEFQISFPKTMPEMAKDF VLNTVQFRAENRMTAQQCLEHPWIKGKCRSCQDITFNSKLQHIIKSLMFLKTLQQTCEEE ICYIKTTHSPLREEKEQERVSPENARLSVELTNKKQKSFKLHAIPLKKVSSYKDLVSLRE KQLNQKKYQNMISRFREKMSLGNQLNAIGHNKFDSPMSHRKLTTLPQINTNRLQRQSTFN FQNL >CAK56901 pep:novel supercontig:GCA_000165425.1:CT867989:27165:27989:-1 gene:GSPATT00027934001 transcript:CAK56901 MLQSLNKLKLQLRAEIEILKMCLDKGKSTTMVQMIDKNKQRLEQDGKQLELIIKRLEEGN QLTLNEKDKLDTLKLNISKVLIQNEKIYNSDEQFKDLISKVPRIKDDQSQNQSFQQSKKE ESSIQIKSAIKTIQQTTQEQQQPQEVYQQYQIVRNNVDNLISEISNLNLRVDNHKHTDLQ QQIDKLKLENAKMQANFKLLKQDNTELFFINNDIKKLIQQIEMDNEEIIKSMNILREQSK PMSNPQSRLSNYYIPYDETKAQEADEIMNRLKQN >CAK56902 pep:novel supercontig:GCA_000165425.1:CT867989:28036:28654:-1 gene:GSPATT00027935001 transcript:CAK56902 MNQNTLMPILYLGMISFEQQLFSLYFDYSKTGKQINEQIKNEMRIIGNKEINMLNSEIDA RDIKQNVQFKTLYNIRLQEFKHSCTVPNVYYLYPFALPFSFIFPRMATICFGLGFGLQSF LFFNDIIKFKLQSSNLRSNRKVKELMDGSVTLLMVSLGLICGSVITKRGFSNLIRILKEE QALSKELALAKQNAGNHIKQ >CAK56903 pep:novel supercontig:GCA_000165425.1:CT867989:29002:29471:1 gene:GSPATT00027936001 transcript:CAK56903 MSSGGKGKAGKGGKAAGAHKSVSRSHKAGLQFPVGRVSRYLKQGRYTERVGAGAPVYLSA VLEYLAAEVLELAGNAAKDNKKNRINPRHILLAIRNDDELNKLMANTTIADGGVLPSIHP QLYPSKEDPSQAV >CAK56904 pep:novel supercontig:GCA_000165425.1:CT867989:29489:30949:-1 gene:GSPATT00027937001 transcript:CAK56904 MNPKNSLFQVISYCALIKQKDLKQMFNRITRLAALQHKVVKCFDLHEYQSKDLMRGFNVR VQKGAIALNADDAAKVAKTLDPSGGLILKSQVHAGGRGKGTLSSGLKGGVKICKTPEEVA NYTKQMIGYKLVTHQTPKEGLQVNAVLVHEGVDIVRQLYLAFILDRNSQKPAIVASINGG MEIEEVAKTDPNSIIVLPIDINTGLTDQIANKVVDTLQLQSVRQQAVEQLRNLYKMFISL DATQVEINPWATDPKNQLFCIDAKINVDDNAKFRQKQLVDLRKTSVASEQVDPHEELALA AGLNYVALDGNIGCMVNGAGLAMATMDIIKLYGGEPANFLDVGGGANVEQVKTAFEILNS HPRVETILINIFGGIMKCNIIAEGIIKAAQLVDLKTPLVVRLTGTNSQQGAKMLDEFAKS QTKVSITTATDLDDAAQKSVKIAHTSKKN >CAK56905 pep:novel supercontig:GCA_000165425.1:CT867989:31044:32485:-1 gene:GSPATT00027938001 transcript:CAK56905 MNQIQQGDVIDNQYEIIKKISQGSFGIVYVGKSLTRNKNVAIKVEKQEMASYSSLNREIE ILKLLQGVSQIPELYWYGQFKKCNVMITNLLGHDLIYFQKQYQKFSTQCIYNIAFQMLWI LEQIHSRNVIHRDLKPENILSKSDSEKIYLIDYGISRNLNQKPNRKKKISFIGTSRYASL AAHQGIEQSAKDDLESLGYLLIYLMKQSLPWMNIEKTDLLRLDKIGKLKSETKMEELCFD CPNSILKYMKYVKSLTQQIKPQYAMLRGLFLTKLHNFPDQGLDWTYQKSRSHKQKLNKRR KSNQNSKYQSCSTIKRGFLGESLVPQNVKTSAVQDELAGSSEHGSSALQFSAVTNQGSIK SIRVGFSLSDIQEDLKVQPHNNQIARISTFEGIIEHDPGMTLKAEQQLMERENQDLEVKY NLLHFKSVYFNFKNPIQKYNLRSNYFRK >CAK56906 pep:novel supercontig:GCA_000165425.1:CT867989:33628:34017:1 gene:GSPATT00027939001 transcript:CAK56906 MFSRYLVLYLVLSTLVMEVQGGKTVLSTCFGKLVPAVIKCFGARIFGLNMNKVKHIMIAR HYLDKLDPGEIVYEVITVVASNLPYKKYVDIMLVSGKIIRITVKILADGVGIGTGYAIEK IADAIEKQC >CAK56907 pep:novel supercontig:GCA_000165425.1:CT867989:35396:35650:-1 gene:GSPATT00027940001 transcript:CAK56907 MGCSQSSKKKTDQFQSQQLPSQITSKLIEGDEVEREIFNQFCLEKYKIQKNPIVQRRAHS AKPSLINDENQQEAQNSFVQRQFS >CAK56908 pep:novel supercontig:GCA_000165425.1:CT867989:36236:37886:-1 gene:GSPATT00027941001 transcript:CAK56908 MGAICSCKCCGCSKAKPNPPQKVEQTKPKLIIEPKPEPKPEPKPEPIVVVPEPEPKPEIQ IEEKVDLNPPEEAKPIEVDKEESIQQTDQDESDFDKAVTAILDRSKVFQENKKEIENQLS AMFKQDQNKIRDCSENPDFCGPNVANRNICDIKDKYDFSKVNEFQEYRGKITIVRLIQKQ KSAICTYLGKIDDKYCCVKLLPMAKKEDIKKWIERVLQVQKKPDDAMIEIFGQKYYYYKI EDVKNEQEYCNCYIISNLEQYNTYTFSHHQSITLLDKVKMAYQAIQVISLALKAKIQDDK QSVNQRLSVQISKKLCTVKRNNILISRDQENNKDFKLLLSDWQLLLDEFEMIIPNQKLQN EDKNYEKCVILLQSVSGNLRNAARQQEDLAFNKDMIELDEFTKKVLFLIFFRVNFELLDE VELKGFINEMKLKKIKDNIDKIKGKALDEHQQLKSQIKSRYAQSDKQDEKDKNEKIEDKI NNSQNQLPQLICQEFGFIDQDDNNLLYQIYIMLEVLSTEAMRTPLREIQEGRH >CAK56909 pep:novel supercontig:GCA_000165425.1:CT867989:39223:41090:1 gene:GSPATT00027942001 transcript:CAK56909 MFQYNINELLELPNSLKGQNYSDEDIKQFQKLLYSLIIRFRQIINEKNQSISTIVEQIQQ TIQYWEHRILNRLRSLARNNLSFSSYANKERIFLFQFEQIIEAYSEKQKLFQDETQDEIL SSFLKQDLEIISKQCAVEIKYFDTHLPYRFKLFQESNRVSNQQKPIQKPNICILGLTKTG KSTLLNILINPENITISDNGNRKHFSTIDKQKGIQISQTCESETKSTFDREIDDFVFTDT PGFEDTDRENRLINQIKIFSQLQRSPQLIFLILIDGSKLCENKNELYSTIEHINLFFGDK LFESKLENFIIPVFNRLESNTMDYIKKIWNIQIEANCCNEKISYFLKAIKNRIDNQRYIQ LLNASNFIDEIELKDLIQQKQKYQDQITQLINDNKISEQLGYLNKQAIQLQKQIDIKSNQ SPQINYELLQGIKSNILDLSRQLQNEQIQQQAKIAFILELNAEMKESYDIILTYQDEICQ CLLTQVTDVIINNLLNNHSRKVDDVINLCSLISNIIENYKSSENISVFAFFDQIIQQFIN LFKNQILVMDLIDKLKSVNNLSQFINNGQKIQNISVQYLEDELELIKKSSTSLRFEVQQQ IKKNWNIFFKTNKIG >CAK56910 pep:novel supercontig:GCA_000165425.1:CT867989:41523:43671:1 gene:GSPATT00027943001 transcript:CAK56910 MKKQQHNQRQKDQEYMGQNKRNWIDQRKLMKGIEINNKQEQVLQQQQQAQQIVAQQQAQQ QKGSVVDNIKKMEQQREERRKNMQEMKREKAEREEQNQILGKNVDVEFEIMIDKTRLKGG LIQEHQTTQNIKLCVCVRKRPIFKKEEVGGEIDAISCANPMIRVHEPKLKVDGITKYVEN HDFQFDNTFSEVEQGKDIYDVSLAPLMDLLVNQGVVTCFAYGQTGSGKTYTMKSIQELLA TDLYKLISTTPSYKIFVSFFEIYGGKCYDLLNAKAPLQIMEDKNNNIQIQGLVEKPSESE QELFQLMELANSVRTTHATVANDTSSRSHSICQIAIRQGYSDIGKLILVDLAGSERAQDT QSNNRQRRLEGAEINKSLLALKECIRAMDSGQGHVPFRASKLTLVLRDSFTAKSNKSRII MIACISPGSSSADHSLNTLRYADRLKDKSNQAKVQLEEREVTNEELLYRQQQGQDRQSDK NLDNNNKQLDKQNPPLQLPKINDPRNQNNQNAANLKKNQSQLPDKEKPKSQPVPPKQQKR ASSIQEDSDDDVAAEELVNKKNGQVKEDVRCMKETMMKNEQNNANGNGNEFFDFHEKVNT ILEEQDEILNIHMAAIKEDAKLLQQESELIQSIQGVGIVDYDVDTYVGNLDAFIKKKLKI YNLLNKKLLVFKTHLKEEEEISSKMKNTFYY >CAK56911 pep:novel supercontig:GCA_000165425.1:CT867989:43837:46689:-1 gene:GSPATT00027944001 transcript:CAK56911 MIELSQIGMIQEENSTQTGFQDLENKEYTSIKTIFQELEDIKQFQLNNNQEFQNIMDQCL FYFNFTNDKFFYHQSQQAINPNIIKSWNDGFLKKDNDQQIIAYFQNIIKVDDQLEVINYG QLSKIKLFVKWFLEKNKFKRLTFVVQFNKEQNVDELEKKMKHRIYQLFEGFFEEANEDIM IFCVQSNKENTNGDLEIIQYEKFGQIYILNQQEFQNELIQMDVSEITVNYFNQISTNRIT VMQKDIYFKSIGYSCNQYIQNKILIVFYELNKIISLLKFQLKSLLELPTNLNKEQNNDPN IKKYQNDLKQLIYIIMEKISHEIEKSQLYILICNILNIVRESSQCIKEDLQNMQDIPNIS WKIKKYLSEQQNNILNFQFDFQSEYNNILEYFKEYQISDYVKQTIQQFQIQLKFWFDHYE SYIPQRLFFFSNLFHNSSNFQSTDNSQYVVLLGLTKVGKSTLMNIISNPDNMKLVKTSSE SQSFDVIDVDKSILISHTNNSQTCQTIDLGIRINDSDWIFIDTPGLGDTCEERRLINQIN IFLRLKKSKQFVILFLIDGEQLNKSKKDLLELIELTNNFFNQQISTQNLEQILIPVFTKV SQGMMTDIKKRWETETMNNCNNIKEKEFLRILKNKIDSNQYILTLKAESYFTSNLIQLQE TKAKLFNEIQQLKQSAEQDEILINDKRNQKEKIEEQIQDLENNNSEIEFKIRSSSIYDLR EQILSQCQLLIYQQKEQHEQLNLQLSLTPSMKESFEIVLKQLNNLNIIIDELISDAIVYN ILYKSRSLDEIEKLLQYIQGSLQMFHKQQINCEQFFLDICEKLDMAKNIQYLDKELSQIF KFHYLCSICIPGQIEIQQYFQQLKSNICKLKTNCTKVKDTITTQILECQIIRIFTKIKTL INPNMIRMAFPFYFIYDLYYQIKQLKLEQDIKQYNEAVLQLIRIGYYDNI >CAK56912 pep:novel supercontig:GCA_000165425.1:CT867989:46747:47175:1 gene:GSPATT00027945001 transcript:CAK56912 MEELQVIKIIIVVGEPQTVKPSLFQQYGFNELTHNHQTTIGYDFAQKMLTKNGVNLKLQI WDVADFKYNYSTHIEYFNCKVINYLKNFPYFFIINITYLSLILVISPFVNLQLIEVRIWF RLLILMMQNVLYQVLVSIMNDF >CAK56913 pep:novel supercontig:GCA_000165425.1:CT867989:47746:49471:-1 gene:GSPATT00027946001 transcript:CAK56913 MFIFYLLAIAKLQRITQPTLTKINEQILHTEYSPNVGQTLLLQYNSYPGVYDMKLNQIID GQSIEMMPNTTLDPFTLPDCYPYARRLVYQDLFMETFESNSTNAFFTALYIETNQVFATR SDNHLIVMDIDFDGDNIKNFKRVKIIQIPSIKPTSDSHQIVCNTNSCIIFTLQDAFIVNT LTDQFTQLNLNKKQTPQHIHYCEKLQTLYAAYGRDGVDVYLFDNKNNFEYLTSLNLNGNF LEVLTNEESTQLYLLNADVGLLIYEIFSVSQYEDTGIIIYLKNVVSFDFYKKTFILVANT DSGDPYAIEVFLQSNDYYFNRIYSKDMDIFDVYMGEQYAILIGQEIHRVIYHSIYNKHMN KIDVPLYFEDFDLENVEEFRVKTQKLYNQNALDFEPFQKATIYYKQAFMVGISDNDINIF AIKSVFPWLQCRPQSTDVTHYSLTMNSTQCSTKESDNDYSPFSQCVIYHNFTVSGKEILF FEEDENLVIALGSVLGGLIILLLAVLLLCRRILHQKLKNYTIMNEVPVKVEDIPLEPMAG EDALVS >CAK56914 pep:novel supercontig:GCA_000165425.1:CT867989:49527:50536:-1 gene:GSPATT00027947001 transcript:CAK56914 MHKMSIQEMKQILEQKIDFDCQATQSVMSKLGLSQGALQKISYLEYMTANNSQQDYFSYL HSIVMNIKKLQQEIAKMNLKDKSIDCTEPGNIDEIIAILDQRMQKKIVSNSQPRIISYRE KTQERDERKSRIIKLNHHQIKSITERTLIQQQSPPKDLNTFISQSPERIIHKKFTISNNQ SVADLYSKQQASIEASTMEQLNESLRKNITDKNQTIITGIRQRLKDSNCSKQAIDEFMSK ISKLRCVSQQSIESNRKISTPAIKLVKKKNSNIITSKLEMMLRKEKLIIPDEPLKIHPLK IGSIMGVIQKIKNQKSKQKMFQQINKEQ >CAK56915 pep:novel supercontig:GCA_000165425.1:CT867989:51013:51576:1 gene:GSPATT00027948001 transcript:CAK56915 MGDNNSNNSDGMQLLKTLLTADVPSEGSVMQSIRNKVNSLLNNKQQISILQELQQANLDN EFKEMTDNTLMGLNAIYFSSVVLTQFMFTRKVSKVVFLLSCAYFNFYLIQPIEIEYKLRK LALQNTLAGQEVRNLYRFYFQDHEFIKEMTEKCKLYTQADEIKKQIYSRKL >CAK56916 pep:novel supercontig:GCA_000165425.1:CT867989:51734:53740:1 gene:GSPATT00027949001 transcript:CAK56916 MSTDQFLRSDQRLVRVQIQDQRLVPVRVQAQIQVQYEYQEQDIEYTGRKLNYELKKGPIE YRQLKNRRYLVAFVAMWAAILAFSISSIGKGNLQDMFKPYDSQNRQCGNGDLINYPFIYM INEVDYYCVYTCPTKDDLGKPLPCFQCPSIKTPYPSRKYRTMCQPTQDADPQYKKLAQGE YIFDMFKDYSIDIREGLPIILGSIGLSFFFSFSILLLMRSSPGFVVWGIIFIIFLLFETV GGVSLSLYYGYRVAYIPIYEDGNPITLLIEGYGSCCVGVIVVIVALCLTKKIRLAICIMK AAATFVSQEFPIALVPLLMSTLVLGMIAYWAIFSTLIYAANTEAKKEIDDPYVQFETDKK SETKLLILEVGIMWTVCYLTALTNFVVGTSCCYWYYSHQGNSRKGSIQKGFRNGLSYNFG SLLYGAAIFPLIWAIKKALSQYVKLYKSIAVLAQYNMCYWLQFLYCKCYVKFFDKVIKFL DKNVYIIMALTGDDFCTSARDAFYLIYRNQGRVAFTQGVGAIFQILSVLFIVLASTSICF FIMLSEYFTFKDSNFILVLIQVISYFIAQNFMLLYGYGVDTILFCLIVDIEQNINEGGAK SIPPVLKKYASELF >CAK56917 pep:novel supercontig:GCA_000165425.1:CT867989:53879:54329:-1 gene:GSPATT00027950001 transcript:CAK56917 MATARIPFSNVSQVNKGEKQAAALGEKVEKIGNTEKQVPPTNTEINNVKGSLDELQFENM DKQTLIQQLKLHKLILKHCFDENDILRERIAAIEAQFSNLQDEIELRDNVILELSEKIEQ KEKE >CAK56918 pep:novel supercontig:GCA_000165425.1:CT867989:54480:55475:-1 gene:GSPATT00027951001 transcript:CAK56918 MSQKFISQKQNLENKAKSQNQKARFLNDKEESEHPPQMRNAQRFFRKPKATQEMIVIPQP SIQYAQPYVPKPIPIPEAIKQIDKKILAYRRVMERERHEKLQREKQEQQKLYENEEDQKR ALDFMTNPQIVDQKEEELSQQEQDDEELLSEEPRAQELQRLAVSEKTSYSEAVKKREQIY DHTLQRMYEKRQNPVLFAKFKKKPPILNITSKNKITKGNGRMDPEEHKLRVFNRENVPTI PDLIIPSFTVKKDQMAKNILAYLKEYTPLFRGYKNYEYPKCVQNILQEEIDSLPKQ >CAK56919 pep:novel supercontig:GCA_000165425.1:CT867989:55517:56221:-1 gene:GSPATT00027952001 transcript:CAK56919 MSQGTNKQIDFLKQEIDKTNVKIKKLQLKLQNEKKELKEKRILFNGVKSQYEKYSNLEEK QTNNFYKIQEKLSKCQEEEYQKCVELQKDKYQISLIDYEVDSKAFQKAPFTQKQQFIEFR EQDEIALNELDEIEREKRKMKSKQKERAQLIKKIEVTAPKLQQTGRQLKHNQYQKIYYEQ MLDDVKYYIAQKQTYISDLEQSISQYQQILDELNSDLQIGMMNNLNFHNCSQKH >CAK56920 pep:novel supercontig:GCA_000165425.1:CT867989:56365:57295:-1 gene:GSPATT00027953001 transcript:CAK56920 MINTNNVTIQIYNNGNPRKSMKVHYLRKETDRRKFMQMCSAVLEIRASRIFDQSGKEILD IDRIQMGGNYFISSGEEFNNYNSNFQNSSAESKQLVQYRISLLGMGSVGKSNLTTRWVNN EFFEEYSLTLLDKYTKRVIVGEQQCQIEISDTCGQEAYTSLRTQWMKDKEGLIFTYAINS LESFEDIKNTLLLFQQLFKMQDYVPSIVIVGNKTDLASERVIAYDEGKQLAAQFKALFYE TSAKNGSNVNQMFTGLINDIIQQKQFKKVEEDVQNQKPGWCSLI >CAK56921 pep:novel supercontig:GCA_000165425.1:CT867989:57442:58032:-1 gene:GSPATT00027954001 transcript:CAK56921 MSKWTPIPKFTEGEQTPTKQISLYEEISHQIGKMDLNMEIEKQCVQILSSIQIPNSSQYA QAVIHIAMKQLNLEPVMANSKIQFLSSLIETQLNNSLPNLCKKLKMDNKATKACQIMLNT IRQLVNKLPKQIQNALAIKLASDIIYSQYGGINLTVISKHAQIPDAQLRSCLNRVKPFAR TILQNYLSHFSTKKQQ >CAK56922 pep:novel supercontig:GCA_000165425.1:CT867989:59191:60136:-1 gene:GSPATT00027955001 transcript:CAK56922 MIKQTLIHMMNHKNDEIDISEQILKIGLSKVFQELSKPFDGSLSPEQILRLLSVFHYMIQ QCSLPKDVFADCNISMITNTLNTSFVLERLPTELNLTISKSVNVAPSWDNAPKQSLEQNY FKDEQNYRQSATDKKIYFQEVREPLTYQQILQVYYIYLKRVCMQQLCDIPVIDCFVIQNI QCLGLRIIEGQRNSRLRFCFDLILKDLVSYQNQTIDLLSKFMSTHDMNQTQEIYLQMYLV SKQLKYYCNLNRCNFDQSKFKEFKKNHNLCTYKYKICESKERMERDDLKDNHNFYKYERT QLYNQF >CAK56923 pep:novel supercontig:GCA_000165425.1:CT867989:60155:60877:-1 gene:GSPATT00027956001 transcript:CAK56923 MSVRKIQRDPGPQTEGYKGVFKGISDNLNVGVGKYAPPIGMEQREHHYNIGWKPWQQSNE TVYEVDYKPNKDVVKRDNCANMHPSTIHIDHINVPYKKDTHFNSEYKNYKDYQNPPRAKP IRDKLTLMATQIVMGDDHPNYKTAQLIAQEPKPPQRQLVYHPKPEKYDIITNHEVCAVAE RIKKASAFDYWNPTTEKKHQSFNTSEIPQYRMGSRDPITGRFIS >CAK56924 pep:novel supercontig:GCA_000165425.1:CT867989:61075:61559:-1 gene:GSPATT00027957001 transcript:CAK56924 MHEKAQPSLPSLHVTTNPNMNSTKRIHARMIIEPNVKDIISLPRAQQIGSYNQQFRRVPL KLNPKVVQAFSPYQSYSIISFSDFLYEKHKKEKQLQKLKDKKQLEKLKDIQNKEKIKYFQ QEDENNEDIIDSIMEKVRVELGQQQMQSNFITN >CAK56925 pep:novel supercontig:GCA_000165425.1:CT867989:61590:62465:-1 gene:GSPATT00027958001 transcript:CAK56925 MNNELKIDTRMGGQPSSITIERDHTVIYIADMAHQAITYKQLNQLSSTNQLQEGAKDFVT EYEGMPFLGPNQVIISYVTLNAIFFTDSGPFGETSVENPIGSVFMVDVDGPEIKPTALAY RCLAHPSGLALSHDEKNLFVSETCENRIIRFVLTNSGIYAFSVYHQFSGRFGPTALVISQ SDFLYVARYEFSVGNEHDVGLISVLNPQGQLLENILIPGSPEITGMCFSIIKPTNLYLTD NSNGSNRLIKCVIPLEDKDEEKKKKDKDSYKVK >CAK56926 pep:novel supercontig:GCA_000165425.1:CT867989:63416:65499:1 gene:GSPATT00027959001 transcript:CAK56926 MKTIILVVLLLGAWAQEKSNKVECPVIGIDLGTTYSCVGIYKNGNVEIIPNEQGNRITPS VVAFTDEERLIGEAAKNQAAINPTRTLYDVKRLIGRKFTDSTVQYDKKFMPYDIVDKDTK PYIKVTNIKGHQSKIFSPEEISAMVLTKMKEISETYLGKKVINAVVTVPAYFNDAQRQAT KDAGTISGLNVVRILNEPTAAAIAYGLDKKDGEKNILVFDLGGGTFDVSILTIDNGVFEV VATSGDTHLGGEDFDQRIIDHFIKVIKKKHNKDISADKRAIQKLKREVEKSKRALSATHE TKIEIEDLVDGLDFNEVLTRAKFEELNNDLFKKTTGPMQTALEDSKFKKTEIHEIVLVGG SSRIPKIRQIVKDFFNGKEANTGINPDEAVCYGAAIQGGIICGEESNETKGLIVIDATPL SLGIETVGGVMTKIIPKGSYIPTKKSQVFTTYQDQQQTVTISVFEGERPLVKDNHKLGTF DLTGIPPAPRGTPQIEVTFEIDANGILQVAAQDKGTGVKNQIVITNDSGRLSKEEIDKML REAEEFAEQDKTAKERIDAKNSLESYIYSMKNQIEDPEKLANKLSDDDKDTIKDALKDSQ DWLDKNQNGEKEDYEEELKELEKICNPIISKVYQQSGKQQQASDDDYDSDL >CAK56927 pep:novel supercontig:GCA_000165425.1:CT867989:65944:66895:-1 gene:GSPATT00027960001 transcript:CAK56927 MLFRQKEKIQDVGRNNFREFYRMEHEAQTKTVKMMPSVQPGLSRSMNQPLIDENQSSLSG SSWDAKHLDTFLKQSKYSSMRQTQDLDNQAVFVGSGQNQSFFFRRQQPRIIPEYIDYLNM SKINHIVSDHPVNHSQEVIRKRLEIPEKNYVEQNLALSQVITLKKPSGYLAELRETQEQQ KKFHQWREEFGEANKAYRKIRQAYKSGIIGIDNPTIENSELYKDEHQKYKQKQENRMIHS INRYQCIITKITQALEKYASANPNIEFDNRKYDDTLTQINHTRVLGQYPVQYL >CAK56928 pep:novel supercontig:GCA_000165425.1:CT867989:67049:67466:1 gene:GSPATT00027961001 transcript:CAK56928 MAPSKSPKADAAKKTVKTVKTKKGSDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAM NIMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVT KYTSG >CAK56929 pep:novel supercontig:GCA_000165425.1:CT867989:67712:68167:1 gene:GSPATT00027962001 transcript:CAK56929 MGLQSRIGRLGIFIFFVGFAIHQLQNPKTAITQTHEKTNQFLQLIAQLTKSPSIQFSLES IPLVVFSCAVLKFLVGLGVLLKWNYVKFIGSIYLLSAIFVVHNPIFSQSYKDSIMFVGAL GALQFA >CAK56930 pep:novel supercontig:GCA_000165425.1:CT867989:68746:71950:1 gene:GSPATT00027963001 transcript:CAK56930 MKLVKPPLQVREKQWDNRFYLGKLSDHEIKKQFMIKKKSVKRPTSTNKIVVDEKSLAIRL YELQLLWEQFRIPQFHRAYFLQYNEKDLEAILRETAEIKLKQSIVQKLMSLVKGRERCLN YLKTETFEEHKFSELLFHLRILTVNVIEQFKKWRDSLNRCVRWRIDEVDYLVKLRGDIDF LKEFYQDYQRDPFLLWLYKLGKNDPVHSNYHQLQKDMMKRIRDCEILLIENDYFDMQTNI LSRKEAQLINKYLRESTLQMEDQKSLELKILSPNHKQTKTVQIQDNLTFVPQVVRNKEQF TKLLNQIKNDGDIECSFPIRDNLFELIDYQDSQILAFMQHSKIKGICISSNEQSEQYRKV IIEQIKLENMQLFPQFIQQISDYLPGNEITIKLVHYIQNEKLIDCEYLKVALKQEGFRWK QQTNDSEKNIRYTIYYKKKEYQKQQMNQFTFNFHVHSTKLNQLMQLVYNNITDSTDVAQK FKRYVDSRKTEHNPPQIKLKPNIQDDRVVLLDIKFKLPSFRTEVRNEYKYYRIIQDPEIS NIYFTEIDGQIIYALSLLDNDYYILISKDQINHETIQISQFQPTEQDLSIPMFYYKDEIA DVFNHHVNLQFEHLMAVKRNANKEKQSIEIAPDSFYFSILYDSHMINSFKIKQHHLIKFK PLKSSVMISDDIKFDKSKFVIPCLPLILKNLEPEPLKIMIKNHECICALDNYANFRKWII YTIVGCQENQLKDVLEQLLTQLTLIDPNIQEVGIDFYHEMVNGEYTVNKNIQKQLTSLGF KWKIQVNEANEHTRFTKYSLKLQRETQVVDNVVIQYFCTQDFADVNVQPLIQQFSIFYQT LTQSQLVVKRNNLIPFSKYYNKDIPPELQADQMLETKISQILQNCKYGTKNKVDYIIFNS QVITSNKHPELGTIYFIQLFDIVLCFYQLEKELNTEQIANLIQQSTSDENEKQESLGVEF IQYSKAGSNYSMEINLNTEKRITIETQDFKTISKPYFIGVIEPNWYEKHNQMIASMIIL >CAK56931 pep:novel supercontig:GCA_000165425.1:CT867989:72541:74001:1 gene:GSPATT00027964001 transcript:CAK56931 MFQLYENAENSTYSFEFKLDLTLLNVLRAFTHKDHEFQEGYLESIYALLYYYQGRPESEL FSEYCAQKGNHTIESLIFQVHYYIGSLANLNQLVGVLKVDENKAIPLSRSDINKKNILSV HSISDRVGSINSIVLVSKSQTVKPEYLDLRVKICTYENKEEGVFSIDYNVLSLAIQAKGK LDPLVGSHLANKLNKMFKINLIQSGVIPRLPIAIASIFEENLKKNGTMVKMERKNVNAIK KWIEYTAKQYPAGSIIVQSTTYNSWPYPALIYKRGTGSNYIPMTFMGEEEGFAFREKTTF NYVTKYESSEIKLQDPTQQKTPQRSLKRAKSYIQVNEDYGFGYNTLTHQVQLQIAQSPTK SSKSGQPVRVQRGSILSQMDISNIATARENFRREVGPQFGFDLQQIGKHYVYIRQIRKQH KVLRNGQIVSLSAEHMYGWHTHVLAFGRYSKEEMAFGCNQFQ >CAK56932 pep:novel supercontig:GCA_000165425.1:CT867989:74091:75263:1 gene:GSPATT00027965001 transcript:CAK56932 MVDELTQELAKMSEEVILITQYYHRNKKGETGYILSDGFKHIRYIEIWMQGEKIILGVFE RVFNGVRLFWLHNELYFPSAYAGEDACQVMKQLTVYAKGCLELLCQIKLFPSLIGTNDWV CGLIPGYFRVRRYGEAFAGTKSFHIVHNLDPLYEGRLYPKPNEGTLDYIHDLPNDFLIDP FWQNLVINPSRCPLITCDNWGTVSQSYKYELLESSPLASVLRRHPLPFAFPNGIRREQRF KVIMDKISNDHLKAKEQLQKKYFGCSQLENHIVVLSFVGRVTKQKGVHLILEVAEELIQR SQGTVQILVGGPAADMKEEYSEYCAQNMIRLKSIYPRNFWADPSAFFMDGTLVNVGCDFG LMPSLFEPGGIVQHEFFIGSTPVIALRLAG >CAK56933 pep:novel supercontig:GCA_000165425.1:CT867989:75270:75972:1 gene:GSPATT00027966001 transcript:CAK56933 MFMNMIRNNRKDLDSSLINTREGTSSMPQTELSTQENAFDAAIDVADVSRAWAQEYYRLF DKNFIDKELVQQMVGQIQQDYKKLKEQELFTKEEGRVFSNSDKTLKNFERILAHAKRSNL RLHKFVYRSTRLLQPRQVAVSGSFDERKEKHKLKFNHFSKVWNFTLKLLPGEYYYKFYVD GEWICTDDDLKDNDIYGNINNFVIIQ >CAK56934 pep:novel supercontig:GCA_000165425.1:CT867989:76031:77133:-1 gene:GSPATT00027967001 transcript:CAK56934 MPITNDLFQELLVFEDRYSQGSDKYDVIQKLVDLYAVLIEHYDSIQDPVGYYFNEKLQSL FACQRALKTIRKINQDQGTFAPSHTQSLIVQEARSIENSQESAKAKQVVGVEQKKRERQT KAKIAMEIQDQIDYSQNNLEHLITGYQQTSDQNQKVIEEDLKRQDDNFLQRRQKREKTNL MRRSSRMCSTKCSTERLDVFDSCIEFSNGAQEQVRESNNNNRLMQFLKEQSDKVSTDKHQ SQESAQVEDVQPFEDLKVEETDDISNIIPPRRQIRESASEDIMDTPPQQFRKPKQFGMID NDGDLLVLEDEQNLISDLNIEINSKQIGN >CAK56935 pep:novel supercontig:GCA_000165425.1:CT867989:77199:77864:-1 gene:GSPATT00027968001 transcript:CAK56935 MMEQEIFKLIQIPIPISKQTVLLDTNYILDEQQKVILVNIKSGQKWEIDYQIRLDQITGI SKYRENTYYLVEQFQNAVQIYRMIITSKEVVVKLMYKTEMQRFCYQLNDLKDRRMQQHKA RIKSGYFKYSEVYVSLYNCGLLSAMQNLTKCKNQDCGFVNDYFVKATKDLIEISKENSLL SLDELVEQEEGKVLQRIQLPNQCTQVRNGLLKVGEQLFQLQ >CAK56936 pep:novel supercontig:GCA_000165425.1:CT867989:78034:79512:-1 gene:GSPATT00027969001 transcript:CAK56936 MSIHSIQEKQSKQAIYITYKLDKVDCLVQFELMSKQVNFLNFSQSYLRLETPFKHFGIEY QESGYITTAIFIQILNQAQKNPIKGASDDVINQLIDDFETIEEDLNLRLVYLFKLSNNPE FSDEKVIKENDRLLMNKIRDIDQSVSDNYKYRDEKWQLLYVVETTAKIIWNGEEKKLIKL GKTNKSIEKYVIKLKQQYQGKIEFRPLFAQRLYTPANNLVDRIFFHKKLLKSIPESKVYL KAEENKHSQIHYEFYFLTDEFVTNFEKCLRKFIDKNEEQYQSDKVINTDAKKTDASNSMQ DITQNENQDLQNSDQQAFIKQQQDQEQAAEKTQIEITQDLNQQQEQKESSEQQQKESSEQ QQQLLEQQKESSGQQQELSKQSQQEQAQQQDQSQEQLNLILQQINQNEELNEQTLNEQFE ISNSLDDTSYEFFDGNPKTNPSIFGVPVNYELSEESQEILYQGSKVINLQNNFLKALLYT AAAKRELKQNDK >CAK56937 pep:novel supercontig:GCA_000165425.1:CT867989:79595:81446:-1 gene:GSPATT00027970001 transcript:CAK56937 MILVIYFKMFNLFRKWTLSFYDPSHESQYQEKFNVQRLYFFRSSLALGFFVLFISMLVFI IQDAQIYIVILIAILMIAQIILLFFSKQLQPYLKNILTLMFVSYVAGGALITYVKDNMQI IMQFRPLYNYGYSCSLLFQTLIQYCDFKHKPLFIFSSACISLGLFVEFSVDQLPYIVFSI LMTFIQGIMTHLFEYIHQDKNSHNKLQSNQSFMNLFKIHYLHFELEFVNKAYESSFQKEH NGNQFKEFLRTYTIGTNMCDNSNSIGQNKQFHKQLNLEEYLYDLINNEKLGQDQNNICIQ AIGDEGILNIEIARVQYQRSILILIIRKNQVRLQIQKYESNIKALKLKFQEALILIGKAL EDNYLKLNELDKSLDIDNETLRKQYCNIQFSLNFIKNHLIYLQKGKMSFLKQQIEQLTID KLNRALSNYFIHYCQLHSKKFELDCPGGVEQQKLYLNARLLTQLLINCFNKIIKISETHS VIQLKINQKVEKKLNFIPDLNLISFSYIFEHKNQIDNMESQFYQQINPNSQDSFEIECIV NQIILNILGPYNIISTESFFQENQQNYKTTLKFLIYTDQTQLDPSYTKYIQISNLDN >CAK56938 pep:novel supercontig:GCA_000165425.1:CT867989:82251:84176:-1 gene:GSPATT00027971001 transcript:CAK56938 MNLYLLLFLFHFDCYLIILNSTRQVINEFCVNHESKKDLIIVVEDVLEEEMDIQWELLYI NSDPAMTVNGCLTQQYQKRAYTDWAVRLGNQILLAERQEFNFTLQEPRRFYNLIDLPIQN DSNKLVMNGATFQINQTRLQINSDYFIRVIATKNKIQIFSQLLQIRIMLLKGDLIPKQII SLQKLVNPEQTQIILSTAATYDWKIFIKDPTRFGPILSTISEQKTSFINISLTPTWTDKL YIITIIVIDSDAIQYYQTDWFHQIGQQWAYQSTIDCNITVLSDNNLTNWTQRYSCLEWST GELKVLLPQYDYVHFLQNSECNNNGQVQSSYQPLQYCLCKDNYTGDQCQTYIDEAYYNQT QSYFQILIDNFQTQTLDNSTFQRILYLLVKETQGYDQMLFDLVNSKSFYFSQQLLLIYDT LAIKSIINNKTNITIDIFKSVSNIKNLFEMTGIISYTNFIFYISTINQLKKIENNTFQLE YTSNTFVDYSSKCIFLSSSFIFAQMTLYSPQLSYFIGQNIYSQYMNCQVFSINTNLILNI NTNITIVTNFLLSQIQRPTQLRCKSNSTINLCRIHKLYDYYAEASFDAYFGPYVIERSPI QKTFDYQTIVESIKNPSFEILLHFMIIQVLFNF >CAK56939 pep:novel supercontig:GCA_000165425.1:CT867989:84314:85229:-1 gene:GSPATT00027972001 transcript:CAK56939 MKLIKKKDIFQNINTEYEISAQVQRKLLNGNAQFVIDLAINLELNSYSTVSLAIHLCNYF FHHKCYLQYDRFIVAAASLLLAQKIKDGDPRMRKLLISFHRIMQSIEQTRMANEALMQSL QNKLCIAESRILKVIEYEFDIKLPNDYIEVICKKCVPKKFEEATFHTLKILILDSYRTYA PLVFHSWVILVGTFLVASSQFSYIPYMSPPPQLNMAQITNEEEAYKVWLEHVENELREFD VNKMNNTNSKQPEKTTILKQEDLKDFLLAFNEMLFLNQGPEQQQSQLQPQQTLHQS >CAK56940 pep:novel supercontig:GCA_000165425.1:CT867989:85265:85579:-1 gene:GSPATT00027973001 transcript:CAK56940 MTVDMLISTDDRMSPLLTNLKQFNEQQVHEHLLMIVDSPQDTVLKQESEPSEENQSIKST RTLRISKPKKIVIEKSTFKLAEKSPGPLSTRSNQKRTSLSIQHS >CAK56941 pep:novel supercontig:GCA_000165425.1:CT867989:86477:87959:1 gene:GSPATT00027974001 transcript:CAK56941 MQINEDQIIEFKESTLTAISPLDGRYASQTAPIKDYFSEYALMKQYNCCHSRYRIKVEIE WLKFLHSKSMIKQGNSVLSLTALDLTYLDLIYDKFDVTKSFRVKQIESTTNHDVKSIEYY IKEELDKNPILHSMKEYVHFCCTSEDINNIAYSLMMTDAKNNLLMKSLEGVVNKLVQLSH DHYNVPMLSRTHGQVASPTTVGKEFANFAYRIRNHSELLRNLKFEAKLNGAVGNYNAHLL AYPNYDWPILSKQFIEELKLKHNPFTTQIEPHDSVALYYSYLNLINNILVGLSRDVWSYI SINYFEQKSIKSEVGSSTMPHKVNPIDFENCEGNLGLSNSLAQHFMNKLVISRYQRDLSD STVMRNHGVCLGYAVVGYRSLIKGLDKISPNYETIFNDLENHWEVLAEPIQQIMRQYGVP NPYEQLKELTRGQKITKDSLREFISKLSLPEDVKKKLLSLEPKDYIGNADKMARLI >CAK56942 pep:novel supercontig:GCA_000165425.1:CT867989:88185:89075:1 gene:GSPATT00027975001 transcript:CAK56942 MDQKDFKIETLSEQFTKKFQVTLTLREPINHDSYLFRFDFKNPKERLGMQAIQHIKISGF NMKGDAVERAYTHVFEQDGYFQIPIKIYRPNVHPQFPNGGELTPWLEKLNLNSELTIKRC LGKLFYHNNQFIVRPKLNNTWSQFDTVLFICGGSGITPAYQLIQSICNNPNDNTKMVILY ANKTEQDIWLQKELNQFQSKHKDQFTVHFTVDKFDENWKGLKGHVNFEMMASTFPKPTET TLGVLCGPKTMNKLVIQLYEQFGVKKDNIVKF >CAK56943 pep:novel supercontig:GCA_000165425.1:CT867989:89242:90271:-1 gene:GSPATT00027976001 transcript:CAK56943 MSNTKFPSVSINEKLQKRILRSKIVKILNSQFQNYCHKFLKIERLQSEIETRKTEFDLED QNFIQLLPIHPPMKFTQEEKHHCQRMNINIPTPLEMQQKLKAQLTHQELLEIGEDPAYFI QDEEMRRLNWNEEDWLSKSQTHFKKEIKDVPKIKSTSNLLKIKQPTFRSNTEDRTERKKK MIDDQVKRLHEINEINFQKVKYNQETKEMEKQKEQAKMNEKFKSKIDVQIKKQDAKHESH QRIAEYKQQQQLLIKEKIDQHKKRISEEEEMIRLNQRLKQICQNKQVNSLIDLNLKDRIE KAIKCQTNK >CAK56944 pep:novel supercontig:GCA_000165425.1:CT867989:91008:92918:1 gene:GSPATT00027977001 transcript:CAK56944 MNKFIQDKNYQSSQLEEITSLIYKITDRQSKFADLHNQVEESIQKIINVSQNQFEKVMKK KHKEDRQLAQEHDERISIIQQEYLISQNSLIQQICQRKSEYLQILESLYYEYSQFISFFD HDEQAENNNYILIIYEILTDSQVFNDDQRLNDTLLTEYISQNKVNKIQSLHASLKLTISQ LQKIEENFKFSKNNSKKEQYFHSLNQRLNSFIENLKYGQSKLDILLQEMQECNGAQKEQD ARIQANLSLINQILKSHQDKKMAEYDDFKKSNENLIKIINRLSEINYKLKLQQKQNYEDV SQLMELYYIQSDKAPSLQPLQRKSTIKIIKPISYQRSRIYSEAKKEVHFDLSSRTSIKET NLESQILYQSPLIIQPSLITQPTISDVSLFTSGQSLNKLKTQKHSDRQWTSKYPINVDKK TAIPIKCQCPPQCSCQALLIIQVHSCNKQLYINSIGQLLCQQCFFTQNIQDYNFYCPHTR TKNKFKSSKDFLEAFWQHIKTLTMNQQIVDFWNNLQLSCQQMFQMNPFSHSDNQNQINFI GFCPAQCKCNHNQSRQQYHLCQNQLYISYDGLIHCKKCNFYGDPKQHFYYCPETTTFNIY RSGEEFIQSLDVISDARSQQQFLRQLRLNIPSVLWE >CAK56945 pep:novel supercontig:GCA_000165425.1:CT867989:93266:94561:-1 gene:GSPATT00027978001 transcript:CAK56945 MNSKFCQLYQIKIQQGPYIVYDKQVPGADGIYYAYNYDTNQNCCAKKIEIKDETERQKQI TNHEKMSTIFHQNILRVYKVLQNKTEIYVIQEFCEMDFQKYINKKSNKLNLNEILDFISQ ISNGYIALQDQEIIHRDLKPENILQNIQLIIKICDFGLVKIDRIQTNGQQGTRCYKAPEI TDSNYSYAADAFSFGLVLLEIIIKQSLNEGLKRQLVQCLEKNEFVLWFKNFQQMQNNKDN SNPSLENTDKFLEDILNNLLVYNPNNRKTWKQLYDEIEKKIMQQVGLPNCQFKQEQDQNQ LSYQSPLSQSQCIPTQNQQPKFNPQIIHTDRHSQTVTKAPNFPNNNNWVKQQGQLSERNQ PNQNTCVTKFLAPQMVNSGQNNQIQQVKQNFQQIQGSSNPQTLQQFLKDK >CAK56946 pep:novel supercontig:GCA_000165425.1:CT867989:95681:96146:1 gene:GSPATT00027979001 transcript:CAK56946 MMSVIQFHQFLQFFQQRAINMKEQAQRQTRFQSKAESCGICYNAIENQGVLDSCNHSFCS DCIKKWSNIENTCPLCKQKFTQIESKWKRVYFEINSGSLQKPQDIKAKMQIKQDLCQRQK LVTKGRSVQCFT >CAK56947 pep:novel supercontig:GCA_000165425.1:CT867989:96262:97713:-1 gene:GSPATT00027980001 transcript:CAK56947 MDSNYNYDQYAQKAYSANVFKVDESEFIIEKRYKPTQQLGSGAYGIVIGCEDTKATIPEQ KMVAIKKIERTFEHRFYAKRTLRELKILRNLKHENIVNLITIQLPKSRKNFYDIYCVTEL LDTDLKRVIDKEHAKLNQDHFKLFLYQILRALKYMHSANILHRDLKPTNLLLNKQDCMLK VCDFGLSRALLQTTKTQQQNPNIMTDYVETRYYRAPELLLGLKTYTQAVDIWSVGCIFAE IVRGKTLWRGQNSKQQIKMIFETIGTPSKTKIMQVQDTFVSQKLVELIQELGTLEKVPWD RVVKGLPPEGYDLLEKLLEIDYKKRITAAEALKHPYLKELHNPSDEPTRVPVSNMEFEFE MYEFTNEQLKDMIYEEILLYHYPDFKKSYEEKIANNQSVINHIMKGESAKIIDPEADDDY PV >CAK56948 pep:novel supercontig:GCA_000165425.1:CT867989:97891:98442:1 gene:GSPATT00027981001 transcript:CAK56948 MSQLASNFNPPFLMKLQIKIVKQHFEIQLIKFSFTYLQFIVFISPIQISMFIKIIEFYNH LFLFIQNNLQSLKLCSIQFLISIILLHIWLFLPFSLLNLVKQRIFQESFKHSINIYCSQK LFYLFTSLCFSYQNIYFITFQSAQQYLLHYLILQQSFMITMTPRLIYFLFYSVFYNCVFQ QDK >CAK56949 pep:novel supercontig:GCA_000165425.1:CT867989:98530:99827:1 gene:GSPATT00027982001 transcript:CAK56949 MQSNCILKPLQLQPQKPLKPLAGMQQNLNEHLNNIQNIIPYQFVDKNSPHITISGLTPKI TEQSLRIVCAEYGNVVRIQLRAYYKDAQAQIVANVTYENAQSAQYAYMELQKKVENGFHF QLYYGGPCYQNTSKIVKIKLPNPQIRGTIDKLARQVIKEGVQFEQTIKQREINNNKYAFL FLQSEENEYYKWRVYSFQNGDDEKQWKQEPYYFNLNECIYIPPSIEVEEPPSFAKKELEK AQSKCNFMITVTTKNKKMQYQVLEDQDRFTFSQMLRELNTQKHTIGKAMVFCIDHQDCPA DLMLILEESLLNDSTWSMKLARLYLISDILNNCNQNFKSYIQWCLPKIFSNLDQLLPYKE KVMCCVRQIKDFEIVVMLERVEFV >CAK56950 pep:novel supercontig:GCA_000165425.1:CT867989:99920:101054:1 gene:GSPATT00027983001 transcript:CAK56950 MVILLKEKLAHVDDETLDRICRIKGLCSQGPRDTLIQRLVQHKFYNRANPDVSLEQVSKF VQVYRYIVDKVFMIYTIIQSKDQQTIISSQKQSFTTQIQMLQEFLKLIQKRYKNIISKEG EEIDAVDERIYEFNKHIEIQRAERNLYLNIDGRDLTEEDIKTIEDKKVQVVTNYELTYLQ PKPPLPPVPTDPIEVTLPLISQIEVQQYRDILFQSGLYDPFKIEEFAKSKRAQLIKADLL KKEREKQLLLKQQQEQREREKERQWEREKEKEREREREKEKEREREREREKERQRHHSNL VQFYSLLDRVNKRSSASSESDKRNQRKRFDTKHDQKYDQKYDQKHDSKHYKRRSRSRSNS RKKKTQNKKR >CAK56951 pep:novel supercontig:GCA_000165425.1:CT867989:101102:102112:1 gene:GSPATT00027984001 transcript:CAK56951 MNSEFVLEQLALGKRIDGRDPLQQRMIQCHFGPQSTGAVELSLGETRVFATTSASITTPN PIRPSEGFLKFHLDLQVLRDTGYMHNPIKLGMEIEKYIEKVIKGSKALDTESLCILSGKN VWSIDVNVALINNDGNLLDAMYLCCIFSLQHFRRPQVSVSLQGVKVEVEKRLVPLSIHHI PLSLTYAILELNEQTILLQDPCLEEEAVQNGRITYSVNIYNDICHVHKPGGSPINISILQ QLTTVTLMKSKPYTEEIRSLLINNKGFPMEKTVTLFKFELPKQANDAIQVEQLEQLYNQ >CAK56952 pep:novel supercontig:GCA_000165425.1:CT867989:102112:102776:1 gene:GSPATT00027985001 transcript:CAK56952 MINQNRIPKGDLKKVVDSQFHYERRKYIFWAFNTSYLGIAFFQIYSDVVIEGGENPALCV DTALLNHDLQCPIGLYKTLVALIVAHLIQMLSATIGYFGIDQKSRKINKSYSILLIGSYI YDLITSFILWFSYNTWDDTPFRNTKNEFLMLSIFFPALMAVVGYFCLSQFKKATFENAQM QQAKDELLEKYPQIASDVVKLFL >CAK56953 pep:novel supercontig:GCA_000165425.1:CT867989:102802:104572:-1 gene:GSPATT00027986001 transcript:CAK56953 MNRVAFVAIASILTQRKVQLQSTGDSRHIHYKLKSREEHLADALLNEYDVIIVGGGATGA GVSLACANRGLKSIVLESQDYASGASSKSTKLVHGGVRYMQQVFQLSEKNRLEKLQLVAE ALRERSNFLSMCSYLTQDFPTLIPCTNLFDLGYYYFGSLIYHCVYLYYQTGGHTFKPPRI VGKEEIQQHFKFAKCNYGVIYYDGQFNDARMVQELLVTSSLKGQNMANYVEVKGLLKNEQ NKITGVQAFDKIGQKQFQIKGKCVVNATGGWADNLRLMDDPTVSKRIVSVAGSHLTMPQK YGSHQWGYLIPKTNDGRVLYLLPWLGNIIMGTTERKLDNAISDPTVSKEEYRWLLQSFCD EFAIDASEVAKDVKSKWCGVRPLVYQSNALSTKEVSRTHEIEVSKSGLVSVMGGKWTIFR LMGEQAVDKVQGLIGNNQKRLENLPKLVGDWTQFDNKKEIQDMMKMFNLPQTYAAYFLTT YGDRAYDVLKLVHEKPENKESLHPQFPHTVGEILYQIRYEQARKPEDILFRRTRLGFLDQ NAIFNVYEKVFQIMAKELKWPEKFQKEFLKENFEYIRKLEF >CAK56954 pep:novel supercontig:GCA_000165425.1:CT867989:105005:105178:1 gene:GSPATT00027987001 transcript:CAK56954 MEKTITIIFLILLAIDFLKPQQKEEQWQESQEQVVNPPTRKHVNNGKVEILIQYCTS >CAK56955 pep:novel supercontig:GCA_000165425.1:CT867989:105203:105704:1 gene:GSPATT00027988001 transcript:CAK56955 MQQAIFSQFDERVEVMGMPYPLGQGKEILVQLLTIIQYGFIAGLIFFDKQISEMSNFWRT NISPSRLKYGFLGYIALNFVITQLSSSGAFEIFVNDQLVHSKISSGQMPTMDTLFRIVRE RLQ >CAK56956 pep:novel supercontig:GCA_000165425.1:CT867989:105732:107582:-1 gene:GSPATT00027989001 transcript:CAK56956 MLEHLSAAPYEPKVLSCQKADFLSATEHSQQCYIAQQYCQHDFLYFNYSILSYCWLNGSI MTTLIVSGFVGYLVYNGISEIIKEFLIPSLEAVKVKFDVSEIMAGVTLLAFGNGAGDVLT ALVASSYPGGIDYNIGATMGAGFFLCSIGVYLITKTSKSQIKMDPVHFWRNVGFQIISIF VIMVFGVIGQISYFSSISLTVLYLLLVSLVYYQERDKILKSRKDSLGERMQTVHEAKYDL EIAQKFDDMKLLYLWDKKSEQELAAKPVKWATPLIRLNYVTGDARVKMLAKKFRFAVQLT MANIETQKQKWERLSLYEKIRAIIIYPLMQVFKYTLPGPKEDQFDKNQAISKYQLIIALV FPIPGSIFFVSVVFSFPHWWVYFLALLFGFGISIFLNTTIPSQKTPPKYFFYLQLYCILG SLVWIFFLSGLLIDFLQFWGIITELNKTYLGFSLIAMGNVLPDCITLVSLAEEGYAIMAL NGIYFGQMFTNLIGFSVAFLKQNFVNQGPIKFNLFSIIDIEQNAFKLMVILAAFLNLLFT LIMAVRNHYIISKSIAKLLTIFYCVFFTLSSSSAFYHAWTKK >CAK56957 pep:novel supercontig:GCA_000165425.1:CT867989:107591:108694:1 gene:GSPATT00027990001 transcript:CAK56957 MGNNCTCWKHDLQNQIEQDKKSKPRWQSLEFLKSQEHKIVKMQSWIRGWQARQRVWKIQL QKYNDSVNEQLRTYSVSVINVGSRQLAPFDFGIDDINDSLVHQKVLKAPMKLENGSTYFG EWINDLRHGKGILICDDGSKYEGYFYQGNAHGRGRLIHSNGEIYEGQWENDEAHGQGTYI HEDGATYVGQWEHDLQHGKGSEKWPDGSFFEGAYKQGKKEGLGKFVWADGAIYDGEFRSN NIDGYGKYTWPDGKQYLGYWQNNKKNGKGKYIWPDGKVYEGDFVFDQKHGQGTLRFPDGR VYEGEWQQDKQHGRAILKLPNGKTSFGEWKNGIRIN >CAK56958 pep:novel supercontig:GCA_000165425.1:CT867989:109389:110601:1 gene:GSPATT00027991001 transcript:CAK56958 MRKLSLLILALIYVNAIDPTMVQLGTAKLDELNQSNLGKMILELAQTHAEMRGPLDDLVT AIGDLENELTAELQQLDDDYTRSTNQHAATQESLDIQIGQTEINIFNQKDFIDAILLPSI DQTNSKIDRLNGYINDNRDNLSKETVNRQKQHQQFLDRVSEHQSAISAVDEAIQLINALI NGNISFAEKGTINQAIERINTKTQKTNTVHPIVQALMSLTQNFSDQEQAKKIRDLLSDTR NQLVASLNQETADENQIEQTWVERQKTLNTEYQEFKRSVLEATYVLATYQSKLKSTREAL AENENDLQSFKDSLQQDKDAQAQETQIYNELKSQYQIQLQTTRNAKEFVNSAEFSNVIRQ KLNQGGLI >CAK56959 pep:novel supercontig:GCA_000165425.1:CT867989:111452:112683:1 gene:GSPATT00027992001 transcript:CAK56959 MLNQIKDKPLSQLILELQQKYQEDNEQSDIFQQFYQKKQEQESSTDSSHFVPALLSGTTG SQSSNSQENNNKNQACKKGIKKEKKQKNTGKGIQDKKQELPQTNDKKTVQMIKNRISAQN SRDRKKAYLQKLEEDFVNQSAQLNEMHEQVNQLQQQLEEAHRVNQQLQQQYSVLTCLNCG SRSFGFDDEDPIFVSKNKSLGKLGLSFLFIVALIACISIDLYPQTQNLKRIDFQENQSRN LNANDSHFQIFDHVSQSRIRGMTEIMEYNSEYNYKFFDTTYRAILQSDESLVNGLINFNK ERVKNNNGKALAPLNYHKPLVDSLYCPTIYKYQNQTQGIQVHYQDKQWLHLIIPKNRVKI FIQNTDNSIVLKESFTESKNEHRQIYQEIWCQIKSVSDFFI >CAK56960 pep:novel supercontig:GCA_000165425.1:CT867989:112778:115407:-1 gene:GSPATT00027993001 transcript:CAK56960 MKIHPFKHKEDNETLHTWSSQRQFTEMGSQVGLIQTHSGFLQPINNNIRKYRAKTVKNFI QLSTTATISPTNSQRQDRKLQFQKLIRKIINAQHFIEELRNYAEQLRTQNLRYAYKRRSL LPLFPDDIPYIIWGLIINLCTDFAAILFPLQVAFDFEGVGSQLTLAIQIIFWLDLIMNFF ICHVNKQLDLIYNFKDIAQHYLSGWFMFDLISVLPDFNLTGLKILKLIRLFRFFLFERRV AYNQSLDLLKHEYNLDLRLKKLMKIFMEMIVLNHLFACLWLWICRFNENTNWLSYYNFNE KDQFTQLVQAFFWAYQTITVIGYGDIEAHNSDEYLLVNIWMLIGVGYYSFTIGNITFILI QSNPNQEFDDQLFNLEDISVNMPEWMQDDLFRFTKYNIQYNPFWADDAKRILSELPHPLI LYMMAAVHKEIFRTIPFMSNDINFSAAILPNCSLACYQQFETIYHIGQSANDFYFLIKGD VRLCDAHGESIISVMEGTCFGEIESIEYTPRCWSALALQESIVLMCSAHFFSEQLQNESP QFFELQQMYKRRKIILFQQAKLKRQKLNKIRRGHAINENQYLNRKNTIAQQLDNLKQKFD NRPYQSVQQDLMLQIVGQKQARFEIIRERFVKAISKVKYYVQKSKKIQNISVDDPDYRII QSLINNRVVNSAWSKQKSKKFGQKLLSNFVKVCDDEEMIKSFILKSKQESIDRIMRKKLV HIIRNIAKFQKKEKVDKNKIDLFYGKCHSLIYEEIKSEKLEERKKQKQKLESIQQEKRIR TQIQALNSNFKLLQKFQTSISMAIFEINQHEFEIDALISELKQQLLLVQI >CAK56961 pep:novel supercontig:GCA_000165425.1:CT867989:115584:118544:-1 gene:GSPATT00027994001 transcript:CAK56961 MSLLFESDLGTQKQRSQTFKGILKYRQGFTMPHCDNNSEDASFEPNVCSNRKIEIQQPVQ NAFKGDILSPDPDPDMEEDSKSMLQIEIKEKTNLWVHGGLRIMFYITKFVKQMKTHSMEV KFKSLTNEIVDLIQDQASDADNLLHKLNKVEQVMQNLKWILNQLPVLDPDSNIKIIWDIF VLTQIIINIFYIPMKLGFGFEREDFLSSIFLETLPSWTFVIDIILTFFTAFYSQGQIHRD KQEILKHYASLQLWWDLMIVIPFILSSYSVPYTEYILLLRVTKVKSMIEAIEEVTNPSIN VQTILELFKSMFLVLFVSHFCACLWNLIGENQLENGKNSWLIAKNITDASWLTKYIHAFY FSTITTLTIGYGDIVPQTDLERIYVILMAMVICGLFGYTISSIGNILRQLTEKEQQFKQQ MMHINNFLKKKNINKQLMLQVRKYFEYFLRMEQDYNELGEKMMVNLDKKLKEQVAIDMYC EMLKKSRLIKQTLSLKSVQKLCSYVHELKVAPEEIIATQNDQANKLIFIQSGQLSDQKLR ETIVATIEEGKFVGEKEFITQARYDYSIRSTKFCQIAFINYEDFLRVVREDALEEENYCM LRDQMLFTEEKQNYGEVCCICKWTHQFKNCPLVFVHFNQDRIRKKFMMSEDMIRASHNRV EMKRMFQRNIIREHALAIIVNDNIISIDDLTDQYLDMLGFNMEDDQNGRIIKTLKSQKSN KSMLRGFTGFEQFSSDSSSSNESSSQFNKTKTPKSSKYSHLQSMEQKKVKFHPTPEPEPK VLRAQNSFRRKADNRHKTLQRLTQISNNRFTNITCISQDQVPQQQQQQVQQTQQTQQSVQ KLRKNNSSSYSNMDLEMPAKSLSKSEVTGSNMVNQLDEVISLRPSDSPNQIQRVDFELDR YFITTYYFTHFNLDKILQKLQKPRRTIRSEHIQSIIRKSKIKPSQYTPLTD >CAK56962 pep:novel supercontig:GCA_000165425.1:CT867989:119216:120046:1 gene:GSPATT00027995001 transcript:CAK56962 MDNYFTIISLLGLRNQNLPPFREARLKRYRSIKKMVELIETAGWTQPKVPFNAFCLSSQD PEWEDDMTYPVIEYNKFGYQAMAFGMNLFLYAYNYNVITQNIRFRTFRYLFPVVQCFIFG RIYFEYKSELTKVNLFDEYVQLRAQELVKENEFLLEHEDIKRFVWWYEDYKETLCRVHRQ ANDHAATDFKDSELILQDFIRRYTNPNSARPLNIQEKGVLF >CAK56963 pep:novel supercontig:GCA_000165425.1:CT867989:120268:121104:1 gene:GSPATT00027996001 transcript:CAK56963 MQQPLQQNTMQSSKAKLSSLSVEVIESAVEAVQIYDHFTGESQYAIIQKADKSQLAQLGI TLKNTVLLEKGRVLDSNQTSKLLSQIDNQKKGSDAQQISIKYEDNSEYLRNQNRVQKSIP FKIGDFDQQMGEIIQVEILSNEQLDNQQQGYQPQEQNHVQQQIVFEYILLVILYAGGISQ VKNIYLQLFDSLLFGVVIHSGVKRQQLFTLTHLFINLSIILGCCLIYTLGIYLRFQIFII GGLVLSFQKVNPNLQMVIIPLTTLLNIFII >CAK56964 pep:novel supercontig:GCA_000165425.1:CT867989:121231:121884:-1 gene:GSPATT00027997001 transcript:CAK56964 MSKHRRTKSSGNYFQINHEFLVKDDDFEYNETKLIIQKIQQMATQTQQIVEKLDVNTQGM YNNLFNFGKQQSKEKADLNLSQISESTHSAEYPSLKLCNQGLQSPIRMHTQTSQSLQQTI QYLEQEIEQMKIQQDEMHTVNMFLLQETQKNRQDLLRGQKVRLNYKQENEERIKQLISKI DKEQSNANGSTSDGLDLI >CAK56965 pep:novel supercontig:GCA_000165425.1:CT867989:121946:122437:-1 gene:GSPATT00027998001 transcript:CAK56965 MAEVEQLSEELTTLKRVVQTSLHRGQLSKGVHEVCKAIESKQAKFIVLADDCSEETYKKL VVALAKQFQIPVWRVEKGALLGEWIGISKFLTKTKKIKSRKCSSVAVKDFAIEVSEAEKQ FIEDKIKGL >CAK56966 pep:novel supercontig:GCA_000165425.1:CT867989:122527:123930:-1 gene:GSPATT00027999001 transcript:CAK56966 MRQVDPNVPLQKCEVWEAESQKERECKQIEIPKFTTVEGLPQLTLKIPPTYIHTNITYPD ENEYSCDEEDQAAGVDPLTIQQLDIRNNLSNTRNYVCQYELLNSINYPPEYYNAKVDRLQ RPLLRVFMRAQENDYRMNMAFRPRRSYKQKRLKRLNIQLPEFDVGRQIIYNENLIALQLI SQIKQRELLKLKLIKQDEQEFTNTFNNCQEIFNTLKDLRLALKEEEPQENKDHRSKIFDS CQDPECAYQFTPPLISQTLIEFMDDQYSTIKDKQVLWPKQPTVLSDWNLDNYSKIGVYQS NQQLVYSTFNRTLLIQDRTKKNKKKVKLMLVDRNRASVFDGVRADYEHFYSHYQRQLNNI EASMEQQRKEIQKMRQEQAKKEVMLKMLQKKEEQRRLLKIRLKVNYVEEPKIVKTVKIKH SDDLKFLLVKHDSSSFEQKQENCQIILQ >CAK56967 pep:novel supercontig:GCA_000165425.1:CT867989:124767:126222:1 gene:GSPATT00028000001 transcript:CAK56967 MSQQRRREQLEKISHFQKEEQDRNRREELEKEFLKCQKRGQMHTNSMMNWINKHQNKADP KAKEMYRYLPEERRKHIELTLLFEKFDNDGSKALDFIEVFRMFTKYGIFITKKELLDFFK VVDQNKDFSLNLQEFKACYLDSAARDIFAKIMKQLIERTQLTEEEINAALNQMNELNNQN SNNQNQNPIPALPKSFQGVISLLTYLNTRDEIKDKLTSKSVNIYEQINNLKQLIELSNKI DVVQKVEIEKKIKIKKSSEDRLNKFKNQLKQIKDRAIQTGQMMAEEELRQKNSAFLITVL PQRKYKYNYKSENKFKRIIPNKQEELHLPRYSDQKVNQFYEYMNINIPDQLKNINNRIWT KLFENNQQLDIILQKNRKNQLESQRTDRLKQKIKQTLDNLQANFETDFDSEANRKPTLES PQEKQSTQFPNFFTSRNLMSQTSDDFRTAKNIENASWILKVIFSLMKQ >CAK56968 pep:novel supercontig:GCA_000165425.1:CT867989:126376:127197:-1 gene:GSPATT00028001001 transcript:CAK56968 MSLSIFSAKTSYYKNGIWWFTKIAARVVGEERWDALRMARRVYGNKFYYGRQQILFDIFY DWPALANMIGIYPKVDTSHGFLHWATYEAYRDWQEHTLNSDGAFAMWVYLICGVYIAHFT YSYMIPYYWTNLFPVKNEEFVRLRMKDAIASTVQEELFGNQFAEFGWAPHDFHYNRQRCM AGYSHPDDPRTMHMASFNRKHKYKEHYMKKVGEQSRMAGL >CAK56969 pep:novel supercontig:GCA_000165425.1:CT867989:127848:128612:-1 gene:GSPATT00028002001 transcript:CAK56969 MSLSIFSVKTSYYKNGLWWFTKIPARIIGEERWDAMRLARRVYGNKIYYGRQQILFDIFY DWPAMANLIGIYPKVDTSHGFLHWATYEAYRDWQEHTLNSDGSFAIWIYMLCGIYIAHCF YSYMIPYYWTNMFPAKNEEFVRLRMKDAMATTVLEELFANNYAEFAWAPHDFHYNRQRCM AGYGHPDDPRTMHMASFNRKHKYKEHYLKRIGDSSRMTTVL >CAK56970 pep:novel supercontig:GCA_000165425.1:CT867989:128710:129903:-1 gene:GSPATT00028003001 transcript:CAK56970 MRLRLISAMFSSSPSQVLTSRVGSALNIKLNRPSQLNALNLPMIAELNQILDQANSASCI ILSGEGGKAFCAGGDIKTLYFAKTQPSEQNPPSALKQFFFDEYKLDYRLATLKPVLVALM DGIVMGGGVGMSIHAPVRIATEKSVFAMPEAKLGLFTDVGGGYFLSRLPHRLGYYLGLSG FRLKGADLVHAGLADFYVQSSELQNLISKIGTVQTQNLNDVKNIVKQFQPNQIPEFTIKQ QLQNIEPGYSGDSLEQLFKNLQNHQNQKFGQDNLKLLKEQCPLSLRIIFEQIKRGQKLDL RENLKMDYRIVRRIMQGTDFFEGVKQVLVDKNHVPTWQFKDALTIPQSEVDKYFAVLENE DELDI >CAK56971 pep:novel supercontig:GCA_000165425.1:CT867989:130024:131683:1 gene:GSPATT00028004001 transcript:CAK56971 MNSPKKNAFPTLNNLKIIQSSYGQRISKCTHLVIKKDTKKIIDTRLLSQTSIEQAKQRFI ANQERQRQMQKSLPPIMKRKEFHQRSVSVSAQKTPYSKYFYFVGEGNNHQLIKKLLDDRQ NWVQVKDPKSKKINFKWQETEKGYEYQTINQKEQPIQMLNHFEFHSEISDKFKLAVNFKA YCDKNRLNIYDYTPTTFAIECTNENLKSELFQFISFYRQNCPQHKLPELDKTIKQQFFSY TTLYDTKVNQYPTLFGNENLWIFKPSNMNQGRGIHVVRSLQEVLDIQSKYQGGYKEKLLE VKRNEQNEIINKVVYLNTLVTEQFVIQKYIEKPMLIDGRKFDIRAYVLLTSNLQIYFYRE GQLRLATEKFDTKVHSNYVHLTNNAIQYTHPEYGKTEEGNQYNFDQAQHLFKKDFRQEIL GRIKNISYTAFQTVKHKINKQKRKNCFEIFGMDFIIDEQSTPWLIEVNTNPSLEVTSKLL DQLFPRMVNDAFRITVDLVFPQNEPNCNYPFMHYKDDENLWDNMGYLQS >CAK56972 pep:novel supercontig:GCA_000165425.1:CT867989:131759:132839:-1 gene:GSPATT00028005001 transcript:CAK56972 MEEIDKHIYDYNFHQLRLLMQYPSKQNHMYQFIQSNPNWLLNIQFDQINFLYFQQWLTNF ITANQVNAQFILLHFQIQPYRLTLSNSQDLKIHKAYLIFVHNMLKNQINKEYFLFQSFFR DLTIEIIRKSLTNQTQDCLEWVTYSFTNIFHDSYEQSYLQLLYDNNIELLDFIFQLLQKT IDDKVYPSLIHNCIPKSYDIKELYSNQNKIGIQLSIKDLQFLMNLELPPLQKFKFYSLIT FMGGYNSEIQALFYPKEYKEAIHQMSIQQDDELISVFLRFIANMVHINKTVWEDLKNNGI FYYSYSLVNDLKPIIHFTNVHNMHQQREWATIIIRNLCDCDELREHLQNFQ >CAK56973 pep:novel supercontig:GCA_000165425.1:CT867989:132865:135022:1 gene:GSPATT00028006001 transcript:CAK56973 MSKYLDKYVEYETIGRGSYGSAHLVRQKSDQQVYVAKKIQLFNLKPKEQDDSKREVMLLQ KLKHPHIVQYIESFNENDTLIIIMEYCEEGDLSFHINRMSQRKEYFPEQIILNWFLQCAL ALKYIHEQKILHRDIKSQNIFLSSNGFVKIGDFGISRVLEHTQDQANTVVGTPYYMSPEV CENKPYTYKSDVWSLGCVLYELCNLSHAFKSNNLLGLVNRIVKEQASAIPSHYSKELADL INKLLIKNADQRPHTSEIFNFPLIRNTMQQFVAMQGKVQYQAPIKRTNTHNQIGKMIKQE ETTQQNTTNQYDNTIDTVQSIDFSQLTPQQRMQMKKEEKIQREQRELAQASKQSFLQQQA SQQRKIQDLQGGQSLDYNQKRKQQQQYHEELQRQQQCKQQDSQVNTKKAPQQVQPSNKSN MYNYDETLVSQYEKTSQMLQNESKKYNETIQNESKKQNETFKKELEQFDKTVDSEMCQST FDDTTRQQEKTVTKSQLDRFTTNYQKQELSVTQQYQDEEFEEYNSDEDDEVINHRLTVKQ GDSEIEEVVKLYQIQMDQTVKKSSSNKLEDIQETSQESWNSSSASPQKVPINNDDRIQIL RKKAISTMGSDLFNKAYQFMSHHLSKGTQSSEIRKNLEDIVGKSRMGDCMLIDEILYYEN YSK >CAK56974 pep:novel supercontig:GCA_000165425.1:CT867989:135414:138580:-1 gene:GSPATT00028007001 transcript:CAK56974 MFNIEFDQDVELRAMQNEDFEQQYLEHCLREYRKEPALTIPQISRTLSQKTIEAECLHKI SETPYDENFILTQAQSSDIFGLKYLNQHERRGSIPNAGTSLMCSFYIRRFIEKISQSRKK LLSMNEIHFNLIEDKAADMQNLFNHQSECKRQGFTLGKMKTLLRKETVITDLSTQEEIKE QIRKIKKSFLNFITVMVNKIPIIQPESRLKMIWDFFASFFRILLVILIPLEIAFQPCILF TDFIVLTAMILLVLQIDFLIRINTLSYRNGAAIQNRWELLVYQFKKEFLTDFSTSFLLII FIIIPEMDNNLNLFLLLTLAQYKYIHETFAKSDQISYLTRPLRGIIGLIKFILTLLFILH LFSCIWFWFSKLSLEDSWIKFNGLDLKSWELQYLEALYFAVVTMLTIGYGDNVPKNSIEK IITMVFILGACLWFSYSVNFIGGIINDITQNQVERNRKMRVINKYMDQRKIPFNLKHKVK EYLTFRWKEDDEVDLEIEQTLLEQLSDELKEELDKEAHKIFIQKSALLQHFSDELKDALS KSIKRKIILPQNTFSIDFDDYQHLCYVEQGVLLYQHLDRKQRYKMNAPIKQGQFFCVQDF IIQSTNKDYFKSNGYVSLLILSKLDFMTTIKNYPEDFQKFCEMRELMTLSLNPPQLENGV FCPACLCFKHSMTKCPQIQYIPDREAILKKYLLPQVQDRKYYQRDLTKRFNSDQIISRSR QEKDLIQQFAQVFQSENHQLISQQQKVQLIYEQDSISSAGDPSPSNKIQYEGGFQAAVQK SKRLISDPPNQTSSNSKLQDTKQSLHQAMQNRKQSVFNLNIPSNLGKSPKNFIIPKVDII KENEENEESNSSDDSRSSSNENHEVKNQLSASEFNENMMENVINLYHQLQRELELNENDQ TIQKAYLQIEPIYWSFHQQNVSEFEMMTTYDYFFKNFNYNEIIKLAQKNTFVWQSQYINK LQKYMLYPFLFISKYMSKKRNSKNTEKIKRDPSKRLNSRLNTLKNSLKLKKKQSVLLKPI KKLGQVAPEP >CAK56975 pep:novel supercontig:GCA_000165425.1:CT867989:139289:141024:1 gene:GSPATT00028008001 transcript:CAK56975 MKLSLSTEWLFFHLWKKNPDTNSSCPGVFVADTIIYRWAQPYFWYFTAKDGQILRKTKER IFVEQIEEIFQKSGDISALYLQSQQNKIIFEYFEKSEFVQFLHQREKALNALLQKFVEPK SSRNSMIKVSWSPQFCLLSRKTNNNDLKNNKIPIEDRLVTFEGPEHLSTTDSIASPILSS DIEQICLNIVKHIQDVSGGNIQISRMVLFFKIDEKNRLWLLFSSGVKVRQKFINEETISD FPKQKERIQSPIMLLQTQLKIGQTSKFSVDTQGFVKQTGSSCNNCSLQGEMYELTVQQYI ASYDQGIFDEETLIIRQKVSKNPVKKSDNEEDYYVEGSLNIPGLLLKIWGKMDIEKYKHL RNNMSFMNLKLQLCLDCYLKFTRIHVESKSEREAKQTVVSIPPLWQPSKTKIQNNQETLT LVSSTKQNKIYQTPAKQVQLPSHSQQKQQSQQQSQQQQSQLTQQQQPQSPIVIPKLSLPS KDISERVIATTHHTDRQSNFPSKRVAKIYNNLQGVSLPHSQSSLNTTRSTITHSQRLVSI QQLKEILQTEQL >CAK56976 pep:novel supercontig:GCA_000165425.1:CT867989:141055:142967:-1 gene:GSPATT00028009001 transcript:CAK56976 MAFVFQAERDINNASGSLPSNIGPGSYIEHRKYEPRPHAAPFNTQVSRSKPLKKSNSPGP GSYNVEFVTEGQRVVLQSAQSEVKIVEQQKQQSVFMSQTKRFQERKVMETPGPGAYETAS QKKTVQQEYTSQNYIENLMKLNKYQSIPSIPAASQVYGYTDKGLVAHDLELNKSPVPTYT GLKSDTVGPGQYQLKDTFNNNKNKGPSWYKSKVPKLAPPPKEQVVGPGAYDHENSIIPLY KLNPSGNFLSKSQRMFDQQKGQKTREFMRAQFENQKKKLMQNPVFADIENEDVEFYDNAT PGPGFYLGNQTTFSTASTFSQSMAKTQSGFGSKQKRFNEQQRQIQMGPGDYRIETNLIKN NMAMKNTPFLSSNTRFESRPIEKKPGPQSYNPKITLEDKLIKKLERAPVGKFGSNQPRFD NPENEQPGPGTYEPNFKDPAKSAACVFKSQTKRSIIGGKANLPAPGSYDVKNYTIENSTK IEKEEDKDLIINKPGFGSSLQRFQEKPKKDIDEENEEEETQLKHNASELFQKKKKEHPPF NCQEKRFQYKQKKNNLPGPGEYFEQKQNTWDKKTFNILFSEI >CAK56977 pep:novel supercontig:GCA_000165425.1:CT867989:143989:144227:1 gene:GSPATT00028010001 transcript:CAK56977 MKLSQLLRWSTTDGKHLIMRLFYFTYIPIILILGYKSMNIQALFPQLASKQ >CAK56978 pep:novel supercontig:GCA_000165425.1:CT867989:144302:145609:1 gene:GSPATT00028011001 transcript:CAK56978 MGEEYGFDKDRFLNYNQDIFDCPICSCVARLPKDCSCCGTVYCGPCVDSWLKKQSECINR CPKTSQIQNIQKSLKKIYDDLEIKCTYCPKAFKIGDIDKHEISCKLPKCVNHEICGNTIA TAGELENFKVCDHTCLVLSKVKQMKNKHDLYQYLKQYLNDKQSIQNLNTSMGISSSLNIQ ASINQDQGGSNSLLFKWDKQRMGTGITISDGDTRIFLKEQAYMFRTAIATFGFEKGIGYW EIEADDRTENELKIGVSTCRDFNYNTAFCDFEFGWAYYGLAQLRHNSNATGPSFGKRFKK EGVLGVCLNMNTGTLKFSLNGELMGTAYTDEKLKQGPIYPAVSLLHCAGCKLISGKPLPA IFQN >CAK56979 pep:novel supercontig:GCA_000165425.1:CT867989:145831:148179:-1 gene:GSPATT00028012001 transcript:CAK56979 MQFHYNNRLDLKACDIFAQSPSLGMNQQSTYQSTFGGFTSITTIFLVFLFFSSNFLDYIS GKNIITKQTQVYDNDLSNLKLDDQDFIIALGIEQQNFIQNPFFSVTLQQREYERLENGSF SKNVTQLPLEPCTLERFQTVFQKYGKNFSEDFNHLNLENLLCPKNNISMNIGGTFASNYF NFLKVEVSPCNPSQILENSTCASDDSLQDHLNQIGAFKVQVYLINKVINPNKIGSSYISV FLDDQSYLSFVPKKLNKYANIYFREYRFSNNLDFFPFKDNQNLNFISIDNTETKEVIDLG RDSDLSFASFYFRKSPIIISVERNNQSVTDLLSKLGGLLQISLIVMGFIIAAYNKQTMMV ELSNKIYEFSTDADEQNRQHQLNLDLINNVYEDRQFRHEYYINKEGQQQIIPNTQIKHKS KIFRLFGKGQQAEQHIILTDRPTTENNECNNQNSQDNDEKSNQFTQQAIKDHGLLAQQLK CVSGLDYFKKQINLILNRSQTLRFNIQIFLNQICFRKVFQNSQSVQFFNSALDKINEQLD VLNIITKLNEIDKMKEILLTNSQQLLFNFTSKPIISLEEDKEMPFNRTILEERARNTMQI RVIIRNETICDEKKKKSYQKRKPIYKQFNRTGNLDKDLRIYNRIYRAYDTILQQSSESNP QHDVNKKLIKKLGDEIQAIFKLSKLLDFDGLRRLRANSNNDMFCRNTQHIPDEMLSK >CAK56980 pep:novel supercontig:GCA_000165425.1:CT867989:149109:150136:1 gene:GSPATT00028013001 transcript:CAK56980 MQQKGNKVFKNLEQLQYYVSNDNFLEVIKSFLKFKATTLQFLDEIEQSIVNATVNCTPKE MIGLYDDYGTLDIIDWIQTITINEENQILKEINQGKQGKQDIQKLRNSYETTFKKSLSDL QSLMKSTWESINNQKEYQTDLTIKRLLKRDESIVLENNGVLFDAGLIAVCVFEPKSNGLF LKGLHQPIFFDGIYFEQPINSKETPHKIRFSLHKGFNLRESLYEEEFSIYDPEFQKVDGN NYLIEFKQKIKLEKGSKYCLCACAGQDDFFGTTQYMEIEQDNAYIKFQEKSYDQGEFIAP DHVFQIISEHDKGIFSALIVIEE >CAK56981 pep:novel supercontig:GCA_000165425.1:CT867989:150136:151506:1 gene:GSPATT00028014001 transcript:CAK56981 MKKQDWKFMQVFGDKASSDNVSDEDIISAVQFERTGRFLGLGDRAGRLIVFEVPQTKKKD KAEYQYLTELQSHTREFDFLKSTDIEEKINQIQWLRGQGKNMYVLTTNDKTVKLWKISEK NVTKVIKPSGKDLAMPKLQVVESGLIPSVRKVFPNLHNYHINSLTASNNEEFVLTSDDLK VYLWSVEQPSKAFVAVDLKPENLDELSEVITSSTFHPTLDNQFLYTTSKGIIKLCDMRKS GICDNTAITMAEPEDPAKKNFFTEIVTSISDACFSRNGKYIFSRDFLTVKVWDIAMTNKP VATVQVFEPLKSKLCDLYENECIFDKFSIASTLDSNSFITGNFNSTFHVVDRMGECNQQY ELNFNKKTIVRQIPPKYFENLGSSYDFNRKVQKLSMCQTQNLVAIACLNCLYFYTA >CAK56982 pep:novel supercontig:GCA_000165425.1:CT867989:151510:151725:-1 gene:GSPATT00028015001 transcript:CAK56982 MNFHLPQGKQSWPECVGKTAEEAKQIILADNAELHVQLLPENSMVTMDYRTDRVRVFHDE NGVVKQAPHIA >CAK56983 pep:novel supercontig:GCA_000165425.1:CT867989:151962:152309:-1 gene:GSPATT00028016001 transcript:CAK56983 MDQDLLRPQYESEKRKHKLKRLIQAPNSYFMDVKCPQCQANNTVFSHARGIITCIKCSSQ LGRSTGGKLQLVLGAKYKTKK >CAK56984 pep:novel supercontig:GCA_000165425.1:CT867989:152398:154059:-1 gene:GSPATT00028017001 transcript:CAK56984 MQQEEVVQDEAFNQILQKVIVEVNGFFELKEYIGAKKPSFNFIQTSFSNIVDQGNNRANL EQNVQKILEELLRNNVQRLFIRVFFQYLKTNQEQMNLRQFQNSGIAKIWKELQVQELLDF IELFDLKNLITEKQLHQHFKDLLYKQKFDDAYLFYTCIKLPQECFNKLIEQMLANNDASK AADFIKKQHIDPANYPKLVERLQRNCIKYMSKEHPWYKNEEMLLYQQQLLVNFCEYAYQN HMQKEALSIIKRNNLINIIKNPVQKQQMQSDLQNGFEEIPNPLFVKDEFKPVEEFINNEI DAYLNCKDFGYPENQIIFIDKIDENYFDAWKCIHSSNAVGYDCEHVTPWTKLDLDGFKVC FVQIATSSHAFLFDYQKLKDSEEFKNDVRQLLENVGILKIGLSLKDDLKHTVNYLKLKNI KIGSIVELQSCFKVLEGDQKLRSLAYISEFYFKKKLSKFDTCSNWEYRPLRKAQAHYAAL DAIISLQIYLSMVGKNNQALENLKQELSLG >CAK56985 pep:novel supercontig:GCA_000165425.1:CT867989:154113:155072:-1 gene:GSPATT00028018001 transcript:CAK56985 MNKPIYREYSNLKGDDGDPNNTKLIQINDDNSNQPIHNSIKHTIIDYNQYQEPMIFSPSN CNSERKELKMETQKETKAPKLIIQNIIDSDKLIEITTTDPFDKVEPVKTLKGMLGLETVQ YQKRRIKFRNHTYEFKVRQKKIFNWKSATMKKWNFNLNIILFIIRLQKNVNQKYLAKHQV QTQSQENAHSQHNQSQNKGNKICISILKLMFGVFLAILISFMLLPFILISDLFLRIYTYN CLYVKYAWSYENKCYRIILLLQLYMYAIAIVIAVITNIYHSLITTVELMYNIVGTIQNNI SSLSRLLFSTINTTFSIQK >CAK56986 pep:novel supercontig:GCA_000165425.1:CT867989:155072:155563:-1 gene:GSPATT00028019001 transcript:CAK56986 MDPHQQCKYIQEISYQENDQLRQRVSELEAKNAELQDEVEAINDLLEQEKTQCQQKDKAL QTLQVINQELNYRVQELQNKVAEKDTKIQELQNVIKQKDDQITQVNKDHRKIGSKSKTQR KQSNPKKQ >CAK56987 pep:novel supercontig:GCA_000165425.1:CT867989:155625:158284:-1 gene:GSPATT00028020001 transcript:CAK56987 MNQYERVIKDSWVMIMITLLIMFQSIFGNGSLIITLTIVAVQILRIILGLISQIKQYSIH FEMLQQWTLLFALYSSSQFNGMTILALCSLKEFFFSKINACLPSLNKLQITQKIVSDLIV FTIILLEDYNSFYLSIIAIIIHWLLLYHYSKSKIIRRNIDSSTQQEMHDKIMIDSAGNTQ NHSRLKLQERPSNQESPRHERDNKLEILSIFPLGIGLIKISDKKIELEYHNENLLKLSMA RQSDQILPSLFCLEQQSIQADQEKQQIDYKNSISSFSTFQQTPIPCNLINQTFRNEKRSQ TNKLQSSQKLELSRQNSVLSNVEYKGVILKKCDTLEESILEQELNLISSQMRRVDFQNQM KNQNELIQEHVVILGYQKGLDGKKSRTIEVKLYNALIIDVPYILMLIRDITHRDYIQQLR DYSKQKSKTLSFVSHEYRTPLNCIIDMLEQGVDDDQKYSSYTMIMEKEVRRSPKTNLPIK MAKKIKIALDHAKYLQNLSDDLLDLAQMKVGKFKINKAKFNFNQLLETCVDLFQVIAEKK QIKLYINYESKAPRMVYSDSSRLKQIMINLLGNAFKFTDEGSVTIKVAQINMRLEVSVVD TGIGMTEEDQLRIFQAFGKGNSEEHKKMNKSGVGLGLLISNQILQNLNQDLQNGLKFKSQ FKKGSTFYFQIQYQDINEIKSLNSLEERNQDSEESIIMQQQQEESIHKVYVNNQASIFKR STKAIMITQIMIVDDVCMNIDMLKRRLAQLGQDQIDSVTNGYLAIEKCQKKWQANQDYYT LIFMDLEMPTINGLQTTRKILELSQKYGIDVNIIGCSAYESSEQKLECLNAGMKDYITKP IQLQDLQRIIQLYL >CAK56988 pep:novel supercontig:GCA_000165425.1:CT867989:158849:159640:1 gene:GSPATT00028021001 transcript:CAK56988 MDKRFKHTLVAFKICPYCMKVLTIMCHKNIKFEIKFIEMSNKPDWFLKLSPLERVPILII GEEVVLFESAAIMEYIDEITPPKLMSEDPMQKALDRAKFEYSNEIIKNLYQFIFCLEQEK FIKLKEWLIKHFKQMDDWLKDKKYMNGTELSLVDFNFVPVFVVLNMLKPILPCDMLKDYK RLQNYGDSLTSMSCSKTGRVPDYEFLMMDGIKNKNTVLFRSNPCYFNGPKPSRCCFFGK >CAK56989 pep:novel supercontig:GCA_000165425.1:CT867989:160729:162473:-1 gene:GSPATT00028022001 transcript:CAK56989 MNKQSIDHFFNRCLRANLGRFKMRTQILIINGIILTLLIPSILIAYAINMYSIEQILYHS LQGSTILEMSKHLDTASILLNHQLNSAFIRTQISLTNLNQLYWLQQQNEIIATSQISRMC DYFQPTLPPEHNYSILCFSTFGQESSPQTSQQNTNVSQQLQSVTNLVGSLILSSMRLNDG YLPNQIYFISSVNSNQYGFLYPQLTIYPNYNPKERQWYKSHFENLQKDKNNNTQITNIYK YFDAQPIYSITMTQSMLNLKKEVEGLFCADLVFTNNLIPQLNINIMIVNTEGTLILSNYK NDIVSNSSLLISFYDEEITGFTTDDWNSILNYYYTKQINSTCNYEQFQILCRFNSIYNKD VVIQIIKLQNIDYFLILFYDIQIEEEIQFNINHLLHIIHQNLNQLILVTILASVGIISLQ VIIIYIIFLPMYKVISQSLYFLKKQQKSNQQQPQNLLQKINEFQNIIINKIRPNQNQQES NNALMQFKFKFNTLFDRVLEQRMTINPQCQIIQQFKYPRKGVYNCLDILNIIKEGQIQDN YYEQQSANPKSYSSRRFFNFNVEQ >CAK56990 pep:novel supercontig:GCA_000165425.1:CT867989:162691:164028:1 gene:GSPATT00028023001 transcript:CAK56990 MIYLIVVFLIQFNCQRIESRDQTLFYKKLNENVNFYCETGYIEVEDVTHSENKLFYHLFL KQGVESLEQVKKDDTFLLWIPGGPGSAATKYAFKYTGPFKVTEGKLILWDYLINEHSHVL YIDMPFGSGFSYSTKKYVVNTTEEAADYILQFIEIFLDSHKIFKQINFHVVGISYAGHFV PRIATKIANSNLELNFRGVFIGGSWTEALSQYRNQATTLISYGLIDQYRFEFIRKIEAIQ QNLMMEEKYYEAATSTIDITFDLDFLEYFDPDNVYKHTNDPNDNSYIQFVIGNKQQYGIP SNIAFNPSNFTILQAFYADTYMSYLSDIEQLLQKGKVMLYNGQFDYNISPAGVQVMINNI RSEVVQQWKKEHKNPFFYKQKQTQELLIGGTVKYYKNFAFAIVYQAGHLTQLDQPGSAYQ LLKYYLDF >CAK56991 pep:novel supercontig:GCA_000165425.1:CT867989:164180:164988:1 gene:GSPATT00028024001 transcript:CAK56991 MNQQFFGNSRLAIQRAQQLHQFFTQSVIYDQFKYTAKMPQYPKIVFLQSNQFIRNLVEKQ FLQYSIIDAQKSSGGDGVARLAEQVQLQSTQTNGALLFGFPNTAVEAESLDRLLDGINLA VRFKLSDSLAQKIAGSFLSCQSCGKVFNTSLPFITPTHPGYQNNCQSPSKCALESSSAPT DQVNAEVVNYYQQRGAYLEYEINEEHLLYDSQEFFEKLDNAVATHIKV >CAK56992 pep:novel supercontig:GCA_000165425.1:CT867989:165021:166007:-1 gene:GSPATT00028025001 transcript:CAK56992 MLSKYKTFSFCSTLYSKLGVSSNDSIDTIKSAYIKLAKLYHPDVNFAQKEQEFKEITNAY NILKDPIKRKLYDQSIDVSQHSSNYTHHESNQEKQYYNNNNPGWQYNGDQQRQWHENNAQ KNTYQQRTYKYTQSQNNTNQIYGLLAIGGISIVFWSIASDDDYSEKPKIRKSASTSVKIS DNPTSYAELKQIYDSIEQNDSKKMQRYYTHKSEEDQTELRRQNVIYQGQNLNDSPFIIEQ RRKMMNEEMKQKQFKIQVEQYEKVIKGDSKILKRKDSNPKKSELKTKQGDLTLQGFAKQN KLDINKSNPPQHNQTLNISIEHLEQGYM >CAK56993 pep:novel supercontig:GCA_000165425.1:CT867989:166883:169429:1 gene:GSPATT00028026001 transcript:CAK56993 MLIYKSSIYLQFSIKNTIFLVEVGMRQQTELQYIIINSFSLVIAVLLFGMELLKKQPNYM NRVGALILCLLNVELVYHEISSINTIQLVMFIVILITQFDDELRWHQIAKHSIIFYLFLR TLIQYQELFNLTQLLTCVLWQPINHLMLYKKTQLVINQLKKQSLDSSKSQFEITPQLCKD SSEQDEQCSSHFQGSSRISQNLKQLKQLYLNNPFSHFADMDQKTFSQFQKQASTLDVPQI WNLLPFGIALINSKYEILNYNQKLLYFLKASDSDGRNIILNLDLLLESPESWESKSINQF SSHCSNRQSRRFQTKRQLSLISKSNNEQSSLNPDGGTHSHLNGDFAFIASNSNQIRDEIM TTKSRYRNLDKLLKQFALKSYSLNNNGDCSFQSIGQSIQIIKKVQDIGSKKYHFRIKVYE LDATENKINYLFVIENITNKEELRQLSVRYKFQQVLLNSLCHELRTPMNNTLSQLNALTS LISPQIRDKNLIPAIISAKKLMFLLNDILEYAQIDCKNFHLVNSQFELNEIIDIIRELFQ QECNEKNIQLSLNFQNIKETQIIFSDKERIIRILVNLIDNSIKFTNEGGAISVTIQYDNQ HIYFCVLDNGVGISDKILAKIKNNKDFQMQDQYHKNETKLGLGLKICQQIAKYLCVDKEL KIESKEKQFTKISFRIESNYKQLNNLKFPSFQGCNFNVNCDCIQILNVDDVRFNHNAIEA LLNQHNIKMESAYNGEQAVQMVKKRFTQSCCCKTYKLIFMDIEMPISNGYQASKEITTIL NKNKLTDQTVIVMCSAYNGDENSNKAKACGIKEVLPKPIEQKQLKQLLDKYLL >CAK56994 pep:novel supercontig:GCA_000165425.1:CT867989:169449:172031:1 gene:GSPATT00028027001 transcript:CAK56994 MEILIKLLICQLIIQDIIQISFIWINTNWYYVLLNAFSLSICLLLIMIDFYKISTNYIKV GLYLLCVINYEALFQESLNIANTAELFYILLILFKVYDGSIRSKIVIHLVLIYMVIRAII TESEYRIHYLMLMIFLQPLNHYMFYTLKKLECTQQTQTYFKQESGIQQTLQEQVICLSND SKADNLKQDLNESVIVNQINDDLESPKIQQEHKSIGANRDTIQQRIIIKKQPINMQKTTT NSSHSLYHEQLKLESHIDSKYSDLVAIMSNLPFGIIFVDQQLKILDYNQKVTQLLGITNP NNIIPFLDEAIQKSGEIQEVRSAKKIKKSMNKSPKKTSYFKQPSINLQKRQFDDSIPDVI SQYYNCGSQQRMDNETQQEGNLTSVFSKFQKIHQSQQTSTSRENFQFIIRLDSMNASQCK SKYKSLKLKIFQIEEKGKWDQNVYLIVLENITKREEYKLLNHKYKFQQALLNSLCHELRT PINSVISQLYALKDELTHDLLESHLNPAIVSTKRLQYQLNDILDYAQIQCKALTLNKSYF KLEEIFQQLQELFYFECMQKQIQLTIEDDNQIRLYTDKERLLRVFINLLDNSVKFTNKGG TIKVSSELLKDQFKFTVYDNGQGIKDEIIEKIEEEAEILFQDSIQYNSNKLGLGLRISLL LTKYLYKEQKFQIDSKFNQYTRISFRLSNLIENCSLEFQMGRQLSRLEKNCDCSKILIVD DIICNHFALQVLLKKFKVKTDSAYNGNSAIELVEQRLKQQCCQTYRIIFMDIEMPQKNGF QASSEISQKLKQKFLHDECIITMYSAYSGDDDVLIATQCGMKERISKPTDIQKLEHIINK YLL >CAK56995 pep:novel supercontig:GCA_000165425.1:CT867989:173286:174621:1 gene:GSPATT00028028001 transcript:CAK56995 MLLFIIFAAFIDASKCVIYSKDQTNFFKSLLPSVNYYIETGYVSVGDLAISENRLFYSLH LKETIMKKSDIKPDDEFILWIYGGPGCSSQDSNFNENGPIRVDDDQKLHARETSWNKQAH LMYIDQPFFAGMSYSTKEGLINNSWDAADYVIELLTQYFEQNKELSKARLHIWGESYGGH YIPVLAEKIKKETNFNLIGIGIGGAWSHPRVQVIPTVQQLINYGVIDQYRYDLMMKSGIE SLEAIDDQDYEKFLIESDEEIFFNDVIGPNFRYNIQIYNYDDGDQYEDFINDHKKQFDLP ANITFEGCNQDIYMAFAEDQTISVLPSIDYLLSQEIKVFVYHGQLDTVVSHTGVEYWVNL LKWKELPIWKKQKKSIWKFINPKTKMEETAGTIKSHKHLHFCMVYNAGHMTPTDQPEASF QMLKNYFEI >CAK56996 pep:novel supercontig:GCA_000165425.1:CT867989:174755:176231:1 gene:GSPATT00028029001 transcript:CAK56996 MINQCSKKSFFSYFQLKWETITQRSFDLQNVFQIILNSKGKCQKAQLKLSKQFLCLNDVN IWLIRNQKEQLNVSNYMITKVEHKILGSGIRIYSFEFSLEFYGSIEKWFDQLSLYCIQTN FTQKYQVQKLVGAGTYGKVYRVKNKINQNIYAVKTFEKQLLSSLDDQEGLVKEIGILRTL DHRGVIKLHEVYESEQFIFLVFEFLQNKTLNDTLERGVTIAESQAFNIIKDLLETVLYIH EQGILHRDLKPDNILLRNETQKYVIIDFGLADIYREDGQYLFIKCGTPGYCAPEVLCNQN YDQKVDVFSLGIILYQMLTGFNPFYSKNYDDRYKLNKESKLDYSKVKVSYDALDLLQGML NRNPQKRLSAKEALNHRYFQQQKQHHHVPSLSHLSDTLLNTGSQISSPRYEGNFNFFEIG ESSSRFTSPLLSPKLSPRFEELDKEIVNHQNIYSLHVNNMKAGGQKINYDETLEKLIQKY QRT >CAK56997 pep:novel supercontig:GCA_000165425.1:CT867989:176314:177760:1 gene:GSPATT00028030001 transcript:CAK56997 MQYENDPIDRDTLRRDQQLNSFLSKIREQVMKGYVVEVKTRANTQHQVKILIKLVRKQLK VKFWAFIQEQFFDQRIIKDLDEIIIQSERDNQQTIRLTNSYCQSPPKVKWEIMKPIVLDD HLQSEQTFQSVPSKLDINSPLYQINKQLEEIETNQETGNCVEFIKILKQISLDLREHMKT SGDSKNQQIVNGIQMVRTLCLLTKFYKQIADFQHAIRSLKKIKKFFLITDPNLVGKIQIE LGKLYFLNQSYDISQKTFYEALLHYEKLQWKSEISSILLWMAKINSITKNFESAKKLVYG AISILKEYLQDDDEQIAEAYIILGECSYLAKNQEQALEFLKKAIQMKFKKYNTYNHIRFV EAYNLVGLTYGLVPDIQQSLKYFIQALQCFQYNCVQRAQILNNIAVIYQSQGDIEKAQKC HIKAKEIYTTYLPNKKHHQMERLLLNQTSLSRFQGNSQQ >CAK56998 pep:novel supercontig:GCA_000165425.1:CT867989:177840:179096:-1 gene:GSPATT00028031001 transcript:CAK56998 MDDVIPVVVIDNGSGYIKAGISGDDAPRATIQTVLGKPKQPGQMVGMDQKDTYIGDEVNS KLEVLNVTYPIDHGIITRWDDMEKIWHHAYYNELRVSPQDHPVLMSEPPLNPAANREKMM EIMFEKFNVPSFYLAIQQVLALCASGKTTGLVVDSGDAVTETVPIYEGFALSHAVMKIHL AGRDLTDYLIKLMAEQGKEFSNQKETERENAKDTKEKKCYIVGDFEAEMKIYQDSGKEIV HRMPDGNDITLGSQLFKCPEALFQPIKLSKEFQGIHELTFQSIMKCDVDIKKDLYGNIVL AGGSTMFKGMKDRLQKEVIALAPSTMKIYVQEPPERKYSVWMGGSILASLSQFQPMFITK NEYQDYGTGYVHRKCF >CAK56999 pep:novel supercontig:GCA_000165425.1:CT867989:179159:180659:1 gene:GSPATT00028032001 transcript:CAK56999 MKLKRFLLRYYPPGIILEYIRSNGEQETKSIDLLNLSNDTDVDQLVDEIVMEEPIISENR KPQLAALIRKLIEKIESKKEQSFELFKTLKGHMLPLTNCAFNKNGDKFITGSYDRTCKVW DTFTGEQLVSLEGHKNVVYCIAFNNPFGDRVVTGSFDKTAKIWDANSGKCLQTLVGHQYE IVCISFDPHSLLVATGSMDKTARLWDVETGKQIARLDGHEGEIVSLHFNSDGDKLLTGSF DKTAMIWDVRSGECIHILDEHTGEISSTQFEFTGDYCGTGSIDKTCKIWDIKTGKCIETL RGHQDEVQDICFNSTGTRLVTVSADATGRLYNVHTGECIAQLLGHKGEISKVAFNPSGNK IITASADNTARIFSETGECLQVLEGHTDEIFSCAFNYEGDIIITGSKDNTCKIWKEANLQ VKK >CAK57000 pep:novel supercontig:GCA_000165425.1:CT867989:181085:183010:1 gene:GSPATT00028033001 transcript:CAK57000 MDDTIKESAYLLSIAYLLLGLIFILLALYSIPCLWKAWKCKQFFPKIFYLFILAICCDQA TYQVLYLYEVITAIKQEKDENSQTESFRIIFFQTIYVPDAIFFVIYFSLFWQFLIMMHHG RIKTGSILVGKRNWNPQQANSKVRIILYLYIIGQATVIWLFMAQGLSPKAIMICDIAVNA SVPIIVIIMVLYLNIKFSGSPFVSNRYEKSNNKIQQLLWIWILLRIPQLAVNVVIVVYND ELIALMGGKLKVTFIEQLFLILFIVGDIIIVQIIPVMMAMSNSFVSALLLDHNRMNQLFS VETFSGEMNVQEQTQRQAPLKNNLRDDSLFKDLKLKDLEINDRQFFRKYNGFGEIKVATI KRDAKKYAVRIIQFKELSSFLIDETKQEIEQINNIKKGYKILWFDFNESSMYLLSKFYPN SNLNNFIHKNTGTDNQQIKDITSSYTNKQLLIRVNIASDLIKAIQNLHDQNVVHGHLNSS NVLFNDKFKLKIVDYGLRAIKKYASLVNGYANKNGFIAPECLSDKGSVVSHSAIKESDIY SFGMILYQLFTETIPFYNMPQKEIIQLVQEQQSRPKIPASLNSNIATLIRCCWQQDPVKR PNYNQILSTLKQISDSLE >CAK57001 pep:novel supercontig:GCA_000165425.1:CT867989:183179:184554:1 gene:GSPATT00028034001 transcript:CAK57001 MKFLSEFALLNLGNLICTLGLSLIAPFYPTYALKFDVKGSLLGLIFGINPIGGMLSSLIV GKILNNKNQTTLLSLGMLIQSIGLFCFPLLTLTNDRTMFITISLLGRFISGFGASAFLTP LYAAIPQKYPESVDQKMAIAEFFSSFGYLCGPVIGSLLYTLGGFSFPFILFASCSVIIAI ILKLNINNNAQKTIVENSEPIINPEYDINYQSESILQNEQLESSIGYTQLIKLFPVVSSL LVMLAVCMTFTFFSPISSLYFEEQFGVPPENVGYYMAAAPLAYSIGAIIISKITFNKQRA ILSGMVLVTIAQFFMGPDPLMNIDPQIYITVSAQFIFGLFSAAPYILILPYITQNLEKKF KKSDHEKCISLASGLFNAVISAGECLGPIFSGTLSEFFSYQRACSFLGLYLVATTLFFFP NLFLSKKKSKKSQVKAYEEYL >CAK57002 pep:novel supercontig:GCA_000165425.1:CT867989:184657:186399:1 gene:GSPATT00028035001 transcript:CAK57002 MQIVINRMEYRNVQLIQNGQEGNLYLAKQKNQEVVIKHLSLKEKEIKILEKLMSNPPSNF VKIIDFDRESYIVMEKGDGTLKQYLEREQFKQLSILQKIKLFEQIANGILELHSRYLIHR DLKPDNIIFLQQQDQTILKLIDTGQMDQLSDKVLFLSEFAGTENYRAPEFFQERIQYNNK IDIWALGLIFYEMITGQSLIQKKKQIKDSQYIKSKIESINMFKENIQELLYNMLEQSYFQ RWSAQSLMKELQRVIEIMELKEGLQKSREQCQILQTNCMSLSNTNLTLQNQISSSTQKIE FLDLKLQQQIEQSNNQAATIEKYQTQIQIAQEMNSNFQLKIKQLEKQNAQLIIESEKHKS DLIKQLEEKKMNLQQYIDKENMKNQQQIEQSVQCNLIPFKHKKLVLFGFPGAGKTWVFNK IQGQSQRNQNESTTNFFEYFLNEQNVTIVDSPVFLDQSNYDEREETYNNYDSYFQKNSID DIVLVVQYERIDLMKQYLNRCLKIFKEISAIIVTRFVDTQVISQNEEEQVRDKFKFCNSS IYFTNKNASQTDLLDIFFKINFNQISPQKNYQDIFQKYIK >CAK57003 pep:novel supercontig:GCA_000165425.1:CT867989:186668:187981:1 gene:GSPATT00028036001 transcript:CAK57003 MKTELEFALLNAGNLFCCLGFSIIAPFYPAYAKEFEVSNSILGLIFGMNPIGGMMASLII GKLLNNVNYSTFLYLGLIIQAVGQFQFPLLSFVHQYEYFLTLSFIGRFLSGFGGCTFLTP FYACIPQKFPETAAQKMAIIEFFSSFGYLAGAVAGSILYEIEGFYFPFLIFGSASILIAL IIKFGFQENDQILTEKQQQIQKENQIGYFTILKDFQVLAQFNVIFSMCLAYSYFQPIFAI FFEDQFDVPPVDSGYYMSLAPFSYCLGAILISRIKIHKQITILIGIGVVAISEFLMGPDP LLGIRPQLHLTMIAYLIFGFFIALPYVLTLPSINESLELRFEKKDHEKCICLASGLFNSI LSIGEFLGPFLSGLISEHLEFPRSCSILGIYLIMSTVLYIPNVFQNKFKAQRVKVQNFDE QL >CAK57004 pep:novel supercontig:GCA_000165425.1:CT867989:188102:188847:1 gene:GSPATT00028037001 transcript:CAK57004 MNKGWEVDEDQSLDHFLSLTECLVIKNQVVEKPTVSAKISPKQKMRFRTASMPKVEEFVE PKKEIAQQIQDIQIKQQPIKTQSRQQIKQPRPLDSRITIRSTLFQNPIEKEPPIKLGRTL QPKFFNPLLREQQHKLGLSRKLKSMNNIFNDERITYKRDFSYLKQQRSNIVYVALSK >CAK57005 pep:novel supercontig:GCA_000165425.1:CT867989:189749:192102:1 gene:GSPATT00028038001 transcript:CAK57005 MPQNIYDLQLKQQDRLLAKFQELFSKPTHVNYMSKWEAILVAIFFLLEGFWFTNLLPHIT LVTIYVIRILRCQSNQSLQVFAIRWMMMCKILTSRFLWAFGQEISQENLRIDFLLFCFQV IATVTKFRISNNKLIVITMVLVLQVCLSIFHNQKIDEVILVSLIGVLTNIWLIYHRQQQH QLEHEQKLKEFDGEAMKLKKETEMSYKTIFIADQQQSRQEELLKKLRLLKYEKLLNKSEQ TYYEEQVLQKQLSSPSRILTLRQLPEDEDKNSIEEIGETPSRRSPMRKIQRINSINDNSY YARTAGHSVKQDEIKENENFLTLDEIDDLLKIVSGRKEHLWLPQFLRSNKNINSGSSEQF SPEAKSFILNHFTECEFNFQMSSSQQYIQEQYHIPNMNDNLMKECDKNFNFDFFELNDQN KFINYCSFIFQKYNVNQVLKVKDNSIQIEFCHRIEQFYMQNPYHNSLHAMDVTNSALFFL ENGLQVTQFEQCCLIISALSHDVGHPGLNNGFLVASQSKQALIYNDQSVLESYHASLLFH VLKDDKTNIIKNLSDVDYKGFRKYCLNLILDTDLQKHFPLLNKFKNFLALGSDSQQDEQN KLLVLSIAIKCADVGHGAKQLNLHKIWSRRIIEEFFLQGDLEQQVGISVTPMCDRSQSVT KSQEGFLKAIVWPLFDAFSEYLKNDNFKTTCLDQINVNIAYWQQQQQEEEQKSEGQKKCS FFIDTNYIHLPQQNTNTMINLNW >CAK57006 pep:novel supercontig:GCA_000165425.1:CT867989:192161:194105:-1 gene:GSPATT00028039001 transcript:CAK57006 MNLIPETDKIDMAYLKSERIGKVITKGLAELYQVKPQFPIEYLAKWLLNHNRTEANRGSM QDHVSHKEALIEQQKKEQEVQEEKDRREQQLQDEENLRELEFQNIIKTHEFHYKLLVKDF CNHLQKKGLTGVYVGYVDFPSKQINENDDDENAHLDTEQPKLIKYIGANDDHNFMISQTL PLNEVGITGEVFREIPPPEEGQQPQSPIIYIPDVTKEQRLVYFKWKKLGAFLAIPLVYQS CLFPASLDAGIEERLRFKKADAEKKLDKENKINEFNARLKEATETEQDPTPIQEELDQYL ANWQDESEAPYQSQKRQFVVCFDTLGKDVEISQKDRQYFEDYVNLFALSWNQMECKLLSD DIDHMIAYQEQGVKERSEALTVQEEQAVESQQSQYDDLKDREKEYQFALLSIRVSTVAQQ IQQLQQELFSLKQVRVLKYPGLLLSLMLFLNYNKENLLEKGTNQLDWTGVKHYICEDMIQ KILDYEYRGAKTEEVPKYAKLNRIKKRISKYTVEQVEEYNVCYAKILKWMQYIIKLRVED IEIRREKIANLRKLIQETTEQVAKIKEEKELKFEEYKNSIQQQEDQEPPNREEWELKYDE EHPLPKIPENVPDDVDNDFDEE >CAK57007 pep:novel supercontig:GCA_000165425.1:CT867989:194123:195139:-1 gene:GSPATT00028040001 transcript:CAK57007 MHHYKKENRRPNQLDICSKTPSRLQTTRLLRVHSPSTSQSPFRSINNKIAVVNYLPPSTM PKSPTSQITYFKRKKSKQDDMLDETISKLSFLEKKIAHIMEKNDQLRSQLKKTEPDSVES FSYYLSGPTNSNKQSNCISQHTLQSYVNSVKHTIHTTHSPINSSRLQDKMVYHHRTSSKT PSVGKFKKSNITIRDDVQNGRGRKVYENGFVYEGDWVNGMRQGNGTLKDFNQNIVYQGQW LNDQFSGRGRFVNQDYQEDEFRLLNFKQFKLVQHQFTSYEGEFRDGQFHGQGVMTFNCEG EEFKFVGGFAQDAFHGVGALSKDEKVILQGKWIYGCFV >CAK57008 pep:novel supercontig:GCA_000165425.1:CT867989:195620:196409:1 gene:GSPATT00028041001 transcript:CAK57008 MNPYEILSEISTQENEENFRCPENFNTIMNNLNDSFEYSLDAYQKFSEHRALVKDAWIEK CLGKEEFMGFNYRKKVFLLFLEYLHKHLDCQKDLNSEAYAFYAQHYRNTAQYVNYAVEIP KIRHKIFELEVDWYIENISEDQDIVKNKHYPSIFQPILEQDPIEANHTRAVISHALEQLE AIAAMITKSNVKHYAKYIERYGWCLAGMVPLLPAQEQDPFQDNGIHQRWQKARNKIQEKE HQ >CAK57009 pep:novel supercontig:GCA_000165425.1:CT867989:197210:198659:1 gene:GSPATT00028042001 transcript:CAK57009 MGNCQTCLNNEPEQIEIVANNPTVEDMSLMQEQSPLLVLDVRTWQKESYSLYDYENSQFI HCQQISVNGNSYLTCKANKVLYNEGSYSNNNENNDEALLLPLEFDNERFQLLNLRFPRRI QSKELIDEYQKEDGDKLLSENQTVHADFQMSFNIQKLRTNTNRQMEGDLKKGSKIWLVTR SIQNNSSNEGIILKVGDRIKLGRVKLVIKAIQLQNNELQNTPDDISMSSVEDKNEDTKQC RVCLSTGETFTNPLIDPCKCCGGTKYIHIKCLLKWYSIHSHFNSNAYCTRLIWKSLECEI CKYQFPPVFEREGRTYNLVELSKPKQPYVMMEFHQKKQHDNASNNDRNGVYIINFGTKKE LRIGRNHEVEISIADISVSREHAQLRLVDDKIVLSDKKSKFGTLVLLQKNITLSPQLSGL EIQIKRTLIKINNNQNTHSNQQLADFEQLLGRID >CAK57010 pep:novel supercontig:GCA_000165425.1:CT867989:198766:200997:-1 gene:GSPATT00028043001 transcript:CAK57010 MYFYILFIEIVYGSQLYSRSKGSVENQNFRGCIYSGSNSDYVLFQCIQHNAFVPKLELTQ KQIGIYSQEKNGETYLSNYLICDLITKEGQKTLSIGRISNPEHSNLIQQEHNIMFYELTQ IDSIHTTISILRRVDKQNYIIELLPMLPGNVNQFYSISYKFNSHDNARIIGFSQYYNKIR NSLLLIVLKQNQLKLIEYSLFDKQFRDVSTYSLSENNVPQKWRMYKSGYIIITYEQFQVL YNIGEVLYEIQEIYRFDSQPLQMLTNFDGIYSNYILQQNMNGIKLFIIEENNYQFYSKSV RLIQQYDLPYSHVAFLIDQTQLMLIYDQFETMSAKIDHLTMDQFQECEFNIEELPKMNLQ SIQYQQRYEAQPQQQQLNQVQSQQKQKCQMPCDIIYGSLNISLVPHKSECIFESLYNQFV HGCNRFNSCYACMKQTGCEWIDEQCQGQQNQQSVGLNQNRESSKWFVNKIMNCGSEIEFN STYYGNVPKGSVFTWFYDANAYQQFNLLFSLQVDKLTKKKFIQQSICLGNDTQQLCDQIM ISDYKSDFIFKGYYFRMTIVMLEDILVNDLTVTFKQQDPQADTEDFKIKKLTCLLLGALV LFGIIIYIANKRMNYLISLTLQDSKQSLDNDSLSNVMDNMIKEKVLMKQCFSSQVLRYDE DKCPFCIEKYETKQEIVQIFCGHTFHLECFEDWIRINTKLVRCPICNQTIEYFLKNKEQF KKSIDV >CAK57011 pep:novel supercontig:GCA_000165425.1:CT867989:201152:202908:-1 gene:GSPATT00028044001 transcript:CAK57011 MNKYYIDSGEKQFQQSNREVLKQLDVTNRSEASLKTSVVSFACDRENKYEIEDYRTQLEE QLAENIELKRMLMDEIEKNKRMGDIDKSSQFLEQQDKFAQILNKKDYEITNLNKLLRQLE IENKLLHKDDQLESSRRVEKEKQLEKKIIEYEHKINLVLGENSKLNQILQERITQIEKMK HTVDQLERDKVQIAEKYEILIAEANNLSSREKCTIEKCLNAQKNEFQKQLNIVNTQNNQM TNILNQQKNSIEQLELQLEENQIQLEMTKQGYEEQIKEKEKLIQQREKENQDLMVKFNEL EKKLVQQNQQITLLEQQLQTNNEQVVIEEMQKYFEEQYDIKEKELEQQHQKEISNLMNQL NENQNFIEELQNHLTEIHKQITKFKQEKLTLKQQNQELQEQVKQLSSEIKQQEGLRYSQE YMLPQFNISCQSAMTDQSLCEDRERQSKQGRQLNKSKDTILQNKENQEKRDLKSTQCINQ LKQQSQQNTSYKAQFQQKVKKSNPQNKDMYALDTFGNRDRSISCLDMINKGIKFRESSDE EDQSPIAQFKQWKQQMTVRQ >CAK57012 pep:novel supercontig:GCA_000165425.1:CT867989:203301:203831:-1 gene:GSPATT00028045001 transcript:CAK57012 MDMHNSQNIENLSRKQNSQKKQEKFASRITFLRLVLCDKRTIRASAQICKINFSTAKAIL NKFRRHGVLQESNKDYDGQLDLLRQIVQIQKGIRCEQISKMQLARQKLNNQLQLFLQNNQ KQKYSEQFNSQMDKDELEQQLRQEQQNQYNLLRQILEQQIILINKICR >CAK57013 pep:novel supercontig:GCA_000165425.1:CT867989:204283:206812:-1 gene:GSPATT00028046001 transcript:CAK57013 MNLYILSYNSRNNSIQKLHLQQLSSQDIQIIELYINKIQLLNIQMKAQTEWLTRPGSLNT NSKFTKGERLIAKLTKLSPIIDQRIFERDSTLKQKKIEDIQYQLDRSLTQSQQEINVDRL NQSFQEDDEKRKLKQQVLDLQAAVKQLQQKPLDFDREITRSVVQRESLQSDFSSANQTIK QLQNKIDDLQNQLKQKNSLQIDTTNQHILDLQDRLAKLQIQCDKQVININELNQNKLAQQ KEIDSLRNLINSQSLTYKQEQSENELRYSKESQVLSLNLTNKESALMTTEQKLKQTQEYL YQLQRKYDQDTLQLRTEVQDTKDQSNRFKDQLDLQSKLLEQVQNEKAQLSMMYEKLQLET NALSFQLQQQLKNGESKYLRDSTTLQQQITEKELKILEQDKQKRELENKIFSLQQKLDQD TYNFNNTIKDLQDQISRTQRSLDDKTQQFNQLLEEKQQLQNSYLQLQEEISIQKLRYQQE LNKLETQQSKEVQWFQQQLNDRNQLLAELEAKIKATNDQLIAIQRRYDQEAHLNNQHSAI QDDQIKNLQDQIDQKVLQNTLLNQDKQLLNQQLDKLTQNYNDLKGQYNNDTDKLKEELQK SRNQYNDKQQAYLQLDNKCKQLSEELLQLQVRFEKEINLMNIQFQKKQQECQTLQDQLKS EQIQSYNMEQQNIILKNQVNQQMEDIRKRTEQQLTEQYQQLTNEQKAQFNLLVNSINQEN QQLKYEINQKGDKIRQLENEIQNLTIGSTKNQILISELQTANQQQKFQLNEQEAKIRQLI MQDFDSMRDKSADQQIRNLMGEVKILQQKLKKNELYVQNLEDQLQKNR >CAK57014 pep:novel supercontig:GCA_000165425.1:CT867989:208969:210021:-1 gene:GSPATT00028047001 transcript:CAK57014 MKIAFTILVVLAISNATMMSKHPLQYGGKRSIMNIMVEVENKIKAHSPLDSIKGVLNSFK SAVAEEQSGHDDVYNAQKTECDSEIAYRRAEVEDANGTLKIANGILKTSTILLKKTQATL GETENILNTVSLHITLINDARKEDTQSYNRGAVTFNDAINAIDDSIDLATALAKGQASLV QVAEMTTKLMKSAIATKMNKAFMQAIGALAQITQEEDSTSAAERLVQLLQTLRSNVEEAW ASYTAENTTALGLFSQQKDLYLASQTRLDEAKTHLSSKAENLQGTISTQTAVAQAATNKR SRNQTLWDDAADLCHSFDVEYETVTAGRRQELVLVNELERLAERRAAEQQ >CAK57015 pep:novel supercontig:GCA_000165425.1:CT867989:210807:212211:1 gene:GSPATT00028048001 transcript:CAK57015 MGSIVFLLFAFLDENEYIANQEDKLLAKMRKKQERELEQLKLYEQQQTEQERRKYSILES TRSKQQQVELALKEKKQMIEIKRKEKEKEKLLQVQKMEQEKLKELTEREISRDLIIQELH SNKNSQKSPIADKVKPSLVKKIAQGIINTQQEEILMKKFQLDKLQKEQEEQIKDLRERKE QLLKELKQAKKKQLKKVKQRNEEEKHAYQQEKQQKIDQYQKKLDEIEITQQAKIEQIEQK KKEKMEKLKRVMENYKKIMAEKNDKAQLKVSQLEEKINQISEKMKFTEENIEKIEKEKSR HRQNIKSRNGSIQNNKSQTFQDKIRQKEEEHLKSITETQSISKIKLQQEKQKKEELIRDH KKKAESILKEMVDIKNKKLKEKLNKAQQFKDEKEKLFMQQQKLARELDRQKQKLLLHSPR YKAEEK >CAK57016 pep:novel supercontig:GCA_000165425.1:CT867989:212263:212680:1 gene:GSPATT00028049001 transcript:CAK57016 MQQQQQPRQRTKERFVSEAMNLVKLWRQVYQTETKFVDGRSVRITLDQAAEIVGCPRKTL EDYYYLLRKAETLVNLEEKKNEKMGYIRKLCRENKKYKQQLKQEEECYQLNQFQFDDNIH DD >CAK57017 pep:novel supercontig:GCA_000165425.1:CT867989:212682:213446:-1 gene:GSPATT00028050001 transcript:CAK57017 MELFLSTDLNSQFLTLLAHYTRLVSTARVKLVALSNQALKLNEEGIIKIPSIPSLVTEDA QILTNVFSIAQYLTNISFTERILIGADNQTLGQVLQVFEAQRKIQGEDLVEAFLKHLESR VFFATNHVTLADLFLYIHTYDVVSTWNDEQKAGKYVHFFRWFKQVQALPQIAEINRTLGR VDVKPASPFVDIQSIGKQKKQK >CAK57018 pep:novel supercontig:GCA_000165425.1:CT867989:213663:214498:1 gene:GSPATT00028051001 transcript:CAK57018 MKLPIQWNYMAFTLLSLIILIMDIILMANQTLKPVWGSFVMIVAIGIILYLLYLGYQGYR IDSIQKLVLIGPSGVGKTLTYNYLQCTNISSYQGFTIGTSEELCVVDTPDFDLESTIEIR EKRITQFQEFFIKNQNSIRAFLVVVNFERTDLMKAKILKTIKYLNKLNSNLFLLVTNFEL SENQIEDEESLKKAFGFFHFEKILFHDKEINNTGLKQKLLQIMNTTPQKEINLKDTIFEK YGDTQDQQILQQITNQVNQKQNLKDNLLRI >CAK57019 pep:novel supercontig:GCA_000165425.1:CT867989:214544:215639:-1 gene:GSPATT00028052001 transcript:CAK57019 MKAIFGILAIIVITQAGFVQKYPLNYSNKRNIMSIMTEVESKIQARNPLDSIKGILNAFK SEVASEQGIHDEVYNAQKTECDSEIDYRSKEVQDANSILTSANGILKTAKIIKGKASATL DATEEILNTATRHIEMINSARKEDTQSYNRAAVSFNDAINAIDDSIDLATALAKGQVSLA QVAELSTKLLKSALATKLIQEFRQPMAALAAITQEDDASAAERLVQLLQTLRGAVETAWT EYTTQNQLALQQFMGQKELYTTTQDRLDKAKSRLVAKLESTEGVISVQTAVAQAAINRRS RNQNLLEDANDLCHSFEVEYEAVTAGRRQELVLINELERLATRRAAEQQS >CAK57020 pep:novel supercontig:GCA_000165425.1:CT867989:216131:217508:1 gene:GSPATT00028053001 transcript:CAK57020 MLRLFYKLRQFQFSTTQFDPYVELGLNSSATMQEIKDAYIRLSKQYHPDINKQHDSQEKF KRIAEAYNILKKTKFEEQMKEEFKQQYYSAQSEEQLFMDVFGFYFHEKPQEYYKPENAQK RHQYQEMLKKFKRQNNSSQDNQSESQYQKRDFSDIKINRKFEGHQGTKTNPQQIYTLIAI CSIAMGGIAFYITMLRKAPELQKEVINNQQISILRQQTQLRHQQEIDNIKQMYSNINQTM LRFDPKCNSLDMLFNYTIFPDLEFYYVSPELFYSDEKFFLKSNQFEKNPMRKSKLNYRIT HAIEAERSLQSIFVPVKEVLNQIELNGEYTPPGIFMQFYGYLYFKIRRKPIIIENLIKSK EYISLFDLYFHYQTPLPYYYDTLNEFQIFNDAGNTLSHWEGNVYFSTKSLDRFYLKGNVK NIFMQRPLQGSETEQMYMLAWGNHFEHFQT >CAK57021 pep:novel supercontig:GCA_000165425.1:CT867989:217717:218724:-1 gene:GSPATT00028054001 transcript:CAK57021 MYQYVEGAKFIQNLQKLDWKIGYDQLTIGEQLAQELLTATKIRSVNFNGNEIVKGNTFPV VYDEAQLNNSIIQLLVLNGQISIYGPQLNFQVIDHQFQYIQNEAFQNRYNMTSGTISFDD RQRIILNSEQLVTGMWSCASLLNLPKNQQFINCLLKFINTQKGNKRIKFTKQDSFILVNF DVSPTCILVSLGDPTLKLIYKQKVYRLWENFLNKNSFSSDLQFSINEYDQLENQISTLQQ EYSSMLKVLSEPSTQLIDSVPNKTSLLILSKSNHQTNNHNSIMSHQFQGLLQVSSQKLFT GRSHLDTKRGYYLSAGQLSENSFLQDIPLINQINQ >CAK57022 pep:novel supercontig:GCA_000165425.1:CT867989:218777:220048:-1 gene:GSPATT00028055001 transcript:CAK57022 MEEERPTQFPRNLPFQVGNVFKLHYEFPTSLVISDDGQKILYENNEKLVYVDSDSGRTIR NFDLFTKFIGLLDLNRTNDKALVGSADHQAKIIDLETGNCLHQLNGHLHFVYIGGFNPQS TIAATGCQNMKIKIWDVLSGKLTLDFEKHQQTPTSFSFNAEGDKILSSSYENDALIWDPK DGQIICKLQKHTKGIEVCKFAQSGYLCATGAHDNDANVWDIRNPGNPLFNLQGHTNFIKD LAFNKQGTQIVTGSNDNTGRIYNLIDGSFVAELQDFPGQVYTISFNETGDRIIGASNQKT VQIFDNQGVCLQQISQEGNFYINRTGNTFVTLPKAIESQGKIWRDARAIKN >CAK57023 pep:novel supercontig:GCA_000165425.1:CT867989:220121:221173:-1 gene:GSPATT00028056001 transcript:CAK57023 MEKLGEQRKEQAENAFLQMADHPSVGPAICVQHLIKGFVGKQKLQSSTKHIVGRLAMLTE LVKRYEINNANMPYQPIVDFAVKLLDDKNEPIRTQAILLLVEVYKFSGNRLKQSLTNVRQ AQLDVLEDFFNKIDGGGDIDDHQPQANQQRAIIQTNIETQGAKKGNQNQTQNQKPQQQQK QQQQQQQQQQYDQHNTAKCDYCDRVDPSFRDSDQIDKHLWSECPMLVTCSQCGQVIEIAE LTNHLLSECDHKRKFKRCPKCKEAILLSGYDKHLEDCRGRNDNTTVRCPLCHQDLKLEKN TWKNHLIKQGCLNNERTAG >CAK57024 pep:novel supercontig:GCA_000165425.1:CT867989:221337:222782:-1 gene:GSPATT00028057001 transcript:CAK57024 MKGVSKLKYRIVYCSGEDQDYPVTELLTQSPQSRGWQAPKYCEYPQEIAIQFVSAARVRQ VQFLSHHCKISTKIELYVHMPDKNIPPQYNQIKYKKLGYLSLDSNERGGYQARELKSVYI DTPCLFMKFVFQKCFVNKFNLFNQIGVIALSVFGEPLDSPPGYGQMKQKEFYNEIQFETQ FDQNTLERLRMLEEAKDKAVSREDFMEAKRIKEAIERLKQIGVQLRTLEERKAVAIQNED YDSASIIKQEIEKLRNAVAPDSMIRRPDSAQYQQPVYQPQQQFQQQQQQQQQYYQQQQQY QPPPVQSQMAFVPPYQAPPPQMPIQGEEMISQSQFEEQRADPSQMRQKRVAKELNQHHED MIVPAALKKQNNNQYPDDDKQQQQQYTTEPLTGESLQKAEPLIPILTEEFCQKIFSKQWG AREDGLKWLEEQIWQTNLSQLIGSLPSSF >CAK57025 pep:novel supercontig:GCA_000165425.1:CT867989:223380:225271:-1 gene:GSPATT00028058001 transcript:CAK57025 MLVQQQQRQMPATPIPGNARKVIENFSYALSDAIGKGFSSIVYKGRNDETHEVVAIKVID KKGLKTPLHYQLLRSEVEALSQLNSPNIMRLYKVYYTENNTYLITEFCDSGDLGQLVTKT GVQKEQNMQKLFYGIIQGYKQMKQRGIVHRDLKPANILLKGSVPKIADFGFATTPQTAAT MPNVNVGSPLYMSPQAFKNRYSEKSDIWALGVSLYELLFGQVPWQAGSERELAQRMATVP VQFPGHISDECKDFIYRCLIVDESRRATVEELENHIWLSKQELQPIKGNGFSSMFRSNQQ HNHPHLLQNNNAATPLGDTQNKQSISLQPRESNYGKENAVIVKQSQQSIMQQPLKSIKRV QESRKESQISQQMQQEYSSQREEDCWSESKKNDYIILAQLNFCRYLYRVSALMDKHRFVQ TPYLREKLMFLVNKNIMIKMNCLNELMVGQNTLGLEKFQQYMQNPQYTKLCAAIHQYNQK YTLQFNTVWLSLQNPDQKQLLVVDKKFDAVFDDNFTEYESFYIILNSILRCAIKEIEYSI ESKIVIQDTQLLLPMDIEGGVILLDYLITYFQLASLIQECFEDPYEFAVDSKIEQIADGK PVRLTYGHYIEIRNKIKQLDI >CAK57026 pep:novel supercontig:GCA_000165425.1:CT867989:225345:225810:-1 gene:GSPATT00028059001 transcript:CAK57026 MSFPNQDYLAMLKSKQQQQQQQPFQQQFCYPMMTPQPWNYWMMQQQFQQMPQMQMSPMLS QQQMMSPVSPLNVSMIPSQFLLQPQQTQSKLSTSQSQPLQNKQYVEVNGTKVKMYLKLIK EDDDLLDYDEIKREKQKKGNLTNKRK >CAK57027 pep:novel supercontig:GCA_000165425.1:CT867989:226006:227269:-1 gene:GSPATT00028060001 transcript:CAK57027 MQVKYQAIILGGGQQAGSMLFPLCQDYSKGLLPICNKPMILYQLDVLESVGFGPQDILLL ITRNHQAVGDLVQRRAEVVYVSDDSDSGSALLESCEKIKKDFILLSCDTMIGANILNLLD FHYSTKATITCMMKEEDLDKKQGRAPISCNLNESFDIMFIGSDHSLLHIINQEDDDQASL SVSRNVLLSCESVQIMTNLFDTHIYVCQYEVLELFLNLNKQKLEIQNWKEEFLPYIVKHQ KNVNLLNLIAKKQQNLFHERKQQQFSIKVFITQDYARRLNNIKDYQQANFESMIKGNKGI PLYQGVQDFQLQYPQDARISPDSVIGEGTRIGNKVTIQRSIIGKNCTIGDHVKISNSIIM KNVVINSNCIIQHCILSNESAVGHATELNKCNLGNLASVEPNQKLVDECIIR >CAK57028 pep:novel supercontig:GCA_000165425.1:CT867989:227315:227671:-1 gene:GSPATT00028061001 transcript:CAK57028 MIRFVLFLTLISLVLSIQDTTTHNDCLNQYCSAEVEACRKDQTCKKQISNCYQTAMDDLS PAGIQRSKAAYDLCLSTNSYAKVQNLAKCKQRNCPNKLLII >CAK57029 pep:novel supercontig:GCA_000165425.1:CT867989:227723:228097:-1 gene:GSPATT00028062001 transcript:CAK57029 MRLLVIIFVVTFICTVYAGGNCVQAFCYNEIKQCREDSTCQQHIAKCTNDFRKNVKKAQD SKAYKGTEHALDDVQPFQSLCQFNYCMRTHQGARAINDCSARHCLKTNLIGIDIEIENLE KIIA >CAK57030 pep:novel supercontig:GCA_000165425.1:CT867989:228229:229517:1 gene:GSPATT00028063001 transcript:CAK57030 MLPKFIKLRASFSLLNFNFISNLPPEVEELRSHVTKFAAEEVAPLADKADQEGKFPPHLW RKMGDLGLLGATVDPAYGGSGLSYSAHCMILEEISRASGGIGLSYSAHSALNVAQLQRHG NEAQKKKYLPKLCSGEWVGALAMSEPNAGSDVVSMKTTAKKVGDKYILNGSKMWITNGPV ADVIVVYAKTEPEKKQHGITAFIVEAGMKGFSRGKKLDKIGMKCSDTAPIYFDNVEVPAE NVLGEVNKGVYVLMSGLDYERLVLAAGPVGLMQAAFDISREYCNTRQQFGKPIGQFQLMQ GKLAEMYTTLQASRAMLYSVSRAVDSGNITNTDCAALIYYTSVNATQVGLEAIQCLGGNG YTQEYPVGRIMNDAKLYEIGAGTTEIRKWLIGRQLTQ >CAK57031 pep:novel supercontig:GCA_000165425.1:CT867989:229973:230684:-1 gene:GSPATT00028064001 transcript:CAK57031 MYGFKKIRNVNNQNEFSHYYFRKNMEQSNHINLRNLLVNIPRRNGGVKPKKEKSIKLKNQ IVKQIQEELFEKYTTLKQEIHNINTESNFISIILYGYQFQNTQSTLLESYHSLFLEFSMI KSKRQTYSDLLCQLLFRLTSTSPNDQSQNSIQKFNKTNQNTMSNGTRSSDNNNEQNGGSS KDGTQNIFISRYKLEQWKQLTIRGRQNKGCMIKICLQFITIK >CAK57032 pep:novel supercontig:GCA_000165425.1:CT867989:230718:231178:1 gene:GSPATT00028065001 transcript:CAK57032 MFKMGWEYIITQFGLVFNNKCIAFISESNNIFILGILKVIKNQLNIFNNSRIQFLKSSKI QMINLGIFVDVLSKKFGTPFFILFAFFQDIPKSSQYIFCKLKKLLKYLIIYAPFAHINIQ QQNNKLNLSKREHNIHVLSLF >CAK57033 pep:novel supercontig:GCA_000165425.1:CT867989:232431:232839:-1 gene:GSPATT00028066001 transcript:CAK57033 MITNNVIKIDQPIHIPQQKNTIIPKNHLNTLFLTFLLNKRSIYFYSQNSAPNSKESPYSI KIEIENRFMNLQQQKIKLVVIKRQQFLWLIHSFEQQLGNFNRERWIIQEVDLKCQKNQKR MLNQQFIEN >CAK57034 pep:novel supercontig:GCA_000165425.1:CT867989:234331:235158:-1 gene:GSPATT00028067001 transcript:CAK57034 MTEVKPPRESLVKYENPIEVSNANDASRTLQGKKKAQLSPLESKPNTEDILNAILPPIEW DHDGKHYIQYVSHVPATREDVGNLQKLLDERLLARQARQETGICPIREELLSQCFDEIIR QVTIDCPERGLLLMRVRDELKMTIAAYQTLYNSSVTFGMRKQLQAEMGKSELEEKIVQLE QRKQKLEEKRIDLLNKKDSLDKKIKERNQIEEQKRKQEIEFLKYQGQHLEAFLKSVQPEL K >CAK57035 pep:novel supercontig:GCA_000165425.1:CT867989:235260:236971:-1 gene:GSPATT00028068001 transcript:CAK57035 MKSYTIDKDLGQGTFSKVKLGIHKLTGEKVAIKIIDKTKQQESDYVRIHREISILRKLRH PNVVQLFEIVESDSKLYIVTEYASGGELFDHIVSNKRLEEREAARLFIQLIHAVTYIHEH QIVHRDLKPENVLLNEGTLKVVDFGLSSTYQTGQKLKTPCGSPCYAAPEMLQGLSYDGLF TDIWSSGIILYAMICGCVPFEDQNTKRLYEKIKTSDFHLPKYVSLQAADLLKKLLMKDPA QRITLQEIKNHDFIKFAGKYSIPQPLKIDNDIVQQMVQFGLSTQSEIIEMIQGNKHNQIT TTYYLLQNKNPQSQSQFSSQTYNKITSQLIQQAPSHNDNPPYVFKIKLKSKSPFQQQQND VTLEHIPQSPRKTHLENQHNISITKKIRSKTRSQEPIQKREPSLNNQQPLSKQIRQVHIG EFFKKTDNEFNQRQSVIPQGYNKFIITERVSIQNNTSISKIPSRQGRLSFKIEQKPLQTQ PNESIKDGKHQKSTSNTKKATELSSNQKLIQMDSIATQIQNNIQNRFLIYKKKIKQ >CAK57036 pep:novel supercontig:GCA_000165425.1:CT867989:237428:238578:1 gene:GSPATT00028069001 transcript:CAK57036 MGNNCTGQCAGCYKRDIEILKSEQHQIQSEKPQESQSRKSSKNFVEDAIPLSSKSEINTK PITKSVVDIVGDKSKKTRAVIKIQSCWKGYSVRKKLPIMNHIKTSFETEGSKSVGSYFGR LNNESKLKKLAKTYNGQWLGKERHGKGVQVWPDGAQYDGEWEHNKAHGKGLFRHADKIEY EGEWKWSKACGFGVMRSPSGAYYEGEWENDLQHGYGKEKWADGSYYEGQYYKGLKHGKGK YIWKDNSYYEGEWQNNKIHGMGAYHWIDGRGYIGQWKDGMMNGHGEYSWSDGRKYNGEYL NDQKDGYGVYKWVDGKEFRGQWQKGVQHGEGVYVTVDGRTKRGLWHEGKLVQWLK >CAK57037 pep:novel supercontig:GCA_000165425.1:CT867989:238583:239745:1 gene:GSPATT00028070001 transcript:CAK57037 MSLLSEDCALQNMSQDFIKRKYRGKENMSLNRNSSKLNSITQVIEKSQKFQSLKLAIGEY WGQVKLNKANGIGSCHFNAGGFYYGEWKNNTIHGVGIYFFNVGGYIKGEFQDGKADGNCE LCYQNGQLYQGNFKEGKQQGVGLKTSKRGKEVVQHKDGVRINVTEEHPQKETINQLLKIQ LPQIYTHHDRTVYGLQCDLTGLGVIQYKNGRVDMGWFQNGFLNGKGRIIFKSGDIYDGQL KNGYFQGQGFYVNYNSKQMTQGIFEKNQIVSIENQVSQYPPKGDDEQSVVDQRINIKNVH LKSRGYISNQYSSEKKPTKVNKIQNLIQHMLNPVKHTLKSESVQHEDVLDNLLSRVQSPL QRKNLEQIINQVTSPQRIR >CAK57038 pep:novel supercontig:GCA_000165425.1:CT867989:240177:241399:-1 gene:GSPATT00028071001 transcript:CAK57038 MQEFQSLKKLIEQKKSKEGNFTTIQDQRAKVEQERQKLLQDQREREREKHEKLVKKLKLQ DEYYKTKEIEKITIKRLDQQCINLEKDKIQQDEIQMSQDLPPIEKKEVQKRLRDLGEPIT YFGESDWQRYKRLMEFVQEKKENKQKELEIKILEGEDKEEIKAFLNKIKERNLDPSTALV PVFQCKGKEEIESQLWDGVSLLTRCEDVYMWCKKMLREWNIKLLDKFNTETLKRSLEGSQ AFNSYKQTLDYIKPLTDQLHKAKMVQSINEEILNALYLIIRFCVYKEYVRAYDKYLELAI GNAPWPMGVTMVGIHERTGRSKISSSQIAHILNDETQRKYIQAIKRLITVSQVSYPSSDR SKMVDFRTEYTW >CAK57039 pep:novel supercontig:GCA_000165425.1:CT867989:241463:241725:1 gene:GSPATT00028072001 transcript:CAK57039 MNTHTISQPKPLIRLIQQIKTDSVSVSSTQSYESHFSEIERPENPMIKNFIDNYEDDLDT FFDQLE >CAK57040 pep:novel supercontig:GCA_000165425.1:CT867989:241742:242371:-1 gene:GSPATT00028073001 transcript:CAK57040 MSCKKDNRMDKLQIRLKELEEENRVLKQEQNQLITTLLKQLSELQEQNKEILQNNKNHGT ILHELNKICGTNQTNIDLLFLDLNHKIQDYKQQLALLERTCKQYEEIVVHQNKLKERTLK SVSSQNIVYYSKKQSMESLDCHIKLESQLLDEEQSLLELLEIPQANKLCLKIDQLGQQII EKLRDYSQKRDSRHKLVQQLIPLKQALLL >CAK57041 pep:novel supercontig:GCA_000165425.1:CT867989:242849:243967:-1 gene:GSPATT00028074001 transcript:CAK57041 MDQQTQPVQISFGEQNCRCVSNIVFNKRHVFAVGTWNAKKNNEIYVNEYLELEQKIVQLY KIPINGMVEKIIAKKEQLYVIYIDPQQRKTKLCCIELAENGRHQVNFDLLYEGLTNVICP EDDPIIYLSSNKSIIQFDLNKQSFDNIIDDNDLYNLCEQDPHHKNLFVRSQNNYLCIQDT REKKVNKFKAHSLQILDIDFNPNKQYYLITGGEDCLAKVWDIRKTQYAIKSFEDLQNSVL QAKFNKFHDQLVSLSFDDGTISLYNITSVSSVPQLNKEDDYLVKQYDEHEDSIYGLSWSR GTAWVLASIGYSGHMIINTVPTTEKYKILL >CAK57042 pep:novel supercontig:GCA_000165425.1:CT867989:244103:244640:-1 gene:GSPATT00028075001 transcript:CAK57042 MQYVPLTVHLNALAQLYQQCAALSEKHDRLAKGIDIFNTEVHQLTIPTLIDQERVLQEQN TEAPQNQDYSSQKQNSPTPSQKTPMEIDLGSDDDVPMEQGTKPIQSETKKIKKNKLSVDA SKMLQCPECRKQVKNVAGLKRHQTRMHNNKPLKNVTKKIQEKREQGSKLT >CAK57043 pep:novel supercontig:GCA_000165425.1:CT867989:244797:248715:-1 gene:GSPATT00028076001 transcript:CAK57043 MDSASIIWENQQTIMFEEGIVLRKPSWFKKLFFVYVYPIMNKAYRQGLDESLINELESSQ QSYFRHLKFSQHLKLKKKQNLVDLILKYYLCMLFANELEYFLKGFLIQLVVLFSQLAMPL LTKYIIEYIYESDKDYHQALLLIFLVMLIRILNLLSMSHSRFMMKVYGYDIMSVISLEIM SKCLKISLLSNTERSIGEITNLIQVDVQRLIQAPNNVVNMIIIPIQLCITLTYIYKEIGS SVIVGIVIIFLSILQNNYVGKQIVKAQKLVLKSKDNRVKETTEVFQMIKFIKINALEQFF QEKIFKLRQIELKCIKDRLKYFSINVFMGWLSPQMILSFTFGLYVLLGNQLIPSKTFPII GLLSILAASLQLLPISLNDLLETKVSLKRIENFLDSHELMNDLYCKNKAAAASSALEITQ GNFFWRKECIEDQQILKNINIKVEKGKFVSIIGDVGSGKSSLIQSILGEMIYKINGEKPK VYRSSPIAYVGQKPWIQNATVQENILFGKAYDEQLYEQAIKYSCLSLDLEILIHGDQTMI GEKGINLSGGQKARISLARAIYSKAEIFLLDDPLSAVDAQVGNFILKECFINFLKGKTRI LITHALNYCKYTDYIYLMQKGEIIEQGDYQKMQNNLIFQEIEKKFEFDIQQQENQNITQG KDVQLGDEKEIDQNQEQIKDMDKTKSDLMTIEERKKGEIDQEVYIKYLQYKKNLIFQTIL FIVLIIWILSQIMANLWVTEWTSRYASLSDHYSEITYFWVFLFLGFIQSMFAYIRAAMIV SQSIKSSSQIHNDMINCLMFAPQCKFFERVPLGRIMNRLTKDINSLDVEIHINIAQFSTK ISQILSNNLLSIYVSTYLLIFPLIIFFYICLKIQRLYMKASRELQRLELISRSPILSYFT QSLMGLTTIRAYSQSDFVIKEFAQKLDYNKQIVNYSIAASSWFLQVLGFASLIVNTFAIG YCILYTSNPSFAGLILTFAASLDRNVQQTVDSLSLLENNMISFERCLEYTKIESENLAEV KTVEQSWPNQGRIKFINYSVNYRSNLPLVLRNLSFSIDSQEKIGIVGRTGAGKSSITLSI LRIVEALQGRIEIDDVDISKVQIQRLRSSITTILQDPVIFTGTIRQNLDPLETCSDEEIM KVIEECCLLQLINDRNGLNTSINEGGDNLSAGEKQLICIARAILKKSKIVLVDEATANID IETEQKIQQAIQRLFKDHTVITIAHRINTILHCDKIFVMSKGELKESGSPSELLKDKSSL FYEVYQEAMNHK >CAK57044 pep:novel supercontig:GCA_000165425.1:CT867989:249451:251034:-1 gene:GSPATT00028077001 transcript:CAK57044 MKQLRHSIECTQIDHDHQHVQYICIEPGCTQKEKGMCEVCKYGNHLQHACIEVQKLDELV LKAYEQEKEFNNNIYKTSHKRIKLSIEFLQKKLLQIMQELKDICKMQREESLLELLVETY VKNEKLNLEEYNSVRDLVIGNIDQFFGKYFWKQEIYTNNFKSFQQYSDNLLKQTILFAED INLFEQYGVELHNAQIQKFKEYFKQQNSKYLEILNSYENLQKQDICKTQPIEQFYKKQLQ KISSVDISNKLDLLAIGGSDKYVLVVDFKSANCQQELLISTQQVYSVKFSPDDQYLVAGG SKPFEIYGWICNNWNAPPIIFRGHTNYIYRLTFSEDSLFLLSSSADKTAIQWNIDKKLPQ QVYKGHTQNVYGCAISIKSNRVATIGRDEFIIVYDQQSANILHQWKGHQCEYGGSSINYL QNGQLMISSGYDGLIKLWNGNNYELLREFIGHSKNWIWSISIAQNQEYFGSICNDYTLKI WDIKETKPVLTFSNENNGAPGSELILKENQYVIATSTQSIKIWKLSL >CAK57045 pep:novel supercontig:GCA_000165425.1:CT867989:251054:251320:1 gene:GSPATT00028078001 transcript:CAK57045 MTEINFLKRKFESLRIVDDEAPKTTKKPFIQDADLYLLGKKIQSIHLVQPLQSMIKKPDI YQTCFLKKENYNPFLYIDRPITKEEDEL >CAK57046 pep:novel supercontig:GCA_000165425.1:CT867989:251662:252143:1 gene:GSPATT00028079001 transcript:CAK57046 MEQFEQQKESQNTGRDGRAINKRIKKQKTKKQQPSEQPVEDCSICYGQIVDKGIIQGCQH TYCFKCIEIWAQQNLTCPQCRVQFSQILRVWKQGKGKRQKMYDFHANEVNSDEDELISLD FLYQPIRLMPYFTRIWSLPFVNLILISSDSE >CAK57047 pep:novel supercontig:GCA_000165425.1:CT867989:252212:254164:1 gene:GSPATT00028080001 transcript:CAK57047 MIIFLFFLQTYQLRFNITTPEGNPSEFITLQTYQTVKLKVELGNYQLNSEKILLYITDQI SHEITQSCTLKLMNRQANELKIYVNATNTEEDSILTSITISAIIISPARGILTQPNLFPI ILSQKLIESYMMPFNLPTNKIYNLDVLNEDLEMPPQNQTPFGQEFWQEYQEKDISIIALT WIPFMGNCRDQGYYLYLYNLLENATFCDLVAEEDTKVVSMVPTNGFNAKGDSCNINLECY FLENLMVEYEGNKWWQSEQDSLFYLSKYALNYKILAENSDDSQTNYFYNIIQAQDESLIS VQFITAGVIKNSYPTEFTFQILYNQISQTEKQIVSAIITASNYQKVTSIINKYTLNIVYR PMDYLELINSFQFDITLYLVLYLVIGILINFGIFVIWVINKSISIIPDPPKLRIYQTFIV AFLPPITGVIRATIPIVVYVLILDNLFAHDLFSGITYNYDGLPTNFDNSQQYQYGRVGLM LVVSGIIIMKIACKLCIPKPIDEDWGIIRSNFEKKNEEKYFDFSISEEESKESPMKQDEI REAQVTSKNVDNNLQSNSISRQGSLFSKQQPVIPGSAPPITKEKEPTMPKNSLFKKATIL KQSSSIKDNQSLKDSISPVQLLQRQQSFMLSYPQKFLIWKSP >CAK57048 pep:novel supercontig:GCA_000165425.1:CT867989:254310:256181:1 gene:GSPATT00028081001 transcript:CAK57048 MIAAILLFFLGFSYEQLFYKNIYYFLIVLQFIESFVYWLLSEYLLEEQTLIQPLMACLEV VQFIMFMAAESFQEFVISFVIRLSLIIVFRTYINPIIRNFKHFKKKLMNFMNKWQPFFSL EQEQEKQPEDNISFYLGQHTDSKFTEDQSVEYVIQMTLGFSSKVHAIFIKPIVLVFIKLF EKESQITNNYYIGFREFNYYIYFAFFVIIPQIFIDIYVLNSLEMIYGFKLFDYFDYCKYR NDFRIQKFFEHSLTYDRSIDAQYRSIDNMKFTDQFYYNGSLVIYSILLCILGTTIMIRNN YVLYQDPAIFLIILFIYIFITLLQNMMLYIWNKIDVWKIKDTKQKLNLDSLEEFLNPAKE NIEQIIEVDAFKKHFIKLNKDWLVSNMELFINVDQFTQHDEFLLQTYQLLLNDERKAQKE IIRNEQMIKKQKELELKSKKKRRKTSQSQSMIKSQRDIEEIDGYQHTIRIKRSQAENLRK IVSIWYYKAKQTLFLKYLVSDVLAQNQAEHCKRCGLDIELRVVELIPFEKMSNQFKYRIM GTPFNKFEWIKYYETHQKFVTLCIDCENAYKSRFLQRQNQSANDVAKLASQNFVAKAFVF KWLYAARARMLHKKSLTVEKLTY >CAK57049 pep:novel supercontig:GCA_000165425.1:CT867989:256219:258104:-1 gene:GSPATT00028082001 transcript:CAK57049 MQSKFERSFFDEQTFDCSFWEKSTQKINVDKIEHQYILFQKSRKTGFWVSRNYIIFDSKL VKLRPNKNTIHQINLNKSRVEKIKYQNDQEKESCNKKKYGFRIIRNQRCREFYSRSKEMN QKIWEELKKQSLQISFKQDYVIEKIIGKGNFAKVYLCNKKSEKQQFAVKAFEKSKMINTE TDRLALLKEISILRKLNYKGLIKMYEVYEDETHIYLVQDYLQGGELYQHIKKNQKQPEQT VARIIATLLESLEYLHKNSILHRDLKPENLILRKKGVLDDIVIADFGLADFYDPLGNYIF QRCGTPGFVAPEVLQDKLYDSKVDIFSIGCLMYLLLAGKSPFKGSTYDEVVMRNYHCKID YQSIESVVSIQCLQLIKLLLHHRPSQRPAPKEALRHEWFMLNLDEKRYKELNNNEEQIQY TKETTKSSLTDVGSCGFMKNFVGPYTCEKSEFSTPQITHTNNKQNAVLYTPQYAMMQSIQ EQFKDSEQQISIKKIEDEFSDMMFEDESPQSHKLPQYQLIGKLKQVVDSNNSSYYASPII KRTPESNTKGLELRTPILITQVIENHAQPRMANKVMNNLKNFEQV >CAK57050 pep:novel supercontig:GCA_000165425.1:CT867989:258137:259366:-1 gene:GSPATT00028083001 transcript:CAK57050 MNSTAQVLFLKVEQGNVNKVIEILQQHPNLIDVENAKSGQTCLTIACRKNDGAMIERLIV QGADINKTNRLNQSPLWICSFYNYRRTADFLLNHGADVNQQDKKGFSPLMIAAQRGNLET VALLLNAKANIQLLNKNQDTVFDVCKDYDTLQFMIQTLQIEEVRVKQPDKFKPKQQQNVN SMKSTQTKFSNNSYRREKSESIPNLNEECYSIQTMINSICEEMQLIFNESIQKFRDCILN KQNLAEAKKSISNEYEQIQQSQHIDDNIQFQYDNFQYEISRQVGRTLYSIIHNLDKAQVK SPSPKNKKYLSAEPLIEATVEEEQSTPLSQFRNQLKKVETPSQIKEPLSRGSVKGENEQF NRMMTQKLEILNEMINSRIGSRKQ >CAK57051 pep:novel supercontig:GCA_000165425.1:CT867989:259395:260502:-1 gene:GSPATT00028084001 transcript:CAK57051 MGNCCNGNQMLDRQNPQIILVTDLQNNSLQVERAAIKIQSYFRGIKVRKDMKSMKNQYHC TNEHAPLTMVAKLSRMPNYLNEKTKKVHEEQGPFPEILEPDLPKLGPYEYNDQTVYYGQY KNGLKHGQGTQIWIDGSIYEGQWQKNSAQGIGRLIHCGGDVYVGEWQNDKANGYGNLYTC GWCKIRRLMDGIETWPDNSIFKGQYSMGKKHGHGEFQWKDGSKYIGDFDSNLIQGYGEYT WFDGRKYIGAWKNNKMDGQGIFTWLDGRRYKGEYKNDKKDGYGEFKWPDGRIYRGQWQNG KQHGIGVYIGESNVEKQGIWEQGKRVRWIHKGESVITD >CAK57052 pep:novel supercontig:GCA_000165425.1:CT867989:260538:261643:-1 gene:GSPATT00028085001 transcript:CAK57052 MQNNNGTLLAIMTVEISQDERDQIYIYEGDDPRDLGIAFVSKHDLPGRYLLKLIDSISQH KQQAQHTLNNEQKVTNDQKSNYFRNSTNSTPYKQNSQISTQSCQKKSPLISSRSHCRDFS QDVSKILRDRSAKEIKQLKPRDENELTFTPQINKNSEQIVKQKRQDNSVEQRLIDYGQKK EKRLQDLRTQKQQSQDLSNKSYTYHPKTTQRSHEILNEKNVSKTYKDIYNKTPDSNNSYV LNLPCADQSVVQQVWRASLRKLFNMLDKEQKGELNTEQVDLSQMSYEMLQIVTPVLLIME ERRTFKFDGFAKEVTNYCVQHNFVENLFSLLLQ >CAK57053 pep:novel supercontig:GCA_000165425.1:CT867989:261645:262748:-1 gene:GSPATT00028086001 transcript:CAK57053 MNDLYYQLKETAQQNGITIELIPSNRQSIAEMMPDFQKKSSLIRMKLQLIRNSNEEISRL TEVIQTAASTSKEKESMKKVDQLQSQINKNTAEIKKLFDEIDKLVKESDPDEPETRAMNY NQKAIQQEVATILQSGQNTIFNYNKTIQKKMKRQLEILEPNLTEKQKTDIIQDPQGLEKM VMKETLGQPSLQLVYRVQDIQDKYQDIQKLEKSTQYCFQMLSEIAFLVNQQGEQIESIEQ NLNKAKNYIERGEKRLAKEQEIHKKSRKKMCCIILIGLIAIGVITTPIVLKFVKSSG >CAK57054 pep:novel supercontig:GCA_000165425.1:CT867989:262770:263352:1 gene:GSPATT00028087001 transcript:CAK57054 MLDQRQTLSDINRLRNTPYIYYKQYQSIDNNQQYDTKQVKMIARPNVRYQMIHENLSKLQ QDQKKLRQSSQQRERTKTQSNYRAFSLNQKAPSNSRSQPDLTDDNQSVFVPYRQRNISQN SISISYDNSEKKKSFLPTMNNKDPMLQTFGKQVIILKPKRFPTEIFQGNVSKMKKQFQQN Y >CAK57055 pep:novel supercontig:GCA_000165425.1:CT867989:263377:264349:1 gene:GSPATT00028088001 transcript:CAK57055 MEISFDFDLKLIHQIGHGAFSQVFLGEYDSKKVAIKVMDPCYIEQFKKEVEILESIHHQN FAQYYFSKEGESSLYIVQEFLEGKTLTQLIKTVEMEEAHVKQIITELLNAVSYLHSQDII HRDIKPDNIIITTEGQLKLIDFGLSSHSESKLSYDKCGTLLFMAPEMIFKQPYLKSVDIW SCGIIAYQLINKKHPFWDPLESTSTFIQRVQQFNPNFSGMNEYQRSFFLKCAAYSPEARM NATQALQHPWLSGKGELWQPITMADMKTLFDNKMKTIYYIKTLMMMKFLCQEVWNYNVTK >CAK57056 pep:novel supercontig:GCA_000165425.1:CT867989:264370:264768:1 gene:GSPATT00028089001 transcript:CAK57056 MTPLQIPILTLNQNSQEVVLETPHSLNKFVAQVLSSLKATTCHHLLDLLITIKELLFLQI KQCCILSQSNSANLPKEIHKSQSCLHCQILLL >CAK57057 pep:novel supercontig:GCA_000165425.1:CT867989:264858:265211:-1 gene:GSPATT00028090001 transcript:CAK57057 MFIFHKKQIELLKQKKQSQTKSHSTIPPINLYKSNSQSNLALECQVLKSYRRMRFKQFQA NREVCLQIKSSMGSFVTTQLGSSQHDTSLPQASNEFGKRLKTLQDFYFAKTFITTNR >CAK57058 pep:novel supercontig:GCA_000165425.1:CT867989:265216:266645:-1 gene:GSPATT00028091001 transcript:CAK57058 MNQSTKNLKKSVIQDQKVDDNQQRKKNQENLKIPLNLYRSYTRITQQSLLEEIAPMMSQI EFLKKNCPEGTKIEEFAAQACSYFKYEQFSKGQIVFNYGEYGDKVYLILLGEVGVFISKS QEEIANDRDVIETYKSNMNPFEEEVLDYEALFKKKKRPQDLQMELLLASQRNPFEINNMY FKDGICLYKKVFQFYSGQAFGDMALSSDKPRTASILVLSDELHVLTMNRQDFKSVGERSI KEKNQTFEYFLINLLLPSLFNIFKKLNLPHKPFLWKEGDEPKFFLLIVRGRVEIYRSYDE DTLHGKIKEPSIFQSKIKPKKKVTLSQLSDNSFVGEAELIDNNPVRLYSCMTSDNTLAYY MERKIILIFLKFQKRSLLQQSLTYKEGRSTYSKTFQKRLADELEKLQYPDSTNTERVTID ELYRDTSTLNSKILRSQQKGMFTTTDIVNSNNLISTEDYSID >CAK57059 pep:novel supercontig:GCA_000165425.1:CT867989:267363:268718:-1 gene:GSPATT00028092001 transcript:CAK57059 MVNICLICDFFYPRLGGVEMHIFQLGLCLMERGHKVIIITHKYDNRTGVRYMTNGLKVYY CPFVPAHEQVVLFTYMGSLPLLRQILLREEIHVVHSHAATSFFGGELLLHAKSMGYKTVF TDHSLFAFNDAASFHVNKILKFILSEVDHAVSVSHISKENLSMRASLDPRNISVIPNAVD CSRFKPDPSKRYPLNTVNIVVISRLTFRKGVDLLVDVVPTICKRFPQVYFIIGGDGPKKK IIEESIKKHGLTDRVEMLGSVPGHKVREVLVRGHIFLNTSLTEAFCIAIVEAASCGLFVV STNVGGVVEVLPKNMVNFAKPDPDDICEKLAVAIPIAKNVPSHQYHEQVAKMYSWHMVAE RAETVYNKILSSPYPSLLARIKACQSNGPIFGLYLLILLIIDYIAIFILDLIFPYKNMSK PIKFNSEYRVNKEKYGDHQFKLN >CAK57060 pep:novel supercontig:GCA_000165425.1:CT867989:268995:269378:1 gene:GSPATT00028093001 transcript:CAK57060 MNYYNILGIQNTSSQEQIKIAFRNLAKMHHPDKNPQSVQFRIISEAYRILSNPQLRARYD QSQQQYEKQLQIYKLIRNFINGNGEIPLIHSKDLESNQNESKTLDIQQIQKKKIRKTQN >CAK57061 pep:novel supercontig:GCA_000165425.1:CT867989:269442:271305:1 gene:GSPATT00028094001 transcript:CAK57061 MQNKTSLVFDQAQRYAKIKKENEELLYSTLKLYQQYGKIDDPNLIATILDSLQTIEFFKQ NCPEGMKLAEFVSNAIKYFKYEQYDYGSPIFHYGEYGDKMFIILKGEVYVFGPKPQDEIN KEMEQLKQQEEGELVIKGQKRQLTTNVDNLLKIQDSRYYKDGVLLYQKIFQYYSGQCFGD VALTSDKPRSATIIVSSEKVYCLSMRRNDFKIIFQKSIQQARNTIEFFMRIFPGALQFNL SKFIQYLRPVVFQSKTILWQIGDEPQFFFIIVTGRVELYKYMDLDTLTGKSKINDEIKQG LFVGKQQYKAKIVLSQLAEGNIVGQEELLEDFQKRQYYCEVVDITNAYYMEASDFRAIKG NHQDIIDCLRERATVNKDYINKRKQLILHNFKNYDSYLNTLTQNQTSKEQKLKEIFSVPN KDNKSNSLCVRSQKQIKGSQNEILQQHIAFDQKRKLVEPQEKTELRFIINNNNLSYIRER LQRQQQPERNSQSPQKHVKNKVLISQILKKYQNKKIKRENQYLQLHLSHQLGRLWKLKTQ QICQDKDKGLRSQDQVMCNQEGESSQEKRVIIIILQQLQIQQKWKQEHINKQCIQQLM >CAK57062 pep:novel supercontig:GCA_000165425.1:CT867989:271373:272741:-1 gene:GSPATT00028095001 transcript:CAK57062 MKIILVLIITSLVFVRCTDQTSFFAELMGEDLPYYTETDYVKFKDVGSIYYHLILKEGTA NLEAIQKGDVLAVWLNGGPGSSSQLGNYMEIGPWVITKNPDTAAKDKPYIVKKREYSWNK VMHLLFIDQPFGAGMSKADKENVVTNSDQAANYFVETLKSIYTRLNGLDLVNTYIFGESY AGHYIPAFATRLLQDKETLDKVNFKGIAIIDGITDTENQLNYYHSYLYSIGAISQLDLNR LQKIGAVGQSYIRNGEYAKGAEQLDLMTDDKFIEQIGNINVYNIRKYKGSDEYDYSWADF LNNYIKQFSSEITKFQRSNEKIYNAFQKDIGESRLKDIQLLLERQYKVLLLNGQLDYIIN TPGAWNWIYQIDWKYKYQWKNAKKQFITSPIQGEENKVETHGYIKTFENFSYATIYKAGH MIPTDNPKAAYQVIENFIK >CAK57063 pep:novel supercontig:GCA_000165425.1:CT867989:274708:279656:-1 gene:GSPATT00028096001 transcript:CAK57063 MQGEKENKNWSDLENESFYSILCSLNFSEEQQSFLYQQIQQFQSSEAIINFILIENPDWE KLLKWVQRENQLKVQEGQKDQIKQQQQLNQQQQNQQQLNQQQLNLQNFNQQQQQTNQQQL MKIRNYLKQRINSNKEINSIIQYIQQSVYNIDIIENVLNCEFESFEEFVQLLKIFEGESK ILAQKRTQENITKQQSDNMLYEKQQIEQLFAEFQQLNRRNNLEIQNLFIKIYSSFQNQQI DTANLDFNKSPQLEYQDLYLLCTKVKKHFSYYPRPVQLLSVVELYSHENNQGRLAQIFTG EGKTLIVAMLAILLCKKKKVNVDIVTSSPVLAIRDAQELASFYKLFSISVAHNINGSQNQ KLKMFPCYESQVIYGDPHSFQADILRHEYSELGTMGSRQQGYIIVDEVDSMLIDGNSNKT LLSTPIPGMLDLTKVLRLIWDEICKSLPNLSTDKKVMIMNENEYYTTDLDEYVEKTLDLQ LKQVVYNFIPKFRINYIKFMKKTWIENAILAKFHFQESKHYLIDNNNVRIIDFQNTGVVH KDNMQWQKGLHQFIQLKHNLPITPLRISTNYLSNVGFFKRYQNQLLGLTGTLGSQVTQNL LAKSYTLDFVFMPPFKKRLLKIQPGIATLSKDEWYQEIQKSVQQQLNSGRAVLIINQTIQ DVQKIDVYLKKYKIQSITYTDDQQELKKVIGPKSVIIATNLAGRGTDLTTNEELEKNGGL HVIMSFLPRNIRIQLQGFGRTARQGKLGTAELIVSFPENLFVGGLTQLKTIQDALDYYST SQKKQSKNYLEVLTFFRDLSEQYYSNEIELEMKKLLNEDKCFKKFCQLAKSKVKINENRA AFQILEEKWGLYLEQFQETGLNEDEIENWLNSDEGQNPKYLVYQGLQKDDLKTFQKAAEI SENDPQVQYYKGLSEIQHGSSKEAIQTLEKAKSLFLTKINDEKGFATASKLNRIQVEQFQ DNQNLPDFQQSEIKVPKQNLELPDLDLTKDSEITNVSAIEFIKQNNVQKDTIESKINNHL KVYNKVIQNIDSILNTLKTFNPNDEVLDLSWIPVIMKDEKENGDLEQSIKDQQEVIDDGP LPKLGSLNKKKKEKSFFEYIAMFAIGLCQFVVGCAICAFTAGAALPIGQALISEGIADML LAVQSAWKGIKIDWGTWGQQKVINIAASLALAGPVGIKEALQLGGTSMKTLKKIGITEFL KKIPEVTIEGLKKSGFWLTDLEQQAVEQKYQSLQDVIKLVQNATNYAELENLFQNYNELD SGVLEIISQSFQESKGSVDGFKKCFCQLAQKYIKLSMAKCKQGSENGSIKKKVIEIGLRE GNKKYKQVKNDIEDYNQYKEAMNNELNYFSTKMLDYYQKDQEIQRIAFFLDYFYQKLCDQ EQVFKTITNKMIQALLSQSFNVQNMNVQNACNQLQISNSQSMNKFYQGIMRPVIYNLCNE LGAQSKYKDPLEMILKNEYKQHYNAKLEQLQNELQNLTNYQQQVKSRSDQCKLGNKSQQE IDHMNQMIVDFNQKRDLFNQQQHQLKEAEKLEQHELVKNIIDYLKREGMIMDDSLTFKPQ YLNVFNQYLKNQNFNDILKQILLLAMQSIPKEIDNFKSTSKKLFSNKLLQAIEIVMLDEI KKYIFESRMQKADEKIASKID >CAK57064 pep:novel supercontig:GCA_000165425.1:CT867989:280890:281330:-1 gene:GSPATT00028097001 transcript:CAK57064 MDPQAQIPNRTVVELQTFTLVGLMRNIIQKEEQDPKTGQIGKAYEEYFDKQVHELIPHRI HPKRTYCMYYDYQNPENQEEIRYKMVLGEVVSEVTDLPEGLVAVTVPAHHFCRLDCGPGP IPKVVIDAWQSLPKFNPLRFWWSQIT >CAK57065 pep:novel supercontig:GCA_000165425.1:CT867989:282766:284930:1 gene:GSPATT00028098001 transcript:CAK57065 MKLIDFDAQDNNINNIQLERILYQIFNAYQKNNQTIQPFYFAKIPEYIFQFFDQLIIFSN KQVHQFQIEQTQIVFFQLKISHTYYMFGVHLIKNQIIEYKLDLEQLSILKVVDLRENEII RPIEYQINENYFSIGVRNDMKTYILLFAIQKQQSLKLLNTIQIARDSFFFQKQYLIYYDK EDNLMIFNMADFEIQIENVRQFKSQRTSFNFNVFIIHFKQISIYCYELLKTTNNASLYYS FQNKTKILPTKYFQGPIDTLKILENDIFQIEGPLLVSKVSLEVEEAKVYTINLSTIFTKT KYFRIIYLSFQNSTMLFFNKESLYNIQIQENILLLDIILVNPQQILMILKNDKTEIFRGV IYQIDEVTHQLQKEPRFDVQLDLDTMSKEFQCFKTGNLIFIKGLKTQVIYQIVGFSLKSI PNKFDIIEILKIKGDNQLYVSLSIKDNYVLFFQILLINEFQLESKVSTTLYFENILNQLI NHIMYQQIISLYNFRNFQFLECLIEDGQVKITGLQMNGEISVISEIIIQMEDSSISYKLL KITRINIKPDRMQQVYYCQNKLILRFLSGETYFYDLTGDQVITDYMGRQTGLWYSYHVLN TTHVIIYSYLENKIVIAEIGYEIHVNEGYSGNHTFTLVAENKLSQIQINLTIIDINHDQI KDQNVIIIYLLIFILALVLYYLIRRMKLKCKSLNTQKINGIELNNQEQCD >CAK57066 pep:novel supercontig:GCA_000165425.1:CT867989:284997:286282:-1 gene:GSPATT00028099001 transcript:CAK57066 MDIQNLYGNVEWKTNEEPIIQSTFESMKLRKELLRGINAFGFIRPLEVQQRALVPLIQGR DVVIQNFRSTGKTTVMSLSVLSIFDLSVKKIQVLILQKTRKLTEENAGLIMALGKFLNVS IHACSEGNSIQDDISVVQQGVQIVLGTPDRVFELVQRKEISFAHLKMIILDEADEMLIDE SKSLVYCIFKYLPPKPQYVLVTATLSQDILDFIEKFFNNPLVIMDKRNELTLEGIQQFFI QVDKEDWKFETLCDLYEIASITQSVIFCQTKQKCEWLVNKMLESNFTVVQIHEGMSQQQR NEIMRDYKQGIKRVLIGTDILRRCLDIEYVSLIINYDVPTSKELYILRIGRKGKFGRKGV AITLIRSEDFKILNQIEQYYSTQIKELPINFTDIL >CAK57067 pep:novel supercontig:GCA_000165425.1:CT867989:286822:288218:1 gene:GSPATT00028100001 transcript:CAK57067 MTQKAQKYDQTKEKLNQQEQEKQSIKTSSIILQQQQTSDALKQTNENQTSIVIHVSKPME IKKSQFKSYMVYTIEGSDAWGTFKTERRFKEFYNLRLSLQKFWIGFYIPPLSEKILNPNK DQLKVRHKVLNYFVKRISSIQYLYYSVQFAKIFLRPNETEICKVMESLKPTDFLYQIQVM KQVFQITSEQYDEKRLQIYLKNVQTFLQNSKFLLFKLSRKIQEMINSSATLKEKINSVTG AESEQSEKFAMQDSIEDQDGKMKNYEIGLKIHSNLENMMFTRDKQLEKIHDLIKTEQMDI KAFLEGFKLRLEMVYQKNNDRVKQKQWEIEKLDIEYLSLNDQYQIKYIQESCSIEINKFN HFNLFMMNLEVKKVFEKFKNDKHQSYLTIIKDIADLELSNFSIMNQTWRGIENLAQLKLD KKTNYQMSQ >CAK57068 pep:novel supercontig:GCA_000165425.1:CT867989:288527:290230:1 gene:GSPATT00028101001 transcript:CAK57068 MFSPQIIENLNDYQCSQNHNRPIKSACIQPNYPQNKRFFCEECLKEITIEVHPLGQIRQK IEDDLKTSIQQCEIQISPFLNMLRQLEQNICSLKEYILQEINSLINITSESISKIETLIK KQFSYSFIDTLEKLSKQTPIYFQPQQLIHNIKAFNNTWISQLDERLGKFLQFEQSKKAVE ILNNIKHQQSFTNTFVSQQDNLFFYSHNASQEIQKNIKIGQNVFSIKHPYCYAIAINKEN NIIVTSSNKNLSIFQIGQGSIKLEQLCENKYSKFIVTLTFFKSATANNTFISGSQDSNIV IWIQNRTIQSQFSWEPKLLLQGHASCINCLIVNSFDSIIISGSDDTKIKFWSPEQSSNKW YCQQTIREHSNSVFGLSINSQGNQLISCGRDMLILVMQNQNNQNWQIKQKIQTQNYGYRL TFINENIFTFQPHLKNKSEIEIFQIDPNTKNYIKLRNLQLQGTKMTCYYYFPQVYIPQLS MLITKNGHYVNFIKFQFTSQHQFQDCKLEAALDFGVLSYGEIFGTTSEDGEYLITWDLLS QCIQIKNSIEVSQTYNDVFRTYSYVSI >CAK57069 pep:novel supercontig:GCA_000165425.1:CT867989:290656:298126:1 gene:GSPATT00028102001 transcript:CAK57069 MISFKVTLLLFLFCIQTKGIDLCNEYERFESCVQSDSNKCKWDGIRKLCERTFDFQQGCS LSLNRKACIRQIGNVIGQPAKCRFLSVCEDIPNLETERCENNLSKSGCISIANPEHICYW KDNSCHVLPRNQWYQVKEDFDQVQYSVSACSQIEKYLIIHSNLLWDLISYTPDLFTEANN SFKKEMGLEVTDDIIFDDPNTVSLQNNYQFSNGSFAWYTIRESKEITLTNLQKSYRYRYG CVAIEIENDNDYFNLITITDEQRGVNHLYCKYLSRNPNIADDYVFSDGVCKKFSNLDLND IQKTVKLNLSCKSLDYYQCIYFDSISYTCRLKGLNYEYPCVVQEDDYVADCENAFCTVKQ CSKLTFHYLDTDTNKCSDSCSQFPLNSQSACEAVKGCKFLGTSQRIMEKSCSPNDGCDHL GIEKMYCIFLKDQCGWDELQQRCYRIKDEEFKLMRCSQAFNAQSCVLITLSDQICFWNSI ILQCQNVLEQPILIYQTSLMDLQRVNPFLLILTQVSNENFCKYQQSFPSEYIPSEGKCNL IQSQIQSKDITHINMNACLQFYPGLKRWDSLTQQCINLLEVEIKELDCNTQVFVNNQVCQ KSKVVLPGYQCVYDRQSHSCKELESTQIQSFGCVGYGFRKEVCLSLNGPRVYCKFSAGIC QEITESEINSVRCSSLQNVNSQVCKLYTQSQTVCLYDKSIKSCYTPSVYVKITYQSDINR YGCQQVQDTLTYFDLVKNECISFSEDEDILLSNLECAQNYINQKTCLLITKSGQNCFWDT VENKCKNYSGNFSNCGDQDQFTSRICQAIVQNVQKQLINDNYCTYFDNKCQTKSTTLSDC GESEKMNVLRCSGLTGLNQAGEYIQMCAFIDFKCTSLIDDSMDAFIVLNQISCSQANLKA CSKVQTYGKYCQVIDYVSNNYFIIDKKCVQVDNNNQSCQIINTNFQKDQINPNHCIRAND SCYYDQSIGCQSPINDDLECDTPGLSYSGCILNTNNHRCAFYNSKCQYFPTYSTISNCKY LNQLACSNYQFKDCYWNGSMCDVSYDYGSDYSCILNQSNLFIVSNGSTCIYEEQESNYQL YTCDSKLNQFGCGSIPNQYCQFIQNQCQFYSTTQCEDSGTSCSLNNSLNLKCVLKDGKCF HFPYNHHQCDFFDETNYEFCLQYPDCVYVDQKCQQIYQNRSYWYCYYLTQLECLIQNKQY SCTWENEQCIEFEGSACPSLDGFHSYDACSKFNNCYYGYTKSGLGFCYQDANFESLTCEQ LDQIFCLDDMTHLNSSLMCYWDQSCKNINNTEEMQCEDLQNFQSSYPACASLNQELCMYS FVDKKCKLIQEYVSITICQGTTSKQCSQIKNTCYFNKSKCQTKGIPEQLNKYGCITQSGS WKFTYFTCYKLTNEMQSSCINLSKEACLGDLTKEISCQWINQKCINLFQDQNKKLLSCQN LNQRACLNVSLSNILCIWNETIKICDSLNISNTDCSLNNLDPLMSVSVCASSTTKSCVRH YDKTKCTEIKVKLDGCNLFGLNKQACISLTKAPCSWIQVGDGGYCNDVNIYTTLCEDFVN QSACLNIQTQGQVCKWEESTQQCINYKFTSCESANNEHSIMACKGVTEEACYYDPWQKSC NQILSTPNICSSNFNSRACILSIEVCVWQSNRCYNNPNLNCLNYNTKIKCLQSNAQNCQW LDNKCQQFQPLVIKVYCYELPTNINNFACMNNSIDPCCFDSNFMQCYADQSNKIAKIYEW STITTILAFQQPGQESSILNGKCEELKNPNYCLKSRIPNISCIWNDSCQEVTQFDNLSCQ DSLNIWGCLNITNENQFCFWKDQKCLNWNTSLGIMENVNKNVCIQYSINSIYELNSCVEK SIESIQCTSDGISKATCLSIPNKQCKWITSCIDFTQTTETKCHDYTNVTYYVCQMTDLAC NYDQEQHNCIEISKEIIGFGVSKSSCVSNKNISTFWNSNGCQELYDFIDCDTSLVVNELA CRKNVKNTACLYDSISNRCKSNFNRQSLQCKTLGLNLLGCIQVQQEPCIFKDNKCQVFTG TSQICMFINLVNSKACASVINQQCSYDSINFKCQSPLINENYCNVSGVNRDACETNTLCM WSQDDLDCKCNSDQKIDTCQFSNITQCRSNSKCYFDLDIYKCIKKQCYHLKEVECAAIMD NKTCYLSQNNSCQPATKCEDIIDSKQSCSTIYIDLQPCVQAGASCISINNYSSYCSYSDC SNSNCIFKYGACKLKTCDDYTAQECQLVQGCYLDQEKTCQQLLVCSQITQEIYGDQVTYI CNKSYVNGLICNWQRYSLLDDTEVCTNQYCEIYGSSTTLCQGNEINGYSCVLFNQLVCKQ CEYITEPCFCDQQKNVCFYQNGKCNSILCSNYLTKDTCSQVSDRCYWSTSTENNSQISLC LKSCEKIMDAIECNSRSNECYFETSKGLCVKGQKQIPNLSSEIVIEEFYAIIIQGYIFVF LIIFI >CAK57070 pep:novel supercontig:GCA_000165425.1:CT867989:298810:301509:1 gene:GSPATT00028103001 transcript:CAK57070 MQLLTSLTINAESKNTQAKSTKTQNTQTFKVHFYPKQYIIETNQKTITIDKHLIIDRDNG NLYEKDGAPPQSEHQQMAFSAFLGTIYILDKPFLLLVEEAELICTIDEQDIFQIASVAFL PYEPNEKIMQCAKANEILKMIGHLRKLLIMGFYFSYGYDLTLSKVKQKIEEKTEEKFLWN LNLIRNHLKQQIDRKWLTNIIQGFINYFYLYINGKKLDFYLMSRRSSKRAGTRYNARGID DDGNVANFVETEQIIYYNNHCCSHLQVRGSVPIFWNQRGLLTETKLMRSAELTKSAYKKH FKGLLEDYSRVICLNLMAKKKSAEQMVTEGFEEQVKLNSDELNGNIRYEWFDFHHECKNN DFSQSNPLVRKLMEHIKNFGFYLEDLKTKKVLSVQHGIFRTNCMDCLDRTNFIQTKIAMS VFDLSTKQLGVDFQNLLKMSPIEALDEDSSKITNYFIQYFKNIWADNGDMLSIQYTGTGS THTNITRTGQRDITGSLDHGTKSLIRFVNQNFVDNDKQEAIDILLGNHADITASYSKQID EKLKSRFQDFCSFSDRSILVVTWNVGGQTVSQSYDLNKQIVDYAADIIVVGLQEMVTSGT GLVVGSNQYLQDWDKLIANNLRQKDKYIYIKSKELLGIGLFIYAKERFQDRITKVSYDTI KLGFLGQIGNKGAVVIKMLIDDTLICFINCHLEHGQKSLSTRLQSLKDIHQNIFNQIGIG KKKEEKIEQCDYRILFGDLNFRINQEADKVITSTQRHKLFIDQSRIHEAKETLQYIVHLI LETCKKQNQYLKQYKESDINFLPTYKFEPYSNIYDKLRTPSWTDRILVGQNEHCEHKYQY YKCHDINYSDHRPVSALINVRTRKEIAEKKAEVIQSIYKNES >CAK57071 pep:novel supercontig:GCA_000165425.1:CT867989:307957:310311:-1 gene:GSPATT00028105001 transcript:CAK57071 MLASCSYDSSIYLWDVKSRELKQKLEGHNGTVWSISFSPDGSTLASGGRDKSIRLWYVQT GKQKAQLEGHTCGVLSVSFSPNGTTLASSSGDKSIRIWDVNIVHDKSGGYGHSNYVRSVC YSPDDTLLASGSGDKTIRLWDVKTGQERQILKGHCSEIFQVCFSKDGTLLASGSRDKSIR LWDIKTGEEKYRLEGHNGYVSTISFSFDGITLASGSGDKTIRLWDIITGKEIQRLEGHNG YVSSVCFSPDIFTLASCGEDKCIRLWNAKTGQQASQFFGHTHQVYSICFSPNGNLLASGS DDKSIRLWDVKEGQQISKLQGHSGGVISVCFSPDGTTILSGSADQSIRLWDVKSGQQQSK LIGHKCGVYSVCFSQKGTNVASGSYDQSIRIWETIKRFDKKQINSLKVSRSEKKTNFTDI NQNIHFKADQQKVKLYDNNDDFLSFSSIGTTKAFGNEGNNSIYLRDVKTGQQKAKLDGHS SAVWSVNFSPDGTTLASGSDDNSIRLWDVKTGQQKAKLDGHSSTVYSVNFSPDGTTLASG SLDNSIRLWDVKTGQQKAKLDGHSSTVNSVNFSPDGTTLASGSLDNSIRLWDVKTGQQKA KLDGHSSTVNSVNFSPDGTTLASGSLDNSIRLWDVKTGQQKAKLDGHSSTVNSVNFSPDG TTLASGSLDNSIRLWDVKTGQQKAKLDGHSSTVNSVNFSPDGTTLASGSLDNSIRLWDVK TGQQKAKLDGHSSTVNSVNFSPDGTILSFGCGVKSVASGPCPNKSNGQIKSSIQMIIVML AYAM >CAK85770 pep:novel supercontig:GCA_000165425.1:CT868545:633:2255:1 gene:GSPATT00039728001 transcript:CAK85770 MKNLIQTICFFLLQTALAQLMMHKYNQLEIKGIEFDWSARTMPIGDQQLLIAQNIRGYDQ LFQIQLMIIDIGKNDVVKQITIGGVDESNYCPDILIMNDSIFIAFVSEKGSILNVLVKKF NLFLEDSRIELNLGTTIQEVKIIQKMQFICLFQLNKNLLTVLWYAQGKEYPTKQWNMILY NPTTGVKGEILILPDSEFVSVTQNNLGIIAIAQSNNNNIILSKLFEGKLTTRSISSDIYY FHQQRVTIRSLSTNEFVVVRWTNYIDIILRRFDRDFEASQSPFRILAKEFCTIDRIQQID ISLTDSQLILVNKCQEEIKIQQIDLLNFHYNQYNSEQYRQIDVDNKQNLYSIQINYINHN RLLLRWFTQQFNYYTLSVQLIDLNLKINECILNCDNCDNLFKCQECNKNYQLDTIKNHCN PICPDNCLYCSDATYCDRCKLGYQYTKENLCVSPTNDFKELKVSRETESKGKGKIINKDL FIIVIYSVYQSDKQQYLVIRKLDNQGQLLKKVELQQDLQALVDYDIADSFDDDVFFIFIK W >CAK73910 pep:novel supercontig:GCA_000165425.1:CT868166:3497:3908:-1 gene:GSPATT00038928001 transcript:CAK73910 MAGHIILPHKNLNRDQEKRFVLMCKPNERKRKIIQVQESQQEYKIRRIISFHLAKEMPLK DLEVNFNLLITNWGGDKAMGLFRIDRQRRWSKQFGQLLQNGKLKDLQRRQFIQGVTYVNK LWVVKDLVI >CAK81922 pep:novel supercontig:GCA_000165425.1:CT868422:88:234:-1 gene:GSPATT00039484001 transcript:CAK81922 MTKTGTKLVNGYQFGTELQCQKLVDIVKQQRQQHPTKIEKFILILFGI >CAK79905 pep:novel supercontig:GCA_000165425.1:CT868345:1:596:-1 gene:GSPATT00039355001 transcript:CAK79905 MLRLVSKKSFSSTFNVLDTFKTLKSVITAPIRHIKSYVRQDGVHSSMTPNLTYQAFDEVL HEYYAAYSGNPFHLQVYNNLDNIQTVNFGTVDNPCVIFTADTPFRYVGCTGLQNEDDYEQ HEIHLFMLREGPLQRCPMCGQVFKLVRLRQQEDEEMSYYRDSFHPIDIFELDNENIQSIN >CAK79906 pep:novel supercontig:GCA_000165425.1:CT868345:771:1765:1 gene:GSPATT00039356001 transcript:CAK79906 MGSCSGLCSKIIVDKPIILNVSEIEVIEGVLSDEYYHLSAQMSQQVEDKQILRLETGAIY EGDLLNGARHGKGKQTWPDGSYYIGDFINDKAQGYGKLVNICGNIYEGQWLDDKANGIGK FISTDGSYYEGEWLNDKQHGQGKEQCSNGSFYEGEFYKGARHGKGKLVTIDGCQYIGTFE NGVISGQGTYKWADGKTYEGDFKHGQLWGKGVMKWEDDREYTGEFKEDKRHGFGTYNWSG RKYIGEWLNGQQHGKGVYIREDGQQREGVWQYGIRVRWNDKLQTQQEYETTQN >CAK79907 pep:novel supercontig:GCA_000165425.1:CT868345:1810:4809:-1 gene:GSPATT00039357001 transcript:CAK79907 CVGHSFGINSQPYIPIVELRPSNKLYFPPCTIEESVYQTVEFINKSDTPIYFNFSPDPTR TFRVYPNQGLIFGKSSQMIIVEFVPVENKAYNQTLVCHMNHQSSNQITLQAIGYCSTPSL KLQNDGKFTLDKRSLLHNESRVPMSYSIDVPEKYQNELYIEPPSGQIKPNEVLHLDCQFI PYKKKKEYRIKVPMTATEILADNQNLIGYHIPGSGNQDFPLEQRKPIELSYQFEIFGQGT DGELELNVKQIDFNIIKVNFNTKKYATLINNSNCTFYIELVLRPKSKDKDKIDHQMVSLI NRSFTLDLQNGIIAANSKLDIGIMFNPIEVCEFDLVLDVIATEKNPKAPLKKIVSQKCKL EIKAKGSYPLLKIADVRNDSISVATLWENFQINQINTELGKDLNEDEQKFLKIEQLTFDQ AQQLQKRLRSYDWNFGYLPSKPQVKSRKIVITIQNIGGTDLEWQFKLPSDHQIELEPWAD PGEPTEEDTFEKAILEKNIFQIRPKGGVIAPKCFKDIELIYTPCNLDEQLKSKGISNESH FLRVVLQILNGKPLVFKFERNNTCSIRRKTHLSCLKLQLDYCNLLKYPIEIQNVGSSKVS YKTLVQEMDIDGEIIDSQFNVFDIQNPQGSLLPNEKQYLYCLFKPLEQKTYYFELLVEVS DMVKVIQPVKLAIQGRGYANQPKNQIQKSAIEIPRQRSHQSPIGSKVFFSLEEIDFGELL PLKSAHRMIILYNQSADRKFTFDFGVSQFTLSNNRPGLCCGDEFLIEPIQGELEPQSFIE LKLTLTAASTPSVYEGECECTISWENKNQQVNTSQISQNSQAITVDKETLFLRIKKKSSL NVELVNSFKQPPPPIHNAMAHPFQQLLGQIITEFLTDSHTDQILRALDQQPITLYQPEQQ PKEGEDVQVEDLTIPRDYKDYKTMFLEDEFIELTDLIMENTFFNIIQETTRRECDLLRIS KTFVTPANK >CAK81125 pep:novel supercontig:GCA_000165425.1:CT868390:19:878:1 gene:GSPATT00039432001 transcript:CAK81125 MATVRPNISSERQDQFGATSGLNTGYNGGYNSSQRPSSQKPQDFKQSVSTKSLNQFYTSG TLQNQQSIKQKYEIFVRRWVDYSSKYGLGYLLSNGATGVFFNDSTKIILDPKTQEFEYLE RKGTDKQDAIEKFSINSYPKELQKKVTLLQHFKSYLDADSKTITSSDTNDYDPFQSVYVK KWMKTRHAIMFRLSNKIVQVNFTDKTEIILSSENKLVTYVNKLGERSHYPLATALDSQNQ EMAKRLKYTKEILTHMLNGNTNVDIKNPE >CAK81126 pep:novel supercontig:GCA_000165425.1:CT868390:1430:2808:1 gene:GSPATT00039433001 transcript:CAK81126 MNDEDKRLNVALLEKFAEKHTIYQSQIQKFDRQFNREIYKGHLRAITCTQVDEFAQHMYS CSKDSSIIKWDLETKKKEFIQREVGKHGDGHYDEVLSISLNFDGKILASAGKDHSIKLWD TTSNKLIETLKHHKAPIYGVKFGYNSNNLCSISCDRTFIQWDAAQRAYIDTFFGHSTEAN DIDCFNADDFLSCGYDRQMIQWKTKSGGQLLYSGHEQSIDCIRAITLDTFATGSVDANVN LWNVKKRKPLFELHKPHGDRWITALGTVYNSDLLVSGSYDDNLNIYKVTNKDITKVRSLQ SFGIVNHINVFDNKILTVESQEHRLGRWVTSTKSKNLIVLYL >CAK81127 pep:novel supercontig:GCA_000165425.1:CT868390:3118:3485:1 gene:GSPATT00039434001 transcript:CAK81127 MTKNAEKQFNYRAYVKVSAKQKLQLIALVFGEEWKIKKLGSFVPLYKLRLSQKYHFKTQK KLDTQKNAFDSRGQEVPLQNNEKWESQLQHPVSERRNISKPIRKSLSEMKSLYICI >CAK59168 pep:novel supercontig:GCA_000165425.1:CT868001:1:1464:-1 gene:GSPATT00029799001 transcript:CAK59168 MSFKLQQIVRNDFVKIAIEVLQRNGNDCQDTCGNGFKSDQEDCDDGNHLSLDGCSDICDI EMYWTCFEDDLKKSSCVQNIPPHFKLIFLNQTYNVQYVQLQFTNKIKLLYSSQNLTRNFK AQLIDIDPSHYIISDVLVNEPDNQSVHEIIYQLRIEILEQQTQDIFLQVQLNTILVDQDD FQVDNDISTIRLKNPVVLTAAQKEISHNISTYNLAILIFLGISSIIILMSGHPAECFEIL DTIQFQSNLKFINIAFPENLMIYFESSDVVTIIPILEKIGMLNLCQDPLVNFRTMINLYG FVSQILLGTILLILSYCYLRFIFRTWFTQIRIFIYDNRSILVSYNFALLIHTMNQFSLGL HKILSQQGIVYILQVNCWDLIFKTLLYLFSEKESNPRNIAQTIIAIIILISIASLMSLFF QYSLSQLKPNKSTNFCHEGIIIAKKFLILIMLIGSQGNPIVQCVLIACVNTCY >CAK59169 pep:novel supercontig:GCA_000165425.1:CT868001:1543:4008:-1 gene:GSPATT00029800001 transcript:CAK59169 MVQMLLLSWLQRCQELWIFSIFLHVIFLNSFCQKVFAQSEISRSFFSPFNADDNWNTYLA ENSNYVTNCVTSDIFGGRFVFNSQTVITKTFILPPHYKIRIELNFWRLQPLTICLLDWIL VFVNGEKAVSNNPNSSGTEICGSGSLGQVDTINKLMDHMGNSAIIIIVSRQASAYWGISD FKFSVLKCPYLCDYCNSVGVCQIWYRVLTYFTTPTLTNGQGWLKDNAQYDSVQDCGFQYY GNFLTTELASVNLILNDPYTRIKVAFIFLSMEITSSVTIEVNGDTQMYYFTTSLNTVANY DYLCGSDLKMTRVETLGPSSTSSTMTITIGVPTFLTTSVNTPSFGIRDFEVFTYQENKII DYTLIHKNDYILSFEGVFSQQYNCVVGCSNCIRDLCVECFSGWNFDTNSQECLPICGDEM ILNSEECDDGNLHPNDGCYQCKFSCPLNCVQCEFGQCKICNNNYKLIDNYCQLSCQYEDK DSLIKYDLQIKEGHYCQIANFLTNTYFQHVIINSYLQFEAQDLQCQLQNYGIFAYQYNQC EQKEPSNCIISIFNECQLCMNQFERTFNGQCIPICSQGIYYGDEFPFNSIEFQFNGSFQC NLCQLECLECHNSFCFSCLKGWNLVDYRCEFQCGDGIIAMNSNEQCDDQNQDSGDGCYEC KFECEQNCVFCNNQHECIKDKKNCIPICGDGIVVEGLEICDDGNNIQYDGCHNCEYSCRE NCQICDHQICLDISDQVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXNLW >CAK59170 pep:novel supercontig:GCA_000165425.1:CT868001:5541:7039:-1 gene:GSPATT00029801001 transcript:CAK59170 MVGCTIPIIKEKNVQKIYNLIPNSLPDEMKESLKSSVDNFAKEDSDLEKIEDYVSKVNSD DINISYYGLNRCRKLLSNAKQSIPTESLIDLILKTNVHIKVFDIAKNNSIPLLKYEALWI VCNIGCGTQKQIQNILDNDGINILFLALESEYDEIIELGVWALANISGDNVQFRDLLLNQ GIVEPLIKLAMRYITDTSDVFKTIVWAISNLARGKPTPKIYQKELMSILSEIINNIEDEE LLIDACWGLSYLSEQENHIDTLIGFGIVDKLALLLQSEKQSLVIPALRIIGNILTGNEEQ TNHVLNTGVLSKFEILLKHKNKAIRREICWSLSNIAAGTAAQVKQIIRNDSLLSSLFEEL EKGTPEIKKEIAFILSNSVIYAELKDLDHLVMSHGLIQKLSSLLDMSDKTVIQVSLEGIF EFLKRFQHDEKFEIYKQIIKESNLIEKVEQKQTHSSNVIYENSIKILNFLDPEEFI >CAK59171 pep:novel supercontig:GCA_000165425.1:CT868001:7290:8520:-1 gene:GSPATT00029802001 transcript:CAK59171 MDGQIIRIDKDINRDEQLNNIEQIKYLLWSRQYNQSHNKVVKWSAIWNGDQLLDVGGYYS VDGLKQGFWKELSRNYSKYRKDQQQIKVKIKFMNVENTEMDQEFRNGSIYMKIKQCIIFY DKCRGGGSYNQIGRKKGKWVDLTYDFWKYCNQLKLKISQSQVTYCGEYLDGERIGLWDIF YKKKELRFIGGGSYVWKKERGDLNSKKVGIWTELAEGFQDNNQTIWCGEYKNNQKVGKWV ILKRKDQFDPFQHIGGGSYDHKSEDRCVIDSIKIGYWVEFRNGEYDCQVTQSGQYIKGKK FGRWESFLQGEQIGGGSYDPNPENEENPQDPIKFGKWIELSEGFQIQ >CAK59172 pep:novel supercontig:GCA_000165425.1:CT868001:9997:11347:1 gene:GSPATT00029803001 transcript:CAK59172 MNQYNDLIIKCPNPEHANNVKLVCFDESCKADRLYCMHCSRNGIHFSHPQYQYQLSNLFD HIEKIEKECDDLINRLNKQMDFVYQSFYLLIGGIKTKYQISKEQIFNLNSKQINSILAET IQFKSFRSTIEILIQKYSNELQDQIQKLFQDLNLNQLNYYQVSDQDIKKSEELYQKGYKL YWYDHKYEEAIAILDQALRLNSKHQLALWCKAESLKMLDQYNEAIIWADKALQVDPKHFN SLYTKAESLRMLDQYNEAIIWVDKALQIDSKHCNSLFTKASSLRGLGQYNDAIVWADKAL QIDSKHCNSLSTKSVSLRMLKSYEEAIAVIDQSLQVNPNHLDSLWSKGKLLQDQNQYQEA LMCYDKALKIKENHQWTKDRKNECLDAIKKK >CAK59173 pep:novel supercontig:GCA_000165425.1:CT868001:12299:18578:-1 gene:GSPATT00029804001 transcript:CAK59173 MSEQHLQESKFTYSSNLVVQREHGVRTDEPSGEPESLVGKINPRLMGTKAIREKPIKGNK QQGLKLDRQVDIEVEEGIGMPTYENLLYIPKTKDNRLHYEKLLAIVYGLFQEQSQDVIKS IVDEVLAIMKSENLKDSEKRQEVEAIIGKLSNELFSDIVLSTKAITDYQPEVGSKGNEEM DLPLNLEEEQESSEVDQILEEEDDWEEQNEQAIKTKFQEEQNRNKLLDIDGFWLQRELQK IYKDPIQAQQMEQTVLDILKLLSDIECENQLVQLFGQDKFDLIRLLHQNRCKIYFCTLLS RAQSVEQIQQIHQQMEQTQEGMRLLIELQKQNKYSKNTTQLQITQEDIDQFENISVVDQD LQGMSKKVIDLEKLQFISQGHLMSNEKCHLPPRSLKVSKKGYEEIYIPAPKCNMRKSTLI QINEMPDFAQSAFRGFKELNTIQSIVYETALLSDENMLICAPTGAGKTNVALLTMLQTIG QYYENGIVDIQKFKIIYIAPMKALVNEMVHNFQNRLEPYNIKVAEVTGDTHLTKHQLNTI QVLIATPEKWDILTRKTQQNDFIQLVRLVIIDEIHLLHDSRGPVIESIIARTLKSMEERQ EYVRVVGLSATLPNYADVATFIRVKQSGVFFFDNSFRPVPLQQQYIGINEMKPIRRMLLM NEVLYEKVIERITKSQILVFVHSRKETVKTAKILKEMAFSKDELSKFIKEESSSKKILET VIAQEDIKSSDLKELLASGIGIHHAGLCRGDRDLVESLFEKKNIQILISTSTLAWGVNLP AHTVIIKGTQIYSPEQGKWIELSPQDILQMMGRAGRPRYDTSGEGIILTTYQELKYYLSL LNMQLPIESQFITQLADQLNAEVAQGNIKNLKDGVNWLAYTYLYVRMLRNPTLYNIPDFN NDQYLIKYRADLLHSASLLLDKNNLITYDKKAGNFESTILGKIASNYYIKFPSMQIYNQH LKQNMGMIDIFKVFSLSHEFKLIPIREEEKIELSKLMMSVPVPIKGSPEDSSTKINVLLQ AYISRLNLEGYALNSDMVYITQSAGRIMRALYEICLHKEWAQSSLQCLQLSKMIEKRMWN CMTPLRQFKGLPDDLLRRIEKKEGITWEHLYAMSSQQLGELIRYQNQNMTKLIHKYIHKF PKIEIQAFAQPITRSCLRIDLMLSCDFQWDEKLHGRQEPFHIFVLDSDAEKILYHELFLM KQKNQEMQFTLTVALFDVMHPIYYIKVISDKWISCETEQPIPFKNLILPEPFHRCTELLQ LTLLSIDQIKHSQIENILAKKIMHNRYFDQIQTQVFQNLYQSNDNIFIGSSTYQSKSILP FLAILQMINHFKGYKAIYISTMNCEVKFNQFVQLFTKTLSLKIGRLTGQLQTDNKILEQS DIIVSNPVNWDIMSRRWRSKKGFKHIRLLIADDLHTLNQSGSVMEVVVSRMRMISMEIPF RIVGLSLSVADYKEMSEWLGSKHTFNFSPIIRPNNIQIQISSFDQCQRPLRIQAMIKSLK PSLSLQELNIIYVSDRKQARVCALELMINYNQQLSGFQIKEDQYLQHTLQASVGFLYECM DPQDESEVLKFIQSNELKIVVLPYKQALHYNLKGQVFILDNQKYDGIEKRYVDYTIAEML EMVESTTIQCHILTYTPKKEYYKKFLYEPMPIESHLNHHLANHLNAEIVAKNIHNTQDCI DWITWTFMYRRLTQNPNYYNLHEVNGVAINNYLSELIETTIDELHESKCIAVEEDNELEA INSGIIANYYYINIETVKNFSDKINSNSKLRDLLIILSEAKEFEVLNIRNGEENLLAQLL AKIPYQPSNPKLNEPNTKALILLQAHLSRIKLNSDLKSDLNILELAIRLSTAMVDIASSN LWLKPAILSMQICQMIVQSLWIDQDSVLLQLPYFDQNTIQILKERNVQDWADFFDMQESD RTLILSNFTSSQVDEIAQAGNRLPSVEITDIISDPQVIQGEVFHVQVILTRQDNIYTDYV IAPNYPKPKEEQWWVLCADRKSNRLFGNKKVSFSTNIRVDLRFQAPEAGDYDLTIYAICD SYIGVDTTSQFQLTVSPIIEQEQEQ >CAK59174 pep:novel supercontig:GCA_000165425.1:CT868001:19890:22318:-1 gene:GSPATT00029805001 transcript:CAK59174 MDTRQFEAIPVQQRNTVKQQEDLYISLPDLYIRKYVLSEKLFALKKPYIPKVNMHDHTNQ EILRVPKIWQQVMNDVQLKALDFQERKKWKIAVNYQLAQEAKATHQMLQKEREEIEQFGI CISYSLCQMVQKAFNQMGKNQSIGLDIEEQNQKPIQKYLRTMKNENQELDEQRYQDALQK VKRYLSTNRDVYVSLESLFPDMQQTYSESNTHDIHSSDRRKIKQTVEFDFKKKYENLQEA LQLFVNKDIPLKESFEKSVMSIESFPNTLEMIYKYKAKPYYQEPCLDPEYEELLFRIGCT PEQVLNDGEKLMKKMKIFQNNQAVEQQLPPQLELKDLFRYRFNYIERDCHFIQQSGKFVP LDAERFNSHAQQITKSNELVKQYFIYYYQKFQGDFNQISQALSSNTVTANLYLYTPNFCA DLYAWLIFTKSIPSNSKFILPQQYQKKCESIKYIKKPQQPQTQYTNILNDYESLIQKKLP TKIQHLKPLPQHPIKQYTKSVFKELQQKIKFDDPIQVKTSGQADYSMVERLSFSNLKDLV KSVNNSKGHIEKRYKNIYDIARQDCYPIEQIKKEIRKNFKQQMASSRIFPVQQNNYNKAT ISQFQQKPPTIENFNSAQTILAQQQVMTTQIQQPLQQPQQQPLQQTSQQPLQQAPQAAQP PPAAQPYTPSASSRPRKTKLDTPAQTPQQPPPPVVQQPTSAKKTNRKKDDSVAQSTQAPT PANVETQDPPKQSKRTKKQTKANDKNKQQQPEDRKDDSV >CAK59175 pep:novel supercontig:GCA_000165425.1:CT868001:22531:25457:-1 gene:GSPATT00029806001 transcript:CAK59175 MRIKQIFLYFIAILVFGQEKEYCQMENTLLTIASGENYDLHLFDYIYADDLTYKMTTYSN QQSDIPFTHLIRKTRQGVVEDEVIEILENLIEQNEGPFYCFLKSTKDEQIVYSLVKCQFV SEKIIYTEVQFFIKREHCYQIISNKMQYFIMCKNDITNQLEIYMYLGDTKKIFNILISDV IIPQGSKVKAKKQELYDYLGVLIYKGRMENNKYISESSSLYIFKYIQPMQYYYLLKQYEA HSQSDDFITDFDLFKDLVFITRFKGGLTYRNLKIAWSEEINVTNAVAELFGIHTVKISTV HQIEQYQILLMFWGENFLIFANFRLNKLYNLELKANFQIFQEKRYNLKSEKVFNRNVVST QNYLIFSNDKEFQAFYIKQEKDYGIVLVYQVHFQTLSYMRNLQLPKIELVNLTEIQNPQL IQISAQTSDHQFDKFKCQDIKIYYQVQLLESTKIWPKFYNEKEKNVFILKYNKNELKIQV DLSKVFSGSALSIEEINLINKEAKFIPSYQDMTFQFDLDILFFQTDIPEFAILCYFLFNP TQYYLIAKIKQYLFIKECEFQSIYKCRNIKILNFENDNLQDLSCQENELSGAFLYIEKMK HGFVGEQISLFYIHKVNGQIDVSLEDFYTYTKGSILSIVLMANLQAFTILRDNVYTLNTR TIGSSGDSKVTSIELHCQKLFELVTGIEIYENLLFVPSIKWKNFVYQIIIEIYNVKNIEG EMNFFGSLLVGVTKKPTKERLQVQLSNKGIFILSVCDISEDEQTKKLLFIEKTIFLKKFL YKNTDQLDIKNLRTKIYPTFQLKKIQKIKTTQNFLYVYGSEIDTPSCIYIYRIYANQMDI LYHVIRQNMPSIQIYTAITIRAYDYLIISSNQLTFLLLHPIQTLQLTKSNLIKESNLNDD EVINIKMKTLIPKSNNKIHEFQIKLTCTDCKSVDNQI >CAK59176 pep:novel supercontig:GCA_000165425.1:CT868001:25561:26383:1 gene:GSPATT00029807001 transcript:CAK59176 MNHNTMKHHRPQRALTTLEKKYDRSAFINRNPKTMLRDLLFEQNVQQNQQLKMLQTEISK EQKIYSIDIPDLDEYFGMKDQIQIENCIQDCKKKVNVCKNRLEQLKFKINDQYIQEMEDQ DISEFQQIQQPKNCKTKQEGKDYYYYQNRIHTTISDNYSQKTNQVDKFNQTLYFLMSNRD RSLEEKSEKLNNTIQGQRFLDDTQNDLKKSLNYSVRQSLQQKNKFLSMLQQLSKEKESID NAKRKRLQRLRKQILEGQFAEI >CAK59177 pep:novel supercontig:GCA_000165425.1:CT868001:26471:27199:-1 gene:GSPATT00029808001 transcript:CAK59177 MKFSRSTSTHLTNLSFRSNNLSTVQTPQNNSRLSEVNNKIEDLLKKSQTTIENQEFRLSS QESKINQQHSFSLVVEQTQKEKKQLLAILMQKDGIIRDQQNKIAQLDLKNKNLMKQLQQF TNLQQKMQHLEEECQQIIKQNEQLKYERNQIQTSLNYLKCQNVQVISYELKNSLQQLHQQ IKKYYICSYKEDYYNLEQSRLTLKTSDFDFYTILRECLDCILFMIKNTDHSIELFVNRST KI >CAK59178 pep:novel supercontig:GCA_000165425.1:CT868001:28877:29286:-1 gene:GSPATT00029809001 transcript:CAK59178 MKNHYDTLGLERNAKPNQIKQAYHKLALQWHPDKNSEFGATDQFNQINEAYTTLSKEESK SKYDRRLQTRENWNDLITYFKQQQEEQNLQYDIRDDFISNEDREFLRTFSNKQETEQIQK KRIRKRK >CAK59179 pep:novel supercontig:GCA_000165425.1:CT868001:30608:31503:1 gene:GSPATT00029810001 transcript:CAK59179 MKLYDIIEIIKLTEQRGQELLIDALIVGIEIGRGNISDFNDLKCVAEQLKNLKQKIDNSL FSPFIRDNIYDRIINEKENLTDVLQSVQNSLRRLSQQNVQNGVCQVCHQQVHGQDKMKTI QLQCNHLFHQQCVLPNLIMQNEIFPTYQCPICETQTLKDEILNQLQNNSQQVVSCCPTPA CRKKFVFQGQEIYRCQNCKKKYCLRCFSQSHEINKCQASDNNQFKNGDNYKECPHCKKWM KTSQVEGTIKCIKCNKTVCLGCNDSKCSCCCENKGNIYSFFKSKFSIKQQ >CAK59180 pep:novel supercontig:GCA_000165425.1:CT868001:31952:33393:1 gene:GSPATT00029811001 transcript:CAK59180 MSFQGFLVKKTIQQFPIRQKPVYYDDYTDQNFVLFINKKLADLRFQSGSNDISAKRIMNL KIKIPKDKNTEGHKIKRKASLKRKSISVQQDDKEKKFRYKFRSPPVKPEVRLASYLNDEL LRQHQISPLYQEYKLANLKANLIKLQSKKSYQLNELNHVELEDEDQVKYFLTEICRNHPE IDVGNLLSVDVEKKELKLDNQEQILKELVQKRIKQEELEQLMKLEQQYNQNLVNIYKETR YDQFEPEFKKQGQISMCKIKRQKEIQDKMFAEIFKVKSHRSSKHNLTKHFFQAKNKTPES KHKVTLSQQFTPSNNIFISQPTTVPMSPQISMHQELKPSYLTTSIGTFNDSKSPINIPNT DFSYKIKTIMDKCEMFEDEHKISNQIFKERINLMGRELNTYFDTARSRYKNQEMEMDTNE GFDRFLQENQFKRKLIEFQVSQVQNLHEVLSQKAKRELTNNFMGSDQDKQKLF >CAK59181 pep:novel supercontig:GCA_000165425.1:CT868001:33816:34443:1 gene:GSPATT00029812001 transcript:CAK59181 MNRSDEESINSFTPDSGHQENNEELRNRDNKKYAIINQSVRVQLLRRILSKQSTIKEAAQ EFGINFSTAKAILQTYRKEGRVGKKKTRDRNKSKQDLGDHNQSRKIQSMYNLEQPQQIKT ISPEVKPSPQTYQQIMIPVMSTPNLDNTQIALAYCQRELAQQKMVNFQLMMMIQNYKNIT QVQVKEESNKIN >CAK59182 pep:novel supercontig:GCA_000165425.1:CT868001:34472:35391:1 gene:GSPATT00029813001 transcript:CAK59182 MNVETQNSNKVQQILLKQEQLIKEQKYYEYEQKILTFGSRFINQHNKDGAITLYLQASKV LVKNNQDNSGFNLATEVIKISDDLDSQSIAEIMSIYSIAQFSKLKVQFINKVLKKYPKYT DQICQQVGVDLINHNKFHLAWRYLIQLSDVNTEIQMLVKWNQQLTEQERQYNLIRYLLMQ IVEQIISKLGQGLYNESVKILNELIPTTVTQEALFIHLLVKSIRIQSREAYDLVFNKFRV VVDADPIFQALYYKVGVKYFGLKEKEQQSQGIGGLLSQFFQ >CAK59183 pep:novel supercontig:GCA_000165425.1:CT868001:36183:37294:1 gene:GSPATT00029814001 transcript:CAK59183 MKMDNKRFKLMLGLNYEGSTNYFASSNKSSTVKRCVNTQTTTQDFTQFDYLLQSPQIIKK VKVVAESTIHTYEDDLYCYESIQNIESAPITFQTKSSPIPIQKEFYNINPLYLQEYNEKE YNYKTRAIILNRIQDNCQNLNNSYSHKAIYLYDLFLSRLQSKSITNQTQLRFLSLAMIFV ATKMNAETPNINSLLSQSSLNMTKQQLIQYERLILKVLDWHTNPLTLQDIIHEIIYRFYI AFPDFQTQQDQKTKTINQIVNSIVLDHHYLSYSLEDLSFSIVTVLFTKDLQDEQFEDFLK THDKSKHKIQQAVKFVSKYIRVINQLNEKQLDINSLEILKLVCD >CAK59184 pep:novel supercontig:GCA_000165425.1:CT868001:37315:38640:-1 gene:GSPATT00029815001 transcript:CAK59184 MFNIIGELWKAQQYAMYECCFEVPQTFYMQGSKISHYFTSSQCSGKILKKRKENVTLRSI LDTFNSKEKYVCSFQRLLNKKDVQFKYLTRNELEALISDISNFSELYQDVIIQQTFSSEN FITYSRQGHVTQIQQRIPLSLEPLITLKDSQFACEKVIFETRVNKIGITVEKLISLIEGQ QVNEIEFFMKYIGDKLIIMWNTNLQCKSIKRTLNFMNSQNYLHNQEYFEQFQPKKQVTDD ITMIQCFGCRKLTKVNDTFEVLKNRIWKIRMHRNLHHLNDQKLHLDVTGRIIPKFDEFQF VRVCGLCYNSFIETISNNNTKVENTLRKLPQKQKELQSIMLPNGPRRKLFKFHDNQTYLG SRTNSTQIKDRRMTAQIMNSTFDENYDSIQNQRMEIDDEFDQLLDEVKNDIRSSYQSNKV MTNKSRIQSAQNNHKFKLYYQ >CAK59185 pep:novel supercontig:GCA_000165425.1:CT868001:38703:39686:-1 gene:GSPATT00029816001 transcript:CAK59185 MFLCPICYQTYDNKLAFTFPSCFDTFCKNCLKSTFEGRIKEQNVTLDIFKCPGCQKMFDQ SLIQEFVSEQIFKKYCELSIEMNSIYGLEEDEILANCLNEACREKYVIWKNAEYQKCLKC KMEYCRLCFLPQHKPERTCEEQKLLFQDKVYKDLKALLKACRCPKCNIMVEKTAGCNFMT CKCGTFFCNLCDIQLEKADHNTHFEGNSPFNNKCKIKVNGSWVTRPAVQQVQQVQQKQVI QPIENPINKIPCPNCNSTNPKITALQLYDRIAHCTSVKCQSRAFCIACKKMIPQQELLNH FTNTLECKFK >CAK59186 pep:novel supercontig:GCA_000165425.1:CT868001:40530:43305:1 gene:GSPATT00029817001 transcript:CAK59186 MNFKEDPKNLIIPLDLAKIIYDKWDADLAILDQPDQVYGKALHGKGEVTFKNGNKYEGEF HNGMLHGSSEGTFTWASGVIYKGEFTYNKIEGQGTYYWPEGSTYTGTVVNGLRHGQGKFV TADKSAIYEGQWETGLRHGFGKITFKSGYNCHIINQVPHLKGKFYQGNKSGKGKMSYPSG NYYDGDFLMDKKEGYGVMFWLNSNEKYYGEWKDNVQNGWGVHLWIEPKGEGSKYLRNRYE GEWENGERSGVGVFYYANGAKYMGSWRNNLKYGVALFITDTGGFILGEFKQDRLVKVYAT TENESRTGILPREIAPQGGEIIQQHENPKEGGDNKDRKKDNKDNKDNKDKDNKDKDNKDK DKDKNKLGNTTKRPEKLAGINNPNQTQTNLNKTIETNPYSYMIDFSDLYKGLQLDSQLID QNVQTMLLRHNYTLKTHYKFFANKFESMLYEDTFSLSLEGFWKIARDAKLLNQNYSLAEL NRNFLQGSKNNYRLKYDRLELNVEIDVLSRQGSNINLQELNDLFHQQMAFDCISTEKINY QDFQLKDVTFKKKVEDIHDPRRCILFRHFIELIVRLSYLKYGNLVDLHRAIERVIIKRRA SRINPQMILRNLLILKQQLEISLMKSNQYLMYGQSKIERHNLDSLIELLIQSKSISLLNA SEQDKLILISYVDKNFDTDEGQITQQSISQIDQSSVNQTVREDGSKRRKKQQDQTAEKDQ KKTLDKATSIIKYELLLFEFTDILTSFLVKKHKDFAYKQRGRIQTQNDRKPPTLSKFKQP RLEPQTQKDIQKEQLKQLRIQQEIKARQRKLLEEERKQEERERNLMALEDNDIKSEHEDD EAESEESDY >CAK59187 pep:novel supercontig:GCA_000165425.1:CT868001:43311:44303:1 gene:GSPATT00029818001 transcript:CAK59187 MSDQEETTPRGAKTHKGKRILESREPKLVEGPKQTLFIRGPKTSLSVRQLAHEWHMIKRD FSTTYNKNHEIQPFEDAKQLETFCERSQCSMFCFVSNSKKRPDNLIIGRTFDKKILDMVE LHLKEFKSQEEFATSVEVPMHARPLIVFNGEVFGFNPNHMKLHNLLTDFFFENVPIQDIK SQEIHLIISITASDDSTLAITVLQKQTHEDGIKIQEIGPRSVFDIRRTKWAEDDLFKKAC RQPKPKAKPHEKNIVYDEVGDKRGKVFVQQQDLSTLALKKRKKLRKGDQKLKARIESQEQ QAEVNEE >CAK59188 pep:novel supercontig:GCA_000165425.1:CT868001:44722:47367:1 gene:GSPATT00029819001 transcript:CAK59188 MQIYPISGDDSPINEVTSGSGHKSGSNQVKRLHIAKTSRYLYQINVKIRLPLYNPPSVES RITLSRTDSHLKLSFVSHSQQMRRNAKCEFRQISRKLIHAMNFVKELRQYAEQLKSQHME YKYRHRNFFPLYPDDKMYIIWIVLMKGLHCVASIILPFQLAFQGVGTIVTQILSAIFAID ILFNCISCHIDEHNILLHTFDAIIPYYLKRWFLIDLISIIPFEDFESTQILGLMRLFRIA KYFMYERRENYHTANDIIKKKEVILNEDLSYREDYNIDLRIRRVVTIFIDMIILTHIFAC LWFWSARIHEFNQETWVIRENIYDLNIGKQYLICFYWAIQTVTVIGYGDVAAHTSFEFFL QIIWMLIGVGFYSFTIGDITCILVNVNPRQEYEDQLILLEELGDQTFMIEDVLKELIQFA KFNISHNPFWARNTIEMVQALPWSIRQYIIASTQREILKMVPFIANDINFSTMVLPYCTF AKYDEYSTIYHIGQSSSDFYYLLSGDVRLSDASGECIIRVMEGTVFGEVESIEQTLRRWH AHAVTKSIVLMCPGRFFESLIKQNSTQFFELYQMYKRRKILLSDYAEQKQRQAVKLKRST AIIVEGKVVQTQQVRRSSENRQQFKSKIDCRNYISVQQDLMLSVVGKKQARKKLLREKFR LAVDRIKQMIVRTKKRRGALVADPDYKIIRELIATRSQQTIQTTTFDNTNYLQKLMNKFG KVVEQENLVQSFIIKKKQESYEKIKRMKFKSLVADWNKNKHRKSIKREIDLYYSSFHEQI YQELLQARIDQKQKIKSKQDNIRKNSVQQLKVLNLNVKYIIQMAMKFSIHKFEIMKIERD IDEIIDECQSIVQNIM >CAK59189 pep:novel supercontig:GCA_000165425.1:CT868001:47610:52788:-1 gene:GSPATT00029820001 transcript:CAK59189 MHFILRLGFALTFLYETLSQTCRSKNTEYNLLTIVSGETFRLPLNEYFVGDGLKYSILPQ GGAFKLNQPEIQQGTIQGTIISSTSSTFNNNPYIQGKYYYALAQTGNSDYTVYNLTLNTS SYNSSISNITSGTCYSINYLINMVVVTCSQQGTNYLEYLFYPESNPGDSKTTIIDTITIT PGSYVMTQIAGQYIVTLMNAGTFQNGNYSVLSTNIAVFQFDESSSGSDTLSRINLPVILS NKSESITDFYTGVSINQNGILFVSTYYSGFMSINLQDVQASNQQFNPFSVGTLGISSFKL EYNNDLFYIAIWSSEGLIVAEFHDKVVDLAPAVYSRDIGYIQANFIESNQIPLTQMFTTQ VFMNSRYIIVSNFNGISVYPSLLNSINDWKLLYYYNTSVQSAAFDYFNNIMLAVSGQIVT TYLFSNPTLTISNLPVNTTIQNITITASINMFEDIQNSACVSVMLYYNVTSSEYQQSIFN SFQTNTTKQTFVQWGPLFQLFLGSTQFVNINKMLIGPAILAGQPITQQAYSNFDIQFSNV LSTPQIDTPFRINSNLIGVQRILYSQLFYDAPFLQNYHYKGSLTDNYLQLVQVSNYVALF QCAALQNLPCYLIANIAVKDILVEQFALSISANNSLNVAFMWNEYDIKEKITIGKTRICM LDYPYEAFYQTCKTLNYNLNQTNSSKAIQIGLIQNNFFMLYSQPISDEQQKTKFSAFNLL QFLTEDTNVTDLQSFKTYPALDTITINSFSYNVPAYGNIIFLNTQNVVEGTHFYYVTSIN YNAGLQISSTNQSYFISEIGTVQTYNSTTEIVSSLQLTIEGMYHLIINDLGQSTLTFYPR IELLPILLVYQYKQTIPNANLNGVKLYLYGVQKIVQTAASARFLYIMVQPSNSNTYQIYV YRNTPSIQNALYYVISSNVNVQIVNAPFSVASAAQYDGILFKSKGNEGYTNSLLLEEFEF AVQCNLNSNFLEQTEYSYIIFKASSLLQTAILQESAQEYWVTFQSVNLVSFVKEASTIAD GLSISPQGYTQVDPREYFLGNIQNFQLTNGTNQSTYYYFDIASPVERQPQTQNYQFPVTG VSAYMYIENVSGGFSQPQYWFTFVQTNRLVYVTPYFYYKPPQDFVDNSTVKIDYPLIYKL PILDISPNSQNCPLIFVDAYSKGVVSVCGTNINNKFAASFTLFNPLTKEVQETKIIQLDE AFPTLKAQNQTNNGTYFLSSATYLDIGVVVLQFQYNYNFGSAPPTIIILPFLYTSNLFWR IASYPSNPSTNFFQFIQPIQSIYQVPNNTQANQYSVTVIQMTNEYFGGLNQNLTLNPVSQ IMFGYICVSEIDELSHFKWNCNRSHSRKQFVFNIFFKCKCKCCASTNSLLPFTSYTNNLQ LLNLVSDYTKNSVQKLIQAGSPIIQYNAISVIPVIAVSQGTAYVFNLEFQLANGEYLQSK YACTLLGLSNKNRILQISASSSNTGQYQVVAIVANPTSMINNQVTLLNYGFINQQCNINY TPQPNDPIIVKQGVEVIVTAIGSTTYISTESNPILSLMQPTSVANQPVTEVARTLISDNQ VITLLDTSPYLTISAYPNYNLKGGVTLNSSGIISNVTEPLVTSISAISIQTYINNQQVSG KGGSTIFNFTIKDIPINPLFPDSVWDRKLWGQFIWGFFMFAIIVGVVGYLFYKSQPLPYE RL >CAK59190 pep:novel supercontig:GCA_000165425.1:CT868001:53090:54033:-1 gene:GSPATT00029821001 transcript:CAK59190 MYYLILIFIGIAWYIWRDNIKCKYPITTKDTILITGACMGLGKALAIECAKKKCKLILLD VRSDLSLDLLQDVRKEGGEAQFFRCDLSDLQSTKELISTIKQKYKVTILINNAGVGIFKL FEDETIEDVIKTNTINYLAPAMLTQEFIKDNINHIVNIGSAASIVQGMKITAYSASKHAM WGFHNALRMELKYQNSNVRTTLVCPWGIKTGMIQGLKTKLDYFLPMMSPEYVAQCIVQAI EAGREIIFIQWYQFYIAHISRLVSSKILDWFILFVQAKHVHEFKGRQG >CAK59191 pep:novel supercontig:GCA_000165425.1:CT868001:54101:55607:-1 gene:GSPATT00029822001 transcript:CAK59191 MSILFETDQPFWRKVDQNIKLNEFTQEEFLHYSKKHKEYRRQNFAKKDAIILKFGKEEDD IMCCNVSNFRLEISNHQKLGAGLKLISKTNCFEVFGSIEQWHQDLKKYCIQNNLKTKYTI GKKLGNGSFADVHLLIHKESKQEFAVKIYDKASTKFDLTCVKQELDILRQMDHPFTSQII EAYESSKYLYLIQEFYKYGSLYEYLLRNEIPEEDAIKTTHKLLEALVSVHSKGVLHRDIK PENILLRKPNLEDIVISDFGLAVYYNEHGKYNHQRAGTPGNIAPEILKDQNYDYKVDVYG LGIVLYQMLTSLHSPFYNQNYQKMLSQNQEGFIDYSQMKCSSQTIDLLTKMLDPDPLTRY TAIQAKLDQVFKKYNRQTIIIKRKKIVQDKTTSSFSPRSDSIIFSPSPKQTPPLIVSSPK NSSQFSKRILGLQLRSKPDERRQFFSPNSFINCGTPKNSSRQQQKTSTHQTRKSIYQGLI SQKAAF >CAK59192 pep:novel supercontig:GCA_000165425.1:CT868001:56251:57747:-1 gene:GSPATT00029823001 transcript:CAK59192 MKSPQKDIDPIKIDRKVSSFWQFKNISNLPPNIGFDKFNVYSEKKKTFKVIEIAKLKSLI FKKKKNQTGYMIVDVTDCIVSIVENSHIGHGLSFSKSTFKFLIFGSQILDWFESLKKYCY QTEFSNKYELLNLLNRGSTYKVYDAINIFEQTNYTVKVYEKQILTKQSDIDAIKKEIQIF RLMNHPGVVNLIEVFENEQEFLIVYDSFEGGTLTDLIKKYQIPEQSAVKIMFRLLNSISY IHEQCVLHRNLKPENILVKNPLAPYNVYISDFSLADFFRRDVKYLFTRCGTPGYVAPEIL QDKSYDFKVDVYSLGVIFYTMLSGGISPFPTKSYDERIFLNYHGQIDFSIIDTSPDALDL LKQMLEINPQKRINSSQAIWHPVFKGLHKLKITNLESERRNSSIKLTIKQSLPPKTIENL KLIPCPINQLPAPSKQYQRRQKFRITFKNSRQSLSNASSPLTSPGKNLFSTQSQRTLFSN FT >CAK59193 pep:novel supercontig:GCA_000165425.1:CT868001:58314:60065:1 gene:GSPATT00029824001 transcript:CAK59193 MNNQQRIKVSVYSYNPNDLIGSGYRSQVFKGQNDINGEVVAIKVCDHSKIQHEIEKQLLQ QEIIALQVLDSINIVKMHFYTQNTNFTFIITEYCNQGDLADLLVKRACIPENEAILIFYQ ILNGFKDQISKGVIHRDLKPSNILINKGIFKIADYGFSKMVYSKNERVQYNVGTTLYMPP KALTENKYSEKSDVWSLGVMFYQILNGNVPWQAGTEQEFIKVITTTPIHFSKSINISSAA KDLIDKCLQLEENKRYNCNQLLEHELFKQVQVRPSRKSIQLLVNNYQIGDLEKRAKTSNS QERSNLSFQSCRNSKAIRQEFINYGDAVNNILNLLKLILRIAKIVDHFDFLIEGGLKEKL LYFTIKHAIFKSKQLLTILNQNHSTIDQSKKNDLINQSTFMYDTFRISFQKLWDSIHRNE TLCNAILKDTKFAAVFDQSELEFNSHYILMLPILRNSIISLQNQLNLKLNNVREHDQLDG AEEGGILVLKYLVIYLEICMYIVEHFKTSIFYLNQFNLKLVVEEKPTNLTKKQFLQICQR INSLMLKYVD >CAK59194 pep:novel supercontig:GCA_000165425.1:CT868001:60230:60649:1 gene:GSPATT00029825001 transcript:CAK59194 MQQNIKEIIARLNDQRKTIQIRRRTLNNENSQAIQSGDIKRRLSTLDPPTMSLQNLEIDL MGQGKNKEFKKITKKRNQFQNNQRLMMLYSKKITYVETHQSILPLIDFSQFNNDPLPIVY PKHDKLVKFKKINSRVIES >CAK59195 pep:novel supercontig:GCA_000165425.1:CT868001:61397:61890:1 gene:GSPATT00029826001 transcript:CAK59195 MNQVMSQILQKEFNECKEIPDGIIDLKILNENSWQIVIKGPKLSPYEKGQFFILVEFPKE YPNIPPKFRILNKIYHMNVNQQGCIALNTLKKEWSESIGVKKMLLEILSLFQKPNPQNPL NLKLAQQYQEDCSEYYERAQQWTQQFATFIDIKID >CAK59196 pep:novel supercontig:GCA_000165425.1:CT868001:62080:63464:1 gene:GSPATT00029827001 transcript:CAK59196 MIKKFKKLFQSQSEFQSFLTKSSLSRDNFVFKQEDVEILIPFLTDEQNIIDFFKVYLDGS LQIYTVKMKMLITIHQVLNISEEFAQHFSKINFAYFNKPISKPQTFVFKQIPNEVWLLDN IQIPFLQYLQKLALNVQEIKAYRNNNPRKQILQSNLVIECFKLENLVNQGLSLVPQLKTA LSNFPHDFLLKKLACNLYSELRQFQRQLINSLSALLDTNSRASNIEIFEFFREVQMIEKK TMSYYLLHKLFDPARKLMPPLQLKIDLKSAKHLEQQAQHEQQRITQQRQLRSQRNSRSNS TDQQQPASQGFISQRERRFMMYSRAKKQDTIQEDIEMPTEEQQPASEQKKSCQNMKIVQD DGNNIQEIKIENIEVQKIDNFELNEYPNQFVVSQNNSQVEPQENGNEINEKISQKETNDL GKNQESTSQADQEENQDI >CAK59197 pep:novel supercontig:GCA_000165425.1:CT868001:63738:64499:1 gene:GSPATT00029828001 transcript:CAK59197 MKQLSQSERKHKPKQKSEPSLLPNIDILKENVKSSFALGHLRGLLKYNKVKDVPKTEIQV KRDQTFGVANKQSKNKRLTFLKFGFRTHEKKNNYYLQPLIKKVRDVFGDAAIDGSMGVVD LWSDRNVNRLMDAIQMTRDNLIVRKLGKELNEADHFILNRNEEKKIRDRIFMESLKLQFQ ETDTFSLKFLLSEKDKKIVQRVAQPTISSNLRHTNPNLNIQSIVENIDQLHQENLEIYTN LYKEIKQIQKNIK >CAK59198 pep:novel supercontig:GCA_000165425.1:CT868001:65293:66210:1 gene:GSPATT00029829001 transcript:CAK59198 MEEYLQGFVSTSIVKTLFAPLERYKLIRQTQDILTLSSKEKMTNFYQFLKKTIYNEGPKG LFRSNLTAIYMWIPQVYAQYVFYQNIKQATYDKDLLSMGLCATLCGITTSIFSYPFDTIR VRQATEIQIPGQKRQYVGFEETRFNIKIESGFFKGLYSGFTVGCLQTLLFAYGIVGLNSL LDGTTPYNQQLAYLGSYALIYPIDSVRRRLQVKSILFQQEASKKKNLWEKLKFNMKEWNF SWGYGGFLAHNVRGIAMLLILEQVNFESLYKETQNQLNQALKY >CAK59199 pep:novel supercontig:GCA_000165425.1:CT868001:66698:67427:-1 gene:GSPATT00029830001 transcript:CAK59199 MISSLAPHNYSEILGDLAHEKGLHCHLKKRLVEVQHHKFIFEDVETKEQYTSDYDFLHIL PPQKPAAFIAERGLGDSDGYAHVHPNTLQHLRYAKVWALGDCSSLPTFKTAAVMAQTPIL IKTSSELGNQSSIEIKIHSRLYTSCPFYASNKKVMIAEFKYNKQLDERSQSFNCQTVKPC IY >CAK59200 pep:novel supercontig:GCA_000165425.1:CT868001:67449:68174:-1 gene:GSPATT00029831001 transcript:CAK59200 MIREEQNQLKYTIDFTIIFIIKQLRNLNHISLYTITFRAIHNQVAIIGGGCAGLNVSAQL VRDGHYIPQQIRVFEPYKMHAYQTGGALVGAGMCNVEKTMRPMEMVLPKNVQQNTIVTID GKKYPYYQLIVASGVQRDFASIKGINISLSIHQVLWIGSIYYYKYAQKIDRIIKEFKGGR LIFSQPSSKCEGIPSNIIICQKYQM >CAK59201 pep:novel supercontig:GCA_000165425.1:CT868001:68236:69554:1 gene:GSPATT00029832001 transcript:CAK59201 MKLFIIATLVLLIYIALDIVFNSNMWEANTTLTLYLQQHQFHGEKEMFLVFSYSLYVLPS IAGIAFLILDNKLGALLYGGMILFSVASNSLLKNIYHQARPFFIVEEIEPYECNKEFGKP SGHAMTSSAMCFLLPSIMFPAIWTDQPNCKYPLYLRLLVIFIITFWTFMTGFARVFMGVH SFAQIILGWVYQGYVSIIYMQYLHDRIANYLKECLQVGQQGVSIKAINVIGLIVFCWTCI SILFLELNNNIFITTSEANLWMKAVYEKCENQSTLYSINSPSVLQNICFSMCLYIWFMLS FVFGIKLSKGIYLENQFSQNFKLISFWKKTQRIVVLIVLVALLIPFYVIKFETVYAQAFG QVSHSIIQVVPVSILGGLFITVVYQKLLNYLKISVSGDFLQIITNQSSASDGQSVEMQAI KS >CAK59202 pep:novel supercontig:GCA_000165425.1:CT868001:69795:71353:-1 gene:GSPATT00029833001 transcript:CAK59202 MLTSIDGLDALNQYFSEILGQIRLQFEKYCQISNDVNVINNFLIFSQKQGIEPQQFKDKF EECLKRLCLKLQKLHIKSSVTCQSNSLFVPDERLFQEYLEPLLSTLKEQASLLQQIRFDF QKRIVALGKFFFEPIIFETDQPKEEDKVLESLWIDKNETMRKMLMMKQRTKKEQVIDDEP FSYGNGRLEKVFAVAGSKVWFLDFIYNKFKPTSKHSKIESKQACIICKCHQKFARINEFF NFKYGDLGQTTGNFKVAFDKRTNKDYLIDRGGLYFHDLCVFWSSLVECDEKKGTIDFESL QEVVKISQETYCYLCKRKGPTLKCNDENCQIWIQYYCWKKLEPSQQYLDNQKFRMLCYQH VPAKYRKPAWASQNLLLDDHQLEKKEMKELRQSQFVKFTYQEFGQSRYIQKIEQQATKQ >CAK59203 pep:novel supercontig:GCA_000165425.1:CT868001:71533:72206:1 gene:GSPATT00029834001 transcript:CAK59203 MSLTLVSYNISPFVLRVTAALNYLQIPFEQKDIDIINKPEWFVKANPLEKVPTLLVGDKV IQESLVILEYINSLTPNSLLPNDPLEKAINRGRAEFSNDVVGIFLGLTAASTEEAYNNGL AELKWYFDKLENWLNQTKFIYSDELTLADFAYIPIFAILQALSPHLKVNLLEGYPKVQQY GTTLLALPRVAASKPEGYEQILLERIKTRKPYFLSV >CAK59204 pep:novel supercontig:GCA_000165425.1:CT868001:72800:73143:-1 gene:GSPATT00029835001 transcript:CAK59204 MSQSPIVFTNKPSKPHPLDDSVWRWGTVLPKLPKIDLKPEIKSEPQYFQNNQLEDSQTIN NYTVRSAVLHEVTIFNSNFRKLTIQKSTGMHSNSSLLNESSSLMSKQ >CAK59205 pep:novel supercontig:GCA_000165425.1:CT868001:74482:77515:1 gene:GSPATT00029836001 transcript:CAK59205 MNFERQLYEIYQVDPDQLHKNMNQDNLILLCQLADQSDNEITLQIVGSLVSTYIDQNHQN TPEFAKIFEFAWNRIKRFTTNSRPVYHFFASIIANIILHFYDQIPVQYFKEQAQIMNKQV YLIIIDTVLRRLQPQERVGEFRILDSKQKIVRQQFFKHNLDFFLEIITTSLIGNNSNPDL QLLDSAIDLLNLLLSSEIKEDIKEKDWHNIKRAEVKNKRNLQTIMREIKSQILDQNELEK MIQNQHLDHMDPITNHIKMVWSIVKYQQFIAKMTELLPLNIKIWESLGKICKIYQKYYSN DKDSIDIIFQITSKIMVSDISKINSINLLNFAGKTIFANHTPQWIEFNLNLIKNLNTIEA VQVGLKFLRNLVRFQRQYDYSQLHTFVMPIITSFFNSWIVQNNQWGNDKFLRNNIKHILK EISCFMIEQYLAFFAQMIQLNPEQSIKEMAFRYYSIGLFASIKCTTYQLDVTNKLQFYKM EQFLYPINLDLFKQFQYQEFYSKAFDFAFLYLNNQSPYTDRFLYKFWKVLFDQQTLIKFE EIVQKIQIDRKILFNFSRIFLFNDQDLIQKALRVFVNAKIKMEDQFYQINQINIPKECFS DFHEFRIIPNQKIITLYHKVKMYLLNVQNESIHDQLVSEQLIQKFKNDVLQFMDQIQITR DIVFILQSMLNENVRSLYGVIMQIIDDKGYLKLFAQAVDLDMLKLTRMMINTTGQIGQLK QVMVDQLYEWILLVVQKVQIHLDSINREFNQERQLGQKSIQNIYKLYYKPLLQLLKIFEN LKYLNRLLTSKKQVRIQIYQSILLIFKYINLNDLSNYIHKMSSLFYVIQWLLEFEITRQM ITDEIFGNILDFINCALLIQEKRSLMTCLKIISDIQTYDQFSHSQYIQQRLVAENCLFGA FLYNIRISDISVLTLRYFQRMPNDFTVLFSKLKQRMQPYKSQEWDQIINVKLDKLYSIIP KQQQLIKATASNKQIEKTFQEYFYQVQLFYNYYP >CAK59206 pep:novel supercontig:GCA_000165425.1:CT868001:77745:78465:-1 gene:GSPATT00029837001 transcript:CAK59206 MSLTLVSFNICPYVLRVVAALNHLKIPYEIKYIDLENKPDWFVKASPLEKVPILFVGETV IFESLVILDYINTLAPQSLLPADNLQKALNRARAEFSGEIIGTFWSVFSSKTEEQFKSSF GDLKWYFEKLEAWLSQTKFIYSNELTLADFAYAPIFTLLEAIKPLFKLDVYEGFPRLQEY SKQILSLPGVQSSKVENYDQILQERLKKGDPYILKQ >CAK59207 pep:novel supercontig:GCA_000165425.1:CT868001:79436:81402:-1 gene:GSPATT00029838001 transcript:CAK59207 MNIFDILNSQFVSQTQYDTIIAQQKAYQQYYSQLQSDPNKIAEIWPIVRQAGILDICYEN YKYFAKENKLSSLEPIQKLMSSALEEEGKIDYLADFVKFMGIKQDYSLFDQVRTVVEKYE LEYDIQDRLKSLNNLNFKEQYQTITIELLKLYAEKVYSEEGFLNELLEFGAALESIEPLA KNIIQQVHADRTIKNMFEAVLYYPDTSDHLHEFKSSMEVTKMYANMDSCLQQQMKKRLLI SGVNTDTILKFYVNLLKVLQFVDSDYMVFDKVTKPIKEYLLQRSDFLRCIIGILTQQNYS TDKVMIFEQQDSSDEESSQEKDLTSVLVSLYGSQEAFISEYQNMVAEKILTPKDFSLEQE IANIELMKIRFGEQSMNTCSIMMKDIYESKRIDTNIQSLTTQFNMLKPLFLSKTFWPISY EFKPTFKLPPAIEQLFNDYQKRFEKIKTMRSLLWHHDLGSVTLDLTFDNGDFEFKCLPIH ACIIGYFNDDDSKGLYSDALAQQLQMNHEDLKRRMQFWVQKGVIREQKGENDVICYTSVK IYVPQSCDDLLIEEIPEDLFVSSTNFLQSNQLIQQISHNLIELLKQTGTLKIEKIVQLFK TTFKSEFSTPITENNIKEAIKILIQKGKLQGSEYIELKQ >CAK59208 pep:novel supercontig:GCA_000165425.1:CT868001:82270:82521:-1 gene:GSPATT00029839001 transcript:CAK59208 MFEQKEKCYQENIKSVDNYVNCALQLVNQFNEMSKKFRYQGLYFEHRFVDCLKHRPDEGD NYKCIQKLEKDLKMEAKKITPKE >CAK59209 pep:novel supercontig:GCA_000165425.1:CT868001:82604:83494:-1 gene:GSPATT00029840001 transcript:CAK59209 MKLFRFAYSFSKYSSQNHSLLQQIYSYNYRDHYIAYLLLDHQTKSIISIDPGDFEAAFYN IKNLEVNSGYRLNYILQTHGRSNHTASVLELYSKFKDAKVYSGVVENKLCTLFQTDFARE FQPFQIGDLAVCFIQANGHTFDNYMIAVTDTNSQSTKIPILFSGDTILVNNVGEIEDYGA FFATLQKLRGFHDETLIFPGHLPQQEVFLFAKTLEPDNIVVQNKMGLDNLIPTSLIEERM GNPYFRYSQLNGKVKESDPIKYLKKLKNIQNKYFNGQKV >CAK59210 pep:novel supercontig:GCA_000165425.1:CT868001:83507:84205:1 gene:GSPATT00029841001 transcript:CAK59210 MKGQKLWKKLKGYLLENQDYKPDINDVQIFKKEIKDDIIIYQVEDVIVKQSNKQLLPDKS QLNQVNENDIDNTGVFYWPSEIILTKYILGDLDRIKNYNIVELGAGRSGFCGLVLAKKGF NVILTDGNQSIINELKENVILNELNLQVEALKWQSGDPYANTCALISDCFFFENYHNDLI QMIKRFCFAIGAAPSRGGSLERFINKCQNQNIECKQLNILHDTQIILFNVIN >CAK59211 pep:novel supercontig:GCA_000165425.1:CT868001:84225:85724:-1 gene:GSPATT00029842001 transcript:CAK59211 MPLCLHHQQPIAEITLIQFPTEELQLFCSKCPKSQDGSLEIVQILDRLSKIQIEDESNVE NYLNFLSNRINAIKQEFLQVIESFSKQVKDQIKFFKQQIITPETINYYSSIQQFTSEDLK QLSTLFALNFRLDNHSFSYNKTNSIIQQQIIILHNKIKKVNQLKIQIENSFNPDYQTQSS IQTDEEDCFNEKPTGYKNYIEKDRIQYSQQIRGIEFSVDNQYVFAHSHELPLTAFKIVNN KLTAYQKLEQKSQNISSIACSKMVNRIYVVSDKYLEVYEENQQNHWTHIKQFEMLQTIHL IQISMSELIGLTLKNIVMLWPQQGNEERNKISLPQKHKKIVRSISFNYNSSFLLTACEDI LVIWKISNSNQIEIYQCFENQSIIYAQFSDSVKNIFCAVNRFGNRAFWKPDIQGLFYEAQ AIYSSSSTFGCTIKFRLNSKLIIIGNHSIQLFEEDQQNDNEWIVKQQIKVNCWNLDVSKD AKYIVADDFRNLCLYVREE >CAK59212 pep:novel supercontig:GCA_000165425.1:CT868001:85792:87958:-1 gene:GSPATT00029843001 transcript:CAK59212 MIKQLLAIVAIGTLSIVPYLDNTDYNPWFEGDTFIVSTDGSMKRVSRFDPNWKPQSAYED YHEQIYQEQERLRKEKMSDFLQSTAHICTNGKDHTKMTLQNYMNEFINGPCQPAILVPGL IGTALQVKIDCEILQAKRPDIFENCGWQTCSASKFWLKKPSEEYRLWIGALSGPFSIAMT NKKDKCFGDFIELYYDRSKKDPRDRYTAAPGIEITWAGNTPKSIDNECGTTAIQELATDS LIKAAMCDPKGYHVFSDTLKNMGYIPGLTMQAAPYDFRKSIAASESQQYIKKSVETFYRL TGKKTYIFGHSLGSLHSTEAVYSMTQAQKDKVAGIVTIAGPLLGATKTFKPQVGGDDSFM FKVLILDAGINWYAQRKMARTSASIVDLYPKDTFTRFRDEPWMQEILDRMKWDTEFISTG KRPTRANPLPWFPEPSEICGADFADRSNHCQLLMHDMSEHFLKVVDKLYYSTEESTKEAI NDTIAANDAKQILDYVEETKREKANNVEHPGVPMVIVYAAHEMTPFQFEYKKQPKPIVES SDDFYFPDHITKAIGDSTVLASSAMTPGIKWIYEHNHGLTTIPTKLVEYCSQYNGDAVSS IYDSKDAQGQRQFTESGYLGLTCSCKFGQTGIDHCGHACMIQDTLFIEFAADVLKTHWKS TISQTPANETELRNIHEGCTNLH >CAK59213 pep:novel supercontig:GCA_000165425.1:CT868001:88043:89755:-1 gene:GSPATT00029844001 transcript:CAK59213 MQQNSIRKQNPSNGWVYVLRNRQSIDQNLDRFEGEMEGKNIRIQCDVLKQYTDQFMRCLD ELIQFPQENIIHYQDFFMDPQTKHLYVIQEYVQQESYLNYQLKHQQPDDVQKLKICEDVA KGIYYLHLLSYRHRNINPENICFVNGQYKITNLNQVVHFIMKEKLDAVGDSSYWNQDLML DRVYDQTIDIFAFACVVFEVYTSQRLFNRNHPDRTRIPQDKVQELSKLPNKLSQFIFKVI VEGKPELETYIDELQKDDLKNRKYEASSIGNKQQQTRNMKTNPIVNFEQKEVPKFNNLPP ALTPFQTMNAQKRPSTVLHGIPQTTQQFIQPNKFPMAAKPPDTFSAPISAKIPDSFSVQL PPSQQQFQFQSTLKPIQQSSPFPMFPQQTSQDKQNQGTLKPFGTISNKFTNFPIPNNELS SMTQNFNQAIVQQSSQPFGQNVLPQIFDTSQSLHKKNNSLSKPFYQENTYIQKTDPDEDI FKCQIDTSKEEREKENQRQAKDISDISKLVRPEHQEKMVKEYLDLKKQNPNWTVCQILTE IIDKMVRNKL >CAK59214 pep:novel supercontig:GCA_000165425.1:CT868001:89787:94815:1 gene:GSPATT00029845001 transcript:CAK59214 MIMNNRKTLFEYSKWDLWIHSLKMSIYNLTHNLLLNSVVTVHLYNFLILLETMQMVIEII QHFRCTIQYIRAFHSSSKLPFSNISAQFYPISSPTKYWAKDKQKFSQHYCTQQYKSPIKV FAVQLLMIALKIVILCNLKKHTTFYIYTYRLVAYFGVFFNTILLIPFTNVYIAILYCVNS NFECYVGAYYAHFVFSFLGLFMHLIHIVYFNLIFMEQNPFSSIPFATPQQSATLIKQIIK IVLPIYTIFDYAGSLDRVFISLLCLSYIYLNVQRFKQPKYYNKWVAWASIIEEITLLWIT LVSFFTAFINYNQAEDIGFFYMIIGIPLVILVYFHLLHLQNKKVLSILFRNIERDIEAEI YLLEVIKLIKQRKKIWSRMLLEGKLRLHSKACQNKDCICKALMHENIKEEEIDQYWYKFL GFLLNEMRERFNKSCRINLLYAFLLNDQLNNHFKALTEMMFAEDNKPSLQEELAMYHYKQ IIQQKLKDMEQKTNENKGIDVNGIMVFQNTFIIFLNAVEKTVNYHIEYWRELLETNPDIL KLKVVGSKITKKLEQTMIQYQKLQELNSNHIKFLTIYGNFLKEIVNDEDESNRILDRVQI LIKQFQNNKIHDQIRLKYGENANTCIITCSGNFNNMGVVTNCNNEITRLLQFSKSDIMGI NINCIMPKIYASHHDQYMIDYIDTSKPKVMDKERILCCINSQQYLVPCSLMIKILPNLDE GIKMVGFLQDYNNQQESNEEWHYLIVDGYTQTLLGITQSCTQIFGIPQSIMTNNTFKQKF TFDVLFPNIEEHINMEDGILTYLDTTQLQEDYLQGIGESQSEISIEDDDDQFCEFPHDPL RSSNDTRIQRYLLKPVEVKQQDQVSDTPSPLFHRFQTVDFKKKKNDKLQRYKKYKVKLVL CDQLKIGEINLQTIKFMWIKEDEQSEQIMKMDSMNSKQNQNNQDNKISDHLQPVIEDGNS SRSSRTENNQKELKEFKQMISSKTQPRSIIILKRTVWFIMILLLTLSTLIMAYRIIQIDD VKEGVNAIYQGYYRHNIISDVVYNARKLQLIYNNTYNDDLQVVKSDLQYWVNSLQQLQYD LINVRLIMENRKGSQIQPQMYTTKFLMSNGQESNQDLLFDDAMMYFITSGSQLDNASNDS FIQSTNSGYKNFYFLINNGYYVLRNGSENIANNFYSFYSNLIQDYLIKFLIIMIIGIAFL IISSLVLIPIVSQVHDTNNKVLSLFGIIPVNELKDLVTKCEQYLKNFLNEQGDSHKQTKV QEAQITHQNIIHTSGHGEQPLINKEHPEKQESNEDDASKLIQKPQKLLSSGHQSVSHVKQ LSNLTSDGQPQIPIPVSQGATKHDLKFSQKKQQQKEEEKEDDQENLKANKLLNSQGSHKC AVTVQFIFFALLFISYFVLDIILESYFLKETQNIFDHLKNIEDRPINIKYYMYLSIEHLL LQNPTSLDTYKTDFQNRQSENERNISIEIQQSHPSQFDSYFSLLAGISFNSLCDYPFTVN QFTLVASTCMEVQAGLLQQGLKTTIASVALTSNDMLAKWSLSNKTVDDLSQTLKDYFKKL DSIMQYVSNCCYYLTLQYQQATLDFLSYSEMIEQVKFSVFLIVMFFVFIFCMTQYQNNLS EQIWETKGLLNMIPLEIIAKYQHLKDQFVGGEILKAVQ >CAK59215 pep:novel supercontig:GCA_000165425.1:CT868001:96855:97747:-1 gene:GSPATT00029846001 transcript:CAK59215 MNNNFSNNVNQPTQQQYLNIQSFETQGQQIQKNNYFEQQDKLNDLSIRTNFLKKVFAILL IEFFITYVMFMLVVFTYLFDLFYLIAYICVSDYEDGQKVETCGYYYGPTWVFYFFLSSSM TLQFTHYFGQQSGRQIMHPYLFFFLYQLIYGFTFAIIWAIMGIHINFMGIHIYFMFICMT WGTIGLIIFGLMCYVILKEKDLSFQIGAVIVFFITLLAFIFFVILDSSFALQYLLCAFIS IIYGLYLILELRLMIEQDVKIIIFLQEFKIVN >CAK59216 pep:novel supercontig:GCA_000165425.1:CT868001:98727:99260:1 gene:GSPATT00029847001 transcript:CAK59216 MSSCKTNECQRPPLLALYDKISRLKSLDRILINTGSNFKAKSPQKSPDIRAILDHSKLSI ERSKSSKAIDQQLNHQKREQKNDIRKEGQLLQLYLMCMHNSLQNQRLVINLQKKAKIIEP ISVFNFWSQPLYIKYVRNQFNQLSELKFINSNQPKLKSKYKFSRYQEELCIALRSVE >CAK59217 pep:novel supercontig:GCA_000165425.1:CT868001:99515:100871:1 gene:GSPATT00029848001 transcript:CAK59217 MNGIQIRKNNEQKIPEYYTNLLEIQKLFQSYHLSTKSKRSSNSEKRTKEIKIQNILTEYL KKTKKCQSKETSTPIVNLQHELKQQSVNQQTNQLNQILINTSSTIDSLKQSKSKEDERIG PQQFLLVGLLGRGSFGEVYLVQRQQKLYAMKVLRKSLIFKQNICRYAITERNVLSVSSHP FIVKLRYAFQTQDKLFMILDYCPGGDLGQVLTKQKRLPENVVKLYMCEVILALEDLHKRD IIFRDLKPDNIVLDSEGHALITDFGLSKEGIFDADKGAQSFCGSVAYLAPEMLQRQGHGK ALDWYLLGVVMYELLTGLPPFYSDDKDVLFNNIQNGELQIPNYISAEGKNLLKALLNRNP VNRLGSGEGDYLEVKQHPYFQDINWDKVFNRELKLPKPTNNKAYLISKGGQNVFDLQSFI EIEKSHVDGWSYIHTE >CAK59218 pep:novel supercontig:GCA_000165425.1:CT868001:101191:102295:1 gene:GSPATT00029849001 transcript:CAK59218 MTVIANQSNEVLILSDGAGKFSIKEFLERQKANIREKKTQSSDNIIRKIAPIKIENQKGT NSSPRFRIKLVSSPLSTNRTTLSRSPQTSTFRNHRIPLESDYVCETEMHIQTNRIDRAFH QTDIDESTMLSPKSPTTQDYGVDSSPVVKTRNVIKSVDDENLLKEQIKPPKRTKKQLRHC LLRALKKLKEMNITTKMMVQKQIFSKKPYQKPFSQEFIHAVKLNQLEKVHQYLEKNKYLV FDFDFFNMSALHWSSKKGLYEMSELLIKYHADVDAIDILNRTPLYLAIQENNIPIIEVRI SLLILQLLLRNRAYPWSTQVTDLGEVVKDNKKVRRILTQIRRVDIINMWGEKKLKEEFF >CAK59219 pep:novel supercontig:GCA_000165425.1:CT868001:102457:103608:-1 gene:GSPATT00029850001 transcript:CAK59219 MSPRNWSPTTKQTHGSDAISTDKQDFGMQKSLLIGIQLSTHFQTSVHLKINQENYTRATF NVLFIFLLCRSIVQYSNDLSLQVQVSDKVNLIIYQQHLLNNSELQVYKLCTLIDHHQSEI LSVTISPCNQYIGTTSKDKTAIIFELRKNKQLVLNAHQSAVQDMIWVLQHNVVNGNSSAN IQHSKQDQQESLFQSNQTSTTLKLLKYKIWTIANDGWLFGWEQGNKIYSLKTEEKVKRMH YDQNQDSLIIVSEYKLSIYQLSKKQLIRQLSLNDQIIDVKIEKAQTFLITMTKNINYQFT YYSLPNLEFVKMFIEHNPKLLIKQFDIGWHHNNLIVCCTNEGVTIMWHIQKGQLPFFRKQ VLYYPINTIRFTKAPQVQLVQSL >CAK59220 pep:novel supercontig:GCA_000165425.1:CT868001:103699:104067:-1 gene:GSPATT00029851001 transcript:CAK59220 MKQQEKLQIFLQYLNNHGYYQTIQELIQKTSYNQLQQLDSKVDSSIVSKITTYIQNQDFD QLEQLFNTYVNQEKKQKCMFIIVQNHYVELLQQQKINEAVELLRGRLQDCCLGSYSLNSY DR >CAK59221 pep:novel supercontig:GCA_000165425.1:CT868001:104092:104956:1 gene:GSPATT00029852001 transcript:CAK59221 MISKIPLRLLSTIQPLKMTRVFAPFCTQLEKVNKTGQKLVKIVEKELKYEKTNYVEDDTA IQFVEKAGFQLVDDEANHEVTLEKKVGDVKVIVQFQSRQPNQDEGEEEEAEEQQKNKQNQ EEEEEQESHTEYADFTVYLQKSNGQILCYECSTSQGEVNVNMVSLIKDLEAHKLIPRFER GLQDYSGPDFITLDERLQLALVDYLKGFGINDELGAFIEHYSLDKEQRLYIQWLNSLTTF LKN >CAK59222 pep:novel supercontig:GCA_000165425.1:CT868001:104974:106784:-1 gene:GSPATT00029853001 transcript:CAK59222 MNELQFIQYRYQLRIQEKKSEKDLWLSTYCCFMFMLLKAFNMTGKMGMMQIYDFLYSTNF PSGLDSGLVSLGNVQWFCYEMAAPLSLPMMPMLLLKVQFKYIFFFSSLGSILFIIPLQFA TICKDAEQPICNVFALWTFTIVFSFISGFCKTLLLFSMLYYLSNLAGGREKIIYYSSFYF MYVYRYYNLMHRHQFQWLVGSLIGNLLVHICSRDSLVETLKHVYIILIVSSLFLCSLFLT IPDEKKKSKFKKFNKIIAQLLMENGKENKKILKLELQKMNQKKEQNELYHKLIEEEVTGE NGKIKKIMDQQVQMMQTQQFFTIQSFSYEENNLQDILSEKPSSAEDLRWVQAGQKTISME EYVKNNYFGNLYLTLSVLCSEGFFYFIILIFNVGNLMSFLLLQLPNLLTINSVLDAEMRA ATIYEGFLYVGIGQIFGCFYIGLFGDFSQKITGLYYILGVYQLGCFLAWGIYIFQIEFLV FVMSFIFGFSCSAMMSTTISFQIVYFQKFIYSVDLMYIIYSFSFSITSLLYILTNFFGKF CGLILLQSSGIFGLLSIWRIHMKLKAKVNKQQ >CAK59223 pep:novel supercontig:GCA_000165425.1:CT868001:107935:110244:1 gene:GSPATT00029854001 transcript:CAK59223 MSQSSDKRMCKTHNLQIIATNLKESCDEESRHLCGQCLTLRTMEQTIHINEVAQNYLNEI KTEYYQQKSKNIEQAQNILSQLQVCIDELKRSLLQICEKVSQQITQEIERLSSLMEKLDQ TVQSIDVYNFDELFTKLSKRRFRKFIVFIQKHLKISDRTNLEQLKNRRISSITQFNRILN KSNGNLLNSIMLVELRRTLDCKDYNDNKQDTPGLKVVCQTHNKEVIAFDLDPERAKENRL ACIYCIEENSIKYHSLTKVQKQWNQLEEIKKKKLEALQQKNYENEQVILQQLTTFTDILQ QKKNEIIDYLKEHNSNLNNIINSAFQGQNQNWQNFQKKTLLDIAETLSNTQLTDQIQFKQ ENQILEQEQQLNKNIYNSLQKVKETCATSFQKISEKICNDQEQVFYHSMIQQQREPQMIS KVVELNLQIPQETKYQEIKQTQTDSVSQGQAKINYLMLQNPPIRQVDNFRSLCFTKENDI LIAGCNKSIQLYKFIWGQLELIQSLDKHDSNICSIYAMKKSKSFVSGDFNGKVIFWVFED NKLKFQAELKEHTDYVNQILMNKDENEMITCSDDKCIKFYTKEQQQWRCVQTIKNHQSNV NAISLNALENTLISCGFDSLISVLIKDPLLREWQVKQTIKNEQYGYRICFIKNNHFFFQP QGQSKIQLFKKSSVSGEFEKMNEFDVTKIQSSCCRWFQMEYKEQSKLLLCKNGNCVNLFK VQDDYSLKAEQTITFETQSIYGAITSDSLYLILWDEKSKQFQIRKQYDE >CAK59224 pep:novel supercontig:GCA_000165425.1:CT868001:110282:111907:-1 gene:GSPATT00029855001 transcript:CAK59224 MMNIFIVSILFTTLLSCQVQYNNDLKFDFSNVQANYLIYGNDGKSLYITLCGLLNYRCEQ QESTRLLEETKTETNNTSTDTATTTTDTEKTNENNTNTEPATDTNKEDNNTNSGTSTTTD TNTPKVDDKTSDDKKTNDKEDANVLKQVDKDQSQSLIIGEKNTCTPYSEQSNVDANQITL LVENKPFEGLIIERRGIIEKETFKLQLKCSESDVLTIEDSNKDGYLFILTTSSACPILVY NPVTQFFIDFKWMFSIFLWVVGIFFLLFGYQLAQLSSMILASIMGFGFTTIVIGEATLNS QSSNAALCVVIGSGILVAFIYFNASMQRFFFLLFNFGFSVGVIISLMIQSLFYYTIETDL MFFPLTTSIVVSGVILGLLGLRYNLQMGIVSTSLVGAYCLIRPIGFIAGGYPNELLLTKQ AEYGFDIKIGYSVYVYNVMIILVAILCGVYQRRQHLKRVALDDQLQADIKYYEMSNVDDE DKQKRQSKNSDKNVTVIFAKHLENQGEELQDKKRHSHLTGSDIND >CAK59225 pep:novel supercontig:GCA_000165425.1:CT868001:111916:113199:1 gene:GSPATT00029856001 transcript:CAK59225 MKYHKVKWELVPIVDEASQHYLNQLNQRNRFHNFPANQWFKRRSDQVKPQYLYYPEERRL QMELKQIFMVNPLIKPLQTLDCNNEGVLTLAHLFMLFKHYGYEVSVNDLQTIYQFIDYNK DKALSLDEFKGLTENQEALSAFRMMMLKIQKTLQYSEKYVPLSVGAMLSHISYLVQREKQ LKMILSEQSISVKFQAFRQLIQETEKKTIADEAYTLFLKAQAEFQRKLKRQNSVDLTIKV RKSFSTINGLQPLLFRNMLMKDASELQDLAKMVQIQSKINQDTFQTVTESLEESSLSNIE SNDIELVVKKAQQNGRLQASQEIKQLLTHRELPKITSQHRKQLSVATEDLPKIQFNFKKC HSTNNKSLLERQLKLQKIIPAEELSQFNFLSSSRSNKNQSTTQDQTSSENQGCWSNSILS MKLPTLQ >CAK59226 pep:novel supercontig:GCA_000165425.1:CT868001:113764:114501:-1 gene:GSPATT00029857001 transcript:CAK59226 MNSQSEQPFQFKQLRSQSLSPYNDFNLIKQLKRQLHCSAQKTAQILSLENKANQEQIEAN IISSDSIKNQCNAFKSRRNSLIFEGQSIPINPIIKYSVDVSQFYKIAPQILRSNNYQQKI INRKQKPINPINSQDFIYDDDANNQSTVDVQNPSCNPHTETKRQYISILPNIKKQKAGIE EEYPIKRLERYEQLMKQTCDEQLNELQTLIKKIQKPPVGKKEKKVTFLI >CAK59227 pep:novel supercontig:GCA_000165425.1:CT868001:114516:114928:1 gene:GSPATT00029858001 transcript:CAK59227 MAEEISITFDEQNKIRVLDAEKYRETEQLKIESMDFIKKVLALDEVVQNLNETLEEYSKK IEIEKLRAIGERNKVETEQENRKKKLMELSNILNERKAELDRYQIELDSLQKVEQDQRIL IEKLSNNEA >CAK59228 pep:novel supercontig:GCA_000165425.1:CT868001:114954:116201:1 gene:GSPATT00029859001 transcript:CAK59228 MFHQSQSLNNLQRDLHLKPLQIAARYPESADFNIKLKFFLPQIKKAEQSSVFTEQSKYLR LPQLQNHMASSDQLQSKKIEIRKSSTPKNQRRQTTLYAMRTKAGCQINKATKINQDSAIV CPKILENIGYKLFAVSDGHGLNGHLVSNFIKQTLPKHFHKYLVDNQEDIKMQIARAFTIT NREIWNSNTDTNLSGSTTASVLITKDNIYTANVGDSRAILCKFDQIWKIVPLTRDHKPDD PEEMKVIIDAGGRVEQQKDFHGNPIGPFRVWLQYIQAPGLAMSRSFGDKVGAQAGVTAIP EIKEFPLTKHNQFIIVASDGVWDYMSNEEVMGLVIPYFEKDNPEHAAEKVVKEAIQAWRR NSLARDDITCIVIFL >CAK59229 pep:novel supercontig:GCA_000165425.1:CT868001:116938:117888:1 gene:GSPATT00029860001 transcript:CAK59229 MFKFGQEKFTKKTLPKNFADQILNLEMEIELNEQVQIELIQDLISLYMEGVEYYESIKDR RHLYFQRKLNALMLKPTFINATQKFEESHKPQDDNQVKRAKFQEQTRRIELDLIYSQTES KEAQVKGIVDNHTNQVMKIESLIKNEIANQSDVLQMRLERRRRSKLTHSLSQPEIDLQSQ KSGNSNKKKQIELHEQIGIRNSISFQVQIKQIIHEEDETAANKKDSTLQQTKEKKWEIIH QASLNQENKKRTNSLIQRRRSLFLTTKQMIQIKRSLSCGAQEPVEGLKNE >CAK59230 pep:novel supercontig:GCA_000165425.1:CT868001:118100:119672:1 gene:GSPATT00029861001 transcript:CAK59230 MNQLEDGEVPTNNEPKKSGASIYSSTYRTKVCEHFKKGSCIKGNKCSYLHPKELQNVTRI CKYYLGQGCQNSQQCQYSHDLSKYQCKFFFAMSNCKGQNCRFSHDLWENEIAKQQWAADN DQFLRDTYQRRGTTGLGAAYDEQYKMKVFEQQKANPNQRSYPFYPNNYMPPQSQMQMNIL QQISPQIPQGRTKLPINNDLDPISDEEQQEEINKMPKLNIYEQQNPYQMHQNNIAPIPQH SPFQINPQFLQQRISINQQNQFLYPTPVLPNQQQQQMFQQQQQAFQSMKYYQQQTQNNML INQYHQQQAYQLQQQQPLIEEQKEQLLQQQSQQQQQIIQQQKQQQLQQLQQQQIQQQHQQ QQFLQQQQQQPQQPQQQQQQQPQQQQQQQQQQQQQQQQQPQSQFEQQTNIGKQENKEKFE YKQQKKKSSEKQQKKIKKKKQQRFNNKKKMETIKQIKDRLKKQKSKIKTIQIPPEMPVDS FKNGPISKHLGITIRKPVLQYFLGF >CAK59231 pep:novel supercontig:GCA_000165425.1:CT868001:119844:122382:1 gene:GSPATT00029862001 transcript:CAK59231 MKKFLVAQKSIGFIRSIREIFDEEYNEHAQEYEEILHTIKNSQLQFTDSNFPPQSSSLSL SELPKSWKNLTWKRANEIFDDYDIFNGCIEPSDIKQGILGDCYFLCALSVIAEKNELVKR LFHNSEVSQYGLYAVWLNINGEWTSVVIDDYFPCTDNLPAFSRANGLWVMLLEKAYAKVY GSYLNIEGGNPAIAMRDLTGAPYENKESGSAQEFWEYCEQNHAQGFLLTAYTKEAELINH ENELGLLAGHAYAILKLANVLDQNNKQCRIMQMRNPWGRVEWKGDWCDSSNKWTEQTKQQ YSVEDNDDGIFWMSIEDFRTFFAGIGVCKIKNDYIYNSIQCQLNEQNCCIIHLDMVEDSH IYISFNQNDNRFHLHQIADYRYSFVRIIVSQLVDDNLKYISGIYRADRNVVVEQNLKKGQ YLVFIELQWQYSQIQIISTLSTYASKKAKLKRINGLDYLNSIRNIIKDYSDNNVHKLKEI NYLDQYNKNIFKRIGIVDGYLFLHYQNQTFDSILQEVIHFTDTIGYVPISPLQSNGFQKD GSLKVQFTSPPQSVVIILLSQVRSSIGKCQYTQQSQSQIICIPQKHDQYDNNQFILKQLN LSQMQLVGRHKLNIKFYQAHLQSKSKNSNLIKIFQPPPENVELSSLETSIFYYFHDFLSD VWEPFIFYIIMLNFISIMILNSHRTHLAQISNLLLFICQILSGQKQKKSIIRIMSKAQFI SIFLEIITRLLSFECQYFALPPNQMTALYKFLLIITILLNFLLFVCILKFLIHYETIETE IFNPMQLRFNNYLKKLSIK >CAK59232 pep:novel supercontig:GCA_000165425.1:CT868001:122416:124657:-1 gene:GSPATT00029863001 transcript:CAK59232 MIHYNIYKIGQYFNDNPNAQLREILDQEDLLESKQNIKLFGELNSVTKSPERFWEMLQII NLMPKENEEVIPYKYNLIVISRYPFLVSEIIGSKEELIINYFFDQKGPEEFEFLNMLFKT IDRDFIDNTLAGYVAKILKALIEKRGISLWKYLLNSQKESQYVFKDLIKLLDVPQIAEII YNLIRLDIIKDEGEEVYIDQRLQLLTRIIDYLQSKSYNGVIVENVSYILQNILTETIDDD ERAQFINTILSSSLRYDSIYISKSSSMIKILIIILEYIQRDIQAGENKIFINYLLIQEVG INLVDLLNLDLNLTPFPTSYGANQEPLGQFKLQLVELYLKIVKMNDSQIIPYIQHQNVCF AIMQLILKHQFNNAIQNLFLELIQLVMKNDAYQQIQEAYNAANLMGFFIFLNQQEKYQVG SIKKQITKGYQGMANKLSYILKGDFQDENWTAYLQNHQQIFQNENTYLLGQNPNSYENEE QEEALMQSIKFTSDNNIIKQTEVVEQNNNQQQQQQQQQQQPQQQQQIIQQQIPSIQFQES DQKNIIIQQQEMKVQQDNSTIVSSQKFGEIDNIFQDQEEIKNQNDVNAKIEEVLERDEYK NPDAADRVLNDHVKTKAKNEKNSKSGELEINKCDSRLKRRGTVSYSEKPPKPEKPSSPKK RNSFDQNDKNNEHLTPKNKLCQNQTFSPQQQLQSWDKNK >CAK59233 pep:novel supercontig:GCA_000165425.1:CT868001:125290:128492:1 gene:GSPATT00029864001 transcript:CAK59233 MQKLSLEQHLNGLEREAYSYKCKIKELDKVVNQQSGIIELQQQRINELEEINIDLNNKLF KVGEEKNEIQESKSILETQIRNLQEQILHTIQCTDGQQQSQLEYDLKKERNLTTQLEKEI QIQRSQLEHLELERQKLDQELKTKSLNQVIYINNDALTNVLQNYNQYFENFNNEKQQLLN QIQYSKVLEEKTKIENQKRIDRLQMIIKNAEEKKRALEEEIQNLKNDNENYKKNLENQNK LVQEQEKVRLEFEKEALTKQQQNEIQLLDQQDQIAKLQQKINSSNSMVFDMVNLIIPSDM EELIETKQQLLKCEEELKELKEQNKKERQDKKKLQDLVEKELPFVKQRKQEFEQLMAISQ QQKKKLEVEISLNRKLKETQNQLEIELQQLKQKEEIQNIELIQLKSEILACRTQIQELSV IDLLKNQPQVSNTDGQVFIKQLCHENEQLKTQIQQILINQQSYNRPTNQWEAIQQENLNL KYVLNTKEDEITNLKERVSIMTQEKALGQIINNKVEPIVHKIEKIKVRTEKQQSLLNEFR KQRMEKRKLEFRIEELEFEISKLQSQYQLERQQKDFLERRLTQQKDEFGRKKEEFRKRYE EFEIKIKELQQEMSLASVTQEHINYYQQNQILFQQNKKNKQLIIQQHEEIKNYALEIQRL REELHQKDRQIKELEGGDQRGEENQKILQMKIQSENDKITIQGLQEQIHQLIKEKEDLYN QFLIQKQRSEQVQKNQNSDQSLSELKKSTEYLQNRIQQLKEESQKRIQQLEIEFQNKVGQ KQDEISYLEQEILELKKVLEDYKNQYKQEKLKQVNKSELSLNEIQDLKEQLSQTTREKDQ LLRRKQMIEKKDTDLKVKEELINSREQKINEEQVQFEQTRLKQTQEIQDQISIQKNKELS IIACDYILSRELEKYKERLLQEQSKVNELLQQQQIQQSSQENEFNNERQSWNGNVEQKFD GQEQEMRNEENKVNKGMQIEEQQVLEEERQIDQQEVQENVGANEAKQGMDIIQDGKLLAY LEKVKLNQEEQKDKAEES >CAK59234 pep:novel supercontig:GCA_000165425.1:CT868001:128596:131132:1 gene:GSPATT00029865001 transcript:CAK59234 MPAECVKVIVRMRPFNQRETENGSKPCVVVYEDTNTVELRNSQDNDVKSYTYDYVFGSET PQLSIYQKTAFNLVESVADGYNGTIFAYGQTGCGKTFTMIGDPSSETMKGIIPRTFDQII SIINNNSDSNKKFLLRCSYIEIYNEEIHDLLSKDVKQKYELKERQQGVYVKDLNIAVVRT TQEMDRYMQLGTQNRSVGATAMNKESSRSHCIFTVYIECSVTDQKGNERITAGKLNLVDL AGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDEILNLTRLLQDSLGGNTKTIMI TAISPSDFNFDETLSSLRYASRAKMIKNQPKVNEDPKDALLKEQAEEIKKLKEMLSKQAA GQPISMDAFQPIAKSENNSELARLKAENDRLKEKSKAQAGGGPTEEKLKELHEFKEKNNQ LLQEKERIEMEVREKEIQAEQERQARKRLEDLLKEKEQMMVQGGRGTEDDKKKYKKLRQA IDLQKKEHEALISQQEQQQQELLQIETKYQSVQEEVEKLRKLVKFLRKKLEEAQNEQKDL KQEVEYEKEDLLDTIRSQKKEIKLYSGIVKMMFNQTELESLQAACEWDDDSSEYKIPPFN FKAKKVNFPNLPYKQAIDLIEQEKAERIIEINSRQSDKGSYYDSEYNQRMISSKQQIKDS QQKQNGTSQPQLDRAQLVAEKIKLNYQMLEEKEGKYRYSNEVSNQQLNEKKPNQKIILSP IDNRGNTVPNFPSQYGYNSNTNSSNLSQATPPSNKKNVNLQPLESKPQVPGPDELQRRKQ HQRVQQI >CAK59235 pep:novel supercontig:GCA_000165425.1:CT868001:131247:131465:-1 gene:GSPATT00029866001 transcript:CAK59235 MKLILFLALIILICNCQQTDGESNLFVSTEQIKFKNIGLGLMRNIEGITDTFDEIPLGEN DILLQNDLNERL >CAK59236 pep:novel supercontig:GCA_000165425.1:CT868001:131529:132357:1 gene:GSPATT00029867001 transcript:CAK59236 MRNQITSHVTNIIQFQQSPFRTTQSIIRDSPHTQLDESRISNNRVPQNRESMRARMLINQ SHNNIQNQGQQVRKQIANERTQSKGGNPNEFNPKKFITKELKAFYIDQFNRNQTLLISNK IIETLYEIFSELDEEELGGITFQYFLAILNQDKTKSEKKDVIRRVYRKYDKSNKGYITLQ DLRQVVYKDLKEDIDEEVLAEIFRKTDSNQDGKMTFEDFYNVITKKVYY >CAK59237 pep:novel supercontig:GCA_000165425.1:CT868001:132387:132817:1 gene:GSPATT00029868001 transcript:CAK59237 MSCIIQLTNRAKRSLSQNPTRQPPHKIILPKLFVERERAISLDRRTQNKKVISNSPKPFI HSPKLIAKSAQTEFNHLKLSQCSKQSVVLEGKQFKNTISRKLRQLPINSNDFCQAGFETS DTEFDDFSLEAYLKRQ >CAK59238 pep:novel supercontig:GCA_000165425.1:CT868001:133098:134175:-1 gene:GSPATT00029869001 transcript:CAK59238 MQQNIIYQKEQKTKSQSFLARICSCLSFLKSKQQQTNQLKYQLGIDSPKSSFLGQRKVIV LDLDETLVHSQFQIINGYDFSIDIIVQGQLFKVYVTIRPGVYEFLEQLYEFYDIVFWTAS LQEYADPVMDFIDPNNRAIGRMYRDSCTPLQIGLTKNLNKLGRDLKDIIIVDNSVVSFHL NPENGFQIKDFFFDKQDQELELNLPFFIWLSQLPDVRPVSSLFKQFNSTSSNVLNMRNAV TLNSQYQQNEQRKFQSLSRSLSLQKAKMMLSNQVFRTLTLSKDDDDDELDESKIRDKLGL SQPPCEKANKQQNQTEEEDKETYVISH >CAK59239 pep:novel supercontig:GCA_000165425.1:CT868001:135320:136566:1 gene:GSPATT00029870001 transcript:CAK59239 MSEEDEVICEQCQTVPTQFVILDCEHKFCLYCISHAIHKDDSGVPCLKCNAPTVLDHESL QAVQQTKESQSQLSKTQPNYNVKPFTTEKRQYVQPIQEDPTIKGHPCLEKLNLAVERSLD KIRNIQFDKQQVKDKCQTQKSDIDTEFKALHMYLDSKQEEFQNQLSNIETSYLNELTKDE EVQQLDIEEMSIIKNEIKAILKSQDGQDIYTFKQLVATVDGIVQDKQLSIKQLNLFKQMQ DELQQLSKKQAQFYQDFRRFFNILQPLQVSLVQNYASPIKLEYGGSITSDIQEKIRELKH RNSRSMNTSQLNNSRSQNSPPKNTFLNEEINISRKISREQANIVQQRKLGTSPMDAKTVL QSSMKHNNKSNSKFNKILNSPLTLAGFSYLS >CAK59240 pep:novel supercontig:GCA_000165425.1:CT868001:136833:138556:1 gene:GSPATT00029871001 transcript:CAK59240 MFQEFISQLGTFKNAEIPFYFRNQFKIKDNFINPNSKYFDGIVQKLIQEEKQGIKRKWDK QCKMMFIWVLSKYFQIKNQKSINPNKEEWQELASILKTDETILKQRWITLINPVQKSINW DSQEDDIIRSLMNQQDEKHIWTFIALELYNKNGGQFIRTPKQVRERWMNYLNPKLNKQAS NLYPRANWTQKEDIQLLTNIVNHGKRWSQLSALLQGRTENQVKNRFKSLMQKIYKDEDDD ELDELQAIQDYLKKLGVYSEEFAGDPNIQKNQSFQTFKQKVRKLHIQIRHPKKTTKKKKV TKANLKTLKKQSESINQEFIIQNNSLNQKENNEPIPNVQDSNLPNDLNRQDQQQQVQQQT QISEINGIQTPSTLNNCFLPFIQQPYQFDVQQQNQNQLIYQSYRNQMEEFQQYQYKIMME QYMKQFQTNYNAISPISMINQTPMTYTPTQQYQLYYNTFTSPQWNCSPNQIDINSPKFQN FYWQNQQQHYDQFQNEQMRQMQYNHKLEFLNSNSLVDDWKRKRDNSKQNSQFQFMGSLDQ >CAK59241 pep:novel supercontig:GCA_000165425.1:CT868001:139798:140193:-1 gene:GSPATT00029872001 transcript:CAK59241 MSKKQFKEILCLQVVKVELTQRACKPDAQEPIHYGIILTTQNQEQYVVHNGYKFGKAQDF VLTSICNISRSWKTYETYELGKPIKLEILFLAGCCGQNLQYHPLYNNSKHAQDRIVQKVK EYSNQSQLLFQ >CAK59242 pep:novel supercontig:GCA_000165425.1:CT868001:140683:141048:1 gene:GSPATT00029873001 transcript:CAK59242 MASQAIAKDLYTYTNDESLQLMIYSIKGNQVCKDQRKSFNLCRSTPLGKHVEPEFCKDSA ISFIDCFLGVQRNAKCHQQFQKVFDIAKTGQYAQESLEDYLKC >CAK59243 pep:novel supercontig:GCA_000165425.1:CT868001:141849:142862:-1 gene:GSPATT00029874001 transcript:CAK59243 MSLSTPDKRTLEKQKKLLIKKIMGWIRQCFNDREIGFHPFILCVSIKPSVNSRCATIQSY PNQELWKSLHQLKGQELLSQIIQEVSEKTFKLKDKYDNSLIQQFLTRIQNNFDFCKFYKE TQESNYDSEHASQLTELNYINQNTKQFQKIDSESKQLLKQIELCNTKQGSIISIEKQHYH KLSQLYEKICSVPEENYSIEMKKFRNQLSLLLESTESPQKKQVCIKNEFQTEKVDMSIQN TEIQKDEQQHHLLQDIKQEVLSIMPEIVLFLPSEQNIKRVNKNELNQIEEDFFKELYFFK ALDSGTLTSYNITFLWKHYFQPMLEQVYHQQQQIKTE >CAK59244 pep:novel supercontig:GCA_000165425.1:CT868001:143973:144844:1 gene:GSPATT00029875001 transcript:CAK59244 MNVFNNNSSDELPQNLEDLKANSEWILGIFEAGRGPLIGPIIIAGCFWPITNHNYIVKQC SLSLNKQFYQNQVESSEIISKLKGKALQFETIEISAQKLSTKILAYTPSDVNEISLNYTL QLIKNILSKGYKIIECYINPIGFKENLNEVLKKGLGLGNNDLKFIVGMHQTIIAAQIVSL QERNTRLLQIQQDIYPSGQMGSGYPSDALTREFLSKVSIPVFIYPQDIRFAWQTVSASLK QKAINIEYLSEENWTRQTKEQFLENPSFLEYGGLHFENYYL >CAK59245 pep:novel supercontig:GCA_000165425.1:CT868001:145678:145956:1 gene:GSPATT00029876001 transcript:CAK59245 MGQICKSCEKRDIDKTELMIESSPQHQEIKFIEDFEFISGLDKLESSKKMSMLTFQNKNN SSSYPHGDSLYQGSLFSVVTPDFSAFSSNLVQ >CAK59246 pep:novel supercontig:GCA_000165425.1:CT868001:146286:146722:-1 gene:GSPATT00029877001 transcript:CAK59246 MMYFIGTLILLKFFLIWIEQIFSIALSAYIIYLFYKFRHTGLHLIKDRRFSLTLIPIFLL FIEHIGRRTKFILHLFIEACAFLPQIYMRNFFQNKICILIKTVEPDIQKYLQLWDFLHF >CAK59247 pep:novel supercontig:GCA_000165425.1:CT868001:146859:147194:1 gene:GSPATT00029878001 transcript:CAK59247 MDKKNQIKELENEIQDKWSQLIESYYNPLIRKAHLKTVQEIAKCKDQECIEYWLKKREAP KNYLKKVTKTYENGIEDCLFNCKYSMALEMDDCYIECFSNIKKIVDKLHQF >CAK59248 pep:novel supercontig:GCA_000165425.1:CT868001:147554:148646:-1 gene:GSPATT00029879001 transcript:CAK59248 MSKQYKSINDLPDWVKMITGGIAGSVAEAITIPIDTAKVRLQIQKPDANGKYRYHGLLHT TRQIYGEEGVSSLFKGLSAGIQRQLVFASIRIGLYEPTRDFFCGKDFKGDPPLSKKIYAG LATGGIGISIASPFDVIKVRFQVDGNLPADQRRYKNLTDAYIKIYKQDGLHGFWRGVTPN IIRNAVINCAELATFDHIKESLIKTGLFHEGLTCHFASSACAGFIAAVVGQPVDLIKTRV MNQNVGVLTVVSNIIKNEGVLNLYNGFSANAGRIITWNICMFVTLGQVRLYALNNFYKSP E >CAK59249 pep:novel supercontig:GCA_000165425.1:CT868001:149188:149827:-1 gene:GSPATT00029880001 transcript:CAK59249 MNSEYFWPQYRVKPIISGGCVSNQNEQLQKFKINITNPMLDSITSINSDIDEPLKQILLQ AGLQQQDLNNPSYNSLFRYLSQEPLKNTTKLIANKQQRKTQPQVPSRHSTIMPKKSIVVS QFNFQHNSSNAQNKPPPKLLSRFPAIDLIEQIQTLNLKQIEQQQGQEDDMEPKFALNLIT NERNLDYSFSSQSDDLDNEKIDS >CAK59250 pep:novel supercontig:GCA_000165425.1:CT868001:149916:151486:1 gene:GSPATT00029881001 transcript:CAK59250 MFRFLFFIFWIGLSEDILKAQIEDYFQGNDILEDAFINDDLYQQELIFQAGVLLFEQNPL IEQMLAMAETIGDGTNEQMLLLMSEAENEIPDYAELQSPISDTKVFEQHQRPKIEQIVTD EPEWLAQTEFFLGALTVFIAFPLLLNSEARKYKHHMILKDVAELKNENQLIILQGSTLTT EEFLIDYLTGIKVENAIRFYRKVEELVINNQGDRKWIERESEIQWYDDEDNEVQLSMWKS QIQNSQCFLKGYQLNDNQIEKLNNWQKLKLNPNHYPEYQIDQNNIYLVGNTTLRISFWYI PTQLLTVVCKSYGIQLNPIEIHIPILESTQGCSMSSTRQGCQELVCQKVYQWIVWNEWSQ DEQNIDYVEEGLVSLEEIFESKLTFKQSDLNKIRVLAFFLMFLGIWMFFLPIYQVLSLFP MFGQLDIGPYLGGIVGFIFASVFWLITVGVSYCFYNKKVGLTLIFIGISICMILIVCTHF DYQIQPKSFVEIQQRWFS >CAK59251 pep:novel supercontig:GCA_000165425.1:CT868001:151638:152386:-1 gene:GSPATT00029882001 transcript:CAK59251 MIEEEYRPEDKASIKKKYKVFQTLASMIRQRGYFEANPPILIEDEPFELFEAKYRTDVLP QRVVCFKKEDETDKMVIQFYTKQTALNYDDAKKYISELSNRQVKRLIMVFPTDPFATKPQ VVEKKARFFIDQVNSIQTYSFELFGEDDLVYDVTKHELVPQHIILNDKEKELLLKKYGMV DNQLPRILQSDPIARFLGLHHGDVVKIIRKSETAGLYVTYRIAI >CAK59252 pep:novel supercontig:GCA_000165425.1:CT868001:152619:153093:-1 gene:GSPATT00029883001 transcript:CAK59252 MQPLNMRTFSAYYSFNIEFQVQTILKYMLYEIYQLKLQIIFNNEHIFLTLSRTISFSITQ LIKNDYEKQFISIFTLYIDNDIHSKREQVLLYTRLSYPFKLIIPRKKSILALIKLVS >CAK59253 pep:novel supercontig:GCA_000165425.1:CT868001:153135:153362:1 gene:GSPATT00029884001 transcript:CAK59253 MGACAGTQKPQKESEKPLIMPPELTDENVKRIKSQFDDLTSLLESLSQEFKTITDNIIKL QHQHQDN >CAK59254 pep:novel supercontig:GCA_000165425.1:CT868001:153418:156857:1 gene:GSPATT00029885001 transcript:CAK59254 MKLRIKLILMIVFLSLYYFLFSLVLLLGQYFDEEYRTESETLSQGIYNESSLSRLRFARY YYGRSNRPNINSLYAIQNLIDYIDDYQQLDDESLLGLKWYFSNVTDLYILKLDYPSQYQL LQYYSPLSKLIEIYNKNNVFDHFHFLCQNGLGISFYSTDIKTSDDWYETSISNCKTYNDC FDYISGYSPQIAYPKLDLNNEVWRMRAGKEGSECKLHIQKKRELAIINSGAPVIYSFLTN GHINIISDGALVNSTLEDALQLWPNQTSDFTDQLYTLVTVPIEFRKWRMLYDGMGEQRIV LFSSYHFVHPNFPNITLTKELITKCSMIYLQSMSLSYYKQLAGQNEEASKISMNLIIIAC ALHAVLIIYFWRKGVVIALSLEVPINQLIKLTKVDMKYLEIQYFNLESYKDLFNNKEIRK FYEVIINYLNSIKYSNNKLLQGSKDMDEAEALIILSMSKRFYKKYYNNPAVGICANNIAK IHMRNQRYLEAMNEQEESVLIANQDLQSLKEMEQYANQAAQPQDDQQLIKLYLRLKNNVL QKFQRQEEKVMKEVIKDLESKTKVIQNSQNFTVRRQTTQRFKNDTQIKSSIESEVHNLNQ QTPKRTNTMFRKTYQEDKNPIQEENVDLPSERLENLGSPKKSSPISRIQNTEEYSKKQVI IEHKIVFRKYQLACILYNYSMTKSQSTFLNESVKQFNDIMDDLQNLPECFSILYMKINII AKKAFCFARAGMLEKAKLELEEAETKLKNLQNNRTEIKQLEISDFVDIFRDIPLEILREK LTGLEASLLMIRGEYVEACYKFISILKAEGHYDPGFQKFVLKTLTKIFKRCNVDHNCLIK FSQQNQLKRLELIFLLDYSSEMTTEQFTLSHHMCQNVVQSLNDDSFVGLYAFNESLHEIF PLQLKGTYKKLLESTIEKVLIKPGGQGNIFSALEITIASLFEKDKPEQSKQLSLHLKSNQ IKQQIEQEEFRCSDMEFRCKTSSPRVGITVSGGFSQALKQYEENQFDNVSLNQNMVRIED NISQNQYKVDIDNIDEEKDEHTIRYVCIFSEGVHNYNQMSIDRLKSLIEKKNIHLLIFNI ANQNMNMLYLKQLSQVSSESRFFTNEIEIKDFFSKLRTNDFTKRVYLEFF >CAK59255 pep:novel supercontig:GCA_000165425.1:CT868001:156998:157801:-1 gene:GSPATT00029886001 transcript:CAK59255 MNRNGLEKICNQATNFWKQQLIASPKQDQEVSTNAGSNILEEQSIFEISAKKTEQYLFPD IEISNLKNEKNGFEFIQRTAKQQQQFELEDNYEAEASCSQCSDCLSKRRDKKKSKPRTCK STKQRFTISEEAFIMEQSRKGTSFTDIAQQIPGSTVNQVKHHLLKKLKICSKNTDLQGDS IAEIILKIQQLQQNEDLDNADKIQELRQHISQIENHLHQTKQMILQKYMVLFQQQ >CAK59256 pep:novel supercontig:GCA_000165425.1:CT868001:157847:158779:-1 gene:GSPATT00029887001 transcript:CAK59256 MKSKKKEKSLIKELDGIRSLKNESIDLKELEDKMVKLIEKAAQYYQDQLDNNMAQFYFEY GSILVQILEQSQDSVENSLNCVEISRNDRELKNTQLAFENLEAAKTIIRNRLDKQNIEMY KLQEQLQRKPFQIMIEIRSKINETELEMSLILIRIGDLQTWREDYKDGLLNFYAALDILK NSFNYKELSAVHFKIGNAILLQNENGCEKLSLSHLEQSFRYCYQQFFNKECDIDLSEKNL SKIVIDNDLLKMLIEKMEDTYIQLNEVNACQKEIERWKSSEPILQQEIQYLGKISKQSQE INGIQIKRKN >CAK59257 pep:novel supercontig:GCA_000165425.1:CT868001:159713:160458:-1 gene:GSPATT00029888001 transcript:CAK59257 MQELEEFLEKQKDLNLKMLRDMLQTQSLIQHNLSIGLDSLKKCIENLPTKIVPKQGLQSR ITIHRQNQHQFILSQLGHKHFISYLNLEEEIKEIYRERMFNLSVSLRGMNGEVLEDEDCP VYLRIYTSEKFPKEVDANIKGKPIFKGQTQILLRGRGEFQRISITEVSSHFPSGKFILVI FSEKSYVKPYIIDNLIVKAKKLLK >CAK59258 pep:novel supercontig:GCA_000165425.1:CT868001:160617:163211:-1 gene:GSPATT00029889001 transcript:CAK59258 MDRSTHSNARPSSGSKDKNDQGKKEHVITQLEQIIMERLRSAVKDIEVEQEKQMKQKQDQ GKNDQVAVALWQKIKGWATEQPFKRIANQIVDNQKIPQEARQRFSKPFLDQQKLILNIDQ DKSKVKIKLNEYYQTLAKSTQDNMNSISVSLGRLANSGCCGKGEALKNFENEFRKIEFDF IQWAALPNLENNNVDIVVVKTSLNYDDFRSFLIKQLNDYFPSAAGETKIIEKPVDKIVYV DKPVDKIVYVDKPIEKIVYVDKPVEKKVVEYVEKVKEVQVYRDQPVSDDRLRTAHEMLYG IGKPQNITEALNLYYELAEVNQDVNAQNVIAQIFSEGKFFPKDLNKAYTYWEKSAAAKNA EGLYRIGRLLLDELIDGQMLSQGKLQTKDEQKSEAVVFFQQASEQGHLDALVDLGEIFEN GLKSEDGEQYIQDPSLDSAQFYYSQGKKVRYPRAINALGLFYYNHPDYQEIVVGNNYRKA IKYFEMAKELGYANSLYWLAQCHEFGQGVGVNLEQAKSYYKEGALKGDVACRLQYMHFVM KDCSNSGRQEDYLFAHQYLIQIMIQNPEITEVYFYLGHLYECGFGVQKDAQNAIHYYFKG AQLKNSSCMTKLGDCYHSGFGVPQNQREALKFYREAAELKDSEALINMGLIYEQGYEGVS IDFAKAFNAYEEASKLGNAKADFHLGLMYEAGKYVKKDVNYAIQRYQRSAQMGCQEARDL LKKKQIPMLYDDSGLNDQEMNMHAANRNALMLNEVIPMIQNPYIIQQVKKTNQSIIAYKS QHQEMGEGKMISILAQPTATQSNYVQSYYQKPGQQH >CAK59259 pep:novel supercontig:GCA_000165425.1:CT868001:163285:164272:-1 gene:GSPATT00029890001 transcript:CAK59259 MVQSYWCCEMFMLPKLHQSSSVQSVRTSEDFSLNEAKRRQKQLELESQTVENRIKQLKKE NEKLSKKVEMAEQLALDVYASRLAQKMKKEQKQHQLSMIDPTKYQQTQYERMHLKKMKQE VREMKHQDAQLMKNSIKFELMKTNEEQIKIAQNLRLRATLKKEEEKLSQAMIQEKLYEKQ QKVRLEQERERQKIIMENEKHEYSIKQLEALELKLVEKLQATQQREQAVKEKLMAATRLA PEDFDRTYLGGQSIIQEQEKQVIMYQVNKEQKQEEQKEQQEGGQQGEGGQQGEGGQSGEG GQGTQTE >CAK59260 pep:novel supercontig:GCA_000165425.1:CT868001:165382:165852:-1 gene:GSPATT00029891001 transcript:CAK59260 MINKQNQLPKHPNISFKQYCEQFVKESPKAKLNKTTLVFGDQSLNKLREKQKEQFKSFIQ EHITQTRKIRDNAECDATMYMGLTSKWQRAKNDYFKQEISLIKHELDMRYVKQSQELNFD SWRRIDSVSQKRVRGFSVDTTMKKTHPKTFATSFRF >CAK59261 pep:novel supercontig:GCA_000165425.1:CT868001:165879:169627:1 gene:GSPATT00029892001 transcript:CAK59261 MNNRRVFYDESIWERFENRIKTWTYGLTHELLQNQVCSPFLFKFLILIELIQLLYYSIHP NLDFLFKTVWLEYFRNALQYFQVNYIIFNGGVNVLLIVMYISFGVQILMLFLLIIITFKL TSNKRKPSTFLTYCLKIFSLYGLLLNTILTIPIFNSFIGTIYCNSDAPFSDGLECYAGLH FLHISLAIIGLILFLINVLYFGLLYAELNPSSPIPFASPQSKNPLVRQLIKIILPLYVTL DFNGEIAEVYISLLAAFYLLLLVQRYRSPPYYNKSVYGFIVVQEVLLFWASLVGVITAFL DLGAVDDIGLFYMILGMPLLSLVYFQLLTFRQQQLLKTPIKSFKKETDIEIYINHLMDLI ENREILQSRIVLEGVLKLHLKNCGKPSENCVCQQLVADNLKDEETPQKLKRWYQLIKSII SDALDRYPKCPRLHLLNSYIHHEKLKNKFKALFELMITEENKPNLQEEFSIYRYKNLIEE EMIENDMRTSENKGVDVNIIVVFQNKFVNFLGSIEKSVTLHMEFWRELLEENPDIQKLQS LGSKITNTVESTSDFYKKLQEMNQNHIKCLQVYGNFLKDIVNDDVEGQRILEKAEYIQKS TAVNKLGLDQERQKYGENANTCIITCSGNYNNIGVVTNVNNEITRILGFSKSDIIGQNVN RIMPKVYADQHDQFMKNYLETSDSKVIGQERILLAQNKNNYLVPCTLMIKVLPNLDEGIQ IVGFLKDIEPGSSYLRSEQEIDQEWHFIIINVNSQAILGITQSCYTRYGIPASLVYGNST NTNEFTIDAIAPELVDSKNQDDLKSQGLVTTIDTSQLQQNFLLGRGESDDEMSLEEEENI YEPPQQASQMQSKIGDSNLGQMLNQNHQYKETAVDLSQQQVQNSKIEEAKSKKLQKQQRY KKYKIKAFVQDETEFGDQKIQIIRFYEVDENEEIKQQRSMEPAAEEAQQNQMKTVMKDEQ QVQEDVNASEGESNLSGGSVNDDMRQLKDFKALISEKTEPKYIRILKRTVWFIMLILIVL SALILGYRMTQSSDVQEGADAIYLSYMRHNIMADVNFYTRLLQMLGNSTYIADKHGYTTA NADTFIKANLSYLVDTLKVVQFDVIKARIKMEARMTGSSNLETYQVKFLLTSGEEKIYDN IFNDALFQIITSASSLRNSSAASFNGSVTTVDNTQKNFFYVMTNGLFVLRKGSEDIAQRF FDFQFG >CAK59262 pep:novel supercontig:GCA_000165425.1:CT868001:169662:171135:1 gene:GSPATT00029893001 transcript:CAK59262 MGVGIFCLVVSAMILIPIVFQVHKTNNMVMSLFGIIPIQEIKELAAKCENYMQEFLEDKN EKKEIVDKEDKPPQPNVQPPEVEKTQNKEVFEMNNEEEDKSDKKKNQQQSGSNGSGQNVL QTGQPNSNAIHTSITAKPSENKATPSAFTQGATKNDQKQQQQQEQDKKENEEEIENLRSQ KLLNSKDNNKSVVIVQFLIFALIFISYFILDINLELIFLDNVQKAYDHLQYSSKRPISIK YNVYFTFEEVVTNKTQLEDTLDMRTEFQSRLYDNERNLFQSLTQSFPSQYDSYLSKFQAF TYNNLCVNDFSINNFVTDQTGCAAVDSGLLTSGLKTAFVSVALSTNDMISYWKNTQRARA DLISTINNQTYHDKLHRLLYYISPACDYLTDRFMSGIADFLSYSDSIEQMKFSIYLVLMF AAFIFCWTPYLNNLSKQIWRTKGMLNMIPMDIIQKYPNLKQQFIGGEILQAVK >CAK59263 pep:novel supercontig:GCA_000165425.1:CT868001:171165:176082:1 gene:GSPATT00029894001 transcript:CAK59263 MQGISIFDDDKSAIDKMQNSFKNLLFEIIYYLISGENFPLFLYIFFVLIESFQVFYFAFS DEFLSLWKVKSWSESFQQFFGYFMISPYLKNVEFQSFILVLYIVMGLFFILIVLIIFIAI KAQTSSVGKLTGPLVVLKIFFEILNYIFFMPVLHLFLTVFYCDSATGYHNYYSDLQCYTG NYLLHAFLSAIAALILICVSGLVTMTFYESRFQPNNPLCKTSGRDDMKFLIFKIILVLCF TLLNVSELRILVVIIITLFAVIQFFSFNKSSVYLNYYYSKVLNSQHAIIMWTICMIIFGI IVEDTYYEGAPYLWVFGSPLLLLIVMLRKEYRYDIMMIDSNKFDSLNQAIQQLQYLTKFL NYYHTDRNIATLLDGFVEYHRTICKREDCPCQAKNMGNKKIAKFQKNFKLQNQDEEIKEQ YVVLVYILERIFTLSLTRFPNCTELRIAHSLFLMEKMQSNQQALQELVAAEQEKPYMDEL KKLIEEQMFENSKSSKNPAAGIDAVNELTTENNLREIRAQIEKSASQHIEFWSQLSEDTP DLGKLYDVGTRMMFVDKMLEDSWKRIIKMNMDVPPNLMMIYSRYLVDILYDKESAEEVLE RLKNFYSVNMDRGKITNNINDFPNESTALISISAEDITFGRIIGLNMSASKMFGYSKSEL INRKVNILMPNVFAQSHDQFMETYLQTYESRIMNRERMIIGKSKNGYIFPFFIYVRYVPS FIHGAQFFGAMRQEKVFKNVAFMIVNGQTQEIENISATFITMFHIDLNYITKKKTKVSDL IPNFQENITEYLNKTGAEAEINFKNRDQSVQGKFNITAGEIMFRDSKLQGYIVKIENNKQ EKSFLNPNEQSQIKKQQQVKFYFQFDQTQHIFTGEYTQDQNFQMSAISSVKQDETFDYSQ REPVKEDEKTPTSNIGDKEEKLDLALGIRTMKYINGQLYDIEEFKNQDSDEDQEENDSKK GGTTGMQQVQKEDEEEEAEGGHANIYKSRRTFVQFLSESRNVNQSSMICFKWSAAVLIIC LGILGLLDYVLTQQLFQDIQDGYIMMQDSNIRVALGQRIQWQIMELCRLNKINVAGTDDK VKVQLANMNTTISDLKDIQSKIQSSTNVGGRQDELMTTNSIKMVSRDDSGSDSNQLVDIN QGTSQIISKAFEIMNYDITEFDPETDSIYFIRYNLLNDYYQATLESVDLYTNQLVNLAYD SNVLLILLIVACVFTVISIPWIACAFSLVSQNQEEVIKLFLEIPLAKVKQLFAKCEAFSN TLQIGEDEEANQENDLSFEENDEGEGVVEEFGRRKKRKKYKYDSKDKRNFYIKFIISIGL LIAYFIAHYLIGANLQSSMQQLIQEMNATSMAVPSITFANNVFRQMLWDANFPVKNNVSK TLSADFVKDLYNLNTNMQKDHSLNLGYHNAVYNDYFDTIMKAGACNEVIKVAGVDLATCQ AFVKGIVDESLALALSRHFENLRYLLTVYDSLLNDSTATAIAGTLYNFTTNSQQNKILSL MYTDIAAVEINQMQDIYIRSIFQQLLVTFNESMQKDLETNTTTTVTIFIVFLVVLILVYL LFWWPIANKINNEIRRTTLLLSMIPINLIQRIKTIREYLNRIHKVDS >CAK59264 pep:novel supercontig:GCA_000165425.1:CT868001:176191:177522:1 gene:GSPATT00029895001 transcript:CAK59264 MARYLILLGLLNLVHLSEICKTLQVSTNLKKAQDDIISLGVTSKQWSRKAKNPIIVPASQ HVDYIYITSDNPNLAIENFQLNFDDHYYADSSIRLDHRPNGKHTEYAIEINYKCKEYGGT LINYEMQFQVPSCGDAQIFWKKFCGNPLTLREGFSVDMVYKNYRQEIIKNSEIINASYFD SDLDNYVFNVSRDINVIKFILQMKTEVSSTDQIPEEFVTDVATLKKIPEVNLTQPYVDVE MENVTKSWFEGELSNGGLITNKESELTLNFKCVGLGTSKIEITFPFQYFKDITLVIVKDC NYAFKVQNVINAKYQEGDTKTFAVYFVIFIVVFGLIINLCIGRKKGLANIPFFTTLISLL LCITCQQKTCVGRSIRKCFGLTGESFLPSEEPDETFSSGQKQFYGSI >CAK59265 pep:novel supercontig:GCA_000165425.1:CT868001:177525:179062:1 gene:GSPATT00029896001 transcript:CAK59265 MNNNKGSFLWCNLQLQDNENAYGLGNQILYTGMKPPMIGGVEEIPKENIQQNRSCDLNDF FKQYICLFTNIVGYQRLLGNGQLENQLLHAIVQKGNNQIVFQLNRGEVIQEQQQNQAKTK NKQKQESSSDLFAALIQQVKREYKEQIGNLGKILQFKHPPIIDLNFVLAQGTDENEVIQP YINSELMEDEFEFVSYHLSDANPISIINSHIQQLIKAISSNQKQVLYFNDKTAFPINKYD DVQKIQLFKSDFKNNRRLIVYKNKINNLIDTENNKILNVHHYLSPKALDNGKRYFVRGDY VFHHYMQDGFDDKGWGCAYRSFQSVLSWLMENGYTSKKEIPNHKRIQQILVEMGDKPINF VGTSEWIGAFEVSMLITHLTHVECKILNVSKGTDVVSKLPEFKSYFENYGAPIMFGGGLY AYTLLGVDYNDDDCRFLILDPHYTANDTIKSVIEKQGVSWRKADMFEEKHFYNFCMPLIQ >CAK59266 pep:novel supercontig:GCA_000165425.1:CT868001:179079:179965:-1 gene:GSPATT00029897001 transcript:CAK59266 MNQSEVNEEHSPHNSEHASQNISQFLQRDYYEKFKLGQNLYEQSITLSRLSLGEKTSEDY NNNTYVGLIQIQERLSDEICKNQLLESKVTQLTKDLQSAMEHTALLQKQNENYVQMLQEA NTKLQKQIRNNEIEKVLNQQLMKKNQELLEVNNNYQKQVQNLEKKKQIQNFSDCKNITLT KCHQKSNQSICSSNRNKEKQNQGNTEESTVKDSSLSDFRSNDNPRFAESAQQFYKSQNFW SPAKQSSPKAQPLNLEKRIESTLAQIRAIKMQIQQLNQK >CAK59267 pep:novel supercontig:GCA_000165425.1:CT868001:180721:181524:-1 gene:GSPATT00029898001 transcript:CAK59267 MENNQNSDVQSQPPLTIFPNCSNIDDDQELENLEIEEQKQYFSQLFEELCAYSINPQEEV FIDKKRTNKFSQFRRGSYKIMKKQQERKRKQQIIEQLLQKQQKTDFIEFKQKECKDKCIS EEMQVQQGEWQGDHCIQVQEHDVIPLGYVIDGQDLMLLNESACNVNNNDNCFQLNNNNEI NNNQLLMNAFEIPLALALRLPNL >CAK59268 pep:novel supercontig:GCA_000165425.1:CT868001:181556:183813:-1 gene:GSPATT00029899001 transcript:CAK59268 MRRKNLWKKELKYSLNYFISLFFLGLEIELQADLNVIQILSIIIIVISIAFRVLYSFQQI SWKIDISPIFLWLLTLARINSASNLNQESNSFLFYTLGFVNGQYNNTLSIQNENPIIYKG KIFVQIVLLVTCLSITNDIKLGIMFILIILCILVGMNEIFYKQMLNEVEIQAKENKSNRL FNELSFQQIQKSSARPLNDEQLFIKHSRACPLDSIAEDDEIPESKQKQQNASNSTKSSLW GNFVFQCDDYIIKFSFNAYQNNSLLQNSVSNYSFSKFLQDNNITFVDFLSEMKVFNDIHW TQFDIQSPEFKKQQSLYDWLQYHLEQYKIIEQLQSKKSLKQLDAADPLQLSNLSNQKSLL MDLIMEKSIMGLSSIPYQQSSSEAMNLKNSKMNLFGRIKTNKSMYDLQIKFYIFEEDSDG LAIVFLMRDIDKLVQSIKQNVKNLELLDVSTKFIQNQACKITEIHKWIREIKSPSSLLET DYLSRLGTSARRRTYSLCSNRNSEEGQSDISEKVQLKRQSQLQLFLSKLQFEFFQVEQDN FNFFEVFSQTEKILFDMKKIDICQSIHLLFDQFHHNQTLMKYGVKLMLIDNNLYDKNIIT DARRFKQLLINLINNSIQAYQYEQYLQQPNEVEITVWNYYEEIHFTITDYGCGLTQFQLN QIRLQECKLGLAAAQRLLYQLSGGKKQIMIQCVNQRTIVSFQLPRILIDDKILQNTEFDY EYIKFINS >CAK59269 pep:novel supercontig:GCA_000165425.1:CT868001:183915:190763:-1 gene:GSPATT00029900001 transcript:CAK59269 MNNLHVFILLTILLVVHGDEGTCQCVNLKSEQDCSKFPLQYCEWKNNTCVISTQTTQNPV IEGKPFCSQYASIQDCQKAFICTNCQNKQQMCDGCLKQYPCVWEGSQCQFFTGCSAYVSK SHAECNAISSMCTSDLTQCIQIGECTEYNTVDACKQKNIRAQQCTWDPQLGCKELASCSE ISKLQSTSHDACNSQLAKCTVNNNSQCVDLSAECGDYTFEDQCKLTSSQVKCAWSNNICR EVSCEDAPLSYDYNGCRQFMQSCTAKSGGGCQKIIYCTDLKNQSDCQNKEDTNGKSCFWV STFSQCVIHECSQAPLDYQTDKQCQSFKSECVTNEGIGCKLNTGCSAIATQQECNTTKSA EGKDCMWEDSCVEKVCENASTKLTTHEQCEKFLFQCTTDYGYGCQTKTCKNAPDTLKTNE DCERYLPDNHCITRSGGGCIQNDSCSKVSIAIACVKDINGSDCQWYEQTDKCITKQCNKA PTTINTQELCQSFWSKCKVNQSGTGCEDRICENFYQQDTCKGQLDFYGRTCSWRNKCIPK TCQSASQEIKTHEDCNAYLSSCTLSKTGKGCMSLPLKCEMIELEEGCRLRQSIGLNSTGK NTKECAWKNNKCQDKSCYTAPITRDSNIACQDYMKGCYVNNEKRGCWVLPECSQRALQET CEIQNSFDCVWDEGNSKCLVRNCVSTLFSIDRANYKTAQSCNQYRLNSSNCPKPDGIGCC TLNDLGLGCMKKPDTCSQLKTKDNCREKSLYSDGDCLWTGDACVLKSCSALNLKIYSLNY NHQNCYQTSYNSCTVNEDGTACISFKSSCSQYVPAEQCNIDSNGNECVIRQNQTTGIYSC DLKKCGDVASIQYNSFAACQEYSETCTVIARVSGKGCIDKQQNCYNYLFPEQCFKNLDGS MCVWNGKRCWDQDKVDCSRIVLINYSTQTCEDVAFYCKANDGKTGCILKTCYDYITQTGK TGGPPISSLTHCQKLKANKLSCSVNNALTGCVPEKDRCPQYSVQDCYNASTDRSCATNGL SCYKQFTPCSNWSNENDADCKKNREFCKYPLGGVGKVPCVNKSCDEKTGVNLTEQICHQF DETCTVSKDKTKCILIQTSCGTYKEENLCVKSEQSNCIWQVGTTSECVGITTLIEADKNC SYKKGTGLTYEDCQGFSTFCSVNRAGTECVARKQCGGYETRVSDCYRSENELCIQSAQND ADAKCQSSKGVTCEQIFLGKDTVYTFDKCSKINEACTNQGTSGCTLKTCQNAVGPFTHET CVKWKNACTVNASNNGCVEMKSNCLNYPSNQCLRSLIEGPCMLDVKQNICIKKTCYSSDD TLTSDAQCESYMSTCTVAQAGGCISRNSCDSYVSELQCVVDNQNRLCFWNPTLKKCALFE CKTIEKTEKYDSHDECYELNNIQDPKKQNKFERCTVNFVVDPNDQSISYPSGCMNLQACK DYHHKEQCVIDSSGLYCHWNTDNPENEFCETLSCESADPNKYQTHKACSELPLNKYTQVQ DKCTVGVTEIAPGVLSAYGCKNRADCEEYKIEDQCRYSSTGQECKWDSINSACYTRLCSK APQTFTTHERCSDFAPNCTLSSTLAGCMDLTPKCEDYQVKSQCTKSVYGNVCYWNGAQCV TRECSNTPEDLDGECSKYLDTCENSGNQRCVTIDCEQYSFVTDIACKQAGLGGQCTTDGT RCVLRTTCEDARTQDACRVNNLGQQCVWNPPTATSDAYCEISICELASSTDYVSELQCKS YNSQCTLKKQGGCKQIQDCNSFESETSCTVSKDGKVCVWEKDKGCRSNDCTDLTGTDHFK CKKQNKQCTTSKTGKCVNMAATCAEYLIEGSCVETSDGLPCLWVKALQNVNNTQGQCIQY LKCEDIPLTKDSECKSVLSKCTSNGSSCTPIKKCENLNSTNCNKGSDGQCMLAFKDTTST TKTCMIFKSCSDAFYKTHSECQGVSSYCTTNGTQCMDVKNTCQEYTDRSSCYITTATNSS TTTNICVWDGSCRNQACSDISGSNHQYCNSKMSSCTSDGTKCMTIQTCESYNTSPQCNNG YAKIQGINNPCYWTDVTKTSGSYCRVKSCIDILPASTFFCATISTCVYDATKQSCITKQN SCSSYQDQTACNSGTLSSKTCYWANNQCSDVTGCQVITEQAKCLSLRGCSYITQNGTSKC VPQDCQSVYQQTNSCKFIQIFNSEATKTCMIQNGLCTSVDPSILMQDTCLINSNYAYTWD TAKARCVSCYEKPAPPNDTDNDTNNTDVSAQKISIVLMFMAMVVG >CAK59270 pep:novel supercontig:GCA_000165425.1:CT868001:191745:193012:1 gene:GSPATT00029901001 transcript:CAK59270 MSDSITESNSFERNANAVVLDHKYFLVRLIYKGRSHNLYLGNQLDLIGSVALNKDDPNIY YLVEMKSQEQYNSFVCDERIIKKMHDCTNYKNSLQVQIIPKSIQQGIYKFKNMEYRYNIK ERCGPSLKLCFQHQNRKFADQVFATLAIEAISVLEKMHSASMVHCYLKPKKFVTMHRGVQ LLLTDLKFAQKYKLRQLLNQNTKNKFQYALALNKYSSLNLHLGIKPCPRDDLESLAYILI NFYVGGRLFKTKQKKTKSDKIKEVELQKMNLIIEKAFPSLPKEIIQFYYHVKLSNIDQYK VINYDELKAYFYKMMQKNNNTTNLKSYPWNQEMIEPPKQNSLSSLTSVVEDDENESDDSL IEEQSILSVIEQLKQITKTKKLLSDLDN >CAK59271 pep:novel supercontig:GCA_000165425.1:CT868001:193173:193809:1 gene:GSPATT00029902001 transcript:CAK59271 MKQIIILALLLILVLGDQHQNKHNHYKHKKGHAFKWVLISIFAVGAFFVIRRIVRRRRHL KKMKKQRMNNLSETVVYGEPMNDNVQQPQFYAVPIEQYKQFKNWLNQQQQQQQQEALLQQ QQQQQQIQQQILLQQQQSAQRAQIPQQPVTGYPIYQQYPQQVPSIVYPQLLNKSNVEIQL PEVRVPQ >CAK59272 pep:novel supercontig:GCA_000165425.1:CT868001:194147:195179:1 gene:GSPATT00029903001 transcript:CAK59272 MGPYLSQPKRDKTTTTGQGKSVIFAASEMQGWRNTMEDAHIHRPDIIQDVSVFGVFDGHG GREVAQFVEKHFVDELLKNKNFKEQKFEEALKETFLKMDELLLTPEGQKELNQYKATDTD ESYAGCTANVALIYKNTLYVANAGDSRSVLCRNNTNHDMSVDHKPDNPEEKSRIERAGGF VSDGRVNGNLNLSRALGDLEYKRDNKLRSNEQLIIALPDVKKTELTPQDKFILMGCDGVF ETLNHQELLKQVNSTIGQAQVTEELLKKAAEDLLDQLLAPDTSQGTGCDNMTTILVYLRR >CAK59273 pep:novel supercontig:GCA_000165425.1:CT868001:195181:196909:-1 gene:GSPATT00029904001 transcript:CAK59273 MQQYDQDIEDDISDNTSQDDSPLKRCMTAPPRPLTELEEFKRSSEYQRLEKAQRLSSRLV QREFHKYDLDNPEGQKGCKKFLQNLEIMCETYNIKAESREYRNQFSKAYKILYTEDKLCY LTEILDSAQEGFPYLWVNSEKYSFTQEVLEAGSKLVEGFYRVQHFLRHLYTSTLQESPDF SISKIKKEIKYLLETFDETWVNFEKLYVKELMEIEARARRFIFQAIAIDKDMQSIEIREK LRGKILVTSDHYIQLKTQFCKVIAKINSVANVEGKGMDDLGVNILLEAEGITRRVTKEQS KAVRTLADSIKTNFQKFREQMRKYESNIEMVDPQLKNNQELVDLLFEYETQWEKGLSYLL EPKKYTQLMLFSHIIETTAEKYVQFAEQLECRDSDIFVTIPCLIVLKHLENEDKNICKYF LPMLNDESSKIYMQFQQLKENFLNFRNQHTKQYEYYNILEKKLLGINQNDISEVETQQID RIMQKIKLLSIEIQRYNVIEWNSFIDAAINNI >CAK59274 pep:novel supercontig:GCA_000165425.1:CT868001:196938:198229:1 gene:GSPATT00029905001 transcript:CAK59274 MQQNSTNHEDGQPNNIIDNLEFQSVLDIQNLNDDQFEEEMPEQNNHIQERNKEQQSNSIP PHQEIHRNGDQRQQPILEGEFYKVSPHFLETNSTYYCTLSQRRFTYYNIKNREKPLGILD FDYQKYIFYVKSKDDTYIQSFMYTFKYKRLQPEGCEKKFEFIVPTRTREQSKQWVSLIHY YLQQSNGYLNNIMSLSKYHRFWRHERILDPQILAQAQTGDLLIFRTRGKLQNLQRSITRS DYDHIVMFLKNPLEDPMLFESSNQYGVISFSYKRFVKAKSFKNYEKILYKPLLNVSENDR ILMYAYAEAQFGKGYSLSMMKFFKKSTRQNFFCSELIAYIYQLMGFIPESEKCCSFLPGN FTDEDKRMDLLKSAQLGPDYIVDFYYLQ >CAK59275 pep:novel supercontig:GCA_000165425.1:CT868001:198253:198998:-1 gene:GSPATT00029906001 transcript:CAK59275 MQLLTILIKLEAESSFQRYRNPLNECHHKSESNSFEHKQGDLQYPIQQFPYFQSTPIFPI LGEPKNEFVETANQFIKHGMQNSLASMIQKQSIVQNEFKLNQTTYGCKKMCEIDCMLVER YFNQKYWIFQNSNQKYQLDQTKTKNSDWLKARMQHRYKSQIYDAFSEMIDLIFKEGEIQM NSRTHVIKIQNLKGFQNLERQIQKAVKTEKKFDAFLFEIQKVLADFIFSTLN >CAK59276 pep:novel supercontig:GCA_000165425.1:CT868001:199810:200058:-1 gene:GSPATT00029907001 transcript:CAK59276 MGTCASGKSSGKLQRSVAQKHIEIDFEKLLKYNKGKEVKEGKEHLQQQQSFCQIFLQENK QCVPYSQTQNLGPSTQLEYKRQ >CAK59277 pep:novel supercontig:GCA_000165425.1:CT868001:201337:202708:-1 gene:GSPATT00029908001 transcript:CAK59277 MPKDKLHVNLVVIGHVDSGKSTTTGHLIYKLGGIDERTIKKFEDEANKLGKGSFKYAWVL DNLKAERERGITIDISLWKFETNKYYYTVIDAPGHRDFIKNMITGTSQADVALLMIASPA GEFEAGISKEGQTREHVLLAYTLGVKQMICATNKMDEKTVNYAQGRYDEIVKEMRDYLKK TTFPSFPISGLGRRQYVGKICQLSDGTRDQHLLEALDAVTPPKRPTEKPLRLPLQDVYKI GGIGTVPVGRVETGVLKPGMVVQFAPSAITTEVKSVEMHHEALPEAVPGDNVGFNVKNIA VKDLKRGFVCSDSKSDPARECQSFNAQVIIINHPGQIQNGYCPVLDCHTAHIACKFQEIL SKNDRRTGKVIEEEPKFVKSGDAAMVKLIPTKPMCVEIFSEYPPLGRFAVRDMKQTVAVG VIKVVEKKELKKK >CAK59278 pep:novel supercontig:GCA_000165425.1:CT868001:203277:204454:-1 gene:GSPATT00029909001 transcript:CAK59278 MSTGLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLQHPFVVTFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTQHAAFYG AQVASIFEYLHSKNIIYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKS LVKHLLVADLSKRYGNLKNGAADVKNHRWFGNLDWNLLTQKKLPVPYKPVVKAPNDTSNF SSYPESDTQSPALKPADDPFLEW >CAK59279 pep:novel supercontig:GCA_000165425.1:CT868001:204628:204911:1 gene:GSPATT00029910001 transcript:CAK59279 MSDKSKTYQNAFQIFKERYSKKLKKDKTLTLNDIDDLVLQKWKFLPDDQREPYKKRFEKT KVKLTEQEEQANNSDEEKQPLKKVKQ >CAK59280 pep:novel supercontig:GCA_000165425.1:CT868001:204945:207386:-1 gene:GSPATT00029911001 transcript:CAK59280 MSISEVEKSHLLGGYLNSQSSLLVFLGLKEAQIDQVQQLAQFIYQVSFKNTNKKIVLHTK SKDNLVAGHSIEKEFVIAQKLSAVNFPVTRALFYCADQTILGVPFYATEYIEGRLFSDQN LMGMSAEEKRVIYQEVAKALAHLHSISLNYLGLGQLESETSHYQIINQKLYNHYKLNETK ISTNIEDILYWLPLNLPEKSELDNLCLVHGDFSLSKVLFHPTEPRILAILDWQTAQLGNA FTDLASFLTPYYIPYSNGKYTIDGWAGVERLIGAPNLQEVAKSYFTARSTQTIPDIRYQI ILAILRKSIEEQIRFKQTKDIKHQSNSEFLSKAGYQIVLDLTDGDPYGIKMRAANDSSLW SKWPVTDRCKSYYYRIKDFLKEEVFPIEKFILDKSRVMPNTTQNKGFPEIEALQRKAKSL GLWNLFISDPMYGKGLTNLEYVFLSELMGLSYLAHEIFNCFAPETGNIKLLIGYGTPYQR EKYLKPLMEGECKSFFAMTEKNVSSSDPNNFKFTITPTEGGYVINGGKWFVSSAPDERVK FGIIMGKSSQDMSNPMESQSMIIVDMPNPKIKILRQVGVFSYYDVPHSYAEVEFDNVFVP KENLLGQLGGAFKMAQGRLLGGRLHHCVRQIGLTRRCLDLMMSRAEQRVMFKQKLKDNAA FQERLGQVEIAFQNCRLLSLNAGLLLDSAGSKHLHTFMAVSQCKAHIPKACQKMIDQCIQ AFGAEGVTEDQPLAISFRFARAIRFMDGPCEVHLRQIARFAYGNHLFNDLNNAQGYGLAK L >CAK59281 pep:novel supercontig:GCA_000165425.1:CT868001:207893:209776:1 gene:GSPATT00029912001 transcript:CAK59281 MDQIGVNTLRSVQKLIKSAMKTRVLTAFPNLPQNSFEIQLESISNNLNFEYKTPTVIRIF NQFKKQNLFGDLKTEVEVAQKVASTECQDNVIQKVEAIDKGFLNIQLNDKFIEEEINALL VNGLKFPLEKKQKVAVDFSSPNIAKEMHVGHLRSTILGESLCRILEFQGQEVVRINHIGD WGTQFGMLINHLLEEYPDYQTNRPALKELEDLYKEAKKKFDSNEEFKVKSQQFVVKLQAL DPICIDAWKMICELSRQEYNKIYQRLNVKITEYGESYYNPILNEIVKECEAKGIVELDQG AKIIRVKGEKVPLMIVKKDGGYNYDTTDMAAAKTRILEWKCDRLLYLTDVGQWNHFKLVF EGAKLMGWHQPPNTSMEHMGFGLVLGPDGKKFKTRSGDTVKLIDLLDEAKERALKQIQQR VQENQQGKEFSTGTALSPEEFETAAERMGIAAIKYYDLKQNRISDYNFDYDKMLDPKGNT AVYLMYSYVRMLSILRKSGIQDFEVFKADHKFKITHQHERHLAAQLLRFVDVLQSVTDQL AINWLCDYIYDICVKIAEAYNQYRILNDEHTQTRLLLCEAVRMVLLQSFYLVGIEPIEKI >CAK59282 pep:novel supercontig:GCA_000165425.1:CT868001:209816:211619:1 gene:GSPATT00029913001 transcript:CAK59282 MFPNISVLNEEFDEDQHRQIVASYSDIKKLLSPPTAKIQSDIIFDRHKAKSHTHGLIESK GAEQYLIKVIQNNDLSEIQNLLDEAESINIYTITDHKKYTLLHLAAYNNNLDTVRLLINH VKKQHKHKCASILTEWVNQQTEDGFVAFHFAAYRGNIEMILEFEKCGANLYIRNAQGMNG LHLAAQGDQPKSVVYFKKIGFDFALKDSKGGTALHWAAYYGCELAVNYILSFTDQLLDVR DAEGLTALHLATMSGNNRIVKKLLLSGANRSIKNNEGQTAADIAQANSFTQVYKMLTESQ NFLVTYFSISQGFQKVNRSKDKMFKFIAMLIYCQGITIYYQIHSDESNQYIIYYGVFQLL IWFFFVLVWLSDPGKQVKQQDRLEQELLKSLYEILQKSDAKDICPECVCVKASRAKHCDI CKSCVLVYDHHCPWVDNCDKTTFYSFIYLCGSFFYFMIQQFQDDGIEVFIILFILSLFSI LIMLLFLFPLWFLLYIQTLNLLTGQTTYEKYSQSLKNSKGQSTAAVSCNNCLDMCCYNKI NSSKKKKQNLQSMQLFDSNQKQ >CAK59283 pep:novel supercontig:GCA_000165425.1:CT868001:212935:213321:1 gene:GSPATT00029915001 transcript:CAK59283 MNIGPKVLLQQNQVRQQDDEDDVPDEPSPVLIKQKIIQVIKHNQPRFDVKGRQIFKGTGY GIAFDNFVTVCVFNPDEEVLSIKDTISQCTNIQEQEKFHIKSSTNKKIEDFQKEIILKSI LKQTKPHH >CAK59284 pep:novel supercontig:GCA_000165425.1:CT868001:214415:221241:-1 gene:GSPATT00029916001 transcript:CAK59284 MNQEEIFQKEEERFVNLGKQFCPEQPTNFKSGFIPKFLEFYVKSQMRDKCAQLIPVNSLT NSPLRYIFNNFDINLLFPQRKWEFTMTETFLEQFKFETNVLFTMHSILQGNFKKQPSKIN LSQQTLPNVEFYLIFDCKNNRKDSVIVQIIPKQKFTLNSQNQLQTLEYVQQMVFICLGKY DYDKPLRKYEIHQEEDPFLLEELSLIQEIKKPKMQSQSKEQDSFTFQDFNNPKSYRIPNF QADKYVFNQNYQRWVKKQNYNDYKKRKQTQNDLKGSYFQLKKYLFTPNFQQYRNLLKNIK SENFTTNMEQFEAIAKQGDTILIGRSGTGKTTISLLKLFITDAIFMLRQNLDLVQESNSK INLQYTDQLSTGIQLKTLFLTSSPLLAQQIKQKYDNLVKNVQQTLREKNKVQKFSEQLKE NLDESTVQILDVLEEEEENASQFQIEDEEENEEDIDQYEKEMGQFQTLSDIKQFPAFLTI RKLLFLIDSQLNNPFFKNKEQIHRSAQWHNEYFGVLSLNQSVTNNNFQEQLNSEVNDLDS KEVIYHNNNLKEVTLECFKDFFWPKIIQDIGTADRQYFTKLDPTLIWSDIINIIKGQENS FKNPNFHLIREDYQNYYLRLRCDQDGNRQKVFNAFLIYEKLKSKYGYYDILDLINHINFQ QVYCHDNIEYMHYIILDELQDVPKALLILLNRMTQVQLFLAGDNAQNIVKGVGIKFQDIV NCLEKEISLKSSINLPQTSLIQLSYNFRSTNQILQLGNTIVNALELFFPKYLDFLQKEKS NKQGPKPIVIQSIQTQDLLNYLFKEYQNKQSNVEFGSNSVIIVKDQESKLKIPIELQNLI ILTIYEAKGLEFDDVILFNFFADACVDENAWSLFQTLEIVKIRKDQRQWNSAKQLQTVLM QKNISKNEVELTKLELRQYNQKNSKQNKNLFNSKNVNLTLQHELKQLYVAVTRPKQRLII FDQSQQNRYYIQQIWEELELVQIVHEQQIQEFKFKLSFQIDNKTNWKKQGYRMLRQNNYE QAQKCFMFANENELAKKSLAYNLATQATLNSNNSVLFIEAAQIFEEINLPKRAASCYFSG KNYEKAFKIYQSIGCKDEMAESAYFSGQYQIAGQIFSELGEVRRSIECFNKEQLWDVSLD QLNGNQLTSQEKLMFLNIIVPKYLKKITEDIEKEEMLEQQKEQINNPHDETDSFEVDASL LNQSQMTQKDEINVLEDSQSFQVVKDDSLDHLSIFDPDDEWIKPENKSLIKSIASSSIES QFSNVLLMNQPSITPLLKSRLNIFMKNNVMLKLAERFQQFKDEFKILLENQKSQCALLSF RNQDEKELDHMINFLYELENFDIEAVYFVLDVLEQFKSYKLCIYVCNQFKLSSHLGRYLV SLASQYTPMTKNNFKLENWIVGNNLKRKRLLDQAMLAQMAFNNILESINPIYLKFKYEEQ LHSFNSFGLECYKSLIGLGYWRTIIHQLNYENAVQLCQSFNNYQDLVILIEKVKDERQNK QLTDEEKHQLIRYNYFIQVEQYFISNQSNKIDIDQSFEITIQCSSKRILTKDNIVQLVKN SKLRVENLTYEEKLKQLESIILCMLCSMGVIKFEIDQQCSLIIDLIEQQQYCLNQLAFVN KNQNINEALQFLFKFSFPTGGLMMDFSQYCIIHITSKLLKNVNEQMIFIDIAYEYIAIPF EALGKIIKTYLCNLKPVVTLTQALDNQQGQQETKHILKFLKQRLQYQYENILLRLTFPIN DQIDYYSKIAYGSRQKSIANSIDDHKTSILNFIKSDEMRTAHKWLLQQNCRINKMNKQLK YYLNNESIILFEQGLKNQDQKHGYIILALNLLHLQDNLPFAIFTIQNLKNKQDQQYYLKY LEFLECQNFDIIEDSFECFLEYSQYFEQKMYLDEWMNHLTRIGIKLLLAQQGISKVLIPQ KYQDIISYQQHIDQPLFKISRPEIVLEFIEQLLYYIEACNSEHYEHLCNLLLIVFMVNLQ NLHDQIKERLMEIFSNNTIYQYYKRLHQCLNQKNPNLQQELIDKMNVLIIKGYFEEQLIT IQIKQSTHNLNSQKVYQDCLNKWEGYFVEAQQIEKNGINLLKKWRQFKSNHQNNMNVFKN KLSPFVIRFYQFHKLQLRDMQSQKKAIEHQSHIVKIYNFQEELLKLRQKMLRGADLQFVN LILNNSTNLLKEIMNGNLQADHFEELKQKYYNWKCSFSTLEKNEQELLERNRQILKLKWQ KLQAGVKVQNMFDSHCIKTVEEHIDEESQD >CAK59285 pep:novel supercontig:GCA_000165425.1:CT868001:221920:222498:1 gene:GSPATT00029917001 transcript:CAK59285 MGLLKIIKKTKEREKEMRLLILGLDNAGKTTILKKFNGEDISQISPTLGFNIKTLSYNGY KLNCWDVGGQQTIRSYWKNYFEQTDGLIWVVDSTDKARLDDCKKELQNLLKQEKLIGATL LIFCNKQDVPKSLSLQQIREYLELDLIQTRHWGIIACSAVTGDGLLEGIDWIVTDISSRI FMMS >CAK59286 pep:novel supercontig:GCA_000165425.1:CT868001:222512:223387:1 gene:GSPATT00029918001 transcript:CAK59286 MIIVLLLLLFDVNADWFHSTLAFTLAANNYQQHLGQDKHVVLDFFTPWCVYCQHMAGEFN QVFEHYQETRPDILIAKMNCDESQNQHICHHYGVHSFPTILYFPPGQDRPTSQFQNHRRF DFFVQWIDSLAQMSESAKQEVQQQKMEKILKEQEEQEQRLREMMELEKQKQIELEEQEKQ KEEELRISQSIQQQITEANTFIDTIKGMEKEVYTLQQQIAELNSKMSQIKKMPKVNVNHA LVFAIIGFFIGVAMTSLVCLFQKSSSANVEKGM >CAK59287 pep:novel supercontig:GCA_000165425.1:CT868001:223393:224531:-1 gene:GSPATT00029919001 transcript:CAK59287 MIFGFFALIPTLISAETTTNEVTRDYCSLPDAIYADGVSAADFGATGWNYANVANWADLV VTCGGSFQSPIDIVTTGSTQEPVQPQIHYRSKDASVGYEEANKPYTKEYEGEYSEIEVTD ASGSVIRYYAKQFHIHTPSEHTIDGKYYDLEIHFVHQAIQDSEQDCNTVKNKLTVFGLMF QESSSATDYDAFKPWFDSNTTGEVESFDLNDFFSKLSDATYYHYNGSLTTLPCSQTVNWV VFQQPLPISTAQLKQFQDYLADSTLFPIKHNNRPIQHLNGRKILKGTAITSGVTEIQNLE ASFAQTVAMAILLVILIQ >CAK59288 pep:novel supercontig:GCA_000165425.1:CT868001:224886:225047:-1 gene:GSPATT00029920001 transcript:CAK59288 MKNIQRSKKEDQKNGEENFDINVVQIKLNLIYSDQLMGSIEKTSRIQMSLEKS >CAK59289 pep:novel supercontig:GCA_000165425.1:CT868001:225368:227247:-1 gene:GSPATT00029921001 transcript:CAK59289 MSRHQGVYNMLRHGDYNDDDYEDADDYNQQEDDDQYGDHNFSLSNHSFKYFKGELEVINN LCEIFQLDFTRKQIIDALYETDGDHDAAFEYLSNKIKKTQKQQQPQKSQPTKKQQQLIQA VKVEEQPQQLPQLVKQQNSTDKSKNQFHIEYNVDEFNSPYPSIKYKNVVQSNPSTSIVIL GHVDTGKSTLTGRLLQVFKALDDKELRKNQKDAKNLGKESSALAYATDMTKEEKEKGVTM DMAYKTVVIGGRQYNLLDSPGHQDFAPYLIAGAAQADYAILVVDTTKNAFENSIKSGMLR EKLQLISAMLIKEIVVALNKMDQIDWDQKQFDVAKDYIKVSAAKLGYNQKQIKFIPISAF QGLNIQNKHNINWYQGETLVQEILNLPPLLRANDKPLRFTILNRFHQNEGKLRGTCLLGK LHSGQLEKNINYVILPQGIFCEVKEVERQSVEGDIVEVKIKTLVDSEFQNIHIGSVLSQI EHHVPVANRLICQLSTLELQTPIIKGSQYLMHLGAQKIGVVVKKLNHTYNEDAQTIKKKF PRAIVSNEFAEVELECDDQVCVETHSNLEQLSRIILREKFNIVAIGKVIIINVNLNKLKQ GKIIHEQQI >CAK59290 pep:novel supercontig:GCA_000165425.1:CT868001:227272:228217:-1 gene:GSPATT00029922001 transcript:CAK59290 MLETLSREVDDNELLNSLQAVNRNYYNCLIRLKNPSRPRSIQVKLTKFCQHQELLIIYIS SNKKSLGMRMKLPNLMEAWGDSPEETVKQRQKELDTCESGINAKIEEAKEFRNHVSEQII HNESRQARFLEQKDLVAQLIVEYQNAFNKIGETYKIRIDDYVEDGVFDKTVDFDKRSLTD LYGDKENNFEQYVDDLVSGVATPVEVPEVEEVPEPQSVFVGGSESGSESEGEEAETTEDV EETVTQGTEESATEEVTTQETVETTTQPEVETKSEETNYFEQGEVQQSV >CAK59291 pep:novel supercontig:GCA_000165425.1:CT868001:228221:228764:-1 gene:GSPATT00029923001 transcript:CAK59291 MAQNGYSLINFQMKQLVVLALMLSLALSTSITSPQLLVELQSRTENVIDDGVDLLEQLLA TTEQQYEQVIQENEAEQQQLKDQVASKEQQLYDLQNTCMVMSDDLALLKQQIELHGDDTA YFRNEIIKDNRRIEVLEELRCQQSKNWLNFIKSSKYILNLIEFFKKSHLKLWIIR >CAK59292 pep:novel supercontig:GCA_000165425.1:CT868001:228945:229894:-1 gene:GSPATT00029924001 transcript:CAK59292 MNVPIEYSEQRAKTEANSQAFSQSLDNLDTNSLKEDLNKSIEVGRKKRKQLTLENPEFQI KQEIEDEKQNIKVQTLIRRGVADSLKITDLLKLKISAKDNNNPVHSLFRWCMLILYKENP DMYNWSEFKKQVLEKNGGSDFRNRLGYQSVIHITPLEGEKTKYLLTLKKAIVEQNSKPEE LQHVLVKIFDIIEIVYKTHEHAKRINHLVDDLLMQEQKIKQYRDEIDTVNKEIQLAKSNL KNLESKSLNEEIIQE >CAK59293 pep:novel supercontig:GCA_000165425.1:CT868001:230381:230910:-1 gene:GSPATT00029925001 transcript:CAK59293 MQEIEAKKQLKASEGAHFFYTLIFLSASGIIETQFIDQKCNQNLALFTHLVFYGLIIWGT YILITLIPRYKNPAINLFFNFLDICFAVYISFLLIYGYKLYSSQNDCAVEAPALYFFLEV FMLVNGIIFIILGLAFISYILKRFSKHQQSQAQGDEEYLEA >CAK59294 pep:novel supercontig:GCA_000165425.1:CT868001:231043:231609:-1 gene:GSPATT00029926001 transcript:CAK59294 MPKETKGQQKGARSKYETTEQTETYRQQDAKEKKILGPKTKLDLEKLEPYFTQNNNYVGI QDNSTAVAYSHPLDRLGIVENEYCQKCKKDKGFCPHKKDTHNTVKDQFQFPIVSSAEIGW REPIDNVNWGYGVKQSFPIFNADFRNTTKKEEKEKK >CAK59295 pep:novel supercontig:GCA_000165425.1:CT868001:231774:232791:1 gene:GSPATT00029927001 transcript:CAK59295 MQKQMPGGNGHLNDDLKLNEIVYLGAHNCAMSKSYGWIYAQQNVTITEQFEKYGARHFKV PLHWYSNNNDPEVHLYNIFEIVVAHESDGKSNCQLTLMQRALKKPESAHSRLKEIVDLSF QYPDEIIILKIESKLLCNSQKNGTEHFDPSLSSIILHSLLVDLKADQRAIILKNDTEIPT LGWCRKNKQTLLITIEPKEQRVGDLSKYTYYSYSISAQVDWEADPLKDVQSGDVARGKVN KQTGEILSPFLEIHFCPQNSLKLDSSYRDKYNSYNHVRQRFLQYFFNCIKMPNFLVADFI DQGKLSNIVDDVNLIVNSNGIFLPELFEVL >CAK59296 pep:novel supercontig:GCA_000165425.1:CT868001:232959:241281:-1 gene:GSPATT00029928001 transcript:CAK59296 MIYLNFVILSYLTQLTKQAIEETPLEKNDIFEKCLQYENGNCKLCETQTFLFKLPQDNLE MGLKKGTKICVQCPYKGFHDKSNLYCGDCLDNSQTWDQSRLCTYDYKARTMLDTSVFHKV DRSAKQLFYVIESGTKEFTTTMCEGCANFCTSKSSSCFPVSLQYQYDVNNLFIACAEGFA YNEITSGCDSCPENCQSCEINNIRTTDSDGKVTVNTKKDCLICNKGFSLMTTRLSLNSAQ TYSQCIPCFTGCSECFFGNDEVNLNTEPWDTYNNYNELFIAANTQPTSAAYDKLRTLGIY QRCSECVSTSQNTYIPSLDKLTCVRCGTNCNNCEYVSYTLTTNKYPTRNKNTVITITDTT LSQYVLRCRECDNYFYTFQATGTGCAACTISNCKLCHMAGNPDAGADKSFSTLNPDFVPL EKEEFAIQLCLLCQDNLILSNDGKTCTPQIDGTDIIPAIPFPAGCLTYQNDSNNKQQCKK CDVTYTLFLSEVTGLWECERTCQENLQDYLCQSCLYIDDKYRCLYCLDGFYVDNVSGKCL PCSANGFCKECYRYSLLSIHRTEYYLYADDGDRDVYGPYCYTCTAGDNEKGPFLNEDLRY CEKGGEACFSFSAKGSQGYCDKCDYAKLTSSITRSASLDDADCIECPQFTIGCRIRTAEE INLVNKFFNPINQQYEEYSRLAFICDSSSSYYLDTHLGRCVEKKDGLEECVRSDIIEIEA DCTQYEDDVANKWKVNSQFGDITKKTTIINLEDKLDIALRNQLYLDYNEKVVSKLTIKLK FHYDTDSKNNKCYFQKNTYFTTNFRRNVFSLSEVELEISVVGATEAEKMQWYVQNTIYFE YFTTVKLTQIEILPATDLDPINMFSNVQKFEKPFGFELTKNDGSKLILENVKIGNGQAQD HYQSLLYTTPYSEMSQNLKKYKPFYTVLLNTYDIQLNNVLITSQNYLLGSEAAFQVKPFG LTYNEDAKLPYFTINLENVRFQDFSVESQAVFELQDITLITQSEWNSKIFLKKVIFNDAY FINDGAFLSTQVTKTPTGMILVENLNLNNIEYNNSRGIMNFQTMQKVSISGFEMTNSRVN YTSLFYITTIDISGVYVYNTTFTYFGKVFETQFDIMTIRPTDPEYSGIKLKFQDMEFIEI NCLYPACIMLLTSIKNDYELPLNISMKNIIIQQIKTYGFNETILEAATSAAIQIQKSYTL QVENFQSIQNPNLTIFYTDQIQEITFINLICSQNEGINIRNNYCVFINNFYRIVKLLNID LLNLNGIDNSFIGISSWSNLVYNTSGEAFHEQITIQNVMVQQCTITTTVLAVPSGAILID STQEQTVSISGLYFEKNRHFSASYLKGTLRPSNPTFLIRSVVGTLNLQNSFWKNNYVSGF GAVLYLECGIQIINNITMINSNYDPTLLQNQDPFTYDINQVAEGGHVYMAGYNVKLLNSQ FTNSTAKVGGAVYLKTLKEGQGYINNTIIKNAFTPINGAVVSRGGCIYIDSTSSSLNLLL ENMDLSNCIARADGGGIYMIASDRQQLFTLKDSIISNCYGLSGTSVKVKFDDRTQQVQKS RLQDIKISGNYTNALDFFSNLSNFQIIEEFLFIKRLAAFEQDNGQFDLQNLYSEGLYFYG LISLVNPNLVRINTIESQHGILSYRPYIEILEPLVNPVVVDSIQFRNISSMNVSVSCSNS KLTNEQNAYCKILQSRVDFPEYVINPAMMLIDQITKNTPLLLRNLFINRVECKECYGGLV QVMRVSNSDLRSLVQMSMCRCSKSSAGYYGCYMASSAQYQTILTTEDNRININFTSALTL NNILKKTGLRSRRRRRLLSDEILPSDFNYAIPSPTYLAHVVVDSMNIQDVQAVHGGGLSI YGLTANITNTYCTQAIVSGQGGCVYYEAQPKRDGVVYQRLNLAKSVFFKNNASIGGAIRV KESGINLWNKTGNTMLQNYATLYGDDVAEYPTHLGILINGQLQNSSNLNKNTGWLHYPLV IKSGQLMQDFENQTVLLVFLNQNEEIMKYQFDAQAIMQSNITTSIQGESLRIFNLNQQGF VYSNLQILFDPYQNVTLDIILNSSLVNIPRYYTEYPYQLMGFDTGYTLLARVRSVECDRG EAYNPQQGSCNPCPIGQYVLVYKGICKQIDEGSMNYTVRNKISLKYHYWRPDHLNDQAEN CKNKVINCIGGFNVGNDVCVEGTIGALCEECDIHGTFWGSKYSNSAKYECGTCQDNTRNI IMILFLSIFTLYSTVTTVDANKEKMRSIILYEIFTKLGWASSQNAGANAAVLLKIFNNHT QILSILSGFQIEIPTGAVDSVNTVSMPAKTVGNALDCFLADDTWGIDLIYFRIIWSFIMQ GFYIVAMLIIMFIRYGVEFKIQQVQTMAIYLFLLLQPNYVMEFMTLISSRVISGNMYIMA NVSYRYDTFKHDQWIAAFGVPGLILWLIVLPVIFWYICYQGAQQMKLNSIIFRQSWGFFY YEYSRKRYYWEFLKIFYRSLISVLICYFQEEIIVKGILSFIVIYGYYGLTIHFNPYDLRK LNYLDQLSTVVLSLSLVVGVFLYRTIQINFFGLTYAGYVVIAILNAIFLLLLFYNLFEEK FQEFAQSLDQVRDKIKEKYPHLQQNPHIGPLLQNRTKLNQKARIMWQQLSKIVNRSIINW RQDKSKPLVFAIYEDEPASEYNNKINQIHQEFDSQYELFQSKGVAQHSQEKSKDDAKNGN QLI >CAK59297 pep:novel supercontig:GCA_000165425.1:CT868001:242443:243963:1 gene:GSPATT00029929001 transcript:CAK59297 MKNRKTKKHQTHLQTNSHALLHSGTIIADKFILLEKVGQGSFGYIFKTENIETKEVVATK FEKRENKSTSTGSMLVREIKVLLELSGVEGFPQIQYYGRDENYNYCMITYLGHNLEYLLR KSKGFSQLSCLKLSLQLIERLESLHNKNIIHRDLKPENLVIGYIDTHNVYLIDFGLAKYF KDSNGQHIPLSDKKGIIGTARYASIAAHQGLEQSRKDDLESLGYVLIYLNFGKLPWMNLQ IADKHEKYKTIYELKKNIKLELLTEGLSQCYLLLLQHAKSREFQESPNYAFLKDQFKKAI SEKECEESFYMFDWEKLAEVKNKKQIHQSKIENPPVIRSTIKRSSAAIVDHPKKQLSTNL VLPEPENIENRSRNGVSMHTLGTSKMINYQVSQKNIVEVDKYRRIMEKQLPRGQRKSQTV VQQQQQAFCKETSKHFQERVKTIEQVEQEFEQFNDLDLLAKDDANLHFNNIKAFYFKNQL YH >CAK59298 pep:novel supercontig:GCA_000165425.1:CT868001:244048:245025:-1 gene:GSPATT00029930001 transcript:CAK59298 MKQISESAHILQKVYNPNRMNKLFMTTHYQLQNETDLIFDKYMLMPLFGLSVANGISSNC IKPKYLCSEYKKQELYDCNLILILSAYSDQAVYRSKTMYEKRNGLEQIFKYLASPNYTYN IHISLLSYFVPQRVFYKQVLQALNIFELIDQKQIEELTKSSSIINQSVGEDNLDSILFKN QEFIDYQKWRRMLKNNTIINLKTLHQHQLSQQIFCQYFLRYHYYQGCEEEINKLNKFLVD DFDMFKFRSRLEHNEKKMKFYFLRMLKYFKLNEKLEIFLKFSFKSYSLDWNKELLREMKN SLNQYKKQ >CAK59299 pep:novel supercontig:GCA_000165425.1:CT868001:245294:246082:1 gene:GSPATT00029931001 transcript:CAK59299 MNTRNYKKDVSDQGSIKGQTNQNFGYSQPQQFQDGISRIQEEMMDPRNIQPDSMFVNFSG VLECGECEDEESLRIEYAVKYGGEDWTYLKTSNNADDAEPSGISQLSTSRNLHSRNIVWN FPFECNFQTKNIFGWPQVVVRLSGPDFMGRSVAKGYGSVHVPTQPGYHERVIRIFKPLPI SGFTGFLGYLLGNTAELKNFDKVISSGEGREVTRVKSVGYVKVKFHVTLVNFDKFGYL >CAK59300 pep:novel supercontig:GCA_000165425.1:CT868001:246459:249735:1 gene:GSPATT00029932001 transcript:CAK59300 MNSQNDQEKQGQDEQGLGEVFVPAQVVNNFNQMVADPQPFPSDRSAKPTQENNLQNQAPL LTDKQQAQPLIKKLDPGSEEIKKIPKARNGSAHHSQDDVSVKSSGGAKSPHQSQQKRIKR MNSRVKSRHSQQKQQSDFSKSIMKITENTIFSIIMAIVTIYALFGDDIRILSVDKQGDDV FFILTVICMIAFIIEITLTSIAKPDYILNFYFILDLISTLTMILDLGWITDTWYSGDLTS ASQIKSIGTASRAARKAARVIRVIRLVRLVKLYKHARQQMEREQQRKLLQDLLRQAQQSK SSDQQQQQQQQQQQQQQQQQQQQQQQQQQQQQNSQIQQIIPQNDESRNNSQNEIEVAKTP DRSSTSQIRTSQTSSQPENQIKESIVGAQLSDLVMRRVITIILAILISIPVLSLDTYQET ISSYDSGIYRIYQFKDNPEIMKSLLYQYAQFHVGEIYPIYSVDVNLKGNGSTDLDEWNYN TNPEIFEVPAYETKDQYRFSDLQYYVKSEGSDLQTYAAADLVTYNQTNAILSIFQTVFVC IVLAVSALMFNKDVSDLVIDPIEAMMQKIEMIAANPLEAVNIEEQEDLIMEELEKSQDVE KLKQKEIEKHMETYVLQRLIMKVGALLAVGFGEAGSEIIAENIKKGGSVDPMIPGKKILA IFGFCDIRNFTDATEVLQQGVMVFVNEIAEIVHSTVDSMSGSANKNIGDAFLLVWKYSPN DYHPDPDNPRNLKLKTEKYIKQKGDMAVMAFLKIITAISISKKLEKYKKHEGLNARMKDY SVKMGFGLHMGWGIEGAIGSGFKIDASYLSPNVNMASRLEAATKQFGALILVSGILKQYL TDECQKQMRMIDIVTVKGSIVPLELHTVDMSIKNLQAKIKSLRDKFDVSSMTQKESKKFR VVNRFKRDQQMKQVMNNQTNITDLFEKDDELSAAREPYTQQFYETWKQGFDQYIKGNWGE AQSIFQKTLIMIPEHKDGPSNTLLEVIHSCGGKAPKDWKGFRELTEK >CAK59301 pep:novel supercontig:GCA_000165425.1:CT868001:249882:251015:-1 gene:GSPATT00029933001 transcript:CAK59301 MESRNLTQFQHYSHNGTNRYSIQRIVYPQYASGLVQEETVSQHKDSIDEDQFIEGECFVY IGGQQIFTIELKQPDFVHQQLCIDLTKYQCPLECLTAFPLPNEFQNTQRILPLSTGILVV SSGKNGQQIKLFDDQSKEININIQITKRIIWMNSRYLLEVIILIVGFNDLSVGLFEIDIK NKQIRLLQEFTFEQLIIFNDISTQNQKYFIALTSYNGIISILSIDLFFNIQHHVHNINRI TSPPKLYFQHNKANLMVPIQNGEMLQYFEIEDNGLKKWNVIKYQNNNKSMITAFDIEPKK CDISQNQSEEFEICMMHFDGTLSFYDYADNSIYIEISKQLNGSRFFMSNMQLSFKQFILI GSDDEVEIYQMSFYHIN >CAK59302 pep:novel supercontig:GCA_000165425.1:CT868001:251364:252950:1 gene:GSPATT00029934001 transcript:CAK59302 MPTSVNAIEKANVLRKEFLEIEINHDELLSIEQFYRCLDKKLRRQFDRELGDQLYQRMNK SFNNKVTVDEFIKVFLQAEEILKNKIEGCKKQILDYNKQRKYVSNQFDSIKDSERVNQYG IKEDSYLTVELLEGVNFPAQNVSVILKLENQKQEIQQQNGPSPQWNKTLNFKISTGLEEL QLFFYTINHPNIPQLLGTVTLSLSHLTNQTKIEDCCQLFNKNGQQTQTKIKLRSQWIFNE SKSLCHQIEVCNDQIKTAQDDLIDFEKDLATLYEPFPNYVQLIRSIEKEDLAINHQPPNT LVMTPINNVSSQYDYKLYTRLSILVVMGLSVFICFGKSQFLDLVICFFFIIEFEFNRLYK QRLIYYSIAVGISLLCDLVWAIKYSRGFWGSEDSETDKYYVIEKGPNRLALILLFVSFIF KLILCALLGALSQDMPEQLEEQLPNKNKSTKQQSFYSKNKTAQFGEDNSTFYNY >CAK59303 pep:novel supercontig:GCA_000165425.1:CT868001:253123:254381:1 gene:GSPATT00029935001 transcript:CAK59303 MFIPYKKNKGYKIPVIFEFGQQNTRVGYAGTDGPEVVLPSDLLMDSEGNMKFIEQNSTYQ PIGFDVKNILQGGQIVDFDIWKEYVEHILNNVLFQERHFPFFFVENGNVKKETRNKIIEM LFEEIDVSSVFFHKNTMLAQYIVGKDNVLVVDCGASHTTITPILDGNVIEKGVFRGDIGG EYITNKLYDIWKQQGLAFSRFKNYSQLSPSLQMYGDLEIVREIKHQCVKLYDQPIENLKF NPTVDNQAYELPDQNKLLISEEMYKYPEFLYEPTEFFEGMDKALETSLEKIDSDYRKELV QNVVLIGGASCTVNMVERFQRTINNLKIFGLSSRSKVQTLPKYFDRQHASWIGASIVASS QIYDQWTLNKSDYDEHGSSLIEKKMFY >CAK59304 pep:novel supercontig:GCA_000165425.1:CT868001:254528:256024:-1 gene:GSPATT00029936001 transcript:CAK59304 MSFVNDLDDLCDFSKLSQKWKQTKNEQVRQPSPSPSKTESEITASKCVTNPWNKENFVPN NEEAKKNVSKSRNHAPQIIDEDTEQFKIRLEHILNVFKTEATSEFMAMKRSMLEDQKQTI KLDTEKYLQMYELKNNELSQTKEQLAEQMRICNQITERSEKIAQYCGKMKNTKRRLSVLL NVFNSLKQYTKQSIKKKHVINIQLQQNQKKGTKHYKLYLQRWIFNLWKKQFNTQIRINQS EKLKLSHQSDVDLLMAKFKKEQQLLEQKLLEATSQLDEANKVKLSMQENLKRAFMRGVCA LNFEAMNVLNGSSQQMELDSLATNLLMNPCQQSTTQQQQSTLHQPQQFNQLNETLNLNES KDHWQRQHSPPKNIIYHQQNRLETNDHRWKDAPIVGIKQLSEIPENQSINMSISKLVQQD DLQFDKDDEQNEGKVIKVTFDNPSITQAQTQSVKKQTVQVKQPQTKQPSKQTATNNSSTL KKK >CAK59305 pep:novel supercontig:GCA_000165425.1:CT868001:256331:258353:-1 gene:GSPATT00029937001 transcript:CAK59305 MIKYFFGSVKLFKKQGIQEATKATTTKLDLITNPELTKEDIANFDQFYSNIVKSMVVKEN GRKKVIQQTYTPNIYQILESKIGNDHFQISAFLQFRRDQLREEEVVYCLDGLIRAKQFIL LKNSDYLQHIDFLISNKKLSFTKVECLEQILIINKKLQFLSIDSLRLLAKQLNEIGQYIE KEFELTKNEEPLEILAQTFILAAQIEYNHTITQSQLIHVRKILKRHFKLLKFDTIMKYFV TIIKYQNKLQTELDSILDIEEFFGENYNVLTLENYLMIIEHLALIKYKKRSEVVIQFVNN ISSFTFTIQQLAQLAKSLAIMEIRLDELWNRITAEFLQVIQLVDTHFYLGEITLVFQSLL KINPLSPQDLTTIYKAIKNITIDESFTIKFQILYNFSIKGHFNFDLFQDLCNYEKNNFQF KYLNNYKQEKLFEVTKVILYNSIFYNKLERLDENVDFGIQKALIILCTKIYEILSNPNYE LKNSFQTYYDLFIVSSLFQKFIENSDGLQDVLINTKLENIRYEAGKKLDRKIQRNQFNIQ EALKLLGFIDYFKVGYKEEAYQELVRMVQVKLIEYESDFDELNTLLHQYHIQNQKIMQEY ITLILNKQAELTIEQLQKYSHVLKKSGNQSQELELIMIQRSLTSNSYLGVVGFDKPNYEV NFLFN >CAK59306 pep:novel supercontig:GCA_000165425.1:CT868001:258500:259267:1 gene:GSPATT00029938001 transcript:CAK59306 MRYLQVKSKIFQMYLRGLRGFFQPQDTTVNKRKMRMFLSLLFSLYSYGWIQHNWLKHEFD IRKQPNFLIPIWVYHARREHYIYYEQSRLARGLTTTFSYYDWDPVSQMVCYTDKQGKQKF EKSIFNRERLDIIDNALFEEIFRGEMTSEKIKRGSLLVAYELNCKNRFDLDNYLHYKPIN PMDFIRGLHFQFYVQLGLTNQYRYDHFISKPDWLYEWEQWKIELNGMDRLNYFNKFVSQK >CAK59307 pep:novel supercontig:GCA_000165425.1:CT868001:259349:261041:-1 gene:GSPATT00029939001 transcript:CAK59307 MFNIQNSPNHSEVGSTQNFQLQAPCMTHQGQSITNFCKQPGCLMPLCPKCIPQHLDKHRQ QGQFADLVTVDEMHEETLQLINAQLTNLNQAIQLLQQFKDSKTDAHGKLHTKLNNSKLRV QQMVDQFFDELLNELDQVTKTDRTHLDVELQQMERSLNSKIQEFQQIDQKLRTPKYLKQI IQLQTTNFLQQTQQQRVECEAFIEEVSKHTLDIQIDEQELYFLKIQLAQYASIKNAEYYK NQQIQSSASIPKNTAYNHPYLTSDIQTAKVEQSPYKRLEQQFQQVGVSNFTINLPDYYDP LCSQKYLHYFTDKSIFFLNLEWVQNPRWQEIRLNCQVPIKSSSVRSQQGDIFVIGGYIGN QLSSQIFRIDFKQQTLLSTDQLQYPRHSSAPVFLQNKLYVLGGQNQSEDLTSIEGLELQL NKSRSVLETRVTLPKMNQGGANLSVCAFRQYIIKSTPLEVFDTKLLKWTSLNHNINRGVG IVAINNSNVLIFGGGQYQLLKMVDQQNLQFAIENLTNPPQIGQIQNGSLIHLGKIYAVTS SYNFIIGTPDGWTL >CAK59308 pep:novel supercontig:GCA_000165425.1:CT868001:261050:261565:1 gene:GSPATT00029940001 transcript:CAK59308 MYNQIIEQKAIESLLNICYDDQPQYDDDYECEIKKILQRTITERLKKQKTEMSTRLNTIS FRTTSKTPTQFRFKTETRSLTKPVRLPARVKNFQLITQKPPGKPTAKSLRNFNQKNQVPL KASIVSLQNSSGFSILNQSEYQHPVLVIQKKSQYIPRFVLKLQKSQLLNSK >CAK59309 pep:novel supercontig:GCA_000165425.1:CT868001:261825:266373:-1 gene:GSPATT00029941001 transcript:CAK59309 MFKEVFGKFVFRLISNSITTEQEYEVSQQFIYFFGLLGALLPFSYAVVPNKRIFFGDESF IFIAKLVRPYLFFNHQETTQILLLYIFYFGVIISFINIGLQGSNTQQLIKLNDKFSPISK TVFLMNQIFDVWLFNIIVEVILLQEHAYSYFLASIYCCILIYRIMFTKVSIMFKYHTFNH QNQSFYYYCIQILNLIQIFLYAQNYESRFLLLLQVAISIIICSLNIANIITYLPQTNLGL LKLQLPTFLNSVFYCFGILITQFQNVENRSPQMLFILLWPFWIRISHHFFVNQFIVSLVW ENYQNKIIHIFYQNQSDDASIKVVYYRRNLNQKLFKFSEIIKKRSNAKSYSNFLEKIKIQ DSQQHFSLFLLFEDYFGAYEYIIKQNKSTSLIQQMKFDICTLKCKFELKQQMTISSQMIH NKAFNLDIDNFIKVENKSEELSNQLIRDCQNKIKFLSLVNQNEIKRSKLEKQVNHCIQKF EKIINEIEVLYKQFPLKRYQRYLIFAYAELCNDFLQALRVTNLTSFNDEAIYTKILSLTL DFYSIKTIYLITNLENRKVLRVSSNYKSIMKYPISNLEDIVPKGIKEYHEEMIITFLRTG ESKFFQETTSTFYQNDDFVNPITIINDVFIENSHINSITIANVPNEDQIALIVDANLCIV GFSRGFFDSLEISHDLCEHFHGIKIGYVIPDFTELSQNDQVQYLELKFLRGFQLQKYLDF ISRNYNSKLQSMVMNELWMDKSKLDTYISHCNIIKSKYGFYKVIFNYIKNQVKVPFRNLT IKTYAQIAVHDKDDMDEQVKVISPYDSQDYLLEKQDGFCAVKDEVLLSGRDHQTLALIDF DQEGEFQTRRKNLQTMIRPTTYQNIFQSQQDIYGEEEIESCSIEEENKKQLYFVKNIAKY GIFEKIRHNNTISKFSRLLIIVLIFNQILFLNQILISLITSLNVLEQNNVDIDLLQIKYY LFQPVESFIVTRYTIINYNAQFTAKLITKSQLDRYLVFPNSNLNLGFDDVQQNQAAILNR LTIQDFLAEYYDFYIYVKTDVGELYNITMRQSLSVLINYQYTFKAAYKYDGKTVSDSPYI FYSYRNLLTLYNAFDELNQQLFLQASLRIQLDLDKELTLFYPFIILQLIFFALEIFCLYK NEQCLSRFFLLLLYCDLEVTKQEIRRLNKFIDIYNKSEQIQPFQFKIEQKENEIEEYKNH LNVTSIKQNGKEKSIRLTIGNKYIVLLFQSIILISFGLLKYFVMKNYLNKYQPTCEFYLG MSYLGTNIPTIYAMREVLYYRWRYPFYKDEEISQISFQIEACLQQVQNFTLYFSQLKMDE FLLSHSFYQYLEDLSNSNLCDLLSQDLMTKSKDLCFSTLGGSLQKGLQGALVFVYSSINN EKQINQFLNKTENTVNELEGVFILSELIKQINVAFKDDFLSQAKLVQDSLFIISIIYLIF MITTLVIIFALINRYLYKQFYYARRYLYLIPQVVIYGDAAFERQTKLLFKISS >CAK59310 pep:novel supercontig:GCA_000165425.1:CT868001:267684:268533:-1 gene:GSPATT00029942001 transcript:CAK59310 MKNNCAKENYQKPSDYLDGTQEELKGKIKLLMNKLQLTKKEKENLTKENQNLQHEILQMQ SHLRCMVSGFSNTSIQFPMANELSNSIAEFYKCECFDIFFDVLTQELNLKGIIYFFSASM IRIDKIIQEYFTPLFKNIMEVGCFTNIDGPILNVMRKSFQGNYKQVYEKCMRNYTSISIE LQKYLKLNSNDLIEAFFSKLSEIMFNCYISDPVLTFDIQSIGQKITFNQQKHDPIDGFIK NKEECIILMPAVFKNQELMAKSLVISYSYQLENN >CAK59311 pep:novel supercontig:GCA_000165425.1:CT868001:268589:270431:1 gene:GSPATT00029943001 transcript:CAK59311 MALLKDDTAFTHVIAIDFGTGASGFGLAPRILDKEGKPRIEVFNPCDDTDDQKTPTAILF DNNGQFIEFGSQALQKYASMLDDGETAYLFQNYKMHLYHMHNQARSLDNRELSLMLIIRE TLKYISSKAIQKLKEQVGKVIPTKIRWVLTVPALWSEEHKQFMRKAAVEAGIVDHINSPN LLLCLEPEGASIQCREDAEQTLKEQMAKNSVVMVLDCGGGTVDITVHKLLCEPNEKFLCN ELIPSSGGCEWGSKYVDLYFEEFLKEFLGDKLFTSYQLNAIARLDILRDFEILKRKFKGN KDEKCMIKFSYLGEELNTNKLQLLVKEHNQKHPPEYQVKLKGLSNVEIPSALMASFFQTL FENIKNKVAQLLLQVEQKKEKVNFIFMVGGFSESPFLKQEIIKRFENNTIQILVPRRPQV SVIRGACLFGLSPRSITSRIAKKTYGINTLTTFDPERHPIKKKVIIEGEEFSEDVFDAFV RKGDAVGCDEVHTKIYCPVRSRQTIMRIIFYVTEKREVEFVDQQDVQQLGELCIDIGKPL QSVEDKTVKVTLLFGTTCIYATATNKDGTEIKNCEFKFECGQ >CAK59312 pep:novel supercontig:GCA_000165425.1:CT868001:270673:270879:1 gene:GSPATT00029944001 transcript:CAK59312 MENTSIKAKEKPVNKGHAKQQEPENVILKGRGFSLMIRVVVNSMLLDGQCMNKEEDEIQF QIRDFIIR >CAK59313 pep:novel supercontig:GCA_000165425.1:CT868001:271690:272022:1 gene:GSPATT00029945001 transcript:CAK59313 MNITDFRTVSILCNQIPEFIIEFQILGATVFIKMLCYLKVWIDLNLFQACKSLKGILYIQ YKQWCIFNYINIKNIYICSISLIQQTLHKQEH >CAK59314 pep:novel supercontig:GCA_000165425.1:CT868001:272561:273538:1 gene:GSPATT00029946001 transcript:CAK59314 MFRWRGVFYHLQYFIEQMNSQITLYKRIKLCQVTQSNSHCIQKTYKSRKRNFLNDVRQVI LQASIVNFSEIILILLSNQKEQYIFKFAFNSILKQEMDQLNIIHKRGYLIHFNQQSQKHL YHHIRKQKPHIFLIKIQTTVMKKSFQFDLFFNFFPLFINYKLFTHLQYLTFYQSGIEIIT KQYRLIYKLCMLRCKKHKVRATAKHLIERHLKISEDKLLKLIFQYSRKEEKNLKDGALD >CAK59315 pep:novel supercontig:GCA_000165425.1:CT868001:274150:274551:-1 gene:GSPATT00029947001 transcript:CAK59315 MLIMDFIYYFTKVKFNLVSLTIMEFQVLLIYFWQRQLNFYYSFPYLSVQLYQLKISSKII VNFISLLHIFTIYFNQFDTPSYLINDLGIKSQLIYLFQLVNIFSLYICKDKMHSIIESIC VFYQQKVTQGKEH >CAK59316 pep:novel supercontig:GCA_000165425.1:CT868001:275131:277388:1 gene:GSPATT00029948001 transcript:CAK59316 MSGEQINYCDIHQSEFVAIENGENEMEKRRLCLKCLANGHKRKVILIKDQIKNVQDIKSQ FNSDRQKQIKGNLQYITELIEKISQLKDFYITQIKKIDELGEIWKQKIQIMGDSSIEQVN KQEENNQEQFLCFVNQLQNIQIQELSQNQIDIENQLLLLKEPEVLQDCIQIVKKVQFDTK IINIETQEKDQKLKLNIFCEEHKNQRVILFDLGKVDTQLKRLACLQCIDKKSSQNYQTVN FVHLKWNKIITKRQENMERNLRTLDLKVNSINKDIYSIQESIKQSFEQNHQKLDINYNQY KKDVEQSTCQMKIKWQQLSKEEILKIVEEISNTEEEQIYRDPLLQKYVNQEELVNQIIKE NLLYLQACQLAQISKLNNPLLQNQIFDEITTLIQKTNSNLNNVFRTGVNSTQIQKEVQTK GQINNQNFTYKLMRESSVKEEQLCRAMAFNKDCSIVLVGCNDQIQVYEFRQERLKKNKDL KEHGGNVVALSFMKKSNQFISGSEGDGLIIIWSLNPNNEWLISEKLIEHSAGIRCLILND NEDLIVSCDLDDTIFFWVKQQQQNQWILQQTINKQSVCALSINQQQNKLILCGQDDQILI LEFQKSNKIWVAKQKVSKKGIRICFIEDNLFTIQTYQNEILEVYEMKNKSKFTKTKDVQV LRGQQEECPLFPQQYIKSKCLLVSKNCQYVNIIKIKENGEFITQQSIEFQSSKLYGCMSD DAQYLITWDNVSKEIQIRKHQEL >CAK59317 pep:novel supercontig:GCA_000165425.1:CT868001:277923:283711:-1 gene:GSPATT00029949001 transcript:CAK59317 MQKKSSWLNYINSWQLLLSIPSVKKALLSCIFPNEDLNNIEQAPHDKDLLQRLEAILLHW QRQIKDIVNNQELAIENENAGPLDEISYWRQRKNNLSHIHEQLEKPELKRIIQILSDSQY VQSFKDVTENIKLGSSQAEDNLENLKILYEPCKQLETATPKEIPELLPNLLFRVRYIWEK SRYFNTPERIQGLIHKISNEIIKRCKSSININDMLDGDVEKCIQDLNDSIECGEQWKKIY QRMSVAIEKMSSLTKGPKWDFNNSIFAQVDAFISRCKELLEICEGQLQFARKGAGSHIPQ FGGSKGQEIEDNLEQIKDSFAKHLKPIRDIRKTDKDKILDVKASKWHDVFNAFRNGVKDL DVMYTNIINNAFESMTTVQQGVELLEAFDQLAKRQSIKRQSQNYSSKKLMQQNMNSIILR NYSITLYNMAHFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXEKEKAEVETLAEEAQKDLEKAEPALRAAEQGLEQLDKQQLAEVRAYSKPPNGVDNV LQAVMIIMGKEATWASAKKEMTAPDFLQQLKKVDKDHIMNKTLVRIEKITSDPEMLPSKI DAISVASGTLWRWVLSLEMYAKAFKDIEPKRNKVKYLKEKLKKSEDEFQQLQENFQILKQ SIEKLKTDLQRAKDDMEMYTRETSVLVNKLERAEKLISGLASTKEGWAIRRKELQGKLEV LVGDALMTAAFLSYAGPFPSEYRQQFVAEQLIGQVRYLKIPYSKDWNFPDFLVKPVQFLQ WSQQGLPDDQFSKENGVLVTQGRRWPLMIDPQVQANNWIKNMERAINKNNLKELDPQNEK MMSIIETAIANGQIVILENMGEDLDPSLEPVLNKQLRTVNNKLMMYMGEKEILYNPNFRF YMTTKLANPKYKAETQTRVTLVNFTVKQKGLEEQLISVVIQIMEAQLEKSKNDLVNKKSQ NEITLRKLDDDILKMLQEIKGSLIDDENLIVTLDKSKETEEEVKKQIETSAVSMKKTFAA RENYRSLARIASKLFFVLNDFSLIDHMYQFAFNFEEHPQMTLSRHSEEIFKTACRGLFEK DKLLLAIQMAVNITSELKSQITIDLEEYNFFLRGGDPNADRKNQPHNPISDWPICDLDKL PNFTGIINAFNHNGKEWKKWYLSPTPESDALPGEWDQKCDSLKKMILLKIIRPDRVLLAA QAFVNATMGQFYTQPPATTYDSIYNDTTKNKPVIFILSPGVDPYHQLEQFAKMKDCQLLP VSLGQGQAQKAIDKLYEGSKAGLWVYLANCHLSLSFLKELEKCMETLRQSDATSEKFRLW LSSAPHPKFPISILQKCLKVTTEPPKGVKANMNRLYTNMSQSKFDPSILSQQKLTNQLHY MKLVYSLCWFHSLIIERKRFKSMGWNVIYDFNDSDWETADNILLMYVDQTQHDSKHNQQQ QQQQLGQQQQDQPVQKSPPWDAIRYLIADVIYGGRVTDKYDQRLLKVYANSFFQDKIIFE EKYKLVENSQYYYIPEEFKPKESKNDKISNHVLFYRSKVEDFPPVERAEVFGQHINAEIS SQIADTNALIDSIISLSPQSVKAGEESMETKVQKLIQETLGKVPEEIDMQEAIEKVRPGD QNPLKIVLMQEISRYNKLLNTVRNSLINLDKGLSGLVLISEDLETIMHSLFDNKVPQQWK FCYHSLKPLSSWIVDLEKRVAQLRSWIKQQPSVFWISGFSFPTGFTTALLQQSARKVNTP IDQFGWEFSFLPHGSEPQAAKDGAYIHGLFLEGAKWDEKNYIVDAEPMKLHDQMPIVLFK PVSQEGKSKSKKGQNFYLCPTYYYQVRCGVMERPSYQFDVMLPCKPNPGQASNEEDFWIK RGTALLMQLSD >CAK59318 pep:novel supercontig:GCA_000165425.1:CT868001:283884:285673:-1 gene:GSPATT00029950001 transcript:CAK59318 MLVPTTDQVRNKNIITRLLSNKNAVLAVGLTGTGKTVLLNGVLLQMFEYTTMNIVFSAQT SSQKTQEMIESKLVKRSKNKMIPDGKKMIIFIDDLNMPRKDIYGSQPPLELIRQWMDYEG WFDRTNRELFKFILDIQFVSAMGPPGGGRAEISTRIQNKFHVINFVVLSDQQVKRIYQSI LAYKFQEFEDEIKLLIEPIGQATYNLFQMVTNNFLPTPAKSHYVFNMRDISKVIQGVYQL DRLYCDNKMTVLRLWAHECLRVFHDRLISVEDRQLCKQLINDQLVSCLQTTIKECTNENE DDTVFANFMEESGGKYIEVTYNDRENLKKFLEEKLVQFNTENKSKAMNIVLFQEAVHYIC KINRIINLGKGHGMLVGEGGAGRHSLTKLATHIAEYKSWQIEVSKNYRMKEFREDIKKWC EEAGFKGVSGTFIFSDNQIANEGFIEDINNILSVGEVPNLFSQKEDYPQIKDRVRKHYRE ENKLDKDAKIQDEDLIEYFFTRIQNNFHLMILMSKTGENLRNYCRMYPGLVNNTTMIWFM PWPEQALVEVANRYLLQLKLDDELTANIANAFLEQQKGSKNQKESTM >CAK59319 pep:novel supercontig:GCA_000165425.1:CT868001:285753:290873:-1 gene:GSPATT00029951001 transcript:CAK59319 MFLKLKMFKTNMDKIDGKCNEIANKLLIKIDRKKQYDHKSFEEEQEKHRRDMYQKLKQIF DEIRKILSETYDPFLFDRIEVQTVWLKQVKSIDYKIKESYEKAVKNSLIDLQKVIGSEDG KITPVPIFKLSVELENQQQEYRPSTNYLKQMVSQTCEYMREIMKDFKSMDEVMKEERKKK LDEAILLNSKDSKNPQAQNIRRMSEISQVQQQEQETQISVRSLDNEQNIKIKNDSDGLIQ KINSKLSKTCDALNSVDAHGQWKRYDWYWQQANQKEKFIKDMINRNDPITNIKTQLETFD LHQGEIQQLETTKTQDCIILDNTSIKSTLIDIMISWQNAFLNATQDKALQDLNQLYSLFQ TSETNLDIVPQDLQQLKKSSDLWNKLNEDRPSIEAKLGPLEDKFKLLEDYSIQLKDDDIT RKNNLRNTWANFNVMLDRIQERNRKVHNNLYLETQKNLDEFIKETGDYKIVFQSNAPYQA TNMPHEKALLNLNEYSEQVKQYRKKEESMKFGFDLFKFNYVPSPDLEFMEKEIAQLSYIW HTKEEWDQFIKDIGSTAFRDVNCDNLDEIGDDYLRKLKALPREQQKWEIVNHMKQIIDQF KQTLPLIIMLREQYMRDRHWDKMRQHLGTNIEPDSKDFNMAEIFKLNLLSYGEAVKDVCE VAKEEFKIENALDKIDQRWAKLELEMDTFKKTYKIKKTEEIFTILEDHMAVLSAQKTTAF YDSFKPTIERWENCLQQISETLEMLSIVQRQWIYLEAIFATQEKESEKQLMGDINKFAAI NSQLSGHMNRIYEDKNVKRSLSYEGFYQELCTMNQKLDESQKILYQLLEKQRKDFPRFYF LSNDDLFELLGNSKDVFKVNKHIKKCFEGIKKFDILTQQYQTGRAKQDVYEVQAMVAPDG EVVKFTTKVLCDSQLEKWLGQAEKTMRDVLKKELFSTMQSIKKKEGMRWVDKWVKEHPGQ LLITASQLTWSGDCANVLNQIYNSERPEKNRGWKAIKDEKQSFILELTKLIRKPSNEVDR LKLVALITIEVHQKEIIDHLTKNCQSPHSFEWLKQLRFTGTAVNDIFECKVEQANSSFAY GYEYQGNNGRLVVTALTDRCYMTLTTAMHLKKGGAPQGPAGTGKTETVKDLGKNMAKFVL VFNCSEGLDYKSIGRMFSGLVQVGGWGCFDEFNRIEVEVLSVVAQQVSQIMNALKEYEKN KEKSSFQLDSDVIPINDQFAIFITMNPGYAGRSELPDNLKSLFRPISMMVPENEIICEIM LTSEGFKTGHALSTKMVTLYRLMIQQLSKQDHYDFGLRAIKSVLTCAGQIRRDKSNEIQK VKQDENARENQKESEMDEANQETQILMRAIRDMNIPKFVSEDVPLFNALFNDLFPNVDLQ EQINETLFNEIETQMRNLKLQTRVEHINKIIQLYDSKNTRHGNMLVGQSLAGKTTCWKVL KNCLNSLNEREPNKYPKVKIEVLNPKAVTINELFGYVNSTMEWNDGVLSSMMARLCKDET PDQKWMILDGPVDTLWIESMNTVLDDNKVLTLLNGDRISLPPQMGLIFEVENLAVASPAT VSRAGMVYLDINDLGWRPYIESWVEKLTDPLVQETIFEFIERWIPKLFKQRKWCKEIIPC SETNVIISFCNLMDCFFKSEKQLSMDIQNKSDVYWTLLEKWFTFGLVWSIGATVDEDGRR IIDQQMRDIDLIFPSQNTVYDFFVKQ >CAK59320 pep:novel supercontig:GCA_000165425.1:CT868001:290911:293569:-1 gene:GSPATT00029952001 transcript:CAK59320 MLQNDGEPESPMQPLPDQTSPQEITKIELPDQSSPRQPESPKMDRGSPDHTSQISEDQEE AVPEEEWQKTKEEWLAQGKTEDPPRFRIHRQAARRKSLLKLASAGANDYGAIYVRKQVLE HLDSYEVEQWQWKNDPHDILSINFMCKHGQANLFAWMTEQGIQMSETDPPRLEPDAFDPD FVYFLKLPHPQDVIISEENVAEYVSDGVININYLKTLLKQMNAQFIPQFIQDSSWPENVK KEFLAQLHKFMATLTEYSFSQEGITELYIPNEDLNNIEQASHDKDLLQRLEAILLHWQRQ IKDIVNNQELAIENENAGPLDEISYWRQRKNNLSHIHEQLEKPELKRIIQILSDSQYVQS FKDVTENIKLGSSQAEDNLENLKILYEPCKQLETATPKEIPELLPNLLFRVRYIWEKSRY FNTPERIQGLIHKISNEIIKRCKSSININDMLDGDVEKCIQDLNDSIECGEQWKKIYQRM SVAIEKMSSLTKGPKWDFNNSIFAQVDAFISRCKELLEICEGQLQFARKGAGSHIPQFGG SKGQEIEDNLEQIKDSFAKHLKPIRDIRKTDKDKILDVKASKWHDVFNAFRNGVKDLDVM YTNIINNAFESMTTVQQGVELLEAFDQLAKRQSIKRVVQNKAIVVLELFIQEIDATKHEF DNIKKLQYYPLQHGSFSGQAIWVNSLSHRIMRMRYWIDQMYFIDDSIKRTAIEKFEQLSS NLKQFIIESRLKEWKEDCKDLEDIVLTTRLDKQVLLRTDEKHPDFQYKKESLRPKIGHLE SNFDRQLLKLLHETSAWQKLIAVGVVIPSYANDFYLESQGELESAQRVGDVSCQRIQQHY LDYMTETEKKLFAQHLETVNKGNITIGIPIEMECKDNH >CAK59321 pep:novel supercontig:GCA_000165425.1:CT868001:293792:294106:1 gene:GSPATT00029953001 transcript:CAK59321 MYQIGITNFHYYGKINQLLLHFIETYQISIIHTFNNKLYKCMNYFQSASQATRSTLTQIS QQDINPLLKFIILNQQIFQIMKNLYNEIFIFSVDKSNSLKILNL >CAK59322 pep:novel supercontig:GCA_000165425.1:CT868001:294242:295262:1 gene:GSPATT00029954001 transcript:CAK59322 MFSLTFKSIIKLNVRLQGFIFNQVCPYRDKKREGQPGGILIGIHKQFHFRDITNFFIDGE TNDQYASGLLIIHIVNQIVQLIYSKIRRIMKFLICIQKVWKPKFLKGLMIMKILFDFLNC LEFYLQEKLDQEGSISNIQVDQDFLIQQIKQIYLEDGSEIDYVEESKEQFKVPLYKQRRM ENQIGSSFYYNLDFIQLFFRQSCFFLLESSNLIVSSRKRYKSSKPFKKLKIDWQSQHDRI AGKMQEMLSRIDELQEQISHEANLNKRDIYLKELDETTQQLDQQIENISEMGQQLQIDN >CAK80223 pep:novel supercontig:GCA_000165425.1:CT868359:1070:1579:-1 gene:GSPATT00039380001 transcript:CAK80223 MMQHQSLRFLKFNQFKSIISDQYKLNERIKVAYIDSLNFFHNFIIFYQPHQFKSFTKQFI IDKNHILFFLTSNQLIDINHYKLR >CAK80224 pep:novel supercontig:GCA_000165425.1:CT868359:1984:4412:-1 gene:GSPATT00039381001 transcript:CAK80224 LLGADLMACDLSGSEFENVIISGMNLNQAKLLNCRWRNLDINEGIKLNGHVDRVNSVCFS PNGNLLASGSDDNSICLRDVKTGKIKCLVQLEKKVKSINFSPKTKGVTLVSCSDQIVHIW NLITGKQISKIIVNFQVVNTVIFSPDDTTLATGSEDKSISLWDVKTRQQKAKLGGHSNRI TSVCFSPDGTTLASGSSDNSIRLWDVKTEKQKAQLDGHKSQVTSVSFSPDGTLLASGSYD YSIRIWDVQTEQQKVQLYGHTGYVQTVCFSPDGKTLASGSCDTTIRLWDVKQGQQKGKLD GHSNYVTSVCFSLTVLYYHLVVMINLSVYGILYLDNKKGNLMGIITQFLHSVFLLMSICL WDVKTSQLKIKLYGHTYSVMSICFSLDGTTLATGSVDKSIRLWDVKTGKSQAKLVGHTST VYSVYFSPNGTSLASGSQDYTICLWDVKTGQQKAKLYGHKSCVQSVCFSPDGTILAFGSY DNSIRLWNVKTGLYKAKLYGHSSCVNSVYFSPDGTTIASGSDDKSVRLWDIKTLQQKAKL DGHSYSVKSVCISPNGTTLASGSGDNSIRLWDVKTGQQKGKLDGHSSIVTSVCFSPDGIT LASGSADKSINLWDVQTEQQKVKLDGHSNSVKSVCISPNGTTLASVSHDNSIRLWDIKTL QQKAKLVDQSNCDSLKTISTDGATLRSCSEDYSIRLSDVKKAKEILPQDNLQKDLLSQFQ MQLQSYSLLQYSNFDRTILRICQNPILEVKGALILKGEFVNYQRIDLLQLFQSKGSFDLG RQNAQQKQYH >CAK86545 pep:novel supercontig:GCA_000165425.1:CT868582:329:1317:1 gene:GSPATT00039771001 transcript:CAK86545 MQMWKIGTEETFKYYRANKSIIHLKFDKKLDTMMSSQKVQTLKSVSSSKINPDCQTAESV YEDKRQHSLTQHGAYSEDQDYRFFISKNNYYDTHQNQNQRSIKKPILQDFSGKQDSDTID DIFKLSLTDQSMSQQQFCSNKDFYESIKQKQQYGINNNNTQIYYNTQQSTKNFEAYQEVC LKTSLCQLDKRIQEIQQQEIQKSYQNENKTFDQYYQEYVTDEISNIQHEIQEMQKFLKRI QTAKDKLTSSVQDLSYQNSQRSLQKLNQDLDIMQTLRNNMQNQLQNNVDGDKLLRLRLMK QQIQECFNDIEEEIIKLSK >CAK86546 pep:novel supercontig:GCA_000165425.1:CT868582:1358:2149:1 gene:GSPATT00039772001 transcript:CAK86546 MKIVLITLLLGLTFSQNQALNEISENPIPTLVYETKNEESQQIQQHQQLQGYIDIDGHFV SNQADNTQNTKSEPAVQTNQEETQNSQVTISDDSNNLQATTQEGAQQLVSTDAEIDPMFD MENSMPYEFPEDTQVQEESKDQDGDNHYLSASLELPIRNENQVYDSLGNQIDSYDGMRTY LALDIAEQDDNQANISVQEKQEDCIVIYSKCDFQGESLPICESLKEVEQNQAKILGISNM ISSPSIYL >CAK86301 pep:novel supercontig:GCA_000165425.1:CT868570:112:1608:-1 gene:GSPATT00039757001 transcript:CAK86301 MAQQGGEWLVSRITSGYCAQFCVLCLVPFKCETCQSGYYLYKDGSCTSNCNFPYQKLSGS QCQNYDDETPYSQYLVEENENSAGDPEQYALYTLVSQSGSNFLKGSDIYFSYWFGNRIFG GPFVWAQAKFQRVHNIISPHHSVTIGFYIVYGPLFPLDGNFIYTIESNEPVSKSTASASA GSVKYEKIYEKINHSTNTLTITWECFGQNNEPVKAYCGFYRYYIAVHYCQPYCLQCSNQT TCTSWNSTYDSNIVKFSQAECLIVQLVHHLVQLVDLNQIVKHVNQLIPNLNQDVFVQQIN IKIQINVQIVQLNVINVQVLLTVQNAQLLIEDNYPMGNVFVLTDIILLFQQVNVSHVINF AKLVLDQLLMNVQLAMILLILNKQDQHVDVQQGCLINIQQKVVPLVIHHAQHALEPQLMA VQHVILLQIEYQKGQNVFVHLVIMNQVMFVQIVQLQKIHLLANVINCVIIIN >CAK86302 pep:novel supercontig:GCA_000165425.1:CT868570:1630:2190:-1 gene:GSPATT00039758001 transcript:CAK86302 VGCRDSCDLDSQLYDDGFCQSFPSIIFNQNYLGYQIEIATVQIPISSDENLKWLMIYDPK HLDKTPTIITPYSITYGVFKFKSGIYRYFKQLPSYVFGTYLIGLRITIELFNDLPINCGI QFKINNHYHGSIYRNISGIQTHNIRVSASYIHGSYQQYSQTTQLELISFIDIPKSQFVFS AVGDYE >CAK86792 pep:novel supercontig:GCA_000165425.1:CT868592:1:1485:-1 gene:GSPATT00039780001 transcript:CAK86792 MNIKSIKCQLGEYYTQQGCQKCIASQGYYSVTQNDIKCSIFDKSKFKEITQNKMNLLQGF WRPDHLSDYTSYCLKNKDFCIGGWSYGNNLCHIGHIGALCEECHIQNIMGGGKYFKSQHN LEYQICQEQANNIASFVFTLLWAICSIMLTLKSIEKSNLMFSQLKSTERFNNILFKLNQD HQSILIKMLLNYLWIFSLSFTFNLQFSISLFFIDSASNTSYFMANNLDCYLANIQNVDLI YSKILTMFIFIFMQFLFVITGFMIYQTLINQKYNSSIISNTLLSLYIFNYAGLIKMLCSI ISNRQVSNVNYIQEDVSLLYGNQTHLIWMFYFVIPILILFGCLAPFSLFLIMYSKRKYLD QIKLIFFFCYLFNEYNDSSYFWQQIKLDQKLIMILISTYFETEISMKASVFGISLLCYQL LTVKQKPYLASRVNNLDLQTGQIWALTILLAAVHYISEQNKNGVVSIILQTAI >CAK86793 pep:novel supercontig:GCA_000165425.1:CT868592:1582:2121:-1 gene:GSPATT00039781001 transcript:CAK86793 SNQSIILNNQAQEGGCIYFNGEYNLEDTNFIQTQLLDNNAQVFANNLIETPTHLALIINQ KELRSIHLESNASSKQLLIIDPYLIIEQEKQYYTNHLMLPNGQEFKNYQIVLPKTSKTLQ YIYEIVLSFKNSRNEQLFNLINSSCSLNSFIQYKNGSIQEFKRIASIDFNFRGTILIWV >CAK77685 pep:novel supercontig:GCA_000165425.1:CT868281:125:3631:-1 gene:GSPATT00039238001 transcript:CAK77685 MNLLFSTNQTVIYQFDAKDNNIDNWTKQNGNFYFSSCGGIPYFYSSGNNINRLFLNLEPH SHIKVDAEIIIFENGQNSFFLDFIQENYQIEKSSNQNLICFSSSTQYVNSISISLSHKRK SFWIRISQFNVGLIKLSLSITCEYHCAECFVQYQGQCLSWKLHQHSLISKPSQQILMDGL ITYMFIRVGIAGTANFNNFIELIMLQYSLQITIYQQGFITFLVISMQTIIMVKNLSRVSM EQQKYQQKIILMIYSNWRLDLLEVKVAQEILKYITVSQHQQQQFRMLFQAVQIKLMINVC FAKKVGFKMTHYRIVIQYVGMVSFKDQNNVMMQIKFSCPFFCQQCEFGKCLKCQSNYELI DNGCLEINNIYALEPLPELQYSISNLLDQGSYYQLLLQYQFADQQTQPIHISNQPLQTDD IFGYQFKRKTIENCLLSLFDQCLECQTFYKPSQNKNKCIPKCDDGIVIENEICDDLNNIQ FDGCYKCQLSCQLECNECIQQQCYSCIDGWQLIDQKCYQICGDGLLAISSLEQCDDGNYQ PYDGCYNCKFECNSYCFQCDSSNNCLLCVENFELIEQNQCKPICGDGIIIQALEECEDKN DIQFDGCYQCHFQCNQNCIKCVQGICQECTDGYDLIINECQKVLIDDSNESDDINIIKIQ KCGDAYKTTFEQCDDGNRDNGDGCSNKCMIEENWNCNQDQPNSCLLQTKYSLKYENQTFE HQFVSLKFSNEVKLVSNINFTQSIQPKIINLNQNQYQITTNPVVDVNATYFTMALFEFEI VIFEQMSQPNFSISFHSSLIDNNNMPVDLHSQSLLLKTPKIINQNQINIANKFQNLGNIL IIGLGAISILMLLFKQPLQCFEIFDLLQFQSYLKFVNISYPQNLQIYFQSSEIVTVSPIL FSLKVTDLFNQLITSNFIPSIGKFQEYQTNADLLFNIQSQIFQIVFISLLYLFLYFYPRI SHNYLFTFRTVYFTRKKNLQWLNQLVIKLYLINKNILNLRNIYSMKGFIQLYYANCWDLL FKVFLFMISNTETGYRSKISFGGDLNIKGTNENLKVSKLRFQQFESITLLKKLLFISILT AQQSSGIIQCVMLTLLSLGYIGLLLTIKQNIERNNLDGSSSHVLYINKFNLLF >CAK77686 pep:novel supercontig:GCA_000165425.1:CT868281:5338:5520:1 gene:GSPATT00039239001 transcript:CAK77686 MNTGYQINQKITNELKQKEQAPQQYMEGEEISIDQIKEVQWSATAKLPQEEVEKKKNKVR >CAK77687 pep:novel supercontig:GCA_000165425.1:CT868281:5664:6179:1 gene:GSPATT00039240001 transcript:CAK77687 MVNVLNHNNDCIICISQYMDKIVKYTSYSSFIYLIQLIFRLLKGISNLIKIAVFQINCLI LIIDQSLSKYLHSQINTRIQYFVLQVKLKLKMFLPLFLNYSYKIIIMQSLENYQFELIKF KIFAYYQFNHLLRILLADLNPYYFILNTLIIKRKGQFINQIHGFKQIEQIT >CAK77688 pep:novel supercontig:GCA_000165425.1:CT868281:6318:6492:1 gene:GSPATT00039241001 transcript:CAK77688 MNSKGKRALVPIQKQSKPSFDYESALKEHREVEERIKMETDTQDPRQQE >CAK70302 pep:novel supercontig:GCA_000165425.1:CT868078:2797:5053:1 gene:GSPATT00038404001 transcript:CAK70302 MDQQNTISRCLDHNEFITFLARSKFDQKIVKLCHKCVVEKKKDLVLIDDAKKYLQETKLG ILEERESLNKQNVGILNDLKQNLENLSLNFNLQIDKIKENIGSGVKLIETFSKQFQKVLT EISFDQVENVDLDKSSIIGQQLNWQSIQMDNCLSSLNVGEQSEALNQLFNIGSFIDQQTQ IKADWICDKHEQGIVFVDLSEQKSIQNRLACLECIPEYVIQYTNLGQLQKMWQKHTEQAL TFFNVYQSNTSSKQSQVILQLKEFREVILKLFEQLIESIQIKVQIENNDLQYHHKLLQKT WQSLRKEDLINIVDLLSSQNRLALVNRMIEQEFQSKDQAIDSIICSQMQQLQNLINSTFP QLITSTVKSFISNQNLNQNKIDVETQIQQSLSLQSQIITLHLQLHKEIILPQISKPFSFE VVSQISESTTCQAFALNNDSSILIAGQDSGSIKVFEFNFGQLREIQLLKEHSSNIRRLYF MQKASSPLSAGDDNQIILWKVNNQKQWQSHKKLEGHTGTVSCLIMNNQEDLIISGSNDKT INFWVQENQQWKCNQTISDHTNYVRCLSLNVLENMLISCGKDNLLLIILQDNNKRWSTIQ KISTERCGYSLCFITDQMFTFQQETINIMHIYQLNTTSQQFIKMEQEVSIKSDQNCSAFF PQKFVKEKQILLNKNGKNVNLIRLHRNGQFKTEQSIQNSICCGLFGTISNDGSYLVTFES DNKVIKVRKYREN >CAK70303 pep:novel supercontig:GCA_000165425.1:CT868078:9049:9513:1 gene:GSPATT00038405001 transcript:CAK70303 MFLWEAQNRQNLDRIIKYKHHGLELVSLLIIYLLANSIKNAFDFYIFNSESGEYLLYKQL QVKGGQSSFDHHFSSIYLKQQLLLLVKIRKYLNLQDSQIHFDLLNIWNVFLNNQLILIQD KQSEHLLMMDNAQLLLMITQMKQEKESPKREYEK >CAK70304 pep:novel supercontig:GCA_000165425.1:CT868078:11209:12975:1 gene:GSPATT00038406001 transcript:CAK70304 MEMKKIQLHEPQIIQNGTGYYQKNYGCYKNSNIFVCYDLTKRTLIPLSLAKYSAKILPGL CIVQLELRYSTDKQNEPIDLEYVFSINKNAAVTKMIVKQGQTKVYGIVKEKEEAKEQYEQ GLKQGKTTAYSEQDKNFPQIKRVKVGQLAPNKQLMITFEYIQPLEVFLNKFWKIELFPVI DYNFVYGNNKQMIGIHDEQIYGYLNRLFRIQDFEFTFKQEIQVEIEIGSPITFWKSPTHE LVSINAQDSVKGNNNHLMLQLEDNHKNYDPIKSFTLLFSSDEINLPRAVLSHTNNDALQY QKYCATLTFIPKFNVVSLDDAYSQYLDGLIIADNQKIIRGNYLFIIDRSGSMSGSRISKA KEALILFLKSLPQDSEFNIISFGSNFYSLWNESKMYSQNSLEQAINHVQSMDANLGGTRI IVPLKEMVYNKYYGASNKTTLNVFLLTDGEDFADPIIDLVQKNNRAQTRIYTLGIGEGCS QYLIRRVAEVGNGKHQIVSDKEDISEKIIDLLEDSLTPYLEAFTLESNITNIVSIIPNPD SIVSLKKNQELTIQVLFSKEETPEILEFRINCYDPQIKNKFNILQSQT >CAK70305 pep:novel supercontig:GCA_000165425.1:CT868078:13264:13745:1 gene:GSPATT00038407001 transcript:CAK70305 MLVIKKRTEKPQAASCCGEIFPSNRQPSFKSSPSPQKYDPQTQPLLQQGKQKDKSRKKID NNQLTFENLINFVQADGCFRISKEIKSKINFKNLSNHQKLKDDVWFTFLVLLYLENNFSQ SKKSWQLVYQKGIQYLQQNGMDYKAKKNEYKL >CAK70306 pep:novel supercontig:GCA_000165425.1:CT868078:13932:14243:1 gene:GSPATT00038408001 transcript:CAK70306 MRLRWYLSKAKNLIFKTQRNIVTMLPLQISLKLNINLQLAQLIIVSQFDRQLFYQIQVLN KIKEPFISNYMLSDILEFRISLYQWLQGQVNKILESEHLNYIL >CAK70307 pep:novel supercontig:GCA_000165425.1:CT868078:15641:16708:-1 gene:GSPATT00038409001 transcript:CAK70307 MLQHPKQSTHKFEILPETTTKLNYLSQVNAINLKKTLIIIGAGRKIQVFEIPYVNGEAGQ LKLIQKLKEHSNEVLALQFFNLPQQNDSFISGAAMVDNSIIIWSQVQNPNSPIQYSMQYR LKGHCDEVANLLIHPIREDIIISGSQDTTVKFWSKDLQWSCQQTMTEFKTAINGMAINNG GDSFVSCSKDKLIIVMRPDQQFQWSVKQRIKFPQEVIRLCFITNDLLVVLPHMGTQLHFF TQNEHQHFTKSLDHLNLAPPGEKCFALFPPCYSPITETLIIKNGNKVNVVKFTFLSSKEG VQIRESEGGWTCKLEQVIEYKDYFLFGSMSKDGEYLVSYLFDQLSIQIRKFTMQE >CAK70308 pep:novel supercontig:GCA_000165425.1:CT868078:17431:18714:-1 gene:GSPATT00038410001 transcript:CAK70308 MQQCFYQFKSKILAQYSILESNLVNLNSKQISKTLSNFVKFNEEKKQKLADQFIRSTEQF IKILNDCSDEFVNQVDQFEDSEDEVLNNSNPFSYELMKENKRKSIGCCSFAFNNDSSIIV AGLDNGTIQIFELINELMKEKQIIKNHTEAVYCLQFMPKTNQFVSGSADASLIIWSMNDQ QEWFKSSKLLGHTGIMMCLIIDNSENMIFSGNSDRSIKIWDKQQNYQCIQTFDEITGEVQ SLSLNSRQDMLISSVEYSDQIYVYEKYHNQWRFYKIISVKPWGYRLCFIDEIRFAFQPFA SQFMEIYELKRDAKVFTQIKQVSVLPSSQCDALFPQQFIKQKSILITKNGQFVNLFRIMP NNTIQSQVPIKFCDVQIYGALDKNGEYLITWDATSQQIQLRKYKEEQISN >CAK70309 pep:novel supercontig:GCA_000165425.1:CT868078:20255:21073:1 gene:GSPATT00038411001 transcript:CAK70309 MKMSLKQQNLGIHDPNELKLSDQEYIPFYLRKSIVSILRSGNAFLNESLRVTQGASIGQI LIQGNEKTSMPMYSFEKLPENINEQQIILVDSILEIGASASMALRILQKYGVKEENIIFL TLVSCEQGLNKVFKEFPNIKIITAQFNPKLINDVSYCASGIEDFGDRYFGTVKKLTCAER FDMPYLYMQHINYYYEINIFLTILKAYKFYSSLRIKLRQGEFKLYYNLTKQAIILYILPK MFIQQSKHQPKQKTQIYDKQEIEISKDLQFFD >CAK70310 pep:novel supercontig:GCA_000165425.1:CT868078:21277:22725:-1 gene:GSPATT00038412001 transcript:CAK70310 MNQNCNIPDHETDVEFLCTNEQCKDFRVFCFKCLQNEKHNTHIKDVQKIKDFGRQQINKS DEDLIFDLEKMIDHIYQLFFTLKEELKFGCQISEEELNGLNLIQLNDLLCSKIRFRKEKQ SLISTISKSAENMIETIYTGLNEFSQQQFDRPGKKVKQEVKSLKYDLIDSIQDEQINSFA FNQDGSMMVGGYESSKIQVFEFSKGQLKMIQQLNCHKKSIYCQYFMKQSKEFLSGSCDKT ISICYLNGDSKWDIKQRLEGHQGGINCLLMNKNEDLLISSSDDMLIKFWAKDKDQWECAQ TIGGHSSFVSCISLNESENQLVSCSKDSQILIHQYDQEQKMWIVYQTLRIKQWGRRLCFI NDEILAFQPEKREQLHIYQLDNIDKQFVKTKEILVGVGSERKKNRGCFWFFPQQYVQSKQ VVLNKNGCCVNLIKKDQNDEFTTIQSIDFQTKVIFGRMTDDGEYLITWDDRSGSIQIRQY VD >CAK70311 pep:novel supercontig:GCA_000165425.1:CT868078:23550:24993:-1 gene:GSPATT00038413001 transcript:CAK70311 MIIFDSQMKQNENIEDESEDKQLYVPLFPMRKKPSIGSSQISSQSTSQSTQMLTQYRSMQ DSNEKRELEATIIKELMKDKRSNQFYAVRENWISQYKQYLYQNGERPVNEAVWIFFKQEY KAQPDIICKQKHSRSNDKRSKTVEPQINRQSVSTTQSNYELPLIGMKNDSYFCYMHSGLQ SLLSIADLNYFIIKYIQRIPKEKKRANIVQYTSAYFDLIDQIKASTIPVKINALKTLIIN QFHPKNQHDCHEFLLYLLGHIEDEILNFNKDTKSNYINFIDQIFKGKLQTGILCQLCNYK VKQIEPFLTLSLAISQSKTLEQCLEEFLKEETLRDYKCTKCQSSCIKSLQIISIPQILVL HLKRFQFLPQCHKNNKTITYPMDTLKFGNQTYRLRAVIVHTGNLQSGHYFTFAKRYHQWF LFNDETVKAASKKQVLMQSAYILFYQINENP >CAK70312 pep:novel supercontig:GCA_000165425.1:CT868078:25045:27863:-1 gene:GSPATT00038414001 transcript:CAK70312 MRVGGNGALDTSVTKTKKKETYNSQIDHSYKPKQQMTQANIQKRKDLAAKKIFRFLYRIY KQKEKQHRYRKFIIEELINTERNYVHDLRILIVIQSQVKQWLNKQQIEIIFNNLQQLYDL NNPFLQDLEGFLPYKRFKLLGPIIKGLAPFFKVYFTFYEGFNKSMATLKQCISQKEDLRK FLKNMTEQKEYHHQDIESYLIKPVQRIPKYNLLLEDLIKHTEKAHPDYQNLCDCLDLFKK INDDNNKNMEQFLSSKLFDLEKWFGSKLNQKLVNSKRKYITELLTTILDQNNRVINVTAF ILSDLIIIAERQLHQYQYVQSVFLDEQSGCLDVENQQHLQNIYILQGMNGQSITFIEQTP NDKIEKMAKLLKIIQECKEKSKYTFQRLASRQGSEIKVQLNKIKVTALGLDERQENLSSY KVYIIEVGIDEVFQKLFLRFSQCIKIQDYIKKKYPTIQLHFLKQSTTLSLLNDQKEIESR MIAIPLFVSSLLMSELARQDPQILKDLGLPENFYELPSQADKFRNQRNGTQKGPDRFSYV SPTRTLIKTVDYGNDQHSIQNNQCNRRLLSASSMISRNITLVEEKKKKKEKDVIQILVNH AIPKEKPWEFNIQNNTKVLHVLDQIQKIMELQQIYDFKLYIYDNKSRVKILQNDENICDL FGDSFIFAKKKKELWFKKFLYLDLEYEKTLLYSDATRMKLLYYQLVKDIQISTFPFPTQN QYIKLAAIHLYLQNCNLSYDIIQQIVPSAILQLKQREYWNCVVTQEFKEFKASIDNTQST MVEISEPEEIAKVKSKGIFSSFTQSVQTQFSQIENINIDLTKAMIQFISECLRNDFCIQQ LFQVVCKEALSQFGEQNLVLGVSYHGIRLYSSSKDRIWEKIEQPQSFNVFPGSIEIGFKG RKLKFETNQGFQIQSLFDEYQALKSSNQE >CAK70313 pep:novel supercontig:GCA_000165425.1:CT868078:29207:30145:1 gene:GSPATT00038415001 transcript:CAK70313 MQDNYEKLRSQFKLIQPVNLPTRPYQITANFDGSTILVFTVDSNLHQFQRNKNKWDLILS QKWHDQMITVQINQKGTNLAYVSRGLDYFWIAYLTFHKKKWILKNKFKLKQDPDPYITNH DFRISKNLQLCTYRFQWNRNYQRINAFQITSHFKRIQRQKFKGSEITFSNDSNFFVVQSL EKLELKVFYKFSFNFVLFQTQVVINSYIIESNTSILIHTTELVLWNFQTNKKIKIQTPYN MFQEMQSHQMIIIGNLLLVQFNDEIIVFQLLQQKLKYCTTCNQGVNDSKLISCDRQIMVE NTNIQKIEISQI >CAK70314 pep:novel supercontig:GCA_000165425.1:CT868078:31328:32011:1 gene:GSPATT00038416001 transcript:CAK70314 MESFLEKKSPVLIKGWQKRYFVCCENILLYFKSKVDLPPYQPKGVIMKQNIVQVDYLDPN KNEFVIHVGLRKFQLRAENKEIKEKWLDILKVPFNQTSKTKSELSSMNKVKWQEIPKQSI IQLYLKREEILQNLIQQQTQDTQNSLIKSSYIQQTIEAMGEKVTISVIQEQRIYFEVASR KKINQESFDQKEEMVAAFYFHQY >CAK70315 pep:novel supercontig:GCA_000165425.1:CT868078:32215:32881:1 gene:GSPATT00038417001 transcript:CAK70315 MCNGRVFDLVFPYLSDAKKLHDYIVKCQQINNSMPVQVSRTNQIPVYKMQDSRRRIINLK GKTVLENQVEKKVNASQWKNVILTLKEHALFWEFVDAEEYSNIELKSISAIEQCNRIFTI IVNEENTVLQQFRVSTIQEADEWTGQLSYMTDIEITVKVLEKQQTLQNYQQNALTNQSQT QQLTKQQTQQQQEQKKPSFFQQLFCWGGERKEL >CAK70316 pep:novel supercontig:GCA_000165425.1:CT868078:33104:33548:-1 gene:GSPATT00038418001 transcript:CAK70316 MNAKQISASLAAVLHIQYFQQLYMLTNQLRAVIYWNSLHPYQLFGFEMTTYDPYFITPLL FGIVNFGLLKTSQLKSLQTIQNDQNQFKLINLCFMSSLAVVGLPIDILMIYSIMGCCQTL IQYQQQKQIQKLLRDSQMLI >CAK70317 pep:novel supercontig:GCA_000165425.1:CT868078:34297:34659:-1 gene:GSPATT00038419001 transcript:CAK70317 MCEDYDFDKDDKWNQYIQSADKSMYYNQYNKIKGEIGIFKIDLVQRKCEQNVLNRQQQNN EHQRGIYYIYSTENMFKMSFMLLLFMQGVAQIFGYLFIFLALQRQQDIHKYNRKGITLNL >CAK70318 pep:novel supercontig:GCA_000165425.1:CT868078:34676:35089:1 gene:GSPATT00038420001 transcript:CAK70318 MNKNQILNKKYIINSSGQLKYYKKKSKRQSHKTEDYSDQITQPLPPILQAARINTQLENI NLKYRTKPHSYYTTNTPSNKNTSLPLLKILPNDNEEQIKQKINSCFLSSNQSKVIIKNDF LKQNMIGYSKVSTIMII >CAK70319 pep:novel supercontig:GCA_000165425.1:CT868078:35266:35814:1 gene:GSPATT00038421001 transcript:CAK70319 MRQSNYKENRLQSSQRKMSDYIKSTLGSNSQIHEPLSNKKNQLNELEHQLQQIVKIKSSF QQFCGVKENKEIRQSKENREVLYQYQQQQNVDRQAQLVQTGNFGHPESQLSHRISTQRTL PAFEIHEDIPTIEDLRKLQNQILDLNSFTVEQMDELKKLSSIILFRMRNIRKQ >CAK70320 pep:novel supercontig:GCA_000165425.1:CT868078:35842:36333:1 gene:GSPATT00038422001 transcript:CAK70320 MDKKYSELLEKKGILENELKNLEKTIFDEETKYLEETGHLGNVIKGWEGYLSMKNSKLGG NLQRKGKINPNDRIFSQSSKTSPFVQEVSQPVQTAGSQKQVLNEGSGEEKKEYHFRRTKK IINMLDFKRGGFHSPMTSSDEYHEKKGKVQKRI >CAK70321 pep:novel supercontig:GCA_000165425.1:CT868078:36349:44515:1 gene:GSPATT00038423001 transcript:CAK70321 MIYIYYNRYLSEMNRSNGIYIVHIFLQPQPLVWVQVKQSGQIPAPRSGHTFVTVGKTHIL FGGLDSEKKPDAEKKNTKIAPNNQVYSLRVAPNVCEWKLVQCSGDPPLPRTNHAACAITP EKMLIFGGFYTSNLRFNDTFILRTTNFQWSQPPNQKIGAPEPRGNHSATFHKNKVYVFGG HGGVGYATKSFNDLYVLDCESFEWSQLEPSGTPPDPRGGHNSQIMGQNDLLMIFGGWNQI SQFQNVIIYDINNNSWVDPEISHEIPKWNMAGIMVPSIPSWKYFIFGGQVGNFEEGGNRT ASRLVDDTFVLDVDAKKWSPVQLEEEKPVKPKTRESTTLIYDPSDSRIMMFGGWSNAWMN DIYALNVSSIVGPPYAIYSIKPCLGPLTGKTKVSITGDGFKDSQNIIVRFFSGKASEDVQ AVYVSPTELTCETPSYEKHGARKSEVKVSIDRLDFTIMSQFYSYFLNSKAEKSLMFGPGV LRENAIKTDTMFYIQTRNLNNQNRESGADEFQIEITRPDVLSELEEERQREAIRLQQLEQ MDPEERERIEQESHKKRTVKRKKVKQQQEGEEGENQESEYEEVEEEVPQEKSRLQLLEEK ANIKYSIHDNDDGSYIVKYQIEEPCEVIVNVKLKNERGEFNEIRGAPKKAQFLDGVGIKN NQYTGQQLVNYVTNKNEEINKLIDTSRDNINIKDKNIQEDVNSLLEVMENLKKISDEKEN ILLLLDENEQILRTLEKHDMKKETEIKKVNKMQEEWKNLLKISQTVEKDISGPVKQEADK TKEKIKKFEEQLKEYLQGLKKETFYQYKTGIKDSQERFTEVQAQIDKFTKTLQNYEYYSK MFNFPDEVVGCQKNLDAIKQEVAAVQLLWEHIKKCEQKFSDYKRYKWATIDPNDMEDEVK KLRKFLIDMKGIDKRSNVFTGINEDLRKWGTFIPLLTELKDPAMNTTDSRHWKEVKVVVN QDFAIGDDMELDVIWNLKLFDFREKIEDISEQAKQELKMEKGIIKVDTFWKDVQFELLKH KDTDIRTLKMLDEHFETLEEHQLQVNNMLLSKKMLKIGNEDLGAIYDVIQLLSEVQKTWS FLENLFIQSEEVKKELPKESEQFVGIDKNMREIMESGCQIKIILKFCTQPNMLKSLEKIQ ADLKVCEKALNEFLDFKEEHSQDSISLVSMIYWIFFQMIFQAIDKLELQENDNERPFAKK MITCVGQEEVSLVKPLQLLNKVETYLQAMIDSMIDTLRELAKKSFGCYDSKTCQLQMDRK TWIDQDPAQIALLVNNIMWSVQVEEAFGKIANGDMNALKDYYKRSVEALTELIRFVRGDL TKSLRQKLMCLITMDAHSRDTIGKLIDEHVRKPDEFQWQSQLKFYWVNNDALIRIADASF NYSYEYLGNGPRLVITPLTDRIYVTATQALHLKMGCAPAGPAGTGKTETTKDLANALAKA CYVFNCSSEMNYESMGNIYKGLASSGCWGCFDEFNRLLPEVLSVCSVQFKAVTDAIKQQK KTFLFPGGGEISLDPTCGVFITMNPGYLGRAELPEGLKALFRPITVVVPDLELICENMLM AEGFEEAKTLAHKFVTLYMLCRDLLSKQLHYDWGLRAIKSVLVVAGGFKRAEANIAEQAL LMRALRDFNIPKIAFQDLDVFSGLLSDLFPNINIPRKRDMAFEGIIEQVTAENRLDPDPD YILKIVQASELLEIRHCIFVMGPPGAGKSTTWKMLAKAQDKAGKKTTVVDLDPKVVSTRD LYGYNLPTKEWKDGLVSKVLRSLSEIQDVNPKWILLDGDLDANWIESMNSVMDDNKILTL ANNERIPLKPHMRMLFEIRDLRFATPATVSRAGILYISDDKGYQWRAYVKSWVKNNFNDD KFKQDLQKLFDRYIEGTLLFLKKHCKTLIPVNPISMIISLCKALLPLLQGEVKNMEYHFV YCCVWAIGGVLSEKDSIDYRKDFSNWWKGEWKTSVKFPSKGTVFDYFVEQNSENVKFDEW AKRLSNIDFDPQSMVMGNITVPTKETLATSELVKQFIYVQQPVLMIGQSGCGKTQLAKGI LRDIVKAQPDNFTYQLINFNYYTDSTYLQAQLEQQLEKKAGRQFGPQGKGKLIYFIDDLN MPQLDPYDTQTAIALLRQHADYGHWYDLSKLSLKDIINTQTIAAMNPSAGSFFVNPRYQR HFWTVSIPIPDNESLFLIYNTFLSGHLKRFKPAVSENGPAIIKAALQLHTSVIQNFRKTA INFHYEFNLRHISNVIQGLLLADPAKFIDSDKLIRLWVHESERTYGDRLVSMDNLNTYKA LMFDLLKKQFTKFNFSRFFAKDNPENLIFCNFLAGIGGDRFYDQMPNDKLEPVITEALKE YNDNFAYMGLVLFEDALKHVCRITRIVLPPGGHSLLVGVGGSGKQSLTKLAAFIMTYTLF MITISSNYGMNDLRTDLQLLYQKSGVKDEPIMFLFNEGQITNERFLTYINDLLSSGEVAE LYNSDEKEVLINQIRPKVKADGRPDTRDSCWGWFIDKVRQNLHMTLCFSPVGESLRKRAR QFPALVNSTVIDWFQPWPQDALYNVAQQFLKDIDVPTDQVREAIVKFMPFSFKLVNDLSV KLLEQERRYVYTTPKSFLELIKLYIFMLKTKKGMLEKNKERYENGLIKLRSTQALVAEIE IQVKEKQQEAEQIKNEANQVAEVVGQRKSKG >CAK70322 pep:novel supercontig:GCA_000165425.1:CT868078:44553:45949:1 gene:GSPATT00038424001 transcript:CAK70322 MFNHQIRYLDAAIPLVEQAKAALNGLSEKDFQVAKNFATPPSGVPDVFSATIFLLAGFYN EQIEVDPKSKKPKAYDWKSAQKMMQKAKRIVEQTHGFQRYLPTTNVDFVKKNYLNLEHFN AQTMANKSSAARGLCDWVINIVKYYDVIQIVEPKRQALKEAIQQLDDANAKLAKVQEQVK ELNDRLAVLTADYNKAMAQLQAALDQAAKCEKRLNSANRLVKALGSENERWDQAIKMLEG QIQLLSGDVLVSAAFVSYAGPFNKRFRDVMIKDYFLKFIIDNKVPLSNNADPVKLLTDES TIAKWNQQLLPSDAVSTENGTILTNSERYPLIIDPQLQGIKWIKEKESSNNMKILRIGQK NTNRQIEFSIQAGNPCLIENMDERIDAVLMPVIARQFIIKSSGQKKIKFAGQELDVHPKF QLFLHTQLSNPHYPPEIQAEATLINFTGH >CAK70323 pep:novel supercontig:GCA_000165425.1:CT868078:46396:49073:1 gene:GSPATT00038425001 transcript:CAK70323 MLDEKAAAQIDLGKKKEGEDEEAAEGEEGEQQEEQQEEQQQEQQQEEQQQGEQQEGEQGE GQQGEGEEGGEAKEGEEGEQKKEEQAEEQKRAADDDQLTPQSLRKRVNQLIESITYTSFQ YARRGLFERHKLIVSTMLTLRINLKAGKLPKEQVDHLIIGKIELNPPPMPESLKSFLNDT IWACCKALESIPEFNGLGQSLEVDNLQWKKWYNEEKAEISDLPKAFSHLKKFHRLLLLRT MRPDRLTSAMANYVAEEMGDKYVEQPPFSIFETFSEMAPTTPIFFVLFPGVDPTPEVERV AAQYDITSFNGKFINISMGQGQEEIARKALLDCAVQGHWIMLQNVHLMQNWLTGLNGLEG YLETVYAKHHPNFRVFISSEPPPLPEMKIIPESILQASVKVANEAPQDLKANLRRAYAHF DQENQSEFKACLFALCHFHSLVLGRKKFGAQGWSRIYNFNDGDLTICANVLYNYLSKYDV VPWDDLKYIFGEIMYGGHITDDWDRRTNATYLRVLIKPELLQPNFSLGPGFKSPDPSRFE YEQYKGYIEKKLPIESPQMFGMHPNAEIGYLTQQCETLFSTILDVQGGSSSGGGGKKDDG VMTQLTLLKSTTPADTNLMDVTAKASEKTPDQIVCLQECERMNILLGEIRRSLEDLRLGM TGALNITDQMEALSLSLQFNKVPASWEKFAYFSRKGLAAWFNDLIERTNQLAVWTQEMVT PISLCISYLFNPMSFLTAIMQKTAREQGLPLDDMVLQTNVTAIKGHEEVTVSAETGAYIH GLYLEGAAWELGGQGQEGYLIEQKQKELHPKLPVVNVIAVTAEKKKKIGQYQCPVYVTSM RGPTFVFTANLNMENEDSDPSKWILSGTCLLMSDD >CAK70324 pep:novel supercontig:GCA_000165425.1:CT868078:50210:51621:1 gene:GSPATT00038426001 transcript:CAK70324 MFKLICLFVFTLAGCNCKCNQNEYDPAICILQITDSKCLYDEFLNQCIETSNQNLGCSHY LNRNACINQLTISGNVEKRCIFQNRCIDVNIYHLKNLGCSDSFSKYACINVVNKDCFWNG SQCEDSQKIIFSDNSKEEIYPYSVTPQLCAKYHKYPCINTGPQGDYKCVIINQIQFKELK CSTLGLNEIGCVSILTRNEMCLFENNQCKTINPSQMIECSQKINKLACLSITTPNLLCQW QQNECRVYKFDQQVTCLDIVDVNINVCANQEGLCMFDQLNYKCTQLNQKQLEYLNCDTPG ITKFACLSIQNQYCTFNQGFCQTLSENDLSNYQCQMQLNQAACINIKTKGQLCLWNGQGC QNVEITQDQDCNNFDRFLVNGNVCQAIKKPYAMCKYDEITKICVKSSTNDYCTTPYLNLY GCVSISRENQTCKWIDSSC >CAK70325 pep:novel supercontig:GCA_000165425.1:CT868078:51714:53835:1 gene:GSPATT00038427001 transcript:CAK70325 MGCYHDQKKSQCVSLLISTDNETNINLQEIQKANQYLSTVSCFDKSLGLNRIICGSITTK NVFCRWQQNSCKFMKKEAIANIPCTDLKYANPSTCAQVKYNNEFCRYFKEEKGCTNQLKG EMNCIDLGLNTISCKQAKENCYFDNDRCQSIGEISTQITPEVQIILEKLTCQSNFPTIMI CLEIQTKGQLCQWSIMYQQCRDILVLPNKKCSDFSSFQVNVNVCASITMENPNNIIFGME QSFEGQNPGYCEYDRTKKICKVKTKDCTSECCTENEEIGINVHSCSRFSSKNPGVYCYFK DFRCQQLTNQNVDISNPNNVKSYYNEKKFNCAQMNKNSCHMIDWVNFLNLLLQWICLYLI EFTKPSSILNIYACLAIEAVNSINLSQKYFEYNQEGKNCKLLLQPYPLYQTCESVTGNSN ICLGLTSNLYCKWNKELLKCVTITEDQQQEILTCNEYQNIKSCLENQYSACQFSLAQDKC INAPLDQDCSYFNTTGKVSRKTCSLITKSGQICEFQDNYCVVSNKSIEGCNLDGINKRGC FKNTKGNCRWDDVSGQCYENKTVLQELELTKQPCMWNDDQYQCVYFNQMTKDQYLEQNPK NQYNQWACTLIVGAGYTFDADNHKCKLLDNTQNFGCSDIQMNNYACQFLTKGSNCYFDQN EKTLQNVKFSIWESNNLLIQICHKY >CAK70326 pep:novel supercontig:GCA_000165425.1:CT868078:54130:56859:1 gene:GSPATT00038428001 transcript:CAK70326 MKTTSSVNSHIGYINIITALILLNVIKYVINSEFKSDGLTYPGKSDQLKCGLGRCDYTNE PCSLSDDCGQILIENLKINDDGTIQPPIVQNQTCQKYCQQQLEIQPCKDTDPPPQEVLNP EILQQQKCFGSCNYTKDPCQMFSDCPKAQQTLVNQVQLENNTINITTIIQITQLCDSYKC SDSHKSCNKTADCFPFVAKSWELQDAICKKQDIYTKSEICKGFYYLQLKCRNIFSKALCI QMTNENCYFDLNQGGCIQLEGNEHKVPNCDSIKNTRKPTKQCDNFTNICRFSGQQQNEYQ NTCQAVSSQLVQCKDSSEQSVADNSCPANTHHYITCVRDQNFQSSLSCIRVLNPSIFNCA AVADYCRYSNGVCISDQNTYPCKCDKSFSKSWCEFCKCNFDLLGYCQLESKPIQKLPDNS NQYYLCYEVNLLPIDADGKKKVCGMVDQACRYTFICEDATHYSCDYLLNLVVSKRACIRC NSLSVYYDEQKQFCKIQEDDIKQCNSLNKQACLQMTKGIKCKWEDYECKQIDRITGSDSR DCSIYNQDACIQFQQDCWFDQNIGYCTQFDPTQGSCNLLLNEDLCLFSLKESCLWDSINL KCMKNDNQITQCSDLNKFGCLNQSIMSCVWSDLYKCQWAILNDKVISCNSVLSNTQQSYI THFSQNICSQLKIQSSCFLDNYYQCRQTIITDIIKCNTQGLNKFGCINRSIGQCQFKDEQ HQCIENTNDQIGCLDSLNKQACISQKQTCKFENNICSLHQVNTINDIINSTLLFVYSKSV CYAIDQQITDCLIYSEIQNRCINVSNRQPFIDNCYKFTMNKYACLQKTLQTCEYNQNENS CIQTSQQTLKTINNCFPEKYLNWISCISLPSNCKFNGIKCQSIDLKVDTCESLSKSIIYS QSFSLCNYK >CAK70327 pep:novel supercontig:GCA_000165425.1:CT868078:57048:59407:1 gene:GSPATT00038429001 transcript:CAK70327 MKINVIQSKPKVNTVHLIHPKVVILLIILKENYLKCIHSFKTNPITCSKSTDIPCFYDQY NNICIPFLDNKEYISVSQFKLEQQNIIQFINVSKIFLRDYQDNYEECFEVQEAELRILKC SDKLNENGCVNIQTSFQLCQYENYICKAINLDSPNINTNCSYYQKINSSGYLLNNNRQSF VNMNISNKRCQELTQASEVDCDQNQTQNKGYNGLACNKNNNCIFYDGTCYNKIGDSIAFC SDVDSTQIQLCKEIITQGCTVTKDRCISLLPNNYMSIKCNEAVNIKGCIQIQTPGQTCFF DQYLEICKFQNLYIDFKSKCLELNNINSFKFCEQTTDQPCKYNLMMNKCEIAAGNNYDCI RGLNKMACYNLTDKSQQCKYLNYCYGPNDKILECNPNNYYDCCREALTKESCLFQKRFEC QWQNGCQAYQQKIQNECNQIKDASVTVCTSIKDSFCIFDAENFNCKQITPESCDEIQTSD QCNKITEYPCIWDELNEICIYKDKDILDQCTNISDNNGNLKACTMIERSGQKCIFIDNQC KTFYQKDGINNCINNINITSCLQQNVSPCEWVIEEVKVKKTKDITKMETIKIGECQQFTD FDNRDCNLLLSQKSCISVRKLGQFCRWKNNQCQNFSLSDYQINKYRQPELAQIVNPNVCG LYQDQRLIQYSQELASCIEVKDSSKLSCQQSKYGLNSVSCFKIQSESCKWNPIHKTCEQV TINNTNLTISCDLPGLNSKAFAVLLATVVVKQIQMLIANIKDQTNLHVQQF >CAK70328 pep:novel supercontig:GCA_000165425.1:CT868078:59432:60974:1 gene:GSPATT00038430001 transcript:CAK70328 MKRMKTIIVMTINHMILATILICKLTLWYAPLVQIDACYYNKQTQSCESPKETLDGCDIE GLNYIACSEIKGCYFENHKCQTLNEETYSCLQYPKAHQDICKNAYDLCKYSPLNYGCVPA QSNEICKREGLSQSGCVKSQNQCFWNKNNCECLSIQNVFPFCDQIFDSQKCNKFKHCQYD YMKTNNNEVNQQIENQNLGTCRRKLCSELTKGQCQKFQILDDYCYLNNQNKCQKANSCEE VKNASEMCSNLVINGIPCTEHSDNITCKKLECSKLDYKQCKLFFLYCTFTDLCKPILCQE LESEDSCRFHNCQWNYNQSKCSNNQPCESYSTSDLCNQNSYNNVKCIWKIDNEERFCTAK GCRELVKLQECRNTFIQKNICVRLQDDTCLQCEEIKDSCLCIDQEYCSFNYILRQCQSIN CIKLNNEKKCQQYHFCQYNSSNKTCHFNCANYDNNLDCSNDSSNCKWNNEQNSCTLIKVV IDPSPPVNNFDWKLCTCVCILFWILI >CAK70329 pep:novel supercontig:GCA_000165425.1:CT868078:61033:64322:-1 gene:GSPATT00038431001 transcript:CAK70329 MYSCEKVISINQIQCVDANPEQLGYSKMACDLKSSDCTFSNGCYQKKATENIKYCSEFPV NECNKPLREGCKIEQNKCVAINPSEYSQLECNQVSNKIGCINIITKKQFCQFNEQNNICE LINLEIRSGICKSISQINSQVFCEQIFAVPCKHNSLEHKCENAQGDIEFQCIRGLNQIAC LTHTQQSLQCKFYNYCYGPNYNILNCDPIKIKDCCFQANTIESCLFQDKFLCQWQNEECK QYSESNQECLTLNNVSRKVCYNIKDVICIFNLDKLGCVEIQPNHCEQVQTKEQCIQFNNL PCYWDFIKAQCLTKVKNPNDGCQDIQDQWGSERTCLDVVKQGQMCIFQNQCTDYTQQQVV GCSQKVNKLSCLQQVLYECIWDQNQKQLCLDFNQQSSHQCDSNLSYLACLNINTPVTFCQ WKDNQCKNVETNQILSFSSLSQCNCNTCGLINDGSSVICDTKYFKCLNASNLKNLTCDFK GMNKQACLNIKDQQCKWDDTKKKCLKFNIQSSSCSLKNINPASCSSIQVSLPCGSKENDC DQVDVTKISCDYPGLNEHACLQITNYPCGWVQDDYLLQYQCKLIQDFDACLGYNHQVNAF VCTQILDAPCFYNSIIRNCILFKAQQEINCNLPGINGIGCSKIENCVYLQGRCMKYEKTM NLNCEDALFSNHKVCTQIQRNRCKYNNLGYGCISSELQDICSTKGINQVGCDDLLDCQWN QSQCLCKAILTQYPDCSFITNSRKCQNLNYCYVAPSNPQYNVDISQEIIDQNLVKCLRRT CDYYSIDQCDGQKLDTDICYLTQDNKCNLAKTCDDIVNPQNNCLIYTLKNKQCFRDSNNK NCITSPDCESLDAYTCQQFPQDCIFEEICKTLECYRFTIKQKCIDKKCEWLEQNKSCMNS QFCASQNKENCMLVQQNGKQCALIEEEGEDYFCSVIGCRYLLIKYSLCNGAQIGDDVCVP LSDSTCVSCQEIMDPCICLQYENYCEYDKFDNLCKSKQCGSFNHETCPADRCQFNEKGQI CIPLCENNYNRQQCNHFESYCTWDKNSKLCIQLEKKFYKYTEPSSIIVVSDIHSIIFRII ILIQILQY >CAK70330 pep:novel supercontig:GCA_000165425.1:CT868078:64461:65252:-1 gene:GSPATT00038432001 transcript:CAK70330 MQFCIIKYLIIIYYTFQLKHLFLIKDSIKLFLRQLLLMQINYYYRYNQMQYLRIKQIWLY QQINRRQCQFKDEQHQCIENTNDQIGCLDSLNKQACISQKQTCKFENNICSLHQVNTIND IINSTLLFVYSKSVCYAIDQQITDCLIYSEIQNRCINVSNRQPFIDNCYKFTMNKYACLQ KTLQTCEYNQNENSCIQTSQQTLKTINNCFPEKYLNWISCISLPSNCKFNGIKCQSIDLK VDTCESLSKSKIYSQSFSLCNYK >CAK70331 pep:novel supercontig:GCA_000165425.1:CT868078:65265:65855:-1 gene:GSPATT00038433001 transcript:CAK70331 MQSFNNNKCEDATHYTCEQLKGYYTTKKACTLCKGASTQYDINNHICYYLLTRSVSKCNL LNKQACLQMTKGIKCKWEDYECKQIDRITGSDSRDCSIYNQDACIQFQQDCWFDQNIGYC TQFDPTQGSCNLLLNEDLCLFSLKESCLWDSINLKCMKNDNQITQCSEFKQIWMFKLINH VMCMVRSLQMLMGYIK >CAK70332 pep:novel supercontig:GCA_000165425.1:CT868078:65862:71124:-1 gene:GSPATT00038434001 transcript:CAK70332 MLYQILIIFLIQWCCQAGNFCSLYPYDSISCVQKIQDTQCQYNYDENKCIEFLQSDYGCR NNLNRKACIGQQTDQQKVEARCKLEVISLGLFIEKCIQVTNLQLKTLGCNLNFSKFSCLN VLNQDCMWQEYCQEFNPNNVNQLYSSCEQYYNDAVSPRMCARIEKFQCFHGGIQQDYHCI TLLENELSEIQCSHQGLNKNGCISITTKDQTCIYNSQQKCKFTDVSLLDSCDNILNKHAC LSSKINNLQCEWTVEGCRIFQNDNQECNQIVNVNYLVCENYDGICSYNIETQSCEEVTQS QLNNLSCSEIGLSKKACLLIKNTNCTFYRGFCENLSEEDLNTFGCDMLLNEEACINIKTQ FQYCQWDGINCQRIFLNQVVNCEIKDNHKFKYNGLYCQNISNNNAACKYDDISKQCVPSS PEDDCNSRYLNFLGCLNITKYKQTCQWTINGCIYIVILPYKTTCESLGNANPNSCSQVIE NEVSGCYYNKSNQRCQKVDQLQTQVQLLISLDCENKLLGLNRILCASIIKPQTACRWYQN ECVKIFNPKELSDVSCQEMIYSNSKSCAMIQFKSEPCRFENAAKGCVNSVKQIMKCDTSG LNAFACAQLEDQCYFNQATIECQLFKSQIPSQNSSQESESNNNQISINSLDDIECDKSSP TEKICKQISKPGQLCGWKETLDKCGQIIVNMNQKCTEFKNVNSNVCASVIMENPDYIPSN EKQKVGYCKYNSNNFSCDLLDGNELCETNCCTEGRFNGINQHSCSFLTKNSSSYCYFDNY RCKELTQNQVDIKNEEAVKQFYNANEVDGFKCSQMGKNSCHMIEWSTSQRCYFNGFSCVN INFENYKNYKIFTEAPSILNRFACLAIEASLTIFNIEKYFEYDPQNHRCISRNYQTSPPY YARCEDVQGNSNICLRFTENNYCKWDKKLLKCVTISLDEFADITTCDQNQNIKACKENIY SSCFFSFDLDRCITAYTYVPCNYFDSKGYVSQKSCSQIDLPNQQCEWQNYKCILTNKSSN KCDVIGTNKYTCYKNTQGNCRWSIENSTCYEIGSLKQIVELGCNDNLNYVLCKQVTKEPC MWDDSLYECVTFNQTPYSDFRDLNKNNLFNPLSCLQITGAGYTYDDKKNKCIFIENSNNQ NSCFQMINQYACLYLTRGYNCVYDTTKMPPCQFFTDDQSVCTTSNLINIEVCMNISKQCY FSKSKLQCLVADIPQSQTCSSLGAQLYNGNHYNKLSCSSIDESIKQTYSEDKCFGSEDKK QKCNYENKCEWHNQIYGCQVKILNVLFFDKENTEKSSVYEYRYQIDNCTNYCNQFVKTPE VYSKTNKIIFPGTSAPLQCGLNKTCDYQDKLCQTDSDCPTIYKDPIKINDDGTLYLGIKQ NQLCSKRCQKNGDMICNQQSDQNPQEIISDALIGHQSCQGRCNNSGYSSCYESFECGYTS VTDYITFQTSYYQNICQNKECTYSQVSCSNDQCPDYVSKSWTLKFAQCFEKTIYKVVPIC KGFGYIELRCKNTFSKSLCLYGVLEECFFDLNQGGCQQLKGNENKIPNCSSISSRCKPTQ NALQCDPKKQICKSSTECQPNSFLNSCLSSSNQKVICKAGQMKILPTQSQCFSLQRPIQI CEPVTLTEDIYCDAITQDISPAYCALARDPCRFELNRCVSTIKKNQYNQCICDSSFSKSL CEECNCVFDFVGYCQQYPLAPQLRPDASNKYYLCHEVNQLNILSKSDCLYFG >CAK70333 pep:novel supercontig:GCA_000165425.1:CT868078:72886:73851:-1 gene:GSPATT00038435001 transcript:CAK70333 MLQLQKDFINNCQIVSFNQLAQTNLSILTFCQHFFFPYYLCDFLINHSFTFVYPGKCNLL KFRVDEQEGRFQFVNFEIPTLASFFMYSQELSVFLCFFPITISSAPTLFKVQIKLSLYIL KNWRCYIFHHHDRHLRFIPFIIPVIHFKSIIIYPHKKATITFQVLIKWFFLQIQSKKYLK FEGKLKTTKLDLKVGVILSFRSQIDYRDHHYLRTNGKGTVIVFPKSQCLLRPDSGLLTQG SRIKITSQMTILLEDCSRTSSLLDNLRINLYLFLSTNNFSMRQRIHLRKLIDLNYVNASL FVKIQQTKSEINKIQNLLNQI >CAK70334 pep:novel supercontig:GCA_000165425.1:CT868078:74240:74404:-1 gene:GSPATT00038436001 transcript:CAK70334 MHRAPISIIMQTHNIIPYYTSQAGQADQQELSQEDKKKNKKTTIYLHLRTSETN >CAK70335 pep:novel supercontig:GCA_000165425.1:CT868078:74887:77654:1 gene:GSPATT00038437001 transcript:CAK70335 MAFKLICLFVFTLAGCNCKCNQNEYDPAICILQITDSKCLYDEFLNQCIETSNQNLGCSY YLNRNACINQLTISGNVEKRCIFQNRCIDVNIYHLKNLGCSDSFSKYACINVVNKDCFWN GSQCEDSQKIIFSDNSKEEIYPYSVTPQLCAKYHKYPCINTGPQGDYKQLKCSTLGLNEI GCVSILTQNEMCLFENNQCKTINPSKMIECSQKINKLACLSITTPNLLCQWQQNECRVYK FDQQVTCLDIVDVNINVCANQEGLCMFDQLNYKCTQLNQKQLEYLNCDTPGITKFACLSI QNQYCTFNQGFCQTLSENDLSNYQCQMQLNQAACINIKTKGQLCLWNGQGCQNVEITQDQ DCNNFDRFLVNGNVCQAIKKPYAMCKYDEITKICVKSSTNDYCTTPYLNLYGCVSISREN QTCKWIDQSCQDIVIIPFETLCSSLEYANYQACQQVFENNSMGCYHDQKRSQCVSLLIST DNETNINLQEIQKANQYLSTVSCFDKSLGLNRIICGSITTENVFCRWQQNSCKFMKKEAI ANIPCTDLKYANPSTCAQVKYNNEFCRYFKEEKGCTNQLKGEMNCNDLGLNSISCNKAKE NCYYDKDRCQSIGEISTQITPEVQIILQKLTCQSNFPTERICLAIQTPGQLCTWSNKYQQ CREIQVPQNKKCSDFSLFQVNADVCAQINLETKISFDQDPGFCEYDRQKKNCREKIKKCT SKCCSENEEIGINAYSCSRFSSQEPGVYCIFQESRCLQLTNQIVDILNHNAVKSYYNEKK YTCAQMNKNSCHMIDWSTSQACYYNGFACINLNLQSHFDFQELTKSSAIVNIYVCLAIEV VNSVNLSQKYIEYNQAGKKLQTTSTTISIILNL >CAK70336 pep:novel supercontig:GCA_000165425.1:CT868078:77780:81198:1 gene:GSPATT00038438001 transcript:CAK70336 MNIKISNHAQKINTQRANSLLPKINDCSYFNTTGKVSRKTCSLITKSGQICEFKNNYCVD SNKSIEGCNLDGINKRGCFKNTKGNCRWDDVSGQCYENKTLLQELELTKQPCMWNDDQYQ CVYFNQMTKDQYLEQNPKNQYNQWACTLIVGAGYTFDADNHKCKLLDNTQNFGCSDIQMN NYACQFLTKGSNCYFDQNEKPPKCKIFNMDQTICSSKYAINIEVCMNIPKSCKFSLRTMS CQTLIVNQDNTCLYLQGLQNKGEYVNKLGCSSISESLQGNDGEQQCYQTKDLQQKCNYQK FCLWNNYTCKVSEQQIHIFDNKHYIPSEQFYWEFKKNNCTSNTDCNEFITFHEFQSDGLT YPGKSDQLKCGLGRCDYTNEPCSQKTDCQDISIENLQVNDDGSIKQPKMQAQTCQSTCQK SLDVQECKSSEMVPKEVIQPAIINYQQCQGRCQTSKEICVSHICGESILFPAITVKCEST DCQIKDCKGCDKDDCAGKYCEITDCEDEKGKDWKCKSYKDVKVIEYCQLESFICSESHKS CKKELDCLPFVQQSWQFENATCKKHQIYIKSEICKGFIYLQLKCQNIFSKALCLQMTNPT CFFDINQGGCNYLEGNEDKVPNCDSIKNTRKPTNQCENFMNICRFSGQQENEYQNTCQAV SSKNLNCEDGIEQNVADDSCPSNTLSYKNCVKGLNLQNSDCLWVLNPSIFNCAAVADYCR YSNGVCISDQNTYPCKCDKSFSKSWCEFCKCNFDLLGYCQLESKPIQKLPDNSNQYYLCY QVNLLPIDADGKKKVCGMVDQACRYTFTCEDATHFSCDYLLNFVVSKRACIRCNSLSVYY NEKLQFCFQLDADIKTCDLLNKQACLQMTKGIKCKWEDYECKQIDRITGSDSRDCSIYNQ DACIQFQQDCWFDLNIGYCTQFDPTQGSCNLLLNEDLCLFSLKESCLWDSINLKCMKNDK EITQCSDLNKFGCLNQSMISCVWSDLYKCQWAILNDKVISCNSVLSNTQQSYITHFSQNI CSQLKIQSSCFLDNYYQCRQTIITDIIKCNTQGLNKFGCINRSIGQCQFKDEQHQCIENT NDQIGCLDSLNKQACISQKQTCKFENNICSLHQVNTINDI >CAK76077 pep:novel supercontig:GCA_000165425.1:CT868226:1:1392:-1 gene:GSPATT00039117001 transcript:CAK76077 MDSQHSNKKICFEETLDKMEAQNITVDKWGRCKQEFVKTTIQIKYTKEQYIIYSQDGVIL RMDQIRGGCKSSDILSNVDQIQYLFFQGQYEQNERKSGRWRATWDGEVIENVGGYYENGL KQGFWKELNKNYCRQQRLEFQKCPAEIFENGEYSNDQKIGLWKFFYDDKQIGGGFYDGQA QKTGKWIEVNNGFNKSSQVTYHGEYKKGNKVGIWDIFYVDIYNTSKQIGGGLYDVNGNNT KNGKWIELSEWVDIKSCITLNGQYKTGRKIGRWDILIYKYQQHDNPEIRKIGGGLYDDKG EGNKIGRWIEIKDKYDDISQIIFTGEYDNGIKNGNWDILFSERASKIMKRCKIIKKILRG GGSYKNEQGKPIKIKNWVESSDNYKANSKVVYTGEYKNGQKVGRWETQIKDLFSKNNQQM YRFHHLTIVS >CAK76078 pep:novel supercontig:GCA_000165425.1:CT868226:5822:6539:-1 gene:GSPATT00039118001 transcript:CAK76078 MREQAKKINNNLYPDLLAYSDQELIKTPQNSYMLLAKSYALSEGKKFLEAKELCEKVQRE EPQNLHTLYRKSYSLYNLTQYSEAIQCIELALKYNPQYSIGYMTKGVALDALNKYNEAIV CYDKAIQIDPNFAKAYYNKGAALKRLNKYNEAIVCYDKAIQIDPNYAIAYYNKGLEFQDF IRKCIKETQQIQ >CAK72844 pep:novel supercontig:GCA_000165425.1:CT868131:1697:11745:1 gene:GSPATT00038801001 transcript:CAK72844 MDLDFHSDCQYVTEPTMIISNGNEMLCHPRLRQYIVWPLQFFEKVLFCQNGAILYEYSEN TDLVLSCQFVHANCIVAQKSGGIVKCQTCYEYRSGQYCQVNKHIGCGSNCESCETNYCKT CLEGYSPSSETDFYCSLVACQTGHLKCSLTNNVYAFEGCKKGYELVDNQCVACSNNCSVC VMGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKGCSSQCQTCLYTDPDYCTTCNL KEKFLSSTIVPGKCICDYPNGYIEKDGGCGKCSDGQCQTCGLDYYDCTSCKPISNRTLDI LKLVILTKYVKVCFNNKLECYVSCYDCEGGYENDCTECGDPSIYDKYFQDGKCFCFERTL LEVQPDGNSICKPCHPRCERCSMPFDNTSNQYCNMCIAGQRRVLSNDYRCVCQDGYGEDG NSDVCFRCHYSCLSCKGPLQTDCISCSIVAHRHMTVDYKCNCDQGYYDPGFKDPNCYLSC HHSCASCTVFGQDQCTSCPSSRHADRVGTTFQCLCNDTHYYSDPFFLECQQCHLTCKTCK GSSQTNCLSCDTTYRQLIISRCDCYPGYYSTGQLQCQQCHYTCLTCYSANEDGCITCSSA KNRVLKANKCVCKDNTMEASNTDAMCSKCSYRCSSCIIAADHCTTCPDQSYREVGTNNSC SCPAYYYDQPDNPICIKCYNTCYGCKGSKNNECTACNPLSKRELSINGECVCMSKYYDTG IQECSICSTDCLDCITSPTNCTSCNPEKYLLGNSCVCKTKLQGSFLTTYFVPSKNKCQSC HYSCLTCSGPLVNQCLSCFNAEQRILSGTSCICIENYFDNGFPNCKQCDFRCYECTTFST LCKSCPQSSLRIYNSLNSSCDCPNSYYDDGVNPVCQECDYTCSTCKIMSSRCESCQVNTY RVYDSLLFTCLCDTHYYDSGIPICQQCHYSCLLCNNYGADSCISCQPQTISFRVLNGNVC ECLLGYYDNGYSSNCSQCYYKCLSCINSSTYCTSCEQTRHLDQNQCLCNTGYFENGSSNC SKCNSNCYNCNFNSKQCTECDPNTLRSLNTNNNTCQCQSGTTEIDGLCQLCDQNCLTCSN ALTNCTSCGLMKFLTNSKCTCIDGTYLLNADNKCYYCNSTCETCFGNDSFCQSCSSDKNR ILDYATHTCICKAGYYEDAVNNSCNQCHQTCLTCFGISTYCTQCDSSLNLTLNYQNRCVC KSGFFFNLITQQCEACNVSCSECLTQTQYSLTMTHHNVYAEMVSYSSYVIKKCLCTINEY IIECHITCKTCQIQSNQCLTCESSNFRVLQTNTCPCLDGYYDVGVGMCQKCSDICKTCQT NSTKCYSCYPSHHRILNQNSCTCTPGYFDNGQQLCEKCSNSCLTCKNQRDYCTSCDINQN RLDQSIIHKCPCVSYFYQDSNETSQKCHVKCSGCVYERDNCLSCKFVQGSNRLTISNQCN CKDGYYDDDIQIICKKCNNRCKTCEKDSNNCLSCLSNLKINPPNCFCMNGYFETDQLNCE RILFIINQACEIQCDTCETRASNCITCKEGRINQKCDCEEGYFESGQPLCIECDFQCQTC SKFANNCLTCKGDRYQIPVCRCQDGYYDDFQSLNCLKCDYTCKTCTLNKCLSCNGNRILS DEMTCDPPPNSVSSLLTPWCSNCEVAVLKIKLSDDLKSIFVHFDFPINPNFFSSYLDSNT CFNILKQTTLLKLGLNPQCKIDPNNKRQLILNLGHNPTIMLEDSIEFLENSFGHNYCDGK LQYFIFNTLEQPSNPFAPLIKIQQNIILIESKLYDGLRSFVSISWSFIVQGQNGSADMIN FVTELTNFQLLDLTIPEKTLPIQSNITLFVEVANFVSKKSVFEILIETHKGQFPSIFQKF KQSYYPFESIKMDFTINKKNCIENLQISNNTNSQYQINFSEIDRNDSRSRPSNIKFDQII SSNLLELNIERYSLTAYTAYTFLLTVSDSSIQYNSQQNITIKILSGGILCQFNGTKKLQN YQSATNIYILCKDLDVQYDWNEDPDLTIQVSCLELTSQEECKDSQKRKLQYNSTITTQKF PKGTFQPYTIQSWDVIATKNSLTYSYNINIVYMEYDFKILDIDYNSGYLVRPVNNYEDLQ FTFNIPFQERQYLLDLSVAIIYDYQLISILQPQYFKYSFQIYDHFQQFNKGNKFNLKFLA QFTNDIIPNQEDLILLLNQPPICNLKILEENVYALEPLKMAINCEQSEDQPYNYQMKVFL LNNDLEEYLNKSSDNSLLYYSFQQSNNFVVYFPSSEINVILQIIDQRGSITNIQRSLNIS KKQVTYQLSLIQKISWIFEILLNQNDKQNCTILKDELYNSVEQKLNSEAMYEKLLVYQTI NLFKKLSIKQANSNSTKKRLLEQKYQNQCYNNETSLFIITNQEPTEKNAINISSLIASSQ KAQSQIVDLIQMKINLEKQNTQNNLIIDSQLVTIIKSVIQVLFGSVQLIDDQCLIISQNE TSAEYQEEVMKISEGLIQLIENITIQISDNLQVNGKVLSFYGAMLQLQLQKITKSVYNSQ FQIQYDYLDNLIAFIQKSQLKISFNYYNLSQSYRSMLEIYLNRSDFEIDQKYFVKSLLTN FLYTGTQINQLQLNTHYKIDMVEFQNCDISKGISEIFKYNYVCINQLENNQFEKCDVEME KIDNQTTQLYCKCQFFGNLFLIKVANNSMNQSNDTLVNXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXVMKQVFQYQRLLGESYLLISLLIILVFRAISKINQAIYMFE GKIAIMMIALYLFLPVTYFFLMILAFNQIEMNQNYIDLQITLNLLSTLLLIYFIYEPIAI YLRIVIYRPFFESIRNNEFNPINHFVYFFIYDSKINQTYDQLVI >CAK70830 pep:novel supercontig:GCA_000165425.1:CT868089:6460:7044:1 gene:GSPATT00038612001 transcript:CAK70830 MLQQKISQDLQETTCKSHNLEFIAVDLDMSNRSEIMFFCGKCLVDKLNNNKVTTIEQSKE RIQQMKTQQQEIKFKENQARLNYFKSILDQIMDFKRSIDDSLEKMYKQIQQYTFSIQKEK QELQEVQYRLNYFEDIKQLSEFSSLDMQKSTILIEDNAFIQELTRQFELLFNNAEYFQTL RYIQKYKINNPRFN >CAK70831 pep:novel supercontig:GCA_000165425.1:CT868089:7169:9213:1 gene:GSPATT00038613001 transcript:CAK70831 MIDMDSQNKKIEDRFVCVDCIFENPLIKYQTIENVNKLWIDYNQESENIFSNYKKESKNK RNDLFNQITQMRRNYNQKLNEISEKLISEQFLSIHKSKEINQIKRISIQTLQNEQLLNDL TLLIEKEKVNQGQKMSILKNKESIFQQEIENHLESLKQIDQLDIQQSIDILKQIPLERNV IFQLQEHISQFSNCAQTKDQNQIKFIKDILKLIDQAKKYQCQINLFDQTINLFQMHNNTI DQIQQQILNQTKDQINNQYQNLSTIINDYINTFDNKSKQIKKYCSIEKLEGDLLKLTEIN KNLENEKTNLLNKMSKQLVEKQQEYQKVLEEQQTKNQNQIDELTIKLQSKEKENQNFKEL FDQKTQEILNLKKQKEEDKLQLIKNLEDNKKKQVEEMAEIINQKESEFQNVQRQLDQINQ ENVQKEIQLKLELEKIKQYPKILVFSNTYKHPNCQVSEGGKVVENISSSWLYCLCEQAIP KNGKTLFAFQMISGTYFNVGIGFRDIIQKNNYDLEVGNGQYLITHNGYTFSHHNKNVDNK QLSFTFTTNDVIIIEVSIEHKYIKWTRQNNSLATVMLEIDTSLSQELYSCVYFYQSKIKI LDNIPN >CAK70832 pep:novel supercontig:GCA_000165425.1:CT868089:19365:21316:1 gene:GSPATT00038614001 transcript:CAK70832 MKVFTCQDIAHEGEIIQGFCLNFGCQDQRPQFCLQCGLDPKKHSNCKKDLKGFGQIQSFI TKFNQKIFDLATQLNKSFTSVKIKCEEFSKQLETMQIQLVRISEFLSQYDYQQMKANLQV IKECYQYLNNQEETLKQYQIGAQLDRIKKMVQALDLDKGQQQNYDNQQDNENGLXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXYQLVELYEPNENSTSYINYQKNIIVATFFKSICLIE MNQPGQGRIMLEQAEKIHNHSYRDLLDYSDQELRKTPQNTLILIAKSYALNEEEKSHLAI EQCEKVLREQPQHLHALYRKSFSLKDLKQYSQAISYINVALKYNPQYSIGYFLKGYSLGD EGKYKAGIDCYDKTIQLDPNFAMAYNNKGYALFKLNNYNDAIACYDKAIQLEPNMIRAYN NKGFALMSLNKYSEAIVWIDKAIQLNPNSATTYFIKGNALHSLNKSEDAIFCYDKGIQLN PNDATAYFNKGNVLYSLNKYEDAIACYDKGIELDPSHAIAYFNKGEILTFIKNYSSAIEN YERAIQYCQQDQDDFKCLIWELKNKQ >CAK70833 pep:novel supercontig:GCA_000165425.1:CT868089:22143:22502:1 gene:GSPATT00038615001 transcript:CAK70833 MFELMMYISQLTLIKIFEQTLINFVIFYLNLRMLPFKIFNSKSNQYFCSKITEFYLINEI NFIHILFSDTKKKKLVFHLISKNFKLNNNAKDIIKQILQRFESVIRVLLLKRIFLEFIN >CAK70834 pep:novel supercontig:GCA_000165425.1:CT868089:23153:23836:-1 gene:GSPATT00038616001 transcript:CAK70834 MQLRLFTSSVQLQYQPQVLLIQMHGRTEKSQRYLTYVLSQGNLDFIHPAPLLTQPSMNEQ HAESHINVAIASNTSQLCGYFLLFIIAQPFPVPMKVHIIEYAGQQLFTTNRQGQIFTFCL SAGTQYFDQSTLRCPRANKLSSLLLVEQTEEDCYQFQQQNIIILYLHLQKQYLNHNIFIC CTSVKSRGYICAFRRGTTSWCFNLECSSNI >CAK72876 pep:novel supercontig:GCA_000165425.1:CT868140:7:1185:1 gene:GSPATT00038833001 transcript:CAK72876 MVSFLFFRKAQRSIDIEVDESQLTPSDYTICVKNIPNLNQDYKEVLKNIFQNYAADGREL TVTKIVLVYNLDEVIELEESLKEMIREKQEYLLENGMNFADFKVKQLDEKLESLEQKIHQ KEHELFINKDKFAGIAFISFLTEEMKQLVLQHNPHTSWERIKAFFNNGLTGDVKEKGLIF EGHKLYVEEAPEPNDVDWEFIHIQTGQKVKARVIAWSISISFMAGCFFLIWFLSELAERM NEQVEEQEKKGIKDSLTQTIAFLTSQSISWTVVFFNKFVIGKVYHLIVDLEKISNKTKFN ISFARKQSVALFINTALISLVIDFYLTGNVIGKGGFIYNESNVFLLNAFIPPIVWFVDPW SIQKDYQRQKQQKNVKNCVLTQQEANDNYGNA >CAK72877 pep:novel supercontig:GCA_000165425.1:CT868140:1217:1663:1 gene:GSPATT00038834001 transcript:CAK72877 MKTMWFTFFYGDIIPLGILFSIMGLTLYYFVDKYNVLRRRTIKESLSKHLSIEMIEMLEL IIIFTGIGNTVVSSILFGEVKWQDFIIIIIGIVYILLPMEDISSVLFPLEQKDEVQTYYE AEEHFNTDYDRENPVTRREILHEIAKKK >CAK72878 pep:novel supercontig:GCA_000165425.1:CT868140:2000:3078:1 gene:GSPATT00038835001 transcript:CAK72878 MIQNALMRSQEFVSRNGQTMDLNVELQQDIIQLQDEILLSDWNAQKNILAIYQLNCIKLI QNNQILQIMPTVVGECFSRVKLMKFDRSSGNNMLIVYENGAAKVIDTTIQIIKMFNIKNA SKLLDFHWNLNWPNVVALIFEKDVEIVDIYKCQTLKYLENVIHFEWRHFDHFIVMDLNYY INLMQIDIDKPQLQLKAELETTLIEFNKRKTLLASFSSTLNVIKIWTLQNDEYVFEITDD QKILQFCWCSSQNANYLITLTSQTLNIWDVEFGQIKSTYEIDFNVAKMVSMDLLNDTPQV VLIGEKVVLYDLINKKRRVFNCDTPNKVLRINDEEILFIFRSSYIVYKKN >CAK72879 pep:novel supercontig:GCA_000165425.1:CT868140:3117:3942:1 gene:GSPATT00038836001 transcript:CAK72879 MLRLLWIPTQRVSHGYFGSVSSFQYGTATLALIFRKDVAVFPWNWVVFVTFTLSVSVVCG TLVALGDSVIGLLVFSSLASMVFFLFMYSLTVKRRLTYQGSILFISASILLIFEIFTIFT EVSLFWLSSVSLFAFLLAFLLIYDTYTNVNSGDQYDVNQADEVSGSVIIYWDVILLFLKM NELIKDYLVRERN >CAK72880 pep:novel supercontig:GCA_000165425.1:CT868140:3955:5215:1 gene:GSPATT00038837001 transcript:CAK72880 MKIILLLLPYLALSTIHPEGNLNFVKYCKYFNYPVEEHVIQTEDGYLLTYFRVQAKGTKM VSGKKVVLLQHGLLDSSDTFIINDEDKAPAFLIANKGYDVWLGNNRGNKHGRAHVKKNPF FWSFWDFTQKDFAIYDLPAGFKYIVNKTGQKIQYIGHSQGTAQMHIHLSLFKQSIVRDNL IQFIGMGPVAWVTTKYSPLVRLLDTNFLEVLATFGLHEFMPGDSFLTSEIGRVVCGLMEN LCGDLIGSFVSADPVLDNYDRYDVLAGHSPAGTSVKNLKHWQQFTRTGEFKRYDYGDKEN LKKYGSKKAPLYDLSNIDVKIFYIAGYDDLLAAPKDVNHLFSALVNAPNKELKFYDAGHC SFMWGRQLPYLEDLWAHLE >CAK72881 pep:novel supercontig:GCA_000165425.1:CT868140:5228:5812:-1 gene:GSPATT00038838001 transcript:CAK72881 MTDRLLDFSISQDSITLINTRNKHYTYIMGSIILNSITLLAFSIIQGYILRSHQDWDAIA VLIFACLTIILEGLSLLQVFHMQTQKLVITTSVIIVNETFILAISIFQTTTEEFEHDSKF EVLVQVVAIVLFFVIAIIKLILVIQLRKVLELTRATNQIKEGMRSKQAYENFSKTLK >CAK72882 pep:novel supercontig:GCA_000165425.1:CT868140:6002:8477:-1 gene:GSPATT00038839001 transcript:CAK72882 MNSKNNYNNDSSSEDDLQVYETLTKLAPPNELLPRKLTIDIDVKSLYPLKQLIVHLLRPL PQFCKTYTTFIEESSQRECSIPDVQVTDENRTLLDYYDENKQNLVYKRFDQLDLGKRTSN DRTYFASLLANFKRAQPRVFFRGEALFDQKLTPCPSNSIQFDSNFESGNLFCAFKKAENV YDLIIQNDINTRGNTQWFFFSVTGAKAGQTIQFNILNHLKTGSLFNEGLQPAVYSVKENQ LVGTEWCREGFNISYFKSVFIKEYPLSLKKKYYQLRFHYTFKHEGDKVYFAHSYPYTYTN LLEFLNTQLEDQERNQYLSRKVLCTTLGGNTCEVLTITSNSLQRRAFRKGVVFLARQHPG EPQGSYVMQGIIDFLTSNNPQAEYLRQNCIFKIFPMMNSDGVVNGNYRCGLEGGDLNRRW KKPNKYLHPSVYYAKKYVKGFSKERQILLVVDLHGHSRKQSSFVYGCAYSSQVKTIERVF ALLMSKVNPFMDYSSCTFRVESAKDKTARIQLWRELKINWVYTYECSFYGQQKRHYQIKD YLNCGVSICNALSQIVKDTTKEFTNQANQPDIQQQMLEELGKMPQTDDQDIGSDSSQSEA ELSDDELVQLFQPKTYSIKQKNRSKQQETNNKKKFQQPNSNLKSTIASQKASILQESPSK NSEQRPPMSSQKPKNPLYRIQAPQRVRQTDQVKQVEPPLMVDKSVQTDDWMYRQWLIQMG KLKKQQEFQSITPTRNIYKSSSQSVTRFVVKNSTTKNDRGRVESISLMASGLQNKLSNVQ LANQFLLANSAK >CAK72883 pep:novel supercontig:GCA_000165425.1:CT868140:8553:8988:1 gene:GSPATT00038840001 transcript:CAK72883 MNNNQFQTRQWEQQYAKHKRSVEQIEHRNYKFPDQVEEIIQRCKNITSQSRKGRKQKEVL SRITASNLILSKKLQEIEKRRQVKFMQYQLFNLSDAPVRLSTKSLREENYNKIKVENHKQ FERITQVKGTLQTQ >CAK72884 pep:novel supercontig:GCA_000165425.1:CT868140:9072:9284:-1 gene:GSPATT00038841001 transcript:CAK72884 MRRTKDVSTLVSNRISTRDLDQHPKSNYSKVSIINSCRQLDSQKILQGKSQLQNTHKTVI SFSNLKWKSQ >CAK72885 pep:novel supercontig:GCA_000165425.1:CT868140:9332:9853:-1 gene:GSPATT00038842001 transcript:CAK72885 MIINVSNNNQILISQIQMASKLSVKCNIDHNNSFHNQKKPIQFENPIRRKSCYCKLCGNL SLFQQQNSNLPTQKEIQFSKQKRIKRRLSFGDEIQTDFPNKLSQNESENGRRIRQNNKSI SLPIKWQQGFSKNNCICQESSIKTKRKSCECSECGKLNPFKIKSQEILFKRQQ >CAK72886 pep:novel supercontig:GCA_000165425.1:CT868140:10513:11936:-1 gene:GSPATT00038843001 transcript:CAK72886 MRIEDMITTGTLRSYGDQSPVKKMLHAPTMKLYVVKEEPLHNKEIRKNLKDWISFWQNKF ANSNLHVQVYATFWNTPEGYVSIVMEYMNGGSLQNLLESMGFLPERSIKQLVPPILQGLQ KIHQQGAQCHGALGPSQILFLRDGTVKLGQGLQYRVQVQGNQLFNSYILGKPKDVQSLYD PTILETPSLWSKASSEKVHFPAQEDFILERANDIWKLGWLVLNCAIGTLEFHPKAQKLQK YTDHLKDTCCLLHSEAKVISLVEQNQKTVSEPTKITMLELLPSDKFSPEFIQFLCSTLKI DPRQRVYTEQLLQHPWLVSGRDPKGPNVQLKELLNISNAWNAFLPEEFQGQGAQKLERLC DALYLVLQNSERPTVNKMQLQENSPIIKELSYDMGLNAKAVSDRIMSIFQSLI >CAK72887 pep:novel supercontig:GCA_000165425.1:CT868140:11977:12404:-1 gene:GSPATT00038844001 transcript:CAK72887 MNDSKGPKIMSSHSFNSIKNEEPKKAEVIDEQSLQTTQKRGQPKINISRLPPAFANKKPQ KKQIEPLAQKKEQKQQVQQNLQNIEAIQEYKQVSQMLSPLKALIINQTLARTQLAIQESI STFSLVHERPKENS >CAK66806 pep:novel supercontig:GCA_000165425.1:CT868048:1:1473:1 gene:GSPATT00035936001 transcript:CAK66806 QQTPIQHINEKSLILEQQQFQQGKDMFFSQINSNAKPPRPQRTYNTENNFIKLTLQNSEN ENQITNIQYNQQNQIKEIVNKLKEQHGNQNIILQYENTILQPELSLQQQIREIRNNITLK YKIDSQELQPPAQLINSNYTVATITKQQRSKVTLQKQLSNAFKIKTEVFPSQQLDFQVPE NNFENGDNNYSSLQNFFSGRKRFLQTVIEQSPDNQLRNKQPCEVNQFRVKSSKPDNQQLN NLQQRPRDKRIDLVRHISNPNPGPNVQQPMENIPIIVDSQSINQNQNDIYQNQLYYQEEQ KHNNQLQQILVNTTKYLFFKCQTNDCNYFCIVTYFHNQNYYCQNCDKLSVIVDFHLKTLT FQCCHTLNCFDIIRKVQNAIQNEQLARCSDCKQELDYRLIKCFDKSKYYINIKLIIDAQL LKRSILKNTQLRIAECTGSDCNFYTIWDSNKNQIHQGFCISCNQRIAFRMEVKLNFLNKI DCGHELSAQQ >CAK66807 pep:novel supercontig:GCA_000165425.1:CT868048:3793:5680:1 gene:GSPATT00035937001 transcript:CAK66807 MMIPPYHLDQPQHQSQKGYRVQSSATILDLQNHIKKYLDDDSEIQFYFEKDKLFSGVLNT YLINIIKNIPGRTIYYRKVSSHKNTHTQSYKSSKFEYESQNNDIKQSNQNISTQSQIIQG EYQLNKPVNIQNQQEQNSLTKIQHSQNNYINRGGVKADAQPIQQAKPQSTIDSRNIQSNV LGTENLRQQVQSQIYSNLTRSQLQNQELQQLKQEKYQTDQQNQELQSQVNKLKTRLLDYE ERIRNQQTENEKIRSDFKDQNQQIQYDLSKLKDDYEKLNQENGKLKIENQKLSNENDTLQ KNLGEAKLKPQTYIEVQKDNSNNQPKLRIQEISPLERTKEQNPLQSSQIYQSQCYNTGYQ QKSYKNKCGHNIDISQIEALLTQALKNNSKALCKQCQKPIQSKLCLLTEFGKQYLEANNQ KDLKLIFQNLQRKQLKSQEKLVKCSTVSCQFFCIWQQNQNLRFPQQKNGLCLQCLSNSVI GNELQASTHQDFQNNASQYGQQEQYRQQKQFGQQEQYRQQQQFGQQEQFRQQQQFGQQEQ YGLQLQYGQQEQFRQQQQFGQQGQFRQQQQFGQQEQFRQQQQFGQQEQFRQYRQYG >CAK66808 pep:novel supercontig:GCA_000165425.1:CT868048:6042:8600:-1 gene:GSPATT00035938001 transcript:CAK66808 MQKGEQPKTTGCLCFSRQNKSEFPKTFKKSNKILKKYTKNNSDISDEAILEVMIRLYISD NKTKAIEMLQRCRINPNFQMNLIRTDLEYYIPQLINFMVFHQQLQDDRLIEFIIKASSID FFFAHLVYFQLISLSKIISPNYKVEFKIVQQFVKEFEDKMTTNYQGNLLIATQLLKIHLE DSFKDDVLVKSIMLSNKKDKQEQAVYQGSIRIKSWKQNEIVQIYGTEDWTDYIKEKNLQD CLVTKHEEIELQDYVSIFQNKEDNNLQEDLAFQSNINFWNDITKISEELSKHQKKTEQLH RFLNKINQNLPAAVYVPFVKNSIRNYAILNVVSKESRVFSTKIRSPFSLTLEIFRPEVEG HYNEQQLLDTQISKPIKINMIKSKSITQSQIVMQNQSNNNIQLQRTFSIADAEMSFHNEF QTMKQNETKIDGSYENNNGIGQFYRNRLESNKNESDTDEMVDQQQIKPPNQQLAFSLEDQ YSQSQNQLQNSKMDQSTLNSRVSYLQNGKGVVLTEGEYLEIKKTIFGENSTSQQERIRKS SPFQKLKSWELVHLIIKTGDNLKQEQFALQLICQFDQIFKKEGLPLQLRYYEVLSLGPDC GMIEMIKNATTIDSLQKNLQTKYTQFSDFSDFFRSFFRDNIEQALQNYVQSLVAYGLVCY FLQVKDRHNGNILLDDQGHLIHIDFGFFLSIAPGKGMEFEGKVPFKLLSDYIKVLGGVKG YLFQDHFRKLFYKGFKACQKHQKEILLLVEMMYTGHGTTLPCFSKGELALKELEDRFNPG VTSDAELFVYVQGLINKSLDNWRARWYDKFQYFAQGIFY >CAK66809 pep:novel supercontig:GCA_000165425.1:CT868048:8817:9923:-1 gene:GSPATT00035939001 transcript:CAK66809 MLLNKEFYLYFPEEHEILIKFKKYEIRKFFDGQIQFTQNEIQSIQQFKQKYQVQKEDSFI LRMLYATKFKFEKCLEAIKNYDAWRQSLDPKVNPILLRQGVIYMHGRDNRFRPILVVNAQ KVAGVKDLDLLLQSMTLFLDYVLYNCMLPGQIESWIVIMDLGGLGIMGLPKQDLYRIMNY LSSNYRSRMHKCYVINCNKTLSITWAMIKTFLEDITVNKILFESCPISLLQYANPSQLEK KYGGMANDKSDNFWPPQEVSPNYQIAVDQIKLIDQITYVDLFKQGKLIKNLVCQELIKLQ QLQQNSEQIKQQQKSEDDFQSCEEDNDL >CAK66810 pep:novel supercontig:GCA_000165425.1:CT868048:9952:11275:1 gene:GSPATT00035940001 transcript:CAK66810 MGQANCACVKTVSFDHNQIESDKRLRVSRKENQIEDEEIKLLKSIIKIQALLRGWIVRKK YHYVQVQLYNTKVNNILKQFSLTHLSKFSKMPPFPFSEYRYQDTESDSYDNRFFRNAVLL ENGAIYIGEWSGDKKFGKGIQIWKDGSIYEGFWIRDMANGKGRLYHANGDIYDGDWEDHK SKGQGVYIHSDGARYEGSWNNDLQNGQGVEIWPDGAKHEGEYSNGVKHGKGRFVWADKAS YCGQFLNNQINGVGRYVWPDGRKYCGEWLNNKMHGTGLFSWSDGRVYIGEYQDDKKHGQG VFEWYSCYLNCRPDGRKYVGYWLEGKQHGRGLFIAGAQRKQGEWKGGNRERWIIFESDTD LDSIEKKMNQLKIYYSSIDDSQLLDKSKKPLPLPNSSLTKGEKFKPLQNHNF >CAK66811 pep:novel supercontig:GCA_000165425.1:CT868048:11910:12485:1 gene:GSPATT00035941001 transcript:CAK66811 MQKGVTSHSPSSEHSHTEPRETSLPKFEFEYPHSCYGVVVQSTKNSNKLNQLLIHQVNLC FHLIVFQNQGEEVKEVINRKVLVSRKFVASNSQENYKTQNFISTKHISFEGFKTKIVKSK INGIFFDDENIPMILNFNLIMLIYEPIIIQLTCQITFILNLLSTLIKPILVKVIMDNQYS GFQKKMMVVSC >CAK66812 pep:novel supercontig:GCA_000165425.1:CT868048:12831:13247:1 gene:GSPATT00035942001 transcript:CAK66812 MKKYYEQKGLNVFDFLPQTYHIKNKVVDLFVYKIRIILFGLLNLPNLLIEVMEFTYSRQQ MKSNSSQEVFIIIRMESRRLLLFKNILKINYFITKENLILDDISYLLLSMDNRRAIGIKM DTKELLALNSVWSIYKSD >CAK66813 pep:novel supercontig:GCA_000165425.1:CT868048:13474:14202:1 gene:GSPATT00035943001 transcript:CAK66813 MIDDKSKVWLIETNTNPCLECLGPLLPKLIPQLIEDLFKLILDPLYPPPQFFTLKSLYMI NLKINLNQYLIRQCWMYHKYSLQTQQMSNDLFIIFNQSIKIIKKKSKYNNFIENCIKRQI NNLMPNQKIILLYIEFIVYHNMQFETPSQLKYVIKSRLIIPLDLINQMHFLILSQYFRVV LVTQLKEAKRECLIFYYLEPFIVYNKSSFQNKNNMVSIKAMSYLQYVILNEESFTKRKMY QD >CAK66814 pep:novel supercontig:GCA_000165425.1:CT868048:14388:21724:1 gene:GSPATT00035944001 transcript:CAK66814 MDKFIDYVPLLEIIIKSVETNSIKVREQFEEIKIELVNGEVKALTGYGKSYIGNKVQSFT FKQHILEVLSFLWRFEILMIMVYIIISWALQDDAASNLVLLFQSIVLLLDYCLFVIKSVL KFRNFRIVESQLNEQRYSILENFIHSKEPLASDSSEDINTYFYPQKQVLREQIKVGDIVI LQKKHECPADVLLLESSHQVVQIDQNEFGIQTPKITIPCQSTFSNLNRLSLVQPKSDTKG VLVEFKLTLNGQIQYSYQLGKKSSLMGTIKLKNDPKVFKFNQDNIIFSGEKLLNTDWALG IVLVAGNNCLRIYKPIKHKRQKSFLFIGLIILGYFISLLANLSYKSIYGIHLCFNSMLLP LYAIFYKEFVQIFHYIKQPSIQKKVKPMNAIGQGNSQGKDIVQDDKHIWIEFNKVKNMTE IFSQDNLSNQEQIKDFQIMMQQTNYWKCSKVNLVCLELNQQYFHKKRKIQSIYIDDKQYM FKYKQLVTIMNSNQIQFGDNYYKTLLDTGRENDIFDEDKKDYSELINSHPYSPHNQEERQ NYGMSTNLQFSLSKKTIDVSQQKKLKNSLGKTISIRTRIVKSGSDQSSSINQLQKQQLNE QQFITQLFDDFDNQYHEKVVALLLLCEIENKYNEQKKTIETYCKNPQDYILIEFCNLLEY KLKCSIETERQIYDIVLKSQLKIIEIQGKLKQYKILSENKQTKNRVGVYSVLLKDPEEFN EDEGALLYIKIDTSQMYENTNMNINVLETNSTLYYMLKRGQQPTLYFKKQLKKIEAKQFL LNKMNIMNSYTTDEDQLEQLYLQLESQAELLMIIGTHAKIDQSALLTIDAFSQANLNTWL VSAENQFVVLRFLFDAKFIESENELYQFSSGDERTLKFAIQSACSNLIKIFEAQDFEKKY ILLSCEALLTIIKDEYLLNHFAIIVQFSRGLALYDSLEISKVKLYKIAKKLNMQIGYIGS CVNNQRVYNMSQISIDINPKCYTQSKPCYLVNSLRCAEQILILQCISSSQLAQSILYFWL YEMILFVLINLINQGQFLTQSCNILLLLSQTFTVMIQLLSHNQRFKNSEVHYKGLIPQRC LVKVLFNKESLIKIFLVGVIDAAIVSIPLYATKYLYGDSNYLPLSQFAFIITLELIVKIQ LYFQLFEQQYKQLLGLLLLSVFVVALVTFLTIPDYNEYHVSYSDLFYSPGFIIRSLIFVY IFAFVFKFAVASILQLYNLEMFQDLLITDESNFKNIEQTKKQRQTKQSLQLSIQSRKKFI KSILADNNQMDDSIYKALNNNINNDEQIELKKNLTFNQKEFEIRYQESMSQNSILYIIFE AAVFINLLIQVNYIENNIAIYIIWGVQLFYSITIHILQYLEITPRKIQQNLQLISILSRF LFQYITIFLLDQDYIMNQVIFTSIFMIILLNYSIKQSLRFKGTIILMSLISLGVVLKFIT PKYQYSPQKQIIFILALIIICSLSIVCGYFLSYVNEYLRRQNFLLQEQLEKEQKKLNDAL SILMPRFIKERMARGYIQIQEDQGPVTILFCEICDFDDIIESEKVSIISFLDSIYRTFDT ICNQYNIQKIETVGQIYVCASGLKDYDIIDKQSKNSTQKMIECAIAMMESTNNLKYQDKQ IVIKIGINYGNVMAGVIGQHKPQFSLIGDTVNTTSRACTVCEPGKISLTETAFIRVRQMK YQFQVKEINMKNIYVLYQLVINKKSTFAAKVDKSPIIKLVSSIQFKRLDSNDKPINNDLM TNAVNPAGFQFDLARKSSMSRNKSVYQLPVEQFGKVIQDVITANKLILEDISNRRITMHR KSRVTRYKEVNPQMMSSHDQVQQPQQQQQQQQQQQQKTEDPLGDQFGDLFDNMKVADSQK NVDDLMPKSPQNNVKSQKSIQNNNNNHLFDSTLQQEEKIEIPKLQQLIGNQEKIETDIMQ ELIRVKCDFGTNQARRVYFRQFKYKEMIRIYNHKLFKDMVQYCLILFIYLMIFQLPILFA LMEYKQYLGVNLTIEGLKIAFYLMSCIVVPFCLRDAYKYIFQIGMIIGYFISILFNIITI IINDPIERSYITLILAFTLCLGYYLRALPYFTSRHRKLLFILKYFHIIFILSFANEWNET IIFILAMEIFIFVQRNQNEDLLYQNYNIHDQLLQQQQEQNKIISHLLPSHILQQYFKEQD TIPDVFENATILYADIAGFTKYSTSVDAQQVLQMLSSLFNKFDVACTENNVYKLYSIGDS YVVIGVLDANNGIREEEAYNVVNLGFQLLDIVNLLKKNIQYPDINMRIGIHTGNIIGGIV GTDIVRYDIYGSDVAIAKKMEQNSQIGRIMVSEDTMKFLDATYTGEFSYVFANQIEHRNR IIQGYYIDKVQIEE >CAK66815 pep:novel supercontig:GCA_000165425.1:CT868048:21898:23085:-1 gene:GSPATT00035945001 transcript:CAK66815 MYKVLIALKSQQQFKCSVTMAGKTKVVTLPQTEGLTFNVNQLGSIQLKYNDEVFKIQMNE DITETTGEYKFSNVIGQYYIVLIQDVEKPSKLNIKQNAQTSESPLRKNETERSLTASAKK FTFKTPTNRQKSESNQKLIQSFVLGDNLMEQLKQENKELKQRIIELENQVISLQEQNEQK NEKVELPKQEISSNDFRIDFQNLLKLQQRQAQENKQLLKQLMDLDTNYQELLDKKSLVDQ EVTKLKQTISQFDCQITQLKSRLTQFEIDGLSQNIQLNLQIAQPIENDEIIDNLIKQILK MRATGSQYIPIKEDKLDSKLAQLIAKDHTQQYQFIRLKQGLYLIGEEQYQLFLQEQKIMI TTEEGALQLQDFIKSRKRVKSINVRKP >CAK66816 pep:novel supercontig:GCA_000165425.1:CT868048:24040:24678:-1 gene:GSPATT00035947001 transcript:CAK66816 MNPRYNYPKRSVSSMERQQILKALGSNPSDKHIEYMAQEYDLKTRTIKSWIKQQFQNQSK ASESEEDYQGNRKKKTKADKDLMDFERNFNQNSDAYHYEITSMDLLKSDKLQNQQESAKP QQRVNSTPQQPSKPLSSEDFFSMKIDQQLQQSQKFSLQNFDALLLLLDQDDEIHHLVSLL RNQERQIEELKKTQSEILEYMCSHLTKKPKKI >CAK66817 pep:novel supercontig:GCA_000165425.1:CT868048:25223:26966:1 gene:GSPATT00035948001 transcript:CAK66817 MQLRDEDHELCKLTHLNRSFICTNMECIQAKRFQLVCHKCLTLQHTNQKGIQKFKNTKTV RHLDDFIELKDLLNQLESKYQARFNFLQILQQKCFDFQKEQIQKIEKFPFHDQNFLLQAK VEVDTQLQMFINELGYHQRMLNESKQYNNSTQKSILDLYRRNDDKFHNELINKQTQVFDR VRTSLAKKGIDLQKMFANQEEKLIQADDRLKKLENPYATNKLFKFTFIIAILILISNQFC ILTQKDQKYIQIKESLLFESDINQIKEQVSDFQQENIIKIEEIKNTLESKLINEIEYLKL YNQQLKVEMIELQKQFKEVAYSQNQTINTLSQELFNRKEQVSVQNQSSDLNSVQIIKQPN DWDNNNKVLIEKFDQVDEDIKQIYSKFNNTIQEVREYVDDRILRNKQFFNAQKYLLGRIE IDYPIVLLQGFTMYLDQYLNKSLSLDQIEELTNSFSLTGIACFGGISVKKPTHFALMACD YAYEIFTITTSRDRARKSRSGDNLFWYFVPRISIGFAPNEKVQLQLADNFEPQDESRFSI WVEHPQGGRRIGNQTSLIDTTEYKIALYVME >CAK66818 pep:novel supercontig:GCA_000165425.1:CT868048:27862:28523:1 gene:GSPATT00035949001 transcript:CAK66818 MNGFEIIEQSDDSVVMKVNRQYFTMFYSMIQSQLNNEECGVSQDFLRRIVPFFMNQFLIW AMQTNEDNLVSVRITLMKIKENKKSNQRKFELGDLKKIHNPKDIKKYKLVREAWESFLYS QHIRDCIQHNKRIKLGSIVQYMEAINILILELDKPFPYNKLLSKYKQGVKNKTLPVQQFQ PYQDEFSILQEDENSTCFTLGRLH >CAK66819 pep:novel supercontig:GCA_000165425.1:CT868048:28721:29257:1 gene:GSPATT00035950001 transcript:CAK66819 MYYPSSTTYTTQSYRKFKKQEDKVENTNAIQDYHLQRTLKQVEQLQRDLEQAKMEEQNSQ KQRRQAEEKLYHFQLKYDDMNKECMNLREKIAKAEGDRQVIRAQGEGEVKALNVQINYLE KQISELKLENEKLKKENEQLHHQVLDTKNQSKKPIQNIPQNTQQRPQSYY >CAK66820 pep:novel supercontig:GCA_000165425.1:CT868048:29468:29827:1 gene:GSPATT00035951001 transcript:CAK66820 MKGLGVFLIGIYIAIYGIALLTDPWTQLHYDRSVRQLGMKNLQQFVALALKARSLYGFAH IFAGYCLMNKISQMKLIVSCIFAIDIIIQVGFQSIHQIFQDVILIGIVNYI >CAK66821 pep:novel supercontig:GCA_000165425.1:CT868048:30361:31166:-1 gene:GSPATT00035952001 transcript:CAK66821 MQSTQKNREDNNSSRSRSESPLSQEEQERRKKLPVYERTLEEKIMSKKIKAVEKDRLIKV FNALIQLEPKRVEQEMDGNKTTDGDTKLGKQKRKKSNEPKFSAKAIYAMMKKLKYTPIGD EVERMIWEIDEDLDEQISWYEFQLMFKRCIKDTSYLEPRGLFNFVQFLMYAYDKEAIKNT ITVEDTLELLYVRCGRQNLDGEIFKIFGQDEKTADGQEKEITYSEYLAQMKKKDFNNWTE LENKKKKYDPSKEQNINKKD >CAK66822 pep:novel supercontig:GCA_000165425.1:CT868048:31283:31868:-1 gene:GSPATT00035953001 transcript:CAK66822 MSSNNNRQLQARPNRKTEQSFDFFKNTKVSTCSYIKRKGDDFIAIPFYFKPNQRNLDYLN KSMYSTSTNQDAYNNKRRCFSSMRNKPLSPYNPLAHRSRLVDQSLRISQSNVCQVEIGDR NQKMIKHYVTQYQNQLGNFGDTTPCSNPQILAERAKWNHKLKNK >CAK66823 pep:novel supercontig:GCA_000165425.1:CT868048:31903:33269:-1 gene:GSPATT00035954001 transcript:CAK66823 MKSQFGSGIESKPEAEYYPEVKFGTKGNRYSITFVADQRMCDIFALLVNMLSQLQKLEGS FKIKDVHSTFENQIYSLQIDFEESVGNPKSKIVTQGTIYIYGEMTNEKPSMQIILEKTDQ FSNADLEAFMACYVEANRPRTLQHIKNFSSSQQVQAEIKQQQEIKTGKTLQELEKAGVTI FMPDNKERNLDWNYLAGYEKQKRDIEDTVLLALQYPEVYDSLTALTRVKNEPNRPKAILF EGPPGTGKTTTARIIAQQVQIPLVYLPIESFMSKWYGESERQFADIWKGCQQLGRAIIFI DEIDAIAQQRGGEMHEVSRRILSTLLRKIDSFESNTNVLLICATNRKQDLDAAMLSRIDL SVKFDLPDNQARQEIFQRYAKHLTDKERDILSQLSNGMSGRNISDICKDAERRWAAKLIR KEVTEQLPSLAQYKETLTQRLNNFA >CAK66824 pep:novel supercontig:GCA_000165425.1:CT868048:33615:35280:1 gene:GSPATT00035955001 transcript:CAK66824 MQSIKPIVQQISYARQGINEKKHISENIEKMKVAFDQLAPAIRNQQDYIQNMNPSSIQVK DGAEKLQEQKRYFLRFLDLINYELSELITIARNTMGLKDKVTNPDPFWEKILYQKVKFIE SIKDQLTPDTNYFELLFKTLNLRDNLIIQFVKALLHRKLLLWKDMNIRNEPFVHAQIKAL LNNIESKQTKGQIVSEKLEFKEIDFANETVPGVWRYQLESKEESYSIGIFLNLLKETYDD LITSLLNYCNLSTDPAALKQVTNNSKQVQSLRQQIEILVDENEKLNKQLNELKVTKRSNE FVEIKRLQQVIEELEVSLSRKTREIDELMANSKGSLSLIQNLREKLKDVEEENHRYNNIF FPKQKDIEQQTGKLLDEFLQIKKDIDTYSSLFKMESQIREKALKSKEQSEDQVQKLVDML GRSKQKNKELQEVIKQKESIINKVLEAKRQNMEEIQILNKEIIIHKDQVQYEQNRVKEVQ QQLDILEKKYQDMFEINTHFNKRIYELERQKKELLDILKKHDIEPDPTKLSFINELIQQ >CAK66825 pep:novel supercontig:GCA_000165425.1:CT868048:36038:37355:1 gene:GSPATT00035956001 transcript:CAK66825 MTRGFHEDQQFKKEFLQIVDAHGGENCRHWTKVARVYFETTGIQVNQTYKLRKRWETLTG YKENLSEEQTKILYETGIKCRGNNKGGLQSFTQQTGVRMYLGRYTKTISKWLRGAIKLFQ EMYIHDKKTRYRRLDEDNYRLSYVSIHIMLRAVDVNDIGDDPVFQELKQCAIRLEQLLLL HAKIHKEFDKRENYENYDIYKNFLKRWQFRRIMFFLDYVNELKQISISYYKELVILAHPL LKKSIELNKKTEVYQKIILESDWQSKYQLYNLCQALQENQEYSMLIKKAAKKQKDQPEKE VQENVQDVEQNVQNDHVLQGYDVVSKSVHKVCNKMAHARITPTEKQKLRTFRGHYVRPGQ IKSKGWTTILFDHDLPSSQDEREVEDQQNLSNLDMKELSRIYNSNFDKDIGKLQGKYREK KQKKNKPYAF >CAK66826 pep:novel supercontig:GCA_000165425.1:CT868048:37398:39564:1 gene:GSPATT00035957001 transcript:CAK66826 MIDFSYLRPPADVFQIKHDPFYIKHGEGKNHVRKIFFHQEFDEYEKQKIKELMASIQQHK LALPPDWCQELSLKYCYSGGFEISKCIQRLQKHLEWINDENYQVLSPEAEQYLKQGYIYQ FGRDHQYRPVVYIQLHMISKNKLHVPTLLNAATAIGNIVTKFMYTRGYVENWIVILDSGG SGLFDFPFSTLSMINEFFSINYTSSLHKMFILNPSFFFNSSWKLIEKIIHPETAAKINFL KASDFYKLQELIPAEEIEIKYGGQHPNLVNFWPPINTKAQNNQPNDEFQYVQRPQTLTKR TQSVKESEYFSIDNNSKEFYNNSKMKGNLLLKQNIGYTNSFKKLINKTQNVVLVIQCETK QFFYYIKYSMDRDLIKGNKICYNYEKERLRQIHKHNLQIAHPLIRTQMERLSQNQNKKLQ LQEERFTEIERENRILLEKIQNIMNNERKRASSNWQNNNMTASNFNQSQSVNRKQSLNKE KRKKELVRITIENQRLMNRLVTKKPNYNTKIILKQTSKQQQLAQQIAEYPLKRSRIQSSN RQKSVDLSKSSFMGFLPTQTNFTPNNQQSRKIMLYKQNKKIDDKLYYIEIFYEDDLFKIT VDDEQSPNTKVFEMPQEDGQIVLREIYNNNILQLVDAISVDNNIHLAGLEQFEQSQ >CAK66827 pep:novel supercontig:GCA_000165425.1:CT868048:39885:40633:-1 gene:GSPATT00035958001 transcript:CAK66827 MEKSERRSLGGTVTTLRSSRGAVLVNQDGSYAYICPMKNESIVQNEKLIQEPQQIMLPVF GDDELAFYINPQDLPDLVDEVLDTYLTHSRVFLVFMCVQMIVEIIFDSMVWINQDRIEKL LALIYNKVPLADIHQMIILCFCINILFQCIYYASGITGAMRKSYKTLNVFGNLSILGMFL QIFLSYTYQFNILVFAFRVLSCIYAKFLSHLILSLILLPH >CAK66828 pep:novel supercontig:GCA_000165425.1:CT868048:41252:42642:1 gene:GSPATT00035959001 transcript:CAK66828 MIRTDSLVNKTNCLSIFLIICVFIALTPPQKTTNNNSFEIFEVEVDDFEINYFLEYDIIY NDTDQFGLETVQNWLPIQSELAQLNLALDYFSRLNLDKLIKQHNEFQVQVDKCLQLSLNQ DLENFLNKFNSTINNDSQIADYMSNLLNKRNQLHELYMERKNDLENLNNEERMLKSLIIE YQINEQDSTFLYKKIINLKKLKQTIEESNKELENMNEKINQQKSLGEEEDLSEYYKKDQD QAKKLRQLFKPAGTKSVEIHNEKLYDLVNVLDQNIKQYSSPTEVYFNGLNFSPELRRNAL EELQLQYQKLQSKFEQQLKNQEDLEREIEELERQKKGKEKRYADVLNKLEIVRNAQRIVE KDYDIVKLQIEEITKEIKNIMPINNIENNQRLNVQYQYKQYIYEHLDQDQKCVEKCVQYD SLNQQFYMGRYKQSLYLIQRHFQELDKLFNEFKI >CAK66829 pep:novel supercontig:GCA_000165425.1:CT868048:42799:43451:1 gene:GSPATT00035960001 transcript:CAK66829 MRQLNEEETKIFYEKLGEYIGANIKLLIENEEDPHVFRLIKNKVYYMSLQIANWATNVGK DELLHVGTYFGKFTKTKKFRLNITCLEILAKYAKHKVWLKPSGEQSYLYGNHVIKAHLAK MSENIPQYANVILFSLQENPLGFGVASRSTLQCKDLDPTTVVVFNQADLGEYLRSEDDKN VEK >CAK66830 pep:novel supercontig:GCA_000165425.1:CT868048:43569:43946:1 gene:GSPATT00035961001 transcript:CAK66830 MSTKVRISKRGQQYFVSQKENYTPFSNLSLCPKPIIALAQSSYDPNLIEQTLNQLKIPSQ QKHDSHMNRMKQIADKQEYYVHGQVSSYSQIETIGQFSDHSFYDQLEANQTKNQQNYFED SYQLF >CAK66831 pep:novel supercontig:GCA_000165425.1:CT868048:44039:44697:1 gene:GSPATT00035962001 transcript:CAK66831 MQQSQSKRKFQAMFKRVKERSVQMAVEKVEEWRHLFENGKVDNQGNLKKLTLQQAAEEVG IPKKTLEDYYQLIKKAKEIQPIEQLYDHKMGYLRQLIKQSQNFKQNAIEIETEEQKEVYS SDDEDIPYQNQQTELDYEDYFNLENQFNYDQNSLVMVVQTIPQITLIHPKKSDPWENETL SCDSGQETDDEDF >CAK66832 pep:novel supercontig:GCA_000165425.1:CT868048:44733:45404:-1 gene:GSPATT00035963001 transcript:CAK66832 MHQLGKSGKSKSFKDYSILKKVQSLSRHDDTDTDKTSLLKQKSFDLTTRRNSSILAQALV YESSQIHRITYIQDPNQYIGMNLLQQKIWERIQKCSQKYIDDQSNLSIIVLKPDQEYEFV FNISKMSCFSKIKQLTKSLSSHFYGLTKIQLQDPYLSMLIGKIKTQKLDNDMRLFELLNI IMNGKKLLVLQCNMYSEI >CAK66833 pep:novel supercontig:GCA_000165425.1:CT868048:45960:47050:1 gene:GSPATT00035964001 transcript:CAK66833 MKRSIASVKDLADYFNVAIQHRANNTIVAGQYVARKVSIVSLFMQTTKGRDKICCLIQYL ADLYEACIKFSNIPEIQAASSDMFSKKIASRIRESMKNGRKIFKFLKFFDSIRCLSNIHA KNKPKYYKITTSIMHVCNFFYYIMDHIIWGINIGVLNEIVSLKAKSTIKGYKDSFSLAKA LLKLLKSFFDYKIIYDKEMELVKEIKEIPDKLIYEDAIAVQCANSLINSRQKRRIKQLNF IVTSLRIVMLLRRLKLFGLNKKISKIFYSCSGLTITLINIMVQLINNNELINQKLEKNEK EKDKDKEKERKLARVNSFIPQNHQLKKVKSELERVLGEDSENEGD >CAK66834 pep:novel supercontig:GCA_000165425.1:CT868048:47467:47954:-1 gene:GSPATT00035965001 transcript:CAK66834 MDNLYAQEIRQRYHSSMTYQEYLDTYDKPPPKPQIRPKETPQLLEPLILEGHKGPKIQQQ KLTVYSPDDVIFEESENDEKPKALNNISCQRALKEHNIRIRSQSENLGFSVFRFLQQKKN KFP >CAK66835 pep:novel supercontig:GCA_000165425.1:CT868048:49452:49920:-1 gene:GSPATT00035966001 transcript:CAK66835 MGKAHKQKGYRLENTISKKGFLELRKMLGMPQFELAHDNSNIMKIQARLLNIDDNEEWNN SYKPIPEVKIQNYLLHCQNSDQSIISSLNKVDRFLSQQGRLKTIGQNKDEEKQKKQNSLS TEVQYSNKKSLN >CAK66836 pep:novel supercontig:GCA_000165425.1:CT868048:50554:51724:-1 gene:GSPATT00035967001 transcript:CAK66836 MNVNYCSPNFQFENRPPLKDYTSNIDNIKQAKNRIAELQNIIHELNKSNGKRSVSPLAKS PVKVNAPIEYHGTSKKQSPRFQTPETKPREIRVVSENVKMQTENFIEQGILQGVLPDHLL EKSIENYTLSKVLGLGSYAIVRLAQKGSMFCAIKTYEKVKINDQQKRKNVCREVKILSKL RHLNIIKLVGACETQVQLHVIMEYYSATSLNSFIKQQPQKKLDEDQAKYIFIQLVDALKY CHHKSVVHRDLKLENILIDPLNNKIKIIDFGFSIAIDPSSKLNIFCGTPSYMAPEIVNKS TYSFPADVWALGILLFKMTTGQFPFRGNDDKDLYKSINNGKIDYPQTMSIQLKSLIKKIL NTNQNERPSVKEVALDEWLQ >CAK66837 pep:novel supercontig:GCA_000165425.1:CT868048:51821:52821:-1 gene:GSPATT00035968001 transcript:CAK66837 MSDFQENQKQLTNSGIQHSTTSQSIQGSSSQSQSGTLYNRDESTVKNNGDYQKNQDQLVQ QLQSKIKELTDVLKEKEVEMSMTLQGNKIQLTDLQDQNSKNMKIIQNLNQQNFELKDQLA QLQMNAQLQEKEMQNLKTQIEELKIENGTQNFRAHNKIQINDVSDQKYQGQEENQQELIN FQPKKHEIALLSFNDSAEMYKNKCGHMIEKNKLESLLIQAVKNNQIVKCETCNSNFSATI CQDIGMIGENYLKIKSTLELSQMYNNYKPLQKSHLSVVSCENPSCNFFCFYQTANHYDDS DYSYCPNCLIRSVNNPKEVPNIITF >CAK66838 pep:novel supercontig:GCA_000165425.1:CT868048:53614:54901:-1 gene:GSPATT00035969001 transcript:CAK66838 MSAKELLIQLFALPKGEIIFHDYSCALKSLISKYGRIFIAENHICFYANLAGSKINLVFK LNDIQRIESKNKNDIEITLNNNKVYCFTSFQNKDHVFNFMNALLQGQPLSTFSESTVPTE TSNSEQSTVDNTDVEIQFLKSESSNDQEICKFTFPFSLDKFFDFFIADNASLYSVYDHRQ NEKDTDMNLTKWTVNEDVSDMYQREMKHVMKLTGVPFKDKTRMHKLFTYKRDQQKINYTC TTHTLDVPYGNCFQAEEKWEVTQLEDNKCILRVFVSVVFTKSTIMKNTIIGRTMAGYKED YEKWINNVKLRLETQAKQSKSQTTYSTHEYEESMKLDNENIFSKILKKSTSDLSIQKEKS GLPSISIQKEAGFVLLIAIMIIIMLIQIAMMSNQSTRLEQLEQILLQNQQQRFLLLNDQL >CAK66839 pep:novel supercontig:GCA_000165425.1:CT868048:54941:55569:-1 gene:GSPATT00035970001 transcript:CAK66839 MSDQLNFNLKLYRERLTDKYQRNSSNSNIGQDNQINSHRKSFKKNAPTLDSISSRLQNYS GNKLRTDIRVCSPKNLSSPVTLNGMQQKIKSITTSPQQFVNNNFGHPISSPKSVVTQFQG FYQSPRAQTDRNEIQQIKRYNSNNDITEMIPFHDLVNMRNKLENYDINGAHVSPTYLSEI VKLAHVINTQLQKK >CAK66840 pep:novel supercontig:GCA_000165425.1:CT868048:55814:58673:-1 gene:GSPATT00035971001 transcript:CAK66840 MGNAVLCQEPKKKTTRGKQSDRAPKKIEVSESAPQTQRVQQQQQVRTPKPQQVDNYNCQV HSDEPIKAVCMCDDCQHNNKILCVECLIDYPCIKKLRISDYLRHLRSCLSKDAQEAFMEL FDEKNQVKSDLSRQITAVIEMILTKLSSIGQQYVPILITNYYWASQRLPFKLKEQTIHQL ELILENKANEAHPETLDFAIYLIQNKGVVETMCEQIERKKNKITEFSNDFVFKFNQVVNK YMTAIEVINSIQIQFLFHLLTTPMEYLLDEDQKPQLMSKRIVQNKDQTNISGRFKQNEDK SNITSPKEPQQTSNQQSQQQQQKVNFKCLAHNQEVLAICVKLDCQHKDRKMCADCLIDTK CVDRMKMSEFSARLSRVLNKEIIEQLAIPWDDLSIHKGIEKLFSTAGENVKNLLTEQALS VKEMFVKFHLIEESTPKELRGQFTKEYFDQFIHMMTVQYIIQITILEKQHRTILQLFWGL NNMLSAIVKTEQVLPKKADKLQHKLVSVSQLFLEKIQNLMDLYKQHGEIEAIQKQYHLLN SEPFCLEESIYKIKQDGKSLSEWEPFHFFKPSDKNIIDVCFVNENLAAIAIIDGTIIIYN VNLKKQTQILKHGIFVFSLRAFQFNGQSVLLSSGYSPQTGNSLCSWDLKSLKPTFIVSQA HNNSIRKIKYLHVNPQFSQNFFTNSTTTAQLSSQVSQTGSMNSIFLDIDEQILSCSDDGI IKLWKLDTSLKIVEEQILKQGQQVLDFTLLNGCILVAANNDKTVSISLPFSNINPFQYIN DDCLVYAVSPIDQLISKKKQSIQQKFITGNEKGIAKIYQWQNGRAVIMKQLNLHEDKITK ISMLQPGFIASVSNDKSISLTLIQQAKVLTKIEKHEYGIVSFAYLKANGFMITADQGGNA ILWR >CAK66841 pep:novel supercontig:GCA_000165425.1:CT868048:59899:60366:-1 gene:GSPATT00035972001 transcript:CAK66841 MADPIKIVMCILCCPQWFSYYSFLHNCARTCDITSKIFKSIGSTLWKVLFVLFMAFCGFE LVNCVLCIVGCVHCFGTPFQAYQGGSTWVDSFHKMVLEPSCDFEGKISDILGKMFKH >CAK66842 pep:novel supercontig:GCA_000165425.1:CT868048:60535:64178:-1 gene:GSPATT00035973001 transcript:CAK66842 MKPSLSFSEKKQVVSDNKVLNQSCHCTDCGGKIHKKLLSKQDTDHFPLDKIIKIKHSELD KTPTNLETLLRSSGNPSIHTPMNVSKTRTKFKPITHILQMVHVKSVLSKLVNSHPIKQQQ QAFQSSPQTLSDFTSKLQGLKIFEPKDIQTPVIGQRLKTEPIIQNQQQPPQIDTKKFYYP RVSRISQLGASSLLNSSEAIDKKPVNKLAALTKHYLKEFNVLISKNRIRNSKTLLRDTID VYYQIMKKQRVQQQSRFPVTKRPLQQFDLETEEQIEQRFNEFLNEAQNETIKLVTVEKGK QRRLAFNQFKKKTIKESQMVEQSSYDGENQSRADEIIELEPKPEKEINQKNEEFYKFFVD RYFHKQVVKQQASTQTLTNDVNFLVNRYGDFLQNSLMSSLIIDTLKHKFQTQIPTYDHFG TAQKILQSFNVSAVTLCNMKTAEERQAYLLNSFQSKCDSLMSDWVLKIAKKDKQLQMIDK QFTLTIMKNRKQQNDLFKWLTLKSKYQVLQKKLREMLMEKINEYENQIKIADAISKNKKV NLKGIETIQTSQIVKNNNFYSQSNQDLLQWNPILEAKFQKERLKAQYTYNSAINSSNFNQ VAFMQIFDQVKIDDPVYLQREVKMQKYMNGDWLTPQEMKFLANTSELLNKCVDQKQVKKA VMHIKEKQIYDLENYLAQQIIQNHQSLNCQIQQARSFWLKEQITKRKAQIKQHDKQLQES IKHNKQVKEHDRKVKKLQRICKKLEDNISIKDQVYRNFFALIFVRKIIQKVEYLRFIQLE KSNMFVKETLAEQEKLRLHKQRLKALSLPYNHEEDKRRRYHPSSKVGFVPTHGMTADDWE RIKIQRQKENEYRLGVLSIDRNKKENFQKFYSSGPNKALRQDPIFDPLNPNEPPKLSEKE LNSIIMIQRAIRKRIAYKKLKNYKELFFQNKLRIYSEKLTRLNSEKEFQTNVKEMTIQLI NKPQIRIKTSSNRVKSEISLAKSMRTKLKTEETAEPSSIGFGRQGRLVTTQNLSKVKTLL VKHERLLRYSKLNQPNHILKSGFYYTQDDIDVMDQDGNTALYYASMHGNNILIDFMLKHG ANPNVLCSDGTPMHMAIKSNKIDVIYLLLNNGGSLNIVNKHNFTPLIYATDKILKQLGMK QKGIVSVSKQFTEVKNDEILVSKDMASQCD >CAK66843 pep:novel supercontig:GCA_000165425.1:CT868048:64294:65507:-1 gene:GSPATT00035974001 transcript:CAK66843 MPNKQQQKSSKRQNQKKQPKLQKSIDDEVNSAAQKPQQIKFREQEQHQIQQFINSNDDYK LLLLTGQPGTGKTTLIHQCSKQWRIKKYKIIYTNAMGFQNYKEVILYLSKKLQYRNVITH RDFEKKLQKQTSNKQIIVFDEFENLFKVNEVEAFQIFQLSKYVHLIGICNNIGFLNLKSN KHSIKLPPYQNIVFEPYTLIQVQELVKDILTTKMSKQIDQDSVKLTISKTYNQKGGDMRQ IQEVLSRIIRNAEEGLTEDQEINLNQVDSEMKGVVETLAFNQQLIIIGLMVLLRQNPIDL EIDMQDLIRKVNEIKYRMKLQLNVDLEEEIIQLKNYNLFDLREVTQEQMNFKVKVKKVKS KFTSDELKYQLSDLDALKTFYNNYEYI >CAK66844 pep:novel supercontig:GCA_000165425.1:CT868048:65848:67617:-1 gene:GSPATT00035975001 transcript:CAK66844 MVIAFIIKGILAPFIILLLYSNSKALQNQEQYVRNFWENFFGEVYQIRKQTFIKNSAFKP EYNQSRYNVAESPLYCKKSDSYKMTHPDIVLQDYYVWRDYPPSNLPKKITLDRTFAVLDK VSPTKIATYKFENQMPLQLGFIYTTVSSLYNNYVWGKGLGCVLQKYSHIPGKSSVAMKNQ LIDNFMRYVRGFEKKNITNPECKRNASFIPETYRLNDEDDCKAFFETFKTDDYQKRFKKY GPQYILKTNQHRGEGITVLFEKEKNDLLVEYNHGQECGNISKQVIAQRYISNPFLYKGHK IEFRIYYTIISTKPVIAYSYSRALIKRCAKPFSVHSTEKGAHVCNTAIVKNLVKADKDDE EIEDEEFFIDWYLEGLEELLIKQGRAKKGWLQSYLYPKIHRSLIHMTKATYHSFARDSTF GEFFAVDFLLDDNLDVWVLEVNYNPQILSVTPDRIKRNYKMLEDHFEFAICLYQEQIVSI VLIWQNAYEELEQINSQSIQIS >CAK66845 pep:novel supercontig:GCA_000165425.1:CT868048:67786:68300:1 gene:GSPATT00035976001 transcript:CAK66845 MDSNFFEDEQFNNNTWDEYSDNMVCPSPLLLGHPQIKKFNNEDELSPIHLQYHTDTLDDD CSQQNQPSQPSKPLIKKKLHKSHKRTSSKKNQNSPSVSRVLNNFATCAKLSGDNTTQQIQ YCQQMQQLIDNLEGILSKIKSRILNSYKKQINQKN >CAK66846 pep:novel supercontig:GCA_000165425.1:CT868048:68949:69401:1 gene:GSPATT00035977001 transcript:CAK66846 MEISGFLYDNLPQPQENHEQISEDCHLYRTKSKYIKSIFRIQSPDPSIQEDCFSNGNDQS FEIGQGEFQYVSEEKNSNKKEQFKGFTKRAQKTKKLEKKRKLKNGPLSETEFIDIMKKLE QCQQVMNMIDNMVTILNQFKGSVQQQTTQS >CAK66847 pep:novel supercontig:GCA_000165425.1:CT868048:69514:69908:-1 gene:GSPATT00035978001 transcript:CAK66847 MSYQQRKGIKNESIAEYLGQQFNIQTSKINVIPIKIMSPQKSPGRLSKQKIIIQEWKAPL RDYEIYVLFLIMWYLSLGLDKLTGLPQSEFPQTQWPRKFASPINLFIVFIILYGFLTIIF TFI >CAK66848 pep:novel supercontig:GCA_000165425.1:CT868048:70000:71727:-1 gene:GSPATT00035979001 transcript:CAK66848 MNNFQDELRNAENATSNEFLSWLQKVSYELLQQKEYTYGQEFFRFQHNVYELIFKQNGNL NNRFRDTQKRFSSVEDTLQLIKLLHLLSPEEMSFYSALPSEHPYHPVSQDVLPAHIRLYF KDPSIAHMNQQYYTFQEIFQENILVEKNQLKFCVSAKMMFWIYLLNGAINFKDSALYVTE NSQKVLDCAFNKCKRKINTKDPLLKQLTFNPYLVLVRRILEHMYAKVKENNQIISNSKKH FAIFTFQQMIILLQEYSLYEHLYVSKLFELNLNMDQSKLLQSIKPSSVVLDTQMMLVYLT IYFNSQYGIDLETMYQQLKPFFKNNDISTKTNQFIYQNRSFFFECGRQQQPITYQQGLYK FFQQSFEIYSNERQCNQITIYSHLAAYVIFLRWQFVKYEFNINNQAQIFDQACSSCVASS NQIMIQLQKRRDTTDKGNNQLTKFIFTQLYPLYQVFKNKFGECPQAILFKDFKPQLRLSQ FEYPVDTQNREFDPQKNYMRNSVRLYYPFYTKLLITIFQCLNNLNYVNDSEYEGLIHLLT FLNPHNQNSFFCNSLQFLDQEPYQIPAYSEVKKFL >CAK66849 pep:novel supercontig:GCA_000165425.1:CT868048:72569:73520:1 gene:GSPATT00035980001 transcript:CAK66849 MGMYCTKCQIKNEEDLFLGNFIQQKKSLQSEENLDESQEIASSILVEIIHQVCPPQTNSK KSLDEMRQLKQVFRKEKANSADFYETEELYFEDQSKFIGKIEKNQANGEGKLWLQNGDYY EGNFCNNLMHGKGLYKYNSGTTYEGDFLNNKPDGFGIEIQINGSIYKGTFKGGKKDGFGY FKWQQGCQYEGEWKHNNINGKGKYDWPDGRSYVGDWVENQMHGRGKYSWKDGKCYNGEYQ FDRKQGFGIFYWPDGKQYKGYWMDGKQHGNGMMIYLDGKKKVGEWNNGQFIHCIENGDID IIAQNWIQ >CAK66850 pep:novel supercontig:GCA_000165425.1:CT868048:73550:75207:-1 gene:GSPATT00035981001 transcript:CAK66850 MNTKSTSLYLSSKQMSQKRIRSPLKKQSMIFKQNSDEEDNQSEDEKMEVINLNYFKAKKH QKKFNLQQMLQQGKLAEDEVDHNPHFPSPTINTKLIHNIFQIYHSDKSETLTEDKIHGTA EKISKQTNQKLVNQILFNKMRQKRIVSQHEINNSTTNVLQSFKNLSSIFKSVNQIVDYHA VANQIRQSQAQITINKEEHKNLERQQFNFNYIIEGRLNQIKLLSQEIYQYQMSLQKLRME NCKLRDHVTKLGDEIDQIKSKYTKQRQLALHQEDKLHPNKKWDRQQYFQVIDSNEQMELA RIKTFYMEYQQQLQFNQTQLKKEEEMLQDIKYTKKQIKQDLAEFLKQVLQSEEIQQLIYL QYIEYQDLSQSYVTQADFPCYLDNQSRTFLISKAKLEINNDYLKLQMKENQVKQQQQQGN RVNYEKKLFQVMNTIQNMRKQKYTLKSFELQQSDSKQDNLSQKLSNQSLQINNLDNQIIE LEEQEIQRLQILYQGKQLPNSSLQKLIKTVQAEFGYKQMNQKLSIIIQNYDNIKSQVNKT IK >CAK66851 pep:novel supercontig:GCA_000165425.1:CT868048:75239:76647:1 gene:GSPATT00035982001 transcript:CAK66851 MNLLDDLENNGTQQMDLLNFDHQANVIPNVDFYNAIVVNEFMNNRTVEVKDGEVVKEGYI TKYKLYLVRTKKLGGEWETEVSRRFSDFEWLYSELINKYGGYIIPAIPEKHLLTKVNLAS YEFSEKRRKDLQQFLQRILNHHHLRYVPELRIFIEDKEKFISLLKGELEQNKTIEQKCDN LLQSVKTLWHSSTMAAKGQDPARMFDGSEKDLTYDETLLLSFSMKGNKLKAVVEEHVTTL REEVKTLNDQNEALYSWNGLGSASEEDIQQFKQLKYNNEVRQTKINREIEDIDRKFIPKL QNSLFEIEWALTACKRRRNLQQQLLIDQQRIDQLKMQYTDNSSRRDLEMDVLKTKYQKNK DRSDKMSIIMQDELLSFISEMKQQLKSILEEWRSIMKTLSKNIVEDDNE >CAK66852 pep:novel supercontig:GCA_000165425.1:CT868048:76825:77401:1 gene:GSPATT00035983001 transcript:CAK66852 MGSHCSQAVQNTTVTYEEKNEINNTIQEKESFVPIFTGKLNLNVEIDKGVYSMRPCNVND SVLDITTERNQQEQIQQNQLLSKKYFDDTMTINKSHNLVYSNMGFNILSEIIPSEVVSQK QEKQKKVEECDEDYDQMCRTDLDQFKFQQPAVMKYVDKQIPTQQKTNTVDQRNLRK >CAK66853 pep:novel supercontig:GCA_000165425.1:CT868048:77709:78940:-1 gene:GSPATT00035984001 transcript:CAK66853 MSSKESRRRSNRRSNRKQKQYIFLKRQSFSSTTTSSVVTDDESNGSSSSDDDQHYKYRRG EYIKEYKVKRHIFDGTFGRVLKVKRRNSKTYAMKVVRHNHVESAQSEADVLFHLKKKGLG RSFVELYECFHHRGYYCMIFERLGPSLYELMKFHKNQGLPMTLIRSISKQLLKFIGQLHD LKIVHTDLKPENILFSKNYKFKKGQNDLYLPQDERIKIIDLGGAVFDHEGHDCIINTRQY RAPEVQLQCCEWDHKSDVWGIACIIAEMYLGQLLFQTRKNEYEHMALVEKITDQNFPYWM ASNARGSLKQQFTRSSTNGKYYIWPQENTTKESIAKVENQKPLRELILDPLLRDLLQKML EISPEKRISCHEALHHKFFRH >CAK66854 pep:novel supercontig:GCA_000165425.1:CT868048:79085:80021:-1 gene:GSPATT00035985001 transcript:CAK66854 MEEQQQQNYSDLVNQEVSQQNKPIKWEVEGKMPQTRVGQMKKEAVYIFWFEFLGTFMLTF SIYASNNNLFVFSCAYGMLILVAQNQKCSFNPAITTVLSGNDKGLWVSVAIASQFGGAFL ASMLGFFCFKNYVNDVPYLSQTSIEEYFAVIIGEILGSLILTAGFLFQYDDLMDFTSDRL EHSIIISGLYGVGRTLSYVAKSSLNPAIAMGLVFFECCKDGHWARFWNLWIYTGLPFVGA FISLTLIRILYKDCYEKQIKAGLKY >CAK66855 pep:novel supercontig:GCA_000165425.1:CT868048:80192:82028:1 gene:GSPATT00035986001 transcript:CAK66855 MDQMSSKELLNRINMQLKSAVTVYAKIQLVNYILNSSPEFIDMVGQSSSMKMITQYLRES LKCIQGNEELVDKIFMLLLRMNLNDQMLRESEMGLLLIKIKDKRLFEDQRANMNDHIQQK WLKIYAMYRSGLEDLKKNNNQKDKYEERKKKVKRNQSSSSNDSSNKRKKNKKKNVRYVLS IEFHRFARDDILMNYKYFKRDDEPNQRGMSIEEVLAFQKLYSVELKRLNQINGYDIKHRE SMMEGQEHKLQLDNIQQMIEQIPFIKPFKLRPTFLEAYDTNFKETQKQQTRTESKMSAFY NRDIPEQPGYSEISNTQSGMQPRTIHLDPPKREDLVFMVQIICNRGFTELEEKHKNANKK QIKGILKKPQVEQSNQKDIMLNQAKQDLQPKMQQLVQMVEQKQNHSQESILTLLHDIIQK LKEIGEEQYIKNFKSFLETKLNDKQSIITEQERIKSQQEQQRTRIEQLQTINPIQAQRLR AYKTKHCHNFHSSIGCTRGDNCNFIHDSRYPGRPAPILQNPNFLNKTLYPQSPMQSIIPP LLQQLNPAFLLGRLKKYDSL >CAK66856 pep:novel supercontig:GCA_000165425.1:CT868048:82464:84141:1 gene:GSPATT00035987001 transcript:CAK66856 MGCICATVPRKNQIRPMKTRNKDLVFTSTEDISKIYVLGKVLGVGSFGKVIAAKMRSNPM KQYAIKIIEKKKVKGREDILANEIFILQKLDHPNIIKFHEVYQNRMNFYICMDYCKGGEL VEWIPKRYKNFHERNIKEIMKKIISAVAYIHGQGIVHRDIKAENIMIANKKDDAEPKLID FGLANKFDTSKLRRLKSFVGTPMYMAPEVIQGKYDEKCDIWSLGVLLFTLLSGHMPFHGE SKEELYDNIQRANITFTYNAWKNVSDDAKDIVRRMLQKNPNLRPSAITLLKQEWFTKLEV FEEKQTLDHQISQKGQASPSNSNSDNRSIYQMLKQTAQKGGSKFRKEVMTILVKQLNEQE LSNLIEKFKQIDVDNSGTITIFELRQALIAEGSPASIDEIERLVENISPKNALDVKKRSR PSVELKYSEFLASCIDERKFLTREKLWSLFKFFDTDNSNYITKDDIKEAFARNAKSFTDE QIEEMIYEIDPNHDNKISFDEFCQMFDNAGIYQKLDDDDEVIET >CAK66857 pep:novel supercontig:GCA_000165425.1:CT868048:84276:85976:-1 gene:GSPATT00035988001 transcript:CAK66857 MGCFQPKGINKIVAMQTTNRDYIFTSTADIHKIFSFGRVLGIGAFGKVLTARRRNNAEKL YAIKMIDKRKVKGRETMLANEIYVLQRLDHPNIIKFHEVYQSELYFYICMDKCAGAELME SVPKNQKSYTEGQARDIMVKIISAVAYIHEQGIIHRDIKPENIMFTDRDIRSEPKLIDFG LSVKYDAFSYNKLKAGVGTPVYLAPEVIEGTYNEKCDVWSLGVLLFNMLVGYPPFYGRNR QELYENIQYQNLIFDRRHWKNISDEAKDLLKRMLNKSQHLRYSAKECLKHPWFQLLFKDG VYRPPRRSTGFSGTPSEDDQRTLYQMLKTYRIGAKFKKEVMKVLVNQMNEKDLARLQQIF KNIDVDNSGTITVQELHQALQQEGSLATVEEIEQIMENIGYDIDELDDVTVTLSHKSTSS KPLVIKYSDFLTACIDERRVFTREKLWSIFKYFDTQNENHLSREAIRESFARHGRSLSLD KINQMISEIDPNNENKIGFDAFCQMMGVAGIQETLEFKDESKDPQSNAPSVIV >CAK66858 pep:novel supercontig:GCA_000165425.1:CT868048:87522:92408:1 gene:GSPATT00035989001 transcript:CAK66858 MGDIQGESGQNLIQSESIGFFEKVKQIIFQVLFVLRKDEESEESQLSFYIQTGLDYFQMH TFPFNNNVYYLWKADNVIGFVLNFVNLFQLSTYIPNISYFALLSSVYILLVIILLIILDI IYVSYSFSKNQFAATWPLVVLRSVTSLVVTVLFLPITETLFSILQCQTDQTTGEYIISGY SEIYVVCWQGWHTFHALLTLLFMFMFVAICAIVAYAFFEPGMMSDDRTARQDSKGEVTFI INKVTCQVLYCFLGENQSWILVLATFLMAVWLFWEYNFDDPYYDFEVGRFYSIISSYYLW ANILLLICKIFENQDFNGGFIAWIIGLPFIVSIMLMTKKSKIDTLIKVQKKIRSGKEIWA HIRYVLQLIQQQEKDRNSYMLLIGYIEKHKETCKYNDCYFKFSRRRNTELDMNDMIRGLI QELEKMFKDGIKKFPKSAELKIFYALFIMERKKNKEGAYKQFELIDDKCKPALYQQFIKY RYMKSIKENKKENKDDDIVEAIKYNNHQTMCEKSMQQSAKLQKDFWGELKEDQPDLGKLM KLGADITYVTKTARQNYEEMQKISQNVYQSVKIYSNFILYVLNDQKLGGELRKIAQNIKE EFQKEYGGRNEFLNIDNQPIPFIQVSAKKAEVGKIVNVNTLFTTFFGYQKEELINKSINV LMPKLYAEKHDNYLIEFFDNIVLNLKLEGEIDSQYLDTDQTQIFKHKNGYIVPFIYHVTL NLETMKYLTTFKSENTIKSQVIFIVDNTSKIMEMSSGAIIFFDLELKQIEKDVYLNNFIP NVLLQNEKHIQYNHQSKDGTYFFSCLIKEIKLPQRMDESTHGKSNQKDETNNIKTGKWLV RLEKLEKNEVNLGGQQKKNKNFAVSTRQLITTTNTNSNPTSQQYLQTKIQVNQSIDGQIP KFDTNLEFDQQYAVFLSELYSEPRYDDYKMLLNQQVESEVNPVVDYSSDIVIRRLIRNQI LNIDEEKEQEFLLQLEEEEEENSIFRFNQNQFEDEDDYKIFAHRNSQDLIRRVLNQDHKH MKITEFKVYSNLWIFFIFFITILQYLFCQSYFVSYKESIKSIYLVNNEIIFQNQVISRVL DLCLPQQFNITQLYLELQYAANNATAFNQYVNSALLDYYFDDHYINLRFFDDDQYSEINI KLESALLLIETLALNTTLLNNQTFNYKSNIIQNIVYNHFNVVHQQIINIAYELYSNVESQ MNQYDVNLLITLGILILVTTLAMTKFITLMLSIKMDRENILFLFLDIPQKHITILYKKVE YFIKNYIGIEELKRKNELEGYDSSEEEQMQEDQIKIQKNPEEEENFIQLQLKRKKIIEKY RKNKFKGNQAIIIQFIFIALCTLIFAVYNIISSASERNQIRYLLPQYFSGSMDISEYGNY LNIFKLAILDNQFNLINQTSYESAFAQIETFTGNQLSSLSFASALLNQLPTLRNMYFGIY YGDICNELGLTKSDICYSIIEGNLELGIYNVEQYYLQYFRNQLSINQKGQIIDQSMYNYV RTAVDQVQSYQLSMMTNQMDETLNIQLILVIIFILVLLLIFIIYWLPFLTSINSQINQNI QMLNMIPIDVIKDNKTIRRFLKNIIKDMNIQD >CAK66859 pep:novel supercontig:GCA_000165425.1:CT868048:93020:101458:1 gene:GSPATT00035990001 transcript:CAK66859 MFITFIFLLLSTVAYAQWQLVSEDLLGFSVSGANWNYRGSCDWISGSMGGGGSVGSCADN DIEYIYVGNYNDRAYYSVLNLPPHYQVKVIVDGYFLDSSSSSFYTVAYDVQGSSTSTYTF QYKDNTLGTFQQVCKSSNWNNFEIQTYYLTFQHNDNTEIRFRICGTNFGSKNYGSRGLQI YVNKCHWSCLKCSSSNAANNCLACFTSPSTTLGSPSTCSSCPANAAFIEYLDDTKSCVVE CHYYRVPDSNKVCQFNENMLPYTTYFDTTTFSSTSPWVFIPDPIIFNLVYSQKISTISCQ TSKNFVGPFFYNEGFSVTLSVPQNISYVRFRATIIKLNSWIDYSAVHVFYDNIEFASVYT LSQVLTGRNADQLYSDSNCTTPTVGYYRLEAKLKSNITNPVLRLQGSMDLAGSQSWGFRD VVMDVMKCQSSCSWCDFDLKCYACSSGFLYKNRCVPSCPTHSVQTTGVCTDFNEAIDNSR YIIKAFYDSSNTTETDVPTIVGTMTTGTADFQTSDHFLSTTGDVYFSYYLGKRVLGGPIV WYKATFTRTFTLNPHYKFRLKFTLVLGDNFAGNFQYTVGGFSQTIVYSDCANTANNVGKT QQDKFITIDRTEVHTASSFSVMLKCGSTAAQVKDNFCIVYDYFIIVLECTEYCTACTGPT WAQCTGKQTLPTGMASPSTCVDNTYYFDNTVSPAVCKTCTPSYCLQCQNSYICTKCATNF YLQNGNCQCYPWTYLTGSNTCASCHALCDSCYGPNSNQCLSCKGSQHRYLSNNVCVCQSN YYDDGANYICQSVCGDMVVTDGEDCDDGNTTRFDGCNNCKFECQKECQTCVNGQCSVCIS GYTLQKTMKRCFPTCGNTSIVSQEQCEDNNLTPYDGCYNCQFQCQLQCTNCIYGKCYDCD NSIGYYINLATFRCVTFCGDQILAGTEQCDDGNNDPFDGCSYCVFSCDNFCDLCIQGVCT KCKSGFSLLSKSGECAPICGDKIVTYYEGCDDGNLLNYDGCDSCNLTCQEQCTSCLMGTC YECNTPGYQLNKKLQRCIPSCGDNVFTSITESCDDGNQTIEDGCFQCKYECQAECLTCAA GICYRCKSNFYLSSDNICLPVCGDGVISKYESCDDYNFVIEDGCSNCSYQCEQPCAQCSF GVCVSCQTGYYLNQSKQKCFPICGDQMIYGDEQCDIGIIQFDETIKNNTGCISCKLQCSP QCEICTQGLCLKCRESLGWYLDSETSICYSKCGDSIINDYEQCEDSNSQLNDGCSQCLFN CQQECSVCIFGICYQCISGYKLINSKCQSVCGDGIIAGNEECEIGLIDFNGYECVNCRYK CSEGCNNCVQGKCVECKNSYGWYLNQNNQCESKCGDLIISNVESCDDNSESCESCNFVCD DNCNSCNFGICQSCITGYQLLLNQCVNICGDQIQTQNEDCENDDILPFDGCSFCQFQCQS QCLKCINGECITCDESIGWYLQNKRCETKCGDGIIAELEECDVNLNIDNGDISSNQCFNC RLACVQNCVDCDRGICKQCKNGYYLNDLNECENICGNQTPEDFEVCDDDNLDGFDGCFQC QYDCQPECQVCLYGQCMQCQDGFLFDKDSALCKSTCGDMKLTQYEECDDGNDVPNDGCHN CMYSCTENCNTCVKGICYDCISGFVLEFPKCVPDCGDTSLTDNLDYCYQKECQRECIICI KGSCYQCQNGWYWNEVEYTCESMCGDKIIVGDEQCDVPVSYARGDFYCNNECQFACPDNC ITCSFGICHQCQSGYYLIENKCDSYCGDMVTTVQEGCDDDNNVPFDGCFQCRYDCEEQCT LCIKGKCEICQSGYDLINDRCVSVCGDGIVTVDEDCDDQNSIKYDGCNECKFECDQNCQF CEFGKCVFCSNGFQLISQICISVCGDGFIVGNEKCDDGNLIDEDGCFECQYACQSQCQTC LYGSCVLCEEEKGWHLTSQGDCQTLCGDTIVSGIEQCDDGNELNYDGCYECNYICQPACT KCITGQCYECNTPGWRLDNYYCWEICGDALKVGIEECDDGNDVPYDGCFQCKAQCEEACT VCFEGQCQECTFGWALNDKHRCETICGDKYVVPRYEDCDDGNLLPYDGCFDCNYQCVQYC TDCRKDVCYECNTPGWTYDDKTLVCIPICGDGEVNGYEQCDDGNAIQNDGCSNSCEYQCH LACLNCDKGICLKCDRYLGYFESNKQCSSKCGDGLWQQNTEQCDDGNLVNQDGCDSDCKI EVDWYCESQALQISICIFKRQPTIQLKLLEQKEGQSKIEVTFSTQMMLQPNFVLVENEND LTDIQQVLFFITVDELTQGDFEYSIEPVVGIQNFPQDIKYIVNLNLLKNVQDDQINVLVM VDKRLIITEDYVNLDKNSDQIRIPVPFIFSSYSQKVVELFSNTNEISIYAALGVSAFSIF STGYSNLFMTLDTIQYLYYTRYINLEFPDNLQQTMDNLKKSSLTQMVNNRLGDTGLPKSI TAQPNQTVQEMPNKFKSDNLQYQFSSNIKATAVTLSLGLSVFLTTLTLSKLLHLIPPHKL NDLGSIIGGGILKFRSKCTKMSNDFVYSGAIRLVTINFYEMQFASLLQLTHVDFTSSSDI ANSSGAIATLAFTFVFTSLLTHRIRQIQTKQSLTMKHYIKTLLQQDDKNLQKSTWQMQYN TILLAKKSLFMFAIVCFQNQGLYQTIVVATQAAGFATYLAVSSPFSNNEDQIKQMITELG MLANSLSFSTYYTYEYFSISKESLNQLGWFNIGIFTTILTSNLTIDAAAQIRVMYKKAKK VFDRFLESQMPSQSRVQPIFV >CAK66860 pep:novel supercontig:GCA_000165425.1:CT868048:101597:109611:1 gene:GSPATT00035991001 transcript:CAK66860 MKILFWLISQGYMWLPRKIQKSVQQSLLLQQGIDQTQKIEFSNGEVQKITNFEIPTNNIK LISINTIIGTFIENIISIENIIILGILIILLSGKTYRHDENEEIIVYYTLFCYLLNLLLS LANILLIAFQRMIQQKAINSQKCTIIAKFPDITAKQISNENKELSSYQTITWSQLTVGHI ICLQQNEQSPADLLILDSSKEQVLINFDQRTPCSCTFVSLNQTIKGNILDFKTKLSGTIQ FTITDLQIQGTIKMKNDPKLTPFTKKNMIQRGEILDSVDWIFGMVIRVGNDCIAQANFSN QNFTQSSWIHNIYQQIVLICIVLFIILFVPNIIYYSFQSYEKYFYSSITYCLLVIPQNLL WLNQLWLMINMITNNKKFNKKEDKVCVTQKSMNRLLTEINENQLLIISENEKKVLLPIQK QFKMNHPKTVQTETEPHKQQKTERNLKGILTFSPKNILDMIKGDMILINNPQEIFKNKHQ IVQIITNSQKQYIFNYQKLQELIQKASPTLKTNYDKLLIDTNRQQTNDEQKTQDLDFLLA EKKVPNLRNSNEGLNQIKKLQLAKREDFGIKSLSSAQKQQLPKKKSTRYIFDQSFAKKSL ERIDSMKDLSKLNTNSSNGNITPLVSISKQRSQFFGKGGTMIKQFNNYNSSLQISPIKQN EDQGTDRLVGDYNNEQDFIEQLYKKDDTLHNEILLMILITNNILSVFDDQKRQLQFNFGN KFDESLLEFTKVFNYQLLCSTEIENSRLDYQLKTYIKKVISIENQVKVFEVLAFLEPTEN RKNTLSVLVRDPESFLLEEGSILYTRIQTNQLKNIIKGKNDSNSKPSEYYNTYNEQLQEL LWDGQSTFVYSKRQLSQGQTQEFLSKLSQLHDAYGNRSQEIEIEYKKLESQNEILFIIGI KSGYQNNQIQISQNEFNVDSLKEDKLFQTLYIQNIKTCLTTSESYEELIIFLRSHQVVQK EQIIHFNERDVQQLQYRFRQHIQYMLEEQDIMGSDVQKHMEKYIIISKQSFKIILQDDYL KYHFVFITQFASGLGAYEFTGKCNGQLIKLLKLNNKKIISIGNSLQNNYQFNKSDISFTL AQNNPFFCITQPNFIVKNIKQIFSFLYFYCTQYLQNYISLLEIQLYRSTLIGLSVFGISF SQNDINLFTLIIFYLIPSNLLTFIFQQYLLIIQVDYDLKNFNIFAKRLNILKNENMFNQI SKIIIIALFDSALVILLEKAIIHVSSSDGRINQTIQVLLLYLGIELLEKSKLLFIVFDMF KDLLYKIKQTLIILSIILVLSFSYLIVQSLQDNQEISLQINNLGLVVFIFMAVFLVGVSF VLYQLLQLINIEFSFPLDNAQLDIHMKQITDIKQNLNLETNEKGHFQNIKKMIETLFENM DIVDEQITKFIKVDQTTIDYMDKTHGFYDRRTENDFMDFFRQQNWQKFSLLYVVIFYEVT IFIIHLYEIMMSTFSTSILIIIIIQFQLQLCIVILQFRFIYNRTLQQQLQILSFALRFVF KIIIDLVYLDQNKEFTAFLYHTLFIISFALTTQPKINMYLYVALQVIMYLFNLVFDGFTI NFENVNQAIFCTLKYFFIIIEISYPIFNYIQKIQFLQRSQYVYQNRLTKEQKKINSVLGL LMPRFIQERMNKGQIQISQDQGDVSVLFCDIYQFDKVIKDQQEKIIEFLDTIYRAFDQLC QNYDLQKIETVGKTYMAAGGLKDYDVVINQKNGNSTSRALETAIQMMETVKTMKYGDNQD VQLKIGIHYGRVIAGVIGVHKPQFSLIGDTVNTTSRVCSTGEAGFITLSEAAYLNIKDNT KYQFEQRSVAAKGKGMLETFRLIVQQKESTKNLTPKASTQECQDKISILGQESKKKILNY KSPADKKNILKRSSAQPAQIGALMHGDHAKSPKLVIRQLHRETQSSIMPNMILKQKPSAE NNSESKRLNQNKSKSFNQNDDIQMNHSNNAKTPGFQKNWIQKRQSILDNNQANVQTIQYI QQGSITQLQFQPDPRLLNQAVSSSCQQQILQSGVNLSHQSNQQQFLNSADQLLKISDQQA DNNKQEGLNCIPRPLIKKKGTLILGELTQRKAGIMKSNTKIIIPEEREKNQIIKISGVGG ENEPLMEQKIQIRNQRSLKLQQLQDSSSALKKSPESNIERLEELEIAKKKLIITSEQKFD YQTLEKIKKYKLDYDEKSIFEIDSNQFKNDDQQLYHSIYEEYKEQEKPQMRTILIFLTIL NMFKGLLLFILSQEFYNSYIELIIIQVCKFFMCSILTICYSKIQDKMNLEKLKIIIWIYF VSSSSLSILIIFFEEQEEFEVILQISSITALYLNIYLSQILNYEDRQKFVAIFMIAITIM IVYEKYILEIIIYQLGIAGLTFFCQMQEKELLFKNYLISLQLSTQIAKYENMLQYLMPPH ALRRLLNPVQENTDTFMDVLENTTVLFADIAGFTKYSSSVEPETVVDMLRNLFSNFDQYC QKAEIYKLFTIGDCYVCMGVLDCNNRDPAGEAQKVLAFGFSMIEIINHYKMDPQYQHLNM RIGVHTGRVLGGVVGTDVVRYDIYGEDVTIANLMESSGQEGKILVSDATKNLVESEYEGF QFDYAKDVYLPSKNMTISTYFVQPSEIEYSQDD >CAK66861 pep:novel supercontig:GCA_000165425.1:CT868048:110389:110649:-1 gene:GSPATT00035992001 transcript:CAK66861 MQEDLNSSLDSLELELYHKPNHKIKSILTYLRQQKIQFCTDPKKKEEDFYSKNDKENDKK EFGLFRNKFFKRNARAQPIFCEIKLS >CAK66862 pep:novel supercontig:GCA_000165425.1:CT868048:111631:114187:-1 gene:GSPATT00035993001 transcript:CAK66862 MSVGQVHPSCSSPIDTKDYKTGLDSLKLFNTIRQSRHTSKETTLIISPKHGISNRAFLTP KRSQFTSQDQIVEEDDYFRERVAKSKFDVASRRLMILLSFFRHINQTKESDKTSQLKQIH KKRKKLPFYPNQLQVWKYFMQFQTSITLMLYPIYISLSDYRSLDVMTILILILDSMYFID IILKLITCQIDKNYNLINTFQAIFLFNLQRWLVFDLISIIPYKLFVNSFTEQLCLNLLKL IRFIKYFSYNERQIYQETQKSKDQSQYFEKLFQLEGKLWSILKIFKNMLILISLFGCLFY SVQQYEGINAEDNAISIYIHGLYWAIQTVTVIGYGDVAITTSMQYNLTIIWIFVGVGFYS FTIGNLAAILEQQSSTEGFDEDLEALETLMSQILIPEELSAQLFSYYYYNIENNPFWNYK KIIETLPSQLKVYAIAFCQKQLIENVNIFAFDINFAAAILPHFTIYCFKQYETIYFNGSP SLEVFFLVAGEIRLCDNDGNTLLNIKEGYIFGEIEILEDSNRKQSAIAGKDSVVIMCPVL QFLQLVQEDEKLLFEIEQLGLRRRLLLQESISRIKSISFLYFSIESAKQIKRISAMDGEM MNQFVSKKQILERQFKRNIVKDSYQQLHKKLMRYIFGYKQEKKWKWLLERLSIIENKLNY NQERTSMVMGKQMLLNFAQVREAEQDIQKKILNDKKSGKYQKRVKIKDILNHHRQSRIAP MLITPSPYYEDIRSEVKEEKQFQKQKQNQIVELSNRLIKINLVGQLKIFNTLYQELIQSV DNLNDIKYQTISSVEEMESIYYQVSILIASII >CAK66863 pep:novel supercontig:GCA_000165425.1:CT868048:114961:116908:-1 gene:GSPATT00035994001 transcript:CAK66863 MSGQISFSDSDEKSYIQNEQQRLLKKSNIYKQKEKCNNSCVQHPNKKAKYYVQQDVSKLF CSKCALALALKGLKIEETQENQPEIYRQQRIQGFQEQLSEVIKQCSNRFSQLSYLQMNGQ KQLKEQKENCSLFFETIINTSNQLKLTHLSKLETDHIDQLKQVTDRISLIQQIDAQLKQF EIDIANNHENIVKNMEMKPFEDIMCRYEKKVSQIKVQIQEFNQEYLQRSIKFEHNQILAA MNKMCYTLLFKTEYPSDQLPQQLKLEDTPKIINKYKNSPAKMKVFELLEGDDIYQSTCSN PVKDHIQSPAKNTIQILEKQQNQKENTFLNPVSTLQNSRRESYTYTNTPESYQIKGCLDR INPQVTSNERDSLKPIINVGEQNINNQFELNDKYYEKQKITIEGKRQEDLQNQQLTNNIN QVYVQRLSKQSDRDSFEDRQLTPKHQKHLTNATPQTFKLLANHVNQKSQYQYPLVNNNVL EQKNQTEISKKNYDSQPQQKPWTPLHQNAQPRINNQLNHKQDNNLNRRANSKQPSIDQIE GKRQFILANMNIQQYTSQPNQDTVCEDTLKERIHKELCSHPPESIYNQVLKQNCQSKQNN SKQPQKENIEQSTTGRMKSVNGYQCAKKQSYQL >CAK66864 pep:novel supercontig:GCA_000165425.1:CT868048:117165:119261:-1 gene:GSPATT00035995001 transcript:CAK66864 MIKTYSLQFGSQEIEQMYRQSPQVGFKHRSEYLYAAITIYLILIVIKYNQYQNLMLQLFP GVGLILILICYIGIRRYQKYKEIFVTTNMLIMSAIIESIRLFGSESDSWFYGHHSSVLKI LIYSSGSSFIGQSCFFLLTQIANFYDFQTYDIQSIISQFIISIMLVVLRYQHEIIKRKHF LVNLSKVQYDNILEDLLPSWVVIVKYNKLTGLLDIEKINKHLKDKFNINNSEAFREFLRK LVFFDMENQNTQQYIKIEHQIIQELQQKNEEHPDQKYFAILEEQNKSKLWKFRVTQVYFN SFQPQILLLFEEIEEDKYDKYINAIEQRDKQLYLNAKLSIKQINHQLEIIQQFYQEVSKH ECLIYIQHNLKQQLQLNYFLYNLNNNLFNLYQICHRQIKSDINVLKLDLFIIDVCLNLQI EYKINKQNQHLNLKNLIVTTDKQKLISIIMNLVYFIKLLLSIIHKDNNIVYQVQPLKKPI KLSIKQSKKFQNSLQISLTHPDLNVANSLITQLQHIEPFQLDDQKRNWEQRNYFDKITSI NENLQQIMEMHKQEVSSNQLIQIDNPQINSKNQQGIQNVKQLQFNTMGYIIAQYFASSLG PHNKFTFKQTCLDIERYHSTQFIGLQQTKIQFCIYKNFQNFQKEIQSEQQYYFGYNLNIS SEKMIGQDIYQNSYQQVQKTK >CAK66865 pep:novel supercontig:GCA_000165425.1:CT868048:119430:121189:1 gene:GSPATT00035996001 transcript:CAK66865 MSYETRVIMNYQFNTCDIIGRGFSSIVYKGINTITKENVAIKVIKRQFSDQLPLIQNEIQ ILSKLQGRNILKLYEHFTTQNNIYIITEYCRQGDLGQKLKQFGYLRQEYAVAIIRQIIDG IYVMAQQNIIHRDLKPQNILINEDGIKIADFGFAKPLNQLQNEMNVGTPLYMSPETIIKS QYNAKSDIWSLGVLFYEVLFGYPPWQAQTEQELIFKILNQRISFPDVPPVSETVKDFIKQ CLIVDPYLRLGITELLKHPLIKRTTKKAEKFVRPTDTESTEQTIKSEIPNSSEPWTSNSS NKKLVYVNCKNPQVNAILNKYLNTKNNQVTNNNTVTKNQPLLDEISLILQSQFSLCIFLN NIISKLKDFKLITPLLNEKCRIIFSKHLQSIKDTIYKQLIESDNKFKFKDWQLFCKENAY SRFSAKFLLENNQFTKTCQEYNQMIKSNKSLIIEYQKYDSEFYQILFGLEWKVIKKIIKN LIIEFNHIIAQNIDTSNLCNKINKSQQIEISFLQQLYYYYEIVDKYYLKEFDEKKFAQES NIQQYMVVKELKISDYLESRQLIKRLMKS >CAK66866 pep:novel supercontig:GCA_000165425.1:CT868048:121461:121952:-1 gene:GSPATT00035997001 transcript:CAK66866 MNGRLSCYQFHSEINIEREAAEMASRIMDRVRQKQYFRSNQKLTIKGDGGFRSSEYQVRP MEVQSIRHGTNSITDRNQIKLNLNFRQPQKSYNFFEQNPKHIEIIKKSDYLQMQQSQGLK DIYHGAIKLNNVLEPQSQSTSDKPDKTKLIKLMKA >CAK66867 pep:novel supercontig:GCA_000165425.1:CT868048:121963:124087:-1 gene:GSPATT00035998001 transcript:CAK66867 MFRITTQALYNLQATKLVNRFCVVSKNKPEAGPSQGHLTDKYTVIDHTYDAVVVGAGGAG LRAAFGLVELGFKTACISKLFPTRSHTVAAQGGINAALGNMTEDDWRWHAYDTIKGSDWL GDQDAISYMCKEAPKAVYELESYGLPFSRTPEGKIYQRAFGGQSLKFGTGGQAYRCCAVA DRTGHAMLHTLFGRALGYDCIFFVEYFALDLMMDDQGACRGVVCMSMADGSIHRIRAGYT VIATGGYGRAFQSCTSAHTCTGDGGGMTIRAGLPMEDLEFVQFHPTGIYGSGCLMTEGCR GEGGILRNSLGERFMERYAPTAKDLASRDVVSRAMTKEILEGRGVGPEKDHIHLHLNHLP AELLHERLPGISEAAKIFAGVDVTKEPAPVLPTVHYNMGGIPTNFKTEVLNQVAGKDQVV PGLLAAGEAACASVHGANRLGANSLLDIVVFGRQAANLVGEKWKPGQKQPDLPKNAGEAA IARIDRLRHHEGSQTVAQVRKDLQRTMQKHAAVFRIEKTLQEGVEKVKEIYSRKDDIRIK DKGLVWNSDLVEGLELDNLLLQGKMTIEGALNRKESRGAHARDDFPDRDDKNWMKHTLAR IKDAKKGDIELTYRDVITKTQDPKEFDTVPPKKRVY >CAK66868 pep:novel supercontig:GCA_000165425.1:CT868048:124100:124558:1 gene:GSPATT00035999001 transcript:CAK66868 MIKKFLLMPIISYVVYLSIQIHRNETHVISILNIVANMTGFQSIDNTTWIIIFNVIAFSI LFGISYINYALAILQFIVLFLHVQTLDDFEITELSQAFKIVAADIAILGILLASINQKNQ QKVEDETQPKEKQE >CAK66869 pep:novel supercontig:GCA_000165425.1:CT868048:124649:125670:-1 gene:GSPATT00036000001 transcript:CAK66869 MKTSPQSFQRENEETVWHTRKQRQETEKDILLMKNRLKLLKRGDAQLSKRIDETKKKTKS MVELKMNHHTQIEQKKLSQKNDEVMLKDKQKLNYSLKKQQEEQLELIKKAMEQIKLEEYK RIKEISIKNSEVISKQKQDFMVKNRERRELIKGNLSKSKTNVSLFWNEKLSHIQKDNQRA KLENKRASEYNRALQERLEMEESYMMQKLMRSQEVQKKLTSRLEKAKNLPLDEYNHMIKE EEELNRHLKTNKSAEVPRWLPTPEKEIFETQIFQTEPAQDSDQQKQDGDQQDQQG >CAK66870 pep:novel supercontig:GCA_000165425.1:CT868048:125686:127066:1 gene:GSPATT00036001001 transcript:CAK66870 MKSDFICLRKHLFRDSIYHIDIQNDKLLLLNTEGLTKYSISLNWSVEISWNNLNSDPAFG LVINQKIKWFHAKPKIIETLRTTLKKRITSTDFQVFYNLGSHISKGQNSYCYEFISNGDY QLNYVAKLIKQEQIRDINQLYNELNILKILNHQGLPKLVEFFRTNNTYYIVMEKIEGQKL SRLNSTKKQQLSLIQIQSIIIECLKILQYLEQIQVIHRDIQPDNIIYDQKLQTASVRLID FAKASISGSDQKILGTPGFIAPEILRENKYSTESDMFSLGCVFYKLLVKQDLFQGVSRDD TLKENQKCLFNLRNLQLIRIPQSAQHLLSQMLQIDPKQRIKPIEALNHHFFKENMDNLAS PKIRAKNLSLHQSIHNLDAILTSPSQNQLILLDQQIRIDYFQNELPPINQVPVMKQVKGG YRSSPQTETDTSKKSQTENISN >CAK66871 pep:novel supercontig:GCA_000165425.1:CT868048:127623:128613:1 gene:GSPATT00036002001 transcript:CAK66871 MIKLRQLFRFSKIQNKSSIDGIIEQRRTINRQFSKEELQGRDLQIFWHSPQTDIVIQLVL YMRHDCHLCQKAFSILNYYNISFDKVENMLFLDFAKKAKVITLEKWEWPVLKVNVSLDST QFVTGPENIVSFLINKELILNPSHMTNTIAAQQGIECVMQKIKKPLDLIFLNPMLTWQNL FKSSPDSYAKDSKNYLLINYMLKVGYRAFTQSTRNIYQMIRQKEAYFKAQTELLEGLNEW IQRLNGQQFHGGQKPDEADFELFGVIMSRYNSNSFKKFIENKAPFKFYQWVLRMQQSCRY DQNRFYANTDTNVME >CAK66872 pep:novel supercontig:GCA_000165425.1:CT868048:128970:129347:1 gene:GSPATT00036003001 transcript:CAK66872 MTSIEKFGTSNQEQQNQQTQKEAQFFNSYKSNIQVDKREHFIEYKPFHTNQTLNNQAHFP LGISMNLNNSFQIKQNQKEIEQSRKEKEIRIQDLKNVAQKAVQRRKEKVLEKYLQPNQNI IDDYL >CAK66873 pep:novel supercontig:GCA_000165425.1:CT868048:129783:131134:-1 gene:GSPATT00036004001 transcript:CAK66873 MPKHNPIYTPRFDEVLTELVGTLGEAKWAEITRQMKTIYGLSIPHKPMVQARWRAIDPKI NRQIFSAEETVTHWHICVKYKCHWDAVKAEYEAIGQIRDKCFLSQKFYAHFQFKLVDLNR MMGKMVAYNQPKIENMRDTTKKRILEINAREPASVKDQSAREIIQSCQMLIKLLTYVVDN HKEDYKVITEELKKMITPEKFARVLQNIFIIDLIVLIACNELKPSDETPDFIKMDERKKI KYKKPTKVKRWDEALLQSSSPENTEDEDVLRTEQEINKVTGGTNFTKADMEEIKQSPIYC VCNTDQNQTFYDWYNEAKIQKATLKTDLLVDVMDIMSSKDKQKSEKSKPPVQKRTALLAK KQMKLIEKKKNELMKQDKDDKIKTVRGKIKIDKEVFPGCYTKFFDKEDEDDYEDSQDNPI YSAIDADQIYQIIMQQKQAQDN >CAK66874 pep:novel supercontig:GCA_000165425.1:CT868048:131224:132478:-1 gene:GSPATT00036005001 transcript:CAK66874 MNKVLVLSLICLISIRGFEIDFQLLLQTGSESNDAVQAVYDLLNDLKTSNIEAQGVADEK NISDEEIGQARIAALSKVNELNQKAWASAKARREQIGVEYREATDYIAWATQRLADIDRR SIELQELRCFSNGLFVRAIKQHNDALGVIRILKNDLSGYLTGQPSSLVEVNVQNVSDKLK QYSQLFNQDAMTKFAQLAAEQASGNAELHALGQDEGSSSDRQPGHNIGQLVYNALSDLED QLKGSLANLEANEIAAYYQLADWLADTESEVAHLNDEIQRKTQLQDKLVVQEQAALAVQA KANSVLKDSQNAINAATASLQELRDLYETELNRRNEENAIIDEVIHIFKQQVLEMANQTS YGKK >CAK66875 pep:novel supercontig:GCA_000165425.1:CT868048:134051:136720:1 gene:GSPATT00036006001 transcript:CAK66875 MNTNRTENCLLQDFYFGPCIEQCEVNLYKKDSPSKISIYSESSQKPQQIPQNDGQPPINY QIQKLKYQMASQNSTNQQVQNNFIKVSRRNLMEGRNQQLDKNEKIGKLETPNIIKAIINS SLINKFKHNLLSSSYVIPQRMKKILENEEYLQELKDKPKSTNQVFELQQIHNLHQKMLIY LLMPDRNFTLIWDLISLLLLFISLFLSSFIPSFGQHINTFKSIEIMIFCYNILEFLFSIH RPIIINTEVVVEITQIWKNYLKTQLLEDLISFTIWFLIYFDFDLKFIVNETMAIIQFIII IRKINRKYNILIEQLFLKGFNSNILNIVTLIITIYFFAHTMACLWHYVGELTQKQGSWLS YYNVLDENIWIRYNYSFYWATMTMVTVGYGDITPKNQSEVTFATIIMLSSSCMFAYTMNS IGVIVKAIYDQQTKFKRTLILMNQFMSKNDVDQQIQRRVKNYIKYNIGNNVLENQEETTK IINELPLNLVKQIEQNIQYKVISKIKVITDNFQISTLNKLNNILVEMKFTPNDYIYHRND YKDKYLYFIKEGEVQVVEEQSQKIVKILKAGETFGEFQFFTGQNTRESIKSVGFTQLYKI DREQFINIIKNNQKDFERFFKIKDSILYSKQFSAISMKCHLCGQYTHIQMECPFMTYQPN LYINILKTNQSHINNRISQSRQNIKFKSLIIIQQNQQIIKQIQEDYLDSINTDNMVKYQV SDSLSCSQESELISENKFVSNKSLPKQDSKKNTFVEIKYCDKLNSRKIDSEKHNQSQIIQ NNKPSLIVNEKRKIMRKETLIFRQLDQFQRQFHQYELEGFDKIHNFSEYLPHNNFNCIIK QFYKEKYKFKNKKSSKGGSSKKEQ >CAK66876 pep:novel supercontig:GCA_000165425.1:CT868048:136803:137947:-1 gene:GSPATT00036007001 transcript:CAK66876 MDYQLQLLLEVPQCKLFEIKNQQPKQVDAGTLHIYQSEQLKLSFIKFSQFQYTLQKNIPV MVAQLVNGSKMYIFPGLNEIFYGIIVEKQDQVSDTFEHLLSINVRLVISSQKQHQNVMSK SIYQGRSNMKQLDDQQKLSQQDLMLRTGIMQVNLWDHVGQGEKPPGVSDYIKQGGNAIRQ GLISVAGFIGEGIKKGGELISEKITDKEQKEVSEDTLNKVKLVNKGSKAILQFTKAQVDA LINLGKLIADEAEKQFENSETGKKMQEHKYYDDAKNVGGAAVVAVVSIYDGLTEALSVLL DCTGQATTEVIKNKYGDKASELSKEGFEAAGNVLAFDKIYVQAAAKAVLEQQQQQQQQQQ LQKQ >CAK66877 pep:novel supercontig:GCA_000165425.1:CT868048:137980:140189:1 gene:GSPATT00036008001 transcript:CAK66877 MKSIFISGGCNSVYNGLDVCQFEENQHLIAFPCSNQILVYDIKKLKIIYSYSIFNKRVNY VRFLNATTLVAADGEGKIAIFNDSQVLYEAKLNESIQLFKVIGQYIIALSIDGLVTVLNL ELKELSKLEFGNNVMENIDAIEFDKHTFVALSGVDTQIHLYILENNQLQYKASVKGHQRS LNHLQFHLKNQEYLQLASASKDTYTRIWSIYKINEVEAKTKSFRIEQQLYSFKLETILQG HIEEVSTVNWFDENTILSGSFDYNVIIWKQDKDTGLWLSVSRLGQTSGNKNQIFGLQTSF DKQYIICYTLTGAIYIWKQEQDNWVEQPVVTGHYAEVTDLDFKDYVLTCSSDQTSRIFTK WIKNDTYHEISRPQIHGYDLNAIKQIGNQIISGGDEKILRMFNPSPFTINQLNYLNEQNI NSSVFLNQNIPSQIVTYNNRQFNEFKLATEGIQQALGLMNVQMQFEDEEDENVKNNEVEV NLEIKYGQPPNDALLAKKSLWPETNKLYGHGYAIQAIAIHQNIAASSSVAITSKAAEIII WDTNTFKIKQLLPCHNFTVVQLVFSRSGKYLISVSKDRCLGVFVKQDDDTYQILSKSQPC SRIVYTCAFNNDESLIFTGSRDKKFRIYNTTEASVPIKEIEFQDEITAIDSVLLNNKQIV AVAYGQGQLETFELTQTLELNLLSSVDKYHKHSKTINRIKFNNDLLASCSDDHTVRIYQI >CAK66878 pep:novel supercontig:GCA_000165425.1:CT868048:140560:143490:1 gene:GSPATT00036009001 transcript:CAK66878 MKFGSVFNPRGVLEINYKISSIDAYQNVLYTGDEKGTLNRYQLQPDQAMIIQANQALSKP LTKSRIDQIKVFPQANANLLVLSDQTLFFVEEKTLKGEQISKEKVSLFALNEFSKINQFE IVIVTKKKEGFILQYNQKAGKFECMRERFLLPDIPVTIAFIGNLFYFGISKKNYSVINLD DKQLQVANLIVDIGNNPYLKATDSDEILIITTNNVGIFIGKDGQMKQKSTIQIQNKSIQI ITIFKQYLIVLFDNLIQVFNLLDSKPMSDIQLSSSAKCITQTSNHLFYGSSSEIIYLYQT PPEQQIQDLLKQGKVEDALQVFQQYNQNQDASKNQQLEQLKLDCGWALIRQMQFQNSLNY ILQTNFEPRDFICLFPDYYYAVEKLESVNPNPSQNTISLIIAKYVQENNKGDPKKSQELK LLAREFLIEILEKKRAILTSTQYAYSMKDKLTLLTSTQIYNQNQWHAIPCEQLLELIDFA LIKAYLEALQLPKLKNFLSCNQIYCLSMYAELQAIFQNNKQIEQQQGILARFYESFNKID LSLEVWRTIGGDSLNQQVQQEACEETTRILKQNPDKNRIFKFILWVFKKQFKTGIQIFYV SESIVSPDQMLKFLEEQEMEADLKRKLKEKYLEVLVLEKQTEEERFHTLLAYSYIDSLFN IFPKDISPSQIDMKKNQIAGEIYSSLKKYLKNPNAKYNSSSILEKKVKDSWMIGEVILLY GREKRHEEALSQLLNLGYYDWAEKYCCDYTDNLLTKLFKKYKELYFFLESKYKERPTDQQ TQYAFSQVKITINNFLKKYATHSQLNALEVLEMIPENWILADQGEDDGLFQFLNSVISHT LHQKRSTKAAFHLSDMDLLNVECLNASTKQASVRITSEKKCAVCSRSIGEKVFVVYPNAV IAHHTCIKSNTVCPTTDKDFEKYFKM >CAK66879 pep:novel supercontig:GCA_000165425.1:CT868048:143783:144441:1 gene:GSPATT00036010001 transcript:CAK66879 MYQNIFQNYMATPQRQYYPQCVPQYYYPVQNTSYPQYQIMQAQPVLIIKKKDDQIKQEDN IVQTRPQKSVSIVDFEKTSEQIQTPSVQIQSDDKGNNLKPNLLVDSTNIQKNFSKAIVQY ALRQKKTVYQILGEEKGNQFLLFMSELVNQLRNLFHLVKYTQDEEYLKAIRILGNNFLRK ESTCYIYNSKIRQKTSHIKHKAIVKKVLLKL >CAK66880 pep:novel supercontig:GCA_000165425.1:CT868048:144912:147372:1 gene:GSPATT00036011001 transcript:CAK66880 MKPVSRLIVILFQINGLGFSIYYCLTSWENTNLAYLTIQALLALLLFFFQTKNKPTQFIG SIILIQLSLCLFLIQNERNSQNESTAYVIIVHFITTQLFEDFKRFQTQYFFVRCRLFWLV LLLQSSFLQIVYISQTSQIDISFYQCTFSLFFSLLINFTNGMQKVVAPRNKLSDSQRNQN TDQLINRKERASSQSDSDKLSPKSKEYPISHFFVPDYSFPNQILNQNILDVMMQFTHEGL VVLRLNQLNQEMEIVFSNIASKTLFSVNSAKEIIDILNTLNSLKYQQHDSQDENFLMMNQ QKQLCKSMAFLKSDHINQSINPHHQILFEMNQEKNIVNQFKEIFSKFKQNSFQNEFFTLH TIFPNNGVTMSQSDRKTEKMLELTISKKENNLFFLLIRDITHKQKIKYLREYDLQKSKML SYVSHEYRSPLNCIIQMIENVLHSNQLTMDNSEMLQAALDNSYYILNLSNDLLDLAQIKN GKFALQQTRFNLEQLIKECLKLFALKAKILKLNLSYIYDSKAPFIVVSDRNRIKQILVNL LSNSFKFASNQITILVSYIDNTTIRIGVQDDGIGISDDDQKKLFKQFSKVNSEESRKRNE NGVGLGLVISNQIASSIGCGGLQIDSKLNQGTYFFFNLQIQQTRLKKVSSYRVKENPGQS QEVDEGQAFLLEPKKSVELEPPPIRCSHVLIVDDEIFNVFTFTKLLNKKNIVDIDSASNG SECIEKLKNKKCCQTCSGYRMIFMDLEMPILNGFNAAKEVLKINPNQIIIACSGYTDHKE KEQCSKIGILNYLVKPIRDQELTDILTAYYF >CAK66881 pep:novel supercontig:GCA_000165425.1:CT868048:147413:148483:1 gene:GSPATT00036012001 transcript:CAK66881 MNSDQFNPQSYELQKLYQIRETLHQQQRLQQLNALLQQQRMQMMNSPTQQQPSGIVKQIE QTFETNINNSSKLTSSKNAFLFAQRLKNKDSIQELTKMIRICPLNNEQTQELTSLITEKL LLKQQKVDYAQQHNLIILHLQITNKLSIDVLQFYVFLFVRFPNLLTIVGIIESWLSNSDN AVAYLEEVCSHFIKEISGTLVQFVCNKDNKSNYKGRLEMLRLLIKYIGNEELLSAFIMLD VTEMLENCIEQQFYKDTFKVIVTFFENPNFNHYKSIEKAIKKLYDKLTPEYKLQLDSLIK EYKRTCDKNKEKALQNQKPDEI >CAK66882 pep:novel supercontig:GCA_000165425.1:CT868048:148560:149854:1 gene:GSPATT00036013001 transcript:CAK66882 MDNDIFANNSIVIDMGSSQMKAGFGGDDKPKLIFNSYIGRPKYKALPVANSQEFYVGNQI TDSTRGMMKIKYPYQRGVIKDMNEMDQLWKYTFQELHGNPKECPILLTEPPYASQSQKVE IAKQFFESYNCPALFFAVSGVISLFASGKTTGVILDVGDTVSQSIPIYDGFYIQHSAQRV DLAGRDVTENLNNLLRRAGYTFTTTAEYEIIKKVKEKRCFLSPAMIGEDKFQEERKIKDQ YMLPDNSTIELSFEKQRAPEILMCPEKYGLELNSIPEILANSIQKVDLDLRKPLYSEIVL TGGTSMMQGFPERLIGEVKRLVPKEAKVKIWAPPERITLSWQGGSILSHLASFKSMWILK KEFEDEGERILIKKQL >CAK66883 pep:novel supercontig:GCA_000165425.1:CT868048:149857:150363:-1 gene:GSPATT00036014001 transcript:CAK66883 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLLALWLGKVEK >CAK66884 pep:novel supercontig:GCA_000165425.1:CT868048:150562:155854:-1 gene:GSPATT00036015001 transcript:CAK66884 MQFLVLSVFIMKIHCGWELYISELMDSSTFYLWKNSNNIPSSNLLLVCDLQPALNSKILQ GDLSLTRIISMSATRGFSKIRISFDIYYLGQWNIAEAITVYANSLLVHYETPKTYILEDF PMRYCVQRPFGKSTSEKISRIDQIISFNDPQLNIEIVHEQVTSGSTSDYGITNFVLQVFY CDDLCEECDEYQCLTCKLGYELVQQQCRCNPTSKFSYFNPNLQCLDECPINHVPDQNGIC QLATITNIYVDLEQDNFGTYKFQFIPDKYYSTVQLMVQTIGTKSVAGMFGNTDKIVYNDI LLPANEKYEMKFTLYMTGRFSSQFPEEILISFNKFVVAKIDDFHTAFLYSYFKQGYRALP KEICNSAQFQTCKSYSISIMFDLSETVSEIEFSSKYALYKSSRKWGIRDFVVNKYSIPSS SMNCLNNCLTCEFKTPDICLSCGSSKNLFKGMCIDQCPDYTSAVSNVCVDSQMVNLNQEY LVNMFHDLNPYYLESYANKLSMKSYSYFMNKIILGGLGIWSNEQVIHRLKNKKPFYRIKV EFMLVFIDSKNNQIQFRTSVNTEISTYSMFGSGISVGNQVGQNEIEVIQSVSYTKSFQST DELSVQLHCIRNIDSEAYCGIYDYRIIIWTCQDYCLECDDNGNCLNSINTQSTDINGCKS GYYKNINGECTICDIGCSTCTGYQDCVTCKAGYELRNKICYCSILKDVVEYCSQSNCFHN CQTCLNDRVDVGVIRPKHPKNCLSCDESKNLWLNVNQCTCLDGYYMENFSCYICYPTCRK CQDRARDCLACIPGQNRVLESSSCECQTGYFQEDNDLVCSKCSDLCLECNFTKDQCSQCY PAHYRTPTNDTCICMDGYYDVGQLICQKCPSTCKTCFDAHTCTSCYDDQYRIMSINNSTC TCKSGYFQQSSDVCGQCHLSCLECSNNTSQSCTRCPSTREPQITNNTLQFSCKCRRGYYE SNDKQCLSCQDYINPPVTHYCYSKCGDGILQWNEDCDDGNNNYRDNCYKCLNGNSFCLDY TCTSCDAGRCSGCIDGFYLTQEFICLPCDPSCKTCVNRSDNCTDCMVYQSDGSGCVMCNQ EQGYQVQGDQCVNICGDGIKVKNEQCDDGNVDNNDGCNHSCQVEDGYQCQTLCEKILYPS ILFELNPQDVKYNSQRTVRIKTDQLVSISGSINSIMKFKINNLNQYDITFVDLTQYSDQY SHLFLELNIQLQSVVSNPQLICQIINQDAIKNQQGNSFEHKNYVTSLLEFQTPSNSTESA TDGLIKLSRYILYLLLGFAILAFLFGGLNIFWNLLDVLQLVSYLQFLNVEYPYNVKNYFT IFGFAQFDFLKQYIDLEQYISQYVNTPDADPKFRNEGYSTVFYVNIITVLTVFITTLVTF IACRMLFSTLTKISHSFIYAPIDSQEQSVCTFFIYRITRNLQQSLLKINQEFMSGVIRTF MAVAFDYNLALFLQLKDVYLRDQILFSSFVFCTIALLLELGFIYSSILFMSKPDYVFKQK VIQNNLGAIYEGVKLEKNPFTYYFNIIILIKKMLFMMFLVLFYTIPCIQIGLVSMLNMGM AAYLIKVKPLDDKDELIKQVGSELIIWLAEMFILGFAINQQTNQLEENGKLNLGWFVIAS TSSLILFQLIIDVKQHMNFLIHEYAVIKKLIDRIGLLIQRQEPEEEQNIFLQGKRRLGFE NTTSLNNLNSAKNNRSSSHFKQGRVITFTVSSSSS >CAK66885 pep:novel supercontig:GCA_000165425.1:CT868048:156067:157040:1 gene:GSPATT00036016001 transcript:CAK66885 MILPPSDQILHSVQSKQWFNSRCQPKAKMQYLKSQREIMNDNVVTHTFRLIDKDQSKTLE IEELYSMFLKNGYPININLLRGFFEKADKDNNKSIELEEFKHVMYDEQATQSFREMMRKM REQAKESNYYSTDFVRLLRYLSYCQDRNALQFQINNHRLTVEKRSKLLSQLIQLNHQFNQ DQQITDEQLQVKPYKKQTTEKKTLPPINQHYVGNSNPSSMIQSQLKLNLSDVHKNSSLFL PPYVSPQNTDRNIKQPGHKYQSYLRINNKIFSNNKK >CAK66886 pep:novel supercontig:GCA_000165425.1:CT868048:157084:158916:-1 gene:GSPATT00036017001 transcript:CAK66886 MLLIFLFFLIQWINCWNVITLKKIIEPINNNNLIVEDDYMIVSNGSYSFKYEGVQGYRKL HMIFDAKVNLSQAEILIKVNGIRRNFLFVERDFKEFHMEFDHQAPDIRIQFIFDAEIQFT LRNFNIFIQECPKNCRYCLSGYCDLEIFDQCQKLRYKNECVDECPLGYLAEFRECIKKSL NQLDINQKSVNNDIGSNKRFGDSQELYFDFEQQFEGWMEIKFNCYGKQKDQNQSILKISK ENVQPETIYPFLPIYYNTQSYKQCEKKSELDCIQVKVLVKMEFQEQNKITIYSYSKNVEN SGFWNVEDVKLYDKEIKYYDCSIIGCESCSFNQVCSQCGQDLYLYENECVKQCPYFTIRN GSKCIKIDEQQQDVSFLIKLDSPFQDILSIFGQKTFDMREQISFRYENGTTFIGGGLKDG WRRTTFTKQLNLGKHYQIRLMFNLSIENSTSDSDCFTYTIDGKTTLAHKNVSYIDHTLYH NKNILNMNLGCKISSNGRCVISNYYFMTMKCSPLCLQCVGPFEQDCKAYEKNIQKFDYQK LKCLDGYYLDGNGCQKCSVGCLICESYNKCLKCKEDKDGEFYCQPYI >CAK66887 pep:novel supercontig:GCA_000165425.1:CT868048:159585:160792:-1 gene:GSPATT00036018001 transcript:CAK66887 MKFSSKSPTKTVKQQTSVSPNQVQKKVTHNYVEKTLVNKEVEYWKFKYMELEQFCQGKQS YEKLENELQIWKSKYQQLTLRARVAKGSELIIQEYQSRIQELNQQCNGNNYNSNITFSPS KRSEQAKQNELQNKIDQQQNEIRSLQNQIEELKQQSKQHTKRDIITTTNNSNYQSQNMFA NSSSLNQQYIQQNMRLELELKNFEQENQSLQEQIQFYQHNLDNQQKIIDQQKLQINQLEQ NLASEKLKQLKLMDQNAINLREQEIKKYQEEIFILSSKLRDCEKLKRQELNQKDEHYQEI ISQLKLQIHNQIQSGEMRLSTLQNILQDKQLNSSFGRLNNDFYQQQNTNQSDKVIVRLDS EMKNLKSLINEDNNKQRFF >CAK66888 pep:novel supercontig:GCA_000165425.1:CT868048:160881:162933:-1 gene:GSPATT00036019001 transcript:CAK66888 MQRHPMSMVQPKQTEAELLNQINSLKSELSFQVNANGRLSKELNECLKRLGITRVDIIHQ DINHQTDLSYLAPLFIVYEDHIKNYDVILQKLMDDLAAMDARIQMVNDDNTFLRKQLQEK NEQFLRLYQQGGGSANNIKNVFNELEKEDLEERIRLLNEENSIYVNKLKQYESQIQDLQL RNDQMIRNEQQYLNQLQDLKQLNQNLEIGSDDLRIKLDILENKFQNQTQLVAITEEEKTE YIQRFSKSENENKVLKHQNQNLKQEIANLQNKGQLSQTELSKELEEMHKKERELFDKQVH NEREIDQLRDENRAIKRELDQSKSNLEQTIKMMDNYENKISILLKKEENYKILTKQLKEE RENAVMEKDRYQLKEQQFDQTLQNQMTKHREEITNLKENFDKQSDSLKNRYKIIIEQRED EIHRLNEEANQITTLNDRLTKEIKSLKIEITRMENGLKQDIETNYQQTDDLQRQISELTE KNTLLEQKLTDQEAEFNLAKQSHDSYVKTLTNNNADLKQNLEHTRSELTSRTQELSTQKG KINSLTRQCQNQLEELAKTKRHYESRLEQIQDDYTYKIKNLQLQMEDAINRERQSREKSV KLLQDYDKIEEKLKVEYNTRIQELETTINNLKSMNHQLTLKLNEIRNVSYMNKVENSTLR KGQIN >CAK66889 pep:novel supercontig:GCA_000165425.1:CT868048:162999:164616:-1 gene:GSPATT00036020001 transcript:CAK66889 MYQVGENKEFEIDTRKVIGSGSYGRVFECQSKLNPELKLCAKVTKIFNENNNKQQLEKDM CREKQITEIVQKNKDNQNLVQVYYVKHDKEASQLIVIMEKCVSNLDTEWKTINVYQEYEV IDFLSQFLNGYRALYDQNVIHRDIKPENILIQYIGDQKFYRLADFGIGRIYKLNDFKLTK VGTPIFASPELNSLVSDQDLDSKFGLMKKDKQKSVVDIYSLGILLHLLVMGGYPCETTPS GIKSFMAKIKTEKFKLNATINPKLADLIERMIVYNPQDRLTFDTLQQECNRLTMMRIPVK KPIIFKPACDPNQLSQQISQSNQQTTPNTIQNTPLNPQPFQKNIQQNGQQSMQFTASTFI PNQPQGLNRPQGLNQPQGLNQPAPQPTFPPPSGQNVIVNQPPSQHLFGTQGPLNIKDEIC NYLRKILKIHKNTLSPQCIKTFEEFILDRATLNEIGNFYNNFDKNTNYSQEEMQFYYCLK YGLLNQQQVSRRSYDDQKKDLSQ >CAK66890 pep:novel supercontig:GCA_000165425.1:CT868048:165411:166336:1 gene:GSPATT00036021001 transcript:CAK66890 MSQFEPKYRPNGKTSLGTGSFGTVNLVQNIKSKQLYAIKSIQQCNIQTPYEQEGVEREIK VHLKCRHPNIVNLYDSFIEQGNVYMVLEYAENGNLYNYVQRRKRLEEKEACKYFIQTCKA LQYLHEMNVFHRDIKPENLLLDNNNDLKLCDFGWCAENIHLKRKTFCGTYEYMAPEIVSD LPYDYKIDIWSVGVLLYELLHGYAPFKGKEYKEIAQNIKNGLIRYSSTISQDAQELIKNI LQKDPQSRLSFKDIYQSAFVQRCFPQQQGCKINQIISQN >CAK66891 pep:novel supercontig:GCA_000165425.1:CT868048:166345:166970:1 gene:GSPATT00036022001 transcript:CAK66891 MSPKSSTSIDQNSIQKYQSPLISHKQQPFGRLNQNNTNNNLNNSSSLSIKSPNISKTRVD SKKQQNIFDDIKKKIIYEQTSPLRDATNNHKRNQASLTNLIKSSNTKLNTIKSPQPKKIQ LSKYCSKSKNFDENGTVKLLDQFKSMPSFTIEDQKPTYEEEHKLNIKTDRVKGFKKDPQQ SQDLDKILKMYLSMCSSRS >CAK66892 pep:novel supercontig:GCA_000165425.1:CT868048:167860:168257:1 gene:GSPATT00036023001 transcript:CAK66892 MNSSESVIGVKTRSMNVCERVLQPRIKRDYCHISKEKKSQLIQTVLKQKCKIKKIARDLN INYATAKTILHCFKKKQIAFDADPEVKRVSVGSIKEGSKLWVQIKVADQIINHFEYFDEI KRIQ >CAK66893 pep:novel supercontig:GCA_000165425.1:CT868048:169186:170832:1 gene:GSPATT00036024001 transcript:CAK66893 MKNNNQKHNPQWKMLDCDLISEEFEEHHRISVPQFPKFKKPTYNSMQITSNVQYRINVCS PAKLDDTVSISTKKSTTNVQFLIQSSRVKLAAAIIQLTITFNKLKIILIKIQETEAQIIR NLISQKAKAIYMLDIKWAANYTAFLQNQHSTIPLEISNNNLFVDGDSRNPYRQNLKQDLD FVLMNRNTWQFLVAIYGGGPEITYNDYLGINNESQVNSREGSLQNIDISTYNSQTFQKQK FILPAIGLYNENNYCFLHAALQSLLSIEQLTCWVSIEGKSKRHEDYKWILSYYEIIDQIQ NCKKGQHVKIDILKKLIKNQFAPNLQHDCQEFLCYLTEQLNKELKQNKYDQAITEILFQG QMMQQIQCHKCKQTSSKFESFFELSLSMNNMKGLKQCLSQFFAQEVLDDDYMCNQCNRTT KAIKKYMIGTAPQFLIIHLKRFQEKKLHNYIEFQNNLDITEFCSVKAQYKLKSVIVHYGT QEKGHYVNFSKIQVQLKWYYFDDHRVQFAREQDVLRQQAYILFFERSDEQLL >CAK66894 pep:novel supercontig:GCA_000165425.1:CT868048:170850:172279:-1 gene:GSPATT00036025001 transcript:CAK66894 MGTSSSTYQFNFSQYQYLQEIIDPRFGEVKLYQKNETGELICVIEKIQTQSTKPFKKLDH PNLLKVHYYSTSTYKEVCSTFTKLYIVYEYINNDLKTIITNRYKKTQYFTEPQLWSLAYQ ILDVLHYLKRNGIYPMEIRNLYVSNQGNLKFNSLFDNHMTSFQQLINQITEDYHISPEEL ALFKNQSNFNNLNPEQCQIFQAGLTILWAASLNDPSTLFNKVEWKLNEQDLYQRISELQY SDNFKTFLIKILQINPLERGTLESLMLSINKFEINEDLLKVFKLDSVPTNHQFDEYEYQS QSSYNQSSQKQKPNLQQNKIQQFQKQISLIGSITLKSESQEIHKNNQLFANKLTQQQDNN NIQQQQSKSYVQYQTPDSSPIQTNRKPPGISGSKNQINSTSKPNIQSQLQLNKEKVLDTS YFSQQSLLSYNKSQRSLLENRIEEALMKSKLALAKFDQTIKQNNYYKN >CAK66895 pep:novel supercontig:GCA_000165425.1:CT868048:172310:173534:-1 gene:GSPATT00036026001 transcript:CAK66895 MRIAVVLLICLVFCQGIPVHKKFLQLDGQENDVQYTSDNLQVVEQKSQNKDVQILAAQDS DLMINQLEPSEKSETQQSLDDETPLNSAYFEDDQNNNEIIEDASQNIVEDLKLIGQVDNN NIIDENHQVIDTQQNIPDQNENYASDTMNVNDEIQQEISDQQNVQDTVNNEDNFYDDSIE NNDTLQASDSVSQQLIAQSNEVPDLTNMDQDQVTQQFTQPEYEVQQNQIEQTNQQDQTII IDNQLENDENQVQPKIIVVEDQSQIQSDDSGPKQVNIQQEVTSEDPNNIGDVNEEQTSQC IELYSQCYYKGESMKACNDLGQMKDFPYEIKSLKIPFGTTLTIYDHPNYEGERHTFSKNE ECLANVIVLTQITF >CAK66896 pep:novel supercontig:GCA_000165425.1:CT868048:173905:174553:-1 gene:GSPATT00036027001 transcript:CAK66896 MEKSMTVNGQMILHVEKESILTQMELNMKVIGLMIINMATDLKLGQMVLDIKENINLGKK MDKVSQHLLMVPIMKEILLIMKFQDTEFINGQMDEYIQDNGKIINCTGKEISDGQMEGVS KEVMKMTKSKGYGIFYFGDGRKYIGTWNDGKQNGLGIYYQDERHYKIGEWQNGQRQHWLN DQEIEAIKESISQLQRL >CAK66897 pep:novel supercontig:GCA_000165425.1:CT868048:174563:175343:-1 gene:GSPATT00036028001 transcript:CAK66897 MQKNQQCSQTFNNSAINQSSIIAQALRMNRYQFPQIRSPNICLILVKCKLFVNNKLLCQI AQERKRREQERNKQEEKELKQLMPEIDDYHKYINNFKLMGQCKCGTVCYQKEEITSLKIS SHSIQISIKQNKNYQGKTSNQIEPPNQKDLESGILKNSITSKISIQELSKNLIQTQARMP DQVIDALKSSERKTLPSYFNCRMELNMKEMDLENRYGQMDQYMKENENKINLMGMVD >CAK66898 pep:novel supercontig:GCA_000165425.1:CT868048:175398:176584:1 gene:GSPATT00036029001 transcript:CAK66898 MKNSIMFGGISFNCGDRYKLIKLVGTGAYGSVVLAFDLQNKNHKVAIKKLNLIEDVIDAK RILREIKIQRSMNHHNILKIYDIIYDQKSEFFGDIYIVSQYFPADLHKIIKSNQDLSVEH VQFIMYQLCKGLYYLHSSGSIHRDIKPGNILANENCEVCYCDFGFARKKDEIQDQIDENM TEYVVTRHYRAPEIMLSSQQYSQPVDIWSLGCTFAELMTRKILFNAPNYIQMIKMFFDIL GRPSDEELNQLVTNENALSFIETLPHKPKQPASKSVPFPDAKARDLLDQMLEMNPKNRIT AQKCLEHPFFESIRNQVEEITFTGNLECDFENDDTITLETLKHLILVEINHIKAKNKKEL INIEEEMKRIGIIQQQHQ >CAK66899 pep:novel supercontig:GCA_000165425.1:CT868048:176612:178615:1 gene:GSPATT00036030001 transcript:CAK66899 MMKLIEIVELFEQSQKEEINQEFVYLISLSWMRKFIDSVKLDGKENLPMKPINHDIVDET KANILYLQLIFKRQSKIQCRLHLRQRINMVIIECKLVIYYKTLYGGYCIKRQIRNQNQIN EMIHFHFIPVHQSQKYYIEGIQQFDSSWNIQLVIQHLETALQNQLSFQIPKRNFIQLYTL KNEKQTNFVQIVQELKEKTLEVEKIEDIIQMKDKKYILMDIKLFNNEFFFQFNSQIIDAK SEEFINQEYLESCRKGLSVFEDQQTLLSCMLKCYENIDAIRNQILQNQNQKYKNLKEILT ESYFGFSEYVYYELLDYEFFQEKQVLITKSRYDAVYIYNNENRIIVNNQKNIRQLVESLL GHPQLQCDFNSVLFESSNEYYTEDIDFTKPAYGLKEGYKYQLRKLMWQEHNINNQDRIEI RVHQCTYENIGYQQYKPFAPIVKCYISQWHKFQDLHLLIANLFEEINHENYKESRLNKKY KLYFQTDQQGQKQCTYCQQKLCNNCQVPFNEKALNLGTRVINIYVIYEEIQPIVTRYQPQ IFDNYFLRDYFEVDWAQDKILILNINQDQIKPYNLKYYFDSRMHLLNISDLYQLQGIIEK VSQNEYQCYCQKQQQWYQFKQNKFEIVNHLNDDLNVVKLFYIRK >CAK66900 pep:novel supercontig:GCA_000165425.1:CT868048:178661:179813:1 gene:GSPATT00036031001 transcript:CAK66900 MRNRQQFGQSFKMNAQIPKIDKTPVKRRSCECQECGLVNKKMKYIGYIIQQFQPYFNVAH KLFENERKNDEKQTKIQQQKQLRLRNKRHNHNKDMLKRAVNVITLQETFANNRKKNVELP PINMCSLKEIRNNQSSNLLQIVPQRKMWDKRQTTIKFQYQSNATHNQKCQLPATPLESHL VFKINKVIYQSFNLRHQQGTLDDFQFKLRINIFDEKTEPQPIKHQLIIPPIRQEEDYDEE ESMVESVQQMPIKQKPNREEQYKQILKYNKRSFANLIEQAQLTERKAIQNLIKTNNSHKI LPLMLDQQPLPIYMIHSNYLDAQKTFRSNRHIKLDKLQPATVKSQSQHVQIINKHKKNLT AGSVLLRL >CAK66901 pep:novel supercontig:GCA_000165425.1:CT868048:179984:181360:-1 gene:GSPATT00036032001 transcript:CAK66901 MKKLPSTYLEPKIFDNKYIIKNQLSSGSFGVVYLAIHKITREEVAVKLEKGEHETLDREV YLLTKLQGIQGITKLYWFGAEQNYNVMVVELLGKDLGYYSRTYKQLSLKTGLQLLDQLLT TFKLVHSRGIVHRDLKPENIMMGKQNTSIAYLVDFGVSKLILDKGKHMQSPFKDRKSFIG TTRYASIAAHKGFEISRKDDLESMMYVIIYLILGKLPWQNLQNIGDKDRTVAVGEVKMST TIETLCKELPIQFVDYLKYLKNLGFEDQPDYDMLKTLMKQCSNPNTYDNQFEWSDKQQNK DSVLEVKVQGSFLAVPGNDGAQRSNTKKQSNISNLGSSSSNVVKYVPSFQDAVQLKQSSQ APIKIAASQPHMDFNLHSETVDFEDIEENMSNHPTLEQKYLNLSGFDAKFKDEKMKLSRS AINVFDQ >CAK66902 pep:novel supercontig:GCA_000165425.1:CT868048:181810:184050:1 gene:GSPATT00036033001 transcript:CAK66902 MFPKNDISNIGTQVFAQYKKMYEEICQRLKSEKEAQFYELELWKDKYNALQKTFESLNQS KDKQGVKIKQDLNDPRTLNQVPKISGHQQLNFQPNAEKQYFQIKIQQLNDQIAKQKKQIQ DLNDKNEKHSIDNKKLEIEYKIYSDQLNQRRKSSQHQISDQQMLQYEDNIQKLIQKIEEM KKEKNNQEKQLEKLTKENQVLNQTNDELRKSIHDHDKGQQQKNQYQLQLSELGLKNSQLQ KLVKDLEKQQQHQQDISQQTAKQIEEKQNEIESLKMKIQEYQKELSNLNQLQGVYDEQQE QLQQLAQMIKKLEEKLQESANSKQNGSDKKQLSSKYDQLYQQYTDLQTQDIMKQEWLTLQ KTQLEDLKQENEQLCYKIEEVQKQNKVLISQIEMQNEDTKLSFSQLQDQVISYQQIVTDK NEEIHQLKLEVSKQKCHLQNEDYEEKIKQLNNQYEKLESESKMKIEWMEIQNEELEETIN EYEKKISNLVEQLSQSNKSNTGGDNNQQKDLLYNQLKESVKERDYYYNQYEQFLELAEKK DKIIEEQVKILTGNKLELSQLKVQLSQMNETSKDLKEMKAFYEQKVIEQENIIQNLQQQR KQTSDQEYYEKMNQILQENEELRQLRSENQEELNKKDQLIEELQMQMLSQSQVTGSSDLQ ERKRRQELLDKVKEDKSEKSGSSNVQLKKNYEIQTKEANEKQSPNLDQTQDQSKSSKPKS AESLDFQD >CAK66903 pep:novel supercontig:GCA_000165425.1:CT868048:184083:184430:-1 gene:GSPATT00036034001 transcript:CAK66903 MCSINMIFLESISRTNSIFVIFKLLDYSIQFQLAYIQYLNINSLISNLLFITHHINYSSQ IKLKYTIIMFFLQPQQEKQQATREFRSKQLKEVFKAAILFGVLKLAAIVFKPKKA >CAK66904 pep:novel supercontig:GCA_000165425.1:CT868048:184635:186314:-1 gene:GSPATT00036035001 transcript:CAK66904 MRHDFFHEKDIRIIEKIGEGAFGQVYKGLYKDEEVAIKFMQGAQIQETSIMESLKHKNII KLYQLQYFKQGNCQYLVMEYAAGGSLNDFMKQSLEESTISQIMHSIFTGIQYLHSKQIIH RDIKPDNILIKNTEDLSSIKIADFGLSYQYKPEIRYYQTVSQQCGTFIYMAPEQILNKAY NKAVDMWSCGVVLYMLLNQGKHPFYPRIFTKKEFINSFPDLKYEQPLHASPLARDLLYRL LQFDQDSRYTASQALVHPWITRKFNDPIPMSVKQFGICQELKKKIFQQLQSILFIIQLQQ RSIKQSPIQSRKDLTEFKISIAESDEDNFDQQNLDTISPRNQQFLNKLSITRPNKISKSY RTLNSIPQKEKHYRLNAILNQTNESNQELKILKLHQQLSIDNEHIIIPIDPESPKKNLKV VQSNKVLLPALSESTQTSLSPVKINKVPIVKQNSFRKTESSFFLGLNRINNDSAQESGTF KSKAEIHDRGSIPSLNTQRVTTRNSTISLVASPKCKFIHQNNLLIPKKII >CAK66905 pep:novel supercontig:GCA_000165425.1:CT868048:186498:187055:1 gene:GSPATT00036036001 transcript:CAK66905 MKNILSSFPPFINRNSNFQADNIEFKKNLFHFEPLNINTQLIKQIRIKKERTLSPNPIQN PHALILSKINVQKQALKKVKLKSSIDYRALQELDFNAQLQFLQPTSFIHASTNRSHMKDI NLLRRQKCRDKVQFCNQKIETERKIQSKFCLCLPKLHNSLDINQSRDSLNSWTMNSTFGL FQVIS >CAK66906 pep:novel supercontig:GCA_000165425.1:CT868048:187143:189500:-1 gene:GSPATT00036037001 transcript:CAK66906 MGTCGSKPKKVNLYRIPRQLEEKFLPKEIDLLFLIYSDLNSRNVENLVNKTAFTDFFTMI GLWGDLVFDYFNKDDENENLINFEQFVRGVAYFIKCDEEQKIDHLFKLYDLENIGIIKKA EFLQMLQNYPREDLIRLLDDPMFLEDLKILKYYEKKEKINQKKAQPLKADEQEFTESIHA LQRRGSQQSQEIKSLSKQQSVECQSIQSSLPNFNEQSVVMMFPNESLVGPNGVPMGQFGQ NISFQINGKLVELKRVNINYLVRKYVDMIYKNKGKHEEELSIEEFKQFVKLHPKIFDGLY KAFNYDIWGVNSSTLVPLFEIVQKDLEGQLKKVSRKNPKIMKDRYFKLIQRFCLSYKKLD QLIPSKIFCLDGLTIQEIVNSQEQVYGFEISHKDKVYPQRLYYCKDFEDFKKWTNSLQMF QKASVNDYYSILQKIGEGKFSIVYLCEDKKTKQQLAMKIIEKFKLSKQEKLIHEVEIMKL LNHSCIIRFVEIIETKTHLNIITEVVRDGDLFDYITNNENLNEQEASLIMGQLFDTINYV HSVGIVHRDLKPENIMIVLDQITKTVKQVKIIDFGFANFLTNIQNKEGEALCGTTNYLAP ETLSQKRIDFKVDNFALGVILYFLLSGFLPFDASFPEDIIKNILDGNYDLNDSFWQQISA DAKDLITKLLIIDPDERISLDEALIHPWIKNRSVLQTKKVQRHKQRLGLF >CAK66907 pep:novel supercontig:GCA_000165425.1:CT868048:190481:190966:1 gene:GSPATT00036038001 transcript:CAK66907 MLCCNKRKKKLDDGIQVIRRTKLEIQQTQDINDEAMTLYQTQNFFNNSNIFHNTNDLSSQ RFRTGSNIKESRSVDKLIQNLPSLSPRQTSFKSFKYCHTSPDTKFISQKQGFTLLITKSP KK >CAK66908 pep:novel supercontig:GCA_000165425.1:CT868048:191751:192354:1 gene:GSPATT00036039001 transcript:CAK66908 MLTYTYNHESSNNHQELSLANQQLILQALSSMPMQLQQKEKSLQIENTKLKSENIELQSQ LNKIENKQQELINEIQDLKQLVKRVYQEGEVQIQYQKQKNQQLKYKNESLTKALLNLQNN LETFAQLKNLSRYIDDFEISRNSVMEDSYIQ >CAK66909 pep:novel supercontig:GCA_000165425.1:CT868048:193097:193696:-1 gene:GSPATT00036040001 transcript:CAK66909 MSKQEEDSKLQLIESAFECNICLEIATEPILTNCGHLFCWPCIYSWLNSNQEFLTCPVCK NGCSKNSLIPLYSKDETKTNKPRDPNIPPRPKPGRNDPVRNTNQLGQNNLANGAMIAGYG LFPSLFNLICIKDGDIEKDERHENEATVEIANVRKLKAILYLLILCLIMMIVFYF >CAK66910 pep:novel supercontig:GCA_000165425.1:CT868048:195304:198966:1 gene:GSPATT00036041001 transcript:CAK66910 MSHIEHILNRPDTYIGSVQKMNELMWIVKNGKMIQKEIEYVPAFFKIFDDILVNAADNIQ RNNQTYKMSSLRVEIGNQISVKNDGQPIPVEIHKEYQLYVPELIFGVSLTGSNFDDTEKR VVGGRNGYGAKLTNVYSSEFSVEVCDGSNYLKQVWSNNMSNKQIPIIKQMKKDPFVQITY KPDFKRFGMNEIEEDTQALLVRRVYDMAGIYGNRINVYLNDEKIPINSFQKYVDLYLPSN SIKIYDKEMTTPRWEVVVSYSATQFQHVSFVNSIFTSKGGTHVTHVTDKLIQEIQNEMKS NKKYKHLEVQKYQIKQSLWIFVNCLINNPTFDSQTKENLTTRVTDFGGTDEEKFKVTEKF SKALIKTDIIETIFQQAKAKADAKLNKQLKGTKTSKLHGIEKLDDANDAGKKNSEFCTLI LTEGDSAKALAMAGIDIVGRDRYGVFPLKGKLLNVREASVKQIMDNEEIQNLIKIIGLQK DREYGDLKSLRYGSVMIMTDQDIDGSHIKGLIINFIHHFWPSLLKYKGFLKEFVTPLIKA TKGNQTIPFFTVQDFNKFAQIQDIKSWKIKYYKGLGTSDDLEAQEYFRNLISHTIQFRYD NEEDDQSIDLCFNKKKANDRKQWLAQYNHDLYVDHTQKELGYQDFIHKELIHFSMADNIR SIPSLMDGLKPGQRKVLFACFKRNLKQEIKVVQLGGYIAEHSAYHHGDISLVTTIIGMAQ NFVGSNNINLLLPRGQFGSRAMGGKDHASARYISTVLNKITRYIFPEQDDHVVKYIEDDG EMVEPEYYVPIIPMSLVNGAEGIGTGWSTSIQNYNPVELVEQIKKRLNGEQFDNLTPYYR NFDGNIETQPNGNSLVKGIIECNEETDIVTIKELPIKKWTKNYKEWLDKEISQEGNPIMD LREYHTKYKIHFEIQMTEGYVSQLKNPYEYFKLVTPFSNNNMVLFDGQNKIKKFESIAEI LEEFYQVRLQFYQKRKDYLLSKLDREVQLLHNKSRFIKMVISEEIRLKNVKKADLVQQLD KNQFTRFSQLITVNSTKMQAFGDSKNPKKNVDDNDTSEASDDEQVSKKKPENTENQQNNK TTLDISDYNYLLSMPLFSLTNEKVLKLEDELNSKLQAKNKLFTKEIAAMWIEDLNKFLEV YDEMVNIESKLINQAEKLPKDQKKKNVKKKNVQDGMQIEQEGKKKVNKKSNQNEKQNENS PKNQSNRKIRSLKENKSQEN >CAK66911 pep:novel supercontig:GCA_000165425.1:CT868048:199070:200671:-1 gene:GSPATT00036042001 transcript:CAK66911 MSTLINSLMIEKDVDLQCSKKHKLPIQMVVLDSKLTSNERLLCSDCLENFESNAKMVGLT KVLQIIDDKYIKLIQHNQNLISSTIEQIEMIQSSLFEIKSNFNQTLDQLIGNSEEWIKFL YQQGQKTYMYSFFEELELLIQNQQTEDLNQQILKQIQHINLSWITKITHKIQQFNYNSKD LIKNLRQQILSLVINYKTKEINQNKQEGNIDLKLINNTSYQSDDCFAISFNNSGSLMISG CGKFIKLWEFQNGNINEVLKLKGHNDTIRCLTFTNSNNSFISGSGDCSIRCWKQLNFKSW KSSESYNDHTDYIECILLSKDDTQLISSSQDKSIKIWNFDISKNELKLQQTLNQSKYCLN SISLNFSETILVSCGDNNEIIIWGKNKLNYWEYQNTITQSYNEYGTKVCFLKDYQFIWVS GDIQSGNQARIFEFQGGKFIENTSKTIELENDNEFYDLNLFPIVYNKEQNIILIRHKFNI YLLREQNNGLYKIVQKVKQHSNSIQGSMTKDANYLTFWDSYQKIYTTYEIESK >CAK66912 pep:novel supercontig:GCA_000165425.1:CT868048:200860:201955:-1 gene:GSPATT00036043001 transcript:CAK66912 MLFCQIENHDDSQILGCCIKQDCKHPRPYCQYCMTSLHFDHFQQLKSFKQLNELILQKMK SYDYLMNMIQQIKISINTIYEIVNPLYVHPLDNVQSLSHSYLNDQIIKLMKIEVAEATGG LIVNIKELNNRIYNLCCKFQNLKSFPIKQTNFSEQIYQRRQQDSYVSIEPQLEKQNQEIS QNLPIHFSSDYKDRYIELENSSKKAINLNFGWKVAICEPKIPNNNCPITFQFKIINANAC DIGVCHRNKIIQANYQPELVKASGHGIYCINQQGYVYSNLNQNINNQKQSISFKNNDIIS VEVNYQKQRILWTNQTLQNQYSMKFDITEDLYPCVGISYGEIITILNT >CAK66913 pep:novel supercontig:GCA_000165425.1:CT868048:202183:203628:-1 gene:GSPATT00036044001 transcript:CAK66913 MNQVCSIPEHGVNVEFICLNQYCRDFRIFCFKCLQSEKHNSHMKDVHKLKDYGRLFDNKS NTVFEDFERNIEYVNTLFEWLRDEMFNNNHLSQEEFEDLSIEQLHDYLCSKLQMQSQKQS ILGTINKNVDHLIQTLNNCISDLELSSLDSPGKKIKGELSTINYEVINSTEEQQINSIAF NFDSTVMVAGYESSKIKVFDFNDGELKINQEINLHKKSIYCLYHMKKTKEFISGSCDKSI IVFQYSDDQQWHLKQQLEEHQGGVNCLVVNREEDTIISGSDDTLIKIWIRENDYWTCHQT LIGHKNYISSISLNKTENQIISCSKHPQILIHQQETKSRIWVLLQTINVTTWGRRLCFIT DSVFTFQAEKKEQLYVYELNPKTNFFQKTKNISVDIGTCKMKKRGCFWFFPQQFITEKSI LVSKNECSVNLMLFNQDGQFIKKQSIDYCTSGVFGRMTDDGQYLITWDDISRGLQIRQLK Q >CAK66914 pep:novel supercontig:GCA_000165425.1:CT868048:206105:206803:-1 gene:GSPATT00036045001 transcript:CAK66914 MCEIKEKKLIIKKRFCEFKISITCKISYKQIPIEPYNMSLFTADLQKEYFSIIRMGGISY INRNIQKRIHQQIKFNCQMLQGQISPNAFRYIFTQFYACQNTSSSQMCAPQKEIEKVLES GQYSVHKSDYLTKLDQSGQPYQVIITNEFTTFICLLLKLFLKNIEFYKHLEMKDQFGNQF RINQMFNQLNGERYLNFIIQFFIIQDQITNKQLTLEHMLHFKLFQANQVDYL >CAK66915 pep:novel supercontig:GCA_000165425.1:CT868048:208139:209788:-1 gene:GSPATT00036046001 transcript:CAK66915 MKNQNLFILVLLCLARSQEPWEIIYKTFQDKDSMDLEGWVVKNSYTENKVSNCAGYTLFG GYNQFSSKTIVYKHFSLPPHYKLKITSQFWKIDSWNDEYAYAIADNYVWSQMYSYSDGTQ MCGRRSDLPDTTTQITIITNNYSKSLLFIMTSNLDVNDEESWGFRDFILSILRCPQGCIY CQDNDYNNCYYWIDFLSLWYQSIELDGWMKNDNTLPATGQCVDIVLVGGKSNLAPNDKLG KIIENLAPHYRIQVNVQFWKIGLWKNEQFSLEIDDQINKTDLGTQGIYSICGATELVKIF NIGVTLSHSKSQCKITMRTNLNTETTDASWGIRAFDIYLAKCFTGCDQCIGPLKTDCKVC SSGWIFYKDLCTHPPPMLFSQISITQNKDSQSDQRISMEIRLLEVDQQIITQGDFTLLFK NNQQILTVQVYVKCFPNKTIKSQFQFNNIENSHKYQFEKECKQNFNSVIYKVKYDLRTIT EQELIFNTSDTKCLIYQVIKVAGESELIKILEILQDDV >CAK66916 pep:novel supercontig:GCA_000165425.1:CT868048:212882:214804:1 gene:GSPATT00036047001 transcript:CAK66916 MSRIKPKMLEKDEELVCAYGHNEPIIIVALDLSLPNNKKFYCSKCVQSNQQNHLQKIQFY KIVESMILEGQKSKAKFIEETLELNRKKIEKVQHLVSELKSRVMKSLLQIDNLVKEWIED LYSKEPQYIEYSFHAELNEYIKNDSKIDLNQNDYNSQIAKINYNWYCKTKPLMHQFKEFK EFNTCQQILFDLIQNNQESEDQIIIEQLQQSQQLEQSQEQQQQQQQQQQQEQLQRRQQEQ LSQQQQQQQTQQYRIAQTDQRSATNFNRLIRQVIXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXSIQFNRSILVTTDEIDIKVWEFNNGEIQLLTSLKG HTNYINCLIYSKNQNTFILSSDDKTIRCWQSFNLQNWNSSQPYNQHTHYVQCLIINENED LLFSGSFDNSIKVWNVDFNKNCLTYQYTLNKHTNPVNGLSLSPSEKVLVSCANESNSIII WERNANNIFEFKYVVKQSIQERGNKIMFISNEEFIWATASRTSDYLYAFQLKEGGVFQEN PERTVKLIPNKMFADEFRFPILYNKEKNLIILRMKSIMVNTEQLLNQILILLNIYGQITN NWQYLVCWDEITQGYSIYELQLK >CAK66917 pep:novel supercontig:GCA_000165425.1:CT868048:219385:220744:-1 gene:GSPATT00036048001 transcript:CAK66917 MAEISNQSYFITAGRKVKLIYKSIPNISNLERQTQVENQRRGNRNNLIPTRQNEDQFNDC STLLQKIEDLLVRNYEKFKSQIEQNADQLLDGYVRLQWLVGIAFKKTNCDLRALCDELKQ QIEEQNKQISEKQQIIQKMSNQAKEDIAAEEKKIKELNQTIRQLKEKQQEFIQQKENLKN KLEAEIGHLTINQQALQLQITKKDEIFQQILDEEKQKCTEALNQLNLKNEVETFKNSDER LQLIIQKEVDIEQQTEDISIKVNDILKITQQNFKREKWQQIKNQFSSTKDQITAVIKSLE QYIQKQRRIWGSAIQVDSALNSQVKHNIEIMMAYSKIFENFYKTVEKLIELLDKYQIVSN LVQIERNNENLNNNPSPSIQPRQPKNENQTSQAQIETQSNDTIKRNVSKSATILKNLDRK KKITHKETLDCKRNKR >CAK74551 pep:novel supercontig:GCA_000165425.1:CT868186:7045:7344:1 gene:GSPATT00039003001 transcript:CAK74551 MQQRLFQIINVGIICIEFTKQDGLKSNNQKIIKVKNQQQSNICMIVFKYATEMVLNVIEM PNLLLKLLSKCMYFLYCWLQFGYNWQLLQVCLWRQPYYC >CAK74552 pep:novel supercontig:GCA_000165425.1:CT868186:7478:8145:1 gene:GSPATT00039004001 transcript:CAK74552 MWRFYQSRNQCDDGSEIQYDGCFSCLVCCDIFCAVCQLRGCEYYQTGYKKIDQQFILIVE MRDDGNSLMNDGCKICIIDQGYKCQTLDQKIFVFNTILNVQILSQKMINQFVYNVVKATL LVLVNVQNVLNVVQNAKIALIIKIAKMQQKLGNYNDFSQKKCTAIFGDGIMAGKEECDDG NNLNQDRCNQRCEVEVGFLVCFKHLYQK >CAK74553 pep:novel supercontig:GCA_000165425.1:CT868186:8417:8800:-1 gene:GSPATT00039005001 transcript:CAK74553 MRQLNTFILLSNYGFIYFLLNFGGASKQQGSVGEFREINSGILKISSHLNVKRAISHSAD NLHQVSKNCLFKLISPRRSKKSYVLQIILSMDQSIIVNIIIYLKQSQLPMLNLSKPDYNK PIFLGQQ >CAK74554 pep:novel supercontig:GCA_000165425.1:CT868186:8856:9292:-1 gene:GSPATT00039006001 transcript:CAK74554 MQIICFNGDFSYYSSTWDSEMYYFLSYIFHPFVFRCCPVCFYNLYDLIQYLQFYVIAQTI KTNTCFYIRDGINLYHPLCFIIARLLMINFIQINIHVPAVYFDADTCSQRQVKIKGNANK QFNIFLD >CAK74555 pep:novel supercontig:GCA_000165425.1:CT868186:9357:9975:-1 gene:GSPATT00039007001 transcript:CAK74555 KAGRWDTFFFFIISKNYEQICGGTYEESEDGSIKIGKWVELIKEFKKSQQISYRGEYKKG KKVGIWDTWYNSGGISQKNQIMVGGLYDKMKNIYILLSFSGNKEVQELTFSQLMCIMSKS NYLSNINVCFFPVKVALQQQNYLLHKSNIENDGRLDNHYVKISIADIAYQLGMNRYV >CAK69060 pep:novel supercontig:GCA_000165425.1:CT868064:3:4177:1 gene:GSPATT00037496001 transcript:CAK69060 EKVQNLESDKQEKEQNINQLNEKVQNFESDKQELEQIKSEQEQTINQFKEKVHILESVKS ELEQQLTHLSQTLELYNQNQISTFLLANHSFSLLEQLQQIVANANDLMIEKGFVSLDLRE VESICSSQYQYLLKLMQILSPNFIPQQQFINNDQKFHSIKNDIDQQHTLNTINSNQNIKL DANSPQKTFCLQHQINAEIEMPNILQKIEYMQNNINFLNEALLSTKQQNTKLTKDFIDLI LAHDKIILSLQTEQSQQQSLEVNNIITEQQEIFQNILISDQKNTIELTNPEELKRVIKNQ QLQLDQMSRRAQMKIQHLQQQIDLLSLDLKQQQKLRPVINVNDTLLEKITSLENFNDQMR QIITSNTTEIQNLNDQIQQKNRCNKFSYLDFNNEQELYKKSLEQLQKSQLSYINQIEDMT QEIIKKNTEISSLKEEIQTLQQNNQRLTEQHELNNYYEQQMKMLQNQLEKSKQNIQSYSF ANSPQVENKSVFGDGDKYKKLINSLNEEIKLSKLQVSQFSTSCSALKQAQKEISNRLRRI LSIPFDQKADLQEMLNQIEEQFELLSQLQPRKKIIGFSDTTKYLLGKSPKELNETKHKTK QVVVENQAKSKDKDIYQEEISVDLDQILNEEDSEEESQQNIQITQFEYEKQVNSILQEQD ELEKSRQINYSQSYDEVHNDEQRKIQNIQELKVEIADLEIGQVNNQKLMLQQQQEHQDSI YHLQELIENLQQIVQQQNLKLINQEAELENHFKNEKQQQEIIACFQNQLEQARKELKYQN PEFGDNQKDYDQSKDLKNTILLLEQDKFELAAQLNEEITRNKEQKEQFFDSISIRDNIIQ CKQNFIILDLQTQLAIKSSDYDPKTSERIWILQSNIEDKSKVLFNEVLTHEEARFVRSIQ EHESETDENQTVQQQQIPRLPLQTQQSRIRNPRPTRGLRSSGSQDHYQNNQIKIDQIEEE YQEQIQFLINEKTQMKECLQKDIENKEEEIDEMKLELDNLQQRLIALDIANNDKQKELEQ LNQYLRKLDNQNQSLKNYNTNIENQLNTQLQAFENQRKIVENIHRTNDEIQKKYDILLQK YLKLEDDHNKLLEQQENDLAQINFYNESLKNMQDQVEKSKERICKTVLQSPSGDRDQNEE NKFKQQINRLNDELRISKLQVAQYSATNLQLKQKHQEFVVRLQQLFKLQFQENDDVAQII HQIEQNVSIQDQNSPIKAQKKLGMNDATRFFLNRSSKSRSSQDSNRPSQQQQSQIPSRES PQKADNLMYFYFIGFSELDKILDESDFERELSQQQNFFFDNN >CAK69061 pep:novel supercontig:GCA_000165425.1:CT868064:4544:6568:1 gene:GSPATT00037497001 transcript:CAK69061 MKGKLVQSLINLFPNQHQEKLIKCVFIIGFDKLIKKGFLLNGKPKLQEIIDYSIKLEKSG RLDFAEQPCDVKHELDHLKDEISRLTSQIQYNNSMIQKQTQRSYERLILQNVSPQYDERK RSVSVGYKQQQQQLIKKKTKEITPKITETIPQTINQINITISKHHLDDTEIKSVMRDDQI RIKPPKPSKLNQDQIFKKHNQIEYQCIDTEEHPTYIDTESVPMKEYCIPQILQYSNLQSS QFSQNHQQQELQQQAQSNQLQQQSFNQLQPTQIQQLKQSQVSYQSNTRQSDHQTHNTNQT FSQPYSSQKHCVQPSKSPNSQSKSRSKSPKFKKQKKQTCKNNLDTVHEESFTIAKHQSSH SASSKGRGSHRQQKAQQNNQAVSKIKALLDQDKKIYKQHLLDMASERRSFLNNSEKMKRI QEENVTGKSQSKYQETSDQLNKRTLTNNSFYKQQQNEQISPLLDSESKGQGLDPLNQFQG SYSMTSSNRVGNYIINPHQQDNILIYGKYKQSIFDQYSPKVKYQARPQSVGKQLESDFKR SNDFNRSSFSSTFSMFNPNEELKIFFQNDFLERKRYFPQGYSDMTKQSSLNSSQDYNQHQ SKYISTSQIEDQQNQSKNVFSPQQYQNVDKSNVFNNNRLSVTRILNNSQI >CAK69062 pep:novel supercontig:GCA_000165425.1:CT868064:7270:8328:1 gene:GSPATT00037498001 transcript:CAK69062 MKIFVPNLRYQNLLKIAPHYKIMIKFTFWKIDLCDNDEFYIKIDDKEVQKIAFQKTDGIE LCGVKQNNPGHGEKIVAIKIIQKHQEPSLKMTFSSSLTMETNQQSWGVRDFFLFAAQCTK FCDECVGNAENECTKYHSNHTLQNEKYLNKNQWYFASKEFFDMESFQKIEQWNFQELDHS SPNPPITKCSDIDLIGGYESFGKKTKASKKFILPKHDYVRVRATIYKIDNWEGEEFTMSI DNSEVWIQFLGWNDPALSDIFGNKSIIKFDKIVTHSNAELQLDLVSTLKKKPMKYLGDFE TFSFYILHQMIVFNYSVNTILRNFRKYL >CAK69063 pep:novel supercontig:GCA_000165425.1:CT868064:8384:8503:1 gene:GSPATT00037499001 transcript:CAK69063 MKIPEGMKIIGYRNFDFKGDKVEYETNQECLDIVFAILE >CAK69064 pep:novel supercontig:GCA_000165425.1:CT868064:8714:9385:-1 gene:GSPATT00037500001 transcript:CAK69064 MILDIDQEIISQRRNKLRILLNKPTHTKNFCQHQHQIIKSVLEPPKRIFSYSRSIRHFLE IMSKTGSVSVNQKAITLYIKQRDANNQKFQSDQIKTTNSKFIQTDDLITEEYNPKTERTL WNMQESPYIRKRKFANHSYQKEKDPKQLQLHKIYESDISNSPYTSRSIKQYTFQNEVLKQ KLDTITPFYDRSKHFFNKKRTHQSSRYKCEKSDTIFTTLTNRY >CAK69065 pep:novel supercontig:GCA_000165425.1:CT868064:9469:10293:1 gene:GSPATT00037501001 transcript:CAK69065 MLSQVSALLYVYYKDQNSDLNQERFTYYCVVIGILNFFGFILNVIEQHPKSKGNSFKLVV ELICSLLGLISILCYFFYYFMEFSMPSMQMLAGLILIWVIECSLYYILSDKGLQSMLSLF VTTNVLLINGDIALKGMGYFSVIINIRKQNLFDYSSINLIVLCAQFSWAGEPTTKKTNSL FYIISRQDCFRLMVYFLLFNILNNTIKAVTENIYVIVPTLATLIPYIWATTKFSQRRYKQ AFGLFLFTLVIYFPIDVYIKQQQLNQQNQQQIQL >CAK69066 pep:novel supercontig:GCA_000165425.1:CT868064:10876:11948:-1 gene:GSPATT00037502001 transcript:CAK69066 MLIFFLALTINCLQTSLTTKLTQLGVSNMGRSIILLSQLDQTNTNELMQALNQIKNNILD KIETETKSYTTYTNRQQSDIEYHEHRINDLQVELATLQVEIRRLDRSITFQEADIISKKQ EVQNFVNSKQSLIDWQKREDQVYTNQQNSYNKALSSIDTAIDLLEKGKQSNFIEEHSDTF SVVGEELQNNLEPEYQQISLTFSQIAYEKFADHDLIRRSTRLLQKLRASISDQKIKLQNT LNAEKSQNDIAIKLHENKIQQVNNDLIKILMEDHEVMLQQYKLKKSLVDQIQQQIQNSQQ LIIQLNNEIQLRQKNYKQLISEYQQEIQIINDCVQQIQNH >CAK69067 pep:novel supercontig:GCA_000165425.1:CT868064:11988:16839:-1 gene:GSPATT00037503001 transcript:CAK69067 MSSLVKNQSSPQINFKKLIKKLIMKMNRELDKNQEEAAYHLNRGALACFTTLCNTLSINN RVIEVNLSGCNINVYYAIEISGLIQKNTNIQILNLGSCLINSFGLSCIFRALIDHSGLKI LNLFNNQAFTTAIVQDFCRYVLCGKNQLQEINLSHCNIQNSLLAQIMDKTHHLSSLRRLK LSLMSLNSEGIFALSSMMLNYTGKLNLDYLDISYNQIKNEGIELLAKGLFMSVRLIKIKS LNLNGNHISQTEFLEQIIMKIQLEELHLAHNQLVEFQNQQVLNLKLLRIDLSHNRIEEIP NNFFLNVFYLNLSSNQINTEGAYQISQVLSSNKVRWMYLDLTNNKIKTKGFISIIYALIE NTSLTSLSVSKNQITGEGILVYIFNHEQIRLQYLDLSHNQLRYDLVYALISMMKESRLKS LILSQLFNDENEIQSGKQDCFEIKCTNLKELDFSSNPSIMQPILESLCKQYNRLEYLNLN ACGLNQSILIEKLSILIEKTYTLTTLQIAQNHLGSLNQQSLDQLSSSLGKNSTLRNLNLS SNKLKDSIQFLINGLQNCCSLRNLNISNNLIDENEMIAKKLPLIFKNRSLQIVDLSSNWI HGKTLQNIKYCIQKMHSQFPQLILNNLKLTADDLLILSDIISDISSFKVLDISLNDSIDY MNNFTALQDHKEVKSLTINKIQCRLESYQFLQQIIKQNQNSLSVIEVSNTLISQNYLLTL LHGMTYSKHLKSFILEYQLIESREILEAFSTLIQIKALDNLQHLIIKKSTLSLDFFMVLK QLLQQTEQLQELDISENRINQRKFEILCQGISINNSLITLNLSNIKLDDFKCKLLIESLY KNRTIQNLELSRNNLTQQSYYNFFEVFNNNISQLQKLKFSKTKKQSLIRTLPEYKYDFLI NFDLPEQSRFLFCNLIQLDLTRVKYQLTSLKQLINRIKPDQIFQNVVVVIFNECQFEDEH CELLLELLIHQIKLQELSLTTNNITSKGFNTLINFILENKSHLRKLVISNNCIDSYILSK RCLKRLTHFNLLIIDNNKALGNQDLIDFLKINPGLYIYNTWTQATEELAFQIIEQYIQYS INYNIKMTPFYLKSLIIRDSNLTDNFLIWFGSKYFHFQYLESIDFSGSTKYSTSVGKMHM YISMINEQFMNYNLIDGKIDNEQRVINIFDDGILQCSLIKLKNYFLRNGSNGKWMIQLIN TNQYQYTEFKLSSSLYRFLRQNKFQIKTYCFIQFFSVPIKAILSAIIGFYFEHLVDPKQV NINCKNMNPCYFTNLNIYIIGVSIAYMIITLFIQMLSFYVAIKIRVKAVPDYCIRTFEEK QSQMYRYPLRHELMLFFIQFFIQTDYFFEFEVIGFCGSFIKFLQEQNIQKELQFKFFVLL FALSSITIIKFIIVTYTNYNNMYNLFKNLDSDQATFFSHIWKMSEKNYVLESVLRQFCPQ IGIQIKKYLLNSRQISEFILALLIYLPSIICMTIYFNLSSQYFESQYESIIIPSAYFVFL IGQIRVIFGFFFHLNIALTSRPPQVNGFDLNHTLLQRKYQQFYKEQDKYKNLDVHQETNL ISLANSKKWKNEKKFFIKQNKIEF >CAK69068 pep:novel supercontig:GCA_000165425.1:CT868064:17559:18748:1 gene:GSPATT00037504001 transcript:CAK69068 MKSTYLIKDVIYEKNQERQFQFHKNKLKEILTQPLQLNSEGIMQKLKHKHEQMKLTQQFQ TQEQNIEKSRIDKNLMLKLLNNNNKSINGAQSTTRSINRNSKINMYLNTINNENKSLAIR IYSLPSVINSQRHQQEYQNHKSDLKIMQRFHKQKLYSNQDLMTQQIQTILGKGFEGSKYQ NLNFLKQIGKQNKVLFLTPLQLRLFSKLSNNNNNPPHFQLKAFNESKYLGESKSLQLNDF LGSLFGIVVSQDVYTIKFTLYKIKQNEDFELGHFLFTESASPIKTKQLRENLQAQINDPI ECYVKKEINNEQICVGLFEYQIIQFCYCVNKNPQIKKDNLLQPQYLIEVVQPSPQKSAQK SLSEMDKLQLD >CAK69069 pep:novel supercontig:GCA_000165425.1:CT868064:19188:20480:1 gene:GSPATT00037505001 transcript:CAK69069 MHSNLEFSCRIPHHQIVNKKIVYVMIFISHSYCSAKVQHLRYSDAEKLHMKLDKSLQQLR ISVNLPQFPGKKLFGSHNDSEQGILRRRTELQDYFNQLLKIDKLYSIPYMKSMLPNIIEN KNEITQFSQNKYYNIINALRPEQQYNFIIDSFQQLDTFVLYFVQVLDNFNKTKWRFKTRY SDLRDIHQALKEQIKVNIPEFPKRKIFGITNDDPQEIENRKRNLEIYLNSIYAIPELSCT DILDYFIQNSRRESQKLQKFDEQKLLLKLKLQQKQQKQQQIEQLQKKLLNIENPDSDDKT EKQSLKLISQIPRKGLFVIKELIDKYEDDNTTLVRYGCLSTQTLNTVGINQMSSISLQEN PCEKENLQITTQPKAGQIIINDLKNDILTQFEDRKEDVQSTDQK >CAK69070 pep:novel supercontig:GCA_000165425.1:CT868064:20911:21105:1 gene:GSPATT00037506001 transcript:CAK69070 MSELKKIDDDYYFRLNDIFSIWLMKKQNAHFKDLSYKDGKKKFKNFVKGTIIKNSIHYIM NTIN >CAK69071 pep:novel supercontig:GCA_000165425.1:CT868064:21363:23125:1 gene:GSPATT00037507001 transcript:CAK69071 MENLLDQTHRNTRRGIVFSHTRKTFTIKPDSPKTREACLALGYDPQIFQLKTFEEFAEPG LSENVQKMRYDHYMKKTESALQEISKMRRVIIKKQKAITNLHLEKSYQRDEELVNDLIET YNKKMSNINNEEKDNSYLSFDDEDPILVLEQQLEKEITKYKKQLQVKAKEVQHQLENEKK RQKLQHDMNEREKKIEELQQKIAHQKELKKKEQKRAAQKKYNEIKEKEREKQRKLLEDKQ KQAEKFEKIRKKLEEDELQQKKELEEQERRYQERRHIIQQRKQIQDKEYNQHLASTMNQI EQKWAETSQNKDRQIWESKLERLTMRSTLHEEKLRQYKLKTQQREESLMQSIVKKLAHKE EDLKALRESREKEEQMRIKEEKLRKKKIEKSLKNIKSQQFDKVETLQKKFQLLEDYSEKR KEEQENQKYIKKEKMKLKQQDLIENYQRQERLKDLKFKQLIKSTQQLNEQKSLEKMSGEL VRRAQQELQRKLKKDAENLDQSLVSVSQADEKVLNQMVSQLEKNLSNLAQNSKILN >CAK69072 pep:novel supercontig:GCA_000165425.1:CT868064:24159:25369:-1 gene:GSPATT00037508001 transcript:CAK69072 MKIVILVILLVCTLGQQPEFLQQVASNSFGRQILQTIQLELTQENAARQIYTMLNKLFYD LRDEDARSSKANGERQAQCADQFSLINSIQEKAVVAKADYERQIPGKQEELANKVNQVEQ KNAEIQRNDQLQLNFSEQRRKEHQLYEQKRDELIGLINGLKQAQQIIRQLQTPHPGGALV QLKDHHEQLVKNYAQNSEFKSITSLLMELCSDAKIHSDNDNVQVIVDIINDLIESIYDVQ KREMYAEDWAEKFFQQDLNRLQKENVRLSGQIADDQAAAEFAQQRLEDLQQQALLQQIIY DNKEIERKSFEVACKEDNNAAEQARVARNEQIQIVLQLLELFENNFNDRTRAALMEIVV >CAK69073 pep:novel supercontig:GCA_000165425.1:CT868064:25443:27507:-1 gene:GSPATT00037509001 transcript:CAK69073 MQSLSKLQQEYHNCLKQILSILRTQSNSQFLLEQILKGNQIEQKYVDYLIINLDAIYNIA NKHVQELTGFKKLNRWCTLELFGGLNEFRAMIQLKNQNAKRVMIMNGQSKIDCMYLINQL DGQPNNTVLFCNPNGGYYEYMFYDCNWFRFYEYNKINVIVWNYRQYGQSTGQLHPKALLS DANTIVNYFREHFNIMRFGIQGYSLGGSIAGEVALLNNLDFLIVDRTFSSLGQVASKCFS SRIRMLLNIITDWDKPQYMNYWNYRGHKLIVQDSKDEIIPYVAQLQVAVAREWFSPYKEK YFNKITSALDQESVSFQKFFNSNILNRQQMRILLNSLNRVVSLIVKLNKLEQQLENPQDR TLINININQHLHNQQNYVELITAEELERLQPLLYSMIEIMYSLKYGNTALIELLIYSQDN FEEKVQCFFACCFTFGMHDYQHNFIQQYSIYRQAMTEFLNLQGNQHDWANLKLDGQIILD QFNKIVDRFSEYMDDTQQTYNLNESTERGANIEMKLTSHYITKIGQLINVDCGHNNNLTE LDYSQVKAFFRQIGIQ >CAK69074 pep:novel supercontig:GCA_000165425.1:CT868064:27531:28686:1 gene:GSPATT00037510001 transcript:CAK69074 MKIIALVLVGLLCVSAETQTRSPGQLLVDHINSLILSIRNEQTEHDDIYTDQYTDIKKEV QDAVDALTRATEHKGRAQAAHASATADLISDITYQQILEDQVEFITTRRNDRAANFNKKV QNINTSLSLIDGAEQIVNEFANSSASFIQVTKHFNNMFLQATKAGNAGEYAPLLSVLVEL SGDGNVSVDNINRIRQLLNELREKLSAALSQLTDNENTQIEVFQARKNRVQDVITLLVNV QGQLTAYIAQLQATITKEEDIINSATSKRIRNQNLLNYASDMCNAFNTEYSDSTGARRKE VELLTKLRTFVEQRVEEFNQYGGDPTDVFASYAKQGSAQAAEAQFLQLRANLRARK >CAK69075 pep:novel supercontig:GCA_000165425.1:CT868064:28711:29335:-1 gene:GSPATT00037511001 transcript:CAK69075 MIKKEVRLLLLSAKKFIDQLPQQKQQRASINLMKIVQEAKPEEQIIKEIQYQINFLKLTN PNIQYQQITQEEESIQEKIIQKFNEQQEQQQKTEDIDLKKGTYIYQNGNLVQGQAEKRKE VDYSNWYAANVDPDDLKKHKELLDRQHFSGPFWEGKPMPKSILDEENPNTLMYSDERPEK ELNPNLKQERSGKFEKVKR >CAK69076 pep:novel supercontig:GCA_000165425.1:CT868064:29424:34753:1 gene:GSPATT00037512001 transcript:CAK69076 MNVLIVNLQYLALMLPQNGWHLWNYIDGHLYLLKQFAQATLIIPYGFESSIDFGPFALAM VIMLLISFIAIIAIFVTFGIFDNLNPKDHYSLRKFIFHFIQICTTTLQLPILFLFFAMII IGQTKINQHDTIINLKSILGLFGVLIFSIVVFLYHYFLRAYTFVPFYNFQQKFNLLQIVH YFFNILNAILYIIADDILIEYVKIAVLFLYFISRLTELYYFRPFLPHINGLLFMSNSSLL AILIVISINILMADKHILHEDQLLYTLLIFGSLSFYFSSMYYETKFLSTFDFEMNSFSCI YYTQEMHFKYQQTIENQKQKEFFQLYLYWKAHKVVCTRHWIKESYKTDYNQIQRTHQTIF CILNTELEKAQMDQRVSYEELLLVYISYVALFCKKPLMAYTELKRYQSQKSIQSYYFICI RYQMSIYLQELIKIQQQENISMSQGGKQLQPERQLSIHEVFETMRFQDQFIPSLIEILSD KINFWTKQLKGFNSIYEVEKLALAQSQKIHKLSYQIKKYCSFDINNLDHLQINNNVQNLK LLSIFCSSIMNDYYSSQICETAITDVYNIEHTLQEEAITNLAFIQEKAVLIMISLVKNRG KIINKDKKLILQFFGYQESEIFEIDNVSRLMPSFFAEQHDKLLLNYLQTARSSFFSTFNQ VFGQRKEGSLNSYQLKLDNNFNELDDYVLIGCLSEFKKTSDYILFNEDGYLIGMTDNFFY TIINSDDQDFQIPKENVGQLNIFLIIYNMMDLLNEFKSNYGKDVMYNQQEKYLKIWKYAN NKDLLENSQKIQYYSTKLNSCFNENSLLKSRTSNITVERERAEIQIYQQLGKSNVEQKKY KGSQLLQSLTIYNVQLGNQLQHVLQTLEFKNRTHFTGKASITYKLVGKKSSRKCYFVLEI NDLKKADDLLKTNESLINNQYTTSIQTTNKLFYTPDLNQSNFEIIKVDKEEVTPNISKPA QPFFQRLINKYELKQQQQYVDILGMESARDSVSYGPLSQRINFISPSSKQQQMQELIEKD FTDLQNQLEYINQDINQENDVPDSSQIKSNSKDQNQKGPNIIEIYQNNKFNQKMEDIDRD AKKSKSSITSGTSGVSAITTIKQFQQNTQMTDSLKKLAIINILIMVIIIGYIIAHLVKLN TYTEQTQQTLANINGPTLFNRYFFKIFTYTWSLVFNTLEIIESSDFIIKSTISEMQDLAQ IVFIDLSKMYKIFIGIEESGMLSYINLDMLYQSNENVTYTYFINLISTVSDQLFEALNYD VTTLIQIIDRRYLDNLLMLRYNLKNVVLMNSQLIDSLNEVYFQQQDDNIQEFQTQIILEI VFLLVIIVSQLIYWKQIEQYCQQILILAGRLQYTQAQEMILRFTLVIETLKQFSGNQSWK KQNFYKLLFFPLNEIGIDTVQSQSRILKDYQSSQVSQQFRIKQAFEERQKNLKKNFNVVL NSKINNPNTTIFKATILTMITFLIFLIYLLGGFVLFRQQQNDLLPTQQLTLSFIRFTSQL DIVVSTSLITKSQPLLYDKLIEMKIYTTKEIDKFRDQRKIIKIFISLYSIYYENITSIYE NIIKSNKISSEDASTLLTLYQGDFCLEIGSDVPFCNYDTITNFNSLYGIPDSQDDNREYL SKGIQGIVSKLDTFFKQNYQFEINNTEYFPDKNVTKEIMISKEFTNAVMEHFLDTTDGTN LFLDTLMQAINKITNDNLNLSYTYYLITGFLLLIIFLTFYGLWIYNSNQRLILLRLILTN LPIEVLTEQHTLTLLRKLQ >CAK69077 pep:novel supercontig:GCA_000165425.1:CT868064:34767:35220:-1 gene:GSPATT00037513001 transcript:CAK69077 MELLQVTQQCVSRVVGLFKGQTDDKSLEAHKNLFDLHKHYEEAFYLIENKIPITKIPVQA NKAIYIITEQNQKVSKVYKANNYICNCDKFNAREFQFCPHILAAMIADELEICTSQVRIT GEEYLGHIKSF >CAK69078 pep:novel supercontig:GCA_000165425.1:CT868064:35265:37474:1 gene:GSPATT00037514001 transcript:CAK69078 MQPGLKQLFLRTNGLISIKPKIRITDNYTLSLVYSPGVGHICQVIQKNPDLLYDLTITGN SVALLSDGSRSQIKKPSWMIPNLEAISALYKAFYDIDAYPVILDKEIMNGADDYLLIIDN LSTTYKTIVFVDIEEKLTQELLHKLENAKIDCTVVISNTHSLVEQLKDAALIKSALDSRS ILKPSLIEQIRLKLKGIDFSQLPNYLTATLSSAYAASLNEIHRNGLFHHTVKNTTPSQFI SKLNDLYLYGEIAYYNRWTPKQFLQNNDINQNSLELHKRYNGVITIALKFNPRSLEDLYR IYELSYRNNDILEIQKLFEADPKKIREVTLKKNYAAIVTNGTAILGLGNIGPAAGSPVME GKSVLFNALGGIDLMPICFKERDPHKLVQLIRYIAPIFSAINLEDLRAPDCFPIEEEAIQ SIHIPLMHDDQHGTAVVSLAALINYLKLTKKNVKDLKLVINGAGAAGVAICKLLHGHGVE DILICDTEGIIYEGRPKNMNTFKNDLAKFTNKNKIAGKLEDAVKGRDLFVGVSSAGALTQ DMVRNMNKDPFILALANPLPEIMPDDAIQAGAFIVATGRSDFNNQVNNSLAFPGIFRGAI DTKAKEINLQMKIAAAYAIANSIKESDLSPTKILPGALTAEIPANVARAVAIAAMESGVA QVKVDPEEVFDHTRKLIMEGSIPTL >CAK69079 pep:novel supercontig:GCA_000165425.1:CT868064:37485:38615:-1 gene:GSPATT00037515001 transcript:CAK69079 MNKDGKGEIDVDNIIERLLSVRGSKPGKNVNLSEAEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMSIDESLMCSFQILKP AEQGQGAAQQHKTGNAKFVN >CAK69080 pep:novel supercontig:GCA_000165425.1:CT868064:38737:39730:-1 gene:GSPATT00037516001 transcript:CAK69080 MNGLIICAFLSVVQCWWDMGHMMTAQIAKNQLRDTRPDVLAWADSLVQDFNSLTDGRTNT FVEAAVWMDDIKETGTSFLNDWHYTDKPINPDGYGVYINKSRLLIKIEDQGRNINSIYAI NQATAVLTNSKTAKNRHTVFKAQMLRVLLHVIGDMHQPLHDTTFWNDTFPNGDAGGNFMK IQIQLKNATFVNFHSYWDSVAFTMASNTTYMSRPLSQSDHEYLDKWSNDIIQKFPINKYS NYDMTYLTNPAVWSFLGFRQAQQFVYPMLQKSNTYNSDYEKQAIEFCQENLAIGGYRLAS KLIEIYDQILQNEAKVSIAE >CAK69081 pep:novel supercontig:GCA_000165425.1:CT868064:40001:40905:-1 gene:GSPATT00037517001 transcript:CAK69081 MKPIVFRIDPNVLSQFVKPTGQKKEVASQMSQVQDCLKQLNIDDDEDVDQFTNQQPSQPV IKNRSRTLYSNGSTLPETLSKHSNQQIPEMNLSISGSSLGWDEVFSSTMPTSFQMFQFKN KRRYEPQQQTTQFQIQLQNVKYIIMGKIPKDNRTTLMIKNIPNKYSQPLLLEEIDCNNKN TYNFFYLPIDFTNKCNVGYAFINFYDSLDIPKFYLEFHNKKWSKFNSEKICQITYARIQG VEELQGHFQYSTIMQEKDRRLKPIFKQSSEQKLKRK >CAK69082 pep:novel supercontig:GCA_000165425.1:CT868064:41476:46693:1 gene:GSPATT00037518001 transcript:CAK69082 MRISLIANLTIIAHSQHKNSGEDTIAKLLVIVPYIQYVSLLLPQHGWQYWKYNDGYLIFL SKASSYFTITPFFEFQNNFIYYPFTIFSLIILLSLIFYLCFFSLEVNSNKQKVKYLEGRT SLLCSIILFTIYTLQIPCYKFYIQQIIDANNKNQTNQLIVNICTLILYSVYVLVCEYLLR MYSFIAYHPMQQKFTKLRSSIILLNLIAIVLTLDNQSKLYNLVGLFILHLIFIIKIVNHL YYQSDVPHKNMVDFEISFTLESLALLITFNVLSENKIFPENQIAIYIMFILSLGIILGNH IYLFLFQYNFNEKTRQLNQIYELYSYICQINSNSITQQHLLIYQLINSSKFSKFKHKAII SNKCVNMVEYYKLGLYLISEIFLDLISGEKNELEEIQLLFVSFVALVRKKPLVAYVEFKK FEQNSNYTKSYYFGFIKNRIELHLQRRISAVQKIYQNEQLQSEKNNVSIEKRITTLELFQ FCQLEETFQKDLLEIIQTKIKIWEFQIQGCQSIYDFQTVALPLSKKIVDCIIYLRGQQIN VIKDEFIKTCENILTLKICSMFHSFVLNDYYNSFLCEQKISSIMKAETVSQQKILSRSNI LLDNSVLVIVSMVKQLGQILNKNKSQLANYFGFSQMDFNQINNIRELMPKHFAYQHDAFL LSYIKEAKTDLVFRDSLTFAKGKNGFLIPQYLNIYNNYNIFDDFTLIGSLTKIKESHNYL LFDEYGKCIGITKEMSQLLIPRENQEFFLQNIELFFIYMFLPHIHMYVNDMLQNNNKEGG FLQKSVILYVYQDLIQLSKIHESIFSTYKAQSIKQIEQTKTYTQFEYLNSMVNSPRNGMN QRLITDIKPNQNQLQDEIIMVDTNREQQNNSKKEGKSASSAAEQQKEQQTEQQKEQQMIE FLNAIDDLQKTMYLCTAKIHMADIGRKGNKQNYFILDCSDFIDRGNLKEDEKKLIKTQQS QLRNIKTRNYIRRPSQIIQSQSNHKSSEQQMESSIMSPLGSQVHQSSFLDKNSRSKKILN YINQKRTNQIGRITQVESESLHEEIISNDFNQIIQKKNELKSNQELLLKSQSSSKSGQSG QTAIEIVNNFKTRTSLISSLTIISILKLIIILLFLVFMFINLTQVMTFNNQAKNFLSDIN LPISFNKYFLNVFTHSWIILMQKIKILNTSQFIQNQLQDQRVSMLSTFQNLTIMYGNFIA LEENRYLDEISIVHPDENLQVEEVEFTDYLFYLEQVGFRLLYSDNEKDFSSNLLKYRINF ENIIVNNDKLILSLSNYYEVQQNGKISKFFNLILVQIIVIGSVIISQLYFWRKIELYCQR ILMLSNRLSEKAAEQQISKFKIIISVIKQLYGEFGYKQRNCYKLCYTEKSFKKKNFRSIS KMKHRESILQQNSQKIFETQMKQSQQSTIPLNSRIQKPSIKLMIKSITVLFFVIIIVLYF IGCYLIFKDQTQQLSPPQDLAMGYIQFYIDFENTIAISLIIKSEFQIYTFMKDSIPFYAK TVNKYLQQSNTIQLLQSVYSFDHSNLNDIYDRIIESDALKGEDETFILSLYNGDFCQLFQ SKIAFCNKDMSKINFESKFGQYANKDNNSDYLSKGISGMVSKLDNFLTQYYETEILTGQP VNDFALLNSQINTQDFNNIIIQHYLDTYNGFEAFIAKIQDSLINLIQKQQNNYNIYQIAV GVIFTVFLLSSSAYIVVKVNLRLIYIRLLITLLPIEIMLDIYTISLLKLLK >CAK69083 pep:novel supercontig:GCA_000165425.1:CT868064:46947:49305:1 gene:GSPATT00037519001 transcript:CAK69083 MKLFLLLSLILIASQGRKIIKKHVKGERHSRQPDFDPNQLNNDDLIDKFSESEIQISEHL SIGEDIIDIINKQTIEYESQTNQQQQQQQQQQQQEDITSTNEQSDQIDLEQVEFDQLLQQ LANENHDIDVDIPLIKEDVIQNNNFNEQVETKPDDQIQHHQDDQQNSDQFEQVNDANAQN NVEPILPIALEEQIQHQEEVKQSEQVEIQLEQEQQDIPIQVDQIDLNDQPQTIEIDSQDQ TELKQEGQQEQIHENEVLTQQETQPLIENQVEDISIQQDNQHLESKNVEELEQVVNLHQN IHQDTQNAQEIINQQNLELEIQQITIENTQDNSQQGDQATNQQTDEQMQVQEEIPQYQEQ PISKDSEYVVIEDNINTAEIIQENVEQLQVQENEPIVENNENIQNQDDIQQQEQEQSQQN QEIIVNLDELLNQQSEQISNQNLDSEVNEDIQIIPEQIREENYDQSPEILEQEQQNEINN NGQNDDQIQAEIQQDQENILIDPHANEYANNGHQNHDHHHHHDHDDHHHEHHDHHNHYDI HLDHINNAILNDQIQNENQNDSNPTDPNNSISQQPSCSFDNKLSCSGDTHTQYSTGVETE NKPLNQEELLQYVLTHSYLQYYTNYPVQFFGVLANVFLFSLVILKNLVTKKQTPYSTQIS NEQYNEDNGDNSQFQYQINSLDTILSEINTKLDTLTKSYQKMQKDHQLINQIINDLQTKV LANLEKKKLKESCKSLNESNEDDQGVRQKRTQTQIKPRQRPTTPIQRQY >CAK69084 pep:novel supercontig:GCA_000165425.1:CT868064:49436:49660:1 gene:GSPATT00037520001 transcript:CAK69084 MDTEEKYNLLIIGGGHASFKFLYNLFRTSRMQFQFKLRLPEYVNGLGIGILEKGKCFGSG NLENKMNQTTYPAN >CAK69085 pep:novel supercontig:GCA_000165425.1:CT868064:49756:49899:1 gene:GSPATT00037521001 transcript:CAK69085 MERNATKIISRILFKIVGNCVFETYIHFKKIANTSFSKRSNSDKTFG >CAK69086 pep:novel supercontig:GCA_000165425.1:CT868064:50112:50802:1 gene:GSPATT00037522001 transcript:CAK69086 MLHYLNTKGGIKINVSTVPRPYFSNHQNEYITIPQSQTNKKGESNYLTGLRRNSKILFLK CLPPRKLGFNLLKVILIQINLLKERNANAIILKSRIQIAIQLKFNIVLAYINLMINQAEN IEEEYQKLILLWFKLYNTRAFEVRIIEFDEYDLFVLNIRNEIITQWFDNKEFPLTAQSQV SKAGDFDSDPSVHSEVMETETFTLNQLKQQFKQKKQKKQYK >CAK69087 pep:novel supercontig:GCA_000165425.1:CT868064:50871:53632:-1 gene:GSPATT00037523001 transcript:CAK69087 MMIFQLRYNTKLSESLNSSKDYHSMQHPKLIIPNTHRNDHQQLTQRNKLPPKYPDDMLLC LVSKGLAPLNNNKMKNLLINETFSNNKEFPSEIKQEHPYYNLKSNPTFSEIDKQVKKALP PLQLIPKKPLIRIQSREKEPIELYSSKSSKLVSPRIHRKSNKKTPGQSLEKDKVCRTSST PFIYKPLQRENLTSRSGSSTQRSKSKNQKPQKMTISNHKQNQGKERRYTQNTNKVLTIQK SSIKVIEPSDKKLQVKRKTRQAQTIQQQQQQLQIVSSKQNTQPNIAQKQNTLISLSQQQN LLKDKLQLRHTSYRCFLNKINFSNNQCIQSPNKMEIPAYYFYVGKGNNASLIKNLFRQRW WWQEIETMDFTKANLIWTQLKQNTCIENLTAFNVLSNDQNYNNDSFIQSIEDTVADSSDS ELEISIKTRSIPLFNTNVQGKQAFQQISNLTQLKRIFNQNELQKLLVYMKDNNLWDTQLV FSDCSEKLLFNIKGFHTTIRIESRNYRMHNHMQDNWHLGNKKALFYNMRNYFKIIKEDYT KYIPITFHIQQGTADPEYLRFVDYYNKRQEEIREQEKRIYMDYRKDKKAKPINLWIVKPG ECTNRGNGITVCQDLLDINKTIMEEQPDGRQRTYIIQQYIDNPFLYNKRKFDIRCYMLLT SQNGVLKGYWYQEGYIRTSSKEFTTKCLNKYIHLTNDAVQSKDEDYGKYEFGNKISFLEY QRYLDTYHKEQKLNFFVDIYPKMKQIALDLIKASYGKIDQQRRSNSFELFGLDFMIDDNF KLWLIEANTNPCLELSCPLLSKIIPTLVENLFRIAIDPIFPPPFFEEWPLNKKLFIPDNV LENNRFELMFDELIDKKTMVNLYRDNKIEQDCFKIEEEEEEEEVKD >CAK69088 pep:novel supercontig:GCA_000165425.1:CT868064:53750:55210:-1 gene:GSPATT00037524001 transcript:CAK69088 MYNILLLICIITVIQSKLKVIRPQNLINEYIDYSIANFGIIPFGHRLMGIVDVAYPQNGC SDLRPTYGAHFILIERGNCTFVTKVKNAEKAGYQMAIIGNYNDEQMQYDFTMADDGYGYQ VSIPSIFIKKKHFDILTKNAQSYKVEDPNDLKIMMLLKFDVVQTDKVSVIFGLNIQNRES FRIIDEYQPYYQQLKNQDINYTLVYFMMSFNDTTPIVNQKDADCICSNRYCVFDPDGYGI GTGKDVVYEILRQTCIFQKYPEKWFSYMDQFNFKCSKPQAYSVCSQQIMEAQGISKTEVQ NCFDGSFVDQHTSQPTKNESNAINLLLENQLHIYQVSGINVFPAVLVNSMTYKGQFSGQG IFGEICNSFLTPPPECSSEIEGYQPPVLDQSIISYLLILAAFVVLFFLLGFCLFRKMIKS DGERVTKPQVNEMVSQYIKFYEGKDKQKEGSI >CAK69089 pep:novel supercontig:GCA_000165425.1:CT868064:55362:55904:1 gene:GSPATT00037525001 transcript:CAK69089 MYYIDFFVSRSDFYKQPFPNIGLKSIEEFFSERNEMQKVGLTSDDNIHQQIMTNEQYLQD PNKIEAQKQIQMANQGLLEELNDSFADSYVDSQNLNKKSIFLKGAKIVKQLVGKILHFRN NNRMQSKKRKDIKCTCKKNQCCNLYCGCYQIQKHCTKSCKCNKIECHNMPNTSSQYQQLR >CAK69090 pep:novel supercontig:GCA_000165425.1:CT868064:55969:66864:-1 gene:GSPATT00037526001 transcript:CAK69090 MNSPLPLSKSNDLDQLGTKRISGQMNDQFIETIFNVQKQNEEQEPQKEQKYRVRYTEKLS KVYYLYLKLIMGRQLDEKEDEEFLEYLDHRSTEKGRITKMKSSFEYVDEFDYHMGDVVLS FPNPDSPEVEYVSQMSPMEAITLCMNSFNLKNSGTDFEVIDNLVFLKAIEASLFMLAQVF DDDEAPIEEIVQDSEDDEEKLKSKKKKKTQGLMRAGGESDKLALAAMKIDNKLRAIKQTV KGNKLQAIKEIFNLDYDKLNKSKTKYKMAKGNYFQYDNAKKEWIRTKNDTQDFTTLIRHI VMIQLSKTGFNLRQFLSKNGAIIFVVLYQCDQNLGIVAQTHQITKQLYLQFSDLLSLEPV DKNLRPLRLNNRLWRDPEEYANEQLSENFLYLKPKIQELLQEINFKKIARELNLSQLNQQ LFSKEGSEDIIEFDGPTDAMWSAYYSYLMYLKQPIKQFREAFKIDNDRALTINRTPYHLY KQRFPNDQMLENLKMSEIKKLEEFVSEEQIEQEIQLHGRPNDIYRVLKGIYKIVLEQRVI QNKYSLIKSYFHLPSYKKVTSRQIKASKREMLAMNYLELLQEGLEVTNYQNRQLFTIWDI IGIQPFEPFIPYSITPKNIAFSQQIKMNSIWKKYRVTETGRISLFSTMERMKLNNLALGE KINFSILFSRNLAISAFCLSDDFELFGKSNKQLFDPLFEEEYDNEIILKETQYTYFYREL KHHEAQDKRGPNKYILNDYSYVTPKLENLAFIWRFEYKQPWFIPTQQIREYYGEKIALYF TFLGYYTMMLLPIGFIGLIISIIQLLVWNQNDYNYIMPQIIFGMIQIQWANLIYDLWQQR EKVFAAQYGQGEGGSGEQAQQRSDFVGNYERSIENDNLNTLNYSDLKKFMRILLTLLIQI GILGVYVGIVIGIFYFSEKLQTTKEIENLIGNGVAQLSVTIPALVNLIVILILDPFFDKT AGSLTDYENHKTITEYETNFVFKKYFLSFFALCGPVVEIMFGHKKVGLHCINDDCLKHAQ YHFSTIFLIQFSLNIWEIIKPKVIVFLRQLREKKEENVEVQKNKKLTSQIDEIREDDEDV RHDDLNSQKSPSLSPDRASSKQANEQNVSEKQDTQKKETITRETNPFEKINNFIENEFLY KESYVISPERYGTVDEYMEITLQYSMLVLFGTTFPLCFFIAFIWNVVELQTDKLKLLNDM QRPLPLGESTIGVWNDVLEGLAYLSLVSNSGLISYSRDRIVDYNPTISLLAFFLIILLSN FFLRYIEGSIFGEIPYEIQNLMQRHQYLIKSTIEKFMGSSEKQSSENQGDDDIKRYPTQK IYGCLLSEQKTCQYEEISSDSDVEDYIVNKQKQKEKQQQNNNSPKSMKSSPRSALKSESS KKKSKSKLSASTTMEDEDAKSKKKKESIETLRKYLAGRPSNWAMKFKLKDSTDPEIQQKQ KAFFFKTLKFLKMLNELNPHQKLWTDDRFTFRKCFLRRHLVLIRNLDWRRTIVFQENQNK LRHGLKESQHEKYRRQLTILNQNLDIHSNFIEKMEILKKIEEYVEKHLWIDGRKTVVFKV QGVWFSQYRKSTRKKPAIAIAKNYVKLLMNYKSVFKQQGRELDTKPVDERFYSYINSMTQ TQISRYCDEDYLKFVDSIQLPPKTNLQFPLTSKGVSQVEYELDAYIGLDLRKQFNLVNFT YIPFRIQAPPVSILRNQIWNLFVDQQIAKEQPILYFIVPLDTKKSLHTATLEYNVFAAKQ QMYRWLVSQVQKPKLADVLVFFQTEILYHRTLHKDIISRVARFYEKITDIQYMELQLKVP IVPLKYLKITPEDPITNIRDPVIKGYLSRAYGPPKGFSICNGKAFKEVLQSRTNYYSDQE ICEFLVSVLDTLSFVECEQGMYHGSINLQTIILLKNQQPNDIWYTYGLVDFGMFYWYDYN EEKVYFTRAIEQNDELWYMSPELITNYLNPKFQIAISPQKCDAYSLGMVGLQMATLGKMG IQIEQLLKDQEGDVERAVKRWIVNSKQQLTMKYPNTMNLVLQLINPDQMQRQFPSQIYQK HKNQQSYKETEVRINFDEALFHQIRQNSNPKITKDQMVRIFDGKNTQLNVNVKDKISIQE YLKQNIYKFEQHLKLHQLVQLEEEIEAFEKNMLTQFFYQLPYAKETGDILSCIDNYCNNQ VYEILSSNIHEFKYLVLYLLSKVQLSIMKQQYRYAQQLSLKSQEIIKGVEITCVHLLHDI TKTEEERQKIQNVHLSIANREQLYELQVSKDQLIKNCKEDKNKLKDVKQLPYKLKQYGHF FQQALVQIYHLQQQYQLAHDISEEFIIDTSGEIVHDVKHSKKNQQGQFVIKEDILIKMVS RLKMYYMKVQISFDSWRDADFQQVHDLLNEVNILHSYIKVDEENLGEIDRRKSSLVRQQL QNTQIKIKEDFQRSLDHTLQWLYRQGKFLQIMHLNRSKDNHGILKRLKPYTKNFTMQNCL LSQLNQIPQLGWTGEYFAEINDEKSTLNIFKTVTEIMEEVFFISESFGLKSQIFQNYNKQ LLALLIYHCSQLLYYNPITMSEGIFQGFMQILKDQNAELLNCILCIKILHILIHTQNHQY PYITKKETNNIEEISQVIMEQSLIKQLQIYYQPLVPFFYEEYNSKNNNDSWLKNNLMNNI ITELIQGYFYINSKQNLESLDDEHLETFLKSIEAKHAAEESLCNKNGFMVVQHNLVRARL CLISQNHQQLPVILQTINTQMDQIAEEFVLQTDTKSLIHMILLSTIDIITIVQHQLGGTS LTQLIEFKQMPWIKKEKYENYYDIKFVILKILDLNLKNQQLEFASELLKFTLMLFENKSY LFNSTHSSFYQTLQIFNVKIFAYQEEIRLNILKEDKTDERQMEDLFEQVQKAQSFTKRSN NYLEVSKLLLSQSLEQNEMTQIKAALAQIDSASLENQVVLCQLGVKLVIYQMHTGQYSDA DTTAASVSQKLFQLIYSQLCKVAIRWQAEKTFQKSTKKDLKVEEMFKILNQNAQIYEKYE HNVLALLEPIKELFLNVRQFKTLKQIIFLRTAFQYLESLHTLAIMMKGDFKLAQANLSFV DKFGQQSQVEEIITLNSNIQMNLDLIIADYMKGISKSQLMIQTIEDKQQLLNVQIKTTKN ERVFCNLEFDKFFEIKRPEQESIKPLIFSYDQTLMKSALRPYFEINRLKTEAFLCYLESS LNVADKLTQVVDPMFAIQKYVQQQYGSNHIFNAHIQRLIAGMYAEFLTTLRVKDLEEQLI TDTEAKISTFKSELNQKTIKILESKVKNKCSPAMEQKYLETKSFIQSKITVLEGINEGLS IDLVCRWSLIAANQSYSIYRSFCQEYSYEYSPQLAYLYSTYSDIYFIQSKIVSTMRYLEE AKSAYEFCQTSIYNPDYCKLTFRQGYLRQNIMNMIKNYVDVLMGLSLLTPSKKFEILTLI LKEDKTYLQHFDNYKTHGFIRFLEQNLLKQLELDTLSGKRLPDLQRKDQKKLIQFLQVEG DKLAFQLTIDQKAKVPTHTIELIIKDGIETLQKEKIKMDYSGEEYFQKAYQICKALLPVD NPLCQKLEFYAVKQKSKAKRRTSTT >CAK69091 pep:novel supercontig:GCA_000165425.1:CT868064:67545:68289:1 gene:GSPATT00037527001 transcript:CAK69091 MIKEYDYLFKLVIVGNSGVGKSSLLLRFSDDTFSDSYLTTIGVDFRFKTLEIDGKKVKLQ IWDTAGQERFRTITSAYYKGADGIVLVYDVSSLATFEDIDKFWINEVDSYAEKNVELLLL GNKSDIEEKVVTTQKALDYAGIRKMAHMEVSAKTADQVSKAFLSLARKLIAKKDSQGSKG SGPQKTQQTPGQKIGQQSEENKKEKEGCC >CAK69092 pep:novel supercontig:GCA_000165425.1:CT868064:68303:68698:-1 gene:GSPATT00037528001 transcript:CAK69092 MELLQVTQQQVSRVVGLFKGQIDDKSLEAHKNLFDLHKHYEEAFYLIENKIPITKIPIQA NKAIYIIKELNLKVIKVYKANNYICNCEKFNAREFQFCPHILAAMIADELEICTSQVRIT DEEYLGLINTF >CAK69093 pep:novel supercontig:GCA_000165425.1:CT868064:68804:70208:-1 gene:GSPATT00037529001 transcript:CAK69093 MFIVSQSRLIIKQHFKGDQYKRDQIEQFNDANAQNDFESIEEQIQDQEEVKQSEQLETQQ QDITIQVDQINSQQSDQATIQQTDEQIQVQDEIPQYQEQPKSKDPEYFVIEDNIYTAEII QENVEQLQVQENVPIVENNENIQNQDDIQQQEQEQSQQNEEIIVNLDELLNQQSEQISNQ NLDSEVNEDIQIIPEQLREENYDQNPEIRELEQQNEINNNGQNDDQIQAEIQQDQENTLI DPHANEYASNGHLNHDHDNHHDHTQHISKEILNNQFQNEIQNNSNSSDPINSISQQPSCS FDNKFSCSDYTHTLYNIGIEIENKPLYQEELLQYVLTHSYLQYYTNYPMQFFGVLANVFL FSLVILKNLVTKKQTPYSSLINNEQYNEDNSQFQYQINSLDSTLSEINTKLDTFTKSYQK MQKDHQLINQIINDLQTKVLANLEKKKLKES >CAK69094 pep:novel supercontig:GCA_000165425.1:CT868064:70566:71311:1 gene:GSPATT00037530001 transcript:CAK69094 MARINIKKYITDHHIAFEKIMVRINKGLISDSENFNMYRYISELGFRTPAIINSLKIFIR DFKDVPSVSVTRLNSEQIYSALEIHSLPWQPSSDSSKLVKEFKFNSFKETFAFMGSISTI ADEMHHYPKWTQKENVVTVEITTPECSGVSVKDILLAYSMEQLANEVSSTQIATVCDGPK VIDTQILQNWNSNFSKTEEMLQSFQKTTAQL >CAK69095 pep:novel supercontig:GCA_000165425.1:CT868064:71674:72286:1 gene:GSPATT00037531001 transcript:CAK69095 MYRKLTSNSNQAIANVLGLKFLLRDYSEIAQKQFTKLSQDEVNQLLIIQELDENWNLNVN SLSRKYQFLKFQDSFSFMSQISQIADQMKHYPKWFNKNGLVQMDLMSPEAQGVTFKDVIL AHSAEHIAQVIMSQPKTSIFDNCDIHLENLISSWNNNYQRIQGLNHVFERSVNYI >CAK69096 pep:novel supercontig:GCA_000165425.1:CT868064:72724:74411:1 gene:GSPATT00037532001 transcript:CAK69096 MKQATIFLSAACPSTDVHLARVMSTIKVAKPDTEFRFVGIGGPQMGHEGLETIGVDYHEF QYKPFFPFRNFYRLATENAMHPLLNKLDKQYFEIVQHYQPSAFLNFENEFFMIQFYKKLR DSYRHFNRICPPTFQYGLTHKDQPQYGQKYVDHWFTRTPLRQSNWEKFTFPHTQVGPDGL YRAFRHLLSNSPQYKDLVTNDTIYLPGGEFFRFDDFLADRVNEQRKKYRQQQNIGDQELL IFVAGGNTSKEIPFCLKTVAEGISRFLKLDEMKNYPADQIKIIVSVPEFVEHKDKTIKAI NSLKWPAKVIQVETESEKFSALAASDIGLACNGQIVAECAAFQLPTIILDPKPTIQMYYT SLYNGIDNDLNIAYNGIVYPELVMSTIPNKIAYSLLEHYQDPKLRYFYAKQYAPILQKTL AKSQSSVPTEIANRFNSVQLTGYSSAYQVIADTVLKAAQVYEHIHQQSNFTTQQNENLRI EALQGLGWAKGL >CAK69097 pep:novel supercontig:GCA_000165425.1:CT868064:74418:75857:-1 gene:GSPATT00037533001 transcript:CAK69097 MNPFTLTFLDKNLEKKYKESYSLELSNAFKYTIALMSISNITLSIFWIERNEILIGSMLV AFSCLWTITFYYIRLKQQLTSAVGYLISAFSLLASFYHLIPIYYPDFKDEQYEWIFDISQ ILGLNFALTPNFVLNQLLQMTYAFLRLFMHNYKNFHSYTFIFLLYLILFWQKEYFRQKHN RSLFLLNEQQKSSFSIWDELIDEKIVLLTYNEQWERVELLHANQSMHKFIQVENKDFLKD FKVINTKISLNQYLLEKVRDKSSNFKIKVIHTQLRERFIVKGLIKKLIDIKITIKFSEGQ TFVTQQWNNNSYLKVLQRIKKTNQVLYYKETLRNLLYTSYYIKERIIEQVEVLKYQKLRL FLDQILKKGNIQINFQINKFFTVLPLFFTLISCLIKIYNTNEICLKQESINNIQLIIYGR EMDFPIHIQKAYEKIISNLLCQIGQNNCNYQMEFCSLILVNQLEMTPKNDQLVTFSNIM >CAK69098 pep:novel supercontig:GCA_000165425.1:CT868064:76500:76883:-1 gene:GSPATT00037534001 transcript:CAK69098 MNCLEINTQKDWQEYVVNNQRPVVVSFFAEWCAPCQKLNPQLIQEVEKNPEKWQLALVNV NNDELYDVVQQFAMAQVPSVCLFNMGTQTDSMKGYSERKMKSFLEKIRG >CAK69099 pep:novel supercontig:GCA_000165425.1:CT868064:77422:79815:-1 gene:GSPATT00037535001 transcript:CAK69099 MEQSQNLTKKQFQELEKKIQISFNNIFKANTVRSKEHSKSDIQLNGEMMNFILKFHNDEQ IEMAINFFRLLTKNLKNHIYDYIIPSLGCLKFDDQRKGCQNLEVFIKIYENYIQRSKYLL TSFYFAINLLHQNNLLETLQNYLSYLLFERLSSTHIPKSLYCCFFQQLDVAYTQVDHSQD SWKNLTLVLNKFGDILINLQQGQPNIEIILDFPDQILSHFKNKYQGLFNSWIDQCEIPLY LRRVKREKELNDQILNFQSNSIKQKVNSLLEDHLLKNYKDVILSEKKPFNLGYLLQNFQY EAQQYQNDLELFNELYLTHDPDDQEIKMKIKQKIIQEGNQILEMIINEEINQKLAHRFII SLFQLFQKYLKMIEICLCHNTNISSLLTSAFEELLNCQNQNIDFQEYFVLYIEVEIENVI NSQINKEQLIDSIQLLLFISENQDFTLYYQSRLAQRLLKYYRILTNHESFQIYLRTESLI IQKMSSLMGNQNIYPLQLMIEEFQKNSDTTFEFRTENHKLLIAQYPLLLRYQDWPKFQQQ QIELNQELQTVNQLKLQFEQQQDQKFINWLDLISYVDIECSKLQITFRISIPQAIILFSY QFQNELMSIKRISQITKLQEYFILQNCAEMKDAKILEEVIQDDVKLYRFNEELKDQEVTQ MRKLVIATAQIPFLYLKKSKQRKNLYSPIEAFIIRTLKNQKKILFQDLVPIIENFIKHTF NERVQSDQITSIVQDLYEKGYLEKDETNPELLKYV >CAK69100 pep:novel supercontig:GCA_000165425.1:CT868064:80365:81586:1 gene:GSPATT00037536001 transcript:CAK69100 MINKMKEKRSRMLNEQNQQNPNSQMCTRRVLYSRVILNLKLHNDIKEGLKRFVKLTIQVG KHLWNQYVKIRYKCSKAFQKIDRLLNQMQDYVHLRKISFANNQLLEVNSLQTINYLMNLH SSFNQITNLDCINVQNTFEFLEELNLDNNKIKTLDKSIYPRLKIAQGFNRYNTKQQIGVI CGQNQYIICFRFQNLLKLKQIWAAQNAIISIGHLNQLPEMHILHLRANKIVVLTDIPNLP KLHHLNLRSNLIEKLDEFNNLKSLETLKLIIMHENQIASKIGDGIRKEIIMILQQIERIN KDPVPPEENTDALVELKERIAAVIQKKRGERIRCKISLRSQISKRGSQSHTELAAEQAAA KQKAAVTAVKASAEGRTNQPKVKQEDEEEA >CAK69101 pep:novel supercontig:GCA_000165425.1:CT868064:81615:83556:1 gene:GSPATT00037537001 transcript:CAK69101 MHQQQDEQKRSLVQEKMQMKQQGIDNGNARKINDEDIQKIQAYEQMQIENAMKNSTWSTL MLLKSKEIVKSKWRPKKKQRLWDQYKIDKILKKYSIMIEGNDPPPPIKSFQDLRVDHRIL KILSKMKIKKPTPIQMQGLPTVLMGRDIIGVAPSGQGKTLVFLLPALLQCVDEQMKMPII RGEGPFALILLPSHELAILTYELAKQYCQKFQKKGFPGIHCLLGIGGMDMSSQLQSIKNG VHIVIGTPGRISDMVNKKKINMDLCRFIVLDEADRMLDQVFELEIRNILEHFTGPRQTML FSATLPKKIQEFTKQTLVDPLVINVGRSGQINLNVIQEILYVKQEEKLHYLLDSLKKTTP PVVIFSEHQNDVDDINEYLLIKGVEVVGLHGGKQQEDRTKALKQFLNGQKDVLVATDVAA KGLDFPDIKHVINYDMPKDIESYIHRIGRTGRQGKTGRATTFVNRQQEESILLDLKYLLV ESKQKIPQFLEKLKSDEDLNGSCGYCDGMGHRMANCPKLEKQKMRILTNPNKESCPDFLK RESQSAERQMQVG >CAK69102 pep:novel supercontig:GCA_000165425.1:CT868064:83581:85696:-1 gene:GSPATT00037538001 transcript:CAK69102 MFTKFKENLRKIDIFGQSITLSFRQEEEYRTSLGGILSLCIIGTIISFFYSNIIDFFQKN TVSSESEQQFSEDPSAIKLLPNNFMFAVQIEQDNFTTTPYFNITVEQRAYIRDENGTQKK MPSVYVDLVPCTIEHFKPIFDQYNASFEDQFKQYNLNNFLCPNLDHPLTSNLTVGGAWTS KEYSFLKFSVTKCKSNSGNNFSWKPECKTEEEMLQLLKSVGSFRFQVYTTNYQQLINPGS PKHFIQPYIAIEQFYSFVPNAMFVQSDIFLRPRTITTDDGILMYPQKSTQTYITRDYMDN REQQAISNLVPGYYGAFYFNRSPYSYDITRNFMRLDELLSNLGGFSQFMIAVIGVLIKIY NREHMVIEMANDLYEFDLNKKRNNTMEANNALLASTQRGREALKRSMHKIKGDSSIQIKS MSTFNQKPMMGQFLSPRSIEKQESLKLKEGITSHRSSKLKRVSQLKVQCSAIFDQFKQLM VASQHIGISIKLILSQIMPFECIQSDECVVLKKAIAQVNKELDIQYIIRQLHEMVKLKRV IFNSDQITLFNFSHRPTISLIRDKRNRKSTVLKQILDSPSHEIVLSQLFTDLVNSYQKLV GLDDQVLSDEQIRFNQRLISLLGQKLPHLLEKELAQASEDDSNEDDPKPEDIISRSS >CAK69103 pep:novel supercontig:GCA_000165425.1:CT868064:86834:91174:-1 gene:GSPATT00037539001 transcript:CAK69103 MLILVLLPIVYLGLALEPCSVKQEQIKAYFSTQETFEWNLKDLFSGSYLNYTLSPKQSFF TIKKPLHQEYAPKLLIEGISKIVAIQARTEQSQNVWLNHFAFLEKNLNQLSIYYAEGLQG DFKPPYFNKKIVFSLNQDIQCLNLEYLNETQFLADCYNGGQNPIQNYFFIVDKSGSVKNV TNTNFDVQNIITKRITRMITFVDSKKSQVKMLFRSTPAYATGSDLKKNSVIEIFNASTQK LIDSLNSFIIGTLLEVDEPFDYEFSLIDFEVFSDGKIYILTAFDGIIILQMDQWYGFSLV DKIELVNDVREFDVGHFISQDGSIQEVIGVLFTNSRAEIYENRNFKSSYSLDFIPVYSTL LKISQELLIIQNKGKTFLINIQTHDLIHKEVLEGIQGLLINQYLQELIYVTQVDARRFTL SSGKLRFLSGELTASKSVLTITAKDQFDKSCYSTVVYGIINSSDSKIYPLSDLELPDVHH DYPVMTAFQIPVSGPNIRYNQTSQTLSGANAVTLNIKQGGYINAESIYSKIPSAKASKYV KLISLDDEDSRILIIFQEASTKDVLTYICSTDSYNNGQVNLQCINYKKFATTIDLDNTNF QCFFQEEYLYILLVENKYTVTLYSISQLEIQQQQQFTYSSFMSPTIKSIHVVSNRLYVIL SNQQLDIWNINNKQQNSITEAIVRALGFYGSWKLQRIVGNSRYHPNLFFIINNDNVIIAD FHKEPTIIKVLTYTDSTINVAIGKDSFFVVVHTNAKSQIIEYDISNYCNIFQMKELPIYK YKIQLTLAVTINQDSGLLYIAASDPENEDLAVILAYRPRQPLRDSLVEVLAPKRSSIYIY DTQMAAAGYKHFIFYQNDGVNHNMGWMDRVTSYQIVPTYQTNQWVNKFRLNITMWNLKNN PTVQLSQAIVLYQTKTQIKFFNPNQDLQIEKWKQTEIPINMTGTIIDYLLQCQQCGEGKN IDLVQPLKLFNQESGDTLNVVDQYVLQGQTNQDTRVVLMSTNVIHFVRVFNKNNQFVKDI LLTSNPNARCQRILMNWIYILVTCIDVVQYQVATIQCSSYFTCGDKITFSPLKSDVSHIP QAHFNDNYLVFINTYPLNIKSNDTQLHYYTISFNNSTYVTTFSFSKQISSVQLYNERFVK VMVQNIYSSSNYLYIMALTAEGRFRIYNNGLTLLGTYILSDIITQSGGEIISSQFVDFAL VQNPTYTGSGSYIATVDIVFTSQSLNYKFQLVYDWYNQKLQSFKYNYALSRYLDAEILPG IFIDRQLFQAAIPYRYNDKIVISTYLLPDISVSDQRMVYSYGGVSEYHDFRNPNQVAFSI YNNMFLVGLTKNYLEKDFTLKYYDVDLRYALQVDDQNGKLYEQKVALELSNDLCSDSQIY NIKIVSNTLQNQQDNLNSTETNQRIE >CAK69104 pep:novel supercontig:GCA_000165425.1:CT868064:92827:97187:1 gene:GSPATT00037540001 transcript:CAK69104 MTNRVAVLLALVGLISFASASLINVQSLAQIDVESYGCDKSKLYDAEEGLQKLGEALAFA QTGKLDQDIKELRELQMLAKKGMEEQVQVSFVQVHNLQYLYMLKHKFDSLALIEMPNTFA RPHIDGMKSQINLVLAATDTQTRKACCEMLFKMIEVLLLDLLELEEECQKPITINIEGEI RKIQIIRGHCDNTPTPERPIIPPVDPKPIEPQPKTPIIIIPPKPRDPTPKPKDPTTQPPK PKDPTPKPGDPTPKPKDPTPKPGDPTKPKDPTPKPKDPTPKPGDPTKPKDPTPKPRDPTA KPPPKPKTPSPTPQVVIDCVKGANVVGDMSASQDQAVADSVIEGKDLAGATDYGYGFWLR WLSRYPDELISGRTKNTYYFVSRLTTNQKFNDAEMGDRVLAIWHYDTAFHFTTLDKASRN PNVNQNVPTGDIEGLWSYVYYSYSGRLKKAVGLLKFGASGKVQQVSLDVTHDIPTYLRLI VGGRQFSYPGFNGQFTNPVFKIGEGSYVNSELELFDYNLKCNPYPKLDCTDRAKQTLIHK AVRYSVQTAKVQTVKTSLETPFPEEYSVSGWFKWKQVENQEPWHLMFRVFSTKENNNAKN LGDRDLAGWIGTANDGIVLLSTYSYNNLFGEEQNQEWTFVYFGYSFKQKTAHSIVHFKEA REERTFENVNHFLVQRLSINLAKDDHYPSWNGIIGKFRIDLCNGAYDPKFPRTATPVPSP SPLGPTPPPSKTVEPPPKTPTIKPPPKPVKPPTPEVVVSPPPPIIKTPEVMQKCIEGQAA IGDSSSAPDVKPVADIQVPEEALKDVTEYGYGFWSRWLTRHPEQLFEGMSEPWYFVSRLT SNDPYDNIRMGDRLLAIFLSKDGYTFITNDKGTSNPNLYKQQPYKDIEGVWTYIHFSFSP LKKKAVAFVHANKETSLIELAGITHEAPKKLRFILGGNDLKLYPGFNGQFTRPVLKIGPG SFIGSPEDVQKYALSCNPLPEPDCKKGRGVSNLIESTKEFNKGSEVTLFVADNERPFPDN YAVGGWFLWTPTEQEDWHLAFRVTINGQKHNKNDQLLGDRDLTTFLSKSGSYHFATYTYT DNFGKGIPNKYINTEHQNKHTEWHYIYMAYSRVQKRVLTILVTKGDRQVKYIDEVNHYLA PLYKIFLGKDQFYPAYNGYLANVQFFACEGAYDPDYKPPGQPEVPKQPEVPVKPPEPQKE PTCLKGDTTIGDSAFDSQKPLVDFVLDKPEQLQDVREYGYQFWMRYMTRHPVPMYQGKRA PWYFVARLSGRKDFEDVGKGDRLLAIYQGQSEYTFATNDHKNNNFNTIAGRFEFGDIEAV WTFVQFSYTSAGKVVSWIQYDNSEPKTFSVAVTHDKPEYLEFVLGGTQGSTYPGFNGQFT SVVVKVGQGSFIETAEQFRKFAINCNPKPLPVCNKAKEVVLIEKSKRFEAQSS >CAK69105 pep:novel supercontig:GCA_000165425.1:CT868064:97275:100703:1 gene:GSPATT00037541001 transcript:CAK69105 MEPWHLAIRLHQNKGMENANAAKLGDRTIGAFVGNNGNYAITTYTYTNLNGEGDPNKYVQ VPYKDQLAQWHFVFLGYSRAKRQVVGFLQWKDRKENVNLENINHYLTNSVYVNVGKDRFY PAFNGHIGSLKLQLCSGAFTPVFPEDPQPPQVPSTPPPPKPVDPTKPGDPTKPSDPTKPE DPVQPPRPADPEVVRKCVSGQVQIGDMPSSGDKVVDLKVTEDKLGSAIEYGYGFWTRWLT RWPDNLIKGASEPWYFISRLTNNDPYDNIRMGDRLLAIWLGQAGYTFITNDVASGNPNLN KQIPYGDIEGVWTYIHFSYKSGQAVGAIRIGDKTQFTVLDAKHDQPKFLRLIVGGSDLKQ YPGFNGQISSPILKLGAGSFVNTEDDFNKFVLACNPRPEPDCKGKTESKLIEGIKKYESA QPEFYEHVRDEKSLFPTMYGIGGWYKWEPTKQEPWHLAFRITTNDQKTNKNAEILGDRTL AVWLSDQQLYAFATYTYTNMFGAGQPNAAQVIKHQDKHTEWHYITFQYNRETREAYGSIV FKDGKLDLKFPNTNHYLVPVLRILIGKDQFYPAFNGYIADVTFVNCQDPYNPQFTPGNPP ELPKPPVIPVEPPQPFPEPKCQMGDETIVDSAFDDVKPLADIEATPENLKDIREYGYSFW VRYLSRHPKPMYQGKSEPWYFMSRLTVNKEYQNVEKGDRLLAIWQGQGAYTFITNDYKTS NWNAAKNIEYGDIEGVWTYIHFSYSSVTSQSVGFVKYTGKEPQSVTFDVTHDKPTFLRLV IGGSDLKLYPAINGQLTRAVFKIGAGAHLDNVDELNKFALACNPQPKEVCSKAFEQVLIQ ESKKLQCWEIPSDPEAAFPSEYSVSGWFKWKETAMEQWHLAFRLHINKEEANKNAERLGD RTLAAWVSPAQDGIYAFATYTYKNLNGAGEPNLAKVVPQKQQQTNWHFIFYGYNRDTRKV EGYVQFKDRKETLLFENVNHFLVPQVYLNIGKDRFYPSWNGHIGKFRMNLCGGASRPVFP KDIDVPPPVTPTPKPPVSETKEICLINTKLVEVTKNNFKDVIKQLEALENSEPTEKTCYC TVPRKASMFIELYGEELSNHVDNPVIQRLIAQNQVRLRI >CAK69106 pep:novel supercontig:GCA_000165425.1:CT868064:100720:101361:-1 gene:GSPATT00037542001 transcript:CAK69106 MQDYKYPKIDAKHFHYHNDGTGRDQYVKHTNGGLMSQKMLSSLDLSPKADFTKMIFPKSA TNSRSRGLLPPQHQRIQHYFGDGKGRDYFVTINDGGQVQLKSWKDHPDSAFPAQLRQYGR EYSQPDCVKYMMSNNTKLQQLTQTMKLRQSDFTKHISAPKKQSSPQLQSFMPDLNEGGKY QTYQQLKYQSKACSFAE >CAK69107 pep:novel supercontig:GCA_000165425.1:CT868064:102119:102937:-1 gene:GSPATT00037543001 transcript:CAK69107 MQSNFWWKITKKEQAQLVISGVLGAILFFFSTTLGNFILIEIRFNILFSAYFGLIFILTG CYILVKTKMLVNYRIFTQWTLKQKFVLSFAIVIILSGFTCFYLYFDQEWHQGLSFYSKIP LFVILGMSLSSTICYLIVDLINFLVGLTQKVQQRTIMETPNQIVSFIVISTTIGFLQGLI FSVLDIEDVENKTTSFNLVLFEELLLSPFIVVLGCLGGLFNEYLRLKGSHLQSYTFEPIN DQFTDEI >CAK69108 pep:novel supercontig:GCA_000165425.1:CT868064:103364:103733:-1 gene:GSPATT00037544001 transcript:CAK69108 MGTCQFIAEKQYKQHSISDIEASFQPYIVQLKLTPIVSNITGDDDRMDEIYYDIEKQISI KQEKEKVGINQLNISSKLYLTHNDSPSLQSDQFQEIMKQPRKNKNLNAKFKEMII >CAK69109 pep:novel supercontig:GCA_000165425.1:CT868064:103939:106498:-1 gene:GSPATT00037545001 transcript:CAK69109 MDQIYIIFSQNRYTPVGQTLIIESYQVNSQTINFDNLFFFAQMSSQLIKVECPIHNSEAI AINLDPNIQLPEMMQCIYCLVGSTYRSIVPIRDIKNIFENFITLQQQKSDEINQLKRTYL MQLLDNLCKMKQEVNDFIDNGINIIQTQLQFDKREEEGVMKEREFGVTGIDQQVKQIIEY QYSYCQQQKILNDLNWAQSLSEVLQQINNFEGIQNCLDLLRDFKNEYQMKNEINIVGKLL LNESDPEILRGSSSETPKLNIVCQQHQKEIIMIDMNENIEKSMRLCCIECMPETYWSLTK CKEKWKTFEQKKRAYLQQQIFDMEAQYEQIREQNKKIEQQIIEQLKGVRVQFENALAINK RKILSNINMVNEDWINLSQDDILERVEILIKTEESQNQMIHSEYQQFSDFALKLLQEQVK ELKVQQQNLYDNLRSTLCHSQEISKSASSSDQNCLNDIYYQINENGSSSKNTQKLYELTQ IQSYDIGSDLIKKNQRKETDSAFSSPKNNGFEDVQIDMDFEEKQSIQYQIISKYQQVQNC YAISVNFDQTIMISGCSNDIAIWEFNNGKIKHIQNLQGHSNQVISLQFSADKNEFISGST DKSIRYWQLINSIWLCKQVLLGHKRQIDCLLMSNNNNQIISCSCDKTIRIWIKNQQSQWF QVQTLTNHSAYVRCISLSKSQNLLVSCGEDKMILIWELNKSKVWNLKQSIKNDDFGYRIC FIDEIKIAWQPRSQNKTYFYEYDTKTNQFELDKHHITLQHSSEGYQNFFPSIYNEQKQVL INKHGQFVYIMKMNVSHSLEISQVIDIGHYCNYGSLTNDGQFLVIWDEKSKCLQVRQATF >CAK69110 pep:novel supercontig:GCA_000165425.1:CT868064:106831:107188:1 gene:GSPATT00037546001 transcript:CAK69110 MLLAAVIYAFKNNQVNGQVIGELQIQINILKAANEALQNKLNEFEQEGSKFQIYKQNLSQ SREERQSLVNTMRNNLQVVKESMNGLKDVILELDNYLLQLEDKDK >CAK69111 pep:novel supercontig:GCA_000165425.1:CT868064:107193:108955:-1 gene:GSPATT00037547001 transcript:CAK69111 MDQRTPIQRLMEELHTGSPAQLLEVANQCEQTPNIQITKAILLLNNKNYQEAQQLLKGLK DHPLYPFTRLYQLYAEGQYQLVVTESRKNIPEDQLLLGQAFLKLGEFEQAIQLFQKLLKD SKFQKDSQDILTNIANAAIQQNDENQMRQINSQITEFIKKNKNQYARELLLNHALLNLQL NDVKTAKELTIRFENMVKVENEQDEDLFLAQLILDVINPGDYKSKVKQYELLEKTSEQAV LLNNLAVFQEFIHPHHDSLKRVEEALSSDYKFTPSQLNVLKINKAILQIIKNRPTKEHGL PLSVQIAINRQKWEQPIEDPYQYLIFATNNDNTNKIIELCNVKNWNQNKVLSTFILAHIL KLPDDSPYDKQIQLIAQFHKSLVCNYYIKRSNLQKALQYYTDDQQIKSQLALLCIEKGQL EQGAKFIDSLQYDGITDMNEIENLERNIGLSKKVEVQVKKIQKKKKKRIRYPKNFDKTNP GPLPNPERWLPKYDRKEWKKKKQIHSRTQGGNAGNETVNTFKASGASTAQVSAAQKKTNK YRK >CAK69112 pep:novel supercontig:GCA_000165425.1:CT868064:109058:109931:1 gene:GSPATT00037548001 transcript:CAK69112 MSSWEQEIGQPLRKKPKSQSNLVMLSSPNYLSVFKFNLIRKENYKADQINLTLRGENCDD LYFEKVIWKKNGNWNETSTIEIEPDCQDVFNQMMVEVFNFGSADYKGLKRIRVYGIQDGQ LYSQRKNNVKQLKKQSKSKQQQKGDSQEKSQQREKIQKMKINESSLKKNNQWGLYNRAQN QVNKEFVFVDPREKLRNIHKSPSPIKEESQQSSQHTYQTPKRSETPVKKGCSINERHMIR YNLNQIDKQFLELHQELQKSSGIGKEMQLTFANFEVYWLQ >CAK69113 pep:novel supercontig:GCA_000165425.1:CT868064:109986:110784:-1 gene:GSPATT00037549001 transcript:CAK69113 MDKIKKLNLDSDQFQIDHLRPIPALVKANTLYWNEIGMLNNLQWNYTLQINSDKLSQVKE IIQKACCTQVSDQEYKLIQDFLENDEYLLKNCGFTTQKLPDLIENNANLVLFFLMKNVKS SDFQDYLDVFINIDVTPATIELIAMINHSMKIPPDFVTYYVYYCIQYCNNIKEKPTQTKI VKYVSIFIRHFLKTKAIVTKDLLTEVSCLQVQKLQAFCIEFTRVNEVSQLFKSVKAFNEL GA >CAK69114 pep:novel supercontig:GCA_000165425.1:CT868064:110821:112031:-1 gene:GSPATT00037550001 transcript:CAK69114 MSKSILFQFNDAVTLAGEQITGCCYVRIPQDMPKVQIVLTFETKEYSKVLEKKQIPYDES NPQMIPDKLIEKAKQVQITLDSPLKQPKYTQKKYELNGNLLFRVFRHYGTHDSFIYTQEL YNGSVKAGDYKFNFIVPTQFNMPSSFYYKSADGQKQGKCGYMITLKIDSTEEARTVMMES TDVYLNGRFKESEQFRQLEGNIVHFLCLNSGAVELSVRLKTNKFFPGDKISVDYSVDNTR SQRPVNRIEVRVIHKLIFIDNDEVERVIEDQVVVSQNWDGLLAGQSKDRIVSLLELPKEL KASLKTNTIKNQYFFQLEAIVDSFLTWLSVPVICQIPIHIQEQQMLQKVNLDGWKLVSNL NVSVNEFSNVSVQQSYIP >CAK69115 pep:novel supercontig:GCA_000165425.1:CT868064:112421:116802:1 gene:GSPATT00037551001 transcript:CAK69115 MSKTPKLEQFLTNTNQEIDNDYIFHHYISSNQEDFKEFNQSKNPQQSLCGAHVPQQTLSF QCFDCAPDPHHMYCGNCFQLELHQNHRAFFKKEANGCCDCGDPTAIANRDSFCKLHKEQK IDITQEISKVKPNLREAILRFLKDSFQIYFSKCEEGLSLVSNIPNPFLLQCRHISHIINY KQVYKLLNNHYNIGNIYQTILSSIRISDIIIDFLCWLCKDRTCFALLIAGFLKSQYTDGV TYFEQIIHYQQLKIFLDFENDSKFDKLLYNLNIDENFRLFTQEVFLTRQDDLWKSFEIET FDGAVIIEEFISSFENFVQTGVFYTKEKNQLLLFENYFKKLNTCKEKYIAYTIKAAKFFS MQSQFVSEEFQDIQFTSPKIKNLLDMNTKLQNILNTCQLGNLDDCYSMLEFFSLKFQYNY FIKSFNNTLSQLFQNYQTQQLTIEEIASLNPEQFFLTALFKSQSHTKGFSNSNKLYQPLI SETQIQLNLLYLESRYLNIMKQCLAKYLASPQTTTVAKSNFINILFYWSYELIRTNKNNK EQYNYLPEFYKNNIQTNTEKDQQNTIIRLNYCIYRSGSIIDKYFIILLTYLFQQNEFQSS NQFRKYLQTTLKQDEQELQFNLSNIFERCVHNFISLLYTPLHEQVSNTYFTIGKEQPESY DIAFIKFYFILYKDQALSQMRSIIQSRQYFKDYSQNQYFIQIIARIIASDKSFSCVCQAL YSQTNLPEGMSFTLFQILSNLFILNHYQEYSEIKSTFEQASFSVSNLEMYILNFCELDED LNKLKLKTHQQIQQYFKMQPSNQTDNPNNHTYFHPLLFLNHQSTAQSIGEHLTSQKRSDY FIQFGNSIEQLRDFGQLKGVNLELMEFFALDTNINLIISEIQPSLNSATEIKLIERLINI SAYLKKETLQIQESLKQLQMSKEQDQQSIQLILNKYGSPKQVLEEEAEVLQLKSLQSVKM NKMKAKFKQKIESFQQNDIAATDNQKDVQLQHDTCALCRLDLEDNQNNCIPILIQSSPYS KYYPIYPADLRNDIMKDELNLLKISIVSCKHKFHDKCLIATYSYSQKQKLLPLWFQLQCP VCKQASETRLSINKNKSNEQYDEFNNWLLIQSQNFGIKNYLKEKYGESIEHKLKEIYLSL LVDLLLQLFMDSLEFIRQDKHFVFLNILDFLFQISKNITINRFEFQNQQSIFLNILNLII ELLILNNQQQKSLAQFLENNQNQIKSQIFQFDLPFQITNELLKCFAINIEKEQNQIVQVN QYIEQFNRHVMINIVKNQITDKLGYKFKQFYDHYFNEPCSFCKGFSNASKSSDQFVCLLC FQKVCDVECKKLFSKNGNMNVHAQLYHQGNTIFASLKTGQLALLSSPLTCFGYKNLYQNK FGQLIKITNMETQWEDYYINNKVLTDTAEIMISNSLKQIIKNHKLNYNQLDLRGFF >CAK69116 pep:novel supercontig:GCA_000165425.1:CT868064:116828:118675:-1 gene:GSPATT00037552001 transcript:CAK69116 MDFLIFIVVFYGYIQEIAVVILPITTVLTIITFGMQLYTYCKNKSREKLNEHIDVQGDAV LNLFPEEYKPLLNNQEDVRKIDQNGQKGSQQDELANRQQMVIHINPQQEQNKQIEKQNGD KLIEIIQNDKELDEKLQHFRKDFDEKLKTQKNEVEEKIQNQNLDIDEKISKQMIDAKAQI QNIDEKLKNIDKLRDCKSMYDQIENIKISIKKYETKYEENFEAFKNNFMALYQNNQENQK KVRDTLIQLYEQTNKKRQVENQYKYYVRQVQDKSDLKRNYSLTVQSAGDIAQYCNAFREV RGDGNCFYTAFGYQFLHILLFHYSFEQFLKFIEMIKKIELPMKILTEQQDANIDDKEIEK LMLQEFLFRLIKLKSITDIPTRSETFLKQFAAYEYESDEVDSCLYGLSTIFFRNYSNYVI ENSEIKDAIDDKINLLRWEMECNNNELVISELAKSLDIFVQLLFFENKVFQNREYGNRDK QKIILLIKPGHYNIGLLLEETQSDKLIKKSNALKNESYAIINQSKEYLENYQQYIQESSS LQVIVTNLLDQLQKGQLSEIEVRKLKEGLSENQY >CAK69117 pep:novel supercontig:GCA_000165425.1:CT868064:119064:120497:-1 gene:GSPATT00037553001 transcript:CAK69117 MGMCQTKKMTDVHQPKMLDISQNASQVLQDQYPMPKPKLSMGFPCVIKSFEQIQIIEVTE SMAYNFIVRLGVNQQKQVQKDLDYQGVFLFSEKAKTNFFEYGFTPIDVSRPNKIIEDKKQ YKFLFDFKNLWAFRDYGEKIESVLNVFKEINVKVKQVYILTKPLNTFMDQYQMLSEINIL NSYYPLLIFDYKDVSDATKRGDDTFRIYLHSEDAFEILMKKNQISLYNRNLQIRNLIFLY QCVNFSGIKKLEESLYKVSCYSLIQQPSGSLNTHQLVKELIPYLQNLQSMGSNVVLIYSS REPQGLKSARFTIEQYMARGLLIHPEDIQKYNDQLRSLYIKPEQLTQQLQKQDTGRQKQQ QEVIQLSREQEIINLYDSWLKKIKSQQLLQSSHNLFLKLITNIIENSSDEKFRTIQKSNK TVNLNILQYEEGRQILQLIGFREGETDYKNIFEVGQIKMARADIEIAWKKQLEKSLK >CAK69118 pep:novel supercontig:GCA_000165425.1:CT868064:120710:121417:-1 gene:GSPATT00037554001 transcript:CAK69118 MQAIRLQIEKIVHFDPLLKSLLNSKPNRKHYARSLFKNQLNRSYVEQKQQDIESQQRAKS TEGSIFGDAGLKFKRLMSRGTIEIKMHRRLIRKRFRKLVLAVCLLLTYFRYNPQRKKMQR LSRPRISIFRSRNIIDRLPQLLQIEKANTVNESMTSREPPCQMKQKHSSMGSFDMFMKRP IQQFIVRQNTDLYSQNRICKTEHSQVLSMYNFYKKQRKTKMFQKSSSIKLISLNQ >CAK69119 pep:novel supercontig:GCA_000165425.1:CT868064:121991:123563:1 gene:GSPATT00037555001 transcript:CAK69119 MDEHLEMEAEKLRMSDNMENEVMGDLEKYFQSSHANRKEQLPVIRVFEDSCSDLPQSDQP FENPGESIFDDLGLEKLQIQSVHSDGRATSWSHTDDNNSLNKQYLKRPRTRQQLSNKIVE SNQDLFNVHYAKSLYTSKISQLVDKKITTNPKSNRTSKSQQKIKRKSSHQLIETSDQSEQ KSSLQSGGEMSEDRLAKNRVAARNSRARKRLYFELLENKVKDLQDEIDRLNELCQNQAKQ IQKYRECQEKFSFETQKKLIEQLEVCQIKDQDLHSTKFVLEQMKECQFNSDKNMVGDQYI ENLLEILLPIELKYIVYSYDQNKDLLSDFQGSFLEWTKETFQLMDIKQEQFRKIRKHKEK LNCVKKTITRQECLLYCQFQLLKMIIGEAQKVDSIWKSIYETLEPLQLRSLFLTIYQVRV SIDQLQNQHRSVFDCCNLFSDCKYFKQNPQSQTDSNCQRPANLRQYVKKNI >CAK69120 pep:novel supercontig:GCA_000165425.1:CT868064:123601:125195:1 gene:GSPATT00037556001 transcript:CAK69120 MCDPIISEQYYFNDSYDVNANQIDFSGVSDPFLKNSQQQQQQFPKIQIFEDADNETNNFG ANEIQIEEIKMDRLKIINDSSVEAQPRSGSEGDYSRDASQNQSSRRGSKKCTKEEQKNLK DSLQSQILLNLRYTKSTYTNKIQNLVKKENKDQLIVTTKARQSRSQQKLVRKNEQQLLMN LEHTDQSSSENPDSLNDRTMDPSRLKQVRNRESARNSRARKKIYFELLETRVQELQDEND KLRGQCTILSKSIENYNKQQDKFSQFLEQQQQLFGRLEDCINQGKDVTEIEILLDALKYR TSSNKQERIDAAKSYLYSILNVCLPLQTKYLFSILDDKDFFSQNSRYVLIYGRNYTDYLR DVFKKVDTRSDDFGNNEKIKQKLGAAKQNMYDSFKKIRKEIKLIQSEAGKVDLLWEQLKE KLQPQVLAQCLLALHKNEFRAEFQALTIFKRPKDSTEQVGMLIEQQSLQRKQVKKCN >CAK69121 pep:novel supercontig:GCA_000165425.1:CT868064:125216:126545:-1 gene:GSPATT00037557001 transcript:CAK69121 MNNNNKNKQAKLSCCQNFLQKINCCFGKKQKQQTQPLITNEKYQSPFAFHGLQNSLSPAK VTLHNFLLKKSGQKIKSISKDVHSIFIDRSSLNPAQLKELMPYIFPYMHELIITHEQSIS VLPFCVTQYLAKRITQFTKNLIVDAITGPGTLSIEIAQSNAAQVDSYSIDENDNAKYNIQ QFHYYSTQVQNIYLTSFFERQEKADAIIIQYQFLNSFLLDSNLKNYLQKALELSENIVIV LPSKIQTNDLCAFLSPFSHNHNHIFRQIEIQKINVGGLPLCQIVYIGFIQDEELLNEQLK NCYLKELLADQPNLEDQQVQNFKNLLNTVITQIGMQKTMLLIEKTLETKTQLDFAINLFL QQIAQLNIVSLENIANQMECSLMDQTPTLNHPLLYNSQSSLSKKQSSLRISSDLSKTSQQ QSKVRVFSFQNKTFE >CAK69122 pep:novel supercontig:GCA_000165425.1:CT868064:126567:126866:-1 gene:GSPATT00037558001 transcript:CAK69122 MSCIGQAQQQVSEKLIKTDGDDSDTPVPESDKDDLGQILNTEESKGKPMKTLRFSLQNQV WIFDRASKRHQSYKSPSPLAKQSSPSILKNKIKQKVTKQ >CAK69123 pep:novel supercontig:GCA_000165425.1:CT868064:126990:129318:-1 gene:GSPATT00037559001 transcript:CAK69123 MGWLGSLVVIILICIVGIVWYFVHLIAQGFTVQYKDEQDFVSDQLRGLLGVDDIQPSSYR PTIEPQYLSGIVDLIETSIGGLWLAQFLYMILLLFLFFLVVDIWFIDYPFSFMFNAILGA VTVMLFAYYVTTTNSKSLTRALIQSQFSKEESFGYMYQSGFAIVSLLFSLLFGMYVLMYY FNVAAWGGDMKKEEYEKVSILMIGFLMGMILGGFVYREGISMMCRSMKTASFGLIRADLN LSVGNNNISQLTRLAYMVSQQAGNAIVNFLDTGFVLVFLCCAVSQIVINSPEVLDSKNRS AFILGPIYLVCIGYLGSIVCYFLKTMCADQETGSFAALNVRWQVIIAALISFIIHVSFPF SFLIDKFTLKGENTKDIDFEGKSNMHACWCYLLGLIFNVLHISLFEWYTSHGCPNVRNMG LATSDRILPMNLIYSNYLGDLFSAIPTILMFLLISIVYSIEGFAGLLFAASGYISLFIIY GVIFFIGCNSSDSYKLTCFAHFISDVQGKIFQIYWEAKNYMVFLKATNAGTAFLVCIGII GAQLYQYPTALNSLFVKNEGFLGLFIGFSIMFVCRGINIWGIINVAKSYFIFQPSDEAEG KLNYLKDIRIIEFTKFKYTHSMLYVAYNVLFVTIMILMFGSILGHAGSVAIMIGACIATF LIQYTGLIKGTTLENSRVYNEIQDNRKDHHYLMYVSGDTYACATEESNACPLIPYLIYSF CLLISCQKHFAKAEK >CAK69124 pep:novel supercontig:GCA_000165425.1:CT868064:130092:130806:1 gene:GSPATT00037560001 transcript:CAK69124 MNYHYPAYPTPQASTNFINCVLDFDSKLYIGDAQAFQNYQNYDVKAIISFSTEQNFQANS DADQMVLQVNDRPQCDISGYFEKTNKFINKHMDENHNVLVHCVAGKSRSATIVLAYLMFS QDWTLQEALIYLKSVRPLVCPNPGFIRQLLKYEEKLFGKVRSNLTHEITPYFNVQKADTI IPEGPAVGRNYLSDQNAYSRRSSFVSPPSQLMGHQRSYQQLQYGWRYY >CAK69125 pep:novel supercontig:GCA_000165425.1:CT868064:130914:131255:1 gene:GSPATT00037561001 transcript:CAK69125 MVNSTILSNTKQIRGFSFQLKKPKAQKSISIASQRIRRGFSFVVEQWKISKFQSQLFSQN ESLIQSSISMASQDISIMNSDFSIAKKIRGFSFVRNKKQL >CAK69126 pep:novel supercontig:GCA_000165425.1:CT868064:131367:131657:1 gene:GSPATT00037562001 transcript:CAK69126 MSDSMGNTQSLDKHIIESSFSLIKTTYSIRNNCIGRLETKFYVLFEINQNSKPSLDQSQK EQVEDRKLRQQPNFGLKSKILIGVMVQYKINVDTCL >CAK69127 pep:novel supercontig:GCA_000165425.1:CT868064:131971:133681:1 gene:GSPATT00037563001 transcript:CAK69127 MQQFKILQELIRQLKKPFEKRSEDDLYPIERMVRKIEFFQKYFADQRDLNFSYYFEHEYA TQNTSIINIDSVGDKFYIILQGQVGIYFKPNFMEDKVSSTKLLEKVKMRFRQAVNNNKFK DYQINKISSQQSDLALLKILLPGQSFGETALVHNRPRDFKAVALQPCHFAVLRRQYFKEV VRIGQEKSSMKEMGFFANLEIFEGWNVNSIYQVYKVLEQRSYRMGDVVYASGENANAIYL VKEGAVDLQQVFVEEKEELETRSASPFMKQRGKSLQRKIATLSKHQFLGLEDIHSQEQKH RFTCVSSSSDTILIIIPVELYMKKIYSQPTSQSYVKEFIQVQCKYQDQRNSQLQIAINSQ RQQQQQIFARINQQQNADMLEFFFNLEARFKTLTQEPMKRLPKSQIGERSQNYSAHEQYV DRRCFTRAKDRSLQGKVQTQSLYQNQAYRQVIHESRVIEKNLPNLTSFIDKNSSGLHHSK STQVKYRRRSPQKQQQLQFQNVQTTLNEEEQQLISNILSKRAIQPRKHTSVKRVKSTEDY THRRTLKFQDQPKI >CAK69128 pep:novel supercontig:GCA_000165425.1:CT868064:134112:138174:1 gene:GSPATT00037564001 transcript:CAK69128 MKVETFLYILLILGYFGVNGLTNQPAGVQATAQTDSQPAYLCSTGNNEEYTIGYFFNDQI CMSCLTVAGGLCTCKAYNGCDTLTCNDVYLNIILCRSCITIPDTNCAKGGLSADGTSIVC YSCKAGSSTTLIGGICVSNNNCQTFDLNTGICTKCNDGFYNVMAEYTPSEYFDTTASTWT SSNYPLYFQNIQQCKACNADVSCKTCNFLTQCTACIDNFYLSGTKCNACITGCQKCNDSS TCTTCISTSSPPYYLTNTNTCIQCSGIQYWDTQQKKCVDCTTIDPNCTACTSNTCTTCSA GNYPVNGTCKTCTGYPTTCSACDANGTCTSCTSNSVMSILVQQNNTCKTCPTNCYSASNC QFNSQNNTTICTLCQDLYYVNASGQCALCDSAGESNALRCHYDATVTGNIVYTQCKSPYF LANNKCTQAQSTNTCAKLQVIDSQTQLSNQNCAECWPGYIQSGATCFQCNNCQSGSCSLN TSNQPSCTLCVDGYYADTNQNCQSCISNCTSCSGSGIGDCTNCQAGYFKGTTSCTACTVD SNNHATCLVCQDATNCSSCINGYYVSNGLCLACQYGCSQCTTPGNVCTACINGYYLQNGG CVQDNGNCYSTDKQNVLTTIQANQTNGCQICNYGFYLTSGWLTQQTSSQIQDYVCMQCVY PQTAFVCRTNPQDVSVQSVQPTVTSLTPSSQYFAKGSVVTTISNFGLLDTRYTQATCAPG YFWTNQSCMPCMSVTNGTCTSCTNLTACTQITCSTNYFLTTINNTQLCAPIPAGCSELTY STTLLALACTKCNTGYTLVGSSICVSNTGCQTVNPSTGICTQCSDGFYFNWDFVLNAQQN PPTTNTKYYSTFNQFCSACQAGCQTCPSQYTCTLCLPGYFWYQSTVTSNTGAFIKYSSNL TSNISGQCVTCPKYCQTCTSATTCSTCYPNFQPDPNSTVGACMCPSGYNLITSGSSITCI QQTQTTAICNITACSQCNADNTQCLACLAQYQGKSYTLMGSTCVTCTQNCSSCTLMTGST SQTTCQVCQNGYYKTQSANDQPVLCSICSQTGALLCQGPLENATFSQVTVIQCQNNYYLY NGTCVPVPTTATCYTISAQNGSNCQQCLPGYTLFGSTCQTCATSKNQNCVQCNANADQTG LQCTQCQNGYVIDSTNNVCVACISGCTKCTLIAATQNTAQSTLCSACNDGNYLDNTTGRC FACPYDCKTCDTKFTCTTCKDGFYLKQSQTTFNAKQYTFKPCYPCQSNCATCSANGNVCL TCSSGYVLQNGGCVNLQQSIVNDANQVQLCQLLLT >CAK69129 pep:novel supercontig:GCA_000165425.1:CT868064:138177:140631:1 gene:GSPATT00037565001 transcript:CAK69129 MPLKYVKNVFLHTTTLFVASNQLVQPIQQTQEIIIMVIQHQLTPLSKLDKIQLHQVHIQL YWECQQSQFYNEHFQIIIIIFQIRFMYIKFATIFILTFTSFAQTTGQDCTELSCISTGAL KQQYTTRVSQKCMEGKLDDDQLNQGIFGQDNHANLVSQSRVDITLALLIGELVHCIVYLV GQSQMGKQQNYVHRVCVNLPNGCLTYQLNQDQNAYVCGSCDTGFTLIAGVCVQNQACVTY SSTKYVCTQCQQGYYLNWDYIPDVSSASDNYYNYFGSFCNPCSISSCANCPSANTCTQCK PGFFWSFRSGTCEKCLDNCINCTNNTNCTQCSDGYYANTINGITTCSSCQPSTTCVKCSN STTCTQCLSSYQLLNPTTCEKLYDGCTQMDTNKNCTSCQSNYVLNTQSPKTCTPCGTGCA TCQLSNNIATCTACQEFYYATKDSNGIVTCVECKSNPQSTGWLRCGGPYDSPSYTTVQVT QCIDGYFLVNITSNNITTPTCIAAIPSSACLTLTTTSTNTNNICATCIPNYNPYVDGTCL SCPPQQGAKQQLSSQCNKCSGTSTTNISCAGCSQRYFLQSANSAVSATCATCSASGGCLE CQSNLNCTKCDVGYFKTGDSNNSVCQPCLKNCAVCTDSNKCTTCMDGYFAYTGSQTAQSA CVACQFGCATCNNPGSTCQSCIDGYVLQSGGCVPLNQANCAVKLNSATTTTIQTYNSNGC SICKYGFHMISNRCFQSVQPYAGFAYKQLSEQTIINDTPQFGFILTSMFIMLQFVF >CAK69130 pep:novel supercontig:GCA_000165425.1:CT868064:140668:142601:-1 gene:GSPATT00037566001 transcript:CAK69130 MLDIYDNYFEENRKQKLLLTLNGDLNSAIITMEYSLISMKDIKKKAEHFYSIELDRLRLF TQQGVEIFEEDLMFLKDGTLLYVSDFHFQLEILMLIPNSTLGEGGFGKVMLGKHKLTQEQ VAIKIIDSGKLWNAADIDLVFREAEVMKNLRHKNIIKIINCYTLPSMQVVLIMEFLEGGD LVDYIQQKGKLTEEEARIIFRQIADAICYCHDQKLVHRDLKLENILLTSKTDKQVKIIDF GIATVATNFIIDKIDLGSLSYMPPEVLSGQIQQIRPSIDIWAMGVILFALVCGTLPFTRP IQEQTVQNILKLNYQFPNITLSKEYKELVQLMLHPDPNERYSAYQVLGHPWLRKSQSTLQ APSKLFPKGIIKRDYTKISGGNGGNSKKSMTSSLMIARDFTCLQQGKTFQSSGLESPTLI IRSPSQIKPFESLKQQTEILESQEPEQQQEEKKVVKTVRERKLSSFNQKLIDKIFKEDRV VKRTAHTHPLNSPKESKERSPPIEYAQILLSSAKIHSKQSSEDFTKRVKSKQIKLRTIIN LSQLTDLKMLYGDALKKNNEPKRIITEQNDTLNLYKLQLSFRHRKMRSDQLLQRTFKSGE LKISELYSTLFK >CAK69131 pep:novel supercontig:GCA_000165425.1:CT868064:142784:144044:-1 gene:GSPATT00037567001 transcript:CAK69131 MYTAHSDQVGSQTILPEENAIILRNGRRISYGQLVVAMGQQVNYDAIKGFEEAWQDFDSP VFTNLDHPSWRSSNHKYTRWHYNFNHGEAYFCIPQFPFSGEVESYNFLLSQRIWEWQTAN GRQSPIKRLTIIQPNERFVQYNDAGDQFFKEEIKRRNINVEYGLKLVEVNKQQNTAIFED VKTGSRQTRPFNHLYAVAPTKPHDQLVKAGLTTSKGLLDVNIKTLQHNKFKNIFGLGDVN DVPTTNCFWAGFHQLHVVRNNIERNIAGKSLNAEYDGYSKVPILLGQNTLTFLCHKYNNE NAWHNLYFSNGGFLASLRYYNWCKNFKKAFIDIYLEKNWGPPYYKIKKSFKLPEGESNDH GFLSKLLPGKKESH >CAK69132 pep:novel supercontig:GCA_000165425.1:CT868064:144045:144336:-1 gene:GSPATT00037568001 transcript:CAK69132 MLISGMQLRHSLTPRYFAGVFNKRKPTKKDLDHYDVVIIGCNLGGVLSRQLDKVSHGKYK TMVVLDQNINQITPIRQIYEQQKTAKD >CAK69133 pep:novel supercontig:GCA_000165425.1:CT868064:146150:146305:1 gene:GSPATT00037569001 transcript:CAK69133 MQSLEKNDENTIKNRKTTTTQGYYEDIRSSETVKDKFGWIKIRMNLDLYIE >CAK69134 pep:novel supercontig:GCA_000165425.1:CT868064:146336:146548:-1 gene:GSPATT00037570001 transcript:CAK69134 MNSNFNKDGSISIWNDDIEVASEAQTVKKMEIYQQRINFLVLETSNDVLSSRNLLKYKCA LAKRIKKART >CAK69135 pep:novel supercontig:GCA_000165425.1:CT868064:146969:148531:-1 gene:GSPATT00037571001 transcript:CAK69135 MARLMITGNSKIQQRQSQWGFIDNEQIPVQKEKILAIFVDDILTDQKITPLHIEINLKQL IKYKIDTLIKMELNEFLKERNLLNKTIFFYDIYGNQIKYYSKSSKSYLFSTRSNLFWLFV RPFDHYIKQINKIDRGASQSYHSNEGLQQIPVCKLKSCLSLISVHQDVPKSPPTTHRGTF LTKEMRNLQSVYDNYTRTTSVQSSRPFLSPKTQKSSFIFTSKIKMNKQEKYFIENMEKCQ EELQNYIENMHNQLSQKTDDVKKIIKFYDQIQYPTPKSRAFESKTLSKRISHPLQLILDK EQQKNKFKPRNYFSDEQNWLESIPLLTEMNIPDIQAKYKLNRAQLYAFYSFFKVLQLLTA ITQKREKNKLTKGISYDFYRQGIENIQDQSEYMAKGIFNIIDSRCSGFLDWQQFLFLMSS VQAKTKEERIDLFIKIADMDRNGKLSYPEVSKLSHQTMSKIVKTQDTNFLNDISEFFTRV IFDSVNISYDKEIDFHSLKDIITKGHPNSDLLCLFCGAEF >CAK69136 pep:novel supercontig:GCA_000165425.1:CT868064:148665:149021:-1 gene:GSPATT00037572001 transcript:CAK69136 MSQLCQPMIIHTHRVASNNKQLTLENQKQKRSLNLDTHLNIIKQQHFFKKGGQVRQSLKE TKPWYPFERIKRKQKLLTTIFEQKQNHSTQNQEILTHFKSMADLTGWQINDDPQIEIQ >CAK69137 pep:novel supercontig:GCA_000165425.1:CT868064:149307:150874:1 gene:GSPATT00037573001 transcript:CAK69137 MGICSNNKPTGDIKLNIFLKSLLYHNNINEQFTAPIGTIKDEPQLQAEYFNIQIWNQFEA LRKVREDQNQLYNMLAFFAFKQVIERNDQVLLQKMQAVSQSLQWQVQVKGKQNISNNMNL KNEFLNLLQKVVKDNYLFELYYSDIKNNRNFYVLCIIFFRNWAFDIASKYKAIPSNSNEI QKMLDWTVPFNLTDQILFGEIVLNMNLKINIFDTQTKSLQQFGTAQNENYIIKNKDQIFI GLPKQYFSRVKPSAIIYQLYKYTNQARNVAAEMQRFVSEDLNQQELIYEYQMTQEMFQQI KLRGFKRVRGDGNCFYTAFIYQYLSIVITKFGDQDFKQFVEILQKIDFNLTHETIQNFPV EIRKDLKQIFLSFIYEIIGSNNQIQAFENEFQNTRSIFYALSIIYAKNLIHYFVEQNYEY KVLLGEEAEEQIQQWELETDNIQVLLVILANCLNLILHFYFIDADNKTIDLQLYQQSQNE LTIPKQNVYLLFCPGHYCIGLPIEKK >CAK69138 pep:novel supercontig:GCA_000165425.1:CT868064:150958:151758:1 gene:GSPATT00037574001 transcript:CAK69138 MFQIPKDYLVNCLYKKNQDPNKNLENNEDDEIFKKKIEERVFTYKKNKNDKDLEVLQQIY NEAYKSKPMDDCHKRFIKSIRSNTQLFNYYSIKQLQEKHKLLKLCSKYREVRGDGNCFYT ALGYRFLQILLCEYNLEEFNYFLDKIEQIDLPFKVYCKTIKIPEEIQKNLRNEFLFRLCE IRQIEDKNERLNKLMEQYSAYESKGDIDGNFFALTTIFFRNVSYYVAAKSEFAESIFDID NLLIWGEECNNNEIVIKELSEFLRVQ >CAK69139 pep:novel supercontig:GCA_000165425.1:CT868064:151919:154895:-1 gene:GSPATT00037575001 transcript:CAK69139 MKNQDYEDYDQDYEKKEIDIMEKLHKKKMAVIERCFPDKNSKGQGLTIQQFLKVMLEHLD YNKDSKEETKKITLALIELFKEIDVNGDGTMEWVEFSNHIIELGLLRNDRSFKDVIKSYH PAENIKDEQKHETVIDRVYFFDRLKMLLVLEKESPKFKVYNSNTSELIWNVNAHKGYVLS AEFIPDQNLIASSSNDLTINFWDSSSFNLKQILSVPEIQLCMRYARWSSSQSNFLYTGGS DSIIHIYDTYDLKERGTLSGWNPFIKRDSQQYGHSSPIGDILAIDQQNTLVTGGLDGNIC LWDSATHQPKKELRGHEKGVYSLDWSDWSPMNQCLISAGLDHEAFVWNTYVKEKIFLLRG HNHPLVGVKCLPRTSQVVTADISGMVKVWDVRNFLQIQTFNVPADEIQAFTLTYPKKQIV VGARKMFFYEYDEPKDQMLTDEKMCLKVLYNQTLTCFITLHPDSVKTWDARTGKLQQVYR ELSVAELTTMIIDARQRKLFIGDAEGRIFTVNIKNGAKMKKFERHHKMITDLAHWSNDTN RRVISCSREDTVNIHDEDSQDAHKSCRYKMKQHHLSVNSLHVKQDSEHLVSCADDGAIFI IDLVSYRQELVWKCQYELKKVMFLESHNCIVSVDSIGNVYFIGVLESKFKSKLLLQKTYK AISLTNQEETFPVTSINYDDDLLYLGDELGNLKIWNIKQVLDKVDLHQVEQKIKTRKNND ADTFVTAMDYGQEDIAQIFVIGDIKEVGYQRKAHQDGITYIEVCKDGSHFATSSFDCCCY LWAFRNGQISKIGALILGHDVNWGYRIDEKSRADAAEQEANELLEELKDTIIPTKVEKTD GQISSSKQQLLDRLYGSKQQKEQQQQLIQAEKEDKKEKALSRAKNALKTYEQFKQKQFTY QNQQNLNDQDPKKYDDSEYKDVFQQQDYDEEEEDNYNILTDLQEFKQQQLSSGVYSSKNA FKTKLIKGHHKSKFS >CAK69140 pep:novel supercontig:GCA_000165425.1:CT868064:155088:158151:1 gene:GSPATT00037576001 transcript:CAK69140 MIKKECNKNILLQKNHFLLGTDDTQTEIVIKYANKQFSFKAYNQKIHFSYDEFIQTIKVL SQTNSNIFRCYNEIQQSRTEEEEIYNISQALQYYQDIFIYKDNQIFLIDEYQRFQRLELK SKILKDKQKKLPIANFYKKKNIQIAKFKPLTIIKLEQDYCILYQSSNQYYLKFQQSKRIT NKLKILPIVEQKFGKINKDNFNDIFSVQNEQLDYSDYIYNLCQNVQELKIDSKYISAINR IQRFVLRRQLKSKLSINQKQQKYKILWRKSLIWEDSAFFLLIALNQDTNNNQSILIEGKQ IDHSLGREVYGLQQKLFLKYEMRDILITSNKMLQQVEIVHEGSNLRLKSEQFDQIYGRIF QYDNSEMEQTLNLRIAKSNSYRNQYVQNGFLNHLQLDNQQENKLDQKNIKSLFKLFYFQY RRHKLQNIVQYTPKDILSFPIHFLTESEMANLTQHDHDTDIQNVENEEHQIQYKSIEYKT TLNEWDFIHSIMFEEINFQNYLYKVRIYYSKEFFKELTLQIQSINLFHKYVISSISNMVF NKKLIEIVHNINSNLVSNSFVVNNDIMQEIVKNLMVFKIQRRVRFFQFIQRYHTRNSNFL LRISYIFSQNQYFKIIFRYKKKKIRILFNQQLFLLDLVKLANFYTLTKSQQSHYQISSFS QFLAIQNSTNQNDYRFKLSKLINFLENIIKIRHNSLYFSLKLPISQVKQQYLKSKSYTPN QAYHKKIITIQRFYRLILAQSFLNALKKAQQKQQNKLRHQCIRKIQGKYYIIYCYEISQV ELLAKAVQYKEKCNCFQKCFKFKQSDFINYKQMNKYQFLANCIDLVDGEIQFILENYQVQ QSSEVAQNDNEYQNLQDQSYHRQIRSRLINTKRDRNVLYNVVYSNQKILNSSCDSFLINI NLKSMRNVYHSEDKHTLIRNVAETSLDNIKYENNNLKVNISKIIPEKLKFSQKPRFQACQ KILRQKAQVEVLHKTTQRFENQNKQDQQFQFRKITILGYFKQNLRSWPSI >CAK69141 pep:novel supercontig:GCA_000165425.1:CT868064:158862:163069:-1 gene:GSPATT00037577001 transcript:CAK69141 MFVRFFHFQILGIIVEAYYIQHFVTSSISGDEGWLIKNPYNGATVHTYSCGGNLMFGGYQ IFGCYSSQQTAIMNYFSLPPHYGVSIDFNFWKFNIKNIFRIDDWPTSQYFYIVIDQWTKS WYYPDNIGSNLCAGTGSDFSSSLNSNVLLHTLNSIIVEIRSDCSSANYWGLTDFSITLNE CQSGCQFCLDSTNDCTMWILWKSFFQLKNLDNGLEGWIKNKFPSFTQMTNDFQLKMLVLN KWDSAITYLTLPDHISLIIQFRIEYISALPIIVRVYINDEWKYGLINDKKQVDYRTWTID DTRNQIKLEIYSVDGTVCIRELSVILRGPINLISCVDDNLAPFDGCFAKQESCLEGCTFC VRGECLMCDTRWDYNALNKSCDPSCGDKLITGNEQCDDGNNFPFDGCHECKFACPVNCQK CQFGQCQMCNSGYYYSNGICIRNYGEFTLDQSQVDYNQFSFAQEENEKKVCGDGIIQQDE ECDDGNTIPNDGCNNCYFQCIQNCYECIFGVCQYCNPTYQLISGKCLEIKTNFRFLNCIT SNVNQNQITEKNNVSCCEDNDIQCNLGISQVENDSKILQCPQYCEICEYGKCKKCLVDYF LLKNQCISQITKGILVFKDEHHISLIEIGCYKCNGSCQIQCLQCQNSYCFSCIGGWSLIN GSCQQICGDNQVAIISQEECDSNLDDCINCRFACPENCEFCIDSKRCFLCLWPFIEINHK CQLSCLTECNNCIDGTCYDNCPTGETNKDGICLPICGDGIIQQTEQCDDGNNIQFDGCYN CQYSCSQYCIDCDEGICQECQQYFQFEQNICQNDCGSGIKSYDEQCDDGNLNDVDGCSSN CKIEIDYKCQDKNNSYSECEYSESPYMIVKFLNQTYNKYYIEISFSQAIFFKDSYDLQSL FDFSVDEINPEDYAINLESNFQPVINQILNYQFQVKLEFLTSNNSSLTRLQLTLMNVAFN QYNLELLNPSEQLLLKQFTKLSQSDVEKTRQLTKYQEAMIMAQGIGSVIMLISGNFQIFV EILDSLQYQSYLKYANIIYPENLYLFFEATNLISIIPVLEFLKIGQFYQIFLYSDFIESY AKLRFYNLNAHLITNLQFFVVQSFSTFIFVIILKAIGQVSFRLVYKIQIQNLLVFKLRNI QSKVLPKLFNWLFGFFHYILVFVYQFNQDGIESLLLANAWDILFKTFLYLESTSNFDTIS RIQNVLSYMTLLATVKFVISSLKGGLSRINSDLNKRFKAIYLLKQFFFCYFLICFQNHSL IQLLMLSTTNVIYLLFLAFVKFNLEKLDKFQIILLETSIIIFNLTSILHLEDYNYLISDE NKILLGFAQIYVLISGLLGIFIKQIILIIQKIKHRCLQYKQKQTRSIRVTHLIFESVK >CAK69142 pep:novel supercontig:GCA_000165425.1:CT868064:164200:166301:-1 gene:GSPATT00037578001 transcript:CAK69142 MLTKLLLFAFVITLSYCSGQGNQYPQNSFLEDSLISQQDPYDVNQQEDQSNDKYVLFYFH QYSNFIGWAILVDLGIIANRYGILLKNKYDIHAIIMSLVVLPSIIAELFMIFSGNTPPLY GNQNLQGFHSIIGYIFLGMMIFQMLGGVIIKFCIQSVNTQTHLKIKSLLHVYSGYVIYLL GKIQLGFGYYMTYQFQNKNGKGDIISFWCVYSFIFLWRIIFEFLYQKGKIYLILIQKNSM PKEHSGTLQDSLLIQYIEQNEQSHIYNEFQNKFWLIFNDEIIDLTGFTHPGGQYIWERVK GREVSRFVYGGCGLEDGTAKQYPHSQNAIVLLKNHIIGSLNNIAFTIPIDENNSNNSTQW KLETITKLNDKTSYFGFTNPKPNIISQFTTIHSFGKYFQIQSIQSKKTPIRQYTCVASMA PENVVYRKELVKYIDYIYTTKQQAKVPQQPRFLGLVMRXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXLPLMIKCYDLKGGFSQYIHNHKDEIYDIQGPYGPPHGLPNRGNIVI ICGGTGIFPFLDLLDFLLKTIIHQIALNKFGKQTADNLNPYDCQFNTNIHITLFFAAANK SELIGSDILFPIIQLQKYLDKQYLRLIIKIKEKIEGIETIEERFSKEMFDKFLGKTMDYQ RFLICGPPPMQSSVPIILQEMGVQNRLIHFI >CAK69143 pep:novel supercontig:GCA_000165425.1:CT868064:169835:170435:1 gene:GSPATT00037579001 transcript:CAK69143 MNGKDRDFGKELNTCSEELLILRKRDSEKNDDALSKCGVALNKQNHYQEALDCCDKSISI NPNNDIAWCNKAAALNNLTHYKEAIDCCDKSISITLIMILHGASRVQHQIILTHYREAID CLRKSISINPNNDTAWCTRVQHQIFQLTIKKLLNVAINPFL >CAK69466 pep:novel supercontig:GCA_000165425.1:CT868069:218:1247:1 gene:GSPATT00037905001 transcript:CAK69466 MQQTASGPSPDEVMVYFFTEEPKYYQRDYSVIMEDLMKREKCDWVLFNEDSRQYANEENI QFLSDLKAIRLIEISQMLKLNKQLQEKIDEYDKLKIKPEDQESLIQHYGSLRDTTSYQAI SIQQKEKKASDLEQELNQQKDLVKKYQKEKEQYEQDLKNKINQYNELLTKATNELLQKKK LANDNEILRVQSQELDQRSAKTDILQKDIRNLENQYNQLTDQIRDKQNQLNQLEKVVEER QKEKEKEFQQLKIEQSEQIISRSNEFVIAQNTVKQNNKLHESSSSKTDSPEESEINQVPY QLNQFQDKSVQMGLYDDQGI >CAK69467 pep:novel supercontig:GCA_000165425.1:CT868069:1633:1980:-1 gene:GSPATT00037906001 transcript:CAK69467 MKLKKKQADINQLYNTKNQDKVERKKQIIQQCQQIQQNLQMSEEKMFNKELNKSNSYYRV DKTSIAQKDKTHIPPYLKQDNDCSKSTISEEILKERLLTDFLNININQQNYQREK >CAK69468 pep:novel supercontig:GCA_000165425.1:CT868069:3175:4697:1 gene:GSPATT00037907001 transcript:CAK69468 MPELMIPLVSHELQINNLHQMTRSITLSENSAMIEEKQYQQNHPSEKKFSQGSENVQQIV TPKRNGLDYNRESPFVKISVYKQHQMANQKIYILQDDKIIGSNQIQKNEIQIIRRKKQQH LGDNNVDLGLSSQESNVEQVPCKISTEFGFRHSSRITSQILCFLSLKYFHNRFSELPSNI FKLIHSFIKEKPKFFVQDCGTTLKTLIRIQKESPRIMNENNNYLIGADFYFHVVQLNSIP QSIENKKKDKDKESPNEYFFQTLVRENEKYRARIHGLSKEEQEQFQVHLEEYRTLKKKGR KQYHLQNCNRPFLKIQFDTPISKQIAVFIGKAGFEQTFKIGRSQDCDIIVNMNTVSRKQT QIKFNKTQWEICDGEGIRQSANGTWQSLQPYEQPILATKLKQSDPFLIEDKMEIKICENI FKFEMVGFGVSKKRKLTNTLYQELTQYN >CAK69469 pep:novel supercontig:GCA_000165425.1:CT868069:4823:5780:1 gene:GSPATT00037908001 transcript:CAK69469 MKLKKRVKGGSYQKGRIKNKKIKKVEQNEKVKNIDLGKPPNLQNITIKQTQYLGSQRGRH ILLHLAGAKKSISNEDNRREIKEKQELLHFINKMKPFYDLLESIYQNYLEFPIKQKKKER LIVFFFRNNSDVDPNYDKLNPLENSKFQQNLDEIKLQLDKVFYRDLYNILKQLEGNEHNI QDQINLITQDIIEQTNQLNELSQANTIVKNLFIQLLIEQGNHKFSQLETLIEKQNKNQLI LMFKQLITQNSIRITKFITDIVQLFQGDDLNNSIQCTFQQTNYDENYHIQQKWQSQENEN SVYLSD >CAK69470 pep:novel supercontig:GCA_000165425.1:CT868069:6228:8135:-1 gene:GSPATT00037909001 transcript:CAK69470 MNQGEGIQFRWINVENKESKDYHALIEELEKQHKGKCLLFDQVLESLITSEIFVKGENMK LVIIHQIPTLIQNLIKKESEVEKLNKEIQDYRVQADKQHSEGKSIVYSKSTKEFDLEKKI KELEIQINKLQTQLDDQRQEKDGKIQKIQDSYDQNKKLLLDQNSQIERLSQELYQSKSQS QQQDQVQYNSDLEQRLENLKDGMKHYQLLSEGLKNELEGLKNDLKRSKELTDHQSIQLTH VQKELTQSQQSREKLQNQLSQSKEQINHLSIESQQLQHELSESKEIVNNQKIEIQQLQNQ LVELKEQINYQNNSSDEQKRQSQKLQQTACELHKFCTDEEEQEDKQILENQIKFLDSTIT KFLDQNKLIKDISLQIDRSQTFQKSIGLEIYQVTLKEQEFLKCINEKNFFFRQNCIYKKK CHYAQSINEKQMSIIAHGQQINIQKVFAHPMSNHEAIDTILNLLIVQGYFNLFQRDHLQN NMQQFQQIWNLKFPKQFLVKDQMDNYSVYQECLQTDIKIFIPFQNISPMDQYISSFFRYF YFATNKNFAISECQYGEQTNKNFGLIECQYGEKIEIIILSIIIHSNYEKGFSLLDQGRSK IEEFEKMLKAQEGINQRYWSDQLQNQAKKVWYEQK >CAK69471 pep:novel supercontig:GCA_000165425.1:CT868069:8272:9749:-1 gene:GSPATT00037910001 transcript:CAK69471 MYAKEEIEMNEFQVEDPQELSIEEQISEKMKPQISSSELKAIIRFTVLYFVIGIPAGFYA SLTLLLQGSGISSGQMAFWVTSLYPLSFKLILAPFVDSFYLSKFGKRKTYVIPSLYMLGL TFITLAYSGYSQWIEELNLYPLFGLTILLTVLQACATIATNGWVLTSFSKHYVHLGATCQ MVGLSLGFVFSYAILMNITSGYFCHEYLGLDQPIISLNSYTYILGSLILLIAIITQCLVT EDYLEEEKPNFIQVLRLSFSLLSNPNLRFLLLFLLTRRFAFAPVIASTSLNLIQMGFPQS EYASIEALCTTSWILSSLLVAKYLQRGKEMTWVLNAYYLMFLVMATHFVYVISFIKMGGY NKFTQAFVLQYLYEFNNSFISCTLSAFFLRIADPTIGGTYATLLFSCYLFGTQFSASVSL FLLHLLPYKTVVLLGWLYGLIYFTVIKDKLIKLQFFDLKSWKLM >CAK69472 pep:novel supercontig:GCA_000165425.1:CT868069:11000:11664:-1 gene:GSPATT00037911001 transcript:CAK69472 MYSGFLSKKLEYEYNSIVNRMMILLIDQLSLQLQLNQIANKTFFDKQFYKLYNQIKELEG QKAQPPKFSNLLQPLQFVIQNNGNQQITTNNQNQQCQKPYVPPILEQDAAFSTQYTKTQT ISTRAKSQIVQARQQQTFSPNKVSRVCRYSDRKKPKVQLMNVGEFYADFQNDSQILGKKQ QNNGQRFHTLDQNSILKTPLNLEAQQNRDTLKV >CAK69473 pep:novel supercontig:GCA_000165425.1:CT868069:12253:13380:1 gene:GSPATT00037912001 transcript:CAK69473 MGNVCCSNQEVGRLNLQPMIPLIPTDEASLDETKIVKLQSSIRRYLAKTKQKQKSEASAT TAPQVQSKVSEQIVSIIEMIPKDAQKVEWPQNLLQAVQNKLKELPPLVQLTHQCYLLNDG CYYQGPFADYQKEGFGRQIDQDGSLYEGAFKQNVKKGKGRQISCDGSVYEGNFDDNEYDG YGEFQDSYGFVYKGEWKQSLFHGEGHEINEQFQYKGAYKQGHRTGYGEIIYVDGNKYMGE FQKNRFEGFGIFIYADGRKYEGSWMNNQMHGKGTFSWPNGRKYTGNYHLDLKEGFGEFIF ENGSSYRGMWKQGKQHGHGVMISELGDQREGEWVEGRRIKWR >CAK69474 pep:novel supercontig:GCA_000165425.1:CT868069:14831:15665:1 gene:GSPATT00037913001 transcript:CAK69474 MDVRLLKYDIFASPLIHKPSKGYVPKFGDRSLTSLLKYKNETIKQPIHSKIFKPYGEESE TNTQQAMYTTAKLSYSSSVKNINKFPEVQLDPKAVKLLGQGRHYLRSEYQTKRKMQEEKQ NQYQKNHSSDYLMQNIQLKFCEKSVKSQFKANLIFFKKTKNVTAQIQLLKKEEEKKQTPE IKNENYDSRQRKIQIPSQKQQHTDHSMFSSTFRDNFLKSQRSNMHPKPISQEKSTRQGHK KTQSVYQMEEVNKEVPLISNNYQPNN >CAK69475 pep:novel supercontig:GCA_000165425.1:CT868069:15718:16301:1 gene:GSPATT00037914001 transcript:CAK69475 MFQIIKVDAGIDAKLEFEISNIVKAAYERFNNQYDRSKYISDYLDERYGGCWRVTIGKSF TSCGTYYLSQLLRLSYQNDQIEIVRTQGDSEFEIIQRDQGMNQALFDSILGIISNAQQMQ KNLSAQVEYISECVESKHTGKWAVICGYDFNSRVPYVNNNLVCVARKGIRYTILMISK >CAK69476 pep:novel supercontig:GCA_000165425.1:CT868069:16308:16990:-1 gene:GSPATT00037915001 transcript:CAK69476 MKNSSGSNRLINSKRTRTSLTINQDITLPANHARNSSIQTLELNKHEINQHQDKIYFSPR IGSPKKHAQQKSLSQTLLKSYASQKLLKTTQKDFQETNQIKDGDSYKMISPKVIFKDDKI NVYFVKEVNKFIQQNEIRTQTQEQAIREQTIQEVTNSRVSIKGPIGSNQNQMSVRIQKGI LSDPKHLFSLSSKKIKLEKVENLNTSVKFSLQRLLKLQQ >CAK69477 pep:novel supercontig:GCA_000165425.1:CT868069:17452:17754:-1 gene:GSPATT00037916001 transcript:CAK69477 MHIILTAISRTLKPILEQDPLEARHTCVHQPCIRTIRNYCCKDHLRQCPRLQDYANDKER YTWCLTAMIPLLSREEQDPFKENDINQRWQKARIKIQQVE >CAK69478 pep:novel supercontig:GCA_000165425.1:CT868069:18288:19213:1 gene:GSPATT00037917001 transcript:CAK69478 MESLYSLLSQILLITTSVILLISMTIAFRKIIKDVNDILDSTDKLVFFIAAIQQLLLLAF LIQQYTLFLSGLRILRMLQDIMLLNCLIKLDSDNEQSYQKIVDKISQTLSFILLSVWCVI IFLEGFQSHFQCDQQIWMLLSGLLLLNNIIQLYFGLNILIQIYKYCQDSSNQHMFDGLHK IMMDELQNRKVQVIVFVLCSINSSVIMFLYDYNIFDLLNPTLCLQKNTITEILIYIAVIM VSYQLPCLGIYYVFYHKNKKYLNNHNWEIQRNIVNFYDERSEIELGDYQNPQ >CAK69479 pep:novel supercontig:GCA_000165425.1:CT868069:19284:19658:-1 gene:GSPATT00037918001 transcript:CAK69479 MYSISIQNFFFNNSKVEYIVKQVFSILIRFEGQDETFLSKYRYSQLRTLDDQLGKTKVDK PKFPKRSLFVKTNQNLSRVQDRQIKLNKYFEQLCMISSTMGCCIIQEFIRDAKRNIFVTE IARN >CAK69480 pep:novel supercontig:GCA_000165425.1:CT868069:20061:21217:-1 gene:GSPATT00037919001 transcript:CAK69480 MGKKETKDKKPAQFKKIYELLSKYTQVIIVGLANVGSKQVQDIRRILAKKNALLVIGKNT LFKKVLATRVQELPKEHEYYEDLAKFGNAIKELDALKNSVAGKVGFIFTETPVFDLKPII EENKVETPARVGAIAPIDVVIPPGPTGMDPASIQFFHALQIPTKIEKGQIQITKDFVVLK TGQKVGQSQAVLLQKLGKKPFLYGMEVLACYDNGSILNKQQVSVNLNDIVAKFQQNVRNV SAVSLANGWVNEASAPYLLANAFKDLAAIGLQSGFIFDQIKQSSAPTTSAPVAAKVEEKP AAQQAPAKAEEPEEDVDMGGLFD >CAK69481 pep:novel supercontig:GCA_000165425.1:CT868069:21294:22464:-1 gene:GSPATT00037920001 transcript:CAK69481 MGGKCSQCDCKFGRNMVQEKEIVLDNKGTKNSKSHSRNSIASNQANINADLLCLEQQSKR NEKQSQSSKERNKFNEYQNQHDKILKAAIKIQCRYRGYQVRKKHRLQSIKMVLKNPNRGA NKNYMKENFEVINIPSKINDESLDMRGPYQFKSGAIYQGQWRGNCREGIGTQTWTDGAKY VGEWKNNRACGKGIFYHVDGDIYEGEWDQDKANGKGVYKHSNGSRYEGEWRDDFQHGIGM EIWNDGSKYTGQYFQGIKQGSGRYEWPDGSYFDGEWQNNKINGQGCYFWSDGRGYVGQWK NNCMHGYGVYKWKDGRKYEGVYKNDKKDGQGVYQWADGRRYDGMWKDGKQDGEGVFIFVD NTKKRGIWKEGKRLKWLENET >CAK69482 pep:novel supercontig:GCA_000165425.1:CT868069:22611:23547:-1 gene:GSPATT00037921001 transcript:CAK69482 MQQKKSPKFLDKLFDLLESEQYRFLRWSDDGTSFEVCDIKKLEKQILRVFYKQKSFASFI RQLNLYGFKRTKIRRNINVFAHRYFIQGEKKQLINIISQNKMKVLEELSNQDNNLKHQIY EAEHQQLTETLKDLQNQQKQIQLKFNEQIHLQMQLKLRIVQIMNQINTHDITINIKAQHS YQLLCKVMQLIPNNEQHKEEMAIIRSICKVFNEFHLESMSSYLGSPNERLTPLPFYLQDS SVSLDFGSKLGQNQFYELLQSQATKIFEPSQVKQQFMI >CAK69483 pep:novel supercontig:GCA_000165425.1:CT868069:23670:23873:-1 gene:GSPATT00037922001 transcript:CAK69483 MSETLSIKEDEVEVPYPTSPAPFGKAVVEDIGNYLNHKQDQFNLETLEPVWFFGQQESDF NFFGFDL >CAK69484 pep:novel supercontig:GCA_000165425.1:CT868069:23933:24535:-1 gene:GSPATT00037923001 transcript:CAK69484 MYYQSQIQCSEYIDIIKWDEEGIKIKIIDKSLLQENVLPRFFKHGNYSSFLRQLNMYGFT SCKDQDGILTYEHPYFTQNKVIQKFIQKKKQIHVEKQQIGTFLIEQEELKNTMTSLKQEQ VIIQKQLVDFIQQQLRFQRHFKLIIEKLLEIKAIQERRGVFFIESIRMIVRAMKPEACKN IHYD >CAK69485 pep:novel supercontig:GCA_000165425.1:CT868069:24673:27954:-1 gene:GSPATT00037924001 transcript:CAK69485 MKVNICYFIISLCYATEWIKDIETQRLYLCDKVVGLFYDSNKDQILGYFSEYEESSKQDL VLNHFFYMMNNCMQEIRIRYPDSTIDESLVQQIDWLQMIKPEQFIFDQRDLGQLSLDEIE IKGRMYTEKQHILEDYERNQNSFTKFLRSYNLTEKSISIFAIVIIMSIFFYNFVKGNCNI RQYDSYEIYYTGTYQRRRIAQEKENEEDYLMNNLLNSLFYEILILFFNYSSNFYERKYTT NIELKFIQNIYTIIYYYIQERIYSYNPTYMHSDFQQQSTINNGIYSLWQGIDEEESKREK KKQQKQAHPQFSELTDKQRQRKQNLNLQKKKKGKQSNKQDNIQINDDETESQDQIQHLKY ETKPQFVLLVKPNNEEIQIDLQQYIDEQYAIQEDISKISCFKQESDFGHTEYKLRLVDPD PKRLTHLTTQMNFRLSEGNGMAYYKIGVEDSGNPLGLNKSDMLGSLKTLCLMAQSLKAEL LVVGVNQGTNGKTCEVIVRKGLKDGINLDIRILLLGESGSGKTSLLGVLSTGQLDNGQGL ARMKFLKNRSEITSGKTERVSHTVIGFDSEGKIMNHQNQLNFSPTCMVEKLVDLSTKLIT FIDMGGTKRAHNQMIQIINSQFPDYALLTISSLQQIGKPTMDFLKLIQIQQIPFMVAITH VDQITEDYYVDKVEQLKDMIKSLQIQSVPIVVRSEEDVVLFSKQILSKTLIPIFLISNLK QRTLDYFIKFLNLLPSTNELTNNSNLDSEYCIHNVFEINNQKVLGGTVLKGIIRVKQILQ MGPDKHGRFFPIEVEEIQCNRVQVKSAQCGQICTIRFKQGNFTQQFGSDQNPIRRGMVLI EGKSNPQAAWDFLAEIWLFDDQKEAKKIAVSFEPVINTQCTRQICKIIREEQLQFETLTI RKKQSKSIDESCMQKPEPVQPRTPYRKQSEQLKKASSSNSLNKQSYRSPRRKGSSQVKSY TNEDDQKKTDLFVINEKNEKPMEFIELIPKQPNIIRLKFKYFPEYITKGMKLIINDNGLQ AWGFIKYINY >CAK69486 pep:novel supercontig:GCA_000165425.1:CT868069:28318:29847:1 gene:GSPATT00037925001 transcript:CAK69486 MTDDDFETEQNLLGTILSITDEVEHQDQKKQNASVDYNSTQTQAKFQFQYQFQTADTFSK SFEQISLGSDQLCSPISPDGKLQQKTQGVCTSFSSDNQTSLENSMTFGNARSFDQFQKQP EQFSPIFYSQTNPIWPSYQKPSQNLEIQLDIDIENMCGNQVLSRKVQKIFETGSANQKQQ IFWKVQANCQEFSKDVFGNYLIQKILEKGSLAQQILIFKQLLPYVLELSKNNFGCRVIQK LIEIISNNDQLINPFIEEIKKNVQSLLIDQNGKYVILKCLENLQIDAVRFILKPTEEQCN HMCDSQYGCKIIQKLIENYPSQVDDLIQICISTQDLLYKSQSGNYIIQYAIKQPKNLEVI ANYIINHLEYLCFNKYASNTVEAILEYLTPKLKNDLIQILIKLSDQNKMFIFINIATNPF GNYVIKRLLYTFELEHTQQLLNVIKQNLNLLQYIKQSDYGQRIYTILTTQLNDQN >CAK69487 pep:novel supercontig:GCA_000165425.1:CT868069:29934:31281:-1 gene:GSPATT00037926001 transcript:CAK69487 MFKQVCPESLNCGYLNKCINGMCIHDPIFPMSLYATLVYILCPVLLGLGMTGGLGGGVLK GPILLMMLDYEQSYATQLSYCLMFGGCVINTFLLMKKSHPYDQKRPLVNYDLVVILNCSI ALGSYLGSILNVFLAPIIETMFQQIFLIIVIPFLLNKAKKEKLRKIRCQSELDLEKYLLN QKDSIYTEEQQLLLQNEFQNFCPSKKLAIALSFFIVSQIIMTGGKYLKPFIPLNKCFDFR YMLWIMLFIVNIFMSRLVYTYGLKKEMIFDDYKIYMQERYFQKNRFILIYVSGFFAGLIS GLLALGAGLIMVPVLLELGLHPRIATATSAFNYFFIGLTNIVKLITDSQISIAEIAWFFG LALVFGTICCHFSLKLIEKLQLVHLVIYFTILLAILNFIAGIYYPIVQSLRFGFTSLLQF EFHC >CAK69488 pep:novel supercontig:GCA_000165425.1:CT868069:31377:31820:-1 gene:GSPATT00037927001 transcript:CAK69488 MYKNDQLLIKAQSKDNSPSFKLKLPQLTKSPYIKQNNYIPSQRSKNQSPFQKQSSKGRSI SNKISNNSLEVSTHISTQERIKTNNFNKKSILQIDSLMDKFSMHAGFDQQYKYLDQLEKQ SSILVHNYLQIHQQMINQRNRIHYLKK >CAK69489 pep:novel supercontig:GCA_000165425.1:CT868069:31838:32685:1 gene:GSPATT00037928001 transcript:CAK69489 MKEYGSSKLLKTIIQQEVITNAEPPKKFKVNDQYKPSENIIISQYAQEDHKKEKKTLDDI IPIDPQIPVVETKKHTEKPVKKHEQTQESLVDDLQNINIVACEEYSHLRPVILGEIEEEN IKQKKSFLQALRDGHCIIQLPNKLPFHDIGKTQKYVEEVKGDQAQKQLKDYYDECYDSSG IQLQKQFTNLSNKADVGIHIGKISIAADGSAIWKAGREEFEIVQGITQDLDQKCLIINKE DGKCGFIKVGEKFVITPNY >CAK69490 pep:novel supercontig:GCA_000165425.1:CT868069:32996:33542:1 gene:GSPATT00037929001 transcript:CAK69490 MKTFQVSKDSSFRILVPQNRIEMEEETVETSIQLDQKNIYRPIKIIFPPPNLPKIITNTK SCNCKKSQCLKQYCDCFANGQMCSENCNCVGCFNNTLNIEQRKVAKVQIVNRDPGAFKQS FKGCNCKKSGCQKKYCECFLNGLTCTHLCRCDGCLNCQK >CAK69491 pep:novel supercontig:GCA_000165425.1:CT868069:33896:35466:-1 gene:GSPATT00037930001 transcript:CAK69491 MQKQIDEVELLLKKSPQHRSKAEIDLLVKLTSQLPFFKQYTKSENGIQIQRKCCKNMYCE KFKTHEIVFYVDSVGTKFYIILEGQVVVLVRKPNQIEMEAVRILNKGESFGELALLHKQP RLATIQCLTECTFAVLDKQQFKHILQEEQQKQLDENIDYFSQIKIFSHLHRTQLKHIYLN SFLYEFEKNQIVIQEGQKVDYFILIKSGSFLVKKFIRSNQTNANLFEIGELQVFGFYHLN INLPYEYSLVCNSAKGYAYKIQRTSLVERMFEQQSEELKLHRIELQQFAELRTQTDAKTA STFPQYYTQRIKSENIIESPQQSERLIETTKKIQQIQQIKKQQRINLIKQQLEFLSQKQK KHPVKVIPLSVTHTQSELFLKSKICYNFVTQNESQDLDTQLIQKPESQVEQPKYKMKKLA MKTHFPEELLDKQSCNSPLSTSQSQLKSRKEKTRMILPKAQNRIKSRTFQSQMHFSPLFK TQNNRLQLILSSTTSNKVL >CAK69492 pep:novel supercontig:GCA_000165425.1:CT868069:35588:38091:1 gene:GSPATT00037931001 transcript:CAK69492 MFGKKKSSSVGFNPFSRFEQEKPSISTKIINKLKSLFQTNLNPSSCIKRELISQQTIYVP VDLDIVGAQTIEHGNINIDQDSIQICHSQSSIKQRRYKPTSFDDHLKEQQKQIKLLDDFN QKLQKKEEKHLKKVNALQQSFDRVLPQDIHITEDKKDIQPRKKLSSQQYKKRKLDLGIQF VYKKHVYDQYQDSIDESRIKVIPEQMSDDSLKNNSLLAAELKVQPKNKEIIIISLENQQK QELEPPLINGIKENQSKKLRKSSSMINLNEQEAKSFSCSPKELENSQSRKQSDLINITKQ VEPLINEVRKEIKKNEKQTEFSVQPQQTVINFLVQGSGEQQKIQTTNNSTSQKKEVPEEV KQTEVQESHINNFSETPLFGFGKNSNGPQMIPYKINVQSSLFAFNDSKDQDVQKSSNNCS GQNLFQNQFTFSQNKSQPQNSIVNNNKESSDQKQKVEESKQTYSLFNNTQESQNDQFPLK KQLFQNLTYQSQSTQGEQKEESKQNQPDDSNQKPDIENTQPISIGLNLQLKSSSDNKKDI QFNINSQLNQVGQQTPFQILQQQPPKNENNKTDTYTPDLLINKVATPAKQISNPFLQQSP KIDQEQIIDYFSNHLQQQNNINQDDPAKAQISQFSNELFQQQNIGASMFNLQNRNAFQES LSNNMKMNNNGVKNDMEITDTLLPSQQFQQPLQTQNTFGSFNQSQLGTNNFFPQMQQQIS GGFNPVQYFQKNPLQQNEHQPQYFQLHQTNGIFAQDPPKQTNQSQSLNNSFSSRNGNKKQ RIDQ >CAK69493 pep:novel supercontig:GCA_000165425.1:CT868069:39326:42399:-1 gene:GSPATT00037932001 transcript:CAK69493 MDTWKIICEMPDKEIIMLDCEPQNVKEELQKKTNKITCDSIILVEISETENQTPNGLGQT TDNQTKNQIYFKVYDAHQLQRNLNETERITAEKEQQIKEIQVKNNTLEIDLNKMIKKNNS QEQTIIQLQEQNQILQNKNKELHIEVIQITSEKNSINQVWQSCCSCLSQQMSQLCLNTLN ANQAFQMKNSENLQIQIKDLEDQLRCSKKEKDELKQLLQQVNQKLPKDNNNIVNSDNSST LSSKEIYEKLLEAEYKLGNLVEEMKEKEKQHYLDIQQLSKNYKNQSVDNDIVKMKAEKEK QIQEIQEIRDQLELELNQINTRCSDLEQNIQQLQEDNQQQQRDYEIQFQKKNEELQNEMN KKNQEIQILNAEWQNYFNQVTTQCQQQFSQEMQLATNANNQLIAQIDHLQRELGEEQLNS KAETGKLKQYVKSEREKLEMSKDNVEQLENTVTSLNDKIKKLDRELKKSNNIINELEMNY RTTNTNAQDANATLGQRDIEIKKLQSANKSLIVQIEEQIEENDKLSNLKQQLEGKASSQQ QQITELKSKIDKLNEDIERLKGELAKQKQEYDKQNDDLRILQQQKEYVDSELKDLRNQLQ QTKEQLEQQQSRGENNEKQISQLKTQIIKLEQELKTVQGSNKENQEIVGQQKLKLSEKDG QIGQLEIKLQQIEKSLKLKEEQLLSDQAQNKKYREQMDEKIKLIKQEKEQTNLKLSVKDH ILSKLSRQDDKYQYKVVGVMEKIMSSPYKIKEMNKDANVMFDVKEYDIFEITAEYDQAKH LLNNNQYFLFEQCLKKTAKGSVTLNRSVQPNAESTFFKGYLSVVTEATQNEFKGKYLLRK DLYSQNQPFINLDEAAQMSKNNFLCQFLMEQFNKVLRSQKLKEGDYRIARQFVLRDKDKE EYYYCEMVEEGEFKKINGGCFLPKDKIEADSYFNAFSKYVYAFSKENYIISHLQICGKFV YDMIVSTTYKGLFSTLDQGESEIEQVLEIINAQKQDEFKLHWNQKIEDFAKEGLK >CAK69494 pep:novel supercontig:GCA_000165425.1:CT868069:44838:45394:1 gene:GSPATT00037933001 transcript:CAK69494 MSDISDQEPENSEFDDNSQSHSIGGEELEPEVSGITSSDVDSKERQSVTSESDSEEEQQI VRSGAPLSQSRGKKVNKDERITPPFLTKYERARVIGTRALQISKNSPIYVDPKETTDPIL VAQQELNENKIPFIIRRYWPNGNFEDWELQELERLD >CAK69495 pep:novel supercontig:GCA_000165425.1:CT868069:45395:46364:-1 gene:GSPATT00037934001 transcript:CAK69495 MSQINTSIFILFSVLTTINAETAFSYSKNNNELYYNIVSDEALVVLAFSGQKDLREYLFC RVKDCECEERLAQGPKSHPKLSEQQIISNYFCENNSMKFLRPDTGFQPYIWKNNQQQEQP KHVEKYSRIIQEVTNTSGSTTYTDTDMTASLSIGTTFQVKWKFNTDDTIEMCFILNQKSW IGVGFGKGMKNVDMLTINIIDGQAEVLDLWSVEDDTPPTDTKQDLELVSYSISDTSVKAR IKRKLNTGDSSQDVVLAKGSAYTWSYATSSALVMEDHGHNFEEFSITLNETGDTNCLCLL >CAK69496 pep:novel supercontig:GCA_000165425.1:CT868069:46742:47949:1 gene:GSPATT00037935001 transcript:CAK69496 MFGCIISALREMRMRSQIFLFEIIILLFVLVLAGAAVYVEIRIFYYISTHSSETIISNLD IKQINQATSLVSHYIKQKHQRRIHVLEHLSSFLKTYQILTNQFTKLKSLESCEASEEFVI SEYSLQTPKLCYQLHGVPDMVTLPKNNQNISLLYKGLSLFNEYDLLFSIETPYFIQVVDT SDYKIDVVYPIGMLIKGYDPETRPWYVNHIKQMKAHPDEIYFYSEVYKVFFESFDYQFSI THSLFNSQQQFFGIAKTMLSTNDPSFEYVLYNIILINYAGQVLYNGMEMGQNLTDIFYVF NETITGFNETDWYQIEEHATYRLGGDNPNQILILYNKYFQKYVHVQSEKFKKENFTLIMY IVKLLYQIYECEFNQNYGKIVLGN >CAK69497 pep:novel supercontig:GCA_000165425.1:CT868069:48631:50199:1 gene:GSPATT00037936001 transcript:CAK69497 MQLSAKNHSNNYLENYPRLPLIPQKNQFLTQEKPQFRIYQQSHHQKNQLTFKEIFEINQK NQELLNELMYALSQDLPYQQQQILTNLNNQQDYDLKVKPDRIRYPSVDNRIQMGSSLEDV RKYPQVGMNQFKELQPYSRTERMYKKRNAHQRKLSDNGFEIDNKQNYKYKVINGKQNNTN QKIQKNTLGESKLNKSLIGEQNQNKKLKFKTVGYVVFATMCLSKKYRIIQQKKQFLRNQL NHNYQEHQKVVDQFSKRQAITHERQYYAYVVEKIIHHLKEQSFIDETLEIQNQSKEYQSD IRKVHIFKFTTLLLKNVELYTRQNTITDIVRSQINMCIYEQTGIPISRFVGQRCHFYNDD RVKIPVEQQVLIALEYYFFCNLIPQLCEIVADLQDNKTIGKQKVKTNPSHLNECHFYICV LATLIQQKIVQVFSELKKVKNPNGSIVQKSLKTTEQQNLVIKAYIAINTQLEDDNNEESE IVKGLISSDLILALEEEKPQWKKFIDKTFSQIITNLSNLLKK >CAK69498 pep:novel supercontig:GCA_000165425.1:CT868069:50330:52402:1 gene:GSPATT00037937001 transcript:CAK69498 MLTLENFNQTSFKQPIINSPRSIEACRLCGILPEELIQIGIKELKKRNPELRLNKQGWEI MWQHHEIRRQEKLQACLEARQQLIDAGFNFNNQEEEEQVMDNSDQLQASQNQQELEKIQF RQQKEIQQMLEHERKQQEIRERNESKQRQLQERQLRREDQLRQSRVKQEYDRVQRERDRQ IKQQREEQKQKKNAKQQYKKELHRVQLEQLRQKELQQEYRRREEERKQRQQVFKEQMKEI QLRHEMELQVKRQIMEMKDRERLEKQEQSRQDKIQASQQAQLELRYKLQAAKQLQMEKLE RMREQFEDKERKIDERRQEFERLKEQKLIENYINAQQHQEKMKQVIEKVQNQEENKYYDY QQKQEFVNQRKKQLELEKLKELLIKKQQQEEKEQHRIDAIQNAEKYQQIKAMELNNKLLV KEQMIQEKQMEKQQIQEQIKLQEILKMKDKKEALERLQRKQEYEKEILRQKIEEKMRRTD NLQLQKSRVLEQRLQMKQIANLQKEELKHQFELIKQGKIEILQSSNENESQQQGSHQIED HPISTQKKGRPQTALPKKKAEIQRPKSALLKKVQNEERKQIRISDDPQQQYQLELLEQQQ QEEMINLLENEQVLEQEREKQLYQIENKQDQLRLEKIFQIERNQAKKRILELQEEHKKQI EEFMSN >CAK69499 pep:novel supercontig:GCA_000165425.1:CT868069:52420:53311:1 gene:GSPATT00037938001 transcript:CAK69499 MQTTTKAQQFKVKGNEAFQSKNYLEAIQFYSQAIAEDNTESIFFSNRSNCYYQLRRYQEA CNDATEALELDEKNIKAHMIAGQSICMLAKERQESSKIDTGIQRILKARTLCAGQKKSEY EKEIDEKIHKAKKLKWFIQQEEEKIKNQEIVQQLQDLVKSDVKLTQQEKQITLAQIDKYI TNEKPKLEIPEYLQCHISKKLLIDPYTTEVGYSYEKALLFSKLHLNQDPYTKYMSHQISK PINPQIIYPNINLKQAASEFLAQNPWAYDYNPDQNYKDIEI >CAK69500 pep:novel supercontig:GCA_000165425.1:CT868069:53395:55998:1 gene:GSPATT00037939001 transcript:CAK69500 MSTISIRLPDGNIHNVETQSGQAISLRNFFLSFGQHLPPYEVSLLLDENTNSLVSKSDNL KANVPYKLIDGRELIKCYNTFKDRSTKGDKQILDLQQKLTDLQQKLNEQQLEISREEKQK LEDIQNKYKELESQLNSQKEQNLKLQQERNQAKQQYKDQKQKVESLEKLIYEEKMQFENE KKQFEIEKKQIEIEKSKMEEEKKHLEKTKKKYKKQMKELLEERSKFESKISGLEKDVQYK SEKITEIVILIQKLEDQLNKQREEYKTFYQQQLSKEADRVKEAELRQRQLEQNQAQILRS TGNLVALQQNQDNFNQDNQIQQDYIILEQRIRSYEDQVIHLNNQINNQDNTIKELNDNSK TSKSQKEELEQKVLQLDKQISEQEIRLKNLNKLIDDVDSENLKMQGKVEQIDIEVQNNYM YRLRRLVELIPRTYRDKIEFAVEELFEKKLYQDKGQVTNQSYKFYVFDYPKVKHLILEES NLDIKQSIITSYKFNIHGQLTSEKIKPSKESQDNSGLFDSLQLFYTTSIDHLSGELVKIK SEKSDKIYVIKDTYTTESSNIGSQDYALDQLSRSIVAQIILNEFLEDLKKKNINVPFNFQ FAQPALFSNSVHFKQYFLQLVEKQPKEQQTMAFSLIVQFLQELTDDNSNEFYSFIREQQH LKTEQQIIEPDKQNQIDFLTNFNAMLLNQNFQNIVKKYNECSNEERLKAFQYLLELLTET CDDFPLEKYYYGYELKLSELQDANVFRKYNGGTLQFDASEEGKFLSALSYYSIKKTQNQF CVSHLQGIGNYLYDPMVSSYDGFLNCLDQGINEMRIIESSFESCDPQCIGLKYIEALGIT MQ >CAK69501 pep:novel supercontig:GCA_000165425.1:CT868069:56206:57801:1 gene:GSPATT00037940001 transcript:CAK69501 MDSVLKQLTNNFNELISREQLLRFLDQRCTQLFDRIIFEQLYSRMPKGMNGYVSVNDFKQ IVLQAYQTLTTKIAQSSTLMDQKRNELNSISQKLKQLANTERYNNYNISLQSTLTIQILD GIVQFPGNSLVFIKIGCDEIIYQTKHANRNSPQWGDTFTFPIRTGQEEVWLAILDEESAS NKQIGGQVQLQLQQFYDQKVHEEELSLMDQHNISKAAVVKVTVQWIHSQTKYLEQEILET QQQMQELKFDIDEYNNDIKAIFQPFQIESVGNPIEQSDSIQKHQQIANQLYSTQQNYSVE PPKQNTEITPNQWLRISLILTLMFFVSAIAESFIRTQYLDFLILFYSLWFYMNNSELHSL LHIKIMAFMYILSIVYDGFWMFFYFKPYLKNEVKFDHQEDTFHQYTVVLCILILIEKLFI ILSYFNLYVKCPNARQNIFDEQYEICFGSYYQQKLAESQKQAQYSSKVIRQGTPNVKYIY PMQQQSQSQRQRYSLRYVQ >CAK69502 pep:novel supercontig:GCA_000165425.1:CT868069:57877:58749:-1 gene:GSPATT00037941001 transcript:CAK69502 MNQKLFSQAQDEFQTETISFNATIPMKNDPKTELINNNTQYSQFVPKKSRQSTSMVFSIQ AQEQFDLDELTKQPYFKEDKSNAKLRTKTNQVHNTPSIKQIQVDPKFYSQNKTKKRRILS DNSAPSKPIIKISHPLVLTPNEFKYTKRSQAVNSESSAITRSHQKASQAQKTLTIEEKLH RLSQQQKATLNIESQKPSQLELIKEDHTEVDDEQETFFFNTGKQVEKNKFHHFLKIAPLP KRVVTFSSVIKKVGDIEFCIGIEGKEEITYFRRVKSEKAKLYNHCNIQVE >CAK69503 pep:novel supercontig:GCA_000165425.1:CT868069:58865:63297:-1 gene:GSPATT00037942001 transcript:CAK69503 MADKKNQLQQEEPEPQQESLQFKPGEYLVHILLQQTRALQNPNDNESTIDPLIQIETMDQ KKFSSQKKGTGSNSTLVWNEHFYFKRSFSNGWQIQSQHVKISVLDHKVFGRNAVVGIYEF DFSTIYMMDDHVLLHKWLVRTSIYYCQGLINPEKDFNKITGYLKISINVVHESDKQTTLL MDNEIKERGKIEESKNNLFAEGELLLPPHIQTKGQQLKITLGRATNLMKMDSMLGSIDPY VVFEIGGQEIQTDLIKNLNAPIWELNLFLPVMTPCQSEYLIMRLFDYDMGGKDEIAGSQL FKISDILSGQLQQPKWYHIYGAHIDANSEQRVYLCSHPDLGTCYAGRILLAMELVDSEQP KKDKQKITDQKTLEKIRADLSYQWSLQCMVYFALNLPADDDSYSIKIKWGENECKTSSEK AKNGVVEFYKYLTIDNVKFPTKDIAELPDVFIYLMDGDKPICFKRYKSFNLNSSADYPEG HPFTVTLIPDKSLKNTPMDHPGIVKIKFLVQPTGGQVKMQPVVKPQRDANSYLYVNLFQA HDLAPTDMDGLADPVVDILFYDQKQTTALINDTTAPIWNVQLKMPVYKFENDSFPPIILK IFDQDKVSRDFMGQLTIDYQKGLKQGFITKNQPNITKPQWIDVYLYGSKAGQVLLSCNYV DNVQIKIPNQISPPRIKHFIKIKLLGLRNLKSFGPLPVTKAFAKFNVQSIRAKDEPLSLN NGNEIITQPKDAGPNPNITSIICLEVNLPEDDSFMPKFIGSVHDYILKGIMQPLIGQFSI DLKLQKLKTLKQIEEKKDIAKRVLNGTIQVDQNIIHLPSLDLGVGIKMGALLQLASGEQF NEDINHLLTQLGILKNNSIHMEQIIKYIEYDKNEEEGTFFEMEKPDSKFYLPLGYDTQTQ KSQLYVQRKKFGGQQIQNKSLNQVELQIKSSQQGQQGLVKSNVHYRLCLPCPLEDTVFMQ QKVFDIFPLRKGSINLREVESMAEIILGVKENQQSTGKLKGWVEVISQQDLDKLQAQPEF KLIKNEYDFTSGKSDTNLTDKELVVKNQVTIRLYVIDCSNLPPKDQDSMSDPYLKIRLGK EAIDDVENRITDNCNPQYYKRYDITTELPGASELTIQVWDYDDFMPDELIGQTVIDIEER YFQQRFRQLKQIPIETRQLIHPSSSMPQGQIRLFLEIIPSKQTSLLKNPWFIEQRPKAKH FIRCVVWDVLDVPSHDDEGSSDLYVVGYVDQNQKQKTDTHFRSFNGKGSFNWRMVFPIEL PQQQQTVLTFQIFDKDIFTSDDFISDCSIDVTDLCRRAFENETNIKLYSQTEPPSDGILK QDASTKKTNKGILYERNVIQTINTDKAGYKSAQQNGKLVVSIEIVSEEFHNKNPVGMGRS QPNHSPVCPEPTGRIEWTWNPIKMLNQLLGPKAIQYLLLAICIMFAGYMLVMLFPIITGN LMTRIFTG >CAK69504 pep:novel supercontig:GCA_000165425.1:CT868069:63317:64287:-1 gene:GSPATT00037943001 transcript:CAK69504 MTYSIIALCVLLTVVHGNVEERMERLMGLQQTYQHTQSESFFYYTQVAKCSLKSISNWNC GSFCDHHPDMVEVKAFYKSDHHAQAYVGYNKKENLVVVVYRSTQDFINWYNNIKFFKHDF GDCKNCKVHLGFWETYDDVSAEVLAAAKHLKEKYPTSKLLVTGHSLGGAVAYLAAVDLKK LGYNVDYFFTYGSPRIGSHEFAVWFTSFVGATEHWRVTHYRDMVIHQPPSSFSYKHPPQE VWYAHDNKSYKICSGGIDEDPTCANSIIGDSAADHTSYFNVSGSCTEEFTEDIEI >CAK69505 pep:novel supercontig:GCA_000165425.1:CT868069:65440:65805:-1 gene:GSPATT00037944001 transcript:CAK69505 MSQTELHQIADSSRTSHNVKSILKNFKKDEQEAITRIDSKGNKIVKGGNHKITFQSLTQE PIAVNQTMNKIQIDSDSSDSDCEIRLSNVNQNIQKMSEEQKNKNQKIQPLISNESNCCTL F >CAK69506 pep:novel supercontig:GCA_000165425.1:CT868069:66510:67731:-1 gene:GSPATT00037945001 transcript:CAK69506 MGQILEQCCKKGEKNQEKSSVALSIQSQEEPIEITEELIQKLKQQLDDVKKKLGDFEVQN TFNITQNDVWPIKLLGRGSFGKVYLVKIKDKLYAMKQIKKKLILKKQQLENLLYERFILQ KYDHPFIIKMYFVFQEPLFINMVMEFVQGGELFYHLNKRKKFDQKTTAFYASQLVLALEF LHETVRVAYRDLKPENVLLCRDGYIKLADMGLAKDNSELNYSFCGTAEYLAPEMIEEKGH NASVDFWTLGCIIFEMLFGHPPFQDENKKNLYIKIQTGEFKFPPTASSSAQSLIKGLLQY HPEERLSFKQIKQHEFFQDIDFDLIYQKKLKPPFEPLLTTEKDTRNFDYVLESQMKQSEI PNIPQAQELFQDFPFLVKQQIQL >CAK69507 pep:novel supercontig:GCA_000165425.1:CT868069:68404:69178:1 gene:GSPATT00037946001 transcript:CAK69507 MQRSGKIFVQKKNKLESNRIQRERECQSIYQTIINQKVTQKYTLIELFKNFLENFTQIIN IKNEKYALNIILKLIQSIPKMQDVLDIHKSKFLGITADQMIKQLIHHWLDQSIFQDYRKF KLDPIYITSVDEINKKFEETLLNLYDEQINQFSNRMINQIFSLKEKQFYIEGQVKLSNRG NQQLYQKTLQLIDRKPNYRALTSDVVERLQIQEEKLGKFDLIQNKERRKQMSLNLFVQKI RANSKYIDQ >CAK69508 pep:novel supercontig:GCA_000165425.1:CT868069:70325:71710:-1 gene:GSPATT00037947001 transcript:CAK69508 MQVFSIKYCLILIYQENKLQIYILFQFYQSIKKDLRKHNYALLEFKANLDLNFYLNNNKS QRRCPKHFLLYCSSPCSLLALKVNYTFISSLTPIYYLFIHKFISPDSTELISFQLLQAQS SSEEESEESSEESSDLLAQSSSEEEESEEEESEAQSSSEEEEEEEEESDAQSSSEEESEE EEESDAQSSSEEESEEEEESDAQSSSEEESEEEEESDAQSSSEEESEEEEEESDAQSSSE EESEEEEESDAQSSSEEESEESEEESDAQSSSEEESEEEEESDAQSSSEEESEESEEESE AQSSSEEESEESEEESEAQSSSEEESEESEEESEAQSSSEEESEESEAQSSSEEESEEEE ESERLNFRLHYAEAAATTTTTKPAATTTTTTTPPPAAATTTTTTTPSTTKNGAILAASLV LAAIVF >CAK69509 pep:novel supercontig:GCA_000165425.1:CT868069:72370:72983:1 gene:GSPATT00037948001 transcript:CAK69509 MLNLKTKQHPLSTQKRQYWTTKEDKLLQKALNLHNSKWKTIAEYYFQRNGSQFSQRRKRI KPQVLNMDSQFNFERKFWTPEGVKFRESLIQKYMFEWKQSQVTWMVEHRNKFKNVITIIQ TLQLIRNHGVKRKIYNYGHYINNLDQNSQIFLKLYKVDRRIQKRIDIIGTLEILCEEENP YYVIPQLKRSIEKVD >CAK69510 pep:novel supercontig:GCA_000165425.1:CT868069:73508:74459:-1 gene:GSPATT00037949001 transcript:CAK69510 MLNETFEVYFKKKGKSQEFQCQFLQLKMQVAKFDNEIPFDCLVLIDQNDQVYSATQQSEQ QRKQNLMYTVYDVRDIIIQERIRQKQLLQQNREPTEEILNLKAKLYDQAQQIQKMDKRIS QLQGESYYQKAESDKIIQELNNQICSLKEEKQRAESTFQILIAEKIEQFQFVQEQKSYQE REQKNEIERLKKVIGQFEFDIMDQRTNNKEDIQKNKEQYEKKIQQLQEELTQQEHKFLKS NQELIDMKMACEVQIKELSDQSKMLKFAMDVTNEQHQLVKFENQKLNNKVETLTQRLYEL QNNNKTNS >CAK69511 pep:novel supercontig:GCA_000165425.1:CT868069:74529:75898:1 gene:GSPATT00037950001 transcript:CAK69511 MRSISNLLSSLVSKQNTPFIQKLNNSSLMQNNSSIMMTDILYLIECFEGFGPECNGIYLT GSEYLQQFFKAITNKPLAGYIATKITLTIHLILYEFQIGETIAEEMIREGSTIHVLQNQQ FSNFVINYLMYLMKLAQNLKLFYACKIGQYPIFDQDSTFTQYSESTREQQFQQFNLFKKN SNFRDTNLQYRNYQQKKLELHMQSQTGCITMEQKILYLFKLHNLLNSCVQILNLCINSLI QLDKQDAKNIFIEISCVLWNDCMVMYKFSTQELCKLLDCFRYMPMQQLLSVQQIYWATIS SSTQIKWIYQNRKYFDSQNIVKQPFWFEENKQLSTELQNSIIDNKIQSIPETARNANKLS TPQAYQSKKSPTNIPQPFTSRIGISQNTTKTTIPQQPYFVANKKLQQQYQQIEVQQPLST RAKNIVGNIFSDEELTISPRDRARIQQQ >CAK69512 pep:novel supercontig:GCA_000165425.1:CT868069:76910:79588:1 gene:GSPATT00037951001 transcript:CAK69512 MAYVNVTCTFLNKDQVVLKCEIQNIRNELHKKVQNISFDNILLIDENDQVYTATSALYQS KINQKYQYFFKVWDALQLYREHQELIKIKEHNYKKLQEMEQKIKNLVEEENEKEKQHNLE IKQLFENNKTQSADILSQKQVEMEKMKADKEKQIQEIQEKHDRLELELNQMNTRCNNLEQ NIQQLQEDIQTQQRNYEIQLQKKNEEIQNEINKKNQELQNLNVQWQNYFNQVTTQCQQQF SQQMQLATNANNELVAQIDHLQRELGEESLNSKAEIDRLKLQLKSEKEKLLSANDSIYQL ESNATQLNDKIRKLDKDLKLSNNKINELDMIYRTTNNSAQDANTTLGQRDIEIKKLQSAN KSQTAQIEEYIEELARLQNSKQQLEGKASSQQQQITGLNSKIDELNDDIERLKGELTKKK QEYDKQTGDLRLLQQQKEFVETELKDLKSQLYQTKEQLEQQQSGGQSNEKQISQLKTQII KFEQELKTAQSLNKENQDTVRQQKLKLQEKDGQIGQLEIKLQQIEKSLKLKEEQLLSDQA QNKKYREQMDETIKLIKQEMELTNLKLSVKEHILSKLSRQDDKYQDKVVGVMEKIMSSPH KIKEFNKDQNANLDLKEYDIFEITVESDQAKQLLIKNQYFLFEQCLKKTPKGNVKLNRSL QPNAESTFFKGYLSIVTEATQDEFKGKFLLRKDLISQNLPFINIDEAAQMSKNNFLCQFL MDQYNKVLRSQKLKEGDYKIARQFVLKDKDKEEYYYCEMVEEGDFKKINGGVFIPKDKIE ADSYFNAFSKYVYAFSKEGYIISHLQICGKFVYDMIVSTNYKGLFSTLDQGQSEIGQVLE MINALKQDEIKLHWNQKIEDVAKEGLK >CAK69513 pep:novel supercontig:GCA_000165425.1:CT868069:79890:82043:-1 gene:GSPATT00037952001 transcript:CAK69513 MQLILVIFGILACMNALKVYQELSDPSLTPSSNIMRCSILSQIDYYYLSSIQPTVNYQMS IAESHYAVDIFVDFYLIGEFVNKPINILLDNILIDQYSVRYDYSVPFCDTLPTQVSTYFK SYIHYQRDLNLAFQLETQDGFSLGIRNIQIIPKLCHESCTQCVGPQKNQCRSCYIGAQIS KKTNECKCPSTSPYLSVQQNQCIKKCEVSEYFDQNLERCIFDDKMEQVSQYFWGNYDFTG WSMIENGVTQSLFNKFYMNMNVKIVGQFFVSQSINYKFSNAFYYHSLRIRADIYIFKSQL PPSIFVQVDKIQKLVTPLHSYNETQSQGYILYHIDYVIDALPISNITISVDSDENIQWGI NQIIINTVNCQANCNSCKSKLVCNTCKAGYYLYQGSCVTSCPSYSKLEGQVCKDATEQYP STTYLMRAFDDNIISNFIVKNTRSINSFYQNQFGTFFNGVRYFGGLKDKGNQFFQKQFTN VAPHYKILIQLNLITFEFTSLNYSSNVVSISIDTQTATKINSSQSQIQQVSVEQVHSSNN LTLVMMSVSQPNTYFSFGISNLNVMIQRCAPLCRTCIGPNQNDCTEWIIEQNSQNQNCNN GFIFDIEQQKCVLCPLGCQKCLDQVTCLKCEDTFTQQGSSCYCLNGYVDEFTLDRIANPP SS >CAK69514 pep:novel supercontig:GCA_000165425.1:CT868069:82644:85524:1 gene:GSPATT00037953001 transcript:CAK69514 MNYQILFYTQIIQNIWWIICSWIFYKPYEYYLCFLSISSIVIRIAILVLERKNMHTFISA ILYLLHNCIYTEASLRMPMNNPFTNHSNSLMILINYLHANKEQKENIQIMSKFGFPIFCL LRTMSITFIQANYQILESCIVIMIFLCVITKDELKRKKEAIKNSVKDLESQDQHNFNQKF ITFFQQDSKRQSLGASFKKSRTHYSHYKEKPDILQNIPLFNEPKTFDENIKTSLQFQNLK KSLNKKVSTISVDKSDQHLHTFFQNIINNIFSAGVVILNQNQKVTFMNNKCEKLLGQKGS EKVVECLKKIITSNSLHENDESPLGQIPPKSMAKMNKVTFERILKYYHENLMELDIFDAF LFPQKYLNQFYQNLSPNSEFDQRSSLSESYNETFLQRKDAVTYEHIMNGEGGLLKKLKIV ILPTYMTNAQQEQLSYPSQQKVHVSRTAIQGESVQPIIVIKIKNITKKHKIEQMNKEKEI HNSLLKSFSHELRTPLNSSQEMLVILKDMIKDPKLLEYVEFVHISIIFLIHQINDILDYA AMQSNTFKYRYFEFKSCEIVEEIKYIYSSQCNSKKIDLQFKFDEKSIGNIRSDKQRIMQV LINLINNSCKFTPQGGKIVFSLKLIEYPFIKLQVKDTGIGIGSEQLQNLRKVLTTSMGKS LHRSRIKKSLGLGLNISARIVEGLVSKNDGTLEIISKNKNKGTKIYFIIENLIIFRDESV RNPMISEYTTKNNTYKQQMSYYEGSKCSQILPLLRQLSENSKFEAQSPSKRSKTTKSRFQ PGNQVESSLDMADNFSYRIDLPLSPEYFLYKDQNNDKNTITPQCQQCVRVLIVDDIPFNQ IALKALLLHYNIKVEQAYDGYQAIDLVKKQLDKHCQYYTLIFMDIEMPGINGFQATKDIL KITVDQSHIIMCSAYDTEENFREGESVGMSEFLPKPVNQKELERILDRFGFL >CAK69515 pep:novel supercontig:GCA_000165425.1:CT868069:85877:88199:-1 gene:GSPATT00037954001 transcript:CAK69515 MNTFEKIRSGLQVKINNLRDHIEKVLKGEKKVYNTKETMTFYTEIFNYIVQGEQQGQKMY DLFKGYNEDFLKESEKIARQSLKSDKNFIEEILYQHEIYEIHTRILQSYFTYLDRSFVEV LNNTSQYPNLKQQAKKQFYEQFYNKLFFSIKEEFKAKLKLDRILLDKESQLLLQRVYKII YGMKTFQDIGNNQLIQKEIKEDSKTYYQQQYSNFQQQSFLDQIKSLVQFKQEELGRIRLI FGCEQSELQKELIDLFNNYIINSYASDLNSDNNDTLKNIIIQDYYEQFGQLVKFFDHFKS NTHIFVRKFQEIIIQQGQEIIVEQKNRISQTKIKQEKEDQKSKFLEQLISLQQSYKKLIY DHAENNQFLLANLRVAFEMITANAEQHFIMESLLQKLHTFTLKRIDIGEEKQLKEVMQLL ECFQAKDLLLRNYQNKLAQRILTLFDYHSDFDKQIIDQFRKTYGPEHTKQLESMIKDYEQ QKNEEKITISDIEIQAKILQKEYWPEIRPQLNLDNILILNQLKAAYREKFNSQQEKNQLI DISWQDQLSMVELMFRTNQEYKVIIGVVGGAILLQFNHQNNPQTSEQLAQSIGVNNQYFL NQIKLLESKKLLTRDGQAYKFNEEFSNPKLKFRVGIILEQFVEYQYLIEEDIQGDRKIAI QAAIVRIMKGKKTQTFQQLIQLVKEQLKMFKPNDIDIKDVIESLMNQEYLKRNQQNMNEL IYVS >CAK69516 pep:novel supercontig:GCA_000165425.1:CT868069:88259:89880:-1 gene:GSPATT00037955001 transcript:CAK69516 MTTNISCLNFYKGKSLLVSGCTGFVAKVILEKILRVLEVKRVYVLVRAKKGQSVTERFNK EIINSQCFDRIRKQKGADFQNFIEQVVKPVDGDLIKPHLGLSKEVTQELIENVNIIINSA ASVDFNSPIKVALEINYYGVQKVLELAKQCKHLENFIHVSTAYVNSDKFGFIEEKIYHPQ KDVESFVSILYRSSQNFDEKQQKLALDKFPNTYTFTKNLAEQMLAQLRPPNMQITIVRPT IVGCSFRDPIPGWIDNLVGGAAVIFFAGIGLVKIYKGKENLITDQVPVDFVSDMILVAGA YEANKNNFQIYHCGTSARNPAPWKVTKDTCFEYWNANPPSVKVSPCTIEINNNLCYYRYM NFKRKMGALALKTFADTFGNPSQKKNAGRYLKVIDKADTINKTFKHFNRNEWVFSQENVL QLMNCLSRDEQGIFLLDVTEMEWRSYMMTFHYGLQKFILKENVQPPVDEEPTDLLRSWKS GNFTDLKWIVEKQKLPVENKNYTMNGLFNRQRAKL >CAK69517 pep:novel supercontig:GCA_000165425.1:CT868069:89915:90144:-1 gene:GSPATT00037956001 transcript:CAK69517 MDRQLKLDTQLNKTAFDFVTYTTVGYFVGVGASVFFKRKAFIRNLSAGLFAGFAFNENQE AFNRQL >CAK69518 pep:novel supercontig:GCA_000165425.1:CT868069:90829:92165:1 gene:GSPATT00037957001 transcript:CAK69518 MTETIENLRDFNYYPFSSNINSKYVLAEYIWIDGTGEKLRSKTKVYLNPIKNLDDLEWWT YDGSSTDQAVTKFSEIYLKPVCMVKDPFRGDPHLLVLCETYLPDKKTPARYNFRWLSNLI MEKAKEHKPWFGIEQEYFLFKRTGTTHLWPLGWPNGGFPYPQGRYYCSIGERNNFGRALA EAHLRACLNAGLKIAGLNAEVAPSQWEFQIGIAEGIEIGDHMWLARYILERIGEEFGIDI NYDPKPIQGDWNGSGAHCNYSTETTRSEGGYKYIVEKLMPILEKQHKDMIRLYGINNQLR LTGKHETGRYDSFSWGDGARGCSIRVPIITKELGQGYFEDRRPAANIDPYLVSAALVDVT CLNSEHLPQLLQVFETSQKALQA >CAK69519 pep:novel supercontig:GCA_000165425.1:CT868069:92199:93249:1 gene:GSPATT00037958001 transcript:CAK69519 MSITVSNCQQICGSPLNNSTAKNLWTFSKSDRFGNLANPVNCGKAFYDLPSQIEKRAAGI GKGTKTDFTKVPFATPSPQQYNLSSDVESNLKKQKGNKFGMSREKMASTGILGNLNLKTP APGTYDLGSTLSDIRYSMRQRPKTNFMVLTSKEIPGPGTYEALPAVNAVGKYPISKYNNS CATLFNPKNSKRFVKDFSTNLYAPGPGTYPVDKTGIQKDGNYFISKFHSSNVRSFPKESR RTGSVGKAGTPAPGSYRLPSEFGYYESRHKANRSAGDIGENKVQQ >CAK69520 pep:novel supercontig:GCA_000165425.1:CT868069:93289:93829:1 gene:GSPATT00037959001 transcript:CAK69520 MATTIELLKWSNLISSIMLILIGVTQIISLSILFKSFSFTFFMSFFLPFFLILFGIMLFA SGQKMEFMDNNFRFLSSLLGRGLFNIYLASLAVYQLANAASDIIGFIIGAMLFCTGFFYL ILHFCGNKEELTSYKQQLS >CAK69521 pep:novel supercontig:GCA_000165425.1:CT868069:93859:95921:1 gene:GSPATT00037960001 transcript:CAK69521 MDQLSQYYTTQHFLEPNKDTNKSQRTRSNTNEKLVTPNLLYVTRNQQQPQPSIRLECQQQ IQKPSQSSCNLKELAKAKVLESDELVFYRNKSSYQEKQILDLQAELHHIKSTVQERVTYI EDTHRIQQLETNLQQYQQECNRMNNLLRETQNESESLKQKNLQLSQSIFQFQQLQFEFDR LKRNSQETDQYWQNEIQRLNVLLSQSQTQLHQLQKTLIETKQYEQMYHQQQPIQTQLALE LERMTSMLRAKTDEYDQQKQTYIKEIEISSRRIKDSELELKDLKIRETNQKQSIDELSRE NNQITEKFMNEIRNKNDEIQKLQQIIQTLQLTLQDTSKYMEYEIRSKKQNEEINNLNQRI RIKQEETDNYKQQMLILQNQIQECQKYAEYEIKYQNLAQEYDRLNNSLMMKLQENDQLRN CISKLQITLNDHYKIEEYDNKIALQNQEIDHLHQSLQSKCQEIEKLNYENNRMSSLLRTR NDEIDNLKYKMQDNSQLKEYQQKFQMLNGEMERLAIQLRSKNEEMEKLRQYLAQFQLQEA NKLQELEQKNVAYQTEIERLSSLLKVKLSENEQNKLQIRCLQEENDQQKCKILTQNEIQQ YNDKVTILTQEVESWKNQFINLNREYHKQQEQLMLSNAELDTLKKQRSNSIRLDTYETTK ENSALSAFQYGTLTIRNL >CAK69522 pep:novel supercontig:GCA_000165425.1:CT868069:95956:96822:1 gene:GSPATT00037961001 transcript:CAK69522 MSNYESPSAVSIILQFVQNSKYSRHKGYLKWELANKDVNGAFDVQQLQLEKGLINRDRQA NSLMIPSMKSPQSSQISPEPSTTREHTCCDKLDELSKQYQLIINEQMNKLTELNLLIQQH SKLLIDSYNQGLEDAKTQQQKEQQILENNRLLRERDRQIQEWEERYNKEKKIGDEKEEEI RELEKQVKELATQVVVTTSATDLESEKNQWKNKFITLNKQFNEAEQQVVVLENEIDMLKQ QQKKTEVRTTTRRKTVTKDIPLP >CAK69523 pep:novel supercontig:GCA_000165425.1:CT868069:97281:100144:1 gene:GSPATT00037962001 transcript:CAK69523 MEECECKKEENENPQFIGFCENDECGDFYCENCKGDHAGHREDTLEGQELEEFLEQMNSP EYQKEVEQQALAEEFSEYYERILKENEEETKMFYQIVQYLKDGNADELVKLLDKIKQTKV EFDNNKPMQISKKMDEYRKRIKDLDDQFPTGNLEDRKKKIELMNKGRQLFRSGEYYEALQ NLQEAIKIDNNYVDAILIKAQTQIQLENYNDAVACCDYVIQIDRLNGEAYYIKIIALILG QRYDVLNEALRQAYRKISYKFTFNTLHLINQLERSSPTVKKHLSELNYDLKRSLFSLINH EEITTQICQQYFTQLVVIIKLADQEEINHALMQYNLNFEVIEEYQKQYDVDIRPKLDEKA WYQMVEWLENYQKQKMENQLDQQFIYQLNIRSQGQISTDNPSASQISNTGTAGNENPGEP PTGIVSEISQFYQDSQLGQTAQFQQQKDVSNVQAESQIPEQNPTLPAVNTQNQQQNQNEI QTPGGQSSSQFQSEFSKFIENQQINQAAANEQQQQEPVVRPLDEQITQQPSNQFVNQLNN FLQDSATNQTNPSQEQPKAEGQIPENENVNQTPNQFNSQLENFLNGSQPNQETPNEQQQI QNPVKEMAKLIPTQKTEQQLADFYKDEPKDKNDQSKEKDQQAAGVTPSIQQELQNFLNGQ EYKATEQQPTDQQQQDKEEITFDETKRLEQVLKFCNETIKKGKPDHQIYFKKAMILKKLN DFENSMENLDYAIQMKETEANYYYQKALLLIKLDALDLAIVNFDEAISRNPNEPNYYLEK GNELKRQNILNEAEICFELARQKSEAIK >CAK69524 pep:novel supercontig:GCA_000165425.1:CT868069:100337:102535:-1 gene:GSPATT00037963001 transcript:CAK69524 MIKQLQVDEEQKKLQNNSSGQADQIKSPASNQQRLDSGPDAPIQKMTPSASPLLRNNKPL LESKLDEPINPAVTPFQQSSKKIQFTGVRSEQTIQKSPVERIPYEKYHRNGNVSLQQLNL PQLPGYKLEFGPMFLIIMGLSFPFGILLAYISSEFDSGTFTFVNCWLLIGLFTLSLIQQL YTHKNGLCNYILSKTELDFWNEQKFIKIMWGIRIVLLIVNLCLTIYYGYSVWNDNISDSI ISIFVFLTILTFCNMIFIIFIFYAIIPVSNKQLVVIKERVDRIQRMQENIQVPLSFQFCN QILGVFQHGSEGNIYQSTIASQNYQKFVNEVEERQRELLRVASSRNDKLTHDANDFVNKL TKQLKEKKDDQMKVQRMSNPGIAPFDILVNYVSFFFLYCIGFPMFLYFAMAYQEVKNDYL PLLFFLYPFSLYVWKKFTLCFFTMKQFVDYNYESKIVILGVTGYRIGTMSVQRLGVGYFI QFLIIKFVCKILYYWIIVFGYYFNLEQRKQMYMNYIRGSVVDNSQQKNKLQTKKTGTLIQ SAAQVKDKRQSLYEAQEQETPSFTKTAANWIHVDNIENIKKALVTKQIFGFVLQEVFEIV IALLFVVLSSILYNEKQQSQKWSTFDVNDDEHQVFQLEIGLEILVEFAFCIITIAFYIKQ LKIPNTYSFFQQIQHIIGNDGLKSLIYDYCFAIVVCFLIVGNKFEIK >CAK69525 pep:novel supercontig:GCA_000165425.1:CT868069:102552:103420:-1 gene:GSPATT00037964001 transcript:CAK69525 MEQQHKGNTEPGEMNSSSQQFYSTNTLFLNNIGLSTNISSSTLRHTSPQISFPKANRFHT QSQLQLPTKLELPSQLGKRFTQQGFGNKIVFQYEWQKVNAKELPSPDRYQVRQEPGNDKL KKSFGLAWDAYSKTYLPYNKHLSPEVARYLPGPGEYTVRQDLGQHRYQFQLKGKGKMFND QRENGVPGPEAYQPQICLTVPNRFSKISLGIGEKKNPFQSFSFAPGPGRYETNSAFDKAS KKREFITKPGERRPFI >CAK69526 pep:novel supercontig:GCA_000165425.1:CT868069:103489:104655:1 gene:GSPATT00037965001 transcript:CAK69526 MNQLKFVRSKSTTRSSPLKRIHQKLRQVQKPGKLNVSVGKSRETSQNKEVIHVSTPKEKV EKLLQQLSIYQKNSKKSYLETPERTQSFVPLSHERNLFNESIKLQDSAYGFYNNNIYHKN KQNNQVLNLQPKMEESTITEKLDAFQLKQQKRNSSDQTTFDQQSPSNTIYGNATISQFYY NKEILKQSYSINQQQNSDQKQQYFYKQPQLPQKNGPQSQSHSRNNSFKNQSKENAYNATS QEQLIKKKNESKNDYDEIILEIYPQFLKQCQQNAITKKTIVEQTEEEEHLPTKLSTKSPQ QVQLYSELLTDKSLVLVSPKVVKDMEMQTSFTQPMKISQDTSEKPTISTQFRHCECICLD QIKKEESWIIPILIFIYVTVEQQQSVRY >CAK69527 pep:novel supercontig:GCA_000165425.1:CT868069:104759:105290:1 gene:GSPATT00037966001 transcript:CAK69527 MSNAKIQDFSIHPQVTQTKQQTYQQNDSRSFLLSQKSNLFLSKEQLLDPQDDNHPYYLQA EPKEINSNFYLKQGNQENVSQEHSKQRRTNLSNHKLEKGSSFSSTQCYKDHLNILLSQSQ QGNVRDSQHNPYLNQTNSIKKKQNYPLKTNSFSSQKTKKKQNILKTKK >CAK69528 pep:novel supercontig:GCA_000165425.1:CT868069:105332:106845:-1 gene:GSPATT00037967001 transcript:CAK69528 MQIQKRKQNTFINHNSTYDFGWLLNFTDAFSKHELQQSNMKQLPKAFVQNDFLLQSEIYI YNLEQEQFVLQKLELYPNMIISDNLFLILSTCTIQKTKIEYREFNAQGLKLCNQLGQTYL FFITLKDLTNWTKYAKKFCKLSNFLQKYTIKERTNVPNVFACVKNKNNSVYTVNIFRLQD FDKNPSLYEQLMNEVNILMSLKHPCLLKYCSLYEDQQHLYILYEYWVGDPLYKLLEQGLV LNSTQISNIVYQLIKFVKFLHKQNLYHAQITPQNIILLRNASLDQLQIVLINFAYREEIG VVQLIKKLPKQWIPPEMQLCNVQYPQKIDQFQIGCTLFYLCVYKPVIKGSCQSFSKSIEN IDISFLRLRQEQALSEKSILSLSQIDLLQYLIQPDPIKRKDLQELSNHHWFVNEKAKFKQ IGKQKLLPSLRTILEIREQSSMEVKLTRPTQRLSIASIESDDDEDINLQDLNCSENNHLT DIISILNSQNLSVHKC >CAK69529 pep:novel supercontig:GCA_000165425.1:CT868069:107272:107502:-1 gene:GSPATT00037968001 transcript:CAK69529 MSKKMRLNQICSLTGDQLNHLLSSPAEEKTRKTLKKVTFDNYALYLQFRASDCPNQVNST LQQHHFKRSNSANTQF >CAK69530 pep:novel supercontig:GCA_000165425.1:CT868069:107702:109601:-1 gene:GSPATT00037969001 transcript:CAK69530 MNVEQILQGLNPNTTNINLEFRQLDSINHLVENFARFQRLKYINLQGNNLTQLSNNLAIL YIEELDVSQNPLDFEIVIKQLQQLSKLTKLHITIKPEEAQIIQENLPQLRFLNNREINPV VFKQKELEQYAIQFEKLIEITKDDRMRNLFDEHVKNVMKDLSLRLSKDLPVFKAKTHVLR AKYALQELNFNMIIEYAQSLDQKVGAFFQMVHDDHANIFKELTNIILSQDAINPVSQYIE KLEKQEYLIKQLESDLQEQQQTIQMCQEENQKYLDLIIRHGKASYDSKQPQQNLQQQQQQ ALKPTNKRIDTSAIKEKSLQSSLQNEGSLLSTAKLIPLKQLKEQIQEIYDSKAKYDQKCQ ETKQARETMEQHMYTFLNQKYGLKNIIIEQASTIIQSVKRLSQEDNDVCVFGKILRNECD EEFRFVQIQVKQTIAELLRMFLRQKNPLKNMTDIKEMWNQKMQNYLSFDECQEIVNYMYN QEDSQVLLQKLNQIKLQGNKLEYCQFVTSILDFQLFTHEKYLQKFLKLFRQVDTEKKGYL TDVQFRQLMNLTNLNLSEDEIIKFMCILDPFNTQQMTFSSIVSLLSSVGRNQVTLLQKIQ >CAK69531 pep:novel supercontig:GCA_000165425.1:CT868069:110392:111473:1 gene:GSPATT00037970001 transcript:CAK69531 MNPFQQFINPGQQQYYTQMQQLQSIQYLQYLHLLSTIQNNCTNQQNVQIPSLNPLQQFSL LSQSSQRTIPSAQQPDIRTKKSQSERVLPKQKKENEILKQFSQDQILQELKQFLEYLSKN EVKEMDESEKNRYAILTKIDDSLIDTLINRYQKQKKTKEEQIKFIIRKCFKYLKQKMNLN ELTMSAYEIEKVFYKEYFMTDENIEDLIPFRQESSNKTMNNSFLKKIFSSEKFYQGYLEY LENFDEIWDQENTEKIQNMTKQVMKFIASGQIDKISSYKRVPWISSMKQRCYELAVSFKA YHEQEETNKKVKLE >CAK69532 pep:novel supercontig:GCA_000165425.1:CT868069:111786:112643:1 gene:GSPATT00037971001 transcript:CAK69532 MKNQKKAIIISSEESDEPKLIQQHQGKKRLIRNDFEKINEDLRKNEIKQRHRKVIFDDDG ETSEKNENVKENFVEQKKKYKLIKKQEIIVQAAQQEATSCPVCYTDLEIIQAAILQCGHL FCQKCIQIQCEKYQNNCPLCRKQYQNYTICIRGDDGEYLRGQLIKLKKKIKKQQYLDGND DEENEEEYSQAQSSLHQSSFVQDSQYDAGDSFIVADDECCEECQGNEDMESMLFCKKCGC VVRHSYCQASNTTNKILCYTCRTYQQR >CAK69533 pep:novel supercontig:GCA_000165425.1:CT868069:112700:113681:1 gene:GSPATT00037972001 transcript:CAK69533 MKFQIFLIISQQFLYFAFSACIDQARTPLIIGKIPSCPFYDDKPVCCRQDNVDQMISNYR SIDATFGLDGGGCDICGANLKRFWCIYTCDPNQTNFIEYTGRANVTDPANKNRLIEVQLV SLTTKPQIACEVYSSCSRTSFVGQVSAMQSPGGFFNFLGEQAITQGQQFIQFEFSDHNSM IMDDTWMCNHKSNITTVDDQGVIHYWDQYGYEIKQQCGCNTCEDSCDINSILYEPTGVLY GFETSYVLFTWLFAILFALGITIIRKYQKNKETIENLDIGLITS >CAK69534 pep:novel supercontig:GCA_000165425.1:CT868069:113883:115124:-1 gene:GSPATT00037973001 transcript:CAK69534 MDNESEINFDDIQEDDGLLNNGKNEDKQKEETNNQEIDKDKKGEQGEQEENQKNEEIDEE FEYFSDGDQQEQAKKNNKKNNGYFDDDDDEIEKLDQGAQENKVQEQNKVEEQVQQQQDEN VEHQTPLKESDKDENEINDNQSIEKSNQSEQKQEPKTQEQQDNESQQDHQNSEFKDDQDQ NNLNEEVTSQEGKKKETKDDEEKQSNKNESIKEDESQQEQQEQVVVEKKKKNKKTKEEIE KEKKEKEKKRREELKKQMENDLKNFEIFIKEKQIEEETRKQDEKKKMQEFYQLQRKVQQN ALQTINKVSILQPYLPQVKANYRKRIQISEDTQMIKKAQELVQKYNAERQGSLQQFQQNL QKSIDERSALFLTKNTEAIKEVQKYEAKSNRNSEDPIKLSSQSSKGNLKNKKK >CAK69535 pep:novel supercontig:GCA_000165425.1:CT868069:115360:116160:-1 gene:GSPATT00037974001 transcript:CAK69535 MLLLGDQIKLINPPMAYYIKYFAIKKVLTYTNSDFKVPNYTVLNKQITVIRQEIDKLRKQ NEQRFQSFEVDIRNIEDSALRLFNDIDDQERQGKDDLCTSFIFIVHLFSLLAFFKPHRDD FHEKKKYSQCKAIEQYNKMEKSGIYINNQKINIGIFVDSYLFDVPPENIYNQPAIQGIEP PKIGKFEWKKTQQIEKYENLEKDFKIKIRAAISELDFKQYKNAQQQVLTAIGLLDDLLK >CAK69536 pep:novel supercontig:GCA_000165425.1:CT868069:116184:117342:-1 gene:GSPATT00037975001 transcript:CAK69536 MLVSLFTFFIALFWFIATKIDPTDPEIYHQYRLKAQKTKYQTKLNCYCKICLAFVKAPSK HCKSCNRCTDQFDHHCIWLNNCIGAQNYRYFFILIVLLELYLITVLILSIMIKSILGYIE IGFTFLLLIPITFILAMHIYFRFKGITTYEYILLKRKKVEKPSPEKLDEKMKENTSNLTN IQTNILSRNYLQQTNLNPLTNNQKSQNNKQPIDWDQEDADVEEGQSFHAKEPLPLSSQKS SGRQLDLQCNSANGKTCPTTHRGNTSGLQLTEHKPIKSLFNVKEVQNLYEDHMINNVNEE SIIQFDSKNSQKTDQLDINI >CAK69537 pep:novel supercontig:GCA_000165425.1:CT868069:117372:118569:-1 gene:GSPATT00037976001 transcript:CAK69537 MQRSQSFSEIQSLNNQVKLQDFVQQSPNQIENQIKESQFIFQQSFVNQTQVCHTPLEKYK NSSLGNTIIKQGVIHVKINTGSYKKSTLQLTIEKLVILEDKQWSLVFNLKYLAFNLIQCN KNSLRLIKIEFHKLNKVIFLKFNDEIEGKLWFQCLKMIDEKFRNNYRKLIIKDMYFGANF VTENEFLDWAETGDILLFETDHYLAKIQRAITSSNFDHVGLIIRKQEHKEILVVDVKNNI GVDFEFWKFFLQKNTHFKKICYRKLLNIERGYIDQRIQEFIDKVYGQEFQLNIMKMLQQQ SDGLINKGYFCSELIAKAYKYCQLLPQQKASSQYWPVTFSKQINLLNKAELSQPMMILLD NDINYQL >CAK69538 pep:novel supercontig:GCA_000165425.1:CT868069:118602:121341:1 gene:GSPATT00037977001 transcript:CAK69538 MDIQQFEKELVTKLQFKASSRVGVETVLLKIFKFFDLTNQGFLKKQDFLKAIAKSGVTIT EQEFAEKLWSHYSDGVQLNYKDFINRVVIKDSYQEDFSESRIIASPLESLRAKIQLKGAL GLLNLAISLPNQFNCQQLQDYLRRQDIDIEGLHQLFNGEFMSQANLIGLIKGQLLNQFRQ ALITNVFYSFEARELTPQFLINRYSADKHPDIKFLRRDQNEIKEEFVTGLSLYLQIRAIK VLNLQDWLDFYSYFGFNIQNDEIFRNIVVQVWNLDNLNQQQASPSQSVKQKSYQQQLQQS PGSQKQVIQQLNFSPENKSTKSYQQYQQSQIQRTPIQQHQQEPEQQRPSVPRSVKSHQSE KSHQSQQSQLSATSKQVELIVQRIRNRLVSRGARGFISLYRVAKILDADQDGMLNLSEFR RAIRDHKIEVTDPEIDLVFQYFDRDVSGLIDIWGFMFVLRGEMSEQRIQLVESLFEKYRQ GDYVTISNLRNNFTVRNHPDLKSGKKSDDEIIQDFFEPLQQLHNINGGFGNENISKDELI EYFSNFSASVPDDKYFEQILVTVFRIQAEQQKTHHAGNKQVFEPDHKRSYLQDHHRYVVQ GGSVSANAPFGTFTQQEQVQQQRPASGFEQPASFNIFKPLQDNPSKSNVQLANSQLSQQS QQNQQQQFHYQIDQTPKQQKQKIDSFQILKNKILQRGIRGLINIQQRFRVFDKQGVGSLA LNDWLNSFKSWRLDLNDQVIQDVFHQQQVNGMIIYDNFVKQLQGTMSLRKFNILQQVFES LPKQNVEIVKSSFNSKEHPDVKLNRRREDDVLCEFIDTFEQHHQIYTGTDYLKNPNVTFE EFINYYNNLSVLIEDDTQFEQFLGAVWNLRKRL >CAK69539 pep:novel supercontig:GCA_000165425.1:CT868069:121385:123919:1 gene:GSPATT00037978001 transcript:CAK69539 MRLNDLTESRKMLKQLKEKDVRPPRSYSDFSKSPRQLQLDTIQLYSWGLNNCGQLLKTNK NIIPHYQGVQLCSAGENHTIIVNNKNEVIGFGDNQFGQLGYESNTQPKLTPIFKDQRITS VVCGAEHTFMLTAKGEVYSWGLNLKGQLGLGCFDNALQPTLVYGLLPFGTSNSKAREQQV GHKRMKSANEGDSSTSPGLKERSSSIDNIMEHIVMMKEKIKQKSSCEVQCQILLQNDEAV QQITCGALHTLVLTNKNRVFSCGFGESYALGHPENQTLNEFKQISNLQHFKVDKVCSGLA HSGCIVEGGRSFIWGVCKSNKDQIKLPQQTKIVDELNSQQCVLDMKMGEMFTLFLTSKGE VYSLGENIQGQLGIEQNCTDIPLKVLGLPLISQISSGRNHCVALSADYKQIYGWGSNIYG QLTGQPSVNCKGIISPKLLFTVPETQKIVCGNFHTLLLSSSFLEIILDDNENSHKDQEKL NEEVEKCKNEQEILKQQNIQLQLKYDRMKNEILQKKKVKDQKVQTNESYIQRQIKKQQSK FDISALEISPERPIKHRIFQSSLDIDFNDIMLEKQISEGGYGVIYRAKWRETTVAVKMFK IDGMNENHIRDFLSECHAMEALRHPNIVMFLGACTKPPNLAIVLEYCQRGSLWQVIQNHD IHLTWEDRRRMALDAAKGVLYLHSFNPPILHRDLKSLNLLLDEAFRTKLADFGWTRTLSN YMTSKIGTYQWMAPEVIAGQIYTEKADVFSFGIILWEIAAREPPYRNITGLQVSLDVLNN DFRPTIPKKTPEVFARLTKRCWDRDPEKRPSFKEIIKELEIMKFPPGI >CAK69540 pep:novel supercontig:GCA_000165425.1:CT868069:123964:124762:1 gene:GSPATT00037979001 transcript:CAK69540 MFALSRALKFQQPIFAFSQFQLLKGKYAVPPKPRKQRNADSTIHQQQLKEWQENYDVPKR PSITSEKIQFYGNKFPHLKTKWAELLQHIKTLPADEVAKNEEIIKSSAEQKTQIFQKRME EYQNKYLVRLSNVTASSVFFSTIPFKDLKSAWAKYNELSEEEKQKYQKLANEENQKKNAK LEKIAKQFGMSLEEFKKEVRQIINETRTKKEESSSSSSDDEKKQSNKKEQKN >CAK69541 pep:novel supercontig:GCA_000165425.1:CT868069:125094:127590:-1 gene:GSPATT00037980001 transcript:CAK69541 MTLQLHPFVFLLIVIILIQTSFTLNVNQSAYIDLTNTRTEECRDIEFFDISDLEREQVWS SIYSKQHLELQEDIELLVNNSYELSNDFYFSQLSSYTIPWLIIAIGTFFLSLMYILIVFK SNSVVIWIKNHTEEEFLENTKRYHKYGIISIVILNFTVIVCSIIAIVIYKIEIQIFINDI TEGLSYSNCYLSQSFDDLLNKNDDNFVGYQHIQADLEILSKRVQEFQINLKALFSDRNSV KMTKKLSEMIEFNDQYFLIQFRLKRNLQKSPLPIMISSNGTNVIEETESYFSRSKLVNLK QLLQFDYILSNKQDEDYLTFQEYYQLIKQKQESIQLTDQVNQVNSFYDNIFLHFGSIENS SFALRDQRLTSTYMRAQQKLTLINDYLFEYSKQYLRFNQNLKDNMNKYTNSVKGIGITLI ILCFIQILIWGGYWVNHQLKIKAIVDFLWFVCNLLLIALLIINIFLHSHSELSNDICIYF DGFVNKVEVYQTQDIINFDDTKQTLKSCLFEDGNIYEQLNFAQKLKDIRTYINSDKGVDE QILRINSNYEQFIENLSKNSQSLTSFLDGTIIDLDDQQQEEFKKIVKSFNDFKGINNCAE LAFQTCSDGGSPKKQGSQNQSQLCWHPSYLITKYSPSCPDQYNEFQKLKQHFQSQAQAWI EAQQLESQFITLNQQLSADLNLYINQFKAFNEKEQMILASMNSMLKSTNCTFIKDHYNKM LDGMCIKYAQSIQKESIVVIIIICALFLSVLANCLTSIKVTHMESYEEYASTKVMNFGGS SRMRVSNDPYQMPHVYPLHVMSGVEQDLK >CAK69542 pep:novel supercontig:GCA_000165425.1:CT868069:127770:128738:-1 gene:GSPATT00037981001 transcript:CAK69542 MYKFVNFRSIYSMARNVRIVNKLKKTPEAAQQFSMAYEDKNDDDDVIGSLNDIKLEQDTI LKDSKKPDINLIKETVLFDGDDPYYEKVVDRPDKGTVWGLQGELVQQRDILFDPNEQLDI YKLISFLEINQIKDIVHYDLEEYGKQLPQRYGIVGTMYSSNHGWKVCKELVKGFMIKYQK EQEEIKNLNLRLGNEAMDAEPDQRGIMNLKRLHHLGTGTYGKPQISGQKDDEWLMVYYKQ YMIHLLTEDSRQEVDIEAKWIYQPTEEHMDEYDKLMVATKTGNRRFFEPLDN >CAK69543 pep:novel supercontig:GCA_000165425.1:CT868069:129153:129458:-1 gene:GSPATT00037982001 transcript:CAK69543 MTKNKKTKCQIGQFFKKQVEQIRRQLKKNILLYQTKAAAKKVKSNKIEQKPEPIQFIIKY RPTTKTTIAKRGSKLMNQSKQYYQLFLYISADSLVIQAQIH >CAK69544 pep:novel supercontig:GCA_000165425.1:CT868069:129917:135368:-1 gene:GSPATT00037983001 transcript:CAK69544 MYFAQPYLHITPQPIQRTNLFTPQTKQKPQXXXXXXXXXXXXXXXXXXXXXXXXXXQKQG NFQFFNQRKFYVIILLNVEILILDGILFILLLQVLNVLHFVLKCEREYECSICQNGYKKV SDGKCVLSCPKDSLFLNNVCMKYDQTTKCISLSIFLDSKYLMRLFIDFTVPQNLHETFIL ESSTSKDFQKGDLIYWSYISSKAVFGGQYVWATAKFSQVYTIDKPHHSLTIYFDAIFGSN FQSSGGYLKYSFNNIQSQVNSNQTVTITISQYLPSLSISFECVGQNNNVKDSYCALADYQ IVVHYCSPFCLQCLDENTCTQMATFDNQIIKLDPSQCGPQQYLDYQYFKCESCPQECVAC FNEYECTQCFYPYKLYITKCILMCLVNQFFSIQTQLCEDCSFKCKQCRNQKDLCINCEEQ QYRYLYLNQCICYDGYYDDNTGGKCQVCSKLCKKCYGSTNDQCTQCIQLEKVEKKGDICN CQEGYYFDDASFICNLCHKKCQTCFSSADNSCLSCNPNEYRRLQGLSCICLLGYYEINNF CQVCPSLEDVNLSQCYKKCGNQIIKWHNQLCDEIACQTGFQNVENQCISVCGDLLLSGDE ECEDGNQKINDGCTNCKFQCPQQCQTCNLQTVFPCLDICGDGIISGLEECDDGNNVQSDG CYQCNLECQASCTRCIRGLCYECLTYGWIINIDILQCVENCGDSIVIGSEECDDGNNLEE NDNCYQCKRLCRNDCKTCSSDGKSCLDCQVIGFQPYSYYCVNICGDGFLAVDPYGRNTEE CDDFNLVANDGCSTSCKYQCQTTVCKVCQNGKCLECIDHYYLNTNNKCLEICNDNVKVGN EKCEDMNSLLYDGCYNCQLSCQPSCLNCQISGCLQCQIGFRLIENKCQNICGDELIVSGE DCDDGNITPFDGCHFCQFHCCSNCQVCQSGRCLGCQLGFKLLNGICEIQYSKKTSITPQE YLLQQNYMSSAYIQYCRYQINEICIICDDFYYLNANRSKCESKCGDNHINDLEQCEHNLI ENHIICSNCQFQCKDQCIECLFGLCQNCEQDYMLIEQTNECLLKSKCDPEYGLYYNVLIN QCYDICGDGVKSIYEECEDDNDDPYDGCFQCKYSCNPICPLCIAGVCTDDGTACQKGYYF DKSNGSCFSACGDGIMATPDEECDQLNTRKCLNYENNQCLVCLDNFELYDELCQPKTKDK CDFQNCIACEKNICIQYLTDAINITNTNANNNNIQENLIHSKCGDGDINQNELCDDGNFI DGDGCDSDCQPSKNSLCQLNECIQIFHPVPQLKFVKSIENCQILILFYDQKVKLSPGSTL EQYLNSLSGSVINIKLNITIETNSKPSQDLEYFEILIKIQYLEKVVDPVFFLIFQNLSII INEQELEQECQQLSIQLASPNFLSAEEQQTTVSLIQFSEYQIQIIVGLVLISSLSGKFQI IENQIEMMQMLYYYKYINIIKGQNLIKFFDTFKIIQLANLYQFIGFQPQSLSIFNVNQEN SKSSFEDDGRSSNFLCAFLEIFSIIFLAYFSHLFSQIIIKQIITYITNFNDETSRLYLLK FLKKLAKICKKNHGNEFNEQFKIIFQSLIYEYVINMMLSFQYQNNQNLEGMISLTLKIIL LILIIFYLVNKQNQRFSEFQYTYSCIQKIIFSVILVGCFECPIIQIQLCVINELFYFSFL FLKRNKLEKLEGFKKQFKHLTFVFMNLIYLIHEFYQKSPQNLVTLGWIQIGIMSCNLSLT LILDIYILSKPIFKKIGQAWLQKYFKQQQPKRFADHQIFDQGDSNINPSAICRVLYK >CAK69545 pep:novel supercontig:GCA_000165425.1:CT868069:135378:136106:-1 gene:GSPATT00037984001 transcript:CAK69545 MSILFLILIASVMGGWQVYYNGFHQPNYLNCASNTCPYSFKYSGTLTSALFSNCTNPIGT ALILSSSQMMASISEQLNNLKANGMNHTLNLDIYLLTQWNGDYLKIEYKTQNYQFQFTTQ NTLQFSLGGCNSSQHEVKTIQIAFQEFTSMSYLKFRIVNELNLALIKNVHLSYYLCHPTC RTCTGDDYNECTSCFSGVSLVSGTCRCPIRTILTGEPPSNYRCLNFLFINLRTQKKKIFQ TL >CAK69546 pep:novel supercontig:GCA_000165425.1:CT868069:136752:139537:1 gene:GSPATT00037985001 transcript:CAK69546 MQNLLNLNYVGSNNKREKVKRCIMTKIKRSSSNDLKLILYPLTSKTANILSFRQKFNSRR RSTSISYFTHDQELNRQQKCIQIQIDNKEQQQPNEGDGNSKPSSNNIITNKQWDDLNNIY ERRKNDVNFRQFIQHFQQDALQLEALYYQLSFLEFHLSAPLQLPPILCYFLYTLIMYRDD KRKLFNQFSDTYFGDDYYKKLRKFQGRYFKQKLQNQCISQISFYNEPEEESILHELMFFY YLDNLIPNLDLDYSMLLDDFLSYFSLSNIKKNFIELSMRDDNILRKNNIAFGNIRFVFKK KDGLFIDFKQPIGRFENIQFLDYVQFQADFTQQVSSAEPIKYFNSYRSEPHSERTMILFL LADIYLNQSIFQHLKLDLIDSQQINNIINLSQIYLEITSEKRVCKNCLGMFQQIPIEFIC QKFQETFGLGVDTRFQFIITQQYLLDPKISTEKERYQRIWVRTTQKKFNSQYKFLKDQKK NSEDFQKENQQEIPLQLIIEQNDQNDENDCELVQKQDLPQQDSKQSHQQEDLIKQEYLIC YEEQEPTGLISVLFLKERYCKSQVCNFKTKIPDIKLTNTFFINNESQFSDTISQNSFNQD ENSQSSKQIKYQKQQNRLLDQLQKKQYTLIHHMNFKHNNPEEQNLQKTKKPYTIYEDLLL EIQSEFYSYLIRKFTSDFQMFDTELFELDKILQLYWEIGLLKGQQNGLMNILKEKLMLNS ILDCGQFNLKLFIQDYQFISECRTTQITNEMKSNQQSSKTSANFYILKFYGLNESGEFKR IALSIQGQSCYQLIQQFDEQLQEEIKKFKRLIIIILSINQINNQCTETIYNHILNLQHPK FELKHYFFEQNTRDQTQKERHKSTSISEQQLILLEKDFFLHRYALQDQNCFQPFKELSEK FDQELMSFECQVHTWNVNS >CAK87032 pep:novel supercontig:GCA_000165425.1:CT868607:362:895:-1 gene:GSPATT00020685001 transcript:CAK87032 MPKPIQQFVQFGPIQLPYSQLFILRRHVFATVNLKPVAPGHVLVCSKRPVKRLYDMTEVE AVEFWITVQEVAKVIKYFHNFKTNCHVSIQDGMHAGQTIPSVHCHIIPYQGKDFDILS >CAK87033 pep:novel supercontig:GCA_000165425.1:CT868607:2216:3588:-1 gene:GSPATT00020686001 transcript:CAK87033 MKLGLVFAILLAFSNCASFLEEQQLMENKIYKIKLDRTESQAKKSLFDFITTSQQYRNSA DLLDGSDIAMTQTKQKESIKLYNFKNTQYTGEIGLGAQDNKFKVIFDTGSANIWLNSARC NDYGCKNHKQYDGSKSSTYEHLGYDLDVQFGTGELMGEINADTAFVGGVKIAKQEFAEIV KENGDVFAQSDFDGIVGLAYPSMAAYNFNPFFDNVMSQKLLDRNVFSFYFSRQEGSRSSE LTLGGWDTDHYQGELHFHNVVNKYYWLLDADNILVNGQDIGLCKKGCKVVADTGTSLLTG PSDDLYDLLDTLNIDENCKNAKELPKLTFVLDGINYDLEANDYVMKIDSQGNEIAYDTFA SIDSFVEMGGNCQCVGSFMPLDIPDPQGPAWILGDTFLSKYYSVYDRDNDRVGFAKAK >CAK87034 pep:novel supercontig:GCA_000165425.1:CT868607:3823:4355:-1 gene:GSPATT00020687001 transcript:CAK87034 MQYSTSNQPQQQKQNNKSIKHQIIFLQLSLKETGLTLLCCNTNLSVDDEVFNQISLQLLL VQQGMNVDIVQILLCQDRYLMADKQSRKFKILQNVAQNVWVIGYLWIKKLREMMQNQQLP KIPIIGLTAFTSDEEVEKYKEVGMLQILHKPLDIQKLSDFQQCQL >CAK87035 pep:novel supercontig:GCA_000165425.1:CT868607:4953:5561:-1 gene:GSPATT00020688001 transcript:CAK87035 MYFLPSSQKLFEEYFSSRYQKEKLFSSPRPPIAKEYFRIRSQQLKEDIFLLQGIIVSNKI SIVIIQDGTKQNELSKLEKNNKFKTKIVLSYSQELSKNSFKQCQIFLQTAIRDTKLDGLI KDQYLQTCLSVFKLQNHLINDIIDFSQINAKLLDLLFSQFKLQKIINEITEQFRFQFQFK NLSLAFETSRPMSLLTQIKTDY >CAK87036 pep:novel supercontig:GCA_000165425.1:CT868607:6128:7158:-1 gene:GSPATT00020689001 transcript:CAK87036 MKTNSFLQQNKVKQYQRKRIHNSSNKLIFPIQCMMRWFIKTCQLHQSEICLQIAIYQKED GEWKQQMRLHDIKPNSEMFLAPLEMKRLTESNNLIGCGKGFLHEYDLQKFQIINYKCINN YDYISCLDCCEQNENYGIAKNILLLGQFNKVIKIVDTQQDKQIGEARVHIGGITHLKFDK VDSLFFYSCARFDDYIYQWDLRNTSTFLQYFERKNQSNQRMNFDINHNRELLIGNDDGTA YVYKSDLKRVEYQISNSCVHSSIIQDNGQCYVSYGQRKFDEEETFLTGIQNFTINS >CAK87037 pep:novel supercontig:GCA_000165425.1:CT868607:7676:8452:1 gene:GSPATT00020690001 transcript:CAK87037 MDDQTLLERLIETEEQCKKIKSSLNSIQLYLNIQLIIVISYISLPWYYEYQKASIFYLIC RLLQYSFHLFSVIQIKQTVEIISESSRQFIESLNDQIDVWAAVKGNHGTLSKCNQFVYII MFGLGNVVLLQNTLLLSKWILVVQLIFGWVVLATIVLGLFAICLILPFLLYRVYRQRLEE ERRRQEQALRLIGTQFKYSELNGQTFQPCYICLQNYDQDDLIVKLSCNPNHIFHSDCIRP WAEINDTCPVCRQRLSQQ >CAK87038 pep:novel supercontig:GCA_000165425.1:CT868607:8504:9893:-1 gene:GSPATT00020691001 transcript:CAK87038 MEMFDDTIRELLNKNTDIAKIVDLEKLSQQPGFKIEQTNSYIYIGQVQNGLKHGTGNLRD INIGILKLLDSFRIYVGEWQNDKKCGLAYEKFQSGAEYFGNYDNNKQNGYGEYVWANGES YKGNWIDGKKSGYGEWFGQNTSYKGEWNNGFVEGKGVYQSENGDQYTGDFLQSMKHGLGE EQFANGDKYVGSFKSGKPDGYGEYYWKNGKLILGFFMDGMRHGHGVWQSNTQGKIDRYEG AYSNDKKCGYGEFVWSNGTVYKGNYFDDYRQGYGEMYQNGVIFYKGEWDRGIQVEKSNTR FKFQQKMKTQETTARKWKFNNIDEIQELEEETVTSGAEGKNENNQKIPQKNPQQCRMKTL NVESKPQNIDFTPMKRSLQLQKKATPAIQTRSRTQSMGNCKIPLLQQKQRSNSNQITNNQ KLEQLVKFSPYKQTLRIGRFKLQKIQL >CAK87039 pep:novel supercontig:GCA_000165425.1:CT868607:10248:11123:1 gene:GSPATT00020692001 transcript:CAK87039 MSQNIVFDISPENSSSSDEDEFIKIDQQNSSILSVRAPNLFDSDTPQQFQKIPETTHSMR KQHTVTFDTLNTSEGERKQLNKWNQQEKENRNRNTCTNTTELTEKARASNKRHANESVEY LQQQKSYQQQQIKSNQYKKAQQPKRATNGNYSSIDNFQNQSMAQELLELDLPALISQLTK KRRVNNNSFHNHNNSLFYNSNTKPQIPQKQGCIVRQSFNKQSSQEEISIVQQTRERSITM ILRQPGKIVNQKQLKSNSIALRK >CAK87040 pep:novel supercontig:GCA_000165425.1:CT868607:11211:12523:1 gene:GSPATT00020693001 transcript:CAK87040 MAITLKKCSLKKVSLPERITNSLYNKYAESQNYYFTKDINEIILDQPTKPNIVFKDLALL DEDVEYMKKIYQPHCLDNKMEVLTEFYKFKFHNDLPRWAVSSSIVNILNEYYNQKRKLEY YKIQKIIDEENKNNPEKPPKGIVGDEPIQTESTPPSHTIESGIIVGNVLDELQNTPSYSK LDQDKIGRKINNNNNIHQQLLKIEKLKTERQQDNNNIIKEFKLSQLLTNDKIKNIKLLIT SQKRQLKIIHPDQCGQLDLPKPKLKHQQILEQLHKRVNEKLQLKKRTQIQNKDPSREIKS VHFGEDKKENFFKSPRNVKTQCTSKMTPKSNCKVGKTQTFHDSSSRKFNNNLLSTRNIGA KTCHQISNNIKSKMQSKPISFKTLTTPRSVQQKLSQ >CAK87041 pep:novel supercontig:GCA_000165425.1:CT868607:12717:15351:1 gene:GSPATT00020694001 transcript:CAK87041 MQINIVQWEQSNALTLQEQAIIDQIENLQQKASLEKDKPTNFKFYKKHDKKNDDFFRLEI IQGQYQQKLSSLQSITKNLEIICDQLKKLNDDKDKIVQKISQFHQEANIHINKQKELLEY QFQIQENIQYYYNIQKISRDLESDDRKEINYQVLISEIQEGIIFFSSKPNYYQSDKCIIQ YQQLKKRLLGTCKSSLMKLLNKESQFINQKFSQLKDLVCVFYPPRFFGYSEFNEEENDIL QPNPLKLQFLQIIFPQLIPQLKLKDVQQKNNYQYEDEFLKFSITEQMKDLFLYIESQIQG DQDLYSLYNDVFLQYNFYNRLNLHQQINELINKQSNNLNQTGQILYKINQSLIQQKLVSK QHLQKQQEILLFEALCGIIFETTVIEAFYSRIFFRLNLRIVKENDKQHLSRILDNYYQTV RMFLQKIYNLDQLVMISESLQQLKNHTAKVFQKIKENSLDEKDYLFPIFQIISQGKDQSQ IVECFQNYLNIFTNLFLEKINLDIIHKSIQSSQVQIQQKIYQYSPKDYIRQKQKLTIHKF LKTQPIPKGYEIQRSDLYPAVIFGLDLITKMQRSVDQVIFRQLAGETLREINSQLKLVAE QFDVKFDSYIFYLKNIIYLCDGLAQQGGDYIVKESELDFSETKAVLLQLITGQLRPEIQW VELTSPEKLWTYITNFFQFVYKGMPKINQYEVDWKNNLMNQKNLMLFQFVKDMTFIVAKT LIQYIRNYQYLQHKLVEEKDEQKLGQAEKDFNLLISQSQIKRSYSLFYENIIDFIQEINL KLNNYLEKSTFDQIQIYITEIIQNSLSLLSQFYIIVSKHYGGEEYENFQFNSSEEIIKYI KIEYFD >CAK87042 pep:novel supercontig:GCA_000165425.1:CT868607:16298:17806:1 gene:GSPATT00020695001 transcript:CAK87042 MTKGSAIFLSFILLVFVRCEFVPTDEANLAVFDVIKQAYNGDNFALIYQQLDDEIQKALT LKQFEEILVAKKGKTGRIEYWSYSSPVPQHPIFILGFQKNLAVGSIEWEYQETKFKVFLL NEFVQPTFVEFLKQNPSRTSLSIKTDDVEILNYQGNRQQDLMSVFKITVAIEFSRQSASG IIDPEKWVSLDDVNKYYLKDVDTSHAQFLDLWDKMGKIQDGKIQLIEIANGMIALSSNCN TEYLQALLTMESIQQTISSLQLEQTPSFYLSSFFLVFLNYDNKEREDYIKQISSFPPEYV LEETNKIHQILEQGGQQAQDLLDRGKEVLDGEILGIQAQYFTKASTSAYVNLLDKLNKQE TFNTKFYDIFYPLIGYVSMKNPFLVKTYKHVGVKGGSSAYPKDTKCVLSIAQFQEVYNPF PYSKTTYALFTQDLDYDTEYTPLIKQFNFFTGLLSLNGYYLQAVSKALTSISQDQNIFDQ QLLYQ >CAK87043 pep:novel supercontig:GCA_000165425.1:CT868607:17893:20150:-1 gene:GSPATT00020696001 transcript:CAK87043 MNVQQTKTSSLKDFQVIQELGKGSFSTVYKVKRIADGQEYALKKVKLGSLKYKEKENALN EVRLLASINSKYIVAYKEAFFDDECKCLCTVMELLSGGDVYRRITQAQKGGPQFSEQDIW IALIHMILGLKTLHDQKIVHRDLKSANVFLSSDGTFKLGDLNVSKVAKQGFVYTQTGTPY YASPEVWRDEPYDLKSDIWSLGCVLYEMCCLQTPFRAKEMDVLFQKVQKGLYDQIPAKYS KDLAQIISLLLKTQSSQRPNCEELLKNPIIQNRMRDIETHINPQPQNQELLKTIQIPRTT DQLNSQFPKSKYENEIMSIERNIENKSTENSSSPFRSPQQIIKTQQDSRLSQVKDRQITD ITKSIAYLERKNKHPLLIEGPRPSASRKLEKPKSAQPQQRYNNYDKESQLGDSYVRNSQI NKIKEFENLRIKRENELKAIQELRKQEIQDSKIRELRNLKQIRDASKELIAENRRISPIS QAKQNGQYGEYQHQYGIQKHSYSPITRKVELPQYNNKVVQSQIQCRPQISQSINISNPSQ QIRQPILYQEAITSKRQQVPQSAAPVSQIYSSNQQQLPKSDRYQHPQSALPARVQSQKLE RSQVFPVQARVVKTKPNDSNAINKNQSTTKQTRPLSSNLISNERLSKQEYQPQSNQIHVN YNHYYPIETYQRHASDQNLIKYQQRQQNRQLKQNLESRKI >CAK87044 pep:novel supercontig:GCA_000165425.1:CT868607:20184:20780:-1 gene:GSPATT00020697001 transcript:CAK87044 MFEQNLKKENVDQQAIKPISVFKVLFCFGYPINSALEIINRIIFQKEREYQVLLGLELIY GIVFLVQYGLYIKQYQIRNVKTSVNYKQHDPFDDELADTIQNENDNETVIYDYFRFSVIA CLGLIINTIVKVYQYERSSMSFIKQTGIIVVYAIFISNLIKIAEEKQIEHKTFLELSNRQ TRSDDPLQV >CAK87045 pep:novel supercontig:GCA_000165425.1:CT868607:21252:24051:-1 gene:GSPATT00020698001 transcript:CAK87045 MNTLDHENLNEILFGSGGIINNDDLQQQLIKKIKDRRFEYLQILLEQAKQFYLMTFQYRI SAEIDAILNKQPNFIIQILKRQKQIDKIDQYLTKEYKTHDEAIQLAHKVQNQGNMFFRDN IKQLIEFLTRQQQQINDNQDMQNMTPSYYFTDYLLDKLNQLQNMKSLQSKVEFGKDKFNL TYDDIRNDEDEEESVVDNKVIRMFDRLTYDSIGFFLGLGGQPQSQQVNNIFDGKNDDDKQ KQDQQYDFNDNSTKEFQELLIYWRIDEGKGSKIGDYGNYNCVGDIYVNKEISSETEHLWE KLEESDPMDYQDLWGNVCNAQQGFELGPQAGIQTRKKNYWKKNKLSDFTIEMWIKPLQPS GQILQVDQNFILNINELNIEVIIDGQIVQFNIDENDEYNPINQPQIRDNFWNHICLSYEQ SASVLYLYIAAKYQFSCQELEISNELFKNKNLILGQSTQEPNIKFPIMKCMITEFRFWKQ KFQLKEVRDGYRQPLPIVNEKQKEIKIDIKQESKPKPSAGLNAFQGLKLDFALEPIQEKP IKEVLQEDDGQNIQQIEQPNSDFGWFGGQQPNQTQNPNNFEFDSNFNAFAQAAVPQVQQG EWGSDFNEFANFDQTQSKSANNALNNDFSSISNETPQLQQAEPQQKIKEETKPQLQQKQK QEQSTVEQQQQQQIAQQTNKQQQIPQKNSIQEIQQIVDQAVKQFGQKQTKEGVENLTKAF QKLNEYLQGNKEKLQRIQKFIVHCAQYRFAFQLLIGINQMREKGNSKKEIYMHLILIHQN IIPSHKLHWIIQSIFLCIEYENIALAETLLIQLKQSLDKVKLTEEDDQLLKECEQKLAKI QDKKNSGLYKLSCPNCKSHFPFKGVKKCNKCNKDFLICQFKLLCISKVDCLRCKACESIF SQESCQVGQKCPYCDIDILNIAGA >CAK87046 pep:novel supercontig:GCA_000165425.1:CT868607:24092:26644:-1 gene:GSPATT00020699001 transcript:CAK87046 METVLQESILALTFNHERSSIIYLAQPSFQGENPINELIELDLHNQTVLERYIISKQLPN QPPFMLITSRRKGYLILAFQPSLMEIWQLGNSAQGFNQSIWSGETKGRGIYEIQHADVSN LDGILFFSAIDQRNIYCANIDDTIKSNGPELILSKLRLPERVNVNDLKCHPTDYKICSAC SDGLLRVWNQTTYTQLKSIQDENNIIISLSFQQDGQKLLCGCDNGKILCYDAKTLGDRNI LLAIYQLEQSHNNGILCLHWVNYNGAINCNQFLAYLYDGNIKLLNIKLLVPQDMIRDQKV SKSKKQELFGSIKEIQNLLAVKNDLKYNAQRQLPNVGLSKFIQIHPYANFISLNTIKTLP DQTLQSQIFYQYKTQIILLNDNTNLLAEYPVCSQQYQYSFKELFPDEKNRILTQRYLYYI DQHTMEIKQYCLAKGILKSIANLRQMILVENPIFHQLSVRPNSLNFAPRTQFLLSYKIET TYRCCTVMSNNGVLSDNLKEYPARFSMFLGQECWANPPLFLLYDDRSSYSIIFEDTLPQE EDMLKLLNKQDKDKLQNQMKQSLNLKVQRMFWTPLRSGFVVIYQPMNENILKFSRNRNSD NNLLDLLMQSGSEMSFKFGSDEQVTDLVWQKDQNIGAVVTIHNVYIVDDSLNTLKLISLQ PQVNKKNRILLTYWMAQTLILQTKFHILYVLLNGTCASIQSIDNYEEKNVLSALLWDRMI LLCQSHSKKQNNIEIKTKWINILQPILQGYIHNKRFFNQEVDESIVTKLLLTFANPNIDY SLIKDLEQQKQHIIIKIHLMRKIYYLTG >CAK87047 pep:novel supercontig:GCA_000165425.1:CT868607:26671:29425:1 gene:GSPATT00020700001 transcript:CAK87047 MLKFIEKLCNSLRQQLEQSSLKNGILETNIARFVKDCIHYIFHIPIEYDIEQYFTLTEID KTMFNIQQYLNSIQHKNHFKNIFMNEGFQSGEQSSSDEFDSIIKPKPKIHKTLSLEDKTS GIPNNENDLAQLNTLQQLLYETNLKVTKRDEQILQMTTNYLKDIQHLKSMFLRQLENPGT EFYEVNYFDIKQALEPELQNYIQEKFQQLQKQCQQTVQRYKIELTALSTECESHKRTIQV LLQNNTLHSIIKMLFLIEKDPYKIWKQIQDQVGNKIIFQVFETQIGGYGINYREIDELIS KNSAGGRMFCRQKQLYEEQLKIMIDDNIQIISTLKQDLQNKEQIIEELQLSNEENTIKIQ EQFNEQIEQKLKEQQHNLSQEFDRKLKMQQKELADPQLIRKITLRCAFARWVNFSQFYQL FEQEQDEDSLYELKIRINRFLKQVNDEFTIKDYEKLIQNYQQAQYNIIKVEQEKNIFEQK CKQQQLEVQKHKNTIQMLSQTIQVLKQELQNCESTIKLISKPFCSILQRLGYPSKLNLLS ILKSGISLEFLQSEDPEVQSSLITFFQLAISSQLIHQSNPKREAETITEFFDIRYAMSQE LNEDANLQSYRLQSPDVPLIQFQITDQAISPKDQLNNSYIENKFQIKGTQKTSNHTNQSP KSNRVQNQFQQINTPKISQKRDRQIESFAAKREKKSQQQLLDTTSSGFQKQVEKVRKRDH LMIQEINYVDKGIQVDSDYQSSRHKTSLNVQFKDNDQNSIQQDVLVILRDEVDSRATSVT KRRSLSQNNKKQQFNIPNQFINNQNLTLYQASKLKTQNKTIYSEQQQMQQGLGFGFLPTS PLQFYQELFKEKQKLQLKNYTIVKDQGVKMQNYQKRRIEPSIEGKHLQVPSYLK >CAK87048 pep:novel supercontig:GCA_000165425.1:CT868607:29772:31471:-1 gene:GSPATT00020701001 transcript:CAK87048 MQSSQHFRFHFENNPLIHFLHKLINKCLIQFFQWIRFGKSPQPIQKNLKKPDNLEILTLL DNCTLIFKDDRIALRNMVKEGNQDVIAILNHYKKGNNLKDLSTDLRKYLRRKGDKENDKV LTCQSPTSNRMQKVQQLQLQPLQNSQKKSCPDLWKPVEQQSPKTINLGKINQFVNDVQFS EITLNKPISKLMSLNNMHFINQPIINQTIPKQFNRAVNYKTSSQHQLQDQEIDINQDDDI QSESSNESILNQITQPPPQIQDITPKNEVKPSQLFQSGHSTYIQINTAQSYLQPLLSIQD KTTNPHKSQETENKIIVNDSQKYYSFYNYQDYQKAAQPQYKHLFTHWPSLLHKLDQPEYV SSCRVVPYINATPEQIFTVLFPNSSRVKISYYAFENQLKHNLLQIDRDSLFKELDKDQDD WINYSETGVILEGLCLQVEQPKNCVKVIFETLKSTSTTPNTLSLSDLEQFEQKTDFQFAR QLMQEVDGNQKGYLTYWDLYMHRDIFLMERLCQIVSSITGATHTHY >CAK87049 pep:novel supercontig:GCA_000165425.1:CT868607:31547:34497:1 gene:GSPATT00020702001 transcript:CAK87049 MNLSKQQEEAPIIQKGIQLTKQDKIYHFDMLSWASAGATLVSIWQIIIPFTLFSLNKPQL ILGITSIFFLLISLVVLYWSHKGYNFYKKDKNDEQMPLQAWHIEGLIYVISFILIALYAS CFFGILENEGYSWALMAAQGVPVNTAQKEAFIIVLSQLILILVDLVLLAYYAKVSYHLEF QPMNLPSLLGWFLTIFIFMCCITIGDTNYLPSKLGGIVDQESMEKAKGAHTSIFWTCLIG LIFSLFTLFEQRSIQQEVFPKVKFYFLPFLLIMVASVFLISNSLNFLYASSQSIQELKKD CKSTIQTFSQTYIDSIGCPAKYKTVNIQGSNYFDMYCQQDEVGIVWENEVDKPNSEKRNQ LACMNLSCCYLVSEERTLFYKQYFFYSILTAFLGFIAAGHCFDIALQEPNVIKAGPSVYS QTQYIVQIVLALFSIILGIYLSIKYNQKVPIKMPEFNYKDQINLEPKYFTHLTPLSSIPQ CQEVRDQHNKAFKVHFDHNACAGQAKCSRMGFYGCLESQNGIFQLLPTFQDQEIAIFGSH AYDPYVLQQALHGEEAADKLCFEGLEGPINQLLNSKVYVCHPPDKEATVKFSTKEKEIIF EQMIQYQEEEFDIIRTVKLPRLSHQKRNHKDLGDTGEEESLGQYTTVTIIVSDAETFEPL VGATVTMYQDVDKCQELNQNLYYPIRIAQTNSTGMISIYNVQMKDKRQTFNLVIRQDGYM FNCLISDHLKPFKQNIFHVPLVKSLTDQQEMKVLLWHQNPKLNLELGANVLLDKNQQCSV GFFFSECSFMKFTSHPKSQSQVSRVITLEKIIQFTYLFFVRHIPINSDIIEVVNNKGLSL VAKLQAELQSEIEEFQKAQPKVSIYSKKNPYPHIHEMPHTLSAEIDTTPDLLVWLSFCMN GKVGPESSAPINHFWEKKDESKFWQRHTVQPNTIPDSSICDKMYKQQS >CAK87050 pep:novel supercontig:GCA_000165425.1:CT868607:34508:35322:-1 gene:GSPATT00020703001 transcript:CAK87050 MDIDQEKEFNEENNEIIEEDINQIQNEVQDIQFQQVNENQESNKKGEIRRVPVPPHRVTP LKNNWDKILTTIVENMKLQIRMNTKKKCVEIKTSQFTEDKTSLQRGVDFLKAFMMGFDID DSVALLRLDDLYVESFEVKDVKTLHGDHLSRCIGRISGEKGKTKFAIENATRTRIVLADQ RIHILGSYSNIKAARDAICALILGSPPGKVYSQLKSLCRKYVEKS >CAK87051 pep:novel supercontig:GCA_000165425.1:CT868607:35396:36436:-1 gene:GSPATT00020704001 transcript:CAK87051 MLAPQYILTKFQEFLEIQLENKPNKNEVIKKLRDFNRYFAGNNYNIGKGFEYFQNNQNNL QQLVPIPKIIIDKLQIPESTMQLTGQGLQGLYNAIMIGLLNKKGQFIADGTPYSQLNQLI KTNSINQSMGLWCFTEIATILLKNSINLNLSNHLLENGIQVSDQVDVRNLSIGQSALLVD NLKYVINVDIQQILYYKPNKTLHRLVLLNSSLPNSIKIMIENDIYQNVLLFSLFQEEQNN QRSQQQNPQQQFSSVQNNKSISLQQQVKFLLEEMNQQTDILIEQNKLKTLPEFKAQQYVK QMKNQIISEEKKKKCLKCQQEFSSDIGGIAGQITNFCMKCYKEFSI >CAK87052 pep:novel supercontig:GCA_000165425.1:CT868607:36476:37091:-1 gene:GSPATT00020705001 transcript:CAK87052 MKTHTKSQLYQEENIPIKIQQQEQIQAPEQKQENNRITASIPVYEKTRLPESRIYMNKSK IPQFQEVQIHWSQRAPYQQIVAQQIVSEVRQSAVEQINNQQIAQPISRPYQPGSGFPTRQ NLPQIPKMKHSQYLQCKWCNQEVHSQVEYRIGLSQLLLCLLLSPAFGIELIYLHRRIKTV ITSALIVGAKQEQQN >CAK87053 pep:novel supercontig:GCA_000165425.1:CT868607:37153:38412:-1 gene:GSPATT00020706001 transcript:CAK87053 MDLFPSRNLFSNPSSPQTFEPNPCKKLFVNPPFTPEFGNQIKQPIKLQVTDGIGINQKNQ LAMKIQQQKSIAFQYNQMGSPSTPKRREKKLEQSTGEKSKQNQLNRMNNKKKVIRNLNKQ FEGETGVPKSRYHEEYIQVDVLGSGYFGQVYKCKNRFTNQICAIKCTKIKQNKLNIDLAN ESQALAYLNAKGACKNLVRYFTSWQEGNMLYLLMEYCDYSVSSRQDYEEFEIKKILKDIT NGLIFLHEQQISHLDIKPENILYSRKDGQYKLADLGLSKKIQQRQEDVSVGDFRYIAKEL LNQTDKLDLCKTDIFSLGATLYQLMTRKQLPSNGEEWRRIREGIQITDFPENMYSLRLRK LICRMMDPDPFSRVSAKEILEDEYIYVKKENYIKWEKIRGLMLRRQLDECLIKQRQHSI >CAK87054 pep:novel supercontig:GCA_000165425.1:CT868607:38533:41581:-1 gene:GSPATT00020707001 transcript:CAK87054 MKSTNKKLSAQDGKLIYEGDIITDDKSKQVLYDGPGYLFNNETKCFFKGTWKRGEKVCGI ETFPNGDVYEGQYKDGTFHGRGLLYNNVQLYSDKKSQYLYDGQFEQGQKHGYGKECYITG GCYEGQFKYNQKHGHGKLAFSDGSNYVGDFQRGLPNGFGVQIAYSKERIVATFPPPYDNE KRRELQKTEEVQEDEAEKQKSEFLYEGDFVDGYKHGIGRLYYPNGSYLYAYWEYNKPARD FIEYIAEGHKWRILNVKQLQTEQSINFFKIIREFKSEWQEKYPIKGNSYPPAELDGYKSL IDEKGLKRENFEDPEFDFSEQSFNIKDENDQPIGAPIEFERIFASKYFKKKEYKLFTKEI EPGYLKYQEQYCCQQLTVVLNALTFHSYLFAKVFSRKYEAEIGFYFIKLFLKNEWKEYII DDRIPVFRADKMPIFSNSEDQELAWVILFKAFAKYNQGFQYFSNDKLMRAVEYLTLLTGM PTVQLTVPNVFGDNQEYSEQQKKIWRTMSQKYQSTKVRICYLYKDIEDRLKLPKNTAYQI VDMQETSQGKRDESYYLLILASNQKLALKQDITNQFSLARQKLQERNPQARGNDYYFYME YKDFIKHFQYVVMLCATRYPIQNQQLIEPEEKDKHNHELRFFCKFKTNLAQDCLICITQD HQEKQDDYYVDYALEAFPVRIVLAKEKDMFTNKNQVKKKIVTRWSEQVNRSKSEKEKDKK EETNKADMKYASIFEQNSEKKQIKPYKYCFGRGQSTDKMLFKDASLESGDYVLFVQVETG DSKEQFDLKKMKFWVTIHSQKLIQIQRLDQQIQGFQKAVYESAIAIADKHSQDSQKIKYF HKGDLSEQENGSSDLVITQYFIKKEGTYLQHLNNRSTNKIWRQKLYFKLDNMQIIDHRES QKLDICLSKKQTALIVIQQVGAKKYNLEGGEGICIVDLLNDQNVEEEQEVKNQDAGSLHE DTQPNFQQTSSKPRFGSLLFKK >CAK87055 pep:novel supercontig:GCA_000165425.1:CT868607:41605:42606:-1 gene:GSPATT00020708001 transcript:CAK87055 MLGSVLIICLIVLLVGIRVRIYFRGKKCEAYRDISNMTILITGGSKGLGQETIKQLAKFN CRIIFGCRSNPNEFLEQLLESFPQSKIQYFPLDLQSWNSIKQFAARVKQEVDRIDVVINN AGIMGTLKREFTQYGIEAQFGTNYLGPFFLNHLLLDLLKKSNSPRIINVSSIAHVFQNLD FDDLNCDRWANSLFWSRIYTYRAYGNTKFAQILNAQEYSERTGVKACALHPGVVRTEVLQ HQLSAWWFNLIMSIAWPIYSFFTKDSYYGCQTILFCLMIKDEELVDGGFYKDCKLAKARF TSREKAKMLWDVSLQLISKIDNLY >CAK87056 pep:novel supercontig:GCA_000165425.1:CT868607:42901:43237:1 gene:GSPATT00020709001 transcript:CAK87056 MLLKINQEGQMKFQISKQRGNIEILKEGEVATALIIPNFGNYLKAHRTLLVGRLVLSENK GKMKGSKIDINWIARKGSNILSEKENIQYATSIKLFDDGELRSI >CAK87057 pep:novel supercontig:GCA_000165425.1:CT868607:43419:45313:1 gene:GSPATT00020710001 transcript:CAK87057 MYNGMNMYHTLENWQSIKCTIQVQQRTVSVMLQNLPFKDPNKKGNPNLESLKVKFILIPD TYIEGVVGQRIQLKVYEADLCIRFPNVRYLSKGDPYVTFFLTEDMERNYNWKIKKRLSNI QLVNDKFDLENKSYCQKLQFNKLDLFKNQVSRLTLFIIFYTSVYFPIFSTQNIFFSNIFN LIYHLIQQIMQELGDIENQPHKKVKTNETPLEFTVLATHNFARASIMRLPHGEVLTPVYM PVGTKGAMKGVTYSEMDDLGCKLLLANTYHLAYKPGGNLLEKVGGLHNFVNWKHNILTDS GGFQMVSLSQLSEVTEEGVTFESPYDKSTMHLRPEDSIHTQNQIGADIIMALDDVVRTTT VGPRMQEASERTTRWLDRDIAAHKRKHDQNLFPIVQGGIDPKLREQSLNDLIQREANGYA IGGLAGGEDKVDFWKTVAQCTATLPVNKPRYLMGVGYPVDVVVCSCLGVDMFDCVFSTRT ARFGTAFTDNGFLKLKNKEAANVFEPIQKGCQCQACKSYTQSYIHYLIAREEVACHLISV HNLNYLIQLMLNLRQSIIDGKLIEFINEFLKKWFKNEGQIPVWIIEALEYAKIPVEQL >CAK87058 pep:novel supercontig:GCA_000165425.1:CT868607:45369:48965:-1 gene:GSPATT00020711001 transcript:CAK87058 MKQYINQLADKLQISTSDSVLIIWKVFINFCSFVIYIEISLELFNVEEFQKDNRGDIFFI WRIFILSLLIIDMIISLFIEYISNNGIIIQKPYKKVKYYFRHYFVFDLIVIVYLFVSTFQ PLPFWVGFLVFFRIPSNLYSDKITEEYLIQFQFLLLCYRILKLLVTLLYIFDIFACSFYA MGLYSISISEPTWLEYSSSVIGNIDKMHHLTQYIISYFWAVETLVSMGYGEITPMNQYEI IIGDLALVGCMFVCLYVISTILSLQSDNSSSLDESMLSIKQFMIAKKISKKLYNEVSIFL SQFRIEQSKRDIAVEQVVIQRLPNQLKSKLYYEASLKMMKRILWISNNFSNEFIEELSSF VREIYLNSNSAISVIDDDDDPKIFVIEKGKVTVEFKEMEINLLYRGSTFGNYSFFKQEKE QFISYKTKSIVILQYIKLTDFLKTIQNHKQDHEKFHYILDQVLFEKRYQLIQYGCYICTQ TNHLTENCQIISIKDQVYEEFQKNPHGSNLQKRRRFVRQNKIHSKWILHKHAVEIPHEAP ASFDIDKRYYSKLLFQWHPFAIHQQERMTVFLKDILNDSLKQEDLIVMSNKIPKSKKKSS VFSSSNQYLFYWQLFMMFVNAAFFIAIPQIIFFWNYYQQFSDYGAFKFIRIIIIILFISD FIVQLNTNFYHEGILVKNRFKIAEKYLKNDLVFDFIPILVLFYISLSDKYVIVYLLCLVK LIPFYRFQVYLSDKLKIMPQLRQFYMICGMLVEILYINHFFGCMFFGGSVYMYTYHPNSK TWVNDPTMNFGVIITQSTTSKYLYSTYWAIDAITRIGYGDILPLNDLEFMITDLTVITAV AIVAVNISLIENMRKNSKLQNYFVDNLWIQQYLKKKNCSDQLKIQISNYLRYYHRIGQDR NIDIEQESLQLLPSNLKQQLMFEAYGMIFYKQHWLKDEDVLFELSNKVKEIYLGDMENIF LDNTPDQGQALYYVERGYVELFINTQNSQRKDVTIAQLKKDTFFGQYSFITGLPRKSSAR TMQFASLIYIQRKDFHEVLELNKKFHHQFQALRDSIIYENQFQLIGLQCFTCYGIDHLSI DCPLTSIKKQVMLKFYHTIREKSQSELNLRKLYKQNIKQERRFKKRKKEQKSTTIRTHDF EFFFDYTIPRTTINYVIDKDLHLI >CAK87059 pep:novel supercontig:GCA_000165425.1:CT868607:50113:52397:1 gene:GSPATT00020712001 transcript:CAK87059 MINQNTGTCQFQIDLESSKWDWVPATQTLEITINSNKVQIETTPSIVLTHPEGDAYPEPY VTLVIDTEKQLKYSEELGWNWHKSWNLPNIVVRLEIKDSQTQELLLSPKNLYAQLYAVKK VQEGSRVILQDVGLRGTSKLELIDGQAYFSALRFASTSYNNEGVKFHLLMSVYIQNSDTE KPQILNSTISPPIFVDSRKSAGNSEQKKLYLHAEPFTFDLLDKKYFKRENKRKTEQDVEI ENDFESLFNYLTAPNIRHKVKHPLFLIIKFSTCVSLYYNPVTVEGNIKTIMEKLQSQLTK DIITKQLGASGEKQFYLLIEENNDQNKNKKVTEAIDFLNTGCLEIIRKEDQIPSKFVKLG DSAEILENYKQCFDQLLKIFKQLTQKFCESDSNESDDNYSDSEPQSAQKKVKLNEYQNQP SAQKMEKQEQEVKKPVNPPEQIPVQLQQQQLNDIVVQQALNQQQQKPVQQQPQPPKSKPN DVINKPVPPQIPQIPQQQQQNNKKQAWQQASSFTAPSLQPVQQLPQQLPNQHQQAYQKPS HIPGIQPINIPAQGLQGAPQHMNPAFPYQFQQPIDQNALQSFMQYNQIYQQLLMQQQQQL SQQQYPPQPGMMGNPMQSQLLNYMQSLGGLGLPQGQVNPQQLMGQNQNFQQLQQQLLASQ LNSQLGMQSALPQQLGQGMGLNQLQQQYLQQQMQQQMGMGNFSNFNPLMGLYQGQQQNVK KPGEGSGIIKQEPQ >CAK87060 pep:novel supercontig:GCA_000165425.1:CT868607:52432:53202:-1 gene:GSPATT00020713001 transcript:CAK87060 MKNTSASKQANKTNALRKSAENLPPFAPSTEKDKETSKLAHLEINLNRVCLQQDALMPLL QMIEYIPAIQRTDSVVKGLMRKIEENEQKILKSEKLLESKIKNPDGNQDKDSKKQQKQLQ ELQGKVKDMEQQMNNLQRWYEAKLKEENQALQRNLEHKIEKSKTDTQKSVGSEKRISTEQ SKTHSKQVSQVQQPQESQQQYQYNVLQAVEQMVTASLNQVRNQIQSEIDELREHVIASKQ >CAK87061 pep:novel supercontig:GCA_000165425.1:CT868607:53202:54979:-1 gene:GSPATT00020714001 transcript:CAK87061 MNKASKFKSYALRVGQQNEPIFNDQFWDGLDIAINAVDNVHARKYIDNQCCYYGKPLFES GTLGTKCNSQLILPNKTQSYSESQDPPEESIPLCTLKNFPYQIEHTIQWARDYFAGFFED GSQDCIKYLENPENYLKRILNELKTQPGVLRPKLESVKKFTEVAKKPSLHSIVTLTKNMF QDIFCNQIKQLLYCFPPDHRTSEGQLFWTNPKRPPTPIEFDQNDPLHQLFIHSAVNIFSQ IFGLPKQDKFDEIAKILPTVQVQQYVPKQMQIKENEKDQKEEKSEDDETQIQALTQELEK LTLENKEVTKQLQECAFEKDDPTNWHIEFLSAVSNLRARNYKIPEVQPFQVKLIAGKIIP ALATTTAMIVGAVGLEIFKYILKKDVTKMRNAFINLALPLFLFSEPLPPGEHLDQEYNVL LLGPTKAIPEKWTAWDRITINQQMTLGQFLDFFKEKYQVTVSSITFDKYIIYNNFPQPPQ ENFEKDLSVLFVQNAFQQLPAHRIYLDFGVSGELTINGVEVSADFAPVKYQYKK >CAK87062 pep:novel supercontig:GCA_000165425.1:CT868607:55002:56472:-1 gene:GSPATT00020715001 transcript:CAK87062 MQQQETNFDENLYSRQVAVLGAETQSKLIQMKCFIHGLRGLGLEIAKNLILAGPKSVTVY DPTVLSIADLGSNFYATLEQVGKVTRQDAAIKQLKELNPYVSVEIYNGQLNGASLSEYSV VVLTDVWDQKFITEVNEAVRQKGHGFILAHSSGLFGSTFIDFSDKFQIFDPNGEEPKQAI VAGITNEVEGIVSTIEEKRHGFQDGDSITFREVVGMAEVNDKIFKIKVKSPFMFSIGDTT GFSQYLREGIALQVKVPEDIAFKSFNASLSHPFAPGKNELDLMDWEKIGRPEQLHISYNA LLQFTQQNGRWPGLLNQEDAQKVWELAQQINNSDRGEGALKAELDEQLVKNTALYFSTQI TPLTSFWGGIVAQEIVKYTGKFTPIRQWLHSEFFEALPETEVNRTLLNNQYDDYVAIFGR EALHQLQNSNLFMVGAGALGCEYIKMFALMGCGSGASGQSDCY >CAK87063 pep:novel supercontig:GCA_000165425.1:CT868607:56628:57614:-1 gene:GSPATT00020716001 transcript:CAK87063 MGIQHTCCMRECSNREQNPDSEVQVIQNQQNFNIKRQFSIENQTLKTTQKGTIQISEMDN TFEMLEENQSSNLENNPVPAFVQNKQFHQSMVPRRENRFMQDIECEVRERIKQIYIQDKF EALQSHYSELNHQQNPSKSNECSSKVPNYNESNSNLNFPFNSSLGIEKLQSTQLECITVP HKEMRGILKSDSSQKYSQSLDAKTEKAISNKKRVHFSQDTKFKTQDATHLFKWRNLRNI >CAK87064 pep:novel supercontig:GCA_000165425.1:CT868607:58419:58851:1 gene:GSPATT00020717001 transcript:CAK87064 MQYVAAYALLTLGGKANPSEADVKGLLAEVGVTGSDAEIKTLVDSLKGKTLQEVIAEGLK KVGTLSLGGGSSKAAPVASQAQPAKKAEEKPVEKAPEPEEDVDMGGLFD >CAK87065 pep:novel supercontig:GCA_000165425.1:CT868607:59165:62490:-1 gene:GSPATT00020718001 transcript:CAK87065 MNFPDIIRSGILAIKKIAENQEINKICDHLMDTLRLQDYPKYLDRIVLQDVRIEHLQNQC DFLKNLKKINENEQVFNILLKNEEETYRLNKCVQELQQNNENLMKQIYTYERMLNVLNQN QDDQIYHLNKIKVWQGLQTNLGAEYGNRAVENEIIRPRKYTLESFVNEETNQIIREQKEM IAYYQFYYQMIIEVKRNEDLLVICRICEKKLDAHLITNHLTNCQQVYETKMLLQELNCQI HQLAEQAQNKFRTTNTKYQIHKAKQTRQNLYENGKRQSVSLNQLQLDGSISDGTGSKTNK ASHQNTGKSRFALIGAQNKVKMHAESDQIVEMQNKDQSIQNGLFDQNHEINVNQIKGKQR ANQQYIHSPQREILQGSSPSSNGFDSPASFDDNVDILKDLRQQLNCLKIIINYTEETIKI NSGTQSIQFDLQTQVNLMNTRDFQDRELQDIVKLALKLIEERVQFTQKISRLQKLISEED KQDNINSPLGLKQNTEGFSSRSPKRSPILQNCEKLKWLNKQNNNTIQESSFKKSSSEFGD EENNSQSDESVEIKRLNRNSSEESLEMDNSSQKSKNNIISFKALNLDDQVEEKDFENAEE KDKGYYSDGDIKVMKIAKNKQLLNVTLQDFEFISVLGVGGFGAVWLVSKKKTKDYYAMKV IDCRNKNMSEIQNLRAEKNVFEILEGDFVVKAFYSFIQDQCLLFLLEYMMGGDFSQVLFQ YGRLSEQVAKFYLAELLLAIESLHKKGIIHRDLKPQNILLDSQGHIKLADFGLSEIALVQ KIKDGKDGINLSIDPEALPQNVSKRQIKIKCNLEFHMQNYPSKNSVQDKSSSGKRQNRII GTPDYIPPEVIIGQSISNFSIDWWAFGVIMYEFLVGIPPFNDKSIPKVFENITMRAIEWP EIGDGEDMISNNAYNLINKLLEPNYHIRLGHQGIDEIKQDPFFKDIDWVNIRNVEAPMIP IRDLEHLEEEQCNILQKQNEENKMKDRLQSVQYSHDGKFDEVANLTRFDLLAKLSEQDAR NVIKKQSNQKFHGK >CAK87066 pep:novel supercontig:GCA_000165425.1:CT868607:62503:63213:-1 gene:GSPATT00020719001 transcript:CAK87066 MKHNQQLPNAHMRKHWTRFVKTFYNQPAAKRRRQQRRQANALRASPRPVELLRPVVRGQT IKYNGVQKLGRGFSLIELKEAGLNAQFARTVGIAVDHRRRNNSQEELAANVKRVKAYLSK LVLFPRVAGKPKNGVVKDSANEVVAQPVTQNTNPEVITFQRTPRREKATVISKELRAKNV YRRLRQEWYNAKFVGVKEKRKNAKEQKK >CAK87067 pep:novel supercontig:GCA_000165425.1:CT868607:63730:66672:1 gene:GSPATT00020720001 transcript:CAK87067 MSLLFDQKNKYVLFGIIFYRPNYACNIGPRLRDASLQSNKTVMNLKEESPILIESQISND HPGFSNKIWKAKALEILMLTLRFISFITKSNFATSFKLINKNVFEIIGDVSADFSYYLLK NFFKYEKPTGFQKVKHFLNQNILVPIRRTKMLKIYCWNQKLIMRPESLASIWWNIYILTI LNINVLYVSAKIAFKFDEHSNDVFYQARQIMFDVLPSYSFMLEIILKFNTCYYYKGAVIE NRYQIAKNYLQSSFFFDIFVVIPYFISLRFNLEYLDLVIILKVFQITKFSRTLFDRLELT AIQIVIVDIVKLVYTILAAAHFSACIWFLVGSTGNPNEVSWVKAQNIENEDWFNQYLHSF YWSIITMTTIGYGDITPQNLRERVFAVGMALSAVGVFGYSIGNINSIYAEWSRQSFQIRT DMNNLKKFIRIKGINKHLAEKIRKYFEYVWSDQMEDNDREVYKFSELIPKQLAEEMKIDT NMKLISKNSFLVNNFSEAFLISLSKAMVEEKFVPESIIYKQNDPSQYLYILSNGDLSFYI TLKNKQQTIKVLETVKHEGQAFGVLEFFQSQAYQMSCKSNQFSYVLKIERSQFIELASQH QNDYYKYCELVQQISFMNRQELVDVTCRACNKSTHVILECPMVCGYPNRSKVLLNYRRYI PSDRVKYVRKLEPKIQTRRESSDIQNSIYLYMCKTQILQDQIEEQNNIAESGDGTSCIDD ADDQILEYSSKLNKDVQRNSLLNPSFLQQSKCQLKRKLSFQACTDALKDDEIRDKILEII NKIQRAERSNKYLSRFNQGPQQLQPNFRFQNPQNTILSNKSIQDSDKKIVERGDQQKLTK QVGPQIKVNEYSIDHIYTNKTLDEDPGKRLWQYSDIFEGFEKVRNFEYFLRHNNANEIAR LFRIMTKNHRKHKQNK >CAK87068 pep:novel supercontig:GCA_000165425.1:CT868607:66676:67549:-1 gene:GSPATT00020721001 transcript:CAK87068 MNPNTPFNERFLKIQEKLNSIPLQHDTSKAHRIDTINSRVTAVEERIQDTITSYSRKLHS LKDEIVRLQKQIEEENNAFETQFEQRVREIAAFESRITTKLEQEIAIRRDGNLKLQGYLD EKVVYLKSDIQTEGKIRSEQIENITTSLENDLPKLYDMVKTEGQDREDNDNGTLRRSGEE IRRLNEGLTNQKKLREESETAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDAAN KIYRAAKD >CAK87069 pep:novel supercontig:GCA_000165425.1:CT868607:67715:68164:-1 gene:GSPATT00020722001 transcript:CAK87069 MSNNQFLAPPQSNQLQVHALKRQDSSQQPSIVSGSLMLSTYNSIRPKYQASQLGIDVKAS IDSAPKEFLQEQRKTSESAQQKAQNCNTETIGWRDSIFEENFDDLPLSKKYGLLQQKGVD MYRKQMNSSASPNRPKKKQ >CAK87070 pep:novel supercontig:GCA_000165425.1:CT868607:68170:69291:-1 gene:GSPATT00020723001 transcript:CAK87070 MQTASIFNGKYVVQKKISSGSFGVVLFGHDKDKNIDVAIKIEKEENEDVRSLEREVQVLE RLNGIEGVPKIYWHGEQDDFNVIVMQILGKDLSHYVKSKKKFSFKTSIQLGIQIVKVLER IHNKGVVHRDLKPENVLFGIDDESSKIYVVDFGISKIFRDKNGNIHPFRDNTSFIGTTRY ASIAAHKGFELSRKDDIESLIYVLLYFIKGQLPWQNMQNVSDEERTAKVGEMKMSIDPRE LCKDAPIEFAIILEYLKQLQYLSEPNYNLIQQQFEKAAENLGIQLDYSYDWDQQQPMKIS QSVHSDIMQRSTTLNQQLQLPSTTGEMKKSIDKQGSNLIRQYKSIQI >CAK87071 pep:novel supercontig:GCA_000165425.1:CT868607:69595:70002:1 gene:GSPATT00020724001 transcript:CAK87071 MSEIIPHVYLSSIVYAKDQNWLSKNKISNILIIGDLPEYFPSKYSYKCISIEDKPETNIR LHFEECIEYIDSIIAQDKNILVHCYGGQSRSVTIITAYIIRKLRLNSLRALNYVKQKHAR AEPNQGFLDQLKTFV >CAK87072 pep:novel supercontig:GCA_000165425.1:CT868607:70316:71241:-1 gene:GSPATT00020725001 transcript:CAK87072 MSDQLNCPQKTVGKDNKHNHILWKNQQTLLNQFRQLNLSSQNQKVENLVASWIQQKNSSS LNSSSNNVQPSNLLQSNLVSQFESQGRQSNRPHIIIEEDLLFTEEGDQQHGIQSNKINQN KNKTPSTTRPHAKSIKEQCILFTYEESFDVKKIAIDKNVPKKNQNSYALRPQTMKMHTST QVKSSPMVYKEQSLHNPMKSSHIDYTKFSNLNSGSNSYCNNINNRNKQNNQQKIVKKANL IQNSEFQLDNSCITDLIRLKDQILSTYLKQNRALISSQNQIVKNFDEIVAILKQDFPQHQ >CAK87073 pep:novel supercontig:GCA_000165425.1:CT868607:72250:73487:-1 gene:GSPATT00020726001 transcript:CAK87073 MLQHSESDDHSPVNVIQFQDKNTEIESEALWDKEDTQQEYHIQINSDRILDIFQSIETQI DPFTCVFKTNQQMIVMHKQKLTLLKKLGYLICKSENKYCIILIKLENQNSLLIKSLKGDS EINDSIMKRIGNAIYQFSDKNQHLSHIDEIMQVQIYIRHEDSRFNPFHLDSLSVAMIYKY LQDKIVIPDQITMKQIYNLIEKEHENIKREPLLVKQQQVSQFHIFTIERFIFNKIYDQMM NHYIEKFQERQNQVDLKKMQLREKYSKEDLIKSLEIKNHYLPNADIPYFEAINELKLINL YKTPTEMLKQFQYVLVLIRGVAFECNQKEEIIAMDDELPILIWVALMSDITNLYAKIYFV DDYIQEIENEKRILTNLRVSLDYISKEWKL >CAK87074 pep:novel supercontig:GCA_000165425.1:CT868607:73520:75214:-1 gene:GSPATT00020727001 transcript:CAK87074 MQNNLSEIKKYQQQREERFHQSLFKDQIQNIDSTIQDDSNNPDFVKVIVICTFINETIAT KEKIICSDNEAQFVEKDDVVEQQDLSDCIKKEIITYIERTFIECSKLLKSNYIEKSDVIK LPICSANGIRIPNEYDIRQFFKLSKIKNNSEEFAKSLRSMDSKHLFGFLISSLVFQDSQI EEAIFELLFENITLENAIKLLLITVQYESKKQHYFYKYRLQSLTRLIKHLYYFSKGLLKE TYDNYLQKIVQNGMKPKQLQVEKPNFIKLITQLHIFKINSTILYPIFKQQESALFQYYKP INEKKSFTVYRNLDCPEGREQSDFPHIYYLKNNEQQTVLVAVQYEISGDILIFQEYQEKF IKYNDNYLGLIEFKNQGKEFIVFDDGYPEYMENSFPQWVGRKKRRMLIFDYFSDKRYDKP RLFDVKCFNINTNQYDEIITQEPHYDEQKGSFKLKLKDIALTPSTRNFVLQKKEDNSKEQ HIYLCHGKLNRHTFHLELHEGISVFQGFCISVVSICSKGTCQ >CAK87075 pep:novel supercontig:GCA_000165425.1:CT868607:75288:76841:1 gene:GSPATT00020728001 transcript:CAK87075 MKKGQDQSNSSFLRYIILLLACLALYGNMFIFDQPALLKEQIMQTYSPIYGEQLTGFYFS FMYSFYSIPNIILPLVGGFMSDVFGYRKMSLIFMFFVILGQGFLYFGSSVANLQYMILGR FMFGLGGESLCVASSIIINKWFVGKELSFANALNLSLIRSATVLGTYISPRIAEKSGMTT AFGVGFGITLISGAALLIMNFIDSYTEILQKRNLQKTEGLLDNSIQVMDFGELVKQILED IRMFPKIFWILTLIMTTFYTSVLIFISFSSGIIIKLWLPSDAPIEQNQEVAGELMGIPYL CCTFFSPFVGLMVDKVGQRIKFLAIGCALVFLGLVLMLLFYPIFCMLTLGLAYALFASTI WTSIAFVQDLIIQRGTAFGVMNSVQNFAFFVMPLFIAFISAESSSQVSVVLFFLILASVS LIIAIYLHTEDRNRPVSLNSNDQKKLMNFVNNHNEEGEEFEEEEIIEAR >CAK87076 pep:novel supercontig:GCA_000165425.1:CT868607:77789:78464:1 gene:GSPATT00020729001 transcript:CAK87076 MFDKSFNYYIPKTSSNSDYEQREKKDLKKQFRYVSVNKMVPSDKDNYIPLVSPQLSAYAK PSERIKLQQKLMRVRQNRSLNLYSAKHDPFNHCTDESQLTSEDQLLKIVGGQMLQTGEKC QSVQRKYRRKPKSFVAHRNIFSLYVQESLELNQLRKKMNPQLNYSRMDSHIAQRFNKTQI GFNNSFDSNQYGFLQQGRRCQMQQKFKDFLN >CAK87077 pep:novel supercontig:GCA_000165425.1:CT868607:78503:79802:-1 gene:GSPATT00020730001 transcript:CAK87077 MIKKLFCIPKWKLVAGASFALLGQHIYDCMYNTEIEVFYQNTENNKHLLSKCPNITGHFN PSIWSTNNIFGLTYVTLVEHQMVPLQQREMVTLKDGGQVALDWKINDTKNIVLVLHGLTG GGDCNYIKDTLERLYDAGYTAVCFNNRGVGFTKLSTPQYHNHGDPSDMMEIIDLIKQRYP EATLQCVAISIGANLAAKYAGITKEKCAFKSIVCIANPFDLLACFENLDRWYNYLYIYYL TKHFKWLLNKHLDVMNACFDEHNIDIKKVFEARTIYDFDHYFTRHLQKYESVEQMYRANS SIQYIKDITVPTLFVNTKNDPLIPWKLAPIQLHRHMPNLIFALTQKGGHIEWFSETNLVT VKRWVMDPTLDYLNYFRDLPNDKWQQYLKPTNS >CAK87078 pep:novel supercontig:GCA_000165425.1:CT868607:80230:82173:-1 gene:GSPATT00020731001 transcript:CAK87078 MQIKKIQEINCQCCVYRNNIKLLFKGEKGLLRKVVISLYERYPAQAAPIIQQPIKLKQPT LEQMFSRQKQAKEETKEVIDKKPLEMEVYIKVFCNGEELLCFNLDESINLSKSEVRQQTL NLQFADKILSLYECPNEILEQFRSITLSLELQNDKKQLINHRKNTQNLPTKEVQQSQPEI PPKDTQEKRELNVIQRLKLQRKLLASQQQQLQQQQQSEQIQKQIIKTKSQEPAIPIKQLN IKFQLPLFIWTQVLSYIDSLQLLGLRLINKQFEQSINNLIRKLSYKHVGEIPYEILCSSL IKFSKLKHLSFGSLKNIKPTQLQDVRISSISLLLTLDLKDYHALTDKLCSKFILQCVNLK TLVLPYNSKITSDTITLISQKLTNLERLKLVEKDKGQLNEEIAFTSYLSIFQLKQLHYIR IPFLQVDFFLKYCQQNETNPINSIKQKIKIGTIIFSILNSNQITQFLNFLQINNDIIKLS IGELQMKNLTPLKHTVLFDERIFQQLKGIFKFSFGGFVDDEYCRQLCTHNPDIKQLKIRS KMITDDGLKNIFLKCKSIEKIDVAFCSMICGTGFEYLTDKSLTLEYPKIFIVVSLDDYNL QCLEELLQRKSYDCKPTNRKKLKKY >CAK87079 pep:novel supercontig:GCA_000165425.1:CT868607:83025:84511:1 gene:GSPATT00020732001 transcript:CAK87079 MFKLYLIRKNKVYPRKQSKKLQRVRWMSYKINLKFRQSSRMKKSFIISYLLMSMINLFKI RATTDHLLQQARKIVPTISAVVTTNSNLAIDYYLQLPNQNLSVFQGRIITVQGVPGLLAL KSKTGLRDFIFIKCIGVGGFSRVYLVKKKQSSRFYAMKIIDKKIIIEKKIENIIENERNI LAVTQHPFLNKLEYALECPNHLIFITEFCAGGDLLYYLQKYHVFTETQARFYIIEFILGL IYLHQLDIIYRDIKPENILIDITGHIQIADFGLAKPTRNEYAYSFCGSPEYMAPEMLTNQ GHNQQLDHYCLGVLLYELVVGQPPFYSEDINKIYENIILKEVEFPKNNTLSNEIKDLIVR LLDKDQKSRIGHQGGLLEILDHKWFESVDLIKFLYRKVNPPFQPDVFKPPALSKEYKDGD LDLIRRLLDRNVQGTTMFQNFYYDQSVELDIRLEQQKFLKFYHQLIEQQNSKMQRRQKIK FMRKSRLV >CAK87080 pep:novel supercontig:GCA_000165425.1:CT868607:84547:86324:1 gene:GSPATT00020733001 transcript:CAK87080 MEIQDNNIPNLQNVPIVTGQPVQQEEQIILTKVNADIETKKHVCIHWCLFFVLVTIFVLT SVFMPDSTKYVQMEYPCLQKGEPPLGQESWFEENKQLFCNQSGTNYTVIRKLGQKNQFLI IYGQFSFSTPQGFQISYINYTATLFGLTQDQQKTGNLTENLITSKDHSITSNCQTNYDES EVENEDFQENQENNRPSGNRLLQRGGNNPPPPPPQQNYQGDYDAQICDWNVLVYVPILDY HDYLFVIDFRQINMTSQAKIVLEGVTVDPSYSDSVLAVRYTFFAFSVLTLALFGYRIKQL AMHNWVIEQKFVVVLSFLLPWFNDPLYAATLLAPNRVTAVIGVIFFSNFICCLFLYWLVL YHRIVVENGSKNSASLTKPKVLVCGLLWLFFVVSYSILVIQYTKDPTTSFDDFHHRAYMA FKVLSFLFSFAIFVYLLKYLIQFCKCYETRLWRYKLIGLFNIFFMMCLGLFILSGSFEIY NLTGTEVLISISILNLYIYYQQYLWSPSKKGLAEQSHSDQNMMVSNRDYEELELDNIHIN QANVVDDKFQGVDEKFQVEDDKVMLPE >CAK87081 pep:novel supercontig:GCA_000165425.1:CT868607:86326:86927:1 gene:GSPATT00020734001 transcript:CAK87081 MKEIKSDSLSHTTFQDQSTTDLIIQQQLSQLTKQKQRQNQKTVKKEKINKFKNWSQEDTK KFFRSLQLFGTDFYMINYLFNDRTRTQLKRKFKKERNNAELQASLKKCRRTKIMKLKERL SILKTEHQQINKAETLTQFTRKRFESLASVDSLDIQLVEELRHLE >CAK87082 pep:novel supercontig:GCA_000165425.1:CT868607:86936:89683:1 gene:GSPATT00020735001 transcript:CAK87082 MNILYQRIYNQFSIIAPSNLTQQLESIQVDKEYITNITVIVGTHECKKKTHHLRINDQML LKIEKDSAKPPITDLSFYYLDLHDSKLKLTHDLHICTIQEDDSKWHYQNGNLDFTYDLSK VFGYSSNHKTALILCYKTDPMQDPWSDLILISKNQLPPEGFYKLPQSIQNSYLAYSLANT YHSAKYQFEITDSYPQNNDKPELAMFCFPNGISLYRNNQFPKYNHYILTSETGERTYCTA LIFCEQNLKGFHEMAIVLSSNYCYSEQSLELLKNLYQIYIAKNVLPLERYICNIVDEIVL PQDPAETYIYNGAKQIFFFQSNCFPLCSNQAFQCLFAVLNKKNIVLLYFALLYEKKIQLI SSKPVISSLVIEALLTLLYPFEFTHILISNLPEDLEQYLEAPLPYLIGINKKQAEKYPDA IQVFLDSNNIKQSQTIDVPEDIFDKFVLKLKEFDKYYNPGLIETIGDAFPMPREDELEMI DQYQVREVFLRFNIFLLQDYKKFIDKQVFKEKLFVKSKQRIKQFLEPFMETRLFTFFIQV RMQLSQNDSQLEYFDDCLKNPKGDHFTLPVQIFKDYLKPNDEGFKKDKHFQYCTFPLKLN DQFFSKPRLEFLKHENPFSVYLFPKTQIDILRFELAHIYKQWFRFLVYRIEEGKIDLKDL VKYSIHLLKEMKQNNLYIDSDIFKYAMIACAHYQMNDLALQFIQQMRLLGYQKQIQIYQY YFQTLQNHKKQKKAQQVIQQTTNPSSRSLSNRQSDYKFYLDAKCPRCGRRYAIEDILASF IQDNMECKSNGTLGCNNKFDVQLFFNDKVHYLKKPSKIEKEDKIINMYYFYLIGLPYRFV KNQSDDDIVYSSKKIPIYVDYGGLYTDENDECPTNYILKVVLEVFGMFMERMREIIQKQE IPKGKHQRRVSDLSD >CAK87083 pep:novel supercontig:GCA_000165425.1:CT868607:90067:92547:1 gene:GSPATT00020736001 transcript:CAK87083 MGVCISNNQSAQTEIAIEQMLPSQLIKPNQSLSNSVVELRRGERKRQAKIEVAEEQTHEN VSKQQKEKSPFDFQMILNAFGNHFMFAQMDNNDKAKLIEEMYYVTTKDQEFIFKQGEKAT LFFIIERGQCQIIINGEKKRILKPSEFFGELALMYHAPRSASVCAIGDCGFWVLERNKFR KAVEDIQQKAYETNREFLIQVKFFDFMTEEQRDSIANVLITLKFKQGESIVNEGDMANSF YIIQKGTVQVIKQGQILRQMNQGESFGEQALFGNCVRGATVKAHDSDVNLLSLSREDITT ILGEKIQLIIYTNMQKWAFEKHPQLRDLTKMQIQKIVSNFKIKTYESNDYIFKINQLVDK LIIILDGQLEFEGQLYNNGQLFGDKYLQMDEQKRKINNDIKTTRKTTLSELSYHQFFECI GGKLEIVVKKNKDRFNYISSSIEKRQSNYSLLTLEDFIGLKLLGEGTFGNAYLVKDIPQQ SMHVMKCVPKVNVIANNTERHIKNEKYVLELLHHSNLVCFRRSFKDQNYIYLLTEYIQGK ELFHVIREIGLLNSYETQFYIAQMITILEKMHSQNIIYRDVKPENFIVMENGYIKLIDMG TAKVLKSKASKTYTVVGTPHYMSPDILKGKGYSFSTDLWSLGICFYELMCGEVPFGGDEE DDPFIIEEAILNGKLEYPQILKDFKAIKLMDQLMNRTPELRLGGSYDALKVHPWFDGFQW EDLEASLIKPPYIPKLQQMAYEHMPFLDMIKRDTSPEMICTQQVDWDQDF >CAK87084 pep:novel supercontig:GCA_000165425.1:CT868607:92709:93104:1 gene:GSPATT00020737001 transcript:CAK87084 MYQRKRVKTVLDYNKIERDLPKCELSEQEKSIVGQAIRERKFNLRTRIKSVNSKAELCKN YREYEDKMKLSIAQIVDYEYKQSKQSVSTVKHKGQFTTIFSIQKPKYDPSIVYGSQNNRR VVQIKEILRKL >CAK87085 pep:novel supercontig:GCA_000165425.1:CT868607:93623:95204:1 gene:GSPATT00020738001 transcript:CAK87085 MDQDVFLRKQWFIMTHEGKIEQFYDINLKKVIGSGTYGSVVKATLKGTKNQRAVKVIPKS KVKNPDRFKKEIDILRQLDHPNIIKLYETFEDQRNVYLVMELCEGGELFDRIMDKGYFSE AEAHEIFLQIMQALNYCHTNGICHRDLKPENFLFLTKADDSPIKVIDFGLSTLFEDPISQ KASGSQKVTMKTKAGTPYYISPEVLKGSYDESCDIWSAGVILYILLSGVPPFYGDSDPEI LDAVQKGEYTFEIPELKSVSESAKDLISHMITTPEKRYKASQVIQHKWMKDGSKNTKQLK LNFGQLKNFNGSNKLKKVALTFIASQLNEQEIADLGKLFKQLDKNGDGVLTIDELREGLI GMSDVQAKELGNIIKSIDTDGNGTINYTEFLAATMEKSLYMKEEKLYYQAFKMLDLDGSG KIDKHELQTVLGKSEKIIDEKYWDDMIKEADKNGDGEIDYNEFIEMMDKFSLIN >CAK87086 pep:novel supercontig:GCA_000165425.1:CT868607:95236:96031:1 gene:GSPATT00020739001 transcript:CAK87086 MTEPKAQYDELYKIILVGDSSVGKTSFLIRLTKNVIKKQSLPTIGVEYAAQSILLADVDK IVKSVIWDTAGAEKYKAITTAHYRKSEGALLFYDLCDKNSFDNVLSWRQEILQHTDDKIM IMLIGNKLDLLQDNPQNRCVSVEEVEQICQQYNMLYNETSAKEGTQVKECFEQLIRKIYE SKQLNVEDEIPGQRYEIDDIKSEKKTQEFAQPNVLAVPIKQNKDTTDTCCGTF >CAK87087 pep:novel supercontig:GCA_000165425.1:CT868607:96042:97869:-1 gene:GSPATT00020740001 transcript:CAK87087 MNDNVICNTLYFLQKCQLLLSQVEGHQEWINEINQCQKELELHKANHPLYEQCLIFITDK IIHPFLQDLDILFNCQDVVKEIKKYELNFNYVIKRLSLIREFIPNVVKAQTDEEWIQLNR HIEYVNLDDPLSLSKQFDQFYDYIALGAAYCTLGTKYSNSLISLIVQNVGGAVMLVKKQT ARDIKTKEITNPSLSFVKGLWSIQNNSAFFKNFMKLTNCKVKSHFKIHVPFLFEDQQFKY HHDNNTYVQEKFKGFKMVDDLFNKLQANELSLKQKQQKIKVRIISANKVILNKKVDYSQL VQKVVEVSSEHYQISSVIQQNNKNANIKKVVLHIHGGGWVAMSSFSHQSYTRKWANYLGD DTIIFSIDYRLAPEYRYPYALEDVWQLYLWIINFSQFYLNITVDQIILAGDSAGGNMALG VCFRAIKYGVRIPDGLLMAYPAVNLDFTQFNPYLLQGLIDQVAPATVLKLALHEYLKDTN ATPNVDPYLSPLIAEDSFLQHLPKMTIMCGELDSLTGDTIKFVNRLRKLNKQFRMIIYNG INHGFLNFEVPIFAVPAIKPLIESSCDLLKQLFNQ >CAK87088 pep:novel supercontig:GCA_000165425.1:CT868607:98382:99379:-1 gene:GSPATT00020741001 transcript:CAK87088 MINEFLDFCQKNDGHERNRLLPTRKKWKEVEDELLLKAIRKYGTQWTKIQDEIPSKDSRQ CQARWKILKHKEETLMRLEALAREDFSDKDNHLQKMKKQMKQRKEIPRRYNPKFDYNEDS SSESMHLQQFQEVLAKQPNKLLQIQQQQQQQQQKREQYQKQVQNQYQNVWNAMDDKMLWI AYKIYKGAWNQITPRFQEKNPQSCIDRYQYLLQQKKIKLQEDTSSVDVISSPNDIDSSQD GSEQFDISASEDEDSTDLQRSDCKTLQTKQQQYKGAYTVQQCHTNIERILKSFSNHNLDT LPGIRESIVKLILTD >CAK87089 pep:novel supercontig:GCA_000165425.1:CT868607:99873:100906:-1 gene:GSPATT00020742001 transcript:CAK87089 MNTKQLADYRRDVRTNVSSREPFAQPQEKSSSLFASWSKAERVVLDQQKVSRKSPKKSKL LGGLNYEEIFTSKGPQTKHNKDKVEQLFKRSPRNDYFDNSFTSFPKPARQNTLGSGYKDS PQKSKEKLERFKNWDNRLDVQLILQNKSITNKISSTGDKPGFYKYVNETQKGLFDNNQSN FLYSKSNKNTLFDNKQSFSFKDTFNPPKQQTFQRNHSPQLSNRYVEKFPLTDIVRMTSHF QSLSTQEVTSIPRGYISELNNLADILQRVVKRSNNIS >CAK87090 pep:novel supercontig:GCA_000165425.1:CT868607:100953:101891:-1 gene:GSPATT00020743001 transcript:CAK87090 MQKIGQNFELKKKLGSGAFGDIYLGVNTKNNIEVAIKLEPISSQCPQLRYEHEIYKRLLA DNSSIDRGIPHVYYTSEEGGYTFMVMDLLGSSLEEIFVSKKKKFSLKTVVMIGLQFLERI EFIHSKGIIHRDIKPDNFLIGKTNKQNKIYILDFGLAKRFIKENGHIPYRESKTLTGTAR YCSLNTHLGVEQSRRDDIECMAYVLFYFLKSTLPWQNMRAKNKREKYERIMEKKMTTTYD ELCKGVPKEFGLILKHARNLEFEEEPNYKYLRGLLDTICQQERITVDNQFDWCK >CAK87091 pep:novel supercontig:GCA_000165425.1:CT868607:102164:103068:-1 gene:GSPATT00020744001 transcript:CAK87091 MNSQIQSNPREESFLQMLHYSICPLMKRNSFTYWIIIIDLLHYFISLTYQQTQMFTLRPH QKVLFNFGQLYPIYTKSEFWRLLLSLEQHKNETHLFFSLLFKMHFFSFIEHNIGFRNTIL IYFMSGISSTLFQAIVSQQVGVCGSFHIGAIGYALYLQQQMLQKCSAQQQLQFYFDLQGS QDYGLTLIKVVIVLSIMYITSLKFHGILMVGSLLFGTQCMILGQYFSYEQKKQQQLQLTN STKQTQLTKYIYLSGSIAIIVIEVIVFFQLKNHIEI >CAK87092 pep:novel supercontig:GCA_000165425.1:CT868607:103134:105113:1 gene:GSPATT00020745001 transcript:CAK87092 MNLFAEFVNLHYSPTPQEQELLEEIKSENLIQPIEEQANPFKFKGLLDSYLDSYNRTQPQ RIKDHLNKFHQPISDWVDQPVFLWEIPREVLDQLNRGYFKLQNIRHNHGSESVMLTQQLK AAQDNTEKSYFSMTLSKYLDYLHDPIDFFFRNKQYNPNKLLSLFDLQIESWEEESFKLYE YIPQCFLKEDGLSYLRTKIKNVNELQINIMTPGSWQGAKQEPGAVNRINLNHGPGDCLWI VIDPEHIENLFQNEKQFILQQGECYLQRECLKKHGIPYKRFIQKPGDLVILGAGAFYQME CLSTTITTGWSYLAMNSYSYQQMMKRQQINIKYQIKPEFPLKNLFLDIYIHNQNEQLRIY LLEFINQEQKVIRELIQKKKIYTLDINYLMRKHCFCVECKEEIFIFCYHIQQKVLCLKCD KNYQVQCQYNVNILQLLLSSEEPIKCSYHYCSQYEQQNIKCTAKFKQSKKKKAKSPQSIK ESSTSNIENILRQKSYIQEEEKESNQQKQTKKSDKKQNNREIKVKGEQADPSKQLKSKKH GTNKDTQNQESKQIQNPEKMDDDSNKVIQIVDEPPNLKTYVITTRKRKQILEIKKDEEFK KKKQSSVKPVIKSDKQKQKFTSIYNKVRLSEEEMNEILGLQNQQSQDRIYKKGEKILTL >CAK87093 pep:novel supercontig:GCA_000165425.1:CT868607:105243:107579:-1 gene:GSPATT00020746001 transcript:CAK87093 MNLGIDQIIPNLYSTIGNSIQSTILDDCVLEFQKYLEKVFPSYFQDSQAKKLVFKDQMTT NMITSLLVLTNQSNFMKPYEKEMTTQTLNKLYKIVEEISQHEKIRILINQTILNIERDTN RILQDLQDLFQLLFSSKSCGKMILLQQFDQYVRKLQHYTFFWENLILLENQQATIKIQKD SLIRETDLLNKIEQWKITIIQEKTQISSSKPSSLILDQKKLIQTQSVQQKNILKALQQYS GLGLQKNQLQSIALFEDLAKQDYPIAKAILGQLILEGQFCEQDYDKAYQYFSESADQNCP IGIYFMAKLILEGKVQNKVFGEAQKSTSKFDNPRNPRDDECQFAISMLKIASDSGHVESQ IYLGDLYCYGHKLDDFILESDYSQSEIYLKHARKQENVEAKYKLAKLYQKMYKISIYKNR IQFVYQLLLEAKNNDYLPAYYDLAKILIEGIKDEVQPNQMMAELILEQGAMKGNIDCARL MLERKYNNLVINRINIPVFLQLLDSYDQIQHKAENLTFYYRGKIAQSENNNQFATQLFNL GANLGCSKCKLELSLCSKKDQIKKKEGEKPEIFQANLGFLQLVKQSDWKSRFRKQSQFSN PFNASQIENDQSTFQARMTSSIIKTIDINDGEDKRQRVISDYTGFTKQQVSDQSILLSNL RAKPNDQAQINSNNQIQEQQQRKSSQCTKKEKPRFLRHLSQHAIHSQSQNVLNIQNE >CAK87094 pep:novel supercontig:GCA_000165425.1:CT868607:107699:108424:-1 gene:GSPATT00020747001 transcript:CAK87094 MNKTRDWNIVDDELNRKLKQLQEVKSSLDDQSTELLLQNKDQNQEYNNDINYYKEFWRYY ILNEMTIKKVNELHSQNQKLHELITEIDKLQQELHQALSYRHKKKNRRTSQEIEKSFVCP YEKCNKQYGSDVSLNLHIKLKHDGGNKTDREKFAKMIIEAQQNGETVTDLNINIKFPPGY LDVQFIQLQQFKTQFMLSQQNQLNSERKSIEQD >CAK87095 pep:novel supercontig:GCA_000165425.1:CT868607:108791:112894:1 gene:GSPATT00020748001 transcript:CAK87095 MQIEFREFKEKYFPLKLIDLSRLYPNIKTTKDGNLIKTISFSVKSNWNIWKQNLKLEIEY QVNLSHQFPNHILHIIDWAAEIQSVSVIVYITYNCKSNAKSLNSFLNTSMISEQQKLQIA EQLIEIASILELSDIQHRNIKLNNFILIDNKTYLTDFGSARTHYNHYTKQSANSEERQKQ NETLFYSSPEIMEILTQDNIDFQENEWAQIFQNEQYKKVILKRNDNWSISIILLQLLSFQ TQIPDDIKSFYGYDIAKFDQEIRKQATNPYFRVITEIIKQLSFGKISALTCLEQLKKQIP RSDTENKQHKQIMKEDYNILNLQLKRQIQINTKLDYYYLSQAQLTQLQQVNDQDAQKNDQ TKQNKTNAKPQQYEIKKQQELNQVKEPVNDCKSYFLDENSIQIFSPKNNEEEQFGNGILK QLCDYESSSFEEQKLIKVNHVSLSSFNNNDQTLLLTQLNDDNHIKDQNKQKQIKAQNYDQ QKPSQLQVLTDDKEQVQKKDQIKTVSHLLNNQKKQYTLNQIVDQKSSKSPIVLGQTQYQF PVQQTVIKNISNVQELETYKNNESSYSPKFQVDQQQRQINIIPLNQSTQIIKKVEPKAEE LPKLSHVIEIINNSNSPQIVKTQNSNSFHQIQIPKNQQELNQVFQTNLILYQQDFPFEEQ NSQKDQMSQSIIEFNKDPQFQTATQIQDFDLCTSRKQRENTNTGQNHFINDLNLIEMKES QQISILKDYNEKKDFNNQELIQIQNPLQLNEESSQIVKNQQNEIYIMEQVNSNSQSSNGD CPQIIQIAKLQQDQNNSLNQDENCNGPNKVLQLVKDQPGEASEIFDNDSKKLDNYQTDNL LKIEVLKLLNSQQLFKYQELLLKKKHSKQDNEEEIDKQLQTIEQIQNIKEKLNYTREQEL ILLNQEELNQMNEQQLDRYILNLQNILENSDQTQKDNDPSQGEIEICLQNVIKAKKKLVQ IQERENNEENHVNQKFQNFILQPNQNNFLSKQDFEDLSYPQQIQYCNIVQQMINLELKIQ KGYLNQQYQQQQKVVNGFKKAQEMVLTRSLINFNGFETSQKIEGKKQNNGLTIVNPLRQS SVTDEIAPFLMQDFESQQQLFNRVSTKSPPNSKSGYTDKYNFQKCLENLKDFEIWSTNPR IKKFQKWKRFSQPNFEIPIEYRVLSDYQNNRKKIENQQFEYGGYTQNNQAHGFGIMMKRL KGAIYEGIFEKGKFIYGIALELNNESRLQKYIGSYNTDYDIKHGQCKMTWYDEVRNSQFQ IYEEHSGLMIYGLLHGEGKRWNVKERWLYIGFWKKSVRSGVGKYYVKKENEELELKYQGE FCNDTYHGKGQFYHNDHIYEEGEYRNGKKIGPHLLYKDNVLQKTINY >CAK87096 pep:novel supercontig:GCA_000165425.1:CT868607:112940:113302:1 gene:GSPATT00020749001 transcript:CAK87096 MAILFEILLLIGFAGASFYIGYRYSIDFQPKVDAPYNPVDDIESSKGRTGAISKSQYQAR QKELDKVLQQIEEAKQKEKKKLVEIDLLRAKSKDKVLSDQEFNNLLDE >CAK87097 pep:novel supercontig:GCA_000165425.1:CT868607:113357:114060:1 gene:GSPATT00020750001 transcript:CAK87097 MLQILLFLVVSTFAQEETEEEILSRKIGACWIKTIKDINNEKESMTQIIESVENGNKTSI FHKIQMQMILSCVQVIDVNSEILSSLAYKEVVKDFNYKQFIGMDVTLTKEEEALLKIIKK LEKDLGEMDKKNKLKQSYQQTQKVETEWQNAWKEQSQESSLLSKPNYFHYAFFLVLIVAI FGGGYWSLKSIQAMNNQKNSKQRKRN >CAK87098 pep:novel supercontig:GCA_000165425.1:CT868607:114088:114329:1 gene:GSPATT00020751001 transcript:CAK87098 MDQSEHEAKEMLKPYLQQLVQLEEADKLKQAQITALRLAIEQLKQQALDHQKKYEEEQKK EAKKKAVVKK >CAK87099 pep:novel supercontig:GCA_000165425.1:CT868607:114399:117015:-1 gene:GSPATT00020752001 transcript:CAK87099 MDPKKQPQPNQPSQPNQPNQPNQQGQSEKKDVSTAILDRKKAPNRLIAEEALQDDNTVIQ LSQAKMTELKLFKGAPVLLKGKKRKETVAVPIPDKLDNEKIRLNKVIRKNLRIKLGDVVT IKPLDQVPTLTKVHVLPFDDSIEGIKGDLAQTYLIPYFKDAYRPVKKEEDSRQSNSRLLQ LNLEKIGIVGPTTTLFTEGEPVKREDEEKLDEVGYDDVGGCRKQMAQIREMIELPLRHPQ LFKTLGVKPPRGVLLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGEAEGNLRK AFEEAEKNSPAIIFIDEIDSIAPKREKVSGEVERRVVSQLLTLMDGLKGRGQVIVIGATN RPNSIDPALRRFGRFDREIDIGVPDEVGRMEILRIHTKNMKLAEDVDLAAIAKDTHGFVG ADMAALCTESALQCIREKMDVIDLEDEKLDAAVLEAMAVTQEHFKFAMGQVNPSSLRETV VEVPNVKWEDIGGLEEVKKQLQEMILFPIEHPEKFHKFGMQPSKGVLFYGPPGCGKTLLA KAVASECSANFISIKGPELLTMWFGESESNVREVFDKARQASPCVLFFDELDSIAVQRGS SAGDAGGAGDRVINQLLTEMDGISAKKSVFFIGATNRPEILDEAIIRPGRLDQLIYIPLP DEPSRLNVFQANLRKTPVANNVDLAYLAKITDGFSGADITEICQRAAKAAVRDAIEAEAR QKQALQMAPNKASQLIKADPVPDLNRKHFEEALRHARKSVTNIDLQKFEDFRKKFDPSFN KGSNQGGFAFKWPEAGGQQFGRSQQSKIQEEDDLYGN >CAK87100 pep:novel supercontig:GCA_000165425.1:CT868607:117120:117951:1 gene:GSPATT00020753001 transcript:CAK87100 MSIQRIMTKSPLRTQSHSTATFTRDDHRLTFIQHCSLKSITNMRTSEYSNANVKNNDKII ESQHSQINEMKQFISDCQISLIHFKQQLEDQLSIKQNYDQELRQLNQLVASLKSENQQLV LLYNEKKNEVEELTSNNLIIINDFNKQFETTLKARIEKDIKEIHNKYLREIQLLKTKLFE QEKLVHNQEVIRLLQKKCEDLLQSIDQKNYYIDDLLIKYGELDEKYENLKKQMSQKLYEE EILDDLSIFKLNKS >CAK87101 pep:novel supercontig:GCA_000165425.1:CT868607:117956:118677:-1 gene:GSPATT00020754001 transcript:CAK87101 MNKGNNAGDTSGTPGYMAPEVMCRMDHSVVADYYALGVITYELMLGRRPYNGRTRQDIRE QILAKQVQVKKEELPLGWSESSLDFVNQLILRKPERRLGSNGIEEILHHSWLKGYPWDDL LKKKIQALYVPGAVDDNFDFQNQISEETQLNDDQQLENQVLLRRDTVQSLFDGYNFDVEL KNIQHQQTQKNHSQRKSQPVSPEKRA >CAK87102 pep:novel supercontig:GCA_000165425.1:CT868607:118694:120028:-1 gene:GSPATT00020755001 transcript:CAK87102 MSQQTAKNLRDLLIKQQLNSKIKFKGEPANKIQPTRKQSGNWDKKVDISQCYCNQKNCNI CIKKRVVINASPLLKVKVSTKNQPCESDSRREIKVGNSVSAHQSPNREKQYQMQQMFLQK QLQSQLHQIQESRPQSRQMPKEQAKKPQFYHNMDNFLNSYYQKSNLSNSQLYENSYNVKS KVIPKRVESKSHVLSNTQTSFKLKLNQQGPKFSAIINSGLVESAPNSPNNHENSNKSKLT PNDSISKSKINQNDSKSSELRRKSTGEISDKTQILSLKIDEQVNRTHFKFLYVIGKGGFG RVWRVEMKKNKKLFALKEMSKAKVIQKRSVNSVLNEKYLLEHLHHPFLVNMWYAFQDREN LFLIIDLLTGGDLRFHLGKMRRFSEAQTKFFVACILLALTYLHQNGIIHRDFKTRKLGLR LGWIYEIN >CAK87103 pep:novel supercontig:GCA_000165425.1:CT868607:120394:121451:1 gene:GSPATT00020756001 transcript:CAK87103 MQYLKTQSILFLYGLFDSIQFMKGLRRYAFDQQEKHCMEIQKYLRQAIEFAGKKLAIVFI IDLIVYLLGLMSIPKIFLWSFQIVSVVLYLENNVRQLVYVGKITQTSIQYETNVKQNRQF LIYNLKRDNNVGFSTIIDQIKLTLQALLFSFLLLLQTSLFDILVVILPENTIISFIIKHV DYLLYAVYITTGYISLKIPYQDHLPYYLHIYIGYLIGYSVILSYFLNIYFSFPKLGIVFS ILSPICLMREFQIDAPLITEIEEKSFRENFDILIKNFLGEEGIPRTSYEHHLKMAENDKG YKAKLRKTIGWFTLARGLRPYVINPLNKAIIIVMKIYNSLLGIV >CAK87104 pep:novel supercontig:GCA_000165425.1:CT868607:121504:123140:-1 gene:GSPATT00020757001 transcript:CAK87104 MGEKRIDKYLFNPKDLIGEGSYAQVYRGRDEKTGVKVAIKVLNKSVINADDYLREGLISE IKVMQKLKSPNIVQLMDVMETNNNYYIIQEYCDSGDLDKLIENYAAQKKTMPEKDAVKFM IDILNGFIQLIKNGIIHRDLKPANILIDKGTFKLADFGFAKCVDNFKKDMLASLVGTPLY MSPQILDNKKYTSKTDIWSIAFIFYEALFGKTPWTARSPQELLKNIRNQPLQFKGPQISK EAQEFLIGCLQAEEKDRLSWDEIYRHPLFKGHFTDFIKNVSILEDKATYLINDIRQMIIK DQIDIGELFAELDMTKDKALNVNELGKFLQRVDKDLTREEIEYIFNKFDDDGNNLIEFNE FKKWLEENDCRMTASEVSRTKKKVSILMKPQQDIQAGSLDDRARSVIEKLKVSIVKFNIN LLDLFNKYDKSANHELDAQELGKLLKRIDQTVTDEEAKAIFTFFDHNKDGSVSFNEFEFV LKECLVKQKIKQ >CAK87105 pep:novel supercontig:GCA_000165425.1:CT868607:123432:127860:-1 gene:GSPATT00020758001 transcript:CAK87105 MNQIGIVDKLDDSFKKFNSRILEVDQGRIKYYSKPPPDFQSENPKLNDKPKAGLLFSSIL DIIENDPRISNDLQPRVIAFTFYSNQVLKGNELNKPEPALPKPKQPLQTWIFLFRDIESK ERWCEIFAMNLQRQEAPTASVKQFVENQLKQLEKLSPDQIKEQNPIESVDEEEKLKNEAK VRSGKVKQFRTNLKLQEIDRKNKEEEINRQRKQQFEEEQKRLNLQREEEEKKRREEEQRN LRREQERQMKLRISTAWEFRYYQALEDCIESCDNFDNSMKAGLRLIKYLETFKEQAFISV KQLICDLLDKKITVVNQNQSVNLIEEDNQKKTIFSKPKKKQQNNEENNYIGQFQDCFYGT FQFKSNNMLIYIATSETVERTLLIPNKSVMIQSLNLNLLELPFWYGIVHSNCKKRQLSDE FKALNYLNDICFSQRSVNKYFKLKVPLSCQIEYLGFKALVLACPPSPLDDSSLIYGVPNG ENYYKASQKLELEIEALGRSLAIKPHCFMWKIERFDPKKIQLDTKRSVIQLSVNTQIHSG NIAEFEQLKAQIKIYADQESEQEVKRQGLFYLLRVKEILPYVIEEHYSELVEQSNKTIPA NHNFDHLLQNYEFRRARPEFLSVYYDTGVSGQLRAQQSNIQNRKVYNPDAYDTIEESNDI AIDQMALAEMSKNFIRGYIQEFVNKIDQLEICPIDSYTLRESFRLFGINMRFLNLVALQT KIPHVQQLCEVEMMARSIKNIFNQQFTEFLQTITEDQIPFKPFDPKKIIRDPFSDQEELE SKRPFPKKQSIMLPRTIIQERETMSEFIEMQYKEQLADLLNLLFSKSNVFYEQILFKQVL FDFNYDLNLKLKGICPGSLLSALQYNLKFESKFEPKDIIFGTPYAQLDESVINKFNLKQY LHQKTYQQLYKTSIIPASSQMTPLTTPPTKGAHSIKQMNKQVFFDSSSIIQLRFDVQSFS MLEVEICKLVNKFNIFKLQQNYQLAIKVLHIRLNLLEGLEHSSNIAQARTMVLTDLAELH FKQKQYQQTMVRLAEALKHLNPNIYLDSENLLNQLSNMNQRWIPKVGMIRPLMLLLEIVT ELYQDYVFIVFDLLIFNLEFCLGSQHHYYAKVFNIMGTFFKNRGQIEDMLQMNTMQIICL IKTIGHQHQLTADAYIEIGEQKQSPEQQILFYEKAFYIFETLYTDNSLQTAYVACKLTKG YTDCGKINEALKISQLACKILKTKAQDNLAYLLELSYYRAYNFYKNQQKEQARKECEEYF NVLQLYWDQIQAYDQQDQSHSDISNINRDLQSFPIQLIEMTRKTLITFTEIFLAEASKEH RNFLYQMFDLIIENHARSNLLEQFDSQFNVQFIHVLKKIKTLGSLQAYFEWSLIQFVDKT GGDTNWLTFNFKVQNYEQLKEPYENYECIVVTLGRKVLAQIFEKIMINNP >CAK87106 pep:novel supercontig:GCA_000165425.1:CT868607:128137:128355:1 gene:GSPATT00020759001 transcript:CAK87106 MKQRPRQIDASKPVLTVSSLDAFNNAEGQEADIKNTEQIITPDQLLKEKQITYICLITKR GVQENRIHLSQM >CAK87107 pep:novel supercontig:GCA_000165425.1:CT868607:128794:129876:1 gene:GSPATT00020760001 transcript:CAK87107 MHPYYEDSNPHLAFRPRTQPMDRTMKLRRGNAQNTRGVNEMENLGQKIVLLKKDLLTVSN IIDQSIQREKAMEIERRLSFCKFIKEFFESSKKEKDNNAEIRLWPKDCFVRECLDKCSIN IVERADEMFDNISKEILQWRELNEQQKKEKQRKEDQILRFEKQIEDLITEKLKFEEKPPV IVPPVIPQPIQPQQQPQIPVIPQEPPDEKMESVARFLATLYLEARKYQLTFDQVLSDSFP IYKIQNPQQQVNSKSIFPFSLMQIEGNDIVYKVRKDSCLERKTTAYWESYQDQQLTSTLY YQHQYFKEKVNDIMEMADMDQMVYAQGVDDDKLDEEEKLFKKKVKSGSTRLTGSNGAQLK >CAK87108 pep:novel supercontig:GCA_000165425.1:CT868607:129943:130464:-1 gene:GSPATT00020761001 transcript:CAK87108 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK87109 pep:novel supercontig:GCA_000165425.1:CT868607:130654:134049:1 gene:GSPATT00020762001 transcript:CAK87109 MSQRKSLTQAHIKTVLISEARGKFKRCQLCQKEFGLLKPEHQCKRCRRAVCKQCSEHKII YITETGPSKRPRRICNSCKDESDWIKRFIEQQKIVFGTNTFAVEWLKASGLTVEHANQEY EKALKGITSNPENSDYQKMKSELNSVMIELWLNLNFSLREFITYLIKDNEHELLTQKISK VLGSLLLQYPDIGYTSDQILITLFLLCFSSEASTYALLTVLYSNIIPFNTYPSQLKKTPY DYLHETEKVAQVLEQAFKLKQSEVTLAKPFVRNRISRYLQPFGINFFLLQTSFFFLNQVL LNPTVGYDNYLKCLASSFYATFDEIKQFNQQFEEIEGQIMKGVSSGVIEKNYVQTKLIIF ISHPQGMSQSVIVSRPLEQNKDTHQIKVEFIKDQNEQQRNEQDDDMLKYSVTQSDEFQNL RRKTVQIAQQPQVDEEKETLKKYIEQIEELLMTKHKLVTELQLQVKELQNQPLQVINQDA DKQLLDANESLRKKIALYDLEIQALHEQKKYQDKIQEDNQNIINNLQKSNSEIYAQLNAQ ILQNKELQQQVSDLKLLSTQQAENSMTASQIDKNYQINISLQSRQTEQELTQLLDADRQK IFQLELQVNQLNKEYIALQQLNQDKLRIIGNLEVRLIQAEAAKREHEKYSSENIEIKKKL QNHELVIIQQTEQLQLLQQRYDLRCLELEPIKKEKLQLAEELGLKEQIILTIQQQLAELK NSQIFISKQLDEALQINEKLQQNIIEKDQQISEYLKVVQEKNLQITALRESNILMNERIQ NLLKQLEENELQLAKVQQELKENLDRQAQGQIEASTLKEQLQQLMQQHQLINDKHRLIII ELDDYKTKWTILTQEHSSLRETHQITTSELDQLKQRFAQLTNDHNSFQEQHRLILIQFEQ ERTNSTLVQEKNKVIDELRHQIIKLEETHQVTIQIHLTKVQELELLIITLEKKVLEFKSL SETQKIEISEHLAKINQFESDRAEHVAKNQSLTIQLTTYMEKQNELEKKNSSLESELAQL QKRVQELNHHNGEQKVLIIQLEERITLLITEVKTKEGENVKLIQVIESQKQSIIDYEELI RKLKAQIVELEKYNLKLKTTLKHYKELSKEMAEID >CAK87110 pep:novel supercontig:GCA_000165425.1:CT868607:134153:135218:-1 gene:GSPATT00020763001 transcript:CAK87110 MFKRYGINITKTKLREFFKRIDQDEDDKLNWNDFRQALQNQEALQMFVELMRKIRETSEK LGKPNEFNFVPLSFPNMIQYMNYCVLREELIQKIKSEQLSNQQKVKQCTNLLSLEDICYK KVLDMREVEEEQQQDEEDPLKKFMKKNNDPQQLAILKRLQEKEQMMQRQKRIRKLSSSQY YRTDTDQTNRSIRKSYQSNKQETSKIYQSEFKLIDQFVNDNQSQLPFKITDNKNNLNIQQ ALQITERLNLNNTDSPYKYQANHLKQVSCTTSTRRGDHFTNQYLINSKSPFLTSQISKLR SPQRIRVISQIDLKKSDSKNKI >CAK87111 pep:novel supercontig:GCA_000165425.1:CT868607:135289:135441:-1 gene:GSPATT00020764001 transcript:CAK87111 MDKNISLKVKNFQTVNWLKNRFRNQVKDKYILNEKELKEQTMMKAVFQIN >CAK87112 pep:novel supercontig:GCA_000165425.1:CT868607:135823:137253:1 gene:GSPATT00020765001 transcript:CAK87112 MREVISIHVGQGGIQVGNACWELFCLEHGIQPDGQMPSDKTIGGGDDAFNTFFSETGAGK HVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLD RIRKLADNCTGLQGFLVFHSVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTA VVEPYNSILSTHSLLEHTDVCVMLDNEAIYDICRRNLDIERPTYTNLNRLIAQVISSLTA SLRFDGALNVDITEFQTNLVPYPRIHFMLCSYAPIISAEKAYHEQLSVAEITNSAFEPAN MMAKCDPRHGKYMACSMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVMRAVCMISNSTAIAEVFSRLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDLAALEKDYEEVGIETAEGEGEEGEG >CAK87113 pep:novel supercontig:GCA_000165425.1:CT868607:138000:141956:1 gene:GSPATT00020766001 transcript:CAK87113 MLTRNDNMSLLGFVPALVVQYLANQQQITQLPEKQNLRSVVMFADISGFTNLTETLSKLG NEGAELIAFAINRYMELLVSAISKSGGDIFKFAGDAMIVVWPPSIKESAVENHEELKVIC RLAVQSALDIQSKLNNTTILEDIKLSVKIGFGIGDINIIYVGGVFGRSEYLATGDPLTQA FKSEHHATSGGQIIVSQEVFDMVSDFFVFQRLQNDSSFYMVLKLINNKVQLKAGAQLIKN RLGGINKSQIMTFIPAALIPYIEIEQEKWSSELRRITTLFINLGIDLSDADSRLQHIQKV IEVVQKCIYINEGSLNKLLMDDKGSTLIVGFGLPPLKHQDDPIRALLAAQLLVKQLSLIN CKCYIGVATGIVFTGVVGTSGSRREYSILGDAVNLAARLMQLAIQENKSILLCQRTAEDC EHKIDSELFKMVQLKGKTGLIPVYQITQQKSIMKIHYYQSKMGFNQKGLVGGKDKTQQIL HLVNTFLMMDRDGRISLADMDIERDFILLKGNYGVGKTFVMKILEYQIKTENPKVLILES SINSFEKVFKGNGIKKIIKQIYDIALSKTTYNQQELLAAISNGNQVIQKALEELLDLSEW LNDYVNNFPNFQSSSSTNDEQKMKQIGQAVVKLFEIYFEQQLPDKKRRLYSQMDDESDDV QSNDLSTDKIDVPPIIIVLDDLQYYDQLSFYLIKTIIKKFNRILIIGVTRDQFWEIPIMS RKGNSRNPNRSQELVYDRGVESIINLYNVTPNEVIMKGIKNIEEQKHFLLLYFGFDKFSD PKIHEVLHLKSCRQPLLLIHLVKTLLDNKYLIKENDSVYATQQLQTLIQHEEWLQIEIPQ ICYQMNGPIIDSLSCVSLLLLKFACVIGDIFDIQTLFKIIPFKQINQNKLLMALKQLVQQ DIIEFMHDDNENRYYRFVTPFMRECLYQSMTYTQRRSIHSLVAEALQIIPQPYDDYKELK RLEFHWRMAEQKISIKSSNAIIKFSHKAKKSLIVKQIQMILKTNNNVKTPLKKGFIFKRS DKKVSWQYRYCVIDSENMILYLNNCMIEQTGILPLKLITTITPIFDKSDDSQQFCLQVGV SDWIKKGKVKGDKRIFLFAFESEDSLEEWTIFLEFVKAKSIYNDFVENFGRIQLPINLIN EAYDPQLTIYLQEMFKGSIKRFRQQNVTEQLSNKNRKSTHSKPQQLQIAQSPIPNYHSPN NNPKRFSVRFSAISEQEEKSDDTPLKEKLRQFFFGSFAVLAIHLLTHIDEPNYIVLGQEG RTMRRLKKNKKHKNNMMQRIPNIPSIIEFDFQ >CAK87114 pep:novel supercontig:GCA_000165425.1:CT868607:141993:142475:1 gene:GSPATT00020767001 transcript:CAK87114 MPILRRKDQQKAKNLNLKFVIDCTEPKEDKVLNLDEFVSYLQSRIKVQGKTGNLGNDVTV AAEQDKVVVTSTIPFSKRYLKYLTKKYLKQETLREYLYVHSLDKATYQLKYFNVGQDEEE QK >CAK87115 pep:novel supercontig:GCA_000165425.1:CT868607:142508:143809:-1 gene:GSPATT00020768001 transcript:CAK87115 MIQMDVKEKKMYKFPTLSQRQMDLLTKLLSQQDRQNALPIINALTGNNGAQLRGLDTAIS ALDKNHRDMFFQYVLPKMAKYALDMLELSPKKELLISEGSIDFTRKEIYQFLSLSFFGLL VTQDEKFPDTYNLGHILQTDAEKSKCYLYYFIMASPDLENEIITVERIAFNADYHINQFF PNSQAKILEDAQLWSNCEKSLQTIEFVDQKIEEQQNSILVDFANKYVGGGVLSYGCVQEE ILFTIMPENIIAVLFCKVLDFHQVVIVKNTIRYSDYEGYANSFRFVQRQPKNMNQNILVL DAINYKNNPDKQFQQNEIMREINKAFIGFSLSQSAKEEEFLYPISTGKWGCGVFKGNTQL KTIIQLLAFSASTKSENQKRRMIFSTFKDKQLQFIKKDVDHIIGKYKTVGKLFSQLMKVT PKYGVFEFLLGQK >CAK87116 pep:novel supercontig:GCA_000165425.1:CT868607:143840:145046:-1 gene:GSPATT00020769001 transcript:CAK87116 MSEKKVSIQDYIKNKVKPVFDQLIARIVIEKPEDVYSWSIGWLQKQQSGVQVQQHAHHDL SEEDEDEEEVVLEIKQQQKQQTRTAVSAEVYGDYNKKEDFKPRFIQKSEAQIERIKKRIL NSFMFQALDEKDLNIVLGAMDEKKFQVGDVVIKQGDDGNELYVIDEGRLECYKKFTGLEE EKLLKTYIPGESFGELALLYNAPRAATIKAIEEVTTFALDRETFNNIVKFSAIKKREQME EILSKIELLQSMDNYERVQLCDVLKEEKHKAGETIITEGEIGDRIYLIIEGELEAYWKGS SEKVYDYKPGDYFGELALLKNTPRQATVTAKTDVVLLYCDFNSFRRLMGPLEEVLKRNVE RYEKYLQQ >CAK87117 pep:novel supercontig:GCA_000165425.1:CT868607:145234:147438:1 gene:GSPATT00020770001 transcript:CAK87117 MRKKVSTLQDYEECKQQLLLDYLSTLEEKDKEKLLEKLESINIRNLIDVYSHYKEKPNEN RELNPIKNVLRVASTPKDTLQQYQKLGEKLISEGKVCVAMMAGGQGTRLGFNKAKGMFDI GLPSHKTLFQIFCERILSLQNMIQSRIGQCLPIQFFIMTSDVNHEETTQFFIENNYFNLQ SDQITFFQQDSLPILSINGEIMLSNSTAILEGPDGNGGIFSSLYNQGYLDYMKCLGIKYI HICPVDNALCKLCDPIWIGYVESKNLTICSKFVKKAHAEEKVGIHALINEKPCVIEYSEM TQEDLHKKNEEGELIYDAGGIAQMICTVEFAHKIIEDPQTSNNYHVAQKKYDYYNINQRQ IVKPDQINALKFELFFFDCFPLCPKEQFGLIEVKREDEFAPVKNAPGDKSDTPETAKKLY LDRDQKWLKYYGLQFPQQVEISAKITYFGEGLENILPKYLGNKQNPLIITNDRQSAPKSP QLVKQPQPKQQPLQQPQQQQQQQQQQQQQQPKQPQKQIQQTSVLNQQIYPQVVYSSLINS VHPTVLQPNYYPYQSTPQNSKNILVQENPIHQSQVLNPRLSMQHQTQSQQQLKQIKANAF PTQGIAVATPKLINPQTRYQPIMYSQNLLNQKQSIIQPVQINSSLSPQRVTSYFKQRAVT VQRPTMFGQQRPLIISAQQYIPIATIKK >CAK87118 pep:novel supercontig:GCA_000165425.1:CT868607:147490:154938:-1 gene:GSPATT00020771001 transcript:CAK87118 MKQLFLNYRRNNEMKKITYQVLYLQTSLIKIQFRNGETVLSDGYRKNDNKIKRANLNVFF PLRTLKENILYFLLLILQVSSSYTLYFVGILLVIQQWLVDLHNLMKIRKMDKMVNSQEAR VLKQWDECIINKEIIEKIKERLTKQDQSDYNSGNKNKSQADKNSQGRIVEHVQNQKKGTI ICIFQIQFQAIISIQLQNVSYKQQSSIKNIKTDNVNKYNQMSPLIEKDIALKLKQVQLME AEPLIVEFKKRVPSMFFRDALSTQQNAVLNEKLKKIEKDKMNLIKIQNVENIMIGDVILL ERNQRAPCDILVLHCNDENFSVQHQLCDYSSTTVRKPVIQNRSDSQNLAQFKKSLTGNIV CQEQNFKIKGFFQLKKDPQSRIFGFENFIFCQEKLLATPWVLGIVVKVGNSCQFYARFQG ELRYENFQFLPHYMVILVITIIQIIVYNQNHVLQNFRSITQIIIDNCIFLILMVPHFYKV YYKICSIVQLKGIGTIYQKQIMSCYIDKYIDKQYLTVSVDSFIEHSFQLKCIIHDNKLCE FDEPRFLQFLLQTNANPSMQNEVQNPEKDEQEDFLQRSQVINSLLQSQCSDDLMSQREQK IHQIPTSVQGKFISYEQSEQKEDLNINKIGQIQQDQASKEKTFSKNHEAYNETFQRASNL LGISKGYHSSKEPFKQEDYQIDEQELCQNMMRNDEPDELNPLLLQLAINHLAFSKILITE KKEQKVKNKFLGLLDQKQINLAKAMGYEFICVNNVQNTQHYIIQINQEFHSFKLVITKLD IQRQRLFMLFEMVHFYGNDKQFILFLREGNVKKNEGIDDKVWKHQYDQLHYIYYSYCYLT SDSASLFLQSVESNIPDNQLYTLMEQVFKLNIILGLKYTLKPDCQDFMKNLRKSDYQVFT YTQNQEIYATSILYQSELIQQNDLVLHFNQQNEEDLRAYFKQSLQDFSSNFADASINSSQ LIQGLDSQNQIIFDKTDQKPRTKKIIVMMNNQSLQYITENHYFTSNLKLILSFTKVLFLY QATQDQLNIIQEIWCTSAKTINILYENQSLLRCFYGCQVQILQLQQFSLFKNQKIEKVQK NNFFSQLIISLQQRLIFEKCYFNEMQINSNTDVILQGFKELDRLLFFQSPLLKIFFRALY QQTLYKTITFVSSFTFVTIIYTSYTLDQMDYLIEIIFYFYIYQFILFSIQHYSFFDQSKR LKYHKDQSILLKKYSQHKASIETIIIMILKHILQGVLISCIFIYKMYDLEYYLYIFMSIS ISDYCYMMINLNIIEATILAGVFPIIFYFYILFDNIQNDEWNKSIDTEDIFTIILGISFL LITNLIFDYVQNHNILSIPIISEDFVSYLHYVQMMKASKQKKTKLTILQNRVNELFENIE QVDLSIQKLLLNQQNSQSKFGQWRQQIFKKAQTILMWKKKQNIQSFQLLFYHNTFLIIIY FYHDRTDFFLVVYLITFCIQALYFAIQLFVQLPTNQQEYLEYAKFFLSTAATISILFVMK SVDNINQILTVQYFIVYELSIKFHPIYDFRVYIITAVATILGYIVWYIVESDSIISNQIA VIQFIILFSVLQYLVKSYFIQLEEDQAQNKLNFIEQNNKINDILGILLPKFIRDRLNETD QYNIHQNQGLVAIVFCDICNFDQMVTEEKDKIITFLDDIFRTFDKYCQIYGVQKIETVGK TYLASAGLKACEQELTYLSQVDPVQRALNLAEQMMIYIRSKMWGYKGQQLVGKIGIHYGG AISGVIGFHKPQFSLIGDTVNTTSRICSTGLEDAITLSEQAFDQLKNENIEFEIRNVEMK GLGTRPTYIFKGKIKHKAKQFQLQSDLDLQSKDARSQYMVRKNHEIFKKDLKKRKTIITL IDTMKQRMDSMQEQGTQNVFGIKPIEPNYYQTYEDKSGHSIKLLQQQESEENYTQKSDAK TNTFKRLVTIFQNKFQLENYQPQIDELIDYEQLLNVILLKNEYTLEHNIIKETSFMQLLQ ISYYKKQMSQFVSYEQYQEFVKIQSKNQTVQNLRIYVLYYIIKSFCQVQFYDNFNLGLIG MQWFCCLLNLMQFIVNKKQYFGKWKIFIILLLFFEALLSGLVIDLVDDDYLKNIHVYEII FIQSLFCSIQILSFWIKVQFCVTIAIYSTIILAIDGTQIVSIYFILISSMYNMILILLIE QQQVSCFNQKIIYKTQQQKESQLLQYLLPKHILNNFLDDRISNIGICDKIDDLTILFADI AGFTEYSSKVNPEEVLVMLKNLFVEFDRKCCDLNVYKLYTIGDCYVAIGMIDYHNRNPQQ EAKNIIDLAFEMIKIITQVRKQINFEGLNMRIGVHTGPVFGGVMGTDIVRYDIYGPDVLI ANKMESNGVKGNVQVSQSTKKYLEELYSDLYNFEFNVNLELKSIGRQIEGYLVKKYEEDP VNEQNIPF >CAK87119 pep:novel supercontig:GCA_000165425.1:CT868607:154955:155946:1 gene:GSPATT00020772001 transcript:CAK87119 MSINQELSFDSKSTNRFNHNQLESRYNDLIEQWQDKISYLQQNIQEMQQQKEDVKNALIE AQSRMKNKRGQVDDSLNETKSMDTIIPINLAKLNNSMSQILDDSITTQESQSSIQSVKFQ PIASFLLEIGLKERYFQNFIDLKIYDNVSLQNSLPSTNYMALIDWDIKEEYQLNQMNVYI SNQLIEMGLITKKGLLENLKLYQNSIPSIKDWLKSINYQQYYPNFCLAGYDSFEYLVYQE QSQYKLTLSDFKESFCIDNESDSKLILAHITIMCDKIKNLNLWNVQTSSKKAKESNFGLV QCALPLPNNKCVIF >CAK87120 pep:novel supercontig:GCA_000165425.1:CT868607:155980:157078:-1 gene:GSPATT00020773001 transcript:CAK87120 MHSLPRLTSQSINCHINNQQDCLSGRRFHLGENVLYNIHKQALSEYLKSGVIDQKIVQLN LLKMSKLNSYDLSVLKDVYSQPNSSRLDQQQANIILSKIKTQRNPKSINFNQKSQNELSQ EEIDFMSIEEQLKQCLRSKINTSKVFNGTYLIDPTINDLKKINLHGKRQLHHLLSKEPQK KLNKKTSLLQRQSSIVNPLQYRKPSIQSMEVEDVTQYMSSKILESKANVEQLVERLKQPE ISEKQKYFFYLVQNCDCKALNSLIQDKSFDKSIINEPDQKGFCAVHIAIKKQNPQLLKLL LKNGARTNILTKNGKSLQQLSELYQNQEIIEILETQKYYK >CAK87121 pep:novel supercontig:GCA_000165425.1:CT868607:157229:157867:-1 gene:GSPATT00020774001 transcript:CAK87121 MNPFYIDHQLPPLKRVKERLVGDALQKVSQWKSILLNGIPQSDGTIMRLTLNKAAEIIGI PKKSLEDYNQLFKKVRLLTDVNQFANEKMGVLRNYLRKNSKRLKKVLKTQKVKENKQSKQ QNKQLNNTANQIASNIKLEEECNEIGQFSISYQSNFQKQDETSIIKLEVGYDYFNQFEKH YESDLESYPSPLGVNFILKNKQQEDHQQFEQY >CAK87122 pep:novel supercontig:GCA_000165425.1:CT868607:159372:160340:1 gene:GSPATT00020775001 transcript:CAK87122 MFQEFTTLINGMSNQIPKKNIAEVYKHNKQRQMNLVQRKSWAPSIKYSMFQSNYGTNDYQ IVAQESSDSESIVFTPSQYPSLHKVASQIIMISQYSQIPCSTVLKDGLKSKLIIKSIEEN IDIQKVQEFVDKLPIKGFVQEIKLIYYQSNPCLLFQLKTDQPLIVANQFMSKQNQKQSKS TFGYKFSCAQLAQMNDEFAAIILRGLDKTISTSQIEKIIAYTLKMEQKECIRETQKHIIE IDDVGCITIVLKDLEYCEKIAIAFNGTNLNGLQKNKILVNVHPESVRVRRIDYSESIQKG LLQKIIKVNEEEMN >CAK87123 pep:novel supercontig:GCA_000165425.1:CT868607:160461:160945:1 gene:GSPATT00020776001 transcript:CAK87123 MTAKDVIMKLNKDAEYNALTAEFQGNDTKFVGEYENVRIPSDKKEFIKDAFRKVATACKP TLKNLSLRQNWLDLQDRVYNRAQYYTTDANSCISDARNVAEVNVCCDNYIQRLNNDFYND VLQILKDY >CAK87124 pep:novel supercontig:GCA_000165425.1:CT868607:161220:162046:-1 gene:GSPATT00020777001 transcript:CAK87124 MAQNTTSGPMQKFKIVFLGNQSVGKTSIINRFIFDNFTGNEQPTVGIDFISKTLQVDNKS VRLQLWDTAGQERFRSLIPSYIRDSQAAIICYDITNEKSFQDLQKWIEDVKDERGDEVLI YILGNKIDLDQERVIQTDVGEAKAKELGASFSEVSAKSAHNVSEFFKKLSYDLQGNPNEQ QQQQQPLPQKVPSQQQQPNQPIQIKPNESADDKKQGSGCC >CAK87125 pep:novel supercontig:GCA_000165425.1:CT868607:162228:163463:1 gene:GSPATT00020778001 transcript:CAK87125 MLNIIHTPKQTYKQQPAKIQQHLHQKSLTCKSLSTQQLQLPLQYNQLKCDPDPSTPQKMQ LAKGLKLLNIPSQTNDNSFRRSSSKNILTSDSPIITHNKENNSIGRRICTESSSQDYSNS FAIQENKKLNELIQRLFREKQELVTIIEKQKNQLQFSPGLTDQYNLLSLKTRIERLEGVI DQQSEEINEWKLKYKQACEEDERVNAIDQMESQIMKVVEENERLNNLSFEKDKQIQNLNN QIVSLSKQAYEYEQKIKDQATLIIAYEEDSKELRKEYNSKLNIIERYEQLQQQQTQLSYQ SSFHENQQAESNNTQQILLDSIKQIEQQLCDLSTQYTSQVLENQRLQRQNNNLKEELLLQ QKALNENRTQQKGTVNPLFQEIHKNVTSLREKIVKH >CAK87126 pep:novel supercontig:GCA_000165425.1:CT868607:163731:164958:1 gene:GSPATT00020779001 transcript:CAK87126 MELLPINVITQIFKFLSVGEIYSKLALSKHLTQLLQNQQVQRLILCTYYPRQLMLKFSKD QYMNLLKTLKNGRILELPFWGIETNGGLTGNSLRFWIGKSFMNTDSQQVSLARLENSFIQ GILALDSINLKSALVQYTKKFIQILGMELSQTFYTSNSLDVCAEKIKIFDLFLSFQYLED FEDQNIFDGLQYLYLYQYCKNLQIVLMTSTDLYNLKDDDFQILPLAISLVKGVKICRRTF ADRIIKTILLITSEDQYIKDNTKQNLRTVDELYSLIKSNGNQYTPTQTNIPILQQFCDQG QFDNSKQLEYLYCTFEPKGQLQPLLWLQFVNPNNYEIALNFNEFTQRLAKSVQLKFFDVD QQEDFEYSGISFGYVSILGYILDSKDLNMLQVN >CAK87127 pep:novel supercontig:GCA_000165425.1:CT868607:165009:166169:1 gene:GSPATT00020780001 transcript:CAK87127 MIDQLLQDNGLLRKQIPIGSQSLFRAISDALYFTTSQHAQISQMLVQYLEKQIALNLIPQ SLSILKTNQALRNDYCKQPSFSSFDQINLQLTSMMFRVKINLYYIQDNRLMCRVYNDHHL RMIELCRDDNNHYDCVYSKEFIQNATLVQQLIYEQINSIIGLKTDVPKIFKNIEQDIWMN EKVFSDVRSTKKIRSNSEHKKDQQDLLLSELENIQKKQHEEDSTEVQISNLKSNPPGLDN SSIRTNQSSTTPSNKPVILEVPTTRETGKLKFFDEQKSYGFIVLDSDNSDVFVHLDDLQR AGITKEDLRKLGSCFRSQTQVNHYGRGNEKPPSFSFQLMTYVGKYNRSRKAVDLKLINEL >CAK87128 pep:novel supercontig:GCA_000165425.1:CT868607:166878:168321:1 gene:GSPATT00020781001 transcript:CAK87128 MGLCTSKDAVSESSIRRVHPLNMENSVVLTINYAKIPNKFKRLPQNKSCGLIGLQNLGNT CYINAAIQCLSNTQPLTEYFQQNLHNHELNIENPLSSRGQITNAYARLICSLWKESYQKS INPIELISMIQLWNPFFVMNSQQDSHELLAFLLDMLHEDLNRVKHKPYIEEKTYDQQPNQ QQANKAWSDYLKRNRSIIVDLFQGQSINMLQCMVCNTKSYKFETFMYLSLPIQQDADLIQ CISEYLREEELDEANQWHCSKCKSVKKSKKGIKLWKLPNILVIHLKRFKFTANYRCKLRW LINFPMYNLDLSNYSEQEQATYDLYGVINHSGTLHSGHYTSNCKNKDTQKWYNFDDTRIK EIMEKEVLTSDAYLLFYYKNSVDSYERQSEVIRSSIINSSASLPKQSSIIHGSYFNIPHT KLSISKLCISETDQQLTTPQIFGKKKSLKTNKLTPLPGKKPIFFASDNQKIQ >CAK87129 pep:novel supercontig:GCA_000165425.1:CT868607:168355:169287:1 gene:GSPATT00020782001 transcript:CAK87129 MNSIGPEENKPILRMLLAGVASIAAGGSTHPVDTVKVRLQKEGEGQSSVKKYKNIIRGSY VIYQEEGMRALYKGLSASLGREATYSTLRLGLYEPFKHMISNDGEKTSLGVKFFAGLMSG STGAIVANPCDVLKIRLQSISGHHQSVFAEITQILHHEGILGLYKGTMPNLLRGAILTGT KMATYDQTKQWLKEHFAFKEGFSLQFVCSFATGLMLSITTAPMDLIKTRIMSQDAGHKVY NGLMDCAIKTFKQEGLGAFYKGFFPQWIRFGPFNIIQLIVWEQLRTFCGIKNI >CAK87130 pep:novel supercontig:GCA_000165425.1:CT868607:169311:170045:-1 gene:GSPATT00020783001 transcript:CAK87130 MDQNDRYDNEKILKLLTEANQFFEQNRDYPPQQIGEFLVEFCKLFKQLGKLLYFAFSDVI EKAQVIKDRGNEYNEQTKGIFGVIQIEKQKGLMELNGENNKEILKNKTLPNYQSMARTLL RIIRFFNYLKIMFLDVDNNRNKKFSDICSDAYNEALAPYHTFMVRNAAKAAWLAAPSRTK VFETFVGPNQTDEVAYAAIKSFVNALEPIRLNFWDYYNTNKLTNLP >CAK87131 pep:novel supercontig:GCA_000165425.1:CT868607:170082:170630:1 gene:GSPATT00020784001 transcript:CAK87131 MDYRIGSASMSDLESAKLVAKKLFETYDRDRNGVIDTTETIPMIVDAYKSFNRSFQPTRG DIDSYSKVMDRNGDGKVTYQDIEELCIKYLCPQFSQQQRQQANKPQDPRIDVARRVFRKY DTDNSGYLNVQEIQKMIAEAYRSMGLAQPTYKDVQVWLEAADTNKDGFISLQEFEQFVLK SL >CAK87132 pep:novel supercontig:GCA_000165425.1:CT868607:171559:171996:1 gene:GSPATT00020785001 transcript:CAK87132 MKLLSVEQSEPQQNECQTKNQLSGGEPLLNKNDYQVKKVLNINGVQSLDTSNEGCLDLTW LIEAIKRQTALEQVSKAKKIKKFQLKLKRKQKSKQMRLLKKIAFYDIAQILLNI >CAK87133 pep:novel supercontig:GCA_000165425.1:CT868607:172628:173718:1 gene:GSPATT00020786001 transcript:CAK87133 MIIILLFLVNFINADPLATQKTNELREMQLRDGIIELNSTGYFYYTMEFPRPYDIVIYFV APSCKLCNDLNEHYQKVAKFYADSGALYKSESKRAVFFATMTFNDKNKQVFEQLGFISAP NLFISQPHIVFVPQDERERYLRDMKWTISYTDGTVTAHKFLEFINKRTGRQVDYKASTSE ALTVIGVLLGALTFGGLIFIIARPLFLNPKLWFIGSIIIFITCLAGVVYNIIHNVPFFSQ NNRGGLQWKTNSGRQQLGFEGLFLSLGMTFVGLTMVLIMKFKVLVKHQKLSIFIERFIYV ALFAAIFIFIQFVEENYRQKSHYNPIYWPPKHYIKGPLNRDQGNSF >CAK87134 pep:novel supercontig:GCA_000165425.1:CT868607:173882:174887:-1 gene:GSPATT00020787001 transcript:CAK87134 MEENVVIGNKYQYWQNQRLVFGTIANIFQGQNLQTQEALAFKIYNNRMYGLIGGQVQIHN VIAEIVRKDICPFISKTYECLEIQDNICLVMELCDQGSLEQQMYRKKKIQENEALFILFQ LLQALALLAKNNIAHRIIKPEHILIKDGVYKLGSFTFAKQKPTYKTKLGTLAYMAPEIFT NDEYDQKVDMWSLGLVIHQMLFGELYFIGNNHKVIEESIQTKQYDLDDQKYAISDEFKVL LKQMLQKDPKQRISAEDALQQFGIFNQFQNDPRYIKIMDDEKQLLKQFDKTKPQQPKQYI QIEEEESIKQQKQFQSK >CAK87135 pep:novel supercontig:GCA_000165425.1:CT868607:175410:175975:1 gene:GSPATT00020788001 transcript:CAK87135 MQYLTVFHPLSDVEQKKDYMFNIYDLDQDGKITKEDLTEVFKILFMKSQTSAIQKKSMRP QIFQTNFMNKDYVEKLGKDIMNIVDDDKSNSIELDEFVKMFTDTDLKFRMNIVF >CAK87136 pep:novel supercontig:GCA_000165425.1:CT868607:175980:177028:-1 gene:GSPATT00020789001 transcript:CAK87136 MNAQIDGGQQMVNNENEGNTVPKFTKLFGRAMDQFRLRVFFLFLERLYLIISMPIILVLL SLQDCGWNDLHYWVLFSSLQAIFSLVLYGQLFHFSNIQSIQQHLAFHYVQIVFVPLNEVT DHPIAPEVFDPTANLSTMELDKKADVMQLQIRQEIVNQSRFCKYNNIFSNATNQAIFIWT IYYIISNPQNSGAEGNKCDRWPIIQTYIYGALLFLQYSQYYLLAALALVMLPFILVYLIV RCFTDRRKKQQALMALSELQKNKILYKPNIIEGEQECSICMQPYQTDDEVLQMPCSPLHH FHNTCISAWLQIQSTCPNCRSQLLADNQQPLLQQPQLQEEL >CAK87137 pep:novel supercontig:GCA_000165425.1:CT868607:177638:178768:1 gene:GSPATT00020790001 transcript:CAK87137 MLKRFLPLTKQIAFTLKGSPKTLYIFKMQIPNQTNFNKTQYNFSQNHKISLEDGDNHIKS IQEQIVALNDLEDVCNIQSQVIEYLEDNLKNFDDKYFNVIYIEEILVLHWYQVAIYAYTQ HQNPLSGEFTQKLINCDLLKQLDIIKQYKLLDQINVERLKVKLNAASIMCKMINYGVEAD INQLHDWMNDYLDQLEIHNQIILEISSKNKVQAYCNTFKDLYINFYFFIAQIHRKRNLID EFERFIDQGIEEVKFQAEKIIQEPKGQFELNLTLLNLFELFISIKQADDIKSEFTIDFAN KIVDLISTYWEESFETYVLDIKVNFAQFYLSQDLFDKATKLLADVYSIRTAIIEDKTLFS >CAK87138 pep:novel supercontig:GCA_000165425.1:CT868607:178820:179417:1 gene:GSPATT00020791001 transcript:CAK87138 MNEVITLADNLNITDLDKPIILLLDFNMCVFDDILETQDLAQIKIRFEKVIDNINELSKQ IKENKLPAQIPIFDWIDQVMQNNLLKNNEQLILPIYNALNQFKDIELDIDRQNLERDFYL IIKQTQLLNKKQKMQRTLQLNNLQAILGNFIHSKLGVAKYCTQQIAAVEFLIN >CAK87139 pep:novel supercontig:GCA_000165425.1:CT868607:179933:181769:-1 gene:GSPATT00020792001 transcript:CAK87139 MITQLSQIERSLSKITSPSYHTVQGSMRHLSMSQSQMTRSKIYTSSHPESQFLQLDNDIL EIYNEFEKNYNTIQEIDKTNTKIEAKQKFIEQPKIIQQQKKLLKTFDGLQQSDLEIEYIN RIQIVRFRFYYFRIKIKGKQCNPPLQILLNFPSKITSTQYKLFVSTSIEFPNKFNADQTV QSRFVKVNSKQNSKLFFDEYVYITFYSEFDAIIGMQITFGEQYTPTNAQKQVQSMLNIDT FPERRTIHPKDKILQNIEMAKTKTYSKLDEILKAKRNTIQNILDRHTKSQETIQKSKWIQ KEVRQTRLIKLLVKDQIVQFREVEKVHQKELQLQIFVKKNWILITTIFNVCRQIHRRLEK IRHKNKIAAKAKLLVWQLHTKALIEVRKYGDNPFIRSLSKSSLVLSTYTQYIQEKCITRA EGILSEFLVDIILYQTFINKHISLISKSIHIEQVLVKCIQRNYRKLRLVRKNFKDKFIKA FKEKLQVLYQGRGSDQKARINIEQYFSQIIFRHIITKLFDEYSAKIKHRWIDSRRAMNVL SVLKKSFSVSRQDIKLYSLPDEAEMQLIIQQYYKIKKQLS >CAK87140 pep:novel supercontig:GCA_000165425.1:CT868607:181876:183851:-1 gene:GSPATT00020793001 transcript:CAK87140 MITNPGIVRTKLLESKSTHTPYTQSTFHSPSNSRTLGFFNKELKKIQDSNKLQPFFVEKQ SHSATSSCHTFMTQMESAQQQIRVNKFGKIKSRKQMTLFNNEEFLSDDILELYNEYEKNY TTLNKVEKFVDIQIQQVNQPQFTIDSQDFLAISDEKLKLNDLNKLNRVKIQRFKFYYFRI KTKGRMSPVQIFLHCPDRVQSSNIRMFISSKAEFPTKFNSEQIIQAKNAKMYADHNQPYF SKEFLYITIYSDIDFEVTIDIIFGNPIQKIAPRKKQVEEDLLDIRPQTQRVIRRDKIIYN LDMKQYLLKTKNFEQNKVEREFKQQLVLTNKKVIQEEKQVDKVCKVLARESINQYREIEK IVKQRREQTKLFQNSWCQIVSLFDFCKEVKTKLEDIKRHKKAQAKGKLLVWQLKTMALMK VQIYGSTPKERTIFKSKLILQSYAFLIKKKTKLLAEDVITRFIKKMLLYLTTLNKQQSLI KKVKTIQRKFRSLKQKRRMFRDKFWKQIKENISDVVYQLRRQKDVRNLFSDGKRPTVQID VPVMQSLIDDYCEKARARWMNYINRTFKEKNRAKKIQNVALNFTEPKLYDMPNEYELTQL IDQYAKIKKLY >CAK87141 pep:novel supercontig:GCA_000165425.1:CT868607:184017:186577:-1 gene:GSPATT00020794001 transcript:CAK87141 MSVATKGLIEFVNPYKLPKFVKQVHLQMREIEGRQPFGQGLYHCNNYENLIKRLTPTRQQ YRQSKEIETRKQLATQEFQAWTDYIKERTLELPQQHQVTGKQLNELRRSYEVFIAKGEKG LRPSELLNVFNDYTRVNQFMIPVDNWCVLQMVHYNMGYPMNMNRLLTFEEIATLVQTKVL ATYERSLGQDLLFREICSYGYWNLFDQSKGYMNIKDFSNFVKIFKYNVEPTLGGILKEFG FAANLFQGEFAKEIDPKEDIVRFDFFRYLFLERNLMIWRKIDPSGKQPGDRAAHSCDLIM GKLFIFGGWNGMNALADIHIYDLNSNQWSELQTNGELPSYRNNHTTAVYQTKLYVHGGHN GNTWLDDLYYLETNGQHGQASWYKVHPQGQIPTARACHSLNIVSKKLYLFGGYDGQECFN EIEIYDIQENRWLQPTVSGTIPTARNAHTMTRYKENLYLFGGHSGAQHLQDLHVFNTYKL EWTQVLTKGTLPKGLRGHTANLIQNNIYVFGGYDGSGRSNDLFIFNFLTYQWVIPNHHGT GTHLQMEEVALSQIPQPRQRHSATATENDLIYIFGGFDGNKWLNDLYVLDVGLLENRTIQ EENYQRVISNIHKNLFNNEELSDIAFLIGNQKIYAHKIYLAAQSLQFKALFFSDTKESDQ ETLIIENYSYKSFYIFLLFVYTGFINVAELDIELMGEILSLADQYLIDGLKNLMQKSIKK YISNETVCDLLIFAQKCSAHSLKNACMNHLLKNINIISESPKYEKLELQPSLLTEITRAL LQHKE >CAK87142 pep:novel supercontig:GCA_000165425.1:CT868607:186622:188222:1 gene:GSPATT00020795001 transcript:CAK87142 MDVFLIIIICVLGLLLMYVNIYLLALYCHPEDGGFGSTLFCKIVVVLGLSLSWCQTLMLT LDVTNEREQQGLDMHLCWIIIYISVFVMLVLLIPLAIFYYESDDEKPICQRISLTIVQEF MVLVFAFLTIFLTYFWLRDALVPVTVQVQDAALQFESSEIDLNIDTFTITEEKQDHIATT IDPITFTIGLMCFLGYFFLILYGGIGMVALPLDLVCSYGTRPKSASQATEKKNRLKRLVA QMIGYAKQLRDMEKDVKCATGWWSRRKQNTKVEKRYQKLVSAVSELEEEFEIFLLELDIG NANPLVYIFKLLLGIVLFIITLAWLLHLLLYVIITVDGIPFSPWLNKLFIQLDIYNVAFL SVFFFGLFTLYLLWCVTKGNLVFSMPWIFKFHPMKINETWMNSFLFNIVLILISSVALCH FSTCVFSQYTRLTTVDLLFGTQIKYLKIFSWAFENKVFEYSLFSWTILAGIIQMIMKCRK PKLLDQIDQKKKNLKGNYEIELKELFLK >CAK87143 pep:novel supercontig:GCA_000165425.1:CT868607:188411:190855:-1 gene:GSPATT00020796001 transcript:CAK87143 MFQNIQLKANFHEMRLNPSRPVYQYKLEITDSSPEKVSEALKKFRPQLQTQLILFMSLNQ NIYSPKLIQEADNGLVLGSLSGNETNQDTATLKLVGKIENKADLNIIISRLFKQVIRSQL QMVSVGNKGQKLFWSSRAQQFKDQNLEIWPGVECIFRPGEGGAQNPTLVIDCAFKMLRYR SALEELNQTRNPSCIQDQIVMTTYNKKFYKVEAVDVNLKPASTFTNEKGETISFAQYYEQ RYKVKVDGNQPLIRATVRSKQDKTEKTIHLIPQLCQLTGLTDAIRNDFNAMKNLAVVTKP GADQRMKMAQEFANQLANTEIVNKKAGTKRQIFKEWGVEINPGSMDVPARRIHPGNMLMG NGLKLDLSSPQTNLDRQTQTQMFSTPPQQLILGIIYNKKTGQQTMDSLMQNFQAACNDFK FQAFMAPKVFPIEQDRDEDLERVLDGFQKQAEANKAKVGFLLFLLPGQKKKARLYKTAKK ISMQKFGCASQVVVEKTLAKNTRSIVNKILIQLNAKVGGTPWAIDSLPLTFQNQPTMICG TDCFVKSGRKNQLAFCSTVDRNLSRYYSQVVTSGEFSQHLQSVFKASLLAFKEQNGIFPK LVIIYRDGVGDGQQAVVLANELPQYKQALEELQITDTKISVVVCNKRVSAKFYTGGNARP DNPQPGTCVDNPKVVEQSNPNFYLISQVTRQGTVTPSLYKIIHSDQAGLDDDIKVLTFKL CWLFYNFTGSIKIPAPVRYAHCLCNFIGDNYDDRDQVKFLPLPDLVKQKVLFYI >CAK87144 pep:novel supercontig:GCA_000165425.1:CT868607:191360:193172:1 gene:GSPATT00020797001 transcript:CAK87144 MSTSKSIIDETYINVHLKGGNLHSELLHIEASFNKGQFKGQSIPLRCQWFNITKDQDFVE IEDVSGQFYQPCILDIDTKIMVQAIPILDFEYNGMPLVAETNFLQADSKIFDLVNQAFNE GEMQLNCKLENIQPQDDNQPFTDLNLPQICTLIANDQGLMFKTDQNKMLFNIEKYQLKII KKNNNQIEIFNQNIKLLVALTNNIVRDAIFQFSKTLKSKKTHLSDTAQLYVTLSDYEQKL TQKDNEISQLQEIKQKLTKNLNELQSQCNLNNKKIESNDKQLAQQNLQVQNKDKEIYNLK QQIDKLDGKTQIFIQEIKVLKSQVILLESTNKKFQLEIDELKKNQHQNQDEIREMFYKEK LDSLKQQNDKCTKENQQWQLINDQLMKEIKKLESNNMLILEEKELIQNELNSLKKKYESL LQEIELNQKKEKSDISSESDVQSNKQSEIIISNSIVQNNNNGSQDEIKRLKITINNLEAT IKNLKCDYEQDMLKLTNKHFLKSNTSQEIQMLQKLANSLAESLSDKEQALQTQRNINREL LNKLSEYTK >CAK87145 pep:novel supercontig:GCA_000165425.1:CT868607:193211:195198:-1 gene:GSPATT00020798001 transcript:CAK87145 MNKFIRKNSLNTQALKEQENKKLKQYILQMEQDQSLYETLTQEQIFGILCIILTKSSYHR TQSEIEILKKATIHIDYFQKLVEKDQGPLLWERCLRKMSYTYLSYGQTLFREGDVGTTFY IILQGRVSIHKRLLVQEEFQDKELIQLQDGQGFGELALENNEPRSASVKAILPTHLAVLE AEDYMVIKKTVINQQRQMYFEEFAKLSIFRDWKFTSIKSLFDVIKQNKYRLNHTIFKEGD PSNEVYFIQTGQFKAVKTLRITQEIEENSSQDDLQKLKDRFAYSKPSLSNSDKIDMLYQK KKYGNLVIGDKKSSMTLKFVGAGEMFGELEILKQQDLCRQFSFISTFESNTVYSVSKRDF LRVLQNDPPLLQSLNSLNDDKLKQALAQIKAYEKNFIDQTEKQSILQKTQIKEQLNPKLL TDEDLESKVLVRNKTFVSKVGSKKQCVDKKIRELTLTLQPPKYEMNYSTLLTQLYESQKH RRQRTEQQINLVSKKGSKTIKNSFEQRPKTEMMSHDSPKLNVHISNVLSKLFASQPIIKS NFNEEEENQNKEQQQFNIKDIKKSMDQFQDSSQNWLKKYPTESTLTRRIHSTTSRQLQTS PKSSKFGQIDRFNSNSFKSHLPLVLQNKYFRLTPKQQ >CAK87146 pep:novel supercontig:GCA_000165425.1:CT868607:195235:196291:1 gene:GSPATT00020799001 transcript:CAK87146 MKCQQTPTRFPKINQFETQFSHLSLSNFQEQKQNPTICKGMLSMINPKPPCENKKIKQTS QFEQKAHCLKRCPKEEGTSFDLDLAVFKIRPCQRECEHNHKQCPYFHSEGDLRRPGTYYK AELCPFKVEQKECPHGYSCCKAHNQYELLYQEDNYRKLFCPQPQNCCFGMYCPYAHFEKD IKCELIHLYQHDQDYYMFHYKTIACPYALFNHNLVIYNLIRSTCDYYHNESDKRRRVQDI SYQPQQCPNWMQNKGCSNECSSCHSIFEYYFHPHMYKTFECTQQNCNRDICPGYHDEKDF RQLNPLVRNGIMKIVPKNRFEEKQPKTQIKFPSIYQ >CAK87147 pep:novel supercontig:GCA_000165425.1:CT868607:196337:197170:1 gene:GSPATT00020800001 transcript:CAK87147 MSFCQEPKVDCQYLKSILKNLDNRLNTLENSSQNCQQQYHQVLDKFNQELVIPKLKLNKI QIGFSENNNVPQKKVLVTFGNKENFRTDNCDHVGDKIDQTTLTINQVSLQDKTIASQNQM GQQLQQPQQQDYKSNNINKQTKLKLVKQDSNLIQPIFSKKTSDQQSIGTKFYTRNNSIQS TPVRQIGYHSSLGTSPLRRKNSQKNSDLFINSLQIDDMMQGQSKFLKYFQQNYGSLKQSK NYDNTANKTRTSFKSQNARALADAQIIQI >CAK87148 pep:novel supercontig:GCA_000165425.1:CT868607:197269:198560:1 gene:GSPATT00020801001 transcript:CAK87148 MKSIVAITLVLCLLSNQVLSKKHNQDEEHSAFEKSHKKLALSDDPAYGKLEEIQDHPLGS KILQTIALQLRGNESLSAVSKLLNDLKGDLEGKQIDADNERAQIGSQCKKDLQNYSQRIS LSINEIKDAEFKAKRLNEAIAVYQAEINEKARQIKVFQAKDDTLRDIRRQDNLDFSTRTT QMKEMIQAFEVILPKLHQVWDVAAAHKAGSFIEEEAINEALVQLAKIGEENPITAMVALT STLEPTAVQTLIEKMEAIRDSIKESIQAEEEAEAKNARDTDTILAAIFNAIESLTREKAS DEEALQETIRNRDIQDKRSRDAHAEFNAAKNGNQQRNQQCQEYELQYQQNTIERDKQVAI IKDVQNIIATKIEVVTCFVEENNLF >CAK87149 pep:novel supercontig:GCA_000165425.1:CT868607:198568:200080:-1 gene:GSPATT00020802001 transcript:CAK87149 MNQYYDINEEPQGQAQQEQQEQPRESNIPQQQNEIQDIENNDDKYCCGLLNRSDHILIIV LKLIIAVPLLPLVLLWKILQGLAFLFYYFCTNQLYSCLLYVCGKIAQFFLYLFHLLSILF KFIYSCLAFIGKKLLQVIYFIGRCSKSVLDWYATFIINTIFKFAHFQSIIQEFTAFITVP LSLKIIIPLAMCFYLNIFTPTKTAIIFIVEKLFYAIKKTIKFLYKSTVKYILKPFYEYII LIILRVIRFLVVDIFCNIIIVNLYKGLRWSIINFYTYILVNIYKYFIVKFLYEIVLSYLG RAIEIILYDFLILLIIVPLSKVTKWILIDFIFEIVIVKLYQFLRFIIVDVIIKVIIKIAE FIYFQICVNLYRCLTWIIIRLLYELILKNIYLYILQPLFNFLVSMIRIIATSLYKFILLP LWQLSKYLFNGLVSIISAIGSFIYYSILNPIWNLIVIITRGIINLIKKFFIAVGQLAIDL WTGIRNLASRLRNMI >CAK87150 pep:novel supercontig:GCA_000165425.1:CT868607:200217:201470:1 gene:GSPATT00020803001 transcript:CAK87150 MSKQDLEITVGQIRKAIPQHLFKKNELRFLVSVGQAVSCTLLLTYLAYNYIPLTWLALPI WVAYAFLTGTVATGIWVLGHECGHFAFSDIKWLNDVLGFILHEPLLVPYYSWQHSHALHH AKTNHLTEGETHNPVILDSKMGKIYSKMKDILGVESFACVQIFNIAVLGWPLYLLLGVTG GSARGFTSHFIVPNKLFPPKMLAKVTASNIGLCLVIYGLYKWYQATSFAEVMALYLGPYL VVNMWLTIITFLQHTDADVPHYDETAWTWLKGALCTIDRNYPLWIDALQFEIGTTHVVHH VFSELPHYNAREANVYVKQVIGDLYNQDAKKLWTSLYNSASLVGVEHKGNGIWKFAKA >CAK87151 pep:novel supercontig:GCA_000165425.1:CT868607:201476:202460:1 gene:GSPATT00020804001 transcript:CAK87151 MSKKDKDYTGFPGDCNQTQLKALADFRNIVNAMGLSDKVYDDPYLLRFLRARKFDINKTQ LMFNDFIKWRKENDVDNIMTYMFEELPQVRTYYPHGYHKTDKMGRPLYIERIGMLQLNKL FEITSEQRLIKYYIQSYELLLKRIFPACSQAKGTRIDQTFTILDLKGGSMKMVSKQVYNF IQLASNVGQNNYPEILGKMYIVNAPMMFTGIWAMIKIWLDEKTKNKITILGSSYKDELLK HIDIDNLPDFLGGNSKCENTDDSFLEHRSMES >CAK87152 pep:novel supercontig:GCA_000165425.1:CT868607:202571:202820:1 gene:GSPATT00020805001 transcript:CAK87152 MQFASPTEKAPHNPNKYEVTTQNNHVVSDTPLNTEVGEEDNQFSQQQQQQLQQQLQQD >CAK87153 pep:novel supercontig:GCA_000165425.1:CT868607:202837:203854:1 gene:GSPATT00020806001 transcript:CAK87153 MRSADLKNIIVNHAPNHHSKNPGWEYFPSSQIKCQVEAAQKKELAKPTPPSIKIKTLELK AKYTDKTKPKHIFKKTDLKEIAKKKRDELQNWITEENNLRKGIQKQHKCKPAPSVKEDEP NDQWPEMNEDQQQENNDQNEEQEEQEEAEQNQSQQEEQNEKVKSKKIDDEDQEEGQGQEE EEQGKQDYEEEQQGQDEQDNQDQQEDQQEKQDEQEEQYQQDDYEQDDQQFNESQANSKIK SKQSNKIENSQSSNSQKQSQRYSQSNNKSNIQKDVNLEINENKSEVKSIVSNSFVKNQSK VINKSVNTSSLMFYKSQVADQMRSLMDEFE >CAK87154 pep:novel supercontig:GCA_000165425.1:CT868607:203933:204980:-1 gene:GSPATT00020807001 transcript:CAK87154 MVYFAKEQATMRPVQLKISNFHNSHYRQRTLGVEVKILLGLRGIAGIPEIIDYGYTSTSK FYIVSEQTGHSLQQYIDAKKQLGSTTIIYIGQQLLGILERIHARNVYHTNLSPQNISILK NQVYLHGFYLNHLDDREKVLFKDKKFWSKSLTNGCTITFKDDLESLGHLLMHLVDYELNN KSNGNQGSNQSSIFFRSYFELLSKLQSTNPPPYLHLKKIIYRIITQCLSDENKIKFKQAS RQLSSRSNRNHKNKLSIIKEDDLENIVSKDFVKINAATLFDDKINSSLELQNSMNQTFEI SEEQCQLSEMIQNLHNQSIRARSIASLCQYKQ >CAK87155 pep:novel supercontig:GCA_000165425.1:CT868607:206011:207299:-1 gene:GSPATT00020808001 transcript:CAK87155 MLFLILINLTFACEYESTYANITDINSLIPINHIIQQLSKTQTLQDTLELTNITIYTHHI NHSYTNIGQITIYLKQAISYAFTNNTFQSIGQAILQDVQFNMKLSFNTQTNTFESNSIQY NSPTQFSFIGLYNYYQYYQSLVSLLVAQIPPQLNQLFNAIQFQFQPIFPDYTYTYKNMNG QSLEIDFTYQSNNIQNQNYQITLAGQLIIKNYNNNICVQTQLPINSNQYQQLELFSTSII QSIIQYSYNQNYFNIELNNNWKSADFQFLIGSFQNILYNLEKVNPITPVTGWCNLTKIPV YNPLNITLNYECNFYFSEDNIKLLTLNLAVVLNLNFYQVLSQIEVVCTSYRFQLDDVIQS FNEKYPPKSQFLSLANLYIKTILNAHVKDHPLFGSGFQTIPRQSPNITINNDYLMIYQKK >CAK87156 pep:novel supercontig:GCA_000165425.1:CT868607:207326:207490:1 gene:GSPATT00020809001 transcript:CAK87156 MLPQKKPQYELLGVQNPEKEQFIKFIQFDHLDIKGIIFLISPLGTCILLQVMIC >CAK87157 pep:novel supercontig:GCA_000165425.1:CT868607:207625:209858:1 gene:GSPATT00020810001 transcript:CAK87157 MQIKKGTKEMKKIAYSIEEGAEGFFKAQYGTICNLSLIFALLIFLVYWQKGSGELEKDEI PVGGLIIGLLEGGSFLFGAFCSGFAGFAGMWVSIKANSRVASAARTCYNKAIQLAFRGGY FAAVINIALAIFGISVLFLVIYFYCYITITDQQKLNEQIDKIPLLLIGYGFGASFVAMFA QLGGGIYTKAADVGADLIGKIENDIPEDDPRNPAVIADLVGDNVGDCAGQSADLFESITA EILSAMILGATLTHEANLHINYKVTFMLFPLVVHCLDIISSTIGMYFVRTQPGIPNKYIE DPLCIMKKGYRIAMIIGFFGFFFICHHCLNPLQHKDAWLYFGMCGLIGIIISYLFIEVTQ YYTDYHYEPVKTIAQASKTGHATNIIAGLSVGLESTGIPILIISVGVLGAYYLGEQSGIK NHQGELIGGLFGTAIATMGMFCTGHLDLQLIMLEAQLEMSGCDEQVRQITDRLDAVGNVT KANAKGYSVGSASLASFLLFRAFIDEVNFLSPTKKILDIDITQPEIFISGLIGACTVFVF SSWALRAVGNAAQDVIKEVRRQFRENEGILQGTAQPNYKQCVEIVTKAGLREMIKPGLLS VLTPLILGIALRAINVVRMQELLPAKAICAFLMFSTCTGILQALFLNNAGGAWDNSKKYI ETGELGGKGSETHKAAVTGDTVGDPCKDTAGPSIHILIKLYSTITIVMVPLFVD >CAK87158 pep:novel supercontig:GCA_000165425.1:CT868607:209874:210343:1 gene:GSPATT00020811001 transcript:CAK87158 MLSPSQYQQLQRLSPQRVSMPGMSRVPAFVKTREVRMPSPAKQYSPTKYVIHNPPLYMRS RMASTEDIPSMPSESKNINNDRKYSMHIDPKTQQISHLNEIIQQQQQQILTQESQCLETE VH >CAK87159 pep:novel supercontig:GCA_000165425.1:CT868607:210366:211864:1 gene:GSPATT00020812001 transcript:CAK87159 MSENIDEHILKKYEVIQRIGKGAYGIVWKAKDLKTLKVVALKKVFDAFNNPTDAQRTYRE VTFLKQLKHPNIVSIIETYPANNKIDLYIVFEYMETDLHIAIRANILQAEHRRYITYQLI KALKYIHSAGMIHRDLKPANILIDSECQIKLADFGLARMVGSQDSDILTDYVATRWFRAP EILLGSKSYSYGIDLWSVGCLMGEMILGKALFSGNSTINQLEKIVDILGSPNQQEILAMG GQSQIFQNQFRPSKQKLNSLLGCPKDELDIISKLLQYDPTKRLSIDECLKHSYFKEYRNT KEEITYHGSIMLQLQDDKQYPISTYRDVLYKKMDYSRIITLVNKQKKLVEELELKKQQKC FKQLIEQKSAQSIKQYRSSQFLKNTYSNSNLLDKSPLNKSSQQQQQNTQQQQQNSTSNIK NKLKSICQQSEARQRSAIFKQSNSSSKYNILHNVTNTSLSPHSAINSRYLPNRFHQKNND F >CAK87160 pep:novel supercontig:GCA_000165425.1:CT868607:212383:213006:-1 gene:GSPATT00020813001 transcript:CAK87160 MGNTCQFSKIEKENQRIRLIGVECQQFPNKQTQLENFSYNIQENIDQFEEEFFNKSFELD IHNEKTAKSESLKTDIKEEKKLSFNSLVFVEEKQNSTQFGSYNQMASEAFGNYIKLRTQF KSQQSDRMKESVQQQIHLNNIKRFPQIYGRTQFRITDIDVQTSNSNKISKSKRGTKSNFH SNLSLNQKSNINQPWQKQERKIKIIYL >CAK87161 pep:novel supercontig:GCA_000165425.1:CT868607:213576:214232:1 gene:GSPATT00020814001 transcript:CAK87161 MNSKNEGIEMKPIIGQDREEISQYDITKAAHPGICFFHIFFKFCAFFSYLFLGLIISSTL FQYILIMIFHAFDFYTVKNITGKFLVGLRWYSDFTPKGDEVWRFECFDKCKRSKIDSSVF WTFQFGASCAWAFFVFTNDQLKIIFAGIGASLSWINLWGFYKCSKDQQRKMKGVQSYLTK KGLQMAVQS >CAK87162 pep:novel supercontig:GCA_000165425.1:CT868607:214338:215085:-1 gene:GSPATT00020815001 transcript:CAK87162 MNSNNQIEFYLNSQQVDYIQMKLPQGFSLHLASLIHQREQRIKVSRFDATFKTHKLEQTS QEDLAPRRAQRIQPQQQKDKKEPDNKAQKILQKQKHHQQYQQQSQQQKQQQQQQQQQQQQ QQQQQSSPKIVSKLQKQMTQQEKLNLGENIKQLKIEYLRGVWEIVQESVQANGEDEVEFD IDVLPTKTARKLEAYVTSRLQAKKHKNDEEDKSLDPNSSDQ >CAK87163 pep:novel supercontig:GCA_000165425.1:CT868607:215439:216786:1 gene:GSPATT00020816001 transcript:CAK87163 MRFNFCTFNYTAYGKLINQCIRKNKQFILCQTTRIFYIQVDDSLEYGINNLSTFYLVIGM EWSRSREREKMRKQREKVDTKKEKHYKLQKDEIFNQRYLFVQAISDGTFGRVVRVFDGGE NDFKAIKIIKSVKRHIQSAKIEYGILRTIHQQNQYHPGNEKIVRAYEAFSHKDNYCIVFE DLGLSLYDFMRGKYFGGFELKAVQQILFDCLQGLDFMHASGYTHTDLKPENILLIDKQQK GKKENHYHVKIIDLGGAVFQTECHSQLINTRQYRAPEVILKNNWTNLSDMWCMGCIAVEL FTGQQLFRPKGNDFFHLAMIEKHCGPIPIEMIQQCRNEAREYFNEYQLNDSFLKWPDDSI MVQELSLMKVFRAFIPQNQYELINLIENLIVIEPLKRLTPKQALEHDFFKLKFN >CAK87164 pep:novel supercontig:GCA_000165425.1:CT868607:217194:218606:-1 gene:GSPATT00020817001 transcript:CAK87164 MFLNCCPNVYSLILKTQIQFIDRDIILQVNLKDQLSIILKKDFLHIYKQGEPISSFKLHF PNVIKWQFDKGLVGFTIDNGTYLTEEAYKLKEYLNGRLFFSKIQDFYTPLQILGKGSTAK VLLVKSKIGESFYAAKCVPKTESIIQEIEINNLLDHPAFVKIKEVFLGDTSYYIIMDLLS GKNLSILLKNQHTGLTVEQSKLIMHALLSGIDYMHSKNVMHRDIKLENIVLEKINNLTTL KLVDFGLATYSNIKKQYKISKLRFKYPKCGTPGYVAPEIANLTDQNSIYDKKCDIFSAGA VFYKLLTGRDLFPGTGFAYVLAQNKKCQIDFTLLQLRKLPSDAIILLKSMLQKDPILRPT AQECLKFPFFTSTQQNDTEKSPATNGTQNSNSAPIGPSNAHKKQFFAQQKQMMQTVDFQP EEKPEYKGSFVTNDMVQYPQMPKMVMKFNTTEFESI >CAK87165 pep:novel supercontig:GCA_000165425.1:CT868607:218725:219708:1 gene:GSPATT00020818001 transcript:CAK87165 MKYLSQKLCIADQQQLDKAIQLISKIRIQVNQRLNDLEKMIYDKIQDLQENEIFKQLNQM ETLFIESNDRNFDQKSTDLFQMISSFSNCKENKISHNNVEQLDILNFQQAIKKLMELQIS NQYMMNNITNLVDQNKKDNKKPVNSGPQNASNEIWKHKTFAKSNDRKVAFNFTKNCGVAE NILPLQNVVLSGFLLTQLFSGQNQFDQNINDKDKEVVVLFRVHEGLDLSISVYDEIINIK HSNLKIINDCYFIELEQDVFLKKGMTYSLSLVSQINETFNLYMFAGKSVNFGEIKFLNKE TENIIQSVQVKQSLTQSCIPGIVIHNS >CAK87166 pep:novel supercontig:GCA_000165425.1:CT868607:220439:220829:1 gene:GSPATT00020819001 transcript:CAK87166 MKIQILGNGKVPIIDPHDSDWYRLKKISRIIQYTTCTIVLIIQILIGYKKKKSLNKGQVI ESLIENDQVLITSLEPTTQKLYQNQGTGTQGNSQMVNTLESKNTQQIQAIQKLNNNNNNN S >CAK87167 pep:novel supercontig:GCA_000165425.1:CT868607:220879:222429:-1 gene:GSPATT00020820001 transcript:CAK87167 MEKYRRIRKIGKGNFGDVWLVEDNKGRQYAMKRIDLVFDTVDPQNEVSIMKVLKHPNIIK FYDSFEHNDKLCIIMEYAKNCKTLHYIQADLSIYIKTKQPDILNYFTQLCLGVQYLHQQK IVHRDIKLRNVFITDDGIIKLGDFSISKKLIDLSTNTTLGTPYYLSPEICQSKHYNSKTD IWNLGCFLYELCTQQKPFQGESLPAILNSIINGQTPQLGEAFPKFYQDILNITLQKDPEL RPDIDQILNIPQIKDEQIKLQTLYKSKGLLNKRICPIECPGFDEKQEFKQDKQSTNKDMS NILKQSITPQYRQTQLGLQNIFSECVSPNNNYCQIQKKPYKKIMTINTQLDEKVEQEITQ KKPSFAKLLFNPKTPTSPNRNILLADFLKNKLGQEVFQRMKDLLENSKDPIQLLENRDKM MQILGEQNLDCIKIFKIIISNSITPPSSHFRTMSASYQFVTQRIKTVNNHDNNIPTSAWD EQF >CAK87168 pep:novel supercontig:GCA_000165425.1:CT868607:222554:223601:1 gene:GSPATT00020821001 transcript:CAK87168 MASQLEFNLNQIQVDFILSKMPKGYSLLQSNLLKSREQRVPKKVNKYDASFQSNKQEVVE DKSKRSQRIAPVQKEQVKIPQDDNCKKGLYLLQKFKSHPAFNEINASGYLNIDKIEASFK QEGNLMNLWNQIRIALQKLTQSVTSSQIQDQVTQLENHFYDVFKAFQNEQKLTPSSTKSI PTANKANSNKVKEPQYQKKQDTHISFEEKRQLGQHIRELPQEHLKGVWEIVQQSVQNQEA EELEFDIDQLPAKVIRKLQEYVQSKLKTKKVKVDPSINYSSQKSNNQEDSSFPSESSD >CAK87169 pep:novel supercontig:GCA_000165425.1:CT868607:223911:224668:-1 gene:GSPATT00020822001 transcript:CAK87169 MKSGNKCLYYCKQFFNLLLGMSGLLMIAIAIYIWVVAKIFSVIVMCILVLGGIQLFLSLI SLGTKKAMFRIKCYNFILGFILLGQVTITILAFVLEDQFIDKAIEKLDEPQETADALKEQ LKNQYTRSIFITLTSLGIQLMCFVFSVWYRDSLENANDNSKLLYDEKEKKYMSFEEYSQK QQAQVKEKTNNNRNEVYSRNPEFYEWKQQQQGKK >CAK87170 pep:novel supercontig:GCA_000165425.1:CT868607:224803:225405:1 gene:GSPATT00020823001 transcript:CAK87170 MGNNCSKNNANHFLILKGGYPLTTPQKQNSLEQFTYDMKLNLDSFEDEFFQKEYKINPSV QDELSKTQKQDLLDFVIESPTSQSQNLKNYFVQRNYSAPPQKGKRRPYAKMNGSKYIVYE ISEHANQKKIKPSSRSLPKTKQLSSIQKIEENKPRKHHSKKSKCPIKHLVSQSKTQQTID GQSQKRISAWRFNDAVKILF >CAK87171 pep:novel supercontig:GCA_000165425.1:CT868607:225907:227062:-1 gene:GSPATT00020824001 transcript:CAK87171 MRGNQKQQQQVPIPSYPFPYSFWSYNNFETKELEAYLSSQSQTLQTQIPKTCIQDGYQQL CKILNQHPHPVLHAKQAKQQQQITNLLGEQSIDSIKNTSEITQFLVFQNTQIDAITLILL QFLWPLYQNLNVFKLYNIKFSETEMEILQKIIENNKLIKVFIEYTNIIPQINCPTLTQLY LRGNQITQSKLNQIFQPGTLQALQVIELSDNPLGKDSIQILANFMSKKNSVQYIGLSRCE IAAWEDLKPLIETIGPEKLNEAKLQAYRELEEKRDKQIKDQLKAKKKEVVAEIELEPLTQ NADGSYSIIHNQQLQILFLAVNPFKDSDKDQIERFIQANPNVYVVLQGCSFETKTKERLK KRYNNIVF >CAK87172 pep:novel supercontig:GCA_000165425.1:CT868607:227640:229394:-1 gene:GSPATT00020825001 transcript:CAK87172 MNINQTSHEIQSCKYGKKSFSITSLQSDPNKLRNNKILSNNIQISRGDSMTRISYIDKED YIDQIQKLKKNNNLLSQELKQLKSQLMYYQKELESLQSQCQVSDTISVPLQQQVKIKQLL KTLQQKESEIEYLKSQMKIDQLTEQQEQLQKLRAICDIQKQKLLNSESEIIIPSKTNVLK DLEADNVRLVQILTALEDKVKSLDHIKKLNTILQSKIQNQQKLILQLQLEIRQYKDRDTI FNNKLTQQNKQENLIQKLSSEINKLNHSNQIQLSQTDKLKSNLSNQQDKYEKIIMEKDEE ILTLRIKIETLNKIINEDHQQLSEQRNRKAGKNALLLKPSSFANMTDSFKFNQDLVLSSQ RSQSATQRKKLLLITKQDLQQVPKILKYQLIINQISLNDIDQHLFCQISSEDVELKDLVE SFQKSLFKLNYQQAYQLSLYLMDSEEGNDTQSKQRIRSIFKTLVDNYQLPTAQEIINMRK ELSLIFNEQGFQYIKNQLTLKYGLIVKKYQIYDLFEILQLKDIKLSKQTKEYIEALLFQQ NNQIPNVFLIDQLQSIFCDNF >CAK87173 pep:novel supercontig:GCA_000165425.1:CT868607:229406:230240:1 gene:GSPATT00020826001 transcript:CAK87173 MKAILVLSISLITLNSQFIKTSTLNLCDANVYLRPDIYYGQGSAAVIIEPTQAVVTLEVE VKDEKAQNALQQAAQIADDAVKAIKENCKGQLKIQTADFTISPHKEYSQNQPYHETFSGF IVINRITVETLNISDVGKIIDIAVKNRVNKVNGIQFDVSKEEKKRLKDVLVEQAIEDAKH TANVVLKELNMRIESVKSVQIQQNYGYGVSSQMEQQVTVGFVISHIE >CAK87174 pep:novel supercontig:GCA_000165425.1:CT868607:230379:231282:1 gene:GSPATT00020827001 transcript:CAK87174 MIRILTIAVCITLIFGSFIKDQQIAINNFECSEVEHRRPDVFYVTGTGSLSVEPTIVTVH FAIEIQNQMAEQALNKANSIQATAFKSLQSVDTSNAGVKITTAQFQMFPHSEYEYTNGNP ELKFKGYKVIISQKLETSNLKIVGQLIDAAINAGVTTINSVSFDVKPEQKSELKDQILQL AVKDATHKAEIALKSLDMKIHSIKSISIDQQYAPRTSYMNKMAPMAAMDGASAPTEIYAQ EQNLSHSITVGFIIVPNDQ >CAK87175 pep:novel supercontig:GCA_000165425.1:CT868607:231329:238573:-1 gene:GSPATT00020828001 transcript:CAK87175 MKPTLAAYPLNFFAFMLIYEISIQEPFFFKTLKQSFKHKILCKLQIVIQYTLIIILALEY SGLPQYGLNSFQALLSGLKTSYDGPYLLILAIAYFLNIIIDVLYNVRQLRGQVSAASDLS NDFDLDLISYLAQKERQERRQTVVALIIQTQDNAIQDQNEQPQINQGEVNGEVQRNYIEQ EQEVIEDNVEGQDKQKKNHQFVIIRILIAIQQLYCVFIIMRFPYLGFTLSILWIFQSSIT RNPEQIIQFLFLVYYPTAYYQIRECEKLDVFDQCLVWTQLFICFGTYKFYLNNKEQIQSA LNSKQSLFQTYFNQEQEEEAFQLAQLIWAFVLRNSYSITLVIVFWISLFTANIIHTLLLV TTILFIVRHENKNRSNSQHSFRHRNWIWMVVIINIIILLKYVYMLEMVVFGDFGYNIIEL FGFQFEYNLPFNLGFNDKDFGSLSVFWMLDCFVVLQYETYKSTTYKQLQENYQKMISKIG IFSSVRQLYNVLYIKFILWICYWISLLILTFQSFNIINLFQILLLLYIIKTHISGMINKD IQFRKIQICWSIFLMQVGFATLFRYLFDFSCLQLLQSQLRKITIYEYMYTHQGFIGLSKR VPFLIFPNLVLDIAVLCLGYLGQDYLQQMISINDLTVHVQRSHKHTNEHNEIELEDLSKS LKENLINSNNDSQMEDRQSIAANFAFDFTLQFPFLNVIYKYVSLLLPIIIYIVIVITSIK YKISCSMLVYLLIMFYYIYKTRSYFIEQFQNHQITSKLNEKIIRWKYIFINKVEKEGMRV RMEDSLTSKYNTNLILTIAMITKDIKIKSFEYKKACWVGTLMFSMLFLLITYLSQSLKYF EDYIDLKTMVNIKYILFAFGTYNDYQRDDFIVKDIYPYLIALIIVIIDVKASDFLTSIDN DDQHQEQITKSIQQTEQYRVSERESLQNSASYLIDNFYYNKFQLLKLNFQKGAIHSSERM YMIILFFLAAISEGIFSIIYILLFALVFYSSTNSKSTINKVSVLVVIFQYVSYLITLSQG SSPRILPEEFNQTEYLIFNFSNTDLLDKWGLSGKGRSKGTFIFNCIIIFAIWLFYYFQEV LSIKMILFIDQCYINMKLRKKEKHISSKFYIIIKRLYIIGIVYFILLVQLSVLCYYLFVI YSTRILKTINLAMFLFTILSLYVIEIQLKIPKKQTEKRTDIYKRIIFVQEGAILIIFTIL FAQKLFKDENNTTSNISIVEILIFYLLQLGKDLLESEQYEKISKDFYDNLDTRSEAVGLA MSFEANNRKLMKLIERYKDRNDLKERIDTITKMINEFNQDNRQIDCQVINIQDQYNPMAE PLKLTIYKWIESHRNRFLFEPTLQIFHFIACSNLQVLEIAEHEIQYIQNQDFEAVISYLQ SFYKEATKYISPTLSKESFMQQFLQNKRNVGFSQNNEIRQIKKDWQNLKEKISEHASSNN LTREDISQYINQYDWKRFLLVIGQFIFSFWHFICFILLITYYFLNHGFFTIIMPLLVFAY GAIEEQQPDKLMWIFPFIYLNFLCALEKLDDLTPFLQDNTFLVFLFGENSVVFQFITIVA IIIQVNIMKNIGLYEKFINQYETIYGSILRHLCNGTLNLEDGIKRPKQQKIVRSKSQERF QCLNTALQAQRVSIQMNQTFTVLQKLEDDLIDYLTRIKLIKPNFFFRAFSNMKPGKDVYP FMAGIQIILAIYIFLFYDQMKSMDSPSISELLKYSQFSGHMVVALLFQILCILIDRYILQ YKPKSAQYSIIDVFFRSGNNYNQNQNQNQNQNQNQNQNQVMIQQFNQFKEQMEPEEVKQI EIQMRQTVGGVGQRSEEVNPPIFRRGRSLSFAEKKQIEQKQVEQVALRYKYYFQVVLLIR QSTCNVAGECQYITYNIFMILFYMLICLYFFLSSIQIKYGINDQIAQNTLMKGYKFHNFV IFKVYKLMPFLFELRTICDWAFSETSLTLFQWIKLEEIHSLLYSAKCNSYFFSNKKVGAK IAFHTKFSLGFLVIIVLLALLFGPIFLYSPLNPTFQNDNLIGANIEVGLKINKTNYFTLF VNSHVSDIHQISEFEWNAKNLSQYKFLNNIDKESLQIVKLTHFSDTYWDISYPSLYSLSE NLKNVIDKKGASTFNLIQTYRFMRQYTDQTSQFVSDNYELNINALKQIYESIVSCQENKQ MSNPIQLQKFYHDFIRIDKNKDISILDEGLMNSVMIQLNCTANDQFWWSIYSDYKQSVGI KFYIVSDKYSSALLGYSILGFYITIVYVAGKMARGIMSGGIDLIMLQDMPYPDNLLKICE AIIMARVEKDLIQEELLYFQLIDVIRSPQLMRNISGSFVAQRLQRLEIDKQLQKQKQR >CAK87176 pep:novel supercontig:GCA_000165425.1:CT868607:239484:240314:1 gene:GSPATT00020829001 transcript:CAK87176 MNSPLKELEQIQLRQIEQGYYQNDTEQIKQILNSIKLMAFENLNKKQIKQPMKVEENYQS RVQNEIAQFYRNQYKSIDIVERSKLRSRNINQKLIEYQNRNTPKNQKMGLPSLRYSEIQS NPVKQAAKPVTSSHTERIKFDKARTLLNKTFNDFAQQWMKCENRNSPPLVKNVPNIKKGN SIILDELTSRAQSIIESATINKKLKNRLYHQYGTHTPTNIRDQQLAITQIQLGNLSFEIP KCNKFLVDQEMRILKSRIANRAKPNILVVDQRRMQV >CAK87177 pep:novel supercontig:GCA_000165425.1:CT868607:240345:241415:1 gene:GSPATT00020830001 transcript:CAK87177 MSLTYINLEASPNRQKPFGLASGMIEDFLLNPLEKLRTLKCDKISGSWDLTKIREILNKI KDLALQNNISNDIIDPPKISSDTERLIEQIGDYRNQYSSFEQSQKYDNKPKRIQQIVKDF ENRVIYRSQRSSSVQSKTIQQPKKCKLISKEFEQKLNSINQKYGMKTTQIVAKSKYMDHQ SINSNSQQKSINTYFMRPQTTCSARKTYSFRREKTYDMDQKRLNTARTNSKRAQSGYQMQ NFESEKQNASIIAKIMKKSQQNKKVNLKKYHLENNDLQPTFMLDDNDSQTNKLIKCIEFN PLDSIQKEYRSFMPSDDDFILKLSFQQIQSRRKRQNPNLQKIFYAKAQSKYLQDPI >CAK87178 pep:novel supercontig:GCA_000165425.1:CT868607:241558:241856:-1 gene:GSPATT00020831001 transcript:CAK87178 MSFDKLQPVIKQIDMSDEMQREVIEVSRQAIDKSSTDQQIASYIKDELRAKYHGTWHCIV GRNFGSYVTHETKHYIYFYIGQLAIMLFKTG >CAK87179 pep:novel supercontig:GCA_000165425.1:CT868607:242954:248333:1 gene:GSPATT00020832001 transcript:CAK87179 MKSLTSKDYINKYTHIFQESLPLCIQYRKDKEWDQIVHLIGDHIKEFDKLPSGVKQKVDQ FTKSSLYFFLAEAQYHLKQALTAEGLLALDTDDEKINQIKAKTYFMLYKQTNKIEHIEYA AKLADQFFGTDHKISQLYQTELDQRQRKIKFLQLQSIPNFEVFKQGLEFQYFKNQTKKEA NLKKGRNMHLSEIEKLTTEASTFEPSKRIRYKGNESLLKISDQKMNLYLPLSSSNLHSKS IIQFRQNNITSELRGSYKLDSVQDMPVESSNMQELLLQRPQSCKQRHPKKLVKNQQTQPS PQKQHHHQQSSLSQQQQNRNTLLKRSQIKLHQQNLMKSSSKQRIEVKEQSQPKILDSKSI QCEISEQSSYFKTDQQRGQQDLDFHFSPRIGQSYYSLNTSSGGSKHQIDNEYLHNRLDGL YKIQQEHALRMIIKNFRSFVQKQKIQKSQDLMKKSTAKQHFSNLIVEVGATKNILIEEKL ARIKSILHICQVIDQGSLKSWMIYSPIKQINAVSWKIKNFSWVVFKRLKTKKTQIHPDIA KLYKETPKYRQIIEVWSSSIDGTNAIFVLSIAIEVKGKQYDIRLHLKNIQNISKDFENTF SSTELISQLINVYFQSHGIQYNHSIKPTTQFIQTKQTLLRQDILKKGCQNISNHLNQLFL NNFLYMRTENGYKFRRVTFSEQILENQVELYRQIEQRRNLIKKTFQPFHIGSRYFGIKNS NLRNKRLLKSLSIAIELQSPVLQSTAMSPYNYWQGLRSQSFKAEFQDEIIEESSFTPKSG FSQASPRSSISPQIRPKNNTFSSFSQSSSNLMKKSKQKPNVEDYFKNYPKQRDIKLKDPI PFNPYQSQQILLLYLTRDKEGWHVNYLTFTFNFENPENFGHFLLHSYLFQQRDVIQSSQS LQKFTQIVDLDISVLKIYLGYYRNIPYIAKLNYIKQINQIDGYNYKKSNNIIFDEFPEYK TTRRIERKSLINDNSQINKDHYYIFIFKPQNQSRIVFRIKQSYFKGKEIYELYLYKNQQP KFIQINNQQSLTKLQELFKKIINQQSRPIIGEFQQLQRVLNYYILQEYKANGSFVTLGFQ NQTKQIFNYELKSNSTKEIYKILLKHLVANEQNHIKNLLRTYCKITKFQVVSKLNNFKGM NLLQFTQYLMEEDIMILEILIFPFEKRLSSFKTYLNHNDLQTFQILNHSVEEKALFLKNL LSLSYKGNSQQVHLSLNSNFKYVNQINENMGSKQKTNLFIKQKQAIQLIFQRVKKLSKHF LIVSLFQNTLSHDLIIQIYIPNLCKQFRSVMSDYEFSNLHQTTLDKIIPGNILESLDEYL NHFPQRYNNFISFMRQQKLQHIDDQFSIKSRLSERSGISSISIQKFKDQYEQMLLNQQQN DLSHGYNYYRSQAQSQTGHHQYNQLKQSYKILRSESKKPSSRTYFGKLSHPQRVSHDVQK RISVKPSISKFDTFYDVIKLQQILKIAALSKNISYKFLMCYFWEQLIEDCNIIMTNYNKY ILQIDTYRGILRQLGVSQQVYIDDDYQAEIFFEQQQVEYGSMFEKYSFISLKESQSTNIY FSYKKLDGSTQKTINLKCQFRQCIYNYSSVNLIFHSQLNQISYNTALRILKQSSDYLTEQ IKNKNKLISKAFQALRTNKKSIKLETYINNHSKYKFIYSSRLMMNVIVTILYKNERFYFY LEDCQKQIEFPIKMSIRSVQDNIPHISMLLQCSQEYTIGQRLLSTYKNFLIIKAYEKLFK FNN >CAK87180 pep:novel supercontig:GCA_000165425.1:CT868607:248441:249474:-1 gene:GSPATT00020833001 transcript:CAK87180 MSKAHLVKEMIIIREEMRNINNRILTTNSSRIFECPPIQNRNEKIKKYCCQLIALKPKSF KQYFISEQYIHDLLNKMDYEKLLKISLKFIVKYLRNQDEEDEESSENEINSPNNMKDVKK FSQMIRDIVSPIKTKITTQSQFFDPNLRGIHKSSQTFSQNQESKAKTNLSLGIRQQSSLL SSIQQDNLNSPKFNDNKINVIDEISFEKSSINKDQQNKYKLDQQYNIQNEYYSQRLDHHP QTNDKMDYDISLITTPKQRELDNYKQTLNTSMNSSFKDTKRKMKNKSLLQSKQFQQFEDK RFPKKEIPFTMLSVDHLLKRRKTPEKKRDFNIITNS >CAK87181 pep:novel supercontig:GCA_000165425.1:CT868607:249533:250729:-1 gene:GSPATT00020834001 transcript:CAK87181 MSQIHNDLCIKSIDELRQAFKQVQLPNKQPQQQQQSQQQSPQKEVERVIETTAPTSSHQQ IHCHPICFPSHICHPINYQPFIIVQEQPVNERKSNKKKKKYVKEVQEEIQVQIPVKKSRQ KIEQPNFNVQREVDHLEQLIRQVKIQEQPAQVLEYHISVPEQEKPKDNTEMIAMLSALKQ EPIQLPKDDYLKIENARLQEEIKNTKISYHELSVKYEELQKRKRKEKIVKEIEYKEIPKI VEVPRDVVREVVKPFEVIKEVIKEVEKPSQMNTKEIPIYVPQYKEVTVNKEVPVYKEVPV EKEVKVYKDIPVYKVQPVYYDVPVYRDVPIYQKVPVYKEVPVYSEPINVYREIPIIKEVD RVPETIIEHPNLSRTRRVISPSQNGRSYIRSPYSRIEY >CAK87182 pep:novel supercontig:GCA_000165425.1:CT868607:250865:251290:1 gene:GSPATT00020835001 transcript:CAK87182 MQNKSNTYKTYKDVIPLEERKEKYNYYKQKYPKCVPIILQRQNNCNLIFLDRPEVLLDEE KTGNQLIQYLKDQLKEKSLNNSFYVYFTTTDNEGNSQDTMLQMEDKIKQIAEKYKDKEDG FLYLKYDYQQTFG >CAK87183 pep:novel supercontig:GCA_000165425.1:CT868607:252197:252735:-1 gene:GSPATT00020836001 transcript:CAK87183 MSERSLSPTDYHELKHVKSIKVPQTSIKKRRSIYQKSNLELQLELNRCNLSCECSNCGKP GGFQLKTHGELPLKETRYQKKQRILKKLKAIGNAIIFILIYKLEAIKNWKKKMHNLKLSK NLTILRRPAVLQTGNLLPNTQPNKQSTKYILSFERSKYYFNPPISIQEFP >CAK87184 pep:novel supercontig:GCA_000165425.1:CT868607:252736:253812:-1 gene:GSPATT00020837001 transcript:CAK87184 MLPEFGESDAERYEKLEKIGSGTYGVVYKALDKLNGQIVAVKKMTQELEQEGVPSTAIRE ISLLRELNNPHIVQLRDVVIRNKKLQLVFEYMERDLKALLDSSPKDQSLDKITIKKIIHQ ILKGIQACHQRRILHRDLKPQNILIDKQGNTKIADFGLARPFQVPIRPYTHEVVTLWYRA PEVLLGAVEYSTPVDIWSVGCIFYELITKKALFTGDSEIDQLFRIFRILGTPNENTWPGV TNLKDYKTTFPNWSPQGFKQLLNRDVDQLAIDLLTRMLKLDPTQRISAKQALNHQYFQEF QVKPIPKKSDYQSLIKFP >CAK87185 pep:novel supercontig:GCA_000165425.1:CT868607:253875:255306:-1 gene:GSPATT00020838001 transcript:CAK87185 MKKNSILSVPIKYQNQIYQVEIFAFDTPLQIAYRFCTQQNLEFNFISPLSKQIISFVSML LDSASVDNTTKAQIRLHFDKFINNASIRESIKIVDTSIQIRNDCIQITKQQDSTRNKDEN FNFSFDKDNNEDNTSNLQDQFQFSFKNKLQEMLNPEKNQPSSRGSSRIDHYVKNNSVHQI ENLQKQEKLNQKIMNRLKNKSPSKSPNKRVGIPYQDYMKRPSVQIDNSKDSFHSNHGLRN RPSQFISAQQSPIYTPEKQEQNTLQTLPKGRISMEKSKQYNSRNISPQEISFSQQQIDQS IQSSMVSNGTLCNSRIEHQSKQDRMKSFKDLMNKLQCISTPQKSQRNYEIYIKQQNNTQA KIEQKINEIFAVLDTNKDGYIDHEVNLQQLKQQIIDLFEPVWFQVLWKKIKINKRQFQDM MQKRIKDLDQQDIGKFIFNGIKK >CAK87186 pep:novel supercontig:GCA_000165425.1:CT868607:255326:256047:1 gene:GSPATT00020839001 transcript:CAK87186 MQQVNVPSQYPNIPFANQTPINKTNPSLSPNQKMYFQSTSPMQDSNSYTPVKQLGELELL YQKIHLLQNENKMLKTQLENANLQIKQLSTKINTYNSQAIFKTTTFELVVLQKALEQLEQ LKTALQKRKASPKANNQTLPTEETAEVISELKSKVISLEQKNNKLSKENSELQQLILFPA QSESKEFIREGRKQLLSDPSEIRKVNRNSPIRFVNRKGTPSNPYRSPKHAE >CAK87187 pep:novel supercontig:GCA_000165425.1:CT868607:256101:256412:1 gene:GSPATT00020840001 transcript:CAK87187 MDSTKDRVEGTRATVIEIIGRTGSRGGITQVKVQLVGQQRTLIRNVMGPVRKGDTLELME CEREARRLR >CAK87188 pep:novel supercontig:GCA_000165425.1:CT868607:257116:258075:1 gene:GSPATT00020841001 transcript:CAK87188 MNISAVRSIFSFLSLNALLQIYENQPVEFWDSNQMMSHPKLTPIFQSNQYINLLAGLATV VLLDKKQKLLMINSIVAGSSIVIQDLNLLLPKTWNMITIYFSLIALIAQLFQLLTIYYDN IPSTTSIIKQDIFKEQKQIKKQRKMVSSKKKEQPQLKKQKSKQIYQIEGIEQLQNDKSEI ESIENDFNEFMKPQSNDQTLTEVETENLYEINHADLSQISQTKEDPKLTFQFKFKGEVST LHCTFDTFDSSMSILNSVYTQEQIKQIKTHLLLNLYDENEDCSCRLWCLKKLLILN >CAK87189 pep:novel supercontig:GCA_000165425.1:CT868607:258331:260707:-1 gene:GSPATT00020842001 transcript:CAK87189 MVNQSSLIEILLGFLLTAIQFNRFIKEFKQKQMEDRALRTNQMINRYQGHQTMKLGSPED NHYVKKENWKQIDEKNKNQFRGYEKQTLNLEPKTSYQSYQLANNDLDDKDQYNNNTNLKQ SYYYEQTKPQTSIYYSREDKQDQVHLNRQNRDEQPPHSSDKYYSKQSAIPQGNRTPMDSR SNFNSKNPLDNDSQNKFKSEYQFSGFKTDFKWPEYQRMYPEITKNKNNQQENNNQVQQGQ SQDSRGLLDIEQRLQQRREQAEKIAGQQDKRLNYYSKSQEYSQRQQQVGLESNQEKMKQF QRELRNEVQERIKEQTNQLGKQDYRNFELNSQRSHLERDMDNKHNKNEILELDQFKYSQQ DAKLNLNERKNNYYDNSRKDYAINSRGSQFDEKSPFQSRFNHDSKFQEVSNLEKYHYVKK QQLDPHQTYDDKVKYVDRSLKSNKSQNEEQIKFNLKSEGSTFKESNDRFQLKDKLNYETK QQPYQIKREEVQSQGIQTRHDLYDKLQQFDQKNSTKYYDSRAFQADKFQSNNFIQETKPY DQYQSIEPKSKAIGYNTDKYDKYEIKMQDQQMDKMEFKRSQFQPEINQLRQEFDKKYGQF NPEVSQKLSEIDKRRIFEDRSRPEPIQTKISGAREYLGQKYYQRDPYRFEQRHSYHEESS HQDRLAIEKGNSRNNANTKAIEDILQGTALNKYIQREKQNKVMDRVVSFTSSNINYQKSP INDKEQQLGYDRQNQLQRGFGNDRYAVNEYQKRLSSDKNLQKYGSPSGQIFKQSRNYDTM DRRI >CAK87190 pep:novel supercontig:GCA_000165425.1:CT868607:260890:262125:1 gene:GSPATT00020843001 transcript:CAK87190 MITYSNVPPRSITPKPSYLPVPSPQLHSQKIIPNQYPQPKQIVPTTEIIRVLPNEPQQIP QQDQGQFNGLSLPELKAFLEDLMKRYDRLAQELQKAAQKEIHLQNQLTIAQTDLNNKLLY SQQEREASQSTINNKNEEIDFLNSEIEKLKEQHFSENQELKADNEQIALVLTDKLDQMNQ FAKEKMIELDQAKSLLVLREQEVQEWRSRKNNGDVYSQEINQLKQKIYLLQQEKDQLMDR LRYSPQDSQLVNENQMLRAQLQEKESEVNTLRLKLQYGQQNNGDQQLYQELEQAKQEIMY YKQQLMNGGQNYNLEEVEDYRDKVQILENEIRRLNKQLTEMRHELEDHRNHKSDNEFLRS KLSDQQNQIREISKSQLGFKDRVPQYY >CAK87191 pep:novel supercontig:GCA_000165425.1:CT868607:262187:262561:1 gene:GSPATT00020844001 transcript:CAK87191 MNIEDIIKENNELLNQMHNENQPQSMDNQLTQMREQLNYLQQIDIKRQARYDQLVNQSSK LQQLFIELMNFVNDSQIKGNQFNTIIRQYNELSFRSAVANEKSPCKPSTPLKKQNNYMAT HNKF >CAK87192 pep:novel supercontig:GCA_000165425.1:CT868607:263031:263757:1 gene:GSPATT00020845001 transcript:CAK87192 MGSKEYVNNIKDNEASNLLLIHHVRDKKQVTQQLQQAPFPDCKGIFYFRIIRNTKDDECK LCKGYGNVEGVIEIEIPIDKGISDGYCVKLYGKGNLFYWHTMSLKEALVGVKVINITTHK RKVSLKWTIYIEFPKDLDQNAIQKLAEVYSNIIHLLQHNKIAQNYKNLDQTILKNTKPEK KKMMMKNLIKMELNALCFEFLFYYKKYESKLKEIRQMLQLQYETCNYQMF >CAK87193 pep:novel supercontig:GCA_000165425.1:CT868607:263855:264912:1 gene:GSPATT00020846001 transcript:CAK87193 MVLNEERAKSVTPEKQQESTPHLSSILRSRIKKNEENSNSLINNDEQLNNNQIKSIELKY KIKLQEQENTINLLKQHIENIENKHKENISKMRDQMIKVQDEMFKKQEEQIHEINKLRKS YDDKIAQYVSQIDSETQFNNSLQSKLDELRNVHQIKQQEAQQTISQLRAEIERRKFTEEQ ILIDSESQQIKLKKEFQQEFERSITIMKNDCAIQIEELKQILGGRSEQIQSLQLQIQQLQ NTSKEIESVWSKRLQQEQQCQDELKVKYSHCKDDCEALKKEIKNLQKEIDIYQKENELFQ KEKMSLTKQLNQQTEKKEKMDRFIYGSKKSQTKIKI >CAK87194 pep:novel supercontig:GCA_000165425.1:CT868607:264973:265257:-1 gene:GSPATT00020847001 transcript:CAK87194 MKIIVDFGGGLDICFENKKELTLEFEEQQIKMTDLITRLKTLCNPKKLDFFYTQQLRPGI LVLINDADWELEDKEEAQLQHNDRVSFISTLHGG >CAK87195 pep:novel supercontig:GCA_000165425.1:CT868607:265279:265983:1 gene:GSPATT00020848001 transcript:CAK87195 MFQLNNNEKQIDFRKYEMEPIDQIYLSFSPNQDEQNTLNLKTEEMSIPNIQSFFTDHTNI FDQKVGYFQGSVNNSDQFDFYLISKSELVKLLKQIDNLQKIKQNFDSLNYQIQSMQKQQQ KFFQQPSQNTLQKSATTLQGSDQKARNLQQSQIMNEGQPHKDVISVRALVGHRDNSIHYN NNKENIQASINNQRTTSQQKQQNNQQNYSSVRKLNQPLPSRQRSQTHIHMKYIN >CAK87196 pep:novel supercontig:GCA_000165425.1:CT868607:266230:267763:-1 gene:GSPATT00020849001 transcript:CAK87196 MLFLIIFTLLINVLALVNLTIDEQFSETETALKLLQSQYQVNQTVRNAAFYFQPFQVDQD KYLTVELTFLFPYDGDVDLEFSITKNKDDNTSSDIDIFYDLNAQYLRKQYQIIQINPFTF DKNDKFYIDVKIKETNFKDYLYLLKITKTSNKICPNYCGNSLLGQCDTENGICSCFSEYV DLDCSKKASQLTMKEPLQNIKIQKQEYFYFQRDQLNSNITLNFGLMNSQYETSGVEIFIM YENFELGVPCEQFSNYNFSLKNKSSHSEVIDLAPLIFDENLQRYQRLLITISAQYSALIY LQASISTSESNFDIDSSLIIVYVLVSIAIVLLLTWIIVIVIRYRRSSRVQNVGYNVSHPQ NNNNRRNLSSLTANLVDHYMPKLKYFQILEFPDFQDLDIQETCSVCLLEYQKQAICRFTP CHHIFHADCLEQWIMKHENCPLCRTALDYKTLKELLNQNNLTAFKQHLQKEKLKKNNIVI QSHLFSNAQTELRMIHNQAENP >CAK87197 pep:novel supercontig:GCA_000165425.1:CT868607:267900:268989:-1 gene:GSPATT00020850001 transcript:CAK87197 MHIEVHPQNSNYRQNQAGLQSRRENSSKLSQHLSPIIPICLKQSTNRENEIPQEFDGEKS IYKSFIDEYYSVRSNFPNSPSVKFQKKKIFDDIQIPSQKTSQIATRQILNEKSQTNNQEI YHTYQQISERDATLIQQHPYFENQIKSLQIDTQQQFKLECLKDSNKENLIVFKNKQILIT LKRVNLNKNILNCELTFQNIGTAPISVLDVLYSNDQTLRLSKENDCIKSLTPGYGQMSTL TVEIVNVPFTIVSVSLEYNNQFFKTYLPITILNFIQDYQTTQSRCFNNHNHYNKLVISKK NWSIESKIIIGNILNSEVEIRVENQNNQLALYCQSDLEQLNWQILNTLYVLFDL >CAK87198 pep:novel supercontig:GCA_000165425.1:CT868607:269269:270977:1 gene:GSPATT00020851001 transcript:CAK87198 MDSAKKNASKRIAFVQKLEMIDKSIVHNTYHQEIQKTFLKKSIEKEQIGILIEQVKEQDE LSIQQRRVNQLVNLNRQLDDQIQKNDLLQQQKIMEDMNSILSSQLDHFINQQADRNQILN RLQSDFNANHQSMQILEGEYLITEFKKINQMDQSIYQQQSKEYSDLFEIQYQMQEELKII NGELEKFQKLNQTNNIQNYVLQGCGNKKTQYYCQKKKIRTFCIFYNKTNEYDQTYQPYFK IKNQKQISFENNELLNNENTSINLWSSSKQKVKKLNPYRCQFYKFDQIFQRKENSRLSQY EQLFNQLELFIKGTLYKQIDLILKSYAFNSQEYHLIYNQDLNENVEKIRKLCDKEKADTS NKLIVLLCDKNRGLIVQLVSDVVKILSHFNNQLIEVNSLILTLTKFQIKDQQSEDILECN AQNIEMMLDCLRSKEIFRTSQFHLKIEINLTNNVKRILHILMLAPLLIENNELFCKQFKN IFKREKAFKEQIGTRIESIVKNIDKIIIITQIPEMIQTKENSAKKISIIQNIINFSSLLV VKQEN >CAK87199 pep:novel supercontig:GCA_000165425.1:CT868607:271293:272844:1 gene:GSPATT00020852001 transcript:CAK87199 MITLKHIEATFERFGFLKNQSLTGNEIQLKMDQMIGTKDMDRDVLNQILAQCHKSINQNY EQSYRMQDLAQTIHSAIIILGNKLEKIKTDIHTFREKQKKHQTQMSATSNSSEFKHIYLM INSANNISKKISYTDCHLQVALGSQSQRLKPIEHFDKVNPEFNREIEFSIPNNIYILTIQ LYTKQNDSPLPTLLGQAQISLSTLEEQLKSLKLQLKDPLGKEVGCFIDMQVQLILNRVIL KFLNQYEYLQNQVGQSGDKIDQLTELNKQVAYQYDLLTRPFRLRQEEKITIENGQTSNQQ ESSFIQLKKPEEVAADPLQTSKVEINKTDQNSQPEDEYPQAPYDLDLGMTIFSFYGLITL FVCSAKPSFLDVLVCHGLMFTVFLDRFEPEHVKLVGLGLMASIIYDILWLSQYHSWWDSN DQNNPEWGEEAAMFLKIILVLTYIQFFYKFLVFYYLYQFYKESLDPVKSYIFKIWNIEYK VGKNRQYVFWQ >CAK87200 pep:novel supercontig:GCA_000165425.1:CT868607:273012:273742:-1 gene:GSPATT00020853001 transcript:CAK87200 MGICKSQAIPQAKDELEIRHVEKKPSQDQIKLKQEKEENEAALKIQSTIRGKKAKQELEK QKEELIQDKPQDWTEYHQEFIEPQLPAYFKEKVNYKPTNQNRFFPPYLEPDGTIYNGQWN KGEQNGFGQMLKPDGTYLKGLWKKGIFSEGGVLYPNGEFFIGNTKQGERCFTNGIIYKGE ADYGIPHGKGEEIYPDGSKSEVQYKCGRKVQDSKQKNQ >CAK87201 pep:novel supercontig:GCA_000165425.1:CT868607:273813:274138:1 gene:GSPATT00020854001 transcript:CAK87201 MAERTLDFIEQRNSVRKLVTWPWNFVFGLQSFQTVQHIYNFTARTIFAASSLIPFLTTYN THQFAYAENSVRLTRYRNYHDDII >CAK87202 pep:novel supercontig:GCA_000165425.1:CT868607:275109:277299:1 gene:GSPATT00020855001 transcript:CAK87202 MEALQQILKTQGTNVTIIDLEFKSIESLEELLPQLANFSNLKEVNSKHQMQLNLHGNRLA YLPDDLSLLDTVEVLDISNNIFSDLGQVVDALSTMPNLIHLEITLQSKEEEQYILECLTN LQILNSQKVNGDQEGGDETLEQQSEQQSERSMSAVQDITLQQYDLEQMAILYDNIREYKK EDQEDKQFDQQIRTIMLDLQSKLKQNNPDHLTNLNILTAKFNLYEICFKSIMKYFKANDK KLDVIITKIHDMHFQIFNDMSNVISNVKPQSKNTSQIIENKKQVNQPQQGDKTVENRLRL ELSELQQQNAELEKENKRYLDLLIKHSKGDRSSIPNSEHVIKQQNDSYQSQNYSKNHQSS SIQQNNTIFQSKQSQNLNSVPQQVNIRHLTLKQLKDVINEIYESKQKFDQKCADSKLPRE TMEQHMYTFLNQKYGLKSLIIEWATSIINALKKYSAEDNDVAVFGKILRNECDEEFRFVQ TQVKNTMQELLKMYLRGKFPLKHQAEIKEMLNQRINGQLYEEEAVDIIKYMYNQEDSELL LNKLKSYYIVPQKNPDRRLTREEQLSLLQEKDKYKLEYSVFQKIILDFQLKSHEKYLKKF ILTFKQMDTDANGIIDENEFRNLIDILNFGAGDLDIQKYLNIIDPYSHQQITFSQCVTLF SSESVPGSNGQLQILQKISEQ >CAK87203 pep:novel supercontig:GCA_000165425.1:CT868607:277501:278920:-1 gene:GSPATT00020856001 transcript:CAK87203 MNTKQKESLSFLNNYMYFDNQVNPKIQKEALNKNYQFEASPKKKEYMKSYQGINYHSLLE LKQTPLVKLQELPNYEPTKCSSVQNGQVKAYAANTHQGLIRNYNEDRVSIILNILKPQTR INENWPKCSFFGVYDGHGGQGCVNFLRDNLHQYISKQKEFPWNPLVAIKKGFEAAEKDFL SFALQQYSKNQAEKSGSCAIISLIVGDYCYVANVGDCRAILSSDKGKKYFDLSIDHKPQN ESARIQQGGGQIYQTSITNDKGIVIQGPLRVFPGRLSVSRAFGDIEAKNEQFGGKPNVVI AQPDIKIFRITNQHDFMVLGCDGIFDKMNSQEVIDEIWSDLKKNKDNQNLHAQISSAVDA VIKEVVIRKSGDNITLLIVAFNELIQHQQQYAYNKSSSISSHIELLQSYLKKEQDNAENS REINYKKINYFNPSQQLGSRVQTQDYHQQVKQDVSFYKVRSSFNM >CAK87204 pep:novel supercontig:GCA_000165425.1:CT868607:278933:279653:-1 gene:GSPATT00020857001 transcript:CAK87204 MALNNSEQVIKFLRETEKLDSASYQSLLKLAENKPIHLYEIGKLTKLLLLGSRYQVIFYT LFGLGYMGIIGQQKYQQLLIDLENVDKTKKYYEIKQDKIESKITKLKVVAILFGLTSTLG LFVTQRMGQKIPKSLWFYPNGEFKLTFYSFFGFKKHITAPISDFSLCTSSSRINKTVMMR YKNKELSTIGTGVSHSNLIRYLLSAYTKTDQKI >CAK87205 pep:novel supercontig:GCA_000165425.1:CT868607:280229:285770:1 gene:GSPATT00020858001 transcript:CAK87205 MFKQLLVAWLLVSVSIQFKTSARLQITPLESILSIDPSGWTCENPSYKEQLGAQHDIDQW IDIIKHKDGFDGDLQVLAMVKGLVDRVLQTVQHIQEGSFIQVKQLHHQISKHFKSLSQIE STSSWYDINDILGYLEVLNKAKSDEDKLQLAQTIATKISELIQQITEIQLQLNTIPVDID QLYDLASRVNGKTSQCKDQWASQLEADAKAEEEERKKEQEQEEARVRAEQEAKEAAEAAA EEQRRKEEEARELEELKNRVDITPEEAEKLEQEAEKELELAEELEHEAEAELDSAKAAEL DAETEAERDAREAQEAAEKAAESQQVLENAQNKEEEACLDAEEAQRRLKEAQEAAEEARK RAEEAERLAEEAKKAKECEPVPEPESVAEEEEVEEEEEFEWESEEEDGCGDDVLEDLIDT LIDAATGPSAYQPSCGYPGCQEQPIIIIVEDDEDEPKPEPKPESQPEPEEEVVVVEEQPE EEVVVEPTPDDEKVEEQEEVVEEIVEEIVEEIVEEIVEEIVEEIVEELVEEEEDDDFGRL EFPDEPVPELEQLVYTLDERTDSVVTQETSPWEKDVEDGPDSRIKTSVEYSYGLWTYFRY NGKVKIAEKKEVLAVGGLSGDDTNRLVTTIGRRILQLLNYGKYLDAEWIYVYFGYKEGKA NGYIYWGRTQVIKSVTFTVTQPAIVKTIKFQSGLWKGFKGFNGVISNVRVVLNKNSFVET EEEMKNLIETKYTVPTDPELEYQEKQYYDKVEYDGLVDDPKFKWDLDGYREYSVSTWFRY VRRSERAHQVIFRFTSNEPEVGIIPHGLKALSLWQTEQNEYEFSTYTVEEKDKFSDINEK VVISQESQQLWTFAYFGYNHGDFQYYMEWPDSKAEKQFKGWHVVAKYWALYLGQGHQFFF HGKTAYTTIRFGKGAWGYATDFDDYKTGKIKLWPGVQLKTYDPKWLNHKTESEYDTLLHD EPDWVTDGVYEYGFGMWTKFFITNPSRIYEKPDRILVGRLGFTDQDEAEFAVYIGRGNYE FWAGSGRPVNYGKNLDGYWNYIYFSYSKLKQIAVGYVNFNKLKQVQRTVFDPFDADPANN YVRFYVGQWKSTVYGFNGRIAHAVVRFGRGSFINEIAEYETWIEQYKVPVDEELGTKDYE IQGADNKDTETYETFVYEDGATETEQYSVYGWFKYYGSLDDTEAQTVIRLTNNEPGFLKD ANLLGDRTLSVIQKGGDMEIGTYDIGFIDTDFNLNRNSNIALGEQRGIWMYIWVGYSRQD EYAGWFFGFPDVSKGGLLKKVLHFSPKYLAVYFGKDGINKNFIGKSRHVHACYGSTQCWH YVDKVEVEVDLPAWIPYKLNNYFEFYVQNDADALIYAKDDKPALDVEFTQTNFPGSDIEA IYEYGIGLWTRWLMNYPFILLDKAESHSIFRFTTNAQYEDSQKNGDRTVSAFVGRGEYQF STYDAVLDKNEITTGTKFDKELEGYWNFVYFCYKRIPTGPKGIGYVYLTHQNVVKRVEID SAKHWLLRDYARLVIGKKEFGHSAFQGKLFDPRAFLGKNSYIDSSEDLLNVVVPKFRPYP PYKDKQDNEPVQVEKAKMTQRVFKQYEEKYSGVFEYSVYGFAKGSKLKNVTDWTTLVRVT QNTPDIQADNDNAGDRTLSIFIDKGVWYFSTYDYGDIETADDDVGSIDKKFDIGKYWGKW TYFYFGYSFHLKQAYAYIRQIDQTSNSYLFEEVYHFVPNYLSVFFSEDGYGKQFDGEAFD WYLGIGDGAFTTTPEPREWPVDPAPPPDRILSALLANQGFNSGRIVKDTQKFFLQKYEPA NLNQEEQE >CAK87206 pep:novel supercontig:GCA_000165425.1:CT868607:285795:286493:1 gene:GSPATT00020859001 transcript:CAK87206 MAIYFPEESDRINKKAEQTKQKILEMRKIAQEQFGVVFPDSNPFQIKIYDQRESIKELDE NIRMYKKIQKNDDRVFIDFEKKNQYMLDHNSFKTLQLIKSQKQVSEDKIETSCQSYQSLI NRSLKSVLSQRFDKATNVSKKTSPRTYFQKDRSCTVRTRTITLTKRKTMNPQKVEFEGLI SEQRKILQTSHLKRYHKDKLQQDFSNLLAELNNIKIHKEETIFEKYKKKYLF >CAK87207 pep:novel supercontig:GCA_000165425.1:CT868607:287390:288454:1 gene:GSPATT00020860001 transcript:CAK87207 MPIRKYNVFKVVNEKNQCLQDQFLEKKQNCSNLTELEKGVLSFMMMNCHYQRSGRKTVDC NYELNDLQSCTRQLDSNYWNIFTQFYILIQHFCQIQILRYLQSNVESKLSLITIEQQFLN TNLKQQSQIFSEILGTQKEIQNQTQVFLQYVASQYLNFNNQRENEEQNQKVMIEYINEIN SQVLKYHNEIEHSFQELSSIYQIAKYYIDLIYVQGVKMNQIFFYIGDLLLMWLFTSNKQF IDQRFNNFLLILICFALENYFESSNYIKSFLVVNQLLLILYGFIFYKDYEQLTYNKLMSI QKRINYFDLSNFKQRAKQILMNTVSKNRNE >CAK87208 pep:novel supercontig:GCA_000165425.1:CT868607:288831:290076:1 gene:GSPATT00020861001 transcript:CAK87208 MIPQKLLQKSSQEKIIRDNQIIICRIRQMGNSQQSPKYINKNNHLINILPQKQLIEDTLD ESSIFNIADIRSPYTEELNSTARGDIRKVSDMIEMDQGKTRSKIIQTKKGMNVTLYESEL PSTCELLNYIPLIKREKTRELLNSYESPSGHKAQALGSQPIVQFLKCNERLTYQGQWLRQ QRDGFGLCFYQNGSIYVGTWVNDLRDGHGRMILDNNDNYTGFWKQGKYHGFGTLISSDNF YEGHWEDGEKNGQGLEIKANKSKYEGTFKKGKKHGSGTIKYIDNQIYKGEFVDGQYEGQG EYHWNDGSHYIGEWKCNKMHGIGVFTNKANDVYKGSFSEDKKNGIGMFKWSNGTILKGIW VNGSLDGQATITKPTGESIILYYKNGQKIA >CAK87209 pep:novel supercontig:GCA_000165425.1:CT868607:290137:291929:-1 gene:GSPATT00020862001 transcript:CAK87209 MILLLSFFNCFLTAEVLNLTTKTLPIKDSYGGTIEVIQGSEYKLKNFENAEYAINIELKK NTTSPKDICFSKQSTIHFGDYESHHKVIYNSTINPDEEHANDPSYYLSSPIMTNMVHTKQ GLAIVTSDHNLLNYKVIQNYSSKTGFSTQLLSTVDFKELRKHATELEIPQIIYVPFNEYI YIIYSDQIIGGKAENNIELAVIQFSGFQTQNCYINQIKVYHNFLFIPAGSDGLHIYRFQA SGQINYDNTLSSSNLFFKVVPIHLTDIVFSTSNQTYAYILDQLNGVTRFLVQTDKLKIEL IRDNLFGLVPIKNAMSLAVSPDEFLLVLKQVGVFQQLIEVGLSNSEWFFLKTHHLTGQYF DIDIGPIFVLLRGKDEHRIIRVGIYEEFEPDYVFYSQDDQYTEKANSFHEQYVFIPKLQD VEFYNDNFAIGNDPSKWSFNQSYPFILGLTQHTIVEIPYISQPPYVYCSPSSDEDIGIVY QYDINLYSTACPDKDKYLEENPTIPFQTVQCLYQESFKVKAIQAQGKLYDTQFQVVIIIC LILILTAVIFTMIILYRRYHKQEESLTSSIQGFENSRGYDFEPNEGPQ >CAK87210 pep:novel supercontig:GCA_000165425.1:CT868607:291972:293784:-1 gene:GSPATT00020863001 transcript:CAK87210 MNSSSLSVSGISDKAQIINNKEILEQFENGEKIMLSTLIIKVNQNQKKQERTFLITNKYV YNIVPKSDSKIMQIFGKIMSNQIKRKIKLSSIQAISVTSLGAEFVIHVPSEYDYRFQSAD YRKQILETLCQCYQVYNHRKLPFFFKDDFTLVSVCTTENDVKKGIKRFPTEQPIELDVQE LREHCNRKPQEQLIYKRPGLQKQISSSMITIEDFDLIKVLGRGAFGKVMMCEKKDSKELF AIKSLRKEHIMDKNQVEHTRAERKLLEEIDNPFLISLEYAFQTQEKLFFVMRFMRGGELF KHLRDKRRFPEQTTQFYAASILLALEYLHKMQVVYRDLKPENILMDEFGYIKMTDYGLAK FLKPGDFSYSFVGTPEYLAPEIIRQNGHSLGVDWWSFGILIYEMLVGRPPFFSQNQSQLF KSIVESDVVFPSQLTISNSVKDLITKLLTKNPFERLGHNGDAQQIKEHPWFREYPFQDLI DKKLQAPIVPKLYDKLDVQNFDQEFTREEAMNSVVNMDPKLIEKFKQDFGGVTYVPNNMG LQ >CAK87211 pep:novel supercontig:GCA_000165425.1:CT868607:293852:294108:-1 gene:GSPATT00020864001 transcript:CAK87211 MLISNLNQRTRAFGNTVIQKIRIFILSLTQLHWIQNVDVQGEESLSISNVRLNDKNVQEE GYTVELQEQNQSIETFI >CAK87212 pep:novel supercontig:GCA_000165425.1:CT868607:294274:294699:-1 gene:GSPATT00020865001 transcript:CAK87212 MKQFQLASAIFLKEFLRLVDLSSLNIESIDERIEQLKTQILLNQQFNGFIKEEENYNPLT KWSEQENLSQQKTKKKQTNQIISNGKQYFQCGKCSKKFNHPSSLSRHKKNQHTNIKQDLI ELKQLQTQQQEIVINLTDLQN >CAK87213 pep:novel supercontig:GCA_000165425.1:CT868607:295251:297148:1 gene:GSPATT00020866001 transcript:CAK87213 MNSLTLNFKVSNLEKDFRDSKQCFFRKILEHQKWIFGMINIISIVSSLVSKDWVVGSINI CTLIIIMISIKYKQKYPLIFEVLIIIFLLIYNTYLAMEKYLNQIETHYMEGFFVSLSSVS ALALLSFLERTAMTIVIVALHLIFGIDHNTTFVGLYFKYLMYALFFLQFTYHLEKFMRLQ FIEYKKLQQKLYKITKFNDIVSYSIKYDERKSLILIQKNNQTNIKKEDQEDFNKLASSMY LSMKSFKSRITEVINMDQAFSPNRQTLKQLLLNLIVENQNDNPDTPLKNKNEFVLYGFYK QQVFTLCVYLCQDIQPSIVILMKESKCETQEEELKLRMRNNQKQFNYLSKIFDQQIKKSL IYFKWIQNYADKQTDIKVINSLLRTINHSLIKGYTDFLNLENFNQIYTIQPKLKQFDIQL LLKDIITVCNGYYHAQRDSMANLFFFEIKNNLSNNLILSDYKYVKLLFLNLLFYTSQASQ TIIIELDEVQSKLLQQQIIKIKIIYQHPNKSKQQLQNLPILNPQSLTDLKHNSQVPLELD LAVSLMLIRRLGPFDKLKVIQQKRRSNYLEFYLFKQLTEDFLLMPIISLKPVDKIITQQD SLIMNSFSTELRLDSIQNSVQFL >CAK87214 pep:novel supercontig:GCA_000165425.1:CT868607:298186:300034:1 gene:GSPATT00020867001 transcript:CAK87214 MSEDWKKKKIDNFVILNKILGKGTYGTVYLGYMDTGQNQVRIAVKTVPMDSVKQSPQILN LIKRESTILKAVEHPNIVRLYNANRTLNYIYIFLEFCPDGDLRKFMQSKKEKHLSELEAI IFLKHIVEGFKELFQKKIIHRDIKPENILLSNGIAKIADFGFARVMEVEMDEPGKFSRNG TPIYMSPQILRGQPFSAKCDVWSLGIVFYEMLYGKTPWQAESQIQLEQLILNKPLKFPTK PVRSQKVKELVAMMLQVEEKDRLNWQQIFENQVIKMNEDMVRQNMNEIMKEDPMTKSMSL NKIYLDQYKVVGYLHTKIEDYRDDSKNDLDGLKQMFDEENPDLILSQYQKEMKRRESFQK FYTYYLYERNVAFFINYTQLRIIKLQTFGDITLVKEQFLQLIFMLARNQLLHLDKINSAL NSNKHDQFDQELWGRFIVSKDCSRLLTTIKNDILIIKDVFVQVREKCPQFHQFFDKIENV KDFLGQYKQIISKAIETLKIASTSLGKDVYSGIYYLKICLNPYDFFKQVDFDFNAFYEET ENASLEELLNLI >CAK87215 pep:novel supercontig:GCA_000165425.1:CT868607:300170:300594:-1 gene:GSPATT00020868001 transcript:CAK87215 MFLILSQDDHPLYERRFPLKKTTLGSQQLLNAQFILHASLDVFEEKYKSSKELFLKEIEQ KQDYRIFGYVTPSNIRFLILTDQEEEKVKGFCQLAHEQLIKVLMNPLYQLGSSISSSNFD HVIQQLLQTRLNQ >CAK87216 pep:novel supercontig:GCA_000165425.1:CT868607:300626:302576:-1 gene:GSPATT00020869001 transcript:CAK87216 MNSLTLRFNDQEFERHYKISRQILLNQSFFQQKILLMILLILQVADNALSESWVELIYNT IGLMIILLSHYFRFYLQEFQELCILITILIYNIQQPIDLLLRGRLINNYFSNGYFISLAT LGVISPMDFLKKLSIAIIILIVHLISSIQNDLSWRQLIIYIISDVIIVYYFYISEKESRI TYIGIKNKNIIEEHFYKEMDLQSFIVHYNNQQNSFNLIEQNQNKEINEETQFNFIQLIRK IRVMVGYQGTKLSEFYSQEQLNICRKLNLEQFLFYLFTNEEKLQYLIYNKSKTQFYQLIG LIDSDVNHIKIIKTFDTEPCVIILIKQNKKEAQKQQIKLKLKIANKLIDQASQTVQQQLR LSLIYLKQLLNNQITHQISLHKFDINLLMKLINSKAIKVYNDLNNISDFHDINGKFNKIE STQFNIEKIIQECIMIIKYYNSKQQLPLNFLSKLKQKWIFSDQKKLKQLILNVLFFLSKT CTNIKINLIEDNCNQEINVNETILLIDIQFQGLALTQEKLNNFPIINPQNIEELKHNNNK QLELEIPIALMIVRQLGPFNQIKFKQGTKNQTNFIQFYLFQELQEFNLIPIISLHPKEYL ITHQKFVKKQSQTYKILDLPNKTIEIQMNTFRNLNDKMITRF >CAK87217 pep:novel supercontig:GCA_000165425.1:CT868607:302673:304599:-1 gene:GSPATT00020870001 transcript:CAK87217 MHRFTLTFLNKDVEQQYQSMNTKQSIEFQQQQKWIFIVMGLYFTIVKIIEQEWVSLGFIV FTWLVVIFSYRFIAQRNKLYDLFLTFVVVSYNLYFPLTKYFSLTQSESQYVDGYFISVGT FGVINIFSFRFKTIIIAIIFTSLVSLSMISEEDFWNQLIKSTLFTSLYLQYLYCFEKHKK NNFLNHLKRTTTLKGIYDLTNIQTFIIHYKAETKKIELVQKDDQQEIQKQILDDFDDYLK NMNIQQSRSLGKFSSILGQDPVNQSVRKQQLKSYLFELFLRDHRIQQVRSDDQFDNNQIQ GEAIYEQEYYSIQVMKVFDIHPCFILILQEKKKEVQLEELSLKNKMLKKSLDQTSFIFKH HVRVSLIYFQWIYKYANKKEEILLINRCLQSIHGQIIKANNDFQNIIDFNTINSDFQRSI VKQFNIIDCLNELTRTICYYDINKDIKFNIINQLSSNQQKIQQDEKQFKQLLYNLLFFVS NSSQTVTITLQHDTHGQPSKSVIKIKITYQGPNLSNQQLQGLQIINPQNLGELHHNAQRP LDLEIPLSLMIIRKIGPYDKMRLSQNKKTQNYIEFVIFQVLEEQYHLIPIYPLHPLNCLI LKDKNRLQTKKFTSLYEKLLTSPRLQFQNYNDF >CAK87218 pep:novel supercontig:GCA_000165425.1:CT868607:305018:305398:1 gene:GSPATT00020871001 transcript:CAK87218 MYRYSLEFKDKLMESKYQKTKKQQFLKVQKVQQILAIVLLLIFIIVSALRRTWITLTVGC FLMVILLVSIRMDMNRNQKSYYLNTILVSVTFLNYLKALQNIYTDNAINPNYLDGYMFAL MTISCK >CAK87219 pep:novel supercontig:GCA_000165425.1:CT868607:305578:306930:1 gene:GSPATT00020872001 transcript:CAK87219 MQRQDYLCSSKHQKAEQQMINFCGITSYLISYQRDSNQILLTSNNEEEIVKFEDQQQFIM QIRNMRVLIKDQETQTNYLSQEGINQDAKMTLEKLLYYFLTCPEKLKEYMKQPSIESVIK LQGVLNSENYNIQILKYFDELPSAIILISQNKKDVFIEELKLRYKLFQQALETIDQIFST QIKMSLVYLLALHKYQKTKSYNKDISYYKKVQSKIAKAYNDFQNIKDFFNLNTSFQRTII QNFNLITFLDDILTEIQFYFYQSKQFTFSIKSRLRKETVCQDQKQLKQLIINLLYFITER CQDITIYLEESDGPQQKLAFIRIRLEYQGLRFCKDALKGLPFINPVTISELRHNAEKTYQ LNLPMAVVIVRKLGPTNKIYIKQNKNGNSYLEFLIFRELYEDFHLMPLQSQHPINYFVMN AKSSIHGKHAAYLDLMALSPRIQLDKFGQL >CAK87220 pep:novel supercontig:GCA_000165425.1:CT868607:307071:309030:1 gene:GSPATT00020873001 transcript:CAK87220 MQFLSQRFVSEKFEGQFQKYLKKFRIRFQLIQKVILLPLLIYYTIEKVFSSSWIVVSLNV ILGLIVMFSLKYQKKCIRLYEILIMIGILLFNLFYAISQYLNVHPQRYQFIDGYFLAILS LTMINMMDTIQKAFLLLFIYLIYIIMIPNQAEPVWQQIIKFFLYIILYYQQQRYQQQLLR LTYLQYFKHLTIENTIRENLDMKYYVVNFIEKKRSFLLKSDDYNQFLNEEDQYAFQQFLR KINISSSSSDIEKQTLTKIRSAKMNLEQFLFYLFTDRKKLQSLQSYENKYLDYQHHIYGF TSEESYIIKVIKCYDTEPCAILLITEQQKQQFVDKLKLQNKATLKLLNYFSDIFTTQIRV ALIILNRILKYKQNQKITNGKNKEIQFLKYINSQLYIAYNQFYNISDYFQANSEFRRIQI TKFNLIQVIQELFEKLKYYRKIDQIMTRTFMLITKIQELNIKSDMKQISQLFFNVTKFAM KYSDEIQVDLDEGFDQSYPPQPIINVQILFKNLKGTKMDLRQFPIINPKTLQEIKTNDKR PLELDMSISLLIIRNLGPFDKMTIRKIGKQFYKIQFFIYKSMNQDLHLIPINSFDPSLFI KRDDDWQQLNHFANSLGVNCYIDSPEIKLDTLRCITIPDTALRG >CAK87221 pep:novel supercontig:GCA_000165425.1:CT868607:309150:310136:1 gene:GSPATT00020874001 transcript:CAK87221 MDPFIPIQTNQKSIVRIAFDILFLFIKLPLFAFLIVEIVIINDLIFRQIQRLEFHILFHK FMRIIILLHNYISGRLLLLVLGYFKYKSLFHSSAPNFKHGNLQSYCFYCSRTSPIDVFIL ITYFSPSFTHTSIQKDRVKCKIISYGKAILESFYISKCGFKRFTDGENIKDLMNQIRKTR TGPLILFWEGGITNGYSLLKIDKAIINETYNIYNFSQEQPQRSQYFGTNPQGILCLQHED RMNLDRKQLIIDVNQYFNQFILQFRHVFLKMSSIQFQGSTPRNLLKVRRRYHQERNSKIF CHYQSDQSWKDFRKLFVQQDA >CAK87222 pep:novel supercontig:GCA_000165425.1:CT868607:310171:310713:-1 gene:GSPATT00020875001 transcript:CAK87222 MFNNFQLKKKMETHQPHLNLKQKGQVITQFQKKNMPSNQQCQQKNQSIKMNSIVKSTQIQ NQIVQKSISKDIWHKKSVSLKMKNAIFKNGFKIQCGQLVKYKKGNQIYAFRCIKDNMKQF RLKELRQDHDVDTDDEQIQMATKQMFMSLTQCIRNEMASIEFHNHQNLCQKTLPLVQDDI >CAK87223 pep:novel supercontig:GCA_000165425.1:CT868607:311145:311971:-1 gene:GSPATT00020876001 transcript:CAK87223 MIKEYDYLFKLVIIGNSGVGKSSLLLRFADDQFSESYLTTIGVDFRFRTLPIDGKNVKLQ IWDTAGQERFRTITSAYYKGADGIVMVYDVTQGQSFDDIDKFWLHEVESYGEKNVQLLII GNKNDLDEQKQVETSKAEEYCKSHNMLFMESDHVNNAFLELSRKLMAKKDASQPPKSTNV TSNASQQSQSRGVTSSSGSQLNKLSANTSNQKKQKDGGCC >CAK87224 pep:novel supercontig:GCA_000165425.1:CT868607:312534:312982:1 gene:GSPATT00020877001 transcript:CAK87224 MQFPLLKYDWSGQPPNNFVNYQQNIPDIKNTNYNNHITEVELGNSEKYQLGEEDSQTRAN GHWTQQEHLQYLEFVRNHESILKSKYDKKSKKIFKLMSQFIPTRTATQCRSHHQKFNPLN KGKKKLKQVFRQIPTVILPQS >CAK87225 pep:novel supercontig:GCA_000165425.1:CT868607:313597:314826:1 gene:GSPATT00020878001 transcript:CAK87225 MDTFGLKIKEDFVIENGFVCVNHSSFGFVPKEVFSQRIEHYTKFLQNPDRFVKIDYPKYT AEIRKTAAEFLNTDFNQCMLASNSAESFNSIIRNLGLTENDTILYFSIAYPMVQNTIKFM TTNYNVKEIKIELKRQHLDKQTILSLFEEQLKTQKITVAVFDNITALPSLKLPIKELILL CKQYNTINIVDAAHGSGITALDIKDLDPDFLFTNFNKWAFCPSGVNILYLKEQYLDKIHH NTISIHYGNGLAKEFEYSGTKDFSLPLSLIDGVNFIKKHGLNQIIQYSVDLAWEGANLVA QIWQTELLVNDKSMHSAMVNVRIPHEDQNYCRECQKKCYEKYNVFLVVFKYDELNWTRLS ASLYNTIADYEYAAKCYLKVLKGEE >CAK87226 pep:novel supercontig:GCA_000165425.1:CT868607:315171:317039:-1 gene:GSPATT00020879001 transcript:CAK87226 MNFLLLSMICVISTFDLPGIQQKMYSEGEQIPVLINEMTSDSTQLPYDYYDLDICKPEST ENQNQNIGSMILGTLTQQSKYQIFMNYELIDEIICVKNFTQTEQNNLKWFIDHDYRVNMQ IDGLPILSRDYLNNTIIGVPLGIRNINQYSFYNHYNFKIEIYRTSKSEVNQTFSINSITV DFESKCMDSSEIDSGINCPINQMMNITYSIKYIMSNSSNRWSAYLNVATDSDQQWVSISI TFAISLFLNFLIAWFIRFTIRRDVLKFEALPQEESDILIDQMGWKQISRDVFRPPSGILF LSVLIGTGIQFTIMTISIFFFSSIGFLYSAHTGHLATVVIVVYVFTGSLNGFYSSKFYKY FKGEYWLLCTLGSNLAFPVMALFIFGIENIALMFEESSSGLDFKTGITFIALQLGIQTPL NLLGSFIGFKFESPKNPQKYGQIAQEVPQQPFYLDYFYSCLIGGLVCFISVGLEISQIMQ LIWKNSYYEFFVSLFFTAILLIIISAEVSILTVYLLLQNQNHRWWWKAFFVPFTSGVYLF IYSIQYYLDSLQFTRFSTILYYFGTMYMASLCLGLICGTVGFLASHIFVKTIYSMIKLD >CAK87227 pep:novel supercontig:GCA_000165425.1:CT868607:317228:317976:-1 gene:GSPATT00020880001 transcript:CAK87227 MYNKYLFICVLLTLTICEGGYQNIKIKEFMSQNNEIFTYINEVLDDEAGPEYFLWKIESL QQQIVSGINYKMVVDYQKKDDPNQYIQFEIIIYDQPQTNTRKVTALEQKNLRVDNKKSNN LKYHGWVDLDTKQFSQKFPQIQKLLLSKLIPKFELEDNTSIIDIKSVKEQFISGKTYLIF VSLSDGKQYKGTLYENPWSKKMEVVTVEKEEEE >CAK87228 pep:novel supercontig:GCA_000165425.1:CT868607:318051:321947:-1 gene:GSPATT00020881001 transcript:CAK87228 MSKQYNKRGNYQPRKEVYEYVTKEEYDQQQIKQSKEQQPSKHNPQNKQQQFKKSQQEYQK QEQFQQLQNQNHQYQKQNNQKDNRNYQKRFEQNVELDDNRRDDEEDVENFDITKVKQKEL TSTLIKMLKYRKIECPVCYDKINPQQKIWSCTQCYSPFHLHCMHKWIKNLNPKNMNEQYC WSCPKCNLLIQDKLPEYKCFCGKQVDPEADFYSIPHSCSQKCLKKRGQNCSHPCPMDCHP GPCPDCLLQGIEIKCFCGKKSKQLQCSEIKKDFSCGQPCGKMLNCTNHFCQKPCHSGNCQ PCIETHEVECHCGSEKETINCAQNSYSCGKICGQVLDCGQHQCLEQCHQKCQPCKLKPEL VVYCACGKFKINDLIKDQRKSCLDPIPNCGTPIETTLTCGHKSRTICGSDYPECVQKVKE KCRCGDSTRIKLCNDKSIFVCDDVCKKRKSCGVHFCQKECCPPGDQDAHLCLKVCNKQLP CGQHKCDQFCHIGACKPCPIIINQPFYCPCGKSVKNPPIKCGTAPPSCLEVCSKILECGH ICKSLCHPGPCPNCMEQIEKLCRCKQNQPSTNCSKQAVCRSVCNKVLNCGHKCQEICQAQ EECEGNGPDGCGQKCNAKKICNHLCQEICHPNQSCPKKPCKIQVRVVCSCGNRESFVECG IVDELIEKSLECDQKCQNIKRFGAFYKTNSMADTEKSYYYPDILIKYTHYYLQELQKMED KIQHFFGHSKIGEALDFLIPKSEWSDFQKSALYILYSRNYKFDTQVFKTQNTQYLLRLHK TQQTCIPKIKLSEYFQKVQKGLIKRDHLPFKAKIMTQLEVSSTDASNIENALSEFKGEFY FERQAQQFSINFWEETHTELALQKLKNSVELLNFNWALELNQQCLDESKKIIEPAQKNDT IVKPKKMNQYEYEDKTLEVQYSQSQNENFTKLQKEYSQKAKLNKKCDIEEIEERIKNQKL SIFEQDGFYQYEIKPIRDNIIRAYKQQQKPFVPEYVRTPDTLCKTVDFLLQNFLTADDLD SDQDFKKPMEGGNPRDIVYEDIYEFINDRLKQIQVELNYLQYEDQSIYVKIIRFYILSHS QLLENQENLKTLCGLLQTFKNDETLQYTLILSCFNEIPEFSQFYSQMLGIKEQDNLSPVI QSMICLATQVNSKLYHKYFYFYHKQENFLIKSLMHGFLNKIMIQVKEQFQQIASNLEQNQ TFIPVNKLLEQLQIDSKDNLIQYLNQLGLDDPQFQVSQADSEFEEINISQIQSQLQNMKP YDILDLDRLELIM >CAK87229 pep:novel supercontig:GCA_000165425.1:CT868607:322632:323806:1 gene:GSPATT00020882001 transcript:CAK87229 MNSKYQNSGCKLKEDAPSDGLYNQSRQSADFNGNLEFSKNKSNSVASSLNGMSEHINDVT PPFGNKVDLKGFQPADLELFPFEPKSEKNNFRFLYEFWPSFNQIFCYGRFMTGPKGDRYH NMFTWIMIIGISTCFFVIVAPYVWQKLHWLYVLIVIYLFLSTILFLVLTQFSDPGIIPRK SVLELSDQNTHFISKEEAKIEGTGGCPDKRKKKYQNQEQRICSTCLIVKPLRCSHCKDCG NCVQVFDHHCPFVNNCIGQRNYRFFIAFLVSLLLLAIGELGGFLILFIGNFGEGISGKDG ICNYIQQMESDLELDYPSDSVIRFGNSDDIIDSMYFSVCLFPHLFGLQWENNEGVTSKKE DYQQRYYSIYCMV >CAK87230 pep:novel supercontig:GCA_000165425.1:CT868607:324127:324660:1 gene:GSPATT00020883001 transcript:CAK87230 MGSQICQNIQESKDGQINLSKIVQVNIYKPPQIQKPQPIINLLFPEENNWIEKERMNSLP KQNSSDITLTRQELNQKKPDPQDINCTLGCNDQLDLLNSSKDDSNSGLQELKHKSILKNK MMAGSNSSSSNNAQKDNESAGSQRSIKKVTFDKKQKVIYSSFRKPKA >CAK87231 pep:novel supercontig:GCA_000165425.1:CT868607:324806:326073:1 gene:GSPATT00020884001 transcript:CAK87231 MMNNLEIQNEQQSENNEYGELEEDSDSLQNRLLLNNIPTPSRTESNFQTSTNKTIQRKSI QQLNQFTNEKQFKLESKDQDAQLNDLDLKKQTQMSYNSSKSSKTLTSMKISLLQASLIAK DQLILNLQEEVKLLKKQQTEKDQQITQLQRNYEIKLQQMNDRITDLERIIKEKDNDIEQF KNGNNTLNLQDLSVITNKQNDPKKQKINDLQQNDYQAYRQNADLYLNNNFWLISAEKKLQ GNNPICNYQPKEIVDPKQSQFYSQQQKIIDKSYWAKQNIESAKQVKHNSNMLLPKLAEMS SQINLQQPKYYHNNLRSQSLQQNNYKSYSSMSQPYINKDLIPYDPDDFLADQEIKQIFTI QSPVKKESLDWDSQPSNKYMITYNHNLSQAKLDYNDKEIQPTQGIQQMIIF >CAK87232 pep:novel supercontig:GCA_000165425.1:CT868607:326633:327175:-1 gene:GSPATT00020885001 transcript:CAK87232 MLDPFRMATVRRQSELIKSNNQLIDQQPRGRQQCAKSNQHLTDIVNQCIQRSQHSIDALQ QIRARSKDRRSSYKKTTRQSTISSFKGQTSPSFRQDDQSVTSSIQESQQSQQPVRLFSFI ENEPSFRAKDMPLQINKSSLEAKKKPLIQLKENQRRLTNIDQLFQEALRKSQQITEKFYQ >CAK87233 pep:novel supercontig:GCA_000165425.1:CT868607:327259:327641:-1 gene:GSPATT00020886001 transcript:CAK87233 MNPSKADVRLPTIRGNPWTRVFARQKPEPLFLDLITQMLTYSPKTRIQPIDALLHPYFDD LRKEGFSNQNFKTPNLFDFNKQELSIKPELYSKLVPQWYQKLNK >CAK87234 pep:novel supercontig:GCA_000165425.1:CT868607:327652:328431:-1 gene:GSPATT00020887001 transcript:CAK87234 MLQQSDKDFTLEQVVGTGTFGMVYLATDNRTKEKVAIKKVYQDPPYHSRIESSLCSNVET LLLHARGKSIRCVPKSSYGICTRDTFKNDQTNAQVEAMHSCPLDKALQLLDDTRLYCILQ AIGICHRDIKPQNILVNLETNVLKICDFGSAKRLVVGEPNIAYICSRYYRAPELIFGATD YNTQIDMWSIGCVIAEMVILEPIFPWRECLRLIALNYQDTWHSNSR >CAK87235 pep:novel supercontig:GCA_000165425.1:CT868607:328468:329208:1 gene:GSPATT00020888001 transcript:CAK87235 MPKIIPISISKTATLFKIIIIRMYNTKFPIELIKKKVQDNTITYKFKWNNGTISIEPMSQ LTPQLLELVHQYELKQYYETKKKVKLNQTEASSSNEFPPLPSKNVTKIDQISLLKMQETP KTIQEVPSESFQQSNYQSNAVLPQDIMPQTLESNLNQQLLKTFMMHPHLPRQNKECMILS IKRINGEIIFLIKDEYTKWVKLEELKKDSPITLCDYLLPKVRFK >CAK87236 pep:novel supercontig:GCA_000165425.1:CT868607:329239:330028:-1 gene:GSPATT00020889001 transcript:CAK87236 MASKSQPPIQEKTFHEWSKNNFYRTSYINHYTQLPQEPKNSAVPGYAGYVPYVQSENLYG ERFSEVARKSFADSKLGKFNRLSSTGFNFDAKELIDPHKEAYSHKYGCQTILKNHPCTHI DKMITSYQDGFKKPQNLVAPTFRKTDRYLETAQAQTKTSGFQKNHMQFDGPGWIPHENMN GDQIRTEYRIQYNQEKPFHRNPVQFKLRKMKQTEMNYKHT >CAK87237 pep:novel supercontig:GCA_000165425.1:CT868607:330050:330796:-1 gene:GSPATT00020890001 transcript:CAK87237 MLLFLIQVAQAITFSRDVASQIFESGKPALILFYENSHQDQLIEFKKVKNQELLLVEANN SDKSVKKLIQILQIHQLPAIKLLKCEGTTWQDVQTINYDDQILNDKLNKFIARYQDGQLF YDIQSEDVPGRQMEEGIEIVVGHNYDEHVQDTNRHYLIYFYSQDCDLCQSMLQNLVRLNN QVRTGKTSIKPNQMRIGKINGELNKIHGLKVNKYPSLYLYKMNDKAPIEYKGDRTSEGML MWIENMNK >CAK87238 pep:novel supercontig:GCA_000165425.1:CT868607:330814:333143:-1 gene:GSPATT00020891001 transcript:CAK87238 MRYIIKGGVWKNSEDEILKAAVMKYGLNQWSRISSLLVRKSAKQCKQRWYEWLDPSIKKT EWTREEQEKVLHLAKIFPSQWRTIAPIVDRTPMQCVEQYEKLLDLAQGKDLNDPNDPRRL RPGEIDPNPETKAARPDPIDMDEDEKEMLAEARVRLANTKGKKAKRKARGKLIEEARRLA LLQKKRELKAAGIQYINHRIEKHHIKLQDRKYKGINYNRELAFERTVPDFVHETTGEEPE PDKKISNVSLQALEGQRRDEEEEIRRKIDQRRIKKLKERELDQAVSFQNKYQVKFTPQTK LQLPQPQLKDQDLELLGKINAVNEISEHTTSATRALVGNYSTRDQSQSNMRTPRAPNTVL REAQNIIALQHTETPLVGGMNNPIDITKKYWKLNSKSIGLAQLKETPRRQVESSNDAFGI NIEEYERAWEEPSQSVAYVNAEQERQQQLKNEQKLKEMIKQKLKSLPKPKNEFTIEIPNV DNEEQKEMEIVRYQCYSYREMDAEDKLKILRQKKLIDQQKKFRQKTQAHQKNLPTPKELP SGQIYEQTQDQFRNEIEDILNYTVIQLIKEDIYDNSNGLGDISVAKELLQDERLKLLGDQ NLDNIDQIWNRVRQQLYFDYEENKFINIEKLDEEVRMAIFTEYFRRTRVQYEKLNKKQQF FANRIAKLMKGYEMRNTQLEKEIQQLNDKIGEIETNKEVVDDERHIYRDRVQEFVKYHDM LSVKSNELQDKYQYLTKQLQELEQEQEILA >CAK87239 pep:novel supercontig:GCA_000165425.1:CT868607:333367:333661:1 gene:GSPATT00020892001 transcript:CAK87239 MESLLLNLVKIRRIAIQIIIREDNTKQLRFVKSIVAKRFENIQKRYGYYNQRGSRSKSNQ RWNYVQLPKNQRNSIRNLKLFKPTPI >CAK87240 pep:novel supercontig:GCA_000165425.1:CT868607:333662:334084:1 gene:GSPATT00020893001 transcript:CAK87240 MQNFPISLEHKDMIDIAATGYSESAAFLIPLITYLSTLPKQDNKICKDGPYALIMAPLSR SKKEYLIKSGQNVPPELAQHMASKQKPGSVPDNVPRRKQIILAHLLKIENKYRRQNRLKI YIYDSKQKIRQNYINSISTI >CAK87241 pep:novel supercontig:GCA_000165425.1:CT868607:334283:334543:-1 gene:GSPATT00020894001 transcript:CAK87241 MISKLLSILFGTISTMIIGFYWYSVIFRDRYIKDAKVNILKKKQKNQENNQPLLFELTGR FLQACLITIFYNLLKAKVMLNQTIIG >CAK87242 pep:novel supercontig:GCA_000165425.1:CT868607:334596:335500:1 gene:GSPATT00020895001 transcript:CAK87242 MFITMKGDLVELNKRNLVISRTPIDNHTKVQYKQEKLVINDKIVLNNFNKLFINRLDYAI KNYEIKLQQAIQQFICNRQEILKINQTDKKLVTRYIWINDNYQEIRYSDAPLTKNFKKIP INEISFQYQQSDDKIMKALKKYDLYPEYLLTITHKKKQLSVVFLSFRKLSAFILLCNWIL KSRDLPQIEFSALQFLKFKLQYAAQQQGLPIKKYLLIQLNRMRTQLTLQNLKDKNSQVLE SGQSSEKKKWSVQLTIKKSKQDSVEKENINKKITFS >CAK87243 pep:novel supercontig:GCA_000165425.1:CT868607:336330:336621:-1 gene:GSPATT00020896001 transcript:CAK87243 MTGDRNINRKHVNYRKAGKIKKKLHKQQIKKAALSNKTAQPKSIEDMTKKDRRELVKKQK KQDKKQKKRELKDAAAKEIEEEL >CAK87244 pep:novel supercontig:GCA_000165425.1:CT868607:336647:338672:1 gene:GSPATT00020897001 transcript:CAK87244 MFHTRPHLPTYPQNRAHNKGGSETRKAIDHYSYAINDEIGRGFSSRVYKGRDENTLEPVA VKVIDMKMVKQSIHAQLLKNEINALKAFNSKNIMKLCDVFQTQNNTYIITEFCDSGDLNN HIKKKGRIDENEAIRILQSVVSAVNEMNQKGYIHRDIKPANILIDKNLPKLADFGFAVPA HEARLQGKNFNVGTPLYMSPQALRQQGHTEKGDVWAIGVVFFEMLYGRTPYNASSEAALI SNIMHQSLVIPSSPPVSDKAKDFIRKCLSVDENKRLRVKDMVHHEIIEQRALTPVERAPA RKPFEEISNLNIPTEPSQIQKFKRSQSQGVKEAPAKEYKTQQIEDKKKQHEAKQKKLQEQ IQRSSSQQQALQPQKITKTSAFEEQPPVQKQKTISLEFKSNNEILIQIFTKSLLVAKIFS IEIRDKLLFLMGKNIAIKINKLATILDKENKQVNIFQLDDFEGYKKSESHSKFSQAISEY NDKYMRHFEKILKLASKNEFQKDQLIGNLCNNDITENESFYKVALQYLKQSINEIKQNFK SISGQKDQLLPEELQMPSFILFGLYGYQQLISKTLENWSDYKQFQKASSPELLIERKPGQ MNYGLLEQLL >CAK87245 pep:novel supercontig:GCA_000165425.1:CT868607:338696:339499:-1 gene:GSPATT00020898001 transcript:CAK87245 MGNGCSGQREITERSETMRQSSITLTKFIEQDKQISLVPSSQLTQIEIPNALLPSPSRQS IQFEAVMTSKVRVPSEESQFQNQFTQIKEVKLTNNQPPRPKIKIPKREHKKQEIYEVDHK YHSSSPKKQKRQKSTSPLGNSKQQQLQDCKITNKSYRKKSTSNQQKQFNEIEIISRRKYS DLPTRTEKTQVRRKISNSIDDHNFIIGADCISKIKSFTPSPILKRKESDCETSSFQKKMV RFKDINYRRPGFVN >CAK87246 pep:novel supercontig:GCA_000165425.1:CT868607:339866:340487:1 gene:GSPATT00020899001 transcript:CAK87246 MQTMNTDSGNYSIIENSLRYLDEEKVYKEIQTLVQQLQGARKKLQEIHNEKQLETQKELQ NTQENYYCDQLEDSNLLNSKEIKITYFESKQIEKVLTELKEKIEYLNEKLYKRGPQENLE KQIYILSDQLSKERVKAVKDTKVIQDLKNQLHEKSKQLISRDETIIKHVKEMLKPMDNGE QDEDINFVV >CAK87247 pep:novel supercontig:GCA_000165425.1:CT868607:340506:341907:-1 gene:GSPATT00020900001 transcript:CAK87247 MAQNNLQEEQNQLAQRLLLENPKKTHLEQFNHLSALSETNSQYIESNQGSGYLGSQKCNN IVYHHLESQFNSSRNMKIKNNRAIGSLLNSDVSNHNLSDDELENEDRSNKEQVNTIEEEE QDIDSNKEIFQIPPDIESLEKPLTYDELNRKCKNLEAEAKKTKQINEVLLNQVENDKSKI KKFEELEKHYTDQISQDATKISSLEEQLSSLSQELKEQNNKQELIIQKQKESIIQIQIKL EQQAQHYNQQRQQFQEQLTQYQNRLSTYEKGQKTNGQKGDLIQNELLKIKDQIILHLQNE LVQFKVSQQQTKTEPLNKIKINTNPSKQEIKNYSINQNKQKLKTQDQVLSKPPSAKKQMT HYDQQKLLSSLLNPQHRQCNSWIAQLNQKNKNMLHSYTNSQKNNQSLSNLNCSIIENVDQ NCQINDNQLILNENA >CAK87248 pep:novel supercontig:GCA_000165425.1:CT868607:341926:342573:-1 gene:GSPATT00020901001 transcript:CAK87248 MISPLSIEKSSFDHQKRKPFSPTEITQQQNLLQRKLQQQQSDSISNIQPKKVHLNNNFQH GLSKLLKTSPYGQLVKQKSQLQLFNQDSISQNYQSGCSPPFQTSHNFFQADQKQSNSHTQ SSIQRRIPSNCKVTLHKKSFDNSSQLISCRMKDQQTISALQLILQRTTHILQNYKDEILK YEVENAKLKEKITILKNS >CAK87249 pep:novel supercontig:GCA_000165425.1:CT868607:342608:344146:-1 gene:GSPATT00020902001 transcript:CAK87249 MFNPLNRARSPQYNGYPNQANPNSQFGGNPYPFMGQQSPVNQPLTQRSFPQQQYPQNTPP KGYPLQQSQRSNPPFAQQQQYPPNQPSVQSIQPPPQSQPPQQAPQTNYVQPPQRSQKPMA PQSIEQQKLPTPQINLQAQPQQYVPSQSSALGLSGLRQTYTPPTFVPLQPVMTTVIQRPI EFVDLEKFEELWDKRMKELEDRIRQSQPQPQVVELRSSNDEDKDALIKQLQDELYKVRCD NEEKDNKISDLKIELQTNVDLVEYLRQQLVNSNNSDEVEKLQNEIQRLRKQVQTLDAQVK QLNHDNADLKQELEALRQQKQFFKSQCDDKDEHIQNLEREIEELRQQVDTLTEEVTTSQS VKTYEEEAKIWRSKFKELNDTYHACQEKLILTEAELDLLKRPQSQQKIVTTSTTVVKNNV QTSGTKSDSDYLSSSLTQQDVERIQNLSKNIPQI >CAK87250 pep:novel supercontig:GCA_000165425.1:CT868607:345417:348483:1 gene:GSPATT00020903001 transcript:CAK87250 MDQNIEEEEQGRIAQQRVKDGQQSQSYSRVDASSPNKKNSQGELDQATRTVTHRKSVILG AKSSRTSSDSNRGFRHKVEIFLESFNYMIFMTIVTVYALFGDDVRVLATDKDGDEVFWVI TTICMSLFFIEIVLASICKDGYFIGFFFWLDLLSTVSMLLDIGWVSNAIFGTSGGAALSA VSLARAGRASRVGTRAGRIVRVVRLVRLSKLYKHAKQSLEKEAEKLLAEEMKEENLMENN NQDQEHQRQEQPNDAVSSQRSVESSERNVKHSGMSVVQQQPVQQANQQRTSIMMFNGASN NNQISQENDHRFQRRQTRKIVMSGQIQSLTTIQKRKSVIMQSPNKSSHPHQSDEGEIRET NIGKELTELTNKRVITIVMLILFSVPILNLSTYVDQNVSFTTGLQAIQFWAKDPEIYDYL IKDFITYHEPLRTGLVFFEINSTILHPKDDPYQYEDYRTTSLQYYTTTDSEGKDAVSICT TITDDELNAILSIIRTIFVSIVLSVAAVLFSRDVQDLILTPVEKMLETVKKIAENPLVAA QEEENKAFIQMIENDEKLETVILQKLIVKIGTLLALGFGEAGSQIIAQNMGANASINPML PGKKVMAIFGFCDIRNFTDATEVLQQDVMVFVNEIAEIVHGVVDQFSGSANKNIGDAFLL VWKFAESDYYQDKDGNLTDMSILSFVTIITNVTLSQKLQKYKQHEGLNNRIPNYSVKMGF GLHVGWAIEGAIGSEYKIDASYLSPNVNMASRLEAATKQFGSMILISGQLRNVATKVTQK NLRHIDRVTVKGSIEPMDIYTVDLNVETLIRKVHKKKTVVDFNKKEKQKQVHLTESEISK QKKKQKVLRRMKRDKLKQAVLKNHVAISETWIKDNNIKQSRALFNKEFYHKWDKGFSCYL SGDWDQAKAIFEETLNFLPNYKDGPSNTLLRVIKEEPYLRNNWKGYRELTEK >CAK87251 pep:novel supercontig:GCA_000165425.1:CT868607:348911:349207:1 gene:GSPATT00020904001 transcript:CAK87251 MNDTIEFDDCLNEVTSQEKDIISYLQELKLTFLTDPQQKQFKFEQAKKQLIFSYRSLDSD TDGSEMTPPMLVKTSSLLLTKSPLRQKRLSIVSEQPLI >CAK87252 pep:novel supercontig:GCA_000165425.1:CT868607:350258:350814:-1 gene:GSPATT00020905001 transcript:CAK87252 MAYALAKWQTASTIGVISLVPNDNQVVFDLQNALQFLKNENISQFQKIKYITTQGGKWYY LPFNKEVVQLVLTANDYNQENVEMLINSINAKIFDHNPVTPQNISIQQKQNIYNLLIYFD QAHGKEPKQIQQILQTLDNTKQTVQQNIEKLINNKEQLLNIEGCIQQY >CAK87253 pep:novel supercontig:GCA_000165425.1:CT868607:350850:351553:1 gene:GSPATT00020906001 transcript:CAK87253 MYNYYRKEKFQQITQQKEKKMEQEQNATQETKKINNALATNYDKRIMSFLERMSQKPYVI HDSIYIKESRSTSNDNRRWLNASALHSLEYYQNNKINNRYLSDRKQLKHKLTETIEDIQN PNTSELSILDTFNPKKMDSRRDRQKQITIIDSNLIKRNMRINLDKIQVKRKLDKQDEPKD RLYFKGLKSLTVQQRMFDDEQSKRSISGKARSILEKCNIISKKP >CAK87254 pep:novel supercontig:GCA_000165425.1:CT868607:351580:352532:1 gene:GSPATT00020907001 transcript:CAK87254 MISETQFRRDLNVKFCQTSLVHDLSEYGIQFLYEAIRLYKGTNKMNRKQMVKSLQEIANK PLSELQYVELLDWFEDYCIQQAKKFQQLKNRSLFTKSIQAIKNDQARDQNEHQNKELSQP VHKKVKINNEDDNNNDVQKVKPEIEDEPESMSDENQSNQNQADQLMLLLLLTAVNQQSKV IDIQSQVKQKTNIINERCRYFPNCTNKHCQYIHPKIKCKYFPYCNKGNQCIYVHQQCKHG IHCKNPQCSYDHPYKKK >CAK87255 pep:novel supercontig:GCA_000165425.1:CT868607:352686:354440:1 gene:GSPATT00020908001 transcript:CAK87255 MYQTTFLGRTSSEVDSRDIQEGYQQLLEDEGFYEYYSSQSNASSIIEDESISKQSVNIQL LHQNLKNYGTMRGLHSQVDISTLKRLNQSSYVEFDDQQKYKMNIDINRIYKGVPENSTIC DKEYETKTIFHDQLYNLEDQRHNIDVEILQLKFLLKKTEKLNLNSYNAYKSFVDSQQCEL ITALKAQIVQLKSLRKALEDNQQQENTLHQLSIDQQYYNRLSFESEKLSSQFITSFYRTK QSEMLTNVSQAFTINFHTFQPPWLKQLEQQYTQLQQIQNLILPPIQIFQFQNQTVLQFQF LFLNLLFKKLHQNQHNIWMTFLFKDFLSASFQFDEQQLLQINSNFNENINSPLFDAYFKY VIYDSNNQQTNGELKDDLLILQFQDPLIEQVDLQNQQSPPKSKDKISFFPDFQDIQILFG EMILLEIFHLFFNLYINISRILNLKESVEYVGEQIKLNELLLYLIISQLQDKTKDYEIYT FFKSSFIEQQAIQLYGIFTILSKCKKTFLKLDENSKQLLLIYTQKLLGNKSLVKIQDAQQ NLLTTPDNILRKISYESQYKQLCRLQIVNNLVFIHSFILQDTVL >CAK87256 pep:novel supercontig:GCA_000165425.1:CT868607:354627:355083:-1 gene:GSPATT00020909001 transcript:CAK87256 MLPQQIIYRQVRHSPQKILRQSTILPHQQLRASTPIRQQRSYSHFDQQPQSSMKKQQENF ERENDLLREKVKQLKQQIATLEQNYQNNAEVEEQYIVALRIFQQLKIESEQLRLELSYIK QENNLLKSKLKQINY >CAK87257 pep:novel supercontig:GCA_000165425.1:CT868607:356752:357508:1 gene:GSPATT00020910001 transcript:CAK87257 MSASLEPKISRTSSLNEKQDNVLLQSKIQNLIQNKDNNNLYKLLKKNKKSRTYYKKLKYD GIIYKIGQNLCIKADRRVDYVAKLIKIVKLVDTNDEIYPLIKVQWYYRKFELGDLPVTQL DYISENEVFKTNEYDYIEIESIVSLASILTYQEFDKLETMDDTTYFTRAGYINRAFQPPI DQWTTTCICEKPPNPDLKYIQCEVCQGWCHLKCVDLTREKAKKLLNFVCPKCQQ >CAK87258 pep:novel supercontig:GCA_000165425.1:CT868607:357755:359087:-1 gene:GSPATT00020911001 transcript:CAK87258 MIIQSSSRLEGRHIKNSRSAQRLTPSHNLYTESSYISQILAHQQKLNEIPNLNKRALNYV SPLNFNYREGKILQKNYLQNKYSQLLEGNQVQRAGWKKYLLDGFKEKLENILNQNKKGSK LFKIKRQYLTKSVAQLPMVIQDDYLTVDALIKKQDPSYDIEAVQDSLIQQHKQYLTNQKN TDYDEKLQNLVKQFEIIVDRIEKEAFKIKVPTNFSTAMQNYNDFYDVQLDSKNIYSSVKA IDFSKFEIKQSQKQSYALNFWDQGEIDEEIEQQIQTCRVSTQRECKEELLEELRQYQENQ LVQQIVDTPAEPEEPVQQEVEQIQTEQVVEKQIEIEKPKEPKYIKKRNLRYKQKKQQRKT SKPKFDHKFVDYMLQLEDVHEAELSQFKVNQVAEYDSYIFCDPNEEIVYKHQVDWNSQLE YLLRDIS >CAK87259 pep:novel supercontig:GCA_000165425.1:CT868607:359114:360605:-1 gene:GSPATT00020912001 transcript:CAK87259 MFKHKSASFHDASIDTQLKFKPPKPELISNSTKRNSEVLSHPPELLAKLYQTNRLLEQNI VKLNETQQKLAQKEEEILDLKQKLRCSTKDLNEKNDKIAQLTEQLKGFKIDMRKTSSDRG NQELQQKLHHVSQHNEALFQDYQSVLLQNQNYQQQIIELQDQLNSISYRLRESEQAIQLI KETETKVNYLELDVDRLEEKQKKSLPGLMKQNQQMKIQYETNIQLLNCGVDYIATQFQKS ITTLTKQLQMVSKFSKRNVVLSETQLSFYGLNTLAQQTNSKRVQQILQKMNISETLQSWL EGIVTFLMINCQEILQKEVDKKLDKLQRQIQRVHDITIQLATSYDFMKFGKNRCNNMSAY SQKSSSYCSRLQDKENDEYSQNIKLKDFLDLTLIKLGEHLAQIQQLNIELQVQNFQNKSS RELQIGLKEQLHLHENLTNYIKKELISIKKEITSHSQRGQVKKYSYMPKII >CAK87260 pep:novel supercontig:GCA_000165425.1:CT868607:361568:362087:1 gene:GSPATT00020913001 transcript:CAK87260 MSNINLICRSISKLRNHKRIDNQKKHSLINLVLQENLSTRQAAQKLQIKYSTAKYIVKNF KNKGNNLDQHKQNQSKQKSIVSNVNIIIDVSDGNITLARNSTKQSYYDLNSLLEELKCSN LLQTSEFILQKISKIPWYQINYRNCNDSEFLMASLLKQHQQMKQI >CAK87261 pep:novel supercontig:GCA_000165425.1:CT868607:363132:364075:1 gene:GSPATT00020914001 transcript:CAK87261 MAQTQIQQDQKLSLHNAIRQPYQKIGNEIREKVIYDIVFQENSIKQTALKYGLKYSTANG IVQTYQQQGRCMKKDKRNKKQYFKRNKILVIIDLKTGDVNLYQQQTTSNVLILESSIHSN NISNFKQQDQFRHPQECTEQFINQDIESISNNLIFWMKKNMKFRICKNDASSNSFKTHRN MIKSNVTFTQQESKPQIQLNNELMNTEIQKKLKFENINHQKQEISKQKEECINKQQSDQL KHQIQEMQCKEQATFNLEKFNTIQQQLDNILKLWKQQLFLMSQS >CAK87262 pep:novel supercontig:GCA_000165425.1:CT868607:364545:366470:1 gene:GSPATT00020915001 transcript:CAK87262 MNQFTFIIFTSEFQEQFDSFYQNVVWFLVMNFRIHMVMFFEIKQGQISNKACDLWHCIIL KKFPLKLKLKNQYRLILMKAIYLLALICLCLCDDVTLYSVDQRVGKYQISEGKSPVALAW GDVRWMGQIVHLDNLKTSYAAGYLEGSLTYEHIYNHFWSWYDFTFGKEQLSNELSQYLVE QYEYSRQLVKDNKSDEYYEALARILAQNDGLFQAIQDKAPVNKRLTWVQLLLLQACGDIY ELKEAMKPKAERIQWHLLTPEEFEWEREKRISCSSLIKALDDWSDVWMAHTTWTSYQNML RIYKYYQFSGPNPYRVSFSSKPGLLYSKDDFYVLPDANMVVIETTNSILDSTLYDLIVPT TLLTWQRVPIAHAISNGGEKWTSTFIKHNSGTYNNQYIVIDLKVVKKNTPPAKDFIWISE TVPGFAVAEDVTAKVISNGNYWKSYNIPFTTEVYDRAGYTEAVKKDPIKYSYEQCPRGQM MNRDAPKVKSLDDMKRFIRYNDYKNDAISGNNPGNAISSRLDLLETNPKAHGSIDGKIAS LASVPQNIAYIQSGPTTDQQPVFSWTPAFTGIHWGQPTTFNFPWVEVKEHKVI >CAK87263 pep:novel supercontig:GCA_000165425.1:CT868607:366751:368180:1 gene:GSPATT00020916001 transcript:CAK87263 MDFINRIAMTLFLRTKPHNHAIPLDFIVQIITTYLQKQPSQFKMYVYCQSLARSFQTQQN QTFPRTHNLQYQNTSHPLWKCIIIKQCVKITIKTRSIKDSSQYEQLILDENFNLKVQCNL MLPQYDCVGEIKEINLNNQQLILSQVTLQKPPQYQSIQIQNVLATVENTKYYRLVFIHQV IFILITSYSLFKFLYHFKEYSRIKWPQILRWVPYLLILTIFYNLPIQIFRSTHYNFVQGY TSIIQLVVYISLFYFWLLIFEFQQLQNVKDEEITTYKNKFKINHLIKFCIVVFYTVPQAG LNLYISYKKYTRIQFDVTNEVPYYNYYRLLNMLTLSIYTINYLYLLYKQFNYTQPNTNIE QDVSVEKSYEIFDEQEQQKVAKSYLKNYKLLHILSTICFASLLYNQYLNFFQPKTITTLN ALNEQSAINIYICLILSLYVPAKLSNYILPSEQIQDNRIQQADQNEAI >CAK87264 pep:novel supercontig:GCA_000165425.1:CT868607:368256:370131:-1 gene:GSPATT00020917001 transcript:CAK87264 MIDIFSQMPESQMGSLRMRPSQLRIEMTDDQQLSTRRRNFMSPTALPLFRELQQQTTRQH HQQGMAPLAMKPMSSQSQITKSLLFNLFLQGFFINYYGYLFWQYSFRYVLLQLWIQDLLT MFILIYYNYRKGEDCIWQLIEAMFLFFLKVFVVFYYEIQKFKIYFITIMMISLSILVLFM RVVQKLKFQLQNNQQIIIQFIKTLLCVQMTLIALKWEDKIDWVWSQIFIILWVFLVVLAL LLFISFIGCIETFINLLKKQTSQNYLIGNIWIFINIIGYTLLPFSFLYRLTQLYDNGQSF GQDEIIQLIVLNAIYMALVTVYTTFFVNNIRLFLKELQGNESYSTGMPQQPIQIQQDQEQ RNDNVPDSNETLSKKKTKQPFRFVKLNAPLYLVKMSCTFFAIFDKIHFNQTNPKQTEQLS QRALGQQSSNRMEFQKEIKANSNSLDEKINNIGAQEQQKEIAEEQEKENGTSKKGSELQQ KSQNSINEDQQSTQDKCLICYENQPNILFIPCRHGGICEKCAEDIVVKSNQCYLCRKNIK QILKINTEGGQLIVNEISNIKQKRQIQA >CAK87265 pep:novel supercontig:GCA_000165425.1:CT868607:370316:371172:1 gene:GSPATT00020918001 transcript:CAK87265 MSYRQQYQYNNNPQTTSQTYSTTSQRSQQSLQQQPFIPQQQNPYVQNNLKNNQNLNSILP KSSPNPFTLQANTQQQPAKGPQFLFSQEQSNANQPVAQKLLNKQQQQQEPNRMTQNYKKE KDDEWKAQNKSNQNDEEEEVEEDDQEEDEDNQEVSDEDEQQEDEDDYDDTEKNESEEEPD SQPNPQQKKNDKQQANIDKYKSVNEIDTIRKEVNKINKELDKLEQEIEGTLIMSRINFGD QSVLDMSVSQDLSYQQYLKEFEQFKQQKQGNLNSMKG >CAK87266 pep:novel supercontig:GCA_000165425.1:CT868607:371460:372262:-1 gene:GSPATT00020919001 transcript:CAK87266 MLQNQQNYLNESFHFTNFEQISPIFQEFLRIINPNALDMLQNSNSLSDNIKTEGSIRNQD SQSSSPTQIYKLKKKWHDSTVVKQKQLQQQISCQNNNCQNKRKNLNETQIKGKTVLLCNR CLSNFHSNNYCEFCIQIYDPNLGYEMDDKQWIMCEKCNRWNHVECEQSQGKQNLIKITHH TKYRCSQCHKNIKQQAKSKIQKDTFLDQELINTKTKQPQTILKLSNKEIFEDISLLRSLM SDEIQKKVKID >CAK87267 pep:novel supercontig:GCA_000165425.1:CT868607:372924:373726:-1 gene:GSPATT00020920001 transcript:CAK87267 MRSNVALRLRKSVEKEKYDSTQKFSLHAFSVHQPPSPKRKAFQTPIRGKYQILQKKKLVN NQTLNDKTQLLKKIEYFNSVNLTLENQQIKDQDKSILCRRNYRQQSEDKILRLGTLTNYM SEEYSKPGDQKERQNKKQSLPILKKRSSPSPQCQDSQTIPPMPTIAELNYTKIASNGFNK VQSKEIKEVSESTERILDLLLLNTCDLKKVFQQQKQKKRKIIVQGRVPSDFFNILKQL >CAK87268 pep:novel supercontig:GCA_000165425.1:CT868607:374106:375827:-1 gene:GSPATT00020921001 transcript:CAK87268 MQQNKVWRKINYDIKEKPILQFKFQKVGKKSNDIYIGCFNEYLIKYKDQFYTTPYKLFDL EFTSKFEVIRTPPKLKQEKHHSKVRGEEILDLGEIVAIRLIVENIEKPYEIQGKTEQMHQ LRDFLGKKINQIGFHNLFRVYKKIGRGNFASVYLAERIEDNLKMAVKAFSKQIVYNEEKG REGLINEIQIMRELDHPNLMSLYEVYETKNSIYMGLELLEGDQLFEYLKKKISFTEKQVQ SIMVGLLMGLKHMHSKGIMHRDLKLENILFKQQGNFDSVVIADFGLATHVNKKPYLYQKC GTPGFVAPEIINLKNVYQPYDSVCDVYSMGVVFYILLTGRPAFTGKTYNTIVKQNKEANI NFDSPHFQNAPKEAQQLLFQMLQKEPISRIKSSEALLHPYLQSALLIDEDEAHISTDDDP NLMERIKMLNKQTEKVDFTRIKRQNSQNVKELRLQATQELKQNQTSDEELKIIMRTPVIT GRINSVENSPMLKCLSPFQSTGYDEIAQDQLVLQRYSGKTLNI >CAK87269 pep:novel supercontig:GCA_000165425.1:CT868607:376020:377630:1 gene:GSPATT00020922001 transcript:CAK87269 MNDLFSKANSIKCHIKHHSDLGLGISVMCLDEKCISNKKAICAICAKESHINHKVISISK IDQCITEYQERWVQNKLKQQLVNDFETITEEIGQNFLKYGSQFSQILDEINQVQSIYKTY IQCEEVTEVVLQKLGQELQELTRLDAGSLSLKFSGQKQISQLKQFVLEMQSLNILLSKAQ KSNEMLQSIIMQSQERDQLKQYLENLEKLQYEQAQDQVKNNVNEDSFDLCDKNLIKLKLD YYNSVTAIDVTNDGQLFAGGSNWNNKVVVYDLDSMIELKCFICQSDRIQALKFSPNKKWL LVGSGRQSDIWMWDINNLDQRPIIFKGHSEGINRFSFSSDSRYFCSVSGDRQGIVWNIET NKQEYRLNGHAQAVNGCSFGGGNWLMEMDKENQNVNLIIATIGDDKNIILYKNQKILRKW QAHNDEGYCVEFSYDYEWIISSGKDKFIKLWSARNFVLLKQFEGHQRGIWTCGFSPFSQY IASASWDDTIRIWNVNNVNDIIQVNNEFVNLPQVCINGFSRCVIASSKTVFNIWRR >CAK87270 pep:novel supercontig:GCA_000165425.1:CT868607:377693:378078:-1 gene:GSPATT00020923001 transcript:CAK87270 MIPQVGTSENEVFMNEGIPKYLMKISSTYFQQTDKPFEILSTSPRKSNDADMKCLICFEN ESGYVLMNCGHGGLCLKCASNLLLKNKECYLCRQPIMKVFQIQKNNFNFVEVIGIIETQ >CAK87271 pep:novel supercontig:GCA_000165425.1:CT868607:378582:378966:-1 gene:GSPATT00020924001 transcript:CAK87271 MDEQRQNKIILKILVKQALIQQYLININVCCLLNDYFYTIALNGLAIIFIAFVYHIVPLK SSKIKYILEYSLLAGVQVKIQEIIGSCYFHEGFTNIFGKFIVRPIYTFSAFQDLQNLVER >CAK87272 pep:novel supercontig:GCA_000165425.1:CT868607:378988:380374:-1 gene:GSPATT00020925001 transcript:CAK87272 MFLDCNIIENSDEDETPNICLDTFGLSEELDQKQKQVLKKNKNYTKNLGVGNAQYESHDI DLSAFVIEKQTFIQDNEQLRGSIELNVDPQLFDVAQFDPVQQQEEEDKHEQITQTIFKQQ KEENKLNLQQQQQQQQQQQQQQQQQKIQNQQQQQQLQQQQQESQQQQEQLECVIDICNFE EISVQDLIAIPPISPYAEKITQIHLPDYLHNFLITPIPTEGMFQCTIQRDKSGLNRFVPK YRMYWSHNGQFLLAAKKDGDFKQKDELLMLGKVVQSQQSKADYNLFDNGVKKKDQSKFKK QRTQLGSVFFDTTFESQTRPRKIVLALRKSEKETLQFVSRKPQVIIAGAQKLYTLDFFGR VKKPSIKNFQLVLKNDDKDVIYVQFGKIDKNRFNLDFMSPLSPLIALQLALCNLNFAGKA >CAK87273 pep:novel supercontig:GCA_000165425.1:CT868607:380412:381450:-1 gene:GSPATT00020926001 transcript:CAK87273 MNMADKFLTIVNKFKISKWMNTLRELEKLIDGIPVPDITVSSMSQQSDRLTPQSKKKQSL TREQVQRILRRTTEQTQIPINKQKVFTMQTFNCKENSNDEQMLKIMKQKEGKGNSELQIL QHQIKEQQKVIEQQIHQIGQINIKIEYLTNELQDNQEKRQADAKKVLQLQQVNEQQQQII EDLRNELQMAKRVSSQQQNREQDSMNQISLLKSKINCLESEINRTRTTFTLTSPKNDTMQ TDVFSNRIDPELLSEEETLTVLFSLLGRVSKSQRMSYLLNRNADFKFLIKCKRSQPSMNK ISILQNSKSMCDRKLSYNHENFKQIVKNVGIKNQ >CAK87274 pep:novel supercontig:GCA_000165425.1:CT868607:381900:382792:-1 gene:GSPATT00020927001 transcript:CAK87274 MPPKKQKIDQFFESKEKKSEQKEQQQNNASKIIEEEYKPVPEGIVPFDDFYNGLFSWQKS LKSQEANLKHIYEFIKKEYQTKTCYPPFEKIFNAFQLTPINKIKVVIVGQDPYINQNEAM GLCFSVPRSQTKIPPSLKNVYKLLQTDVKGFTTPNHGDLTKWAQQGVFLLNTVLTVEAGK SNSHKDCGWIKFTDQVIEVINRECSGLVFLLWGLPAQKKAKGVDRQKHHILETVHPSPLS AKNGFFESNHFSKCNQYLIQNGKQPIDWTLD >CAK87275 pep:novel supercontig:GCA_000165425.1:CT868607:383727:385584:-1 gene:GSPATT00020928001 transcript:CAK87275 MDDIGENFMLPNEQNKVDYLLIFPYILSFYLEYTYQDIKNKQKRLDIIKGTEIIRGKEVE IKNITSLISILKEMGIDYLKEKNTNKISFRDVENILLKFKNIQQWITFEVIQQILQSLSK VMQVDEKTQEETRKLKIHKIIDFVALKLCIHQSCLKIKRTKKNPNQSQFLEALVLWNCAQ FAKYAEKQFLTLKFQRFLNEQYQQKESFARQDMQKCMEKFFKKSYQLMPKKQIYEIIQCH HLFADKNIVKNNSIEREDFKNSLHTMICTDGYNKLKQYVKIIFDGITKHTTTTIQYQNYG KDLDAESNQGGISSQRQLGDRQNTSQLNISQQQQQKKEKEKEKEVSSESSSNEEQVITAK PSQKFKTAVKAIQSGNLIMREKNESKDILKACLMMDSFLKRQTQRIKNYVKVSKSVNISV VKSDVKQLDKSLMEMDEQKELFDPSEMLNRKRQEQKIKETHNIKKEKETKNWEKNNLPSD KKSEEDIEGVSTQVEQPIENTNELKFPDSKEKRKLNRFEVANELQMVLGKSNSDFGPTNF KQAIRLQSANQIVQPVRLSKQYDEQDHLVIIDESVLENLIRRNQQQERVRKSTRDDCQCL IY >CAK87276 pep:novel supercontig:GCA_000165425.1:CT868607:385637:386169:-1 gene:GSPATT00020929001 transcript:CAK87276 MISRKATQQSQQNGVDEKDKRLLNKQSSFFKSFTSSKKGGKDGEEFQFFIRSQDCAFHTI LYMLVNFQKADEDSKKKSFSLLSNSKPIMNMESYRDLSELRPELIYFFTVISVRDLHDFY VDFYASFDKNRNFSLEKKELQKNVIYNVGAYWTQEIQGFQ >CAK87277 pep:novel supercontig:GCA_000165425.1:CT868607:386270:388705:-1 gene:GSPATT00020930001 transcript:CAK87277 MSKRNLLEKQDSNLSKGSLARQNTNRNLAGQKSFFSKFSNTISKPQDEEIQFLGKGQDSC IRVLFYLLLNYDGMEQYLKKQSVLTPLNSYTPVMKNCQDLSPIRTELIYYFQIISVRDFH DFYVDFYATFDKNKNFSLEPPEFKQLLEVAIDKCVGPKKFKVVTDIFLSEPSSYENQLNP KILGEMIENFRDLDKESKIDYLLLFPYILSFFMEYTYSEIKYRQGRLDLMRGTEIIKGKE VEIKNITSLVTILREMGIDYLRDKRTNCLTYRDVENVLIKLKNIQKWITFDIIKQIINQL AKLMQVDPKTQNEGKPLKLHKIVNFLALKLCIHQNCLHVSKQDKDKQKPPSAYLEVLVLW NTAMYGQIMKQSNFDLQLKQFLEKHYQNVESFNRIEALKVLEAFFKKKFQLIPKDLIKII LEQHSSFQNKRVIIQSPITKESLQNELYQMMCKDGYRKLQEYIRISYEGVNKSTNSDKIN QYKGQGKDFNDDPQINSPISSERQLKTKQVADPKKQQQSQNNKNNESNSDSEGEQEVKPK QKLKRAVKVISSEQAFAQKFDQQKDVLKACLIMDSFLKRQNQMHQKNLQSKVSNQDCETP YRQENLTEIKLSQKDIQEFQGAPSEQNNTKGNTKVKESKQQKEQYVNNKEDQKESSNMEE RHKLQPFLLVRPKIILKDIKRQSQSQSGDIFSVAGKNDKNVGPSNFKNAYYGNLNTQQDA SKIMNWSTSTKSQVFQLTDEDFKDDEGKFQVVIDDVQLQTQIQIQQTQGKNKRPKDRSTC YYCSIY >CAK87278 pep:novel supercontig:GCA_000165425.1:CT868607:389215:389910:-1 gene:GSPATT00020931001 transcript:CAK87278 MSAAKFMNILAQRDQQQITLLITFRYLENPQFNSYQQFKQAIQTLGFQQTQSTIMNFKNK CTPPTSDNSPYFFSKQEQWQKNQDLLAQQVNSSSTKSYRAIYPNKKLASLEERYQQHNSQ SSFMNKVLSSEPSPLLQYKSQSPSLKDKDQSEMSQIQQQMSHPILKKSNLKIKVDEAGRI KKKKTVQIVEIQLERGNQKEGQKKSPKKIITKTEIRTVQDFLVENSSKKTK >CAK87279 pep:novel supercontig:GCA_000165425.1:CT868607:389924:390498:-1 gene:GSPATT00020932001 transcript:CAK87279 MVYYKLLFSCETKNVEAISTGGTNYEWHISLVCSSCHTDINEIYFRGDDQVDVANSRGQC NFQMKCKSCAKMINITLEKFPDTVNVQEISKDIQIALFDCRGADPKSWNFQGLTVITPNG TVFNDADLTDTWVEYDEKAAEEVLIDKFKAYFQKSK >CAK87280 pep:novel supercontig:GCA_000165425.1:CT868607:390562:392335:-1 gene:GSPATT00020933001 transcript:CAK87280 MKRVVQKIQHLKNISQVIASGDHTVTVKNVLNALEKQTKEDLSYLEKNLLKIFPLFGLIK KSQKSQQKFNELISQLQIEHFQNNQVIFQKGDIGRKMYFVLSGHLLSFPYDCRDINNHTA NKELNVGDHFGEISLQHRIPRTLTVISKGEVILLSSTVDKILTDQYKFIRTLSIFQDWNE FTIQLILHHLEEQKIQRNQHVYNEGNKDQNLYIIKFGEVILQKQYTVNQKKSENGLICLG QGQCFGDFEFIDNQSWLQNRNTIKEITRQMDAKSTTESLILSLPFLTYIRIQEEYHSSTL HSFLSTSKQVVIQRMKILQQQIINKKIKLDEESERKEELSELRMFKDQKQEEKQPQIVND TSKIRHSNNPYISLMGTIKNNKNKEHQQQPSLQIDQIANHFSQKSILMKFDRGHKILLLK KLRDASILPDVKDNFIYQQSTVRSSCSRLRMNKKYQQNNQQDSVTIIKPFVNSRSHTPDS SVVLQNEFKTQNEINKCQRAFTFKLNDFHNFKVSSNSVGYSRSISQMSISRSSINRKSPS KYKFEIEYEKNQSQSPQKQPKVEIDLCVYGKQ >CAK87281 pep:novel supercontig:GCA_000165425.1:CT868607:392374:393321:-1 gene:GSPATT00020934001 transcript:CAK87281 MSQDNKQDQDLSNLTLAELQKIQEEKKLDKLLDQEHLNRQKKSIHNEKNIIKNEESQQTI KLSSDDPAELYEKLKQKWGLEEKQKPQDGISAEQTKSKLEVLLEERRNRKPPKLQQIQND YMQQIQDEKVVDSVYSKEGPMPKTIQQMLTEYQGIKGAVNIGQEWTDIIQQGVKTNLRNQ EQAANNYFWLMAKKGRLGVDGFAIAFGIFGIILLPIYFYQRQNRRRGAYKQEIKIVSGVK DWENSTLDIDDVSVQQTYYTLFSDEIQELMKKKMLVQQQISKLLGREK >CAK87282 pep:novel supercontig:GCA_000165425.1:CT868607:393357:394237:-1 gene:GSPATT00020935001 transcript:CAK87282 MDYYKVLEVPRSATEADIKKAYRKLALKWHPDKNPDNKEVATKKFKEIAEAYEVLSKPEK KSHYDKYGHQQPPPSPNYQQSNNYGNQYQQDFDDFSDFSSGFQNNFNTFNNRPGFNKYSE QSFEFPQFNRMPDLNKFFFGDSFDPFEVFQNFFQNDPFFNQDFFGNTQQPQKNSLAKANS YKSDFDDDFFKPIYQNGSNQGGNIIRGQTHKTVQSTTTTMNGQPVQRVKTTIIHPDGRKE VKEEIKTLSQLQKHNSAIY >CAK87283 pep:novel supercontig:GCA_000165425.1:CT868607:394274:395030:-1 gene:GSPATT00020936001 transcript:CAK87283 MINIQPRTREQREAQRDKDLIEKTRIDQRVGYEARGLGVGNLIHQAPPQSSLYVPENERF DKDFAIADKRQREMQLQQKEQVIEKKRIEGLDRETKKWEYQEKQEQKDQQKLINHTQALT QGKRNQNGLAYNPITLQYDKTQQGNILKEQDDQAKVRQYVRAQNLDQRGNAGFNILTGES RNGVDSIVPNHLKNQYQQKLRDHEQNLNIKTHSQFNN >CAK87284 pep:novel supercontig:GCA_000165425.1:CT868607:395039:395479:-1 gene:GSPATT00020937001 transcript:CAK87284 MNIIQFQLFENTVQVSMTLFRNAIQCVVSDTKKFGSVLTAECEKMGEDENIYDVKTKFGS REIVEYEYFARKIIEEMYNSKRIVSEMQKKYQTQEKQFNVFLGKKLLLFISLNDRENIQK NKAIIEDIIANLTNLI >CAK87285 pep:novel supercontig:GCA_000165425.1:CT868607:395480:397209:-1 gene:GSPATT00020938001 transcript:CAK87285 MYLSSRTQGRLANLIITIAEGEKKTEIARQVLAEQKMFEPYTAFRRLDQLRSGELTVGDI SDFLADNKIYPTQVQLEYLFKRLDLNHDGRITYPDFVKAILPKEDSRLRQIASLRDSYYI EVNMLLPTEVEWGLSRVLQQEIQNFNSITAAQEILTGSADFTSLDAFRCIDQLYIGYITI ESLQTFLRGNGAKLTFDELQAFFRVVDSDEDGRITYSELLEAITFVPDYFQKERLIANEL RRSRERITQLEKEREVLDDLQRSRERIDDLRRSRERLEQYQDLQKSRERLEDLRRSRERL DDLRRSRERLNQLEELQRSRQRIEQLEDLKKSRERQAQIDELRRSRERLDDLRRSRERLE QLEQERKNNEQLEELRKSRSRIEELQKQRRRIEDLRTSQERLDALKRNRDEQELRRSQER LNDLKKSRERLEQIEALRQSREQQRALDELRQSRERLDQLRVSQNRIDQLENERKALQRS RQIEREIEYERQRSKDRLERLELEAQLERQRERALEQEIVTQSKIVQSRIYDTPQRFY >CAK87286 pep:novel supercontig:GCA_000165425.1:CT868607:397532:397972:1 gene:GSPATT00020939001 transcript:CAK87286 MKQSQISSFIRAQSQVFEYQDLNLSDFNTNYRKPMYMRQLRINQQNNHEFSKNMKKQIQN IQYLEKNREIMKSNKIKNQDQKLMTIEEFKKVQKQKRISISKEIYGKFIQEKQNEFLKLL SFCLAILIILVLIFHQNEPVQIQGLF >CAK87287 pep:novel supercontig:GCA_000165425.1:CT868607:398278:399134:-1 gene:GSPATT00020940001 transcript:CAK87287 MLTYYQMYTPNKSELYRLWISFYYSCQGNICFENKNICSNLSYQEKYHDVYCYNTSTMNI IRYVLSSILIFIILLMFFDIYRIIKIKNSLNNRILSQDLVNQAEKFQIVITLLLAIYYGI LMIFIFVVDGECNSIFQYQMEVHQDCHSMLEVQEQYVRGRFSRTTYFEKLLNQQLNESEI QQNYEFKTIEEKEESFYSAQE >CAK87288 pep:novel supercontig:GCA_000165425.1:CT868607:399262:399700:-1 gene:GSPATT00020941001 transcript:CAK87288 MPKKRRQQSDFWDTVHDIKKLTQPHLTHNKKHFIEKPGKKKQKGQNTPLPILNGIRKKYI SDVKQEQEHNIEHNIQYRSDLKKDLTLLKTMKQKKNSFQKNQYKFKNMRAKGAGKFEDGT LKFTNRDLKKFNKSK >CAK87289 pep:novel supercontig:GCA_000165425.1:CT868607:399714:401215:1 gene:GSPATT00020942001 transcript:CAK87289 MNKKENLLRLHDRVKKELFVQNNEEATIQKLSPQILEYVQCSSHGELMEETFQISIDQRN RKFQDDYQIGKILGEGAHSVVRQCWQISNPDETYAVKITRNGDPEIMGIMKQTFLNTLKL NNPLIVRTYKLYIDTNTECSYLVMEYLPYPSLHELLKQNVLTQENKLSIIRQCLEATHYL HRMGLCHRDLKPDNILFDQDTSTIKIIDFGVSKRFITTQQRDIQVQLLWTITGNENYRAP ELWSGSGYNELIDVWAIGVIAYQMLCHKLPITNENRMEFNENENQQQYLLSTEFKSLDSL SQDLLKRLLSFNPNKRITASSLVHPLLYQTYSISQLQYMKLNSKQYTKDDMLMNNKIQIT AHSSQAIKYLQQSQEDHQNVTHDFKNKCFIDAIHQTDKDTSQQMRNRSIHFQQSINSLCQ SISTKPDEVKEFFDIVQKCDSHSSLDSLDDRIKASSSPPNGLDIINEEEADTPLFMNKIK QQQQEFLIE >CAK87290 pep:novel supercontig:GCA_000165425.1:CT868607:401226:401778:1 gene:GSPATT00020943001 transcript:CAK87290 MKQTFRDPFDYPLRTKKEIDEQLKQNPPSYKVFAEISFIPDLKGDNLHKNSEIAVFVNIS EMNFTPKQRDRFIYLIGPRYKGKDEIRIKVNTFNNPQQNIEKGKEMIYELFLEAIRAPCE >CAK87291 pep:novel supercontig:GCA_000165425.1:CT868607:401785:403108:-1 gene:GSPATT00020944001 transcript:CAK87291 MYDVEITTKSDDEILSLIRAYQQQGYQVWLQKRCSDKFLMQADYNTQGVLDLDNSGIFKN QTVDPKQFNEPSVICSLRKNVEDGHKTSTMEIKDTMLIFPRKTQTDLYSLLSPKLNSERE DLVKGFYEQLKEALHLEQFGQVKESIVRITKQQLQALLTNLINELENQLIDSQILHLIFV ESLLTLGILNAQIMKYSDAKMCLEKCLRLFVIKDQILLAQIYRKLGHYSQSVFSYQKSID YYNQALIIYEIYNLTPEVAYAMMSIAVIYSKVNQFELAQKIAHHALEITGAQMNKHTASL YQMLGEVYYNSENYTEALDYFDTSYDLKQSSPDAQSRIRTINYIASSCFHLGEYRKAQEL FEVALSQVDDQNSLLEAQLLNNLGITKSALKLDSKVEFEKSLKIFLQFYPQNHPSIKRVL RNDSH >CAK87292 pep:novel supercontig:GCA_000165425.1:CT868607:405015:406452:-1 gene:GSPATT00020946001 transcript:CAK87292 MEVEFKCRFEQHENEPIIGFCLNKNCPKPSQFCYECLKQEIHNDHSNDCMSFNSLEQLIS QLIQTHNELLTKLQGIYDQLKYSFETKYKQLESDILKIQEIDKSLKCQLYNSIKQQIPFV KDFCFKEKNEGKQVVLYSGLFLIKLKKTAETLKEKENHLKDSSYLAQLYQILGDQLFDQD MYKEAIILFDDSLNYDCNQSQVYNLKGKFVNFLVGMALRNLNHYQEALECFDQAIKINSS YSDALNNKGNALFNMCHYQAALQCYDQAIRINSNDSDACYNKGNTLFILNRYQDAIESYD QAIKINPNYIEAIYNKGIALFNLNRFQDAIECYDHVIAIDSNYNDAYYNKGIALFNLNRY QEALDCYDQATRINPNQSDAFYNKGNALYILKRYEEALECYNQSNKIDINDSDSFYNKGK LALYQQETHYLN >CAK80216 pep:novel supercontig:GCA_000165425.1:CT868354:1832:3081:1 gene:GSPATT00039373001 transcript:CAK80216 MLKIGFQTQNYQDLNTQNLFIKKQQSTQDNQIICENRIRLLNNSWITKISSKLASFKLFQ EYQPIKNILDNLNEQTSNMFEFSEKQLISPKLCATLEQKDLCYAIVFDPQGKIMVSGCGN KIIIWNFENGQIQEVNSLLEHQKEITCLVYSQISNYFISGSSDGSIRLWNSENNNQWYSS RPYYEHNEWIYCMIITQNEDQLISSSADFTIKIWSIDFINYELTFLYSLSYHTSDVNSLS LNESEKVLVSCGYDSLIIIWQKGVKNQWSFQQIVNQSTQENGYHVRFLKEDQFIWLPSKS NMLCVYQNNNGKFEEIVDKRVLFKKDNQGCVNLPFFPIIFMKNKNLICLRHSLHILLLKE LNNGYISIVQELYCQDWSTYGAVTNNGQYLIYWGENKKKYDIYELQYQ >CAK87755 pep:novel supercontig:GCA_000165425.1:CT868639:76:483:-1 gene:GSPATT00039829001 transcript:CAK87755 MNWEKGQKEDLYRILDFSKANDLVVLPILIKIFKREKIQDCQKFLSSVQNQRHVEQEILK FENSSLLDKEQMLNVGKYNLNIITDILKTIKDHQFNKQNFSLDEYEEIKQDMIIKISYDK KIIEFFKLLIHLYCL >CAK74556 pep:novel supercontig:GCA_000165425.1:CT868187:1824:2901:1 gene:GSPATT00039008001 transcript:CAK74556 MSQYGEQNESHSIIELLAYSQSNQSLQIKIAKLIFVQNDSFVFQSQIENSLIFKTYNLKV QKDLIQIMDQNSDTIKCPISDHTNDVKLVCFNEFCKAHKLYCMQCMRIGVHVSHLQYQQE LSILFEHIESIEKECEDLITKLNQQMIWLINTSILQLEESDRNTRDLNNNSLIQIPIKKI LSFSESIKFKQYEQNVQIQIEKSITDFQDQMEKLLSDLKLSELNYYQISNLIINKSEELY KKGYKLNCNDKYEEAIQVVDQALNFNPKPKLSLWCKASILKQLGQYNEAIIWADKASQVD PKDSYIIFTKGTLVLQFEGNSLLSQRIQKFKSFERV >CAK74557 pep:novel supercontig:GCA_000165425.1:CT868187:2957:5991:-1 gene:GSPATT00039009001 transcript:CAK74557 MMKFFMEFSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKELVGLYRCSLTAASGSGSNTVASKFV THTCSTNQTTKGACMRFLNWDKKTQQICTLLGGSCKLKIHQ >CAK81657 pep:novel supercontig:GCA_000165425.1:CT868418:2:1064:-1 gene:GSPATT00016470001 transcript:CAK81657 MKTLFFVKVYVLLITISRSELIYSNFYGAFTSTSFDWNIYQMEYHSYSVVNCGLSNIVIM NQCLNYYFNGLTSKTFELPLHDLINLSFKLWIKSSYQYKFYLYVDNNLQLLILTSQLSLT NNCPPYGSYQISQNIVHSSSSVQITMVSNENYWGFSEFNLNVENTTQNLWELVYQSFNKK VFSSISLDDGWMTNNIVSQQISSCTGFNFLRSQGDNLIKDFALKHHSMISFNLKVLIFNY PTSTAKIKIDNELYYLQLKYDKTSPSYKLKYQILNIKMKILEQRYQLKQMALLLGLESEI FHYLLIYMKFINVKTLTFSHLMG >CAK81658 pep:novel supercontig:GCA_000165425.1:CT868418:1432:2249:-1 gene:GSPATT00016471001 transcript:CAK81658 MNIQKNLFIHIKLQKLKDETSVFRKHQQEIKKFQQYYWNVDKQKGNKIEQTFQQYDQEMK GLLILQICIIISHQSTFYKQFHYDFFIVSYIIMYSYSSRKVIFRKLDKYKINNIRNYYFS CWNIFQINIQNLKYILKSYVYQVLINNSHQFNSIKVYIQTNINDCRMIIKLIIVFNINQK LEGLQDHFELTRPKRKKSFKFSKLNNIKPFQQKNSQNSFRMIDNLNQTVLIKPPEINQDS SLIQLFIFFERIILTIDYIQI >CAK81659 pep:novel supercontig:GCA_000165425.1:CT868418:2957:3211:-1 gene:GSPATT00016472001 transcript:CAK81659 MQDLYQVTINYFTKLEDQLNTKYSQFQQELQQQVEMATEKKKEYKEYLQRIQKQTLSEQM KVDAKEIKIVKKFGIRKTKQKAPR >CAK81660 pep:novel supercontig:GCA_000165425.1:CT868418:3951:5949:1 gene:GSPATT00016473001 transcript:CAK81660 MSNFNLKYKLLETNAGILYQAEEENERQKINYYAYDLSPDFQERCFKEEAKIENCKSIRQ HVKQILYQNKPRILFQYQDGLTLDRIIQEYRAKKESIAFTEIRLYLIQILDTLYHLHSHY ILGRVFSTKNILDCQGQILFLDFGFGPKIMEQNVDLIAPPEIIESVFLEREQSHNQYNMK VDSWLLGAVLYHLVKLSPINTIEIEKGKVKCMQFKDTPAYSAYLNNRINQNIKHIEALTD RYDKEFCYFIQGLLTYDPNSRLSFLQIYKHSFIQNLKIPNYQTYLQFYENYNEQQLIKQI MLYEDHGSKILKTGLLLNGQNLQQQIVQPEDNIPHLILDSNRYIQNNEDIEFPLTSQRLS ESIQSEVKDNSIEYLFVHQIQGLNESSFYQIWLRIRMELFRFTFLELVAQELQEELPKKE FKLEHVCAYSLRKMGYLILIDLQKKINEDVFPWKSHQQDNQKWKDFQKETQKSILENNIK RKIIEMEPTLKEEFLTHCKIYLDDQRIDKVIREELQADERCFQTQKIESQPIYKTYSNDF LKKGYRQIMQMAYNFLQREQKLAKKPELKYNTLLLKIIICHLINRIFNLDRLSVSFKRIL QSRNRPPLSLISPNEIYEYICRDGENEKIQDIQYLLQVESE >CAK81661 pep:novel supercontig:GCA_000165425.1:CT868418:6187:7214:-1 gene:GSPATT00016474001 transcript:CAK81661 MSQQVIVKGTKGKYIIFEKVLGKGAYGVVCLAQCESNGTYLAAKIVIKKSLSAQDIQNLR NEMKIQQTQSHPNIVAMVDTFEDDIFLYMMLEYCSGGCLFRKLQLSGPFKEEKAFHYFSQ ILSAVQHLHQNNILHRDIKLSNILLTDQDEVKLADFTWATNMIYGEVSPQLCGTIEYMPP EVIQIRTQSEKVDIWSLGIVLYELLHNNFPNVDNIFMRKDISYECKDQILMMLEKSPYRR PTAQSIGSGTWFKKMRNQKEMMIRQSISVQKSNSPLSKVNLKAIIGSPLRQSQEAQTCVS PMDLKYQSPIRESSTYMAF >CAK81662 pep:novel supercontig:GCA_000165425.1:CT868418:7896:8713:1 gene:GSPATT00016475001 transcript:CAK81662 MSIMRQKIKTDYFDYPVANRYSQFKKLYKQVIQNILLFMQYKRMITQRTYITIDLYNMQK EMIQNLNLLTFQQMLCKNYNRFLKSTKKEEPFQLVINNNKYDYNSRDNLPTNQARKNNND FQSKILPQNNSKKLQINIYILEISPRYYFGQLKSLKTILSIRTIHPLKYNLEKAIEILTT AKQKWVTSMMIIDISYQKTYLWQVKQLFKKVVFFSQETRLILLGKQVLYYCIILMLEIIN KFWSI >CAK81663 pep:novel supercontig:GCA_000165425.1:CT868418:8971:10802:-1 gene:GSPATT00016476001 transcript:CAK81663 MISYSQQTGLGNMGNTCFMNSAIQILCNTPGFTDYILNDIYQFDINLINPLGSRGEIISS FAALIKELKSRKQPYIIPNLFKKTFSKFYNIQYYGNDQHDAAEFLLQLLDAINEDVNLIK KKPYLTIPSSKGREDLVVAIESWDVHSQRNQSIITQLFQAQFKSKIECPNCKNMSITFDP YMMISLPLATKKNEKGIVYYLLDEKFRQKQMTLSVYKNYNFEWIQNEIRNQLRTQDLVFN LSNQQKNIEIRPEDDIQYLKQQLQNSKLFVRPMNIQEIQVPYNYRCHTLITHVNQEFQIL TDITTIVFDFRTKIFEIYDHIEKNYNHQFKCQFDLFLESNSQETEQQCYFCHKQYCKYCE LKKCQHSLKYYNNCISQPGFQLNFIIKWYGQGVPIIFNKEISNQKLQENNEQFSVNKSSL TIYDCLNFSQQQQQLDENNSWFCNQCDQHVKGIKQLLLYSTPQILIFQLKRFKSSDDVTQ KIKNNMLVKFPFILNMAKYVTNLNLPNDYLKGHDISELKYKLFGVINHYGELQEGHYNSF IKNLEDQKWYCYDDSQVTEIQLQDIITEHAYVICYERQN >CAK81664 pep:novel supercontig:GCA_000165425.1:CT868418:10870:13153:-1 gene:GSPATT00016477001 transcript:CAK81664 MKQEKEIIFNGEFEDSQEENEDQSQDYESDEELNKKKKKKSLLNTNKNLIMNVSDTQYPV VKFVGKMIYKFKLQYVPYQEINNWDFCWTDNAVLPETLAKMQSHQKINHFPGMYSLARKN HLGKNLNKMQKQFPEEYDFYPRTWMLPSEYNDFKQQFGKAKTFILKPEASCQGRGIFLTR SIESINPTEHYVAQRYIHKPLLIDGLKFDLRMYVLICGCDPLRLYLYKEGLARFATQAYI APNLNNLEDICMHLTNYAINKDNPNFIFNQDEKKMDVGHKRSMSSVFQLLRDLNHNVDQL MDDIKDLIIKTFCSVQPILQQNYTQVDNYANNMCFEILGFDILIDRSLKPFLLEVNHTPS FTADTPLDQYIKKNLITDTITLMNINLKTKNEVIQQKKDEMQKRVLTGKKTKLTNEEKKQ IKLQLQRQRDDYESQNKGNFELIYPCSKSYEEYLQHSLKIYEEWTGANIRRNQKKESLQI NQDSQNKSQSLPKKIQLEKRSTLYKHIQSRINTNLFPIIKNEQNKGNEVIQEGEEQPPNQ INTIPEVDNDNYVPYEDYQQQSLESIKDYYAQTDKRGFELQILDFFQQRKEDKRLNNSHI QYQNGQNIQSPLSQIKGVLQGIAIKNVRQFAIKKNTKNIKFSQKQNQDNEQEKPQENPTK QQIISSQFTYQQTNPQGMNGAYLKPKVFSLKLQNPPKGYKLQSLPLLMQQNNTKFRYE >CAK81665 pep:novel supercontig:GCA_000165425.1:CT868418:14040:14634:-1 gene:GSPATT00016478001 transcript:CAK81665 MLQQPLESSHKQGSKRFALKKEVPILKQFRKIYKQYKQKPHPHLIQKEFTKEQVKLAEIE VERLSNSLTLNFDIIFKRKIQTDQNKCNNEDSECSPFSENTFTQDTIKKDKDQRELDFEQ LYQIYLEKQFTEDKKQRCIEWLQKN >CAK81666 pep:novel supercontig:GCA_000165425.1:CT868418:16430:17516:1 gene:GSPATT00016479001 transcript:CAK81666 MSRKQTSSKSPQIAKSIHKQNKDSDSSSSERRYGKFWKPNEDSLLLELHNRHNGNWKLIA EGIPVLIKMEENQSKQSIFASIFLNKLRKQWTDLEDQEVIRLVNEHGRNWKFIEGLMEGR SSKQIRERFLNNLDPEINREKFTIQEDQIILEQYRIYGPKWSEIAKMLIRRPENQVKNRF YSYIKRVHMLDERSDDDEDDRSMESEPEQPQKQVPKSNLNVSQPIYQPLDTIQSLKEEHD NTKNDSLLINQTPSHRIQQSINLIQDSFDSKQFGGIEEQDVSPFNQQHRKILEYSPINFQ YAHHQPNFDMIQEDIKELKCQIESFNIEHPI >CAK81667 pep:novel supercontig:GCA_000165425.1:CT868418:17902:19057:1 gene:GSPATT00016480001 transcript:CAK81667 MGQCNNCQSCQKTDGKELTVDQQAYRTSQQQSQTSKQRVSDINGDKGSKNKKIKAATIIQ AHWRGYAVRKKQQRKSIGTNDNPGAPPITNKYFSTDKDYAQQHSNSKTRENRPPFKYKSG AIYEGEWIGNSRDGQGTQLWNDGAKFVGQWKNNMAHGKGVFYHVDGDVFEGTWLEDKACG FGIYTHANGAKYEGEWLNDLQHGFGVETWADGSKYEGQYYMGKKHGKGKYTWNDDSFYDG DWDNNQISGKGIYQWSDGRRYEGEWLNNNMHGQGHYYWQDGRSYKGGYIDDKKHGYGVYT WADGRKYEGEWVSGKQHGRGQYILLDGSVKKGEWIEGKKIKNIQN >CAK81668 pep:novel supercontig:GCA_000165425.1:CT868418:19090:20170:-1 gene:GSPATT00016481001 transcript:CAK81668 MEELKIYCQRVIQISSDTVNSELEILKKKESNLVQDYDIGNVDELDEQVSQNEVNQLIIH FRNYKLHNYLYKIRLVTKILQHSEKIFQRIQNPQSQLESYFGDLIATLEYIQQKQINYQC LKELKQQIDNLLNQQILQTRYQVSLIVLDIFNLKILRDIYLTEFYQKYGIEIQNYYKTHR KLSFDFQDENNSRQIEESNLFQETEIKKQPKKTKSNIQIITSPDTGINSEQHPISVKNSK NAQSKSDIRINSMQIPSPQINGQKSPFSNIKKSYFSSQLKIENQQNNYSIVEQIIQKKKN GGSDRFKKDESNEMFDEQSLFILRSYIDSKFTSNRINRTNDLAKMINDQQIK >CAK81669 pep:novel supercontig:GCA_000165425.1:CT868418:20693:21259:-1 gene:GSPATT00016482001 transcript:CAK81669 MYSQKENNQLLINPFQLELIEKVAQTFQEKLKEIDKNNFVEAWIWLCYYCYAQEFENKEI QLITILRRLDMNITMYQEAHSQLCSDMMYEISQLNSFYESQFRGNAGNKLKVESIDNQFE KNMLVTFQCEFVSFTMRKLCWLEKSHIRKFIEDIINKIWEYYQKQIQNEFFEVMQTEIIK TIERYTEI >CAK81670 pep:novel supercontig:GCA_000165425.1:CT868418:22320:23163:-1 gene:GSPATT00016483001 transcript:CAK81670 MAINAFYKGISDQYNRLEYLENFQSIVIQTKKYFTKVVEGLKPIANQPQLQNLFDKLSSL IKNEASSEAKLELIIIKFFSKVLNDKTQIIKFIKEYLRDQIYECLQQENLQVIPFNKMMI YLSILNFKKSTPDLERQIQDIFQYTHERLAIFKYEWSGKIITDQEILQFFLEQQTKVLKD QKFKIINKNLLIQTITQSQTYKERVLYLLRGLDILKLHFNMELSTLAKNYFHYYQIHLMK DQKEKIWQTSKQNQQQFE >CAK81671 pep:novel supercontig:GCA_000165425.1:CT868418:24877:25734:1 gene:GSPATT00016484001 transcript:CAK81671 MSKQLIFIIQIQIMICKNIITDEVLIAKQVQFPRNKHSDSYHNVKIPKIQSFVMSEAKIY MKLSYNPHQYLLGARQIYICDQSIIYIMHHCKGGTLYDYLIENDQNLPEIVTKEIMRKLL EGLNHLHKLGIMHRDLKLDNIMLLRKKDPNSIRIMDFGYSTVVDDERLSNQRCGTLGYIA PEILNMNDYNELCDIYSLGCVFHALLTGRKLYKTPKSAKASDLLIMNRNSTISISQICNP HAMELLQSMISAAKQRSSAAVCLQHLYFEEELFQIHLIYSNFLLF >CAK81672 pep:novel supercontig:GCA_000165425.1:CT868418:26814:27789:-1 gene:GSPATT00016485001 transcript:CAK81672 MKYLVTISGFETRNDVIFYKITVNGLHNSKVIYKRYSDLRALNEQLIQKNHEFKLKLNLP KFPKKKLFGRTKHSETDIVTRGLELQQYLEIILNTSMLWTFSFIRDLQPLNEAFEESINQ LCTNHQYTKDVCWPQMQELKQQYLQRHDVSSSPSKSQSKKTNGYKQRYYFKFEEYVKQQD YVLYSVTLTDQKKNIKYQFNTRYSKLKDYHSLLENKQFKNQLPPFPKRKLIGQTFDNPDE IHERQNQLETYLNEIFSIKELVSSEPLVYFIIRIKLESKAIQEFDQHHRCSRYSQQVNCS SLEKEPFEIPKNIQSC >CAK81673 pep:novel supercontig:GCA_000165425.1:CT868418:28108:29373:-1 gene:GSPATT00016486001 transcript:CAK81673 MSKRRKNSSSSSSSSSSSSSPEKKIFINQCDKYKEERQSEIRIHFYFKDLYIGGLPEDEF LFYLHGRIQKAGPCDLLQISSINKETQTIDVAVGFKYDDDALKVYQGKVSVKTRDKLIPV LSKVYTEMLKEQGHMMKEQQSDYRKSQQKELSREKEKPQQYIPTYNCTNITNLDNISKEQ LYIFGIPKEFTIEECITEIKQTYDLVVKPEGIFRSEKVGDITYEYLELQVERIPCQQILK KQPLVLKNISLLCVQKKKRTDPFNEVLKPYSVILSGKVNLSQVYAHMTTLGGVLFHQISE SKYCVIMQSEQSIIDLKLPCSVDIQKVQCQVIQNSDFTMQMARPNLNETTYNKIQEFKKK QEEMKLARLHSSSSSSSSSSSSSSSRSRSRNKKKKKYHHKKKH >CAK81674 pep:novel supercontig:GCA_000165425.1:CT868418:29839:31132:1 gene:GSPATT00016487001 transcript:CAK81674 MSSSSSSSSSMSSSRSSSSSSSSSSRYSHKKQQRRKNKQKEDQDAGHFEYQIGMKIKAGQ FVITRFLGDGTFGRVLEVKTCNATCNNYYAMKCIRAVERYIESAKIETKILWYIQDKDKN GAFNIVRLFTSFERYDNYFMVFERLGKSLYDIIKQNNYIGFPIQYVQSFAKQIIISVAFL HQNQLTHTDLKPENILTTNCEYKLVPFKGKQIWVPEREILKIIDFGGATFDHEYHSTVIN TRQYRAPEVIMGYPKWNENSDIWCIACVLLELYTGELYFQNKDDLEHIAMIEKAIGPMNY RHFKNSKYKTFFNYDQKYFDQHRSYFKWNSVAPSDSAIERVKKLKTFEELIPAKHALFID LIRKMLQIDPEERMPLRKLIHHQFFQQVFQD >CAK81675 pep:novel supercontig:GCA_000165425.1:CT868418:31225:31708:1 gene:GSPATT00016488001 transcript:CAK81675 MQLKLKSYELEYFDEILEKKVYLCPINKSHSLKSVDQFIQHLHTCKESANYQVKKYICRF NQFHWFNTAKDRIVHEFFYCEDKETVMPQNEVIINQMNEVFLPLNEDHSFQLKQYFKKGN SQARPNFRNFISEFQRRDPEKESSVKRIKLNQ >CAK81676 pep:novel supercontig:GCA_000165425.1:CT868418:31912:33364:-1 gene:GSPATT00016489001 transcript:CAK81676 MSDEEQPQCDYHKFLNQLQTVKKMDDVEVLDSSDEFQMEKNETQYSQQNIYHSGLDEFVI PPKEQDSVSIDTKPYESTQIVKPKIQPVQLPPLSKKINKAMERKFCFKNVEINQQLLKYE TVFYEIESYIDENDKALVTRQYSDFEWLFEELVENFPGIIIPSIPQKNMLAKFNITGYTN SIRSQRQKGLEEFLRKTLSHLQLKDCEIIEKFMTLQDQDFKKLVQNYQAQKQQKLSINVS KNMVVNAFGKIGNIFFNPQNNDNEMEKYCQIAVQEINIQKVKIKNIRDQLEKTFLNKFEQ SKQFMKLSTLFDEIQNDIGYKEHMNTKCETISIALRDSFASVMPIIYTIDQYLLDMEVVL NIVKYRADLQQSITDHAAALTSAKYAPEQQALIQTQLNDHQKNMSKFVENFKNEYETFTD QYNKHFNKMMQTVLQVWQQINTQMQETWL >CAK81677 pep:novel supercontig:GCA_000165425.1:CT868418:34747:34953:1 gene:GSPATT00016490001 transcript:CAK81677 MSKPGLGLNSFHLVFIEKSYGDIDEGQRIYSDKTMRFDEEIMICFFHQKEKVTQIVDNKK KTIKQCLK >CAK81678 pep:novel supercontig:GCA_000165425.1:CT868418:37083:39512:1 gene:GSPATT00016491001 transcript:CAK81678 MNNQSVNMHYNDQIKMKASEVFQSHYINTQTSKQEQTIVICLIVFGNIIWNVIANFQNTE LSRYRLELTIKVIETLWIVFHYLKKKQAFTPESFLNLGLTILLINGLITIFTIEDVLRRS EQVLDQFTFFILIHQYIYNRFSLKLHQTHQVIGISSVLVFQSFWGNHLFSTIKMITVQGV FILSKQLRQQRLMNETQNKIKQFNEELDQLKQQQQQQINKYQEEIDSKSQMQLQSRANDL VNKLKLIKFKQQCEIKNQMLKNVGQQQEKLQSMVSITEVMGRDEIDEATNQQNYSSSPKM ENFKRRASRTLSQNSDLKGKNFQFDQQQSHSDQDIVKGDNYITIDEIDDLLNLLTGKKDN IWLPKFLRSHRQLDCLNSNNSIQQASKEDQFSQDAKKFILSHFTQKEASFQYIDDIQDDV DDIAEKTLIDFNINFLQQDFTLNNLDLSQRMIFIEGSINLFKIFKVIQTLKITNLETLGE FSVKIESLYQNNFYHNSMHAIDVANSTAFFLQNGLSTLIDDFQATCLLISSLAHDIGHPG LNNGFMTANRCRLALLFNDQSVLENYHSFLLFQVLTQSNCNIIENLSINEIKGFRKYCLN LILDTDLTRHFQLMNKFQNYLNVNESPAVDQQLIMSIGIKCADVGHGAKELKLHKLWSRR IVEEFFLQGDLENYLKVPISPMCDRNQNVSKSQEGFLKAIVLPMFEAFSTILKNENIQKT CVDQVKQNIEYWQQQETDQKFMEETVFDTNSGLETLQKFLHEPLHIEI >CAK81679 pep:novel supercontig:GCA_000165425.1:CT868418:39577:41269:-1 gene:GSPATT00016492001 transcript:CAK81679 MIECLFQANTPEIEIRPKELNNNDKQLSTQATSMISLSILTLEKSTYLKLSPDLFIHMKR EDIFSQYSIGKALGEGAYGQVSLVTNKRTGIVRAMKAIKKDCLFEEEEQRLFQEMNILKD LDHPNIVKLCELFQDEKCYYLITEYLNGGELFDRIQKAKTFSERDAANIMKQILSAVAYC HTKQIVHRDLKPENIIFTSTDDDAQLKIIDFGTSRRFESDKKMTKRLGTPYYIAPEVLLK KYNEKCDVWSCGVILYILLAGYPPFYGKKDIDIYQKIVKANVPFYTEEWSKVSEQAKSLI LKMLCKDAEQRISAKDVLADPWMIEHNQSNLVDQQFLKNLSEFSAKSKLKQALLTFMACQ MIQQHEVEDLQKLFKELDTNCDGTVSKDELKKAFQDKIMNKDYFVESIEEKIENLIQQID INQSGKIDYTEFIIASLQQQRLITEEKIKQTFKILDINGDNYISKGEFQRAMEGVDDVIW GEFLEECDDDKDGKISEAEFIQIILKKI >CAK81680 pep:novel supercontig:GCA_000165425.1:CT868418:41370:50267:1 gene:GSPATT00016493001 transcript:CAK81680 MAPSGGGETHTNFEDEDYMLYQNQIIKQFKKLMKIDITILLILVSITIEELQEIKTGCQT VQNPCLKKCEEGYQLCEDRNNRRCEYGYFKLNIEDENYCVRCPFSYVDEMMYLCMDCPEN SDTWQNSRSCTYNYAITHGDVFGAFRKIAITETQIFKIRQVPSKTEGGSNTYSTELCQGC QAFCDQSDAADEELECNILSDQDDVIYIGVICSDGYYFDNDTCMICPFYNCKTCDSQECS ECFDGYTMDPTQKCIPCFEGCAKCEYQNGILCLECIQTKDTYQIMMQNGAICSSCDDGCI RCQVEYVDGVEQQRCTQCQADYIVQNEGSRCEYKEIFDCEIAYFEYSKDGVNKLQTYNLD FEIVRDYSAKLKCYKCQEGYKLTGGEDCSPYTDTDPFCSTRDQDKNLCLECQDNKVLKYD NGGTLSSSCVPDQDCSKKIDYCVQCISYMVDSVELYQCLKCQKGYYPDIFSNLCLQCQSR CDECWQYTNTYNITAYLQLQLAGIDYEQFKQDLVLIQMEKLNDPFCSICQVGFNLYNNQC KGCTDSCVPQRIQDGDSECLYIDDTAYCAKCPVPSLQQSLTFDNSECNQCPYNCIACRER TEQEINLVNPFFDPDSDQFLKYSNYCYKTTQPQADQKIYIDSFLGVPITCANNPNYKGCY KKLDTTFNVYCGDTNDVPKANEIKLKDVYKKQKTKTVTLFLQGLENAYKYNEYNQQTVNQ IDIHINFISDNHGTCNFDKPIQIKSSFQKNIFTLQQLSVIINGQHSIFKQAGRLILKDYS YVEIKNVNFKEKASSEFGLEILGKKTKLTITNCKIVKDNLASSFNIVLQNTIYLKLQQVE FENLKNETIIDQTSTDEFEEQGQYIFEDVHIKNSEINDVLMTVNYIGADNILLINSLKFS NSNITDTAVFSDLITTPSYSAIINDLKLIKCTITNASIFNFALVKSFQAENIESVLTLFQ KRSNFIISTTFTIEKLFCYRSLFANNSQLMISNNQIYNSDQKSSILQFSFKSIMFKNNIC QNINCLMFIATPLNNFGIRSNIEIEQLTISELQIQSISQMNIDLVTSALVNLQSVGDITI NELTIKNAQGISIIFIGDSQSVEITNAYYFLEMSNEILYEYEEELTENFEIDPNLANYIM VTSPYNNLLPNSPDCANRKRTAHQFNSYLIYIEGFQGSIVMDNIEVFNLLFMDRSAIFIK SYENLLYMQMETILLQNLKFDSNRLASTLDGEMMSLIIIDSQQNQNITILNAQYNQNHLH QITDSQTTQTPALLLVISPNSILNLLDSYFNYNRITKSTNGLMWIKVEQFILYNCQFQKT NILDLQWLDYLEEQQLTASDPVTTILDLKQYFYIESMGSNFYLISTFIYIENLQITESYG QSGAGIFLELLDGIVFIMHSQFQNIQSSLQKIDDTEGGCLTINSESSQMQLELNSVFMTN CTARIRGGCIHLYPTKFKQSILFQDSQFSLCQSLGYSFLSNPYLESTDQPVIEFLNIYVG DNNYDNFIQNIPDLTLLEQLLLTKDSGIYYQHTGQLIIRNSIFTKLKYLSIIKAVGMTEI SISQCVFTKNILFLMPLLDLQMTPTVSNSIQVVASEFVDNWSTDINPIVNNCDEFIREGL DQTLTQQTCDQIMYIIDFQNFMNQDQIAWNSDQYLDSYQYLNEQDKYESVYSYNFYYAQS IQVVQRFNSTLNDKLINCILQNMLNLTLEADSVIPTSLITIKSLFSNSKLKFDEISIIQN RCVRCYGGLLQILGVNAGSKLVLNHINCKDNTIGYYGCLLIQQQQSINQFVPNQNLMDLN VTQFPNRMLQLGKDCTVSITQSIFSSNRARIGSAISILGLDALVQNSIFSDNRASLAGAG VYYMNIGGDFETSLYVYNLSFQSNKAQVGAAFYLVNKELADVKSLLIKFEYNYATIQANN IQENSRRQTLSMNEGSYYQVQVYLNLSGDQNLDGNVDSVDQIIRENVTFDYHTIGNYPEK TNLLILPSGQSINTYEYYFEETREYIPYEWIFRVINLNRFNEPVVNNEDGDKCFIFGRIQ KFSNYSEKLTFTNNYTIPNEMLYSKNLKGYSLDDMQLTFDPYFDKDFYLELQIKCQKMAI PVYSNPPDYNIVGYNKGYELILNVRTFPCQMGEVYQLGRCIPCSPKLNQYSVTIGGVLCS QFNSDSMVAIEKSQIQLKAGYWRPDYNNDETFYCENLDSNCNGGWVPGNPSCYTGHIGAL CESCDLYQIYNDERYTQTSPYKCARCEPTMKINYIVLVATSITSFALMIIAVKGSYESSM QFIIEETLDIWGILLKSSLSNLDVLMKVLTNYFQIIQFITTFQISIPSAIKQTTETGGNP TESTTSAMDCLYVTMSDLDVLYFRMVWAFIQPSIYLISFYIIYFVGVATKKIPYKVNIIT TALIYQFLYLQPTYVEGFMVLASFRTVSGYQYVQRDVAYRYNSSMHQYYLSRFIIPMLIV WVMFLPLLFLFLVYKNRATIQTKQTKLIYGFFYLEYQLNSYLWEFVKLFQKEFMVIILAY YESQVTVKGLLLVVVMFLYGFYQIQISPYSNKRLNMLDRYSTVILSISLAMGVLMKSCQD SEFDYLVIIVAIFIILINVLFLLSIIYYIFEGYVIKLSPILDKIRDVLNEKYPDLGKRYP HLRPYLYNKAQMTIRVKALWATLREAVKDTNEICKEHGVKFKKIFPPYAKEEENLVSFEN ISIEIKSNQVEDKQPLIVQDSSFVQNHQDNSQNLDNSQNQPSSAIRLQFINSKGVVQQSQ FFTSSFMINESQEPIAMTGLCIEQWIDQTQYEGLIVNGKKQGKGLLIWPFQENQKQQEQY EGEFYDNLFEGYGVYKWSDGKVYEGGWKEGKRHGYGKYQGLSQQYEGEFQNDLYQGQGIL SIGNKIITGSFTKGKMDGEMQIVIGRKKKRRGIWKEGVFVKWVD >CAK81681 pep:novel supercontig:GCA_000165425.1:CT868418:50570:52113:-1 gene:GSPATT00016494001 transcript:CAK81681 MGTQQPKAPQTKQITQFQIEIACLKVKGYVELNRDRRTNQALMREKALNEMLRSPSRVMV EEYQKFQQLVQDVRFLETCHIVLRYCEIVKDQSIRIFRCGGDHSKIADLMPYIESILFAA DNLNLEQVMEFKDLMIYYFGPGFNDTSKLINVDQELRKLFKTPLPDAYEVNEYALKFAEK YGFSEEQLNASGHQFSSKILRPAQGGILVDQQGFGQQQFMPNPNQGFGDFGTQGGFGQPP IGGFGNPPQPGFGQPPVGGFGQPPLGGFGNPPQPGFGQPPVGGFGQPPVGGFGNPPQPGF GQPPQGGFGNPPQAGFGQPPIGGFGNPSQGGFGNPPQGGFGQSQPGGFGQSQPQGFGTQG DFGNSQGGFGQGTQGGFGNQPPQNGLYNQPNNQFGNPPTGGFGTTGGYGQINGSPNPYSC LNQGPQIMASQSMKVPQQYQNPSIPQQPQVQPAIQPQQTPGQQQSNIVNPNTQALDDLEA RLRNLDKAL >CAK81682 pep:novel supercontig:GCA_000165425.1:CT868418:53612:56694:1 gene:GSPATT00016495001 transcript:CAK81682 MKQPVSLFAQNTFSRNINQEDDQEIQDRSGFEILNMDGQKRRRDKLSPVARSNSGHHEQL ILQYHNPQQLITPKNENITERLQTFAQTEQFKQSKSFRKLIVYFHQKDFIKILLAPYKLT TSFTIRHFNLINDLGASFKYQVGRFNESQKSLIHQYISLIDFRIQIRLKFRTIKTKCKHY LQHIHNQIPLIEPMSNLKFFWDLMCFGIRIYLIVVIPILMAFHNQNFIDKQQIPLILFSI ALIFDIIMRAFTVTYDQGLPVRDRYLLYKKQVNFSTLLELFSFIYTSIISLQSDNIIDKN LIGDGWPKFILVLLYIQVANILKFIDISQYSFKLSRMSTSIVELVKLITLILLVQHVFSC VWLVFGIQCQDTQQQSWLDKFESDQWSYQFLQSFYFICVTTFTVGYGDLTPKNPPEQIFT IFYMFLCMLLFSYTVNTIGSILTQIKESSDKIKTKLTAINQYMHNKQISPTLQFKVREQL YFYLKQEVVQQVNEQSEIISMLPEELQHSLRIEAAKSLINKCPFFSENFSNEIMNQLLEE VNFQIFQPGATIQSKDEFFIHIIEQGQVEVLYKKKIIQTLERFDYFGLEEFVSQQQNPNF IFKSTAFTSVLSIPYSYFHKILSQNDLENQKFHNLLTSQSCLSNYCFICKNKRHSTQSCS LVHFIPNKEVVLKRYLYRNKQKKRIKQDRRSRQLSINEINLVNGQNQIKQIQFKNACNNQ KMIESIVNKFQIENQVALETLFPTIEQPISIDSQSESENDEFEDVKDQSFSQQNLNSRRA SKQIPCISSKNLLQYQILDKIKKERQNRLIQPLQPQLLMSQYGQNNPNPTANTNANTNTK LQLKKLLLSKPGQPDYDEQVTQIQELNFFNDLRQKMNNLLLFPKTEQEQIEFLYKKILNR KEDLGEMSDFEILKNYEVFNRQWNADQVVKKLVQKQKKFRGFKKLKRFLLFPFLYVNKYL DKKDQSDVFKPAEKSKKKRPTRPKVIKKTRVSPKI >CAK81683 pep:novel supercontig:GCA_000165425.1:CT868418:56740:57720:1 gene:GSPATT00016496001 transcript:CAK81683 MGQCKCLQAPKQLTIEGNPIQDSYSQEQDYQIQRILQPLKLYETLPLPSYYSQVAESYED FSPEKNIPEDSVCRDFVAPNIEIKQVPEITFCKNYPKSDKAYKFEGFIYIGQWKKFEPYG FGVFIYNDGAIYIGYSVNLCPHIYGKKQYPDGTEYEGDYLYGSHHGQGILKNKEMTFEGV WSQNVPVKGKELWKDGTIFEGEYKEGKKNGFGIMKWKNGNQYEGNFLNDQFDGQGVYKFA DGFIYEGEWSNSFMHGKGKLVYPNSSYFEGEFNNDKQVCGLQYSLNGLRQTISQFSPNST ISERSFLKIDRPSIKTSYIEFKSVYG >CAK81684 pep:novel supercontig:GCA_000165425.1:CT868418:58574:60591:-1 gene:GSPATT00016497001 transcript:CAK81684 MLTMQEEKRYTYVQKKKFENNERFHCGKPIKEKKQQNQFSLNSNIDENNEGYGTSLFNHQ HLQNLIVEEFSTQGIYSSTRSNRNHNSSEKSSRRCQNLDNANRGPPQLNNKSDEEQQQKE NIQMFNDFTQLFNFLKSVKNENQVCQWSKNDVNNDSDNYLNYLILASRKDSDNFINIQMN VVVNQYFILRIEDPTFIVNNLCLNSNHYLKIGSFSYLRQTNQIIYEIKELQLKNEQQITS IIENADKNFQIIIKKLILFFKFKISNFSDTTDPRYIPSQYQEVNLNLNLNIKNKSQSANE TELSKIDKNTPQFSDELQPKEQKQLNNTYEFINNNIRNQEQQETTIQSKQSMTNQKRLNQ ITKLNYEIPTLNDTDLIDQGGFHKIYRTSINIGPQKILSLVVKKDGNKIKLRKEIEFINK CFQNSYSKYIAQIYLIDKKYDQYLLKYYHFKSLREYLQKYKNVMSLKRKIKILLQIVKGI QHLHKKNILHLDIKPDNVLISKKGNVKICDFGEAYHPEYPFQKDGVKFSVPFTAPEVIKN SEVSDKADVFSFGVLAYELLFVQLPIVQILINKQAFMFKKDYLTYFKESSFNLENSQKSN VDDGPRTIIQDLQAIILKCLSPDKDQRLDISAIYELVKNQQVQFMNQKI >CAK81685 pep:novel supercontig:GCA_000165425.1:CT868418:60774:61687:-1 gene:GSPATT00016498001 transcript:CAK81685 MFGLRLSENIAVVQEDQQHEVLDIKGKEVITYKDFIIVGCLDKTVKVYKNLQLTFTLKLL KKVKRITVNESNDSVYVCDKHGDMWSFQLGQEGIQSITKEMTPIQTNLAIPKCFKYLEIN DQKVIILGDSYCKIKVYNANNIDELLWVWIPFEGFLKQIIQDGEYILMLFKRFDEHENQY YQVYQIKQTELLKQQPHFEQIFDDQDLSKQVIKAHLISKSLLAFQFNFNKLTIYKIDQNK QLQIFKETLGSLIDVQFSKHSNNHCLSIKSTQQNQENNIIILDHQLHFIDHDII >CAK81686 pep:novel supercontig:GCA_000165425.1:CT868418:62379:63926:-1 gene:GSPATT00016499001 transcript:CAK81686 MGICSSKKQKDDLALKLKHWPSSPGRRHTGNSFKYGTDLYINLKNGQIENFYTFGDVLGV GAFGQVMKATQKQSGQVRALKTLAKKKIINEEKEKMFAEVNILRKLDHPNIVRLFELFED AKNYYLIIELIQGGSLIQKIQAQKTFSEAEAAYYMRQLISALQYCHKAKIVHRDLKLENL MLNIVSEKPVLKVIDFGTSRKIIQEKYLTSKLGTPHYTAPEVFKQQYTEKCDIWSCGVIL YTLLCGYLPFNGSDARQTQLLIEYDKWSFDKNDWAQISPEAKKFVKKLMTYNPDKRISAE EAYLDPWLQEHINNTIDSKALNSLQKFDQSNVIQELIQQYITFQVLTPEDKIKILGNFQS LDKDGDGRINREDLIFGLKELKLDEIQIEKQIDKIMEQCDFNKTGSIEYNAFLSIMIRQE LSEKTNKLEQAFKQFDLDNDGFVKKENLEDVFGGIIIDQTHWEEVLKKCDSDQQGIINKE NFVRLLQQF >CAK81687 pep:novel supercontig:GCA_000165425.1:CT868418:63960:65955:-1 gene:GSPATT00016500001 transcript:CAK81687 MLRTLRQISSIFHRVSVRQFSGAVEVAPKDEILKILHSESRDIFRNVAIIAHVDHGKTTL VDALLRASGCANEYDSMDSNALEKEKGITILSKVTGVTFGGSKINIVDTPGHQDFGGEVE RIMSMVDGVCLLVCATEGPMAQTRFVLQKALQSNLKPIVIINKVDRPTARPVEVEHEIFN LFCDLDAPSESLDYPLYFCSGKEGWVRKGSMEAEKQGLEDVLETIVETIPSPQIGTESNF KMLVSQQESHPYYGKIVIGKIHQGEIKLNDKVLAVDQQGKLVETAKVLKILRRYGMQQLE MARAVAGDIVQVAGFTNAIVTNTLNEMGKNEVIPSIPIDPPMISVSIGVNTGPLAGKEGT KLNAQQIKDRLMREGQSDVALQVMVKDKSDDSACVQLLGRGDLHLAILLENMRREGFEMQ VSPPQIVTKKCPQTGKTLEPIEKVSIEIDQQYMTGLIDKMSQRKAIYEDCINIDKSRVKL IFSAPTRGLVGLRAELINDTRGTAIMQQQFLGYQEYRGTLKKNLKGAIISMAAGACQGYA LEDIQKFGPLFVKPGSKVYVGQVIGEHKLEQDIEVNPIREKKLTNVRTVLADEKISLFPP KTFTLEDVIAYIRDDELVEVTPKDLRIRKKELDSTLRKTQRKNQQK >CAK81688 pep:novel supercontig:GCA_000165425.1:CT868418:66294:67307:1 gene:GSPATT00016501001 transcript:CAK81688 MKCNSQDLTLKLFKESIIDRTVAFKEIYMVGKQIGTGSYASVRLCADKLTLKSYALKIYN KSAISSSRQKSIFREIRILQMIKHSNIIQIYNVIETNNHINLLIEYIDGKQLNSLKGYNL KQVLYQMASALNYLHARNITHRDVKIENILYSDGQVKLIDFVFSTLFSNSIKKKIYCGTP SYMAPEIVNKQYYSGPPADIWAFGIVIFYLTQGQFPFKGSNDKELFQLIIQCKINFNSIN DHYLQDLLCQMLQFDPQDRISAAKSFCTLGFQIIKSQLVNLKNLAVLKLIYPNKSTLINN INLQLRKLIKPQQNINQIIPKSERAQFNHI >CAK81689 pep:novel supercontig:GCA_000165425.1:CT868418:68488:69641:1 gene:GSPATT00016502001 transcript:CAK81689 MSQSDENDQFQQILNSLEISKRKMSISVHQSKLLEESKKQQSKRFTMELPKNIEASQSQK ERIITNHLLKDLAPLDKQNEENLKTPKVPPTNKIKTIKKGILLLGQKFHKDLSFYLKTME PLDFQELQQSNELLIQNALCAGKYVNDYGLFCVLKEALNKKNIKTIIQQDCIVDEDGNFA GIPQNTKNLRKTLGLQELHYCSGKISLLKFHINNRFNLSNYIFWSKELEKLETVIKDSLL SQNQQILMIRIDETYLEFTIPDFDDNQSPQFMSKLRQQNLDVQVLPLITHFTFRLSDLNP WMNKEINKEWKLFSVQCDQQQCIGYIDMNQPTIFNEIPSPKTLAIKLYGEIHQYQLVLQM SEKQVMGILLRDKA >CAK81690 pep:novel supercontig:GCA_000165425.1:CT868418:69744:70497:-1 gene:GSPATT00016503001 transcript:CAK81690 MIPRKSSMSKKTIGQPKFILKDDNTLDSSYLEMYQQNKNHNPGQRLQQILQKQINHSQKN KSVEEDRLTRQQFINRSQQVVRQDLGSYTPQNISYDDVDQREENKQLKQQIRKLQLELQQ SKHQNQLLIQDFEQLRDQLEKQSEEFNQAFELINEEKQLIQQEIDQKDQIIAQLRLQVAP PSKTQMQIDEIKNRILARKSVHRSSRENITQLMNDIDQSARQDFKENFMDYNNRITLQKS IK >CAK81691 pep:novel supercontig:GCA_000165425.1:CT868418:70543:70951:-1 gene:GSPATT00016504001 transcript:CAK81691 MKIQHLLIQLLIFTTVQTICIQLLFDPWSQERFERMSRENARLFNPLLQILNRIDFIREA ISILGILSSLMYLFGSKFFGQLTILWLVCYWVLFFNFNILRSERFFAYVLPGTFGICAFS NTLLKTL >CAK81692 pep:novel supercontig:GCA_000165425.1:CT868418:70971:71843:-1 gene:GSPATT00016505001 transcript:CAK81692 MGQCVTKEGDEQRSIQRNSQKPTIQPMNRTYSSKLLNPNITDCVILEEESYLQQSSLYSI YTIMEENQVKRSTLANAVSKVKPLGSAITSGISVQFINISNGRKLHSHAIKQDKGLKQHE VSLCNEIEKNDYDEWLILTNHYEPVKDGDVVAIQHKITKCILRSSNNILTKSKLQQVSCV DIIQDLTEDDYWIIEIINDSKQINKLHSNNLIRIKHAQTNTYLSGTKNKAVLKGHMEVCV TQQPGHDIWVVEDTF >CAK81693 pep:novel supercontig:GCA_000165425.1:CT868418:71976:72889:1 gene:GSPATT00016506001 transcript:CAK81693 MFGLRLSENIAVVQEDQQHEVLDIKGKEVITYKDFIIVGCLDKTVKVYKNLQLTFTLKLL KKVKRITVNESNDSVYVCDKHGDMWSFQLGQEGIQSITKEMTPIQTNLAIPKCFKYLEIN DQKVIILGDSYCKIKVYNANNIDELLWVWIPFEGFLKQIIQDGEYILMLFKRFDEHENQY YQVYQIKQTELLKQQPNFEQIFDDQDLSKQVIKAHLISKSLLAFQFNFNKLTIYKIDQNK QLQIFKETLGYLIDVQFSKHSNNHCLSIKSTQQNQENNIIILDHQLHFIDHDII >CAK81694 pep:novel supercontig:GCA_000165425.1:CT868418:73045:73984:1 gene:GSPATT00016507001 transcript:CAK81694 MQKDKEDSSQNVNVNSPQQAFTLQKENGNIEGVLYDIQIDIPQKDKTQLDEQAEFKNIKI FNEYKELFKFLESLKSEIEVCQWKKKHENNHQPSEKKAFSDYSISASKNDDGKSISMQMN IMTSQYEIILIQDQTFTINNICLDLNRNFKIGSFVYLDQTNQIIYEIKQFQLKNKDQIRC IIDDAIKNFEKMIKQYIYPLVFKIKKIKSTFFSELKSEKRADNTLNEKVDTVYDSSNFSK QQIIGEKSIEEFKNSDYLTNEEKKESSNNLEELKQKKSKIRINFKNCQTPKNLKKIEKKD RIFQI >CAK81695 pep:novel supercontig:GCA_000165425.1:CT868418:74649:75255:1 gene:GSPATT00016508001 transcript:CAK81695 MSCHQKEKLKYQYKQYRNILHLDIKPDNVLISKKGNVKICDFGEAHHPLYPFQREGIKYS VPFTAPEILRNNEVTNKADVFSFGVLAYELLFGKLPIAYMSKKDYIAQFKVSNFNVESDY RIFSGPRKIEQDMLYIILHCKITYILGLCPNKDDRFDINTIYENLKNQNLKIKNYQI >CAK81696 pep:novel supercontig:GCA_000165425.1:CT868418:76418:77646:1 gene:GSPATT00016509001 transcript:CAK81696 MKQPLQSRLIIQDARRKSLSAIAFLDPSPKQITIRKAPIEAFKNKENININETQKNKTPQ NANIEDIRRQINQPIKRTTQQQKTKQKTKQTLSVKNKYKSMITKLLYQKEQEYRINPLFF DHQTSTTPIMRSILFDWISEVCKEFTLKRETFPLCVHNLDRYISKIKISKQELQLLGLAS LSIACKTEEIYPPKINDFSQAKRITYAHLTKMVDKSSYTLPLDLSQLDIYYPQPKLQIKQ PTQNSYTLFRHYITLLDCAILDIKLYQFSNREIVASLLYLVLLKQFSGSTYQRIVENKLQ ERDLLDFQHIYTPFIELVFGFQLTQLAKCIKYLTKLLTLDIIVDQHGQFKVNAEREFEEA YENFLSIQTHNPANLQFIRQ >CAK81697 pep:novel supercontig:GCA_000165425.1:CT868418:77702:78350:-1 gene:GSPATT00016510001 transcript:CAK81697 MVLRYHWSLAINKLQKLIIKRCQQPLLNIQEERRKMKKSRSQQPSLLSTNKRFEHLRYHS QQAQNLSESKKEEEISTRMQDKFESAQKDNNINEKILKPQIGASENRQKKQHNFVHRVAQ INQSLMKNFQICLRNKRKLAISVVYVIFQKYSLAQYKVKEQEILIKKIQDSRPCKPIPNV KTFLSLIKKPLVEQSKPIQQQEFEYFYQV >CAK81698 pep:novel supercontig:GCA_000165425.1:CT868418:79438:80881:1 gene:GSPATT00016511001 transcript:CAK81698 MGVCTSKKKVDENIQIVPYKVCITAFIWNKESHNLFDFESNQAAKKEIELDFSGSYESNN QQHFQWLTTKLSHQQIMYKILTIIKQEYVNKQIQLLRVDCEQEYMMLSGMNQKSDQRVWA ILCNRPDFESLNVHLQTIKEWELQKGDMIKLGRMKLQLLEINYVIDALQQQKEQAENDDE LQSKDLELEASQCRICFSKSGSPSNPLFSPCKCIGSMKYVHLNCLQIWIQQSIKIKNQQS SIQYIWKKMECEICKMQLQSTYTYQGQIFCIMQIQKPVVPYMIWKITSDDKSKEGIIQVM ELLDKSEIKIGRVPDCDIKLKDISVSRSHAIIKVIKSEDNRYKLVLQDNNSKFGTLLYAQ SDKLLKYELQSPQKVLYQIGRVLLYVQLKEKGKFYNKQFTCYRHPNQIQVFPKKSINEQA TIVPNLEKDKDNQLSFQTNNELNQDDIVINVKQI >CAK81699 pep:novel supercontig:GCA_000165425.1:CT868418:81280:83151:-1 gene:GSPATT00016512001 transcript:CAK81699 MDNRLERNVNKLIDRYKKTTQQIDQIMQYEPTPQQPATYYTGRSRPSRIKDDDEDSSKER RRQSGSLEQISRLRDTLNAKELQEKEKYERIRILNQELKITLKDYMNINKELENKLQIKE KQIKALESENRLFQDQLNRGDYITKEVKVKVDSQEKYLEELQQQNHVLRQKLQVKKQKLA SLRDQLKQGETVFEQQGQSFGKELERVQQLCEEFSNEIKNQEQQNRGLEVELEQSKNDLA HKMSRLDILNNYDQQQQIEGLNQQLNYLKSQLDQANEKQEVTQVQLAKAEKNQQRLLQNQ EVEIEKRLSQQSNLLEKKKQKIIEQKALIDNLHTRIQDFQRLLENEEKNSIVYQKDLKQI QDINSEMNTLIENLQVKLVSAENKIQDLTQELSDQKSVLQQKKLKAEELELMTRQDFRTI EQFKHQVLSLQEQLRMFQQQCRDLENMLQLRQHENDLTMDEIEIKIDHLVKSLSSSKEEI IQFKTRENEYKQKIKHQDEEIIKLTQKSSKYKQQIEQSQYTVKQIEEKVKIYENERSVKQ KQDFQIKQDQVTTQNKLRVLDDIHSLVKMHKRI >CAK81700 pep:novel supercontig:GCA_000165425.1:CT868418:83161:84229:-1 gene:GSPATT00016513001 transcript:CAK81700 MIKRKFKKQWTLTPEEQVQQQEEKELQKKKIINVEKNEILAIARQVKLNLIGLKISLQQV EQYLLTHENLTQHQLKKNLSNRIFGLQTIEQIEMAAIYLADVDNETETTTSAYVRCIFKT LLENYQILTTQQLNSINQQIMKKKNEISDILIKKYPDTYTNGYINIDIYLEVLQQVEITL SKLEIDHFYALITKQNKQRRILLQQIHSPFDINGNEEEDEDEQPQINDFNNELPKNVRQS QSQIISIHNRQESELVNLNEDEDEDDKKKFSIKRESKNKQKNQEHIKNEHQELPNGGEQL KGEEEQNPFQDVQNDDPDLKMIDSQEIRKQSQPDLQQF >CAK81701 pep:novel supercontig:GCA_000165425.1:CT868418:84265:85123:-1 gene:GSPATT00016514001 transcript:CAK81701 MLSISQTQKSLQVHSQKKLHRQNLYSRNRTLDNVEANKLRAKYIIHDEESLDEEIQRLKQ ENNQLKLVLRQFQSQIQYFKREVQSISKDEGTPTKSNSRLKMGFLEKITRLEDENIKLQQ KLAEQQQYIEQLQNPLNKNNVENLCMSLSEDNMKLAQMIQQQEQSVNQAQSQYNKMKVRY NSILNKYKYLKNLNAQLLLEIAELKKKDTLFLERPQQKDNKKVEEQLQLDFDQALVDLRN ERQKNKYLENMMQKMQAENQEQIDNLEEII >CAK81702 pep:novel supercontig:GCA_000165425.1:CT868418:85146:85781:1 gene:GSPATT00016515001 transcript:CAK81702 MQSLIQVFQQKISLSASFVKQSTPQILFGISLLSCLYLLNKQQKEESQVEPEKTEKKLKK KASSQKNLDENKPQYVDVIQDLKKSQKKLNKKINKQFDDSIDNTEENIPRSPDYSVVSEI DQKYFLELIQNQKKTKRAYQTDCESTPVVSLRGFRRNQQLIGEEEKKGEDRLFYILKQRV IEQEEKENEIDEDDY >CAK81703 pep:novel supercontig:GCA_000165425.1:CT868418:85828:86400:-1 gene:GSPATT00016516001 transcript:CAK81703 MIKQSRMKNKIKFINRLILKTFVKILQKNKKDKVRRKLFEKRLTKLMDEKSYKMRLEQSK QQQYRKETESHYRNEIENRIQNLSVDVRNEKREILYQGFVGRREQLFSVQETLNQEKHQR QESQNKMKAMIQEISNNLNLQLAEEQIQRDETERTMIRLLNETCNRVENSLRR >CAK81704 pep:novel supercontig:GCA_000165425.1:CT868418:86800:87889:1 gene:GSPATT00016517001 transcript:CAK81704 MQVQYVNKKQTPNDYSREMYSHPNSHQTSNKDLLNLTSKQKLNKSCNYNSNLHQRLQSKA GGDIKQKLLDEILRGSHSNISTSYLISTLKEKVSEKINQKVQKYNTCQEASSFTVPEKEL SPKPMFKILENFLFAECQNLKNQVSLNSFQELLDKMEIKEQSLKISIFADLKKLFIILAQ SIRNDVQTYKKEIESLLRQNNSLKSQIQEMNSQLDKFKSVIEDQQKQIKIKSEENFISIM NTLRSHGVDPRKMLKKCQDNVETCKLKQEQSEFIDESQKYSSDDSIPFVDPQTIIKNEKK VIGLALNLNPLKDPKKAPIGYQDEFMANINEFSESWRQQALAEKRF >CAK81705 pep:novel supercontig:GCA_000165425.1:CT868418:88113:89767:1 gene:GSPATT00016518001 transcript:CAK81705 MRPNKPSQDAFDEVQGSYSMDDQFNVQEGYRNSYDEIPANQLSGDEALEKSFMQSTGQSS IWQKKAQKTSIPMTSVIVMKSMVGVGILGIPYVASNFGAILTIIILMIIFCLGILSSSLL LKSKNLSKRSNFSTIGFYIFKHKWIIIVVNVMIILSNLGVCLSELIIFGDTASNLINYFT DRTTDDQPFYLKRPVFLTVISILLLPFLLVKSIEKLRFVSLFAILSISSFSCLVVYNFFR IDQTNSEFSWWIPDNFNIKRALASMPTLILAFNWQFNLFPIYKGMAQPTDQNLVTSTILG FCQGSFLYLIVGLLGYATYGTNIQPNFLLSIDEEDVGATLFVILNLTFVFSTTLTLPVIF FGGRNNFIQMIKQFTENKKVNISAKKKLLDNQQTEQYYKDLLQFKKKSQAIRFYGISIGL FILLAIGAVFIKNLGTVYNLLGSVACNAIQLGLPTLFYVFLVKQVKKMKFRSYSNKLFYV FVCVLLGVSIILTFLCVTCEFIQPEKEVADD >CAK81706 pep:novel supercontig:GCA_000165425.1:CT868418:89834:90953:1 gene:GSPATT00016519001 transcript:CAK81706 MDQNSANRDENSVQAKLRRRRNDSNNRDYSCGCGKSYLSYAALYTHLKYDVNTIERQKHD SKAPDGTQLPNNANQRPGRGRPRRQDDQDRKSAKSDEGQSESGNEPDDILEELLTFLDSL GSFRQTEKLSSDVDIQAFLLSNFPQTVFSNYQEYQGIFDLLKDITDEKIKVQDIDQIANE PFDKDKSLRKTNITKILAYFLIQIGPKLNAEAYREMCIFIICFQKCLNTLGYFALQKYYQ DQKNGDNKQLDIKEEVSQNQEFCDVQNGEHMLLISNEFILTSLPQSYSGLEKVEKSFKIF GSCAEKLKNAVYVTQHFSYWLYSLKFTNSRLDFYTEDD >CAK81707 pep:novel supercontig:GCA_000165425.1:CT868418:91016:91961:1 gene:GSPATT00016520001 transcript:CAK81707 MSELEYVNLEKQSKGNKNRNNNQFNRRGRRGDRNFSNRGSRPQWKQRQIQQRQQQQQGQQ RSNRFRRIPTGQARRQRLNRIQNRPRQQERERGRDRVRERVRDRDGERDRERERERERNR EEQRYTNRDRDREVNSKGPRPNVIVRGLDPHQTETGLREFCSQFGPMEMCKLERDNFGNV RPEGIGLIRFFKQENAELFVSKVDGEVVNVNGDDKSLRRLSAKLVGQNNKNNNERQTSGI LKISRGPIQKSWRR >CAK81708 pep:novel supercontig:GCA_000165425.1:CT868418:92119:93301:-1 gene:GSPATT00016521001 transcript:CAK81708 MITNLIILVYLASWTVFIILIILIGYLLDKSYRLASVSPQPINKTPGETTFGILPITSKI QSQMRSQQLTRFENNELDDFFNNPGDNSPNLNQEDQFYSNGERNITEQNSPIRFTVDTKQ VQSPRINRTPQRSNTLRKTILRKPTIGTFEGIETAQTTNRRSLRTPGKKLSQIQSDRSSV GDFTPRSSNQKYQQNQPRISQFSNFGKAEAIKCDPNYQIPKPQNDFYKCHQFSKIFYSHK EGISRTFMTLTTYFRQLICLDVSGVLIHYLPNLNLWIIIGITSSCCFLFKISDYYAIKGI VRHHQNLKYGLILLWISSIGIIIWLIVFLSFDNLYWFIEYLPSLLLDLIVIDPLKYFMIK YCLQEPKKIREPKSKVDVLKVMQQIR >CAK81709 pep:novel supercontig:GCA_000165425.1:CT868418:93769:94835:-1 gene:GSPATT00016522001 transcript:CAK81709 MQRLRLVLSDYILYREGYHQLVCNGLFEIVPRLTVSQLDDEMNLIGKGSFGMVYLKQLNG YNFAIKKINKNLAYRELKIHKQLKHKYIIQLLQFLEKDDHLYLIQEYAKNGHLTTTTQVN PKQIIIQLCNALQYLHNKGIIHRDIKPTNVLLSDKNNVKLCDFGLATQKDVISNFSGTYE FMAPEILRNYPQSYSVDIWSLGCLLYWLLEKKPILSGIHNLINSIGTEDEMIEQILNFTE PSFTMADPYAKDLIIKMLVPEPDKRITLTEIIQHPYITKESQNNLKNEENSYSYSDETQA SYQQQQIAPKSSHEDKNVFQRIVSLFSCMGRDK >CAK81710 pep:novel supercontig:GCA_000165425.1:CT868418:95028:95541:-1 gene:GSPATT00016523001 transcript:CAK81710 MWEIKIKRENSLPDPVGYKKAFDDCQGQELRDDLEKKAMGIAKGGFGNIFMIMFTLYMTG NMMNIFTIVIIGQFLWQAISTIAKMDQAFSSLENRGISLFLYKLIYLSAGLLQLGVVLYK LYNIGLLPLNSADWIDLVPLHHQEEIVVPYSYN >CAK81711 pep:novel supercontig:GCA_000165425.1:CT868418:95575:96641:-1 gene:GSPATT00016524001 transcript:CAK81711 MFNSYQYDKQLAFTKEIDKIKKEYIKQRENRKELNQRLSKNYKKRIENFLINMLEQPIVC NEYKPPEAYQFRDEDPTKNLGDPQIYVKGFKHEKDRIQEAQEKNNSLDFLPNLKAGKYCF RERDPSKDIKRDVFRYRDKTALARIEQFLKDHTQSQVENMKFDNKKILNIEHFSEGMSSL ERKAYLSRLIAKNLLPSLHNKTHFQAAQTMYNNLPCKYIQKYQVSIMDHARSLPQVHTQE DSKRKPPTSQERQKTISYENQNKQEDNGTQPKQGNETETFNPVETSKQILKKCNIIKERN SKAPIVHQGSGHLISTLDKSISVIYKELYKVEIGQSKLR >CAK81712 pep:novel supercontig:GCA_000165425.1:CT868418:97322:97844:1 gene:GSPATT00016525001 transcript:CAK81712 MKQKNQFQLKNAKTQSIYSSESTIQTPSRQLSPKSPQIQISSALSYSNLPVQSDALYQVK IKELLEENQKLIHKSSMQDLIINRLQQIEELEPTRSSRINTLNNTRNNSKKQINKIQNFV YTSQKESKDDKLLFTFYSPEPNQKTNVCKLPKVFQVIPKKRKYFI >CAK81713 pep:novel supercontig:GCA_000165425.1:CT868418:98458:98613:1 gene:GSPATT00016526001 transcript:CAK81713 MIKKQVRVVIEKLEASQISEFYKDDIQNRELESLQLQILEQHG >CAK81714 pep:novel supercontig:GCA_000165425.1:CT868418:98848:99860:-1 gene:GSPATT00016527001 transcript:CAK81714 MIHLKIQFKTEFGQAVYISGNSKYLGQWNPKQAIRMNWTENDIWEVEIAYHKIEYKYFIS QYESIQTVLWESGPNRVTTKHTIDVWNYRKVCFQCLNPSNCLIYISGSPETLGKFQKRIR MKNKDGISQYTCLLDVADPQIQYQYHFLTKSEFSSPVQKLDLESEQSYYKDALLVYSDNL AKVKQMIFQLNKNICYGYVPQSKEDYRILKNFNLKTIVEFCNTQEKSLLEHQLQRDDCQH MIVNLYHFKQENFTQRLLQLIQIFIQKYQLIYICNNSLSHLRKYLQVYEKLSK >CAK81715 pep:novel supercontig:GCA_000165425.1:CT868418:100452:101651:-1 gene:GSPATT00016528001 transcript:CAK81715 MNLSLIFSVIFVVAANDYELLAQLQNYEFGKTIIATMQITLESEDASVDGVVNQLLAMQK ATQIDLDDNSQAIKQSQESCEQRFNELQTVIENASNKKADNERTLPFKQEELNIIAYQIK DKVDQQKRVQDRRNGAEFSRSVEKKDFSQKQQETIDFISGLKKAKAILSQLKSSFLQVGD VRAKLHKHLESLNKSSPYHGLVKILIAAAGDDNVPKIIQIIDELVESLQHLLSVDRSGDE QKEELYSLQKSRYDSELQTFTTTIASLQAEAEKLKQKLLEFQNDIETKEQFLTVKNQEYK DWRKTCDDDLRANQNLRQIKFNELNIINECIEIFTTRLNPQIKSYIQELEI >CAK81716 pep:novel supercontig:GCA_000165425.1:CT868418:101756:102938:-1 gene:GSPATT00016529001 transcript:CAK81716 MKSLFLIALIAIAFTARVQERSLSKITTDLKKSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELEELEFNFQQRTNQHNSLVIGYEQDIQDAVIDVNNTQDTLDNLLFPRREQ LQVRIEQIQENQEANRKNYDEAVLTREQEHEDFEFQIAELNDATAAVDDALALLSSLTNP SLLQIKRFQNSLKNIEQKIKSRSRMAPMIKALITLASNQNFSDQGIIGQIVGALNEFRNA IVDSINAQTAAEADAQAEHEEYLEQLDAEYAEFQRQINRVNVDLTATNEKIDQLSDFRDQ READRKQYTAELELENNTYAEETDTYTNLKNEFTRELGISEQALSVVESADFSNIQV >CAK81717 pep:novel supercontig:GCA_000165425.1:CT868418:102986:104157:-1 gene:GSPATT00016530001 transcript:CAK81717 MNCSIFSYYVEQTLPNYVQKKLEECFVQLSNNQNIIMCVEMICEQIEFCRKLCNRLQAHK QLLILTKLLEHQIYAIKDAEKNNTYLLSPQDVYVFQIILRMLCIMIQFESKKYHDNFNDD YIEKFSNDLVKGFLILRTNQANQLDLQFLEMFLKLKSRSVLFYRCLIKWCEQFQLRNCQS SLRKQKLQLKKQQSEQIIEKRRQIDTIKQESKGECSNSFFEQNDFRIKDKRLQMIMNVKQ PKQEQQYLSFQDVLKQKENAKFMNTTESTLSRPSLSKRTINGGINGKYKLGSEIKVLRKT TSSSNKKNNKAEMQPFQILQQQYQLEFCREVSRKLLKLEEKKKMNWNSEYLAADTESDSG FN >CAK81718 pep:novel supercontig:GCA_000165425.1:CT868418:104793:106468:-1 gene:GSPATT00016531001 transcript:CAK81718 MLIYLLQKDPEERCRKEHQAIEDQLICLQYFDILHSQTKAKLYKALLRIMAQNAVLTNYE SGSLIWKYNDRQDKIMILYSGSIQQYQEMSDGELEDKRKILMKQYKSDIFIERPGRRVTA AYQQNGLLTFTINDQPRLRRAQVCDLPQKVELKRTNSIRHNRYPQLPPQYLQALNAEMDQ LLKGIKLHNDSYFQYLLNQCVCNARQTYQFKEGELLNNDLQLKKHNTLLLALSDCVIIQM NLSDYQNVENQIKIQRQSKIYRQLEAGFVSEITESENEMHQLVKSLMSKFIKFKYNPNNT IYQKGQQLTFIYVLVLGECQINDDQNALARVNQGCLLGEESFDAHQYQFKCVTTTKCKLY GVKITDINMLCQRYHWFKQQLLNKKQIKSNWLNNRLIDQQKRKRVESNSIKYQFNVQINK IQNFQQQQLKTHRPTQSQNIFDKNQYQEIMSYRGQFRNTSRLRIKTKLPKQPQSQPSFSK DFSNDIDILPMLMNLDKQQSNNLRELLKKGKIRPYQQQIQKSTSAQNFLSVPCKESTQKI LKRIQQYLG >CAK81719 pep:novel supercontig:GCA_000165425.1:CT868418:107766:108697:1 gene:GSPATT00016532001 transcript:CAK81719 MPIVQGKAKLWHPTQEEQDAYDDRMIANIELKSLDFDDENFSPVFNRSKKEFFLSASEKY KKDLSKLARPFQQYTCEEFVNKYIFIKPNHTYWREWTITKWLSGFGLGYLVLRELPLRNF YARVFVMWIFLAKLSDHFTSILPYHGKMVISTARDRFSNKDINQYHNVCSALHFLEMPTF QNRISESLAWRARQPAHLLYNDTNWCLHILKRWHGRPTHIAHWDGTFNQPLERLADPYHK DAHFIHWI >CAK81720 pep:novel supercontig:GCA_000165425.1:CT868418:108700:110780:1 gene:GSPATT00016533001 transcript:CAK81720 MSASVADDISDREMIEFSEDEHLTFFFNIDQVTNPINSKVYGEIPGVIQNYGTFAQSLQV CRQLSNLIIKNSIAEAQERFIVRNIPINAINEIEAETKLLLNAKLNDGGIEVESCDLIED QEPDPIFCDRWRRNRIQMMIEEETHENEQNEEKLTTDPNKNISKSRLYDTKKQTVRLPTT PQPQHQIESKIIQIFQEEYEDEFEDKLRNAKLYEMKKKNEIEQKKKEDKLQSQVILNTLF EIEKKDGGTQKYTYDFDGKILLARAVKMDKLQPTNQKLKVEFKEPSKPDQQQQPTKKGGK RDSTKINKPLCPEQEIPNRVLQERKDATKEVIGDKALRIDKTSLFPYEVFTMNNGVKLYF EHKMKEGVRHQISDSAEHLQYKLSGSNLLSGDDASQFASQIRLTRAEYQLITDAKTLQAT KSQFMPSETINVPEHDQQNTQQSILLKKKLGEIGKSEFPQDNKQVQFNTGSNQLQPLKKE VPSQQTSQTERIQLKNVKMIENLIVTALPDTPKSSKQEAPLPLIPDGVSKQYNSNHTRNP IDVFNQQLLTQKEWGKQMGGKTTYFPPVRQQKRSLETKAKKGSLEQMYKMPRERLVAQTG RTAMNFYKSNQDGKLLYAIQIGQKNITKSLSEGLMQTFYTTHSKFSDKLRQ >CAK81721 pep:novel supercontig:GCA_000165425.1:CT868418:111677:112467:1 gene:GSPATT00016534001 transcript:CAK81721 MNLLQLIEIESKFEHLSIIPIFDEILKYTLSLISGGIQTGILTELYGEAGCGKTHVCMTL MINTIINYKTSRVIYISTAKQLQQDRFNQLLCKISYVIGNQNIAWFTNLFNKCIIQHLNN TKFMDEYIYEQLPTLLEQYQFKLIIIDNITTYLQELQLTLNQMQKTSILKKFSNHFRKLA KKHNIAVVFVNNVVSSTQNNKLYPALGIKWSEMIDERIQVEKKGMIRHFRIDISHRVALD DAAFTIQESGIYPQVD >CAK81722 pep:novel supercontig:GCA_000165425.1:CT868418:112862:113699:-1 gene:GSPATT00016535001 transcript:CAK81722 MSRGSQGHEFYITLFSPEGRLYQVEYAFKAVKTSGLTSIGVKGTDTVCLITEKRVPDKLI DEKSVTNLFNVSEKIGALTTGIPSDARALVTRMRYEAGEFRLKNGYYCPVDVLSKRMADL AQTNTQYYQMRSYGVETILCQYDDELGPLLYKLDPSGHYSGYKATASGVKEQEAINYLEK QIKKKADLNYDETIMLAIQTLQNVISQDFKPTDIEVGIVTKQDKKFHKLTNEQVDHYLNL IANRD >CAK81723 pep:novel supercontig:GCA_000165425.1:CT868418:113699:115294:-1 gene:GSPATT00016536001 transcript:CAK81723 MNKYEVLGVVGEGAYGVVLKCKNKETNEIVAIKKFKETEDNEIVKKSIQREVKVLRLLRH VNIVELKEAFKRKGRIYLVFEYVERNLLEVLEASPSGLEPLYIKKTIFQLLKAIYCCHLH DIVHRDIKPENLLISNANVLKLCDFGFARSLTAQTQDLTDYVATRWYRAPELLLSYSNYD KGVDMWAIGCLLCELTDGNPLFPGENEMDQLYLIQKMLGPLTPSQQETFSKNPRFLGMKF PEISKPETLEQRYLCKLPKRAINFVKGLLKMEPQERLTCRQALKHQYFEDLPEAVEFMKE LNLQNEQEKRQVSAGVNRTAQSPTNQQNVIRTKTSFKVPNYLGQQLNMQSTAYAYNIQQQ DQGQQQQGQQQQSTMKKTQSIDKLIPQYKDTKVGFVSDTNKIKISGSQFSQQQFAIAKQP QSIKIQNLNIIYNSNTFNSSQKKGGAQTKK >CAK81724 pep:novel supercontig:GCA_000165425.1:CT868418:115734:115973:-1 gene:GSPATT00016537001 transcript:CAK81724 MDTKKNGIMKGSKKELAIQRQDKFKSNIIQGSKKHRISFIDEIDQKHSLVQVHEVESWKS YNMESPNQETKQTCGCTII >CAK81725 pep:novel supercontig:GCA_000165425.1:CT868418:116476:117954:-1 gene:GSPATT00016538001 transcript:CAK81725 MSKKGIDWVNTLKKFVDSKQQRTAQEIDDETDQLFLDYIEQARKKEQEEDPSYKKIPKFF QKASVTNENQLSFRVRQEARTRFLNHKTGEILDKEDLEKLWTELKNNISPPDDKKERINY NSFLTIASQLPIKCRHFFSASTFLKFDRDEYGRIDIVAFFHSIVRKVNLFQTRIQISLYD SIGNGYLREKDLENYIFELIPTFPQLEKLDQNFYPFYVITAVRKFFFFLDSKRTGRIYIK DMLTSPILAELYELRQEKLTLEELGQNWFSKQSALKVYERYLKLDNDHNGLLSKQELSKY SWGLTDIFIDRVFEEYQTFEGEMDYKTFLDFVLAMENKKSQQAIQYFWRILNVYHKPAID SFVINMFFRPIIQKLEHKDKFGFNVEDVKDEIFDMAKPAISTAITLTDLQNCGQGDIIIS MLIDAKAFYEYDQRESGQLLEVEEYEEF >CAK81726 pep:novel supercontig:GCA_000165425.1:CT868418:118002:118548:-1 gene:GSPATT00016539001 transcript:CAK81726 MTTINEMKDALKETLESRGVLSQLRARIRAEIFNALNEDPEDKPKLSMENMIINDLIREY MEYNHYSQTTSVFSSEAGMPQEMLDRGFITKKLKIVEDNNSKQTPLLYGLVFGMKKVINN QEVQNEPQTGPKYRNVYPESGQVVESNNEYEFQGGRRNISDQIFK >CAK81727 pep:novel supercontig:GCA_000165425.1:CT868418:118565:120560:1 gene:GSPATT00016540001 transcript:CAK81727 MRKQFSFKPLSTHNDNQSLQTKSDSIGRFRSIIAIRKIQTTCQFLKIKQELALEFPEIPI QSKNQSQKAKIFSTKPQFHTDFPIKEDLSQFSKKIQQTIKTSFKKCRYQNKTNQTILTNE LPTVFYFNITITYEEQETSYQLIRRLGNIQKTEQNNSKQFKAKNLLKIVGVFEKYDTRPI FTFKIRSFYKMYLQNQIEWNYQHFYDHYPKSLFLDSIDKKKNKLISRSIYQFNTKTELFK LQQQFCGILSIETTNNQQTNFMIENDQEEEDQLDTSVKIIQCSRRIKLFKSPLQCIVNRL DIETQNQLLTECEEHSFTFPQQHSQHFNRHLQFKVPDQNCIAFRFYNNYYEILNGIFEDT HEILNLQDNITMLEFEEPQENQKPKMVQSTQHQQIQPKKLNFESIMKKSKHQPSITQEIS PRSDHSQISTMRTPREGQLLPVLIKPQLENNKIKLRTSPPSYDDNISNSNNNQQYSTHHS KTISQTDMVSLNTPRSQRTSLAINLSLRTSMTKNSLKTRTLVVEQFKKSQQKANQLAGLL IQENKYNELVELFNSNPNLRIDERISNGNTYLMLAAQGGNLDICELILSKGASVNLQNLQ GDTALHKAFQYGNFSVADLLVSYGAQVMVNDKGKTPWQM >CAK81728 pep:novel supercontig:GCA_000165425.1:CT868418:120778:123249:1 gene:GSPATT00016541001 transcript:CAK81728 MPQAVKFSSTERVIGQSLLGNGYIENQEEFSKTDAGIHADYSLKDGKNFYHHSTIYNVKE FKELSKLQDYQEPNLAKYTELQTKIMVADFKKKVHAFLQKNNYKTNLIGSKITSSLHELE SFQSTNNEEQLNKSLCSNKYTIRTEYKSMKATEILVISNNKVVSRQIISSELIQQRLLKQ MENFLKDDRFNYDLKMQTMIDQYEDIVNRIRQQAYTIKIPQSYEIQMSDYNEFYYKKEEP KIPSLNDKLEILADQIIQEIQQSRESTPQLQNQINEEQQEDISPYIDEIVKTEPVYTKEE EAANANNEQLGQRKITQRKGKPRKKQQQQQQQQQQQQNETTSDNNNQKSQQSSQSELPDV STSQQFHYQREIREKKNSQQNTQPIQAQEQIIETDPHQQNQLPQNQPADQNSNKKHSTND KPKGKVHSRKVTGDTVSQRQVPLYLEAPKDQILETLSERSQDENQQFEDVQSKKQQSPKK EHQETNQIENQEIDIVQNDFEGINQQIDQSEIKPLIHPISNSKPLRNPEQRDAVDIWDNG IPTVIRPIPRPQPTVQEIQTIEQQQKQNSNTNLQQAEDIDLNQGKASEGSEKQQIINEQS LKSDPSLNTSETKSIKKEDSQTKSLQQQNKGVITKKVQEQKEKNSVKISKQTVEQKDQQV EDNSNIDYQQIINEKMKQIEQQLQEPCLDIIDILLASDLVYNVNIENYKISKSYKKKVNH PKFMFEQKDEEEVVIWRHELQEVIPKLQNLNKQIEVQIDDNDDYIRSNVYTIEEVNDESD N >CAK81729 pep:novel supercontig:GCA_000165425.1:CT868418:123286:123570:-1 gene:GSPATT00016542001 transcript:CAK81729 MQDLLLKDGNNTIKINTNNQIQREEDDQDSDQSKVDINNSQSKETESNNQSELLIKMIKN SLYIYAYFSISIKILNLFKPKYKFIWNMYEHIQK >CAK81730 pep:novel supercontig:GCA_000165425.1:CT868418:124293:124724:-1 gene:GSPATT00016543001 transcript:CAK81730 MTYLPLFFDVIFTFLLVLEFQFFFSEIQLSIILKAPLLLFRDFLNFVIVFFFFMLSQLST HPFLLQVGFQFEHQLLFFHLATILLQAMEFSINSQHIQYQLQQDYLLTVQMLIFSFHLLI LAVNSYLYFLLYFVRSLKYQHAI >CAK81731 pep:novel supercontig:GCA_000165425.1:CT868418:126539:126854:-1 gene:GSPATT00016544001 transcript:CAK81731 MAKSKNATSHHNARKHHRNGIKKLPNQRYRTLKGCNQRFAKNRRFAIKNDPSIKKNKSVE TRLAKRKANKNIH >CAK81732 pep:novel supercontig:GCA_000165425.1:CT868418:126880:128438:-1 gene:GSPATT00016545001 transcript:CAK81732 MQELIERTKLQIALNQIQTTDYQKLVQDTELLHQNIIVTLNSIQNKEIQDFFFILKPTQQ LYNCICGLLCTVAGLDKAISTDYLFLQTREWVPSQSQFCKNYEPICQILTNIQQYTLKKK INVTNIKEAMKYYTQNVKTDDPLTVTEKLEYILKCVIDYYDSFHKILKFNKNHELQKRTP QKNSQDQLKTYMLAKLSSNFNQDESEEILDEHTQIQLEDKQQIQSTTNQTPLKMLNRFKD FNSYSKNISCNPKISISPSSPRYHTLKKYSSLCKSPVQSNQQSFNLTNEGQNFQENGSAK SLQPMNQSNNSKSFQQEKELTNSQSITKQVSSPPPVISPRQLTKKEVHVTSYEAPNLDQI AEKINNDDPDIEQQLKNEIQNVLKQLKKIEGVKKHLLWEDDRQSKQHLLKADSSVSQQQA QQVKLNIDNSQQIPNQKQVQNKKKSEKISTLKTEPNDFKTKRIQSLYKELERTIEQKNQA YKDAQWIEQQVYTKNLGIQKY >CAK81733 pep:novel supercontig:GCA_000165425.1:CT868418:128471:128901:-1 gene:GSPATT00016546001 transcript:CAK81733 MYSPNHDTFNSLMKQLELYRFDEPTLPQWPLSSIKQPILSPYFENALEIQSSNFEFVKKQ NGRVSFKITLPANTSLFFELKHNFQVIIGLGEGKVICKTEHSINEIPLKQPCNIIKPFEL INQGIKECKVGIQVS >CAK81734 pep:novel supercontig:GCA_000165425.1:CT868418:128965:129711:-1 gene:GSPATT00016547001 transcript:CAK81734 MLSLLDQQKFYGSTYWDTYPDDNIHAIILPKEGKSGIYIGNIYAATDTKLHLRYNIKCIL TVQMKCSVKFQGSEQILFHKVIPIDIENCGDLFKAFYDSYMFIENGITYGNVLIHCQDCV TISPVFVIAYMLQKNGFTVERAWEIVKIQNPFAQVRHQFFQQLLIYEQSLNLDTYLGQPP KEGKHLRNVEIKELSRLEKIREREQKQKSFIDSVYNKYHVENNYQIDYEELKKRYPRNQN Y >CAK81735 pep:novel supercontig:GCA_000165425.1:CT868418:129727:130424:1 gene:GSPATT00016548001 transcript:CAK81735 MNNNHYYRPTLTGNKNRRRVQETNFAAILKIHSNKNANLKSSLLFHNPKDNDSQFNLINR SIDVPRFNDRSASKQRVKTEIVKPNIDVQFQNQKIDQTTAYFPKLKERIALSQNKMFYQK AKNDEIEDSPIRDLQKSLDYSSQAQRTRNLTPIQQRTLDVPKYQSRSDHLNQEEIMQFSF GLQYNRQSIEKQKQRFPKDIFLGDVRKVKRIFQQQ >CAK81736 pep:novel supercontig:GCA_000165425.1:CT868418:130449:132093:-1 gene:GSPATT00016549001 transcript:CAK81736 MKSNYHFTLILIQISLFHRRSKEQKDDQKQLLIEQLNLILTPHKCPKIAQVDKDLEQLNA QINNLKIYDSATYQKSIQKIKSIKKVISQVIDKYFINLISQYQVITTDLLQTSLQPLKQL IYSLRNELHNYDENQVQEVLDRQNIINEEFNLLKNYLPKDITLQINNKSLYDIKDSLQKM VLYLFAIKDEFKIIPNYFSGFKQQKKNLVTLKSKANKFKLQEMQNSIHSFRNNILYSSNI DEIDKVKEQKLILSQNQCKLDYVTIINANQYLYFIGQVFQNPENSQKIISDQFNKYDKMK NKLLKLQDLPCKSYGGQAIKYQKTIYYWGGYVQLENQQLGSKKGFMYTLMLCRYSIENDK WEQIESMKYPRIQGQCCLLDHKIYLIGGMMNQYVANGLIEIYDSILMKFVETIELINQLS YVPQLLGYALPISNQEILVIGGQNQFGNECGSQLINIFLKTVQKNSYNNIQKILNVNCTQ PIIFKQQIYLFNSEEKKVEFIQINADTLQVKSIIQIQ >CAK81737 pep:novel supercontig:GCA_000165425.1:CT868418:132171:133592:-1 gene:GSPATT00016550001 transcript:CAK81737 MIHSYKNYRYFNLSQREMINLNEEQKIQQNKLVNIFGQHTKQAFIIFIMNALLRVQENDT FFMSITLYLTIIMFLFNCVAAVFLLCFKREFPKVEYFLIYTMNVFMFITLLVYSLNYFIQ KKEQDHLLQLYIKTYIMILLGKEIEILIIKIFPLELTNRSVNCFTSCIIGMMILSHGDNY ECQNKYSMVLLSLLLTNVLTSIFNLIFTLILFNQNRSQKELRNFADLLLTVLFLVHIVQY VLVFIKTNDIVEEECSCLSFIFQFQKYVAPINFISFLFVIYQEVRYFKEAIKYQELIEKN SHQNNLCNTNELIELDSAGIQNQQALKQGVRHSIKSLSSVVNPMEASQSNSQAPNFGIEK QGQQLEKLGTSQFGASQHNQGMLHSWANVQNPNPFGATVKEQQESIEHQKRGSKSVDESL IRQEESQIPENLSQVNNPMESGSVVTIH >CAK81738 pep:novel supercontig:GCA_000165425.1:CT868418:134938:137440:1 gene:GSPATT00016551001 transcript:CAK81738 MDQNQQYLIEVLARKVTLELLNPLQNRQRCGLCRILNLQQDYTNIDSAISKHTQAISIIN QQQQQRQLQDSMYLKNINELHGTINSLEEKQTLAIQTQNQTFTNLMDRIEHYSQQLNERS EKLKELQQQYQQLKGDQGFVHQTYITNIDNIQKRVNQLIVSSREETDGNRFSVEKMDSRI QDMTEKLNKMIEKAEQYQSTLQQQKELNQQVQEMDEQFELFKQQAMLKEDHEKSVKQQND QLKSELNTIQFKYQQILSQNNRNITQMQQQIDSFQYQREDIQRQFQTCQGLIRDVRVEVE AKNGEVIKSINNLKSQFEQRYEDWSETFHIVQKAVEQFKFDFASMKQNYKKNLMKYDEIF EQYKEKCDNDIMSMLNRNTNIQKQYLDEQIQVMDTHFMEELQSLQNQLVPNIIQNITSLD NEGKQSQQNQKHSKQDVDDAQMKQHKLQVPQNLPHNNPQRKSKVLKDTTETESPLGINIT SVYVSANKSIRSNSRVDGQIEYQSYQKKQSVSKVTDNLELIEVKQQIEKVLESQKTCQIQ YQQLDKDFKQLNDKVKKQHERLEFFFLQTKTQLNKYNSKIDTIQNDTKQVQPDKEFVDLN YIKREIELLQGQITKMKSDIQNDATFAQQQIKNLQKIRDQILPKQLEQQTKMDQLEECQK LMIILLLQNELVQISHEDGLYRVDQNLNNLHFEFQPGLRDENTHITFRQQRISKVDFILN QILPIKKISLSTSRIDHSLNLSQSQTLRKQQTNRNLNKNSKTNNSVIVGKNSKINESTRL TMRNTMTVEMSQKYMNRSQRQKDTFNQARISLSNQRLNYTLNDETI >CAK81739 pep:novel supercontig:GCA_000165425.1:CT868418:137506:138484:1 gene:GSPATT00016552001 transcript:CAK81739 MIIFILFTTILCYRPIPQNQYIILSTSKFWFNYRQAINSLLIYQQLKDWRISDDQISLMI PEDTACNRKNNVPGVACAYDGQREPNIHKNVNWDFKRNDVNIKYWIDVMRNKYNKYTPQS RRLTLSKQQKLLMFMNGHGGDGYTKMQDTTYLLDFEMEKITKEMEFLELYQEAFLISDSC GAITLFETVKAKNMILLGSSSLGEKAYSHGRCPILSIPKTDKFTLTTHYWLKNELDKKPT LTLLDQMKKYDYDYHKANSKLIINIENKESKDIKAADFWKPKQPILNQVILRNDQDILAQ KEFLELMNA >CAK81740 pep:novel supercontig:GCA_000165425.1:CT868418:138528:139081:-1 gene:GSPATT00016553001 transcript:CAK81740 MANWSLKKYLELAKGFQGRARNTSKIMMNRVQKSLQYAYVSRRLRPRILRREWIQAINAG VREHRISYSQFIFGLNHSNIQLDRKILANLAINEPFSFKTVVDEVKIQNSMKEKPYDDVS LDQAYEKGLIANNVTQTWFKRGIKEGHKLFGLKRELTPEELKKIEKGE >CAK81741 pep:novel supercontig:GCA_000165425.1:CT868418:139276:140619:1 gene:GSPATT00016554001 transcript:CAK81741 MDQRQENYKEVLIDWIYNLKYILNAYLNNKNVPPGHPIYILGNRIDIENNDIEDRINKIK QLVQDTIWITYRRNFPPLYQSNYISDTGWGCMLRVGQMAMAQMLKKHLKNHGDKRDEDYD NILLAFADNDSQECKEFIEFQNKKEKQKVHNFICPFSIQKIAYLAKKEFNLDPGEWYKPN YILFLLEELHNTIPIRASENLKLSVFNDSCLFLDQLMNRMFDIKFETDKDLEEQLEKTQL KSKNSLAIFVLTRIGLDEPNQKYLKVLDELMELPYFQGIVGGTPKRAFYILGRINDHYIY LDPHYVQEAENKGQIIENKMFNRTSYSCKYIHLLNQKHVDTSMGLSYYIRNKSELLQFWR DMKKIKQKSDDFFIFLSDTTPEYVDYSNQLEESSNKLNDDDVVFLQ >CAK81742 pep:novel supercontig:GCA_000165425.1:CT868418:141622:143417:1 gene:GSPATT00016555001 transcript:CAK81742 MSNIEQTKQVQNYVLDRTLGKGTFGKVKLGYHTICDEYVAVKILEKRKIETDADFIRVQR EITILRKVEHPNVIKLYEILESDTNLYLVMEYAKGGELFDYIVKKNQQIKKLIRLSELAA TKFFIQLIDAVEYLHSQKIVHRDLKPENLLLDEQRNLKVADFGLSNIYKETDQVKTACGS PCYAAPEMLYGKLYGGQKSDIWSCGIILYAMLCGYLPFEHENTKKLYEMIKYEDYDKPKN ISPAAQDLLKQLLIKDPSLRIGFQEIKHHPFYKKTVIQPQQGLVNKDQLVLKQLQDLGYD VNNVIDQVQKNKHNTYTAAYWLLIKKSSSNQQPIAKQTTLLLQQTQQQIKKNQILQSQLQ QIYQPMEYKQLQGSTGGSNSKEKIQQPRQFRYSVPHNKNRVDYSQFQDVYFGIQNKLNNI QMQCKQNPNTIIDLVRQKTHSVQEKTHCHTPDQVDLVIRQPGKKMQLLLQRRKNPTEQIE KQENTEVGLKEKIKDLTKRSRNKTQPLKKINEKMERYANNYTQQLIKQNSPQDSFVAKFY KPSIRVRHSSNHENTLKHNGLLTHNFV >CAK81743 pep:novel supercontig:GCA_000165425.1:CT868418:143507:143857:1 gene:GSPATT00016556001 transcript:CAK81743 MPPKVTPKSNTMKYRQNNQKLKGQGRNIDYKKFPQHTNKSRLISNGDIRRLARRGGVKRI SSDVYELSKLYMKLYISNILRDSMIYANYSGRATILADDICRAAKRAGQTAIGFTR >CAK81744 pep:novel supercontig:GCA_000165425.1:CT868418:143902:145201:1 gene:GSPATT00016557001 transcript:CAK81744 MNFIKSIFSKPSAPQQKQQPKNPNSDFSILDPQFTQVRLRDAKLLIKDMRRLEQVVNYQP ESINEQLKKCYALHIILSSDQYQAIDKVVMCCEKNNIKPVAHTTQARTAVTTNINQTCQI LSDQQMIATQYQNSMYLNVSDQLQLYEQIHQNESEFMNTYFNYIQRITQNSDIYNSCKFH QYPYQDETFNRKLQFLWLFKIINMLNFKFGFLPYLQFSFNQKTQNSFIIRDIAYLIYKDC LLEYGFLRNEITDMIDSYSQFQVRDSLQFYELVVIMLEIDKKMKIFYEMRQQFALNSSSV RDVKWFVIEKKQLIEIENFVSKAKLLNTAQFKKSLMVPTQKIVMENLQKVMMDPSLMENS FLKEPTTAKNIKRINELLYSPLNSKQIKISNHSRQLSKN >CAK81745 pep:novel supercontig:GCA_000165425.1:CT868418:145399:146595:-1 gene:GSPATT00016558001 transcript:CAK81745 MKATIAILLLVSIASATSTHDQIMALLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKSLNQKLFQQSIENRAQFEQELSDTKNYLAWNEQRQDEIAR KIQVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELLQEKATSVAEK LKAYSNLFNENEVKSFLSLASKQEDGSVSRGATLAEKVLAVLESLEANLQASLEALEVNE INASWELAGWVSLSEAEVANLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDAL DQAQSDLENKRADYAEAKAKRDEENAILEQVIIIFKKQVASWSGR >CAK81746 pep:novel supercontig:GCA_000165425.1:CT868418:146787:148823:-1 gene:GSPATT00016559001 transcript:CAK81746 MFKPYMMNLYYFCGIALFAYLRFGTEGTQIPYDQTGSTNGKYYYIFGTNSNIQVENQYYL NSNYTSTLLHDCDFTLFVLLLLILLCIPKLFYDKWPKLIQELTIYYLEGSIFELAFGSVG QITQTIQNSSKDPLDIIFCIMGGILLLFYLAFTMRPLITIKDESKKDFLNYFTKATFAQQ NKTIYLVHYFICIFNELLMGIIPIAINKFVIVFYLEIGANGVLIVLQFLILFKSKNLKDK LINITNIISYCLLITSYVLVQQENYELGFIVLILLSIYFIINIITTVLQIIVIKSKGLSI VIQKVKQNEQNVNLQTNIELQSVTLQLDLSQQINQTVQQQSKFMLTSQISNINHTKQQMS QFNSHNTSTEFLKDDHLVINNQIVKDLILIKRSQSYEITQFIDSGQIQLNKILLKFKFCV KVFNGGHEVDQKILKRNNIGNKIIGVHTFTLDCLQNCVIEEMQIKTYYSGQLLQRDIKLV NKQMFIGQSLEISHQHQFEQSDSWNEKLAIKLIIDTKVFRFTICNNELKLIQLSTLTQKS IQKLIDNGIQKYVVLFEQRVERFNFEKVVQFCQHYKLYRYEIASAESEYRNQELSSNFGK IQLIIPGTPYIRLILSIEQQINKEYLNNPQFIDSNIDLKRIQYIIVSIYAKRSSHQLGYA HKRVCECFGKELMNYFNQ >CAK81747 pep:novel supercontig:GCA_000165425.1:CT868418:150354:151909:1 gene:GSPATT00016560001 transcript:CAK81747 MIINTIIFIYFVQSLANYYGTAQPDIQQIIKLNFTQKVNSLIIKFYKRENDVLCLVSQND LGLTKLSDLDLGYNPKGPEVYDYQSYKANSEYQLIQIAYVAMIYMKCFQLNQNQQQLISI EIYYSENQFIQGCTNDCDGYNYPEISNSICVSQQCHCLEGTFGQYCQFQSAQISSNILTT FTLDTHKWKYFQYQFSSIDINLFSQNVEEELYYSFVLKQNPQLKIPNLKESQKLISFNNI QQELKNQQTTVYVDVIYIGLYNNQSKSLNIQFKIITNDTEDESTFERNKIVIIVTGCVVG ALLLFAFGLSALKSRQQRQFQQQIQEAIRRNLNQVHNLDQLQAQSPERQLPQINHKGFSL RFIKDHFKGHSYEKIIKAYPGLSQFEECVVCLEQMKKAATKQQKICSVTPCFHIFHCMCL EEWLLRQKNCPFCRTEYNRKKIIKDYPWLEVNTLRVNNTDSTYLSRMKNNQETVNESQIE FVKHQPEEQQQTNQMEVISEQ >CAK81748 pep:novel supercontig:GCA_000165425.1:CT868418:152084:152704:-1 gene:GSPATT00016561001 transcript:CAK81748 MLLRKSINYFSFFTTKIPKTYYEVLDVTPKATTKEIKLQYIKLVKLYHPDNGESGSEEKF KEISKAYQVLKDPIKRQLYDSDALNFEQSGEAHSANDMNPDSYFYSTNKKEYYQNKWYNF RKPSYETLHQEQTYQFVDELTSKAIIGRVLIVLGVYAIWDFYRLYSRRQHKKFLETQKEI LDSSFIQAPLEQYIDTRQTEMQLDLD >CAK81749 pep:novel supercontig:GCA_000165425.1:CT868418:152714:156226:1 gene:GSPATT00016562001 transcript:CAK81749 MSDQEEESPRQDEFGQRIIKLKELTPLEKEYFDKARQRHKDNIYKDQIVQGRKFEGVAFI SKPAEIIFKDFDVGKPHTIIMQLTNVSYTFNSFKILPLPEAVRDFFELIYTPPGRVSAGM SCPITVRFTPQLNDDIDVQLQCQAETGPFQIHILCTSKKAIAKVEQPIIDFGKVILGEDS TLILKIQNLGALDTDVIIRSAKGIDLQTIATESVSQKSNRDRPFDEEGILPYLKQLKFQR QHVLQGYSMLKIPIQYIPAEVGPFSLPLTLYYENFLHSPPCQIEIRGYCTEVPIYVEKQI YNFQICLFNHIYREKIVFFNRSQNAMKIQIQTPKETKDFFEFNPKLGYIQGNSKFEIWVK FNAERQLQTICQRFFKDNVIDVPFKLIGADQKMPVPFNIVAQMTMATLQITPARLDFGKL FDGQGAKRAITFENLSDLPQELAIYPLPKEISITTDLVPLRLLPKQKFTTDIIYRTQKVI GISDRQDEGILKCKIVSGTISTKEIRIPYTCEISKCPLEFSGIKFDIPVQQIDEKYSTTI DIKNISQRDIIIEFFLPFYELCGLRMAPMVQQIQRDQLIQVHLEYDSFFKKLGAYTLQEL KEKYENDPNNNFELRLKIRYEEEERKKREQEELKKEEEMAAKGKAAKKEVKKDQKKPEKL TKAQQQQLEEDERRQAELEKLKQEEERLKREEFEKQFDSAKELRNLGGTLVEFNKPEDLN YSQHYSWLIPCYFKYTDMPDNAKQVIYLQISTVTVQKSLLLSKTIIDFGEVAVGIRQTKE LTVFNQTPFKAELKMEMLPISCGFTILNALRTIEPSNKKSLIVQFQPTEDQPFEETLKLY CNHAAVSAKLKGIGVRPEVKVVPENGLISVGGVVLGEYAERTFKITNVSNFPIKFQLISK ARGVQNSCGTEVFQFIPQEAIVNAQQEITCKVIFKPDRVSDKFYDLISVHVPNQKSEKRV FIWGYCYNRQAYVNIYQPYNLIPQINEINKKIEFPFDQLKLKDEEKVYTYLNNKIMLEFE KLKEEDNSRKIVIGSCKLLDPKMEKPVNYEVILSKDEKYFVCDNQKGAIQPGNEVVITFT FKPPQPDQFIASIEALKKIGQWKETKIELKISGGFLKAGVQDNISYELILKAFINQI >CAK81750 pep:novel supercontig:GCA_000165425.1:CT868418:156247:157870:1 gene:GSPATT00016563001 transcript:CAK81750 MIQVSDVTLQEALAKKEEGNKFFADKKYDEAIKCYSEAIDHNPNESVYYSNRAACYLALK QYKKALDDTEQALKRDSNNVKTLRRKAIALQNLGRLEESVNSLNAALQIAPGDQSLKSEY LTAQQTCQSYLEGLKQIQNEDYQKALYQFQQVIQVCAQSLEIQILFVECLAKCGDNDRAS KWLMQIQSEHGSTPDVYYLKGIIDLYNGNSERAKKILIDGMKVDPDNKKCREALKKARKC EELKEKGNQLLQEVKLNDAIECYTEALSVDPYNRKINSIIYANRGLVKQKLNQHKEAIDD FTKSIELNPQYYKALIRRAESYDKLGQFGDSCHDYQQVIQIEPQLEQEMAQKLREAQKKE KLAKKKDYYKILEVARDATENEIKKSYRRLALLWHPDKLKDKDEETKTLGQQKFRDIAEA YAVLSDKKKKDLYDSGVDPNDQSGGYDGGVDPTQVFQMFFGGGGGFQQFPFGGGGGVRFE FR >CAK81751 pep:novel supercontig:GCA_000165425.1:CT868418:157939:158568:1 gene:GSPATT00016564001 transcript:CAK81751 MLLEQVLISQGQLFDWQNLMNRLNLISLKYPEKLGTLILQDEKEPTSSFLFQLTLLLMWQ QSQFIPFVRRSKYQLCIISFNEGILNYDSIKCIMVCDSTCTWNICNKTIKGLFILLFYIF QLLLQVKNKWACMRNDDSWVNKILGFDNLFDSALTQFITATTEAWLPIFVDKWSFIGTNC ALINNSIRWWAICFQMFFYVNVCSTFLYA >CAK81752 pep:novel supercontig:GCA_000165425.1:CT868418:158709:159261:1 gene:GSPATT00016565001 transcript:CAK81752 MSSIPTLKKLLRFPVKEIIQYNISVIPSALATIFIIALFLFIYVSLGMDLLGYLKPQNYL EGFDLHFQKFTTAIAASSEQWWALSVHTSTRGFVGIYIKVIKIQQHELMDMEQIKLIFLR ALEISLYEVEQGLMGHLFHDVLVSLIKLYVELNYGFSNQIGTLELK >CAK81753 pep:novel supercontig:GCA_000165425.1:CT868418:159401:160400:1 gene:GSPATT00016566001 transcript:CAK81753 MDQQEFNCYSCNKLFKQKRALQEHQLIHSGEKPYKCVTCNQQFRQYSSLQKHDRIHTGEK PYSCQDCDSKFSQISNLKRHQFKHQEQKPFTCDVCQKQFITNQNYQQHKNKHNQQRQSYV CECNRTFLYKCSLKKHQKIHQKLDNTDQFINLSQINQISADLRIFFTASHPQILHSNHID ILFNGRLYNYNESTGRIELHDLIDQQKQNCDPIKDHQHFDSQTQYDLIKCIDCKQEKCCC KQKQFFNNCCLACNGGNCGETPFAISQVIHFHGPNCGHPIVIHDGHIDYLVNERLHFPHD GHCDNHGILNRIRVK >CAK81754 pep:novel supercontig:GCA_000165425.1:CT868418:160430:163169:1 gene:GSPATT00016567001 transcript:CAK81754 MDLNSDEFFFGINKSLYFKTEEQKNEMKKMDPEYITRTGTDFVLLPNSPEFINHNEPFEP MYIPKQTILEESLRREIERICFADTLLNKVVFDCADPSPFNKDTDPNVYGLEVLPFYIPQ TKEDTTLVFESRFESGNLRRAIQICDYEYNLILKPDYYTTMNTQWFYFSLSNTRKDVEYR FNIINMMKPDSLYNSGMKPLMYSEQGAKHKKIGWFRDGHEICYYQNNMKRKNGGYYYTLT FAVKFQYDFDCIYIAHCYPYTYTHLCRYLKQLESDPAKKNRVKRKQLCQTIAGNTCDFLI IGDFNNGKEKKGIVITSRVHPGETMASYVMEYMIDFLTGNTHEARILRENFIFKIVPMLN IDGVVNGNYRCNLAGVDLNRQWIDPNKKQHPTIYHTKQLVKKTKEERDLVLFCDIHGHSR KKNIFMYGCSGKDPNRKELVFPMLNRNNCSVFSFKDCCFLLQKDREGSARIALWREFSII NCYTLEMSFCGADFGKYEYFHFNLDIYKEIAQSFCLSIIDCYEPEQIKVKQVMEEIEQNS LKNQQKDDKNNSGDEGSDYSNDDPKEQAMPQQIQVQTNDQYQKTQKNTTSTSKEEKRTMN MHQNNQLIKQFKFMNYPPWGTVMGQPFGVPAYSNIGFVFDRNKIHEYQCYWPKEETGLAR DVYIGFKYQCVEYARRFLTLYFKAAFQDVPHAHHIWALETIEDCTKEEGTFPFVNFPNGS KEPPKIGDIIIYPYSKEQRFGHVGVIINVNLEEKIVDIAEQNYEEAGWECPEYARRLILK IQDGQYFITNKRIGKPYDNWDAKEEIFGWKRIDFNPKL >CAK81755 pep:novel supercontig:GCA_000165425.1:CT868418:163732:164904:-1 gene:GSPATT00016568001 transcript:CAK81755 MSENKDQQNQPLSLDVPYFLKFCQNSFTNNQTKRVKEYFENQKNNFIHQNQYYNNQPNGL KEDENNTTYQYYLGNHRFMLPLKIFIEKLKKGKIDKIQIKVVQILIWSIFMEKQLIWHDH QLYLNNISADNILIVSKKDLYFVNCFKYIDVRFINFDLSIQFANNSSCAKKQKDNQNLIQ LIQELYQLINSKQVVTQDNLELLIQGINYNDRLKDDQDQSIYNMSWNQEQIKALNELFKD KKYSFQLTHSVLKAILLQHFYLSPEYTYDQQSFDKLTAKFKNLLQKIDSLQNNYKNEISK FQNQLNFYINQNYQLGHDIIVDTEFVNDFDKNVFNKMVDLADFKQNAIYEIEKIIDSEYE KTLSDTKNSIQNHFQSELEIFIYSLYCDLI >CAK81756 pep:novel supercontig:GCA_000165425.1:CT868418:165611:166000:-1 gene:GSPATT00016569001 transcript:CAK81756 MQHKPLDWICIGCKNLNYSFRKYCNRCHTFSRDTPGTKFIPLEQPSIIETLKLEEPDLKG SGHSTADSVESNSQDQTAFHQALFLENLSYTQQETVNKNFDFMKQCRVCATQNYFYQSKC KECGFRISY >CAK81757 pep:novel supercontig:GCA_000165425.1:CT868418:166051:168886:-1 gene:GSPATT00016570001 transcript:CAK81757 MNKSIIPQIFSGSKSNQIQSQDYVTLSKFESNDKETLLKEVKKNQDKNEESEKVQFSVQY SVFENEIEKQSAENLGQSNKLAYPNPIKQIRIPFDKIQFILQPILNEGILQCQIRKIRSS KQNSKYEMSIFEYPMLTAEQIDIKQKKKIIFKSTGINYFAGKIKWDKYGQNFIFLDNKIN PKKCSTISMHRLCIGGANYQKNGLKKPHKIKVYLPEITQSNQMFEYRQQKFQFFEKQRSR ILFRSKDVCFTILQQSFDSVVQEFIIYFFFWGKLKKDYTISISNGRSHLCRPFRQLCHVS YLELLIDLIIDIYIINQYSLICSLILNSQKMLKNLPTKILNLVKDNNRKVDRMSQSFQRK QKKSLNKKQQSIQELQKEIEIQKKYAEIEIKQNDEMVESLVQFNSDPEQEDTIKYTNPLV DTQNKQEEQKPQQYTKPLPTLEFQELSVLQNNKQYKKKLGQNESTYGQDFELEIEKFAII GNNHNQYYEPGQQLSQDDSLPQLSKQNHKIQFVQSENQETRPKENIAQDDEVIIQKQTNQ EEENIIQNNNNYQELQEIIVQDEDENVDDDGLLSQQQKEQEIRKELKQQHDKVQMDLTND TSEIIEQQQSKQEIQQVHQEQPKQTCPYPKPLPSIEFPHGKKHFLLNPAPKGGMIQCTIK RDRSGMSRFYPKYHLHLSNGFLYLMSAKKRACNNTSNYIISMSREDLEKGNNFIGKVRSN FMGTEFVLYDAGLNPDKTKDQSKLRQQLGIVQYESNILGSKGPRKMVVLMPNLDAEDQLY VFKPTNSKDGILKEFQNNNRDHIATYVNRPPQWNSKHKAFVLNFYQRVDKPSVKNFQLIV DNKEDNILLQFGRVGDDLFNLDFQYPITPLQAFQIALTSFDYKIACE >CAK81758 pep:novel supercontig:GCA_000165425.1:CT868418:169322:170180:1 gene:GSPATT00016571001 transcript:CAK81758 MSKINNSYLDFTKQYRNLREKPVLEAYDRIDNPTTKFDNFLKTSTFSKRLEKPSQNQSEL QSKKRGPTKSDYFFIEHNPRFKEDQNCNQKIFGQKQTQFHSDIIENIRNDFKQKNYSFHY QPDKLDYSKDQGLPIQPKERVKELQLVKKEQELHRSLRQDANFLNRKKRILENLHKSETL TQNKHRNISYDKYRNQNESLEFNNSNTIIGDKMNLSFHLSKDWKCKKRSETSRLDTFERI LSLQPTNIKVNIERMNYLKFRENCGRDYKIVNLTKDE >CAK81759 pep:novel supercontig:GCA_000165425.1:CT868418:170207:173631:-1 gene:GSPATT00016572001 transcript:CAK81759 MQKDEPFVTNFYIEQQIKILKPHPIAIKLTADILQNYYQLQAKESIKNLQSQLLTIYQFF QLDQFEGQQEINNKINNLLDEFLKIDGDSIKKISFRRSVPRKSLAKSFKLLSLKLRISFD VISKIWINKQTFPNQLRWACQLKSQLNQDANRVAKNQSKLFICRICEQQVQSIDMNQHCI ECEKNAESKKRYIELNLELANLCDYAYQEKRNVQVKLAIKKMKDKNKQRQYANTQFGFRR IQTLDDQYDEEEETGEYQQEQNYIANIMTQIINFAEKTLNNEVEIEDTKLTLVLLNDLVS STECIENQEALNIINSTHKCLLERLEYHKKQEVYKNTKNQQKQDQSEDKINNIKRAFTKS NSISFRVPKFQNSPSSPSQRISTDPIQEEDDSPTQLQMKSSQKIIPQRKGFRMNSSIFKV SDGSESPKSLKNISIPVNQSKSSGFHFGESNQSINSNNTDNKIDKLLKNTELKNDIDQQQ SPTLKKLSQFKAQVQPLNVEKKQPSLQEISPQIDVLNPSIKEGDNSSNLSSFESGKSNSA SNNDGNLIGSQKAPSKKRAKTKQTFHSQDIEKMQQSRAIQHRKSRFYENQICKSPPIMPD YGFDEIQIDKGYHSDSNLIKTVVPSQDQTSKVGIKDFEFIKPLGKGAYGWVFLVKKKGSG DLYALKIIDCAQRNLEAFLEQLKAERNIFEILNSNFVVKAYFSFVHEQYLCFVQEYMMGG DLASILKTYTALDEFYVRHYMAEIILALDYLRQQNIVHRDLKPENILLDCQGHAKLADFG LSEQGVNSRLKLKDSMNSFNTIEIPTCVEQMIDQEGYQTVYKQLKKVESILVDKLGSKTK KIVGTPDYIAPEIILGTSASNFSCDYWSLGIIMYELLCGIAPFNDDTVDKIFDNILNMRI EWPSIGDGEDCISEQAYDLIIKLLEPDYNNRLGHRSIEEIKNHPFFREISWNTLLSKPGL IVPELNCEQKDTEKMLQFLKKLEKSNKDNENKKLTQQLKAQLQSLERIDLLKQRSIQESE KYLAQVKLEQQKIRKQIDSLTQFYAKIYQTYSKMQ >CAK81760 pep:novel supercontig:GCA_000165425.1:CT868418:173643:174281:-1 gene:GSPATT00016573001 transcript:CAK81760 MFKNNFYSYVGGTRSDIAMSIESYYEVMEVLLDQYLSREMLFNFKNCSQVLNDIVVSAPL AFLPFSLIGTQWLFAGANRKGANKAYGPILASVHMLVLWKAYTSPIPNKLFTKIIADPTV DGQYIRTQLSVMKPGLWQVLSRELYHKGYRYPEMLEFKTATEFPTGFVKPY >CAK81761 pep:novel supercontig:GCA_000165425.1:CT868418:174575:177201:-1 gene:GSPATT00016574001 transcript:CAK81761 MKDDRLTLRQMIKDSNSEIISLVTTYKKKNDLRLLQQELRKLLNKVKGKQQQQTQIQFNT RTSKENQVNISQSKTSRTPSRQTASIQKNRNFVSPPKRSLSRQTKQSIIPKQENSPRFNE DIKQNSPNSPEFEFVSPHKIEQQRQQLEQFEQKLKQYTQGENFQKLFDQQEYTKKRDDIV LASFQNFTKDQTWMFVEPDKDKEKEKEKEKEKEKEKEKEKEKEKEKEEDNIQQQNHHRRQ YSYMQMPKDIGIHQHHNTEIIEIKLSTQSKKEQQLEGSSQEMGTDLQRSQDVQGISIGKY NSDVLNSKQGKQETHNQQIIKQKFEHQNENIYQSQILDKYEEDEYVQFQNTQNERQQTQQ FVATNDEDQYKPVITEDDIMKKYADLFTAPDFLKQCRATCQTQKTIESFADSRSLNFIVP CQSMVCQDVETTKKGKYCLLSQLTAEQIFDEIFPESTLQKISKAFFRFKMEVVFQQLLHD PSQEELDEMFYQVDVQRDGFVDVNEMGQFLDTVCPKQDRQIYEKIDKSNKGYFDEQELCQ QCNKTIARQYFQELDLLNTNKITYWEYYLKRTTIIGQQFRLIKCFIQNYQIFIISLMLTQ KESLGNEQDFNLNKFRDSLYLRMSSPSTLKVSSNRKEDSSVKKSSKSSLYTDIQHRKSAP SFDELLKSMNNGNGFTQIQNGSNQKKGINDSSRKMSGLQNKIGSLGNNEINQLTKLIQPF ISNQPLYQSTKAQPKRTTFSSTITEKPVFNKGSGKTLQDKLSLKELIELRSKVDHSTQSE VHQLSANYVQELVKLSQIITKQVKNVKY >CAK81762 pep:novel supercontig:GCA_000165425.1:CT868418:177937:180358:1 gene:GSPATT00016575001 transcript:CAK81762 MSSDSLIQEALSQFIMLRSPQELLHEFKTQALNLEQIRQLVQVFDPNIPNEDIKYFYLKM ITKFKSPAGITQDIYQQIVDDEEFTLRAGSSNSYESLPLLKNIIRGVREKKVPIQRLYNF YDKNKDKELQDPEFRNLLEFFYVAITDAQFKKLREEFPDHPLSESVIISLFEKWQEIVFE NTQGDPQIILEAPAKTQNFLTIPKPQQTISLELTREEDERFKDFMGVDFKIPGVKELADL QKRCQQTQEVFTDPDFPPNVTSLGPKFSANSWKRLQELFQGEQKVFSIDTQHDKSGAGLN KWISHRDICQGDLGDCYFMSTLSSIACKWPDQIKDLFPIQRANKFGVYGVKMCINGEWKV IPIDDNIPVKNGKIAFTKNADKEIWVSLLEKSWAKVNGSYTNIDAGDPREVIKTITGGPV WLLLTNKPSFKENFITCVKQKCVMVTGTYSKNADYSAIGLEPGHAYSILNVKTVNHPQRG QVHLLKIRNPWARKEWKGDWGDDSDLWTPELREQAGNTGTENDGIFFMSLDDYTKYFFSV FCGYFKTDYIYNSMRFNVRRNKGVYFEFEIKKEGEYFFAIHQESTRQYRSQPELKYEYSY VRLLLAKELQNGYEYREGKFYKDIETHVGRVGENFTPGKYILYIKIKWHVPSWNEHSVVL STYGEQYVQLKEVPRDPNLVSQTMMHQVRSNNKVEEVLPGITMKMDNNGNLGIGYIYYKN ESNQQVNFETNLVNKGGCKLTKPERGYYFKSFIPPQGERLVTFTITPPITELSMPTLSHK LL >CAK81763 pep:novel supercontig:GCA_000165425.1:CT868418:180592:180949:1 gene:GSPATT00016576001 transcript:CAK81763 MDCNQQFFQTRLSFTPSSPLKTRPLPSPSLESLEEFNLNDVQSDSESSRKSSGQKFDKQV LVIEYLIEKLRDFE >CAK81764 pep:novel supercontig:GCA_000165425.1:CT868418:181260:182141:-1 gene:GSPATT00016577001 transcript:CAK81764 MFEENIKIWGPFGELALTWCEAFMDDNDYVVLMIYLQKRKWIMSDSDLQAVIKEYDRMGL ADMRQAAMNLQNFGIVRLDERKQNNFQRDNHFQNMQINNEFVDCLERQCKILEEKCQQEK KEVEKQEKNQTSKYCSICNQQYSLNDIHLFQLKRCKKYGCEQGLLIDSQLDELRKKHVQI LEQILERIKRQLENCKLEPFPTANDIEQSKQMVIEVQSKSFLDPEVEKKWNENKKEQIHS IFKLPICKKINSYVQRKKQFLSNKMNIE >CAK81765 pep:novel supercontig:GCA_000165425.1:CT868418:182653:183310:1 gene:GSPATT00016578001 transcript:CAK81765 MKIIILCLLSFSVLSQLSKQTVTHKIKIGVTIDGKNEGEITLGLFGKVVPKTVENFRALC TGEKNGKGYAGSPFHRIIPNFMIQGGDFTNGNGTGGESIYGNKFNDENFNLKHEIGCISM ANAGPNTNGSQFFITTADTHWLNGKHVVFGRVIENMELVKKIEGQGSQSGQPKSKVVFAT CSAEVVSDEL >CAK81766 pep:novel supercontig:GCA_000165425.1:CT868418:184031:185426:-1 gene:GSPATT00016579001 transcript:CAK81766 MNFQPSSLFNHNVKWELLNEKPSSDFKTLQLYVFSNKKKEFQFKTLIKTGSRILKKNKIK FLDVKNCVLKKIHHYQNGDGIMITSGNFQFEFYGQITEWFDHLKLYCIQVDFLNNYSIGN LIGKGTYGKVYKAIQKQNKSEYAVKTFDKSNLQKQIEIEAILREIDILRIVQHPRVIKLL ETYESETHIFVVYELLKGGELKQLMNEKKLNQDQCVSIIYKIIDALAYIHSKGIIHRDLK PENLIFRYAQNIEDIVIADFGLADFYRKDGKYLFSRCGTAGYLAPELIMNKIYDYKVDVY SVGIVFYMLINGGKSPFEKIDYATQLQQNMLSNIDLKKLIISNECQDLLSKMIDANPQSR ITSQQAKIHLLFHKQHEKIEVLTARLPKSLTISLIDTPKIQAIQSSRQKQQSFVQMSQSA KNSPVDTKKIQGQQNFSNAKQKQQQ >CAK81767 pep:novel supercontig:GCA_000165425.1:CT868418:185467:186940:-1 gene:GSPATT00016580001 transcript:CAK81767 MNIKKQVSSTSFFDISKGSLWQNTTASRNINESIQENFYIYSCKHNSYKVKTLYLQNHFI FKRKKNGQYQIADILNATICEIEQPNYGAGIRITKAGQVIELYGMTIAWLEQLRFYCIQQ DFANKYSIHRLLGKGTFSKVFKIKSRITQRDFAAKVFDKKTIINYQDYLLITKELQIIRS LSHPGITKFFETYESDEQIILIYEMVEQGELYTFIKEKHLSEEESLLIIKQVLQALLYIH SKGILHRDLKPSNLLLRAKNTLSIVIADFGLAEFYRVDGKYIYTRCGTPGYVAPEILQDK IYDYKIDVYSAGVILFMMLSGGKSPFKSTDPEEKLFQNYKSLIDYSLVSNASESAFNLLQ NMLEPDNIRRISVRAALNHQVFRNHRANKCTIIIRKTPKSIDKISTPKLIINTNNNLDKY LQLSPKLQNLSFKPINNMKQEAIRRGFQKMLTFSHRSPQLSPVQSSTKLEQFS >CAK81768 pep:novel supercontig:GCA_000165425.1:CT868418:187195:188631:-1 gene:GSPATT00016581001 transcript:CAK81768 MNQDQKSFFDFTSPFELKRPIEIFSKSLKDQFYVLKNEKFKLKTLVLQRNYLIKFSTNKT TQKYYNITNALLEYVNHPQMGKGISITKNGANFTFYGIADHWFSSMKKWCVQPNFQKEYC MIKLVGKGSFGKVYKIQSLRDKKDYAVKLFDKTTFKTQDRQALLKEIELMRIMNHENVVS ILETYENEQYIFLIQQLFNGGELHQELKKTRTFSEYGAFIVIQQVIEALNYIHSHGIIHR DIKPENIILREQGMIEQVVLADFGLADYFRKDCKYMFTRCGTPGFVAPELLQDKIYDYKV DIYSCGILFYYLLVGKGPFDSNNYDQTVMANFNGWVDLTKFQFTIECLDLLRGMLDPNPI KRYSIDQIKQSSFFRKHITVFQQYGSQSSLGSIPSQGDSRTTSPLQSPKLELKSPQDQKS RFVQSCVSPLQSPSRINAANFSPLQGPFGKPQNLQRIPIQI >CAK81769 pep:novel supercontig:GCA_000165425.1:CT868418:189556:190217:-1 gene:GSPATT00016582001 transcript:CAK81769 MRILVIAVLALSVMAAQKKRKATPNLIHIDNKAYQQSHAQIEYDVDSFTSPRTQQLKTAQ KKQDSSLKKAIQHSQQTGFVQIQQETDQFILMNQDEDLSSELMTEQQVQQALLTAEDFNN VPNIEMQAESNYQAPDVAQDDVNESYYIPVVTEGDGTLEEVQNSDEEPQQNPDIEMPSLD EASSSFLQ >CAK81770 pep:novel supercontig:GCA_000165425.1:CT868418:190248:193765:1 gene:GSPATT00016583001 transcript:CAK81770 MDSHSYNNERTIKKWGLITVRPPAHQMNDRSIVSNVPDMAVPDNMITTSKYSSIITFIPL NLIEQFSKLANVYFLVIAIMQMISAISITNGQPVIMGPLSIVVCISMIKDFVEDYQRRKS DNAENTRKTYLIRTNEVPREAQWSELRIGDLIKVQKDEQIPADILLMQTSDKKGNAFIET KNLDGETNLKCKNIQKNLKQLQEQSEDALLALRMTIKYERPNPYLYQFTGSAEINNQQIP LSEKNFILRGCVLRNVNYIYGVVCYNGHDSKIMLNSIKAQPKRSHLERTMNWFIIVIFLL QMFMCGLGGYLNSSWQQIHNSQLSYLDILITDPEHNFVKNLFIKWGNWILIFTNFVPISL LVSLEMVKYFQGMLITQDQGTYSAEYDIKTAVQSSNLNEELGQVDYIFSDKTGTLTKNQM DFKCLTVNKKSYGKEATLTNEEVSKLAQVSNVDFRDKAFFNDLNQTPGKGPLHEFLLCLS LCHTVVTENKNSQLLYQASSPDELALVNFARYCGYTFEGLDASNSMVVNIKGDIKNYQLL HVLEFNSTRKRVSVIVQDQANQIILYTKGADSVIEPLMKPVVPQLKEKTWNDLQEFASIG LRTLLLTRRILPLSTYKEWEKSYLQACSAIQNRENLMMESQAKIEQELELIGGTAIEDKL QEDVGPTIQYLKDAGIKVWVLTGDKIETAINIGYSCQLLNDSLQQIIVDGNDEQVIRNEL EKAIQKSQNNNKNALVISGNALIIAMKPELSLKVMQIAERCEAVVACRVSPKQKQEIVSL VRQNKPNVTTLAIGDGANDVNMITAAHIGVGIKGVEGQQAARASDYAVGEFRILKRLTLY HGRESYRKNSTLVNYNFYKNMLLVLPQYWWAVNNGFSAVMFYDQLLYQSYNLFFTSLPIV LYAIFDEEFSGDVLTSNPSLYDIGIKHKLFNVKIFLYWVINGTIQAGILSYLTFRSYEAS SIYNGMTAGLWTTGAIVLGYSVLNSNIKIILFSNTYSIGVIVGLFGSVFIYLLLFILVSE KMPKSDMSNSQSASFCHMKFYLTSLLAIGATSIFDLALTKLAQWSQYAQAVIQHDKHIEL QDEQQLHQPSPNTQFQLNNSSVPKSPIKRGYTGFAFSQEEH >CAK81771 pep:novel supercontig:GCA_000165425.1:CT868418:194233:196093:1 gene:GSPATT00016584001 transcript:CAK81771 MENQINSENAKLIALQLGLLSAELQDRNMLWFLNSITQLYLNENQLYVSLIEYDFGQSKE GQSQLQILQRFFEKYFCDKIPGLSDVIHQSQTFDTIIDFLLKINGNFDRTDKLNIPYQNL LGFLFKVNAFSTIYDQNKSVVKSFAKPKSFLQANETSDIELVYKTQRLDSSDDQTVRREI EISQEIQNSSFLIKIFAYLKIKKQTFIFMRKYQGTLAKRLETLNNLTLQERKKTVIKLSF RFIKAIKAMQDLKIIHRDLKPENLFLDNLDLQLSNIIIGDFDCSKKLQDRDCDRDMSINH QSNTYKYDAPEIKYSFEYDIFQYALIVLTIANNNKYIGKEIVGCRNLNEQDHEEYYSKES LLKNSNLSQYPSDFIDMISKCLKRDPQQRPTNKDIYEYTSSLYYLGTIKVENTVQQISEV QTRQNQNYQPLLSENLTQQQQQQQQQQQQSQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQIIIINNNNNNNNEQGSQQQQQQQQQYQQQQQQQQQQQQQQ QQQQQEQQQQQQSQQQQQQQQQLQQQQPQQQQQQQQQQQQHKRKQEKQNKNI >CAK81772 pep:novel supercontig:GCA_000165425.1:CT868418:196285:211968:-1 gene:GSPATT00016585001 transcript:CAK81772 MRVSFIFYACLLILGCRLVEGDQRILAESSQSSTRWQFDQFSTQVEQNMQTIVLPNKQIL FLQSLVDENEYKSFILQKYTRDEKKVGKELKFGDSNSECIRPKVALIGNIGFALGWIQKQ SGITRLYIQIINFDLDPITQKQEINDIHLEVHWDFVSEFHYLQYIKETKLLVLYKGPNQS KIWNGLIFDYQDNSRTTDISLIFSKEISIAINQLDVIVLIQKIDGTPNNQATILNYTGQI QRTFKLFHYNYNSELSFQPKITALSNNNFIVVQPSYNWITVYVYDQRFSDILNNNQDSYS YSYTTIPGQPSWENQFNFQNELIELQALEDSFVIVGINRYNYQFNLVHLKNINLELIQQR IINYEDLNIYRYSIQRTGRYSIFVQWIDGQPETLIPQYKQNLYLSELNLQLNIEDCGINC NTCQNDVCTQCNLNYSLVNNDCQPQCIQDCQKCSNSISCEICQDGYILTNKFLCVQNNNN QQNGEFRVSLSETTFKKNVKFTMLPDRKVVIIYQEDNQSQQFLQLKVLNTIGETILQQLL DSVDVIDFDLFYQENVLHLFLLKYVSGKIGITYDQLQISDLQQIKSTQLFFYENESIYLI TQEQSFIKVLGTNLGFTVFIQGGFNNNYYQRILSYTYDYNGQLINQNFYGETNPGNINYF KRKKIFDAVVNDFGLIYVLLSRECDSVLFILDQFGKIISQNYQNFQTYWNCRYLQNPSIS FVPSTSQILIALQDLNNKIYVFRWNEEVLYYSQINQNQGNPQLKALDSNSFVLLYVEYHL DGNFKTLNYLHQENGLIKTQKILNQNSIQPGFYEIESFNLNYLFISMIAFKNTANIATNQ VYIQQISIKDDQLIGLVKICDETCSSCDDDLICVQCKDNYQLDSQNKCQLICQDNCSYCE VENYCEICQEGYQLNNNRQCIQAQQNSYFQILNNLNKSESQPQIAVGKNGNIIITWMEYD PARNRQISFYKVFDSHGVQIKNDIFSSDQIDLAKVKTFGEYFILSARQNTSIILAILDQN GNFVMGWRTVVWNSLFYDSFRNQLEYDIEINSNYNIFIVYETTDSVNVFKIYLKRLIFIQ QSNDIKEEIFNEHHVYDQRVRNLKIQVDVNYIYLQYQRFQINQYGFNSAISMFAQIKYNL YVDGINEVAQDSQYIIVSSQTKMQIIKYENSFELAFFQYNSLIYSTKRSQIISFDQIDVA SVQDDIFVIWVGYDQDKKQSNLFLEQFSKQGLQIQTVQIPTFSNLPSNPKIGLSENGNII ITWQDKATQDTIYAVQYNKHLKPIPLNSIKCMANCDTCMTTTQCSRCSENYYLNGNKCQV ICQQGCFQCRSKDACDICNSGYYLNGQNCQQDQTLVDEHDIASIEDYKLTKVAISAFSDT SFVVVWNNKVNLVQTLHFQFYDSNKQKQGDVKQIFSQNDRQYAQTVTLTNDSFAVVWFEG DCNIQCRLALQIYNKDLQEQITEITIDTVKLPSLQKQKPVVLRRQDNRLIIAYVVYKDSQ TKGYYTIYEIDTLVIKNPQIIEDNTIVNNLDIIVFNPQIFIILYVQEETKLKALRVEKDV GEQKSQLYYSGNSIYTPTHVINTNGNIVLAWSESILENNEYVKQIMLGFIDSNLNKIADN FKIQHYIMEQLENPILIGNTESTAFTVFSKSSQYFNQTQKKIMVDKFDDYHHWSLSFYSQ MKSYAYEHYQFQIEQLQHQDYILVDVGLNSWGHSTIFMMRTDNLGNQMKLQQVVCPQYCQ DCNNNHSCLKCQDGYQVYNQQQCIKICPVNSDYCDTGDKIICSQGYQLNKYHECIPLDPE LTQQLITTNQFGYQKLQNIATLSNKNSIVVWTSRDQNQNFNGVSIYMQLFDTSFSKVGAE TLITKDAIGAQENPNVAALQNNEFIVIWKDSNPSNVLRVLMQKFSINFERIGNEIVLYDS VTNDLLFNNNIIYQQVEYRILQLSNKNLAVLLGFGSFKDYYYDLRLKLFDENLMEFKSIL IGQTYNYNFQVGMTQIDSILYVLWKNQNRQMELRTFSVEGDIINGRVFDTQYDYYSITKN ELNQLVIAWSNYDNVAIQRFDQNLQEVGDQVIITPDSYVNQVSLCQVDGGIVLGFQYTKR NIRFETSSIQIQYFDNYLVSSTSQIKQLNDYNGQIENIKISYIGNYQYVVTWSQNLFEKG DYYIKSDRDASDFGLYAKRLDTSGNILKISNVICQTNCNKCSKQNLCESCNEGFYFDSTK SICQIKCPSNCIECPFEWMTAIGVCRQCQNGYTLNQKGECDKIIISENQVLYKIGQEDKN IQIQDFITLQNGNIVIIYYQDGKQMYFKIIDNQGEVIIEEQYIPGGDGDQSIMRITSLSN GNFAIVNRSFNMNSNNNIWLHIYDQNGREIQSQHIVTIALWNYYYWWNLPSYINNILSIK SLSGGGFAVTYMNDNNGQQFSSPKILFRVYNNKGQQISNDIPLNINKVYNDYYWDLSLNN NQVSVLETETHLVVTSNYQQYYWWDSQQSIIQVILVDKYDYSTSTKFIDMNLAYQQVSDI VYYWWSQQRSQIYQTNNDEYIIFSYFPTGIIKFDFDKEFQLIKHQVISQNQLYSQWSSEC AGCCFSCWNSNLIQILQLDRSFVLQYYADISRYYYNYKTLKLFPFNFNMEKLSAVKEVKF LSNNRWWYQDAMQQGIIKKYLNNKILLMSIIRLDIQGMQYNNLFVLLERLDYKCNQIKTW EEICGQNCLRCISSKTSIQQCLLCDSNYYIDDQYQCQLICEFDHCQSCDKSGTKQQFCNQ CESGFRIKDNQECVQSDPNIIVEKQLGSSLEREYILPRVKALSDGTILVVTLQILKNQMV LHGQLHTSGGENNSDLIVLAQSVNIGYFDYSTSSLDEILIVWVDFVSGSTQVKAQKYDKT LQKVGTEFIVYEEMKEWKNLKFATVEYLNNDEVAILLFGNNDIYIKKFDQNMNELQFSYV GFFQQDYWWWLSYWEQTPQFFEYLLKKEPSIVSTTDFIFIAFQQFGRIVLQVYDSSLSYQ STYELESYLGQQPSITKIENGNVVLVWVQKLEPNSKLSNFKEIPSYQRHSIVYTIIDPYL KSIKYNYFGLDYVKEFKPDVAAIPNGFAISFGGLNEINSRYIDVRVVYFDLQGNQQSGFI PISFQTKYPQNSNITPLQDGSIIVTWNEQNSIYAQKLNRNGVIQPFNSILCPQSCKRCTI QQSCDACFDGFMLNSSNQCQPICLIPNCSSCSYWSDRCNICNDRYYLDEMGLGCKEIDNT QNYEFVLQENIQSTTLIIENVYGEIIHLWLNYNSSQLFVQTFTSDGSIIIDSKSIMNLQF PTYDAFQAAYHKETNQLVVLLANPDQKIILQFNDKFEQNTVIIKLDEFLKSEYLYLQLSK MKLYTYYGGIILLLAGDRKSIIDQFAQNPRLVVCNTQELILLDLQGNQQVPSIIFKNKDK KVQNLFLDESNSCLKFRISDIIIDDYIYVLLFDDNSIETWIQTYNFQGQIQNERNLKDFI PSYLSYGSMNKFQKEIFIMLEFNHLIRLSTQLDLLQFGDILMIDKFQSNFKIIRIDYSQI CYIYSQQFDYSKRYKLVVYDYQMKVDLFQGLIAPTSLIQGSFSYIIQQNNNLLFSWLEQG ENNANKGKVMISRFGQNSERLKFQEFQCLPICTSCTAISKCQGCIDNYQLAQQQCVPICS SNCKSCTQPLSCDICLPDYYIDDSFNCVSLAGQPIETQLDSTFDYAEDLPRVASFLNGGC VIVWQSKLQDGSGFGVYAQLISPEGVPVDQIIRVNVNTKNSQFLPDVTILPNNNVIIVWA DGDISLESELYFQIFDQELNRIGTPTFIDYLALDYYQYYDRPLVVQGLSNNEFVIAWVNN KDYKDFIFVSLFNNDGVLRRQNQITDIQFNNEIVINSNGLNFVVVYRRSNQLAATVYDFE GLELASVTHPIFWIASPSITSNARQEYVLCWRESEKIKYSLFDTNLNLLGSILEVEYLNT DAINPDIAATSNGFVIVWQNYYRGTSRQRKLRAQIFDINGVPSGPSFEVNVITIQPEYPQ ISVLNNDKFLIIWDGLKPIGDENKGIFMMKFGSNGLKELIDSPVCSNKCRSCSSSTSCIK CSDQYQLIEGFCVPQCPSNCSKCSKPNFCDLCKDGFKLDPSGLCSTITSNLLPEDPILST PFDELPSFSSSITIGDSDVLIYSGTVTFQGDSYFLNINLQLIANTNQHDFSHSIKLISLK SQVLQSNVLVREDNSIQVYWVEMIEKEYLMIKLITISYDLVVVDTEIQIDTIPYDSVYQN AYNDFVLYLYYQNTQYQVVYSRISDFMKYEVFEYKITKNYLRITSPNRLLEMKRQLRYGQ ICFNLDSIVIIEINQNYLMRLYNYNLDFKDVKITENQFTLQYTIFIESFTIRKLKNTNFV LTWKTGSTQSLTSKSQIYYTLLNSDLQRITDYQVVADSNGKLENPQIIILQGRFAIIWNN FISITYSQLSISFYEESGIFISQTKQLNTISNNIKLYYAKVINTNQIAIQWLSSYTTVSL QIKYQYYYLRITDQNQLLPSPTLICLAECATCSNEYTCQTCITNYALNPNTYKCEPQCPD TCLVCSSPTICSSCKEGYSIRSNNQCEKNICKESCSLCNTFQHCLQCPKNFYQSQQDMTE CIGTCGPNCLVCTAPPVCKQCDDSYYWSKTKTECVVLEDVIGEISISEPLIATFPNGDYI ALWSEEGPNAGVYFQLYKKSGQKIGTKTQVNMDELGNRRLLQSASTGKAAKTLFSDIATF DKDFVILWVDQIVGDMKVNLKKFDVAGQALTKSIQVTEIPKQNLDGIAAPCIIKKTANNN FVIGFFNQAEQSLNTATMFLQSFSNSLAPIGQMQILDNADISKVPMISSDEDGLISITFS SEGATFLAQITTQGDIVTEPKEVGEAKAFKSTNLKNKYIVFVFEAVSTNISPPQYILSYQ IMNLDKILSEAKLFASPSYGEEHPYVTSFGYGFIIVWRTVDKSLKSKDIVFQIFDSDGLQ ISNQTQVTRKGLYPKNPGVQVLNDDEFYITWTAAGNNTLDNFYLQLFNISSLIIQNPTVE NNQTSIICPLNCLSCLSNSVCQNCQAGYYVENNFCQIDCGLNCVSCTIPNQCTECTLGYE VSLNQTCSEIECEIGYTLSDNMDCVLICPEYCSECQSNDICLICESNYALLQGQCIETKQ VELSNEVEVQTGLPFYYIIIICLGALFIMGIVAYGVWKYKKRKPIQNNQQRQVIDERDHT ALDSRIN >CAK81773 pep:novel supercontig:GCA_000165425.1:CT868418:212537:221091:-1 gene:GSPATT00016586001 transcript:CAK81773 MNPEPITYSSLIVLNMSAFPNLSLYSNGFSTQNFNLRSFHQLRGDLDQDYQNCVFRILPV LNYKAQDDLLCQFKDSSFNELEKFDQSQRKHKIQTILHNLENELTSNISLTKKLAGTPLV FSSSIFHLVHVSSLKFLALDDQNLEALQFKLIDFPNNNTLLKFNPCLNFQKLRTNQVYSG DVVCISANKQVCNRTANLFTDYWGLNYYELQDEPQKYDDEVGRAKVIASVEDQTQWRIKI FQTQQDNDEALFVGDVAIFHLPELNLYLTAKKTQDIQEKVKEILDRNSVDDISNIIQDKL TYTKQQTLFPGQQAVISRQVSEEVGRNESRNYKSDSQNSHIYEKQRSMQSRSSQVIQASK NIREIDKALYSELKLFFSNFVGNKQDKITIPFSAYWRIESDNFTGGEVKWDKCYRIRHFQ TGQYLDVNIMRQVKLTEQVTKNTLFQFVPVKKNSKFVDKESYFLIKHFLTGSYLNLRNEP DIPYGSVCITQDIDLINCIRFRKTDYEDIWEKRFLVFLVPILKEAISYFEIVQPLTSIAC MKKSEVQERIEFYYLFEKLNSLLEILNQFMYNKLVSNIQTTQDFSFVSQNRQDILREENI LPLLIWLICTTFPNPDEKVEGTEQNLISDLYGEHSIILRVQNMIQQQLDKTNDAAYEKKV QKLKEQLEENHRKRKRLLQLKLFQTIKIACHNNQQNQEILMKYFKHFEKQITHDYICTTI CQCITNNYQILSQLNKQEIAYDMKFTTNANTTDQKNQKSQTTILSRLLDVLSKNDQLLPD LMHFLSETCEANGEPVFNNQEYLLNSIQLLNQKVKNDQGEEKIYMHLEPIQESEGNYKEF YITYRTSNKTYVTRMLNDFLNDYQQFKDMDHFYEVQYNFLIEQLAFFSNICFHRNTAARE LISKTFTYSILLSYAEQKNDQEDSFNGEYHFVNKQVRAYFFRMIRTLYIDREPYTTTPKP ELVRILEEKTTQKTLFLFDEVSSQKHEKGGFDIQKLKLKMLIYLEVQSEKLDLNLGEETV YNLETLEIIKILELMLKFELFWKRDVEGPIQKKNSHKRGASLFNKISENGMGLKEIDRLI TVLAKILEYDHQYFKCLANAKIKRNWQAENEKDKGFMKFNISGISQVFEKETKEKDEQLQ TNQNFRQVKQQDTEVVNDSLFKKMKNLKKVLQRYNNKTFTLRENKEEDYQVLIKIQICQI FSYLMDVSQDYWIDNAIEFYKSLQQNKQLNDEVKEEELIKLFPEEILMSGEQWIDKPENE RRLNRTSAPVLKTFDEVLRRPFIEVLLISLYFSRNPQLENQIVELIQRFARQKKEFLQHF ENIQILDTNESQQLFDVWVQMTQILKNNVQRSQTWITENNRIMHQTLQCLWKIDSIFQQT ESVSLKLKQQIFEHIGGYEVLIELINKALTVIDQKSFPPDLIILLKHTMNIMAFFAKDNE RNALTVYRKVVKKIIQNSNQNIGQISLICSLFEKKPTLYGQLGQQEYDYFIALIKQHGRY PEFLQFYLEIIKVTEIYSKKSVDMFEIIIEKLTEPMSLSPDSEYENPLYPFQESRKMTDF FDYTPNKAKYQLYFINIVSKCLSKKIRASLISQGIEFLKLQDLLEQTLIVTQRILGCIKQ KEKPHPDDIQLQSDYWNIIKTMVFHKPESLDELMFPYNSETLNKILTDEHKVIKQKDQFI PQNLNYLFDSLLPVVNRYNELLQNALLSSEKDQHQISEFVSFFLENLDKMFFLAPAELTN NKQRLKTISDLGQSFNIQIPIKFFQADPNISQDEKTADMLTNYQITQENSAISQFRKKYF GQEFVKDLVKKESLKLSNSIWTIQNMFKDDRKQEVKDLLLTNSDIIVKILTYLEFWKTNG ASRENIIFILKVLSAILKDTENELYERQVLFNRMNVTQILIVLLCESELEPVYMGTIMSF MILLLKNGNKNVQKTAYEYFLSNTQCEKFFKQLKNVFDIQILSMSRAHKLNYQENKLVCK ALKLIQLFCEGHNQDLQNYMRSQSNQKNSFDLVSQIVLLLSTFLISKGNYESVLQCFETL TELIQGPCKQNQQALLKSNLLEYVVLILSEDEKIFEQSNEYFKYDGEKQYRLAIHPGQLA RLKFKCLNCLVSLLECCDPQNSDIARLVRVIPLNVLTNNLTRVYKIFKNSFGAVYKDEMF KRAEKDITNDEPAVNQEFIIENGFYIFLLMQQFLGNQKAKQMLYEDNEMNDVLNEFSENN NKKEENAIANIFSGLGNIAKMGGNLIGQLGLAQKSEEELRQEKLLQEKLEQKELTKNAIK FFRQNTCSIDMIRDQKLYTIYFPKLPICNLPKSARLEFHDQVDRTSSKTKLTYLMERANF LIKVMEYEEKLNQVFAKNPIFAFFATSGKLWENCAFVTTLVINLIVLLSYSQSFYNESQS GQTGDLIYERLQDPRLLEYSDFIWTPHLIQGLGITMLVFSSLIVFFFLVKRAPLKVDHIW EDYQKPKTVMKMIWEVSVRGIKSLFILLQDPDILYYFIYIIAGIVGLTVHPFFFAFHLMD FLKLEQLKTVLDAIWGPRQEIGLALLLLAVVEYYVGILGFVIFFNDYRIVDGTSCRILPD NDHIICERGCSTMWQCIFISFDLTFKFTGALGSGIMDYDTITQITQNYDMVVNGWDRVKY SQNEYDGVDHQFTSNYFSRFVFDNAVNIVLVMIMLNMIQGIIVDTFGSLREKLQERIKDQ TMKCFICGITREKFEKNDEGGGMGFQEHIELEHYMWNYIYYYAYLKHKDENDYNGNESYI KSKIDIKDISWMPIKRARFAEEDMDDQQKGNEIQEAIEMKMKVMNDSLEKIQDRMKHIID IINNQPVSMTETFNG >CAK81774 pep:novel supercontig:GCA_000165425.1:CT868418:221290:222870:1 gene:GSPATT00016587001 transcript:CAK81774 MIIFRASFAFARKVKQLRTSLINNSKKTDEENISSESIESKEKVEKVNVPENQENLEQKE KKVFEKKFIKSPEYLMACNHQPALSLPEEILKKARKVFSKYPPDELRDASYKYMRMYQLL HALEKPVSVTIKRNNLFENPENLQKLTEKKVIHLYKKGTSEQQILDKIFERRQKKDVNVD RVNSAEEKLEEFHNFASAIDYDQILTVSYFLRKVPGSFAVGQKIMTEIQKRNPNFNPKSM LDYGSGIGTWAWSFKKLYPDVKNVVCVEPNVYMRKLGKFVTQDYIKDVKFYESLSHTLEL SYDYFDFISIAYVLEEINHPEARLLALQSLWAKLSDDGVMVLVCPGSPTGFRFVNDFREW ILKQKGVIVAPCQHQQTCPMAKEGFQWCHFKQMFTAWPKDVFPKYIYENTAVTEKFSYLA VSKKALVQEGQDWPRITFDPLKRGKHLILDMCTDQGTLERRIVAKSHGKEGGYYEAKHLN GGDLWRFPKLPPSKVGKKRRKQPVKIL >CAK81775 pep:novel supercontig:GCA_000165425.1:CT868418:222938:224218:-1 gene:GSPATT00016588001 transcript:CAK81775 MQELLYYLLQLILWDGFGQYFYFCQLPLVVFSEIYLNNFRSSILLIGVADHLAVDKILYA DVIRITLGLKWAFYLDIAIVLQLVGICIAYLIFLAESVTQSLLSIGIEFEKWQSLCMTLL IIFPLSYIKNIHFFHLTSKYGFYAAIMGFIVVFYDCSIRFSKGLYSFENAINIRSSFHYV GVAILCCEGILTILPIRDSMVNKLDFKMVAINSMITAFGISIFIALIAVPTYQSDIKPIL IFNLENPYLQFISVVFYSISLLLTYPLQLFPAVQIIERMILEKQSYQEVPLQPQINEFEN NQIQNNTEDSMFADTKIQIVIRTLAMMCIYLIAYYVPHLSHFLNFMGSIFGSLLQFCFPV LAHMIHFKKTSEPQPKATYTFVLIVAILAMVLGSIESLRAMM >CAK81776 pep:novel supercontig:GCA_000165425.1:CT868418:224985:227718:-1 gene:GSPATT00016589001 transcript:CAK81776 MDRRQCEQKPSVLSYSSFDSYVIQDEHDGNLSIHQLKEKPFFSFQEAKDQNGETNLNVNG KNNQHKQKQPYETDSLYTEEKLELPCQQLRQIPKLQQNLVNIKLLNLSQNQLTTVPPQIM RMTNLQKLILSSNQINVLPIFLQTLKFLEYLDMQDNLIKVFNVNPPELKYLNLSNNYIEQ LYFPNLEHLCIQMNCFTLIPKGFHKVLYGMQYFEFDWFKYCKPALPMKINFEKYQHIKDK LISILQTTSLNFESFVKLLSLSEPNFSQTDYKYRNLFFQAGSSNEIGILHSLTQIIPEHI NSLDFDQNTPLSACYIEGKTRSVKVLRSLGGKFAPQTIHAICQRVDLAFFKMILNLNEED NSITQHTDLNLINFRNIDGNTPLHQLFMNYSKSPDAKEMANILLILDADPNSENNEGWTP LDLAVRKGQISAIQYAIEYNKRLYHLRSHQQLFDFEKKSGISEWSLGHVAASVGNIDILE LLSQINIDLFQVSRCNRLPRHLAIQSLTMLKNMRKLEKRQIIRNVLHETVSVSQTEKNVY QMKNQIEKNMNKKHLNIAQKLIENDDDNFDLDDNSLRIASESSIKDIASESAPIFQRLAN KEITRMQIEKQNMSTLDTTDFADHMPEIQKLLRNHNYEIALQKLKYALLSDMLPLSERLK YKDYLQVIQFKMKYSKVEMQQYLRNSLNLNLSEFQFKGIPSDQKSKIIKELINLIKDQSK NEASKISFHIEKMQLVCQNPLLHQELLAKDLTTINELRQRLSNNLIYDIANYAESIFQLN DQIYYWINTSSGRYEKILIKSNIPNIINYECLQKMKFIKKIKPREKDYDNIEQDMIEPES SISSYQQFTYIITPFQNSKRF >CAK81777 pep:novel supercontig:GCA_000165425.1:CT868418:227758:228516:-1 gene:GSPATT00016590001 transcript:CAK81777 MFNFNIELDEQNIEQNKDGLDYEESCEYIKEEYSQQNHFRTQNPKLNTQVQVDRYQTLMN QEFNTKNIPKTFGNNFRKFMEDQKKENKEYFLMNPMQKELINFINKKQTSKSAQYTIQDF RDIFHCHQTNQWFQFYVENVLLLDLINSNRVEDPEQYVKFIEQYLAGARDPLNFISNRPI QDKKQNLKIKKDKEKQKEIQQIQVQNFQYVEQNVEVQNQQSDQENNQENFNEFQIMNNQA YVSDKEFNDNSD >CAK81778 pep:novel supercontig:GCA_000165425.1:CT868418:229033:230117:-1 gene:GSPATT00016591001 transcript:CAK81778 MLTELIIEICECERRTETVRKLLSQLSQFEPYAGKLSYQVRAFKRMDKSRCGEISYQDII DFMLDNNCSLTSLEASYLLQYLDFNRDGRVTYTDFAKQILPKTDLILKETAAARDSYFVL ANKTLPNEVEWGLFKILHQELSNFKHLKIIKDFCKESVQQAFQMIDHYQLGYFTAQHLEN YFKSNYIQYDKQDISAFFHASDRDEDNKISIVEFNYVIKPFHPSQILKDRERAFYSTPKK YRRIDNSPKPQNSFTNVRSKQFIYKTPVKADLSQPDLEIQKQQLIQQSDFNLIDLFYQQP KATPEMKFRKFCKTILPKNAPPNYQSKPISAKTYQMMLNILRQ >CAK81779 pep:novel supercontig:GCA_000165425.1:CT868418:230117:231920:-1 gene:GSPATT00016592001 transcript:CAK81779 MNKIFIIGLILGVSLCQQRTVIDRLQGTQVLEEGHNETIYNIHYYEDEDPKEKYEQMMNN LLSLNQTQLLTCKKSEGHEFFGMSFQKVGRLNDKLQNIILKDYDDDCYDQMKVSYEYNNA QNQVQVTFHPGEYKNGKQCSEFYMIGTTLNYNIVKIKKAQDHKVYFHFRNEKQKEAFKLA GAYIFRTCDHFDYWIGDLLTTIELFFGGFSANPYLGSVFGSYPPNWMIRSNIEFIERATG YRWKERPNVDVEWSEAEIHQGDFLAITRFDGLDQIIEWGTGSRSGHSAVIFEIDGVKWVV ESQDAWYWPKKNIQKNRWEDWKVYAKNAGFNVAILPLSPEKRAQWDQEGALKFWNFMEGQ PYGYHNFIFGWIDTPKDNYPTLLSAELATYIFSFIEKFAPSISTKMVGEALNKRLGTEGL TIPEITIEAAKRGIEIAELYAMVEKDEWIYSDGPSQVCSSFVIGLYKAAGLFGEYHIEAT EFTPKDVYQMNFFDKDYVVPKKCKDNDPDLPYCQIMGTHRMELEGYNTIDPYEHMNERCP SQAPDYKRPADC >CAK81780 pep:novel supercontig:GCA_000165425.1:CT868418:232012:235044:1 gene:GSPATT00016593001 transcript:CAK81780 MAQQDIQRKAKAYLDKHQIEEILGDLVTTLSYHQMKNPIIYIIKYLCTVHCTPKELQEAG IQIDNREKVEQPILCLPFPNLSQHQGSIKKLTKEQFYACKNKITDQGNNFRSICKLIQDN PKSKPGLFAVDPSSYLIYSDLFDPIVKEKGYLGTPIKDMSYTLTSSILEDVKPFLEESIF VLRRNVPKQRFGSTLQNRQALTKELFQVLSKQSIINYSLHNDLSAMAQEDVRKLQINDKL FKKKDPNQAIINQIFKGLRHPDWPVDRMVLQSSDKQNIVWINREDHLKFKFLNLEKTSII DALDNCCKMNQYLDSKELVSFDDKFGYHTVKPQFSGLGLTFTLKFKLDQQSINKIKSNNN NLSSKIQNKVFNVQTKEKDKYFTIKSERCTGLTMKQYVEQLCQILSILFEGVIKKPETYT IEKYFKNEQQIQQQQQQQQQQQQQQLLQQQQQQQHQQQQQQQQQQQSPPPPSSSSQQQQQ QTQSISKLLDENNTMSPSKAAQSPSHVAIDIIQNQPEEQEYATLHKKRLETFLKKLKETN KPRLNKICELKELVGLLKDDTLYFQNQNKKFIIVRRNLKIRRKNVEEIIGLKNQQVSDQI LKECNLNGNCEKGIFQYKYKGYEYYVAIELDDDLTFIFPIIKISISQQLHKIQRIVDQFL PKDLSYAWDNQYGYLTNNNIYLGSGISITCDFPQFIQHEIPGILKELILDDSIQNSNNQF LTLTSLDEYNLQIYRNAVNEILLQQKLSEKKIRKALDNQICESDCYQNDQLWAPSKKCFE DFEELYTQYIWNIAIAYFNGKQVTPFKNYDQIKSDSYKLNIPQNPGVVNIKCTFYRNFES LKYQHEMSVQEKIKMKAKYNDFIKNNEWKFGKAHHLEISFDSKPEEFIQNFCQFWNQLIE QGKEFQKNCNMGFYGPNLNQSLLEGFQLEIKTNKPINPDLIKSYNYKPCYHKNGIMNQED AITAIMSNRPCVLEFELNLIQELL >CAK81781 pep:novel supercontig:GCA_000165425.1:CT868418:235090:236301:-1 gene:GSPATT00016594001 transcript:CAK81781 MPLITPEFFIEQDEKHIIIKLRLPYVKPTKSEFSMIGKQFSFYLKPYLLQLTFNEFLKDA EQPAKAIYDPETYYLKVYLEKLNAGEHFTELDMIGLLLNKKSKKNKQFQGKLIQVIESTN DKEEGQNIDQNPEDQLQGYVNPFCYGFNNQYKDVFDDLEEELYEIAILDPKQIAMQDRKS MALQYVQQQFDKDAYLFDLFENEQVDEVLVYEFQFQKQFTIDEINKISKLGKREYLIENK EICLFGIIDILFAYLYENNLFQGDLNQESAITINQLSSQFQAFYIDNNLENILINNYQRA LTFPMIRSFEICVKTKNLLVEILENKINIIKILVAVEGLFSKAKPRNYLNLIYVQDYIVW AQNLKEDELKNLSKQLKQLQINKSQLQLNIPEIEHEALQQFQE >CAK81782 pep:novel supercontig:GCA_000165425.1:CT868418:236873:237532:-1 gene:GSPATT00016595001 transcript:CAK81782 MIIQKAKATPNNEFLQIGMLIKMNSKASANSGTVKINPTAVPKQYRVLLAITLNTSMCNQ SFAIPNIALPTYIMQNLQQHPPNAINNYESPSINENVNTNLFQNSYSPSPSPPIQHDTSS NKQSYVRLQKYALQQIELFLGQLKLFFYWNLQRLRNVKCIIISKNQYYCQTYNQSINHPF LYIFLILNLGIINNQILMMFTFISSSCKLLTSKFRLSKN >CAK81783 pep:novel supercontig:GCA_000165425.1:CT868418:238207:238425:1 gene:GSPATT00016596001 transcript:CAK81783 MNGHSSYAIRIVILLKGGKRQQEKCRYYLFSITGIGVCLSIGALPNQGKNVKKQKDVIKQ LHKQPEEHNHLI >CAK81784 pep:novel supercontig:GCA_000165425.1:CT868418:238606:239111:-1 gene:GSPATT00016597001 transcript:CAK81784 MKTQTNKNYSHRQMFIIRNESAAILRRHQYCQPSLQTPVSFFVHGQSLAPPKQKNLNKPT PREIKFKTTLKQLKLKLSLLKKEQQEIKQQVIQNSLIFKSNLQQIKSTQEFNIKSIEQYF SQQTKFFQEDISKLKQQIELINFQVAGML >CAK81785 pep:novel supercontig:GCA_000165425.1:CT868418:239151:240627:1 gene:GSPATT00016598001 transcript:CAK81785 MPPQHSQHNPLSKIKFKISKKVESLCQCNQKSCLICARKRSSSMLSIKNSLVVDESSPVS KRTIDQKAILVQQAVVSKQISRQQSNHVIHHSYYSGKQINNTTSTTMVNNSRTRKTSLES SPPKENVIGRHSFKFLYVIGKGGFGKVWRVEMRSNRQEYALKEMLKSKIISKRSVNSVMN EKYLLEHLKHPFLVNMHYAYQDRENLYLVLDLLRGGDLRYHIGRNTRFSEEQTKFFVCCI LLALQYLHQNGIIHRDVKPENLVFDKDGFLRLTDLGVARLNKDSTASDTSGTPGYMAPEV MCRLDHSFPVDYYALGVIAYELLLGKRPYNGKNRQEIRDQILAKQVQIKDERFSLKAQDF INRLLIRKPQQRLGNNGIEEIFEHQWLKGYPWGKLINREIKSQYVPGSIDGNFDFQSQIS ADSEPQEDAASLIRRKSVQCLFEGYKFQ >CAK81786 pep:novel supercontig:GCA_000165425.1:CT868418:241774:243410:1 gene:GSPATT00016599001 transcript:CAK81786 MDTQEPINPNYDVVVCGTGLIECILSGLLSMEGKRVFHMDRNPYYGGEGASLNLTNLWKL FKAGQQFPQQLGQNRDWNIDLIPKFVMANGQLVKILLKTKVARYLEWKAIDGTYVFQMKE AGLFSKGGGKIEKVPATASEALKSDLMGMFEKRRCQKFLAYVSNYEANNPKTHDGLNLNQ MSCAQLLKKFELEPNTIDFIGHAVALYSNDLFLDKPAIQTIEKIKLYMDSIGRYGDSPFI YPIYGLGGIPEGFSRMAAVNGGTFMLNADLDEVLFDGEGKVCGLKSEKVKELMGIEQINC KMIIADPSYALKAKLSNKVKSIGKIVRCICILNHPIPNTKNLPSVQVIIPQRQTGRKNDI YVMMVSDVHNVCKKGFFIAILSTNVETNNPEKELEPAFEIVGPVLEKFVTVSDVYVPTDD GSKDNIYISSSFDPQSHFEGETSQVLNAYRKITQKDLDLTNLPVDQDDQ >CAK81787 pep:novel supercontig:GCA_000165425.1:CT868418:243435:244694:-1 gene:GSPATT00016600001 transcript:CAK81787 MNLLIYVFLISLVNCFESTCKYLHVSTDIDKSRDDIIKAGIPAKQWVLEADTFQLVNNSV QMDYLYVSMDNKIQLTQDMVQLEFDDHYFANSTLKMEHHQNNVYSLKIDYRCKQYGGRLI QYEVLFNIPSCGSAKIYWQKLCGSPLIKRHGLNVDALIKSYNQTVVKDGELVNKAYWDKD FDNFVFTVPANMNYSIFYVYMNLTKSSEEEKILNKEETKDFFNAVDQSKSDTETTQEEFQ VLEAILKLIPEVHISPPYVDADHTITKPIIRGDLFKGGVVTDEPSTLIVEYNCVENGNTT IELNIPLQYFQDITMVFQKQCVVSTQSSFSILSVLFYLICFGVVGFMLYTFEVHTYSLSD IRRIFTQRQKFNYKATEKDEDIESEFKIGRLVDNQESKYGSI >CAK81788 pep:novel supercontig:GCA_000165425.1:CT868418:244714:246514:-1 gene:GSPATT00016601001 transcript:CAK81788 MVLVYTSKRLQKYPTLAEGVEFHLASLQDNGNTVLGVFVTNTGVKCVTVDGSTQQSTSVS NSDFEGEWSFVFISHGQGVTGCAVKFFEELPALRSTPASHPTYTSLDFKVGGSIRFSSIV LRKISNLHFNSQRQYNLLVDSCNSPPEVELCELYTDELGDYQFYGFEDTSDEIFEFSTWD AGYAVSGWLKWETLEDQDVWHTVFRLSENADANLDEIKLGDRDLAVFLGSGLVGGVFQFS TYTYSGSGNPNLWDNVQYTNTLGQWHHIYFGYNRLQRMAHFTLYLMEEQLIGANQFYDAR HYLVPWRRLYIGNDGLYPAFNGQFYNWRVNRCIGDAARDVVLTPDDIPFGYNPQPLHKLK LLHLLKKNNHIGEKKNKNLFLLHQMQFQNVFPEEEQPYWPEEEEEFVPPAQTFASPEEEQ PYWGEEEQEFVPPPVSPPVIPDEIQPEPLPEPEPQPEPEAAPAPSPKPAPGPAPPSEPQV PVVKECEATIDVTKENAADILCAISEYLAQLASGHVPELGINPSRVCFCLQYDDSESNES FMQVEAILKENDAFTINKLVAQRRI >CAK81789 pep:novel supercontig:GCA_000165425.1:CT868418:246637:247927:-1 gene:GSPATT00016602001 transcript:CAK81789 MRIYALFVLLMYATALNFEASLMSHTLAEVDALDTSQLNCQTPRSHFEDLEENLTQWQQV LENKERIPSHIETLTQMKHLIKHKKYEQLVEKIKDLDLISPPVLSELQSKLQGLQSHDRH EREECTETLTKLCAYLIKQMNNVHQQCQQSPVTVIKVKGKIEDLKIIQSGCQQACQPTCP DEPAPEIPSEAPSEEFTEEPVEEGVPPTTPDDKPTPDDEPTPDDEPTPDDKPTPDEPVVP QDEEGPTDEPPVNPNTEESPDQPTVAEEGTEEWTIPGEEPEEPKAVPTTPDQPEEDQEES SNPPVSPEPLVFPEEEEESFGFEETPLPPVSPDPLVFPEEQEESFGFEETPLPPVTPDAV VFPEEEEESFGFEETPLPPVTHDPLVFPEEEEESFGFEETPHPRISPLRFPFSEEHEEIV F >CAK81790 pep:novel supercontig:GCA_000165425.1:CT868418:248620:249822:1 gene:GSPATT00016603001 transcript:CAK81790 MSRALFFSKNYLSKKFNVRQPNELYKQLLNNIHLFKADELQKIPSDELIHYIIFFGTHPE RRNQGDKAKKITLECLKQYKKKMTKNSYEINSQILLSLSNVLLYDDQMVQELVQQVDQDK ETLSIKDMIKFQHCLYKLNIHNEKLSNYVLDQFLKQKEQIDYLEYIHDLHIRSNLLQMGL IDEKIVLTLLQGFQAKLNSTQNSYYTSKSCFQYCQLMYNLYPEIIEKPFFELHPKEDNIF AGLFKDLMQDYVISKQLVDRKKFYQSFEYYINFQNMNVLKAKEDAILRSLTELEQNVQDL LNALNYKNSRAHRVLIYDIDYLVKDNIILTCNDPVHFVEDFDGKILTINPNHIMQSRHLS ATNKYKVFDINYHEWIHLNEQQKIDKLKSLID >CAK81791 pep:novel supercontig:GCA_000165425.1:CT868418:250071:253191:1 gene:GSPATT00016604001 transcript:CAK81791 MAEHEHEHEFEGGEEELEAPKDSLWRDEHAMEKSANIVYFLTLGIVAGLILREINKKTKQ SIILLSRFPYSPMILSLGILIGLLQKSLGYIGESASILSKMHPHLIVFVFIPVLLFESAF NCDWYTFKYQMVNILLLAGPGCGWGAILLGGIFKLVLQYDDNDMTWYQAFTLGSVLSATD PVAVVALLKELGASLAFNHLIEGEALLNDGVAMVFFIFFNKFSKAQSGKGEAVTASQVVI NFVRNSMVGPALGLVLGILAALWTKRILGDDIEVTWLTFVFTYLTFYWAEFCFFKTSGLL AVVGLGLFWSAFGKTRIRSSVEHSVHTVWGFVQYSCDTLVFVLVGIIVGTQVIEETFIHK SDYIRMIVFYFFMILARFIMILTFWPFLRCFGYPISKPEFIVLVYGGLRGALGLTLSLMV GCDEELPARFRHLSVFYAAGMAAITNLVNGTTCKALVQYLEMIENPVVKKKVYKKYLEEL IVNSQDKMRELESDQFYSMADWNQVNQLIGQPKFIEKIEKLETEIKYMVGSNKVAANSSN LYEGLTDQEIFGEVRYRIYRILKGLYYDKFEYGLCEEDTVRLLVESSDIGLDHTKSILNI WDQLYKNFLNFSSVNFFLKVKEIPLIGSFAKEYMIKHLGFVYDVTTTFLSCANEALHLTD SFPMGKDAVRLVMEEISREIEKAEGYLGILNDTFPEIVRAIQTKRASHSILTHQRHYLDD TQQNGLVDEKEYQLLKKEINNRLVDLENHQFEMILPSFHVLAMEFPIFSGLVSTDLDNII KSAYEKRFGCDEIIYEQGMTCQNIFIISKGNVVDEFQGGSIKKGLGSLISYTNLIGEGTC MSTAKATADSLLYSLNLKILKDLMQRNPEFEFKIYINSIEYLRKMFESQAGPLANLEIKR LLDFFRTKSKLKKLTQNQKCDFIFGGYLFRGELKDINSKVYPQYSYIPPQETECLVSKDT ICLVFEDQVDSLNNQMLKQLDLDPHKDQQISERYSQIKRTSIQDKKKHH >CAK81792 pep:novel supercontig:GCA_000165425.1:CT868418:253306:255904:1 gene:GSPATT00016605001 transcript:CAK81792 MQSVTSIEVPTNLLKMPIIKNKDIMEKKYEYDKVGKNQNVIVEPAVVKFCGFEIGKLNEI KVRVINKNKQPQRIYVFPPKSEIFNVKFDKKGAIPSGMAEELYVQFKPQEYKYFYDVIRI NTQDDTLLIPIHAYPALNRDGLRELFPRLIDFGTLDIGESQTYSYPIQSKVPLNFEFEFS ITKPCQDIKIDPIKGIVPGKGSIDISITYCPQVNVTEIMEVELKIAQFDFEPLCIKIMGS GRFPENKKTMRPSSNKKLKSITNSSRAKPLQNTQEIPVEESPKPDETQQIENQVQERSKP LKMTKSTKLKRSKSQQQETSDNIGLDKLKGRQLLEKSYLDHFNQIQSLDKEKEMRFFQCV GDPPITETQVEDILLERQQYLNNYLDDVQAKGTTRYTLLVNSDSTIVDYILPEHQQSWDL DKNDTMKIKKLNLRKFVCAASRIIYKLRMEKRLSKLKAFLKGATTRAEVKQLVNLDCQKA EHSGVGKKDFVKFTFEFNSDNIGFLKLPVQYSESNTNSSFKYTITPQTGFDDLAPFDELP SDDCEIMQYKVWEPPQIVYHPPVIEKPLRDGAEEEKSLRGIMGPVTQILQTQNDNIVRNI YLKPPDVESYQILKTNKTLRLYQQFNDGTPLNTFYPLMFSKVNGIGADLDPDIAQNHQIL KQEFINRTPGYQNLNLVYELPLDQFYYQEKNMQLAYDFGFDEQIEFYCPTIMAEKELDDQ MTDDDSDEDKPPQINVVDQNDWLTKLEQDDKTITTLRQSEIIDSFEQLKEKLDSNLTLEK NKWISTVPSKTSDFNKFIVRNENKMVIL >CAK81793 pep:novel supercontig:GCA_000165425.1:CT868418:256072:257511:1 gene:GSPATT00016606001 transcript:CAK81793 MLDFEKDFYKFVKAQLDKKKGIKQNGTDESSDEEETLKKNRLVSENINKVLQNERKKMKI SKISKEQHFENKMKDFANMDTFIDVKHFLKNLNDSQKKKTHKRVFKSFKRGSSDSDNEDL FDYAEIVSKSKQYIAKSQKLRAKPNIESYLQFEEQQHQKTEKADEGKTTNEKFYTGIDKL ITDINHKNAQSEIDKQYEKEKKRHRDSMNSEQYIPQIHHLDFGRDEVTIKAGKKFKEQSK KVLQLLEKTTKMLYRNRLPQPPNPKILKQQQQQLKELKELKELKSHPISVEIRSSKQILT KSRSISKDIKLPTINGQIKGKIVKRITPLHRLSQASLPEIKPASFVSLREDKILEDFHYF KSQKHIVKQNSLPNNFSHHSLSFDVKNQICDFITQLDNAQEQFQRMYPSDNQLQKIQNNM SRKMNKIGKTPLESLKYLTRRKFNFSRKLELKSKKSEAHHQIF >CAK81794 pep:novel supercontig:GCA_000165425.1:CT868418:257654:259199:1 gene:GSPATT00016607001 transcript:CAK81794 MSSILNKFRKQPQNDVPAGIKIENTLFGQPQGTYQPPIIPSVQLSQTNQPRQKGRPGGGW DDEPEPQQQQQQTSSNMPANTINLINDTPPKQNENKANFGILLPPQPSNNDIQLSRVSEY KKGQLLTYEELEIGGFEFEFHIVEEAIKLGGIKLKQSDSVLKEFARACQTLQEQLIGSIL LNKLYSEENWKVQIRCIYAIQFISQQYQNYREFFLINQNYLKIDTDQQILKSAIEQTLLE INGQQTQKQKEIQFEFREPPKPQQNAVQQQANLFEIGNNEGQQKQQQQQKLDLKSLKIKQ PEVKQQTQQNTQIDLLEAFNQMSVQNPSQNQQQQQNQGINFDEILNTQTTQTVQQPQQKK NAFGFLKKDQQQQPQQQPPQQLKQDQAQLNTLLLQPPQQLYQQPAPNQQQASNIPSGQPS NLYNLQQQAQFGQPNYSQAFAQFNIQQQQYNLVQQTQFSQEQPQQKQQPAPKENAFDFLN F >CAK81795 pep:novel supercontig:GCA_000165425.1:CT868418:259231:261733:1 gene:GSPATT00016608001 transcript:CAK81795 MQKSNSYHIKTPRKYRQPNIISQELLQKLTQTKLENRQEKEYFDKNAQINEVKKVKQISR SIEGGSQSYREIVQQSLHLLNLNKEGLAKDFSLNKNQNDEIQSTEKKSRHVSHVSQIWTK RNRTDSNSLTPRKVGVNDFILNANAFLKEKQLENQLDRLSYQIVQLQNKSNKLEIQNRML YANIQQYQQDSHHLKELSYSKTRYNDFDVVTLGRKSQLLQITLQREWQCKQENTKFPPKT STGRFISISWFKNLIQLILRQFIQNSLMHKTHDQLTQESQQDLFNENTNSSLLGVKSYQQ YAYRWIILICFLGVVFINGIAYQTFIPNAKQFIELYDVSEQIITLSGTIFLIMQPVFTFF ASSFIVKKGFALSLNFGVLLTIIGYGIRLFINKFSFSIVILGQACLGISRPFILNGQITM AQNWFYPSNRTAVLTACNAFQTFSMIISVIWPANWIFQDYSYTDELREKGLELSLKLQYQ QFFLSLVLIPVIFLIRNNPVTPPSGFITADNEVGVSESIKKLLRNGNFLLILLTYSLYFG TIKGYGLNVPYLMSPFGFIDTNYSIASSMLIIGGFISAGMVSKVVQKFKRYKAIGIVLLS ISLVLSILTYPIMMTKQFIPLCIQQLLLGFFLIPMVPVLMEFGCEAIYPLNGSFSIGLMV SGATIAALLSSILLTYTAKGKDSDKQSALITTIICCSIFLIGQILFLFTKEIENRTNRQE KENKNVLKLDSSNTNNKNHQTSINESFDSFNNKIKDDSAKEHLIIDQNDFLNNDDEISRI DKKD >CAK81796 pep:novel supercontig:GCA_000165425.1:CT868418:261796:262538:-1 gene:GSPATT00016609001 transcript:CAK81796 MSELENLQLDLAEVQQVLGTLKRKANIEYLNNRIKYLENSIKILTPQKVEQPEKQQEASP IDSDTRLYQGITKYAWDQEGNKIKIIISLDGIGQLPKENIISSFSTHSVDVKILNYKGIN QRFGIKKTFDDLKDRECSIKTTNNSLIVNLIKKENKHWDQLAYKEKLINTDSSKVDKEDP QASLMNMMKEMYQNGDDEMKRTIAQAWSKSQQEKGFK >CAK81797 pep:novel supercontig:GCA_000165425.1:CT868418:262728:263452:1 gene:GSPATT00016610001 transcript:CAK81797 MSYLNCKWKQHYIKKSAKIMQLPRIRFQKDVRAKSIDDIFEKTKLNSQRDETKEENNILR NSDRVMYNWQQYFEQWKEPKFRSVSVALIKHKLQYEYEEDITTIHNKCGIKVVNQAQQMH NKAQPQSNNLSDYEKQKQQVNEIFKSLYTSHQEKRLNIERIVSDRPQRMKPIDWNEVRRK LPKDQIGTIYDPTRFQNLYEKFNNEIEANVPHGPFISFRQFLKERRGHFKD >CAK81798 pep:novel supercontig:GCA_000165425.1:CT868418:263632:264460:-1 gene:GSPATT00016611001 transcript:CAK81798 MQQILTYTIIFKRLQLTLNSEVAFNIEIKSQDKHVSLLNYMKINHQYEQYMEVKCVVTKV NLKYEEKIRKLNVVLSDGKSKRIAGFAKFDISVALNLNMRQKEYSINLIQCPDTKAFVEF TLLINSLDFLYSNNDIDSMTSKALSQNESEEIKKLKLENVQLKDELIKLKQDLQIVEAQY QFQGRQYQQLQKQLEERTIENNDLQYKVQQLCNLNSVCIEKIKYYEKLHSNQTQSQKQK >CAK81799 pep:novel supercontig:GCA_000165425.1:CT868418:264495:264916:-1 gene:GSPATT00016612001 transcript:CAK81799 MIKFYMSTITKLRSKRNLITISDKAAERITELMKQQNNPAFDAVKIGVRKRGCNGLSYTM NYCSGPDKLDEVVEAKGCVKVIVDSKAVMVLVGTEMDYVEDDIKSEFVFKNPNQKGQCGC GESFHI >CAK81800 pep:novel supercontig:GCA_000165425.1:CT868418:264946:266455:-1 gene:GSPATT00016613001 transcript:CAK81800 MLRNNGSVANIQQTQSTTSLLQALVNSRPKSSQKRIPSAKNTIVQQKNIQRCDPKLSLPE PPKSTRTLDSDKKQLPQQQKKLTLIQRTMQNKLQERQRINSSGQKEVQKEKEESQQTIPD IEIPKQVTIFALSEQDQNTSEPKTSTPLISPPISAAPLSKYVMRLSKGANIIPKKQSDRK RMNSPIRRATKENIYTPTPTMSRETKLKMRSVSPDRRLMPKQSLGPQSPYNDFEYYMSFL RYFNNKQSKNEIKQLFNPKTNQYFLDIYQDHFQQSYHALQYCKDIVKPSLNAIANKIANL PDNKFKKTIVFDLDETLIHCQESNDDPSDIVLTIKFPTGETVQAGINLRPYCREMLAILS QKYEIIVFTASHECYAQKVINYIDPDKKWIHHRFFRESCVVVDDGLHIKDLRVLGNRNLK DLVLVDNASYSFCFQIENGIPIIPFYDNSSDRELQYLTTYLLDLMQDQDIPSKNLSKFKT NLYQELTIEHLLNTI >CAK81801 pep:novel supercontig:GCA_000165425.1:CT868418:266645:268866:1 gene:GSPATT00016614001 transcript:CAK81801 MRSLSKILFRRPQLWLSRVAPALGQKSLFKYSASMNCSNCLDSNHFLNSKLKTIPLQNYK AEQSEIDSKVEPTDFCLFSSIQPIFPNNPMNCQIKIGNLKRITKPLLYSIISPDNGEIFT IGIALSKSVEKNLNAELKLLEQRDANGNILINDPMSNTFNLDIISRQKNYRFKITKIEMT PDGIFAQGIPYKDRPLTQAEQIIDIQHEIRMVSSLVQQIRKLAQYDRVEVFSEVKYSLLD SSEKLTPNQVDELVFQIAAGLSKLFTNNLKMNTSNFVQQLLESQTYIDRLFILRKQLENM SGVLDLVNKHFKEADSSLLKLHQQTLAKLATEYIRQNYLKDASQSQQQGGTAGTQQFGGD KQSSLVKKYYDKLSLITDESSREKVKREIERFSLLDKQSSEFHKINSYLDEVFSIPFQKF TPVQWDIQFAKDVLDKEIEGLEKVKERIIEMISVNKLKNAGSKAKGFILLLNGPPGTGKT SIAKSIAKSLKRTSRFISCAGVADPTFFKGHKRTYVDSMPGVFIRELIKSNTMNPVFILD ELDKVSKHHSGGADPYYTLLEILNPEENHNFTDHYMDISVDFSHVIFILTSNDTLQMLEP LKNRLETIDISAYIQEEKLLIANNFLVNKSIESNGIQSQMIKYDEQALTKIIKAWCYQES GVRELKRCLEKIARKHATNLLADNPNLCDKVDELNQIIFDPTSQSLDLTKDQNLRM >CAK81802 pep:novel supercontig:GCA_000165425.1:CT868418:268969:269607:1 gene:GSPATT00016615001 transcript:CAK81802 MIGHVLTVESCFDLSETEKKGSIQASGNIKLVLQESLKLAKINAFKFLNEEQKQKLQNSA IHMHFTEGATPKDGPSAGTAITTALLSLVMNVVVPSNLGMTGEISLNGQVCKIGGLQQKL IAAKTLDIVDIILPYANLGEALNLPQQLIKGLNLYFVTDYRQIYELIFEQQIANNNYTIN KIKNGVYETDQNGTKEQIQSTINY >CAK81803 pep:novel supercontig:GCA_000165425.1:CT868418:269703:271253:-1 gene:GSPATT00016616001 transcript:CAK81803 MIQDTSKDTIQCTYKRGLAQQQAPTPHSHSQFVPFKKIYSDALDAIGHTPMIRLNKIPKE FGLKCEVLVKCEFVNVAGSIKDRIGVRMIVDAEKQGRLGPGKSIVEATSGNTGVGLALAC AVKGYPLYITMPEKMSQEKQDVLTGLGAKVIRTPTEAAWYEPESLIQVAKRMAAENPDII LLDQYSNPSNPLAHYEGTAEEILWACDDKLDAIVMSTGTGGTVTGVGRKIHERVPGCKVI AVDPFGSDLALPPEINKTDIKSYKVEGIGYDFIPKALDRTEVDFWIKSNDKDSLNMARKL MAVEGLLCGGSSGANVWGAFEWAKSQNFTEQQRIVIILPDNIRNYMTKHLNKTWMIENRL ISYDELKEPDHPLAGKPISELNLPEITLLNVETTTIGECLDYLRTYPAVPLSKDGILVSV VFQKKLLAGMVDKKLNNRDLAKKVQSRDFVVVPNTLDQNQLERIVERHEVVFVEDGEKLR YVTPGELLQQF >CAK81804 pep:novel supercontig:GCA_000165425.1:CT868418:271503:272538:-1 gene:GSPATT00016617001 transcript:CAK81804 MEESTIDWDKVTAMDNGTLLHFANDLYEALHLEQAKSAYQMYLKRNPKNIEALDQYTDCL EQMGLVEELQQALTPYLNQQDLITSYEHCYKLLFKYAQTQQGKQAIQIYEYGIQLALQRN ASKRDIGDAFAAIAEVYQTDLLQFKESEQTCIDAINNAFKHDPLNLDAFLQLANFHLNKE DDEAAKKDLRVIYEKLVVEMEEYDEDFILQVGKLLVEVELFDQSIKILRMLVERNVEDPE SLYMLAFALWKAQKYEESQKIVNGILQLPDAKEDQEIWTATLELKDQLEKETWMDVEDEE QNDLQKLQQLE >CAK81805 pep:novel supercontig:GCA_000165425.1:CT868418:272584:274732:-1 gene:GSPATT00016618001 transcript:CAK81805 MQGVLPTQCRDFMNKQYWQRFFKKIKKEGQQNEFFEWYGNYDSYNHLFKQYIKVEDSVFH AGCGKSLLSEQLYDNGICKNITNVDYEKISLDQMRKRSENKRPEMTFQCMSLTEEINIQS EQFDIILDKGTLDAIFPDEETPQVNTYLANMLRILKKNGKLIIISLLQDHILKTLLQLQV NIQIYECVIEKSKLYPFFIVIDKSEQKSIQFYQLQKEVQTLSIKQAQEIIKKIQLQNHFV TSIHKLRQSQSFTLDIWDNNNKQTPRYKLDIYDNDDSKILLQKTCGCFIVPQGREQSFLF GTEQGHKELIKQMKMSRCVIARMNPGHQFNNMKQVQQELSEPMKQLIPKGCTNIPCPFLT DGDEIGEKNIIFEADDCIVEELNIDDIKYRRLIIKSALDLIQSEAKIINNKVDNSYLDFE AYVAIVAGLAFNKAKEVLILGGGVGLLSRFINEHFGVKVTNIELSDDIVNIAKTFFDFKN TDSETLVCCDALDYVDQTLECHQYDTIIVDINASQANQLSPPKEFLSAEFLNKLKTLLTP QGIIIINVLDSQKELTQLPWDLYYSRKCENEINEIFYLINAQKEKKELDGKEIDVIDKGL LDAIAQQLKESRVNFSKGKWDSSMNLASEIDNIKLKHPVFKTQAFQTQEALLVQDGEIIN NRKEFYQEDLKKVQKKKHNRRRKN >CAK81806 pep:novel supercontig:GCA_000165425.1:CT868418:274760:276000:1 gene:GSPATT00016619001 transcript:CAK81806 MNDLRMHKLQQFFSKSNIYQNNSIQHIERQKLTISNDVELKDQSQIEDKMIPVVRPYQTD FDKDMYLMAKPLIQPLIQLNIVSKPEINNVNLSHQLHNQLDKAIKRSENFLTLKKQPNHF LNQLRQQNKQNQLTEKKQQNSAPKKEIKQIDSNDYDFKSINKRPIPDQTTGIKLPKSIKD IYQIGQTADDLQANDESFLLKEEPNSQQVVAKIDFDCLLNIAAGQVDEHTMAELVKNVII AKKKELNNPLLNSSIEQCDVSVEAYPLKQDQYVQMSSKALPNVQTVLNGIRLAKNQYYQS QEKQECKTIIRSHLEELMQILMQGYPEQSGMSQSLDFLLSLNKQELALPYEGMSKLELLY IFVNEYKALQMLEQTQPSTNQFNQTISRRYLKPTKAAQMKTIKKK >CAK81807 pep:novel supercontig:GCA_000165425.1:CT868418:276062:277441:1 gene:GSPATT00016620001 transcript:CAK81807 MISFDQILEEKIGSGIYQLRTVSIIGLIEFCDGVEYVFMSILMAILQKEWQLSQTQVATL GSSFLAGVVIGNCICAYITDKIGRKTSFSIFAGLSVILVYYTSFAESYNEIIILRLLFGI VFGTTSPLGYVFITEVAVAKYRGRFAFSLTLMYVAGKAYLVLLCFFFLDDYTSGNWRGLI RFNGIPVLLCFILSLIYLKETIRYYLNKGQYTVAFQEIEDVQKQNNSQYDPLNESEKDGL IAWQLRQNNLMIKENQNEESGVLSKQHLRITLQLWTLLILANFQNLSIYLLMPFLFAQEN SGFEHMLYLFLIEFVFAFVIYLFIDDPKYGGRVNIIIYASILLVITNLLLYIYQASILFF GMLLIKIATRGMFSTLFIICCESYPLHLRSRGSGLAMAVGKVVSIPSPYVLFPLFAIDPY LPFLILSVLGTFMIALSVTYPSDRTQKHLEVYSDEQKEE >CAK81808 pep:novel supercontig:GCA_000165425.1:CT868418:277470:279766:-1 gene:GSPATT00016621001 transcript:CAK81808 MNEALKTIVKFLEQSGLQQSARKLKEEIIMMKNEIDCEKQQQQMLMNIICQALEQKKKEK KKPVIDRQAVRRKPKVFTQEQTEQIMEKLMNRLVSKPNLLEDETLNEKIERYFDNATFQK MVDQADVFHDISNTSISNSILKKKRTETSEEQQQAPCFGKCNEYKIQESDQIVFGKIQQP QQHQKQQVLEKSPSVDELFTEEDVSRSAAKHQQFEGIDTAKMPSIIRPESGCNDSSFFLQ NSQSFVLHDMEENKNIIDEYNDDDDPGFDLYEVGEKDFIKVAKQLADKFGFPQRAIAQLK KKKDEVNCDNEDDSAVLLKSQQKSQEESRKGYIYLPPSLKHPDSTDDFYPVEFDRVIYDC FNLKVIFDRERTGFEETKDFPIVINSIIAGRYQILEYLGSAAFSKAVKCLDLQSQKEVCM KIIENNKDYMDQSIDEIKLLRYINCNGDCDAKNVLRLYDFFYHKEHLFLVTELLKDNLYE FYKYYREKEKVNYFTVGRLQKLTKQILIALDYIHSLKLIHCDLKPENILMRSISKCECKV IDFGSSCFIHDHLSSYVQSRSYRAPEVIIGCKYDYKIDMWSLGCILAELWTGYVLFQNDT VQGLLARVIGIIGPFPENMMKEGRLVNQFFTKEKLLYQDAMEDQQQHYPNPDMSDASVKR KKTGLIQILVPKKSNLKARLKTDDMFFLDFVKQLLHIDPSKRPSAKDALYHPWFTQAQYP DGL >CAK81809 pep:novel supercontig:GCA_000165425.1:CT868418:279857:280342:1 gene:GSPATT00016622001 transcript:CAK81809 MACKFLKIPIKILMSNQDINELPALKVNVPSFLMKTYEILENPSLSHIITWNQEGNAFIV LNTHELASKVLANYFKHQKLPQLLEVLYSVIIDNLTCTALKKAKTIMDKVSSGTNGLEED LKLCFNTFVGETKKILRIKQR >CAK81810 pep:novel supercontig:GCA_000165425.1:CT868418:280348:281173:1 gene:GSPATT00016623001 transcript:CAK81810 MNNHKIDNYKQEHEEMKKIVHDIHSTQLQMEVDFSASMEQNAQATRSSQTILQVQCLILY CQCLNQMQLQFNKRFDNLSLLLAKITSYIPNFRKFQQNYAAHQIGDMQKQYSLDEPSPSR LEHHYIVPYNENYNQYSNIGSPYHQFSCNSPINILLSRQNQQFQDYCLQFDPNIFQFKRQ NQQQQLALPAPAINSYQRTLIIYPLIASNNYSLQSSSQNSPYRMPSPCHTSFMDSENPEK FNFYQQA >CAK81811 pep:novel supercontig:GCA_000165425.1:CT868418:282024:284093:1 gene:GSPATT00016624001 transcript:CAK81811 MNPKQLFQDKYQQIRDFLLSSSDERNNEQLIDIRNILESFAFFIQFTNTKSQEFIEMCRY LQLEVYEQEQTLFRQGDPADKFYIILKGEARILIKSPGLDEIKQVGISGVGDCFGELALL FNSDRNATIVVGKQTELMVLHRNDFNKYMKFHSSEQANQAYNLLNVIEPFLSLNFPDKIR LASKMEYRTFQSNYAFINQGQTLNNFYVVESGSVKLYRTIPFNINKNGLIQFQHKYDPNK QSRNLKIEIDEIGPGKYIGDYELQHYKPSQYTAVTGMQTTCFVISLIDFNLMSQFQLAEV CRNSKPYPTDDHIREAFLDGIKWRIFRKQMVSKLQLELKTCSEVRDPTTLPKFQYQKDLR QFALKTLSYDKLMDSYLNSNGEPAQQQTGSIVFNELNSKSPQKKDKPFFTNVDEAIRKLK QSQGGNRSKKQILPKINPKQRNQYQLLKNKIKMNQISQNILVQKLIDYKLRQIQKEQKQE KSQSLVFSTKDAHIIKIDILFEWTCIFDSLKIEINSKLTESIHSSGYATVSDLLDLLSQM FRIIQQYEQQACHLWSKNLEMLKQIKIPILQENEDLKLAVENLKQNDIQNSVYGQLKEYE QIQKPKPKLIDLQHINRDSNFQEEFMSKVNEFSLSWRQEVQQLKQL >CAK81812 pep:novel supercontig:GCA_000165425.1:CT868418:284388:286109:-1 gene:GSPATT00016625001 transcript:CAK81812 MNENKEVIRKQIGDYSFDMNDIIGEGYSSKVYKGIHMATHQVVAIKVISLETYNSPIQKS LLSNEIKILLQIDNPNLLRVYEISQSANNTYIVSEYCEGASLEELLKQEPMKTEKVIDIL TQICKGLLGLHQKNIIHRDIKPANVLIKNDIFKLVDFGFALIENQYDSILKRYNVGTPLY MAPEIHLLNQYSEKSDVWALGIMLHEMLFKAAPKFKFNDQTLMQEIKENCQTLDIINSKL IVRLLEGMLQLEPEDRITIQQILQILQEHQSSQSSVSKQASHLVKLSQKSVSTQVNSPLQ SKGFRSPNEIRKKYVRQRLSDNKPLSFDDQTLANLVRVQAQKRGKEFEITQFTYEDEVPG KSTGGNFNQQPFRYSHQQSDSEINIELIEQISTDCSVQKSSKQKLLSQNRNQEIDLPVIL KPTYEFCEFIEQIIQNFPKQDDDLLLKMQFLLRKLLAIKAKVFYTFAPLKIKSQLDNWIS QLQQYYQKIQCLVNLNLDKTFQLFFNSNLDDQGKLLTMYIISLANQMVKKSNEIKIVIEI LEDNLQHQNDPFLFARKWVFQKSS >CAK81813 pep:novel supercontig:GCA_000165425.1:CT868418:286604:287879:1 gene:GSPATT00016626001 transcript:CAK81813 MNSYAKQIQTFNSGTFTTMNEFQFIQKLGTGSFGNVNLYIHKPTQKKYAIKIMPGDTITN QYESEGIEREIRVHKKCKNPNIVHLYDSFYENDTIFMVLEYLENGNLFAHIQKNPPMSEQ EACKYFVQTCLALQYMHQNNVFHRDIKPENLLLDEKFNLKVCDFGWCAENINQKRKTFCG TYEYMAPEIVMEVMYDYKIDLWSLGILLFELLHKYAPFKGKDFKEISVAIKQCQPKIKPS VSKEACQLISQLLSLDPNHRPPINQILASSFVKKYSNTLNLVEFQENIQPRSPLRSYPST QQLKCGSPRRRITEYNMIQKPETRVRMASPKPMDSQKIIQKIYVSPARRIQPKGRENVAV KTGPIDVRGREATKIIYMNLCNNIS >CAK81814 pep:novel supercontig:GCA_000165425.1:CT868418:287932:291179:1 gene:GSPATT00016627001 transcript:CAK81814 MFYNDEEEEDWGDKNEKDAEDEFQDLNPSEHKKRIPNNRPKLQPKPQKKKKEVQECEFAI DDPIKRRQLANYQHVPVRLEAGEKMNINVQYDDGQVRKFGQQKAFEEKLIPISKSGTFIY EASTGKLERKNPGRPREDTRSLFDDPKLQKMRNNYVPKLLQRITTNNTKEVDEEEGVQDP GVSWKPVKSVHEVPESFYMRQVFDKSASGPRSIDKSKIKSEYDAFRLFFDNDIYNTIIKH TRERYQQKVEEQIYSYIHGMVHMGIRAKKPTLMQWEFTEYELEAYFAVQIFFGIVRLSNQ RDYWKSSARQKPIKKAETGRRKLRELAQEKMDRYAHWVTQRMSSIVSYEKFKTIRNCLNI SGAEALKLKGRDPIWKIRDFLNQMNMRFAKYYYPGEFITIDEGMIPFAGKVQFKVYNPDK PTKWGIKEYLLCDASNTYTFQLRLYHGQTMWNNDFKQTMFVNEEDTQHRTMELVLQMCKD YEHKAHKVVMDNYYSSWMLFRELRNRGIGAVGTIRHNRTGLTKKDLTSKHFQQIYNQYHY AYYLNQSNELMLMYFQGTSEKEIALISNFLDNSLNEQHMWDISKQHYYVPHLKAPYMMYV YNKYKGGVDRRNSYVVKYRSRFPAKKWWQSVFERLFETAILNAYLIFRSYNPESSYRNKG QMRDFRINLMYQFAERYKSYEHQQEENGKNRFSYFAKIQPHTFIEGEEIVKCSECGNETK VFCQECTILKAEVVGLCHEKDTIKCQRFHEFMDFELDKNKEVIDKRKGKDPYKPNFLEKL NQRTNAKGNQSSQKKESPLVNLLNKINDQIKQEARVKQEVKREDNTNKQTTYIIPEVKSE DESSTDSDIYIQRTTNQRLIEIHQKIEQMSMCSEEFLNGSVANSQENFAERDENDQFNDN NGNDDNQFQFPQQRAQQIDDDDEQRNSKNEEQQKDFIKKMMEFADDEGSENEEIQYPEDE ADHFYQQLLQQEEQAIKYQQKKQLQQQLEEESERSNISHKSKKQQKLEQKFIETSMRGIK QSQIQSNSEIGQDLQKIISASQDLNQISKQITESKGDNQNSQSDQ >CAK81815 pep:novel supercontig:GCA_000165425.1:CT868418:291212:292437:-1 gene:GSPATT00016628001 transcript:CAK81815 MHPKNSTTNFIPDRTTISKTSCANKAKQNVPIYDDYQYKTVIPKSEQTERLFHSQLFDPT ESLKSQIQELKRQIKIKELSYDQIASAFYSSQNKVEELKEALEISEKEKKLLQNEIQEQN ENIEFKQFDIKQQQELYTLFQNENTQLKLEAVQLKDTILRLQSDNKQLKEQLDSLLTSSQ SCERKGSSRMSFDYLLNDHDQSQLGQTLSVNASANCDKHCNCAKRLIIIQGELKKSQTQL KEKDQLIAKLTEQNLQLQKAQNRQSKTQRKQNLFGTPISELEKREPTQIRDEQNDTFRQL NGYMSDKHFKDCDYAQDSQTPLKTSSSARSVLMNKTKKEVEQMYRKMNSSALFTASVFST MLDYKNLHYNSGQFGNKCLNSIKKS >CAK81816 pep:novel supercontig:GCA_000165425.1:CT868418:292593:294749:-1 gene:GSPATT00016629001 transcript:CAK81816 MTPKPKKRKPQKKASPIQSKDIKIIVIDSSKRDKKKQEVKKKGTKKQLSKLQKFQAQMIP QNNVDQIRLQHISSKIQQNYRTQNQSYLLKVKNLYDQYKSLILKYTLLTELDDFTFALDL LRKTIINTFISQIQQSFNFQKKSPIQIIAIDQMISISQKNHAQIYQEEIQTLLKPIFKSK NVDQAYIRLKIMMQQRNILKKLEEKNQLFQNLKDQSLQNCFDNEMGDLHFSALNYGELLE AQQKQELIEDAKTRQSQPLILDGFFKNSNLQNPKQQVNSIRQFLIKPTAKQQMEISKISA RSRNQSKESCYSRNDQYFTPFQSNILQLKSMPYQNQNQDVKLQNKSSYLPNLQAHMSRIS NMNTSFELPFELESQTSCTPANAKKTMLVQNRPANKIGCEGGCREIDKYSYNSRKQIQNP NLSVNRNDINQSQLFNYQNRSVDFGRSLGFSNLYNSKMFNANNNQMSVNNNNNLQFSKIQ SLHASTIVNDWLSESSQSRLVLQQQHMFQQYNWIIFTNENSNMRFSRQINIQNLKLLCVQ IDSNFFDDYECILLSDKFKILIMEQKDLVSPEMHMRLGKGDEKGAIQALINKMKIQMQQF MLQYNSRQVIVLIQYQHQFFLRMLIEYIFPQLGQLQEQYKITPFYQIEELQEIIFDLIQT QENIRYDRLIKVPNDLNKFRSKIQNIEFSNLVTMRCLNQLYQTLVQQLTN >CAK81817 pep:novel supercontig:GCA_000165425.1:CT868418:295222:295635:-1 gene:GSPATT00016630001 transcript:CAK81817 MQMLITQELFQQKQQKRKTFSNGSTLQTSELPEYLGIQTIVEENDEQAKSYCKQPSPSYV HYHNLTKQVMNLMKNTHSQLTPSIGKKKSESNLRETIDFDQFKRLMKAIYYPTNQANKLV LIKKRAFSQKIIQKQSS >CAK81818 pep:novel supercontig:GCA_000165425.1:CT868418:295956:297197:-1 gene:GSPATT00016631001 transcript:CAK81818 MSAQQRRLQEQMRKLGYQPAKKSQFQLKPVSIGRQLKTLPDIPITQRVEVSPQGAQKLQN IISTYRASNANIRITSKRTSIQRMSIKEQIKNYVKYQSSIQLDGLDALRNLIKNGDSLSL EINKSQSIEFFVGSLSDGHGEFGSQISSLITEFYIEELKKLFASVPLLALSLTLKDNLKK IYQQIEQKIIGQTLIDTRLSGASLLSLIIVNNMIYCSNLGDSKAAYYFKSDQQNDNPKEI RKFVQINLNSIHDTNSGKEVDRIIRKGGKIDQAIFKGQKEGSLKVWVPKQNYPGIKITRC FGNQIGKSIGISADPDFLEFKIPKSGYLLIASTGLWEKLDILMIDKILENNYPPESWADV DKATKQLGNETKKFWDVDGQGSVDISLSLVYISLEK >CAK81819 pep:novel supercontig:GCA_000165425.1:CT868418:298063:299485:1 gene:GSPATT00016632001 transcript:CAK81819 MDITFNQLDVLQKIMVERGEDIRLLNAINQQVLANQMFFDLEFTKVQQSLRQLGFKIIGL KKNDKQRIEEENKIIEALSKILMVNLPERMQIYRFSQTIIKQFRPEMHIIRFNQKDTKDL FSMFKQFFMAENNFDGIHFVQSNQESDFKMSTAIVLIKFLVSRSREEFQLLIQSASTSVI GKMKQQLEKKTDDTTNDSKVEKTEPEERKPKLLLRKKVIIVRENTNYKSQLLYSQPQESN LNPEKELKYQLRLQQRKLRKDLKNIYQPMIDLDNDHMWCSHAVLKKKFLKYPEKYFLKRG AFYIWKLLNNNNSDIRITIMNFAYRRAKLVIVDKILQEQIQELHKHYNTTGQIPRQSLPL LVSCQQTPKIMKPQIRLQQQLQVPNMSQLPILRSQHQQIKSMEFYEVTTKDQRAHKSNKS LNLTQEQAKIQQKIKLQSSKDLI >CAK81820 pep:novel supercontig:GCA_000165425.1:CT868418:299520:300804:1 gene:GSPATT00016633001 transcript:CAK81820 MNQTFTAEIKLKSSKERGYFDQLVDAVVRPPRQIYDPSKLGATTVLINKLLIYREDFVVQ SRQQNLKLQGSLYSPVYLKGKASPCIIYLHGNSSSRLESSCYANMLAQEGMSLVNFDFGG CGISDGQYVSLGWYEKEDFLNILNYIKTKYQISTKRYPQLGPFGVWGRSMGAVTAIMAAA ENPELSTLVLDSPFSNLKQLCIDIGDSFHVPTIGVRFVFYLLRKKVRKIVRYDPKHINTI QYIKKLSTKCSAYFVRASSDKMIGKNHVENLYDAFKGEKYIFTFLGDHNAPRPTEAYQGI IRFFATRLIKSTEIQKMSLSRKSSGKSHKIEKGYKSQRSYESDVNYDESDDENAKKLNNE QYITEMKKPQLLNVFLSDSDIDSQHSLDEDLKDHDLPQFQDIKTKLS >CAK81821 pep:novel supercontig:GCA_000165425.1:CT868418:300854:301968:1 gene:GSPATT00016634001 transcript:CAK81821 MVANTNGIVSCCQDFGVYCITQLEIKYQKFVLSSWDLLIYQKKENLQIGQKKKKHYLYFN YLVLNLQHKTQNVGNIIFNLAQKTMLVFYFFQLYVYYAFLIYIVFYFARKTLSVRTFKPY QILQLKIYCICLISLFTIFLIWNLYDYITSLSQSYSLCQKQIFHIIRMIGFIVNLVLIAV ARSLNLSIKRNLELKSTILKNFVNFEENCKKINRQRINFWILVYVTFFGQLLYFIEDIYF LVQGPTETCHLYLDIIQSEARIFQYCLLLLVVLIQVQLPFSVTIYAFWFQKPVIKQESDS IEFEEDYFKTIKLQEKPNSHSSEQITTLKE >CAK81822 pep:novel supercontig:GCA_000165425.1:CT868418:302348:303575:1 gene:GSPATT00016635001 transcript:CAK81822 MGLCISTKEGEQQMNEELMKAPTATFEQIEETGEQVFIDDKSDAIVKLADFQFEKVLGRG SFGKVMLVTHKETNKLYAMKILRKEMIEKRNQRLHTQNERAILENVKNPFIVQLHYAFQT RTKLYLIMDFLIGGELFFHLRRAFRFQEERAKFYAAELILAIEYLHKCDIIYRDLKPENI LLDMEGHLKITDFGLSKINVKDDEKTNTFCGTPEYLAPEILLGGGHNKCVDWWSLGALLY EMLAGAPPFYSKDKTQMFKNRLEKPIEMKDWFSSAARSILNGLLQNDPTKRLGVNGSQEI KDHEFFREIDWEKLHNKQIQPPFKPKVFGHKDLRHFDDMFTKEPPQDTPINKMQNYETYE QFTFIKTNDLKNSNQDEEKFIEVNKNFILQKN >CAK81823 pep:novel supercontig:GCA_000165425.1:CT868418:303582:305146:-1 gene:GSPATT00016636001 transcript:CAK81823 MFQNQFQNTPLFEIFDSKGISLNHLDILNKANKEKEKHYQQLLKITTNSFVKDFDKQLKN YIIELQGQNTKAHFPREGDLGLVQQFLCLQIYVPMTQQWTIEFSITDTSKTKRHVYLTQN CKQIEKKPFHIRYPIEVPKNIWLNLQIDLFSFIQGWKGMTFRSLDLFVIQSPCKLRKIFT MKQADPDGYVASYYFSSIMGKHGFPIGIEHQNLIIQYQDNNLEASQDSCQMKITSKKTTG FSQQSQSQQSQKQQKLTQEKITRANIVYDDHPTPKKKTSQPQQLSQQQQQLIITSSSKSN QQDISQNEFDMSTKLSVRNNKPNQQTDSVRRNDKSVQKHRDLSNNKKIQSNQRIAHSFPR KPPLQEQMNQEYYLKTQKFTTFNEQKQKDDEIEENIEIAVEQKAEEIIEESQKKIITANN FNKRSLSELKGESNNQRNDQQFEDSLEQPFKNSVTTFAQEGYYANQLKKYTDLNRPFTPE YNSDFKFGQSHYQQF >CAK81824 pep:novel supercontig:GCA_000165425.1:CT868418:305171:305809:-1 gene:GSPATT00016637001 transcript:CAK81824 MIPQLEQLCDGYNELDKIETLKKHYNYYKQLDQAPIKKQHNDKENFIRQIASEYLNTQFK SEDNLKLNMIIQSLDEEKQIMIKRQQLLPKNIEYDLVNQIINLLDKLKQLYNDKLELQYQ NQNQLNIISNLLNQVYNLNKEFTIKMQELSKYNNQIPNEREIQLQQENDKLKVELEQYKS INNNLQFVQQLLMQAETTKQMLQESLKQYKQY >CAK81825 pep:novel supercontig:GCA_000165425.1:CT868418:305837:308527:1 gene:GSPATT00016638001 transcript:CAK81825 MLFNLPLKQTRQVDLLKPVEQAILNCYGEAKLFTEIRDVVEKTQKLRQHLDYEKINLSIA TDPLTSENLENNLIEYLKHFSLLFKHLRFEQGDQRSPQVMFYWSDSYDHKRSCQLNQGRL ELISQYYNLAISYYYQANSKMIKDTDPDRKAAYTKLRNGLWAFDQLKQHIHGLSKDSISQ LYDITTLNLEFLEHAYCGLSYKALYQNMMSQVKTFGLNIVCGTIFEAAKEFTISLKAVEA MNSQYQKQVGKNFLAQILPMIQFNQIWATVTGCIQMGVYHYGRIPDDSRGQNMGKALGFL IRAQQLLQPVVNDKNSSKTYSPDQLDQLKQLNQQLTQLSTEYNYKNQQIYKEQIVAQDLL PYPPLMEQIRIKPLEPVNFKESVQGANKFSGFVSEEALQLSREIKMFVEQTKYSLEESLR QLFSQKNQAYAEAFLTYFIDMAQQKQLVSGGIPQSIQDKIAYIRSRGCLSGIEKIVEQGK QKSIICNQLLGQIEQELLNEQQQDQQNRNKYGAKWARTPSSQLNQQYFNSLKDLKAKYLQ AQGIDFNVMSGFENSKEAIILAAQNDNQIIEKLPKDNNNSDFVNQNAQTFAQLTSLDQKV NTIIDQLKATIQEYTQIIQETNFTKIAVNGINDGLPKEQVFTIALQTVSALIENFNNNMN SVSEVITQICGIAKQLSNLKKQSVEQVQQSGQTNIFANAQSAIDNIDHSVVFYEQLKMHL EDQDRKVKDFLMARNIESEQLIQQLNQQQTYQQSQQNQAQYQQPQQPQQQAGYQYPVYPQ YPQQPQQPNPQYPQQPNPNYPQGYPPQQYPQQQQPYVQYPQQNQGAWGQQYKK >CAK81826 pep:novel supercontig:GCA_000165425.1:CT868418:308643:309391:1 gene:GSPATT00016639001 transcript:CAK81826 MSSGELPQEKKTLFSTLVQKKQAETDAQKIQNRILQLKMEQEKVLKHIQQDEQRAEEIYR HRVEIQMKKENKMKQKQAQPPPFSLAVSHHQRELLKKIKDDELQRKKSEAKIFRDQLKQD FKGVQLQKSLEQEGYRIKAIQVKEEERQSTQLAVAKLKQKRERVRYEIENEKDRVEREKE LYDLKIQELEQIEQLAMMELQNSLARQQKVQEKIQQAQKLSPSDYEKQYQSIQKASATQ >CAK81827 pep:novel supercontig:GCA_000165425.1:CT868418:309411:309911:1 gene:GSPATT00016640001 transcript:CAK81827 MLNESLESDKEIDPEKERQRLMIKRQKSIEESLQLNVKDHIIKLENYLVELKKDLENSKK EMANLRMEISTVKNNHGTMSDDLSTFIQNDAKRLLNETYKKSQDAQHDSEFLDAQLNVLK SDKDKLLDVTTTLEKRIVSCETDVGFKHVYD >CAK81828 pep:novel supercontig:GCA_000165425.1:CT868418:309946:310652:-1 gene:GSPATT00016641001 transcript:CAK81828 MSGQIDSEEALQKSKVLFERKRLVTISNALQLMEKNAKKYLEQFEQSPDYRLFRTQFRQY QHTSQLDQIVQFQLCDLSDPDISFYRQAEKKILVCYNKIRDYAHFQQIMKYDLTFLYDDL RAKIDWYDCSMLSCMKIRGLNISGKCKQSDKQCFIDEVKTSLERSEVCKGKFDEYFEKSF KQCVMDIAPINSVQQTKKTIFF >CAK81829 pep:novel supercontig:GCA_000165425.1:CT868418:311409:312787:-1 gene:GSPATT00016642001 transcript:CAK81829 MKGGGFKVRPTTRCSTATRRPTQELAILDLYQQTTINPIPQLILTPTQRTLRSRPKTGMK FTNQYFTVATPENKSDHQRLKTNQGSQRITFQSTKNQLETFTDGLIKKSSITHLDTDIPQ QCEQKFKTQPLGGLKAKRSNSQQQVNTTSLIQQQISNLDRYLILSILGQGSYATVKLARD KFTEKLIAIKIYSKAKLCNQQRRQQLKREIHILKLLDHPNIIKYVNTIETQMDINLVVEY GGSKSLRSYLKQFPNRRLDEGDAKLLFRQIVKAVDYCHSLNIVHRDIKLENILLKDNNEI KLIDFGFSVLVNRDCKLGVFCGTPSYMAPELVNKQDYFGKPVDVWALGVLLYVLLTGHFP FKGSNDADLYGQIKKGVYLKANVSSQCQKLISQMLTIRPSERITTLRILQDRWFNS >CAK81830 pep:novel supercontig:GCA_000165425.1:CT868418:312822:313736:-1 gene:GSPATT00016643001 transcript:CAK81830 MKSEENTEKQKSVISSYFGCILLVLKHVLNVWGLYTTSFYENSQLSILYYLRAIAFCLWV LLSTCQFRTSFSDPGEVHQKSVPIKLQLVHEMYGRKCKKCNSWKPPRAHHCKRCNKCFFK MDHHCDWENNCIGAQNQKYFVLFLLYQLLYILTSLSIHTIGIYDYCMKSKRKILPMLMTI TTTKCQILSILLFSFFFIVFVSQMLWDQISAIRDNQTVVESRQGKFGRQQSFMNNFKQVF GDQAWYHWLLPTKPILKINYAELVYSEELINQGTQYLEDVIYDETNPASIHFAEFMLDNY AKQK >CAK81831 pep:novel supercontig:GCA_000165425.1:CT868418:314055:315583:1 gene:GSPATT00016644001 transcript:CAK81831 MIDKDLNPSCEFKHCRSFFQLNYTQMKWNSTSLPFEFASYQHRDLVRMKEGDSFKNRDLR VYDNFLVYEDQNRSYWLDYENCIIDQIQDQKGIRLIKCFDSVEFYCDTQQWFKILKRHTI QSDFQKHYALIKKISKGRFTEVYRAKCHADGNDYAVKILKKQQIIDEADLIALFKEIKVL RIVQTDFCVKFYEIFENTENIYIIMELLIGKDLDGHIEKTSFFSEEKTAKFIFRLIKTIS YLHSKGIMHRDIKPENIIFRQIDNIDSACLTDFGLADFYHSDGFYLFKRCGTPGYIAPEI LRNEHYDYKVDVYSVGIIMYYVLTGKNPFDHPNPKQIVLNNQLGQINLHDCKLSNAGLGF LTQLLNEDQYERLSSHEALNHIWFQIEKVSKIRQYIIKKKTESKKNLSNILQPKQRLTQK YKAANLSPNGKLTLTQIYSPYHSRQLSQKDQFSSDLSNNFMVTSRLSLEFTQGKIQPLFI SKLK >CAK81832 pep:novel supercontig:GCA_000165425.1:CT868418:315608:317041:1 gene:GSPATT00016645001 transcript:CAK81832 MIQYLSKIDNFGAQFKPRITQSEHEHKSIIGGVFTLLVYGVCLAYFIFVLQQWQTGQILP KILIESDVAAFSKFKMPNDLIAISYIRYEDSQIDPFQQQGNILMPIMAIMENNKPISYQS ILSNNEISDYGTNYIEMEQMELVKNMHNDKSQENNKVYLLLITTCKEQYLKSNQTCASEY EITNFLRMGLIPIEITVTLQQFSTTDQKLYEVEKRLQFLLQDDLTLKADLSFQNTKSVVD DHPIFSNQKPFIYFSDFTVLTQTISYNLSKSIIQDDVFIQISFQIDPIEVLQQITYVKIG EMLADVGSIANLLLTVSWFIQQFNKEELENKMIDQIISIYYPQFKSIIKIKNVIGRTVEF RHEKVKINQKEFIQWYKKVRQNAIIKLSVVNQIHEISRLYFILRSQANFQSMIRFQDYGI QMPNKLFEKQKDEINNELPSNEFKVDDYDLESDQIVTNNLCDEDLNILSYRIKNEDK >CAK81833 pep:novel supercontig:GCA_000165425.1:CT868418:317928:318958:1 gene:GSPATT00016646001 transcript:CAK81833 MDSQTIFSRQVTIEDWKQDKLSQQIVLILGVGGIGSVATTNLLRLGVKKIFLVDYDHVEL HNLNRQILYSNKDVNQQKVKAAFENATFHNVGNAEIQMFDLDAVKNWDKIVELASQSTAI FNCIDYGDYWDAAVQSLCLYYKIPMIIAGSFAQSFMAELYLGTPCYACMTDGLKEEYLNQ ITQENITQLKDISFLPANNKPQGQSHQVLCSTAGNFATQLLLNYLNEQADSQTSKKVLFY LTTFEVVIFPVFSKNNCHICKYQEPAKEQQIQATEPAKEQQIQATEPEKEKLVQVPEPEK EQLIQATETEKEQQEQATESIQKQN >CAK81834 pep:novel supercontig:GCA_000165425.1:CT868418:318993:319581:-1 gene:GSPATT00016647001 transcript:CAK81834 MKAILLLVLLTTGLASESFLSTQQEIQEMELTGSHLSDFLMGYAAGVGVLDAMPDILHCV GTVTEIQNDFKLAIEDLKSKNVELVVRGVQILAKIFDGVASNCGNTAIDGVKSYMNVVAM IKAKGFIKRGWIYIGKNFEEVVLDLQTYFFLGDDDWLLKGAYIGDIVKIFFTGIPNDK >CAK81835 pep:novel supercontig:GCA_000165425.1:CT868418:320143:320919:1 gene:GSPATT00016648001 transcript:CAK81835 MKLARLFRFSTVKFPYNFKGVKPIHDASIEDYLNTIFGSNLSEGFLLAYQNLLESLTSSD YEEFIHENCDKNISKALIEGMKQMEKSGQKLKLAYNDKCKTNVMYGNSTLHFSCDHNQDL LDQKPDFVQGQGSKKAKMYKSGIDFKTMTVQRGIIEIAIYIRSPLFLSISGQEKYEEAYH RVDFRTHSISKFSFIDAKILTEQIMQLQKEKSAQAEAQIIIDSLGKDFTWKILNIDEYFK >CAK81836 pep:novel supercontig:GCA_000165425.1:CT868418:321112:322890:1 gene:GSPATT00016649001 transcript:CAK81836 MKKKLYQCVPQDLKWKEDKMIIQSQFKKENDEICYLLGFNNYIIITKVMKVFQPQDLQQQ PKKYIHLDFDIKFEILRENQVKQGDEDDSLGQIKGISLIKDLGNETITYKKYLGGEKIIK QWREYLSNRINQWQFHQMFKVYKKIGKGNFASVYLAQKIEDQKKMAIKAFSKQAAYAEEQ GKEAIVNELKIMRQLNHAHIMQMHEVYETSNSLYVALELLEGGSLYDLIKEKTLLSTTQI QQIMVGVLQGLHHMHQKKIMHRDLKLENILFKQQKQMDSVVIADFGLATKVDEPVYLYYR CGTPGYVAPEVINIKDVQGHYSEVCDIFSLGLVFYLLLSGKQAFPGKSYGTVVKQNREAV IDFKIKQLQQAPSQALDLMKKMLERDPNKRVSATDCLKHPFLAEMNNQMADDFVNDSFDE IDEIGEVALRMNALNEETIKFDAFRRNAILNSPGSPGILDTKQLKQQKVIDSLNQLQMNS PLLNGKTDTFDSIPNIGTPKNKQCQNGFQQSPMIKHSQFKKSIPLQQQNQDNPLQKYSQQ QKN >CAK81837 pep:novel supercontig:GCA_000165425.1:CT868418:322952:323245:1 gene:GSPATT00016650001 transcript:CAK81837 MGCHCTKTKEIKQSKQLKHPNLIKLKPILSDQNTQSPITTDQMIDDLEDMKPPKLCYISN TSIGGIGKQKQIHRVIGGKKEIFSYLYIRPYQPYQQK >CAK81838 pep:novel supercontig:GCA_000165425.1:CT868418:323285:323590:-1 gene:GSPATT00016651001 transcript:CAK81838 MQEKRDQLILDIKIANYRKQQQQRQQQEQQFKEQLPKIKEQKHQIFQDDMHHFVKSVFTG FPIALGSVIMFRSLMIFPFAIWTTNYMQNNMHKVYEFLENN >CAK81839 pep:novel supercontig:GCA_000165425.1:CT868418:323619:324830:-1 gene:GSPATT00016652001 transcript:CAK81839 MSNANQYTENALLQEQGTVGNANQNQMQQENQNQIYTFKAPWPIYAMGFQSKPTPQSRIA IGSMIEDVQNEVYILQLDKEQESFFKKAKFNHRYAPTKVLWIPDVEGKYPDLLATSGENL KVWEYDDQNAQVKIKWDLKNTSDFNAPLTSFDWSCKQQNYIGTASIDTTCTLWEIEKQTV FTQLIAHDKEVYDICFSVDHQIFASVGADGSCRQFDLRALDHSTVLFETENNNPIVRLAW NKMDTNYLAIIEMDVNYVTLLDTRQPLLPLAKLKNHKDFVNAIAWAPESTTHLCSVSDDF SALIWDFSELQNKQNDLNSIDPLLEYKAENEISNLSWSLTKVDQVSICYNKSCQILNV >CAK81840 pep:novel supercontig:GCA_000165425.1:CT868418:325489:326629:1 gene:GSPATT00016653001 transcript:CAK81840 MDPNQNEDVFSAFVDEYQKTFFEMLFRFESKAEPIKDAWTREDGRGHGLTCVIQDGNFFE KAGVNVSRIIIPLSQGIYQQMKGRRVPGSNLDQIDMNNLAKYDTYANGVSLVIHPINPFC PTVHANFRTIRIKERETGKLIDSWFGGGSDLTPIYLFDEDAKLYHQNIRDAIVDVTGDDK FYRQYKKECDEYFVNHHRKEARGIGGVFYDDFNLESFEKGLQFQKGVAYANLNSYEAILN KRKDTPYNDQNVRWRSFRRGRYAEFNLIHDRGTKFGLMTPDARIESILMSLPLTARWEYN YHPEEGTEEARIVGVLLKPVEWV >CAK81841 pep:novel supercontig:GCA_000165425.1:CT868418:326630:327782:1 gene:GSPATT00016654001 transcript:CAK81841 MKQIAFRLNHICQAQFGLRTRAGSIIKTFNPEKKTREQIRLEIEDPFQAERLRQIQLEED AKNFKQYIYNLKQNDSNPNNFIKVKVKNVEVAKTETTESDISKPFIVKKIPKQEKILISG IRRKVPASLRRLIPICRPLINMHLYQAQEAIADSGRKAAQFLAKTFVMVRSHAVQRGYDP ERLYVHSIQVGRYKKFRKARFHAKARINPAFRETSQIKVILEERPTKEMFKDFVQGKTPS VISYLMKDQLVREKGDYAKIRRFQLFLTSKGRQQQKLMLKRRAQKEMKEKGLSFKYLHRQ IVEQEAEQLAENYDTGKSGLHRFNLEARQTLFKKNEEINN >CAK81842 pep:novel supercontig:GCA_000165425.1:CT868418:327887:329610:1 gene:GSPATT00016655001 transcript:CAK81842 MQSIENYQYDKKGFLGAGSFGSVYKAKNIKTGEIVALKILDMKLFQDQFMIDSLKNEIKV MQTLTSPNVVRMLDVFGNKQQTYMAIELCDSDLRSVMHKKGHIQEQQAIELLAQLMNGFK DLVSHNYIHRDIKPENCLVKSNVYKVADFGFATKIDITGRQLLRECVGTPIYMSPQILNK QQYSAKSDIWSIGMMYYEILFGKTAWSCRDMYSLLKSIKTQPLRFPYERPISENSKDFIK KCLMIEETNRIGWNEIFTHPLFTMKQSGQQQQKQNYELPQQCIKILRKMQDVVTENNIDP HFIFQRFDKDKNNILDAQEFKTLILAVDPNTTPYQIQALFSRICGQDQKVNYIEFQKLFT EFDFSDLNDRAGIIIKDIQAVIKANNINVTQIFNKYDKNHQGDLDYQEFYNLIKVIVPGI KDYEIQLMFSKFDRDNNGAISFPEFSYILSKGTGQTQKDGITQRASGVLKQLQNTVRINK LDVAKIFQRFDKSGDGAIDQAEFFLLLRAIDGKISKNEAASLFHIFDKNHDDQISFEEFK SQL >CAK81843 pep:novel supercontig:GCA_000165425.1:CT868418:331856:333247:1 gene:GSPATT00016656001 transcript:CAK81843 MISFDDILEKKIRTGSYQFKTLGIIGLVEFCDGIEYAYMSILVAIIQKEWELNQQQIASL GSSFLLGMVIGNCICAFITDIIGRKTTFTIFTGLSVFLIYFTSICTSYNQMITLRLMFGI VFGTSYPLGYVFISEVTEPRYRGRFGYSMGVLFVIGKIYLAFLCIFYLNDFTSGNWRGLI RVNGIPVAISFILSLFFLKETVRYYLNSREYKVAFDLIDSTIQENQREPEILTEEEKQGL MNWQEKQIQINQEQELNKFGILSQNYKYITIKIWILYILANLQNMSIYLLMPFLFANNNS NLSSMLFMFILELIFALLLYVFIDDPNIGGRKMVIGYSSLILVLANASLYIFREHILFFG LLLIKLACRALFSTLGLVCCESYPLQLRAQGTAIAFGIGKTASIPSPFFLFPLFYLDPYL PFALMSVLSIIMIITNCLIGEDKTMKPLESHKEE >CAK81844 pep:novel supercontig:GCA_000165425.1:CT868418:333340:334327:1 gene:GSPATT00016657001 transcript:CAK81844 MSKLIQLAFKPQYFATRVQSINSKAKVFTAIDFYNNLLLNTQTNQNGRYKFQTSDVGHFV NMVEKDNIKEAIEGFNNILGHNNQVNCGIKDKFMLKCIEFDQTKYVLDALKFHSAIRYFP HPSVIITLAKKLDNEGLIELFKILKTSPFLRVDSANLSSLIQDDPKISYEVYRVALQKNI KLQPKDLYRIARQFLSGNKVRKVGFMTQFAQENGNENDIYSSLSVLFTHVYLTNKQQAIV ELAKIIEHKDGLTILKEEEQYIKPLLEILQKQVENDEKWKPRLDELISKLS >CAK81845 pep:novel supercontig:GCA_000165425.1:CT868418:334330:335004:-1 gene:GSPATT00016658001 transcript:CAK81845 MFKNSFQSGFLSILYSIGSKPLQIWDKQIKNGHIKRITDQDIQSSVLEIMGTNVSTNFIT APADPKETLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT MPMRLDEGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANCRIRRIYFSDRLYSEEELPPE FKLFLPIQKQG >CAK81846 pep:novel supercontig:GCA_000165425.1:CT868418:335657:336454:-1 gene:GSPATT00016659001 transcript:CAK81846 MIESKKSELKSDLKETNQQIYELIEQANQQLQQLFNKREEELLKLYKQEMLNVQRQLVKI RDEESQTEIEKRIQKHREELAKERELYLERSIKLSDNIKELGKFDRDIRQSFNELQSEIK FLDEQLIYADKHNIILQEELNSLGEEEQQIQSQIRRQPKSMQQLQSVRLMPLPQIQRSSY HIQQEQELNAKLKQQINQVEEFQESDLERCFDEALLSVTEQSDASTNIDLTCCNDFSEYS KRRIFEEFLEKPSVKQKIYELLTKR >CAK81847 pep:novel supercontig:GCA_000165425.1:CT868418:336770:337381:-1 gene:GSPATT00016660001 transcript:CAK81847 MIGTQEKTTLEYPRLGDLLANVGSIVSILFMIKYFIILLNQYFLDQKILNELINFYYPEF KKIRIQKNWRGNIVNASLNNIKIDTKNYRKFYEKVSNQMRQKSSYLNLLYEISRLYFVMR SSKFRNEFQKSHQIGIKINLFQQKESDIVFTPKSEKSFENNYILNEDDAEILSYYRSQAD KKYDLIPEEMYDEVDYYSMNKIS >CAK81848 pep:novel supercontig:GCA_000165425.1:CT868418:337521:338213:-1 gene:GSPATT00016661001 transcript:CAK81848 MKILKKADLFGVPFVQNIDHQQTKYKSTLGGIITIIILTVSLAYVFWIGYLWQTNKMSPK ISRQNYVSDYSLLDLSEEVISIYYWKDYEGKIDPFQNNILLPLIVYTNNNQLTEPQLITN HYTAPFGEAYVPDMKLGFSYDNDYIYTSGEMIVEIVLCSEIYLKPGEKCASPELTEQFFA QPSNAIQLEFYTTSINPMDGSKQRGYQEFTIQIEVTILLNSAILFQNNLI >CAK81849 pep:novel supercontig:GCA_000165425.1:CT868418:339600:340449:1 gene:GSPATT00016662001 transcript:CAK81849 MTPTPVPSNKPLQENQQPQFQPALFRQPQTYQPLQTVAPIQYQPYNAPLAYSVAAPVQPV VQQIVAPQPILAQSYLPQPVQQSQTIKGESRIEYIPYQRAITEYEEQEVVQYVPRERKVT EYYTVEYQTEYIPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQVAQQPVQVAQQPIQ VVQQPVQMYQQQVQVVQQPIQVVQQPGQVYQQPVQTVPLAYGQQYASPIISSRLIPGYQP QQYLPAPQIVQPQVPPKYNLNSNI >CAK81850 pep:novel supercontig:GCA_000165425.1:CT868418:340577:343307:-1 gene:GSPATT00016663001 transcript:CAK81850 MNNKNVPTGLHYVYSPQVQMRSLASLKIIAPLCIVLALCSVVIGFIWYAFNGLTEFLIIG INNIAVLFTCIFSLYWSLTSKAIGNEVMDSPDGYHNNTIPYLHSLFTQLVCAIESGLVLS LIEYDSYNQFLLVLPIQILEIFLLLYMANVSRQFNIREYGIQRLVVYGTSVVNLLFLIFA SFSLKQVMLQINSQLYQISTTNVEITLVKLVLFVLFITTLIVAMFNLKRIKIYFVTLAFL ILSICILACCINGLLVRRVQELEVELSTNQGCKFAMQSISEKSLNDQLECSEKYFDLELS PYLPCEQDVQSYQWEGNAKNNKLGCINLKCCNSVKEYVFNPINSLTIWLNLIIMVGIVQS FNTAMLSEGSYKKFRMHVVGDAMVFIILVLLAILVVVAYNITPELSVNVQHSLVKQEAML NEITVLPTPIYKNFDKQEKLVGFYNLQSCEPISSVMIQKIAFKSTENLKGITLAISGTNG QFVALKEFNNEKLKIIIDDEITKAIFSSLTQPFDAIIIQGDLEEAEKFFNNNLHYCSDNP LSADFDFIIEYYEIPQQHNRMLQALSKEQQQVGDQAFQFYNVQITIENSADFTPIADTLV EVYEGKFLSQSCQIIRQLENNLHELKTDEQGNVVVNNLSQGYSYTVLVYKSGFKKSCSVL DLQRRVPKTKYVFRLTKSIPKHSVRILLEWTSKNLNLDLYGVFKVGEHPCLTGALSKSCG GMQLTTFSKNDQHIEVLDIYQLEPQVYTIFVKRFLTRNEALDLLTNSVVSQQWIDADPHI TVYLSELNYPLIEFRLPQNINLQMDKLDLTWMVFKIDGQQSDAPQTLQKMESLITNDEIR TNTASNKPFWPEI >CAK81851 pep:novel supercontig:GCA_000165425.1:CT868418:343595:345356:1 gene:GSPATT00016664001 transcript:CAK81851 MMHYRSLFRFSHYPVLQSLCDRADPSLLANFELMKQSNERFLNITNKVINYGGTVAHQKL AERNKFPVRERITRLLDVGSPFLELSQLAGYELYGKEEVPSGGIVTGIGLINKRFCMIVA NDPTIKGGTYYPITVKKHVRAQEIAWENKLPCVYLVDSGGANLMRQDEVFPDRDHFGRIF YNQANMSAQGIPQISIVLGSCTAGGAYVPAMSDENVIVSGNGTIFLGGPPLVKAATGEVV SAEDLGGARVHSFISGLTDHFCTSELEALQKGRSIIKNLTTKQVGDIRDDQPLYDIEDLN YLMSSDLKKTMDSRHLIARILDGSRFMEFKENYGTTLITGFGELYGQEVGIIANNGILFS ESALKGAHFVNLCQQRGVPLIFLQNITGFMVGRKYETEGIAKHGAKMVNAVATATVPKLT LLFGGSFGAGNYGMCGRAYGAKFLFSWPSSRISVMGGDQAAGVLTSVQQQTIVRNGGEWN EKVEKDLKQKYSQKYDNESSAYYATARLWDDGIILPTQTRQTLGLALLTSMQHYKYERTG HGVFRM >CAK81852 pep:novel supercontig:GCA_000165425.1:CT868418:346607:347469:1 gene:GSPATT00016665001 transcript:CAK81852 MSLRRMRSGGVHADSEQDFLNKIGKLEKQQKKRTYVEETETFEGFIMNSQFTFDCFGGNQ ILKTIQQSHFILIMIDDFALSPKRLQNMIENNNCETLTQSTNDDSFVWSSQPMNYDCVVH NYTVGQVNHLFNIWLINTPTMNSTFKYCYFRFFEAFICVNNEGSEIFKGIYNEMRTYNEK VQQFHIKENDEVIKFGITRFPRIANQLIIFNKLQKVQLIRQRNDESLYDDIYLVYCLCLI YKNPQNIHIQKKNFTKK >CAK81853 pep:novel supercontig:GCA_000165425.1:CT868418:347509:347864:1 gene:GSPATT00016666001 transcript:CAK81853 MADQSQAEYLNLKVKSQDGEEVFFKIKKQTQFKKLMDAYCSRQNLQIQNVRFLFDGERIL ETQTPADIGMETGDEIDVVIEQVGGMKCV >CAK81854 pep:novel supercontig:GCA_000165425.1:CT868418:349058:349630:1 gene:GSPATT00016667001 transcript:CAK81854 MQTQPGVLDLSAYNLPKSDSLSIRIQENFSLYGVRYAQLVVVAIAIGGLTNFAVLLALLL SYLGWAFIMKQSSILDFLPDLGFVNTQDKKMILLLLFNILLILGDFRSSNIDLCGSWMFN CNCACIIMEKSINFTWIRHLIRVRQSVNVK >CAK81855 pep:novel supercontig:GCA_000165425.1:CT868418:350843:354211:-1 gene:GSPATT00016668001 transcript:CAK81855 MSQTADNLEEGEVKPVDSTPVWGGEQSAGGWQVDEVKKTQDSQQGRDEIKENKDSNGQNG TAAESGGWGDQKQQQQSSWGETKAETNDNAWGSGTTGFGSSSTADNGGSSWGGGSNSWGG GGNSDNGFQSDRPRGRGRGDGFRGDRGGGFRGRGDRGDRGRGDGFRGRGDRGDRGGFRGR GDRGDRGGDRGERRGGYRGGRDNGGSWGGNSNNNNGGGNTWGSNGDSNQWGNSENKDNSG GGWGSTSTNEQPAQSGGWGSTTEQPAQSGGWGNSTQQPAQQASEGWGSKTEQQPQQAEQT QGGWGSTTEQPKQSNSAWGQATEQPVQQSNGWGNSTQEQPAQQSGGWGSTTTEQPPQQSG GWGSTTTEQPQQQGGWGSTTATQDQPQSGGWGSTTEQATTSGGWGSSDQPAQSSGGWGGS SDQQNGNSWGGGSGDGQRGRGRGRGDRGDRGGFRGRGDGFRGRGDRGGGEGYRGRGDGEG YRGRGDGEGYRGRGDGEGYRGRGDGFRGRGDRGDRGGFRGRGDRGDRRGGFRGGRDNENS GNGGGWGGSSNDQAGGGWGSTATEQPASSGGWGSTATEQPASSGGWGSTATEQPASSGGW GSTATEQPASSGGWGSTATEQPAQNGGWGSTTTEQPASNGGLESTKAPEQPTQNGGWGSS KATEQPAQNGGWGSTATEQPAQNGGWGSTTTEQPAQNGGWGASKATEQPAQNGGWGSTAT EQPASNGGGWGSTATEQPAASGGWGSTATEQPASNGGWGSTTTDQPAQNGGGWGSSNNDQ QQSNGWGSNNHQSNGNGERGRGRGRGRGRGGDRGQDRGFDRGFDRSNNNENGGGDFPTQK RVKPNPVVITISDDENGKASPHFSEDELEKANIINEALKQTVDAKPITLQLPALDAKFSP LYNPEPDPDSLKTDNLCHLKGGLYPHEQYRKVKFVYTGGIKVDSERQPVVNLVTFDNDLE FIESCPKVDLAEKQGIETVFLSPLINEYRILKNELVQLRQEERRTQIRRQYMGVVEGIDK ENQDLICQVGLALLKEQKAIKLKYGFLFAELTLFHIKQLFGSLDYAEHQSLQAKEKELRP TNLVFVVSITQKWLNDVPKLKCRRRKELL >CAK81856 pep:novel supercontig:GCA_000165425.1:CT868418:356453:356689:1 gene:GSPATT00016669001 transcript:CAK81856 MKEKQNSIKRKIKKQSPKKFQNIDDQLSSPLITNEILLEKYPSYCSSEISSPDSAREEPG SMLEFLLHLENSNYCPFI >CAK81857 pep:novel supercontig:GCA_000165425.1:CT868418:357043:357279:-1 gene:GSPATT00016670001 transcript:CAK81857 MKEKNNSQRVKKIRKLFIRKHLQKENLSSPIITNEDLLSKHQSQCSSLLSSPESSKFIPG SMLEFLLTIEEIHSPLFI >CAK81858 pep:novel supercontig:GCA_000165425.1:CT868418:357534:360078:-1 gene:GSPATT00016671001 transcript:CAK81858 MANILQVYEQCINNPEILRHGDQYLSEYLKNTPNFILDSLKLIFDINQQQRKYIAILFKN VILLNWQHLQQQIKSDILKVLVDGLQQEQQVPVQEIITIIITEVMRRDPEYQSLIPQFLH LYALNPHIINTLIHIYQQLDGQNLISYCEIILQNMLSQQAPTSKTYNLVYQVIKMFSHCE QTDIEQLKQCFDSTFIQWMERFSQVLQQQDQLESQIYIMKALNLIFKEMKSYSKQIRKVI LPQMTQFLDRLTNSIQYLEEINFLDECYEQEPNQEVLLFYTLQMLMELNNQQLCNSTIYN SLLQILSMPIKNINLDHFIMEDDPTVVQAVLMFFEQQLSNKKTSQNAKQLLLQYLQQQVE MVGQYVIIAQFSSDLFNPNQNFVIIDQILKQQSNNQNQVFQLQKLIALTNLYLLTQGQVE VFTQLFQLHVQQLKSQLGLVNLFNLGKLCAHASKNTTLDEQSFKYLVDSSNIQFPSIDQD TAHILFDSVLQMLKLCKTLQFPILQNIYHYWTTYPHDSLLGQIFYELLEILVTIDPVSVQ QTFSATNGLIWLKVNTIIAKHQPQLLINFIPQIAQQLLVFNEFTPQGIMLLKTLLQYNSI DQLTLNTVIQILDIQLNIQKEPELEALTEHVHDLLFVVWNKHMNRQITIQLLSKILQKIM HSEIPSTINGLTTILCAIYLQHSNQLLQWFQSNSESIQQLFSKWLRFASILQQKSLLQLQ INVIYQFTLLPFFDQLFYVDPFIDKVIPLKAKMTEFLISNQQQCKTIALDEDDEFQDIDS IETEFENDITECHNLIQPISISFEHLQHLSKHLSKEQQQFLRKQ >CAK81859 pep:novel supercontig:GCA_000165425.1:CT868418:360096:362454:-1 gene:GSPATT00016672001 transcript:CAK81859 MDLTQSQEQDKIIQYYLNQQIKKVADEKSQITLQQEQERNKIKLQVWEFLTQQQSIQQSR LNATPLSLISNNSAQSDRFDVQMDKSKMKQQSSKFEQSMQFQKEHPNFQINYHSFVDSKD QQYKQLDFLIAQYEEKTKNLPKNYFKRQQPDHASNQLQQDQDDNDEQYNQDFDQDEEIQE VIEEELQESSMQTQQKPLKINQQDNQTAYNNLIKLRIDRLDTNFANAETSKLNDVSKSSE SFSDLKISPNETNKILEISRQVDASKQTVLKFDQSYKQAKSNEEPLIEQSQQSMSSKLLE LSQQQSKLYEKQRIEYPQMPSLDQEFSYKIQDQSQIAKSLYANKQADQVSKFDQSNFEQS KVQTQKSQYDQIQKSNATSQIQSKYNQIQEQSKNQDLEEKSNVSNQNQSKFQEQYSNYQQ SHQTSKFSETSKRAPSTEFEKQQSYQNYLYTNSNANKQYQLSQDVQNENNNEEFQEEEEI FSEEEDHNNKFYQFSINFEDQDDKEKQKKFEVLKKKKLQEMEELKQLRQQRNKEQQQQQS SQNNKRDQSPLMPSASLKKLQQQQQQQQQQQQQQQQQQQQQQQNSLNQFYQHQSPQFYQK NVVQEKEPQFIPTSMPQSKRNASANRKSPMARQKETAHFCSDIDRSISVEKNKKNNKTIL KNAITYVCLAGEPNKREREAILQKLDGVEAEHFIILFKTYGRSDFRALYGYAVDSQPYLI FGQGKCPQVLTSEMVKTFFKYDSGAKQFKAIDGNKQFTIMVDAVQLRK >CAK81860 pep:novel supercontig:GCA_000165425.1:CT868418:362473:363479:1 gene:GSPATT00016673001 transcript:CAK81860 MSTDSDESEFLIQYFSNLILAPESQKPQPINNEYSSQILYVFVHTNQRFDYKSWGQEYFG KAVKISMFRRTQKYTILKLLSDQVLFQNFRHQEMNCCIKPTFHISATLSVQVPFDYINEY TVQGDKTIYLLGKQCKLKEDDKIEFKSYKVDLLINNTEQIMKVICSILNSRRQGKILIGI SYDKDLNGYVVSGCFCQEKKAYQVMNSIMNKCKEFGCDQWVNIRLHECGVLLQDQCYVVN NLKIFEIIINEGTNQLITITPGKYYIRENGQSVPIKMKQLFNYWKDKMITEEEFEQCLNF YDELMKKNKLI >CAK81861 pep:novel supercontig:GCA_000165425.1:CT868418:363551:364921:1 gene:GSPATT00016674001 transcript:CAK81861 MKYLVLSVCALSVLGFIKPQYDSGIDQSLHWAQTPYHPLQQSVEVGRSFQTLVKFNKPFK STPTVILAPIKYDFGDTLPQGFDIQLSAVNTVGFTITYVALSPATIFGFDVSWAAILDSN IYTYELQTNNLPVVKSNKDGTTRAQPLEVIFPENWSLKSAPNVAIFILGLKMVEAWPKIT VNMAVITKTSAQFKVTVGQKGTIEFLRVNMIIGEKDTLLVGTITHKTSGAADPLNAVAPE SERVKKYEEKITDEIFLKTPRYTLYGISGLYYNYGAFCRFLTKNYLLVKDKLSVEIGTWQ NSQLLEGQLNWFIYVPKPDAGVSDPDCAQVWESCNYQGDSFTVCNRKMSFPKDGWNKPVK SFKVPDGKVLKVYNEENLKGLSIRIEKDLPCMEVPKFSFLQLVGSLGDDKFWESD >CAK81862 pep:novel supercontig:GCA_000165425.1:CT868418:365665:366271:-1 gene:GSPATT00016675001 transcript:CAK81862 MIRRQNSLPEILSIIQEKKKAQLQKQQSLQIPEQDPQPVFKYVLHEHQERIQLAKMFYKN LKKRSIARNVNEIKSMATLNGFQLKQGQVTRQKLYVEQNQIQENPQIYLNQKIFPQKSSP IKTNQRTSPIHRSRAFTTKSSFHLKSMDLTKNETMISLKKSNEDKPTIKTREVDDIKGQA KLFTIVYKSLKFQN >CAK81863 pep:novel supercontig:GCA_000165425.1:CT868418:366297:369541:-1 gene:GSPATT00016676001 transcript:CAK81863 MKQLIPSHQTQSLIRITKTGYSKHSPNKGRSNQRNQSMPGFPTQQMDKEDDEEVNGMLIQ AKEINKLVQDQELCSLEQIQDKGRSLLIDNQHLVFDNHLQLIKPNLSNASEQGLRRYYLK SERYKEMEQFYHVDKNIYGRMSEKIQTERLIPRTLKLLTDSPEKLVANNIFGSDKYVQLF AEGISSSHFNNLKRLQLRNNKLNNNRTCLLTQRLPSSIIELDFSNNKIGTSGIQSICNYI SSRNYNIQHLNLEDNNLKDIAVLTILKTLNDSKSIRVLKLSKNQITDLPMDALGQLLKKN TSLQEVYLHFNQIKNAGGLTIMKGLIKNQFLKVLDLSFNKLGQNQEFISALSDVLIKPHP ELTHLDLSFNRFNDEDAMAIHKALIYNQQLFGFHFSGNPHNFYVNPRAYLVKECQYDENK SDPKLFKRINSVNCINIKTKENCWICEGWVEIKFHYSGIEYQHPIFLHVDFEQYRPILMN QQGNSYYLQRMCPPNKKIHYFFSNPVYDVRFVAKDQKTLTLDVNEEIRKRGFELQYADGS SVLVQLSIVNYIHSEQSGDIIDSSRHYMANITCRPRESESIIDIDLNKAKKRVWSYENSI FKDFQPDTEGHLLDCFEFDFECGKLIKHLQNQQEIEQLKDKMKKIYKYIIGCYHYFCAKT LNYDLPCINLQSFLDFISQTSILEKSLMNSLDLQLTFLSSYVVMKQTNYIHIQEKCLVRF QFVEIIVRLAKEQYIRTGVATNMAEALEMLFEQDEVLKFMQKFGISQDWRDNRYWTELMD YTIKIKMPVLVILYENACKQTMKHGNKYITLSDFKLFVDQFELQKYIAEKELYLIYLQSM QTQRDELRESKFLKMELLEFVEAITRLAERISPVTPMYAKKHNNLINDVSRRTFPLFVKF EGMIMVMYQKLKSLFLEIPNVEKEIIYKTVIKTEKARKLGIYEEDNSSDDEKIKSIRNLN LLPDEGQLPPPSQSVGWSKLKQWAQKQKRISMESANILEQLERYQLQEEEMFQKQGDTIS GYDKHKITQEKKKRNEYKKTILYQDPQFKFEEEVSN >CAK81864 pep:novel supercontig:GCA_000165425.1:CT868418:371520:372410:1 gene:GSPATT00016677001 transcript:CAK81864 MSKFLLLFIFILATTQAITFDLTRHCACEELNESECHRLYQVCKWTTTCETILSIASFCS SLQESSCTKLESYYQCVWENKACVEKTYTCDDFKTNPECTHELDCWWNKSGKCASFTSCV DYDESNCNRSGCEYISGACTQITCSSFQSDQDCKYMDSQHSFCRWTDDNKCQPLSTTATC TDLSSYKFKCEDNFACKYESNQCSFRTCAEIIGKYNNCRTLPISGTEKILCSWDGTTCAD AEDASELTESNCFEWSAYNYRWTSDNKCEACDTLVSFGNSTSAAIIPVFFAIAFIT >CAK81865 pep:novel supercontig:GCA_000165425.1:CT868418:372639:373047:1 gene:GSPATT00016678001 transcript:CAK81865 MAESGRENWAVDELLKAEEEANAIIKNAQKEREKKIKEAKVAADQEIAVFRREEETRYNQ EILRRFGSTKEEEELERKTKAEIDKIYADYEANKLAVVDMLIKRVIEVKLEVPRVVKGQF EQPQQTI >CAK81866 pep:novel supercontig:GCA_000165425.1:CT868418:373056:375689:1 gene:GSPATT00016679001 transcript:CAK81866 MAQSFTILQNSFKHFGQGHYKESMEELQKFKQLKEQSDQNQSMVQINENIILCEYNITKD ANACINKLDEIIDEIKKPSPQKKKKQEDDSILQFNKAIMFFLSGKVRQAHNLLKYLKDNY NLDIYLNIKVHLLLVETSFQLQEFLYASELYKKLSSEDTLKSLQNKITKSNQVDDQQQQS QSIYTSLLLGSDLPYPDAHPNTFSKEEFLFILNVIKFRFYIVSNTKDWNKKLNSLEQAFK TYMRQLDQQSGMQQDQAVQFSAETQPYLNLHSQMMFQQLKAQRQVALNENVGQCIKMINP CAEKQNQQNQDFIQSKQSLYLTQIYNNLGCVHAKLGKYALAAVYFHKAITQTRQVLQSPN LYESVIQTNVKQRQFAIYQNLADALFMDQKYQKALNIYNQLQEACNQSAKFWYNRGVCYI QLYHEFNPDKNEIYEISEEHSQINTQEEGKKIILQSREIYSDADEEYQDQFYKIDQKSEE NKLVQPKVIKELLNNAIKSFRNAIILSKKEKREETIQIDQEQLLIAGSQLFESSIVFLTY AYLCRGDYNLALQQGKEALEYNLSDSNKYTIIQYVLEAYISTSKIKEATSYLNSNGVANF LNKFINCNLQVQCRNVIGIQTTCFSSQSPKAISHFNQAALHLHNNHLPQAWNSIQSLMSC CDITINQINQVIPVPFLNLLIWYYLKSDQAQLAIHLIKRRRLLTGQMGKNKISLLNITK >CAK81867 pep:novel supercontig:GCA_000165425.1:CT868418:376377:377867:1 gene:GSPATT00016680001 transcript:CAK81867 MSKLDNLKNLQIQQLKEVNPEHGDQTAAVKFTSNRINALEKFVRDSLHQDKEYFAGEKTT LQNIIETLTTESNRLQQHLHVNRESKQQIEADLKISQEEIARLHAIVPQHDEKQLQNEIQ ETKKTIVKLNKMRQDEANGLNINDLKQLNIKNKDKESPVNELWIWSLVVIYKEPASSYYW NNFKEQVLEKAEGSQDFKERLGRVKAVDMKKDEYERTQNLLKQREQILAADILTPAIKTQ ITNFFKIVDLMIEVEKHSKSIHNNEKLLQQLATEKQQLQSETSKNQDKAQILKDRIAFLD TIHKGYQFMYDAMTKKIHSYQQAQILNDKFTEQIEQSFSNLDFSKSYNQQYVPQIVQTIQ HENIEVQDNQQMKVQQVEVEVQQQQNQEHQKVIEVKQTPEEQQIDLQQPPTEIVQTKTGG CEACSIF >CAK81868 pep:novel supercontig:GCA_000165425.1:CT868418:378320:379780:1 gene:GSPATT00016681001 transcript:CAK81868 MQIPSTESAVSQDHNISKWRSYGLLETDGGSSSFSFQQKKKKPIQKSNTMHNLNQRVKTQ PQENNDLKNSQSESKHRFSQRTQQSIPSRSSINKQPLDDDIQFDMFSVNPGSNILNLGQQ TIPIVLQLRTKTIEELDQIGVDLFCLIDISNSMQGQKIEYVKQILHSILSNLREQDRLCL ISFNNEGKLLTGLQKVTQETQEYFAFVIDDLQCIGTTQLWKGTEVAFDVINQRKNKNNWA RILIFSDGQDEIALTKIRKQLEYNYDIFTIDSFGFSNSNANKRLSLITNLRFGKHYIINS EQQVFNSLEETFANFPFNQWDDITITISTNLQNIPFEKIMISEIHSEGWVELQHQHQYQI TIPNLEIGESFLFPIELAFYKFNDNILDKAKPVSLLKGKIEMQNSITGKRMIKNVELDAI FLYENDSNTLDFNTYFNCKQSPLVIWVCDKQQTCNYVNLQQNSIENHTLN >CAK81869 pep:novel supercontig:GCA_000165425.1:CT868418:379934:381143:-1 gene:GSPATT00016682001 transcript:CAK81869 MNAIIYPIMPSITKIEGFIVSYNNTKQLIAISLKELQLNFRKEKAIQELEQQQQQQQQQI QQLQEIKQEPQQVQCYQQQQIPQQPIFLDNQQNVHQILGQQNFQICQANCYNISYNQINY EEKYQEDIENKENYSKCSTPKFDEINNDFQIQPNYIKVQTSKKKSSHQKGIFFTFQKEEA YEFKQKIKKESLQQIYSNQKKGPQFTISIPKNNLTFQTDFYTLGFKKLREQTIQDLLKKI LNYYSQSVKIYQCNEKLGMKMQLQNQQLLRELVVLEGTEFKIIDIASDQVQGVDQVKFEY GEVEFHHQDNVNYLELCLFSQDCVEIHDSILKDVERITFYNFGESSQKARTLKEQDFDEF VKWVKCWCLQNNFDIIHIGTHLTVRLM >CAK81870 pep:novel supercontig:GCA_000165425.1:CT868418:381522:382924:1 gene:GSPATT00016683001 transcript:CAK81870 MIQQYYPYISQLFQILIIDFKPPKHTQIENQLEIHRTLKGNVQRRYKNDEFEHKINQKVS LPSLPNFKKADLQSDQKLLNLSNGTKPHNRLPKLEAKSEVPKQLKLEDAIIHYNSVTPER RQQSPERQLSLNKKRLMAKTWNGGQYAFKTKAGCLANKTSKTNQDSGIVLPNCLENLGYS MFGVCDGHGSNGHLVSQFIRQALPKHLEQYLVKDDNKNKVIQKAFEQTNKEIWDSETDTS LSGSTTVSVIIKKDQLWTANVGDSRAIICRNQEGNWKAIQITRDHKPNVEDEKQRIIQAG GRVESQKVGPERVWLSYIDAPGLAMTRSLGDKIGAQAGVSADPEIFEFTLTQYDQCIIIA SDGVWEYLSNEDVMNVVTPYIEKENIDLAADRLMAESINAWKRHSLSRDDITCIVVYLKS >CAK81871 pep:novel supercontig:GCA_000165425.1:CT868418:382963:385461:-1 gene:GSPATT00016684001 transcript:CAK81871 MTDVLPERLRDKLNILQDNLKRALSNNENTDKKLPTRSYSNFQTNKIGIRIWDLDDKRNC NYLMISLKPLKKQEPISFQNKVKPKPRIRLNCNFKPTQQITPLCIDPSSIPSSLKISKLL SFSDCLVLSSPQKRANELFIKLNLQTINKSSPIKIDESLKINRQFPNQEQGFNFKQTFCN ATLSKSNSMAVKSINEYEICSLKEILQKKNVPKYYLMEKDQTNINSELVFQDFSILKEDV KLQQSVLLHSNNNIFQFHSMKQDQNDEVSKEIKLNFSNLKQEQTIHSSALQKLPKFDLES TKYNHSLKILERQTKADKISRVGILVSTIKKESEQENKNQIEASLFSVKFSQLARDVGQR KPNILNFYLNTIDQFDQRNSSQEIESVSIIKASKIVQIDQQSKQNTIDQLFNQNNQLNYE QPFFNNEPQILSLKSVHLSQLQQDKNSEQNIMSIALMSIVNKECFENAKVQPKICFQYEQ NLEKNRKKIEEIKQLISTSKKQNPLTQLTHSKQQSIKDQKLQIEIQKPVRNVSVCSNERR QSSVGVNTPIKSPTFNQPKGFMQMSKNDKSSLNRFKKIKYLGRGNISDVYSVIDTTTGML TALKIIQKSVITSKGIQGLIKTEICIQSCIQHPNILKCYGVINDDKQIALVLELGDITLF NYRKEKKLTEKQIIDIIYQVLKGVNYLHQYGIIHRDIKPENILLQGEVIKLADLGICIKA TSAQQYCGTPGYMAPEITMNKKYDNKVDCYSIGVLMHELLFGKIPKIGQQVNGDTQLIKL MNRLLEPQNMRCSDFAKRKWHNIQK >CAK81872 pep:novel supercontig:GCA_000165425.1:CT868418:385745:386946:1 gene:GSPATT00016685001 transcript:CAK81872 MQQIERCQFYNVKKNRPCNMEVYKPGGQYCVFHGQQEIDWIECPLDPSHKVKKVKMDKHL KICNKKKLEEELKQNPFFKEKINLEEDAQQKKVKLQAISKEQLGELIEMIPEAFKKAIAL YQEYLGKIGEQTENCYSYILDENIEEAQQKISDKEKDLQQVELLTQIMDQYNLINKDMAY IEYGAGKGRFSHQIAEKLKEKDNSMATHVLIEREPRKLKYDRFHRDNKFFIRCKMDIRDF NLKILEQQLKDQGYDKEIKYVGVCKHMCGGATDLTLNSLLTQERFPVGMTIATCCHHLCD KNTYKNLPFMNQINVPEHLIELLFQTSSWYVSGPINLQKKIKNEEEINTNQRKTLGKMAK RIIDIGRVLYEVLRIVIVT >CAK81873 pep:novel supercontig:GCA_000165425.1:CT868418:386984:388380:-1 gene:GSPATT00016686001 transcript:CAK81873 MTVNWSEQLKHSFDLYETNSKHAVLGNIDIYQYIPDKKVKIFSKLTYVPDKEYFLQKTKF KHPSLLRALALEKCSGTCSGDQALYKVYYDYPGICTLEQIIDKKANKFSEQAIWDIIFQF VDCAEYLQSHFKTIGNINPNTIYALDDGIKIFEVNHIFQIDSSYDQALKNSTAILSPEQI EQLQRGIPFPSVNGFKSDVYAFGIVLLCLTTLSRYTKFYTPNQELDKNQIYLSLQQTKCK YSELLNGLIQKMLLDNPSERQSWIDIKSFINPFKSLEENAQPFYSDIKLCPQITKQNIPT IESVKPKDQSSQMQGPLVISPNTTPRVMQSCQQLKMVLNPPISQTYVSQSISQQNQSMQL NADSQLHSQNTPTFAITNSNQPIQNIINKRQRVSSTLQNYNSQQTSPPQSVAPNSTSAYP FYQPNSARPQQI >CAK81874 pep:novel supercontig:GCA_000165425.1:CT868418:388415:389799:-1 gene:GSPATT00016687001 transcript:CAK81874 MYKNQKYFWDALKVRDNRDPAYSVNLPSHSPKMPFKRPFQAENINRSMENNKYRYSEKHL DQSPTQKLKAVAQPAYFSQEGLVLTRNSKLPNYEPTRCSSNENGIIRAYAANTNQGIVRD YNEDRVSIILNIVKPQNRQHETWPRCSFFGVYDGHGGAACADFLRDNLHQFVIKELDFPW NPYEALRKGFAAAEQYFQEFAISQFNKGIAERSGSCAIVALLVGDVCYVANVGDSRAVLC GGNNKSALPLSRDHKPCDELEKLRIQKAGGKIYQTQQQQDDQQVFVGPLRVLPGRLSVSR TFGDIEAKLERFGGKPNVVVAEPELRSFKIQDDHQYIVLASDGIFDKMSSNEVVDIMTKE LDTNPNIHQGCSIGVEQVLKESINRRTLDNITVVVVAFQGEQMKRMKNEIVKRNQIKKAV QAVLGNQEDQENTPGLINTYKRYI >CAK81875 pep:novel supercontig:GCA_000165425.1:CT868418:389839:390837:-1 gene:GSPATT00016688001 transcript:CAK81875 MNNDICMFGLTKSGKTSMIRVIFQKLEIFRTFQLDPTNRMESVAVNLGSHIHFKIYEFSG HYDLNDPQPPEIAAMETCSLMIYVIDSQAEPFNEAVQYLRQAIQTVKQRSPHCECHCFIH KVDPDIEDNKKNELLQQIQKDISDELSKNGMNQIKVDFHVTSIFDHSYLEHFSKVIQRIL PYSQSIQTLLDSFNLACRIEKSFLFEIYSKLYLASDSQHFHSTNFQLCSEMIDVFIDVTC IYGKLDDAGQSQIKLSDGSILIYQTVNEGISLISILKSENLERPFLLEYNINQFRQGLAQ IFKIK >CAK81876 pep:novel supercontig:GCA_000165425.1:CT868418:390855:392195:1 gene:GSPATT00016689001 transcript:CAK81876 MQFLVKSSRRVGFFGFATATATHKDIAGKNFLKFQSKYAEYVTQFNQKLEAIEKENKAPN VAPQGKAFEHPYNNPHNPVNMSGIKSSELFYNFIGPEQVSPHYENFLVARKYLLLTYGGL IVIGFAAGTTNLHWIAKSSFLPFLFWMQIMYFYLEGRKSFMKPLLARFYRRVAGNECFQL DQYYHENMQLKIRTLLEAAKGQIEYGQLHKEFKDVKAELINTFLMNEQLNLQRHVAERSS NILKQAQQAEQINQNRLLSDIIEAAQESLETNLKNNLPEIQKALFKSALRGLAQGKMTYE NDPLIDMILKTITEHVSKIQNLSPAEQKKLISLSKDQLAAIQANDKKAKEDFLRAEPKID QTLKNYDNVKRQLASWGQ >CAK81877 pep:novel supercontig:GCA_000165425.1:CT868418:392234:394857:-1 gene:GSPATT00016690001 transcript:CAK81877 MTLKINIYNPDYFLPSQVTIPLNINIPPLQCRIEFDSQNASSLSDFSILINNCQDDNFPL QYRLVLYLNISDLNFDYSINKIQKGIILFDYQYSNLFHTKLTGNGNIQLMVQVKDNLNGI SNYTKSFEFLYEQGFFEQLVVNPVSISETLIYTASLLFEGKTNSIKVQQILQEQIFYFSN CKCIAQKQLMTLKNLAIFHKNLGLSDINQELLQQKERLTEIQLLMGTLQNTDYNKYEHTV QEFKKLSLLEETVEIAKYLDEMFELNRVYQQQTRILISDSDNESKRTNNHLIMDSINIIT EIQLQNQIINGNLQTITTNSFNLSTQKATQKVLQNLISSSLTEPQSIGQKDNQQQLQSDT YSYKMVNYETNPFIHDDYFMNYPEIQSNYSLYQPEIKKLSSQTLILNISTSQAIRYEFEN NDENLIVECVTKVEDAWSLDACKTIHEDKKTICQCQYISSTTILQATQQILDEAVQFFSL DTIHKMLKCEYQSIIFTYIVIIYTILFLWFLFYGFRIDQQKNEEFLYNSTKVAPVDWDLV AEKAGGIINVGDIDSEKRIQPVDRIVIRRDTQKKTIFSTNDSQITNDDKTLKRPQFEYYN KMLASKKSIGITTNVCSGRIIEETEGETSPKSKTENSPNAKGAPSESHSTFRKQQSNDEE SLYKKYTTQSITFWKALLTYFEINHKVLSLYYLYDKDCSRVYRSIMLYVSLLGEISILTF FGQIINLNTIIALSILQTLFGLIYRRLLQVFLKSEKMCLNYTGFNLAILSVLFFLFIIFG SVAKYQSMLEATLWGAAYMSSFILEYIVYSSIQILLFFALIVKFGKSEILKKYIKVFIND KVYIQTFGNP >CAK81878 pep:novel supercontig:GCA_000165425.1:CT868418:394938:398476:-1 gene:GSPATT00016691001 transcript:CAK81878 MFHLLCLLIKITNGFPTTDLMEGTGTLNVNWQKQDSSPFTSQFSCDIGVLMGPFMGQVDR NIKKTFTNLQPHYSLQVSLDILYREYWSGISNFVNIIIDSQIIYTDSSITTSNFNTPNDY CKTNAFLIFLYNQQLTTLRKSISHSNANLQLEIKSSFQCSLLSLYTVCEKLLVKNVVLSP QLCHFTCLTCTGPNNNDCLTCPNGTTQNGKCLCQIGYSAYNYQCVQTCPQYYKTQNQECQ LNCSLNCQNCVGQKCNTCESGYILHMGQCVTACPKSSTLQSSVCVDYSEQSAFGSQYIGK YFDSLDIDLLSQINAFTFTFNPAFSKLTGQIFSTYNNQYLLGGYGVWSNGQFTTEFNGLP AHNKIRIYLTAWFIDNWTNQQFMIKLDGNTIYQVSYQPAKAINNLFYLGAKDYIENINLS VDHTSNSAQLTFQATLSVSSFQASLALSNIYILIDYCDNNCDVCSNTQCTTCKSPYQLIH NKCGLCDSSNFRNNDCSCQTGYYDDNVDQQCQKCKQECETCLDATSCTQCKLGSNLIILP NCMNCNTGFYYQNGICSKCDPKCIACFGSSKSECLSCSTGQILNTNNECQTCLSNQFIQN NTCQDCQYNCQTCSDTQQCLTCTDARINAPFCVCQIGYYENIHKSCQPCNFRCSTCETSR DNCLTCSGNRQNPPLCNCLNDSGSNDSSIWCTDCDVVNLDVKMNYNSKKLIINFGKKIKR MSPDCSAFFEQSTLQNLGMNPSCFVNSQQIQVLLGSNATIYFGSSINFKKNIIKFEDCQN TLSQFLNNILTSNSDIEAPIIVFSKNSVLLSACAHTPDVIYQIKTQNFGNNQITFIDWRL VRVTKQDPKIIEFLDKLKSQFKNQNQNQHIFFEFTNDLLEMENDILLELEYQNFLGIQGS SFITIKQLSTKLILNVQTQRNEYLTSQFIQISIQVSHCSDVLSNDTKFNISTMIGTLKKE VEIVVGDYYNYTIEPYLLNTGLYQLNIQVSNQETIDLEQNFQIYISSEQPELELATQSNF LGYSQQLNIFGKMLNAAQQNQVFNWDCFDLTSNSECLTQNQSLLILPDSSTLTIQPNTLI PFSIYLFVARYQDLQQQITITVVESSVQKYNMNHIQILMMDTQTTMINYFLNLNILKQSK ILICYYILPYWQIQTY >CAK81879 pep:novel supercontig:GCA_000165425.1:CT868418:400633:402642:1 gene:GSPATT00016692001 transcript:CAK81879 MWGESNENTVTGTLLSLFMVLNICMKYYIQNKKEKESQGQVSTSRLPSPELLKNQTSPNY SRKVSGQKYQSEPSLDLQINDFGCMDQYQKIFNMFPDGIIMVNMKKQVIFINKHLKKMMG GMNKKAAFYNLLQMKNVQQQINELEKLNSLFQEQQIVLNEQEFDNKTYFKKSTFDESRFD DQSELKSSYSFQQFENVKKLLDHLIENRQEKNGVVKMLCQFPQNEKSLFQVSFKRFDNKQ PEFILLIVRDMTSMNLVTFLQRVITDKSNFLSTVVHEFRTPLQSIKLMVQQIQPMCSEEM VQKYLLPMLSQLDCFTNLIQDLLDVSVLNVGKFKLDIKQLNLHHLITETYNIMVVQAYAK NNDLVINLKGIPEKIYSDPYKIRQILINLLGNAVKFTYNGIITISGKSMNKSVEITVSDT GLGITADNQNKLFTAFGKLDDPTNSNPQGIGLGLMISNVLARKLSYDQKGLSAVSEGEGK GSKFSFQVYDHSFNDDKQKYQQESVVRQQREYFQAPLNQIKQCDSFRMSGLELSQYSRCQ CPEILIVDDQPFILQMLKIQLESQGYRVNLAFSGFECIRKIEQFQHGPSCACKNYKIVIL DIDLPNLNGIETCKRLISMGYNGYILGHSGYSGGQEEEDCLKAGMVGYFVKPLDIAKLQS WLEQNRIML >CAK81880 pep:novel supercontig:GCA_000165425.1:CT868418:402853:404376:-1 gene:GSPATT00016693001 transcript:CAK81880 MRIQEGAIETFYYYLWLQNDSIKQNKVEFHLPDTLIYRLGVIQAWYFTHNNEILVKKLEN RTLPNIETAFLKDCENDVCAYFISCKPRRDFYNTQETRGEFLQDQLNIDCRTSILYLNQQ QFKTFIHNPNIHRNGILQKFTKPALPKENVIQAIWSQNLLLLSQRVNNIELTSNKFDVQE KCSTFNSPESYSKAYPVKSKVITTQIRRLINYITTRICVVTYEKFRVNRAVLYCKLDYLQ RINILYCTSLRSNDECPYPIQFGQLLRPENVKNTITTNKQKPIKLIMDVQCLSCQQFCQT EDLHPIKYKLLITQGEHYRMDIKPYSTGVKRADHQQTEDNPENYIANTTKLIPDIIKKLF PSMSYTEFAEMKENSAFLNKELLVCFNCYLKFTQCRLRKTQTSRQLQVKQKNRSITDLIR QASQEISQRNTERDHSGNKEYQKMSVCLTQRGPLVKTAEAMTMRSTMKSSRTSSIMKNNI TLRTTGRKSSV >CAK81881 pep:novel supercontig:GCA_000165425.1:CT868418:404396:407399:1 gene:GSPATT00016694001 transcript:CAK81881 MFDSAVPGLRAKRIQVFICCFMQYAMLHSCRSTWSFTSGILAKQDDHEHDDEKIDDFDKK YLGYINFSFLFVYGSSMLFLGQIGDRIGPKLFMLCGTFSTGIIQFMIAALLPIEKHNRWL LLVLQILNGISQAPAWPGLMAIMNNWQSKPNKVIVMGYFAACTNVGNILGDLFAALLIEQ LGMSVMAPIYVSAIGVLIMSIINIYMIEASSAKEYIAQFKNPLTKSLVYKQAHDEYMSRA ASVVSVSEQSFVTDLSQTLLTVDRDSQLNPHIPPKPKKNKKDSINMISAWKLPNVALYAF AFGCIKAVFYILAFWLPSYLRGENLEGVAWITQMIEWGTIPGGILICYAGVHWNLRASLI VPSLWVGTVVMVSINFLSKLEQPYALYYILVFLTGLMIGGVYNNVSGAIVIELSNMKELK GNKKSTATVTSVVMGYGAVFAAINQLIVPYLESILFLYCSLNAIVAGCFLIPLIINEYKR YKEQKLKVGDAKIFVFTLAFLQYVCLHCCRSTWSYVSGRMAQPGPDNYFSSQYLGYINFA FLMSYGLSVSAIGQLGDRMNLKFFVLIGAFSTCLIFCSIGFFLHYEYKHPYLFMILQILN GISQSTEWSGLLAILNNWYTGQNKILILGYFSASPCVGNILGDLYSGSLIGKHDLPYYAP IYMAGFSLFTISIINLFALQRAPLPNETEELIEHQESMSISLEPILQIQKENSSDSGEYS LPSSHFHKESEQLSLSYVDAWFVPNVALYAFAFGCIKSVYYILGFWLPEYLNRNKVPDVA WITAMTDIGAAPGGIIIWYQQQLHSLIGYYSNKRAIIQVPSLWIGTIVMIIINYADDLAL FGYLILVFIIGFLIGGTYNNIAALITVELSNQDQLKHNKKATATIVSLIIGYASAFSAIN QLIVPLVNDALFLYCGIMSVIGGIFLSPLLIKEIKGK >CAK81882 pep:novel supercontig:GCA_000165425.1:CT868418:407434:408699:-1 gene:GSPATT00016695001 transcript:CAK81882 MKRTSSQQSIEGRRDSNHFVGLASENEKLHKQNESLMKELSLIKNDFERMSQNGQKTVKN GRRKSCEITGEMVNLQQIKSLEEELQNTQLRFNTLKKEFSIKTTQYQNQLTKQSNLIAQL QNENEMLKIKVENKENQNLEFKYQENFLEKSGIKIINENQKIKQLSESNIKLTKLLEDRL VQLEQLTKTLNLKNQEHMKEIEKYESLLKERTHHSSLSQGKSLESLQKRIDILEKDKIRL TGELTKTRDLNSKEKQMLLKEKQNINLEKEKLHSDMSIIQQQLTDYYNQLQQCGQQLKQK DESIKQFQQSESYQGYQNQQYENQQQKSLITQLQVQIGNLQQTNKALHEELLFLKTTAPL SNRTNLKTYFGVDSPFKNIADEQNKYNITLKTRTQSSLDQRGRSQEKYKRIE >CAK81883 pep:novel supercontig:GCA_000165425.1:CT868418:408718:410077:1 gene:GSPATT00016696001 transcript:CAK81883 MKILIIYVASIAVFYFVDKSLDLQFIESDKLNRQCETKIDNNNQSKQCCGYIWESNETMV TFYFRSKTPLQHIPDIVIYYQQFPAEQQLNITELILVDANNTFPIVNENQAGIEELSKHH LKALPYSFKSGDSDGNENYIMTPELITVSAYVIFVKGLDIELEAYFKNNLKYLEDSFVTF LCFVILALAIKMINEDEENLLPLGKYIKENQHHMLLIIILIAQPLRPLCFFPEFEAVEWL IGQTCYAVGEFLFLRYFLQVLSFLKGQDNNTNKGRNTLITIFVIIPISIDRWLIAFDSQS FVIKDLENQVDNIHTIMIVCWLCFLVYSGIFAYEVMISLHSNLIRLDLFVIAIIFFIIYS FQKSQFYLYYERFDHHLFNPINMLMMVSYVAILLVIDLRKESEKSNELQPIRHRITQDEE HGDNLDFTIAEYYKEKANDDQALI >CAK81884 pep:novel supercontig:GCA_000165425.1:CT868418:410168:411645:1 gene:GSPATT00016697001 transcript:CAK81884 MLLLSKISRLCLIPTYGCKFVLKKDWKLIKDNTNWAAYMDDLNINSETFYHSSSKDLLKA VRENPDNDFYAKSIGQLLYHMGKDGECDEVVIKKIEKCLYKFKGEFPSRLAFGALYGFIS LSIDNQYLHNFFDAEFQNGMKHLNQFEACGKNKNLQRKYLFDLMKSQCHNILVKEYKKSL KYQPGQQFRILKACISAKYFEPDLFDPVVKDIVRQQKISRLPDLIKLLDILIKLQTEGDF PRSIQAEIDHLINRLKTTPKFSWLYNVDERRYRTMEELKSIRENAPQQFIGSYLYQPEKL EQYLKLKALGNDAEVQREKRLIQEKQLDDLLYDEFMLNKVEQQRNREQLKKEQRKGMKDE DGEDDDAEIDKELTEQEKKDLLTDFQKKHFESLDMDTVNPNDLPKEWFTDNNQSQDNEFD EMDERIQLAAQEKSQQTKNKEFKKRKGRSGKGDIFDAIE >CAK81885 pep:novel supercontig:GCA_000165425.1:CT868418:411645:414164:1 gene:GSPATT00016698001 transcript:CAK81885 MSTARFKKTEVRIQEMQNEIDALMTQLQSNSKNISSAQSEHLQMLVEDLHSTNFQTQELS KESDQLTDALYSQSDHDVILTQAQMKKIQVSLNQSMSTTKQNLQNVEREIDQIEKALQAN QESNKVYSESLNKFRENLKMCDSQVVKVLDQNAYNNWNKIKQFFDVDIIQTSVPLGLIMK LQLSILKLTEACNSNQVKVKQQINEDLTALLIEINSQSALFQHINLLEQYILGVLKSNNK VNLYLFIQKCLNSMHYNFCQIAQLFNQHNISYKIQQSISQEIGTKNQSLKSLQIKKSQFM IEMEKFKKQSKELTSQLAQLDKQLEKQIEAETQHLLAMYLRDKEQSISEIKKKYGKKYFD NMLADVKQEFKKICLNQQVLYASSIEQAFLRVDVINTQLINNKTKAQQLIQQYSQQKFKK ASSVIIQLNGIDKREYLLELQQCKISLLQIENKVERKLIIQDLDELLLQIQYQVNDIKLR IQASNVPQKIRSDSIDTQRKTNRTIEHHRSQSQTPIQSPQMPVPQITLQLLNINLPINNP KEIFDSSEDPIKTNNSQLHLSFCENQSNKSKDQEKLSKTIQVQFNSSSRITQQSNNIDSL TNSRNDENQNNQNNYQNQHQSNPQKKPQLKEVKNIMNNSEQIQNSLKSSRTKKDIQQKQI NELNPKNKLNILIYLKTQDSDIAYDPILNYDHDPYIFGYRLFRIDNQLNVMYLKEKINLE NFENLNDLINQFNIKHTQLENVKEIEINNCSLTALKFQNIYESTLRHACVDSLALRHLRV RFFPFVFVGQQPQVGLAINKDDLQSLQKLFSK >CAK81886 pep:novel supercontig:GCA_000165425.1:CT868418:414237:415539:-1 gene:GSPATT00016699001 transcript:CAK81886 MSSIQLWNGIDTPIHQSTNLFSQKFKESEEFNCNGFQPMCPHHPQKKAKYLLLNDTGPNE KLCTKCAVQLAQQGHKIENIEEDNVKKKQTDSFIEQLLQTKSEIDNVHSNLLSTEANLKK FYNDQYTQVVKTFGGIEKQLQMCKSQLLCALSQSLWSGVQMTNQLKVQSKQIEHQLATYI SDIRNHYDKIVQMGTLPFNQIMGGQFLKDIQDNKVAYLHYEGKISSLDSFLKEISKLTEK DYQNKKRNHESTRSSSEQKTSKSTEKEKRHQSQPNSKEVKSFDNSEKKTAQTFKITEESI EEWMENSNSKQRRTEPAEQLQSPNILATKFFKKQLHPSRASMKQETNQAMLDKLISEFSQ IKQERAMKKQSRTPELGKSVKESFPNKLSPKFLYKNYYK >CAK81887 pep:novel supercontig:GCA_000165425.1:CT868418:415561:416997:-1 gene:GSPATT00016700001 transcript:CAK81887 MTIAYCVNDAIKKNVDDIRQAINSGSNKHKAYDKLAYIVDTFGPRMWAQPSMALAVDYLY NQIQNWDSTKNGLVEVKLEKLDEISTWVRGSEQLILKSPRKRPQKLGMIGLGLIVPGNIK DGEVVVVRNWSELDEKGKANKLQGKIVCYNVPWTSYSNLKLYRQQGLDRASAYGAIAVLL RSVASFSIYSPHTGNVRQSGTVPKIPGAAITVEDAEMLQRMQDRGQKITVDLELNSEMKP SESHNIIAEIKGSKYPNQIILMGGHFDSWDTGSQTGAMDDGAGTLVTLEALKVVADLGIR PLRTLRWIAWSGEEMGLPNNGNQHYAKYHGDEDHVVALENDLGQKTAIGFGFSGKLQTSR MVRQLIMNYIPDLSVVNENDGSGVDTKPLGDKGVPLMRNIYKDPNDDYYFKYHHSAGDTM NILNPDEMDSNVFAISSMMYIIADNPERLPK >CAK81888 pep:novel supercontig:GCA_000165425.1:CT868418:417018:418810:-1 gene:GSPATT00016701001 transcript:CAK81888 MKSLQKLLKNNKDMGHQYIDFMQLFEKKLKSCIINHNSRKNILAQYKQQQYVHSPMPFFE SEELVVYGSFENSNIHGCCQEEKGVLNVLLSSDTNTQGCTQWFYFGVKMHNEGRLKIRIL NNRRQNTLFKDCNHVYVYDNEKWSVGKTNEMYYYRTNINHPFYHHEDAGIAQLQQNFSLY TLEFTYEFKQTEKIVFFALTRPYPIKKLQRFIDQCPLQRKSVCITTLGLPIWQIKTPKQN GQQIVVILARQHPSETAGSFICQEILRILCKGHSCMQKFRFIIYPMVNPDGVFLGNSRCN FNGIDLNRKWDNPKQKTEPEVHYVYKSISKYSNIAFMIDLHGHSKKFNQFLYGCLHGKSV SEYMRIRQFGKILAHHNEIFSFKRDCSYGVSPDRVGTARVALWKRLNIANSMTIETSIYG QMGRAFGLEDYHALAQNILHALDLYNEQVDSPELNINREMSKALKYDSGSDSEAEQDLII PRPNRIKITSRKESSCKHQSVGYGGIKPKLETPQLIHQHSQFFKDQSFVELQPQPSKLLS HSSNKVQLQKIRSRMNSFQSQYIEEQPKLGSMISALQVKQLFK >CAK81889 pep:novel supercontig:GCA_000165425.1:CT868418:418838:421646:-1 gene:GSPATT00016702001 transcript:CAK81889 MIKLSKVDKRIYKHLNLPNKLQCLLIEDKDAEKSAAALNVQVGAFQDPKNAQGLAHFCEH MLFMGTTKYPDESEYQHFISKHSGMTNAYTSTTNTNYFFTVANDQLGGALDRFSQFFKHP LFKESCIQREMQAVHSEFNMNLQNDFWRKFQVSKLLAPQNSSYSQFMIGNLDTLGQVSRQ QLVDFHSRYYSSNLMKLVIYGKQSVEQLENWASDMFSDIPNKNYNRPDIAIQGSQIIQNK LIKVVPINDEDHLDLMWVIDYLQPHFRNCPGKYIAHLIGHEGEGSLLSYLIKENLAYELS CGTQDEAYKFSELYVSIKLTKKGLAQYQHIIELVFNYLNILQANAQIFNEVKQIKSLQFD YLEKQNPFDFVGALASRLHQYPITDILKAPYLMENFDSNLINNTINQLKRNNLNVFLQSQ QFQGKLGNFEKYFGTEYEISDLQFENLQARNQNFHLPNLNIYLPNQTDLLANPNSQQYPT IIYESPQSTVYFKQDNKFNVPKTFIKMRQYLDSMGKSIQNEVLGALWQSLLTIHLRELFY EAEVASLSPSVSLVTNGIEYSLAGFSDSINKFLPDMLRKVLDFRVENYRDNYDTQLAKLV CDLENFSHSPPYSQARNLSMLLLRDCGSFDPEDLLQTIKLIQFDDLIYFQNHLMDKCRFE WLIMGNVSESNAISIVKQSEEQFKKSLTLQKEEILQVRSINIPEKIIYNYTRYLNSETET NSSVILYFQLESGTVRNQLIVDLLSNIIKTPFFSQLRTTEQLGYVVFSASSDVRGITGFQ FLIQSSVKCPKYLQARIREFIKTFGIDDLTKEQFEEYKQSIRVSLLEKDFSLGREVGRFW GEIQRHQNLFDRREQALNLLDTIDIEEVKRYYKQYLIEHPHQIEIHVVSPPHRQEQDSLP NTGLMSTSAEWIKRRVPLYPDMYSLL >CAK81890 pep:novel supercontig:GCA_000165425.1:CT868418:421660:422040:1 gene:GSPATT00016703001 transcript:CAK81890 MNDWESNYSQSLASTFGPWYPSTTKNKMMKAQHTQTLSLEPLGQKFNRTIQSKTSVPKRR AISVQRRLPTFPTMDTTTKVQKQRTLPEPVFLNLTQEALFVRSNKNVQGILKKLQYYRFN ERHLIS >CAK81891 pep:novel supercontig:GCA_000165425.1:CT868418:422204:422447:-1 gene:GSPATT00016704001 transcript:CAK81891 MHKIMVDRYPLYNRYKEPLIFPKDKTMLEMVMLFQHERKSLFFHIRFREEIRKMNISNLI VEGQNKLQRQLD >CAK81892 pep:novel supercontig:GCA_000165425.1:CT868418:423064:424571:-1 gene:GSPATT00016705001 transcript:CAK81892 MKTKMKKIFPQNTQNYYSVQQLEHDNGSLYKMHQSTISKPPLSIVPSEANQSYYQIIEKN KLLYLDYYSQYNEMKFMENMANLKPKAEKLSLLENFLNMFEDMISCLIVIQNTLLLIEFE APDFPQVYGYKPNTLADILNKDIKVSLSLAQQRLWRVCIQNWNINLPLDFWRLCWRPCNL ILNKQIKYISLMSKLGHQNALIISITTQAIHKNLIHHNQPYFVLVLNDLYINFIMQLTKN PNQNHLQYHTRSNSLNKSCSSKQPSANLPILLRQQTTFANHKINNENESSVANQNTEILG DFLLPSHNYTKQQNMILADELTEKVSSLRNNTNLNVVKQMVRKRVKTYHSDLENSVNSTQ RLITDPGIVAPKKSNNNQYLIDREQVSEDGVKFPFRLFMMNKRRQNLISQLLTNTNINNI GHDSQNELEKKDLAERLMAQIKTTQEQKSKKALTSKSYMNNAFYSLLALKKHSQEAVKLK YRQNLPKDSRFYLI >CAK81893 pep:novel supercontig:GCA_000165425.1:CT868418:425989:426507:1 gene:GSPATT00016706001 transcript:CAK81893 MFIPDQRNLGGRQIICNMVLNHRLSLNIMDDKSAISPIQTKMHTPRQGCYTDRQSQNKPS LFFRRIPTSNYQKYKELLYKKPLSFPKNQNKNECYTEIKIIDWNVIEKEIKKYEKEFYKL NLPAFLKSFARQMNIKKEYDFATLIEMILNFYPNVKQDDLIEIASDIIVGQL >CAK81894 pep:novel supercontig:GCA_000165425.1:CT868418:427042:428868:1 gene:GSPATT00016707001 transcript:CAK81894 MNQQFAQNNLETLEETYTGFKMRYSCEEYDEFSCIRINNELEYCARKYKLGTINNPRFQA LRRIKLYNILPVFDIYFDSQTIVAQDVERFSLENNINDLNEEQKMYLAAIVSITLQELAY RGFPFIVQERSVIILENHICLSLQDFCFDREVSEQESFDSYKKLMIKLFGDFLEINFENS TNYFLQLNQVYLGPSENMPYVELLDRIFEFTNVDTLASAASNSYVYEFDTPEFMKTIPNI SQRTVLKSVKLDLNDTNSEYILTSSQRELEIMENFKKYEPLVACYAYFRIQKQLYIFMER YPQVLSNMLDYIDEERHCIQICWQLVVALKYLHQHQIIHRDLKPGNLFLSHENLERARLL IADFDRSRLSQWLTQSITQQKDTENKQDQISKLNELTPKSVLGATPSYDPPEAGTTDYDS SADIWQVGMIMFQIANKGEYPIRMTTQNFSKEEYQNNFTKEKIKNQLSKYNVNEQFIEII AKCLSFNRKDRPTSHQLINELQLCFEEITPDAEETLISTHNLSQIERYSQLISSTRTLRM LNSQEL >CAK81895 pep:novel supercontig:GCA_000165425.1:CT868418:428903:429514:-1 gene:GSPATT00016708001 transcript:CAK81895 MVNCKNIALGVHVIFNEQDVFDIQADIEGPVDTPFQGGVFRCKLILPPQFPQIAPKGLFN TKIFHPNVSEKGEICVNTLKKDWNPLQWSLKNIFEVIKCLLIVPFPESSLNEEAGKLFME NYDEYFKRAKLLTGIYAIKQDGNVLKGNNQNVNDDAEKKNKLTQIQQKKENDQKKWLKRI >CAK81896 pep:novel supercontig:GCA_000165425.1:CT868418:430440:430755:1 gene:GSPATT00016709001 transcript:CAK81896 MSGQFQQLKSVMKIDISNREQRICLQFYLVFKVALQVYLLNQTINLLKNGIFSYQYKVHF LEYFNLSYRQLNNNYFQTIIAALEQNIWMTIRQSI >CAK81897 pep:novel supercontig:GCA_000165425.1:CT868418:430816:431749:1 gene:GSPATT00016710001 transcript:CAK81897 MISGAFRRSFIGRAHFGLVSEYNSRVNQKLYKGVQVSEAPQFFTTSARPGNFGDHIDFKV QMDNWFDENRVHNEHETEIKRTQIYALNAVYYGGLLSFARLFAVGIIGRLDGWKRYDRDT YLEMDIGDLPPGEVMQIVWNGTPVFIRRLTQQEIKDEDNLPKETILDPSSEVVLTNCGNT KVLVVSALCTHLGCIPIPYLGAYNGWVCICHGSVYDKYARVRQGPALQNLPFINNSIYDD VIVCIEEMKFPREPSQRYWT >CAK81898 pep:novel supercontig:GCA_000165425.1:CT868418:431749:432225:-1 gene:GSPATT00016711001 transcript:CAK81898 MKQFTLIYYVVPQDNDDVEIPNAFGIGKQVDQITLKDIKTSFPLQGEYIFRFRYKTSHNT VWLDLPTDTAQIPLFNSRILIKATRISWDIKNNQNQSTSSSQQLNASPQQQNQNQPVISQ AFAQFQQPQQSQQQPQQQQQSSQNQNLIDF >CAK81899 pep:novel supercontig:GCA_000165425.1:CT868418:432421:432959:-1 gene:GSPATT00016712001 transcript:CAK81899 MQYTFECEINKCALTLCQDAQKFLIKSTSISLILQILTKGDENINKRIQQKLLNAFVESC FRRTMKAFIILFDEINAIGGVRHNKGNYNNGQIIMLKDDIKVSMATHRLNTFGSRILKSQ EIRQKSVFFPGIEDQSGTFKIQARTMSMENNFGYELLARLI >CAK81900 pep:novel supercontig:GCA_000165425.1:CT868418:433361:434184:1 gene:GSPATT00016713001 transcript:CAK81900 MNLVDQIQKQFQELSKVFLNCLFEVSINLDQNNQQLLRLEQIIKQAAIDILEEFPLQKEI NQQHKQTKPNQQSIQIRIKGKVEKQNQNLNTQIENAKTLKTQVSQEDSKMEQLIPQITLK QPFDQTCFLCQNTNNLKQQTSKIINDNQYFYHMECFTKAKFNHLSIDKMLEIFKSKICKI CNKHGAYYQCENCELWYHYSCMSELYQNTKECIDCPCKPIDIFQAQEIDLQVEDVQSKKK IKIDHISQNTDLFSDISFKRELKSM >CAK81901 pep:novel supercontig:GCA_000165425.1:CT868418:434240:437169:-1 gene:GSPATT00016714001 transcript:CAK81901 MSVLDHNEDLCSSPRVANSLVLISKRNLQNEDDEFLTNFKTKQSQFHMLEKIKISNDPSE QSILSQDDSDEFENKQGFKNRIWKERALNIIVLVARFVTYLLTNSDKFKLRYLDHRQFKV IGDQAADFNFYLTHKLIRAREKGGSWQLFQTKLKVYMSFLSVFSSVIKPIKPDNVLKLQW DVVVFLILLINIFYIPLKISFDITVVDGVDIFLDTLPQYVFLFEILLNFNVAYYSRGVLV LNRGQIFKHYLKGKFILDFIVIIPFMIGRQNIPYIEFVLLFRVSRVMHIFENIVETLNLR VNFASTVDIISLMSTFLFASHVIACFWHFIAIQEMEFENNTWIQRAQLQDDNWQTRYITS FYWACITTLTIGYGDIIPVTQYEKVFVILVTLLSSIIFGYTISSIGAIFAQMSENKNYLR DRMTMIDSFLKKRGLNKDLQVKVKKFFEYYLKQERDMESECEKLMIHLSGNLNKEVKIDF YKNILLNSKLIRQNFSQQFIEKLCILVKEQTFVPEEIISIEGQKVDRIYFILKGEVEAYI SNNKIIKIYQRNQAIDEKSFISQHPALFSTRAVKFYKLAYITYDDLLDLLKYNQRDREHF YYVKHQIEFNGRVKLGGCELCRQNHTFIRCPFVFYTPNYLRLFKKNDHGEKQQRRSIGRI RKNDKIHNNTFGQLRNFQASAFNFCAQKGYLNELGPNSEFIVSNKFQMQQDSEQEGSDSN SLLESDKRIRSQNLLLIKRESKRKESDLQNNNEDKSNKSKKRQTRTSLQKNAQYKTCGTQ ERDSNPIDRKIKQSVKRGTVIINQIKMDQGEIIEEEFNILQPTPQQQVQQQITQQQQQQQ MQQQSIQLQSYSSYQTKSYDVDQVLLIEIEIAQLMIAKEFQIDAQTDMEFYDTGFNLESV VAKLKKIKKIPIKLKKRKIKKVASQSIRHISQTRS >CAK81902 pep:novel supercontig:GCA_000165425.1:CT868418:437805:439253:-1 gene:GSPATT00016715001 transcript:CAK81902 MFIRNKQYPQYFDIQNSLLSTDTEFWVTNVSFSSMSTACLTIKRLEITKEKTISIGKQHI KYSKNKFILFSNAFLTILRNSNQEIIGVSLEKQENKIELYGQIQNWISVLMKECISLDFT CNYQILKLIGRGSTANVYQVRSKIDDINYAIKVFDKAQLETDNQVKQSLLFEIQYLKLFN HPNIVKYLGVFESKSQIILIQELLLGGDLDSNIQERKLDEDQIKIIMKSILGGLDYMHSF GIFHRDIKKCNIMLRQQNNYESLCLIDFGLAEKANSENNYLFRYCGTPGCVAPEILRKQQ YGLKVDIYSVGILGYQLMFGKDPFKSNTTKEIIVKNFLGHIDFSDTSSISKSGIYFLKGL IEIDPQIRFSAAQALKHPFLQPEINKILVNGNRFNVHIRKEPLKLKPLLFHNSRNQSPNN VSKTSPSKFHLKTDMSPKSDREKSNIDSSRLISKSFFQRNYKKMNTK >CAK81903 pep:novel supercontig:GCA_000165425.1:CT868418:439725:440486:1 gene:GSPATT00016716001 transcript:CAK81903 MIKFIVTISHLSQLIIHSWFMYQCVLTFYSGIIVETLWNQVKYLTNFSNWAMFTFLIPFV IFDFKNYNVLRIQDRYPQIVTLLFQGINPIIFLVMATYQSFIILDPNLLVLDGFHPTQWQ LVILAYLHLGNWLLLQIEYILYEHKRVPKLQRYGFFFAYTFIYLFIYGCYFLLRGKHVYG FQKNLSIPLVFMININQFLMILFTDYAYEKVTRSKFFKKFILENYQINNQTKNEKQNQ >CAK81904 pep:novel supercontig:GCA_000165425.1:CT868418:441005:441586:-1 gene:GSPATT00016717001 transcript:CAK81904 MILCVGDIVPPTTEKAKVLRRIIFFIIFLQICLALGKLYYDMWAGVAEFTSAFILWCAQA QLNYCNCVIYIFFCLMNTFLIVVNFMTDIQNKVNLEQLSNDGRNQFLLQAISLTFYIVSV YFTFQAYKEFKGIAYDVYAATTNDHVLSKSNIRQQIEMHNFEN >CAK81905 pep:novel supercontig:GCA_000165425.1:CT868418:442233:442755:1 gene:GSPATT00016718001 transcript:CAK81905 MLLKNKYTFWVSTQRTQTKIDKDNYNDNLYKVCNFETAEQFWMYYQWIAKPSTIPEGCQI LLFQEEIQPMWEDKANQNGGRFVFRCKNNVDRVWENILLSYIGNQCTYNEFVCGVVVNQK RSFTQVSIWVKNMASYQNQEDKITQWVKEMFGINELEFVQHPKS >CAK81906 pep:novel supercontig:GCA_000165425.1:CT868418:442775:445469:1 gene:GSPATT00016719001 transcript:CAK81906 MNSFRKSNTNNISRRESLETTPNAFNAELLNLQFGNKKKEVINEYVVKRKAELLEEMQQE QIRQEYDRKTDIQKLKALLANIKYQNDLMKLNLKKYDQINAEEQKFTVIHQNDLVVVNNQ IDAEQEQNQALQQQIQLIGHSLRKNQKYNNTLIEQLQLFTQKQPQIYLKEFQNQQAFDAA KKQNTMIKQALQEEKEWQMKYLYENTSSYEHKNQIVKENLESLCQQEERLQYLQQQLILT EQQIQDIDLEISTKFNKIEECAEFLDELDDFDKIILIFVMEDPKDFELPEILENARNQLQ KPKNRMQIADSEQEYIDRARFSSVSSRMKIFFKFHHNNLQKSIDDLIQVYRKSQFNEMTL KNRYEDLLDQKAKLKQTLEDLNSLEFYAMDDILFERDDQHMNIEELNVEAALVSKNQQIR LVENNAQFVMRMYSMLTNVLFRMINSLLNIKEVLKLVPQNYLIKSMNLLIISFYLKWTYN KLYSKLTTIHDQLESQFKIKIVVKDPVIRNSNQLLNAIYDHLEDRPAKRKTLIPITQKQS LSEILGTVLNKQELKSVSDAIVKDPILAQFFSITDLQNMVSQLDNYTLDSLLKKIKTDGY EIALTTATRQLKFLLNFIEEAVFDCKNIQEQQSSAKLLQQKYKLQDLMEKHMNSYEFKPK EWKRDVIESKIKQLKPLNGQPFEEQPSPITEMRIQQQLTTQPPTPKIQPIRTCRTEQSPN EIKRFIFTIDSQIPNCSQSQANQKQSDPIFIHLHHMNRKIKNLNRSQTQQKANPPHQFDV LFSKKSVELSRDSITNLQLKSTPIQQNKGILRTASKCPKLLNNTNNSTNLISARSKCNTK SSNDQKLELPQSISRSQLSSTYGSANKKLQDFFHMTSIRKK >CAK81907 pep:novel supercontig:GCA_000165425.1:CT868418:445491:447199:-1 gene:GSPATT00016720001 transcript:CAK81907 MYFAWFLYIALILGLYFLSRIIVKYYIDPAESYNFAINSICLSFTVSMICILMVPIDVFV TSHPNDVISTLHQPINKYFIREVFIYLYIGLLFITFVILPFNYFYSEERALNYDNDFDLD LSEKRLSSKIIRSAKQTVYFIGFICVLLISGLVFNPEVNYSTSEKLEWVKTMFDVDHLGE QAISFCISIILTFGFCLWVIYGSYGLTALPFQLIKGARSLEEEKSQVDNDLAKLREKYRA IQEKYQKSSSKISKGDQRALTNLKRKERFLNLKNEKIIELETQTKSLQTIFKIISPFRII IGMICLCFSVLIFSSLAITTYDKITNSSCGFKCGYLIQEKTFLNPIDTLYVYSSYYFPLD YLFFFIFTLYIFICTLYGIIKCGIIFLKGFEIKKEQTQPSSLFIVAAILNISILVVCNQL MTLCPQYATFGNQHYYDIRTRQQSLCTLEAVSKVEAKFICQMTNLSTFYNKISLGYPMFA VIFFLANASFLGLSSLMIFIFMCKKKASQIDVNEDDELDDESKSLINI >CAK81908 pep:novel supercontig:GCA_000165425.1:CT868418:447246:448152:1 gene:GSPATT00016721001 transcript:CAK81908 MLFQRQSLPALNAICYHSNNEECELQLRPLYLLIRENQIDVQQENITLVGLFKLLKIWKL DIPFSILAKLMLNANKYCNQQLNQMINQHSFHQLMHNPQLQIIYRKKPKSDVSQLIGNNA YLQNCQFSTPQTIVGLFSRLGELIQRKQIYLKINNENLDAKDKYSYIIKMMQNTPRDLKI NPVDNVTEKQDSLLIGNQYFSTQSKVDSILSLEDNEENDDQFPQINKPIKQKFQKLQNVL DLNDQQFKMNHRMRKMHTTMMKHMIQLKQNQNVNKINKLIQQTQGKIVIKLPEI >CAK81909 pep:novel supercontig:GCA_000165425.1:CT868418:449132:449753:-1 gene:GSPATT00016722001 transcript:CAK81909 MTHNYLLLNQIFKSCFLQIIPYISMNCLNYFDQSLILQQQLILQQMLIYNQMLKASFSQA IPNTNISIQKQEELELSNSQLPKQDDTNPIQPKEQKKKIKSQKKFEKKGMQQPKTENNCS SQPHELPKKKKVFLSMLDIKAAQYKKFKSQNNEDEQIPPTSVNVQV >CAK81910 pep:novel supercontig:GCA_000165425.1:CT868418:449933:450771:-1 gene:GSPATT00016723001 transcript:CAK81910 MMPQKIPKIHQINYHQIFMKYSYYQNNKLLPIAHLGSYETVEDTTSSRFENKQSASINDN IIFSFIKHNLTKRRLQTTQCYYTASKLKTILSQNKIKSTKTVCLNEIFGKELNVHKLYNI FSNLGILQYKYLTCFSIRHILYIKLSEFRNSLIIIFAANYSIEKLVGLNTLYVEQDYITL KFDAENNQILFPRSQIPHILILKKVSSNSEMMWDIFSKLLFLLNSQFKFMCLIKIETRKQ TFEVVALMHNENVDGRNIQISFTKAKI >CAK81911 pep:novel supercontig:GCA_000165425.1:CT868418:452638:454119:1 gene:GSPATT00016724001 transcript:CAK81911 MKKGFYFLRKIDTFGIKFTPAINNKMNFSYKTILGGIFSLIIYSLSAAYFVYEMYRWMNM DMVPIVTTEIHSFNNDIENLLDESNAQIEFEIFNTANGNESINPFNQTQLVLTPILKNYS NGKEQAVGYNFSQYVSENIFSPQFRLNSKSEYQISFARCNQEMLLEGQICAGQDIMNNFF NQRGNELQINVILKIVDPRTFTEKQIKKTYGIILEEIECQITKISMEYTHYQIFKDFIFP TPINKIFVSETVEQTTYGTKDYCSKRFVEESYALLWITTSQVAYVQRMQYPEIGDILANI GSIIEVLFLIEFIIHKFNESCLINFMQEQILSFYYPELQQIQLKKNWFGNIKSCSLRSQV IDPKSFIKFKNKITSSLNCKFCYLNLIYEISRMQFILQSIMIKEDFYQSHQIGIKLNLKK DYENDALFHLQADDEEEFLDSTKIQNNKKLTYKDSLLLSINSRKIYMEEDYIDQELFSDR NFFDINRISRTKI >CAK81912 pep:novel supercontig:GCA_000165425.1:CT868418:454385:454941:-1 gene:GSPATT00016725001 transcript:CAK81912 MYGIQFQYITYRMDHKISDVQLYSQKVGYPVHLLKEEFHLQQGIGDNKILCEFTYAVEFS SKCGIHPFSAADASEDSFLKIKGCTISNVYVYLSQQKQLADQQNIIYSIASKCTFQDTKD NYKQWLQRRIQWLKIILDLVDLIGILIHHVNINAYFTVSRRIAAPNQNSKKILEII >CAK81913 pep:novel supercontig:GCA_000165425.1:CT868418:455163:455447:1 gene:GSPATT00016726001 transcript:CAK81913 MDVIIDVQNADSTYGLQSYDRGDLTTQLKGALHKINSNCIKDDLLLYDTTKFLLRIMSNY HSNTTIIQNYYYGKYLRCIRHTLCMCIRIHPKQS >CAK79297 pep:novel supercontig:GCA_000165425.1:CT868322:1571:2973:-1 gene:GSPATT00039315001 transcript:CAK79297 MNLFQQVCLNNSCKAVNRVYCLKCDKRIEHFACQNNLKDEKGLIGFFEDIGKKIELYIEK LQESLQEILENFNELTYKLRDKYQYNQQRLQELNESKLKTIIDEIFQFQSDFEKGLEKEI QNCSKEMLIQLNNWIKKLSTPIAQYTSQLLEPSISESRLKERKQETPNKSLKEMKQPQKQ TQLLDECPKRQEYERYIEYHPSVFQKKKKATLRDPDILQEVFKHLPEYTKFELDPKINKI QNTFKFLSIEINQQSFDSNITQIQRLRIPDDYTVTCHGDRCCVRTLQPLIFSENKEIVTF KDDVFILKKNIGIKQRQMNEAIQESKSHLISKRLMQKFVLQLNEKGCKIPPIIFSDLLIL KENEKIFWIAERLQKGDFVRYNSDQGYINSDDNLLSNTTLVIWIIQFAICKEQVVFLQIR QSIHKVGVFKNMIAAMKVQVII >CAK75731 pep:novel supercontig:GCA_000165425.1:CT868211:3:5838:1 gene:GSPATT00039069001 transcript:CAK75731 QLEAEPFDKLFTLFKCLDTKVYYQVSFLDETKIWPKFYNQNEENVLILKYTKKELTAYID LSKVFSGSAINIEGVNFINQETKFTPSYLDPTFQYNYIIIDVAMYKNVNYAAACLFSYSK TNRKHFLFIKLGKQLYVMQCQYLTYQLCFKTLTIQILDDDIQNLICINDGSTLQIALVQK MQHGFVGDQVSTINIQISQQQQSQITYTHFDIYQDSKGNILSILQFPYLQVFTKLVGDVY TLNLRGLGDTGNQKVKSLELDCQKLFDLVNDPENYAGLFFLPMIKYKNFMYHATIELYII QRFVEKLKYVGSILLGVTKILTKESLLQVQLTQEVSDISEDEQSKKLLFIEKSIFFRQFI KKKTDQISINKFRTQIYPTFQLQKIQKIKATKNFLYVYGSEIDVPACIYVYRIYANQMDV LYHVIRPDISSFKVFNAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAGFHIWMVMSLLIMIVTLFVVIKLSQK KKIGDDGNIIKFDGCYQCKYSCPENCSDCYQGTCLECNDQYQLLISNQCKQQLNCGDGLV QEEEECDDGNNYAADGCKDCFIEQNWVCVTMRKDSPSQCTFVKAPSLVINYLNMTQNKQY ICIQFNQQVKIYTPKTLVRNIQTRSTGIDSLYIIQDVGSYLSFGEYVVEIEVYQLLKFRP LFKILVNQQVANIDNAILEDFEKQIKLQYPNYLDKTQKDYSQSLKSLNKYLIYSLSGITI ISFLSGSGDLFVEILPIIQFQQYLRYINLQFPQNLDIYFSINDMITVQPLLDFMQLPQFL QSIDIQSNQEYTDGKFRDYKQNSSLIINLQCQIFQCLIFLFLILLFRWVKRVLYRSIFCS RYFYYMSSLSLYINPKIILKFSQFFYKICLDLLKLEKFMSFEGLQKALLLNGWDMIFKTL LYTRKITTKNYLDIHNTSTVFNILLGFFNGNQQLSQVKDKKMFEILSFGRQFVFLIFLIY VQNSQILQLGLLLLTSLIQTIFLYKYDFIFNQKNYIVQMVVEISVIAFILSSFLYIQEFN EQFNQEKKIILGWIQAILLSTGIIIELILICSGLLLQFKSICRRKQLVNKNPLFV >CAK75732 pep:novel supercontig:GCA_000165425.1:CT868211:6594:6932:-1 gene:GSPATT00039070001 transcript:CAK75732 MQLFHLSINQCFRIFNQVLDNWNHYIEQDLRRSLFYKIKPQSSRIKIQLCQDQMQMKKEV AKEIALRNLSFILFNQSQNRGIATNSHRFNTKKIRRRILVNYIYCLIYQNMK >CAK75733 pep:novel supercontig:GCA_000165425.1:CT868211:7037:7462:1 gene:GSPATT00039071001 transcript:CAK75733 MWRKRYNSGSRRLSPYQVHQQSTSPQQTDYEDSLTAKCLIRMFMENGNIEDPIFQILFIG ESRTRQEEMESDLVQKVYSLMGGEKGQVKRSKGKSEAEVEDKGNGNRYRSQWKVGKVVYY GCPNGYHNKELDKQIREEKRF >CAK75734 pep:novel supercontig:GCA_000165425.1:CT868211:7476:8087:1 gene:GSPATT00039072001 transcript:CAK75734 MNNLNLFECRIQKEAKTSDSQMGLVPWQTTRDPSSTQQQESLSFDQDDLDILQHVLQHRS QIDYLEVYYQEINLTQIHLITQDWSQEKGHVTKKRNRKRSVESSQVREMFRELDEHKQKQ QQKQQPQIQLIIEEQVLSVQELVKSQEFLRKQRNWQVDLSVTTTVYPSRADVRKNSTKWV GQKKS >CAK76760 pep:novel supercontig:GCA_000165425.1:CT868251:5049:5282:-1 gene:GSPATT00039175001 transcript:CAK76760 MVQLTNCLEISKKFIFLDTHKISIQYKCKKKESLAVQIDRFKQLCKNNLIKVVVILSISS KEDMFNINIDSHYNQNS >CAK76761 pep:novel supercontig:GCA_000165425.1:CT868251:5445:6134:1 gene:GSPATT00039176001 transcript:CAK76761 MGIGCKAAVYSYSNCSTSYLFDSCCHSQSNFKNHILFQNYYLLSHCTIQILNFATYSINA YTFIWALNRSFSDHIAKYLILFDIFSIVNLLIKNCNQAFLLIMLRTVFLSSIMFCVSAVH HQFLQHAMNFVYFLIQHSQSLIKVLNFFDNYSIQKEQSSIQADNESRETNAIKKILMDLH HVCLKINAVHIGFKLKIWQTKAGTSLSHYIIIILVSCTIKQKFIFLISL >CAK76762 pep:novel supercontig:GCA_000165425.1:CT868251:6205:7352:1 gene:GSPATT00039177001 transcript:CAK76762 MQSLFIQVLPSRFFLQYFNYSVYKLFFERFVILIVNQQLISIIFYCLIATSALELNNCIY SQLELLYQCVVVASCRRTQTCYFSIKLKSVKLFMFGLKMNSGQNCDTHSSIMIAVEVAFK KYKKGCQLKIYIDNQLISYLANSFQQCIMTILVHLCLTIQLVQYLIPDVQNTYHIRFFGL YSRATIITSCTFYVGNEVTKILFSQMHEWNLKMLVHNLVTYLYYPSKELLGLLAITKLQE CLLSKISPLLHHISYISYFVLLLIMATSFIISILQTIRFKCNYNNYLRLCQTLINNLYIH KENEKGDQSKSLLILHLLLSCAQSPTYYLSFTYINLINQLFTRISYQKLIMFVRMFLNSQ NSLFYF >CAK79309 pep:novel supercontig:GCA_000165425.1:CT868328:4422:4852:-1 gene:GSPATT00039327001 transcript:CAK79309 MRSRNLNCFKSFCIQYKKIKIQVTNYQEELKIWFDKPGFQQLREKTFQLIETLITTTTKE SQKKTKQIYIFFSIATIDQIERLEENILKIKFCEATTIKLIAYLVKFNTIRNREKRCNLQ HLVSSITQENTTKN >CAK86542 pep:novel supercontig:GCA_000165425.1:CT868579:105:1769:-1 gene:GSPATT00039768001 transcript:CAK86542 MIAISLNIDSKSNNSVGFLYGQLIFYSQSIDMLFAIFFLSLIANFSSLQIREMDSLYQYS QESIVISEKAPSIFNAFRYGLWSKYNPLTNILQVGNVGMFDSDCFLLHSAVEELSLALNL IYYDCVDYSSKKVQKTIAFIDNDEVEHSFTITIDPLEYENVWYLFEIIQWPLLERFELRF IYKQETFNRFLNIKKPFKDENLKLIFGGGMIVTNSKIGIITPGTNCNSFQLSGWLKITDI IQNSDVFTYQLIALTSNFQNQLANKNLSPFTLSYKISQIKNQIIVTTYSYTFPSATISFS DDPFLITKELDLTNTLLLWQKLQVQLLDDQLIINVKFYEGHDIYEYNIQQQVNQFKCTQF KLQYGNILQSTANYFKIIVRNMGFNNCNAIDTLQICHYSCEECDGPTSNNCLSCSIESQR IYLPDFKACVCPFDTIDEKKCKSYTDLKFKVSDKRKVMPKCNYGYFEYEDSCLRCPTIIN DQFVICLECIQNPQGWSKNSQCEYDLYISKNGEVANQKWRVITLQQMFKEISFFG >CAK86797 pep:novel supercontig:GCA_000165425.1:CT868596:3555:5168:1 gene:GSPATT00020459001 transcript:CAK86797 MEQQLNQLSWKVQQNPKELDLSYCVFLQDLEFITYLKSPQAKQMQSLNLSHTLLSDASLL QLSFLNSKSLKFLNLSYCVNFTEGAIVNLLNSDTIVNIEVLDLSGNQLSDVCLKFVLQPN KMKYLASVVLNDIKKGQQGFSYKFFEILSQTNLNKSLLNLSVGNSFVQPDQLQMTKAQTI MNTFFNRIMKEDHTYFYQLSLKSTSIQTLTLFKCELLTNKFIKMLCRNIIFLSSIKVLNI GHCKLLTDKICYYLSEAGASVQRLVDLELLGLNLTSDGLDKIIKSKSLKLNHLGLAMCED IQCDAIKTLMDSKFGKMLTSLELSGSYSQKSQIVGMFSQEQIIWKDFSLKTLYIELFLDQ GCYYQHVGKQQLQFQKQQQLNQNLTQLDPYYKTFFETHMYAIIDQIIFIKKIKVVLKVQY TDEDLIAQYLTNFIEQNNLLDNLLTNDNQLFVNNLCILSYVLQSITKASAKIYDVEEKKL IKQSNFNYSDQLFRPHRKRKNQCFLNKKFGINEARFVKR >CAK86798 pep:novel supercontig:GCA_000165425.1:CT868596:5798:6416:1 gene:GSPATT00020460001 transcript:CAK86798 MFLTHQSILKLQIQTYNLKNKSCNIIDEIFINKQTSTHYFKALEFSTSNLIQLDIILYNT QQLEDLTAVLTLNENKVDSLIYLFQNNQLITLKIELLQAKLEQFLDILSAVNPEKLKTAE FIINNILINESCLQKLQEFVLKSKRLIEFSISMIGCGCTKQMMDKYFKSNLYIFKIPQLK FYY >CAK86799 pep:novel supercontig:GCA_000165425.1:CT868596:6545:8518:1 gene:GSPATT00020461001 transcript:CAK86799 MQEQQEQRKSTDLICFWLFSLFQGFFFCVTIYALLNGNPNKLGLPFDPDRKQFYSNFYID SSCGFDLEQYKYIYFTTPSKNFLQRTVCLSECPNKQQICSTKLLCSPNSIVKSCQINPSV TNISESILAYPSEAYNSQICLPKNPDYLNEIKEYIVPSFNQRLGSGLRDLKWVILGISLI SVIIRQQFMLTKIVTHIFWGYFLIIVLSLFSLCFLSAQQYYQSQEQLLLDQQLEINKLIS FANSLEICQKLYQIVIIISIIAIILSIIYAIYLKSQTILLNSFIKVGGDFIKSNITCIIA PFVGAVIIMLYSFVWIEQQLYLLSNLKTQDIKYPYFQLNFASGDQALIVMNFLIYIWTLV FILGLIEFLTSGMVCEWYYQQGNRVNFEKKNVLSNLIKYHIGSVALGSMLITTFKFIKQI LNTTKLCQGFQNRILYAISKHNYVIMNIQPNHFLDCGIIVRELIIKEIDTFNKIGELGQS FLEISRLSMALFCQSICMLIIQDHPYSIILSLYCFVIAYSITSLFISIYGQSSEAIYMLY LYSQKHLSQEDNPQCTIVRSLQYNYRLYNQCLISQMTILIKCNDVQFSILHILYCLIQIQ KWFLSSQSRYIHSHHPSMILLLFLIKSVKIQNH >CAK86800 pep:novel supercontig:GCA_000165425.1:CT868596:9295:13473:-1 gene:GSPATT00020462001 transcript:CAK86800 MQNIDSSSETPPWYIKGQDTQALFYYQCERQFIVAISGQEIYRPFSSKLQEVTQIKLEAD LYFNFFDDSEVIIAIDDQIIYQKLFYNSQIELYSNLCLDPVGNHYFTTIEIIFDFQVKAQ NTISIKFNSLNGFSYYGIRNINLYTDGIQMSCPSNTPIMGLQSICTKTCDSINEQLQSPL LTNCISNPSPSNALLRIFPEQSNLIKYQIADSVWVSEEFKQSSDEKMKQIIFSTFTYALQ NSKIQLQGIIFLIGEWKEGSYVRLKLNSTKDTTTIKSVAFQQESIQYYTSFTSQFKYKYV NDNTTTVTCTTIDCQQTLFTRTDYQYELVTINKTNNKFVANPSNLFDVYQTKLILLNGTT IPVSKVILNTELNIPSDPQFLTFSIEQNVQGDNAMYAFQNNIYIYSAAKQDSGCVSYSLQ ACIKCKSTYYVHLGKCVAQCPIHSRVLESVRNCTDYMNIETLQYTPGISQNSSGVYLIQD FYTLDFDSITIQKTFNIQPKSDNSFTNLLYSNLLNNKIIGGYATWGYGSYEKQFFDLPYH YQIRIYCTLYFIDGWSIEDFFIIKIDETEYIYSINDLEQQQLTGKSDVDYTKSVFIRHEH YDEQLSIKFTCLSEYYNDSREKSCGMNQIFILYDRNVNYLCPKQNEFYQQTSQTCAICTT KGIGCIEYISQAFKKCDYRCFSCSLDLNYCLTCDPALRRVFNNNQCICIDGYYELDDVPQ CKKCPFPCLNCDESQCLSCVDDRELELGKCNCRQGYQEINKQCVPICDSTCLTCDGVSKS DCLTCDSLINRQLFDKQCLCKNGYFEDEIQGCLKSCLSPEYCYACDELDQCIQCLPNQQR VLLNNQCDCQQDYQENPITKLCEMICHYSCLTCSQPQSSNSCTSCQSKDVSFRELGNQSN CACIDGYRDEGNLSCVKIPNCHYSCNLCYESNNQNLCIDCQSNRYMNENKQCICKLGLKE IDQVCVLDNVDNNQSSGNNNQTGGNDNQNSGSDNQNGGNDHQNSGNDNQNGGNDNQNSGN DNQNGGNDNQNTESNNENGGNDNQNSGNDNQNGGNDNQNSGSDNPNGGNDNQNGGNNNQN IIECDDGNDLPRDGCFNNYIEQYWYCTPKSQSQYSLCSRCHANCIYCSSNSICELCLDGY YINNGDCLQCQSQCKKCNKFSSFCLECSDKSQNPTNGICQKCQSGYYLIHDTCQSVCGDG IVVGDEECDDSNNNSLDGCNSLCKVESNYKCSDSSCIPLPEIKKEVSLQQNNQVIISLQN INSNCSLVSLWIEQISAENYKYNVSQVESTQDSGMKCSIDFLFQQDVKPFNLIHVLVKKK TRILSDSDLVELLVQPQKKGCKYCVLNVLRYIVFLFGNDSDINIIQPI >CAK86801 pep:novel supercontig:GCA_000165425.1:CT868596:13669:14412:-1 gene:GSPATT00020463001 transcript:CAK86801 MNKIEDEHQIIMRQIQGVSFASEMHDRDEKQIHKDLVRIIVNEDRKLKAKRTLFSLANSI LIVLSIYNMVSLVNIEAYHSFIYFEELQQDPDNFGILYYLVLIDIIHFLRIINCCNGIFG AELKSQKIVTFYLIFSSFLFLCRSVLTIITIISYSQIRKLCAQFFLDEDADSLALNYCLV MASFLILDALFTMICIVQGSNNRENQQISNELRQKIQRKYFICYENALNSFF >CAK86802 pep:novel supercontig:GCA_000165425.1:CT868596:14475:15848:1 gene:GSPATT00020464001 transcript:CAK86802 MQKEEIDRALKYFCFKYTYYNVFALIFSLFQYDLLPFALPIGLLIIQEVICWICFSKRNL ILSLQHMLQVLAAIFIIILYYIDLYYYIFVNLFVVFTTFLGIKWSCQQDNQHEYSTIFRY LQGFYSISVLIASLCVTIKLNQLVNWTWSQTFWWYWMYLSALIGSAFTLLMILITKLINQ FYYHRISLHSNEYKTLVWSFYLFSMTSVLSGIWIINTFNLVGMNLKLDIGIIVFGILIGF NLVVFAGTLFILKDISIFLTTFLNADYPQRELPCSPRMDEIRVKQDLKSPILLKKLSSSY FRLVTSQEFLMYANTNKEELFTERANNLSQNIIRDVNQEIKRIKKSKQKTDIVLETQKTQ AASIQCIICCEKQANAVLMKCGHGGICYECAFESAQKSRECFLCRQRVIEIYEIDQNEQG NYLRVYSKTRII >CAK86803 pep:novel supercontig:GCA_000165425.1:CT868596:16160:18452:-1 gene:GSPATT00020465001 transcript:CAK86803 MDDNVVPQQIIKLLYDKSQDKKQQGCQQLKSLVQSYAQSGKELLIKQIIETLKIKFTSSS QLYLKRQGMFAIQTVAEILVKEFPQLAERCVKDLTQPILECLNDKEDKARQYAVECLLQL TKIMKTMILLNFNEIFDQQLGRSAEQDNQIVQAMFLLDAQLKTQVQIAVQERFSDPGKTC YFNLNSFMTQLQSKLKSRVTSVRQFLLGWIKVLNQCHNNDLYIYFPMILEGIFMMLGESN KEVRNGADLQANEFLKQVEIKVNLDQRVNEQIIEILLKICQMKGNQNNYAKLNSLLWIFE YLRVFQQELEEEQKGKLGLQHSTSHIKQEEYLRSNTSPISKNQMQQYLGGFESPLRKTIL NSLSQILGPILILLSHEEEEIRKAAQKTNELLLKVMERVKNQSVEFMNIVPTIKEMLTDK KSNTAESALIWMKHLLETYSESLFPTIEDILTKLIDKLADSESAIVQNIMDVLANISMHS QYFEIVIDKILTMLHKNQEQSEKKGDQIFKKLCSLLNPQKVYFTITAKLLEIYSDNDVLF ISNTVQTLTTLLISEKELQNLRNILRNLKHEKDEKQRQHNQEIFETLYRTFCYNSMSVIT LCLLSEEYELAYNIIISFSEVEVNQYILLEIAQLINVLETPVFIFLRLQLLEYDSHPFLM KCLFGLMMLLPQGPAFNMLRQRLKNVSNTQIQSLQQEKQMETEEFLDIQKLLTQFRDIRL QCINQESKQKELN >CAK86804 pep:novel supercontig:GCA_000165425.1:CT868596:18646:24405:1 gene:GSPATT00020466001 transcript:CAK86804 MRQFCYKIISIKMNWKILGDLISLIIQTNLSPSLNNSFFTSFQITFYYLQLTYFLVSENH EYIYRLINGLSEISLITPIFIDSYAINMLIGVIIILINVVPYIIITYRKITNTNHNISKS LLQMTTMIVNYYFLYFSWFLYLPQLYYVGWNYIHSSSSLLILASVVLIVTILSLIISNVY FINFEFNEQHLRKHFSYSDLLAQLLMIPMALLYLNNDSTTQLISRILHGLILIIQIYDAY FSLPLGFSASGFTLNRALMTHSVIFTFSSIKALSTASPYSLATIMLIMQPVVQYLFQILF ESKRSNVYISSNITKNQYYDLLYIEDFFELSQLAQKNKQIEIEFIQKLVLHTNKCNSTKC QCRKIEPQNILQFNQIVIMISCLFKGSFEKYKHNQSNLRVFEIFSLKFLTFINKYKHNAP KSYQELKILFQKKRDYSFYFIQMCLVLQQILQTQLRKDEDYNINKDTRVSNVKLQVSKSE HSIVQDLYQMEQVKNNIIPLLVQVGQFKLQFWKSFKEGKFSEYSQIEKEVRKLQSLRDNL IFQFNVYQPMFYHNGRTFNVQFLKFNALINLLLFNNLRKYFEMEKERKEILQFEKSMNSF EITNINFFKGEAISVKVCIAFGPNIGKVMNKVISPLIPKFFGFGHFQHPLNQFIDYTKGN INQLMPAWLEPVHDEMMQNYIRRGVTARIGKYFQTFAKLYDKTLIKCQVYLAHNFSQELE DDFTMIGCLKSLEEEQPKFIGEDGKRLKNVAFKGYQHVLFDLNGNIIGITRGLYKMIEKM QRLVVNKGTYHEIDEFKSSNNISKSESSQESESYNAFDQKWSNIELSIDDFYQKVLIWMI LPFISREIESTGIEFLMNGQIPPKNRYQNLTDLDISNLLVQNKETYLFIPEDINLFVQQY EKILQKIFDDVRVQSNNFSQGSAYKVSKSENYEIDQFNAVNIFNEKLCAFFYDEHLKRHQ TLNFGQTRQSELPKTQGKPSSRSSIQEKQLTNSESQEDSEQLKTRAERIYQDRYSRYIEK FSPQDFHPVPVMYSVSYEEFRYRKNEIDQKQQIFVVELVVNEQQLLNTEKGYRRQLRDTI KQIYQNYQSKKQLLEQQTDEEESISLQANFSEEKSVNDKDIKNYQFPSHPSPYFDDAYTF ENKEVLITDQIFSPKQDDKGDFFLLSNRSKQSKPLLEIAEKNSKETKRKNQASMQSEHSV EDAQFKGSKLNITEKEIAQSVFIKFSQRKQQQQFYNENESKLPQESKDTQQQVFEEYQVK YSENLKLFETQYNLVQAQLKIISNPYSYRIQKYLFSATFILIVCFIMLISTSTQGLYDLT DCLNLIELMITTQTSFSQITHSLYHNEITNQLQIKDMEVLKQFYSNQFFGQLQELINIQN DYAIKFNQANLYVEQFYDIENRIQQNTSLSEVIQLSLGQFYKLKQNNSNHSNVLDASLTS SAVANLKEIGELPLKAHQSCYQENLNNYTYFQNILIVFIAVTFFLVMMLQFTQIPLISKL RRNHRTLYKEIISIQPSEVNDEIEIYDAVVNTLKKSIYEWMLIDFVQETQMFEINRQRRS IAHTPGLKRIDNPDLGTSPNSNKKYKNKLMEKLKKSKMNQNKYITILLAGLLVILAYFLV VFFIILMLSKDIISNIDIIFQSKLAQSSIINLMNNLDLLAYSTLSNGIYNNIMNISQFKA YSAILNDNSTDIFFEKYKNQLLSTFVDESLYNNFNILNEKNICTKEVGIDCSLTDTISLN PGMLAYFEQGMRSLLTQVSTIIAQYPQFYETDNVVNSEQVFIEFYNNQNHMLYIDYGSEI LIKAYKQLLSIEKDLYNELLDVYKKLLLVFTLSVGLFGLIIIFFLGRYLLKMQKDSIETC LTAILLVSPKRYLSKQLGLIIQKEL >CAK86805 pep:novel supercontig:GCA_000165425.1:CT868596:24513:26150:1 gene:GSPATT00020467001 transcript:CAK86805 MKRASSLTKQSSQTSKISTQTSFKQNQKYQNVKSKYKEPKKIPGSTPYDPTNSSGKLSAI SQNLFRIVIRFSIANFADYINLRQVCQRFFYELESNIHNFQYINSAQLQNVKQVVEQLKV IHFNQQINRYSTFHEKMNSMFQYGATKLNLPDKLVESLQLNVQSARVNKKQFTPQILFRK LNFASHLYFKILLDGIPINMKSDTYDSIQVYFKIESKYLNLSKEFMKTYECQEILKKSIN QKAFTYMTDGHYLFTYFENDKQMLYFLWENSTVDLMYYFWKQLKQKYFWVNKGLIQKQYK SIMEQRSKQNLQNSRALSESKQKQQIDEDSDDEYISFQLQKQTTLTLPQIKSPQQQQQQQ QQQQQLPPKPKKKVSTFLKTKVIQNKIQYASMKDYMYDQQDFELMINIHTGIKGQNLFYF VDTKAMPKLDEDCLYFTYKMKEHINLSYKFYLDNKLGMKEAVENLFLCDITFKDRDDFRI LFSGFVQMFNEDTTSVAKQYDVSYMDVKEWRSLKLWNENRFELVILFGTQPSVIKLLELK LIDIN >CAK86806 pep:novel supercontig:GCA_000165425.1:CT868596:26211:27793:-1 gene:GSPATT00020468001 transcript:CAK86806 MLNQNFNLSDKQMEKTQLNTPQQTDVKLNQTGIHQRTDRQKKEETKKQLFAFGHQQAQSE LKQDEFQNQNKAQNEYVNISQYLEQQLERYKIIETQITHQKDKGVVKRIMSSIETQLDKL KFNTLDPITVNNSKFGEISLIEEQNQTDESFYSNSKIQAHLKEIYKFYSKISYVRGPSDD FNRINHESNTMSEGKFIIFCRQFGLLEKRIHHIKTQSKIDETSKLSNNILPPNSNILIKN TIHNDNNVKAKYLSFKELNNLFRRVNKNQNEVTYMSFLQLLQELSQLMFDKEPKIAENLL YKFMKVDTQEFRKQFKSLYIPFNSKDQPGFRKPEGLSCQRYENHSTDQLKSKRLLIDDWK KSKQEQLREKLIVQTNQSRSRSTLFEPLYVKTSSYKERKLRKDGLYANSHQIVNWEKLDS LDPKLILLDEFKPADLIQEDEDNEDKYYLKSYDLSRSDKKSDHKPLSTEKRVPGFKMKTE TTNRRTQISTKIDSRIEQNQAYFRLPKLRNK >CAK86807 pep:novel supercontig:GCA_000165425.1:CT868596:28015:28527:-1 gene:GSPATT00020469001 transcript:CAK86807 MTEAEQTFYVSPIANPIANSKLQKGLLRFIARQITIKHIKRGVKEVGKALRKKTTGIVVF AADISPVDVLSHLPLQCEELGIPYIYVRSRLELGAAAQTKKPTSVVLVQVPTKDDVKGLE KYHELFDKVKESNPFI >CAK86808 pep:novel supercontig:GCA_000165425.1:CT868596:28564:30391:1 gene:GSPATT00020470001 transcript:CAK86808 MNLFRRVCIPLLRQVPKIATPRVVALSLLTSHLILFPKKVNASTVPNPEGSSNGFNKYEK FSDQIYEQIHQTHDIIIVVDPDRFVEHFLDELLQVVDQVVKVQRVRSKRPLKVLTLNEPI TEKLVRDFEQKYKITIEQDTIMLLKNKYIQRIYNFNANDYFENRILLVNYFKKINKLTQK KLNEFEQILSYLPSTETAVLVYADKSSPQYKNVQTRFCIMQQNDNYQKNEKLHWILIDKA VAEKLGVQDIQNGQLFFLQKSSKLNNFQSSITINNKKLLITPAGTLGGKSVDNVMEDLTK AYNDANVFSNRSFGKSFTKYSIVLELDLNKIPKHEETRLVKAFGELKKYLKETNPEILDK TEFIYLNKTPKDNHLGYQVYVRDDQKYGDRLRQHQETDINVMQKLSVFNEFGQAHSYIYR LDEQIQVSKDSLISFFMAVKNGHANEYFQTQEQPKYKKYSIKLVGKTFQKEVMESNKDFA IFQYSKNCHACGEYGQYFENLALESYKQEHPTMTFGRMNNDHNKPSCIQDFPYTPVFMVC KKGIQHIPYVYRNQKFTPDLLKSFFSVTNAINLIPEDQYQKLQTDRALFTQNQ >CAK86809 pep:novel supercontig:GCA_000165425.1:CT868596:30426:32202:-1 gene:GSPATT00020471001 transcript:CAK86809 MYQTIDFVKQVYSSLKNSIKWQTQLDEFDIVIFGFLITFILHFILNRIRLPSRDYNQSHW SFFKSQLFMSLINYCPGVSSFLEKKKEEALKSFSHSLDKHTTNKTFKIPENGMGYDKIND RLKSWIERDSKNYYSGKVSGSLYVHKDEKFIEECQEFTKNFLYSNPMHADLWPASRQLEA EVIKMTGELFGQEKESIGMLTTGGTESILLAILAYRNWGEAEKGITQPNMVIPETAHAAF YKAAEYFKVQVRTAKVNQKTFSVDLKDLKSHINSNTICIVGSMPNFPYGTQDPIEELAAI AKKKKVGFHVDACLGGFIVAFAKEMNYGKFDFTLDGVTSISCDQHKHGLAPKGVSTVLFK TRQLRQYAFFSTATWSGGAYAVPTTQGSKTGIGAAGAWFTMLAIGRKRYAELSKQIINAT IQLAKQINEIPELEVCGQPRINCVCFKSKGNINVYSIHQILTSKGWNLNTTQNPNGIHIS VTQQNIQNLKQFVQEIKEAIQEIKANPSQYKKGGDMGALYGTTQKIPDSKFAGNALKLYL DSVLKL >CAK86810 pep:novel supercontig:GCA_000165425.1:CT868596:32214:37054:1 gene:GSPATT00020472001 transcript:CAK86810 MSIMNHKKSQLEKGTSQEMEYKFKISLFNVMHNIIQNNLCNTFIYCLLLSIENLQLMYYP IHPVIDFLWDGQGLNYFRIILRYFQFNYLIDQGVTIFIILLYISFGVMVIIGLIFFISQY SLSQLKYQEQQSGLLVFSFKLLSLLLIILNTILALPFYNIFLATIYCRSDSAAGADLGCY QGIHILHMIVAIIGIVLLIFFHFSTTLLYFDLNPKSKFPFNGYQSDAEYARMLFKFVIPF LIIFNAPGTYNSEMVVLFGVGDLILLFSRYNYPKGYNNFIHFYKITLEIIVLWINICVII TDFLDTGSPDEMGLLYLFVLIPCVVFGGLYAFKTILKESMTFSIKNLKNEEEADNYLNTL LQLILDRQSPNTKIKLIGVLKLHYISCTKTVCACKSLISQDHKKEEVNQENENWMKFLGS ILDDIMADEKFKENARFKIFYAYLCNDELKNKYNALHYMMKAEDTKNHTLRDEFCLFRFK QHIEYEMQEDSEKAQDIDVNSIVFFQNQLVILTSMIQKSAESHLEFWRELQEDYPNILKL QNIGMKVLQQIDLCDEAYQELVEINNNNLNLLEFYNAYLQQVVHDKEQHEQLSQQISQIK KAIFQRRQGAGQESRITESQETIIITMSGNVGSIGIVASCNNEIQKSLGYPASDLFESNV SKIMPKIIGDQHNQLVENYMRTNNSNIIGMERFVLAQNSQQFLVPCKLMVKVLPNLDKGI LLVGFLKPLEKLPGTHYMIYDAISQQILNFSESIKELGIKIQNIAMTGAQEQSKFSFGAV FKELGDIINNPLNQNDQPLKTLLYKQEGDIYSVETTINIKHINDTLVQINHDQENKKLGS TAIDQENMYTNNDCKKVKIELIEERFPLADDGQPAGGNIYHIIVIREDIDQEKINYGKSQ IKQRLDETKNMIVQQKSIEEVEDIQKNHQSSIEDDQDENKQNKDIRSALEQSKTPKQIIY LRYLSLILFLSTLALSISKLVLSLQQSVLVDEGVSAIRQAHRRHSLMADINLYSRYLHLT SFGYFDSSLEGAFKANISILVDKLQLIQFDMIEFRIKVESRSGEQIDDDQYDVVFLLSNN ETKLYGNIFNDAIFAYITSASSFKKATLSQFNDTTNSSSVAKNLFYVVENGLDVLRNGSE RIADKFYDFYFEQVDSQTINAVIILITALVIIVICEVIYVPIFMSIFNERKRLISYFGMI SNDDIKNLIDQGDTFIQDHITKFKSSDEIYQVDQPQQQNLVESSLNQDQSQDVIESPKQK VEKEQRKSLFDQSKEKHQRIYESKGGTHYLLIFSFICIGSLLMIEYIVMYVLEDQSISDA KLIMDHYLFISRRASIIKFNILFTLESLVNNGQSRVYMDNDLNKYYNEKVYSNEQDLFKT LINTYPSSFDDYFAFFNNVNFLNVCEHITWIKSLQLITQSFIFVDTVDNFTNYTFSQSEL DQECNTVKEGILTKGLRDSIFLVALRNNEQLARFSNLQESLNSFQIQELLRKYILPTFWE NNNIFQICFYDFLDIRDSIQIYNFVGFVGFLILIFLFLWISYIAKVQAGIQQQMRMLTLI PDDLIDKYAKLKEAIEFHVLGIQQMQQ >CAK86811 pep:novel supercontig:GCA_000165425.1:CT868596:37081:38844:1 gene:GSPATT00020473001 transcript:CAK86811 MYNPVEYYYSNDYYVTQITKPESTRNNTSYSPRKLIMGYWKKDYKSFIKQRTMNLESKEI QPHSAKQKGMKQSFTRLAESILNASNCFENIPNRQTKTDRFVKKLKNNITTPSPSHSKGH NHEVRKIPGRLYKGTLLKNLDNTTNSFEKSYILDSKKEFGYSTMSPKTTKKDKSQEQLRQ KHKQISNTEQVVTPYKELKQQLTIRRSTLNNTNSTISLKKTIYNVVDEKLEGMKLECLLI KPCPNNINNLVMIQFENVLGYDESSFFGLQPDFVGSRQYEEYLILRDHYFQRATSTSSFY VHKNIKELFNSISRVYQIGIYTLNYSSLLKDLINEKKLKINGAFQITDYKIDTFVVDITT VLTNFKIKNPQLLIFIQPFQLLNLQEFFVPNHTKIPYYDYYGQRFFIPFTKSIHPNQYRL LALPSLQMQNLFKNEEQSKGFSQINYFIEQFTLALQSESNFAQFLSKSQNKIRCINQSSY FRQLKQRLLQSVYFIDTLKLNQDKCEDLKFKKKESNDKQSNKNENAKQMEILYNDIINRN KEILEKLRNFKCNPQNNLSQLHSELQQQLIRLNYCEMFVDSCYYLPY >CAK86812 pep:novel supercontig:GCA_000165425.1:CT868596:39868:40356:-1 gene:GSPATT00020474001 transcript:CAK86812 MSQILQDIPIDIRHQINKKMIEDDESEGFFQIKLQKKIKKHRSIPLRIQKHYESLSLMQA KATDQEQSILSLIQKRVEFYSKNKQIVQWKENIPQSHSAFIVNLIKSESETFEDSEYNFQ QPIDDFPLENYSS >CAK86813 pep:novel supercontig:GCA_000165425.1:CT868596:40488:41207:-1 gene:GSPATT00020475001 transcript:CAK86813 MSIVTRFASYFVKSRVINYSLQVDRIMTEMCKAGFQDPEEGFLERDPMTYYECRFYSHIA RNWNPRLESFEVSQYELAKQKFVQFENLYSFILDLHRLTWEYRSLYLELTKEIATHNTWF RSEYTTLTYEHHLEEAINKYIDLLDQIKEYPLWQERVKEEIGYYLHLIYNSTTHSSQSKE LFAKFDKLYFFK >CAK86814 pep:novel supercontig:GCA_000165425.1:CT868596:41347:41982:1 gene:GSPATT00020476001 transcript:CAK86814 MGPVCTAQKRKNKAQEEKDALRASNQPCLESTSLAAGQSLPLELKNQSGLEEAVQNLMQL FKRFTMFLELVGNLSQLPQHTRDKLNNCIAIKQNISIIIQNNVKRVMREQQIIKRIDESD YYLIYNDRKFASTFNQMMSKLANLVLTELKPDEDFQNAFPILAVSFEEEAQKINNIVEKL KNNIIVSIRKGSSQHSISINQQQSNRKASQQ >CAK86815 pep:novel supercontig:GCA_000165425.1:CT868596:43202:43874:-1 gene:GSPATT00020477001 transcript:CAK86815 MKSSSPKNQIIIEKRGLSEHVQATSINSGSRKSSIVVKDGNNLKGNLQSIQKQTEINNTQ LKKVSSAGKLLIPKNDQSQQKQKRFSSKEGDGLNVIRSGYLYKQISPKVTMQEDKMNVVF VQELNKYQINNEPTSPKSPKLTDANLFMHEMSQNGFQLKGPQSPSSHDILTNGQLKNKIP KSVLSHHQKSDTNIWKRRQMNKKRSKQYQNTVTYY >CAK86816 pep:novel supercontig:GCA_000165425.1:CT868596:43953:44916:-1 gene:GSPATT00020478001 transcript:CAK86816 MKKFDNYDSFLKLTNRMSENSTPIGNIVQQKPHSHPTILNGMRNKNTQSYKTLCSPSRIF DYSDTPEIAQNQKNKYEASERKRQIEANTTRTINPSIEKCNSPQHSRIQSSTRYNVNQES TYKEPKRQVKACTQKSQDFNHRINLPISQMQVNDGNEEYGNSRTHKKSSSIQTFVNLQSS PIQNEFFSIYTDRTRGAQYEDSFRHLLTSYQKANQIKNEGKKKYPQSRLIYEQTKLAEQK VLKPHNKVFQQSENEQKVKYIKKGQGDWNLNQQKSNFKIY >CAK86817 pep:novel supercontig:GCA_000165425.1:CT868596:45119:45391:1 gene:GSPATT00020479001 transcript:CAK86817 MSKIKMKLTQSPADSQSNQIKKNQRNQSYEIEQMMSSPEVKKFEAFLFESITTRQRLSMK FSQFTPVPSEVSRVYEDEFSWNHNSDHSKQ >CAK86818 pep:novel supercontig:GCA_000165425.1:CT868596:46214:47707:1 gene:GSPATT00020480001 transcript:CAK86818 MCIMDFGLDEIEESEQADILRLLSAPKFESRQQFLIVIPEYLIKHLLKIRQIALLNSPEI NYSNPTNLKSLIYGSLLPYEDPIFYKHQILQNIVKNTNLKIMELLDSKKKAINVIKKREI QILNHQIETSIKKQTTKFTQKKNCPQCLCKIRGFKANEEQIKTAQTLRCKGCKEYYHSCC IQKQQQDKQINFMCPACQLSFASPLEKVISVLHEPEIINLVDENKEKTLLFECPNSESNY QIQMRCLQIGNVDKLTWPESGEIYLNNLKVIQFDSKMGQKSGESYIVTQSVKFGSTNKIT ILYQQSIFKQLMSLNISQKQQTETQNYYLFAVYSVKVFSPRDWLYDLQKDQSVSIQESSN RISTFINQIGETTLKVSLLDIQTLNLMKIPGRGFRCTHIQCFDLEIFVKLNQIENKWICP ICQQKCHKLVIDQFQKAIIENIVEQQLKKTEIEFDRDGKLTEQLIEYNQKSSNLDDEMQI EL >CAK86819 pep:novel supercontig:GCA_000165425.1:CT868596:47714:53917:-1 gene:GSPATT00020481001 transcript:CAK86819 MGNFCIDVCNNINNTLRGTASGGDSKGGKQAGKKGKFVDFNIGASTISKEEFKQAAKKRK EEQRKLELIDKMQEQEIERKLKQELEKIQRRQMEKRYMRRDFKYFDETTQQRIYNFGLLD KDELLQSIMGYGTIRMLNEKQCEPLAYFPLKNSDELLWEDHSEYLQNIVLDEIIQLDESE SYKSMLWTGKRLSNLKQQYLVEITQIKKYEYLQEFEENFYFNKSIQQLLSLTKQDTIASD IYNVSYQQQWFLLHYSNIFLRVTDMPIATLREVIKIRASFPKMEGAYKEQEILGFLILMM RALRDAKSIYINHGNVNDENIYLSGDGQYRIKGFNELRIASYIVQRPTSQTLIKKTSKIY SDNRSEVESEELLADDDSLQEDEPEKYELCCTYSYLQYYIKQVKPRHLIFYNETIRPVIE KGLYIYWNKTFDNMSNEAKKERLVRNTEEVLLNSLIMQQNFYSLAIIAIQMISLGKFEQW CQSKSKTSHHSNASNKNDISQAAKFIRSFSGLHTDESYLNQRVKNLDEFKEYQAKNYIEI MSFLAQYQLINNKQQNYQIVILYLQDMLKPNCDWKRIMDQLQEEEFDPPNDIIFFQRSNF ENSQLNKSKNDKSTNNQSDKKKSEKQINLSQQEKPQADQPKTELSAIVDYEEDKGGLLIR AFNVSDEFYDIRKYFYQIQGYMLIRNTRIGQEAAKYILKIINQLQINDPNKLAHYKISNP SEIFFSKTEYVFLKFCLGFCYMQNRELELAYATFTSLESDIATADPKTLKKINQSNVKFY EGLITFISGEAEDALQLFNRVLQISKNSLKEINEKAYYQFIHYYFLLQLDQDGYFEAFKY IQQLNEYHEQQCQKYDYISKSLILSCYNRGKAYYEVSQFELSKYYFDISLKLISNITRSG SEMDSLRLLNLGYLSYLHFLTNDMENMKSNLQKAMSIMQEALSSFHCLETVVLFFNFFGF LLKSLYTDLEDMYQQVELFQLTIDNNKRVKIDQFFKKFVFGKMFFYAGDIKKAQELFLDA VQLQQYQIADELRIKQTQNISVHQLKEFQQIKIQMLKDYQEQQKKFKTTLPPPERDNLTV RLLMEVYDWLGYIYIKQNQEKKAMLCYKLYEQYMYNLFRFEQTLIDEYTMRWAKIQFYLQ KYDESLKLFSQLACDLRKQKVDEDLYFEYQQIQSDISRWMGLLYLLQNKDASAKVAVERS KEELMKLYKQQQVNELSKTQTNNSRIGTQLSDPKLLSQEREDFVANSQFQVSNRVEYVGT SMIQLQIQKRNLMEQNYFKLQQAPVLILLVQNYMRVIWDCISLNLKKLSISYVEEFLSFI EKDKYLNSPIFENALKSSIRSDVYFLVGYIYGQRGQHEETFIFFKRSFIIIRELYDNNQL DQQLIIKMSLIYDQMSRVLANQGFYIDAKTYLLHSLQLFQQVKKKTNPLQIAYKHANLGN LLFKLGQYTDARIHTESALVIFEEEYGKVSVPVLQVRTKLLEILLARNKNQLIRKATLQL IETFKIVCETHYSTKLDGQIDRMIASYHHKYGNHSEALNLYLTAFIKLLDDNEQGMLLLN QLSQGEKNVKNLLQIKSSDRASLDIALTICQLYVDNLQYENAYDLLIKLLDLSQGIDYDP PELGKIAVLGHDKDEDIHGGQEGILKIISCFNRIDTKKGNLTIFEIRRTEIFDMLKSKRH LNLLFIADIYRLLGQILNFWERQRFEAYFFPNYPAIISKYYGSQSKFQSQKSKVKNVRGQ QIDDFQNQIFNFLIDALIIYQEAIGDYHTNFVETLYLLSERQYLQEQYEDLSKQATQVIG LLKQKRLSFPIKQWKLMLIQVKTNLQNCKAYKQILSIIHRYNLDSGYKDLENTEENLYER TMLKVKQIILGEKKKKNKKIIKINRDGLVAIACLIRSEEEEFIYFIDNLDTQNEEQIVTR LTVEVNFAKQQRAIYDFNNLQASTSPQNNQFKSQTPKNQKDEKSFFAQKQRYLDTTKELL PQQQRVLFEALRGMRQIKRFIRRYLRRKMKAKLKRDQDEKQNIYLEGNDSIIRFEISNLL YEMKQIKIIIITLLGQSYYNQKNQEDD >CAK86820 pep:novel supercontig:GCA_000165425.1:CT868596:54071:54682:-1 gene:GSPATT00020482001 transcript:CAK86820 MNEQDDEMLIEEILCCQNDDDIRSLVDSQCLDIIGNSLQDQLTLAHKQLFRVIKLFREFL DSSRNQFWDQKKKREQNQTLQQDYLIIHHELQLEKSRGKQIEEANNQLRLQIKLYEGDFE TIRQQSFEDIKKVEEQLVKTQNQISLYKNSLIQKFCVICLQKEYCILLKPCGHVCVCEEC SKKIDQCPIDRVKVTKMNKVYLS >CAK86821 pep:novel supercontig:GCA_000165425.1:CT868596:55095:55779:1 gene:GSPATT00020483001 transcript:CAK86821 MTEEQCDYVFKFIIIGNGNCGKTSLLYHYTHGKSITNVKQTLGVEFSAKIIQVKQKKIKM QLWDTAGQERYRSLTKGYYRGALGSLIVFDVTNSDSFEALKEWIKCARDFSKPSIQIIII GNKIDLEKERVISEQCAKQFCQENDVLYIETSANTGYQVNEAFTQITIKLLDLLQQGVID GGMIKPKFLTTKQPDEKEKQQQCNC >CAK86822 pep:novel supercontig:GCA_000165425.1:CT868596:55828:56758:-1 gene:GSPATT00020484001 transcript:CAK86822 MDKSCQSKRETFCSVAYKNSIGGRRTAWRVLSSIENKEEGKAQSQPASQKNLVLIRSYKK KIEEELNQYCHDILNLIDSHLVKTASTPEAKVFFHKMKGDYHRYISEYASGDQHKKAADG ALAAYQAASNVANSELKTTNPIRLGLALNFSVFYYEVLNDAAKACQLAKSAFDDAIADIE QIQEDQYKDATTIMQLIRDNLTLWTSELDDEGGNVENL >CAK86823 pep:novel supercontig:GCA_000165425.1:CT868596:58272:59434:1 gene:GSPATT00020485001 transcript:CAK86823 MLSIQKVNIATLYNRNEEYKSCNRETDREDRSKIQKFKEILHQNIIPINELLHLAWSGVP SELRSTVWRLLLKYQSPNRDANFAIIERKRNMYFEMCDIYFAKNQQYDDREKKILKQISE DVKRTIPDSSVFRNPQIQTLLERILFIWNIRNPACGYVQGMNDIVTPFLIVFLSDYVDID TTKLQFTNEKQLDHLDQRLIKQVEADSYWCLCKLLETVLDNYTNSQPGLVRFYNKFKEIL SALDKKLYEHLTTSLSMELYAFIFKWSTCMLLRMFQFEVGLRLFDTLLAEEQNYFELCLF IIISILMKFSLKIQKLQYDEIMILLEKLPTREWSESDLSLCLSEAYAYQRIFSKK >CAK86824 pep:novel supercontig:GCA_000165425.1:CT868596:59767:60675:-1 gene:GSPATT00020486001 transcript:CAK86824 MLQQSKQLRQQYEQELEQQLAIDRKAKLRYYESQLHPKPKYFKFIKTPNRSCLLQSIKIP KVEQSYRDFTDIKNSLFQDQISVIESFSHQRLENMALALENRRFQKILKELTQVGKTIYF CKTRIRNFKKNIFRSFILNYNRQVSKEQFQRIADALYAHIQHFLLKQILFQWSLIAKQKK RALQLIFMKQYFLKWRRIQPISPTLIRAFKVWKGHVREKRAKRFYTYLRTKKLFEFWKLW TSLKRVRVRQQILAKHHYNKTLILCVFGLLQQNILLNRGNQSQFSNEFLKSDSQIQTIKL QQ >CAK86825 pep:novel supercontig:GCA_000165425.1:CT868596:60792:62132:-1 gene:GSPATT00020487001 transcript:CAK86825 MSEEEEEEYFNINTEHHQICDDPEDRKQHKQQNSKVLINLGVFALFTAVSSLIFMGQLAK KSREDKKPNILPFHNPNNRSELLCPLNTSFDTSQFSCMSCSQNCEYCYHEYKQRCIQCTH PYKLKENICVEDCVSIDNVCINTTADLLNLYNYSIVDLGTELRINQLFQVEIHTHLYENL YIIFPPLGQSFNYFYQHIKLPELAQQLNATLFVFSTPYTEVLQVQEVSDSVDEEFRYIER VHDFIKPYLSENTKTINIIGLGEMLFFAHRLSTLMYRYLLFVRVKKMPTALETNVTVILM QTLQIPLSESLNLSFLQLQHLLAYIDQGWDISHKENKTDLIANNEDDLFSSIQQFLLHLS FNNLVNPSYNMKINVVVMSERGCKDLSNLYQDGFNAKNFMQIFLDDSHLATQFARLISLQ >CAK86826 pep:novel supercontig:GCA_000165425.1:CT868596:62185:63277:1 gene:GSPATT00020488001 transcript:CAK86826 MSIQREWQKFVRPPRYNYSYFDLGPECIQLPQGYLRKHERIITNRQNCKLEMSFFELNPK TSNCIVYCHGYNGCRIEGIKYASIVAQYGFNFCAFDFQACGHSEGDFITFGHLEKDDITS ILQELELNFQQKQFILWGRSLGATTIQLKEQPNVKGLVLDSCFTNFNKLAISIIQKQTRL PKFIIKAIIFLTKGSIEEEAGFQLNDIQVHCNSNMPTLYICSDKDSLIKAKNSLKLYKQH KGIKKLIKVDGEHNDSRPLEIIQQICSWCKDRVQMQQNNYIQYNSVPQVARLPLELIYDS NIQSGMQSSYNRIPKNPAIKLKNQISIQLRTSCRNLHSAVQY >CAK86827 pep:novel supercontig:GCA_000165425.1:CT868596:63351:67183:1 gene:GSPATT00020489001 transcript:CAK86827 MFVKFERHSDRVKSVSFHPHRPWVLSALHSGIIELIDYRIKKRIATYDDHKGAVRSVQFH PQLNLFCSGGDDFTVRVWNFKQCQFILKGHLDYVRCVTFHPINPWVLSGSDDQTARVWNY QSRQTIGILTGHTHYIMACHFHPTQDFIITCSLDQTARLWNYGVLKQRYAQKKNQEYVLS GAEVQLISILDAHKDQLNWCAFHQTEPFVITSADDKNIKLWKYNDTKAWEYDTLSGHTNN VCCSEFHPKGNVIISDSEDHTVRIWDFATRKQIGVYENKYFDRYWIVSCHQNNYYFACGS DTMLQVFTLHKDRVPLVLVNDRYLCIAEQKTLKVVELISGQQQIIRDIATVITPTPTLLE DNIEFIEYNTYDTQKTQLMIRCIRSFKEPSKPKRHLLIVFQQQKGDSGVKQFFANCACFI GKNKIARINQDQQVESYNYETDAVQLIDDKPASKVFHAPGGKILIQRIGTTPQLELFDPM TKQVLHSVDYLNAKYVLYVESYLIVSTKLFLSIFSKQLQKLIEIKEQINIKSFIWFNNII IYTTKSQIKYFLLNGDTGVLKSTENILYLAKAEEQQQNKLKLIAFDNTGKYISEILDISE PLFKIAIMNKDLNSIHKFIENNQNEAMLSYLYQKKLASMALKLVKDKHAKFSLSLDCGNL EYAYKVAQVIKDPQYFEQLRTEALRQGNHLLVDVCDQQLSQFDRLFFLCLCTGNTEKQEK LQNISPNFIYESQKYKKIAIKNSLPKLSQIMDHIDGTPQKLDDGQKETIEWIKSLGGSQA LVPPIPIMKFKSDPWPLVQLNEQDIINLEVTNETVVQQDIFTLQKQVIEEPQIDEQVNDG QWGLDEEPEELIIESKAKDPVNKNIDEEALRGRYVNQKGDVAIKYIVAGDYETGIKLLNQ QINLNNNQQFLKLLVDLPNFQLVNSTPYLALATLPVKINRFNEIKNLIKLGYKYTTDAKF NEVSTCFQSVLQKILLTDFEENQIEDIKRYISICRSYLLAMRCDSLKKESNALEMACKMA TIDLQPGHRILTLRQALSISYKQKNFITCQQIAKKLIELLKSDNTQKPEVLQNAQKYEKA SQQQNSNAVQIEFQEQWLNQQPMYSANTLKNISTFKSCPYDGSVYETDYQQTCLICGLCR VGNAPGLKYQ >CAK86828 pep:novel supercontig:GCA_000165425.1:CT868596:67233:68159:1 gene:GSPATT00020490001 transcript:CAK86828 MGAALRSFFVPVPHQTVCVLQRFGKYTRTLTPGLNWKIPFVEEIAYEHSLKEQAFMIYAQ NAVTKDNVIIQIDGVLYIQVDDPVKCSYGAQKPIDYAQILAQSVMRAEIGKLTLDQTFEE REKMNALILAGLSEAVQEWGLKCLRYEIKDIKVTENIRKAMNMEAEAERTKRTEILHSEA KQQSQINLAEGQRLSKILKAEGLAESIVIRSTATVQRIEAISSAMNSEEGDLAARFNLAE EYLDAFKKLEGKQVLVNSDVNNPKEVIKKALDMVDARLK >CAK86829 pep:novel supercontig:GCA_000165425.1:CT868596:68164:70190:1 gene:GSPATT00020491001 transcript:CAK86829 MLKNINLSLSQIEELTKDEQKDQSTKVKVEKKIEKRLGSTNKDESANVQLKIDKRIGSAK PKDSLQQYTNTKIKNIYQFLENVESDSSQVSQQTQQQPVRSIEAIEKEHEYQSKIQQLHG LVQTQKIELDEQQKTIDNLKQLLEKSQAKLKESESNFKETINRRLNEQKAELEVVINRHL GFIDQLINDKKELNNQVEQLTTELKGIDKQQKRQLEELKNNFAREIKQKYEQWQIQEKQK REKWEKEKLAELKEQTIKGLEPELNRMIEKNKLEIKRIEEKCQKEKELYKIQVVDESEMK LQLFKEKWAAEYELLQQKDREAQLIKQKELYRTYEDQFAKERDSYKLRMQQDIEDCERRR KEEADTYVKRMKQLSDSQAEEIRRIKSDCQREIDEYKEKYFNMESEVKEALRGDQEAWKQ QFTIRAEADMNRQIEKIQRKLEQDRNDKIEKIVQKLAEEKQQYEQNVQLKYDKKLRDQQA SKDEEIKQLKKQIKALEDRENKEGSEYQVVQKSNQDLQRLIEKQEQENQKQYKEYKKLKE EMLVYKEKLTQFKEEQQLEVKGIKESHEREKNRLTEQNNKLQGELANQKQILEETLRELD IKHSQNLEDIEENVKRIILKKDHEIKQLKEELRMKQLACEKYDELLKKQRQDLLRQVEQ >CAK86830 pep:novel supercontig:GCA_000165425.1:CT868596:70265:71185:1 gene:GSPATT00020492001 transcript:CAK86830 MNNPSIMQFTQKESEFCWLVGLKAISTKQPMITLWLKSEQRKVDPSLLKNEFMKLFQSGF LHLNSIITGDRNKNSQIIKGISDITVIAIVRVIREDGIKEFEQMRNDFQKLITIITYLSL TRSVMSDAVMKFLSNQQNESHQYLDNLLSTLAKIEQMRNAMNTNKYDPIKDTQLYKLLFG KGNMYDPFISNQQTIPQPQQIQQNPQAVSQSHAEKQTAQVSPMRQALTPISEQKQHQQQM NQQTILQQQPFKQTRPLVQVEDFSEDSGDSSVDLGNKYIVNAKPQKQQINDTDSDSEIQE IPNFKI >CAK86831 pep:novel supercontig:GCA_000165425.1:CT868596:71505:74097:1 gene:GSPATT00020493001 transcript:CAK86831 MEDDDDFDPNAEKTMFNIKYSSIKDIRELNNGQMLSLRIMHSPDLISMQGIEQFRQLKQL NLSQNSIQAMSLRTLNQLEVLNLQSNRIKVINCEGLRSLRVLNLSYNLISLLGPLQIFTQ QGYQLKSLDLRSNSISDLNELKYLQGTNLQDVFFNSDRRKNPICENLNQYYKQIIKYVPQ IVNVDNKPRNELQENDGDGSNNITFKLPKQQQANIEKEAEMRVEVIQLQQKVKELSQQNK EIIYKFECNDKYWSSKCSNLEREIIDLNKFNQELNQENKRFRREIESLNEQNEYDKQQQK DLINKKERKDELITQLQNKISELLQLNGQLSKENQILIEQQNVQKVKDQEKEFKLSEYKI QLKEAEKQLQEFHKNAVEQASQTLMRQEQLQSKSEQINQENRSLYQDNSQLKRRIQELLE ICREWEVKFETSQKENEQQFQIQLQQITHEYEQKLQVQQSQHEQQLQEFETESKLMQDQL ENEFRNLFQENMEKFKQLKLQYDEKCRIEVQQSQEIKILQGRLLEQENLIKELQSALIRL KREVQEFCQEKDLAKREHQIIQSGLQRDINILQEQLLECQTRLQQYDRELRLKNDELQTI NQENDRLRAQNKQKIQNEQLVDELQTNLTIKNKMLDDKNDTIDELKQQLNQLRNQEGNAS DDYLKLQKKYDRQSQIIDELESQLQQIQEEYDQKNKDLKDKKQLISMFEKEIEEIEIKQD REINGLKLELQEKEGQLQELVKMLNNAKEELIKKDEKIRELLVQYQAIEKQLFVIDKQNE ITVKQKDKQIVEMRSQLQQIQEELNLMIQENIQLKTKARENLQNLHKLFQQ >CAK86832 pep:novel supercontig:GCA_000165425.1:CT868596:74130:75344:1 gene:GSPATT00020494001 transcript:CAK86832 MKSAIIACLILSVFSVEISINNVATNQALEKLKESQWASFIVDFAEVELSSGGALTELVE AIDQLIEQLEEELDDIHHAYSKRTDEHNRDVTRLEQEIQDADREIFNGEDFIDNVLLPQK ERFQSALVQLKTNIEENRRVLDGETLNRKKQHEQFLSNIAEINEAIGAVDESLGLLSQIT NPSLVQFKRVQTNLNRIQTSFQNHSSFAPIIRALLELATEQNFADQGSLQQLVKIFNELR VQFVDTLNQETADESAAETKFSERVAQLEKEFAEFQRAVMIKNSELAANEQKLGETIVYV GQRKDDRATLQAQLQAENDNYAAETDLYNRTVAEYNKEIEISKQALGLLTQPSFEQYVKS KVGI >CAK86833 pep:novel supercontig:GCA_000165425.1:CT868596:75494:76616:1 gene:GSPATT00020495001 transcript:CAK86833 MQSDPYNWPFPNRNDLMNLSTIKNQRREIIHDNRAQSTMSIEGIDGAAPKLKPYQYVNKE QFCNRDDDIPGTKSRPLIRYTNRSDNQLMIDDIKGTRPQICKFQTNRSPINPLEPTYKIP SFEQAEHYQPKFLRDSYNITDIEGAQPSIINPRLKKQPIPLPDIEGSHSKQLHVQKGISL SLIAQLDGINQFDVKDINTDMLHKYVRNTNPIEPVYSHRDEDGKKIEIGFVEGSKTKQLH PITINKCASSTLTTQDIVGAQAGSHTQHFLRSSDRKDYRQINNIQDIEGVQAGSLKKGIV SKRYTNPLMPAYQMPGNAELNSTQPKSVFQKNASNQMFTNAQKMDKFLAQG >CAK86834 pep:novel supercontig:GCA_000165425.1:CT868596:76718:79268:-1 gene:GSPATT00020496001 transcript:CAK86834 MRPQSPKILQTQTIATTRLISSPLRDTRQDSCSRLAKLTTCQTRPKATITTLTQKPAIET RTVVLCSDKKCQGHENLIEQLIQDNNKLNQRIYELEHQIDKYDGEQQTWMSTAIEIESMR KLLEETQRNHQDEVQHLISEIYNLDSKCRNQEDQIKALTTVQQNLEADYKALQQEIERQQ FVIQDKDEQLQSQEYRIKDYEKQLQVGENVIEERNSQISMLLDQIKQYEQEFGSSNNVIH QFKQELAQKDIQNQLLLLQKDSLISNYRENLDLLEQKLQQNQQLDAQRIQQLGELESQNQ GLNKQVEDLKLQLQDLLEKSNHLNQQVIFDDQAKSNLLNSAENQTTQLEFQFQSQADENE QRNQEHLQNLQQELYQTKQEVEEKDSLILSLNEQVANLTSQMREKEEKLELLQYEVAQQF AQIAQNNNQELYNYLIINEELKHELQLRLVKEKSIQEDLNNELENNNQLLTTIQQLTVNI EKLDKSKYILSNEIEGYKQQIDDQKSQFQIETQRLQGQVQILQEQISDISREKEVAENGE FQLKQEINSLQQCLQKSKREEKFVDGNQELQEQLVLQSLEVQRLQRENNNLKEKLSQQSD EFQKLNQEQTQIIENNQLLQDVQDKQIEQQEIKIQELSIQCETLQSDLKQQAELNDELKK KNQFSQVQISHLQELESQLRQLNEQINQQQKILQQELNNKSEDENTLKFKLKTLLEDIKV KQMQLVELDRQLQFTEQANQSQQISLEEKINYLQNEVEMWKEKFIILNRDYHKVQEELMM VQAEFEAFNKRGSEIKNIKESTYFEVRKSSLYKENIDVKASQTSIGRLFKENI >CAK86835 pep:novel supercontig:GCA_000165425.1:CT868596:79318:80817:1 gene:GSPATT00020497001 transcript:CAK86835 MSQAQIIHQVQQVFNKLSQNHSQPMSKETIIRFLDQQSNKMYDRVLFEQLYTRMQKTGDG QVTINDFISILMEALQSLKNKISILEQQVAQKQLKVDDDQLHLQQLQTIEEYNSHKICQG SKIRITIIDAKIQFPGNTRVAIILGSNDTKYSTRPANRQNAVWNEKFEFNIITGQEEIYI VILDEELIEKQEIGGQAKLNLKDFYDQKPHDISLELKDKYGVVLYSTINLRVQWIHSQTK YFKESIKENQQVIDDLSQDINEYKSDILQLFYPFDEKHQNQTNERYIVTEQSTIQQVHDF QFYDTSQAQLWVKLALAFTLVYFILILIASLFKTQFVDVKYLFNNSQHLYYYMNNNKLLS LLHFKIITTMIVVTIIFDVVWFVIFTNPWTTNSVLFFQLEHSFQMYEVIISYIILGIKVI LICIYVNLYLMCPDKRANIYDAQYEIIFGQRSVENDNQDAYSFRNTNHHLQQSFSKNF >CAK86836 pep:novel supercontig:GCA_000165425.1:CT868596:81079:81387:1 gene:GSPATT00020498001 transcript:CAK86836 MLQIPDKVIVNMFRILSFIKLLDILTQYLQCSYQEKQQQQQNFKILLKLFQDPNFDEAKH YALFIQFFWSIVPLNVTNVLLQWLNRRILSITQKINRMEVKF >CAK86837 pep:novel supercontig:GCA_000165425.1:CT868596:81957:82874:1 gene:GSPATT00020499001 transcript:CAK86837 MSRKEQDIKRLIASQCHIGTKQLHFDQKRYVSHRSDNGAYILNLEETWQHIKLAARVIAA VEQPQDVMVVSSRPIGQRAVIKFAHYTHASSTRSARWTPGTLTNQSNSASGKFQEPQLLI VTDPHLDKQAIVEASYVNIPVIALCNSDNPLQYVDIPIPVGNRETKSISMIYWLLAREVK ILRGELRQDEEWDVLVDLFYHKEITNDQLGVADNQVKQEGDGEEQEHQGEAEKADKDW >CAK86838 pep:novel supercontig:GCA_000165425.1:CT868596:82896:83387:1 gene:GSPATT00020500001 transcript:CAK86838 MSNAFKSAAGNTLSKNDLGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEK TALQQQLLGLQRRIAELELQVGQEQG >CAK86839 pep:novel supercontig:GCA_000165425.1:CT868596:83450:84618:-1 gene:GSPATT00020501001 transcript:CAK86839 MGMCQNKPAEYTSTLPSFKDVMPEADDEDDKIGETTQLPIEGESADSKQKLNLDDFELIK VIGRGSFGKVLLVKKRDDQQLFAVKILRKKMLSKKKQQDQAIKERKIMSLINSPFMVKLH YAFQSHSRLYMVMDFMQGGELFLHLRKRFKFPEDWVQFYAAELLVAIDLLHQSNIIYRDL KPENILLDKNGHIVLTDFGLSKLGFEKNEMTYSFCGTPEYVAPEILYQRGHTFVVDFYSY GAMIYEMLCGSPPFYSKDKREMLKNRCEKPLEMKSCFSFYAASLLKGLLTKDPGLRLGSN GIQEIKKHNFFNGIDWKLVEQRKLQPPIIPKIQHVQDLQNFAPAFLQQPIMETPESVSNE YFEGFTYQQVI >CAK86840 pep:novel supercontig:GCA_000165425.1:CT868596:84833:86285:1 gene:GSPATT00020502001 transcript:CAK86840 MNQDPNEKDMIGDLLDDSFENVAKIKKSYTSNRPPVLQINQNHNSSPIYYPKVYSPQVQQ KQNSYDLDLRLTKVPVQQSAPNSALKPKSYDYATQFNPDDETPLDGDLISKCKDQNGARN IQKAFQEGSQAIREQIFNKLEKGLLSLSKDVFGNYVIQNLLEFGTSIQQQKILAILQPHS SQLAFHQYGCRVLQKLLQNAHNTADFPILFDTFKNKVRELVIDQHGNHVVQKLIQLMEND ISLWVLDGIEGQVNKLVINSFGCRIIQKAVSISANHPDRQMKILFEIMRLAYELCISQYG NYIIQQLLKEGPLVIKNIIQQIIMEKLEEYSLNKFGSNVVDCAIKCSDNQFKLKIMELLL SQNNSQVLFVRLSTNAYGNYVVQNFFKCADSEIQKELYLKITNNQQLLQEIQQYKFGNLQ FNIFQGQFVYQMLTQKLELESFNL >CAK86841 pep:novel supercontig:GCA_000165425.1:CT868596:86364:87190:1 gene:GSPATT00020503001 transcript:CAK86841 MQKTQPVSRDSQIFETLNYKDDSIQVQDLDFFGPWHKQNNSIQQQIAQIRKTYTSSTNHV QNDIMERRETQTSQVRMINTSFPNKRRKTRKISIDQSSQFVEKPKYNESRQVQRIIQEFF TDEIKEKKKKQRNYGFFMPQSSKSSSNTKCNGSSFQTTQAYFDKIALKMLKLMPNLQKSP SKSIKPLKNVNIKQKLILDMPINTKSYFPPQLLINTTKITEVTPQNLNSSDNKPQIKTLN FLAKTGKGPIWKVNNYTSL >CAK86842 pep:novel supercontig:GCA_000165425.1:CT868596:87223:88169:-1 gene:GSPATT00020504001 transcript:CAK86842 MLLSTSKLSTSKLGKSQLQSPQRSTVNYLQQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLSSEKPKDEQRFKILKDHVLKLQDYAHNQKAEREAFDDKKEKDF RTLSDNVALSFDQERNSRGQAETKLQKQIDERFQQITLTITRNTHQYEDRSQVKIAEVLQ QVQLVKNQLDQERRSREESSESLSEQIDSEINKFSDQLLIEKKVREETQGKIFRMIEDVH GKLQQDINVERREREATTEALLKLLEDACIKIDKNFRSF >CAK86843 pep:novel supercontig:GCA_000165425.1:CT868596:88241:88909:1 gene:GSPATT00020505001 transcript:CAK86843 MQQQDKRLSQAFPPNSQSNSSYIAEIHNVLATIKIDDIGFKDIKFRNVQTKRDIAQLKQL QLEWFPIDYSEQFYTAVLNGVNSSLIAEIEIKFHTGRKERFIIGAMIFQQRHSKSKYLQT QTWKQWFCSLFQTKNALYIMTIGVINEFRGRGIADYMLDQLKKRVLESNKTLNYIYLDMI VYNEIASRFYQKNGFICVRVKKNHYEIENQLFDAHVYVWLPN >CAK86844 pep:novel supercontig:GCA_000165425.1:CT868596:88962:89808:1 gene:GSPATT00020506001 transcript:CAK86844 MQQDKEIDFIRRKYWWHLFVLFLTFSMVALILESDIRCITSELDLNQLICTILELIFCLL VFILTCMELRYFYKVKNDNFSFTYSSIISQGCLKVIIVCLSIIYLTNLIIELIYDVQLTS NQRHRCHYFGFTNQILQFVISGIILICQLVMMFVPYKILKTLILLSNQKQYLFEDILISD LEGDFEDITANNGNYCIIKTQKKTIKCVLHPFFSAKQINMQIMHFQNQKQTAYYKAFMFT YISQLMFLEICSKYYEQKIFRFNLFHMRLRCKL >CAK86845 pep:novel supercontig:GCA_000165425.1:CT868596:89915:91331:1 gene:GSPATT00020507001 transcript:CAK86845 MLESLRNPKVKKIIEDAIGGCDQQTATVLDLSFVHLYTCEEGKHKFHHCRAEGILVFLID RREGKAFYLRVLHPATLEVKFSVELYFGFIESWSPVRGFNNFYAFPFSKGFVGMLFVNDQ EAQRITQKIRQYAPSKKDLEKLNQQLKEEEKLIKAYQQQEKEKDSFSYQFKAFFGKEKVE KPIQIGTPQNVKRNTLSLNVETGEIDIDKLPPVLIEVFLNAGITKKDLQDKAKAKEIFKT VARFSDKNSQATQLQSNQLNKNSTKQQQQQATNPPSQKQNPIQQQQQSNKPSVVITNKGA PPPPPPPPPPPPPPPPPPQVHQGGNKPQVLQPPSQKQQQQPPNQQSNSNQISAIPDQVQI TNPTTQTQEKPQLHSILKSQVDQKNLAKEIENSTGYKVQGHDIKMDIGSMNAKEKEDLSI MLEANMKNRKITQFAKRKSSSENGWSDD >CAK86846 pep:novel supercontig:GCA_000165425.1:CT868596:91415:92446:-1 gene:GSPATT00020508001 transcript:CAK86846 MNVYTASSFEKTINRTTTHQYLVRKYGNKSNEQYFNFEEILNQIQDVFCMSCESYVPSDQ INIHTQNCNGECDQYEQVDSLQAKLQKCNFLINKIKKMLKTYSSDQQYIIQYLQMLWKCC SNIILASDYDVVSQCLDDLDLFQQYFENQQQDVAVEQVIQQILTIISKIMQFSERKARIL QSNQNNVINHLKESIATSLANEGGLQKKLQIHDNQLNRYRNPFENVQNNIHQSLKDDFQS KFKQANKQSLSPITETSFIEKSKIGNLTPRMEESMQLTQTMVHKTEAEKKNQFFKIAAFL KKKFPQKQSVQQALIIALYDTANKLNIQQNQYENYIINLYQNM >CAK86847 pep:novel supercontig:GCA_000165425.1:CT868596:92496:93837:1 gene:GSPATT00020509001 transcript:CAK86847 MKIQVQTPVVELDGDEMTRIIWQQIKKYLIFPFLDLKIDYYDLGMENRDKTDDKVTVDAA NAIKKYKVGIKCATITPDEARVKEFKLKQMWKSPNGTIRNILNGTVFREPIIIKNIPRLV PGWKEPIIIGRHAFGDQYRATDFLISEPGKLEMVFTNKQGQVTKYPVFDFEGKGISMGMY NTDESIIDFAHSCFKYAIDRNYPLYLSTKNTILKKYDGRFKDIFQEVYDKYYKQTFEQKK LWYEHRLIDDMVAYMIKSEGGFVWACKNYDGDVQSDVVAQGYGSLGLMTSVLVSPDGSVE AEAAHGTVTRHYRMHQQGKETSTNSIASIYAWTRGLLHRAKLDNNKELHKFCTTLESSVI QTVENGIMTKDLAIIVHNDNNVSRTKYVNTEEFIVKVGEQLKKNLGIKANL >CAK86848 pep:novel supercontig:GCA_000165425.1:CT868596:93837:94367:1 gene:GSPATT00020510001 transcript:CAK86848 MVRFKNRYFVCEYVQENQEKEFSERDLLNEIRDQVQYHFGDFGSARIQFSFQVKYLNTVS RLFILRVARDYKNIIWNTLLFMNMFRGLPIKIKVLGCSGTIKKCEIKARRLLTKWVHKVL KYDMPNQLRTTIVRDYQQTQQILPQLTQ >CAK86849 pep:novel supercontig:GCA_000165425.1:CT868596:94528:95214:1 gene:GSPATT00020511001 transcript:CAK86849 MKKSIAFCAAWACGYMINASQPYLKMLQTFKQEEDSHSFQEFIQNENYSQPKIIDFLHSS PERHFLHNMIVQNEKAFNFFKVYLPRDVDMISSENNHHLHVVFNAADEVRGNNNDVNSGL LATLIDNAFGQLSFLATGFIPSVTANLQLNLNKTIHTNKDYLISCEVEKVQGRKVFLKAI VYDNDKNICGEATALFITVNWGGKQWKQAIETLQNTRFFNEGIQRLLY >CAK86850 pep:novel supercontig:GCA_000165425.1:CT868596:95221:96924:-1 gene:GSPATT00020512001 transcript:CAK86850 MSTNTIKMLQQRNIDVEVSCFDDKLQISPSKQNKENSHHMKAKTCDMSDLIKMLHKVEIQ STQQGDQIEVEQDSTPKKQIQQGKTCRYIPLRSGQKQNLAEEFQYREIEDENQEPQKGSD YKDQGNVSLKDIYKMHVFGQPLQSDQLHWESKNIFRFNDDTPQKRKILQDINPSVLETYN NLIEYREQFQNSQDYQYSQRKINKVPFKVLDAPQLQDDFYLNLIDWSSQNVLSVALSSSV YLWSAYNNRVTKFCDFGNNDVVCSLIWNPMGNQLAIGTGSGEIHIYDQEKMKRMQIIEGH SARVGSLAWSGHTLCSGSKDRSIILHDPRQKRQTGKFEGHKQEVCGLKWSPDEYQLASGG NDNKLFVWRMGSQIPLAKFSQHQAAVKAIAWSPHRHGLLSSGGGTADRTIRFFNTLTTQQ LDWIDTGSQVCNLMFSKNVNEFISTHGYSMNQIVCWKYPSLQKVTTLMGHTSRVLFLAMS PDGETIVTGAGDETLRFWNAFPKKEEAQPIKTILLPQMIR >CAK86851 pep:novel supercontig:GCA_000165425.1:CT868596:97143:98858:-1 gene:GSPATT00020513001 transcript:CAK86851 MSKQSKGQNQNQDGLTQELDAQRRDLMKAISTHKNMKDRLDRMENERDKFGNENVEVKRK LSDEKKELIDLIEFHKKEKEELREEKQKIEFEYGVLQRKMADIDEDYKKMMEKQRQLFEA DKQPLLDKIKYLEARLEKIDSFLQTKADLENERAKLIETLAQERKDKQRELADKEKEKVK ETDQLKKEMLHKIQETKSSLLALKKEQLETTTRLTVLQNHQLTTELEYQSKQTEKLLFKN SKLSEQVTSLKRDIEIHKQVEQELAKRSHFSQKLIKKLTAKIKELEDQQEQQQLEEQEQN PQQKEIPQEVEKMKEQEIIQLEKKIAKLQNDNDILRNENNHLLTRLDTIRLEENKFANLS VILASNLEYLKNNSNEDIKEVIDLQELREKSVNDWTSNQKTAIMSILLMQAQQYLTKKNL NLSDTNQINNNNTMAENVTIVDNANKSVQLPKIKQDEEQTSQQVSKRFQGVSLDISTQIV KSNLRDWGKPAASQQSSQLSRKYKLK >CAK86852 pep:novel supercontig:GCA_000165425.1:CT868596:99280:100001:1 gene:GSPATT00020514001 transcript:CAK86852 MIKGTPTPINKEELKKLNQILQQLIDSNESLEFRQPVDYKTLGLHDYLSVIKKPMDLGTC EKKLQNSEYKCVEECLDDIQLIWDNCKLYNGPSSWISKLSEKLEKSFKKYVKNYLPLVNV PQSSIKIRKIADEAVQEDTQLTISHSEKVEFSNILKQLNPDQIGALVQIIQTSSPSAFVV VSKERFQIIIDNIDFDTFTKCQNQIQTWMTGEEINKKVKI >CAK86853 pep:novel supercontig:GCA_000165425.1:CT868596:100281:101606:-1 gene:GSPATT00020515001 transcript:CAK86853 MKKVGLLCLEHQVYPKLICFDQSCKASRFQCMQCIKQGAHVSHPQNQEDPQQIYQHILKI ETESEALIKYLQLSINDVNKHLQFLINGIRRKYQKKSNEIQKMEYKQVHFFFSQTIKFKS FEETLKIQYQKSFQDFIDSLENLYLDLKLPQLDYITFYSQDMVRKPLTLAYELYQDYDDY QEAIQLFDKSLQYITAFETSKIWGKGKIMCQSLADSLRILNKPDEAIIWADKALQIDQNH CQSLWSKAESLRILCKYDESIIWADKCLLINPKDNLSLFCKVLAQCLQMLGKYNETIILV DKVLQSIPKHLDAYCTKSDSLRQLQMFREAMDAIELSLSIDPNHIESLMVKGQCLQDQKQ YQEALNVYENALEINPNHQQSKEKKAECLEALNQI >CAK86854 pep:novel supercontig:GCA_000165425.1:CT868596:102181:105858:1 gene:GSPATT00020516001 transcript:CAK86854 MQFYNKLKHKFQQSKQEPSQTPPTNELGLSQHKPPLVDSRQQWNTNVYLVPKWEKKDIKR VDSSLHAPFPIRNYINYVQQHSGGFQEELNLDFFKHIFRHFAINQWWIEEARKFKQSVPI NILQSPEEVRQNPEYCKLMMQKQKDDLKFYQEILRNVKSPNPPFPSRQIPEYRKSITYQV LDLHAGQEHKDKSLFQLQDVFVNRIIQLISEQGVQSLGYYDYVTFKNIVQDRIKGAGDAE NFVKILEIKRLFNSVDQIQKEFDKTVNEWQREYTLTSNNTKSKFRRWQQEKTLFSDELLQ LASNVGLDANLVKARKNFDLNKTEQQYKELVKVGDQIQLSTHKTIMDTLSKIAQDEYNNI SVNNSVLQEDALQLARVQYEEQKNIIKLEHSSEGSIPQYSEVPNSRECSDIFQNVLEQKQ LNESNKLFQQPIVEQRLNNNVSAEMQKPFLQYAKQQSYKLQQKPANSSSPQRRMLVVSEN NSRSNNNSNNQNNTHRVQSQGQEKMLQSQWSQQSLKSLEDIIKPPYEDDINKHPVKTSKE QPQKNSMQFYQKLDYKDSKQFENAYKDANANKNLTQNTISHHQYNIQQGQSNSHNNMSIS LNNKNTSNSINDDIKLLSDFVQQNRVSQQKLKDKLFSQEKEKDELRQLQEELENISRDDN VSNFRKNTLESQDKEKSLDIQSQSRTISSKEDKKYKESIENQVKLDYGYNVPSSMPGSLS NNTTARTSRNTSNYNSIQNKRSKVDSIEEASEEQAINQQQEQAIKQQQVQGENENVRRVS PNKLTRQTSIKSNLRANEQRVDNKQQSRDSKHSRQDKSQGRDTHIQINQLNYMQDSKQDD INNYQISQQSSDLSPQKSKKSAKSPEKAKRFQKSVTKIIDSNKQNKKEQQKLEIVNKPFE SQQQAQQDIRKSRHKTGNREAVDESSQEEQDKEDDEEKRNNNKFKRQKSIRKGQFHSVSM PKIGKDEDPKKYLLILKEELKELIEKKVSLREIKEHINLILSEIAGVKLDPELEYYLQLY KQRVMELTQVNQHSREIHFQTLQPSAELNIRREIKGLKFARNCDRIPKLQPKDLEDTFVL QQQSQKEQDLADQESKVQSYQPIFRYEQRPQAIQSDIIQVSLDVSRRQTPNTHRQERAGS LLEVDLPILTQRKGNMVENKRKKKTRYAGQTSIKRDKLSEDYPFLYKYKPELLKCILEAR ISLEKLV >CAK86855 pep:novel supercontig:GCA_000165425.1:CT868596:105877:109648:1 gene:GSPATT00020517001 transcript:CAK86855 MMLKIKYSYISTQVLRSQNVVRFDAEIKQSHLLGEQFGQQVFAKLNHFHKHNVLTPTMLD QIHRFLESYDLDETVNIIWLDCQSKGTDLKYLQHLSQQEKIEYLRQIQNMAVYFGKYNKI LMPVITGEASGSMAALACSTPFQFFEQKGGIRFNDVNKGFVPHAGATFHLSRLPDQLGLF YALTGRWLKNEEALNLELIYGNLRDRNKAIDYIDQTTQQYKTPTTAKMYPKQVKRGLQQF KYGQKRFLAKLSQEMLIRMKNEDAQQVAQELIYKLKRFQEENLLSEKFEKPKQTIANPYL HFKTLNIDSLEEMSIISRENLVKLDKALIARCFSGDSVQEIMDKLRAEQSQFAQEILKEL EAQPRLSLDITFKLIRQAEKWQWRDCLVAEYNVASNLANSGLMEKQGKVDNIDSFFSHVT PSIDYLPDAIEPVRDYYTEYPDNIRVFLNEQNLRKGLIDRANQEVDVAAFMKNNDHMLDG SIRVADLRKMRVEIAELKRDVKSNMDKLKSIVGYEENLKKFIEERQAYLDNIKDFGTLLE DSVNSFFEKANQARFNGYANVAKIASVKPKRELFSLVRNSILNHKLTEDNDEIDVLKKQQ AWNRSMQRLLIFPKDDKKSFYENELPDKIADKEVTDQVFRARNIRDKLYETRYGDIHQEF SSQIDNFYKNVIQNVTQSNKFNNIQEYLEGKEVKEILELLKENKEEIIINLKKEPVGQVN KENLIKQMKSQIEHLKQKDEIPSNLEGLIQADENLSEIMADFFKCPEEFKPLQAIFEQIL TSIYRMRAKQFKHLNELAVIDDQINEALTLDSFKVIESNLMFSFSQKKFIAHLSKVVLLK FRPLKFLQEYGFQLQNFKQFERLLDYLNKKLRAAATLYNTYREKTLVESDKLLDYIFTLQ SSRVPNFKSKAVYTNLLSLKIKTNYENQITSLEEKPISQTTLDDVYGALESKSHRMSQFY KQNLPKYRRGQLQKRIYFRDDIYFTSKKEIEIYGKIQRLKAQKQRRLIIGVSHQLRQLDL IAERQVEITPKELLQQKEDLEKALQKKWFCYQSIDQWFKELVQETVEEIYHPDLLLTEDE FKQYIQMSFNEQKKLLESLRAELILGVQNERDELIQEQKFNPELPEIQNLAQQLTVAESL HVEGLIEEIEPTETLFVGKKGTILKIGGELPIPLNETQYRELRTKMIGRVEYLVGEWAQE PKLIDQADIELLYDKIQQIQEKNGYK >CAK86856 pep:novel supercontig:GCA_000165425.1:CT868596:109704:112386:-1 gene:GSPATT00020518001 transcript:CAK86856 MQNQQFCEGRKIDLGWLTDAKNDYHTLLTKSFRQQFKEEQQNIANKELITDEMIMSLCLS VDQKKEELVMQKKSSVTGGYLDRQVRFEKHILQQLKDHKPVKSFPLYQRVYVETKAADQK TWYNKNGDMARLLLDFEKGHSGVYIYRKDKNQAIKWQRYLIIEKLLQVKPKLVARCFEYC YQEYEEKFTFTWALQFAQLLGTYTTQMNQLKKQKAKLDENFLISLDRQVMNYHIKKWQAK SEKQYKDEQDYQEKMKQLSLKEQEQLKATENQRELERQSKEKRRLELLEQMVTYLRPKNQ EIKRFYKYWQDRAIHIHHNKPKEFSIKFLSMYMQSNTQLIRPQLEVRFVSERTENTKDIE NTKQLDGLQYHIDQNPYYTTRLLTQMSQQRRRDFTSWVFKDGLLGVCGNKTITIENLGYR DFLDLQVIDTIKTNTSSKVLRIQLQDLVQYLYEGRSFWVQLEFGASKIFVEMDILERSNI DPIFLDRLKFIQLNGILRKIALKIIEQRTPVPISEAVRYDLEIDKYGEEIQSLYQGFYIE NICLQPPCIPTAWFSSLDGMDKVVKPIIDILKRNFQDFVDNEENVKIYQQLKSTPNLTLP QEKDVHEFMNRLPVIQAHQHKQCQSMLITFLIYCNVRGIQLNTSLLNTIFTIASKFMCIT HSHFFYKLSNPVFGDKFDSEAQAFWLFVSFLDLMRNIRFPLTKEDALFCPQETVIQYLEF QHQSLMKHCKIYDIAISNIIYDILISFYTNIVQSLPLYNIWSLIIRKMNTNKNVYLIVLI VLIEELGDKLLLCQNTEDFKNAISLQAQLMDILQLEASYSSCESTLNRVINSERSVYQSI EHSNIHEPLIQDN >CAK86857 pep:novel supercontig:GCA_000165425.1:CT868596:112622:113094:-1 gene:GSPATT00020519001 transcript:CAK86857 MSKILKLRHIMMGMMNSKDCHEIVKQSIKIVSRFIEIMAIILNFNKFGEVTNAIPKIMTQ MLYANWLWQWIEKVIKIMINLNILKNLYIQISPNGQYGYQQNIAYVDNFYLLGLNKQRQR NVKLMMIPQSKYSCFLIIL >CAK86858 pep:novel supercontig:GCA_000165425.1:CT868596:113162:117152:1 gene:GSPATT00020520001 transcript:CAK86858 MQFTYQLGQSVGLFYLSQILVTHSKECNRPNCFCAQSFQFTQEQTWISPDQVLKQHLNQV LGEILRLYNISYLENSQEYFLLLSRIETQYQNDKLFETLLRSQKLSSTKFYGYTVLPHHF RIQSHREMFFEKLLQKIEGVRINSQIYFNYCNLVEQLGSSIIKLLNQRQQIFVFLTLQYQ NPLYYLYHNKMYTYIKLVQKCEILLNDILRLNPHSIVTKNLSHALYALATFQQDKLKQIT SLLVLQNFKIDNLWNTLNDMQCCYVQCKYDNGLIMLNHSILFPKLMEETEIKSDLVGHYI DRILPSPVREVHQTFLRRLIEEGKPRLLNNGIQQFYITTNKGFMKEIDSLVRLGQSMEYL TFMTIMGYKQEDNCGKILLSQKGTVYSYSEQAVNLLQLNRILKLDHKINLNFKICIPISG NINELCNGFIIIPKVNLMQSDSTFKKIQSNNINQVSFYKYFLKHPKQCSVFISIYKFCNS AYDDNKLQYETLYIFQSNEIKDVELKMIALELMFKQVMILHNIQSDTYLTSYTSFKSIIP ETYQITNSGKTPSYKEEMNDNNFAISDESIHIQQDPLSLSLHQFFKQEQNEQNEQKVIVN QNNHSSIIANSFQTQDLLISQLRINDELSNRDSRREHLQLIQTNQHSVDIQPHQQLSVRE EDDVESPVEMKVEQELEVSIKDLTDQQMKEQIEWIKSQVRVNKYQFHNKQQHFVPTALKK RSNHKLTIEQQPSTSVKSTDSQKGLINHIINGMIQSNPHEFYSILVVALIYAIILVILVT LSIVAINNELHHIYLDSTGGQFSITLLQNINLMEISIHILAHDQKFLAPYFYITNSTDDY INQIRNYNDRKFINPEVLIGLEESQICILHFFSNNGDQVKSCYYTRDFLVDQLPLLFHRI TEVVEFYSSRFNLSNMEESYFTLNYPTYFQLATNLIDEAYNEMSNTKNSSLYIASIYVIS GVTSCLGVAFFILIAEIQIAKWRVSIVRTYFLFQNLNQALNDQDNEIQRILEIDELSHMK YSLLKLDKNGQQSLKQEYRNIDHRLKKQFWAKQLALVFLIGALELLYFIPYYELFQTQVQ QIIDFHNDVHYLGLAQLAFSNRLVHFIQSYANPNYSVDESTFQYYDELYSYYEDIYLFQL PFDNEHDTYSLTSMVNNDICQMGSIVPMIQLGYKCPYILQQGYSKYMVNSQSTLRIMKSD YDSRLGLGQILLDQDFLDIIIAYGFVFPQFNLNRDNLFISQNVQNEDSKVQAILMATLIL IALLMVFLTIIYFISKQFLAIIEAVKQSLLIFSRQQIARNKHLVQVLSKEVTLD >CAK86859 pep:novel supercontig:GCA_000165425.1:CT868596:117211:118942:1 gene:GSPATT00020521001 transcript:CAK86859 MQFAVTKLPQMVKGVLPQKFEQLVYIDLVLQSINQMKNAEKDLFLLSSKHFYLITNYYEQ CTYEEMKSELKKLQMEDLKSILLTNAQEILKSKQISVANFISIIPILSKNFNLNEFSYLI EEQLKEMLVVVKDRNECKKFSNISLTRFCKSMGYLLRAIDNQNLKQHYQSFIFQIILNKP NTQLRHIYSMLHQLQFIKYNQIISYLNQKQLAVEASKFILQRFRAQLNLEPEISQNPEFY SQLSSFLIKTNYLSQEDALFIEEQLLKNVQFKEEKVEFAYLKLMFCQLGKVGVGEKIIKK MLNVHKKMQLEKEFLPYYLMSLLYFQASRIMDQFKLNPTRQNMERLIKEPHFAQPLIDQA LEAIKDFGYAQVAFPQESINQTKICQALLKCFNVKLFEEENIDVSSIQKNSTPGRTNEIN SDVEDFLQSKGIQYIKEYSDGSYSYDYWIPNMNTIIECDGSFHYQTNSYDKKDGSTSARD FLILNSNRKLISINWFKQRENRFKEDKFGYISQLWSKIEKNPDIIYAE >CAK86860 pep:novel supercontig:GCA_000165425.1:CT868596:118975:120677:-1 gene:GSPATT00020522001 transcript:CAK86860 MKLFKAFCQGKSILLKDTEKLTKYFDLCLKTPITYKGIKQTIGYHQIMVAQFNSKEFNKG IFPQFISLIGRDFKDLDQQEFNYFIQSLSCYVESALNKNVYDKQIFENVLNQIGLNATYI QKCLFYLTIFQNSGFHKVLDQSKEAATKLQNELLEQAPQTPEELLWRLFIVIQQIQWRKK EQGHDEYFKNVESQIIDSFINQIEQIEITQPKLTNVLSRFMLLKYYMMLKHQYPQFEDKR IQKQLFSNYSNSHNYIQENLSALNSFELLQYIEIFSKSKDLIQSAKWDLILNEINSRNLN EMPIYNVVSLTVNIMDNKKNDTSIRQSINLNMDSINSQSYAELFKAIHFMNLNQDLKEQF VTKIQQKVVPKDLPLHHVSMILFVLKSLRRIDQNMIEKYLVGIQSRIKDIDNYAALGILA AINSVSQKNPLIFAQIQTLKLNEQQDQPLLREILRYLQLHDPQNEMSIKVQEGLVRFLNK EFNLASILSILVILREFDQKTQKKITLIPELKKHIIRGVEEFKSLSSFNQKDNEQMEFLR KHYQIDA >CAK86861 pep:novel supercontig:GCA_000165425.1:CT868596:120705:123944:-1 gene:GSPATT00020523001 transcript:CAK86861 MTDQISLFDENDEDLVADYGFESQEQEEKNTFQLKPFQIADFPLDQNLISFAINDHCIVF TTDRKKIYRWRFLNEDGCVELPIKTEVEGDITDQIMKLSGQVGKQIGGAVLNTVSKVTKP LFNASTKRSKRMDSEEGKLDCIFLEPKGNHIIVTSNKGDVYHACKDEEEVRLLEGVENYS IRFFLWGEGSLYSFQNSIIIASNNKIYTYSLNYNNDKLLHTEVLSKTILQIPEIEKIVDV KKVVKNTIHMILIVTDKHIYILNGTKDLRKLFQKYQEAPDLFLKSRIALKDKSYIPRIFI ENSAILWTNGNNILLSNYSMLIENQTFQNSKKLKNYKRNSTEEVKLEQLYGLGLTKYHYY LLTFDTLTVINLLSMSVVAYFDININSSGKALGMLYDQGTECFWVWCTNGIFRISVEFED KDAWEQLLELKSYEEALLVNKKYDSPFYGQISGKYADILFSQALQLDPFKTPNNENIQND ISDNTFMRSRHNRSNMRESLFDDKIEKVQISTEIVDMKFELYRKAALYYFGSNRSYEQVI LQYEMGEINYNRDGLIENYLKPMVELLDKELKQIVFKKILELLMLSITNQGTIKAFLEQY KTDLEVDSVIPTLKVHGLTYLETYFIELKQDHKTVVQNLLIQEDYRSVQEKLSSLNDNDL LINLVYKYSYVIMKYNTQQTLDLFKKIDALDAQKMLSILLDVPSKSKELAIQFMQYCIFV KSRKITDINFNNLYLQYLADLGKVEQIMYYINEQINQNENGEKIKFDLNYASQLFVKTNL LQPYVYILQMLGDFENAIKKAIEIDLIEDAKNIALKCEDNNKQRQLWILQIRLMLEKGGK YVKQIVQLTREIPLIKAEDILPYLTQNIKLDDFKDEICETLEEYHDQVEKQQNELEGYIK SNENLKKLLLQTSNRYIFVSQKTKCENCFRKLFQEDYIVFECSHGFHRECILQYVKSNPT VLDQKTYQSMLYLEKQLNDIEKLQIQTNQSKPDESIFGFFTASNQITLNNQRNARNEQQK KFQSELNDILYKDCPVCGNFIFDQILLPLDNDEYTEETWFI >CAK86862 pep:novel supercontig:GCA_000165425.1:CT868596:123944:125166:-1 gene:GSPATT00020524001 transcript:CAK86862 MVLEQDSSKTRTTMIMLLNLTQQQTTESLKIWKKEILYHQNQISSNTQLRDDTIEALAVS EEDIRVTISDIASNEATYAREEATRNQQHETFVSKVAAIDDVIDAIDEAAKLIQHLSLGA SFAQLKSKYDTLHKKLSDNTSHTALLQPVITALTELATHGVNQKALTKIAQLLSEIRQQL VSEKAAKTDVEDRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFH TLELENSEERLAGQQAWYAVQSEIYETQTAERTAQQEIVDRLQEHISEKLSTTAQFIASR N >CAK86863 pep:novel supercontig:GCA_000165425.1:CT868596:125419:126678:-1 gene:GSPATT00020525001 transcript:CAK86863 MYYTPSRPGDNDKYPTRHEKPPGQNPQYLQKLPEDPRRADDYQGHPQERPPPQRKPVYQE QGPERAPLPQRPQDRPLDRPQPPYGNMPRGFERPPPGFNPQYPPPPPERYNNAPYDHGYP DDRYYRPISAPYGSPYGPRQQPYGEPSPQGLKGQGRAYDPRRVGPPQDFRGPPPDYRGLP PPDFRDGPEFRGMPPPEYRRMFPPNYQGGEFRRGIPEPIGYRGDYGRDVPSRDGPPPDYR GPPLDHRGGPPHDYRGPPLEYRGGPPPDHRGGPPQECRGLPPPEYRGGPPPDFRKPPHPD QRGIPPPEYRGMPPANYRGPPPEYGRGMDPRGLPPQEFRGQPPPGEYRRAGGYPQRGGPS NEFYRGDYPPQYPRGGEQLQRGGPGGRDFEPRRPLSPQAQRRDHGYHQFSSPQQRIKQA >CAK86864 pep:novel supercontig:GCA_000165425.1:CT868596:126710:128228:-1 gene:GSPATT00020526001 transcript:CAK86864 MNPNYREILKQIMDFKQEGTRVLISGLLVKLIIGLFFAWPILQSYHEILIGYKDLNHQYI IYISYALMILIMNLFIPHSDFFLKKLGPQSLICIFVSLIGMSFMMMAIFDQYWIIHLLLQ LFVIAPSIGILYSTPFLTTWRYFVKQKQHLNSIFQIFIGLGAFFALLIFESNQANQLELY FDNNQYFCGECFNLQTRRSLRGLTIWAFILGITAALLVKQPDGDIADSVVDYDLLAFAHL DLKQQQHSQHSQNENDQSFDQPITFPQSLSTKPFQIMSILVVSSSLLPYLIFCQYQRIQS ALGITYNKSSTTFQISIVLYGFMRLAYNYFISDLPYKLVIRRLGQTNMLLILIFVASIWF DNTVLINVMCSIFTVSLALLFSVISSSIPKIFGSMASQGVFSFMLAIMGLSAIISNSISY FFPEGSTKMMLFYFSIKVFLGQMFVYRVSK >CAK86865 pep:novel supercontig:GCA_000165425.1:CT868596:129093:129720:1 gene:GSPATT00020527001 transcript:CAK86865 MQQVTPAYVRNLNGITQDFLCPVNANTYNIQFLKFRIRDMDSGQTLFEVERDQDEESIGR EYVDDEARRIKYHFGPQFFELKTVGAQLTFSVGNKPVKNFTIIERHYFKEHLLRSYEFQF PFCIPNSTNTWEHIYTIPEIDEAMRQEMINNPFQTKSDSFYFVGEQLVMHNKAEYDYSPF D >CAK86866 pep:novel supercontig:GCA_000165425.1:CT868596:129746:131648:-1 gene:GSPATT00020528001 transcript:CAK86866 MQNHLELVQSMTQPKLEASNPWLVGGILRTQLKNVLYNKDLFNEIDKEFTEFGQFCNDVL YQHSVDAELNPPKLIKQDVYGNVIDKLVLGEGWRKEKEYAAKCGIVAMAYESKHGIWNRL LQLLRLQMYQPVSGLFGCPLAMTDGAAYLLKQWLSNPTTQCQETIKLVQQGFENLTSRDP SKFWTSGQWMTEKDGGSDVNNSTTTIAVHQGGNKYKLYGYKWFSSATDSEMTLALARVVP EKKIEDFRKYPLSLFIMRVKKDDGTLNNIKIMTMKDKMGTRQLPTAELLLQGSEAYLISE EGKGVKGISQMLNVTRLYNASSAVGSMNRLYSIVVNYSLKRKAFGKELIEKPAHQTMLTK FNLNVRACSLFYFKVAQLFSKIQNNAATKDDEEMFRILTPLLKLYTAKICMYWMSEGIEA LGALGYMENSYIPLMLRDAQVLTIWEGTTNVLCLDFLRALKSSDKKDPLQKLDVYARFHT HIMMTQLSADTQLPETRRSFIPYIAKMTINHNGVVAELSNILQGTVKYSEMRIRDLCFIL CESFVGGQLLELISKNGREEDILSFELWVEQMQSYTTTWSITPKAFEVLVKENVIKAKL >CAK86867 pep:novel supercontig:GCA_000165425.1:CT868596:131660:133075:1 gene:GSPATT00020529001 transcript:CAK86867 MKQAILDFINSGILTTKPIDAFYTDQFEYFTNECYNRLISFYMKQQSDDKLKSAYIALMK VVQNPKVENLNLLVFCAAYLAQKTYYYQQNLVQMNSKNIERIHLDCYHIITYTLNGVIVS DQYIETNLKKYFTDKYLDYTKKTAPPPQYVKPTKFFGRPVEYTKLESDEGSEFAQTLSGI FKPPTKNRKLPIVEEETQYQVRQQFKSPPIRRMKIDINSISPSLATALHRTSLGFEKPKI INHPLLKSQLGDMNFMQRLKDLEQDGEQKLETKIQRSHRQNIVEQHNLTYPPKEYFQKNV KVDALFKNFLELKYVLDQRKETMSSIKDINDYQPRMPYVPTYTPYLQLESSQPLKQQLIQ KSSFETLPPSHELDKATFSKFDIQAQTTKSTFNNQVNSTFFFKTNNKPQSLSKHSTQNSF FQYMNKQKEYKQQFDRFLSNESSPDRNINQINSQLLKKQNFLKKSLQRIKK >CAK86868 pep:novel supercontig:GCA_000165425.1:CT868596:133200:134379:-1 gene:GSPATT00020530001 transcript:CAK86868 MRALITICLVAAVFAADTNKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWEALGGVRGDVEAQVRDGYQWLAWAEARLAEIERRN AQLQDQRCWANGLFVRSLADHADAIGVVTLLTQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDAIEKFQSLAEVKREGTTGEQVLSILQDLQGELESTLAQLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTNLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETVRRAEENAIIDVVIQLFKDQVRSLASQTSLKRY >CAK86869 pep:novel supercontig:GCA_000165425.1:CT868596:134780:136461:1 gene:GSPATT00020531001 transcript:CAK86869 MKSNYRNTSFGQHSISDNSDTQRDVELEDIDMSKMNHYTFYQSINAQQTQYEQIEGEIFI LEVLQNIVHKTIKIAADNEINRKIPPTTATAALELIILPLTQSFNRPEDPKPDFKTGGLS EDYEPVKEQWLTANMIVEQQQPAPISRQLTTAQTLVRQKLNDQTQIKKEQKQLDPMPIDL DDPLDISITEEKLRTAKERQTLERQQLYEQEKRRKIKLEQEEQLKYEIIAKDKKSKQFTY DYDGKLIPVTTTKITKLPPSTSTLVSKFEEDTVTKLAQKKKQYNKINMIGKRNDEDKETF KFNQLAPLVIENMNLQSGVTINYEGRLKEGLKTHTIDLNNMSNPCLRMARLEYLGLTQIM NSNRNQVNSVNVKAEDKEVKQATNFNYEKLKRFTQQSHTKQGQIKINSTKIYDQLASTLF EEESMTSSPIKRSFEGAQKLLKNPIDQFNLSLYQNTTWGKETQNSNAAYSKLITNKAIDK DLKMTIGNKDKRPRERKFYSEGTTLPSIRSQRVLYQM >CAK86870 pep:novel supercontig:GCA_000165425.1:CT868596:136609:138462:-1 gene:GSPATT00020532001 transcript:CAK86870 MIYDAKIIGVDTQSDNVLYKMRIFNSQTFEQREIRVKYSFLSDLHSHLQDLNPDRQLPQF PKKDLIQSFMGENKFVKQKEQLIAEYFSQLMKSPPPLCKPLLEFLREGADAIRQKELSKE LHTKSELFKLIKPQKELKKSIFGKTTLCTMQGRKVILHKFYVLRNESEELFSHYFNTHLY FTDFTYICKVIHIFFLHSKQNFMDSMFGSIKLPRSCKKQHFEHFLSSMNKYDVVKLFSIE VQEGQNLEEIIIEKRNKQIPFSNSELWNLIQKLLDAILFLHNNECYPNRITPTSIIIYHD NLKLTGIQEPNEKYKERYVIDGHAIRTDQEYDSIYFPPEKLNSIQLHGKLADSWQFGVCI VKAALLCTNKELDGIHQPKVVNELIAKIKNQYGQSNLFLTQISDEIANILMLSLKNQIQQ RAVIKNLHSLATQSSVIPFQSLYLNQTQDQPVTFLRFDSINEEEQQRLTAQLSQSTVLSI KINLFNQQVDDKELDKLMSLLGDFAIVRDIEIILNKAKNINVSSVLSSLASIKSVINLNL DLKGIQIDQNDIIYGIQVLKQMNLLKRFTLDCSSMDFINFLKAELDDKMKLICYYETQLI >CAK86871 pep:novel supercontig:GCA_000165425.1:CT868596:138570:139975:-1 gene:GSPATT00020533001 transcript:CAK86871 MSNSQVHFSDMQSTFISNYMEMEEPVQEKEQQLNWCQRTFGPLKKGGIRMSIISLLAPCL GVGMLGLPYRAKDQGLIIELLLIALCGIISCISMHILSWSAGDLQCSSYSELIQMSLGNK MKRGFEILTICQCLLGITQIQISFQLFIYELFRYFEITVDQRELAIITQLIFIIPNLLIS FQKTLYKLRYFSLVGVISVIYITSLTSLDYFARGSYNDDIKIKLVDVDFNIFTTFAMTFF CYLCHLFIFPIRKELHNPTISRQSKIWKRQCLICFIVYSLICISGYLRFGDDTQPWVIYN YHGDLFFVGKLGMSMALFFAVPLNILACRQIIHDMLLSDEMEYQLKHRNLRIQAQDGKIT FEVDSVDTFRSKIHIYSTLVLQFGSAILALILPNINRYITLLGGLLGTTMVCLIPVLIYL KEYRDKLNYSIVILEIMGVVFGWIGAVYGQF >CAK86872 pep:novel supercontig:GCA_000165425.1:CT868596:140353:141022:1 gene:GSPATT00020534001 transcript:CAK86872 MFFSPQFQEFMENEKSIYSTLQKHLDIILANQLDFTYHSVFQLFCHISILHNTQASVFQA LMKMIGSLVEQNKQTTISEVLLLDNQPKSTKFLQPNFLEIFEDKQTQASKIQKPLNASEE NKKISIQTEIDLPKEKVFRETVKNRKERQQINAHECEECEQFYKALPNSNQAEKLKQDFS RHRINHKLNQEKLLLTPE >CAK86873 pep:novel supercontig:GCA_000165425.1:CT868596:141873:142957:1 gene:GSPATT00020535001 transcript:CAK86873 MISTDISEILNQSRSMISLIFHQLQYLNNQIHMNQQISQEYFIQKFSSLHLSETDDDTSR SESPVLDSRLMEFVGGLPAHIKIKLENFKPSQNFQLSKQNDGSIYFGMMEDSLKHGIGIQ LWPKIGNLLKGTWQNDLLEGVCTMYYSNGDMQLQQSYSSFEAQFSQGKTVGFGMFKSEKK VVKGIWINNQLQGEGQEMKSDGTTYQGQFWDGRKQGRGIQMFSDGCKQNSLINLYDIQDS FKIINLKEMDYLHGVTAVITKANFIEDQLEDLGITIIIMGFNQWGSLLVLRNQKIRAARV ESQLKSTNHIIFELIQLNIANRKDTHSLT >CAK86874 pep:novel supercontig:GCA_000165425.1:CT868596:143110:144404:1 gene:GSPATT00020536001 transcript:CAK86874 MYKLALCTLLILSVTAIDVTNSVWTSHDQKAFAQIKQSGWGNFILNFGELHLQTGGILSE LNTEIAKLIDELDEELAEIHHQYARRTDIHNREVQRLEQEIQDKEREVFNAHDFYDNVLI PQRDRFAAQLEQLQENIAQNRRTLNEATVQRAKDHAEFEAQVAEHNEAIGAIDESLQLLS QLESPSLVQIQKVQKNLTKIEQSLKRHSTFQTFIKTLLEIAVEANFADQGALKEILTAFN NLRVQLVDSLNQLTADEAEAQKDFEARVIQLNSEHAEFQRAVIVKTAEIEANANKIEQTL DLIDVLHADLDTLNGQLQAENDDYAFATDVYNATVAEYNKELNAAHQALDLLNQPRFTDY VKSQLKGAF >CAK86875 pep:novel supercontig:GCA_000165425.1:CT868596:144660:146074:-1 gene:GSPATT00020537001 transcript:CAK86875 MQDCHKSQKIAICMVGLPARGKTYISRKLHRYLNWLGYNCKVFNIGNYRREICGTECDKT FFDSKNEQATKARNECAQMALNDLISFLNSDGEIAIFDGTNTTKQRRNMVQCSLSQQCQN VQTLWIESICDDADVIQNNIRLTKLNNPDYVSMNPEDATKDFLGRIRMYEEVYQKIELDE NLSFIKLINIGEDIQIHKVKGYLLSKIISYLMNLHIYPRPIYLTRHGGSTFNQENRVGGD CDLSQQGIQYSEQLGKFLLDEFPTQEKRNQIHCLTSTMKRATQTADIVCNILKINYLQLK TLDEINVGICDGLTYTQIAIQFPEDFAQRKVNKLTYRYPRGESYLDLISRIEPIIYEIER SREPVLVIGHQAILRCLYAYFHRNEISEVPQLEIPIHAIIKLTPAAYYCEEIRIRIDPET GERKQIEEYLQYERVNLLTKKKSYILL >CAK86876 pep:novel supercontig:GCA_000165425.1:CT868596:146414:146980:-1 gene:GSPATT00020538001 transcript:CAK86876 MPSFMHSLFNSDEKSIIAEKSKEESKKSERKRDERISGIQVQKARDKLQKRNKPMSKVLQ EIRQLQTSGVLVCRRAGFQRFVRQAGIKVSDELGFKEFRYSSKSLECLQTLTEQYMVDLF EDSVQCTFHAKRVTLMAKDLNLTARIRGIEQPLQEIRNLKLN >CAK86877 pep:novel supercontig:GCA_000165425.1:CT868596:147134:147667:1 gene:GSPATT00020539001 transcript:CAK86877 MQELQTSLQNFLDKLNENNQKFLELIFPQQNKPEPQKKQITTIDQETQTIDGNLENQKTS ALLIFHQTESNVVDDMIKEFEQKLAQKIEQQKQFDYYKQRIAQQQSQIDYLKKELQSRLL SKYGPNRLQVEKNKKEIDKYQKMIKKQQQENNRINQINQKYEVFENALQSIQQSKTL >CAK86878 pep:novel supercontig:GCA_000165425.1:CT868596:148177:149751:1 gene:GSPATT00020540001 transcript:CAK86878 MIIYVLYAVLGLILYLFVMKPLTEMIKLKFQFGKECKICFTVFGKEIFDQLKETKENKNP RDIFNKQKTAYNKYQYKFFVTNMLWIIRISIADPLYQKEALINHDLYQKVDPVCHNDLLS KGLVWSKGEQWKKQRKLLSSSFEFDALKKKVPMINEITQSKIEKFSNENVLSSLQSITGL IVIKSFFGIQTDQIYINNTELQVEIANIMNEMAYMRFKSQIQSTKRLIFGTKAWKIFPTK EEKRLLQRVKDMRSIVGDLVQQRIKYFEDSNNSKNNTINENFLNILVNEYLKITNKQQQE ATFDQIIQEFITLQFAGTDTTAVLLHHCLYFLASYPQAQDEIRGEVNRCCPSSFINENEI NNLKRLSAFISEVLRLKNPAMRPIIRVATQDHKIKDLQIKKGNKYKSIRQGWLVCIDYFL QNQSEKHFENAGQFDYTRWLQDSPIKDDNNFIYIPFSAGPRNCIGSQMALLEVKIILGQI LKQYQITRNTNVEVSWEIHFNHQLSPTNAVILNKIK >CAK86879 pep:novel supercontig:GCA_000165425.1:CT868596:150045:151537:1 gene:GSPATT00020541001 transcript:CAK86879 MRRLIFNADQVRRASTVLQKFDIRQSLTGSNNKDLIDVMVYVLQKPCEVRTSTDVFMLRS ATRSIEFFNNLESDLLHEQCCKSLKYKYLPAGHFVFKEGDIGDKFYIIISGRVQVLKDFN PNDLQASIYDHEIKQLSNGDTFGERGMDVEATRTASCRTLENCHLAYLERESYLTILNNV KHLMKKTYFEEFANLDLFHNWKFQDIKAFYDKAFIKKYSMNACVYKEGGSLEYVYIIKKG EFKIVKTVLTSTIDLNEMFMGDFEKILLGMNHKQKTLSEIFEAKYQRRKFGNNYYKQMKK LVTIKYITSGQMFGEMELLMQNQITRTHSVYSNTDQSELYQLKVEHFEAILDKIPQIKQQ WIQLSEQKNKNFMKQLLSYEKNFNDLTEAKKEIEKNINLDFLEIGTSEKPKPTKPFPRID TEISTTRKQKFIKTQLEMQLQQQSKKKFLIKKQYQNSYFFNSTTSRLRTDEQEQEQTQNE YNFVHLTCK >CAK86880 pep:novel supercontig:GCA_000165425.1:CT868596:151541:152188:-1 gene:GSPATT00020542001 transcript:CAK86880 MCIPFQSIPPPTEGTKKAVQMLQILGVSQFVVGLIKLFVLQQMAGFYDFFTIFILYMAWA QLNYCNCVIAVFYFLQNLLMIFIFFGMNVELSISFFQPTRIGVTYFILFFYYIGACYVAF LAYREFKILQYDQMGGLIQTDQQNDWAQNNNQQQQQYQQQPYYNQATAQPQQQAQRGQFQ AFTGRGVQIG >CAK86881 pep:novel supercontig:GCA_000165425.1:CT868596:152234:153323:-1 gene:GSPATT00020543001 transcript:CAK86881 MIALFLGLHFTLLRFLFHWTLYAIYFTLCSIFELKLSILEYLIPIIFEVIYLSVCTTLSL KWYLISQLFNLLWYLISIPFMIISHIYTFLESLIPQQSATPQLTPWQYAIAFFIVLVIVM YQKYQFENRDSNENENTPYYQWKQANYRYQFNNFLNYYFAQQRNQSYKTTRSYSSKQPRS YSQNIRQQSQKINRSIQEEERKKKLTLELNENRETMVLLQEKKANLYQLKKNKEINKAEF KYALEVYVQRIEFLQESGKELFLKLINPQDGLCRVDFHNFYKAEIKGLLDGLIEKIRVYK YEEDLRQVKLKIIVGKGNHSKNGIPVIGPLTQDYLKEYLYEDVEIRNGVIEVQV >CAK86882 pep:novel supercontig:GCA_000165425.1:CT868596:153440:155341:-1 gene:GSPATT00020544001 transcript:CAK86882 MQSRIRIISQNNQTNDETISYNEQNDFSVVCLGKASQEQMQGEKYIEPENDFRQFTDYQN SSKSWKDDKFQQQQILNQPQAQDGSMQFKQIQVDNQSNQNSGAILMETSYNQYSDKLPDY ISHYDCQISNTQSQQLDQMNPQYGDYGAVENVLVQNYTFDEETNQLQELDIFQRYYNNEK CSYLLPITQIQAIQLEEDGVKYYSIQMIDYSQNNLWDSDEDIIENNSQEIINQENVKIKK KKTKRGNRKNKNKKKNEAQQEHQKQNEQESEEEQVDPESTNQFDNGNIEQLDDAVSEEFR INITSFLEQIEKSKISLTQTMYGNKDKSIKIIEINNYQNQIQRLCNQFSYLKYSQIALSL LLIVDFQNCEKFLQSVKPKEEKLIIREINQLIEEEQKARESQDKAELTKTNIKIEEFKKV NKIQNVEGQSLYQTAIKIIDIQNLKFDIKKCDQQTKQQKKADFEQNRDRVIAIYDQKAKV IKQYQTCHINELQCNQQLQQITVEKRKLEKIGKQLFLDLMVIENGFCRIDFHKFFSAEIE NLLDGVFEKIESYKLYNKQQKLKLQIIVGKGLHSKNQQPIIGPITQQYLQQYLGQQIEII EGKIDVII >CAK86883 pep:novel supercontig:GCA_000165425.1:CT868596:155784:160950:-1 gene:GSPATT00020545001 transcript:CAK86883 MSYLQEISFLFENYYITDNGSFSFMKCLILVAEFTRPYKILDENFYRYSYFIPLAINLIY YSLVLKLFINHKYGKSKYQKLLETFYQQNRFFIILMTILTFIQAFHFHLVFANLIELSVA SISHNSQLNDVNVGNLIFAIITLIIVILNMIAQMICNLEFNQITQQNFGVLQQSFSLYWQ QMSILLVILINQLNADHTIKFYLIFTLLALKLIFCVQSQLLYFISLFYKTYVELIGLTAQ LTILIILLILQIQENDFDRKDSLLFIVSYPLLIHIVLNSFQEFHEKILLNRKNTLNAYQQ QYKIAEIFLKFGVVYNSHIENKQNMAFIYNFQLIHKESCQSNLCYCQTSNLNFEQIITIF LKEQIQLFGEVINEIKDKTIRQSMFLRYLSFISYLGFSTKAFQQSNVQVIHESEINSKSN IYNNQRSESNKVESSHSLDQKSHSQQRQRNELEHDEFTRVKIMNLSFINQIKLRMLQDSI KKSMSNGLRKQSIMQENLEHAVNLFLMSETANKRLKEKIITSLNRKRQYFNNILLNTGSG SIFSNSKNLLIKFKKIENELLKLYDQFPSRKMQALNTFLQAELMNNYFSAYKLTTVASIS DEKLLKMQSQISIDLFSSKLDYIIFGFDKKSHYLQMQSTSNLIHQFFGYTQEQFKQMNFI EAILPQGFDLIHQKLIQNFLQSGESKFYKQINLSFCRTTHKCIKPIDFFFDINLSNFEDL TFVTFLQDTQVSPAYILCCENYKIQCMTKNIGQRLGYEQQYHQNLIDLLFKQQINKVFPR FHNIYESFLSKTSILQKDVQDQNNFDDKQTNYDQSDAQIQMIVPNPNIFEKKQNINWDQN EHTSQLTADVVFHLRNVKQELFSYLIVEIRDVKKYLQTEFSTPFQTEIPIKYLAQLTDMD NNFEIIEDQESFCLNPPKALELLENYEKEVNHFGQRLRISQNINNSNSQHIYPNISIALA SPKDSASRLIEEKQDVSLQQMNNTISKKKDKNRQQYFSALDQREDQESSNFINSIIQNKQ ADQNQDQQLDQIDKDLQENIKQQMQIQNFVNDPSLNQINGDGSETSSLAGIKKSRFSKRY DLIQKLTNSQKFSHNFLNMKYMLTLMFLNFLVFGSIEMFYANSDLPQFLKEIDLLQIKAN IVGPIDNYIVGQNAVTNYAALFQRNYLTKELAFQEAIYANNEINYTFYELKTSFENQLQN PYLDPFFEDRYVIIQMADYPKTIEYNIRLREAIQLELEASLNFIKTDFLFNDKIDYTQGF FTYLYKNFIPLRELCQQLNEEMLDYSTNRATQVSKNWYQLLIPIVIIGGVLLIVCILFYK YYLQQYDEFLELFSYLDTVWLQRDVDRYRGYASLLMKDSDVLFKYQFDLDAKEVFLINEE TKKDRIAQNQAFQRDKSNQQGVVVLQQKMQQLPSIFSFATIYGICFVFCFISNSLAQNFY TKYPESTRFFNLLSDLSMASSGVFSMREISYTIQAEETQIYFFSDKNATDFINLFMTHIK IIDTFLQVFQNFDSSQYITSDQFVTDFYNLMVKDICIFLPDYKQETAQQHCDSSLDGVMR RGMIETLNKVRNNVMNEYESTNQFKIEVIDLQSDLEIGLIAYDDLNSLRDQFQQQLTDTT NQLISQLFFINISFIVILLTSILALLTLVEKYFRWEFNIVKNFVILLPQTSLFLDVQLDR NLRQMVVQDDLI >CAK86884 pep:novel supercontig:GCA_000165425.1:CT868596:161136:162234:-1 gene:GSPATT00020546001 transcript:CAK86884 MNSLIFPSSLILPHSQDTLLIAFLIMKSYITTNTKKARRPSKPSITIPRNTDSQGLLPKT LEFAKQQIEESAPPSLIQKDQMYFIPLLIHSPKQITPYSIFHCLQGAPIPKLQSDIISNQ EAQGSQEGSQQTQKQKGQHQLTKKPKKENINTGHWSTEEHTTYIGFLQQYESIMTSSMMK KTSKIFKQMSELIGTRTPSQCRSHHQKFNPYALRGENGKRLPRSERSRAGRKKKNPSCDI PKAEEVNLQQHHFDQEQLMMMYDKQLLHPIPYEQWNPYYEMHQPIKKEEDQDQENSQQIQ LQIHNQQDYEEFVRLNYQKHQMNIDYIECHNSIVNEY >CAK86885 pep:novel supercontig:GCA_000165425.1:CT868596:162728:164477:1 gene:GSPATT00020547001 transcript:CAK86885 MSAKEYEAEDDLLGSILRNDDYEEVFSNNNSPLCNQGFESDSIIENASTSEKQYFDSFNR SFEHMSLGSDQAQSPMSNKAVYPQYPPNHFKFSRQDDRQSVSISFDGPSFSTQESSINCR KIGNEHFGYLNKPHNRMVDYQVPYSPGVLRPHGIYQPGFNYSQPLNGLGFTGYQQERQPL HEINDDMQLTNDIDNMCGNQLLSRKVQKIIEHGKPDQRELIFNKLERSCVQFSKDVFGNY VIQKIFEKGTPIQQLRLFNKIRPHAYELSKNNFGCRVIQKIIEIIYNNESLQDQLIDSIK LQVKSLLYDSSGNYVILKCLEVLQKEKIEFFLQPIEDSTLYLCSSQYGCKILLKALELFS PTQTDKIMTTCLQHQYNLSQQEFGNHILQFAIKNTQYQQFVVNFVLSNFEKLCMNKYASN TVEKVVEASTNEVKQQIMSILISKSQINRQTIFVNLSINPFGNYVMKKMIIMSNHNILQP LIDILRQDQYLITAIRSSDFGQRIYQLIEKTLLMYKS >CAK86886 pep:novel supercontig:GCA_000165425.1:CT868596:164489:165106:1 gene:GSPATT00020548001 transcript:CAK86886 MQFTPDTRNVGGRQIIISQLWGHRLCLQQIKERQINLDLRNQFDKKNENQKIPYIHDFHM EIHKKKLQTIKECLTDRNKKEPSYFFRRLPSPTNISSLQNKLNNIKSKSTQEKMLYTKLL PPPNIELSKFIPESTKTKVDWEACIKTLQGPQYPIKKWVRQLAIQNRIFDETDFAILIRI LVQAYQIKQTTLINIVSELIEEFEK >CAK86887 pep:novel supercontig:GCA_000165425.1:CT868596:165788:166261:1 gene:GSPATT00020549001 transcript:CAK86887 MVDREENDALCIKYGELLPLIPKTKDDIKLKNQMHVALKQQSFIGSLATTKEWTKTLLHT STLISKEAYVRSLNSMEAFYEKQQNESAENQTKSLSPIKHQFEDISDYTFKLQNGIKKVR FRLNSDYQA >CAK86888 pep:novel supercontig:GCA_000165425.1:CT868596:167059:168853:1 gene:GSPATT00020550001 transcript:CAK86888 MQKYLLDNLPLSLQERNLLYANGQQVYDQVMKDGGFVKVYCQRDLPAYLKEDDWVTFYQS KVIAYEYKSMVDGSCIGKPYYYNFSEMLKIYEEKSLSWLKHQISILTIDPKALEMINVFF NFDALKQNHGNQYTDIRVQQPGYDSFSPCRINQQLEGMKIMDYIDYLNDPTKFIRKEGLQ ADQRLFAVNVNMQGWDKEINHLKQFLPKCLRPQGDNDGLSYLRQEIDGVNIPQIYIKTDG VWTGGHQENLSVNAININHGPADCIWITVDNENVQRLRQKVLELLETDIFKNEGLWFKEP EFFLKNGIPFRYTLQKKGDVVILGAGCLHWVKSLGQTINTAWNQVALDDMTLEAMSERHK LNKEINYRTVIPYKNLMLDLYIHEKSLSQMTIERIQLELQNFFDQENRKLHLIDPPSSQL VECCKEVQLCSKCEHEIFLYFYHDNEEYLCLECVINKPNHKSLPISMKYRYHCFYYLLQA QPSQCNQEFCCPYTGKTKCSIQQELKPAQFKHKGQPKLNSMLHGTDKMRKKKFDRMDYEI DAKTSIYNDKQKTRGRKQSIDKSQPAIKRTRNY >CAK86889 pep:novel supercontig:GCA_000165425.1:CT868596:169080:170404:1 gene:GSPATT00020551001 transcript:CAK86889 MIDLISSPSPIPQNSITKDQHLQCNWMQQRFLFTQSHCKKIDFDNSHTTKSIFPISSQEE IRIPSAKIEIKELQDPSILSTYAQSYIDKNQFSLSCGPQKSQVTLNLLIAGQSGTGKSTF VDALLNKVIILKNNIQKPISRQYEGNRSETNNVQESMGIIEYDNQIVYLNIFDAKGFQKN NQKDWFKDIRHLIDQKFKTQIRRNKYAYLNKTLYSQMSNLVNDERVSNCVTIPQIHLCLY FLSGPAYFNEDIQYLQKLSNLVNVIPILARGDQYTKSEVLELKLRYNTIFKEFKIDLYDC LKINDESFKQQLKYGEFGQCSPFMIIASTYEYYNEQGKKIQGRQYPWGQCDLWNPQHSDF LLLYKSLIGYYIYDLIKLTDFYQHSFVKRKQETQKKNKSRMGFLNSILVHINQILYLMD >CAK86890 pep:novel supercontig:GCA_000165425.1:CT868596:170414:171480:-1 gene:GSPATT00020552001 transcript:CAK86890 MNSIYNEDNSESLKELFRSYIEYDPKRGQAMLSFLQEKVDDIIPLGFQKEFYTYAGTLEL LNKNYENSQKLLVSAQDSGAKGSLAGMCLNNQVILSELNLEGEIKKLKGDFDAFCQQNIK NLLDAIMAFELTTVEENKKELYYLYKLIDQTETYIDKKEINAIINGTQIVNPNSYSVMFN LIDLLINHQYPDKATAWIKVVFKNKTQSPNLNIINFGRSLLQYAKISMIDNKVNQAETVL LDFLNSQQPRLSFLQMMGLTQYSLLLKTENRAYEADQYEKQALNISSKISPTSYIREQII LL >CAK86891 pep:novel supercontig:GCA_000165425.1:CT868596:172217:172555:1 gene:GSPATT00020553001 transcript:CAK86891 MYILLLDILDKVYLIYFVRAIVRTNIMSLIGIVCKQNDKNINEIIILALNLTIVAFLYYN FFLQQLDFLLEESFAPNLSNLIISIVLFILYRLFFLVSLFLQSSPSIKLDKS >CAK86892 pep:novel supercontig:GCA_000165425.1:CT868596:172706:175305:1 gene:GSPATT00020554001 transcript:CAK86892 MNEDQINLEQQIFGPQITQIPDLTQYQKQHLESQESMRSIESPHIQTNRFFVSKQRLQKL TRIINTPSNNQIKSEQKIPHKLDENEAFTRLVRKNRLIQKFKQNLFLNSYILSNALKTKI LSQEKYIQPQIFRFLQKYGSSKLKPAILLPQSPFLYLWDLITLLASILALWICPFLLSFS FDEYSFPKIIIGLIAHLIIDNILAVNRAYIEQGEVIVDRKQIILQYMNNQAVLEIVSLML WIGIYPNILKEFNSSKQILLILQNIIVLIKLKRTNTKMENIYLKHTLSNAADLLTLILSI YFFAHFMACLFHYVGVITEENAHTWLIQRQIRHEQVWIRYNTSFYWATMTITTVGYGDIT PENQGEMLFVNLMMLLSSFLFAYSMNSIGIILRNLYEQNKNQKRSILQLDNYMNHNQVDL SLQIRIRNYLKHKMTNMNYEKIEFINKTISELPKGLRFELNQNISEKILKSIKLFSDQFS KITQQALTQKMNRLLFQPEEYVYHQYEIDDQSLYFVKEGIIDICEEQSQQVIQTLTKGQT FGEYQFFTGFPTKTCAFSRTQSEVVQIHRSNFLKLIKDDKKDLERFNHIKDKILLYSNYN SILLNCNQCNRSTHLNINCPLLTYQPDLEGMIKKNSIKKDPQKRMGYIRKGDKINTRRML TTIQDSLNKFQSECQINSEDEQSRYMSPDYNSRINYRKKSIKKPHQMISISNTVISEKDM LPSDKLIQILPSRENFEKRKTTPSKFLIQGEESIYKEQNKDMLQDFETKLQFHHINIDQI KIYQDYMPHNNFHNVLKGLELISSTKYYKNKYKETGKYTFNHLVKQKSLHLRKWYEHKLK >CAK86893 pep:novel supercontig:GCA_000165425.1:CT868596:176318:176913:-1 gene:GSPATT00020555001 transcript:CAK86893 MSDDENQFEQGNAGSSHTYTESAGSLKKGGYVMLKGHPCKITDVSTSKAGKHGHAKASIV GKDIFTNKTYEDSAPTSHNIDVPFVTKKEYTLMDIQGDGFVILMNEDGSTKEDLKLPETE DDFNLVKEIREMFDAGKDLLISVLSAMGEEKIVGSREAQDK >CAK86894 pep:novel supercontig:GCA_000165425.1:CT868596:177027:177738:-1 gene:GSPATT00020556001 transcript:CAK86894 MNNNIRQQLAEMNKGIDKKRTSSFNKQTISYTHERCDTQQKKFYCPKSKKDVKNMISDNK LKSSYENTTVMMAQNVSLKNVFNELAHKSAKNYIKKHMKKTPSTSLHTPADFTIRKTEQQ SYLMKQQQSVIHQQSQQTSYRSLLQHNKRNQWIDLINQKSSNYIQSDQISITEYKTQLDI MTTNNLDWKYPYTCYKESRCNGEVFHIENLEFDCWKELLMNRLKQRQAK >CAK86895 pep:novel supercontig:GCA_000165425.1:CT868596:178500:178870:-1 gene:GSPATT00020557001 transcript:CAK86895 MNKKNGFILHEVGRLDSDFNNIQYPRSNISQRKEQIWYGWPQLRLEQSDELIQLNNEYTP ELQEYSLFAQLTKPLYETAPMDVMLLNHEMEISRMMGTPGVTSMKYVLEESPFSPAW >CAK86896 pep:novel supercontig:GCA_000165425.1:CT868596:180116:184940:-1 gene:GSPATT00020558001 transcript:CAK86896 MKLSYALYNHLSSLKVFEIIKQANKNPIILTEAQQNLIENGIAVAKLVKSIYSLKQEISQ RALTPIAQLDQLKQLTTASTKLYNWNIIINAINQLQIPNDINSDIKKLILMGDVQVIEDV LNQLFDFYLKLQQARLSKPKASPNVRNRILSEQQPQSQGYNHLNLSQTQEQIQISDSNIL EYFTSLLSKWLNLQHKQVISLYAENFKFWAQIVTKGVQRVYEPIISIYKDVFLSVEHLQN LISMEKDKALGFSLNLLKPGLVSKSPEVCIETIRTLGNFVIQAYNNKISYKEDIYQWYVE SCFQTTLLSIKRHPQLVDSLVDLMILFCKFHLVDLFTSILKQHSANNIELLINVEKLFNS LTPEYILEIQSMGIMQQWLEQGLEILESTNTLKSDENICILRLIHNIWLKNQYQQHQERV HNAFKLGTQDPRECVALFSIQFLFSALEYFGKNKIPEAPILYKIIAIQLVELTNENLVHF ILQNLLIVFQMIPSIPLSIVLDPFIVNLQEKTYPSLVVFNFIANVANNSNLTTKSQIQIM DLLSKIAIYDTLHYRIASQIFLQMVTNTQHTPSTQEFIQKFVKISLAVYFSVHKKSDKKK PNQQIVDKQRKAQIIEVLKSIIQIHNENFNQVIKTMAGHTNLQFKLISKQNKGLQVLLQL LGDLNEIMQQVEFEYQEAQTNKQAYSDSSVKEVQQVQGNLKKFSKIKLTKEEQYHLARLR MPKETDPKVINDISTIKKQFQDKQMEKELSKFTQEEQLKQKKEKLRKQLEKRQIEQGIAS LNQKDVAVKLLFPDGSRQTELAKEKKHGLVTFDLLDLNLEEEIEKLMVEQILRKYSKVFR YIFTKYANTCLQGMKQPNSFDKYLQRTESINIAEISKFVHDYEIALSIENVQALARQVGT QILHNKTEFKEFDQIGFNQFVIQLSIVLSKNKLADTQAYQCLIKFINHLRNVTAAKGQNT ALFDDPESQYFMENDIIKEFNQQLLIDPNYELPQGYKKVTTFEIQFSYEIPFLDDNVQIP YQILNDLLINALGVNIIEPISKRVMVFKAKPDAFGYIQSKLQQEPADEEFKIKHKKSKEV NTSLQKKKVLEIEPKREWSLNMKLAIAKCNLKDKFIAENVANLLDDMLFAIEHNKQQATR QNEIINKVKEDKKQLLLDQEQQEQKREQLRKQREKEIKDKLKEEKEKQKLLHLQIEKERL EKNKTENHLKKEQLDKRMSYLTEQKLKIKEKNDKEKEDQLKRILEEKDKQEKEIKLKKHN FQEFNQKQIKKLKEIISQGQNKQQQSEKEKQDQQKKQQQLLQQARSKILTQNQSRIQEQK TLEKDIENKFKSISYQKIIEKYQFGLNSLFQFLIKETFLPIGQPSSREQISFKTFAWFTD RFNLCPEIVSKDDVLQLFRYLTKSKEVIDGIPLGMNYDEFLQALHRISIKGSTIFNKVAM NIKQQKGKLDIKEIKQIVEAEQIVGQEPQSHSPKAESIVMDTPLRNKSKSNYLESSKVLK EYQLFFQQSNEQTFESLILYLDVSNDKNQLDWRFRKTLEEKKVPTRLRKKILTEKLGIQN >CAK86897 pep:novel supercontig:GCA_000165425.1:CT868596:185169:186224:-1 gene:GSPATT00020559001 transcript:CAK86897 MKQQAQRYSVKIPKHDVIDKKVYYTISICNLEGGEPKEIRKRYSELESMHQKILEWIQIF KIKIPLIHFPKKKFLFHTNQCEESIIKRSGELQQYLNEILACPELHCLGVIEEMLPKELK PDAQKKNDKPVDRRWQEIQQIKESYLAKHGDSILSLPQKKVEQQSKQQYSFKFEDHFIFD DSALYTIQATDTITNKSWKFTQRFQDLRDYHRQLKSIQLDFPLPNFPEKKLVNICDAADL RGRKSQLEDYLNRIFSYQTVVESDIMVFFIAKSQLDGNEIGCRSKGTSQTTMDVIIKQYL KNSSIKSKSQTTIEQFDDDHKQPRKITC >CAK86898 pep:novel supercontig:GCA_000165425.1:CT868596:186770:187810:1 gene:GSPATT00020560001 transcript:CAK86898 MGNACRCHQQPDNLNEDQESNNPEVYQAKTDKPEDDKCEQQSFSKWKNIQEGLFYNLKQD DNLPLPEFRDEVAKSNNGSRIQLPPVIMKSGNIYEGEWLNQKKDGKGKFTWKDGSYFEGD FVQDKAQGIGKLVHVNGDSYEGEWQNDMANGHGVFNHFRGVKYIGQWKYDLQDGEGQETW PDGTEYKGTYKEGKRHGLGHMQFQDGSKYEGNFENNEICGFGCYTWKDGKQYKGQWLNNK MHGQGECIWKDGKSYKGEYSDDKKNGYGVFTWASGKRYEGYWQDGKQHGEGIIINAEGVR REGQWEYGKPKNLD >CAK86899 pep:novel supercontig:GCA_000165425.1:CT868596:187839:189228:1 gene:GSPATT00020561001 transcript:CAK86899 MDDADGNYIECLSQGGSSPYKPMDNLFQIYSDKVGKKSEQQRRFFSGQKQVTEPIEQLSV NSISNSESKPKPQNKEAVQIQLISFYEQNLQNLKQMVIDKDEDIKIRDEKILEQSILINL INTKYNKILNLLQQERDEIFEKYMQAMDEIQNLSQKYQQQQQQQQQQLLSMQQKIQQQLQ QQITQHLQNFQVPIDYDSKLIELHEAKQQVNYYKNQCINLEVKVKETEVKLEDSNLRLNE AYIRIDLLEKEARNVSQQNVMLDKQFKSLLQQFEQYQQIQKKQSQQFQFREATSMMSSAY SNQSNSEQQILQNVNQNGSKHEQLQENDNLINAYTQFKKQNSLKQFNQMLVQSQIKQQNG KQDNQQISYNQGGILNFPSDFRTQQQKDLELQLTNQLNELTIKKQTLESELNKKINVSKS ALERRKREQLEQELDQIQDQINKVKLKLRENKFI >CAK86900 pep:novel supercontig:GCA_000165425.1:CT868596:189297:190547:-1 gene:GSPATT00020562001 transcript:CAK86900 MQEWCTIESDPGVFTELINAIGVQGVQVEEIYDLNDEQQMAQMQPIYGFIFLFRWTSKGE KRECLKIYDQDLFFANQVIQNACATQAIISILLNSPQIEIGEALKNYKEFTIALDPKERG NCLGGVEVIKTAHNSFARPEPFIFSNEKKKAKEGDDVFHFVSYLPFKGKVYELDGLQEGP ILIGEYQDDWIVRAKEAILKRIQHYQEKETAFTLLAVNQCRKFKANKILSSSQNEILLIL KTLDFMGVDLSEEQKQQLIELSNQQNIEQELLQESKEELLIRLNNANNRIQEAQIILSEE NAKFQKYKEENSRRKHNYIPFILELFKMAQRKGQLEGLLEKAQQKQQQKLQQQPKK >CAK86901 pep:novel supercontig:GCA_000165425.1:CT868596:191888:193318:1 gene:GSPATT00020563001 transcript:CAK86901 MNKYTLEFKSADVELKYQKESIYKLLKPLHYGLILMSLLLNTIEIILVTVRESFSIPLIN LAFIGISIFSFVIVYKKETLTQKMMTIENAYILLLQLNFTADNIHGQEYFLYGSSLSLIQ AITYFSTDFYLSCPSVIFHLIFRLIVTSLYAKKFDLIALGLTITTAIFLISVLYICNRAQ RLQFLLNFKEDTINYQLQTLINKPFTKIIYNEKKLQIDVLQVNQVNQFLGFNQDLCFGCN IRNFIRNCKISNRSLEKWIIDDQTNLKEICLAMVQRTKIKIRLSQFNTDNSLILILENSR LDTRQKQVPQFVTKQLVEQFMTSKKTLFNLQFKFGVMSILMLGQYQIKTVNIVKVLKKLL NTYVFSGKVNLNFNGYQIIKLKTYSQFLNIFLIQVFNIIQDMEYSQNIFNEINVNSFDNY LAFQLKLSNKQQFMQLYSKNFFIKYTEQHLLVTPLTFDLVFYFNKLIPFDDTNASL >CAK86902 pep:novel supercontig:GCA_000165425.1:CT868596:193514:194451:1 gene:GSPATT00020564001 transcript:CAK86902 MNNQPVYMSVEQINALFQRDSTYEVRDDRINSFQYDIKRNDIWKSEGPECEENRPTNQSE SMMMITREEYQSLQQEYERRKDMEEKYKEDINKLMKELKQKGGELESVKRDQDLLFEQNQ RREQVLQNLRQQVQEANHREQKAVIELADMQEQHMQMKQKLEIVQQKYNYLQKQHKFEID RKDKQIDQLKSQIIFLNEDNEKLKNEYQAGILYLDSQRVSQEKTQNQWAQFNSSAYKSSI QFQKEKSITQIQFKSNYDSKLIFGKKSQNSLKQQQSQSDLQLKGQ >CAK86903 pep:novel supercontig:GCA_000165425.1:CT868596:194468:195805:1 gene:GSPATT00020565001 transcript:CAK86903 MPPKKEQQEEKKNKLGRPSNTLKMGIVGMANVGKSTTFNTLCKLNVPAENYPFCTIDPNN AKVPVPDERFLKLCQIHKPKSEIQAVLSIVDIAGLVPGAHKGEGLGNAFLSHIKECDGIY HVVRAFEDENVCHTELSVDPIRDMDIISTELLLKDLEFCNNRLAETEHVIKRNNNKDARE EKEVLDKVKLLLDNKKWVRTGDWNFKEIEILNKYYFITAKNVVYLVNLSQPDFMTRKNKW LKGIKDWVDANCPGDIIPYSADLEKTIFEETQAGTITQERQKLSMLPRIIKTGYKTLDLI YFFTAGEDEVRCWTIRAGTKAPQAAGVIHTDFEKGFICAEVMKYEDFVHLGTITAVKAEG KYRQQGKEYVVEDGDICFFKFNVGGGGKK >CAK86904 pep:novel supercontig:GCA_000165425.1:CT868596:195831:196856:-1 gene:GSPATT00020566001 transcript:CAK86904 MLIIDKIIAIWQSITFGTKFVLITSTICCILDLLTNDSLFDIFVDIPNKTIYQIELWRLL IPQFFHGDIYNITISLLGFLFCAIEVEKSYGTIPFFCDIFFKNLIIQIIYVLLCFSLSYL TLEVLDTESFGFWNITFIYMMNKALSDYDEPQKFLCFPFSLQSKYYPPAFFLIMNIIEFP RLDLIAAAFFALIEYQLFDGFMMKLSKGFVTKIEYSFPFKFFQSRQDFITCDQVNHSFVL DEAKQSQYHLEIGSISTTEAKEQDQQQI >CAK86905 pep:novel supercontig:GCA_000165425.1:CT868596:197270:198877:-1 gene:GSPATT00020567001 transcript:CAK86905 MSTQEKIVQIYYFERINRRQRQSIGQFSQVYDIFVNINIQVFKKDEIFLKLKDLLSRMIN ERLKRFVVLVISLTKIYNRNGNRELGIQCNYLGFHMGILNAWIECMNLHFNYGISGVELL KLIQKRERTAFNHFKPKIQVYYKIIIQISNTKMLIQNQEFSGNTKTQTFTPLSNLQTSQP VVQQNECDEPLLKQNPNRFVLFPIKYNDIWNMYKQHKASFWTAEEIDLYQDLKDWEKLTA DEKHFIKYVLAFFAASDGIVNENLAQQFCTEVQVPEARCFYGFQIAMENIHSETYSLLID TYITDENEKNYLLHAIDNVPVIQKKAMWAMKWINNNDSFAERLIAFAAVEGIFFSGSFCA IFWIKKRSLMPGLTFSNELISRDEGLHTDFACLLYKHMINKLSIQRVHEIISSAVEIEKE FISEALPVELIGMNSNLMKQYIEFVADRLIFALGAPKIYNSKNPFEWMDMISLQGKTNFF ERRVGDYQKAGVMSKKQDKVFTMDADF >CAK86906 pep:novel supercontig:GCA_000165425.1:CT868596:199625:201116:-1 gene:GSPATT00020568001 transcript:CAK86906 MKAFRYQVTLNISKVELSVKFPCTLQVMWKRGLNKCLTRTKEKTRNIFIVNEVLTLDFNV GENTQKKTHLIALLNVDGQSKLAGVVNFNINETMPIEGQCALNLERSPDPNAKIHFTYQV VNLGETDLSDSRASESFHQKTLAESFGFEKENLIHHKILSQKKSSTQLISTYEVQLTKEL YEKSLKDLDQQKIKNSQLQDQLNQLQNEKSTYNDEVNKLKKQIIQLKNENQYLEEQYESS KQEITKFKESAHQHNQLQVQTEKLKNEIENYKIQNSVLENKYQQAFKKAKQLEEKVQFSH FNKIERSTNTQFSEIPEYVQQNTQITQLEEQVNEYKRKNDKLTYELQFTNNKFKTLEQEL SQFKCQVIQYQTQLTQFKDQCNRYETENAKLKILIKQLEQDQNNKEKVQNRSQSDYQKQI DSLKDQLKQNTDKLEQVKEKYRLAKEKIEKQNDIIEQQNDELTMQNMTFLKELQLIAKRQ EQFGIV >CAK86907 pep:novel supercontig:GCA_000165425.1:CT868596:201144:202015:1 gene:GSPATT00020569001 transcript:CAK86907 MNQQGNMYDFKIVLGRVKEKNMSVRLNYSQTKSEDYEMVIKLKVKSNIDVKTADSEFKER CKSTYTILTSFVKQIRDFLKPTFALSYHVDEQYAYITFKYANQVEQQEFMEICQFIRETM EKSQEREEVELQIESDLSFEKLGQSISNAVYQMNLEVQGKAEFQKDTVKLLENLKMCKQE NLPYFLQEKYLSQILIYLQLLEKAHAEVEIDKLEYDLGFAQPSIELPFKFAIYGKLQRFA EEYLDQGIELAIKGPGLSAEIDFTYKGSLFTLIDNILIIKQ >CAK86908 pep:novel supercontig:GCA_000165425.1:CT868596:202117:203342:1 gene:GSPATT00020570001 transcript:CAK86908 MNNSSNQGRIWRLMMPLLECSQKRSCNIELLAAFYTEYGSVALKLSLLDISKECVQCAFY HLKDFANLNLFILNILTYQRIKFWLCRYLDSIHLLKRLLNVVPNSLIHYKIEIFINIANS QRAWCNYEDAESSINQAEQLANLLSPSHYNDLLKTNILFLKARLYMDTDRSKHGLDFALD CLQQREMLLPCDHPDIAIAMELVAKINFIQNIVQEAQKYHDQAYKLKIKAFGLHNFETID SINEKGRLLLSYKKQEQHAMYYFQLIGDISQVLLGPCNQYQALSYNNLGCALFNIGKYDS SIQQHSKALCIYEKLCGQEHAKLVFSLNQMANANKLLNRPKAAFTIWRRCQTILEKNPQV NSKQLSAIKSSLQGLSIQEED >CAK86909 pep:novel supercontig:GCA_000165425.1:CT868596:203373:206461:1 gene:GSPATT00020571001 transcript:CAK86909 MQKSGQPYIENSLTKRDAPFQYEPQSKFTPLQLIPPINDNFRHLKGLKASRSQNNSKVKI KKVKQKFPSLMEFDEMQTQDDELEFNAYNVKPFDSVAPQTFRNIYKKIDTILQKKTLYQN QKMSLFSSVAESSKSEKLMPRTLGLIRTNTNNNKVLDASNFCMGDKYAKVLCSGVKLTLD YYEQVNLKNNNLTSRSSECLNQLIPTKLKGLNLQGNSLGQGGHEFVKALNTRYGQLQYLN LENNKFNDDGCRQLFKMLQENTIMQKLNLSHNLITDKSMPEFNVLLQKNATLSEIYLHWN RIHQIGGQYIIAALFINYNVKVLDLSFNSLGIRYKDDAQSPQKNKLPYQTWPDYFSSKNC NLMHLDLSNNQFNQSQSEAIAKSLEDNHTIYGFHFSGNIHSSIDHLGFLNITKQTIEQKD VILKKNEEYQSEIIGALQIPRIDGLKQIQRQSQFYNEVCWICDGWVECTFLWQPNHLDIK REPLFIHLSHERYAPIKMELMDDEQRERSPMSRKSNSYKIWYVQRMVPSKQPIRFFFSSP LLKQAQVSSQYDIVDWPKAEQNKKVLFYMINEKHLTFRRPRQCNQIMLPSKQQFDELHYE PSVHCKPRIKDYGGILDLIIDDTVDENIDQTDNFFKECHRDSDQEFSDCFEWDWNNSQLT KLIKEQDQLIQVKQYLHRIYRDLVSAYRHYSAYSPSGDIWSISLNVFTDIFQSSFVDNKS YLLKDLDISFRQTTSKYINQTRTSTSHALLRHQFIEILVRMSMDKYCKQPLLMTPIEALQ KVYEVDGLQKKIESVQESQTWRDVYYWSMECNRVISNNSSVLRQLYQECVQMRSLNYFTN KTFASPQSFKELFNRAGLINDVNAERNVLIAFALSVPLVLDEINQDRQIQMSFQEFVEAL ARVAEKYGQNKSVISSEQLALKLQHIIGQLQEYYQIVDENKIIIPQMRQSKKQTNFLQEN VTKLLYEIDGSPVNAPQIYEFDFVE >CAK86910 pep:novel supercontig:GCA_000165425.1:CT868596:206931:207863:-1 gene:GSPATT00020572001 transcript:CAK86910 MSEPYKKQKIDSFDYYDPPENYQPPVQQLDQSKVIEGYKTLIMLKNHMFKVNLLLSHEEE SSTIAELKNIKDELVLAKEAQEKEIASLQKTQDNLFSVNRLNGEDIDKLAKAYHEQDRKW YNAKLIQIDTEEQEADIQFIGYQEVVKMHAIFIKVQPIPDSKLFTVGQQCEAIYSSDGRY YLGTIEKVTEEGYHIRFKKNSNREIVPLIYLREAKKQLNDSKKMNFEEMDEFQVPENLKY LPTDNEQQRQAKKKKIKAMKQTFKLSKITKYAQEKQSSWQSFSQKVSTIKPDLGQKAESI YRQKCDQLKE >CAK86911 pep:novel supercontig:GCA_000165425.1:CT868596:208143:211227:-1 gene:GSPATT00020573001 transcript:CAK86911 MQYQRMIGQQATSAELGLAKQNKSQIRINQCKYESSLQDMLPKRDDIYKYRRRPSPTRNQ SKRDLLSHSVDFIKPPHVERDCSFNNFHKKTSQSGFYKRPQVSISLTRMKENEAANRMFD YSNKENEIYNLISKQIQRNPITGNGLPNQCKTGIKCNTSKRSHMDEILFQGFEWAKEVGY QIVIQFQLFWYHSISSLKTYYYLSSRLSKYYINQIMHKILFENKLSTQSPFSPFGSSKNS KPGIITKIKNRIQDLFQPSIKPASSIQRIQCYNDQNLDKELSYQFKNNLVIVDHIIPNKE YMPTLKRKSLYPFDQYLKELDQNQNQISDEAIINQQIQQKDQEPQLPESKQQMMFKKNHA KNQKSRKMEGNSQKRDFLFNKKSLNLNDELKQENSSGFLSNHSIKKIKCSDNTIEIASPS QKEEQSNGQMSQINQFEIQSIAIIKQNQQIGCQQLSDQKNRISETQNRHSCNIVNFKQFI QENQYFSSSTGTNTKGETQEAIFNMEHISFSQETDSSISEDKYSNDNSLEIQNKLYSIFH PDFAQKGNVNSNQDLEQNKTPEELKNNQILDKNQLSNDILESSKQIKKQRNLRLQSEIIS LSIQCNPQTQNKNQNQILQSEVQFQQDEQKCQTKSFITSQENSCDNHSTQNVFLSNPSQK QDQEQIVKEQNKNQIQQQQLQSESIFQKELKFIDDKLDSPQSQNTLLSMNCESTIINSNI IQENDKPKEMNMKSNQILKENNPFLETISNISQDQFSQYFQQALLTGNSQNHLNQQQITK DQNQRDLFKMQTNNQLFDFNVLVENQDLQVQNDNLFAQQYYAQKNDQCQNYNKMEIIDQI LLPDQSNLIQQNIYQQPYQIYYSPPFDQKFYCQPATFQQSQPSIPWVGYQSPISQQTPYP QMQQSSFQISSINLFQSNSSMVNSNPNCCQEENPINHIYTKQDVLSFFQDPNKKQDQNLF TLDSNQNSNTQAQNLNNSFTRGYKKKQRINNS >CAK86912 pep:novel supercontig:GCA_000165425.1:CT868596:211440:212422:1 gene:GSPATT00020574001 transcript:CAK86912 MLPRISQSQQLHSLHYSEEVTLNEVKRRKKELELQAQSVENRIRQLRNEEGKLIKRIKQT EQLTSEVYQQKVAAHLKKQQKLQQNALYYRNSQNDSKDLIDQSIGNDRYRELYIKTTIER NQLKKVKQEFRQYKHDEAQNYRKLLQYEQFKFIEEQRKWQENQKLKAALRKQEQNLSEIL IREKLNEKKIKIKQEQDQLKVKTIQENEEKEKHIKQLEIQELHIVQKLQATQQREKDVKG KLIAATRLQPEQFAKTFHSVNNTSLIAEQSNIQNNNKQDGYQQENQQTQSNNEQKEEQHN EGQQGTQTEE >CAK86913 pep:novel supercontig:GCA_000165425.1:CT868596:212523:216670:1 gene:GSPATT00020575001 transcript:CAK86913 MFKKNLFFFQLFVQLLDFENKAYKLPEHLVNILLLQHSLINISYLYQRNAKSWIYILVLI ARPQLIINIDYLDYLMLTYSILWIFFLFNQNFYGTSLISLFNSLLKTAVLNFLLEEMQAN LGFSIGLFVVIQQFLEVLLIQGTLTVNTINFQVSYSNYLNIIDFILNILLIYFQLFKLNQ LLIQILALIKSCFQLTLIISYNNYRNSLIRKIMAFTHLATLVFGIIQFISYQHSYTLVML PLLLKIILQQQFSNHNVDDPILKASLLLEEGRGFECFVVLNNLKDLGVMRKAKCDQLLKL CIDLIILKISKPNQVLKGVCQQLMKNDEQNTILSNQLNSLIENKLYLLQNIKDINFKQLL NYTDNVNSISRHLEKLHSEQNSMMIQSLKVFFYAEILNDLLSAQQIQSSFSTSQETQIKF NDSMLSKLIYLVANYQNNKLIVKSISSNAPKTFCNKTLDDLIPLGVREWHSLLVDKFVTD GDSKYVRNLFNNYITNENFIENVQFAIDIFYTDEVDFVCLFQPTLLQTKTVIINQNYQIT SISQNFSEFVNLSKYFQIGKQIDKFIPSVTQITESCYLENMMVMHSDQILSSQNFTDKRG EMSYYCDVSITVRMNKQMMLYMIIQFDNFKKQLSKKNEGQLTEQHIVQKDTFEKIPYELE NTIIEDPYEFQDDQDNRNYQQNYLNIDEKSMVQQQIITPRDEEVSLVLFKRIAKRFKSQF KKSQFQEEQHAQFYDAQSQVSSMKLLRNSKFYRKYELYNKFLQYIPHYRLHKLIIAIMFL LCLFQIIFMIIQVSNMSLVTLVEDINLLEIKNLIFQPIELFLVTRWTLFNYKNQLNEKLI TQEEYTNRTSFALSNLDLGYDQLNSNIESVLYKKELQTLLQGKHFYAYQYLDSYKDEQYN MSLRTAIQVLLNFQYTLKMNYKIEKTVVLDSPQAFYGYKNYKVLNDIAQQLNLDVISQTQ TRASLIQGNIKTILAINQSLLLFLLLLILILQLIIDKRLKQFINLKQYIDERELELEIRK YQQCLSKIKIDNSFKFQYRLNMELKEQQFQSISNSNIQTKIKFQKFSNNSVFKYSIIISA IYIIFSLNQFVMYFLQNDFLQKYPDTTYYLQGISNLGVDFPCMFAQREVLYERNRIIYLT QEDFDDIYERVILALNNTADFESYQRDFSKILISKKYEDYYKQLEVSNLCDYIPINLKEK SQFLCPQIFNENMLLGLKAVLIYSYNLIAKEIEINKFTQRSSIVQNELDGVFILSQIIKE VNTQFVEDLKDQTNQLVQIFYIINICYIVSVSMIILVWTPKLVIKFINSSKQIVQFIQIL PSYSLFTNDQFERILRTFINQK >CAK86914 pep:novel supercontig:GCA_000165425.1:CT868596:216828:220988:1 gene:GSPATT00020576001 transcript:CAK86914 MILVNALMTKLLTKYLEYDDKAYTLPEKFTNILFMVSSLLNMSYIYYENHSSWLYILALI ARPSIIIQIPDFYIFGILFVLLHSFYIFKPNLIGGSIVSLINSLMKVGLMNFVFEQFNDI QGQIIGGIILFFYIFEILFVQGTLNIETKNFQRTEITLFYVLQTIMNILLMILYKLQYHL RIIQILAIMNSAISGLDLIMLKNQRNSSLRLVMISIQLITLYVGIIQFIHYENSFSLIII PFVLRIVTTFKLSDYIFCDDQIINASILLQQRKFYECFLILNKVKDDQFVRQLKRNLLLK KCVNQINSDFQITSQAQQSFGLAQKLIQNDIKNIIILNDIKKLLLQKIQLLQNWKTNKFQ QLYTYIESLLKLKHLIDNYYLKEPNNIVQALICFFYVEILNDFLEANDIIIHAKKSTELC YTEYVPNKNMFYVVTKYENQELQMSKVSSNAPSYVCNRQLADLIPNGIKEWHDKIVNEFI KIGKSKYIRQQNENYINHGQFIDSVDFAIDITYSNELNFISLITQIPQEHLTIIVNDKYL ITNITNKVSQTSKYQDFFQKGMQITQIFPNLQDIKSSCFLENVQINQSKLQSSLFDLQED ISYFCSLNINLKMIENKMIYMIIQLENLSKTQQNILSSTKKEIKTFESKSSQCFSVADEG DPLLQANIISEEIVGRTLLIQSKLFNQENIQNEIQCDNFQLQLVSPQTEPDNNPLIVQDK KNSKIQSIKSMPIVGNDRIHKKILDLKKDELFDREDKSQVSSIKMLRNSKFFRKYDLYNK FNKHTPMKRYHQLVIFLFLLCLIVQGFFVIIHLTSLNLVAFADDIHLLEIKNLFFQPLDM FVVTRWNLWTYNVQKTNGIITQEEYNTVSKFATSNLGLGFDSLNGNLKSVLNRQELQSLL QSKYIESYAYLDSYKSEKYNMTLRTAISVLLSFQYILKMNYVYEKQAKPDSPQVFYSFKN YPTLRDIMTQLNQDIMTETLNRGENFQDELKTLFLLEQIFLILIVMINFFVRTLINKKLM LFLQLSQYYDEDAIQKEIQKSKLLLDQLLDDNSYIFNYNLQLDEKEEKLINNKVDQGNRM FKCQRKKKIPIQRFLLVSVLLYAFVTLNSIINFIEYSEYLDKYPKTSLFKKQIGDLGGDI PLMFAQREVLYGRQNYIYLDAAYFDKMWFYINESLANTLKYTSQEFDFSNMLVTDSFTEF YDSVQIGDLCTFLPDYLKEKSKDLCPTIMNQNMKYGLKAILVYIENLIQVDMAINNFTYR AVPTQNELEGAFMISNIINVINSNFYNDLIQLTTDLVNQQKIFNIFYLILLTLIMIIVIT FFKQKLFENSQIIIHFVYLVPSQTLFIDDTFERTMRTLINF >CAK86915 pep:novel supercontig:GCA_000165425.1:CT868596:221410:222337:-1 gene:GSPATT00020577001 transcript:CAK86915 MQQRITPRYFINKTISNINKPDKQYQFRKIIGEGYEGYVFEAVQIHQMKIEKLVALKLLF RRSQNEIDIINKLIEKQTHKHIIRFFDHFEYEKYYIIVMELGTLDLYRYIQQQQNFLDSE KINLCKKISYCVQEFHSLGLIHCDIKPENFLLIDNSFKLCDMGFVRTQNQEIIQNIGTPA FQAIEMIQDKVIINNKVDIWSLGCVFYEIFTKEQLFEGEKIRDQIMNYGVDQAEILNKIE KIAIDKSVLSLMKQMIHPSQSVRPMIDQIIKQLEELCEQNKIKENKQVDWID >CAK86916 pep:novel supercontig:GCA_000165425.1:CT868596:222654:224130:-1 gene:GSPATT00020578001 transcript:CAK86916 MYFQIALSILILYAQAKEENDLHVVFDKNSKQFFDKNEISMIFFYTPQCGHCERFQPEVE KAAKQLKEEGFVFAKVDGHNHKDIAKQFEVNGFPSVILSQEHGKKYKKFEGPRTSDSVIM WMYEQLNPGTKELTTIQQIRDQISSSQLMFLYMAENQEDRGYKRYKDYSHAYGNLEFYHT FIENVQQELGFGPTDSLVAYKKYDKSPVVYQPKQIKVADLKAFIETNWFQRLQEYNEDVA KKIFKQDRSTLILLVDETQMKDRNAHDALKSISLDHPHEDHLLFIKCSVTNQLFPELQKQ IGDFTGTPALFGLQKYGVYKYKFNSAFTKQNIDQFILDFKNGKAQKHYKSQKLDNPNYSQ HVEILTGNSYQKVINSPEDWVIFYYNSYDSEHLSLLPEFAEIAKLITQISKVKFAVADVT QNEFSDFSDPTDIYKIRLYKGNKNYAKFIQKVNKIQRERLISFIKEHSNYEFHDDSKGGH HDDL >CAK86917 pep:novel supercontig:GCA_000165425.1:CT868596:224175:225761:1 gene:GSPATT00020579001 transcript:CAK86917 MLLQICVLAIALSLSLAQEDSEYELKTKSKRQTYDDPDPYRNLIQWLKDGKAEVSKVQIE VKSEGYRTLRASQFIRQGEWVLFIPRTHYLSLEEVKKSCLINRKMIQLNYIPNNIQTYFV NHLLQENRRQNSFWKPYIDVLPKDVSGFPTYFDAEQDALLKGSPTLFTVMNQRKIFREEY DNLKEAVKEFQRYGYTYNDFIKFRILTISRSFPVYIGENEQQQLLVPLADFVNHDNNGFL QYGYSPDADGFFMQAVRNIQKGEELFYNYGQWSNKYFFMNYGFASLTNPMNQFDFDVCLD RNDRLFNLKVDLTGGNICWGNRLVNETDHDTFRQALATVRFAQISKLDDFLQLEEDVENY NQFWPGWHTTPKTIALEKATFKAFKELLVSELANFASSIEDDQRRLNDPSTPEFRRHIIM LTMREKQIIKKNIEVCDMMLSVIDKTSEQLKDLMRYYNYREIARFVNSEIIPMKLEEEGL A >CAK86918 pep:novel supercontig:GCA_000165425.1:CT868596:226517:226859:-1 gene:GSPATT00020581001 transcript:CAK86918 MSNNDLCETIVNHANRIYQSLANLNPLQNENGDITNPSMTSTGPSIQGLTTILVLLMLTY AFLSFISPRKQILSEKEQYNRQQQSQR >CAK86919 pep:novel supercontig:GCA_000165425.1:CT868596:227860:228087:1 gene:GSPATT00020582001 transcript:CAK86919 MKNLFKIQLIRTYGYRQWLHIGDIDKLDKKENFTITERIKELIITASSANMTLIQIQSKV KRTMTILFIQFYDYL >CAK86920 pep:novel supercontig:GCA_000165425.1:CT868596:228478:230033:1 gene:GSPATT00020583001 transcript:CAK86920 MEAPKIIVAVRKRPLSKKEVKEGQKDIVEVQGNTVILREPRVKVDLTRFMEEFTFNFDAA IDQFCSNEELYKSLVQPMVQAAINGTKITCFAYGQTGSGKTYTMLGDQNVVGIYTLAAFD LFQMVPNSISISVSFYEIYCSKLFDLLNDRQQLVAREDAKGQVIIAGLSECKVNHVQEFL KTVDMGIKSRVTAQNSVNQDSSRSHAILQINLRQSNKMIGKLSFIDLAGSERGADVVEHH RQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTQVLKDSFTGNCRTLMIGTISS CHKDAEHSLNTLRYADRVKELRTPQSSNAADQLARELMLPRQYNLNNQFFDGPSAPRSIS PPKLFELDEKEEDEIIYHEPNKNLNLKDKHEELIQKILKEERALKTAHRDHIDDLVDLTK EDMKLLQMYNQPNSIVEDYVDELDRVLSLKIGLIKKLKSRLQQFKQHLMDEQDLSLQCQT HFYQKQHQN >CAK86921 pep:novel supercontig:GCA_000165425.1:CT868596:230112:231055:-1 gene:GSPATT00020584001 transcript:CAK86921 MGLCQGKNVYKVEKTITNENTTNENLCVLDENVINKSEIGISQLPNLQIISQAPSCVNYS CIQNEFKLPSGEGYLEWTYEDGTRYEGQWKDKAPNGKGKLWTAKGDIFEGQFVNGQLSQG SHFQVSGSSYTGEFMNGLYHGYGQLTEFNGNSYTGQFEKGMKQGKGILKRKDGTMYDGEW KQDIQSGFGLCVWEVDKRYEGQWEESLMHGQGTFCLKGRKYVGQYYQGQKHGKGTYYWNS NTYYDGEWQHGVQNGQGILVNNKQVTQTGVWKDGVFQDAQ >CAK86922 pep:novel supercontig:GCA_000165425.1:CT868596:231451:232615:-1 gene:GSPATT00020585001 transcript:CAK86922 MYFYEGNPILIAQFSCIVIGLLSVVGVIIVYLLGQGWKYFIANLFFTQIVCMLFFYVPQV LTPFIYYEQAKPDRLDCQLKTDQDNNTQSCINETYCELQGYLVNSSFLASTLISLYSCYI IKSTLNPNLKFVINTKQIYWIHFAIGFITFAICLPMLIGANFQHYGTSWKKQLIYYVCNL EVRSDDTFTRSLFWMQSSITTILLIVSLVFHFSVRSLKSKIRTNLTDEFDQCSSLNLYIL PITLIILWTINMVEKLIDYQTDWIRNCGYFLQVLWFIPQLLLALQGFNYASLFFYAFHQQ LVPNLPRSLKSTYMFFAKLSIYNLIFGKIKESKILKDSLLNDTDSARDSTSSYIQKDDSS TNR >CAK86923 pep:novel supercontig:GCA_000165425.1:CT868596:232633:233605:-1 gene:GSPATT00020586001 transcript:CAK86923 MCLPLKFIQLFIRINCFCFIILGIVLISQVFVTLSDDVSNDSNGIVFTFSVGIAVIIVGA SGLLSSYCPNFCIIFIYSCFIIFIGVLTVYITISLTILKDQLFSNKFDCKTSELPAAQKT KEQILWAETQFCKHDCICYIEKIQDWNSDYLENNRIHYTTNKQMSDITSYQKCKKWIKIE GASSSYIAFLEEKYHCSGWCKPHPVYLFSNINNGIPKDACYRYFEQEYEYYVNKSYIDYL IVDFLYLFNLCFAICQCYQTNRYKNSRIYPQILYELASQ >CAK86924 pep:novel supercontig:GCA_000165425.1:CT868596:233640:234849:-1 gene:GSPATT00020587001 transcript:CAK86924 MKAILILGLLVFGISAVELEAGVPILLKEIKAIKTDHTDFTFLLDLELSQGGKVSEVVAL VGDLLEQMKRDQLNDDLEYAHRTTTLGLDIEWLVIVLRNLTKERQDKNNQLSEVNQVLVG LQLQLDALTEQLNILNGKEEQLRQTRAQEAASYQARQENNSKVLAALNEIIPKLQVAVFD QEGKSLLQTEQFEIVEKIKRELGHNHPIAIMVALTTKFDVSTVKRIIDKLEHIRNAVVAL MQQEDEQETQSQITFQTQIQEIAELREKFSKDFEVTSQLIKKRTNDKVLLEKRLTIINRD LSLTEQLLIQTREYKEQYDAAYAVRKGKRISEIKTVQQAYDLVDNHAKKHK >CAK86925 pep:novel supercontig:GCA_000165425.1:CT868596:235782:236801:1 gene:GSPATT00020588001 transcript:CAK86925 MIENQQDDQISPIDYGKYHAEPQKDHRELKYMATKINEPRGRMQYPQHVQVAIEDDTHSH TDSRWYAKNETYKQLIGNERTGFIRKVYAIMIIQLFITMIMCLNSYLSLDYRRFQLQNTG YAYLALAISIFVELLLFCIPKFAWRVPYNFILLFIFTLCEGYLISSLCSYVFDKYSENGG FIVLMAASLSLAAVIGLTLYTCKTKSDYTTKGALLFMCVTSLLLFGIMAGVYYQNVINLI YSLLCCLLFGAYLIYDTQLILGGSTHKLSIDDYIIGSMIIYIDIVYLFAHILMVLIACLR >CAK86926 pep:novel supercontig:GCA_000165425.1:CT868596:236803:239577:-1 gene:GSPATT00020589001 transcript:CAK86926 MNNPPPARQQLGKSLDIVCFLMACEIERFVAENDKLKYRIKEMDDKLLDRNSYEKQIEDL QARLMQLQYQESVFRQEIEITTTQYSEEIENWKIKYYTTEENYKNANEQLKQTLITGNQQ HQREIDELRSQLASQKQINEGELYKLREQLQSKQQAIEYYQVELNQQESDLQLYKQQGNK VVELENKIGMLVCETERLNTLLKQKLEELELSKQRYSTLIQESEKQKSEFRSLQSFVDKK QKDYDQLNQAYVLSSNELIKYKDQDQIIDQMQNKINLLQGEVDRLNQVLREKIKQGEEWR QKYFDSENQCKELKQKVGKMDQLQMQLKDQFDMFQQLTNNMEDQKIKNNQLQQQKYSLEQ TNTDLINKIQVLTNEIERLNSILRQKTQELDDWKQKGSYFEEEFKNKNNQYNDSLNKLSC VTQEVDRIQEQLKQKQIEIHSVQITRNQLQKDLINSDSINQTIKNQVEEWKRRYQDLESE YQQSTVKNKKLVEYENTIAMLTQEIERQKMLLQSKLQEFEEQKKQMFAEIQKGKQNLALK TNEVEEWSSKHRKLDQTYFELSQSYKQMEEKWKQSLVRIILHCSEIDRLTQIQCELCDEN EQLNKQITHYSNQIKSKDDQLYKQLDKYNQLQKQQDEEKSKRLGLETQLAGLKMYQESCV ILEQKISSLNTENLRLNEQLRFRNGEVEDYRTQIQRYETKIQLNSQELERINQQLRKTFA EQDEMRKEKEKWEAQYHLLQRQYDEVKQKLNQLEIYKTKYAESENRCSMLTTEIERLNQV ITNKQDEIDQLKKKCFQLEQQIIQLKLYEENIRVLSQEIDRLQGIIDTNENELRQWRLQY ADGGAQNRKIQDLLYHFVMQSVEIESLRARVQEKEKEVEEVRKSSLAPYRR >CAK86927 pep:novel supercontig:GCA_000165425.1:CT868596:239801:241005:-1 gene:GSPATT00020590001 transcript:CAK86927 MGKIKKFEHKQNKFEQHVENLDKKIQKKDKKIQQAPKLQQKRTTQEEAPKKKNLFALDSD NEQEEQNVIKNWKFTHNGQDLQEDYNDQIPVSSDDELPKGFVKNNHFVGFDQNQDKRKSK KEIYEEIIQNSKQRKADKQKLKEGNQIKILQLNEALPQILDILPIKQSKPLAPTKEGLDY FELMETFKVDPKVQIENAVQSSEKDQTNYMRQKREEAKKKKVEESRKEIEKDSDDEDEDE VENDDCVSKQYKNAKEKKMLKSYKKVEHLSRVMEHLRNVQNRKKTKVVNKGDQQHNEEEQ DEEDEEEDLNEVLSEDQDEEDSEDYEDDEEGDEDGEEGEEGEDDDEEGEEDEEDEDDQED EDSESEVKPVKQKNEQKNKSKRIFK >CAK86928 pep:novel supercontig:GCA_000165425.1:CT868596:241514:243451:1 gene:GSPATT00020591001 transcript:CAK86928 MSLQGWSQIFLVCFFILLMLLNQLLINSQWKRKDINKCRTIFYVNLFVQQERTLGQGTFG KVKLGYHTIADEYVAIKILEKSRIENQCDLIRVQREISILRKVCHSNVIKLYEILESESC VYLVMEYVKGGELYEYIIKKKYLPEHIAVRYFQQLVFATEYLHSQNITHRDLKPENLLLD ENRQLKIADFGLSFISQTKGEYLKTACGSPCYAAPEMLVGKTYEGTKSDIWSCGIILFAM LCGYLPFEHENTQQLYELIKNSDFEKPEHLSKNAQDMLTKILVKDPTRRYNFEQIKQHPF FQLHASIPTKNLSLNDQNVIQKMIEMGYQQNQIIVQLQSNKHNTLTTIYFLLQKKYNSTH RQSFFQNIQNIGNLKLDINQKRFTLAKFQPSQQGSPLLDKIDKIKKSIRSRLDNSPYLKT QISQRFKKVNLSVQSKRVSIQIENQRQKTNSVQEKHYDYPCIFTSNNSDQKQQQQIEQTK SRIQSRKNSKNQAPYKILQRRNIEQAIKTDFNEEYQSNQKAIYAQVRQRNKTQPHKSEEG QKYFDKGTKLNTSHQMVEKTPQHSPYINMNFSNHRQLFKQIYNGNLQDYMKKKKDLKTQK LMNDQP >CAK86929 pep:novel supercontig:GCA_000165425.1:CT868596:243799:244730:-1 gene:GSPATT00020592001 transcript:CAK86929 MNYSALAKEVFEFQNKVRQDPSLIIPALENRLKYFKDKVLHLPGTQATNTKEGANSVQEC IDFLKKQKPVGPLTYEKGLEEAARDHAEDLGTNGLTGHEGSDHSTTKQRIERHGQWKPGT IGENISFGKSTAEDIVIQLIVDDGVPSRGHRSNFFEPAYKQVGIFGAPHKAFKFVFVFDF ASKFSQGGKPEQEGDKEKEKKKKSEKQEKQVIKQKDDDDDDKNEGKEKLIPGAKKVQKKV KTTVKGGKKHIITTKIYTMNDGIVQYYFFLHFTTQEVVTEKVEDA >CAK86930 pep:novel supercontig:GCA_000165425.1:CT868596:245120:245833:-1 gene:GSPATT00020593001 transcript:CAK86930 MFVNTPDLSNCMNCIIAPLNGVGGIYLGNIDAAQNPDNLIKYQIGAVLSLIDYQVSIKGA QKLWIMAEDCDDFPLYKYYDQSIKFIDLQALRTNVLIHCYNGISRSAAICAAYMMQKYQL SLNQTLHHIQQRRRLVSPNPGFIKQLQDFEQKFNPKERRKRGSVYMEPSTKSRRSSIEFD GNRISSNYIKSSITNSILNQSNQRDKLTDFTHKLNNYMHQWKIRERAH >CAK86931 pep:novel supercontig:GCA_000165425.1:CT868596:245958:247513:-1 gene:GSPATT00020594001 transcript:CAK86931 MNKYEIIGVVGEGAYGIVLKCRNKETNECVAIKKFKETEDDETVKKSIQREVKMLRLLKH PNIIQLKEAFKKKGKIFLVFQFVDRNLLELLEERKQLDQESIKRVVFQLVLAIHACHQVG IVHRDIKPENLLVDNELNIKLCDFGFARTIQCQEQLTDYVATRWYRSPELLISNNYGRQV DIWAIGCIMGELIDGQPLFPGENEMDQLYLIQKIIGPLTQEQMERFQKNQRYLGMKFPDI IKSETIEKRYQGKMCNKGLNFLKSCLIMDPNKRLTALECLEHSYLQEFWNKEIENRPKSN FQRRISCERDDSKPNIINQYDLGDSKPKKILEKAIPQTIQNQTLIQKQVYNYRIGDDISE FGKEGEDTKSQMHKTFNQSFKIKFNDTLPQSRLGSEQDKRINRIIGLPGVKDDPKQQHQH ILEHQPKQQSSSITKSPQKRNSIQQPSFHVQGQYNLQPQKLNLVYNANTYNYSIKKSYIS KK >CAK86932 pep:novel supercontig:GCA_000165425.1:CT868596:248935:249591:-1 gene:GSPATT00020595001 transcript:CAK86932 MRFLFCGDSNCPEWFLAESALLTKIASVKMKLVTQYILQNIVEGIDTSAKIFKMLEGSGF NQQEINSTIACVSFIVENSAKYDVSEQILIKELIDLGLPKENCEQLSKTFKQYKDKLQQV YENQIIKIGKAVEFHYGISKFIVSESVVPQKQIEGNRYIDTNITFQHDNGKQECCQFVMF PEQFEDMLNSFKKAQKLMMQL >CAK86933 pep:novel supercontig:GCA_000165425.1:CT868596:250679:251632:-1 gene:GSPATT00020597001 transcript:CAK86933 MATMEKLKKLVVKDSPHFKTQDLTALMGNKKGCYRLKNGTLYSGDWLDDMRHGYGKVLNL DGSFYEGFFKQDQYYGIGRLIYHDGDYYEGEFESNLFNGFGVYSSTFYYEGEWYNGEKQG QGKEIWPNGITYQGQFKRGSKEGQGKMIFNDNSYYEGEFLRDTFNNKGTFFWNDSKKYVG EWRDGVKEGQGSMIWPTGQEYKGEFLQDEFEGQGQMTYPDGKVFIGYWRSSRQNGDGEIY KNGQLYKKGIWNMGTLIKEIKK >CAK86934 pep:novel supercontig:GCA_000165425.1:CT868596:251721:252250:-1 gene:GSPATT00020598001 transcript:CAK86934 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHKPVVNIDKLWSLVTDETREKYSKSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILIA >CAK86935 pep:novel supercontig:GCA_000165425.1:CT868596:253030:253446:1 gene:GSPATT00020599001 transcript:CAK86935 MSKFSKTRTKIAQNCQNNNKNQVFTKFLFYLALFYNYFRDCMARQIREQRTSKPSSIISD SLKFAIQLIFILILHPSVMQFYQLGLLSQLISSSFFENQQYSSESALSIFSEQKKGPIVY GTKKMSKTITQKKYNLQA >CAK86936 pep:novel supercontig:GCA_000165425.1:CT868596:253853:254876:-1 gene:GSPATT00020600001 transcript:CAK86936 MQLKSTEQSRDTIKAELDRVNKMYPQCQNELTQYKSKNNELELKIRQLNRENQDYQDRYQ SIDFEKLNRLELEIKRKIEVIQQSQTHIRNLENEKKNETKVRDLLNQLQEKELEIEQLHE MVQELSTTIRQLRMEHESILEQQSKQQIIIRETQNNQKLVELETRIEGLNAEIERQMMLK DQIQLKHDKLIERATEYESEINMLRNELSKTQKRLSSNNASNAYFVSKTFEVQRTGDVAN NATGLVSGFNFEDSKKIKSIVNTVQHRSDVSNYSSAVKESQYSNKSPSQAQSFNPLRSDI IKQKAQYFRTEEYQNI >CAK86937 pep:novel supercontig:GCA_000165425.1:CT868596:254959:259972:-1 gene:GSPATT00020601001 transcript:CAK86937 MNQQYLQVPMAQSRQSFTNDKYQTTSGVVSSAEKRVIEINQSTRKSLMFEDKQITHPIHR RYEELLQDNRKLKEVIEQLDKDNQQLKQMSGENYNLKQKYMINQAELEAAQQELAKLRSQ LLMRNSSDAESSSLVARYKQDISSMTQQQIKSQQEITQLNALLTEQRKQVEEYLRIKSKY EQDIQTYKNRASTLELEIRSVKEQRNSTTQSEITRLMQELARHQSEAQVRTSDKEFYERT IQTLKDKIKEMDNDIQILIEAHNQNQFKLESAMAESKGNMQYEIDQLRQEVNFYQTQCKQ WQEKCQVFELQKEESERRIIQMEIRVNETKKQIELQQNERIQRDSWQVHTIQNELENWKQ KYIQLEIKTKDSETHRLRIKQLEDQNNHLRIENERVKEELDILVTEFKSIQEQNNGYRLS ITQLEKQSKSTVELDRYKTQVNQLNQQINNYKVEIKQLQEQMIVQQQEKRIEIQSYTQYQ YEAENHQKEIEKLRREFRQIEQLYNEMEVQVKLWKEKFYHEQLQHQEARQLLIDVKTQYE SHTYILTQTEQDKHRIQQLELQLIELQEEVRVLQIREETYKEQISTYSVTIENIKQNQDQ SRKVRQLELDIETLKSKCRDLEKQLLDKRNEFNLLKSEWELDKQKITELKASNDVLLKRK PEIVKQIIETPSKQEKLKIQELEQQVSLLKLQSQQVSASKQEVIRETIRETVQVRSQEDI KLIANLEEELQLWKTKYYELDRRKNQVVVETIREVQQSESDKLRIQELEHQVKQSSAKKQ EIIREFITQTVEVPVEADKIRIQQLEIQVNNLRQEIYLLNQRKQEVITQQITVEVPSQAD RQIIQQLESEIVKLKTQLEFVSKSKQEVIREIITERVEVPSESDKLKIQQLQTQLQRLQK DYQYLELKKQEIIKETITVEVPSQADKSRIQLLESQLLQIKKELQIVSQKKQEIITEYIT ERVEVPLESDRIKIQQLESQLQKIQQEYYLLNQKKQEIIKETITVEVPQQSDQLRIQQLE SLVVQLKQNISIIQQQKQEVIRETITETVEVPSQKDKLRIQQLEQELQRLTQDYYQLNQK KQQIIKETVTVEVSSQADKFRIQQLESQVQQLKSELLIIQQQKQEVIREIITERVEVPVE SDKIRIRQLQDQFSQLQRDYNQLNLKKQEVIRETVTVEVSSQADKYRIQQLEQSLQQMKM EYLNLQNNYEIVSKKKQEVIKEVITERVEVSSPADKQRIAQLESQLSNLTMELQIISKKK QETIREVITERVEVPKESDKRRIIELEQDLNKLQQDYIALNKTKQEVIKETYTIEVPSQA DKFKIQQLEMQLSQIRSEYQVLQSRKAEIVREVVEVPVESDRIRIRQLEQQISSLQQDYF SLNQKKQEVIKETVTIEVPSEADQYRIRQLEQQLSTLRDNLTVIQKQKQEVQIIKETVQV SKQEDLFKIQNLERQLLQLQNEVQIYEKQLKEYQNSSFELEQEIKNLRIQLRTTQKSNQE YQEQLTSIQIKIEEGQKSKQIQFQYERREQEFIEQIKLLERRNEEFRQERERYSEYERKL NYYKEEQLQNEKLIERLKLEIQTQKKQYEERISIQESQFKKEITIIQTQQQSNVNQSCNI WRTLKIGKLKNTNNKLNYCRKKIKKLQINEMQK >CAK86938 pep:novel supercontig:GCA_000165425.1:CT868596:260221:261362:1 gene:GSPATT00020602001 transcript:CAK86938 MKKNQFGLLEDLTEPGLVKKSNDVSWKNEDIYKQTNFEKNTTYFHIMGHEESHAVRRREI LKKHPEIQVLFGNDINSAWLGITFVLVQFFFISLFSQLSWFWFVITAYVFGATLSHALHV LVHDFTHFTCFESILLNKLMAIWANFGQGVPSAITFGRYHADHHTFLNLETLDPDLPSRL ELKYIKGPILKFLFVACLPFFYGLRPVIVRPLKPNAYEVINVVSVFFVDYLIVNYIGVQG LIWLLLSTYFGLSVHPFAVHLIAEHYEFVNRMETYDYIGWANFFVLNIGYHTEHHDFPMI PWSRLPLLRKMVPEYYENLPCHTNYVHTLLAYIFDGYMGPFSRIVRSSPVYFKK >CAK86939 pep:novel supercontig:GCA_000165425.1:CT868596:261440:263022:1 gene:GSPATT00020603001 transcript:CAK86939 MQSSTNYSQIEKRENSDWPIFQTAENINNLPFWDKRPYLFFDENQIVIKGTLKRIGKNKQ IPKSYMFILLKTGQLIYLDTNQKGKIQLDLSIVLKKIELTNEENEDKQKISAIRIERFQN CSIHIWNEDNSISINVWYNRLAQFCISSNFEGFFQLHEKIDEGAFSSVFTVTSQSQEEVK NQKYAAKVYSKSLQSKIPAQQMKDFIQAECSILKIVKSQYIVKLFEIIQLEDVVILILEY VSGGTLYQILQQNVLNELMSLEILNQIMLAIKEVHHHGFVHRDIKLENIMFQSLNPIEIK LIDFGFAEKINRNKLLNGSGTAGYIAPELFQLAPYTENCDMFSLGVLFYLLLCGNSPFGT QNKELLLELNKTCNLQYLSKEWQNISSSTQKIVQKMLDKDPTKRITILELELLLDIHMNN LRFNQANSRQALNQLQNCNNVIMQNQRPAVRTVSNNKKCDDDDMSIEEKSIDNTNLINLK NSHQYYNKSKWVS >CAK86940 pep:novel supercontig:GCA_000165425.1:CT868596:264196:264480:-1 gene:GSPATT00020604001 transcript:CAK86940 MSSPKLSEISQKETTKEKFQDQENDEIPIKKKVKIEEKLKIKLPFADILSSDDDSSDSSQ ILTGIRTKFINDVPNFDSPILIRKPENKEDSQLF >CAK86941 pep:novel supercontig:GCA_000165425.1:CT868596:264930:265678:-1 gene:GSPATT00020605001 transcript:CAK86941 MDFKQLYDEMCQKYQQMEEEYNQFIEESQILEDQQQKNIETLNKQLAQTQNQLLQQKEET QKARNELQQIQNQLEKQLAKKEAQIQEFQKIVQSLKIQIIDLEVDQDLNKNKIRQLEETN KDLEIKLDKVLEQLAMAQTDLENNKLQSQEEIERLRQTLKENQDELFAAKSSRVSITTSI PEVVKMPKIDSLRANAAGFNKSLTLIQALIKDLDDKMTLIRQPKP >CAK86942 pep:novel supercontig:GCA_000165425.1:CT868596:266133:267050:-1 gene:GSPATT00020606001 transcript:CAK86942 MAEEIKEFLKKKDYYEILGVSKSATEDELKKAYRKLALKFHPDKNQNEGAQEAFKRVAQA YNCLSIPDKKRVYDQYGTERPEPQRQHHYQDQNGYYYEQFNGDDFANDIFRAFFGNPRPR NSNRQQNNGQGNMQLLQLLPIIMLILFSSSGFLNLFQSAPIYSFQRSYDYPTAQTTKTLQ VKYYVGNNFREEVSTKEKLRELELEIEQHYVNQLRRDCNNVFQKKQMYESYANRAFYQRD RDSYRNTIRRLDFSSCEKLEDHRKTILRFDQLY >CAK86943 pep:novel supercontig:GCA_000165425.1:CT868596:268649:270228:1 gene:GSPATT00020607001 transcript:CAK86943 MQSVNKLFVKCQQEPAKEQIIFKTEFFKFTNHKQVLLLLCSDENIYKINKQKIYKVSLQS LRFSVKAEQANKQTQKQMKGDEFHTQIYKFTLERDDTDANEFYNFNFQSMYWFDNLRNKL NMLDYQVNYDISKLIGKGTFASVYEAKSKFDNQMYAAKAFYKKTLFQDPKGKEQVENEIR IMRQLNHPNLINLHEVYENKAQIYLIIDLARGGSLEYALKVLNGPVPFLSAKVIFRQIVE GLSGLHEKNIMHRDLKPDNILFRDFVPLKRYGLIHMGPNIMVSDFGVSSVVQEKLNVYQY TGTPGYMAPEVFETEQDPTKTYNERCDIFSLGCILYYLLIGKPLFANKQANMEMKIDWVS VEQELNSSHSLTMLLQRMLSPNPQDRPSCKEILENKILEVEYGEDGVPLFKDFQRPKSSP PKRLIQEDPLKNKGKRGSVLMNNKAINMMPIMEEKQRDSGNHKFTKRNTNMDNQEMKSSQ IIVNPQSVKQARRSSAIVPGTVKRPSQQ >CAK86944 pep:novel supercontig:GCA_000165425.1:CT868596:270251:270644:1 gene:GSPATT00020608001 transcript:CAK86944 MQHISKEKEQQYQQYMELEKEVMGTLAKVRQYEASMTQLKKNIQKCQITLKELGSIEEQK TYQPVGKCFILKPKKDIANEVLEIIKSHEKDIDEYEKVRQHLITKGKEKETQLQEAMKAL KI >CAK86945 pep:novel supercontig:GCA_000165425.1:CT868596:270732:271896:-1 gene:GSPATT00020609001 transcript:CAK86945 MGNLLEQYENSQKNKKTSKTPKASISQQEFIVFKEKKPILKNSKKDSKQLKLVKEESPQQ NSTPSPKSDDEQTQQIMQPIPIAQPPSIVKSKSANLKKILLKDIGNIDQEIQKLTRETKD FEMDKLANKSQFSYQSSSTNNVAARNNPRQNSSSQQQQSKGFNESRQRPSSKESHISDSS YQKKVEFFKGVVRSQSLKKLPQQQQQYKFSQQIKGSEKKNNQSVNRTVTIESENKEPSTN KISQSERKTQRPTINRSQTKQYSPLVEKKSTPIKTMLKVQFNSILVGSNSTKNKSSSSAC FNKQQDFHHHKESYNSQVNLQQNECVEAQIEEAVQLYKNLKSLMQSKSTVLQIRKDGNQI PRKTADFFQYKEVAKPTQF >CAK86946 pep:novel supercontig:GCA_000165425.1:CT868596:272353:272747:-1 gene:GSPATT00020610001 transcript:CAK86946 MEQLFQGGCHCCKVLFEFNGPLEMEVIQCICSICRMKQNHHVLIPQSKFKLLTSMEELSL YTFNTKQAKHYFCKTCGVQSFFYPRSNPNMVAVTIYCLQLPQNVKLTKVTQGLEQSIKTM VQP >CAK86947 pep:novel supercontig:GCA_000165425.1:CT868596:273059:273472:-1 gene:GSPATT00020611001 transcript:CAK86947 MYYDQDIGPWDNDEIRPRSRCNNSHTSSLNKNNSDIKDVHHLTHQINSERRNELPRLKLS NISPRVLTKKLQNNESVRKREDWSEQHAFPQLLQRNALLQLKRQKHRHTEIKVVSEIEKI IRRNLFYIPTHKHSILE >CAK86948 pep:novel supercontig:GCA_000165425.1:CT868596:273494:273845:1 gene:GSPATT00020612001 transcript:CAK86948 MRKFFTQIPKAVELLKANPALPVRAHIEYSILAEVCLKHLYPKSEVTLNSPDSRTGNIEV TIFKSDGQKELVHSKKSGQGNITPDNVAEIMQRVIKFVE >CAK86949 pep:novel supercontig:GCA_000165425.1:CT868596:274858:275381:1 gene:GSPATT00020613001 transcript:CAK86949 MCIEEIQIDKGLEKFLNVAMKYYSCRYGTARDDWRAKAEAQWNGLPLYKDKMKVLEFMQS KIKQLEGPSIKITKFFKQIPHSDLQKQEIKIAQIEQQSDIEEQAGMSTVQKSKKSRYSRF FEENYWKMKLENEILSHEEIQLLIKKLWEENEEDRTHNMSKQKLSF >CAK86950 pep:novel supercontig:GCA_000165425.1:CT868596:275608:277394:1 gene:GSPATT00020614001 transcript:CAK86950 MLQSSNNATTIQQYKEVVQKFQHFLEERAKQKQKQDDKLSIAIKRINLHSLLPTLQSDNH QQKNRISKRLSTLQKEQYSQFKQESIDQINNNIQKSIVTPYSNYVSQFKHGKSQSNLDAF QQLGFLHGLYKCDKLEHQSRYISIKDLNQKQRKQSDECQENHIHLPTLQQPHNEIPRQVS KKHTSKKASHEEILYTNRWERDRGNNVVRIDRFEVDKLTKDVVDYIFNQDIIKIFFENKN PQQLYNMLQELLKGVYYESLINFEEMIQYFTSLQFSYLEFFYIKYAIAQVLINANYNYLF VERALNQFEEYRYLIQKPIPFITQLFDNTFYSTIVKDLMMRLLRSAAYNKFLQTQKFESE QFIMAIKNGLFPYLVGDYVALPLHARIKDVKAEQFRQQTLKPFINSTFVIYVKNILKEYN LEDLYINDVERRLIFPKARSNQMEDSNFPMHYIYSYIQCQNILIPSYDIKALIKVIKIDP IVSESDSTIFEDNLSYLSKFEQIQDDIQPFL >CAK86951 pep:novel supercontig:GCA_000165425.1:CT868596:277497:277813:1 gene:GSPATT00020615001 transcript:CAK86951 MKKFLIIGALLLLLQITQVNANCMQLAQDFKCTYMHLQCSDNLNGECSCEDGSTCRWKDG IGDDNCPCGGAKPRRRRRRVHDGL >CAK86952 pep:novel supercontig:GCA_000165425.1:CT868596:278791:279279:1 gene:GSPATT00020616001 transcript:CAK86952 MQIPTNNGNPYPQYSYPQQGYVQYPQVYPQQQYQQYPGYQIPQQQYAQQPKPKAVVPAKK PAPFSFEPGSNKELDDALNSIDTMYNDMFDTVDNQKQRQESGQEKEEEYCIGIPIDQKNN GPQIQLNQVPNSFGNIQQDPEKWNYYNGNRNSFY >CAK86953 pep:novel supercontig:GCA_000165425.1:CT868596:279392:280202:1 gene:GSPATT00020617001 transcript:CAK86953 MANGVTTQWEDIHVKHGNYVEREKVTTLAETYQQAIQKLDEKQDSDEDFFDDDEFTKQYK AQRLQQLMEQAQKKLYGEVYEISRDEYVKQVTEASKDRFVVLSLYQDYIVESLKLIEVLQ ELAKQYKEIKFVKMVATKCIENFPDDQCPCFIIYKDTKAVSNIPFIHKLRKITFASIEDL LISQGVIPKPVEEDEQYEEIKDKIKHPYKTNNVKDVDDEIDDREYSHQVQFQKPK >CAK86954 pep:novel supercontig:GCA_000165425.1:CT868596:280388:281203:1 gene:GSPATT00020618001 transcript:CAK86954 MQNSKQKEMFCSQFDLYKDKKFQFIPSLKQVKVEESNHPQLLFNESSAEDIFEQMGNQAS NQVQIKEANRENKIKKNDDWNAYYNLRLKEIKLERPELTHNQMTQLISEEWKIIKKQFKK YPQSLNPDEEPICKKRIKSIKDTQKFSQEIMFQKQESEVELDFNPFQCAKFIENLRSKKF KLVINDITHELPGIAIIEAVDSDSVLIYLNQNTNNNNTYTNTTQGTPNEKVNAILEDIGS DSELSICKGNEDFILQNNIRSFL >CAK86955 pep:novel supercontig:GCA_000165425.1:CT868596:281416:284482:1 gene:GSPATT00020619001 transcript:CAK86955 MDLQLEEQQFFANHSLSISSRYTERNNPLHLYHPTHQIGIKQIPDIENQEIAFEEASIQE QVSQHNLSNPITSSEKKSQIQHQPNEVKEPQIRLLQERKNQYIPEIEDQKNILHLIRRKK ILQKFITKTRSKAYIFSQLLADQFKKLQFEQYILQNKQNTQSVNNNEKLKTSKLNFIPLF YSQQPFLIFWEFLIFIQTLYVTWWGPFTVVFENNVHINTIYQNIVIYFGIFDILMTMNKS IVYQGQILDDRGIIIKQYLQNQFASDLISLFTICSIDNRNYAQEQELIFITLAIILIYIN QKRIMNILDKIQESFDVQQDALDLIKVLLIIGYFAHISACIWARISMISEKSGYMSWMKY YEVDNQSSWIQYNFSFYWATMTMVTVGYGDITPQNQYEMLCAILIMFIASIIFGYSLNSI GIILKNINDRQQKFRKSQLLINSYMNKNQVSLQLQCKVRNYLKYYIEQDSVQNDEEVVQC LNMRLREELLYDIQKKPLKSMDFFLKDLSPQVQKQIAYQLQAQHFAPLDVIYNQNSFDNN ALYLIVEGRVQLIDERNNEVVHQYEKGQCFGKYEFFTGSNRELQARSETFSHVYSLKRDD MIRILEDSTDDRQKFANIKDQIIILNNFQIINLNCYFCNEFTHSYIQCPYLQYKPDLERL IKKEGFCKQSRSRFLRYKLRSGSALNLLIIKKEQHEKFLKDIGVEFDSQSSSSSDQKEQS QQLSNQQSNSIEKNQAKEEFPSGKKDYLQTLNLENKSLNVMAERFMRQRRNQRQNSHHTH QMQTQAIKTMQMDQEIENTNFSKRKRSQSIKDVTTKNNRRRNSQDSQSGGGTTSQNKITL SINNTTTKLVNSNNLNSIKSMIEQQLDFVKMTEELDQYRLQFLNCDMERSQQFKYYLPQN NLSQILLQYQLQQKNNKFKGRSESNRYSIFFNVKKQTQASRSQKQARGSRAYSPGSKKLI GKTQKKSFIINPQQLIVRVAQNETQ >CAK86956 pep:novel supercontig:GCA_000165425.1:CT868596:284600:285876:1 gene:GSPATT00020620001 transcript:CAK86956 MNKFITVALIALVSVQAFDIDFAMLLQTGSQSNDAVQAVYDLLNDLKTSNIEAQGLADEK NISDEEIGQSRIAALSKVNELNQKAWASAKARREQIGVEYREATDYIAWATQRLADIDRR EVELEELRCFSNGLFVRAIKQHNDALGVIRILKNDLSGYLTGAPSSMVELKVENVADKLK QYSQLFNQDAMSKFAQLAAEQASGNAELHALGESESTTGGDRQPGVNVGQLVYNALSDLE DQLKTALAALEQNEIAGYYQLADWLADSEAEKVHLQDEIQRKTQLQDKLVVQEQAALAVQ AKANSVLKDSQNAINAATASLQELRELYDTELNRRNEENAIIDEVIHIFKQQVLEMANQT SFGKK >CAK86957 pep:novel supercontig:GCA_000165425.1:CT868596:285888:287708:-1 gene:GSPATT00020621001 transcript:CAK86957 MQYGLQCRKHPGNQVSFVCTKVNCNYGNLLCQQCINGNVQHKNEHKNYLNQLNEFIFQQN GKTKTVLIPNINSTLQELDKRLKEYNALIDKEAQEIVSDISQLFKIFYESSEKAKKQIQE IIRSDLCEQQAKNLNFKQQLQEIQSNEQKRIDNNNYLFNTIFSKDQDKELPISEQSLIQI INKNGNLNQLRCDILNCLNSVVNTLQPTSNRIRYKNTQNQQQQFNLLKNDFIQSLNCLFQ KLTDLTQEFQSVEDMKRSLYQFGSLDGSQSLKQSQVVQRSLGSSQLISTGHQSQRFFRLD SQSQIMSVKTLEVFPPLSPTIQQILNKIGHLSPPQADSLLSNIEIVPPCQFPDGIIYEGQ IQNNQRFGWGRAIIGQDYYEGYWRGGLPFGFGRIIMGSGDYYEGTSQNGKANGRGLFCSG GYSYEGDWEDDLKQGKGQELLNGKYEYKGDFKNNVKCGEGRLIDLLTGNVYQGEFQNDQL QGQTTIEYKNGDKYFGQVITVDGQIKRNGQGIYLWVDSRKYEGQYENDLEHGDGVFMWPD QWMYKGQWQCGMQHGKGIQYNPQGKEREGIWSNGQFNKWVS >CAK86958 pep:novel supercontig:GCA_000165425.1:CT868596:288656:289626:1 gene:GSPATT00020622001 transcript:CAK86958 METERFNNNDSLIKFKDAQISPFFWKSQIVFDEEHPEYTLNQLLGFLLKMIYVQAINLIF VYIIAFLTFYLGGLQNFLVERDDGIIYTLYWFILVIIFYIAICAKKLRTSFKLVVYIVLS LMVILLFGNLLGLMALYFPYEQRVIFLIYPILLTSTMIVSFITILKVVPIQNFSFEKLVA TSTLAQLIIFIIFICLFNEEWLFIVFVWLYHYLYCVCFTYHLIQISTGTNNAFKGDQNIP LDYMIAGLTIYIGSVCFTLSFVPILTFILILESFLIMCCKTDERYFDIV >CAK86959 pep:novel supercontig:GCA_000165425.1:CT868596:292265:293618:1 gene:GSPATT00020623001 transcript:CAK86959 MKYYNLSFFLSILIATNLGFITYDTGFASQFHYEDGFLGYPNTNYLKEFSFSGTFERPPQ VAFVIYKYNYDYYQPNGYDIEVTEITTTKFKVLLRCLYQHRVWDTPFNWYAYDDRRIQVI SVVNYDISQSSASFSTQITHPHFNPNFSKGIIHVTSLCYTGPIEFELSIVNINFQDVVIQ IKSNNFNLIKLGYQIFLSIDDAIDVENQIVYNGDLYTSPTLTFPSDKDWAIGLQGLIWGQ TINLRVKRIQFSNYYTYGKWVGGDNNVSKLRFTSIYFHRSITQTFLPWIIRTVRVSQTEY YALQPAPIFTVVITELNKVYATPVTETLYVQQQTQLHVQIRFQCDQLKKKLYTEFFMCSS CNIKKLYYYCLRSVNAISIYALLNSQMTAVNVFTISVSNNGITIIQTIQNQLEQIQQILK VEVQNN >CAK86960 pep:novel supercontig:GCA_000165425.1:CT868596:294016:295767:-1 gene:GSPATT00020624001 transcript:CAK86960 MMRKIYWDSIVEEKIKPKIETLASFHGKRIFELLSFFVEDVYDKEIYMEEIIYVIENEMT ILFQQVQIQLTKILSHSRYTNILNQIENLTTIYTQVMKIDYQNQSVDCFFQQFEEHIDKV LKSLRQNMCTLIIKGIIDIDYWKEYLQNLQNKFKEKQKKDSIIQTTNSQGTNSSFLQQQP AYQGNTAGLSYQQLKAQKITSLQQVQHTNGKFAYEATLSDGNKLLMHHNPNNNNELTFTK KIDATGKNGYSNKWEKVGEPIKTKSVTVQEVSQSIKGQSEKFNMKGIQAQELNYQIQQAK INGQIGGIIAGTVGSLTVDCILDGVDQEKLVKGLAFSTAIQGGIIYVQSVQSLGKFVPYV GIGLTTLMTSISVGGVVLSDFLSQSEKTYNSLFIALKTGGAIGLGYLGIEGGMALGAAGG PVGVVVGGILGGFMGGAGGNLLARAIDYYTQFNLEVKFTKENKSDVKDGLLLQPGRRPEI RWSKVKEKVNSLILIAQTDSHIACLITNINKNLEVIYSEEDIGVQFNEYKYIGPDDSCKT IAFRLLATTEEYVNDEEILQQLQCNSICIIDIATVKINLGNLE >CAK86961 pep:novel supercontig:GCA_000165425.1:CT868596:295836:296945:-1 gene:GSPATT00020625001 transcript:CAK86961 MNQIEIDSEEFPILIWVDNKIQSMENTLYREQLQNDYQGLFQAFDNIDDALRILQKIDKR DVTIITSAKCAYELVKHTKQNMIVFCEERLYHLDLFINNSQIKSIVSDSFQSAHENAIMS MNQSDILKKFLNLLSPPQHQATQYQHSKDHVSIQFLVVIEELRKNKEPKEQDVFSEIEYM LDIQNIDVNKKNAIFEDLKKKENEKFNLKKQSFDLFEKLVYLCRREEISQTFNQQFAQHN YQQVKNIILCLYQGFKQNNCKKGEIKSLFRSIYFDDKGIFEQIIRDLNSSKNEGTSLFWN TLTSTSMNFDIAQTFAQNNFYGIIYKIELDEDVPHPCFKLEFITLSILKKKQYYSHNFNL LFKKLASGK >CAK86962 pep:novel supercontig:GCA_000165425.1:CT868596:297716:299273:-1 gene:GSPATT00020626001 transcript:CAK86962 MNNQQIRLKKGENNGLYDFSNISKVSKTIIKDRSFMVEFNKQANQLIKDNQAKLINKIND FIKSQVQTQVRSDTAQKQTLFTKQYKESTQVNSNVDNQIQFTRKEVFILLLMMYSNQIEN DNQIMKQTGFYQINMEQIKQSNSQQSYEKLKCIHSYFLNFFQKFIQKDESSIIQMQIQDI ENQIRKQEQIMLLLQTQRDNLKQKLNNSMPKQYNEEDELITFIRRTEYRIYDEVFKNYKD PICQFDIKKGRCEDIKNSILVNFADKNVGGLSLDTRNIAQEEVLMLTHPEALIAMLFMQQ MKDNEAILIRNVIKYNDYDGYESTFKQKEEAYFKINEPSLKQNQAVIKNHILFMDALYYQ NWQTQFDQSFIQRELLKGYVAFSLALEHLEIENISTGKWGCGIFHGDLTLKFLIQLLAFS QAYQDMINKNQLGQNQFQRSIIFNSFHDEQFEYLVSEQKAQFSKQNSSIKYIHQLIEDLS KRVNSKKNNYAKN >CAK86963 pep:novel supercontig:GCA_000165425.1:CT868596:301426:302010:-1 gene:GSPATT00020627001 transcript:CAK86963 MQQSSITQNQFNTDDVGPWSDINQNYKSILVEFNQQQPTQYSGKEEKNKFITTKTSYSKL HKQNATTTCSEFPIRCIRNRVKSIDQPQRQLLKVTPVKQFCEFRISKELLIYRNTADFPK HNLPRNFLSFQKFKKSNERKKTLNFQEINPQLQQMQMQIKKMEEIIQQQKRYSREIGAKR LSNPKYQTFLNTLY >CAK86964 pep:novel supercontig:GCA_000165425.1:CT868596:302183:303372:-1 gene:GSPATT00020628001 transcript:CAK86964 MLDRRSQSPIATSQRMGTARAIFDTGPEPEPTKRLTRSIRNTSTEQKQAEQKQMLFQYGG VSSLDMNSPNVHQYPNQQANYQSSEMDTYRTSQVHKPIEVNAQPTSQFNYQSIDYQKPQT GSFIQTAYINKFQEPQGSVKNYQSKTTYEVPKSDPKYQMYDFDKMAKQEKPKVYEKFEPY KFEPLKYEQFEKIRDVQSSQRSIPQPNISIIQTSNNPFPQDRITLDTQFNRSSMNLPSEQ VSLSKQKINESFRQQEIVIQNQKPSEQILYKSNYKVPSEREESVKMEYRPTTPVQTQSQQ QQSIAKQSDDHLYRQEPIEINFKPSYGEPQRKYEDEYAIVENEANEHEFNAGCLIF >CAK86965 pep:novel supercontig:GCA_000165425.1:CT868596:303613:306272:1 gene:GSPATT00020629001 transcript:CAK86965 MNNQSERNISSRRSSIGPAFIRSPSYLQYRKQNSDCSIYSESIDQEVSNKQVKQEYEERQ SRALSNYSSFAVLYFLNYQKLFSKSGENKKQFSDSEKVSNFLKQIKSKDIINRFVTNLFR NSYILPEKLKSVIQDQYVSKHTSLQSKSSDNGDDSKFIKIFQPGSQLLMYWDLIGIIINL ISLWLSPFQAAFTYQSPLFLIITIIWYLFLEILVNLNRSTISFGDIINTRSQIVKHYLQG QGAQDIISLIIWIAIFNKSQESLIFEILQMIQIIVTTKKVLTNFDKFLESLYSKGSFSNL IDLFSLVITIFFFSHIMACIWFYVGEKSDLLLDKSWLKKYELENESIMIKYNYSIYWATT TIVTVGYGDITPQNWIEIVFTIIMMFLSSCVYAYSLNSIGIILKNIQDTKYQYKKMLLRI NDYMDKNNVEVELQLRARNFIKHHLFQNENLESQEEINNIMEKLPEDLRNQITKSIQLKI LNQITFLKDLFSTQAVTDISRFLETQYLASNDIVFQQNEYTDSSLYFVQSGSIALFEEKS NKTLATLNKGDKFGEFSFFTGLYPKCSAKCLNDAVLYKIQRDKFLQIIQYYQKDFQRFHN IKDQILLNSDYSKCISSCQNCRLFTHQIIDCPLFYYKPNLEQRLKAAAFQENQNLRVFQK RSFHKSNCRKLYKNIELSIKAFQEENESKVQISDYLSGYLYKTTYEEKDKYTSDEPKSRI VISEQDHVQPTHFVSSNQVQQKRHQTQFRPSIVAYMPKQRMSVLQQELKSQNQLLNNSFN SSSFNKLQSDLLLVSTHQTSMHIDQMMNFKDYMPSNNISAIIIQIEKTPKGSSKRRKREL EYLNKYTFFHYVKTMIIKIRRFQRKTVQQW >CAK86966 pep:novel supercontig:GCA_000165425.1:CT868596:306301:306933:-1 gene:GSPATT00020630001 transcript:CAK86966 MLIQQKPPGLPELAVFLIKQLELYQKIQQSIDQKAENESQDVVSFHQQKIREKIKGYNET PTITNSQDSFNIWNDEQQLDGNIIENYTLRFRSFDNFIQNNISQDEIASNILKLLGMKHK MKNLGKIPFFKEQPQQSTNYFVPPQKAYRLFKKRPLNFTSTQQNSNQTFQLINSKSRLNS LEIQNLNQTNQRQLSSPDILKIKFKQLKMK >CAK86967 pep:novel supercontig:GCA_000165425.1:CT868596:307261:308852:1 gene:GSPATT00020631001 transcript:CAK86967 MGDQDQKQDKQKIKTTRLIILILSALLLFGNNYSFDNPQALQSQIMEEVHISLTEFNYLY SFFSFPNILLTLIGGYLIDRIVGSRKSIIIFASLVTVSQTIIAFGGKYHSFHLMLFGRVF LGVSSENLIISQNVIITAWFKGHQLSTASGCIVTLPEIAAALNSYFSPMIYDYTGSITYP LFTSVFVCIFSLLCAILLLFFDKSRDSILQQEQQEKSSISGNDESHDTLNDSQQITQNTL HTERARLQEIREFPALYWYLTAICSLCLGIYISFMDDVSDYYQKKFQFKPVEAGKFITIP YIFSALLCPFIGYYIDKVGHRRFFLMITSFLFIIAQILFGSVHVASNEKWIAAIPLIIQG LAFTCYSCVMIPCVQYIVDQKYMGTAFGILGMFESIALCFFPIIAGKIVEFADDPQIGYK NMSLFYSILGLISLFLCITLYHYESSNKLDFIDPNNPLPEEIRKLNEYSMITEEKCSISE EK >CAK86968 pep:novel supercontig:GCA_000165425.1:CT868596:308993:313393:-1 gene:GSPATT00020632001 transcript:CAK86968 MWMLAITKWPTFNQAFIQYWFILIININLSLYVSFMLDTIFEYNNSKGYGLYHFIWILIM LFFTQLKISEVQMKSRLFQNIINEQTLLTKIQSFEQMISRRDKQSNIVLVLGIVRSHQNS NCKNNLIKQDLKRCYCTMKMIYDPKKQNDIRNLSSSITKHINIFSKLVIKSWYEQYINEN QSNYSIRLQYSQYLFYKIDLYAQSLIELNKLNTQLKSFSDQLQFCNLSLKIKQKIDILCM QSYKQKFDFEVVVAIEESIKRIQKSIIYILDMQIKMFKSIIKYHDINEQLLIKDFDQTLN KIQEIKINWKDITRRQIIQNDKVQSKSFLNRKKEFAILYNWFRQNILKKRVKQSILPIEA DEFIQEESDSDSENDHFYDQQKIFNLLSGIIHCNTNGEILKFSQNCQTLYGQQQLKSIFE LIPHSMQRNHQIAMRQFIENGKRRSLFKKLKIFYINETSNIVQANKYLKCILNQKMSIEY VCMIRPIIKLTPTNFILLNENWEIDSTTIQIEKLFQKQSCLLIQCPKLLRYSHYQALMKD NDYDFFQLRISQNQKQYIQELTQYVQSQQNISVSHQTRRYTNRRGDNFKYLLDQALVDEN VSNGYEFSKNNVKFRKIIDENNITLHIRIPLDEKQLNEDYSEFIRSLNQDDQMTKSMRIQ RLRKIVIRNEYGLLRFNKYELLRRIMKYKLLSQKKYYHQIKKLFEEYCSNTNNLNKVLKI EGSILFTTQSSFDKTIIIKVNRFEFYEQEAKKYTSSSQSERKRTTSSQSTQFILRRNSDI YFQNFFQNQQNNIQTLPQDNDCDSIRDNITKTLITENELQVDFSNQKAISDDGFSKQNWL IKNEFIKDDLNKIPKMLEELTYIKLLNRFLLISLLILIITEYVFGPQLYISSIIQNSFNK VELVTSFVQIISKTYNSIIDLDLSLKDIHMANLTQISKLAQSSVSQLNELTLETQDFISI FTQNTDFNENISTLDLIGTFRANVNNFLQVTLNVDPITLNETISFYRTNLIPYFYVTLNK SMENINQALEANLEYIKGIFQAFLLFISLFNGTIIFSNIMFLFQMIKKIKLLLSSFSMIN KQQFVLIYKYQISLRQQLRFLNITNLNGKIDFNDLATQNQIENLMQRENLEVEQDKDYST TKYTESQHWIRLTIKVLLSYISIMTMLLIMGIYYNFYLQSIIDGISLFMQTNAFTQNLLP FWAVVAIKDAYCFQQRYQNEINLTQYLELINQFQSMQITKSVYNNNLQSVQSLFYGDQCQ NGNLNLSSYETQQCQYLVNGALTKGLIVYYDYLYQISISLTNSSDTRFEKVSLERLMEFS EVSIKYIGQLQGILFSLQNFAVIEFNKEINSRLSDYIQVEKALVIVFISLTCLFYYLIIE SYFHNMMDSQYRKFRQFYDQNYPNSILHQNKTLRARFKKYGILKK >CAK86969 pep:novel supercontig:GCA_000165425.1:CT868596:315236:317362:1 gene:GSPATT00020633001 transcript:CAK86969 MNLSDQEYTLIISLIVQAFFILTYQKVVLSKLSNLIIKNSQDAKDVVRKVFFLSELINDM NEVKELFYFGVIVHHLNTSHNHNDWKNIGMRCYCQQKVIYNAKKNNDVTKSLHSVKKNKK LYTKLEIKSLYEQYLITSSTDIEIHILYIRFLVFNMNLKSLAISSISNLLKNQYKINYLQ KFQLRLIIYQIKIMIQDQNTSSYNGQLDFERALEMESTVQQIKVYIQDILNLKIQFWKHL LRNQINQDILIQYDDLINENISKCNSLWDLILNSETRIRDKIIKISYLDRRIKWQLYHSW YCLHILNQKIKSNQYFETASQEEFVYEDSESDDDKYVFNKYFKDKSFNKTSIILHTDLNG NILKQSQSCQELTGYYNLNNIYQLIPQTMIRNHQIQLLMFKSQSKSNTLYNRKKVYMQHQ QNYIIPANKYLKLEINRFNQLEFICMIRPLIRLQQQNYLILNEDWEIDSMTVQLLPLLIS KVCVFIICPQLLNFTKYQRYITQEDLSIFNLSFKNVPKQQDEESLKQKEREKIKEELEDI CVLNSQNYFEFKDYFNKTTDQTQFTSNLQIIDDELIRIEHSNFQKIVNVEHTQILLRIPK NIEDLVEEYHKQKLEILSDFQLKKSMMYLNLERHLIVKDKFGQLKINQPELLKRIYSLQE EYHQSQYQILSNTQVITQKNCIQNIAIVKGISIKQLKWMDQLSLVGGT >CAK86970 pep:novel supercontig:GCA_000165425.1:CT868596:319878:321143:-1 gene:GSPATT00020634001 transcript:CAK86970 MSYPNIQEFLDDFEKQNDLLGKGTYGEVYKMKTKRCINPDQEKLQEGQDGYSGQYYAVKM MNAKTEKSFTTIQKEFLILKSLPNQHPNIIKLYKSYAWANQITKNYTLVLVMELADKSLM KEIEERRQANKYFSDDQLHHIFLQCIQTLNDIKLHSNIYHRDIKPENILLNKEQQIIITD FGVSRKLMKEKLPTINGTLVGTPAYLSPILWKAFEEGQFQISKINKITHNVEKSDVYSLG VTLLQTTLLLNSEIQKLNSGEQGQQVTKDLLRNVQNQRIKYILTRMLEHDEKQRATYNEL LQLLNETMPKQVGQIKKPIFSYVEPKLGIKEQFSLQAKIKFENNCKKDQQETQQSPQQLE QQTEIETINQSQLNQQELNENKIKDL >CAK86971 pep:novel supercontig:GCA_000165425.1:CT868596:321465:322568:1 gene:GSPATT00020635001 transcript:CAK86971 MYINKGQSYKTLYAYQQSINFYLLIFIIYDKLLIDYSSFPFTERRVSHLVLNQITSESKT KIRDDFKKTIKKNSLLHSMRMLKEHELDEPTPIVTEKQLQAKKFINLKPLKKAIEKITLM RQEQLSRRKSAYGDQFGEMTAKQFQNLPTTIEQHKQIDGQRFALTSFADILERKKRKRTT KKPSLIRQLSKQESLVEYDHLERPPSCKTPPKQIIIKQQLKSQLELTKEKQFKQFDKKMI SFLSGKPAEVKEFVQRKKAYTINYQVTTPQSSSITGNHRNSTLFPSLHINKFDRYQTNYY ESKYAPQLSNRQIQNRVRPYITYNRTLIKFIKSEIKNGSQTMHKSIYNL >CAK86972 pep:novel supercontig:GCA_000165425.1:CT868596:323383:323823:-1 gene:GSPATT00020636001 transcript:CAK86972 MNTLQPPQPQIQQNQQPQQILQYPNYPPSENQVRYDPRTAATFLNPKSIQKKAKFCPICD FPASVRVVINPCRHFMCYECYYIDGQTFCKFCNDIVGSVKRLDDTEKFYSCDLDTCFKYF ESEQQLDEHNTQHAIILG >CAK86973 pep:novel supercontig:GCA_000165425.1:CT868596:323893:324708:-1 gene:GSPATT00020637001 transcript:CAK86973 MLKFLENRDPPRFKRGQDFMRFESNSHLPNNKQFNSPLHQDVLIHHDKPQYYVTKKLPPV SIYNPLVQLTDKVDRPEYIIKQKEVYHPRYMEEYQMKGFRKKQGIFESFQHLLNKNQILI EGVHSDKKPVSFPVIKEEFKKIYKSLNLDRQQSSRSQDSSKVDKSNQDTSRSYINSYNKN YNYHVSEQSKLRTEHKSIQVSLEKMNVQKKTEQSKEFQSKILHSYLIRQEEEAEKERQMR QLSIFDKKIKELRIHQDKHGRRKMTIEKKSD >CAK86974 pep:novel supercontig:GCA_000165425.1:CT868596:324948:325592:-1 gene:GSPATT00020638001 transcript:CAK86974 MKNQQERPNLFILFPQSFNRDAKYKYVEINYSPSFSEITQCMMETIPIFNNDRDPAQPGF IPLIDQPLHLQHNDQYQIQYQKKYQELTSKNKVLHFPKPKSQSKYCNVCKQHYEDYLDHI KSKTHKTQFTKNLYIKKIMSQAKDVKEKVVQTIEQQESTELQPKKMKKTKLC >CAK86975 pep:novel supercontig:GCA_000165425.1:CT868596:325671:326651:1 gene:GSPATT00020639001 transcript:CAK86975 MYQKHSQDLVICKDNRSTQSAHKCRGGDSPQKLYQNLTHKNSNQSKDIHLLQNNQMRPTH YLQTSIDVHELNRSQKARHSHQRIKTEIDRSMDLNKRIYPKVLSVLLHKIEIPRNNQNAL EKISTKQLNQIKEVQRDIQDSHHKYFFQPDIKPQLSIKANNYLKINPQANFQDSTLDDLS QIHHQQNKLNDIRRNTNPNQLKKSLFQQIQDFNLIRFDQIHLAKEEDYMKFSFGFQYNQV RSTKKFPKDVFYNDVRKAKKNLSMQ >CAK86976 pep:novel supercontig:GCA_000165425.1:CT868596:326885:327688:1 gene:GSPATT00020640001 transcript:CAK86976 MDCPIKVSQLKSSTEQRETFDKLFKVPAQHVQRAQQKHQSKSDLINELRHENDELKNTIN ELKDRIQELEVQLKGKQAPQIEEENQETISVEKPQQEFQEEMNEEEKSLHFALMLQQQEE MEFQNRLNQMQNNVDLDEMSYEQLQELQEQMGFVSRGLVEHQIQKLLKQCIIQNQTNDCC TICLEDSGSPVEIQLECGHVFHKECISEWLSREKHCPVCKRDIELGKLK >CAK86977 pep:novel supercontig:GCA_000165425.1:CT868596:328005:328813:1 gene:GSPATT00020641001 transcript:CAK86977 MDDNYRDEYQGSESEIVRRSDEMQFKCIRISIAFSIYLILQMLALRIEYQIQWIYIAIVF IICDTVIWILTSLWLSQQQYPRIAKVFLGIAQHSTFGLLPIFVLLICLQLDEIINSNSIL IAFPLFAWEIMLLSFLIFIIPGLCDDNQGSKKWVAVVILYYIAIIYTEVATLIQLQFYFN WFWVTSLLIFTLFYNIIILITDCTITVIWPCIILAICIFSLTQMTLVLNDANKDQNKQMQ YLAIIPICVISIINFIKQIIV >CAK86978 pep:novel supercontig:GCA_000165425.1:CT868596:329000:329953:1 gene:GSPATT00020642001 transcript:CAK86978 MSIVKRHLSNGRMKSDADLFKQAVEEVERKRPGSGQPMSTVKSPLPPRKPLFSGTQKQRL IINANNIEQQNQEQKLVKTSQHSSKASLNLTQNQQSLQQIKSPQKQTKPPIHIDKKIIIA RQEQFGSSGRPTISGEIQSRNSSKQKVSSAGTNTKQFIWADAIEQPQQSRDSSLNKRRVL SREKLKALPGQYQTHQHQQITQSCRPEQAQCHEFDQKLTKSVENKQPLCYTDFSMTSGRN DLAKAFASIQMEIKQASQEAKQNSVNSYMNGNNSIYECIAIPEQEDDGCSSLAQSTLYQF >CAK86979 pep:novel supercontig:GCA_000165425.1:CT868596:330033:331695:1 gene:GSPATT00020643001 transcript:CAK86979 MGGCGSRDREMKEKIGFAQNDYDYAIKQFSKMTQQKPGKADQYFSFEGFKVFFTENPVFA QKLYIFMRNYGGQNYVDLNTFLIVVDLFTRTSMKLQNQLKNLDIQILFILVSLSSSEIMQ KDTFNFNNVYKISISYSNAVKLFKELINMQSDKGDILCHDDDQAPILLVNNIFQDHSILN YKAFIDKIKQETPQISKIVKNYIAGKFINKMLKNQLPKLESSELMNKQLIALLNLSVPWF YKCVAVNQVYKYELESGQTYNFNVLGNSLLQMKGPNVILFRHLQRDKDTDKIDKYTFGYF SPSQWKVSPDISGNKGSFIFSVHPKFKIFSTNNQQQSKFALLIPIITKKQTQTLQSQLKQ GPKQPGLGIGGSGYDHHRIWIDGKQLQASRLAIDDKTFQSGPILPNDIHLLNIDLIEIWD LQLNTAAQSTSYFKSTTHQHYCGEEEQRFNQHQLRDVLLTLNQQSSNDYRRGTQEYQISN PLLPISEEEEEKQQGK >CAK86980 pep:novel supercontig:GCA_000165425.1:CT868596:331735:332498:-1 gene:GSPATT00020644001 transcript:CAK86980 MSFEPYQETKKNVKVAPIKIVQNQNEMNGSQNQNPAYSQLNQIFPFHNRCANYKQFTPAV TIPLSFLQQLIQQAQSNKILNQLIEPKIPLVKQEQSEAVNNLITQIQYQKLENIKHQDDT QIKMYLIKSQMKFIIEDFVSKERVQLYFADNENLLPHFWKWIRSIELKGLEEMLILCYTN PSNTKKIQDVFKSLFQKLSIEFYQNHAYAQILRSNLQDKIRYLSAIGDILNKIVKPQDYF YFNNA >CAK86981 pep:novel supercontig:GCA_000165425.1:CT868596:333031:334085:-1 gene:GSPATT00020645001 transcript:CAK86981 MNKQHIPAKAQEKIFALILKRPENLVCADCATKGPRWVSLDYGVFICMDCAGAHRTLGPS VTRVRSTNIDGWFQENIDIMESIGNATANSYWENTMPKNYVKPTINTGLDSLIRFVQEKY VKKKFIPQQQCLDPKQQYMLTKTTVKPFYFQVETKQEEPKVKLGDLIDFNEQSDIFGVKE TKVEIIHGNNTQYGTTHSLSPEKDKNDFPQTKQQTNHAMHSLPSLDILNLYNSNQQQKQQ MQSQQTIPQKNVNYVYLQNLGQQQQQYGYQQFQNNNAYFNQQQQQQQQQQFQQNTFHQQQ PNFVQLQQQYKQNEPINIMDLYHR >CAK86982 pep:novel supercontig:GCA_000165425.1:CT868596:334398:335496:1 gene:GSPATT00020646001 transcript:CAK86982 MLTCSKNLKLKLYTVPDDQFPKRRHTASRSLKLSSRKKKLQDDYDIFQYLKNENTTDNYM TSLTDRKENSQLHYNNTSEVRVSNLFEKDKGFLKKFNDVQSKRKQEQLLKADYLLKKDLA DLNKIAQNSVQSFSSHFSLYNHFHFEHLLEPQTTRPDLQLPPKQTFSILSNPNKRDRTYK VPLHQPFISQDKIINEQSLEKVRFLNQKMNRMSLNLITKLDPLSEQYFQQIQDGNVDELK KLIKKYPHLLQQRTRLQETGLHVAVKRNKNQVVELLLNNQIDEFARNIWGQTSRQLAFKL QYRNILKMLTYDQNQ >CAK86983 pep:novel supercontig:GCA_000165425.1:CT868596:335686:335935:1 gene:GSPATT00020647001 transcript:CAK86983 MKQGDDNISGVVKVRINNQKQIKHLGIRVELTGHIEILNDQKQSSDFMSISRELEPQGLL FEDQIYKFLILKL >CAK86984 pep:novel supercontig:GCA_000165425.1:CT868596:336005:336512:1 gene:GSPATT00020648001 transcript:CAK86984 MVCQGVEEVDFAVLILEPQEDQPQTTNMEVGIEDILHINFEYSKNRFHQKDVLTGILNMC LVEIKIKYVQLVITRKEYYLQGSQFETDNKTIVKYELVDGCPQKGDMIPVRLYLSELDLI PSVRNVYDKFCVKNLMSLFIIDEDDKRYFQSQVITIYRKK >CAK86985 pep:novel supercontig:GCA_000165425.1:CT868596:336558:338270:-1 gene:GSPATT00020649001 transcript:CAK86985 MKQSHSKKNLTSSLYIANNQHTELIDYLKQENKQLTEQLNELKGLLQLNKKALRIMTPQN NDEQNKALLMVMKNLQEENAKLHNQIDKLIEERNQAQNQVLINQQITEEAQRHEKELILS LQNKIQTLQNNLNKAEQQLSKMEQLKPEYDEISGIVIKYKEICEPDKIAIKFHNQIELLT SQLIQQIKKNKLTESEKQKLQGFNLKLMSNLVQMKSTAMTYHGNKLIIQQQQTQQGPEQL IKFQNNLLNVMNKEENEDSSQNDNNSSVGSSPLMSPLPLKQENQVAKQMKEIPKLDLTKA KQIQEINAKRQIQQMQDMKKQIDPNVVEKINKYERMIEELRRNYQREMLLNKTLETANNE LQRNCEDLESQKQILINSNLRHQEKLQKINKQYYFLQQFYINNKDQITTTQSHNRRFSQS PTELSQDMILDTSFIDANGAIISNEIQEQKFVQQQMLQQQQQQQQIQQFQQQNFYSYYVP NNLEDSKKFLLSLAELIYCSLQERIEQLQQQEYNCQVNKEQRFRSVSDINDYQRTGLQKY NYFQQL >CAK86986 pep:novel supercontig:GCA_000165425.1:CT868596:338299:339102:-1 gene:GSPATT00020650001 transcript:CAK86986 MDHARILNFLNSLQNQDSTQQSDNTQSSQESLKSLYEKITKEYSLQRARTLSREERLANH LTSNSLSYGEIEFKSLSMVFQCVSPKKGGVFYDLGSGIGKGVIAASLMHQFDICKGIECL HSLHEQACNLKQEMEQIGVYEYQLPKIEFINGDVRELDWTDGTFLFASTTCFDPDLMKQL SKKAADLKEGSYFITVTKTLDPQTGWDLCNCHGGWLRFTFNKRK >CAK86987 pep:novel supercontig:GCA_000165425.1:CT868596:339164:341785:1 gene:GSPATT00020651001 transcript:CAK86987 MNREIWSKITHNVVDSIKSFPNGGFMILTSGLSIANLTSLFLTYFLEKSKSVIILLNYSN YEIDFIDTQLNFFVTQNQLNNNKEYALSVVVLTKQPSEKRAAFYKQGGIFSITPQILIQD LLINTLSPFIVTHLIIQQTHQIKSQYDYESWITNFIKIVKPELFLLAFTNQPAILQLQQN TPTILKNFYLNNIIPWPMNRAEIVNSLKSHPFKWSEIKINLSKTIKDIQDIVISLIKQCI QAVNGQCGTSYLTSDMVVQQNWRKLKALIQRQSFKIDLIQQQIFLDIFQLRRLLFALINQ SAYQFYFTLTQIINDAPIDSIWYISQPEIEGLVLRLQKLAQERVYSFVSIQEPIKYTFTK KNGEEVVKEINTKIDFKMEIQSKYLQLIKILDDISKQNQTQTENIRIWIWVQNSENVTQI QQYLVSNYRMKDKNNYVNQFNFLRKILEQKARKSIDNILNNNSEQQASQQVAQERKSKIV LKHNNKQIKQNKQIKQDEEALLNYLLHQELEQLFEHVLEQEEQVLLQKSQAIQQQVYQLL PQELLQFDLQQSGHKVNKDGIIIQFEEDTIIPKMTIVVNNMIDDCQRYTFKQTYKPDYII MMEPNHSFLKELLINQNQVETILLMQNECVEFWQYYKEKMDEEVAFEKIIENLRSFSRSF EKPEDAIHKLNMQLQHQNSRQGKGEEFFQQSDLQPRILVDYREFRCDVPPKLYFHGIQLT PVMLKVGDIVLSNNCAIERKSVETGDLIESLNQNRLDQQLQKMNSFDHPFLLIEYSDNIP FCLGSLSRQSQNYSSNTRVMVRLLELITKYSKIQILWSNNSDDTIKIISKLKQTLARNSP DITIFKSNLQQQNGLIQNEKITDYFTQIEKEIQ >CAK86988 pep:novel supercontig:GCA_000165425.1:CT868596:341816:343052:-1 gene:GSPATT00020652001 transcript:CAK86988 MIIIVLISAIFCAEVKLKVESSPKDIRRINGRAEFDAQEYETSCQCESTTTPLHVGETFC DPTKVQLHQDFAGDDGKTASFEQIRHLIGPLNELQFGDPNSYHFSCLDGRNEQGVLGTPG GDSGEFLLALQVYEQTINTPLAQDTVDSIFANYLQFMKQDGFYMCTDDDAIRHLEKELGM TLTVDALIDPPNSFKDDLLTSLLKPENTGCMHLKSILKNPESYDMRPDLVRYFLRSYFTI LWNKSNPLHHKLILEVMAGRHEERAFLEVRINEACIRQNMAPLLRPKRRITLASVPQGPT STTFHEGGATEIFQAYVNHIDAASIRRKELSKFFAENSNLNGVHFEIDVMHHRLNKKGLI YLPFYTVTIV >CAK86989 pep:novel supercontig:GCA_000165425.1:CT868596:343162:344477:-1 gene:GSPATT00020653001 transcript:CAK86989 MKNRKNNECSSFCEKVSQSKMVSPNSTPKKSKLSEKENLGIQESLSKSNISEQEYKEIID FNKELGSKINRNMISPCNSIPRFFDDMVSLGQLVLWQNASRERSTFTEQAKFMKHDYNTE NLAIDLFNHSYFMGISYMISTQIIRPTPQSIINWLFHNIEQKNKIQISKLLTNLNLQEQS QILKLFVDRVVTNQNLINSLHSLFSKLLVQNDPYLLDILVKEFSRRYYELNFNSKSQSFP FKSEDSLHMFCFALVIFDIDNTKYDKDYAFKSFFQNIQTINNGDNFNSKFISEIIEQLQY KPIVNLLDQSIDELLSTKLSAYCNPVNLIKQKQTHNVNWKLYSIGDAYLLTSDGYLKIFE KSNSQIQKMNNEILITSKQNKQLLYAICKDEQTFIFKQKNMKFKLTNLNDTF >CAK86990 pep:novel supercontig:GCA_000165425.1:CT868596:344569:345189:-1 gene:GSPATT00020654001 transcript:CAK86990 MGNSVQHKRRNPANCLGCMGQDNEGFDLNELQIGLDADKARGFLSHQCIGRITQQSTAYL TENEKNFFKAASGRNLGLIRFYLGKGININILDEERTSPLHIACRYGSIQVVEELINNNA NLDITDMAGWTPLHVAAFYQRAQVCQLLLKSGADFRTRNRDGNLALRFGERQNDIRNLQT IIGSIITQQ >CAK86991 pep:novel supercontig:GCA_000165425.1:CT868596:345356:345877:1 gene:GSPATT00020655001 transcript:CAK86991 MGRVRNKTVKKAAKVLIEKYYMKLTSDFHFNKKILSEVGQVPSKKLRNKIAGFATHLLKR IQTGSVKGISLKIQEEERERRLDYVPEKSSVDIDNLRGDQDVKRMLEKAGLEIDIPIDEP VVEEKQQKQPRRGQRRQQ >CAK86992 pep:novel supercontig:GCA_000165425.1:CT868596:345906:348249:-1 gene:GSPATT00020656001 transcript:CAK86992 MPPKQQHLKTQSSEISNQALMNYLSNREALHARISNSPTFQMDYKVQILVHLRANKLVLT NPPCLNRMLHSRLSKSLNNSTYGFRRRQTLRKIQQSDLLKSKGFMSPKITSQERVHQRTQ PKSRGNHMKTQPHSNQNSQTKMKSMESVQDDSPKQLFKSQQYSTLAKAEQVIKQQTSETK QKQSSDMLARLNFQKSLIVSSAKHQNIQFLGTQIYQVQNHQKSNSAQFIETQKLPTQTSS QPLIQIQPEKNKTITTRKSQQDFIQIILQFKTFKQKMSIDISNNKISYLLESIKQEINKM LADNETLSIIGIKTFNISIPIDYILSSIERPLSLLSNCPTHPLIIEPILQTEQENRPTRV CLKDFEFIRCIGMGGFSKVYMVREKRTGQFYAMKLIEKSSIISQNKQIIIQNERDLMCNL NHPFIVKIQYAFESRKYLIFVLEYCSGGELFFLLRKVKRMSEEQAFFYFAEICLGMKHLH DRNIIYRDIKPENILIDFDGHVRIADFGLSKQLDQDIAYSFCGSPEYMAPEMLLKQGHNL QLDLYCLGALLYELTTGLPPFYSRNTEEIYQRILSQKLSYPPQLQMSSLLKDLLNGLLAK NPKNRIDKIETLLKHPWMTQWGDKNLYKELLSKRIEPPLKPDCFSFNFDEEEFGQGEAEF LQQIRPLQQNLMENFPKETILKNFYYNPKETNCAESTRGTNLSTKVQEEQQGTQRQKTKR FNTYDDDISNYVNEQKFFLLNQLRLQTENEQKRKSA >CAK86993 pep:novel supercontig:GCA_000165425.1:CT868596:349025:350220:1 gene:GSPATT00020657001 transcript:CAK86993 MKCYNAESDLQTSINSRQYPSEINSPQTESQSIQKEKRKWKSDEMTKYCPIDIPNAFQYD KVNGQKFQLFLEGKYSANTLVKDMNVVTMRKRLTQMEQEFHKQKEQQQLKKELVERRFTK VRTLINSNIKLRESLKLESNLKGSRMFSQLNNHIVDHIAKIKSKDLLTDRIDGARQYEPT KLYDYSKSGIKLKFNKNQKLIKSQTQYCLKQAVTLEVQDHVDQYFKQQQEAVQTMELKQK RKAKLKIISDRKHRKYFTEFEVNLQNKKAPPSIKDVKLFKYIHGQMLIEKFQQKPEDQKE YFGNVMRSRKSYHMLSNFDKPSELYAFDDCDQSFESMKEMKLSNLYAQSIELQQKMLTER RIVNQVQQSKQIDQTTNMIQIVNKQKLK >CAK86994 pep:novel supercontig:GCA_000165425.1:CT868596:350319:352473:-1 gene:GSPATT00020658001 transcript:CAK86994 MSTLSTICQKHIKHIEAFCSDDCSLLCINCILYDGHKGHQFQSLEDSLRMELNRFYSISE NVQQNHQKAIKQFQQLAQMNDQLDIEYQRLNIEITKFFNSIRQSIQERESKLQEQLNQSL INQKQQISQNQQKLQTQLQDINDYLQELSELDEKSQDPIKFLQTTQSRTKLINKLPKSIV TIDQAIKFPELNKEHENQNIIKVLSKKTNQQQVLPPPPTQQTTSTQQKIVQSIKKTNNNQ QQQSYNAQYQQQQQNQILQQQSQQQKKMNQSKKVPLCENNGPCAVQINLKKKVEEKQINQ VRLTNFQEARNQMKERNYEKSVERRKDRDHSTPRRLFKNQSKVSQDPQSPFMHQEDSKQE FNTFLNSIQDFEPELTNVSHMSMDFQYITIGGFVDSLKQIVEKYDIKTDYASEKDSLKNN RCKFGIAHLINGNVLLMGGKVDGVRIDTCEEYNYKDKKIIPSKIKLPSSRSGFGTLNVNQ FIYVIGGNDGQENLKDFDCFNQIDNNWIKFPTMIEARDELAVCMYENAIFAIGGFGGQFN TCLKTVEVFTSGKWGHCAPLNIPRRALAGVSLPDGIYAIGGFDGTQYLNSVEKYEDGRWT LIESMIHPRCTLSALPTPDNQYIYVFGGFDNGPLDSVEKYSVLSGNWEEINSMLAKRFMH QTFITLA >CAK86995 pep:novel supercontig:GCA_000165425.1:CT868596:352647:352904:1 gene:GSPATT00020659001 transcript:CAK86995 MSLQIVNLPEFSYKPNTHRSLDLENFFKEFEMKQFLEECNYSEQELYVECRKMKQFQQKR KLKLNHYINTYLESPSVISPVQLLL >CAK86996 pep:novel supercontig:GCA_000165425.1:CT868596:352911:353624:-1 gene:GSPATT00020660001 transcript:CAK86996 MDSNKLRSSGYQISDRVQFGGVFEPEKCKQIAKTSDGIDGYIEQNEIAMINEQRVQSNFL GCFKLLGNFRQEIDWSCSPQRMLNNWYRSISLDNHQLNQSRECQRSCQEQHKKDWRWPDV SSSLWTKMDQDFLTEEEVPELLKETYKHMGMNDYQPTKEDVTIWIQMTDTDGDGKVTLED YEQLVLDSLRKQGISLE >CAK86997 pep:novel supercontig:GCA_000165425.1:CT868596:353756:354696:1 gene:GSPATT00020661001 transcript:CAK86997 MNIYYSYLYIYFFNLKRYQMPKAAKTSKAKKIQKRVADRKKNPLFVKDAKNFRIGNDVQP KRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSKTLDKNQSSKVLGLLKKYSPETK TEKKQRLTKLAEQKAQAQKGESKKVQVLKFGLNHVTTLVETKKAKLVLIAYDVDPIELVV WLPQLCRRQEVPFCFIKNKARLGALVHQKTATCVALTDVRKEDQAEFDNLARDLRQHYNE NHELLRTIGGGQVGIKSRHQQEAIKKAFELEELKKTSQ >CAK86998 pep:novel supercontig:GCA_000165425.1:CT868596:354730:355489:-1 gene:GSPATT00020662001 transcript:CAK86998 MTQNNEELPFNPYNPIKTYRLYSSRSQTAHQPNRQSSVKLVQQQNVASNQYTQMGIHNYA LFIRNSENKGLKSDKNFHAYIKKLEENRKLSKNLIQQTKQQQKCEQMQTQQYEDEAKKPS HGPHMKNTAKDQKKEKFQHQITEMIAEIKSPFYKKGRYLLNEDKDKEKKLAKPLFYGIQN QTQSSQRRKLVFSQVDINKLRYKIFFDDYNPLQRITTSQQSFQKEETINESPLKTEQQFF IEQ >CAK86999 pep:novel supercontig:GCA_000165425.1:CT868596:355913:357384:1 gene:GSPATT00020663001 transcript:CAK86999 MAILINLWFSQKEKVKLLFIHILYQYNQWQLKRQPKSKESYVHLKEFQNNQIREVGFISA TALTQQPGKLLRRLGEKKKGMRELRRIKRETQKQTRKPKDKQQEQIEEQDDVLELPNTFI IDSLKISPEDEQILSQFMVGNDTKTNQIIEDFQKGLQDDENKKHHENIMNNPKVVCVYEN VAELMKTYRSGKLPQPFHLIPKLEHWKQVFELTKPSEWSPQAIFAATKIFSSALDRQQTE YLYSTVILPAIRLSIQEDKRLNVHLYNALIKAMYKPQAWFRSILFPLCLEKDFTLKEAQI IGSVIHKLHVPPIHGSIAIFKVAQLDFTGPVAVILKVLIEKKFSLPERALDEVIKYFMRY ENDQREMPVIWHQMILRVCELYQLKQDHKDQLKKLINKKKHHLITKEIQKQLKQRHQNGC RKMIINQCSYIFYKLTMDLKLKNNSILYQSQKYNRGFRLLRKFLA >CAK87000 pep:novel supercontig:GCA_000165425.1:CT868596:358482:360817:1 gene:GSPATT00020664001 transcript:CAK87000 MRDQKKQRETQPYQYSRTAIVQSSQNIEGDSPAHKQQQKYVSILKRYFTTIRITISFINS LKEYSKRERFQRMDSLQWHRVSMIPFYPDDIIVIKWKYFVEFVTFLSVIIYPIYICFNLF EQFELTVLIFDIMFIIDVIMNFITGYIDENNNLILNYQQICQNYLKTWFLFDIVSALPII RHVEGKMKYFKMIRVFKYFLFKRQITYKGQKNYVKVIETFDPHDEFQLKTGTKYLINVLI TSCLLVHIFGCWQHFFDQGDYITNIYWASQTITTVGYGDVKTEHEFFILWMIIGVAFYSF TIGDFALMMEKSKANQEQEILFLVEQLGNAKKLPDKLKYKFLQFIKNNMIHNPFWSDEYR LMTKQLPHNLKLYMSISAMLDFCKQIPFFLYDINNTFQLLINIRYMIVEEGSIIYREGQN SSEIFFLLDGDIRIMTKDKGLLLNILEGTMFGEFEAIEEKLRGTYCIAQKKSICLVIPYR ILRRAMEQSAILDFEIKQLHQRRRRLIINNFHEEKRKKTAYKRQHVKFYTQSFLQMTREE YLLEKKKSQLQNMEEYNKMMLSKLIGQRIQKAQNCLVKFKRSVHRVIEMNHLLDDTMPEL WKELNNYQLIKRVFPKKYLKQKHEVISLSRKSSSVHSHLSRYANLSQQCFFQKLPEIRIK HLVLQHVRQTRNKFIPLHQSLNHYIQEYQEQSQQLQSKRKENIERSIWNKKFTKISQTVI LRIDEIQESGNKYFALMQNMKKIEKLWLKGSMYKFELFQLTHGKEKSFLM >CAK87001 pep:novel supercontig:GCA_000165425.1:CT868596:360824:361572:-1 gene:GSPATT00020665001 transcript:CAK87001 MTQIKQRYIYFQNGNKYHQQSCQGVDAYKKTRVQRNVQRKVTSTNLYLKLLIKLYKFLAR RTDSNFNATVLRRLQQTRTARYPISVSRLVKQINTAKDKTRTLVVVGTVTDDVRLLTVPK INVCALRFTETARKRILAAGGKVLTFDQLAQQNPTGTGTILLRGPRVREELKHFGRASGL PGSHAKPYVSHTARRGKGAR >CAK87002 pep:novel supercontig:GCA_000165425.1:CT868596:361905:362641:-1 gene:GSPATT00020666001 transcript:CAK87002 MNSNNKSIYKNIEFQDEPYSTNNKFAVYVPKQEIPKQSAPQQMLSNSQQLQSPQRQMKVE FQDEQENRNHQNQMEQQQFEQEQQYSQRNQPKVETQNQRNVTQNRYRLSNQTPKTAQDKQ QHKFYSSTPSQSIQKQRTLQQFKDSLYFDERINKVPKSTIRNQIIDSIVSDADLLLQKRE LMTSIYKLKQLNLLKNKEFAVNRLPGVGKSSFVYNDYHTKSTNNGYSRNFGGVFYTR >CAK87003 pep:novel supercontig:GCA_000165425.1:CT868596:362695:363300:1 gene:GSPATT00020667001 transcript:CAK87003 MKQDKKKQTLLQNPKNQSKGPKQQVTQKLPDDMESEIRDCFNFYDPSRTGFINRQNMRSI LGNFGFINKTVKDIEEEIRDVVEETRDSFSLKDVIQLISRKWFENKGRDEEIDEIYELFV RKDRKVGLPEIKNVFAQYLDIQISDADILEFIQDASKDKDGLTKEDIAAKMGYI >CAK87004 pep:novel supercontig:GCA_000165425.1:CT868596:363316:363825:1 gene:GSPATT00020668001 transcript:CAK87004 MNQRQLTLQTFLQKQHNINLEYQLPTAVTTNSVPISQLWSEKYCQKVLRVSNLKTFQQSQ EKQKRITAHIKVQKFFQKPKFEKVNQQYQAAEIYGINQIIEELDKERSDIKDLSQRITRS VSREEKDVKFKQNRENRKQDEFIAFLHKKFSLKPLIRPRKQKNNIDITI >CAK87005 pep:novel supercontig:GCA_000165425.1:CT868596:363891:364805:-1 gene:GSPATT00020669001 transcript:CAK87005 MQQLQETKKRIHEQSYAQKVSHPITPDKSHTKLNQSLFNANIVAKKSCSLIKDVHKDVQL TIKKYDEFLKLVHNNNKIPDTITSSPSIIKPHLIEETQVQQDDDQGLLSQFNRYASAQDL RRQSLPLERSELRLSSFKVKEDLFPAEDISPIKQQNNSNFVYRRNSSQNLNSPPPNQTQW SMLSDHCGSYAKIHLRKSPTSPKIEIKQQDKSKSPSDIAKRNQEWKQRLQEKINFEQKRK SEKEMKDCTFRPKLKETKTREKSKTKITQKSRKSINNSQNPEVKEIMHIIADLNQFSKQL KKRL >CAK87006 pep:novel supercontig:GCA_000165425.1:CT868596:365002:366016:1 gene:GSPATT00020670001 transcript:CAK87006 MDQLELLKIWRGIIVALQQKYSDINGAFSDLDVNNSGEIEIDDLASELKKNHNIQSDETI KQLFEYLNTSKSGAINLEEFENHWTQVDQNIKQATNQLKFQKQKLDNEQQLLISSEQKSA QQFSDLFKSYASGNSPNKYINVQGDFQINHFTQTTGTPPNYQQQRPLNFLDNFNYNKKIS PPKHSYLLKSRAEIQQDRLRDMQKRITNIFNGKPEPKNSSPRLRQEFDIYLNNKLNKPNT TRRQASYPQFSFDFQIIYPQRKTQRNFEQRFSFNQRTDPSPNRSQDRISLQQYAYQFFDR VYEHKQTSSLLGFQKKQQIQPKTTFMFRL >CAK87007 pep:novel supercontig:GCA_000165425.1:CT868596:366073:367633:1 gene:GSPATT00020671001 transcript:CAK87007 MAEIKVSNKTKDRVNACKAYIERKYKMFITQEKEKISDWQQLELILKSLNFTPIEQELIK KEIQHKEAMRLRKKRQKITVDDFESLAIIGRGAFGEVRVCRHRSTNEIVAIKKMKKSEMI FKNQLGHIRAERDLLVQSKCKWIVELKSSFQDDDNLYLVMEFLSGGDLMTLLIKKDIIPE RDAKFYIAELVLAIEEIHSMNYIHRDLKPDNILIDAAGHLKISDFGLCKHLGVHYDMAIP YQNNTQDIQSKHYSARRQLAYSTVGTPDYIAPEIFSQKGYDQLVDWWSVGVILFEMVIGY PPFYSDTPQKTCQKILSWKHHFKIPKEQKISSQCQDLILKLISDPSERLGDPSKIKKHPF FCGIDWTNLRNQKPPYLPDKKKLTSNFDKFEEKEPWKHIVHNEKDANQEENKATSENKKY FYGYTYKRNYDAEISPIKRALEELQNIKPSGIKAEFDKKQRSQSPKPINAPPEINKSQRS QSPTVKEQLKTTYMQYIGQYLSPINKLKQKQS >CAK87008 pep:novel supercontig:GCA_000165425.1:CT868596:367741:374232:-1 gene:GSPATT00020672001 transcript:CAK87008 MMKILRQICILTIFILEIRSEYSSICVCSQISDGEQCERSSICQWIQNKCLIKNGENYLD KGTVGASCKEYSQEDCRLKDKCGFYLGECIEFKECKAFKREECWESSKNCISDGMKCIDV GECEEYANWITCQHMNKANRYCFWSINENPKCRVSRECNELPMYLTSDLECREQISSCTV SLNGGCEESKEHCKMIKYQEQCFYNKAKSEQCYWDLELSVCVELVCDNLRFTTDEECRGL LLECTTNGQVCVLRKQCQDSLVMEGCVTDVQGNNCIFIHNECKKKECSLAEDVNIYSNYN QCQQFDSQLDCVFKNGGGCKNRPTYCEGYDAQEDCLSVSVQKCYWIIAQNKCRRQGCDSQ LLTLNHNQCKMVGKCMGKLNGGCQDRPITCNMINDNQFCDVDYSNRKCYWNSNQCVENVC SSFVYPEFTNHLQCNTVNNKCTYDYYNGTCRDQTCEIIHQNDCLTNLSCKLPKKCRLNQC SDAPVSLITHADCELWLKNCTVNVYQISGSQQIHGCIQKLLNCNDFHQQQCYQTLDGYQC KWTGAICAPKICSDYSTSSSTLCNNLKVVGMKCFLDSVNSKCQAWPTVCSGISYLAQCTQ GLPDGTKCFWDGTSCNFKACNIPSGMTNNYQCYQWNSICNYDITTSKCKQRDALDTCSMT ATFTAQTNQECNAWNNSCTVNTSGAIGCQRKQYQCLSYTDQQKCVDDYYGVKCEWNTATS YCDDYVPALCPGTLNLVVTNQLCEQISIQCVNQNIACELLKTDCSFYTFEMQCKINSYYE PCVWNGTTCSSQQCQSQTTANNDSDCFKFFNSSKNCSQKIKADGTRDQGCEIMGLCSSIT SQEKCNVSVSQNEVSCQFIGGVCQEVHKNNSLNCHLNGSAVQNYECKQINDKCELNYRNG IGCTAKSCSLIANNIICDLQKPKGATCSWNYTLNVCETNPCSFYMTDTTCIQAYENNNVK CFWCNGACINSNYCEEVLADYHKECNNKNQLYTIAQYQTCALAQTSCSVYSASSSCKFSE NRTKCVFFWTISGIALPTTGFCTELCPSQPDQVTCEQFSNYCYWTSGSCIQVPISCSDYL TSECENSIIRNGTRCTIDVSALCVQKVCSNYRDGASRQPSNQSDCDNWLDNCIFSGNKCV DACLFATLSSVSITSCEQYKPNKVCTIGDEPKCGPLVQLCSQAQQSQCYQSATNQKCYWN SNVSQCFELNACNILDNLNNTHTKCNTLLNICTVNELRDGCIELTDCPNYTKSYQCYFNR NHFPCYWDVNKCKTKECNYYVNESTCENATLSDKCVWDHINLKCILLDCNTLLVDQTHLC SDYTKYCINESCKTVECEDYFYGDEMQCQQLFPDKHCTSDGQTCLQRLNCNDCHYQICCN FDRTSNDCIWVSGNCYDKICNSMPIIPFTFDECNSVQTQCTLKAQGGCQLKTNCNSYKYA SECLFDQNGDNCVWEQSLQQCFSDMCQPICGDGVVTYPFEECDDTNNLPYDGCYECKIQC SFGCLICEAKVCLKCNPNGWIHNTITQECDSICGDGIITILEKCDDGNFIQFDGCYECDY HCSFECLNCYQGICQECLYGFYQHNSFCFTQCGDGIVIEEKEECDDGNLNDHDGCNSKCE VETNWKCIIQDQLSLCIQQDFPLPLLSIINRNPAYLSLTFTQPVMLSESYYNSQDFIKSI QISISDLDKNQFSFTLTPITQVSKELMDVSYTIDLQFQISIKHPILNLTFNQDLLINELG NNPSSRVTLKLYNIEILTNLQKVITETAAYFNSIVLYILIGIAVLSFFLGNFEIFWNLLD NLQQLSYIKYININYPINFSIFLAIFDFVSLQPLYDYLYLDSIFDKLMQQETPTIQYNVG KFEIFQTDCFFGTNFQGFIIILLTSIINYKLSKIMIQLLIKLKYQHLINHVDSSVKCLVK KIIELLHSMESFALIYIKSFFYQGLIRVYLSSYYDLTFTSLLQIVTFSQQSRLLYICSAF SVAIFSFNLLSILGFYSYSLISNKIIQTVKSNLFFEGINTKFNKWNAQYQTILLIKKLSF ICTLVLFQVMPACQTLFISFQSVIFTLYILLSKPMIQSFENFKIIVFETSIYLNSILFLC HDMDQFYQYRIQIGWVNISLFSVNLVCCLMVDIYQQFKILKSKLCKPVFKAKKEPNPNIF QMC >CAK87009 pep:novel supercontig:GCA_000165425.1:CT868596:374688:376262:1 gene:GSPATT00020673001 transcript:CAK87009 MYIDNEWLDQQLQQVQQMDFQNYNLPSNTDISQFVLQQSQGETPSLGQKKKEEIEFSLLN LENFEDDEQNKYSHNKKYQLEKKKKNFKKCKTTTNGFALDDTDLFQTEQESFKKWKSSQV QKRSEFTKQFNDYKQNYQTEVVVKYFGSKYQQTLLVSDQIIAAELIVLALKTFQQDQFSD KSKYEYPNFTLAYKLVGTEISPTKFTCTNDENFENDSNKLDDESLENPEIDLESQVFYKT VELVPGLNSFGLDFQFIKQTYLSHPEFLILLIEDPKSQTFYHIKVKKTGNLKDCQNELNR KLTRKYLKNDYFLSLKYPCVNYDCGDLGDQFPIDQLPLHWLVIYSRSSNLQQESLDDANV PNKNNINNLQENNNPSSSCRFLSLDFMQLAIVEKMPLLYGYQEFNLTKYDKGCLNEIVFG IDYFDIYYYYNEKQSKKNGILNFIYMIAKEIFIEKREKKKFKRIPLNVITDLKLKKDKYF EIQYELSDGFKKKLQLFSRNDDKNVIREVFSKLEYLVSIQQFNE >CAK87010 pep:novel supercontig:GCA_000165425.1:CT868596:376381:377469:1 gene:GSPATT00020674001 transcript:CAK87010 MPNKVPALITLGEGRDDLQKFDDRMQLGNEEFRISGVKISLDDKNICGIAFIYQNLKNGN KILCNDTCITGKHITQYDFEIDQNDFIQALFGYYESGITQIGIVTYKGQQAIFGKDQGYK FQHMFMGYTFTGCSGTYKKGLLQSLTFKVVKLPKEFLAQHFTPLLQILYPENPYESIILT KFELEQEDQYEQQPQSVMQPTSEIIYQMIPSQLQQAQSGYPVQNQQVFQNQIPPYGNLQQ QQNQYQTYQQYPDSSQQYCTTQYGTNQTIIVNQQQQPQQQQYNQQQGPGFAGTMAKTAIG TFAAIETVRMIENIGHHPHHQANVVLVGNNHHGHHHHR >CAK87011 pep:novel supercontig:GCA_000165425.1:CT868596:377561:379440:1 gene:GSPATT00020675001 transcript:CAK87011 MQQSEQGPVWQIIPKDFVQSKVKFEATVTKLGKKQHQRHLVITDKHILLFKENGKFAHKL LPLDFSTRFEIFRDAPTLKANVQTKKSTPNTPHTNRPDVVKPEDIQTLGDILHIRLSVES SEKYWDFTSDQETLKTFRKLFGQKINQMGFHHMFKVFKKIGKGNFASVYLAERVEDGQQM AIKAFSKNAVYAEENGKEGLINEITIMRELDHPNIMKLYEVYETQNSLYMGLELLQGGQL YDIIKKKVILTNKQIQSIMKGLLDGLAHMHSKNIMHRDLKLENILFKEQNDINSVVIADF GLATFVNLPVYLYCRCGTPGFVAPEVINITDMSTTYDSVCDIYSLGLVFHILLTGKPGFP GRSYNTIVQQNKDAKVNFKSPVFDVVPPQAFELLKQMLEPSPKKRITAKQAMEFEFIYLC EGNQQNVSSEDDGNIGEVDDKPGLNARIQKINDQGATFDMLRINQLTNSPLKSPVMQATN KMKEVQNKDQQMIMRTPVITGRTVGCEDSPNTTNQFVSPSVQFKKLQQNQQQAPTGNVLL KYTQKPQQQQPKDNEDEKKEQSNVAKSVKAALSKHI >CAK87012 pep:novel supercontig:GCA_000165425.1:CT868596:379498:380510:-1 gene:GSPATT00020676001 transcript:CAK87012 MNVEEIKYKLKELHKKQQESLSKRKTLIKEIKSMPFQRNINKNKKLKDENDKNFLKTFVI NLDGAKEERAEKQKQLDQKVPIALKEKDRRIVKCLFGNHLQRAKQDLDGEKQKLDKQIEI NKRLEDKERQDLMAFKENQDKKKEKLVTERKLLERELAADEFRRQQLLLELQLKTMREFF ITKTAPYLLWQPVKTNEGMNPLKEYSNERFNEMEKDLKEKLQKAYQQFIDFQSQKIKEQT IEQEQESSQSESEDSQEKPKEEGQE >CAK87013 pep:novel supercontig:GCA_000165425.1:CT868596:381081:382759:1 gene:GSPATT00020677001 transcript:CAK87013 MSELPSIQNQDVSGPRNEQKGLIQQEKETTKNNSGEALTKQELYNDDEMKAIMISGIKSM VGGLLLHLELGTFYVWGSISPYVCAWMREKDLSVTLNYMAIIFPILGLITMSCLSFGIKI AEKIGFKLTIGICSTSIALAFLIISFVQDIGGFIAIYCIMVGISGGLAYMLPIICGWRHF PDKRGLVSGITIGGYGFGSFIFNFVCKAIANPDNEKPSVIFQEDGKDVKYFDSTVGDRVP LMLQVLAACYFGLAILSTLLVRFPKEIDVEKLIATIEARKKKQASLNPNQQIHVPSSYLP PEKECQTVSKGVKHVVFPVLVLIVLMSCTLGMLISNCYKFYGQELGIDDATLTATGSVAG VMNGCSRFFWATLSDKTSFKFTFTLISILNLITSAILPYNSDGIGYLLLIAIVYLAEGGL LATYPLICATVYGKKIGGLMYGFLFFMIGVCNMLGYIFYRFARLKIGWEGVYWICFGMNV VGIILGIILKEKGYDWRDVQVQASEQLRNDNSEHQQLQQQQ >CAK87014 pep:novel supercontig:GCA_000165425.1:CT868596:382849:383525:-1 gene:GSPATT00020678001 transcript:CAK87014 MEMDKEPYDYIFKIIIIGNGSCGKTSILYHYLNAKQPKNVAQTVGVEFSSKMISIKGKSI KLQLWDTAGQERYRSIARTYYRGALGAVCLFDLTNVESFQNMQQWIKDARDFARPDICII ACGNKVDLIEQRRITESQVQKLVKEYSIDSYFETSAVTGEQIENMFLTLSGKLVQRIDNG LIEKNDLKPILLATHSSKEEAKEQTCSC >CAK87015 pep:novel supercontig:GCA_000165425.1:CT868596:385059:386044:1 gene:GSPATT00020679001 transcript:CAK87015 MYSYEAYKPSNFNDQKVIDINDKQQLELEQQKSINTTEEKADFESSVFSGLVVQNLFVLM MICLGLYTNMQFWLVYQIHDVNEFCYCGFVNEYQCQDECIYSGEESNQPNPRGLFYVSVI IGFIIQTWLYIGFAQIKKQHFLLTHLQLAMVCLFYSFTLTTICILIAYRWGVQLIFLAWI IDFTFIFSTAFYTTRTKTLINYKVGSIFIFIPTLLMLIIYIFIYPHSVIYICLDCLFAAL HGYFIISQIIKLRGKEELKSSIFEIMITSNILFASINQCFISLIEQCQGLMKKQS >CAK87016 pep:novel supercontig:GCA_000165425.1:CT868596:389618:390526:1 gene:GSPATT00020680001 transcript:CAK87016 MILLKVRLKQKVEEIMLKNKLSQLSNTKVVYTANNRQYQGQFHQRQLYLFTRKEVFILIL MMYSNQIENDNQIMKQSGFYQINMEQIKQSDSQQSYEKLKCIHSQFIQNDESSIIQMQIQ DIENQIRAQQQIMFSLQCQFKTKLGCHKKSYISQLLNFIFQFMDALYYQNWLTQFDQSFI QKKLLKGYDAFSLALEHLEIENISTGKWGCGIFHGDLTLKFLIQLLAFSQAYQDMINKNQ LGQNQIQRSIIFNSFNDEQFEYLVQEQKAQFSKQNSSIKYIHQLIEDLSKRVNSKKNNYA KN >CAK87017 pep:novel supercontig:GCA_000165425.1:CT868596:391470:394158:1 gene:GSPATT00020681001 transcript:CAK87017 MIVFCGERLYHLDLFIKNFQIKSIVSDSFQSAHENAIMSMNQSDIEKEFINLLSPPQYQA TQYQHSKDHVSIQFLVVIEELRKNKEPKEQDVFAEIGDLLNLQNIDVNKKKLIFEDLKKK ENEKLKLKNISFDLFEKLVYLYSREEIFQTFKLIVCLAQLLINEKYHFMSLLRIQTKQQQ KRGVSFFDKGVFEQIIGDLNSSKKEGTSLFWNTITSTSMNFDIARTFAENNFNGIIYQIE LDEDVPHPCFKLEQYHSKYTEEEVILFPQFQFIVQEIRFRKITDQQFYYVFIKQVKNNYA FALDPMMRKIYWDSIVEEKIKPKIETLVNFHSKRIFELLSYFAEDIYDKEIYMEEIIYVI ENEMEILFKQIQNQLTKILSHSRYTNILNQIENLTKIYTQVVKIDYQNQSVDGFFLLFEE HIDKVFKSLRQNICTLILKGIINIDYWKEQLQNLQNKFKEKQKKDSTIKITNPQGTNGQF LQQQLAYQGNTAGLSYQQLKAQKITSLQQVQHKNGKFAYEATLSDGNKLLMHHNPNNNNE LTFTKKIDATGKNGYSNKWEKVGEPIKTKSVTVQEVSQSIKGQSEKFNMNGIQAQELNYQ FQQAKIKGQIGGIIAGTVGSLTVDCILDGVDQEKLVKGLAFSTAIQGGIIYVQSVQSLGK FVPYVGIGLTTLMTSISVGGVVLSDFLSQSEKTYNSLFIALKTGGAIGLGYLGIEGGMAL GAAGGPVGVVVGGILGGFMGGAGGNLLARAIDYYTQFNLEVKFTKENKSDVKDGLLLQPG RRPEIRWSKVKEKVNSLILIAQTDSHIACLITNINKNLEVIYSEEDIGVQFNEYKYIGPD DSCKTITFRLLATTEEYVNDEEILQQLQCNSICIIDIATVKINLGNLE >CAK87018 pep:novel supercontig:GCA_000165425.1:CT868596:394555:395908:-1 gene:GSPATT00020682001 transcript:CAK87018 MKFYNLSFFLSILIETILGFITYDTGFASQFHYEDGFLGYPNTNYLKEFSFSGTFEKPPQ VAFVIYKYNYDYYQPNGYDIEVTEITTTKFKVLLRCLYQHRVWDTPFNWYAYDDRRIQVI SIVNYDISQSSASFSIQIPHPHFNPNFSKGIIHVTSLCYTGPIDFELSIVSINLQDVVIQ IKSNNFNLIKLGYQIFLSIDDAIDVENQIVHTGDLYTSPTLTFPSDKDWTIGLQGLNWGQ TINLRVKRIQYSNYYTYGKWVGGGNNVSKLRFTSIYFHRSITQTFLPWIIRTVRVSQTEY YALQPAPIFTVVITELNKVYATPATETLYVQQKTQLHVQIRYQCDYLRKKLYTEYFMCSS CNIKKLYYYCLRSVNAISIYALLNSQTTAVSVFTISVTNNGITIIQIIQNQQEQIQQILK VEIQNN >CAK87019 pep:novel supercontig:GCA_000165425.1:CT868596:396934:397536:1 gene:GSPATT00020683001 transcript:CAK87019 MEQVSASFYYTFQSNIKFAQQLFGIFHLIHQKKVERYGTNCILFLFLHQYNQHLLIYKQK SFMYDTQLMFLQKIKMIQITQFINTELQITIYAINDPIIFRQPQINFNYIRLIKEINSSY KTSDLLRKIRKPMKQNETFQDQSVYHLVNNTILCLLHILIQFIQLNSELCDLQLLIQPNK SMLAQLIHKCIYLKLHISLH >CAK87020 pep:novel supercontig:GCA_000165425.1:CT868596:399430:408743:1 gene:GSPATT00020684001 transcript:CAK87020 MKQTQFLYQESIKEQKESKAQVKKFHGNQKPNASEKQQGDGPNQSTIYSLVNLAVKESQN EKVLFPESLIAQSSKIKPQVMVNKNTEIARSLKKLKPLSTPDATSELIQLGKGNGYDLQL NGPKLQVYLKQLNKLTNGLLKKTQTYLLFGSIEQKISQNTVLCILRIQKLKFFNDYNSKN HKNPALFCWEVVYRKQSLMKTLPKDYQGAQGDLLDFVYTKHGNEIVEIVLWKVKNNDEKY VGQFDLINEDYFGAKEILDYQRKVISENWVEKEMFKTMKQSKSYIGNVQFQIVLFGNNKI PQSKYSGIPGSPKTEEERARHRLIDLAMSGQEYRWFIDENDKLVIQKIDTITSEIVAECT LEVVTTECGQLTIVYEQKYNNPDLNGRSRPNSRQMRKQHFDATMALIQELDRNGQFTEIQ ANLRALSRQGRLSKGAIQDALYQMGCTANQLLLTECGEFGDCLMDFLLVTDDLSGVLEAM QEIKLLGEDELNLENFSNNLFQLIGQHLRKNTNLKAIISFIKALEKKPEVAILIQNYSYA SENVLTKQPPFLQYFNPRNYEHVMVLGKLNKMIELFKIDTKFTKALKQAHVEAKKSIYFE IPCFPKDQDLEKKADSLQLKSIGQNIIHEIVRRQKWEKSHLIFDRYPEWFFVADFQGITP FSSMLEKAPYDVLQSLFNTYPTQLNIVFQQFNPYQEAYIGDVPIKRSKNSNEEVQGGQQK NKQNITKQQPFEWMAQQKEYRKNILHSVILNPNLTAFEISEILKTIELLVNQSNNNNFSI IKTQKLRAGDFTPLGLYLEIIRSKCKKKLDRVQREFGTSLFICQMLMPDQDKLQEEQGKS DALFTWDSQSQFVIQSIISRLPESLFQQIDGLLPFMKWIPPLEQLKSIRYIHLPVRFLIK HKQQQRLILLLQQMYNDIKQLEYDTNKLESDEVVQILYYFQIQILMLLEIRNLKTQHLES ISDLIVRQLKDFSQSFTEILVVSMPKNITSSFYQIKRNPGTLLELLNLVQFNTLITDLEI KNLDRLDTILLGSALSQAHHKKPLKDLIKIFLKKDQDKDDIGRDNYKNKEFSIIVNPNEI LDENATVYRESLDKKQVEKFLLFLKPDQVLSLVSNESKFSRIVHRLILNSFYTRKSVGIT QQQIMLWIRNEDLRKSSKWKKLYIDYFRNRMDLYFIHCLIDIEFPQTKRTYINQNKIQPY ITAQGNSWASLLNYKLFTQALKDNFQFVDIEDSIRAVAINGQYDRVQILIPHIRDPQILR QTIQLVSILAKSQMTSQTEYQYFTKRKLCTIQEILQSDDEGDENEQKPKRIIPGNLNKQE LKKLLRDRLDETQKSNQYGYFRHQTILNQYVIKKFELIPIKPKNTIKQQKEKWKIENVKF PDVLDQIRTPVSVSSQLQEMNSNIQIEFDYYLKLQYPKYEKIDLNKFEQQFEKDVLAFRY LKNWKQAVQRQNISSLFSQGYQESEKCSYKNVLNLLINEFKKYGVPLCQKDEDYEIILQI LMFYRIITVEDYINQIISSNKDDKTKNNLLFGILEQLLTCKNNPQFERYQMKNQDIYIKL IGLIIKNLKILDEDDSEFMIQNIIVQVQLTLEKSKKININPLKLYKVKLASEMLVRLDQS RIKDQFKDNISIIAKVIRDKNLNDKTLQQFANGYLPQQAQEIKQKRETPKDIISSFKKKT LEELLEQVDLTYYVSKFSDRDCEDIVKELFKLPRDKIKKKEVLRKFDRKLIESLIFYRRF KTYDALINQILLKKICQKGLDEEKLKKQFLLFEEYDIKAGQSKKELKDKVELGAGDKIEQ EPNDEVVQEVNDQVVQEVYKKVEQSAPKKKGTETTNDSMANIAAQFGFYEYFDTLHRLNI RINEMQKFPCIRFMLETNDQLQTLAEDFERRDFNYLSNCIKMMRYLRLNHYEANAFSLYF ENEIMQSFLIQDVSQISTPCMNIIKQYLMIKGRSQPIWQDSLIQKKSEIQYPTYVKMIFQ KKIQEHSLIRLKVSGIEKGVKFCYPSNYDPESNEYSITKEDIRKTQIRRPIVSIDQYIEC LDKNHPLRLDNQMINDPEFQKHLKTLQLPYQNQEFIVDKINVIQFLQQTLYHDHEIYYYL LNFPLFWYDQQIPKERLIIFTFFYELELGLVFFKALLIHEPKKLDLVLDIYFYSLREYVT SGDEMLDDDREQQTYHIDSDWEHYEQEQTDNEEEDSEQQRQDDQQDNARNQEQNEQIPND QQGQELGKKKKKIKVLKKRVKDYIELKYGLRRAITKKCSNQVKFSIYLQLLLLAATNEES FQQVQKYLVENKALPPLQQPKEIDPLVQSRLIEQGYKQPYNKQFIQQIDQKINQISQQQL EDITHLQEIMKFNQTLKEKQYSLVFQFLIFQVYNSQYSAYQNVIDKLLDHPAYVCLSKQR TQIFSSSLSNDKQFQEEIINYHIKNRLIKNEKYYKVKRIQMTRDFVDKFFEAKSNQQFTN DKWIHIICLLKYCSELEYHFLHFNAVENIKKFQTLFHLENDHIDDNYESGYSPGDTFISS YFFKFEITNNFTTPTYEYKKQRSKFHGGFFSYIFSVDITINILRSKNPNNPFPIPFLYSK TYDELEKIFRIFMFDAAIHNFLLDQKGRFNEMYRISDADQVDSSVGHKKLTIENYQQFSK IQYIELYYLDKCIQSFNSKISEKQSLRYYIEQSEKEFNDIDTNEYHQGNLSYPNPINIRE IKIRIKDNVYTLPFSNYKPRIHKQSNQKIELDLTFPELYPVTILQGYKYIPDIITEAEFN QKFDSLSILYSTCIELNTYSQQLYDFVTNVPSSEELYEENPKLRDVIWPLVQKKNKLAKG YSDKFIYTYISESIPIYLIQFLIENLKIELNHKIQFTFNCLSFTEIILIKLIRIIQQSTG KICKIVTAITILQEWLWLKKILITIGNIIVENDLNKFISYYVELDEIHPSYAFKFKSDKL EGMDQLFRFGKSQFFVHSNVLIIRLSIALVSENLQSKQNSRNFKLHQLKSTLFSEDIEIN KYMQFIVTFDEMLKQMFSKN >CAK71752 pep:novel supercontig:GCA_000165425.1:CT868104:1736:4798:-1 gene:GSPATT00038677001 transcript:CAK71752 MFNYQYQILTPQSYPNFYIEYSQCQKLKVVSLPISEEDLMVRQNNFELIQSYSTQNGIDL IHQIFKNLTKISSQSISFLNNDRKTCKNGLIYFYLLEFLKITIQELQCIGNNIQQFGCIN ALSDKQIQSKLVIRNSRFINNTGGQGIAVSSTNVRTVLLNIKILNNTATLLGGGLYFDLN NKDFNIISSQIQCNKAREGGGIYLAGESILSEINFENSLIRFNRADLTTNNLQELPSHLD LSINNQIMPSDFIIDQVSIKLLKLSPYQIIQQGKVMMEDKLMILSNQETSKYDLYDPKQN KFTSYINELSIQFKNRFNEQLLNFTNSTCQIIEQIFDNKRQTQLQSINVSLIQFNQTTSK FDLGMLTFTFDPYNQTDKQYEIQIYCKTKNSIQELSYNIKIKSLLCQLEEFYVLSGCLTC QSKQGFYSVTYNATKCSIFDKNKFEAITSNSINLKPGFWRPHQESDLVNDCFKNVXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKKDYLNVGLKYFQLQQFL SIYYTFVIINLVRIFSQHKQQYVSVEKPNQLFTLFKLTQKFAHILFKNEPYIKESILVKS QPPLIIFGLFSVLFTFQHSILIFVYLSLTKQVIPSYFLTSNLDCDISQSFETELIYARIL IMLTLITLQIFIHLSSRPSIISMLAIVKLRNNIISITLIYMYIQNYAALINQLFSILAKR EISNIEYVQGDVSLHFGSSTHSAWMYRFALPISLLIGLIIPISLILFLYLNRQLFDKITF RRHIGYLFNEYNINRSFWEWVKLWKKTIIIVILIYFETNVYFKGILIAMCLTIYQIITST YQPYIYPKLNRLDLISGQFCLMAIFLAAVQYLCEQQGSYVQARILSILIVLICFRFSFPY LHDIISAYYSKYKDKILTLLIIILHTLQPKSSLILKLNRKLDSWRQRNLRLEKNVKKLRW HTIQKKRQEKKELQQICVPTLSLNKSGELKFKLLNL >CAK71753 pep:novel supercontig:GCA_000165425.1:CT868104:5258:5783:-1 gene:GSPATT00038678001 transcript:CAK71753 MIGHPLYNICAIRLSSKLSQYFIAISSSEVKVAKIKVSYHNYLKTEFWNKYYDIELQNDL NQIEVNYMIEQNALNQKIKEELCKQQLINSPHKMDKSQYLIARSSSILDIITRGSGIYCL FLNQFAFKSGIRRINKYTFIKQQFNIDVIIFQFHRSSQSVSPCDHIF >CAK71754 pep:novel supercontig:GCA_000165425.1:CT868104:6428:11178:-1 gene:GSPATT00038679001 transcript:CAK71754 MPYTDYIYQKLYFFCQANKELHTLHNNKPNFKELLDTPLTGLIFPAHSRXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XKIYKNQLFLQILVFIRLQQLNVLKDINYLIITVQNIVIKIVRNVRSNIVQPVLLVIYVP QTLTKNPIEFKKMENAQFVLHFVQYANQDLMIALWYLRLIFQSINPYYKINEMNTQYTTQ CIKSISNSNVEIDQILQIAKYIYDPSQTAFEYSVLRLCNIGIYEDELRPMSQNFFENNFD FSYFDEIGLQQFILTVTLFREDYCESSYLKIINSFQTKVFSIQLLKLRLQGDLSIFSYYS IQNFNSIVLSNLFSFIIIKKKQIIKYQIAASIQIKQNQLNLGSLRILIGFLKFIMCQFKM LILIINLYFRQIRSTQNEDILIESFFLMDCSMSNTVLFNFNTTSNKHIIIENLLIEGCQL NNFILFNIKLIKEQSKIRIKDVIIKNSIISNCSILQNYDNNMIYIESLQMIENEILNSQL IKFNKDLFCFHLLFRKNVFKYSILFENKIGWFCYIFQYLPKFLSINY >CAK71755 pep:novel supercontig:GCA_000165425.1:CT868104:11216:11789:-1 gene:GSPATT00038680001 transcript:CAK71755 MQSLNQQCLSHQSLDVDDIFLNLYLIKFDVDNFNFNLFYENLDYDNWSNRFISNKSDYWV LRCFQKYSQCNTYSLCQHLSKNIFGPEDMQKLNSTTIIVGSGDYHKLDSQEQAPKVVKLG IKNFPNDISQYMESIFMQLVLHIKMKEKVWKSSKYQMNTSIFSIDIQSQWMANTIEYQMI >CAK71756 pep:novel supercontig:GCA_000165425.1:CT868104:12519:18464:1 gene:GSPATT00038681001 transcript:CAK71756 MKVKNAYAKSQELKQEEERLQGIGGQFCMQYDLESLNFITQFIPQFLEYYVNSQKRDKYA NQLPLISVTNSPIRNILTRFDINLLFPERIWEFSMTPIFLEQLTKLQFFTQNLPKILYMI KLILRGDFKIHEKKSQNQEFSEYHLYRFTKIEQYRKTLPHSQVYIVKNQFILSEQFVVQI IPTQKFTLNSQNQLSTLQYVDTIVFICYQQGYGENYICKYLNEQANDPFIQNEFSLLQES KKSIQIKDRNGNQSYVLPRKFKLINFEANQFEFCSKYQRWIKKMNIAHYENRIQIQNEFK SQQYLLKKYLFTPNFDQYQNLLKNIKSEKFSINMEQFQAISQEGDTILIGRSGTGKTTIS LLKLFITDAIFMLRQNLDLFKESYSKINLQYNKELQSGIQLKTLFLTSSPLLAQQIKQKY ENMVKNVEENLRQKNRIQRISEQQKDNLNDSTVQILDLLGEQEENESQFEVEVENENEDD VDQYEKEMGQFQTISDIKQFPAFLTIRKLLFLIDSQLQNPFFKNIEQIHRQAQWHNEQFG VLSLNQNATNNFSEKLESQVHDLDSKEIIYHNNKLKEVTLESFKEFFWPKIMQEFHWTEK AIFSELDPIIIWSEIITIIKGHEFSYLFPNFHLSQEEYKRSYSHNRQSQPDQVFKIFLIY EKLKSKYDYYDILDLINHINYEQACCFDIIQYMHYIILDELQDVPKALLILLNRMTQIQL FLAGDNAQNIVKGIGMKFSEIKSCLEQEKNQTQSIRNSKTSLIQLSYNFRSSNQILQLGN TLVNALELLFPNQLDSLQKEKSNQQGPKPTIIQSCENKDLLNYLLKQYRNNQSNIEFGCN SVIIVKDQDSKLKIPIELQNAIILTIYEAKGLEFDDVILFNFFTDVDEDDSFMSLLQQLE IVRVRMDKHEWNTKSNQNAYLNHKDIGQYEVELTKLQCLQNNQKSKQNVPIKNQNKVNLS LQHKLKQLYVAITRPKNKLIIFDQQLQNRMYIQKIWEDLDIVEIIQDTQLQQIQEQKFVL SFSMDNKTNWKKQGYRMLRQNNYEQAYKCFMLATETELAKKCMAYNLTTQATLNQNNILL FNQAAQIFEEINLTKRAASCYFSAKNYQKALQLYEQLNCKNEIAESAYFMGQYKLAGQLF QELGEIRRSLECFNKQQLWDDSLDQLNLSREQLKPEEKLMFLSIIIPKYLRSIIDDIEKQ ELQQQQNEEIINVDDQSESVQVENSLVNDNLIGQQNNPEDDVQIINAHDDSQSFQVVLDE SLDHLSFYDPDDEWIKVDNKSLIKSIASSSVESQFSNVLLMNQPTNTPLLKNRQNIFIKN NVMLHLCERFQQFQKEFKLLLENQKSQSALLSFRNMEEKEFDHMINFLYDLENFDIESVY FVLDILEHFKSYKLCIYVCNQFKLSQHLGRYLISLASQYTPLNKNKFKLENWIIGNNLKR KRLLDQSILAQFTFNKILESINPIYLQFKNEDILHSSNSFGVECYKQLIGLGYWRTIIYQ LNYENAVKLCQSFNSYQDLVILIQKIKENRETKQLTDEEQFQLIKNNYFIQVEQHFLSNQ SKNNINIDEIFEITIQCASQKKLNKENIIQLINNSKLHQVNLTNQDKLKQIESIILCMFC CMGILKFEMDSYSLIIDLVNQQQYCINQLFYAHLKPNIIEALQFLFKFSFTTGDIMIEYS QFCIVHITSKLIKNVNDQMIFIDIAYEYILITFETLGKLFKSYFCNFKPIVKLFSTIEYQ QGQQETKNIINCLKQRLQYQYENILPNLTFYLKDKIDYYSKMVYGNRQKSLVDAVDDHET SILHFTKSDELRTVHNWLLQTNFRIDNMDKQIKYYLKNECILLFEQGLINQKQKHGFFIL ALNLLHLQDNIPYAIFTLQSMKKQEESDYYLKYLEFLECQHQDIIEDSFDCFIAYNQYFE DQISLNQNRHKSAFGSRRNKIDHYSIKVQRLYIFQIGV >CAK83657 pep:novel supercontig:GCA_000165425.1:CT868477:22:1748:-1 gene:GSPATT00039556001 transcript:CAK83657 MNQSQYGAFGMSGNNFNQTGSKPPVNNYNFENVRAQQPAPGGPQPSRGKPLGTAARQPQA EARPMTSNRGANFGQKKDPFNSTQNQINLNKPKLETNPEEQFKAIEKEINTLIEQSAMAK LRGNLSECLEKAKEAFNKEKKLRQSKEAQNLVESINPDLSYCAALTQACALHANGLHQDA LAKYQEIIKCKQYPQAGRLRVNMGNIYFEQKKYSTAIKMYKMALDLIPATSKEMRFKIQK NIGHAQVRMGKDKIKEAMTTYEQILKNSPDFPTGFNLLICLYFSGNKNKMKDYFVTLLTI EIPGEGEEENNENKGTTITDKLREDTKERRREAIYYIATSAKLIAPLIEDDIIIGYEWIL EQLKNSTFPEAETEIEICKAMAYLKKKNIEKSIETLKGFEKKDKQIMARIATNISFLYFL ENDYKQAEKYAEIAITYDRYNAKALVNRGNCLYVKNEFLRAKEQYLEAIGVEADCIEALY NLAYVNRKLNMFIESLQALDKLQTIVCIPEVLYQMATLYEMTGNSKQAMKWYLELLNKVP NDPNILARLGSLFARVNYIINQLTGR >CAK83399 pep:novel supercontig:GCA_000165425.1:CT868470:1248:1565:1 gene:GSPATT00039549001 transcript:CAK83399 MSKTSWQKILQWRRHLDQLKDLGNQKENYLFNISRKRLVEIKKQTLQEHLKDFPERAFNT QPIGYWQDKSFANKRYEYPSSCYTTENQISCYTFLLRRTPDKNSA >CAK76060 pep:novel supercontig:GCA_000165425.1:CT868221:1551:5040:-1 gene:GSPATT00039098001 transcript:CAK76060 MQKLKTNSQDQLKQSEQPRKIQETSSSYLIQIPQQEIEQQKEVPLNSTYNKQDLVHTDQD QKAKSSLFRYFRPSPDPQLVWEPHIDKQFMSEFQELIENRFNEVKRSSSIYKYYAKIGFQ AFIYLLNILEIIFTLSFDSYNKIADNSSYYKFCYTVSIVFCVLLHSGAWMYTYKFREVAK KMVIVEVAYYIYYMLMGALSYFKIAPFIYFYSRDKSIKQFSFSEINKYLQLSGKDKFRNP CMLFKKRTKPVNIFRDLIFHRVALLSMIITMTIQTIPQLFIQSSYMIERSAWDGFNVISF LLLLSNLIYYSFELQFIVFTTTYRQMQTELQFKLKKIKLKFIQETKQLLKSDQQFMEFVE SFYFHIDPSRFSPYQKKRCIVQIIFSLIKQKKFQNIEFHFIDSYDEVTLQYLANCFKLIN VEKIHLLYQDQNHLSFLQDIFSNILNLTFELQNKENLDNLWNDDITDVNAEEEKVRQIRF IANPKISTGLQVVQQNKNAKLKYINITQHFLQLNPIEIKGEFDPLRKGNSVIMNQKQTIL IQNIEEQPQDIINEARIQIKEITKRTLFEEQYGKIEFLIKMYDYYEILKKLNEFQVAFQS ISSLLNGSLQIISLIYLTNDGDIYITALIVLTAINPILQLLSFAVFQHRVFKIFSPLRQA FYVLLFAIFNFLKIWDIVMVCLYVCVTEFAKVVKREFSSEGYFKFKSYASKFSGSIATTV FNYENVAINPKNILQIKDEPFYEAVMWRTNVEEALNKVPQFFIYVLSLSSKELNGVWLLS FFQQLKDSVQAIKDILDIVIKDYLIPALILGAVSADQFFQSMLYLSSISNQILLEYPKSF QIVSKVEEQHLQEKLTFKINLKNIDFSNYVDLKKKKMLAQFRYVLASIKSILEIDQAQRL FCMGYELKDLIRCLKVSPLYQLKLNYYLDEVQPAQIPYINGFIKNCPKQLQFLQLQVEST KAQQMEFCVERQETLKAFSYSYFQLIQQYNNHSGVALQETLNIDKDFLKLDRYNFEQFYF EVFGNLALQNCSQLLQQFTQMKVFKASIFNNAGIQTFQFNSNLRSSRLEILDITFENITL DFQEFPFSNLKVLKMILKRCEFNKENLKYILESLHNYTKVVYIDMSQCLQSYTVAEQKSL VRGLESKAIDVFIKI >CAK76387 pep:novel supercontig:GCA_000165425.1:CT868236:1741:2833:-1 gene:GSPATT00039135001 transcript:CAK76387 MSSAFHIHIGGAGVMIGDMLWKLYEKEYNEATQNNYIYEEVDGNHYPLALFFDTDDGMIH EVQRNNSIKYKNHSFLHGKEEALTYARGRYTVGKKVTKQAIDCIRKQIETMSRLDEFVIT SSISGGTGSGCLSFLSIVLDEQFGNKSKQNGFIIFPSSEMSNNVVGPYNAVFPIHTMIDH FQSITMFDNQSMYNVIDYQVELDFVDYQHLNNLVAQVISSYTGLRRFNNCDNSKFLSNLC PYPSVHYLIPSYGKMTLINDYARTELQETQFIHYLTKKKCQLYQCPINPCLIKIIEPFFW SI >CAK82616 pep:novel supercontig:GCA_000165425.1:CT868431:673:1041:-1 gene:GSPATT00039491001 transcript:CAK82616 MDQLFTGNLNELALNKIKQEKTKTIYYRIVKRNRSQPHNLFSNIQSPNFRKPQEKIAQLN QRSPNYKNIISPIQRGIHIENVHQNNNNQGNFISIKQENQSMKQIQNHYHKKFFRTVKTL VC >CAK82617 pep:novel supercontig:GCA_000165425.1:CT868431:1310:2920:1 gene:GSPATT00039492001 transcript:CAK82617 MIISQSFHIMIKQEAKKSYLSLKQAQVTIFYQIIKNIYYYQQFYEDNQNYHLSFGQDHSQ SKATIYQRKNLNSQEKFLSKDIPKQLPALNRQESYQDLKSIFPKSQNQQTPIQHINEKSL ILEQQQFQQGKDMFFSQINSNAKPPRPQRTYNTENNFIKLTLQNSENENQITNIQYNQQN QIKEIVNKLKEQHGNQNIILQYENTILQPELSLQQQIREIRNNITLKYKIDSQELQPPAQ LINSNYTVATITKQQRSKVTLQKQLSNAFKIKTEVFPSQQLDFQVPENNFENGDNNYSSL QNFFSGRKRFLQTVIEQSPDNQLRNKQPCEVNQFRVKSSKPDNQQLNNLQQRPRDKRIDL VRHISNPNPGPNVQQPMENIPIIVDSQSINQNQNDIYQNQLYYQEEQKHNNQLQQILCQT NDCNYFCIVTYFHNQNYYCQNCDKLSVIVDFHLKTLTFQCCHTLNCFDIIRKVQNAIQNE QLARCSDCKQELDYRLIKCFDKSKYYINIKLIIDAQLLKRSILKNTQ >CAK66581 pep:novel supercontig:GCA_000165425.1:CT868046:1391:2740:1 gene:GSPATT00035711001 transcript:CAK66581 MSTQNLNLHHQYSSKEGSNKFQIKNQLSSVFQYIQYQEEIGPVLKQQQSNRTIQETENRF ISYSNTSIYSNQKYLESYDKNSKATVSTKMMIAYNECHFYKKYMVERIVKQSKQCKVVLC QEIGSNQKKVAKIYEGKMINFVKFIFTQQEDIVPEIQTNCKLSNFPHRNIISLIDLFIEH ELIALVFEYQEGGTLYDFLVQKQFQLTDSEVKIIMKQILKGLRHLQKQEIIHRDIKLDNI MFTEFNNIKSLKIIDFGCAAFINDSKAKSIKCGTLGYIAPEILNDQYYDYSSDIYSVGSI FHILLSGTRIYPQFLEQKQLKDLNSQNAYKLNKSITCPLTLDLLKSMLSQQSTRPKANCC LKHEYFIKPDLSITNQQSSILLKTLKNAIPKIKKPFSKMTIFQNCIKLHLYYYYFLYQYA KQKS >CAK66582 pep:novel supercontig:GCA_000165425.1:CT868046:3274:3587:1 gene:GSPATT00035712001 transcript:CAK66582 MNVVCVFAIPFFVIQQQQSQIRYRFTLQLNFNQTFINIRNQIQRN >CAK66583 pep:novel supercontig:GCA_000165425.1:CT868046:3620:4299:-1 gene:GSPATT00035713001 transcript:CAK66583 MNQELNQNIWRKFYQGIELDKAEQNYVVSLLPLNSKGVRIDMYVYSNVSRKMYYIHLFET KQDVLKYYLKLFLIFKEEIKESLQLKDQLCRYDEKYQNNLALFRTKGLKLKSMRKLIESF VNHKPICTGIFDTKQYVLRNNISCTFNINLHWEPEKHRPILLDDRIAFLKEEEKTIQKKD DVQQLSWISYKLHQSEVKKNKVIILEDNEQKIEFIKID >CAK66584 pep:novel supercontig:GCA_000165425.1:CT868046:4633:5256:-1 gene:GSPATT00035714001 transcript:CAK66584 MSIDIQIIKKVQQRGEYKKIKPELKFQILQELEFNSIKELSKKYGIARQTIASYKKAKEH IYDRFKGEVLSLVYYFVDMRLEGNPTLSEQAIKKDIKLLLKTREYFIYSEMNFLKDYIPE TKKYSQKKRIIRFVMKQSLFYFNQQKQKQLSRVDIQIPQQQQQIIQIQDQRIQQQNSEQE VQIKFPYWYVDESSYIYCDLPDEWQIE >CAK66585 pep:novel supercontig:GCA_000165425.1:CT868046:5710:6811:1 gene:GSPATT00035715001 transcript:CAK66585 MDNLCTVCINQKAVNKDFECKSYRCDENCKKGILEPCQTCNKKLSGQYQQQNKKKVDDLL KICQRSISFIHNQQIYMKQFIEHQIGELINLLQEKQNSLQSNLTEILQKKLDFYQECESR LNIMKKNSDFNKLKQLPFSQLEQYEDDKIMDFGNIKILTEEIKNFGKRVTRKNNQSVNLL SNMKKIPNNENKENQKDSYEKTYSSNIFISFLPPLDDATLIYRLGQKYQMPKQNKVLGFI YTTNSCKFGFYYNVDYPTTGYNNCKDCYIFSLDNVYRIPPMKFYPKEECTRYSLFLNHSK IGFGKDCKDLLIDFENIKNSSSNLGYSYDIYSELDNECILAGRGTNWNIEMIEIFELK >CAK66586 pep:novel supercontig:GCA_000165425.1:CT868046:6856:9460:-1 gene:GSPATT00035716001 transcript:CAK66586 MNQRKPQSKQEARAKVDSGLNKPRKQDQIQKRPESAVQSQSGEKYQESEQNFIYQNNISD KMSTIVQDYLLKQNYLKTLEQFENESQYNHQRVGNQQEYQMLQYFDKGDRDSFLKLWNQH LPQNNKQDYDLWKLEFYIQIYFLIYPIHPYLSKKGQIDKQSINAFKQFLDSKGEDLSKTN EVLPFYALPYVQQPQKHQTFQHLFTTQWVEDLKDRLKDFTSQIFQNEQITHLQKLVQHYE NQYQQNQYQQIQHNNYQQQDHQKEIKRLNDQIQKLQSDNTEIKQKLNQQVQAYQELNNHA EKNFTEAQQKWFSLCKELMVVSKDLQKYVDTNQSIQNQQKIQNLKKKLLQYEKFLNQDFE DLVNKSQDISLFEKASMAEQEISNIAHNQQLPTPIKSNQIEEYVPLNYPKIIQLFTKSNN FQHVANVLQALRWRITRAKNALLRRQVVVAYATHDIIGTHSKNILLAQYLILKSHPLVQC QTLKLLNALASDYHGRSYLTVNPTLIKFLVDLIKKEQSDSLIRKNAIGALQKMSLRKQSQ TFMLENNIIYHTLIILKNEQNNLSEYTYEYITALIMNLSLSSRGKDELTQHKELAFEVLY ELIDYPNDQIRTFTNGTFYSLFSRKIIRDYAYSLQIPQELPRLLENSDEKFKKQIQYMIA QLQADEDDYDQSQMEEENDVDDLEDEEEECIGDDDEEDDLNNQDNIVGEELLQKDFELVG DEAENQKLVMDSIILKEVQQIRESQLERMPVGQIPFYNDTNDKKYNQKAQQHHNQQKQSI QGQGQQNINYNDIQEFVSKPKIPRTPPGGLKK >CAK66587 pep:novel supercontig:GCA_000165425.1:CT868046:9465:10294:-1 gene:GSPATT00035717001 transcript:CAK66587 MSEEEQQLQSGSGIKLEAAIKHRRKKEEIEKDFICGDPNCGRKYGTNAALYTHIKNKHNG VPPTGTVKPSTSKKTKEQTPMMDQMDDELSKSVATMNDPDFMKFTIMISNLGHFNDKNII VRDAQEPCFQLEEEKLLDIYEKILKGSRLISETKGNSVFTKKAEMYLKFSSPIWKKHIIN ELYTILIYAYIFKGENFDTIEKDISSNLTSYKLFSELIKQDKVDQFREVYTTIWNFIV >CAK66588 pep:novel supercontig:GCA_000165425.1:CT868046:10401:11048:-1 gene:GSPATT00035718001 transcript:CAK66588 MNSIKKQRKGSQYNKNLTKEEKSVIMSQLNKKSKKISEIAKEYNLPRSTVFSYSKSKEPI YSQFLSAVLTRAQEWVDKNFEILNSLKNELQVKKYITNYLQNERVFENSSVNPLHHLIPQ TKLESKKQRIFQKVVKEILEIFKNKNVVKKDESKEQYYEKDYFNEQNIFEESIFISSQEE FHQNIQTQCQDKTEVVEQYEPFKAFDYDSQSQYFY >CAK66589 pep:novel supercontig:GCA_000165425.1:CT868046:11270:11935:1 gene:GSPATT00035719001 transcript:CAK66589 MTEQIQLNLGCNKIIWESHDRKERIYELRQVARDANFVKFYWVKGAGQRTLRKGKWYCFT NYKIVHKILRPILNCYSKYRRITKLEKEELKVERMRDPYSNILGIIQDVGHLDQFSRQFP FRFIEVLLGDGNTVVQIFLKNDFATEKIEKFQKGEIIYIHECKKNINPQTKEIWYSTLTK NTKIKFYLQTIRQHVRKDKFEEILKLKSRLEFQDEATGQIE >CAK66590 pep:novel supercontig:GCA_000165425.1:CT868046:12476:13750:-1 gene:GSPATT00035720001 transcript:CAK66590 MNTIEFHNLGEAFELMQTGKKEFFSGFDIVAKTAAYEKMKFGYEQIIHFQQVLKDKVCNS ELNLEIEEYKNQLIKFKELLQINNLEKYQYICNPYQQYLLNVIARLGLEMDFIQVKDKPN VGENKILQLESIKGMMKEIALPFKFPQFFQNCHYYYDKIFLYGAPNSGKKYLIEYSASIA NAKIISLSISQLIKNQFNQPEECIKQIFDFARSMQPSILLIYDLEQIGNQSLNSNRSKLN IFVELLIELDKQRQSDSFTFLIIGISSYPWNVNPRVLRRFSKRMYIPLPNHLQILDLLNE KLSNLKHKLTLEQLDQLTNKFQGYTCCDISNILQMAYDQAGNINKQNIQIKSECSENIIE YGDILNVVRKYKKLTSQDYINKLEEWKANFDD >CAK66591 pep:novel supercontig:GCA_000165425.1:CT868046:13798:14690:-1 gene:GSPATT00035721001 transcript:CAK66591 MYRIEQNEYQLFIVNGKSDVGVSLIPSNISRLTQLPSFNSYFSLIPQEYTYQATIKKQSD EIESVVIIGLYNFNQTSEAEIQLSLNEIIGSDNIFPIWGILVIIAVIVLAILSIIYLTVQ FRKQLKVLSLETPEIGLEILNKYMPIKKVQASMLNEFCCICLANYEESDTIRETPCNHIF HDKCIIEWQESNQFRFKKSKSCPNCRLEFTEEEFSRLMLQKQTDSSPENKKHQNSTFIQR VTSIKLIQNTNPQQRRNLEDSTSQLNQVEEIPQQTYVQPFNIFDQAEQN >CAK66592 pep:novel supercontig:GCA_000165425.1:CT868046:15349:16273:1 gene:GSPATT00035722001 transcript:CAK66592 MLLSQIGVVFFNVAFLSPILGQFKGSISKILYILIGVLEIYIYLTYKPTNFYEEYKITRK FDQSQLRQAYKRIMLDYHPDRNTQITSEQFNYLKNINEILKQDEPRQQYDQFGVVVESNI NPLPAIIYRIKLTRLFFYMILFLIQLVNSQDQKSQQKLLTILVLGLGYGDFFLMEQPQYF QNSHFFASLALFEFLNIYRIVVTLIISCILAEIKNQQIKRNQLLELLDQRTIQEIVLEHK EFLNTQNSIRQKILNGFLMVALTIYLFSNSVDYQALFLKALNYIQMRGQQDL >CAK66593 pep:novel supercontig:GCA_000165425.1:CT868046:16400:17479:-1 gene:GSPATT00035723001 transcript:CAK66593 MQDTQLSEVITQLDCIKKKIHKIKTHNEKFQNNIKLCPFPPGFHNSSQNLSPIKLQIPIA SSNSKDTILEELILWDFEDDYSDEQLFRNLFTIVTDLLEEKYPTKVGKLSASEAQKIVDD CLSQIKQAIEDHKKLKYVSDILVFESYIDKNDSMINLQISTSKFIETIQWDLDNAPQYID EFVYTYCYENKLGQENIVSIGNQIREQIQKAFEKRYNLLSKFILEDRQDFKQKLFSLLDY PSFEPYQPIKETPQNNELNSFFKKNLELLPPELQLLYGQRPIIKQMNDMYVDQEDTKRFE KELNQKSKYFDNVIVQDLLNRTKQS >CAK66594 pep:novel supercontig:GCA_000165425.1:CT868046:17991:18717:1 gene:GSPATT00035724001 transcript:CAK66594 MFENNCVKYVPSVPKIVDPEPITCIIEPSEEEGGLYLGNLEAANNVDLLRRLKIRAVLTA SQETAVKYQEHVVHFHEIIMAHDKADYDIIQHFEQAYEFIDRHRKYTNVFVHCFAGISRS ASMVTAYLMKKYNLSFEKALWNVKAKRRQVHPNVGFIRQLQKYETVLKNQAISNAPSRIQ YQQQQFPQYGFTQQQIQQPIQQSILTPFIQQSVQQPWNQSQRFDELVQFY >CAK66595 pep:novel supercontig:GCA_000165425.1:CT868046:18900:21057:1 gene:GSPATT00035725001 transcript:CAK66595 MQYLQKKTQSQGDQVNQRQNIIDDSFLDESIHLDSESSRENLKTLINCKAEHTLSTKESC NSNSFTLSSNKVIEFLIQFKFLAYEILEWETLDNTLPSEVYNFLSILKCQCYAGTITYTI EQIEVLINQSQIYVYLIKNKNSKNLLEFLSQILDKGWIFKTIRIFNGSILNFYALAVKTL TFENLFWIIKSLKKDLITPTESSVQSRIKECYGLKYKRKEWNLIINQIKSEKSSQGKQVE LPMIQVIQIKDPFIQEETQGIYIQNQNWNPEDEFQEDLYQKQEWNIFIEYLQSIIDDQND YQFFKDGGYGCAQFIKLFGPKNLRELSLARLKLYTHMAINKHYIRNTKKVQYNREKSEYA TDDSQDRDNNIKMKIEELKEQLIQLLLESQDGYSVSFTQIPKLLKKRVNFKINLIDLGFP KLRNFIESIKEEISIEKNGRNNVIVKLDRIKYWNQYQSTQQKLILDKIGGDLSNQAISSN ILELLKNILSQHKYGISINELYYDLSQLLGEWFNFKKFQCQSFFQFLQNYAENILIIVCQ KGNQYLIYERDLRFLPPPTYIQDSNNQQFDRNLSEVYQCNLGLSLHQSSFSNSWLGKAGD SQLRQKSLQLIEDSHQEIKENLKFIDEILGLQILQPQGYETKQNDTYSIQDWSEKSMNRF EMFSSINYGQSEIPADFDLTKELQNPLSQKQQIQQQTIQQNESKKK >CAK66596 pep:novel supercontig:GCA_000165425.1:CT868046:21392:22795:1 gene:GSPATT00035726001 transcript:CAK66596 MFDKKKFLISSISITLLIFTIFHNVENVIQKRRFLSEDEASNDQPEEKSEDEHKNIKRTY SPSEIEFWICLFIAATLICLAAICSGMTVGYLSVDELQLEIYKEQGTLEQQRQANIILPI IKQHHMLLCTLLIGNAFCMESLPIFFDKVVPPAFAVLISVIFIIFAGEIIPQALCTGPKQ LIIAEKLTPLVKILMILFWPISYPLAKILDSYFGEHGSTRFQKNELKALIELHGIQKHAT GGFTQAEINMITSTIDLRDKTVEQAMIPIKDVYSVNKNNELNRETLARIASSGYSYVTIY ENQKENIIGTIRSKQLIDMELTKRKISELDNLVRPVLFIQNDTSLFEMLMIFKQKKTKIA FVVEANKIEQTNTSRIPNYQTADEKMQKKIIGLISLKMLFEEIVKKEFHDQDNHIKIISL KPPQIQPLGRSQNKILIEPEEKK >CAK66597 pep:novel supercontig:GCA_000165425.1:CT868046:22858:24143:-1 gene:GSPATT00035727001 transcript:CAK66597 MKGWQNYKFICQRVKCDDRQLEQINILENNPYLVDKQQEDEFILHKIPYNECISLNDLMD AIKSNYVVIFKGQVILIIQAIIQHLFKMAEKKLNHSQLNANNIFIQLKPESNKFTTYQSI IQIDKIYFVQYLIVSNPQNQELSFTQDGKDIKKIIIKFLEVYQDNNFTKIIEEIKNIRDI NDLKQFKQLNDLLDLFINEHIDINNQIMKANELENYNKINKQRYLCEKELLIYLEEVLKH KIKFEDNVCIENGSQMQEQSDNLEGLEYLQQYILFHFQPNFVKQFQNYYGDKLQNTYLIR GITKQSYNEFLTQIEPDRIRANASFDSVMKMIKNCFSSQDQIKQEILKDYKFDVEDLSKK EIVELLYKFYIKHSLGYDLAKLEQFEEVNSKVLNDIQQHLQEHYDMGRTYKILELINELI >CAK66598 pep:novel supercontig:GCA_000165425.1:CT868046:26631:27968:-1 gene:GSPATT00035728001 transcript:CAK66598 MQNITKERYRQIQRMQKIQKFQYENDSLKYGIENCVNLNDLIKLEQNKTKCFTIGQLFII TNNIVDQLLKVYQISNLSKLEMDSIYVNNENNEITFTNIHVNKDLVSYEDNQNQIFEISY QFFLKHQEFLISLINEDNRVDLSKKIQYITEFSNQVLDTLKTANYHKELNQFVQKNIKDK QLIHDNFKDVIEIDQYIRQPQALKKVEIPLPNVQQNPQIQQENPQVNNKKIQEQQQQQKI GYQFQQFQQPQYQQRQYQPQQLEYDQSQKLDIVSEDLNIQEQIDQSGYEDNRQGLVQSKI NHQFYTFIQGQLENLQLGEELYDFMLNFFLTNFKYLQQDQIVAKIELLQDCYNFPQNQEE NCQQDFIKQWQNIITDGFITSKTIDLNEENDQVLSKLTSLYKNLYESQMY >CAK66599 pep:novel supercontig:GCA_000165425.1:CT868046:28192:29477:1 gene:GSPATT00035729001 transcript:CAK66599 MKGWQNYKFICQRVKCDDRQLEQINILENNPYLVDKQQEDEFILHKIPYNDCISLNDLMD AIKSNYVVIFKGQVILIIQAIIQHLFKMAEKKLTHSQLNANNIFIQLKPESNKFTTYQSI IQIDKIYFVQYLIVSEPQNQDLSFRSDLKAIQKIIIQFLEIYQDNNFTKIIEEIKKVKTI NDLRQFKQLNDILDQFINEHIDINNQIMKANELENYNKINKQRYLCEKELLIYLEELLKN KIKFEENVFGENGSQMQEQSDNLEGLEYLQQYILFHFQPNFVKQFQNYYGDKLQNTYLIR GITKQSYNEFLTQIEPDRIRANASFDSVMKMIKNCFSSQDQIKQEILKDYKFDVEDLSKK EIVELLYKFYIKHSLGYDLAKLEQFEEVNSKVLNDIQQHLQEHYQMAITFMNLNLLDDLL >CAK66600 pep:novel supercontig:GCA_000165425.1:CT868046:29504:32368:-1 gene:GSPATT00035730001 transcript:CAK66600 MEIDPESKARKLRSRIMELNSKMNELQQELDAKETRNTFLEREVQDSQKNLNNMTMYVSK QIEMMEAEAPQQEILDKNRVREFFRSISKQVLEKFGYHIDKYFRYLEECVQEEFPEQNNI ESDNQYQPPPMTCAIQVKCPKNLLSKDVKFQDDNVDYVTATFRYSKINSFQDLKKASLVY WNIYNIEQVRKEMHYDAGQTSCNDQPTKSKVNQQPDSQAVEQIDDILQKQQPGLVSPGSI QTTTRNLVSPQVEYVQECGNNDQIMLEKFCNRYEIVNDNQENIQNTELIERYYNKVLADQ NISYLSVTLKKKRMKTHRRGQPTESRQSHITSNAQPEKSQLSHPHQSANVTFDGSKVSEE KPLTQIDENEDEYEEQQEHDQQDLKIGLFRKYVYFFNRFSLLKKQFVIDVDKLKKRGRSA EKNQAEELKGEKLDDNHICILFILIILMALNILFIYFLDNGAYTQQHIKKIENSLNLNKF LAISSISDLKEYYTNPQGLMYQFTSDNSDFKTSYEIIGALRFRQLRTRYTQCPNPIRTDK IPQITCNFETYNDQTFFTDQIGDGKKPWMLFTDSKNDRITEGQFSEYDSSGYIQDISAQD LTPVYYIHNIIEGMFNHPFYFQETLIAQVMTMTVRSKNDGMFVFIEFLVEVTGIGIYPKQ PSITPFSPNIFGKGSSSPYSIYAEMHSESPDSPNNKNIGYILYMITVLKIIVGIIYTVFQ LIKMKDSLSSGIKYFISVVFLVNSMSLILNFSTADQAMQLFKQNINVYDLVQKTSYIDLG EVADSYKSILVMTSLCICAHLIRIYLMFNIFKSLEQAIEAIESQYLQLLSSFTLLFLILS GFAIILTNQKGPYFVNYSVFARSLAQLILMLCNSSNIGEFYDTDVTFSMLFMIFFYFILY QFLFGILAIIYIDSYRQVVISQGQPESKILKKNNLMNWLFNWLPNKIYQKKIQE >CAK66601 pep:novel supercontig:GCA_000165425.1:CT868046:32660:33724:-1 gene:GSPATT00035731001 transcript:CAK66601 MAFLQKQQMLKSIIFIQKHQLFDIEQMQSAQQKRILAKQSPQSIKSNKLKLSFQQKNVKL ININVKTKLRSRSIPSSFNPFKYIETKGMNKKQSILNTQSSIGSQKQLRKPRALILSDKD LDKQYKQQNKEQFKQRRRSCECQFCGPLSRFQKQCMNHEFRVQHIEKERESPMHKFQRSI RKHQIYVMAPYLFGIEIPTESLESSFVRQNTIKIEKKNTRLLDVIKQEDPFQINSSKLLE RTPTKKPSFFESFLVKQKEIISSEKSKLINKQFSSLSYHLLSRNAYKSIKPFKSQLPQIQ TQQYKQEPALSTERSTYKKSEKTIKELYIKPFINKYSSRLRLTTLPDLIPNHIL >CAK66602 pep:novel supercontig:GCA_000165425.1:CT868046:34733:35076:-1 gene:GSPATT00035732001 transcript:CAK66602 MSKFQITHNTKKLITQRQLLILRIKYQNKFNILSVLINATKLYMSLAFSSSYLKVQTQKG LIITSCNYTILDGVQIRHLNVECLY >CAK66603 pep:novel supercontig:GCA_000165425.1:CT868046:35612:36123:-1 gene:GSPATT00035733001 transcript:CAK66603 MQQSNPLLLTISNILDEIIKETDALEIEYNSIFHANKAPSITIYNYLQRIAKYTHCSEQC FVIALIYLDRLQEKHTYLVLNSHCIHRFLLLSIMTAIKFQDDDYYKNEFYAKVGGINVKE INVLEQEYLEYMDYQLFVDDQQYAIYEKRLLEFGEIEMP >CAK66604 pep:novel supercontig:GCA_000165425.1:CT868046:36383:37640:1 gene:GSPATT00035734001 transcript:CAK66604 MSNQILEEDLNQSLRLIVEGRENFQKATNYEMKQLNYSKMKKGCGELLNYIRNEKDENLK MIMSQQLKRYIDELSNFSEAMKKNQQTDEVEVVQVKKQELLYTQDSNKDIQLYNAQYAKY VEIHQPTQNNNTNIVGLESQKQILNERIALPLLFPQLFQGKRKLQTSTLLFGPRGTGKTE LLRQIASECKALLIIVNISMIIEVEEQNTVQELMNQIEQQTKNRSFIIVLKQLEECKNRE TLIKFFEKYNLINLVENDRLASNVIGCTNQPWNIHHSIRRIFENRIEITLMTEKQRLEFI NQKLFQNKQYWMKNEEIAELAKNTKQFAGLDLKKLFITAVQLYEQKQQAKNDEDFYDCIL DVLQVQKSSVSDSEIQQFTQWRQEFCI >CAK66605 pep:novel supercontig:GCA_000165425.1:CT868046:37670:40033:-1 gene:GSPATT00035735001 transcript:CAK66605 MLYQSDKNEIPFNGQFEDSEEESDELSEEDSEDDGLQNRRRRKRRQLVNNNKLLIMNVSD TQYAAVKFVGKILNKFKLQYTPYQETQVWDFCWTDNAVLPETLARMQSHQRINHFPGMYC IARKNYLGKNLNKMAKQFPDEFDFYPKTWMLPSDISDLRQNIKKIKYFIVKPEASCQGRG IFLTKQVESIASEHCVVQRYLHKPLLIDGLKFDFRMYVLLAGCDPLRIYLFKEGLARFAT QPYQQPNQMNAEEMCMHLTNYAINKDNPNFVFNTDEQQMDVGHKRSLSSVFKLLSQQTNI DELMAKIKDLIIKTFCSVQPFLQSNYTQPDNHANNMCFEILGFDILLDNTYKPYLLEVNH TPSFTADTPLDAIIKKNLIRDTITLMNINLKVKNDLQQLQKEQMQRRVLGKKPKISNEEK KSLKIQAQIEREQYESQHLGNFEKIYPSDKSYEDYLQHAQKLYEEWTGANIRRNIKKDNF TEREKLIQQRQIQHSITVQGSVDSRNKLDPSKSSNRKSLYKNITIKKENKQNLINKVFSN PQKSMTELPQQQPLELKIQDRDDNEIASEDQQNTSKFQENIDRFKRPPSFYTMKSTKQHQ ELQIADFFNYKRISFPDTSPLSQPNTQQVLQISSQQQLKSSAQMTRKFSIRKSNKSKLKS SESDQEAKKEMIQAFNQFSQIQQQQQQSSFFQSQQQNFQNQQPQQNGSFVKPKVFNLKLQ PPHFKIPSFPILMQQQNYYKLRYD >CAK66606 pep:novel supercontig:GCA_000165425.1:CT868046:40166:41505:-1 gene:GSPATT00035736001 transcript:CAK66606 MLNNSKKQKSKSKKLSKSKDSEVKSSCESANFKSEQAACQYGYYIIPLERQTTIKKVKNE KDISPILEEEIPFGSSILQQSNLQDYDKLICEEVVKDFTSFTFNNSLEHQISQEDEYETL SEFMREQVKYEENYSYKKNYLNNQNEIQSHMVAILFDWLIEVAHSFHFKRETFYLSINYV ERYLLRQPNVQISKFQLLGVAAIFIAHKCEEIYPKTLKDFHRLIQDQYTIQEIEQMEVVI LKSLNFRMYPNTPIFWLNYYTKLWDEFIIDKDPCVMFKERTNEAYYRYRELVQLFDVCLI DYQYKQNEKLTILGLIYLVVAKQLQIFDEYETMAISQSEICDFYHSDEEGYNKLFRQFIL QSEIYLEFDRRIFFEDLNDVIYQLLKYFILRFDNSLPRVLLNNQQFIDEMQHEELLSFQT YNKSTIETITIISKKNQ >CAK66607 pep:novel supercontig:GCA_000165425.1:CT868046:41675:43032:1 gene:GSPATT00035737001 transcript:CAK66607 MIECFTKLPLNSFQQQLYIFYKFQLNQECTMFVRDAIIAVVAVVDVAKQINSYNCKECCG ECCDAISNCFSTPFSFCTFITFFVSIIPFIIMVVSLIQSSDFDCQENIKTFLIIQGINNL VNFLFCLYITFHYGYYGKYKVENQKTFWEQTVDLILYDWFVCIYIFALIFFVIWLIICFS AANNEPDISPCRQENNGFLMTALTINLICMIVYLIVGFLIFIITVILYSCDDGSCSCGGC FRCCCLIMTCGLCDVGKDKKKYSKEYTEQKKAKYNEGRKGWLQSGKRLFGFLSLGRANPR MNQMNTNMDQQQQNQYYQPPQQQPNYQQARPVHQDRSFFDKLSDCFKSNDNNNPPPPRPQ QQQYQQQVYIQQQPNIPQTNNYQQPYQQAYQQPYQYQQQPYQQQQYSQPPQYQPNYQYPQ QQQNGWGK >CAK66608 pep:novel supercontig:GCA_000165425.1:CT868046:43071:43803:1 gene:GSPATT00035738001 transcript:CAK66608 MKGLNQEQSADYIFKFILIGNSSTGKTSILQYFIKQNCTIKKVQQTVGIEFQSKFFVYKN KNIKLQIWDTAGQERFRSIARTYFKNTIGAILVYDVTSQDSYEALDDWIKDARENGKSDL DIIVVGNKIDLTDQRVVDKDYAERDMRNKDVLYVETSAMTGENVDKCFQSLIDQIYNKIE KGQIEKDEFNPQLKNPLKQNLTTKTNHVQSQSCNC >CAK66609 pep:novel supercontig:GCA_000165425.1:CT868046:44124:44964:1 gene:GSPATT00035739001 transcript:CAK66609 MNKITIETQQTRSALKVKQPIFIEQQEAKSCRICLETELDNDKPIIQPCKCKGSLGQVHE EVRLYIYNECLKTWIVTQNKQIFTCCEICKIEYSIEFTSRKVCLPRKACKSNLENMIALI CLLFIFLGFTALQAFLVVQIVNIFDGNDNNNQQSSFLSSPITMIGFAVIVFLFLVPIFMC LLYVIKKMLFVTKIKSWHILERVQTLPAELQEQMPQHTLSRNASQLLQQLPKLNQTTSIL IQGNGQDYEHVQFI >CAK66610 pep:novel supercontig:GCA_000165425.1:CT868046:45313:45750:-1 gene:GSPATT00035740001 transcript:CAK66610 MYFLTKKNQPPYQGGLTFISHLFHQKQQEQISTNKIIQLNEELIIQQKKQVTSPREKAQS RDKEKANIRQITIRSYSSLQVNDLVENALKKEKFHIRLKSPNKFCRVKALQEIRERQKSP TFLIKQRTQPIYSQSIPSTARVNGY >CAK66611 pep:novel supercontig:GCA_000165425.1:CT868046:46092:47071:1 gene:GSPATT00035741001 transcript:CAK66611 MKSHKQQYSLKITENPDLWFISNPVKMSTEEQKRCRFSKFTNFVQNRDELLVYLKQFQYF VTVDALYIGMSNNFYQSTDLIIEAIMTQLRPKVFVALTYNNEELAEIYLNKLETQLEYIH LHLETLCENELAKRTQLGKEMGAFLNSGKQYHLKCKQIVKILLYQDPKQNKFVLTSLQRN TKNLEFLKINYIQLQKERDTFEQIRQEKKDYQNKSSPEPQMKQRLLKRVQDKKIKVLYAI TEQQLNSEISDPEWILQKPEVSPPKQEGDGGDKEPKEGRNADGEESAPKLISIIFNGQRM KLLNIWLKYL >CAK66612 pep:novel supercontig:GCA_000165425.1:CT868046:47489:48802:-1 gene:GSPATT00035742001 transcript:CAK66612 MAQRILNSGPLDETQTIIQEKFLSKEKLVLSKCIPQNKSHIRNLTYFGMKEQNLKYQTEE DNAESLEKWLLKQKNVDYWNILSQLLVGISQIHQLGFIGRSIKYEEIRVINNNLIYRTFD YADRLNCAPENKVLKFSTYETDLWLIGAIMWRIIKGNSIYEELQQSDNINEIKLTFNFQR NEAGVDEELYQLLSKMLQIYQNNRISLVQIFQYPKLNLPSTQIQTICNFYQKIQLKTILK FRKNSFLRDYSLYQSKPNLNPRIFCFYLEDSPILRCFYQHYVEIQLLSFAYHLSFYFPNK DPNVQKELDKLIIQKMILVSKQLKQQIILTLLPTQFKGQEELFINDPNYHQLKNQVITQL NKLIEMNKKYFQDSELLKMQEIDQKFNSYSTDGSVTLMSYLLINILKNSSVNEKSNIYIQ SLTLEEIFENEVQERLK >CAK66613 pep:novel supercontig:GCA_000165425.1:CT868046:49102:50683:-1 gene:GSPATT00035743001 transcript:CAK66613 MGEKKIDKYVFNVKNKAGEGSYATVYKGVNEKTGEKVAIKMLSKSVINADDYLREGLIQE IKIMQKLKSPNIVQLLDVMETSNNYYIVQEFCDGGDFDELLKKRKILSEKEAIKFLVDVL NGFTQLIKNGITHRDLKPANILIDKATFKLADFGFAKCVDNFKKDMMSSMVGTPLYMSPQ ILDHKRYNSKTDVWSIGFIFYEALFGKTPWTARSPAELLKNIRSQPLKFPTDKIPVSQET LDLIIGCLQPDESKRFSWDEIYKHPAVAQYFTDFIKGNSKLEDKAQYLINDIRLMIIKDK LDLTQLFAELDMSKDKALDVNELGRFLTRVDKDIQRDEIEYIFNKFDEDGSNSIEFEEFK KWLEDNSIHVDQEQQNRDKQKKQSLLQKNNVEERANYVIEKLKLSIIKYNIQLIDLFKKF DKSADLRLDIKEMGMMLKRIEPNITEDETKTVFDYFDINKDGEITFQEFQTNLEECIVRK K >CAK66614 pep:novel supercontig:GCA_000165425.1:CT868046:50857:52800:1 gene:GSPATT00035744001 transcript:CAK66614 MNKVTVAYICIGCITLSVMACLLICILGIVSPIYRKYPSKCYFSQYFRIIVWISCLYILL GVFLYIDQTIVIIYGNNPYNHSKFNKIQMLTSSLIGITITLISNQFILFLNEVKICIYNP FQATSSSVFIFLLLLQCLLTMVMIVIPLLLLQELHHSNTNAINTLNDMASYINFVSCLIC TLFYIAIWIILNKNKTLQSRRLYEFKSDFVKQQFYWISAILAINIITSFDNYNLTKAYEQ LVLKIALSLEGLFFAIFHLIQPYSYHKLLSVFFRSFQDQSYIQDIENIEYGLNTDIHNRL IPTYEKLLKTQINELVKGLVKAVFASLIMQFDHHLKEREIKRTYTFKFHLSELNESRISL NEEDDVKDSIILVNTYYPNGFTSLLKLNNFNKSILEYTLELQYNYKAFEQAKESMGRSGA FFFYSQNHKLILKTVEKSEIKDFLDGRIGPYFDHINYHNKSLIAKIYGIFKIKLMAYKTQ YLVLMENSFEQMQNLEHEYEIYDLKGSTINRTSRSDERVKKDNNFKQSTRNPISLPKEIS NEIKKQFMDDCRFLRDIGYMDYSLLLGICLEKPQQINNNDYRLVTNGAQNTIYSFSIIDY LQRFNYYKKSEQCFKRSIQFKKKKDLSCRSPEPYCQRFLNFIDSIIV >CAK66615 pep:novel supercontig:GCA_000165425.1:CT868046:53140:54346:1 gene:GSPATT00035745001 transcript:CAK66615 MQNLISLIFFRFSIKPEVILNEYSQLQNQHQIRNFDRVFSDQILLGPIFDKHGVIERSIV GKPDIFYKVKEQQRAGIKFVNQTNISNTTMKFVRRISSRKSTNLSNKQQQQQQQQQQHSE HSQRNEQTKRNKDFDLVSKQDLIKLCRLIESRVNQNKESSDQIVRKQSQQKIEQLKLIQQ NKVLNKYECQLKLWNEEISKSINTTNRTSNESILNSCSCIEYILYIEFRRKIEQIQLQEA LKLTQGEKTINQWYMSLRQSEAKEETIQEDTQHQSVFQDVNNKNALYVDPKYRQLEIIRQ PSFYMSQQNSINPDQRLRFNSTNLSFYQQQLPYFQLSGVHEENIEELIVEGLNKYKIEKE YMLEQADNQKFRLYKQAEEQYIDELIDE >CAK66616 pep:novel supercontig:GCA_000165425.1:CT868046:54350:55759:-1 gene:GSPATT00035746001 transcript:CAK66616 MNKATRTTSLRQHKLTFSKDNNSSLQVQTRSQSFKQNISISPDKKSQMSPTKQNSVYMNG QLLIRPRSKYNQLGNLSSSKLQDSQKIFLIQQAQQQQTQQQRAEQSPVLHRKQQSDKGLK HDLPKISPIKARQPIRQLQSDMNQLDLFKKEQIKQSVTRIAYTKSQAGKNEDNLTKTNQD SFISLQSFKDNMSLFGVCDGHGQDGHKCSQFIRDNLPKNIDSLLSLNPSSIPESISKSFL RTNSQLCNFEEIITTFSGSTTVISLIVDDTIYTANVGDSRSIICRQQSNGSRSAISLSND HKPDLPQEKRRIEQKGGRVEPYIDFDGSSLGPARVWLKTEDIPGLAMSRSFGDKVAASCG VICEPEILAYKMQEGDLFMVLASDGVWEFLSNEQVIDMIYPYYAQDEGNAACVRIVKESI KLWKLNDTVIDDITVVIVFFNKQK >CAK66617 pep:novel supercontig:GCA_000165425.1:CT868046:56031:56719:1 gene:GSPATT00035747001 transcript:CAK66617 MIQQLLDEMTVASDKQKKEMNKIDYKYQRRTRDVINAEKQEKLENKEIDVGLPRIKDKEE VYQELKRRFRMAWKSPGFKNDEFTKIPTLKGKILNEWEILRINLAERSYKAPQGFKGFKI PSQYQEFQKPKTPPGIKKQLDEFKLDFEDFVQRPSKYGKVHEDEMLEKVRINNKEPFRLT NSVQHNEFGFDPHLTWEAEMNRKQSNSKKLSK >CAK66618 pep:novel supercontig:GCA_000165425.1:CT868046:57164:58360:1 gene:GSPATT00035748001 transcript:CAK66618 MGNCQSEELSHSELELYTPQLSQYKLLYVIGKGGFGRVWKVKCQRKYFALKEMIKSKNQN NRKPIQQECYERENSALKTKSFISSQYEGSILNIDTFIHSGDLRYHLCKQGKFTEEQTRF FVICILMALQYLHKNLILHRDIKPENLVFDDRGYLHITDLGIARIWKPNNKNETSGTPGY MAPEVMMETDHGVACDYFALGCIVYECLTGKRPYQGKTRRQIRELIISKQVKLPNQGLSK EVTDFVNRLLQRKANQRLGNEGPDEVMSHPWLKNVNWSRHYDKLVEAPYKIVGKDNFDSK FVNQVLSISEYKEERDHNNLFQGFTYLENNDEQQVTDIYTSKQKLLLSTKYY >CAK66619 pep:novel supercontig:GCA_000165425.1:CT868046:58466:60641:1 gene:GSPATT00035749001 transcript:CAK66619 MNSPTAYNLDSIPGDWKLAIIHQSAHKVGVAGKQICLCCGYHICEFQLKLSVDKEDLSFL GSGYPLYFTFIKNCIFLLCFHLMAEGQFNLISNYQGEDCIPKKRVKFETDQECVRDIVTM FSLANRRKDQELQALQDILTLSSTFLMMAFLIYFRKNQKKLDVFCDLQELTPSDYTVMAY NINYPDKLKQYFEIDLFKLSRKINVKKINLAYDLSKIKEINQIKHNILNKKKSSFLNSEY FDERELEDINNQIEVQKQNCDFTGLAFVSFKYERMKSDVIQVAKRQYIAPIINIFTGLNF NEGRMLDYYGHPIYVEVAPEPTDINWDVIYIWANHSIYRRIIGAIINVIVNIILSIVVYL SILKQVQVLGELTKDYHGNNTFDEHYIKVHLYSIGYSMITVFINSFLLDELTRAIVSFQA YPTFSQVSLAIASKLSFMMFLNSVFMQCLIALESGNVYYAGGLAYNMTYFFISNAVIPPI IQVLDIPAVLKSIKQYYYKKYGVLTQQELNELLEFPEHKIEEGYAEVLKTMNVTFFVGPL VPLGYIFSIIGLILFYWAEKYLLLRSKTVKHTPSFQLSSRMTDSLQLIPIIHVFSTSAFK YFIQNNLSLISVIGLVIALIYHFIPNNRLVSLMWDFGEHDEYEKYCDSIFPFNYDRENPI TQKKALRRWLRRQHRFEERKEKKMKSGEDYATLKLKEREIKLQLELFQ >CAK66620 pep:novel supercontig:GCA_000165425.1:CT868046:60718:61062:-1 gene:GSPATT00035750001 transcript:CAK66620 MTTLNVQINLNQFQLILQKEEEKKQQQYSLQRKEYPKGPINLYESRFEKVSLSNQKINEV IGWMLIIAVILMILVLPISIIQNSIIGFAIPLLSVTTFFIVYINWISMKFFINS >CAK66621 pep:novel supercontig:GCA_000165425.1:CT868046:61208:63049:-1 gene:GSPATT00035751001 transcript:CAK66621 MKQKVKEIIKYLKEFQIPAISSEFALSEAERANLYFYLTSQEMESQKQQIEEKLPEEIKL KKVKIYQVYDSIDAIQNFNSFDFKQEERNIKENEIQIISNRDQNIKFNSLNEIKQFLKQY YDQKQNQIQSDEIQQIDRQLIIQSLNIQFQEPNCDIQSIIDIFLSILYKEIEQNYQKRYD YYLDTFCMLIKQKISFLMSNLSTQNITEKLINSVPQFRVSLIELVKEFTEQALLKQNEKI DLVFKFLNEDHPLQFESFRLLLKINHSTILEFVKQANPLIFNKKKPEIKDFIFEETQQKI NQLSTMNDCQQQLTFMFYIGICQQNHNHHCKILAKLLQDCQQNYPQHLDAIYANLLKIKN NSNMGVKQIIEFIGLSVNNPDALHQKFLDLFVEFALEYPKSEEFAFDMFRVYLYRYFTQQ IEGDSNIINQIISQTFDQLKIDFMMKTIEKVPTERLTQMILRRSNFDLYHYILKDESKAL AVFLIKLFLQKDQQQLKNNKYELLKLFQEQVCEYEHTKDILNFLFQEQNKSYNGLITTFI MNCLKRKKPNNMQEEIKFILSKSFYYEDETIIKSVEFYRQMYPDQYQQLLDDQTRLRLRD KRLL >CAK66622 pep:novel supercontig:GCA_000165425.1:CT868046:63076:64493:-1 gene:GSPATT00035752001 transcript:CAK66622 MKQQNQTLQEDLQQDSKNNDFEKMNSLQEECASYAKKIEVESKKQRDLEQLIQNAEQQLQ DHRKKMSNSDGIQLPSLIKKQKTLESQLEQIKLKHNESLAEINQLMEQINTARRERVIYS NVFKKLESDIRAKEEEFKKQLLIRKQIEHELNQCQEQFDKMKEQATQVVESNKQEYTQIL KTNRLDETEEQSPKNQQQQQQQTKQESKSQQIKESQQPKQQIQMQKDVEDVTNYELMFEK LKKETGLNSIEEIIHTFRTIEETNNELFKKANVLSDQIDLEEKQIEELQRQISQYTKNQQ KEDNEFEDEKFKFAQQLERSEKLDKEIQQAENEIKEYEKELLEIANKQQIKYDPNSEITL MQLVEQRAYELVDLCRYYDNHNYTIDSKKNDQESTVSNQDDQTMKDLLDGVENEKEAEKI MSKDDFKKIGSQELQQFQKKNISKKKRE >CAK66623 pep:novel supercontig:GCA_000165425.1:CT868046:65415:66003:1 gene:GSPATT00035753001 transcript:CAK66623 MISEITRNLGLYKALFLVIGVVLIVFCFFFNVAELLSTGSGSYYLTFSGKTHSSINSMDC YMNIPDCNGDALCKTVKTTPYLGGFALGFAGLVLLFSLGESLISRVLKQFHIWLIQMISL FFCWALLLIIPILYLTAGSRIWDVSWLPLFFEFAALFSTTISAFLYYKSKEGKGLGILS >CAK66624 pep:novel supercontig:GCA_000165425.1:CT868046:66418:68842:-1 gene:GSPATT00035754001 transcript:CAK66624 MKLSTILRRLDIFGVPITLIGFNRLKYQTKLGGLVSLALVGWLTYNIILQFVSLISKNKL LVTTNTIFSDTPSLIELNSQNFMFALQIRQSDYINKPYFTFKLEHISVTDGFNKTTQTVP FTKCTIKDFENLYFYNVDMFEQLVFNNYTDWVCPQFGNITYALQGKFGSKLFQYLKISAI PCKPGQYDYDYQCPSTEETIDYINSIDGELNINLMITNVNVNTAQTNSYTGFLDRDYYML LNPLKQFGSVDMFLRNWDVYTNPSIIDDGTDYDYQNYVVLEKQETSEKQNYHEYDISKNQ EIAKLFFRTSQYSLYTKRNYVSIGDFLAYVGGIFGSLYTIGALFMSIYAYEQLWLKLFKV HYNFVAYDRNCKSLNEIIKNKFSQENILIPNMKSFLLFFTKQGIQNIKTYIQSQTIIMED LSVVNYLKTVKDLQKIKKILFNEKQTQILDLYNKETIAITKYQAQHSHKLEKGKKFLYGQ HFQDHTLQTLRKIIDQYQSLLYDKDKFQLKITQRLINIFDNDFQEVINNWENGYYQLKTL NTTNYDNVQQNRMPQLSQQFAISKDITKIINFRNQYNLTNPEIESLLKTLFFKKQTLYLD ENFNIQYEVSINQEEEKINYVLTIHNKFQQYQLDIKQSDILVQHQFTARKHKIECNLMRN KSISLLDLISCSLEVTINSNYTIYLNCSLNANIQYQLKVINIPKDFRTHYYIYLDDYNKE RFQLLFALLQQQKFMISNIENFECMFQFDSNGQSAQYIEIFMNWPNNEIILNELIISNKP YIQFLQVLYDIIILYLQNL >CAK66625 pep:novel supercontig:GCA_000165425.1:CT868046:68863:70107:1 gene:GSPATT00035755001 transcript:CAK66625 MFMVLISKKEFELLKLQVLMLVLFTIFTITYARLLQRGPPPRNDDNRRQHNSTINELFEN LAKNLDQDQKDELSFINSYIFDQGYQYYMKQNQERKEKILVAFLGMAEELDKQTEQENKR IENDIEYFSSLNNTDKDIVLTKISKELERNLNDTTKQFTSKQVLNIIQKQIEDFKAKPDR SILSTWDTTSSIQKQKKEEQELEEIEYQIQEFLDQGLSDEEVKKNITLFINQYFNGTQNL PGDIKNIDQIIKDMSQQEKQKNSTETFRNIRQEIRQIIRDSLREGKSEDEIKETIQEFLN QKGFQNLNQNQKEQIQSMISNEFIRFKRQEDYKNKVSQNSTQSEDDNEDDDPIDTTTNGN SEEQHTLIYVGVFGALIFVMILLFVIRRYKMKQNQSKQIRFKIQESQQEVEQME >CAK66626 pep:novel supercontig:GCA_000165425.1:CT868046:70192:70894:1 gene:GSPATT00035756001 transcript:CAK66626 MTDLRDFDIKQLHNNIQSCFQYLDKQIYRAMNVAPINNTIIIEKPNTAIAHEYKELQHHV KQLQVKYDEVCYKYMQLLTKYQKLKITLKFQQEEIDHQCELIKEMKLTQLQRPEVIQIPV YEYLREFTKNNHSQLSNFLQILIHTKKMDLSMIELLQNIEKYYRPQNKMLFQDKYVYPKV PLRKIRERILKTLPDQVVSQNIVPERSISFQ >CAK66627 pep:novel supercontig:GCA_000165425.1:CT868046:70900:75699:1 gene:GSPATT00035757001 transcript:CAK66627 MSEEGKNLTDKSLESNNQNSLYRLIYLMVRDSNQSIIVHSLAMLITLMQIHYFKFHHMIV SVWQDSNADTLTQIFGYFNFTHYLLNQDYSAVQILIYVSIALLCFWVFLFLISIIFIVNQ KDPVQLLINVLKYLTFVFSTILYIPMLFLFTFSISCTNGYMDDFSNQKCWQGLLVIDQII SIIGLIILSIMCSAVNYLFFDGSFDQEKALSKRNGRCTTLTSTHFGIVVLLSMLPHNSNS QVFFEVYQLIGSVVCFLYAKRNDAYNNRLMQKLCLTFLTLEMWASLMLCISNLVEKRIMV GSLYTWLFCLPFVFMIQLLQQQKREQCLMIYVNKFDNPVQLVNHVHEVIKLVEYDKKRLP QGQLLNGYIEFHNQTCPNPLCPLKKVKFSLNMFKVKNEIVSKTDKRHQLIYDTMTRLFIM GIGKFPTDVFIRIEYSLFTLRILKSKPQALEELSNIEKLKTSFDEKYIVFYIKSVIEAEI SELSGEGQDFTEQRDFDEKFGSFKQAMERSVSLLMEFWSQFADEKPDLVKLYEIGSKLFP IRMYVDTTWKRLQRIQNQQLPQALRLYSKYLIEIFNDRISGFDLLEQARKIESSFAQRKA FKLGMTSNLNVDGQEDGCVFISLEEEKFGQIYAINMAAASLLGFDKNELMNKKVNNIMPS LYAKHHDDFLRRFLDTNEATLLNKERLLLGKHKNGYLQGINVLLRPVYHVLKEGVEFVGT FKKEKRIKDVAYLVCNKEYVVEDISGGCINLLGLDIKSLQIQEIHLFDLFPEIKECYGDF KLKQGKSVDFILPKILDDHIAFGASDTSIKLSVSILDINFLIMKNSENEQGLAGYQVRVE RQIDLSTSNIKQFQQKTFKNQNFSFRLQFQQTGMHFYGEFTDANYSEMITHIQDQSMFTN QEYDLKSKEVEKNEDVVDYSQGIRVMRLFEGVIYDIEKFQSDADMESFEEIQIKKEEQLN QESDEPNESGYFRSSKELQETLNAQSESRTIRWLYYISFLLTVLLSILCILNNVFEREEI NNQNSDWNMIIKQSDMIIYLNEIVLYSRELMLLNIGFSNYKEDKLLSNLNKSIQQFDSMS KDIFMKISFNSDVMMNTQSGEETSTLEQASQQIVSKSLNIQQVYSQLNENQIDYYYVIYN SLNDFIRALLDMYMNTIDLSYDQTNKLYSDILVILVLSACLTFISSIILTCILTSTLDKR QEILSIFLDIPEKTAKLFYSKCENFLSQISSNEDDEVLSEIDIVEEKGNDEPVSLLGRKR KRFKNNENKHMGFFVKMILLASVIESYFIMIYFLDQNNADQKLNILNEFNQTSLSSSYYS ILVNTLKQYIYNDKLNLLNQDSNTTMVQLINNVYEIDTLYQKLHAVNVEHNDISYIDYYN SIMFDNLCTTITLQEITCETFANGILDHGLMTAVSRHFQNIRKLYNSYQNLNQNATLDFP WSNFTLLDLSADPKRNKLLNLLNTPESYEINNMQFYVIKDAFTLLKNKYLDITTSDQENY IVQQLILMIFFLLTLILALLFCWNPFLRKLNREIWSTKCLLTFIPIDEIAKIRTINNYIR TVILEQNI >CAK66628 pep:novel supercontig:GCA_000165425.1:CT868046:75726:76251:-1 gene:GSPATT00035758001 transcript:CAK66628 MFSMTPIKATYVEKNNYFAIQKPGWILFELVPVIRQKEGNHHLEWDKKKHYSLGVKQIGQ LLVTKNKAYDEASNFLITYQAQANDKKLLKINKTAQDIYLSISQEDEQEKQFNPFKINLT QAEYVTALELINFSLPFLLGWDALYTPQYSDNDAQD >CAK66629 pep:novel supercontig:GCA_000165425.1:CT868046:76401:78461:1 gene:GSPATT00035759001 transcript:CAK66629 MSTDQSSNSSTQKGKSLSSIDTTRSKYAQELGIHQLLQNAFQDMDDENTDMKTLLPISIL LEEMKSDHSSTRTYCLQNLSTIALVLGPARAKGLLGGFISNLLKDQEKAVEDVPEELQVM LTLLESMPKLINLIGGPENSLVLLKPLLETIGDRTKEETKNMYIKIMRDIGKRLLKQQHL FEECVTQRIIEAKDGDIDEQCAAVTLIANLYNLVSQQILQEWMEYFNTLMLSNEQNVRKR VVLSIKEFAQWASNPEEHKFCIELADNVFTNGKDFKSNLFYSVPALIKFQGYQDYLGKLF KMDNINAYNCFLEICIESLQVLQNTADSKIFIENFIKLFSKTELELKQKLSVYCGKLVVF IKDSKTIYKGYLVQIQQYMTQLEQEKNFMIKQNLVESVILILNQATDRRIYYQQEEIQYF LRFLMNQLMSGDTDLKFKFVSKQKSFNIILQFISDNAFAQNESIQIVKNLLQVIINIEQC KNWRMRQQCLMSLQMFLTNLQQFQIFKTFQSDDLEVQLIKRLVQDRISAVREEASKTLLQ LFRQLKENDMFEKGKRVIDQLSDLLKHPNYLVRVNFVLGLGNAFFLGEHTYNLLRQLKNE VPNVKLALLEVLYNNKKCKQLDLIPINDLDQDVRDVAQIIYQQFK >CAK66630 pep:novel supercontig:GCA_000165425.1:CT868046:78462:78940:-1 gene:GSPATT00035760001 transcript:CAK66630 MKLKQFTEVITVVKMIIFEDILFQQQALDMKFSSYHLRYKLIYLLFARYMVKRMCGYQQN KTIIKQYFYQYNMYQIFRTIKMMRHIIRPIRFSAPIVATNNYLNLYNLNQFYLSLLIETN EEDEEFMQVKLEPNIHRSIKKEKCVVQN >CAK66631 pep:novel supercontig:GCA_000165425.1:CT868046:79371:80244:1 gene:GSPATT00035761001 transcript:CAK66631 MIKTQLIDLLKLTEEDLKKTVIVKQHPQYEQIFFMILNQKANTFTHKFVREIHEALTSIE QHEGHTALITTSFHPTVFSGGLDLNVTGNMNIFDRNNFVLEFIRLLGRLLRYPVPTIALV NGHAVAGGCMFMFAHDWRIARAEPKKAHCSLPEIEIGMYLPPGMNAVCQCKLTPSVHRDL CLLARRYDLGSEGLQYQMVDGLATEDKIVEASIQKALAVAKYGQDKENFTKIKEEMHRDA IKACFSRQMAPGNAYDLGLPRL >CAK66632 pep:novel supercontig:GCA_000165425.1:CT868046:80245:82950:-1 gene:GSPATT00035762001 transcript:CAK66632 MSFYIYRAPEAQKVQSFPITLLDGTGSMHKEYPRAINAYKTVFNELGKKKLEYQWAKDLY PLEPFKQAGSGNITNTLTNMFQMLLSEKAKIPKNITLVFISDGKEEFDLDKLLVLIERMR HKYLIQFISVAVGDQFPNSISNTLRSMIHNHDPTCPPLFQITRSNTKSFQQIQQEFEHIF WEIRKRLLVSGEMILINLPVFYTITSKKPQNSVIANSLFLVEKGKTVVMENRQIQPTHNA QDIYQMIINSVQQAFISQAQVKFQDAQHEFGQIQLISQDLLKQIDKSQQHDLTEEQKSEE IKVHATLNVAKQIAQGQLDLTNAKESDLTSLQDYLVNNVHKFTELASKLKSTTAKIVKGI KSIKGFAQVVSNAQHINELKKSHAQDHSEDGAKHEDSNQLSEQDQESEQDKMSSTQEIQS AQEIKSAQIIGSNSDVLNQNDSSKNDFEASPQIIEQIEEPQDVDDLKNQQIEVPQNQEDE DEQIQEVQEEQVPKNNAHEEQPNQEQQNDKQDEAQPKHISLGQMTNILADITKEAQENTD QVEKNQQEEQLQGNQINQDDDQVDQLCTTNQQEEQQIVENLEQENQIEQDNSNKQEEIQE IQEVENVPVQFESQLEQIQEASQADNTDLPQAQIEQKPELQNSDEPEIIQQNENKEEDLN NQPEQLNQIHSYPVIDDEEVIQKMPIDNEELVLFKQPKLDEFHSQSQNIILDEPVEIEKI ESTVQIENLREEIEDNKQVQNLKEQEQQKQLVEQDPVPQQEKEQSIEDLSQIEQKVNQNN ENFVEENKPFLVEKVEQPQKQDKENQKQQEINEEIVKQKEVDSVEAANDNEQDKFPQGEG GMEDADLELRGQNFENQENVPEVQQQPEELTQQSKTGKKSYLWLMLLAVVVGYTVRRFRK K >CAK66633 pep:novel supercontig:GCA_000165425.1:CT868046:83466:90521:1 gene:GSPATT00035763001 transcript:CAK66633 MNLIRQSDTIEDKLKKWQQVQKKKYAEKRKFGFVEGQKEPQPPEILRKIFKDHGNLESKK YRQDKRVYLGALKYMPHAIYKLLENMPMPWEQVRTVKVLYHITGSITFCYEIPKVIEPVY TAQWGTMWVMMRREKRDRRNFKRMRFPPFDDEEIPLDYGDNILDVEPLEPIQMELDERED NAVFDWFYDHQPLRYTKLLNGPSYRSWQLTLEVQQNLFRLANQLLSDIVDQNYFYLFQLQ SLYTAKALNMAIPGGPKFEPLYRDIFEEDEDWNEFNDINKIIIRQQIRSEYKIAFPFLYN SRPRSVAIAPYHYPANVFIKQDNPEIPTYNFDPVINPISAYRTQSRKIDVQIDDSELDIE IGDGFVPLLGETELSDEQTTASIALLWAPTPFNQRTGKTRRAFDIPLVAPWFKERCNPQY PVKVRVSYQKLLKCWVLNSLHKRKPKCQNKRNLLKAFQATKFFQLTEIDWVECGLQIARQ GYNMLNLLIHRKNLNYLHLDYNFQLKPVKTLTTKERKKSRFGNAFHLCREILRLMKLACD SHVQYRLGNIDAFQLADGLQYVFSHVGLVTGMYRYKYRLMRQIRMCKDLKHVIYYRFNTG PVGKGPGVGFWTPMWRVWLFFLRGIIPLLERWLGNLLARTFEGRHSKGISKTVTKQRVES QFDLELRAAVMSDIIDMMPEGVRANKAKTILQHLSEAWRCWKANIPWKVPGLPAPIENII LRYVKYKADYYTNSAYYNRERIRRGATVDKTVCKKNLGRLTRLFLKQEQERQHNFMKDGP YLTTEDAVAIYTALVRWLESRKFIHIPYPPVNYKHDTKLFLLALERLKEAYSVKSRLNQS QREELALIEQAYDNPHEALSRVKRHLLTQRVFKEVRLEFMDLYSHLVPVYDVEPLEKITD AYLDQYLFYEADKRRLFPNWIKPSDSEPPPLLVYKWCQGINNLHGIWDVSDGQCVVLLES KFEKVYEKIDQTLLNRLLRLIVDHNIADYMTAKNNVVITYKDMNHTNVHGVLHGIQFTSF IMQFYGMVLDLLILGLNRAQDLAGPYNNPHEFMTYSNIQQEIRHPIRLYCRYIDKIFMVF RFTQEEARELIQRYLTENPDPNNENIVGYNNKKCWPKDCRMRLMKHDVNLGRAVFWDIKN RLPRCLTTLAWEHSFVSVYSKDNPNFLFNMCGFEVRILPKIRGTQEEFSEKDGVWKLQNE SSKEITAVAFLRVDEESMKKYENRIRQILMASGSTTFTKIANKWNTTLIGLMTYYRESAV HTEQLLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLSMGHILIPQSD LRFSKQTDTGITHYRAGMSHDEDQLIPNLYRYIQTWESEFIDSQRVWAEYALKRQEAQVQ NRRLTLDDLEDSWDHGIPRINTLFQKDRLTLAYDKGWRVRQDFKQFQMLKQNPFWWTHQR HDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMRFKKLT HAQRSGLNQIPNRRFTLWWSPTVNRANVYIGFQVQLDLTGIFMHGKIPTLKISLIQIFRA HLWQKIHESVVMDLCQVFDMEMETLEIETVQKETIHPRKSYKMNSSCADILLFAAFKWPI SKPSLIHDTKDTYDGTTTSKYWLDVQLRWGDYDSHDIERYARAKFLDYTTDNISIYPSPT GMLVAIDLAYNLHSGYGNWFPNSKNLILQAMNKIMKANPALYVLRERIRKGLQLYSSEPT EPYLNTQNYAELFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGQLFLKVIHTSVWAG QKRLGQLAKWKTAEEVAALIRALPVEEQPRQIIVTRKGLLDPLEVHLLDFPNIVIKGSEL SLPFQAILKIEKFGDLILKATEPSMVLFNLYDDWLKSVSSFTAFSRLILILRALHVAHEK ARIILKPNKNVITQPNHIWPTLTDDEWVKMEVELKNLILQDYAKKNNVNVQSLTQMEIRD IILGMEMSAPNLQKETIQDIEKQAKEAAQQTATTVKTSNVFGEELAVQVTKPYENQSFSS HSDWRVRAIAATSLYLRTNHIFVNSDDIKQTGFTYVLPKNILKKFISIADLKTQIAAYLY GISPPDNLQVKEIRAIVMIPQIGSRDNVTMPHQMPDSEYLRNLEPLGWLHTQSTETMHLS TYDITLHARLIQENQSWDAERCIVQTVSFTPGSCSITAYKLTHQGFEWGKNNKDLNAVHP SSTQHFEKVQILLSDKFRGFFMVPDNHMWNYNFIGLGLVQQMKYGLILSNPKDFYHEVHR SSHFIKFIRNEDKDQVDEADNEDLLS >CAK66634 pep:novel supercontig:GCA_000165425.1:CT868046:90521:91690:-1 gene:GSPATT00035764001 transcript:CAK66634 MARSLTILAIVFAVATARVTKSESPKEILAQVNKDSFGNSILSVLQLQLATGGPVGEIQI LLNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALG EAEVEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQMEAIDEASKIVQHLQA GVAFAQLKSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLV ASRASLLATEERQAANWEVQSSHLSEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQT LFLEDAEDSLAIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQVRE EVF >CAK66635 pep:novel supercontig:GCA_000165425.1:CT868046:92273:95785:1 gene:GSPATT00035765001 transcript:CAK66635 MSSEEEEDKQYNIDQLLLQITQIESTRQVNPQFRKVQEPEFNLVFNLKRPPVEDLSLEEA QLCYLENKRQQVFQRKTNEVKDKKYAYAANNQSKIQNKLLMFFSKVFRDQPNMVEKFLYD KYSHKQETILEQQIHIGISSQQFEPAFQSNKLPQLGYNFEDAFKIQYTDSRMGKANIKKQ RKLKNDCQASTQNDYFNTIIQQELYEDPIEIGDWKKSWAIVVRNAVKISKFKAKFRQDLR EFFKRIAYFAAKEARRRNQKCQKYQKEFMEAQSYWRKRDKELIEIKKRKEKLEQERKKKE EEEREQLLQQKRLEFLMKQSDIYAHFMAKKLGITLDNQISLNTDEIDEEQALENVQKVIN DNRKQLQQFDGKKQENVQIQELKLDHNDQDRDFSLIAPPSTFKGDLKEYQLKGLRWLDNL YDQGINGILADEMGLGKTIQAIALLSHISSFKSIWGPFLVIAPSSTLHNWQQEIKKFCPT LKVLPYWGQAQQRKTIRKYFQQKNFGQRESLFHIVVTSYNLVVSDNKIFNRAIKNINSQR WQILLSFNARNRLLLTGTPIQNTMGELWALLHFIMPRFFDSFDQFQEWFSKDIEAHSQDQ KTLNQHQLQRLHAILKPFMLRRLKKDVENEIGQKKEVQIVCEMTSRQAVLYRNVKSKLSI KEFFRMLDSKQKVDNLMNLVMQFRKICNHPELFERKPYKSPCVFQDRQNVEVYQKNPIVQ VTKRNPISFIIPKLVYDNLIKNTKCLFTAHYIYNSLKNGESTFSYLLFKHLPLSLFEKNV FDLIDLLCHKQDSSSLVNYSFSKQLQFYIPPVQSQIVSFECRSQSFYYQIQQSLYNRQAL SFIKESPASFILPNSPESLIASSSKLLQLDKLLKELKQKQWRVLIFCQMTRMLDILEEYM LHKGYTYFRMDGQCQINDRRDMVNEFQQNDKIFAFLLSTRAGGLGITLTQADAVIFYDND WNPTMDAQATDRAHRIGRTKDVYVYRLITKGTIEERIVKRAQQKQNVQSTVYSGGFQGDK FKPQEVFELLFGEQEMDETVANKFMAKGQKKKKKPVKVEQKDTQKDAQKEVVKEQKDQQE EEDIIEVDLRELEMNEKDCEDAD >CAK66636 pep:novel supercontig:GCA_000165425.1:CT868046:95843:97300:-1 gene:GSPATT00035766001 transcript:CAK66636 MANALANDALLQQEIDQQVIQNPHLSFAQKKSLRKSILKASVANAPKNSSFQTVLSVSNT MVGSSLLVIPVLFQQSGILSSLIVALVFCLISCKTCQLQVVHNKPEELDLPQTIVRILGQ KYNLIFNITNIIILYFAGVVFFILQCSMLYSLVQLLCKELEMDYAPKTDFVLSKLSYQWC GIFYMCLLFLIMLQKNLTLIIKLVQYGVISIVALIFYIVIYGSINMPEIENVNLLTLDVV TLSGVFSVAFMVHSCIVPIMKNNLQQENNLRDIAISFGWTWILYALVGTFGAIAIQGKAI NPSGDGSTVLDYLDQNIYSYGIQVLQLFQLTTVFPLLAFITRSQFFALIYKLEQPPQKWF VFYTSIVAFTTLLFQCLNISVSLILSLSGAVVGFFQIYLIPIQLHMTCLYAKKKVNDNRI GLINDSKEYLENPDESIFEELDLKCLDHLALKKKLNKQTRFIFYYMIMLIGAMMGFLNII APFFK >CAK66637 pep:novel supercontig:GCA_000165425.1:CT868046:97321:98080:1 gene:GSPATT00035767001 transcript:CAK66637 MQYRRILEAFEHRWMERYEQNNKLRTKKLILDPPDQVSDKENLPLSKVQQTNQTKEQARK IRLKQQLQEECPICMMNLDELQNVCEIDVCQHQICLTCIKEWAEKYKTQCPYCRATFKKI YPIENGKRRKTPIKLNLKQQKWKPEQDQFYNSQDQQEENQKCQLCGCSHSQYLMLVCDKC NDQMCHTFCDPGFLEFFIPEKNWYCLDCRKSKLIYHKPK >CAK66638 pep:novel supercontig:GCA_000165425.1:CT868046:98119:99801:-1 gene:GSPATT00035768001 transcript:CAK66638 MNKKLQLITIHNYSLINYLKVFQKKLGLENTVIQPQINQQGGKLEQFGDDIQVYSHYDSS NLFFVTLDQDGINILLNSDSNTNGCTQWFNFQIQALKPVVTIKFKILNNRRSNQLLTSCN LFTSKNRCFDIHYYKTNVNHPFYANEDVNIAQYQKATSFYTLEFKYTFTDKEFITFAYAE PYPLSRALQLRDYTVIGYTQLNNPIIRIKKGKAKKYVVILARQHPCETSGSFIAEELIKI INSQKYRYIIYPMVNPDGVFLGNSRCNKNGIDLNRRWINPSLQEEPEIFYIKQSLTKYQS RIKFMIDLHGHSTKQNYFIYGCSTNSNCNIKMKHFVKQFQNCKHFSLKDCSFATQSDRKC TARQTFWLDYNIQYSMTIEVSLFGSQQGLHKGKFNNQDFSEIAEQIYNQIEQFNEDDFDL IQMPIIECLNESCESESECEQDIVKGVIVKKKSQQYRFLDQQNQKPSTIRQDEDVKQKQQ ILPKLRISKVMSKSEHRASSIFEIDNSFVFRLEQFNNNFNVIKYFPQRNIQPKRMSSIKK YSKNTNATINVEQLKKYLIL >CAK66639 pep:novel supercontig:GCA_000165425.1:CT868046:100009:100959:-1 gene:GSPATT00035769001 transcript:CAK66639 MKIFVILILIKLAFAGTSLPPFYHTTDEVEAEVKNILKNCQMKATQKKYGIVDSITLKPK LDHENKNKAFLIFGEHARELISVETGLHLLNQICHTPLDFKLKIIINMNPTSRRYVERGH YCLRENLNGVDLNRNYGFEWKFKENHFVQDSSGPEPFSEVETQTVRDILSSFKPKTFITV HSGTLAILHPWAFKKEDVVFSHHHNLRGVKNECVDCLIGGAATQIGYTASGNSMDYAYGM AKVKRSYTLEIFEYEKQNQRKEPDSNFAEFLQHKEKEKSEISNKELFCFDYFNPRSEDQY NELVAKWSNIILQLIQ >CAK66640 pep:novel supercontig:GCA_000165425.1:CT868046:101423:108702:1 gene:GSPATT00035770001 transcript:CAK66640 MGCDPNLSQRLCVKQFGNMDGTTSECIFDTFCHTIYDKHITRCSSKLSKSGCLAITNPDE LCKWENGQCQNLSVEEINNINQIFQDLELSASVCSRISGYLIIHSTLLWDLLSYKPDLFT EAQNLVKVEAGISVDTTKTYDDEKLGDNYVDNNGDYKWYLLPTQLSITNLKINDYSRYGC IAQEILNESRLLTLLSLSGIIRGVNHIYCNYLSKHPTNPVKTVFTSYECQPITESQLQDQ QYIDQNQIVCSSMSGLVCQRYLNIKQKCQINYSKEYECFNIAAIQVQQQCNSKTGLATHF DCAQVKGTACYLDSSTSKAECSQACVQYKSQVNCENDTRCVWLGSNLFDITDSSGSIFIV CAPTNKCDQLGLNQDYCQQMATPCYWDANVGKCTTITTIEQMKCERCNTIYCCTSIIMFD QFCIWHQNSCLNVKDQKLYSHYLSFPEATLMNYNLCTSQSGKYFYYNIAKQRCESSTVNL YSPCVDFDDENYDVDDFECNNGVNSRINKEYCLNLINSNTRWDYFKSKCLHVTNIHKSCD QMKLVNSNLCKGAQVATHKQCLYDSQSSSCYSKDASVLHCDTKGINQDQCLSITNEYCSW VDNQCGYLQPSKINYYNCYELQKVSPSVCRMSVNQSLQCKYDQDSQSCFVVYLNLSDCDQ YANRYACRMSSSLCYFNNVSNQCQDSTPFLDRFNCNTEFISQSTCLGITKAGQYCFWGSV NSDATVRCRNHEQGFYSNCSSFDGSSNANICVNLTTNIALNLSDQQYCEVINSVCSSQVS DINDADCGYTRQMNVYRCMGYTTQYCYFKNQKCIILDGPQEYKELILNQLLCQNSNMHIC TSITTPGQVCYKQNAHKCISMYLSFGDSCSNLGAYDMSIYNPQLCIRSTDACYFDKQTNQ CKAPQANDVFHCDQIGASQILCLLHTRGPCVFINNKCSKLTDLSISCDYRNKMACTYGAL NCIWDQTNTQCKLSTELCPQAYDNVKSWRVCGISSGVCFAGRKGCSNVEVTVPCWLSLRY DLCMSQPGFCQWVDDRCTDKEYDCEDSKTVQECIYHKSKACVYDNGKCFEIDNFGIHDCN DFQYTTLNFCWNYYPLCVYKDDTCQMINYNAKSDMTDEDFSQYQCSSLTNSQYGCALQRA IKCRFEDRIWYQACSEVQSFELDTCDYFDTVQVRSFLACESIQNCGFKMTVKGEIFCHTI PLQCETITPFCVKDIPGINCYLDNDTCLTYTGDLTCSAVASYQVNRQLCLSIMQNELNTE ECYYQESTSKCKLRNTIEISDCTQYTELYQCTFVEESCLIVLDLTGVFQQCNQSQNDTYN INLNYFGCISLTGSLYYFDLNSQTCLIYQESKYESIYICNQLNQKSCVEIINDLKCVWVD EKCQEYKQSYETVDCEFKNRLACLNSIKSSCIWIGDNSECDISDNVGNQCDDGTYLKCEY SENYCKSISKLWNGETCFDYLFNLPYSLLRCSKLGLSRSLCLENTAELTCFWDGLTCQEA NLKTINCTDDVSYNTCEQILTYKQICKWDSGTCVNKYNSQNCNDYITLTYCKQALVPCSF NLGANTCDSLTEVDQSCSDTLSYSACQQVTNQYCSWINNTCQKWYRSKYSCENVVNQWGC LNTSMFCKWNGRCENIGKEFQKVSCQDIPSGFNKVSCSKYSMQPCKYDEVTQKCNIKLTS EYTNSMETDQSNFVQIRLIKECTFFQQKEDCIGSRIGYCSWQNNLCIDCSTSSQCNTNDC IDKIISNVSCAWRNDQCVDWQSAENVSQTQLSLVTEICCTTLNMAIKYDQLLSSCVPLDL SSDNCNSKGLSKYACLKLTSAACIYQNNGCSVYQQLIKSNCSDYKDVTQSVCQLIPHLSC KYDAKTNACKDINTSSDTCATIGLSLIGCTNIKTEACYWNSTSKSCQSFVVQKDINQCDN STQVNSLSCSQLNYHNNVCSYNVQNRTCQERVNKYFNCSYPGLNKMACVSLILESCQYVD SKCQKVTNLKKSCLELSDVNEMACKMNTLDNCAYDPISNNCYFTLQSLPCSYYGLNYNNC IHQTNCKWSYDVTQCICSVQQPKTQCQLSEANCKSNINCVYDYDIYSCRLKNCNDLTDCT GSINGKMCYKDAQSQCLNASTCEDIVNLNNQQKCSDFLFNSSNCIQILNHCISASNLKEL CPNSDCSNTACKFDNFQCRPLECVDRSEEDCFNFSNCIYLDGKCQEISACSTITDSSTCV QTTINQFKCSWEMYGVYENTYHCTNTYCHLYNASPTLCNGNEINNYSCIMDQFLQCRICE AIKDECTCRNSNGACLYSNGKCNSILCEQFLTETTCTESNKCYWSSQDKACRKFCKQLIL QQDCEALDYECHWNSYQYKCEQGVAVIPDLSVKINVQDIQGELISCLMLILILII >CAK66641 pep:novel supercontig:GCA_000165425.1:CT868046:109012:111053:-1 gene:GSPATT00035771001 transcript:CAK66641 MKTKKKNESQRVTELGEHILKTQNTMLAAKIDQLKKEIQNMKSLPEQTEIELIQSEELLH YKKLSNQLSRRIRIIMAYNEEAIQKKVAQEDIQDEKFECLCGANQIDSIKYFLVNGHPQI LQQQQQEIQQPEENNQQTQSNQKDYIKKLIQESSEEKAQLLHQIEELKLQQKLPEEQFFQ TQLFAELVQQNNYLTTTLFNIEEQLIQVQLANKDLLEKSQQQIQQCQLECEQKIRELFSQ QDIIKIEVKQNDDQTQNTLIEELKSQVEHSSKMIEDLKIELQQCRERNKEAHNQLGEIIN QKQTLLNQNNELKQYISVHKILSKDEKIEQVTLRYEKHKQLLYEIEQEYANTKSNEFIQR FREFVGYVKMRDEKVKGLEQQLEAKINDCNNIKKQIQQLIDELDYNSNSFNSINETNKNL SKLVNETQKNLSRAMQEKVDERIKFETERQQFQQKIQTADETIKQLQSQNDQQKKLLNLF DNERMIYKESIRNLQKSDGESQQKLLQKEWDVGKILEENKIIQEREKLSESINLKFIKKA EKSKSKLKYLKLQMKLESKDTDNELLTSLKMMVDCQQCKKRVKQVILMKCLHMFCKPCID DNQKNRNRACPVCRAKYGIEEVKAIILN >CAK66642 pep:novel supercontig:GCA_000165425.1:CT868046:112552:114689:1 gene:GSPATT00035772001 transcript:CAK66642 MFGKTIKIQGSVYLKGKDKDNFETTLRKQFDALTVKKIFEDSEQCMQEKLVGSKMVIYHT DEYPAFVDGTGKEDYVPSLFVVAEYPRLIGTLILKDGIDEAAVLKAGKKLGEFKKDAIKK LINHEKKLLAIIAIGCDSIDLKKEQEKGIAGYILHKQGDKLWEHGPKELCQPIEPENFDE VQEMKTQNYEEYSDDDIDLNMIAPGAKKPAAKKEQKKATKAKPVQQQQQQQQQQQVKQQF SDDSDDDNKHKKGAQNKQQQQKQQQQQQQVGGVPTKVMDEYIKESLLNACKIGINDKQLP LEGQVFYEKNQELNQIQRINDIKRSESKCLYIYFDRFLQTMQKDGLIEYKEVKKGGQPSI TKIDRQHEQITDWEPTVLKAAKKGDEEKEEKNQVAQYKQNIEVTDLFLPVGPLVKLFAKE GDDNQKVEPLTREQYISLLNQYIKKNLKQEKKMIVLTEDLVNELGIKEHQSDSEDEQQEE QDKEKEKEKEKEKEQKKKQPQNQITLERLYKRIEELMQRSYRIKNLRLNQSEVKQGEFKG LQLIAEKQHNQQINRVVGLEYFGFDHQGKNEDMYSFAYVVKQMQETFHCGISIHDGAGKN AGKEILLQKNVFDQLPDYCANILKIDSKYIHEQNKLGGNKKKQEGMTRQ >CAK66643 pep:novel supercontig:GCA_000165425.1:CT868046:114708:115079:1 gene:GSPATT00035773001 transcript:CAK66643 MQYKILLVLLATASCFNYLPEVEIDLSAPPRQRWKESVRTVLTLYGYENSFGPVFQFHNE NTFNILAPEDYTTIAKAIRRNFPEYSIELEGIVEEFNRPEVTFEYLAAWAYFHEIGHITS RYY >CAK66644 pep:novel supercontig:GCA_000165425.1:CT868046:115133:115861:1 gene:GSPATT00035774001 transcript:CAK66644 MDQYPGQARNIVLHLKIKKDGKYIGESVDWYWFKVGFVTLLKYNVASLEENWRFGDPLSK DQLLTFIQQGVPSLAWAYREVLVNDKLNTFDSVVSHLEQNKVACALYNIIGGTAKDQGVI ISRDPFETYPTISLSNIPQGQNGYKYLVQTNYDHWLPDPQDDQRRTIAENLLANMQNNLF NEFGVYSVMDTYPIHNEGTFYTVVMNAKYNRLIAFGQPSITLTDE >CAK66645 pep:novel supercontig:GCA_000165425.1:CT868046:115895:117026:1 gene:GSPATT00035775001 transcript:CAK66645 MKLAVILLILATTYAYTIVPTLDIDLDGPAKQRFQPAVQLVLNTYGYDASFGAFFSYHNE TTFKHLTSKDYAILATSVRTYWPQYAQELEGIVEAFNRSDVTFEYMAAWAYFHEIGHSLS VNIKECTAILLQTKDGIIHGRNMDQSPDAGRLLVIHFKFIKNGQYIGESVDQYWFKTGFV TMFKYGVVSLQENWRYGHYLPLFMLLKKISAGTTSLGWTFRHILDSDINNFDQAVTYLEN VIVACSQYNIVAGTKFNQGAIISRDPISTFPTLFFNNTGLGNDQFQYMVQTNYDHWHKDP KGDQRRTIAQNLLANLTSTMQNELGVYSVINTYPIHNEGTFYTAIMNVATGRFNYFGQEG ITPFNDLE >CAK66646 pep:novel supercontig:GCA_000165425.1:CT868046:117060:120508:-1 gene:GSPATT00035776001 transcript:CAK66646 MHLTLETELGVNNFIINDFPKDEYVDFLSQKSLSEHGFPVPELKRQYEDAMPPPNSKDKQ ISFNMSPIMSPSFEGCNTNEILIAKANPSGGTQTSFQTNFSKLRNSQSTVGEDMMRYLQI RRFFNRFMRSMHLFSRLQKHHLDILNDSASNYDPKIFGQRQFNFRPLGMIKNMNLPKVPS ISQNVEKVINRIGQTIKQKVEYWDQNIRIFQPEERKKILWDSCLSFSRIYFIILIPMDIS FEQEFLYSNELFILTVIMTILLMLDILLNLNTAYYQFGLIVNERSKIFNHVISKAYGCDA ISVIYLFVLMFLDWDEYRNEKQYILVGLLTFVGQYQNITKLIRLSEEVLNLTKVTASILE LVKLIIFLIFILHVATCIWYGVGNYGLQYLGSSWIDKYHLEDNNWAERYLRSFYFCTVTM FTVGYGDLTPQSNLEYTICIIFIMIFSIQLPYSVNTVGAIIDEISKYSEQKLQKLRIINT YMTKKKISFQLQSQIREYLNYYWEMENTQQSNEEQAIIDQLSEQLREELMVEANSVVLNN CSLFKKNFSHEFKKALVKKIRTKTQLITPQNLTPIRIIMPTFIESGEVEVQLSDQIFSPG TTFHKLTPGCIFGLYEFITGQEQKEVYKSVGFTKLLILPRLAFLKILKEFQEDREKFCQI RDNLLYNFSKLSLKSLDISCYVCQSREHRSKDCPLLHFCPDKERIIKSYNFVKEQVREWT VRKHANKNENRYIYNNALLDYKLLKETAEYIQDDFWQETQLYDLDIENNATSPNFNSGAQ GSPQHSSSSDDEKDEIVQELQRPSLISSSKGPRQTRTTRLLEMNQMKKLSARKSSISPMI PRKSSSSYLIEHQQQPKLSITSLSNSPKTNAQNSNEKAQSQDSEIIKETALQVTNQQSDP LKSAISPMKQNFMKSALKKLNDNNNNDSNNNNNNNNTIDNHEQEDKAKKVKVKFQQAFSF IKRLKQLKFSKEVEKPRIQPKFQTMVVQKQNLNLIQQRIQRKSNVLTFQDQTKLDLLVLE LNNQLNNNFQEVENFENKKNFKFYQPHNNLANLQISTKYHPKFLSNMTLYIKYFLYPAEF IKKYKNKDPTILEVKPVSFATQMNAYKDILKFRKQARKKINKKQIAPE >CAK66647 pep:novel supercontig:GCA_000165425.1:CT868046:122249:123185:1 gene:GSPATT00035777001 transcript:CAK66647 MNSSMQFIPDLNLDNQPDDQISPRKEIIKKGILKTFYYQDVKRRKLIGCNIYVNEMNEQQ LQLSKRGLTCRICMNEEETSRFIMPCACKGSLQYIHEECLKLWILQKNGIEDVFKDRIKC ELCSQKFRMRMQLHNHFEKSRFWEVPKHQKICWLIQLFFIFAIISSIAVLFTNFGLSNIG VDAVMTLLIVLCLILIVYLAASVIAFHQVEMIENWTISNYRPRRDTKQGSFFQLQSQMGQ SVTGSPIVRKKTASVHPNGLNNLQVIQVNQLMTSTNISEQ >CAK66648 pep:novel supercontig:GCA_000165425.1:CT868046:123231:124054:1 gene:GSPATT00035778001 transcript:CAK66648 MADDRNDATSQNNNFIFLNTSEQGINENVENQKFRKKILKTIYLKDLIRKKTVGCRIYTN ELNGLFPQEINKICRICIQDDQSSQFISPCKCKGSTEFVHEECLKMWILQQFGVNKILNR EVLYCEICKYKLEYRVKFVNRFDFFQFRNQKLATKLCWVIQFIIMALCIYAQITIIQRFG INSLSTISIFIAICLVVLVIIIQFSFSFLSAIKIEMMEKWEFHNYKPSCRILDGQLEMPG NFLKVNLIHCL >CAK66649 pep:novel supercontig:GCA_000165425.1:CT868046:124113:124706:1 gene:GSPATT00035779001 transcript:CAK66649 MSKQQWTLLTTDTQKLQLFGMQAKVTTNYGDVFQGLIYYCDESIIILKNEEDFFLINQQQ IQDLQTIDIQDPKQTLSNLLAIDLAAMAQEMIKKEMKEPHQQLFDHLQKLYRDVDWKDQE IIIPSISIRISPPYKSDNISGDNKLGVERLRKIVDKYAKNF >CAK66650 pep:novel supercontig:GCA_000165425.1:CT868046:124753:125382:1 gene:GSPATT00035780001 transcript:CAK66650 MNNREEEKQTQQGDDTANNNDEEQRKQYLQDLQKRVKESRKQPDCFECCFAYWLFILLGF VFRQLFQKKVDETPEGCDFIGLIISILLIVFSLSAFCRFIDGFCILIPCKNTSCFHLSLR FHDLNNLINFQTCKWGLIILTIIWLLNYSCIVFWPMFIFLLITWILVLVWKAMENE >CAK66651 pep:novel supercontig:GCA_000165425.1:CT868046:125394:125904:1 gene:GSPATT00035781001 transcript:CAK66651 MIKAILICVLLLSVTAGHVRKSHKAVHNKKARTFNSAFIEFENLGDKDYHLSAKEAQHWA QITSDVETQTKKHTSFTETHAEYVPGVVGEVLDLSNNAGVISYTATDINGNILESETGSD LASKLNAAYLETTSKIQDSTAESL >CAK66652 pep:novel supercontig:GCA_000165425.1:CT868046:126677:134233:1 gene:GSPATT00035782001 transcript:CAK66652 MNNKTLLIVLLLACTLGAQQFIQLGSNLKLHSLSELHQLETNSLECSPTLGEVSKSMDTW SEILENQDQLNADSHNLQQLKVALQDFRGDGYEDVEPILLQFRNSFSDILSQISAHHLQI TPYQRWRKDSAMELQGVVSLLDTAENDKELQMCCDRIEQLINRLMSEREQVRKQCQKGPQ ITINIINSKADQVREVTKECSDGQGTIIRIKPLEDDKQVVPGKPDGQQQGNEETKKPVQP IQPIQPTQPVRPGPADRVPEGDDQQEQSVSEPSSTTDEEDDEDSTNKKKPADFTNPDPVV QKERESQEPLTEYGYGYWAKFLLAYPKFLPNGKDAPWYFVSRLSANKKDENINMGDRLLA VWLGKGYYHFTTCDQPKNQPNVAQNVNYPEDFDGVWTYIYYSYSVEKKRAVAFIKFGNDD LKKVTHDVLNPSTKWVRFTIGGKDQNRYPGFNGLIQQIYFSTKPGVFLDSEDDVIGKLQA QKKQPKDFLPELITYKVVSNPQQRDPDTKEILSIVGTTKNPKFPHEYAFSGWFKWEAPKQ QQDWHNIFRVQIQQPSTDKFLGDRTLSAWVGKQDGGIIHLPTYTFSNLEGGGNPNVIRNI PHQNRHTEWFFVYFGYSRPQKKAVATIQWKQSTEKQEYENIRHFQVPKFYIYVGKDKQFP GFNGKVALVAFNVGEGSFKPSNDFTGKGDPFSFVTGKKKLIGVQPEIDTEEDPEKQKKPY KAVDDVETDGLTRPSSSEENKPVIEENQEDENELVEYGYGFWMRFLTAYPDRLLNGKNAP WYFVSRLTSNMNYKNIDMGDRLLAIWQGQGYYHFTTCDQPKNQANVIQNINYPNDIEGLW TYVYYSYSSEAKKAIAFIKYADQEPRTILHSVQHPAAKKVKFILGGMDNKQYPGFNGLFS QVTFSARPGVFLDTIEDFSDQLKRTIIPKQDLDQFYNHELVSDIISRKPNDIPDYDEIGG GQEMFPHEYAISGWFKWEQTQQQVWHNVFRVQIKKPSTDRFLGDRTLSCWIGTAQGGILH FPTYTYTNMNGAGNPNMVSNIQHKNRIFDWFFVYFGYSKNQQKAFVGVRFASGLETLEYN NVNHYYAPKFYTFAGKDLHFPGLNGKLAYVNFNLGDGTFRKTPDFKHPDDIFGLQKGEIN ILKKPDQKKVQPEVDKETGETQIPNAVSDNTPKVTKEFKSEQPLAEYGYGFWMRFLTAYP VKLPNGKNAPWYFISRLANRPNYDNIAMGDRTLAIWQGQGYYHFTTCNLPGQVNVIKNVN YPADIEGLWTYVYYSYSRQEKKAVAFIKFGATDPIDVVHQVTHPDNNYVKFILGGKDNNR YPAFNGQFQSVVHSASPGAYIGSLDQFKAFLDKQPNPLGSKVPLTTTPLVDSQITRNPGT PGNTQTVEAPFPKEYAFSGWYKWEQPTPQQAWHNLFRVQISQPSTDNSLGDRTLAGWVGS GAGGIIHLTTYSYKNMNGAGPNNLPQNINHKNRHFEWFFVYMGYSKNDKAAYAYVKWRDS EDNLEYKDINHYLAKKYFVFVGKDVQFPGFNGRIASTAFNHGEGSFRKGNDFKHPTDAFK FDKGSQLIPKVDPTKPVVDDKTEYGSKFNNNAPNVDKTLASNDLLVEYGYGFWARFLTAY PARLINGKNQPWYFVARLTTNVQYDNIRMGDRALAIWQGQGFYHYTTCNKQDGNVNVIQN INYPADIEGVWTYIYYSYSAEKKKAVGFIKYGNDDVKSITHSITHPETRQVRFILGGMDN NRYPAFNGIFTKVSYSHEKGAFIDSLDVLKTRLGIVPDVDTPAVNKKIVAGQIERVPTVA HIGETVGDEQTKLPQEYALSGWFRWDKLQGQQVWHNIFRVTINSPFSDRFLGDRTLAVWV GTTAGGILHHTTYTYNNMNGAGNPNIIQNIQHKDRHQEWFFLYYGYSKKDQKAYAYVKFK DSEESLTFQKINHYLAPKFFVYLGKDPNFQGFNGQIGFVNFNIGKGSFKTGNDFPDDKDK FGFLDGSKAIAKPVEPPKPDAIPEADKSVFASASSADSAKVDKQTVAGDQNLVEYGYGYW LRFLTKYPEQLPNGKNQPWYFISRLTTNVQYDNIRMGDRALAIWQGQGYYHFTTCDQKSN NANVIKNVDYPNDIEGIWTYIYYSYSSKENNAIAFIKFGDSDFQQVTHQVVHPAAKQVRF ILGGTDEKRYPAFNGLFTNVYFNARVGAYINSLPNLNKLLDTQGPKPSIKLVDLATTILI DKESSRTPKDEPITTEVEEKRLPHQYAFSGWFRWGAIAQEAWHNIFRVQLKTPSTDNVLG DRTLSAWLGTAEGGIIHLPTYTYTNMNGGGRNTEWHFLYFGYSKDQNKAQAYIKWTQSED TLEYPDTRHYFASKFYIFTGRDKHYPGFNGNIAQAKFNIGEGSFVPDKNFNLPKDPFSFG SGVNTYHKDQPKPQPEIKPDTKVLDNSETQKVPVVNKELKDDKELTSLWIWILDEIFDSL SRKINQWQELTMVLCLKIDME >CAK66653 pep:novel supercontig:GCA_000165425.1:CT868046:134253:135385:1 gene:GSPATT00035783001 transcript:CAK66653 MGDRTLAIWQGAGYYHFTTCNIANGNTNMIQNINFPTDIEGLWTYVYYSYSRASKQAVAF IQYGNDAPQTITHSTQHKDTKYVRFILGGNDEGRYPGFNGQFTSVSIDQTLYWYILMNSR HLLQRLEQLTTVGLVDQVSRSSEADITKEATVGGGDQKFPSEYAISGWFKWKPTAQASWH NIFRVQIKKPSTDNFLGDRTLTMWVGTPEGGILHFPTYTYDNMVGGGNTNLYKNIQHKGR HTEWFYVYYGYSRTISKAQVYVKWASSDDALTYDNVRHYLTPEFYVFVGRDKQYPGLSGK MGYVKFNLGDGSFLKDPNLDHPQDAFGFKSGIDNLVKKPSAAIEPGTPITEELSNGFEQK TPVIDKAAPA >CAK66654 pep:novel supercontig:GCA_000165425.1:CT868046:135525:139299:1 gene:GSPATT00035784001 transcript:CAK66654 MGDRMLAVWQGQGYYHFTTCNKVDNNPNYIKNINYPEDIEGLWTYLYYSYSDDKNRAVGH IKYGNDDIQSIRHDVNHPETKYVRFVLGGNDEGRYPGFNGVFSQITFSTKEGAFIDTADL LKGFISKLTKPSQGFNDLANYKLIEDSLTRTSDDKPLTKIIGKETERFPAEYSFSGWFKW QPLAQQPWHNIFRVQLKTPSTDSVLGDRTLSAWVGTAEGGIIHLPTYTYVNMNGAGNANV WKNIQHKNRITSWFFLYFGYSKDQQLAQAYIKWTDGEDQLSHEKVNHYLATQFYVFTGRD DHYPGFNGKLGEVNFNIGKGAFRKPTDYSHDKDIFGFKSGTDKFIKKPSDEFKPADANKN ILENASSQDKPVVDKDVNAEKPLEQYGYGFWLRYLTKYPDQLPNGKNQPWYFVSRLTNQQ NYDNIRMGDRVLAIWQGQGYYHFTTCNSATNNPNMIINNNFPDDIEGLWTYIYYSYNAEQ NKAVGFIKYGNTDFQRIVHETTHSLTKYLRFIVGGNDAKRYPGFNGLFTSVTFSTESAFV SDADKLNAYLLKNQAPSVAVPLQTTELIKDQISRDKDEKPSTIQSIGSNNKFPLEYALSG WFRWKPTAQAPWHNVFRVQIKKTPFTDSWLGDRTLTCWVGTAEGGILHFPTYTYTNMNGG GNNNFYKNIQYKNRINEWFFIYYGYSKVEATAQIYVKWFDSEDSMSYDKINHYLTPEFQV WVGRDEAYVGLNGRIAYVNFNAGEGAYVKNSKFDHPQDIFKYNVGQAKLFEKQQEVKPGQ VNKDQLLSATSQDKPVIDQNVKSDNNLEEYGYGFWLRYLTAFPERMLGGKNQPWYFVARI ANQENYDNIRMGDRLLAIWQGQGYYHYTTCNAVNENANLILKVFWTYIYYSYSEAKSRAI GFIKYGSEDTIKAIRHDVTHPDTKYVRFILGGNDAKRYPGFNGIFTQVTFDAVKGVFIDT ADQLKGYMNKLENPTIGQVDLQTYRLVTNEQYREKTNDPLFNAIGKDNERFPLEYSISGW FKWQQAPQDAWQNMFRVSLNEKPSDQYLGDRTMAAWVGTSEGGIIHLPTYTYANMNGGGN ANVWKNIQHKDRHTKWFFVYFGYSKAQAKAYSYIKWQADDDFLNYDNTNHYYAPNFQVFF GRDKFYTGWNGKIAFAQFNLGKGAFRSAKDFTHPNDAFGIGAGIDKLRKPDTGFKPADSD PAVKENAFNQDKPIHDKNANSENPFDEYGYGFWMRFLTAYSIEIKQWQE >CAK66655 pep:novel supercontig:GCA_000165425.1:CT868046:139355:149564:1 gene:GSPATT00035785001 transcript:CAK66655 MGDRMLAMWQGQGYYHFTASNILTGNPNMIQNVNYPEDIEGLWTYVYYSYSVEENKAQGF IKFGEENFKQITHQTTQPLTKYLRFIVGGNDDKRYPGFNGLFTSITFSSQIGAYVDSIDA LNKYVNANPYPKLDTTPQNYLLIKDPITRKPENDFFLREFGEDNQRFPVEYSISGWYKWV EGTPANQWQNLYRVLLKKEPTDTAILGDRTLAGWIGFGNVHQSTYTYVNMNGAGNNNIWK QTEHKDRHLRWFFVYHGYSRNDRLSYAYVQYKNGAESLSWDKVNHYFVPRFYVYVGKDAI NGFNGQIGAINFNIGAGSFRKGDDFTHDKHFFGFGAPFVQTKVKPFDIKERTTDILVSAA PSQEKPSFTKVLPEDEVASCEEYGYGFWARYLTQYPTPQKSGMQGEWTFVSRLTKNSKLQ DITLGDRTLAIFLNRNSAFHITTYNAGNPNSIVNAAARPDFEGIWIYIHFSHNLEKKSSV ALLKYGDEKPVKYQQAAAHVAPAFLQFYLGGKDFYNSWNGQFSDVMVSASEGIFIEDEAA LIKHLSGFKMPAQFNYDLKTFEVIPKEQTFDGKQEVKEQMFEEDVALRPEYAWSGWFRWD NLPAGAFFLYARLSIFQGPTDLSYLGDRTLSAWAGPGNMMQFSTYTYANLVGGGNADSWN RINSGQELVRWHYVYFGYSLIERAAYYRVEFKGRLEEFTFKDHKHYYPNKFSLQVARDKF YQPFAGTASYFRLNVGEGAYRISGYDKAKNDIFAYNLGKQAYVKPSPTFDFNRDQQKGVS DSPVDGKDPVWSKKMIGSDLDDVNEYGYSMWLRHMAHYPVQLPIGLYDKPWSFVVRLTKN QQLQDITVGDRVLANWLYNGNYYHFTTYHQNNPNLIQNINNPDDIDGVWYYLHFAHSLGR KQSIGFLHNGQKLNKVVFAAEHIAPTFLQLYLGGVNLNYPAYNGQFANVLFSVGDGIFKK DEAEFNAFFNDLKQPDPFNRNLVTKNIVEAPKEFGKDTAVDEKVFTEFALTGEYSWSGWF KWTPTVQQPWHLMVRLSSLQNSADLKFLGDRVLSAWVGQGYLHFTCYSAVNIVVAGYPNQ NQNINYETDYTKWHYVYFGYSRLQRLAHAKLLKYNSKNTFQYLPNKFSLCAAKDKFYAAY SGNIAHLRLNGGDGAFDPKSYGDNKQDIFGYNIGKDNVKEKEPEVDPLRSQEVLDSAWNQ DKPVYQTEFKKEDLVGIQEYGYGFYYRHLEQYPAQMREGRLEPWMKEILEWEIDYWLLGK NKQLFCLLPTIYQEIQICQVELVLLKEKDYGHSYTSVIHWEDQLAVGILKFDNNDEVFHI PMKCNHGKVDYLKFTLGSAPPHFYPRFNGQFANYAVKLGPGAFVKNYDAKKKYLLNRIPH PAGDDNKFRQFKVVEGQKQFKGDSQDEVIVEIPSDFSKFATEYSISGWLRWDTPALGAPW FNVFRLSLYNADLNAENRFGDRDLALYKHNTYYQYHTYNYNPGQPWTFEHVIPHADQHLA WHFFYSGYSRDKAIQYHFISFQESDVEKIFDKQKHLIVNKHYLSFGKDFKKFYPSHRGFT GTASMVNLNYGDGAFTMKPFTKKNDLFQFADGEKKYRKPFSLVEIWSDKNKQIPSISDSN DIVYNVELTDAKDNIRGLDEYGWVAWVRSSRTEPKNLPFRPHTHSIARLSTQRVNRNTQQ PGDRVLVAWQYFPTYYFATYTTGNYDVGQQTPFKIVDGYWRFISMSYKKGEVKAYVYFDD KDIAELKFDVKHELVNEYLRLTCGGETEIFKYNNFNGHLLNIGLRLGQGSHIPTKDDLLR FLQGPWKLPDQVQIDQSRASLGILKEKREFKADAEPFWVTVKPEVARGKDEYAISGWARW VDPASIQAWHLLYRVQIFNKDTEGLRNADRPGDRTLSCWKGQGFFYFATYTVDQGHGGAW NIERTNPYDEVMHKNWVYFYQGYSRSKQNVHIYLKYPSRDVHFDVPVNHFVPTQFYVQFG KDQWSGGWNGYLESWYFNTGNGAYKTADYDKDESDLLSFGFGGRTIPKPKNWDSKDMFQN NWGPSDQSLGKDVEITDDYINGLTEYGYGMWTRFIWNGERKLVDKPAWMALSRLTYRQNY QGDAAQIGDRLLAIWVGSGFYHFTTSQPGNANVVNNINYNNLLDGSWNYIYYGYKKFDKG GNVVGHVLFGGSAVRSTTFPEIVNHTPLSDYLYFCVGSSGAKLRTNYHSFNGHISNVELM LGNGAFFSNPDDLRKRLPEMPKFPQLAPIKEVIFQDQRDMKREVGQVAPVEFTDKFAGQT EYSVSIWFKWSSISRATWENVYTLSYNEQNIRGNHVRPGDRVLSLFQYADHRQFFSTYTT PDVDDAFQQIFTECPTPALDQIAWVYAYYAYSRKLNTVYSFYKTRTTECDKKLIAFHRVP RYLGLYVGKDGIHTPYNGKYQQMYLMAGNGAYRDKDILSFDPYIAGALGVQSKPYKWAEK KDDFDLSFDGYLVKEFDPEAVDGHSAYAIGFWSRYLTAIPKRVLDKPAWVSMARFTVNRD YQDQSKVGDRTLALWLGKGFYGFRTYNLATNTPTIAQDIKYDDKLEGEWNFIYFCFASSK QQAIGYVKFGSSGDISRVNFPEITHKPIEGFGKLIIGKEFNYQGFNGKISQFQMLFGGQG YAPDVETLETLIKTTFPQPDLNIPDPQMKSKRELAINPQVYEWPYQYQESLEYSIFGWFR YSQPKLQRENNVFLRLTNNEPGYRKEAAIVGDRTLLIMYQINEVVFSTYTLGTIDDGQVA NIRKPAPLGNNFGVWTYVWFGYSWSKRLASGIVKFPQDKVIVPYENVLHMVPKYLAFFAG SDGFLGGWEGPMRKVGAIFGKGAYIDPNKGNYENMLPNLLGLQPKKADWKPSREEIIFVP IRDRPGYDLTFRDEVGGVTEYGYGLWTRWLMTTPERIVEKSSFHQLIRLTNTERYEDNVA LGNRVLAIWAGKGYYHFTTYDKKTNKASISANTNYDDYFEGHWNYIYYSFTAQDTPRAVG FVHFGDVPGQTVSRIELIDIAHNPLNGYARVVVANNEFGYPGFNGMITGLRIFFAQGFVG SKEQFLKDVLAVQPKPQLTVPARTDINVLKQERVVNKGDSGIPIKTSYTQFQGVLEYAVS GWVQTRRGQIDELSRMIFRLTINDPAIQKDKSLPGDRTLTCFLFKDALTFSTYDYGELDN NEDDENDIQWPSKIGANGGEWVFLYFGYNSKIRKAFAYTLFLNRELGNQYNDLKHFVPNK FWFYLGGDGFNQQFEGTMFNWNLNFGDGSFTNKGKSAITSWPYEPQQQAADQLLSVLLGN QGLSSIKLERSAGPASGQLDVKGANSGVVERTAGAKSGVTEQSEGAKSGKSETAPGPKSG SSQ >CAK66656 pep:novel supercontig:GCA_000165425.1:CT868046:149894:151566:1 gene:GSPATT00035786001 transcript:CAK66656 MSHSPKAFTWTQTSCTVKMKSNYQNLVQTVTPNQGPVGLKIDTEREFQHSCDLGWNWHKS WNIPNISVKLSLKNDECAQWINCQVELKAVKELQADLFEEVGLEGTTNYELVDGRAFFTG VKFNSTTYNHQGHKFQLLLIIKERENIIISLQSPPIFVDSRKSARDEHRQIQSISPFEPK YLERSFCKKEKYQNDIVDAPIENNENGLYNYLTAPNIRNKIKHPLFLALKFSRCFNVYYM PDAEVENHLVELQKQLLSKSQISQYLLVFQSNNNRIKRKIEDSLNQLFGQSKIQVVEKKH LNEFTHRKLEFTAEDYQGSYNQLTLLMNQFTLNQQQPSEFQSQIPNQTQQQAIEIEPQSQ QQIKLPLNHLERKSAFKKYKDFDKLPTKNFEEEKAEQQQRVEKLNNIREMEKYEKIQKII KIEENNKDQYQQQQQQQQQQQQQQQSYQQLQIASNYYTNQYNCFNPNVSMLFQQKLQEQL LQFYMIQQQLLLLQMPSSV >CAK66657 pep:novel supercontig:GCA_000165425.1:CT868046:151581:152811:1 gene:GSPATT00035787001 transcript:CAK66657 MSESVQQEPQQQSSSSKQPEESNSDEKITSAWEKSQKELINSHQNKFDAFKAMADQSGTI DESHFTIGGEQKSEIFKRFDADHDGKINQQEWNQGWNNLDVQVLETQHKVAIAQQKMQIL DALEAKVEPGLNLSQKSSIMIDRFKNSSNLGDLNDLFNKKSPFQDHQEYSESSNYDIRQK GSNRPLDQLLQQFKTPNKTDILFDSGSGQGSGQYLGSNKQTQKFGFVQGSASPAFNPTTL KNENQYNSKVHQQMNINIDIKEKNKSNKRREELASYMGKLGLGGNDSISRPSISSEQPNS NVFERMKVYVSNIGQQNFKNQPQSGLNELTNDNQFITSTFKQQLKQYRRERGVEQVDNQA GKFSKHSSFLENPLLQDQSLKSFHLKLMHSQGALNYKNTRI >CAK66658 pep:novel supercontig:GCA_000165425.1:CT868046:153102:154798:-1 gene:GSPATT00035788001 transcript:CAK66658 MAENIVYFKMKHEAQTYKIPIQGVNVQLKDLRQKIKDFLKMPQPQKGQSNQNFEELVIYG ELEQNGGQEKPLGLADKVRNKQTVIVVRQPYQQGQSLCESCLSARSASQTPCCQRRICQG CEEQFIKVTKCFFNELQQCPFKYVNYQVQTIKQQTEISNTELEQQIKNAVFFIVKSNTEQ NVILAKTHDVWATTRRNFGTLMDQFNNKKVILIFIANRVEKFLGCAKMKNIQVPRDPKWQ WCGTSTIQLADNFSIEWLRKGTVDFAKLQDTINPKTGDLVIRSKDCQEVPADIGQRICLL FEQIKQNDEEQEPVPQPQQEPTPKNEEIEWDYNQIISPVNASPIKEQPKKSPSRSPSNQN IPSQKIQLNQAQHALLNETIASLVQQQQMEMNWNKMNVGKIPGLTGKVQYPHPFLQKYSQ KQTKMAEALLQMVQKGTEKQDKEKKSSSRSKKHKKKKDRDRSSSDEKRISRKKSKERKDK KSKLKKRSRSRSRSRDHKKKKY >CAK66659 pep:novel supercontig:GCA_000165425.1:CT868046:154940:155752:-1 gene:GSPATT00035789001 transcript:CAK66659 MLSDCIDISTQSKEQQNQASNYPYFVYNFPPAPLMEFPNTSIPQLQMRNSTDSVYKDNIQ IPSFLQGLSMPSFQFNNSNQFCVNEQKVYIPPVANSLASYFHDQSTQKLKQEYKQNRIII GTQTLCDIEIVLIQRYFDIKTQLFCPPNIRYALNEDKAISNLTWLKDRIKNRYKKPIKDS MFDLINVLGNMNEQEIRQINCFQQFSSQGFDNLEKQVPKSIKEEKPFSEMMFEIQILIAQ FLLTKF >CAK66660 pep:novel supercontig:GCA_000165425.1:CT868046:156768:166957:1 gene:GSPATT00035790001 transcript:CAK66660 MFEKQLEKILNWVLGKFIDGFDAQNLHIGIWSGEVTIQNVALKPDIIKMLELPIKLCFSH VGKLKLNVPWKSLTSSPVEVVLSDLYLIISLDHPDHWQFMDYSGFIKKMEILEKFKEQIV KSISENSKQQNDKDDGYFMKVVFKIIDNIQLSVTNIHIRFEDTIRNEFAWGISLKSVETF TCNSEWKKQYFDRTKEENKKVPLQKLLTLINIGVYWNQQEKELFNSLEKDEILKKMAELV ISQQDPKDHPKFAQFMLLLNASGRYYQNTSGKFDSPEYSFTLELNTIDLAIENSQLQQLI KMAELFSLYQNRLNKQKKQKLLLTKQQIEDQILIFQNLYEKILRSSEQTNKALTKNENDL FEQSVSNLSIDILCESAREIIKVVEKERAIKEAEDKKKKKGGWFSWGSKKDNTLIDEQEK DELFKFIDKLADFPDVKDLKRPPEYVKFAAKFSLKSGTIHVLKQFEAKREGVQLKYFGFE GQLEIKESGMILNLGLNNIGIDLVTEPIINNVVMYSQQTRKVFVEQVNKQKFIDFTFETN PVHHKGVDKYIKLETGSLKLVFNPIILVRIQQFIDFQIKDETLKNAAWDQVEYAQDLAVN QMAKTQLSSSILFVDVKVKSTIVLVPIPDTNENWLLNLGDISIVTPNMNEINYDKFEITL QDFTFKHYKSFQECQKSITNGAYLNNIDDSFSVIQNIKVSIIAKLLRGSSKPPKELAQIS VDGVLPQLNVFVNPLIYNRIIKVGDCFIAPITEPEEAMLRQSRLTIEKAQTERTALVETA TKVGKIWKRGQQLKWSQYTGVLSGGYIYLFAKPKDEQAESFFWVRNSDFEDVPQEEAGMS NAFYIKNKYGDTLVAFDKQKMANDWKEAIEEMRTQLKAEKNIEHVHTHSNTSMSKESRPL NLKFELSGFCLHLQDEKLSDWLQVVISGLSAGTSILDGSIDLDLKLRDLYVIDSVQNYLN PLFKYIVKSDPDPESNELININVQQINKKDKRYNKKNLIVQVTFGTLCLIAKPLVIARLL LFVTPADQQNQMKGYEEMDQAKRKIEQTKKELEGAAQTVKLDKMQDVILMDVNVKIRIHR FTILPLAEIKIENTEIGLIKYVDELQLNGTLGNLQLFDLTNYPNTLSQESEYDQIQPKQM VGVKLQQQSLLKMNLTLFSDGSSKIFNNVNIIIDVEMSQLIIHVMMQPVLRLLDYTLQQL LYALQNPKQTISPNSNLIQLEEESIEQYQYQRTQYARQTIKLSEEEASVLQKLLKNPPGM KLNVKIHNPQVILKPNQNSNSAMIIDLGTIFVSNKRIQETNRVIGQGSEPVWVEQFILNM KDIQIYQQNEQTKREFSLPFDFNINVEIFTLAEKYALNYPKLKFDEQLKINCFVAPMILQ MTHSDYMFLMKCLFHNIAYDDGFDNMIRINNPEYFKAFFAQQDLQQIKLKEMLRQQNENP QINFQLDIENFTIFLLKDIQPKPTPFQRMTLILMRITFQKCNNGSMKVNLLIRDMEGSMF QGDQSLQEFHELPFIGNMNFHQKYTYEQVTNLNGLVRGKQGAQSNADQSMILASTGQLSQ RTKRDQIFRFEDAFEQQNDGNIQYKLMFSLIMNLNGDKLINVELSDFKLQLHAGPLFEVL QLIAMDDPDLNAKPGKFVNIQLQQQLQQQQQQYGVLQIKVNLRNVITCVPSQVESNVLAI RGIFEITLKMYPQRTQKQVQAEIMEKNIPEKDWNKLNIVMSINAKLQRIEIFLCKSYDLG KKDDFKQVKKREILQPADIEVTIIKAAVYYANSTEFGDDIDIVCRITPINLKVSFKDLLT IQTGLTYQLSQMPKQIPAANNEQMKNNELSKLDTSHLDKISMNSMRIDLEIEKTKVVVLN DMGNTFAPLFDLQVDLIKILLQKKPILTEISILIPFEFKNFNPLTSKWEPIIEKIEFQII YLSSLLGETKNLITIEQLKEDASFNLNISSICIQTVLKVLKIMNKIFKKSNAREQRSMSK SSLTSENEASEVLIQESPYAIRNLTGRALQVEYIDKNNQSPLNVPVNQQLNLVYDEEMEQ MNSNKIRRVNVNIKLDKTTFPLKYIDLDKTRGKLYEHQNLQVYGIVKLDQVTSQKILIVS SPIIIVNKTSKSIVIQISDDKNQQIFKLQPLINELDLTNVVPVPVGFDNSKFCLKVDNCP QFSEEIKIDALIRNSAVGQPQAIHTRDGIYIQLKVYLDKEYKDKVKIEFQAPYRITNSLP KEIYVQMLNQRKETTYIKKIQPKETMEDYMHSLSSIENKNNTYIRVLLQGFYWSSEYQLS SPLIEEGQKNKNDYLGKLQLVDGIDNQLSLLIFEPWRQSDYNKATREFVIHSTGYIINKS GMDLQYYTSEKKKNLAPIAGQNPINSGDSIDRNIIFIGQECGNILQLSHSSAPQKISQFP IQISSSIGEPFIDVIRVDEEDSSRFLQTYLGAKLDLKVCNNKYTLFSKVITIEPRFILVN NTDKELIIHDLDGTYHQIIQPNQRAISQVKYNVKQKDPFDFQYITMNLNEYTYHPSGRIN ICCVGIVNFQLRKTQNSQEKLYFSCDIRKEGCSLYVIFSQLSLDQAPYKIELEAEALELV IQELKVTLRQDNQVAYFASDDPQQTSRILNVELRVADDPYNDFTPFKYKLNPDIIGVFKI YTFKPKKGSMANPISVALTIMPQGNQKHVKVAIATQEQITLYQSKPKQDFLKQVKEKENL GIEMNEDSIRQSYSLQQSRQSQQQDGDKILTRINLKINAFSLSVIHNSQMKKRPAEYFHF LCKNIEFVVISTDISQVVQLRVQYINFDHNAQFFVQFPVILTPQKYSIYQPTQIQQQQQQ QQQQQQQQQEAVKQFQQSEKYFFNFLIMKDNRVTSINLFQNVTLEIDPFEVKIEQLFINN CLDFIWAITSYQGLINDYNKTQSEILMKQKQSDTQSLQEKQQSSNSQAQIESVYLVNEEW KTKQLPKAQLPTYISEIIISPIAINVTFQMTGKGDLKLKQSALFSSIAQALGVALTDVSD APIVISGWQLENCFDTIPGILKKMQEFYLAAGLKQLGKLIGSLSIIGFKDFIDKPAAGLT QGPLEAGLGLAQGAGSLVSHTILGAINSVNKIAGSVSGGLANLAMDENYLRKREKMKMQK PKHIGEGLGQGAQSIMTGITDGIAGVFLKPIQETKEKGLKGVFTGTAKGFAGLIIKPITG VLDGFSQTAAGIQNTVQYFEDKPNNNRSRNIRPVYGYEGYITDFVSLDAEAYATLQFIRR GQLINNRFVASYFLNSEQDGYCMLVLTIEHFIYMSVKTKKKVWILASNEITHLEIVPSGL KIQVNKPQKQLKNKYITQLVLGEDLKYDIFQTMQSIWDSVKVNKN >CAK66661 pep:novel supercontig:GCA_000165425.1:CT868046:167004:170480:-1 gene:GSPATT00035791001 transcript:CAK66661 MENYHVLHLIGEGQFGKVFKGRQKKSNQMAALKFISKRGKTEKDLANLRQEIEILRRLKH ENIILLLDAFETQGEFCLVTEFAQGELYEILEDDRNLPESEVRKIAQQLVRALHYLHSNR IIHRDMKPQNILLSANGIVKLCDFGFARAMSTNTQVLHSIKGTPLYMAPELVQEQPYNHT VDLWSLGVILYELFVGQPPFYTNQIYSLIQLIIKDPVKYPDNMSLEFKSFLKGLLNKTPS DRLGWPDLLNHPFIQESDQEKYDRKKRLEQYSQWAGVENEVIDKRKRSLTPTRDIITYDQ GKDTRDISPRRMPCNDEYWVKSEQIANDVQGATQLRCDPGFLDKLLQVLAPPKKPSLHCA LKVFGQVITKGNLQEGLDVVKNQQIPIQLVTHVKSILKQGSSELLSELIKTIGLLAKATF DKNIGIDNIFIKFIGMTAQILKIGQNNELINTIKTIGIFANQASLNPVRSVLFYKELVEH NLAQETAKLTKNNITLHKFATQVIGVLIHPIHGEIFSFPWKKGYSIQILNFNEALPLFES MKNQIVAAYADIDHMKIYNEQDDQQNLTRISVLRILLQMIRVSKDVHVSKELISQALNSD EALFQGTALLILVQQYKNKNQEYQVNLQQVIEIFENNIQLNPIVSLSAIQLIAELLQQET NFTNQLLNYFSQQLPYKLLSELVNPNKKQNRTEEIRKLEGSSFGCPSYGFVDGVITLLQK LLFRYNKEQKKLTDLFQLLEKYEIHQLLFQILLNVTSRNDVSPKGFVSLLILIHDSIYSN FKALGQLIFQENSIRILCSFLKEAQLQSIQEWPSSSGGGLACVNVMSIQIIRIFILAFQE QNCDNVFKELSNQEIVALTLNLIKYLQKDHISLVISFISRLVGNNEEDKQFAQSFVQNNG LAILQKYQIMSVDSINILSQLARISKDFYPNIHSINLYTDLKQQIQSPDSNVRAKVCNLI GNLCRHSSFFYEHLLKFDLINLCIKCCQDPDKHTRKFACFAVGNAGFHSDRLYEQLRPVV PMLVELLRDQEEKTRANAAGALGNFVRNSNALTKDLIKHGALHQLLELVKTDKGSQPTRI SLFSIGNLCAYPECRKKFEELQIRQIIEMNLAQTKDQQILKYGKRILIKLDEN >CAK66662 pep:novel supercontig:GCA_000165425.1:CT868046:170573:171393:1 gene:GSPATT00035792001 transcript:CAK66662 MKIQFLLACIVLASTQSSFLEESLINRSFAPMMNILIEKSTDPTQQQQLETATPPDTLLT ATLDSYIDASKQQQILIAQQYEQNLPANGDCIILYGECEYKGPSFKYCDQPGEYIKFDIP VHSVYIPIGMSLKIKDALQGNKLNLIYSHECISEGIHIPEPKIYEDHPNEWFGEIKESEK AVLDAGELATPQIKYYDNDGKVISKEEYQKLVEADIQRSHNFYLGIVEQPDYSQPQQQTE SNE >CAK66663 pep:novel supercontig:GCA_000165425.1:CT868046:171972:172718:1 gene:GSPATT00035793001 transcript:CAK66663 MIIEEFEKGLIKFQAINRRKKWQKMWIFQRILQVILFSQRKLLLQNQRYSFSVLIILSKK IYLQRQKPCKKQISVIQIIQNNLIVQIYLTKQNNLMNQIYGLSNFEFLEQNKNQQNQLIH RIITINNFQTKKIFKIFNQISQQKLRNDWVFEKDQGQLKIIDLKEEEVQKGIQFWWQIFL VNNIKITNQVKNMKIKFLTNYKNQIKFSKILQQILGDLRPVYISINDILKSESQTYKYFK RNRAYQQQ >CAK66664 pep:novel supercontig:GCA_000165425.1:CT868046:173485:180384:-1 gene:GSPATT00035794001 transcript:CAK66664 MIQYILPYLLLFRTINTQLQQCQCFQIPDQFTCEISKRCQWLNKMCDNNPSYISKIENKC DQFLSEECVQQEDCAFKDGSCMDFKGCEIFAIDKCASSINCISDGSTCIQKSECQNYLTQ EACKNQDLHQGYCQWNTKQTPNCFKIFECSDLPILKSHIQCENAISQCTIDQKGFCTAKA SQCIEYKIFQCFNTIDGKECFWNQMKGKCMEKICLNAQFSTDKQCKQFLSSCTTNGFNCV LRQQCQDITHINGCVIDVDGNECFWTGIICIKKVCQQIPIGNDCYSYFQELDCVPTQSGN CKSRPQNCIQFETEDSCQLDSAQQQCLWTSNQCVLKTCQIAPQYTACALWKDDLSCVGDL NGYCIDLPQYCQSVTDMTYCYKQKSGDICQFYSCTIAYCSKAPKNYNNHTQCEQWNIDCT VELKDSNTGTGCVYKNQNCSDYNNASQCKSTLDRIQCIFLDDKCQDKTCGMGNFTTHQDC QVWKSDCIINEFGTSCQDWPNNCLELISEQQCYIGLQSGVYCEWTGSLCQPQQNNTLCNV ASNTSQYDGHEKCNRFNGLCTVIQKVYGCEPRLNCESYFLQHQCVVGIDNQLCFWDGIQC VKKCNGYSNETVDINECQTVDSTCQLNNLIAVCIFKTYCSAYKNKLDCKINNSDQPCKWN SVNEKCEDVVCEDNTTATTERECTYYLNYQQCYLDNVGCISRPQKCDSILNAIVCNETIP RCQTTYCYYGNGCCAQILANLCEWITDATSNYDCQKHNPDCILNIVTGIGCMNSNNCEFI KDQTLCASINGTVKLPTGDKCVSYNGKCYSQNKCNGSHINCNAITVQNVQCKIGTAGTCV EKLCTDFVGTANMANCQSFQSFCYYNGTKCATLLNCNDLTSSGSTVCVSGKVSGGSYCSW NGTSCQTIQCSQISGANSCLLYNNKCIEGTSNCVDITNCNQITNEIYCQLAYNSSNLKCL WLNSSCVDYFDCTNAFTNTYNEYQCIKYDLCRLSKTTVGCEFKSCDEYTQMEYCNKLNQC TWSNSVCQNKSECKFQLNLTSCQSLTYCYWDTTLCKQNVCSTATTQLLCSQVILKSGNKC LWADSVCSEQYQCSGIKNPLTFEDCNNQNNGCVIKYTFSDKNSYCQTKKGSCSQYTSIYQ CTKDIYGNLCYWDLSTSTCIYNQCSTYVTLNGVYSNQICQQFNKLCVLNTTLNGCRTILA TCNLYTTQQLCDYALITANNYCHWNGASCIDAQCSQYSSLVSPPLSCEQFSKCNLIGGTC QSKLATCNSYLSETLCNATTDLSLNNCAWISNACVSKTCSNYPFNVFNHTSCSQWLTTCT VNYQETQCINIVDCTTAPQEIDTITKCEQFISPTNQVCTISQVQGGCTNIPVTCNLAFRT QCVIDASRNKCYWSKQYGVCKQLTCSNIDSTVTTALGCESLLNQCTLANSGSGCQDKATC NSYNNNTCKFLNIRDNTQRNCVQGCQMTVCEDFFYQSDKYCKAILDGACITNGVQCITSY SCSDFRFDYQCTISRQGFQCLWYNNQCVNRKCELADVQYYHNHPQCQNFMATCTFSTVQN KCVMIDDCSDYDNQESCSLDKYGLTCIWYQGKCYETRCQNSCGDGIVNLDVEQCDDGNLR ANDGCYNCQYSCVIGCLICQEGICVECDYEQGYYLDNNDNCTTKCGDEIVQGKEQCDDGN FDKYDGCYNCQYQCHYACNLCSQGLCLECQFGWTISIQGQCEVICGDGIVVATTDIQYEQ CDDANIDSFDGCSSGCMIEESWNCVNNVNLPSVCEFTQQPSMVLTLLTIAPNLKQSTQLS FTSNLKYLGVNNKSNYNLIEANILNLNPNLYKIDIVNNNTYSTTTFDPVLYVINVEFFVS VESPSLLVSFKPSEFIDENNQHPVKTKQNIRLFTCYYESALDKTISSTSTIVNSILLYIL LAIALISVLTRNLDIFWNLLDMVQYLSYIKYINIQFPTNLNSFFDLFRVITLQPFLDFIH FQTIVNLFSFGETIFIETTNKFKEDSINAFYFYNFGISMMIFCTTFASFYASLLLIHAIN KYIHYLYNHKYFLKLTQLYRLLVRFQIYFFYSGIIRIFLTNTYEILFTVLLQLYYPEFHL FITSVSVLIAGMSFCLVLTIIFLMLSKQNQQSNGISDQFTVLSEGVTENHGLWARQFNSL LLIKKLVFMLLLIFMQQSGQLQTTLISITHISFALYLVSKRPMTNCYEYYKMIFVESKIT LATSFFILYSMPNLTLDQKYIVGWFHIAIFTFILIFSVVFDLAQQLRVIKDKLIKACTQK QPNKKITIFETIPLEIRKI >CAK66665 pep:novel supercontig:GCA_000165425.1:CT868046:181062:182084:1 gene:GSPATT00035795001 transcript:CAK66665 MNKLKQEYQTKEDSKNNKTTNNQINLSVEKQLSKIYKQKDNCMLCISCQQDIMRQNIYNH IFEPLHILKLQEYFIQQSSNAQNKREKIQKKVDRKTQSFQTQYIKNEQIGKEDKKHQTIE IPQIDQELNQNINRNQIERFVEILQYDLKCIGKIQLSLIVSILVKTYCTINEIIEMKFSQ ILDKCTFYYININNSWEKSYLILKQRFPEYLQDFMSRNLGSNKHLIKLLGQNKRQTLSKE LWLSCNKIQSQTTDAEYISFLQGLTLKKLKKISLEKMAKKNKIQVQDEQITKEITQKNQA QSKNQDFQKIEQIKNPEKKQAIKIIIKQRSIENQKQELVD >CAK66666 pep:novel supercontig:GCA_000165425.1:CT868046:182162:185300:-1 gene:GSPATT00035796001 transcript:CAK66666 MFQQSLYEKEIYYSRQAAALGWDTQDKLEQLDCFIYGLRGLGLEIAKNLILMGLKRIVIY DKTILSISDLGTNFYANANQVDKVTREKAVIQSLKALNDNVIVDLYDGIINGQNLSEFSV VVMTDMWDQELISEINEATRKKGNGFILAHSSGLFGSIFVDFSDNFVIENNNGQTCKEYL IEEITKNQNGVVHTIDTIQGLQDGDYVQFKEVLGMTEVNDSVFKIITLSPNRFSIGDTTK FQAYQRNGKAIQIKFPQNTSYKSFKNMLSFENKNNLDRSLQLQISYNSILTFMNQNGRLP NLLNHDDADLVLKLALKITKEQYQLDIQLIRNIAQHLQAQIAPLTSFWGGLVAFEVIKFT GKFTPIKQWLHLEFYEALPEIEVNKKSKNCQYDDYYAIFGQETMEKLQNQNVLLMGIGGL GNEYLKIFSLMGIGSGQKGSLITVDNDQIEVSNLNRQFLFSKHHIGSNKANVACAVINQI NQSIQCKAYPYAMSKESEQIFNQSFWNQVDFTVNAVDNIRARHYMDSQCCYYSKPNFESG SEGTQCHSQVILPYQTESFSEFKDRPEMSSPKSTFMNFPYTKDHNIEWALEYFNNLFEKA SKDLYQLSQNPQTFLNTVYNQNQRYIDYLKDQLELIEKYVLLVINPTLENLVRYAKELFS SLFDVKIKYLLSRYPADFLQQNGLLFWTNPRRLPMSIEFNSTDPLHCQFIHSVVKIVIKI LGQQLQFDSEQISFLVGSIDINKNKELFENLQFNENEIKQENDMRMIKLEKLVQENILNM QQIRPFSYQKDKLSCVELEFITSAANLRGINYNIPPASRQQVRERVECIIPQLITTKSAI TGIVGIEILKNILQKNIKYIRNTYINLAIPTFIFAQPKPPYQNVDQEFNQQFLDRTIAVP KNWTSWDRIRINKKMTVGGLIEYFEQKYNVNVQIIGFNQHLIYSKFKKSSQELLTKDCAD LYAKVSKEKLPEDEISFDVILDSYQMINGQEVSVDFPLIKYHYRV >CAK66667 pep:novel supercontig:GCA_000165425.1:CT868046:186203:187500:1 gene:GSPATT00035797001 transcript:CAK66667 MIYLTSLYLLNSVLGQLTYDSGLFSGFSSSCANGRIETKTISFHGIFDNIPQVILGLEHL DMYFGADFRIQTQNIKKNSFDLQIECLTSTTLWTIKYYWYAIDDSRIQVINNFNMVNVND KTFDHLNPNANYGILSFISMGVTGNVDFKLSITSITPSQVSVGITKVAGKFTNLNQIGYQ IILGIQEAFYDTKILKHTSDYDSGTVTQQQDRWLFLAYNGLQLSSSFRQKSIRTQVSKSY TVETWYGTYVVNYHLSSWVAYQYTTEFKAFLCFTLRISQSLDMEVSQKPSIFVEISELNQ SFQSSSYLLLEKTVSLLSFKIYMKCVKTKTIVSQFLKCQNCSTSNKFYLFSHYCHGQIDA VTYFPKFQLIESSYKELNINFLVDRLTITQTLFNQIQSIETLVEIKFKV >CAK66668 pep:novel supercontig:GCA_000165425.1:CT868046:188181:188963:-1 gene:GSPATT00035798001 transcript:CAK66668 MKVKRNNWKIHSIGYKLGKSEKIGGGQYDDKGNQIGMWVEQRNEFNQFKYSQYNSWNQST FKGVYNNQGVKQGRWDIFWNWNGENTKMYLQYDQNKIIAVEACMMIVDVKQEIGQKKKMG FICNYIVNLINKLQQIPIIWRIYQWIQIGYMGREQIAMKNDILNQNKHYPNNKILNFQIM CCHPHYYNQIWHVENIFISNLQLFQPQLIFEESTILLYANKTFLNFDFIKSSIFSFKLSR ENKKLKKNDM >CAK66669 pep:novel supercontig:GCA_000165425.1:CT868046:189885:190834:-1 gene:GSPATT00035799001 transcript:CAK66669 MKPTKQIFNENDLAKQEQDKVILNVSGWQPTKISQAFPLTRTDYSIIFTEDHEVIYKQQG KYLKIYKEEDFQYSEIIYNLERLNKLEWKGKHDQNQQEFSKQKAFWDGELTKLGGVCTKQ RLRIGIWVEPWENYWSGCQVTFEGYYDENGHRVEKWKYRDESNEEMQNRQFVFSGGGYFQ DDGQKSGIWKELQVAYNGMQFNLDYNRNNQSIQIGQYNKGLKYGEWMTIYNKKIIGGGIY NEKGHKVGMWIEQVDHFSKQIIIPNDIKSAIINPHLQESTILWE >CAK66670 pep:novel supercontig:GCA_000165425.1:CT868046:190986:191547:1 gene:GSPATT00035800001 transcript:CAK66670 MNLKLEYGPTLKYPERLVQLDSFTIIGIQRNIIQKDEVDPLKAQIGKTFDEYYSKQLYDQ IPNRLHPMRTHCLYFELSDGQDWLEMKYKIVVGELVSEVTNVPEGMVSMQVPAHRFCRFD CGPGPIPDVVIEAWQTLGKLNPQDFGEDRTHDFELEVYPEDQLDRNSMKFQLYIGIQ >CAK66671 pep:novel supercontig:GCA_000165425.1:CT868046:191987:192463:1 gene:GSPATT00035801001 transcript:CAK66671 MEALTYNQKNREKIPSKSYFLSLESSAYKFNCFFIRSNRCSKSRQVCQPGNFILGKTRAG SNLVKGLQTIGVSKFTQSWMQSEKKLKYMIGYKDSKLDNILEQNPIIDLLKLISISFNQK FKIDKPHIQRLESCGLCCNVMDSQQYEVLVL >CAK66672 pep:novel supercontig:GCA_000165425.1:CT868046:192951:194577:1 gene:GSPATT00035802001 transcript:CAK66672 MLILLFILKIVIGQTVWENFYTAFVNDNMTEQESWVVTGAMIEDHIASCAGQQIIGGYAA FGSGTAVQKLFYLPPHYKLRINLNFWKLGCWDNEVQYIIIDDIVWQQTFSCAQGFSQCGK SYQDYLSQVEIITTHNSETLLILMKSGLDGWQDESWGFNNFTIDILKCIPDCLLCNQISS DICQPEMGIKFNQIYKPNVDGWFLTNNSPVQTTICLDNITLIGGYQKLGRSDLLKTKINL DPHYQVQIKFKIWLIDISSVSTTFNIVIDGISHPFTIIPARSISFCSSSQQESIYNVDFT YSHQNPELEIYIAPTSLSGSNPFWAIFNFNLYVFRCSSHCVKCPGPIKTQCEICSEEWFK DNGECNRIQNPIQITKLQLNQIFRSSMFLPIPISFEFLQIELSQYIGFFTRQQNNFQLDV QVQCNHNGLIQSKMNICHSCQSYQIYSQTFQCQESIKKFIKLSIQLQKQNITSEEQYLIS TNNTYFLLQQIYYNREIKVGNIVEISLLYN >CAK66673 pep:novel supercontig:GCA_000165425.1:CT868046:195285:195881:-1 gene:GSPATT00035803001 transcript:CAK66673 MKMFRYPSYTNHNLLNFTKLVTKFSPWISKFINAMKPNQIIIICGQSDHKQTFPLIESSN KYEFNEYSNHCLIKIEFKIQPSTRQQFYYHKFNIIVAIKEFVDFQRTTEFHIRNVDSSAR LSNCQAYQEQFKKIQEGGFQQSIFINSVFGHNKQQFQQFLHQISPNKFRQIVPLLQYNTF NLQVKLQILAYYKFEFQY >CAK66674 pep:novel supercontig:GCA_000165425.1:CT868046:197272:197535:1 gene:GSPATT00035804001 transcript:CAK66674 MNNREIPIILVCKEKQKTYQVQVDIDSLVEDLITELHQLLQPGNQQEIVLYYNGVVLNQK KHFKDYKIVKGSTIEIIIKTHGGRLFY >CAK66675 pep:novel supercontig:GCA_000165425.1:CT868046:199600:209299:-1 gene:GSPATT00035805001 transcript:CAK66675 MIHLFQVCFSFRSFQTEFNKEIFQKSCPGYHQYWPDIYPHTCSGVPRECTNTNQLRQIIL NNYEVLCHPQIKGYILYWNYDTHILLGWWPYVMIYSYDPNGNLIFTTEGVSLLNRNGCLI GQKYNGIYKCIYCGGYNYGQNCATSPFPRVCGQYCKTCDATNTQCASCIPGQSPLDSDDL KCTLTCEPGHKTCQYIDSIYSFSGCMDGYEFLDQQCVQCPINCQVCSSGICSQCMWPYTL KMSQCFEDRNCMQYDYIYDSNGEPIETDCKQCDVGYFKKGTRCSSCQNEPGLEKCFICHN ANECKSCFATHYLTADKKCALSTVGCNSPCQTCLVTDPNYCTTCFYQYKLTARIIPGQCV CDQIEGYAKLNDKCVLCTIGDCQTCTLTFGDCTSCNPLRNRTLIGNTCPCNQGYYDTGLE DRICQQCYPSCYNCSGPYDSDCTDCGDPNIFHKSLVNGKCICAIRTIEIIQDSGSTLCLR KNSIQNLACHTRCEKCQLPADNTSNQYCTMCIAGQNRIVSDDVKCICRSGYGEDGIQDIC FKCHYSCLRCNGLLSNNCTECSIEDHRYLTSDNKCLCSPSYYDSGRNEVFCYLSCHHSCV NCLTAGADQCTSCPSTRQPDRIGSTYQCLCKDSDYYSDQSQLECLKCHFSCKTCYGSQNT NCLTCDLNYRQLIQSKCDCPYEYYDVGQLLCQKCHYTCRTCFDSQINNCITCSIQNNRII KGNLCLCMDGYLEKQIGFQMCIKCSYRCASCSGTINHCDACPDFSFRNLGVDNSCSCPSQ YIDQPENPICTACHNTCLTCSGNQSNQCTTCNTSIGRELNNQGECKCASKYFDSGVTECQ ACSNLCIECITSSTNCTSCQPEKYLNGNTCQCKTKLQGFSVSTYQNLSTCSLCHYSCLSC KGRFSSDCISCWDQDKRTLSGTTCICQPGYFDTGIAKCSQCNFSCLTCAVLNTQCLSCPP QSLRVLKQSQCQCQSGYYSDGINIICQKCHYSCMTCYQLDTKCDTCSTTAKRQFNSILNS CFCNDYYYDKGVDVCEKCHYSCFNCQGQDSNQCTTCVDSNVSFRVYNGGSCQCLIGYYDD GSSVNCKKCFIKCATCQSQSIYCTSCPFTRHLNGNQCDCDSGYYESGQEKCSKCDQSCMN CIINAITCTDCDQSQLRVLNNLTLKCQCQNGTTEINGVCQYCDISCKTCLSTLTNCTSCE IMKKLQNNICICIEGTYEIEINKQCLLCDQKCKTCINQANYCLTCSEENFRIFGFGNVCI CKEGYFEDSFFQCIQCHQSCLTCQGNSKFCLSCDPALYLQLNQQNQCICSSNYYFNTSTK KCEACDITCKECQNVSQCIECEPITRYYDGNNFQCLCKQGFYETNQRTCQQCDLTCRTCI NLSTKCLTCEPQYFRILKNSNKCFCYDGYFDVGIEMCQKCNDLCKTCQSQSSQCLACYET EQIRILQNNTCICKSGYFDNEQLICEQCSKSCLTCQGKSDYCTSCDVNMNRIDQSAIHKC PCQTNFFQDSNEVCQKCHIKCSGCIQKADKCISCKASNTSNRLTITQNCDCKDGYYDDGI QFQCQRCNYQCKTCIQSSSNCQTCFGNLREGAPACNCKIGFFITEYQTCERNYIEIYLAC DNKCSTCEKIPSNCTICKGNRINKSCDCLEGYFEAGQQDCIQCNFQCMTCSGNQSRCLFC RGDRTNLPVCNCQDGFYDDYQSLNCLKCDQYCRTCNLEGCLTCNGNRVLSDEKTCDCPQY SIDHTDTIWCSSCEVAVLDIRFSDDLLSIQILFDFSLNRNYFSSQYQENICLKFLADETI KQLGNNPNCYLDEEDEKQIILELGSNPTIFPGDSIIFLNYSIVHNDCSKKLGQFVFNQVK LPINLIQPIIEYEIPQYLLNPCDDNIILIKSKSQHGLRSMISITWSYLLNGLNGKSNLED FVLQQTNLQQLDLNIPSQTLPKQSQVTFVVEFQNFIKQKGKQQILVQTHSGQLPTILWQG KKQVYTFEIISLFFKIQKKVCSDQEEIKNGLSQYEVQLVEINKNNSNSRPSRVNVSQTVS DNYFEVKIEQYTLSPYTAYTFKMAVYETQTSFSSSQNITIEVLQGGLQCSFYDTKRLQNY RKDLIINIYCKDLDTQYQWNEDPEIKIDISCADLTKNTFCTNSNNNKIFQVNKTDFVQIV PKQTVKPYSIWGWNVIASKKGRQYFFKYNIVFLENDFKTLDVSYSKGYTIRPINSFETLQ FDFNISFEDRQYLLQYQIAIIYNFEVLKILEPQQYSYYFRLFDHYQQFNKGNQFNLKFLA QFTNDILPSQYDLQLNLNQPPICQIRLGSKTIQALQTSKIAANCEIQEDSPFTYQYRFFL NIQDYKDFQKQLTDYSLILNSFQQSNAFEIYLPNSEGVVLIQIMDSKGSITNIESYLNVT EYKLNCSDFVISKLNYKHQIILLLEVLMNHYEQSDCFQFSGNLFKEAMSLIDSEDLFDQL LALQTIKLYKRFIINFNNFNSSKRNLAEIDQKSCYDNNTKRILFNHPKIQIDTSYDILTV KNEFNKIQNFARKMILAKSNLENQIYQDEIFMNELLFQYKQSISNSLDSIMQQIDDMFTK ISKISFRTDNDQDQIILITEKLLHLIDDIAIHESAQVEVNGQQFTLNGQQLKYQIAKITK NIFNQQLDIENDLMDSLIVFVQKEQLEIHFNYLNISKKHIQELKLFMNSSQLEIDQQFYS KTKVQNYLYKKQYINYEQLNTNYAIDMTQHFYCNETNLPAYDFQCVQYINNGSLFLCNIL IQENNLKQYIQVSCQCQYLGIIFLTRQLIQSENTTETFSFSNISQRNDANCDLHNQPFLL FHGIYIIFSLFMYYELQKLEIQKIIDSIQKQKIIRFYNFHNIFRIYIKSLKVRIKSSYQF IHEIICLFYSEDQIITKSYKFLKLSIFLSILIPLSFFETYFMVKETFTFILITNYLLLLF LRMIFKIFEAIYRFKGKCKSFVIISYLVIHFSSYCLLIYQIKQKQKIIQKQMQPRVQLQY ANLNRLHNHIELCIFRPNFNISKNSPLLLYCFNNKNVPIRSIKIIYLFFYLVIQIGSNIF GWN >CAK73575 pep:novel supercontig:GCA_000165425.1:CT868162:6997:7473:-1 gene:GSPATT00038926001 transcript:CAK73575 MLHQFQVVGIGRSVQGMIRQDNLDFVSSLLIVLCQRQVVWISLFIYGMLSQDNQKPNQKV IKKNKVSQKSLPMVLHQHLAVEIILYIYGLLRQANIDLTIQRTCRNPILEAQDALIFKGE FVNYQGQDLTSLFKSKGSLILERYKELKQK >CAK87300 pep:novel supercontig:GCA_000165425.1:CT868614:1408:2076:1 gene:GSPATT00039803001 transcript:CAK87300 MTKLFLGILSYLVIISVLSQQILRLENLFSILSQTERLFKSKRIKWESRRINFQILNFLK LKFQYQFLQIKFYYEYIPFLDISKVQRLKVQVRLIFIQNQSYIIQNKDEQSLIPVVVLFE IYIISSQFLFYHSASFLLEHIIQLLNNNISKTYQLVITLTLHFSVELYLRLRNNQSKYIF RNHKTIYLKKTILFSISTVQYFSQNFSLVNSIQFSKASSLIYN >CAK80826 pep:novel supercontig:GCA_000165425.1:CT868379:1207:1545:1 gene:GSPATT00039417001 transcript:CAK80826 MIRRNERFDHKLTKIQNLQYSQNRILKDYRQRKFLFLKQRVEKTIDTQNMKSRIRRLASV HENLMKVKGQIIFQISNKWINKHYVNRISTKLIFLNQQGIKCAININWIATQ >CAK76402 pep:novel supercontig:GCA_000165425.1:CT868241:1:1131:1 gene:GSPATT00011954001 transcript:CAK76402 IIQEVGNNWRLYEGKILLVKRKINVILEKKNDIEELRKEIQKIDNKYEQEQQNVIDNEQN INNIERKLTKQLKPLKESSKQAENQQELENLQITQKDLRQLKQQVAYLHQKILKQYDRIK NLEDDNIKLKQQDGYQIQQSMLLLEKSENKHQFIYYKALFWKLYYYLSAMLQISTELYQA NRDAMIESQSEKVLDIVQKVFNVGSKVVGVIPIGGEASQLINEALDYTIEQKKENKFKVR LNKLTNILKWYNIISPVELENEVKVAAIELAKKQQIDLNARNYQQFDEFVNKLSKSEKID DNKNLHWKNGTNDALVILKYLDDQSETILETDDKKLREIFQYAIEKNTKTQTEVLQQQNA KPISQVVTVSHCCVIY >CAK76403 pep:novel supercontig:GCA_000165425.1:CT868241:3128:4515:1 gene:GSPATT00011955001 transcript:CAK76403 MSDQVCDPDKGCWLLSNCEWNGIIYTCVHDPILELTLYTGFVFSLIPIFLGIGILGGLGT GMVKRPILNLMLNYPASIATQVGDCFLFVTTTLNSLLLIFEKHPDHPELPLVNFEISIIF NQTIPLAWSVGAFLQQRIPQFAIYLFQLCFMLGAIPFLWKFTHSQKQLEIDKRDKKVLVI EKIKTKEDMANETSLNAKELQQYEIFYILNHKKIQIKNLCFIFGSFIVNQTIVLMRSNKF NNSIIGIDACTLENNLILILILCANCIYTILVYWNKRNEEFYKDLVQYRPDYRYFTPKKT FWFYYLGGCLAGFSTGFIGMGGGLIMVSILLHKKIIAREAAATAGFGTFMIALNSLIQLF LQKTITTGQMFTFFGLGIIGLAFITKPAYILMNKFKVGYVVLIVDIISVTNNVLAIIALI IINSTLYGFDRMLNYHEHC >CAK76404 pep:novel supercontig:GCA_000165425.1:CT868241:4872:6365:1 gene:GSPATT00011956001 transcript:CAK76404 MKNQITEKASINVRCDKCNRKNDLIAECCVVTSHTHTLICCHQCVKVLEKQQKLYVEQIN DILSECEQIKQFIKCNNLVELISNSIFAKTLKMSKPLIELLKESKEFQQSSNVNGIQFKN YCKQRTIYKQLLEDHAIKDSIEKAFQNYKSTIRQYFYDEESTLFFSITIPQSKYSVLYFK VLSHYFLILKYFTQLEYLSQIDQEAAENIVMDQYEPSIYVSIYDLNSKTNIYEELLIQNL NLQFFNEELIKSYLFEQNKIIYICIKDEYYEIHLSPKQPPKQINNIKFDVISDNLIYLGL DTLVKFDPIEFEIIQQKQIFERNWQDNQFLISYDKKIHSFIMYSCPIQQENEIFEIIQLD SLRCTKRIIFANYDRYDYNSFSYHNSFNYLINISTVVLFRESHAKKSGFLILFNLQRNKI IRKIPIVYSKWLEKLDFLRNQSIICVKYKCDNLHLFQISTGRNILQFEQLQTYPIMQNEQ LAVCIKQDNVKILKLAQ >CAK76405 pep:novel supercontig:GCA_000165425.1:CT868241:6618:12303:-1 gene:GSPATT00011957001 transcript:CAK76405 MLFILVALIQMANSEWMLIYSDFYQLLIIKQDSWNSYKTCSDQDREETQTKQCLTNNLEF MRLSDSKSSLEKKFYYPHYQLRIITDVIYIRSKNGINSAFQIQIIDSPGSKQSIFERFYD QISLQEYFKVCDQQCSYTCTLNKKRIEIKTFISDTINHLTPIFTISYCMQFEDKEMRIGL RNILIYANSCHISCASCDGDTKFNCLTCYQGSLIGGVCLCDEANKYAHRLIGCVQECPID YYIADSSNYCQFDPRIKSMVKYFAFSTISNGDKIPYDPWIYEPDPFHVSNSDMIVTCSGK DQVGKFTYSSSMQLKLPQNEGLVYLRIRASFHFFGWQPTSVLIITVDQYSQMRIEKTMDN YTIVNGKLNIFESLTCSSMNYDFLRIETVLKTYTMAPVIKFYAIQKLDSEFWSFNNVTID QGLCQSNCTKCETFSKCLICELGFKLFRGTCVNVCPMHSQFNINFCEDYEDLIDNSRYLI KAFYDMNTTFEEVSKVVDNFTYLNNTIQSSFTGAIYSFVPEKSVLGGVLVWSKGKFQKTF INLKPHYQVSFRINFTYGDYNDGWFQYQLDSHQSGQIQNPKNGVKNLVGDYGYETTIFHQ HQFTHNSNQLDIILSADTQKTDLDEAFMYVSEYFVVVNYCVPFCSSCTGPTISHCDFGSY TGYNSTHYCRPTEYVKFDSITQSHSCQACNQIGCLECISESVCTRCEFSDSLQFYLDQGE CICYPSQYLSTNQCLKCNQYCESCFGSDYDQCYSCNPDFHRSISKFKCQCLVGFYDDGYN LECVPICGDQLIVDGEDCDDGNSNPFDGCDQCKYKCQDECQNCHQGKCYQCKDGFTYEQD VETRRSKEMNNVMMVIIMLQMDVIFVNLYVMLIVLIVIMENVSNVMKLMDGISTLSIIVN KFVEMELQIEIMSNVMTKNSNPFDLCDHCLLGCSEHCIFCYNGICLRCQVGFRQSHKTKQ CLPICGDSLIVGYEQCEVGLSEVFHSCNQCKFNCDSNCSLCEYDECLQCEYGYQLRNNKC EQQCGDGLKVGDEECEYIDIYQDVSTGCLSCKQNCGSGCLICTNGICELCKSGYLRDQFK CKPICGDMIIVDPEECEDANLEPYDGCHICNYSCDPQCLTCSFGVCYKMEEPTIVDIDPV EIACPDFCIECKIGNVCIICEEYFQLSDSVCLPICGDGFIISGLEQCEDGNDTPFDGCYQ CQFQCSLGCIECEQSNQCRKCDSNQFQLDNQTHKCVIQDVINNINELEPSLFQLSEVRCQ INYLLIDNVCINQCGNGELNTEFEICDDGNYLGGDGCSQNCVIEDSYQCLNTQGQLSQCT FINSPQFFLKVLSEKVNSTQIVELTFSQQVKLEADLTLEDLAKFSITPLTNYTLTIYSIQ NLSTTLNYPVYHIYIIFEQPIQDPVFEIKISKQAILNEFDLTLQKYEMKINLGNPFILSE ETQQKLQSVVKLNEATMYSLIAISGLAIVTGNFVMFFNLLDLLQSLSYLRYMQYQFPPHL IEFLNTYTKVSLQPILDQLQINELFIKLNGGTLPFQQKSNKNLSQTSSLNQFFLINAKSC YFSVITSILIFFACCIASSNQLNTLFYNLSKKFEQNGRILKIIILFQQKVQLSALKFKNE YFSFGIFNVYQAILHQFVFSSFLQFPDYNFNSPFQIFNSINAIISLLFISVTSLYLMSIT CTLIKDKQKWKYFLKDSKMEFWAANCKSFLLYRIIVYIFIIVKFIDYPQVQSLLLSMQSF FYLIYLIKFAPLQSPYDLNKLKYREFFFMLITGSLLIYTFEFSKDQQMLFGWIHISMISL LLIINLVIDVSESIKKARINYARLQHQKKLQELNNYYDNPLQRLVFHSCNTPTQKQ >CAK76406 pep:novel supercontig:GCA_000165425.1:CT868241:13312:22101:-1 gene:GSPATT00011958001 transcript:CAK76406 MIQKSLVVSRKSKESNFQNEVKIITLDKLSNLRGGGCVGSKQQNQNPFLVKNQDEHLIEN LPKDFLENLRYRSKIIVQIAKTINEENQRAEFSICYQWLYDSREQIHWIGEKENSQLFYD CILECFLQLLQSALIYVRTSGLYCFYLLKICSDLSKTIFNFYRQNNDRCMRKEDKKNILN TIKELESHVSVEAYTLWQNGINYHISIVKAAIQLIPVDGNFQLFQLFVKGLITSAATLTP SDDLIKFLFKAAEEIYDKYKEYKSEKIYQKYYNFECLKWSMIAYLKNNDQGISRVVKQLE KLYEEQIRESKSSTIHFLWIYFLSDLLLFRPIIQKQDWIKYQSNSQNSLGTWESFITQYN LKPLPYDSNSIKLNLEFTLDKKVKPILQQFGLYNFKSLSLLLIEKTKTLKFNALDNYVTF HFSSHTKLFNSIDPFISEIYQLLFQNKENQNQIIRIMNLETHNSQMEENNPRLIELVNTI QQQKESIEQTQDLQEIIQKNEDLIYQTVPLLLQLITVQSKLYGSYHVIKLQLTSYNHSTS NSHIENLIQTQTSLTDFESSFSNVLTKLSKSISQDKIFLDSLSLKNISKSTYISDTSLQY HIYSEIIEDQVEKVKNQNKRQIQDQNLIYQELIVIQLQFESHSIPLLNNKHCKINFENIF QSLKQIENIQWNYLMNLEETKQDLQYSLSVDNFKQKEITMIQFSVKLSTFCRQVELFNKI LDMFRQEYLNINKLIQKQSEIVIISQMESKREGVLIEKFKLSLDEYFQFMINALQKQSNM FDEREKFEKSKTILMQHYRLQSELKQHLSKFNDNFIGVHSLSEKANPLKSLYQTVKNIDV IESLINLADQNAFNSEIQDVLVLLSTLQQDLLKVDCNDVEDLQQNELDIYYKEILAKLKG DIQILDEIIEKSNINKELIYKLITKSQDTQTLMNKFQELQKFVNKKEIDQTSWQQIVYNF KQELNNLYINEIVELLGKIKTKQLFEMLNNLNYDMEFLNAILKYLNEDLFQESIDSIQSS EQYIKKLVQKQLEEIKDFINNNEYQIRECLVFQLLEIQSLSEEQQIQSYVTELLIQVWGI EKDQRVRALLKNKEIISLQQQLFSRNQVTINEKLRKAFQMKLKYINELEQEIRQEFDDDS RNQKISTMQSEYQQFQEFLGNINEMQQLLNITLYFLVDIKKDLGQIMNKLENLQNTINII GRDISILRGKSFQQLLDLRKQTVLLNKKKIDLTKIFVPLYGREKSNGVESKLIPMFDDDN QNKISIVNNFIWHDHSGKEYKDQSDILLIHGQAGSGKSTIARKIEEYLWEQQQTQHKKEQ ILIPIFVSLPSLKDPLHSAIEETLQSEQLSFDKIQINQLKEEIQKGKMSLIIIMDGYDEL KTEYSQQNLYVLNRLNEIWRKPKVIYTSRTEILNSNLYQTWFEGNGKLKEVELQSFSSLQ QNQYFKSFVLQLVKQKILNFYEYCIQIERKLFSFEEFEKIWLPINQMIVSKSLEGKNFMN LFLNRNQITSIINSITQNPKIEIRQDQHEELLQSLQKELSEIWSANRYIEIMDEINIRSI VQMPFMLEIVAQIFPKLTSATSDINQVKSNFLKNYVNLKEQQYLIKKYSQNLDQVFDIEV EQLSAFNHWKQLEMKSIFKNYSPLSQIKIERKLLVINDESFDFGQEAQLIKQALKNQNYT PYAFYQAFIDYYHNQQVQKLKMIGKAIDQEIFFTDLLNISQSLALELTERQLTQAQYNKK SQVEFSNDGRTQQQEWIDKYFGQQGIIAEQKYLLRKCALLNQKGNTISFIHKSIQEFYVS KYILDFLFRLPTLNLEDLNVYINDKLRNQQDKNKLINLDKEFISVGKENVQKLVELIVRT IKESKFNTLNFSEEQYQGMIHFLKSEISQNQRLKEVLMAIVKLSCLEPIQQEAGNSLFIL NQIGEVFNYLDLGHIQIKNANLNGASFYSTKLNESKMDNVNISQCNFNRAQLSNCRWINI NSNELPTLKGHSDSVSSVAFSPDGQTLASASNDYTVRVWDTKSGKEILKLSGHTGWVRSI AYSPDGLIIASGSSDNTVRLWDVSFGYLILKLEGHTDQVRSVQFSPDGQMIASASNDKSI RLWDPISGQQVNKLNGHDGWIWSATFSFVGHLLASGSDDLTIRIWDLKQCLEIRKLEGHS APVHSVAFTPDSQLLASGSFDRTIILWDIKSGKELKKLTDHDDGIWSVAFSIDGQFLASA SNDTTIRIWDVKSGKNIQRLEGHTKTVYSVAYSPDGSILGSASDDQSIRLWDTKSGREMN MLEGHLGLITSVAFSPDGLVFASGGGQDQSIRIWDLKSGKELCRLDGHSGWVQSIAFCPK GQLIASGSSDTSVRLWDVESGKEISKLEGHLNWVCSVAFSPKEDLLASGSEDQSIILWHI KTGKLITKLLGHSDSVQSVAFSCDGSRLASASGDYLVKIWDTKLGQEILELSEHNDSLQC VIFSPNGQILASAGGDYIIQLWDAVSGQDIMKLEGHTDAVQSIAFYPDGKVLASGSSDHS IRIWDITTGTEMQKIDGHTGCVYSIAFSPNGEALVSASEDNSILLWNTKSIKEMQQINGD TMWIYSVAQSPDQQSLALACIDYSIRLWDLKSEKERQKLIGHSDQVEVIAFSADGQTMAS AGRDKKIRLWNLKSQIDVQILIAHSATIWSLRFSNDGLRLASGSSDTTIRIWVVKDTNQE KVLKGHTEAIQQVVFNPEGKLLVSTSNDNTIRQWSLDTGEQVELLEVNLGVVWATIFSAD NQILAMVNKNNTIFLYYIIKGEIKIIENNLDLMHSLAFSQDSEFLIAKNSDCSIHLLAIQ SGLVVQSFQKYSTLQEATKNPFLQQFKLSKTQLNLQKQLKNRKFVQQFTQSFQANNFSWS NIRMKLNPITCYKAIATSRLIQAKQTIIQNSTIISNLRIDLIPLYEQKK >CAK76407 pep:novel supercontig:GCA_000165425.1:CT868241:22294:23590:-1 gene:GSPATT00011959001 transcript:CAK76407 MYKIPCYKHDGSYVQFISTDKDRTEFICDQCQQDLIDTNPKFNFQNLINMSTGLKSPEFL FSKLEVSGDIKDFFGEFAKHDEISLNKQIKQFESFILDIQNGFTKMLEELQQNIKLFLDS KSVIRQNLEQIMKFHEFKSIMTNLEQLGDSINPKAIEQAETDVHQYFQNVSQECKNSLLQ RIQESSVLSQQKLKNEDPVNFPEFQKLEALLKSLILTHCEFIKQLQPKPPTRYLLNDSFY NKIINQIKKKQQYEIKNAELIFQGTRDGLNANSFWKICNKKSNLLMVFKSSSQAIFGGYS PCQWICEQLITHRSDPSYSTFLFSQDKNEIYEQRLGYENGAICCRAQSGPIFGAGHDLYI DTDFADGYSKLGYTFLIPQQRMQNVWKFGNQENSTYLFGQLTPNIVECQIFEIIFQ >CAK76408 pep:novel supercontig:GCA_000165425.1:CT868241:23616:24022:1 gene:GSPATT00011960001 transcript:CAK76408 MNQHKMIEKEVSTIMFNQSPNFNQKVLQIMISTCKEEIKIWTSNKGTEDVNCLAYSKFTH VLYLVLMIKLLDFGSNRMELIGVVDKNINSIKDMYNVNFQVTLNLNFFLEKLISQLKFGE QFRQK >CAK76409 pep:novel supercontig:GCA_000165425.1:CT868241:24243:25767:-1 gene:GSPATT00011961001 transcript:CAK76409 MHKNFIIWRITDKILFRLILIEYHQMSISTKYFKNISEDCFNGVQQSIEISYQRISSFLD QIQLDVLHPPSSFQICKVSSKPLIQSQSNFSPNFLHPILTNQIIFEIKASLNFIISREVN NKEQTLRSETMLIQQKYDSLIEERQREQMEYLEQKDQVIQKFNNKNNLTQKQNREDQKGK KPLSFKLTNTYSYKQLQWCNAIALNKNSSLVVAASQNDIKGKLELLQICQNKNQVYTLNF MKNSNNFVSGFIDNSIIIWKENAENQWNFEQILNGHLGSILCLLLNNKDDLIISASQDKT IKFWIKQNQWKCSQTITDHTESVISISLNEQQNKLISSSLDYQILVIERSELDSNWNVRQ KIKVDQKGLRLCFINDKLFTFQPSAQDQMHVYEIDRVTNQFRRTSEIAVKSGSNKCDSSF SQFYSKSKCLLLNKNGNFVNLMRMQKNGRFVVEQSIQFNDYHIFGQMTDDGELLVTWDAG SKEIQIRSFKEF >CAK76410 pep:novel supercontig:GCA_000165425.1:CT868241:26900:27635:-1 gene:GSPATT00011962001 transcript:CAK76410 MDRFNISQTIQPMTISMIKKGMKSTLHTQLDYVINEGHPINTILIVGFSVELLIINEIQE FQALIEDSTGNIQVITPLTQQQPYWLDKLIQENPKQPSYVRCVIIPKQFKQEIVFQCVYM ELIKNFNIITLHLIDCIKAYEYRKSISYLTQLDNNQEQQELIKAKSIQYQSSCILQLIEK QPLTKGKILEITNISEQLFQECLQYLANQIQLNENDEYCKLLQ >CAK76411 pep:novel supercontig:GCA_000165425.1:CT868241:27648:28851:-1 gene:GSPATT00011963001 transcript:CAK76411 MKQVIFLVMLITLTLQTSLSSKVDVVMAQMDKMALKNEFSKQLAGLIELKMLQSSYVEEV LKEIKGIRDQLIADQTVEDQEFAKKIGQLNVEIEILEIQTEKLAKELQRLNQQIADLNED ISKLIGTQQSQEKQLSTLNSKEEDIRNQYKLEIETISQRTTNNIKSIDGLNEMIAKLQQA VFAEQSKTTVLSQQHTKQYVDQLANSLGPNHPITALVSVTTKFDVPTVTRIIQLLENIRD QRIQENAGADEYAVKVTQSYEVTLKEVTEVRERLSANYSRTLVNLKRRNEENALSTKSRN QIQKDLPIALDLLQQYRNEREIVQSNYNLRSARRENEVKIITQAYTIVAQQVKV >CAK76412 pep:novel supercontig:GCA_000165425.1:CT868241:28928:30685:-1 gene:GSPATT00011964001 transcript:CAK76412 MSSESVSISTLIQKNAQQNTQYNWVGVLEFLHEQHKQVQYKDCEWALEKSQMQQKINELE GVVKAQERVITDLGKRCKMLEVALRQERLKYQQNGQVPDYLNQLLKENIENQSQIQNYQT IPKRKAKPYRPLLQKIIQEVGLQSIFSPPSSPKIEHSNRPSVMLTPNQNVTSIVQNSGRQ SPTNMNSTSGFPTHSRSKSQNINEEQPQIVSKDPVQLQNTLRHHLDGVRDAYFFNNMTIL ATVSEDCQLKLWDYQNYQQQQNQIEPYLTLRDHTGPLFAIAGIEQRQKGIQNAIFSAGAE GAIKMWYFPLPDECDQLGQTEEFQQCRMTWQAHQDAIWQLKVNSQQNLLLSSAADSLIKL WPIQDSQSEPKQLYQFGQKNQYGHFVDSPTAIAWLQHNPNLFASGFMNSAILSIFDTENG RNVQNIKYLQENNASAQINQLTQFQQSCLISSHDDGKLRIFDLQQSKLISQIICGNEPIT SAITNLSNTNIYIATGNVIKVWDTRRQQFIQELQGHQSKYDENIHNLVHHGSYNLFASLG ADGQIKLFSSK >CAK76413 pep:novel supercontig:GCA_000165425.1:CT868241:30732:31028:1 gene:GSPATT00011965001 transcript:CAK76413 MLVNYSDSEDDQKQNDNPVVNNIPPQEQIKPTKLIPIEPSIVKKRKPNPKECKIPDVFLK SDGKIDQEINQQVGQQQSQGNKFIPRQLLCKKSNVSIE >CAK76414 pep:novel supercontig:GCA_000165425.1:CT868241:31056:32795:1 gene:GSPATT00011966001 transcript:CAK76414 MNKLEILNSPRLTTQTEHVKRSFYSKNEKNQETSWYHPRTQKNDGTCSPSNLQNETMLEQ IRRLKDKIKLQQKEIERLSCINEYLIQANQAKLNILQSERQFHSKKVTIKLGHNKTLSEK KNYLNKFLDDTSQQSPSIKRRIYRNPTLARLHLEQESEQQKNEKQTQKASTFYDTLQQED CFRTNAILNIQLSDEDALQQYKQDGTVSLMRELLDNEDSFGDIIQNMSAQKLSFLYDKFK RIMSDHHQLFILILRLKKIVMGALQMNSSILLDDALQTIINKCVDCLECDRASCFIVDES KKELWTKVAKGTSTTIRLQVGQGIAGFVAQSKSILNIEDAYRDQRFNSQQDIKNNYKTKT LLVCPIMENDKCVGVLQCVNKQSGYFTKDDEALLQIMCEFSRSVLKNAMNHDAQMLIQNK LRHLIKTGVLLQSKQNEVHTLIQQAEERLRSLMNVDFGKIIYNDMQTNKVIHINKEGKLD ECDNSLGIMGNCIQSQSILAISNCYINPLFNPNIDIETNMPIICMPLKYSNQIIGALQVV NVKGIGGSDSKVNSIDLEMLELFCLQTTQCLIQMRSNKN >CAK76415 pep:novel supercontig:GCA_000165425.1:CT868241:32812:34330:1 gene:GSPATT00011967001 transcript:CAK76415 MENQQEQQITFQDYIINSLGQIIQSDLLAYQKCNSIISNQFSTWLYYELISTINLNSNIF NRNPTPQLIFLCSELFENANQEFIDYLFDLVEGLSEDQAETKRGLFRYLISVVEQDFIAE TTCGYFYKVMNSIIQKRGADVCNFLENDQRIISSFLKHVDQPHICRIITELILFANQPSK ILDYSYLAQQISDRLFKIMERKSHQITIVDNICEIFVEILTRKSQNPNLDIQKLISIKPS QLFQIAVQSNSEGPHSLLILLLEYAKNNQQDHVDSFIDISHLIPLEFEKTYLTNIQQDRY FNKLFGRKNMKLLFLTSQLIQLNNKSINSKLIDNGLVNVLVNTIQEFPIHNQLHFTAFEI FEKLIESEQIDNILDMIDSLCNFIVTQLNQTQNYVGYRAFLSKLANYLINKQHIPAIQKA LKNNQYWEGFVQTRLKDINQVELNFLFNINPRCKILT >CAK76416 pep:novel supercontig:GCA_000165425.1:CT868241:34477:36273:-1 gene:GSPATT00011968001 transcript:CAK76416 MNEQIAYIEDFYIDFCALLGQGACGKVFAANRKNDTKQYCAKIVNGNSQNVQKELDILNF MKNKQNENIVNVYYSNFVPDKGFFVIIMEKCDSDLEKEIKSRGQQRRYTEQEAIEIMKQL FNGYRVLYQSQVIHRDIKPANILTSQGKYKIADFGVGKIYQSDQNLLNITKNGTPVFKAP ELQGNYEYSPSDILYIQGNQPRFSTNPSPLQGSTMQGNMMQGNMMQGNMMQGSIMQQGTM QQNAPFKGTFDDKEKKVKLLHKVDIYSFGILFYHLLTGTYPFELSMSGINDFIQRLKITP FKIPPQFNISPSTCQLIERMITYSPVERIDFPSLSSWYGLRLGTMYNRDSAIMLPVKMAS ITFNPPKIVMILPQKSVQDWIQQYRLLFIEKCCESQIVIPIYRNYCDLCLNLAIMQFEQT ITIEQIIEYIQKYQQANKCDIEFKIEAKLDRIFYIQEYCRVLKNQFIPKCFDYEQIKTYG ETHLKFMFITSKLLKGYYNIQSLLGPQPPNYNAFKDLISKDIGLTKEQYKQYLDDQF >CAK76417 pep:novel supercontig:GCA_000165425.1:CT868241:37148:39152:-1 gene:GSPATT00011969001 transcript:CAK76417 MSTKIGHKARQLILDILIEDCVIKIYDDQLNDLTPNILSKPQNVDHFSSPSIYTTRQSKS NDNFANNQPQNKQINFKTYKFYDNISLSGDSLQSSTQKDQTYTNIKDLIKQYLNDTKISE QLIIANEYDYKTGQKTKYKLIISQSISNYIIVAFIKLDQVYLIKQQNKLTQFKQQLASIF TNKLKTPLNATFGYLNQSINDISVSDQFKQLYLIPAMLNSKLQLYQIQDLLDYLYQDSTQ LALQVTKTNLVKLLLSVNELLEQQCKTKQIKLQYNLNNVDIKNQKPIYIYTDQQKLERIL INLLNNSYRYSPSNNTISIDISIDEQESKAFFRVSDNGEGFTQDQIKFINQQALLQNKFH LVQNHHQDKYHHFKIHVKFGISLQITNHLISALSDQHSSLQLNSSQQGAAFEFFISTKIQ NQFSSSQKIIQNSKTSKSVKGLQNIEQDNGSICSYSSSIIIEPSPQMPLNQNKNELQQFK NRYSHRQPSISLTQRADENQFYLLKKGVLCESIDLENEQEILIVDDEPFNHDILCLMLKK LGLNRFVTAYNGQQCLDIVMKKKSRIQLIFMDLDMPILGGLQTTEILIKMMEQKQIDYIN IIGCTAHDDYETHLKCINAGMTHVISKPIFLKDVKELFLKLSDELQIKNLFISQSIEKHM >CAK76418 pep:novel supercontig:GCA_000165425.1:CT868241:40116:40946:1 gene:GSPATT00011970001 transcript:CAK76418 MENEFYSYYLGSAYGTFVIKAWIPTNLFHKLNPYDELKFGQSLRVYILRFQNFEKQIKQK RIRQCCQNQNDTKVQSTQVNLIIGSTQTTNSSVILQLRNQREQKSQKNILNEKLKRKFKV KWRVQEILYYYDQDRYKKQTFTSEILGDVKQKRMNYVYITKFQ >CAK76419 pep:novel supercontig:GCA_000165425.1:CT868241:40949:41568:-1 gene:GSPATT00011971001 transcript:CAK76419 MNLPQVFNVFTDNNQFEKFIEDLVADCVHGIKLPYLSQFPEINHYNLIVEAQQFMQQFSE YLLEMNNQNREEVQRLLDKLKSQIDPEKHQIFTRIITENFKGMCKQALYTHIALSKYVLI DYNWNLNLTISSNKIAKSQIPTIIVELHLQTLDNIANKKKIRFEMTKEELEQFVGKLQKV MNQLQLQYK >CAK76420 pep:novel supercontig:GCA_000165425.1:CT868241:41617:42722:1 gene:GSPATT00011972001 transcript:CAK76420 MKPQEYKWNEKLWKPIVRPPRNCYHLKDLGNDLFKIKDTITKRTDFEIYNNRNQKLQCSL FEPIKMQGNPHPCMIYLHGNSSSRIEAFTIIEYLIPANISVCGIDLSGSGLSEGEYISLG FHECHDVVCLYDYLRENKSYITSIGLWGRSMGSVTAILAAYNNIDFKVLVCDSPFSNLTL LCKELAKTNYKIPNCCFNCFWCYVKSKIHQEVQFNIDELNIVQIIQVLPQDVHILFLSAQ QDDLIRESRPKLLMKQFRGQNKELFSFEGTHNSKRPAKIMEDSVAFVLKAFGQNVWTASN IKYAMKYSQNQLSKQVPLLKGDKRSVQPHMEKEDY >CAK76421 pep:novel supercontig:GCA_000165425.1:CT868241:43046:44160:1 gene:GSPATT00011973001 transcript:CAK76421 MDSMYSKYIIPNQSEKKQSSSPHSQVTFNNIQVYIFTKISSNFQKTTLPPIVGSASPKTG FKNSRILSNFQVIINQATISKASLSILKQELFNQSQQQMKQTQLSIDINKKEQQEFQEIK DDQIIQTNTIIDSPTKMNTDDSPKKNKFKAFGNLLLSIRRLQQNLVERISNIDQLKESII KPSTNTNASKIHPDPSSRDSENINEILSNLKLVDRFIYYSEQGSQNDIQKMIKLLQIYPK KHLYCPTDPKHILNSFNKFGQNSLYISCKNGNIAVIKFLLDQQANPFIKSKVYENFYESP LEVSIRWNHFDCVQLLLEKSNYSNKELKAAIQQTSNPQIKQLIKSNLKSDTFCCY >CAK76422 pep:novel supercontig:GCA_000165425.1:CT868241:44871:46447:1 gene:GSPATT00011974001 transcript:CAK76422 MVKKAAPKKQETKKAPIRRTRTISTLIKDNENIVHQKLGQTRSKSNGVLQKKITKQTQET KQKNVLNEKEKNAPKKNSNQKEEKQPIKDDTKQKKQPEVKDTKKPIQTRQKVNPVQKNTP KKSPVKKSTPKKTPVKETVAKEVTKPKPAEKKNEKEGSKSEKKVEEKSEKKNNDKSVKKD TDKSDKKEAEKSDKKVNDKSEKKPVEKPEKKANDKSEKKPVEKSDKKSDKKGKSEKKEQS QEQQEQKQTDSAKIPRRTESKAIAGIVDVVFCVDTTQSMSQFLYQTKNTVKEIIKNIKVK AQNEDISVKFGFVCYRDHPPQDYTYVTKIQGLCGEQEILDFIDQQGAQGGGDVPEAVLDG LYDAAKKIEWRDASHTPSLRYIFHVADAPPHGKEFSDQASAWPNGVPSGVTLDKVAHVIN IREIHYRLINVNKLKLLDNMKKLFKEKFTNYEETELANAKEMDFRVSDMIIRELLPDVDY ND >CAK76423 pep:novel supercontig:GCA_000165425.1:CT868241:46959:49090:1 gene:GSPATT00011975001 transcript:CAK76423 MGNLCLRKKEKSQQPQYNIADENHITYTPATLDELEKKIKIESQGMYNYHILDDNSSEAL ILNVDNKQDQFQQGNNQATIQILNDLDKDAQKLIKQGEIAKAELSKIKQQQIDDAVLNME QQTLQQNLLNKPQESDVQRAQFEEERNREHQEAQKKREKQQQEEMIRKEKEAEILRQQKE QEARIAQEQENEKRRQLQQEQERIRIEQEHERQRQLQIEQEAQKLRLKQEEEERIRQEQE AERLKIKQKEEERIRQQQEAEKLRLQQLEKEKIKQEQEAERLRLKQEEEERIRQEQEAER LRLKQQEEERIKQEQEAEKLRLLKLEEEKIRQEQEAEKLRLQKLEEERIQSEQEAEKQRL QQIEEERIRQEQEAEKQRLQQLEEERIRQEQEAEKLRLQKLEEERIKQEQEAEKQRLQQI EEERIRQEQEAEKLRLQKLEEERIKQEQEAEKLRLQQLEEERIKQEQEAENLRLQQLEEE RIRQEQEAEKLRLQKLEEERIQQEQEAEKQRLQQLEEERIKQEQEAEKLKLIQLEEERIR QEQEAEQQKLKQLEEEEAEKQRIQQLEEEKIRQEQEAEQLQQQQEEQNQNENLDQNKNNL YKFVWAQGGSKVLITGSWLNWTDKIELIQIDNKFEIEVQLPSGKYEFKFIVDDDWKVSDQ YEYDGQNNFIII >CAK76424 pep:novel supercontig:GCA_000165425.1:CT868241:49534:50769:1 gene:GSPATT00011976001 transcript:CAK76424 MYQKIDHYICIKLKFTEQFSRHSIQYITIIYYDKYYNQNFSCSFKKSSCQVDIQYLNKKS VWLIQQNHKRKVIVNSNQFKEEIERIDLEKILLQKQKIVKQMKSVSAPKNNYQRTLNSSF STPDKKINKSRLNTQSTLKLSGEMMSVQSYLYEQAHLKEEASKKEIMRQIRSVIDNLEKN KSVKQLNQQLSKLIVGLRTCIHQQEVSSYIIQLIQIMREITNNHQNQKQNLYDKDKAILI QRIHELEQQAKENQFQLELQMQRNKAQERIKNLEVQLEQIKQIKNQNQNQPKKQQTNTKE KMELKNHIKLMQTKIQTLSEKEKKLIQLVKAVKSRGIDVEYIYKNINQVSSRDSNTTNQE DKDEFIDNQNSDFADISQFDIGQSSIKRNQKFLLD >CAK76425 pep:novel supercontig:GCA_000165425.1:CT868241:50824:51736:1 gene:GSPATT00011977001 transcript:CAK76425 MYNSRSLYQQVSPSSGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDAFNEFQEQ IFTKLNGLRDQLGKLQKQVEEDKLAKEQANETKNREVLALEKKFENAIENENQARKEGES KVLRLLEDKTALLRTEVQKETASRVDAIEGIHQGLQNDLPKIQEAIRNESNERDESDQNV MKSITDELVKLSNLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKKTREQSEEHLLS LLEDTCNKLSIAANL >CAK76426 pep:novel supercontig:GCA_000165425.1:CT868241:51736:53287:1 gene:GSPATT00011978001 transcript:CAK76426 MSKEVVIIFFIHERDLYQHMNLQLSIQNFRHKESNSILYNSYANISKIWPENDYYDKETL YFQIKSLKEEMNIIRAENIKLKTRIQQQDKELYNFEKYIEELQIKPNSLAKNNEYIIQQI KKQNKDLQFQLQEKLCQIEQMKKNAKVTKVQELKIENQLYKEEINKLKKLLQDQEKSTQE FINQQSMIKDNFERTQQGFIKQQLEINGLKQKQQNDNQKITQLQNEVSKLNQIKVNLEDK IQRISTEQNKKSSTNSFKSNKFQATTEISSTQLRSKTQEELQYKLIMRGITYEQFTQMIQ ELKQQAIDLKKQIEIEDIEYILSQEPFSLGQDRIQEVVKSLYAYGNKLADSLLNQIGKYK TFLDYPDFKIEEAEKMIKQTLKQPEIAEYVQKKQVQIWNKEEVVQMIKYLKITWNESVFL FYLLNLFEKSGQILEFKSDTIIDPFPQLVQKESSRIIEESDEDLFDQQSDASQNMIIQDA DHYIA >CAK76427 pep:novel supercontig:GCA_000165425.1:CT868241:53311:53960:-1 gene:GSPATT00011979001 transcript:CAK76427 MGKIQLEKEDKNLKKDKKLNKKKTVQSKGDMDVEKPIGIKKKVIKQTSEQIKQKQLKKKV NQLNQIKTSTQTDTQKKAEIFKEFNKNLEQQIQIPKTSTKGQRDRLLKKQKILKKKLLDK YIITKQKQEPQTFILDSLKNNLLDIDKQHQEKQKQLELEKNKGTSSHLRNKLLKEDQLRM KQVFQIKPFQDNPLATMKMHLENTYSKK >CAK76428 pep:novel supercontig:GCA_000165425.1:CT868241:54527:54780:1 gene:GSPATT00011980001 transcript:CAK76428 MGCTQQKISKKDQLMEVPKQNLQTGPIIMSNKIVLLQISYYNGRQSLKSIDQSTILKRRR TKEQITEQSSPTKIYK >CAK76429 pep:novel supercontig:GCA_000165425.1:CT868241:55835:57682:1 gene:GSPATT00011981001 transcript:CAK76429 MKKEVAGFKINLSKQLGRGAFATVYECQKEGIIMPLCVKVIHLMSDDVYDQQALIRETNI LKYLTSFHHPHIINVVDIHQDQQQRVFYVFMEKCIQGHIENTIKARASTKQFFSAAEIIE MTTQIIQGYSLLYKNSIIHRDLKPENLLYGNDGKIKISDFGMSKILDKEARNQLVFQSQV GTPYYASPQVLDDGKYSSKTDVFSLGVIVYYFTFLKLPFDKKTFTELKLQQMALLKNKTL VFPEMKIQGENYEKDLLIRFMSETITFYEETRIDWPTIFKMFLPPEESVESAALVPQQSF ESIIQPKSDAIIDNRGQQRSEKAFQSYQVRTLISNLMAREELASSVQQYLICLNKYTSIF SNQDKNMLKAALCGYQMAINLNQIFLISNQYDQVCKQIQETFRENNIKIECESYMNDKYE KVEIQKQQVQKNSQSNLIQFKESIQQVLQGEAEIKDEALKKFKILLSKAEQQPNYEIYAQ WFNYYYQKYIISKMQKYLNQAQEEETLMFLALSKKFVAVEKDYPIKDFFSIIPLYISYMD TEKEYLKQYLRANPQQQQIIDSNRNEK >CAK76430 pep:novel supercontig:GCA_000165425.1:CT868241:57692:59513:-1 gene:GSPATT00011982001 transcript:CAK76430 MLQSIFDFGQTTSTFWKQCDKALLDEQNELLEHEFLVGSKERLIKKKFLVMSQDSLFRVS LSNLKKAPLLTMHVQFIEASTDNFVQLNSDEQLLGFHLSYQGKSLEIFTADKISYDIWKS HFKRFAILDNFHEAFQVSKMIGKGSFAKVYQATKKETNTQYAIKAFSKAYMQQQAKGIES MLNEIKIMRRLNHPNIVKLYEVHETTNSIYFVLDMIQGGELLQRVRETGFLPAETVQKLA FNLVSALSHMHENRVIHRDLKPENLLLRSTENNYEIILADFGLATNLDEEHLFKRCGTPG FVAPEILEYVEGQEFYTDKCDVFSAGIILYLLITGNTPFIGVDQKSILKNNKECEIDFKE PHFKLAPIQMQDLIQSMLQKKVSYRLSSQECMRHPYFKQLVKEYKVQIEKYQSNLQCYQE IQNARKIGTQDLEQRSPLNFNSSDSISSNISQNRQDQRKTSIVAGASKFSQYSARLSKQN SREISDIPLKQEQKKSKDLHRLALTNSQLKQIAFNQFDNVEDPTAEDCNVGVMVRQYNST RQIRIPDNTLQIKECNTPTMQKQQ >CAK76431 pep:novel supercontig:GCA_000165425.1:CT868241:59563:59814:-1 gene:GSPATT00011983001 transcript:CAK76431 MENEITKMDYNQLQNEANQCMENILFLAIDKKQQPNVKSEEYQRCQRLVTEFRKQFIEHM AKTLNLDEKSVQHINSQMNSKQN >CAK76432 pep:novel supercontig:GCA_000165425.1:CT868241:59818:60372:1 gene:GSPATT00011984001 transcript:CAK76432 MNQQYINLITNLFSLSLSQLLFKFQVYPTECFHQKSAVGLTIWSLKQSLLSEYVDKLIQQ LQDLISILEGIEIQIKQDNKVLTTYTINIVFNQENNEQFSQFDSDIQLKTLIYTLNQRLK TLKVDDKPKTFSVNFLTNGAETQNKGVQETLLQNWILIKESKKRETQLVNSLHMPNLDIL VGLI >CAK76433 pep:novel supercontig:GCA_000165425.1:CT868241:60492:60882:1 gene:GSPATT00011985001 transcript:CAK76433 MFSLKRHRILIIKQIQYQAKIMYLQSILYLFDQMGKRNQISQSPHFQLQQRIVENIQFIV FLITQRNQVYTQRRRKETMSQLEREEHGEIFNFDFDFKQREIYRAAQMRIELRSCSIANL IQ >CAK76434 pep:novel supercontig:GCA_000165425.1:CT868241:61320:61962:-1 gene:GSPATT00011986001 transcript:CAK76434 MCDEINENVCILVFCEFLYLFLLFEHYQNLSFFLLKNLKSFEINVPEYFLFIRQYLNLIR FEQQWFMNVFHPYFQFLTLQQWSQQQVMSDVQSTIKVEDGLDEQRIESKSTIQSVEQNSN QKKQIKKTRKKNSKKMYNNGHWTKKEHRLYLQFIETNKEIMMKSDMKKQEKIFKQMSIVI KSRSPSQCRSHHQKFNPF >CAK76435 pep:novel supercontig:GCA_000165425.1:CT868241:62865:63597:1 gene:GSPATT00011987001 transcript:CAK76435 MEYDSVSKIQEELGYYKKYCQQLENQNAALNQQLFNNIQGKVLTAHDGNQSNKKQNENNS NSHKECEQRSKLMEKQLKQKLMEEQLWLKRYETQLNQIVELKATHAQYIQESELEDNQKT KEIEIMKEEIEHYKKQIEIYKQETNSQIYLEEIKEMRRQLEASEIKLEQMTKELQIKDQQ LYQKQGNIKQSLLQFKQKFDIELKNENLSTEPDISSNSFSNIMLRDI >CAK76436 pep:novel supercontig:GCA_000165425.1:CT868241:63639:64633:-1 gene:GSPATT00011988001 transcript:CAK76436 MDLRNKNTRKILLQLLDKQLKSILSDNHPKTRLQEQLIRFQGGEYPKQEKQRQYSPSPQL LRTHSQSEHVNHYQRQQSQLKLKNQRPQQSKERLISLQTEEQNQENTFHPKILRPQNLNS QIKPDNHKQGIKQMQSKVFKIIQVDKTDHIDKLKESNTIKMNQETQFKPTQNKSSQKIIK QNDFFQRMSTNENRRQQKIQKLRNDATPSFKPQINNRLKKVQNCQNKDILQVSVNLGLLE KIRDYHKRDNSQSNLNLSQTDNRNRDCTSALSQTIEIDNSQSPSFRNSTIKKQSLLQIDF NSPNNDFTEMLYEALGDSHYDCF >CAK76437 pep:novel supercontig:GCA_000165425.1:CT868241:64811:64978:-1 gene:GSPATT00011989001 transcript:CAK76437 MNNCLLTPKSKQPKCPNAPKKQYYATRINEQSIRSVCRVLFSDEPNPQIPSSETA >CAK76438 pep:novel supercontig:GCA_000165425.1:CT868241:65060:68730:-1 gene:GSPATT00011990001 transcript:CAK76438 MKKTHKRQSTIYRQSMAMSEFSQKLLEKNDVLAQDIQKIVKKLSPQSRIEKAERHIYDAY HLRAIRNDIDLANKTYLKLLWQIENNQHWLNGLFLLSIIYQLQTFFEPSFFDQDNEEHSN LFVLELVILLFMGIDSCITIVLLLTKKEDRFTFNPKKQFKLIAYYFCLVDFIIHQYAPTI FRVSKICRTLLMPMYSKDLRRNLKGIIRAGKDLFLLIILYLIIISIFSFIGINLIGSMDN VDLRTQDYGDFFKLFSMLFMVATLDFYPDILIPPMLQGIYYCFFFITYLLLFIFLFAPIP LAVVYEGFRKHRMEIAISDIIKQKTAMMASFISLDFQDQGFLTRDQFRTFILHFYKNTIT ESQINQLFNQIDQDFNDKVQFDEFHKFLHLLQDASVISLPETKPLHCWESLRNYLLSKGL LTFVEGHMFGISMLIITITNCVLIVVAFFIEDQAILDIFNLLDTIFLVFYSLECSIKILA IGIKAYFQEGWNIFDITLVILQIIFDYILFNIVSGNISQSIKANRLLRLAKIQKVFRLFR AFRSLKILNMLFEGLQFLDVVRTLLYKILICVPLILRLMLPVQMIFFIYSCVGMYLYGRI QSNEDNPYANSQCDINSFEYQWGNCKYADFSTFAGSYLMMLQMFIAAEWNQIVFELTYDT DDMLSAMLFVGSFEFFSIFLLALIGGLVWEVFTVVSQSLKQEEDKLSQLENDLNQEQENN NNHAAKHDKKKKLILNDDNPDELRFHRKFRNDKISKTHTKLNNIQPGYDDDVVNEERPEL TISQPGSRNYFLYYGGSGKRVVPFQSTKFNIQKTDVCEHFLTNFISAEVWLQKKQVEGLD INKVAIDYMIHLRSEIKKDEMFQKKNFNISNHHLLIQNAVLRDASEVYIKQQEDKFFKTS FGQKFERIQELKFQSKFKVESKIIFSLMGILKFPNPNLKYYFQILNLIENYFTYQLLSDY SFFKLIHQINNKWYTISIEEGQIYFFKVGNGPWDYTDELFSEGNIRICENLSTLLESGNQ ECLRSVEQFSSSVKSIAKNFEISLPSVDINSSCILYQIKNEKNIPNDIQSFKIKKSNFTS DDQSPNNQQYVFLDSQNQFNGFLKLNQSPKIETSNLDEQKNVNQTLLFVIARTTAQEQRI KYQNITMLIQFLQDMGSVIQNYNSTFFKQINNLYDLRYQDKIKSLRKL >CAK76439 pep:novel supercontig:GCA_000165425.1:CT868241:68846:69646:1 gene:GSPATT00011991001 transcript:CAK76439 MNPYHHYILTGIIEDQDDLSSVKQEECSQFQYYEEQPQYITYENSNLCQIISSILNINEE YVDWIARNKPTEFESMMVKAKRKFYRDKAKFRMQILQPHLYSSQQPQEPKKAKRGRKPIK CAEKQFVEDNSDHDKKTIQMIRNRISAQNSRDRKKAYLKELEQSSAITNRGNHLILKQIE ELQASNEEIEIQNNNILKCIKVFKQQCLESDEQFAQEILKQLEQFESGTESSASKKVKLN >CAK76440 pep:novel supercontig:GCA_000165425.1:CT868241:69665:71504:1 gene:GSPATT00011992001 transcript:CAK76440 MQLFQTPNSPKSTSRNYRKPFSNLNNYSEFQQSSNYLKTKISALEMRVKNINSNHVSENR STNTSKTTANLSPKEFKRNLYYESIISKRQQNFSKLDHSPSEQSTTHNKYSLLTHQIPAI SNLNIKVQNFTKKLREFQIHKTQESDIIMTKIKQFCDQHFNECFDFYRSTDLMSLKYFIY LIIQEINSNGQETARSIETQNQQNQIQHLKSLLENQKLDQTTNQSQQKFKKVIIDTQNVI QSIILQLQQQHNQNMLTNQVQMLKNQIQTLNDVKQQQSFRLSFGMRTSSNIDSENNPTIA NQSTSRANQSTYRERFKTSINSKSKSPFNVETPKKNKLLEEQQRIIQQLLSKIPDQQQLD GHKKTIEELEEELNKKNQLIVELEDRIQNEEAYLVLNQRILDINKNLDNVISQNQSLIKE NDALKYELGQLRFLEEQYYALVKENKILQQSIINNDCQYDKILQQFELECKNYRQQILKL QETCETQQAQLQGQEEQRGLQYQLSQFDKLKKFIREEAQGVGQVITQINQQLQKQLSDIM PNSIKQLQKDLAKKKCVIEEKILSFEQLQDEDCELGKVLNKQENDELKLILLMQSLTIEK MIDL >CAK76441 pep:novel supercontig:GCA_000165425.1:CT868241:71576:73792:1 gene:GSPATT00011993001 transcript:CAK76441 MKQNSEIHLCKLHQFVQDKWNWVNTGYIHFNSKNEMLLLEKDTSMEVFAVSVKQEYDFFF DGEEGIQFQFNEYYGLSFQSKEGAQVVWSKIQNILNEEDDDESIVLTPVNESTLPLILET MSKLIQGGTQTKQMLSSYLLNKKDYFEMLNNLFNQLEKDHNQELLEIMCSIVKNIVTVSE HELFQTILSDQIYMFIFGALEYDKEMAKSQFVPHRQFLEKNVQFLQVVQIKSKERLKTIH FIYRLQYLRDCGLAYYIDEYQQMFIKIVLTCYVDLLKYIENSKEFLVDLIDQLRNFNFLA LRFLNEICSVFKEYQDLNKAFIYQRLSEHGLYEIIEDYIVDSLQSFQKQKAKFKKLKIKI QDDIFEKTSTLILELVISCLQHYPYNFRQYIVSEHQQVLKYPLFNVIVDHAFDNDSYLEI LKLLIDNTQDEQNEILDCFLSLFYPKISQSISHQSRIEQKTLFVELSLGLSRNLKASVKD VLIQNQIALKMGFILQEKNKILQMKCLQFFKILILQRDEEINKEVIMAFPNLISPLLLYK GLRENLIFSQFLEIVKIIYEGGNQNIIKSLEQELKFWEQHSNYLKIHDIFKSIKSNCMKQ QFSTLSVDSKPQQQQANYNVIDDEIDLFKSVQGHSTPLHKQTSKKLVEYDDDVEVISKKT KLD >CAK76442 pep:novel supercontig:GCA_000165425.1:CT868241:73975:74821:1 gene:GSPATT00011994001 transcript:CAK76442 MKMDQVVKKKQEQLLQCLKKISSKPQTDDEDQKQQLRKFQQEVEQKYRMARHNNEYRFPY EYYDQSSDSSLEELQKVGTYDLRQQYQKKQTNSKHLQLSKESIKAKSLIKIMSDKSMRAN RQSTRYKTEQYDENQVSYTDALKKNKSIEKQTNEQIISFIRKSVAKRSQYSQHNNNNTSR QYMNLSSNNQSHIMSQSFNGQKSRTQNYYLNKFTKMKQDMFKQQNQTSTSQMNQDSKISL KYFFSNKSPEQSSTINLKNKLAEMCKRQPLKVIK >CAK76443 pep:novel supercontig:GCA_000165425.1:CT868241:74867:75942:-1 gene:GSPATT00011995001 transcript:CAK76443 MDQVNAMQQYITKLKTALTDLQQKNKDLEVKLEQEHKLVQTLEEKLEYTEKKLSNEKEQV EILGIKVDEYEKKLKSITDTKKPSFLGKVFNNSQLDQLTIKLNQTEQSLDLQVQENEKLH AQVFTLKTQLEIVENKSEEEQKIAKQKFQKLTLYYQQEKAKNVDLGKQIQQLNLVQAGLQ CEINQLNQQIEQLKKDIDNKQQEFMSSQQLLDKNQEDMKKLTQDLTNLQQDIRQLEKEKN EFQSFVQEIQNYVTGLSIDQQYQELVNSLNEIMSKMHKQTYVKELFLQAKPGNYEIFKLA QEKILKLGKKNEQLIKQNKQLQDKLQVQKSKQE >CAK76444 pep:novel supercontig:GCA_000165425.1:CT868241:75991:76537:1 gene:GSPATT00011996001 transcript:CAK76444 MSQSHLKCTCQSFRQTQTYREDPGLIEKLKERQMELEEKLLDFEHKKGNLERENLMFEEN IKLELQGLKENQVIQQQLTEELRDNKILTYKKQNELHLLVQNVHENEAEKKQLMNEMEFL KQSLLHTNENQQQLAKKEEHIKLLLNRISELEQGITQLKREVQYQ >CAK76445 pep:novel supercontig:GCA_000165425.1:CT868241:76547:77407:1 gene:GSPATT00011997001 transcript:CAK76445 MQFYQKLYDENPYFNRFFQNHFLYQGFNIQLTDLPHFKTQFNLRSKESLNLTWRHKQDNK KFVFIANNQSTNTIIKSQSKDQQVFAIVIFQQIQYQFHLINRSNRYSLLFALKYNDEKNL LTKHTFTPQIQISQNLETNSNVDFGFKYHYMNKKENVDFRFNIISSLSNRSKPEYCLVGN FMHPHKLQIGLQNNQIWLGLICKYKAMNMYSLALYNVNNKKYDVSAYFQINEIENRLNNK MKFLMGVSTEREILGTIDFMTKVGKLGYCLKWQHVRGVSMGYKVEI >CAK76446 pep:novel supercontig:GCA_000165425.1:CT868241:77444:77662:1 gene:GSPATT00011998001 transcript:CAK76446 MDQLLGKIFQLIAIAAFLYGTLTTFGQPLLGEQYQMFFFPRWFFNWAPGLVIGSVLGLIF VYLNKQSNIKKK >CAK76447 pep:novel supercontig:GCA_000165425.1:CT868241:77690:78655:-1 gene:GSPATT00011999001 transcript:CAK76447 MNRDLELARQAYMGKNVEMTKQAHNYNPSEQIYTDNRGNENDPLQKQDSHQEKHSTGGNY LRSSVFGGMDGMMTTFSVVTAVIGGNFGVQAVLALGVANMIGDGLSMALGDYLSTKSEQQ FFKQEREREKWEVENNLEGEKKEMIDLYKKKGMDQEDAEKIMNIISRHKDAFIDIMMLEE LELGGSEENPLMNALVTFIAFILFGLVPIIPFIVAAIAGLTDGTTDTLFYISIAMTALFL IILGVSKSFFSYATWWRCAAETLFVGACTASSSYLIGMAFEGKDLG >CAK76448 pep:novel supercontig:GCA_000165425.1:CT868241:78692:79470:-1 gene:GSPATT00012000001 transcript:CAK76448 MTHKYKSVSILIVSKDGMIIKFDDNKIAFPVYFYNQSITGFDITQWQQLKESDSEQYRFQ NSLKGTQSIIKFYLKKQQLFILFIIDYNQYISYLMEYQINQQQKINEYLRFTLILLSMTF FVLLLVAYLIISFLIMRPIEEIIWFFQIRENKTLKEKYEDLLKTRFRYRSHAYISPTIKE LQEAAYRLNYWNFKKKNQKNAQCNLLQLFQFPKNTQLQRISLIESKLADKHQRLEQQEFL GMIRYSHDQR >CAK76449 pep:novel supercontig:GCA_000165425.1:CT868241:79560:80334:-1 gene:GSPATT00012001001 transcript:CAK76449 MMTFLSNQPMKTQLLTYSTIVIIIVSVLTYLTGYFGGQYILQDFLAQSDIIYERVNSNKS SLTNYYLKHFNSYFEINSTPLIILNKLYLHYIGIVTTYEINVNNFGGSNPFPSVLADKKN QKYSDSILCYAFKQEFLAQNTEVIQEVSFADFLAPLGQIIHSQFDENRPLIYGYIIKNPK ILYSYPCYSYGESFEEYIPESRPWFKEAQNASEKVTKNFEYEYSISDPYLCKLMIFMYQL IKQKKLEQL >CAK76450 pep:novel supercontig:GCA_000165425.1:CT868241:80685:81909:-1 gene:GSPATT00012002001 transcript:CAK76450 MKSALLVVVLIACLQATTVSELKERLSGYGDHPFGSSMINLVSVNMKTGGSLNELKQLLQ QIKDELIALTQLQDQESATFTRRSQVDLAKLQATLEQAQQDLDNQRQEQSSLTNELSTLQ TRVKEDQAALDRNSRGSGDAQGRLDAENTDFAAKFQDYSDAILACKEAQRLLLNLRGEGA SLIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNN VLSLVARLITALQEGQDQLEANHKTQVENLTRLGDDLRNEKQTLQVSLATANNRLKEIQS RLNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQ KLSE >CAK76451 pep:novel supercontig:GCA_000165425.1:CT868241:81941:82894:-1 gene:GSPATT00012003001 transcript:CAK76451 MEFNYDQIKEKLKGYDLQTKIQLIALAQSLYDRKNLDHQQDQELDQMEADYKKRVEPLIE ASNQIISGQRVVKEEEVEDLKEYLNAQEQPILDNKEPIENYWSKVLLNSKTLKTEVIGDK DEPLLKAITNIKGFENKEQHKLGLVFQFKANDYFPEEELKVEFLLDDKQGEPLKVESTKI NWKEGKNISVKIVKKKNKQKKKVKEVEQKTLFQLFKNLDVKDWEKLEEEKKEEQQQKMDM CYDICRLIYDEILPYSLEYYLDVHVEDEDYEGDDVDDDESDDDEELTKEEAIKRMKERRG FKK >CAK76452 pep:novel supercontig:GCA_000165425.1:CT868241:83402:84377:-1 gene:GSPATT00012004001 transcript:CAK76452 MDQWNEFLQDTFAGSLGGVTFVLSAHPFEQQLILDSSTIKVRMQMVSENTSILKTAYKIF KYEGPFAFYKGVVSPLLFSFPVSATLFACYEQYMRYFQVDRDSYQLMHWGVGGVYAGFIQ SFVTSPSELFKIVFQMQISERKRNSVLRCMLEFVQKEGIAAVFKGVNSTIFRDIPQYSTF FIAFESTKQYLQSQKGYLNIFDQFLAGIAAGLVCICFSYPQDMVKTKIQYEILESKQNRK FQGIDGGISKCIKEIYKVGGFKGFWQGFNSCAIYYMVACSAQLVGYEQGRIFWDTYVKH >CAK76453 pep:novel supercontig:GCA_000165425.1:CT868241:84790:85567:-1 gene:GSPATT00012005001 transcript:CAK76453 MQQKLRLFTYFRSSTSHRIRIVLNLKEIDYEPKFINLLSSEHKSEDHSQELPNQAVPALH LPDGTILIESMAIAEYLDDVYPEKRLLPQDPVLKAKVRGFCELINSGMHPYQNLILFEWI EKYVEKFDRVEFVQNVLKSEFQTLEKLLLQNHGKYSFGDEITLADCFLVPQVMGAIARFK LDITLFPTIQEVYNNLKDLPSFYKAQPDQQPDFK >CAK76454 pep:novel supercontig:GCA_000165425.1:CT868241:85697:89594:1 gene:GSPATT00012006001 transcript:CAK76454 MYLIIFYIITAHAEILQIIPNLINYQYPTMEQECENVELQQNTYFYPDINKEINGIFKQA NSFQGLVNDQWNLTFINKIFQIQLVPDSYSILSSEYLNIKNKKYICTLHQNQENYNYQVS CKQAKPQKYIDNQPQFSEVFEYSFSIQHEEGLHCSNFKFQEDQIYIFCFINDQMIINTFD LTGYKQSYNFTISTKQCSSKFNILQEPIIIVTFYLCDEWEILIFDKHLNYLKRITNKEVN KEGEIDSYGQLQNFHTCYNTLYLIFSQVYFLIECSTFLVPRFSFKSLAQQNAITQLQLLM ILSCSQYDFFVPRQNIIELIPKVRIQDIKKVAAFDSQIFFIQYSDHLLAIASREINQVIN IKVKQIFAVYRDDNIFWIVDDTNQVHGFEINLFQKYMLYQQQGQYLGIYKVDNYNVQLIK CFIIFEHHDENDQLKVKMQNLKKQNHLIQIQNNYNDVQIDRFKFNLIRNINFQLYFPNSF SVQKESTENYISSQLKKLKVTGQFFLFKIKSQIYLLFNKKDNLVVTYNLNSSGFSSYSIK MDLNLIKTYYEVMDAKFALVHETYGKIYLFKSSQWRENTLSCEIHEFKEQIRISFQNRNL ISLQLANSSETLFEDYNLNQFVSQPIHRFNIDETNIFKEHLQGATKVLNLQNFYIFQKES HLLIELGTTDTLVIQQKLLLLGGQLKRKIPPKLILLVMNKEMTAIHKYLIASQKFQKLST FNFLDYIPIQPLYYQILGNIFIIVTQKQGKDLFHILLFNLDQQSNLEYYDIISTPYQYFY AFSGILYYYDHNQEIAYYNFQYITLFFDLKQINSITYQEQLQLDIYDKSEKDNKVYSDQL NIQVLNFQFNLQLINQSNPQIIMENNQAFLNPNKFVQGSFFNFKLKNTTYFTLQNPFKLA GKKQCLYFLNNLCLNEGNPFFIVQDLTKDYESNLSLPFSILKHDMRTVNAVGEGILIINQ TDKNHLEIIYINNGSEQVILIEGVFLASTLQNQILQVQFLNFSNFYFINDQITFISSIQN IDDDQTVFLYRSIDSDKFCQILFGKYQVKIGCYQINSEGLIHNYQNYTIPTIDIYNKIEL SHYLPKFNQSIQFSILNLSQTLEEELDIKIVINYKTALLFYLQIILKQKETNIKLNNIIR PSNFNILCQYFLIQNKFLHNCIHFQLILYDLQSGFQQLNPIGTLILENETFSQLNNTHIA VYNKMDKFVTIYRIDQWTLVKNDNINIEGEESVTFLVSSEVADLEFRVNVVNKKEREDEY HYRLIPIGILVINNFSILLFITICKKQKKR >CAK76455 pep:novel supercontig:GCA_000165425.1:CT868241:89963:90659:1 gene:GSPATT00012007001 transcript:CAK76455 MLPKGNLENKIQQLSQENVELKQTLADLKFEYEKQVLLCKVAQDQKNEYDRLFQQNQLLN QEQKQLQDEIQHLKNQIKKLEYVITQLNRVNAQQLQNHYQLMEANSINIQQRNQELEREL ETFKQKALFIIQAKTIIDNNKQYCQLTLEWEKDIQNLQLSYRQENDSLIFDGYGESGNKK VIYQQIHNFAKKGLIQNQKPLISLKQSQMKMTFEV >CAK76456 pep:novel supercontig:GCA_000165425.1:CT868241:90695:94077:1 gene:GSPATT00012008001 transcript:CAK76456 MNRVITFGFATYESLVQKLNSVENASSLFRLYEENKESFKHEHVVLSLRVLGRFSRQIQS DNNISEITSKLNDMVGQLSEYDVVDVLFWLRKFRSNRIPTNITNQTQNQLFQRVQQMTDN QMFSFRNMCNIYFDLSTLNHYNETLVKSISEQMLKSKQLSPFLITQLLSTVTIKINHCNL SKYDQVILTNSIKLLDDLLDSFDIEQKSLLFKVCAEVQFQNLPPKFYLPIQVKKIKDQLL EKAVQLPEESVINIFRAYDYLPRQFEVDLLRELKDKILTTLELNPSNLSNRFLIQIAERM IKMTNQRIPQDAIKKVLIEVCSRISNKTIDASSMSSLIMALMEYKKVDEVLKTLQNVEDK NIKVLNYLFINGINLKEHVDKFMQNYESKKIPFNLAVYYTVYANRDAKEHLLTLITVIRE QIENYPLQALKTLKDNELNFEIKYQLQEEAYMKLVECVQTQKFDFLRVCSELINCCCNAK CREALINLYDEIFYLVNIRQIMQRLVQEGDSFDSESLSVLIYIFQKDPKSIPIQKFVDYL TLNSHKLRELIKSDQIQNVTKLLVSAYYTQPEQKQYSIVNFANRFEIAGYHSKFVTAAIR KISEQFKRNNPYSPFPDPMFVYLLIDHNILNPEEAAIQLNNENFHHQMKVQLCGIALSAE RAPQNVQELGDKIKEDCFKALEKEVKYQTVLELITLGNLSPQELLSICTTLQTLLPYLST KQYFDLIMFAKDHFILRELSLYFGEFSRKLGINRIIRVADKFARFQIYSRSAFNVLLETY GYSFYSVYNEQRIQILEIFSLAKIKQADLFKRTLELIKQRPSAYKNFYFEIIDSANILGF VESEFVDLINSIIDKTQLSRVTALKLMQYYVLADQPIEEIEKIAENIVEPKYRDSFKSAM VYELMLRKYPNSPATRLNENFLSDEIFSKARFQIAYANQIPSFQFQYCVQFLNLLGIQFE SNKRIDGINVQLYLPSIESSLFIASQSSLCFDYQELNGFGLLQVKLMQTLTKQVIVLNFK QFILFQSDEERITYLKDLGLPITVDLATVDYSTLELHCNIEQDRLPHQLSKQHQRRQFGV QNYLQDFQQLDKE >CAK76457 pep:novel supercontig:GCA_000165425.1:CT868241:94251:96286:-1 gene:GSPATT00012009001 transcript:CAK76457 MNSIQQLYFQAILKQQELEKIKQEEQVQRQNQEISKQQQLLSFVSQPGLYAGDKQSWPLI DIQNRITQFYQQLVLRRETVIIRNQIDHHLPYFLNVLGNLIIHGLDSIKCEGNYIGILKS QFLNQPMNITMSNSLFKTLSLLLRSSLVQFDKDQIQDRRCVNMKYLYQFLLFRDDFCDIF YNSLYQYQISSHKQVSQQLSFYQLHQLVVKKSEDVWCTFIYKILSQQQQQLTTDQFFVQF NHYTLLLNSFFKKPNLIFNIVENTVKETAQLHNDTIFQEYLMIMGSFKATTQDFLKKATS IFFDDSNSAIDLSEFIIKTKKIHNQLNSSILYILPKLLQLENQMIDMVQVNGKQEAEPVK NSSNYYLKMIERLKNKCVYNGEENCNCKKCQCIRRNRNSANEAQRKKREALEKIGPLQDA FEELRKKVRVVQNENENLRQLLINVFQHPQYQSWLQPSQSLSQKSYQMQMVNLLPLNFVN ELYYITYFISMKQNDVFTKRETLRPINTLTCFDSAHSNSLYILKMKELTGIYKQESELLQ DDLSHMNQLINSQSQDIQNEIEPQILELKQMLQRQVVEYNHEMEDQIVQLENIRNDNLDL EKEIYKLQQRLTQLDDHIGQQVLQRKYDIKFS >CAK76458 pep:novel supercontig:GCA_000165425.1:CT868241:97184:97582:-1 gene:GSPATT00012010001 transcript:CAK76458 MGSSCKSIKNVKESNDFDSPEQEGAIRTVGDEPIPKKPYCCLSNELTEQSDNLGELEVKE HTGIPENQIFQNSVVYSREIVRSEQFQQNRKHRPKKRLNKVGQNTETLYEKQLYKQLRMN ILSPEIIIRKVH >CAK76459 pep:novel supercontig:GCA_000165425.1:CT868241:98613:99297:-1 gene:GSPATT00012011001 transcript:CAK76459 MFIVNMVKSLLGNLGIWKKNAKILFLGLDNAGKTTLLRRLKDDRMVQHEPTLHPHAEELV LGNVRFKAFDLGGHPIVRKTWKNYFPTVDGIIYLVDSTDQNRLKESRYELEQILNTAELA QVPIVIFGNKIDKPGAMPEEELRQALGINAKQQINKKNIKEIDGRPVDVFMCSVANKVGY AEGFKWLSQLLN >CAK76460 pep:novel supercontig:GCA_000165425.1:CT868241:99636:99899:-1 gene:GSPATT00012012001 transcript:CAK76460 MQTYYKLAFQTLKNFCDLQIISDQEKTYMKEYILNQNVHPDVEMNLDQISKFILQKLGKI RQSEKKNQNKYDSLISIEEETDEDDLR >CAK76461 pep:novel supercontig:GCA_000165425.1:CT868241:99957:101693:-1 gene:GSPATT00012013001 transcript:CAK76461 MQLETELTEYDVQHDSTQNSPKEEIKQKPMNAGQKFKAILMIVFYLGTFFTFYGENIFFI GIYMLMLINNRKHLLGLVLTILALTLSIANIFVEYNLLGISQIVMSLDILTFPFSQRMIR EIKLVLSEITNLAITMFFVLCVCAYSTTAYYDDSDDDEVAIFYGTFGRSVLTFVQALSLD DWGQIGRETDDLFGYFILCIYILLMTYFYLNIMMGILIETLQFEKKGNHLDDPFNYEINQ QQILSELVKEQNLFDKCMIGNYYQYIVFIISFGGIFISILEHFDHGDSTIELAIELIDDG IYTIHFIILMFKKGPIYDLERKNKTMMFLHFIAGPLSLICSFIFLEIGCLLNLLKIATTP SVKGIFVGTIAMVPLLMPQFAELFGIIMFIASILTSNYYAYTGLKEQEYFSSFWGSFYTL VQIMTLDDWGNIVEPMYSKHGFILPYVVIPAYIFLSNFIILNTLIALSCEYFVEVKYYSI EKDQSGVRCSQFVGINELKNYCKQNQMHFQLPNVVVSQHDLLSSKIKSDTIIQIQYGNLQ FTAQVIQQSDAL >CAK76462 pep:novel supercontig:GCA_000165425.1:CT868241:102383:106096:-1 gene:GSPATT00012014001 transcript:CAK76462 MKISDPLLEQRDIQTHKDDWLYTRISKMIRLINNKREQLQNNSLQEYCVKITDSNYILKH YNKQIYGYLQKNETNFSKELFYRQMTNSVFIFFQQIVASILQLANILMLDLFTDSLKEES SYYVLYLILLLIFSTYLSSIQTHQVTQFLGKLDVLCNYELYKKLLATHQHQKDQKIPNIN TLITNDIEQIKQLHFSLKEGTLACCMLIGCISFLYYKDVHAGNVILIVIFCAQVFNFIVT FIMMQAQERLFQRKDQRINLTTDVLHGIKQIKYLSWEQIFSNKLIFKRKMEFEMLVIVKI FDGLLVLFWNNINYILLYFYIQNSKVNLIDLNVFTLIAIFNTMIYPLGILPFCINFLFSA IVSIKRVNTYFNQADVLDIKGNQIFGNSEIILKIEDGIYKLSDDFSLRINNLQIKKQTLN FIVGPIGSGKSTLFNVILNELQDMKEKSKQIGGTISFCSQNNWIQNQTIRQNICFGQEYN QQLFTKVVELCQLNEDIQKFENFDQYLVGPDGNNLSGGQKQRIALARAIYQNTDIYLFDD VFSSLDIPVANAIFQNLIIDYLNNKTVLFITSNQHFINKIPKNANIILMDQGSIIEQNIN DSSIIRKMSKKSEDINNEEEQAAVKDENNDQGQDLEEREIGEVDTKVWLYYFSSMAWPLI ILYLIFNFSLQGALSYIDFWLKNQHFDDKFSSTFTQLLLLALGVTVFRATFYVLVSLKSS WTIFKQLNDSIMKAKMVFFDKTNAGRIINRLSGDMETIDGLLPWSFDIFLEALARGCGFV IGLVILFPYISIGLIGVFYAYYYIANTYIKTNRELKRLKQVNHAELLGWINETQKGLKTI RAQQRQTYFFDCYLDKLHTWNACEQSSLRAKFWYFVRLNLNCNLLLLLSTFIVLLSPDDY ASKSLALTYSILIIDNFNELFNFYLQTEQMIISVERIRQYYDIPQEDILKKNTLIKIINF NDSCDLKFKEICLSYDQINYQLKQLSLKIKKNEKIAIVGRTGSGKTSIMNVLFQLYQQQT GNLLIKGQEAQYLSLQELRSQLSIVPQFGFLFEGSLYENLDPGQTIDKQQIDQLLTQFNQ LKPDLHIEQGGNNLSNGEKQLINYLRIVLQNKSIVCLDEATSNIDPQTDKLLHEYLFKFT ENKTLIVITHRLDHLDKYDRVIYLDKGKIQKIE >CAK76463 pep:novel supercontig:GCA_000165425.1:CT868241:106194:107822:-1 gene:GSPATT00012015001 transcript:CAK76463 MNKYTLTFRCENLEQKYQDFRYLYTLPICKCLNAICFLLLLFQSVTFIIQNQMVEFFIYL FLLIVVISAQFFIFNNKRKWVDYYLLLLNHFLMLQQQFVEDEFEKQEAFVFGQNQMLLNI MIILVSEFKFGSIQIFGNMTIKIVLSKYYQPSMPYVNIIYSIVVGLMFLYSSFKVHQQYR LSFLFKVKDSQQEQLIPLLTDSPFVLCTYDKDNLQFKQKFSNLQNHQEFKQFQTTHDALN FILRNYVIFGQSLEKFLLTRQKKSEDLIVNKILEVKSINHTIQEQKLCIRYSECYITELI FILIIDKKRQEIQNLNQKLVYYEQGLNKFLSHFRNFLKQQIFILDKSLNHNQNSIYQAII KLMYIFSKFRNKTSCKITNQSFLLSFKKYAKLYSQAYDQKKIKIECCPEIKEISTIENSL DEFMIHFFTHIFKTKAEIIQIYLCKSYVQQNEFIDIIIKVDIMSELYIVLQKSTHFRKIL KSISPYDYVILNDDSLIVRLYKNMNEINQLSSFLIKAEQFKKTT >CAK76464 pep:novel supercontig:GCA_000165425.1:CT868241:108218:108667:-1 gene:GSPATT00012016001 transcript:CAK76464 MICLSEKHLQKITIHQHRPFIQLPLITKSNERLRLSNKIQLSERNNQQTNNQSSEKDLYC LKLPKIHSKTPEKIDTNSKLAQSHVQSQKIPQHDQSFDNLKKYNKQIKDIQQRHNRFLNK IEELYMITKQQQ >CAK76465 pep:novel supercontig:GCA_000165425.1:CT868241:109249:110427:-1 gene:GSPATT00012017001 transcript:CAK76465 MKNFLIFIASLSQVLSQTANCVGMNSATCATNSGYCYWTGSACQLAECHKVTDVRACRSS TSGYLATQSKCKEITTVDPNFLNQCMENDQTTMMYAYARFPTDITQLYSTKTTSGYLVTT IMTAKYTSQYKYEILTVNILTASSAELLNIMNAYVQMQYDLVDPEIHPQYLEKAIYESMQ AIRDDVTLTFSSTPNKKQDYYTVLWRMTDAYFLKLRSYQPFYISNKYLINFGFAHFNRIT ITLTSQLQSTQITWTTYADNGYLELLVIPAEQFGILGSLSDIIIIRPSKLDGSDASLSFT IRWLWSYDGTQPQSSANLYSLDKIEMNSFSSVMTAGCVTGSKYCQTGTITQSTTKAYMIG ASSFPSQVSTSRYYSRCRLGRFTWTGSSNSCA >CAK76466 pep:novel supercontig:GCA_000165425.1:CT868241:110558:111223:1 gene:GSPATT00012018001 transcript:CAK76466 MIYSFARQSFQAIFQTVKEVLDFSNTTLYDTFISHPITSLTYEKLSERITGKQKRILDVG VGTGVPLHKTINQFPPDCEITAIDIDHTYLSKAVQLFKDKPQVKILELDFYQMKPEIHGK FDAIVFSSSFPILHQQQEALQIAKSLLNPEGIIYFMLTLSDSHFGESLKYITSIDLHLES ERAFEELLKQSSLHIIHKQRLQKVANIPTLLLKVYIYETKL >CAK76467 pep:novel supercontig:GCA_000165425.1:CT868241:111226:111561:-1 gene:GSPATT00012019001 transcript:CAK76467 MIIPIRCFTCGKVVGHLWSDYVQLLQDGVTTGEALDRLGLERYCCRRMILTHVDLIDKLL NYNIYKTL >CAK76468 pep:novel supercontig:GCA_000165425.1:CT868241:111621:112703:-1 gene:GSPATT00012020001 transcript:CAK76468 MLIIVLLVNQVLTLQIGKVTFDVLFPHDKRLAFVQFDNKFEQIPQVGISLIAIHVNNEVP RNIGIEPKLLQITQQGFQLQITNHKSKIDHLEYQWLAVIDDRMKLNCETIQKTSTINFGR EFWVIPTIQIFLAGFQKESQKGDLKFQLSITNQQVNSFDVALNNQSEHVLKDIYIWYKKQ FQCVSYIAGPQNIVKAKSLININQKTDEFVDLEGTPENLIYGVSKISNFYGALMIQLGQF EYEQEKPGVGISKNGHQYLLGQIDMTLGLVQNDGMLCPLGYYECNFNGVSIDLCESTSGS QSWKRIKSIRSRKGWNGKFKIDGKTLKTQDANCIQDIQLYLEA >CAK76469 pep:novel supercontig:GCA_000165425.1:CT868241:113767:115018:1 gene:GSPATT00012021001 transcript:CAK76469 MKTESDNEIETLQTMWKNIKQRMESKHDDSDIFQQPPQQITINSPYAAKNYQVQIQALQD ELFQKNTKLIAAQQQLNQISDENSQLQDELEQVKVENSLMKQKLELIESQIDSIQADKDS LKLIRLENEQLRIDVKKLLELLRKTKEYNNIGFDENQSYVKGSVKFDTRKSKIDETNWVP TKCIELMQDYDNKEEVLLQLSNQFHNCYVEKINKLKQNSQQEVEQLKRQLDSRTTVDGMQ QQKQIERLKKQKNGSGKDKVGISQLQDCFNLIKQKELIIIELQQRIQEMNQQMNADENYG SMKKQVFIEGAQWMLAKILDEVIAYEISLNELNYDVAIKIESLMSSEDIVQQQIINKVYY WITDTSRELIDTFKDKLQSIMNTTNSRIQQL >CAK76470 pep:novel supercontig:GCA_000165425.1:CT868241:115044:116063:-1 gene:GSPATT00012022001 transcript:CAK76470 MQVQNFCEICYEHTGLSLLECNCKVCLNCIKHYTKVIGFKLQTQIKIEEFWTSDGTHLNI DCPGGCQQTLYFDSIEQLAIRNSFIQDIHDQLFKSYCKKSIDVQQCPNSNCEFYYLKPCK SYCVDICEICQTRLQTYDQISYKTLLNEITEFTTTYQCPRCEVKITKNGGCSHMTCQVCK FEFCWDCKQNCKGHDWSICIYNNIFTLIIKYQLLYALLISTGLDVYLLLICGYVFSITYL FILNNSIILLSGLMVVQLKKLNDKYEKITFHRTIVGSLTLVILIFISYFILEQTLADFIF YIIKEIIYALFFTIITTILNEFYKYKIKSN >CAK76471 pep:novel supercontig:GCA_000165425.1:CT868241:116286:116800:1 gene:GSPATT00012023001 transcript:CAK76471 MEQEKTKKTQKDYDIEAAEELKQLALPGDLVGNVSATLLKSVQKVQNEDIKLLALSKFHQ TLCKFTVQNVSGELLQKLPNDKLLIFFDYVNYSFECLSKGVKLPETSNSYNATFLLNVYN KLVELRGLPIIIESISQKKTLPTQIITIK >CAK76472 pep:novel supercontig:GCA_000165425.1:CT868241:116805:120370:1 gene:GSPATT00012024001 transcript:CAK76472 MWIKEIIIEGFKSYAQRTVITNLDPEFNAITGLNGSGKSNILDAILFCLGLSKEYDTLRI KKLQELIYKNGAAGITKAEVTIVFDNRSKEQSPLGYQDCDKITVTRQITADKSKYFINGK SETQKNFKNMFRSVQLNIDNPHFLVAQGRITKIINLKPQELISMLEETAGTSLYNEKKRE AQKLIQKKEEKLKQVNEIIETEIQPQMQKLTDEKNIFQLWRAQEAQILVLKKDLFSYDYY QKAKTLRMKKNDLQIVNEQISNQEEKMRYENAEISTIQEKIQSLQEQNRNNKYDQITEKY KEKQKLVNTLEKQVQNTRRQKETIESEKIKLEHALRTYQTDKERTDQKVEIADRQLKQVS DELKEKKELLDEQTGQQNSSEDGNIAQNGKQMIQRQINDTISHIDSNRKDLEQVNERLQR IDNHMLSSKTIYEQMQKEATNLDTKIELLKKRIEQSESEIQKSSSLEQQLLDLKSNRGDL DQQLLEIKKQISQSQPFIFQLNLSRMKDWDQNRVYGKLFSLFEVKDEQYMKALEFGAGAK LQNIVVDDSTTSTYLLKNNILQTHSYIIPNKEIQSSEAKKEFVQAAAQIAKENDGFAKPA IDLISFSDKVINSMKFVFGNFIIASSMDIARKIAYHPSNVQKCKVVTRDGDIVDPSGTLT GGYTNEKAQLLPKFKSFNKWNLEYKDIQGQIDKIESQIEKIKQDIEFKEQLNREITQDKY QLEQLMIKQRKSNQFNFQNEQNKYLNEIQDLQVEQERLKKQIKEGEDKLIELKKELQLIQ QGKNTKELIQAQIDRTKKEINKLKQQIDQQKKELIQNQVESQNFEQEIAKCTKKIKEETN NLDKTSATLDSIIHELNTNKDQFLKITEEKNLYESKNAIHNNQMTRLLEQLQERQKFLNS TVEQLNGHQNELKKLEREQHDLKQQLKSLEDQYDFIRQDKNELSQDRLSEKFRVLETMEY EKTKQQFQRLEHDQGKLGKQVNFKVEAMTEQVEKEFQSLKDKKLILENDKSMLIQNMGEL DDKKIKTIEKCFLEVNKDFSSIFSSLLHNAQAKLGRLDGLSIEDGIEMNVSFSHQQKNLS ELSGGQRSLLALSFILALLKYKPAPFYILDEVDSALDLSHTENIGQMLSQNFKQSQFLLI SLKEGMYQNANVLYKVQFVDGVSKIDRHELKKKKQQQLK >CAK76473 pep:novel supercontig:GCA_000165425.1:CT868241:120414:120845:1 gene:GSPATT00012025001 transcript:CAK76473 MKNKGSFIINKKSSIKSSKLFLFENLLFEDVPLAAKQAYLECLEKQINDLDVMRKQLNEN IQKQEMIKKQQLQFRKEIENIQNDQQLDHNIINQSMLLSQFISEQQKIDTGLIEQSYLKD LEYLQNQQLKTSNQFVPFENEFL >CAK76474 pep:novel supercontig:GCA_000165425.1:CT868241:121173:122133:1 gene:GSPATT00012026001 transcript:CAK76474 MESRSTGTKRLISVGSLSNFERAEFISSKTDTKQRLGELFIYEPTKLEMFGLRQQIVSTR IPKFDHPKPMFELDYQPEKTLTHVASQTECQKCNHSQSRMVQPKLNMEQLHLSAPSLQPY EKQEPKVIVPKQLQSHVLRQKKKDLPPILQYSFVQPPPVFTQSAKAVREQKSFGKLSQGR ISKKFDSELVKVIQQELSKQLHKMEDEISEKGYSVPLKYQNLKRTKQMTLSKKIKQEKYS QILNEKKTESQFFMQKEKEKEIQSIIFFKQPEKSQSQIRLQKEETNNIIFGYSNRLEEQA >CAK76475 pep:novel supercontig:GCA_000165425.1:CT868241:122473:123522:1 gene:GSPATT00012027001 transcript:CAK76475 MSDTINQEVQENKQIDTFEDSQEFSQQLPDISIAMIQFQQQNDIQINDKENKQIINSYPN QDLQEWNNQLQQHNTKLQEQNTELLEQNKKFQDRNKKLEEHNTELDQHNTELQGHNTKLH EHNTKLHENNTKLHEKIKNLQEQNTKLQERNTKLEEHNTELEEQNAKFQEQQTKLQEHNT ELQEQNTKLQDHNTKIQERNQMLHQQLSQTENQFNLMNQRVDELNQQKNQNQTTVNDLKK LVDQQRDFINQINNDQAYLDLTESLEITLQRLKEMEKKNEKLMEVNLYYKTKYEESLTYI RSSKEETLNQQDRIDQLSQNYQQSSEDSQSKIKDLENEIRFLQHQITNK >CAK76476 pep:novel supercontig:GCA_000165425.1:CT868241:124414:132559:-1 gene:GSPATT00012028001 transcript:CAK76476 MFISTISIIFGQFVFSNSQWEFYTCKLYQDQIFTQRTGSLAHYYSGGFVLPSGQTTANFI TCTTPYTSYITLSNQYPDAQCYNALSLNYKYWISMDLFFQGNWSSENVQFTLGTFSQSYT YTSPTTYPLTTQFCDSTSYEVRTWNFTFSYTETSTQDQFQIKSFNTNQGKVSVRNTYFSK PKKCYPSCLMCSGPNDNQCTSCYYGLPTNYICPPCPSDQFYMMYVGCHDICDFYNPLFKN GFCQSYPISTISYINFGFQVSTQQIIYDPQHVDTSITLTDGTIGVFKFNSGIYRYIQGLA FYSYSTYLVGLNIRIITFNDIPLNCGIQFKFNNTYYGSIYRNASGIQTHKVKIFKITSSE SYLSYSEIKIYEITTYVDIPKYSFIFSVVGNYTQILIYSISIDTAGWGVRQIIFSQGYCP NYCKLCEVSFKCKICQNDYYFYRDGTCLSYCSYPYQSKQGSYCYDYDDETPYSTYLIKEY LDRTGDPEQYAKYTLISQNGTNFLKGQDIYFSYWYNYRVLGGPFVWAQAKFQRIHNIITP HHSVTIAFYIVYGPSFPSDGSFIYQIENNTPVSMQSSSNSESTSDGSKYDRVYEKINHNT NTLTITWECFGPNNEPIEAYCGLYNYYVAVHNCQPQCLQCSDQSTCTSWNSTYDSNIIKF SQADCLINQYYDEESVGCKECPYSCLTCTSKLDCQTCKSSYTQSKLGCTCKMNQYEDSNQ CFDCPIVCNQCLTSTYCIECLITNNRQLSNGQCNCIDGYYHIASNPQCQLCHQFCKTCFG PTSDECLTCNNLVSIEKVGSTCRCSAGKSYQYATKTCSSCHSSCLTCFRIAIDGCLTCNP SQNRILKGLKCVCSPGYYELNNFCTNCPNTEDLSFSQCYKLCNNNQLIWHTITCSTCDTG FQLISGECQPICGDLQVKGYEQCEDNNTLLNDLCYNCQFQCPAHCLTCDQSTIFPCPDIC GDGIISGTEECEDGNITQYDGCFNCKYQCELQCTQCIKGQCFECATGGWQIDLTVTPWKC KETCGDQLVIGSEQCDDGNSYDTDGCKDCKYYCRIGCSSCDYTTKKCLSCGPPGLFPISY YCQNICGDGIIALDPSGYYTEQCDDGNTTNGDGCSDTCEFQCQKSSICTSCVSNRCQICA VGYYISNQKICIPICGDSLIVEGEQCEISSILPYKGCQNCLNKCQKSCVTCANTGLGCQT CKTGYKQVDYLCYSICGDKIVTEDEECDDGNLIIADGCHFCQYSCQDSCLYCLKGTCYGC QEGYQLIQTKCYSICGDGVQKQNEQCDIITSLQIYENCQSCQFTCNLNCFLCQFGICYQC QDGYELNPNQQICTKSLQYNSIVIENCNIQIGDSCISCIQYAYFEQSVSKCQLKEAPLTF CEYYLRLSPELHCSYCIDYCVACNENKCLNCQRGYYLDENFSCISFCGDGILAHDEQCEI FDKNCLSCMFDTPRQCEKYFQDYCYECKQGYHLNEYTNVCESVCGDAIIAHDEDCEDNNF IEFDGCHHCKYSCSQQCINCLNGVCQECKTNYFLRDGFCYYEAVVIDFQSYCQLNLNRDC LICVKDYKLNELGDCISRSSESCIQYYNNQCFQCAQKYEPYENICIQTQQCQIGLYLDQE LLICQSQCGDGYINGWEECDDQNMQHSDGCYQCKYECDDNCIQCINGECLECSQEFTLIE NNCFSKCQEICINCVQGECQLCSSGYFLDEHLLCIKIDCEYDFSCTPQCGNGIIEDIEQC DDQNLLNDDGCNNQCEQICDVNCTRCIDGVCFECKEGWNLGSFFCDPICGDLIIVGNEEC DDGNYINFDGCFQCKYQCSQFCENCLNGICQSCQLDYDFDQLNNSCKPIQPQLTIYEKPN CKLLEGKQCLLCQFGYLDYISQTCIVDYNMNRCNKHCISCVLSKCLECEFGYHGTNCIPK CGDGIIVQEEECDDGSQYQLDNCLNCKYQCPQYCQSCAYGDCTHCIEGFYLDIVTNTCNS VCGDSILASDEVCDDGNELRYDGCFECKYQCQEMCLDCQFGKCMLCELPFILVLSKSICE VTKSCEDLIGLYYDNHSNDCLPYCGDEIVAGNEDCEDFNSIPDDGCYQCKFQCSKNCQVC QDRVCIQCQTSYMLNNYQCLLDKSNVQNNSSIIEETSGNNPNTTIIIETKNNITSTDSTK VEQSSWKDNKVCRDNECVYSKKAIMQLTYNKQLYALQYVDITFDQEVKIQDNILKDQTLF NISITDLDSQYYNITINAIQDLSFDLSNAKYQVVIDIFQQLSTKSVLQVQLNQVVVNSNN QTIFNPSEQIILQLPKIISGEQKQLSNYAQSSNKAFMIGAITICAVSLVSGQSSFVIETL NLLQYQSFLRFINVDYPENLQIYFQAQELLSLTSYLNLFEADDYLNLITRNEKQFELSGK FQQYHVEVDLFTNILPQLLQALALIPLFYFARNLFLLFLRLSQYMKSLEYQTTIQSKIFL IITNLLLNFKNSITQIMKIRYLQNYNKMLQPIYINSWDLIFKVILQIYYNRVNNLRSILT TFFAISILLMCISLLLKLFSVCGDYHKKNIKAIQQIKFIGLDISRTMFFHIVLIEFQREQ ILQLLLISFSNVSSFYITYQYKLCSKSDRIILLIIEGVLTIFSLSLFLYFDFTQMAISYE NKVTLGFIQMYFLITCLGIVFAKQLYPKVQYVFRQMFKQEKVKVASNKLFS >CAK76477 pep:novel supercontig:GCA_000165425.1:CT868241:132821:133605:-1 gene:GSPATT00012029001 transcript:CAK76477 MKETNSMIFEIAPFFDLIVIYVLQDWLLPINCVILIEILSNESLVLIYSDFLALYFQEDN FLYFKIKCLIYKYKLFLSILLIGIFTRFFFFSVFISLVKALYTEVLQYHYNESMGRSNME QQNESFYQKDIILRYNQIMQQEESRIINEYLKKLLRKEFYDTTPELNDCLYLHSKGFEKI VIFKELTGSKFIYLVENGRQIQEEIADIFMFSTSYDLPQQGTVSDLVHAQTHIKFMELKH YQHCLLFLVIYYH >CAK76478 pep:novel supercontig:GCA_000165425.1:CT868241:133766:134355:1 gene:GSPATT00012030001 transcript:CAK76478 MVYQADFTNQRNIWNQRLSRLNKSDQQKSRIIQKDFKGNCFMGMLWWMAVRKESAITRWR MCRTSKGWIVETMDISRRINNESKYIGSSEIIQITWSFLGKILFSYEDFSIKQDNHKYIK LAPNSRFKQMDDKRKYNKNLLQILSFHSMIEVKPVYSYADYEILLTKRW >CAK76479 pep:novel supercontig:GCA_000165425.1:CT868241:134435:136599:-1 gene:GSPATT00012031001 transcript:CAK76479 MDSINERCTNSIKSNEEIEKLCTPEYNNFIDKAICLLNNGQTFQKLFVCQNIHKATELDF YVPELVALMIVNLHSHQFQNKLKSEDSQVIKELIKALSVLITLLKENVLSKIREQFLKQL QELCFNYYNTPFCDQVCELMQTLSKFMEFDFQPYLLILNEDSVRYEALKVIQMLYPVMTS PQQVQSLKHVKQCIGRKNERMCRIIDQVILNNDKLLMILIKEEEWLKNTISLISDFDKQV RLSGCRLFLNSWLKMEEQGYEGHIKFQDTLQQIIQFIEDDPKIITLVAQCISTIKTEPIQ QAFMDYIRPQPIQIELIKEYYQYVYQFDSKIRQQYDDFLINQVSNLIDTSKEFSFLKHLS DVYTQLSEQTHQQLLGLVFGNLELNQILFIPYLLDIINKGNRIKNRQLAVQLQRTFDFLK KSIFHYEQKGLWYEVEETLNILECLAINLNLKSELNSLCFSYLSMGSQHIRKKICAYLID QIIENYDKVVPHFLQYLTHTNYSYRVLFIDFCIQICRKRSRNFFKHCNLIQVLSLQNDPV YLVRMKFVQLIYEMRLLFWNEEKDLVIKLQQTFQQFLNDKKIIVQQLAKEINQKLQQIHF HHPETIIKQDHANNLKENVETFQPKHQIRLKTPTKNNATARLHTPTSKVSSQTPTLKKQQ ILFSKPQTKSTCVQLPKVPPKQNISKTQSFQKNSKDY >CAK76480 pep:novel supercontig:GCA_000165425.1:CT868241:136635:138672:1 gene:GSPATT00012032001 transcript:CAK76480 MTLFSEFLIIGYQAEEYKNTEIMEYFHINGSTLPDYLRNVSIMCFTSGFDAKQEQEQQKK QDDINSKNYLHQFVITDQSGKLRYCTSLVVFESLKSDFYIPFAYVIVSEQSNLIRQKSYM RAMYNAIFDRVTRYRDRGWQMGSQILFNQQLFEFYLSVGITNLNGLYFVPKQIMRTRQEV ITDLMINNPSHKNNLYNKDISFNVLFSKLSIKSIIKVVQSIILEKQIILFTTQVWDLANI VEAFLQFIYPLQWRCIYIPYLPAEILDTLYVSVPYIIGVHCNLKERVLTQYDCLDKILVD LDQDRVIGCNLILPFPEQLVETLTNQSSKFQYSDDSELLQVQCCFLKFQLQLINNIVPYF IYSDTLQLKPKIEDIFDKDLYIEQFTPLDQEFYREFVNKTMMFPRFIEESYQYLHQKQFL KLNIKTNIFIDLLLLINTSGYFNIYKLQNKDFEAGLFNLINESKVLIPDSEKSIHTLFPQ YQKQTNEYLIPQKKFPILQIDKSKIIQIDPIQSNIHHNSFMQRSTQSQKTDVCNENKQVI NILTIKAHQINPISKSLIQNDVAAQIIFRYPKKSLSKLKKTLAAQLLKLAPDVNSEQKPI QTSQIVNFRQSKRIFNTEEMGYVSMYRTKTQNIF >CAK76481 pep:novel supercontig:GCA_000165425.1:CT868241:138712:139799:-1 gene:GSPATT00012033001 transcript:CAK76481 MSQVVLVKDIMKNQLCAMKIILKELLEEPQFAFSMKREILIHMNLNHKNVVKLYETFEDD TFLYLVLEYCNQGTLSSQKAMFVENNIFDCFHQILDGIDYLHNNNIIHRDIQPDNILIDN GVYKICDFGSAIQLNFDQLYTSEKLGSIGYVAPEMLSDQDYDHKVDVWSLGVVIYELLHQ QKPQQEVQVNERLNEDLQILLYAMLTKPFQQRPSCKQVYLCKWFKKMMKQLKLFNKYEHE QLKTKHLNKQIQIKTLQNWQAMLQIMFSCFDLKQAAVSQTSSLTQSDSTTAPMIPNSIQN STDSLHDSIPIVESPANPQM >CAK76482 pep:novel supercontig:GCA_000165425.1:CT868241:139936:140289:-1 gene:GSPATT00012034001 transcript:CAK76482 MNQNDEYLENELHSFAFTKKGSSQKKERTVQQIPIVQTQPQIVQSQVKVGKKKKNNAKEL NSIQEANFKYIWNFLKSRQESEVIGVIDIAKAAQSVMKKPIEEEVIMVLSLVMSRKC >CAK76483 pep:novel supercontig:GCA_000165425.1:CT868241:140906:141313:1 gene:GSPATT00012035001 transcript:CAK76483 MFGEQQLLSPVKRQLLMQRIINNMNEISKPVSTSPIKRRIRIQSNRIDYRKNLSMVENDS NRHITEPNNTEIKKLFHIKANRKMSQDQMHPKSPPMLPSLFEITFQNPFFGQFSQRKAQY QRPTEFQAIVYKRKS >CAK76484 pep:novel supercontig:GCA_000165425.1:CT868241:141333:142847:-1 gene:GSPATT00012036001 transcript:CAK76484 MLNKIKIDSESRLSEEQKIKDRRRNVIILIQRYLVNSGYIDSATKLGTESNLTLNQYDAA DNMDLYMIFCEFEQFYEMKFMKPPKLVKKVDGQSTPGLPRIPSSGKSGSNNSSNSNNQNA AGSNKKNAQQSKEKDNNSKDAKNEPDSLEIQGTGVQQKQQNNEDANHKDWFDPRVLKGLP DYSDVPEFQQLAAYLQRDICSENPNVKFSDIAGLDQAKRLLKEAVLVPLKYPHFFQGILE PWKGVLLFGPPGTGKTMLAKAVATECRTTFFNVQASSVVSKWRGESEKLIRVLFDLARHY EPSTIFIDEMDSIMGQRGSAGNEHEGGRRMKTELLIQLDGLLKSKKRVFLLAASNLPWDL DIAMLRRLEKRIYIPLPDQESRESMIRRYIPQEMSENLNYPQFAEALKNYSGSDIKLVCK EAAMKPLRRLLSQIEDIQNLTSYDDVRPGPVTETDFAEAMNQVKPSPSVFENQYLKWEKE SGSC >CAK76485 pep:novel supercontig:GCA_000165425.1:CT868241:143278:143988:1 gene:GSPATT00012037001 transcript:CAK76485 MPITKRKRNQVLTKTKKKTPEKKELLVKKLKQSLKKYQRAIVFQYKNLSTNPLKEIQQAW KADSKLFIGKNKVMQVGLGRNEEQSATKNSYLLSPFLKGETGLLLTNKTLQEIQEYCDTY KIPEFARAGHISDQTIVLKEGIDTLKGFAHSIEPYLRKLGLNTQLINQQIVLNEKFILTQ EGKPLTVEQTKLLRLMDKKLAYLEIAPLCVLEKNGTFKKL >CAK76486 pep:novel supercontig:GCA_000165425.1:CT868241:143996:144639:-1 gene:GSPATT00012038001 transcript:CAK76486 MNISDISALAQLIQPDEEEGAFSNINNNPNKGSIFAPSDIGSNGQKKEVAKPYAQIQVKL GQKDQEQQVEQQQEKPKKKALDKNDIWDIDEIQVQNRPKMDNRQRPDVDVLYKQLVGTED VFGGWSGIDPSSTKCQGLLVKIKLPDTKLSEINLEVMKQQIIMSSTQYYLDFILPYPVNE KMGKAKFVSDKSILEIELPIIREELY >CAK76487 pep:novel supercontig:GCA_000165425.1:CT868241:145200:146647:1 gene:GSPATT00012039001 transcript:CAK76487 MEGYYENPGIFINKLLQQDDQSDFEEEFVWLQNALKSLNQQLRGTNQGGPEFIGAHPKSL SKAKLAQIKKEMVDWLVCEKTDGVRYLLIILNNGHCYFTGRNLGGLNASNNPYQLHLVKI RVPSQLINPQELQILEMFDGELIIENYPNNTQALNYLIFDTLIHNANNTSKYQYYDRLRC AQEYLELRKVLKKLGPLQNIDFQPLKNFPKIRCILKDFFYADKVRYIFNNYIPLLPHGND GLIFTKNTFPYVSGTNENIVKWKPPEKNTIDFLICPNKKITVTDSNYGLLELYVMFNQKQ IKHFFLFDFIYAKKELIEEVQAQILNQIERLENLGQHEGVKGVVAECKLERLQAPVVKIQ ALYDLNKNNIYDESRVENFYQQLLRNTELAFRLDSQLLQNQISLLKDIRERIHLKYNFKF NWVIEKYRTDKTSANALFVAQNLLEIISEDLKQLELIEILEKKETLQ >CAK76488 pep:novel supercontig:GCA_000165425.1:CT868241:146672:147106:-1 gene:GSPATT00012040001 transcript:CAK76488 MTHQSENDEIKRIYEAEGKNQLIYDYTTMQGGNYQFCFEDKLGLYSEIYFEYLIGIEAND MHEIAQKSDLALVQETLNNIQAKLQFMKQQLIIFSQVKLENIFSLESLYYHIVQYDLIGF TIIVILIFIEVQILKKKILRKKIQ >CAK76489 pep:novel supercontig:GCA_000165425.1:CT868241:147271:148548:-1 gene:GSPATT00012041001 transcript:CAK76489 MKANKKKQVQFAKGTTNIQEKPLSIQQCHFDYNLINEKEFEKNSNFSDYEFLSACRELIQ CQNCANHLISQYKELYQTFMSRQEKFLFLQSDLKQYRMDCDVHSYNQDGQKRNDMVSANK QKQCLNLLGQNRLSFMDFPKYLFKSGELELTIRADVFKAQVNYFLKQMQVNENINNEKDI IKHINDIVDPEDNINFNEFIRQRDNQIIINYDYILFDKILKFGTTVLEIQIQDNIRLKIL RAISSLILEQVLNGRDKFIQKYYLKINFIRILKQLIIQRIENRIQQIEYEKQQQMFIAYI EQEEKQIEAKKEKQRKKRQQRKIKKKVNQQLEQLEEDEDPDEDTQNFCGEVDVKTQKDET QHPDKEILTELLILKRNMNEINQKRQQLRETIRKEWENYQKQFKSLKQ >CAK76490 pep:novel supercontig:GCA_000165425.1:CT868241:150149:150511:-1 gene:GSPATT00012042001 transcript:CAK76490 MRNKNMLQFNQSQLIGPKPIFMQPLDSYKNLDVDDTQCTDDFQMKILSQTNNSFTQTPQI KTEGLSKKKDGKKRVNFNLNIVHCQFNQKEPAVAIGRLVQKLINQKPNLSWVNPQIFRHL >CAK76491 pep:novel supercontig:GCA_000165425.1:CT868241:150787:152627:-1 gene:GSPATT00012043001 transcript:CAK76491 MQESTLGNYAIGNTLGEGTFGKVKMGTHLQSGEKVAIKILEKAKFEDESDVYRIAKEIEI LKKLRHPHIIQIYEIIDTDKEIYLIMEYASGGELFEYIVKNHRVSEKIACRFLLQILSGV EYMHKIGIVHRDLKPENLLFDNNQNIKIVDFGLSNTYKPNELLKTACGSPCYAAPEMIQG LKYSGYLIDIWSCGIVLYAMLCGYLPFEDQNTNQLYKKIVAGDLVFPKWLSIEAKDLLKN ILNTDPKKRFTIPQIKGHKWAKAIKVEEHYGNIGSDNIQVDEIIVEQLKNLYSVDPQLCR KLVKKNRHNNVTTLYYLQMQKNKKNRTYNYFKKDIDDSITQSYILNSSNLDSLNASNNNT SRQKQNTSNSHSPQHRIMTSINNKQQSPSPQPIKQLTKPDSPKQFSIQLKRDIIKRPQIR PIIPPMLKPIYQVVNNSISSVGTNGNTPLQTNRKTEDSFSLDKGKPKVYNQPQSQVSNRE RATSAISEYTQPNPVKIQQPKIHKGAFNFQCTTHRDPSVFAAEVLKMMIQMQIKILQQND PYEMLCSANLSLKFEISIRQIQNCDSLYLLKAHHISGEWEEYQKILNRLIQLLNF >CAK76492 pep:novel supercontig:GCA_000165425.1:CT868241:152655:154878:1 gene:GSPATT00012044001 transcript:CAK76492 MGVQQSNINENIPFLSNMKIIIDKGNYQIYENDKYQKFDYREFKSSSNSFSNEIEVAREI QNQNFPGLAKIEEVHLQTCEQWFTKYFTLCILTEHPKYSLKEYLQQKKKTLSNEEITELL VSITQAQHILGVKKQYLSWENIFTNDGNIWKLRPFFDSQSSYQNLLSFKEQKVINFVLDC FPAPEEFEGKVCDTDRVQIFGLGMIILELITKQKSNDIYQEYRINETLLQLRINSILKLK YQFCGNFIDIITEMLDTDLVRRPNFDQLLKKLKSPASQIASLQLQAEFVKQPQKLNTLDS VNLFEENNLDKIDEEKLSEALKQAQVEIQIQIKRVRQEIQNIKHNQNIFEQNGQQYYGQI VNNLYEGKGRLFSKFGNLIYEGEFMNGYFHNWGVEHYENSIQLQESYKFEDGKDIMKFAK QYEGCFQFGKKSGEGMLTLTNGEIYCGEFRNDQINGLGKFYGKSNDKIIGVWKNGSIISN SGIQKELVSFGSYMFSNQIDPPQSQKQPKNQNKSDFAKSLVEVDAKTQEIQFNGISSLQS CRSQLELSFNNHLKYFSQKQSQNKKEHKIFYDGTNTTLKYEGQLFTGQMHGRGTLYFKNG DIQYEGDFVNGKFEGYGILTNENPEIEMTINYNDLRDVNIKGWWKQYQGTFSKGEKQGQG YWHLTDKCVLLGMFDKDEVHGEAYLKRPKQEDVYAQWENGILHKVLQGKL >CAK76493 pep:novel supercontig:GCA_000165425.1:CT868241:154951:155313:-1 gene:GSPATT00012045001 transcript:CAK76493 MGNATQKLNRKFDALLKKAYSYIFREEEEVSEISDEASSDELNNNVQDLQQDNEPKDQQD ANPDQEMDENVSTHSYQMESIIHTAITKEELLQDKRKASFLLQSEFDAKKVCLNMENKNE >CAK76494 pep:novel supercontig:GCA_000165425.1:CT868241:156364:156616:-1 gene:GSPATT00012046001 transcript:CAK76494 MFIQGAHKSLRMKKRLIKANKQNRPLPNWFRYRTDNTIRYNSKRRHWRRTKLNIN >CAK76495 pep:novel supercontig:GCA_000165425.1:CT868241:156804:158085:-1 gene:GSPATT00012047001 transcript:CAK76495 MQMSDSEFFADLKYRLDYLKKQELMGVDIHDRNLESSNCESEIDPDKGLVKKKQQIQNHN QLSMKLKMLKNIKINFKELKIQDFEILSTLGNHYYYAIGTGTFGRVRQAKIKGDPENQVY ALKILKKTEVVRLNQVEHIKSEKDILTFIEHPFIVKMKSSFQDQFYIYMLFEYIQGGELF SRLRKEGRFANDVCLFYATEILTAMIYMHKMQIVYRDLKPENLLIAKDGHVKITDFGFAK KIGNGKTYTLCGTPEYLAPEIIKGSKVGYGKSVDQWAYGILLFEMLSGYPPFYDNEPIGI YKKILSGLIEFPKFFDNKVKDLIRKLLNPDIQNRLGYNDNGESIKKHKWYRGVDWARVDN KQIPPPWVPYLRSEDDVFWFEKYPDSTDAPKQLPRELQHMFDDF >CAK76496 pep:novel supercontig:GCA_000165425.1:CT868241:158246:158994:-1 gene:GSPATT00012048001 transcript:CAK76496 MGAYKYLQELWKKKQSDVLSFIMRIRTWEYRQLPVIHRATRPSRPDKARRLGYKAKQGYV IYRVRVRRGGRKLLIRKGLVKGKPKSQGVNQLKPTRNLRSVAEERVGRKIGALRVLNSYW VAQDGTYKYYEVITVDPFHPAIRGDSRINWITKPVHKHRELRGLTSAGRKSRGLRVKGHR NNQTRPSRRANYARRNRISLRRFR >CAK76497 pep:novel supercontig:GCA_000165425.1:CT868241:159681:160564:-1 gene:GSPATT00012049001 transcript:CAK76497 MYSQRFPSLEQGTPKILSKTTFKFDIRSYKTSEDLGVKQQGQSVQTKRQKTQPSLKQMPV FKKLVQKKLFNSLVNPMQQFEIPCNWEQKYLDKVKQLIMQIEEKECTELQEKNQEQQKTI EQLERKFLSLKLAYESLQDEKQDNDVLNIRKQMNSLESELKDQLHRTEQQLWKTQEQNFQ IQDKFKQFELKAKHLQQQTVKEIQHQIHLHHELLCKYCGKFVNDAVTIIPCAHTYCGTCN KGYQKKCFLCGDENKTEATYYNQFMSEIVKMYDTFQTIVQIFLG >CAK76498 pep:novel supercontig:GCA_000165425.1:CT868241:160645:161479:-1 gene:GSPATT00012050001 transcript:CAK76498 MNTTIDLFGADDPVKSLAPFTVSLSDSNSPPKQCNITNYPALQQIKQNRKILQFSIYQIT QREHYNPSYLQTRSPKNQQLYNLFFTTKSIQQKKAQFSKQFCQKYKTLTHNESNQQDIYK RVDFKQKILERISQIRDRSITCKMPESNQFNINQTQISFLQPEFKKDDFFTQRPPQSRVN SSFQKCKKICLIKPAGCTLGLNVEKCKIIDRIKTISMSPQEKPYKVKTFKINNVQLRQTV GKSNIQQLNIKKKSSIPLTKWEYSDFEND >CAK76499 pep:novel supercontig:GCA_000165425.1:CT868241:161530:162067:-1 gene:GSPATT00012051001 transcript:CAK76499 MKDVLFHSVNGVQSYIQCQTKCKTKNYLVVGNKTKEKIEKELGCDSIQVFNNQNELTMYL LSQNQQLNLLYIIGNLSEIGIELQNKHQVTIFEGYQTLSNNAQEKQNIDFSQFDYIVYYS NSNYNQFKEMQKELKDNVLHIFIGQKCSQGHNEKNFIILPAPTFECLLDCL >CAK76500 pep:novel supercontig:GCA_000165425.1:CT868241:162281:162687:-1 gene:GSPATT00012052001 transcript:CAK76500 MSAASPVHQQLQKTLDVVQRGFEEVVQNIPSQYHEQCMSQNGKNIEKYAQCMYKRSKNVD KQMKAFDFKMLFMGITFDQCIKTNSQDQCIQTAKSTVEGFINDFKKNVK >CAK76501 pep:novel supercontig:GCA_000165425.1:CT868241:162722:163103:-1 gene:GSPATT00012053001 transcript:CAK76501 MNRNEDETTKLLQEIRNEVTDFTTTNFFGQVVQKYQSYEQQCFQENKANSIEFVKCMMKF QKRQIKEEKKMEFKIDYLKYEIAECLNTNERTQCQQLAINNIMQIQQEYLKSIELTLKQ >CAK76502 pep:novel supercontig:GCA_000165425.1:CT868241:163215:163721:1 gene:GSPATT00012054001 transcript:CAK76502 MKLIELEGWQQYSTSPYINQLKQLSQSPEITPTKRQLQDKLPSIHQKKQECHKIQNYKSS YFANKNQMGYQVISEERKSQKLTKQYTEVHQQQYQFHELSSFIESPKKSKKMRELQDSLF QKRSYRLKVKNKMLNLKQKSPLHNISQLLRKLNSEDINKNELVQILDK >CAK76503 pep:novel supercontig:GCA_000165425.1:CT868241:164281:164883:-1 gene:GSPATT00012055001 transcript:CAK76503 MADVQTQRAFQKQEGIFQNSKKLLAKKTSKGVRYWKEVGLGFKVPKDAIEGHYIDKKCPF TGNVSVRGAILKGIVISTKMTRTIIIRRDYLHYVAKYNRYEKRHRNVPVHISPAFGPVKE GDIVVCGQCRPLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK76504 pep:novel supercontig:GCA_000165425.1:CT868241:165720:166322:-1 gene:GSPATT00012056001 transcript:CAK76504 MTQDKHIEKDMVTLWQNSIAQIDTSNLQPASQEQRQSSKFSQIPSMIQSKLSKSVTYNLT QCSFQLLVKKQNDRQKKKPEPQNQQQNIDSSFQNRFYVDDNKRISHIIPKKYRSISSQYS PTGLQQYLNQKESNLSVNKKWLQVSPRGQSHSIQLRVQAQLDNSLVKQNFKDRCRTTTGC LANKHLFLTQKIQNVQKLDS >CAK76505 pep:novel supercontig:GCA_000165425.1:CT868241:167119:167862:-1 gene:GSPATT00012057001 transcript:CAK76505 MITFAQRWPLVQFISLKIRRLFISITYYIKALQNFCEIASAIFAEHQQQYKIYMEPALHN QASIQNVYQLLHMNSNFYQDLTSKRVKERSIGDAYDRVQQWRYYFRNGIQENGILKKVTL KEAADLVQVPKKTLEDYIQIFNKAALIINIQEITEKKMGYLRSYMKKNKSKIRKAMIQQK QQKFEENLKKQNQEVYENTKSNKNEDESITSPYPYQQNILSEICDDQNDWEQYSNNIFKI FPNPHFD >CAK76506 pep:novel supercontig:GCA_000165425.1:CT868241:168030:168594:-1 gene:GSPATT00012058001 transcript:CAK76506 MQNRLNKELQDLTTGNPLAGVNITPDPNNRLLWIAIVAGPEGTAYQGGKFKLAITFPENY PFKAPFFQFQTKIFHPNINEKGEFCEDMIETKDQWQPTKTVKQVLEKILNILGQVNTDQS LNNQAMEMYKSDKNKFEEFVRSETKKHAS >CAK76507 pep:novel supercontig:GCA_000165425.1:CT868241:168844:173829:-1 gene:GSPATT00012059001 transcript:CAK76507 MKKSFREEAMPLIFYSQETQTYQVNPDAIEIIKNIPSPIGIVGVAGMYRTGKSYLLNRML LNRSDGFGVGPTVLPCTKGLWMWGKPLLGQTSDGESCSILVVDSEGLGAPDEDSTHDIRI FSLTILLTSCFIYNSVGSIDENALQNLSLVVNLTKNIQLKSGQSTTDLEDLSQYFPQFYW VVRDFTLQLVDRNNEQITSKDYLENALTIQKGASDGIDQKNKIRKLLSAFFKDRDCITLV RPLTKESSLQNLENLEFDKLRPEFFEQVINLRKKILNRIRPKTMNGKTLSGQMYCDLIKS YVTAINNGAVPAIESAWTYICKNECQKAVAEAFDTYEQILKENLHNRFPISNEDLKAFNR SLKEQAFALFKKKCVGEYEEFKNELSKRIKNRFAAVKQENDREGSRMCSQFIQQEFQPID RKLKLGEYRSFGEYEKDIKMFYNFFIENGPRVGTRNQIILEFLQRALIEGSNLFIRQYSQ ETEMMKNVAQETQKKLELELKEARQDGLKDKNNLLMKLAQIESEKTEIELREQVARDNLE ELKIQKDQVERDLKLESENEKNELMRQIQELKGQVLKAEEFNKDLERTTLFGNSEFEKER ALLEQKITFFEKLVNEMNAKEIDYQNEIKNLRKEYSLQSKDQQSKADQSVRQLQQKLNDM QEKLNEMENELVEKETNFENDFKKFEHKERSLTKQNLELNEQIQALTRELREYRRNEEQV QLMLKNDANNQVNEMVEKVQNLEDLIKSKDDQLKQARSQSEKDKALMQQKMEFMEVQLDE YKKQIEENKKSHEAIMKAFENSSNESTYKIDAAKMNDLREQHKRELKSVENEYENTKKRL QQQIDQLNERNNELELKVKFETGDLIKELENLKEQLATTEEQRNKLLEQNKMLDGQKLQI LKEQELRYQKKIKQLEQAIDEADAKIAKEVNLAQAKAEESLTQLKNFYEIERERLERRIC EEKEKSDKKFQAAQEEFYHKLRETEQNYEEEIENLKDDLRDQVQQYTNTIQQYDHEIALK QQTIEIFEKHIKETKEQLISLQNNNNATLEQQMNSFTTERKSLIQKIDVLSSQLNNLQKE HMALQQKKDLLENEKSRKEQQFEQSRKEWQEEKKEQLERLEETKLRLQKMNDEFLEKKIE YGRETALIQQQNEFLQKKIEDLQRQLDTQQSRFDEKLKQQKNEYLVELEQKLERAQEEKT AIETKYEKNKKQLKEAEYQYNKQASTLEREKAILTEKLGHLEARKNELESKLKDETATIT QQQAQLREQIAQEKKQLQQELEKYKQLNLQLEQDKSEIYTSYERDKALWEGKFQFLEQQK EQAKQDLVDALKKFEMTLMHLQRARSNEKDEQENNLNELLLSVERKYQAQIEEANQTHQR IVQDYEDKIRRLQKEVKTHKDKILIDQHGKIGNQLLSEKKFAEMLDNEKRLQQEIENIKQ DRDQKILDYQRMLEQERESLKAKITELETKYKEVESKRSTLIFEFEKERAKWNLDRDHLN NLKNELTDQLDRLRKKEELLLRDNEKLKNEQRATRRSVAAHNMTSNNIMTGNKYRNPINN VSTIGLQKLSPTHSNNTSTSSANISVLKKTNLADITNFEKVVPQTQQSFQNNKYYFYGNQ QGQNDDSMIGQSDFQK >CAK76508 pep:novel supercontig:GCA_000165425.1:CT868241:174369:175803:1 gene:GSPATT00012060001 transcript:CAK76508 MHTPQDQLRKALKRYNNGDKQNNNRNCRSQQLQMPQVPKGMLSMNSTQKSERNAKLSDTD TTDVTHYNLNFSQPIQSCYQVEHLDLNFFKIQPCKIPGNHSHKHCPFYHNAKDRKRVNVQ YSAELCTYIESNQQCPYADNCNKAHNRVEQLYRADNYKTKFCSYYPHNISQCDYGKFCSF AHSETDIVIELIHNLEYDDDFFMFYYKTVWCPFNLTQHDKALCVYAHNWQDFRRKPQIYQ YHPIPCPSWNTAEYILEYYNGCQDGFNCGKCHGWKELEYHPMLFRTKQCINQNCSKTDCS FYHNNQEKRQIDQLSQFRVFKIVPRNRIVQNTFKVRDHSLLTSQRNGSSTPSYQKLCGSD QHWLGHNLQNSFQYDQDSDEGKQNVKGQHYQTTLISIQERTDSDELKDLKDLMRKKSNSV VDEKQNNDDNEHVRTVLKMIDMDQ >CAK76509 pep:novel supercontig:GCA_000165425.1:CT868241:175870:178028:1 gene:GSPATT00012061001 transcript:CAK76509 MQGNQGSQKKDIEIGSCPFSDAMKLPKGHEKYTDKSKCPFQQMQQQPEIKITDGSTEKKK EKKEKQPKGGCPFMSSEKKRNPPLAHLEEQYDTYYISPLNYLLDTRGLWMLAFDSKEVKK GPIKDRRKTFDSYPIYLKSTLFHDDENTKKLRQCEVAQRFFVYDKFREKGNSIINKGNKL LLKQDYEEAIRYYERALGCFRYLEVVEPPEDDSEEEETAQDITNLTEKQKKELDDMKKSA KQYRKEQKDFKKQYKSLMTIYTDENVKYRGVEHIEDEADKDMCNSIMYGLYLNMSVCYMK MSHFDLARKILDDAGQIQKENSQYLFRYSQAILYDKWSTYSDLIKAKELIEKAITLSNVE NIFKQGPGILKLMGLENAKEIYVEHAHKVMEAIKQKRQWVIDLIEPLFQRTKEIDEIEQE MIEDGKVPYEEGVTDVVDPEDMVQQQCETQKQFLYRMCMPQLTQAHQEYEIVKEMVNKYY RIIEFYAEQKKYDQVKIAKAELQRLLETVQAMSFFMNLDFIDYDNDEQLKELTLKYQINF TDKKYIRRLIRLCREQVNELFGQGKFNFEVFEYAMNDYFKKKREQQEKEKEEYLKQHPEP VKPNQQSSFLKKTLFSSEFWMQMFVLLLVMAGMYYFNSNTGFIGKLFSLKK >CAK76510 pep:novel supercontig:GCA_000165425.1:CT868241:178033:178795:-1 gene:GSPATT00012062001 transcript:CAK76510 MDQTGLRAVILGASGAVGRELVIELTNSPKWAEVAVIVRRKLEQWDTLPNKHKLRITQVD NLDILEKTEEWKKFANYNTFFCVLGSRVKEGDAQFTKVDLTYPIYGGNIARANAIPHYSL LTSIGADKGSMFLYTRVKGLVEEALTKQQFPYLTIHRAGAILNRVNDERFGETLLKYLPF IDKIECKDLAKALKVDAEKAYIDLQNPNQKDFTVRLNTNKMLVDFSKL >CAK76511 pep:novel supercontig:GCA_000165425.1:CT868241:178883:180460:-1 gene:GSPATT00012063001 transcript:CAK76511 MFCLCGPRGTDLNEKSQDTENQQIATSLQANMKRKSMKPFLNLDYTANVENKFGQRGDQS REYKEYIDFINGYYQGNNQKSQIQLPNDTELSAIKYEETKTSNDQMRLMHLGDGLLAKII SINQATTSSCKDSFSPFIMKVLNETKRFYDINPIQEVQFVKQLVPHFITEQTYQRVKNDK LLIAHDPTGSFLIKHALSSQEVYYIQESKTYRNILNYALDILKSQDKVNYIFKSDITDKL DTVILNLLHIQDTPISFVIQEYLTLAHDMIIILSPKIDYHEMVSQLRQGIKQSAQSRLYC SIEIQNVYNEGQFMGRIIYYGEVSQITQNQQLSYLYECISKNMKKVYKYKKLIKDLKNQV GIMKLVDLFALYGLLNNQSEENFTRFYQQMKLSYFQNFQSSVYKFQKAESNQSQTESENE EPVPLVQYGSSILFSEIKPQSKSLSTHAAKSEYVHFHSQNNNSNHHKNKAQEKVELIESC IYK >CAK76512 pep:novel supercontig:GCA_000165425.1:CT868241:180680:181649:1 gene:GSPATT00012064001 transcript:CAK76512 MVKFVQILSLKNVLSKLITYKETLLRQTSFLASSLNQNQCLNQIHSQELQVKKNNEILRL LESKCNSLKSESQEEYFQVMEQTIQLLDQQLQLINKIMKKQNIRNQIKYSSLRSNILEKA ANHFYEIWQFDSENMDYLQKYLDIVKCFKGTLCDQYLEGLCKYAQYTQDYEELANIYSQL EMNKFSETQKRCAMTLFMLTQDELYYEHFLLNESNLVDQSFEIRFHLFATQYFQQQNCYH TTKFHKQQCEDMSSEYQRSIAKSNLELQLSFMKNQSNH >CAK76513 pep:novel supercontig:GCA_000165425.1:CT868241:181731:183468:-1 gene:GSPATT00012065001 transcript:CAK76513 MINQKIEDQQNIRIQNCLKAEQTKIADQQVSHNIIRIDFTVYDYLQPEVIKKICLIQAYC RMLIKKKNYKCLKVWAVKRRKVYEELIQTETTYLADMKIVLEKVVKNVKEMKLLPENEIQ KAFSNISQIYDLNKTFLRELQKKLEFYHNNVCVGQIFLKYIPFFKMYFQYLQEFNIDQIS ILRNQYQDFDNFLKNLEEACVFKGGDLNSFLIKPVQRLPRYSLLITSVVKYTWKDHPDYQ DLQKTLNSFASVTQQIDLLMGNILKNQALFELQYKFFNLINVQIVESTRQFILTESLSII YDGKEEPINLYLCTDLIVLTKINEENQKYIKESLITYSFLDLQSHYESEQLPSLNFTLLA GEQKMWFTCQDQEQKLKLLQEFDAILANLKNNASLRSFKKAKTQAYSLIKVQIVSITRGQ ELLKTYAQYNITIINQSQSYATQTRHKVLLKLQKKLQNEFQKESQEELGSSILFQNWIKN RLNKESNDGRKVIVQEFLETLLNSHLVKTRPEYFLRKLKLPQDFYERKVISQSRYQSLAK SQIG >CAK76514 pep:novel supercontig:GCA_000165425.1:CT868241:184611:185225:-1 gene:GSPATT00012066001 transcript:CAK76514 MNFLFSNDDDDGNFDSFITQNLKPEPPKEKSSSKKLQTTFKPIEVKTQIQFISTPKLKPT KDQAKTSLWNLMLQHLNYTNQISNFNQERSKFPYPLNSFFLSKIQEYRNQKIDAIICEIH DIEEFGDTTEITLKDQSGSCKASIISDLFTDDIDDLSPQIYSPVQKIQKKEDILVYLENV TVFSPNEFQITIIINRANLKLAIF >CAK76515 pep:novel supercontig:GCA_000165425.1:CT868241:185225:187035:-1 gene:GSPATT00012067001 transcript:CAK76515 MEYERITVTKRGIEVQQQLKQILGFKENRQIGTLRKKEYSENVLSRQKEQEIHTSSFLEP RQDKQEQINMFLTNRFSNRQKYIKKEMEKLGQRKTKFGGSFQSESHRSFKCLDFRQMQYL KSKYTDGFFLTKQNQQIKTLSKPQGQEMQQGEDKYLAFKQSKLERMIKKHEQFDLQTQSI PTYEKGSKREPNFMSELVKSMEKRDISSRVESIKEINQKYGNSVDLLEYQSLSKERFQES MSNLHFNKYERLWKTLRYILKFKKIFLNMGKRIRTQRKGKSNSVFKAHQNQRVGSPQYRH LDYAERHGYVRGVINEIIHDPGRGAPLAKVEFNDPYKYKKQTKLFIAPEGAYTGQYIYCG AKAQLATGNVLPIGQIPEGTVVCNLEEHPGDKGALGRATGCYATIIGHSDDGAQTRVRLP SGTRKTLSSLCRATVGLISGGGRTEKPILKAGRQFHKYRRLRKVWPRVRGVAMNPVDHPH GGGNQQHIGHPSTLSRYAPPGQKVGLVAARRSGLLRGGAQLKQMDEDLAAQQAKK >CAK76516 pep:novel supercontig:GCA_000165425.1:CT868241:187050:190009:1 gene:GSPATT00012068001 transcript:CAK76516 MFSMFKKKEQAQQSTAPPPPPIQQQQQAPQQPPVVPPQQSAPKGSMFKGSLKVKAPPPQT SEILQQQTQPQTQQTQLTQSQSNSDILSPESDAYKQQFNQVEIPTTQEESNVEQKVEVKL NVEEVKKKPTGFGFLKKKQPEQALPTDESLQEQVESQITYGIQVKQNQVQEVNRQQEYTQ EQSYQQNYSPKQPKELSNNEDQKKQIYKQNEHNEREIEQQQQYQQLQQYQQQQQLQLQQQ QQQQQQQQQQQQQQQQQQQQQQPQQFLYQSQTNSISPLKSSIINKSQIIQNSTNPQLDNS YVKQHQMIMEELEYFQTEANKKKAQIYISQQEIEKHIVQYQLKLQEYNSRLTQLSIEQQV CAQQEQFEKAEEIEQSLKQIQDKITQIDNQIKQEELQYTKLQDEKENIRGEEYPFYQETE QKLEEIERLHIKYSDQYRFEGQASIKKLQQHIEEENERVKIQQIHTEIDSKHLDEEEQHL NQIMDNQTKEYRIEQDQLINKKSSLESEIAELQLLLNQKKNELQKVNVDLHSTKEKIQQV QQKFNLQLSKVQNKRTKLNDELNSLATERAQIEALEGDSLRKQHEYKNKLEYLSQQLEAI KEKRNELIRKANLVPEQCQQELDVTFKYQEAKKASLEALKELAQVQRQIDELNYKRNMGQ SQLHEILNKKSELQKKLPKMIDDKALLVQNKNFKGAAQINEQIKEVQRQISLYEEKISEN QTIETQILKEIDEIEDSLLSRQHQYQQLQQNAEICRYFVLELKLQEIQQIDYYGLSYLVL DIKNELEQLFNKYQDLIYANRKVSVDNVDQVQDHEQEVIDNNVNEIEDQQEHQYEQHQEE DNQVENEQIEQVVEQVIESNEQEQIQQSQEVVVEMSEEEIQNYINNQKQIYLDIQQKVQQ LEEQVSDHCQKEQYEEADQIQQEVDTLTQQANGIQIELKQKYNVDILQPEDQEN >CAK76517 pep:novel supercontig:GCA_000165425.1:CT868241:190059:192183:-1 gene:GSPATT00012069001 transcript:CAK76517 MAYFGNNPQRGELQELYADLNDLNFEKKKEAVKKVIAYMTVGKDVSDLFQSVIKCLEFND IEMKKLIYLYIVNYSRQKPDDAIMVIQNFRKDVRKSENPLVRALAIRTFGCLRVPKLNEY LIEPLKDCIQDDDPYVRKTAVLCVPKVYEVSPEICPPLLEILQKLLEKESNALVLANLIQ SMREIEVVSGKQIINLNQKIIQKLLLAVDECIEWGQIFILDYLATYNPQDSKQAEVIIER TLPRLSHINPTVTFCAVKVILKYLDFLDNGELVKNLCKKVAPSLISLLSWNQPEVQYTIL RNISLILQKFPILFENDVKVFFCSFNEPYYIKYEKLDIMVRICDSKNFAQVLNELLIYLN EADPHFVRKTIKSIGKIAITYDKALDKAVSILVEFAKNIQSPTEPVQELLIQMQLIYKKS KQMYKHEDSLKVIYSIIDYANESESKSACAWIVGEFGEFIPKSVEKMKEYIDNFQMEDRL VQLQLLTSAVQLYLKYPSQCSALIQQLIVSAKDSFNPDVRDRTYIYWRLLSTDPEIVKNL VCFNSGTFSNFSKDLRLWETQDLVLALENMGSISNLFHKLPHQLYKNIKIKVNNQQEVKI YKGEEKPETQVKEQQDENQQNIQKEQQQDIDLLNFDDPPVNNNKNNNSNKTDLFELI >CAK76518 pep:novel supercontig:GCA_000165425.1:CT868241:192224:193018:-1 gene:GSPATT00012070001 transcript:CAK76518 MQKYIKECQAFIITAGAGMGVDSGLPDFRGNKGFWTVYRPFENKFGFTDCANPSFMDYNP NLFWGFYGHRLHMYRNAVPHDGFQILKKIFQNRDYFVITSNVDGQFQKAGFDSNHIYEMH GSIHKFQCTPCDKLYDAHQFKDLSIDLEKFSAADPLPKCECKRLLRPNILMFGDWDWISS IYDQQEKRFFDFVEKNKTNKVCVIEIGAGTAIPSIRNLGDRILDNMKQSIMIRINPTEAD VPKGDDRYFSIKKGGLEGIKELEV >CAK76519 pep:novel supercontig:GCA_000165425.1:CT868241:193865:194861:1 gene:GSPATT00012071001 transcript:CAK76519 MILSRGLKQQFRQVTIQTFANTTRVPNPFAHHHDDHGHEHEDHGHGHDSHGTKHLGSRPK VEYNTITTFLDHKNLYTYFEQGPGYMYYPGVNYNEAHGKPYDWRDDPKYNPDYLTPTKNI GCPDPKTYVWPFEGKFEPFNPSEALPQNHLYDPTLLLKPENKQIGQPIQHLTAAQQDQDA DVNHEFDYESEDCDFQTESFRTQHFRKRGPMWPWALIATMPFVYFWVEFLYQRYPDEDHW RITHPPPLNYPDSEDTDDTDTYQDYHSPSGRFLRDIGIIGDLWFDIKDGKKVMNKWAGCN QPLPDI >CAK76520 pep:novel supercontig:GCA_000165425.1:CT868241:194868:195745:-1 gene:GSPATT00012072001 transcript:CAK76520 MVIISAAICDKNGILFIGRQFQGITTNELREQVRNFPKLISPTQQHTFIDHENQRYIYTP IDNIYIVLITSKNSNIIEDLEVLRILKNVLSDICQQISEESIKKNSFEILLAIDDIISAG LRESTTTSQVQTALEMESSEEKIHLMLTKARENEAKEQAKKHQMEMERKRQEELAQKRNQ KQSKAIDNAFKLPQNEEKVNPAPKPEVINENLSTQSQSQVTQKSNVKQAPKKGMQLTKKK ESTSFE >CAK76521 pep:novel supercontig:GCA_000165425.1:CT868241:196233:197531:1 gene:GSPATT00012073001 transcript:CAK76521 MSSQDAIQSVLTLLEDLQGANVEAQDKADLTFQRFEQAILQDINEFSGIVNVNSKSAAAA QQDLEAVDLKIQQTTDYLNWNNKRYKANEVKLENLAEQRCEANALFIDTLREYKNALSVL DWVRSDAQSKQSTLIEKSHIGDYAEKLSKYANLFEEQAVQDFVKLGEEEVSFAQTRQHGN GQQIASLVEKDVVGIIQQLIEKLRDTIKSLEEQEIQSANDFADFKTNLLAEQESLKQEYD AKAKFLNSLQNDKELASDILTKKKELQDQSMRILSLTQEEYNYKKKLYNSEKGKRHEGNQ LLEESLLIYREKMATVNEYLKKRVNEYVGESLVEEHAVSNQAPVQNRKGKQ >CAK76522 pep:novel supercontig:GCA_000165425.1:CT868241:198508:199030:-1 gene:GSPATT00012074001 transcript:CAK76522 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK76523 pep:novel supercontig:GCA_000165425.1:CT868241:200388:201998:1 gene:GSPATT00012075001 transcript:CAK76523 MYQNYSQQAQMVEDLSQITCSNNHYNTRIEYISKNSQKGSKELLCSKCIENFNNPPSNLI FIQDIIETIEQEKRQCYIQTENATYHFIQDIEKLVLSLRDYQAQMHYQIQNLIESSNNWI ENLNYYKSQKLKYKLLDEIENLDNLHLFQEELRQQSLTFDVYEINKINENNIEKVFLGIE KINQKVKECSKFQGMANAIIKNANNFDYFQPTKMKINSQKLISDKIEDQLCNALAFNSDE SLLATAADKNIKIWKFQEGKLTENVANLQEHLDQVVCLVFHPIVVDLLMSGSKDGIIRFW RDPSQSKWKQVESLRINISYPISMILNQYQNQLIVGCFDGSIKINLFNVDRNKANEQQRL DKHTKPVYSISLNSKCNQFVSSSSDKQLIIWEKNDAQNWDFKYVVDKSINDICYRAGYFG DDTIVFQQKRNGNLHFLKLQNNKFSERTQLKLAVTHQDPEVECFFPIIYNSKNQVLVVKH FQHVYIIKQNKQQYQIACQPIDCQNPYNYGTLTKDGQYLVIWENITRKFKVYELQY >CAK76524 pep:novel supercontig:GCA_000165425.1:CT868241:202164:203801:1 gene:GSPATT00012076001 transcript:CAK76524 MSDQFEQSQEGIVQNEVPEYRDQELFDQVASKQNNEKKLNFQIEEEEEQDQNQPVGAIQE VTKPEEIKKNVSQISNPLQPIMINKAETKRIVHQAALERKTEAFEQTKSFELHRSQQIFQ HRQLVDSVWARLQKVVASSDESMKFLVSFMKQRIEQEESQLKYSQTAQLSKLFKDFDGKI MQCNYPEFSKAVRTIDSSMERQTDQIKIFIVWMQNQTRERLEQEMNNFTNQNRDLINSYN RFKKTLADLDKETMKYFNKYDKMYQNMTIKGKKPQKDFLRAELKYKQAASRVVIYQREFG AWLLNSWNEIKLLEAQRLDLVTHTLIEFQNQIMNVYGKIPQHDSIIVALNGVKVQQEVQT LYSPDFVLTKQELEFIQTEQQQELVKYFQSFQVIDKYAELSHPLILKQFSAQRDVGTIGK TWIETGIIITVDHYFLTFEGKPTRFSRPENKYPLDGMKMTARNAVELEIQFVIPGLVMDS KKKLLIQFKKSDELEEMMYFLETVGQNKFALN >CAK76525 pep:novel supercontig:GCA_000165425.1:CT868241:203805:205284:1 gene:GSPATT00012077001 transcript:CAK76525 MSTMIQYEQLQNNKMKSKYQLQSDEDVDTLGSYTSMTYDDKTMEVVQYRKENFFQFGSSI LAVIYMANTMLIPNMNQLFIDISFDNPLKMVISVIMYWLLSFLILIPCYLVIKICKQEGN PIYAHIIKQDSNKIYKSFIYSNLIINTLGLFNIIVRLGDSLPKVNRRFYIEFHHLMASEQ AELFFPTVVCYSTIVLALFYGFYKFSYFEHYYDIQYRLIVSFVGKIILNTLIFLLIYFEV PDASQEYISMAENLLDADFSSGASEWITYCFNQICCISYPFFEVFYLKRETKKGYSKVQQ CYWIQLLLRSVIFFYQITTWGIIIRQDKMKYYYQPLLQSLNTMLIFLISMSITSIYSHNY TLNKELLQDNIQTATDEDNKKQIKEIYMVAAGLFIFQILSCVVCRYFFRDLEVPQIKSFI FSLTYSVQTICFGFSCISIPVYLWIKNFNPKSNIFRGMAYLAILIYMIIFVGISSEPFFS LKK >CAK76526 pep:novel supercontig:GCA_000165425.1:CT868241:205986:206610:1 gene:GSPATT00012078001 transcript:CAK76526 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSILDQIVNLAKKGLNASQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDVTSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK76527 pep:novel supercontig:GCA_000165425.1:CT868241:206977:209081:-1 gene:GSPATT00012079001 transcript:CAK76527 MSSEQRVKLLHQQFEAYKEMNEQVSNPIESLQYYRQLSTIDKQKYIELFYGRNWKYRQNL FDIVKNNQHVFKHHHLEEYTREEQRYHSFQAMRHFHQKLGVTYDMFLSDPNIVTTTSVAM YSYDPAVCVKFGVHFSLYTKTILNLGTDKHKQYFENAQTLTDIGSFSLTELGHGSNVRQI QTTAIYDKETQTFIINTPTDLATKFWIGATANLANMTVVFAQLYIDGNQYGVHAFLVEIR DKTNHNVKSGITVGDCGPKNGLNGIDNGFLIFKNVRVPLANMLDKFATVTPSGEFKTQIE DPDKRFAVQLASLSGGRIILSYYGAITSLNALSIGIRYAAIRKQFGLPGEKEQSILEYPL TQLRLIVPLASTLVYTIAAKAINNLWDTTQNNVFNPNKMAEIHALSSVLKGKFATYANKV ILECRQILGGHGYHSFNRLGVMLHDQDINNTWEGDSNVLTQQTLKFCMDQKNRFINDQKP IQYASLKFLETFAIKPIKFLKDEDYLCPNNIKYLLEYKLDKQLSDFMEDLTTNLNNNDML KSWNLSFAFKGQQISNSYADLYCFQQWQELNLKNDSVLDTIFKIWCLTLLDNEIELYKNN EFNISDATVKKLLMKFSNQLKDEAIGIIDALAPPDEILGSIFGSSDGDIYNKFMQKIYSG KNTFQKVTWWEQIHNK >CAK76528 pep:novel supercontig:GCA_000165425.1:CT868241:209704:210483:1 gene:GSPATT00012080001 transcript:CAK76528 MQRSQNDDDKPLIPLSQATEQKRQEKLAYEKQMARVTLLKQTQQKNLHLAKLYEMAADKI ENGLSVKQQLRNLAEEARQLKEEDMNKRKHQIKQIKRHEEEFILKRVAKQKIGDSMKQSQ VKEYKSAIKLTISRTRDCILQENQKIRETLYQEEKRRKERKEEAKKLDEMKSQQFYKERV SYEKMIEEMLKKSREKLFQDEQMLQGRLKVRISVYCYQETQNLLSKSQKKFMKLQSQSVH SKR >CAK76529 pep:novel supercontig:GCA_000165425.1:CT868241:210894:211938:1 gene:GSPATT00012081001 transcript:CAK76529 MDSPKEQQNDEHVTQQAQTHLIQLDVEETITAPVKRPAPKTFGDLTIIFIFETIGTGLFA YGIVASNGSDVLISAYLYAAIFLTCKFTGGHVNPAVSFSFYCDDTISSWTLRIYWTAQLL GAVGGAWVAYLILGVVTSPSIKSTNIEWMLADLCGEALGTFMFVLFIHIQVHEQTKQTNN DIAGILWIALALFFSRQLSSHTGGCLNPAMGVGLELFEAFWLNDTTKLANFWVFLFAPLF GAYMASTFYNSIYLSLKK >CAK76530 pep:novel supercontig:GCA_000165425.1:CT868241:211978:212429:1 gene:GSPATT00012082001 transcript:CAK76530 MKGKVEISSSQEHKHLIQESQIQLAQAGVAINSHFIKYENVMMIGTSGGSALLCLKAEGQ EDEDDGQIGSTVIQQLNAQQTGINIQGSLTVQIWCESRDEFVKEFKRLQQECIKEKPLEQ ILSSDEEDEDEEAMEQQMEIE >CAK76531 pep:novel supercontig:GCA_000165425.1:CT868241:212606:213794:1 gene:GSPATT00012083001 transcript:CAK76531 MMKFIALALIALTVLAVQKDTKTILAEIDADNFGNSILSTVQMYLQAKGNAEEVLVLLNQ LLAGLVDDQNKHDNVIRVDRSACTRIVTDLENSIAYHTAQVSANAQMREDNEKALAEAEN DVRQTIQDIESNERTFAQEEANRNKAHETWVRKNGEHDDAIAAVDEATKLVQHLSLGATF AELKPKFEAVQKRLIENESHGALFQPIVTALTELASKVDQKAIQRILQLLSQLRQQLVEA RSVLEETENRQAQRWVEFSTHLSNEHNRLVERKNQLEQAIQTFKTNIDTATHFFEVHTLE LESAQETLDAENEWCALQEQTYATQSSERQRQQEIVDRILEHLTDKLTATSQYLGGRF >CAK76532 pep:novel supercontig:GCA_000165425.1:CT868241:213794:214351:1 gene:GSPATT00012084001 transcript:CAK76532 MGQQQTKQPIHVLIKDIEYFNKVIKQQPFPILFSRSMPLQKELNKQIGAELIQNRLTFQE QLLQFTTKTDLAIILKIDDRQKLIDQETFYKLKQLIQDLAYLYMKNNEDEVCQICFEKKI EKLLPCGHSYCQNCIDGWFSVKLRDSCPMCRTRFTKQKMLENSYCFPDEKDFIDSFKEEV LKHLN >CAK76533 pep:novel supercontig:GCA_000165425.1:CT868241:215959:216409:1 gene:GSPATT00012085001 transcript:CAK76533 MERRDEDCKQVGQFNQNDDKYIYISFMISFNVLFGSLFYYYSLNTSNDECTLLKQTAIYF TLYCSCSIILSIITLIGNKTFNTITTMEGLIRMLFLIAFLIAYIKSLQCYHLQSLTLIFL TLHALLFIIACMKSQINKTKQL >CAK76534 pep:novel supercontig:GCA_000165425.1:CT868241:216746:216907:-1 gene:GSPATT00012086001 transcript:CAK76534 MDNSASTVINRNSEIKFQMREWRDIDYSASNLLNFKKELAKKILRESKKPQPF >CAK76535 pep:novel supercontig:GCA_000165425.1:CT868241:217323:219016:1 gene:GSPATT00012087001 transcript:CAK76535 MYPKKINRTVHVARQIQRDDSVKSIIIDKLVQDYGKKNQLNVNLIMAYVDDFFVFYKATE GAVRALKEEIKDATLRPQNEKQLMIQRLHQRMSRKLKEEQLKTLTNPNCLAQLPEKSEEQ NNFYKMQNKTQSNSKMQLMNTLPNDKFEERRKSIYQVDGGSVDEWAAIIKYDTLQFQKEL EEQKRLMQLNQQKIKEELDRQVAEKELRKQKEKQEDQYFYATNQQQLMEFERQQELIKEN KKQQFLQEKYIRDQQVQVDKKMKKEQNLNTKYLEEEMLTKIKEQMKAEDQQQKRKRLEQK EKFQQILKQNENLRKKAQDEIIQTKEHEKLLQEEQLIREQFEIQKKDKQKKDQENKFQQL MNNYSQFVMENKNDHPLQNDFWTKKNSEHSTFFEFKNKTNKKEQQLQVSYDLQQQIQEKK RRYTQEVTEKKLLFEQQIQEQKALKKQDEEKRNNIRQMYILNQEELKKQMAKGYLSQSPK KKSSPNERMVGQELLHNKKLLKKIANDNSIGITIKKQEISIPD >CAK76536 pep:novel supercontig:GCA_000165425.1:CT868241:219783:220046:-1 gene:GSPATT00012088001 transcript:CAK76536 MSDHDTHIHQNITIQQKNERIKQSITTSMKLSLMNIYQVCSKFCIKDYKKKDLSDREKIC LSRCFERKNETLQTTMEFLGKLEQASD >CAK76537 pep:novel supercontig:GCA_000165425.1:CT868241:220057:220632:1 gene:GSPATT00012089001 transcript:CAK76537 MNYNNKYLIHSPKKQSQAKIIIKYSSAINLDHIPKFKYKSPRNLARQITNISPTIQLNSS KNKKTVESKSRSPSLESNWFNIKKIKKKIDPFENLNYGHPKIFHKLSPDLMIPTQLSTPI TGHIEKRIVIKKKTEPARIARSNTQYSISRQEIKINTSSSRILQKKESKKETSGELKGWQ GWHVEHYDDFI >CAK76538 pep:novel supercontig:GCA_000165425.1:CT868241:221534:222476:1 gene:GSPATT00012090001 transcript:CAK76538 MHQNQGGRVFGKHLDNYVDKALKDTKDKRNGIVDEMGGKENAINDAVSTRDGLLKFDSPQ QVLHYQQEIYEFLHQREQESSGSKLSIDQRKINEKMRNTTIRFVIKQAKMYNLKSETLFQ TVDLIDQSIHHINPEAERLELIAITCLFIATKYEEIYPPPLGALLRGTELRVREVIEMEK EILYKLNFNVISDNTLIWLQLIGELLGCNKRYLDLIKQRSMYLAELSLSNDRFLSMKKST IALTIFLAVEMQFGYQKTQFQWDRLSQHSKPCKDSKPLRLFAYCLKN >CAK76539 pep:novel supercontig:GCA_000165425.1:CT868241:222499:223459:-1 gene:GSPATT00012091001 transcript:CAK76539 MSNHPQSQQPQQQPQAAQPLARTAAPTYPNAVLPPAYPNAYLPPTYYPASPVRQSYVAPV QYAPVAQVPVAPIASVPVQQYAVAPVAPVAQHQSIKGESRIEYVPYQKAVIEYEEQEVVS YVPRETRVTDYYAVEYQTEYIPQVFQEKFTEYVPVDRYQERVEYYPVERQVVHQQPTQYV QQAVSVVQQPYVQQSVQYVPQPVQYVQQQPVQYVQQPLIASRMAPQFAQPLQQYPPAPVA RHQQPSQQPPQSHQPSHQPSHQPSHQPQQIQSQQPRSQPSQQQQSQQP >CAK76540 pep:novel supercontig:GCA_000165425.1:CT868241:223871:226269:1 gene:GSPATT00012092001 transcript:CAK76540 MELQTTLRSYLSSFETYSHVRDIKLRVLYGDKMHIKIVQQSLAAFLVDEEESPILRLLAL KVRIKPQINLQFNKELAETFNEDYIHLMQKIVLPKMEQIAQFKKESQDSDRGKLFFLGKQ KPHQGNQELQALGDQFFRVTLECIRIWGRWFPLDKQHHRLSLYRVAYERLYKIGVHFPEI QYFDLHQVHSNLPSTFPPLSMVQQLKRVMSIHLRSTTKEICRYLESHLPEEYHQTQYSSF AELFQTIVKKHILNKNKQARIANIFLEKEYKLSESKKQDDKEEWTQLQRLQIENQQLQQL NNMYQSKMQELQKQIILTQNQNTLLQQQIQDKQLLIEKLETDWKNIEKKFLLLFEEYKKT HDSKNPFVLAQFEKQLAEFKIEFGLSYKEVDCFKLRAENEYLIKQLEEQEDLFNKVKHEK EVLLTENRLLMTKLNNLSQKSIKGDKFEPEPPQDVGELKFYKQKSNLVQYEQIMISQKQQ ITQLKNQLLQMNDKKNSVTSQTWGISTKSNSRRNINILQADNIQSSKQCEVQNRPYRQSK IIMPPIIDYDPTIFAINPSIFNTRFRQACFTSKSIIHQDDKIQINTTTQLKIQVLFVTLT IQNKSSMKLNNLSLKLQNTEQLYVKVLNNINRVMNPEESQIIQIQIEIKEIPFSILEAQL IYMDKIIEFGIPCTINKFLNYSTCQQLPATYFYQCKPFLTGFQSSIPNLLQEFEIVSQSE NEVSMLAQVEYQKEEYEIMISCRKSMMSIKINGGYNDRLIKTIISTYQGLFLKR >CAK76541 pep:novel supercontig:GCA_000165425.1:CT868241:226312:227010:-1 gene:GSPATT00012093001 transcript:CAK76541 MKQLTLVCLSETHSKFVDVQHGDVLIHCGDFTNWGHEQEIKDFISWLKAQPHKHKIVVPG NHDITLDTQKYPVLKKKFHRYSNFNPEQLVQELKECCHLLINSSVTIEGFKFWGSPYTQE FCDWAFQIEGSSQQFWDQIEEGSDVVITHGPPYFYGDKLSNGQRVGDKYLIEKVKKIKPK LHVFGGIHESYGQYDEDGGYGVDAIKFINCSIMDEKFKIQNKPFLYELKKDL >CAK76542 pep:novel supercontig:GCA_000165425.1:CT868241:227072:228472:-1 gene:GSPATT00012094001 transcript:CAK76542 MKSLYLVFLTQVNNQKQVLLFQNTPQHPFSLPKTEITKEDFLSASIAGPKIILDSLKNIE LQKFSFCTKEYIDMVDFDNYNYKEKQLWSQSSQQEKELLRHKRNVQAPLWTQFFNSCPLW NDDKEENFYYIIVLQQQNDIDYITSHQGLTIKQVNVQELEQNLQFEEGKEKYTDLQKALL NNEYLRVDGRIVLHFNFKTKQSKFYDASGFVLDTVSIYNGIFRRRYDNWNQYNVAQGHYP SDDIIKRADAIIMPGNRISVYDHYQWIEDVKLILKKAYETNPKVKILGICFGFQILTVAL GGIVEGMKNIFVFGNSPLDHKINVMKQFKLFQGMQLKERTIINQAHGDEITKHPDFLVLV SSSDSCKNEVMISKDERILAFQGHPEYSSAGLSMLSLTSRLPSDQYPLDQVLKEVKRQYP QEQDSFHLDLCLKFLKDEK >CAK76543 pep:novel supercontig:GCA_000165425.1:CT868241:228576:229627:1 gene:GSPATT00012095001 transcript:CAK76543 MPQINLIEMHKKIEIRLCSISYFYNVQQQNNYLLFDFSLPDNNKQYKAIKVDQNKLKDAT KLDQALDITLEQNKLLEKKRRAYNFILPFKQSNYITTKLTQLVTQSDGLSLNLESDNFFD ILLEYKNLDKLIINDSIVENLKIFHYLLCKELVREIYIICDSQENLVSRYPFCYQNFEFS LPNEIIENQLYLGNSNHANNLKALNLLGITHVVNCAKEIPNYFSDDSIKYFQVPVLDLEE EPISDHFDEAYIFMNEAMNNKENKILVHCAQGKSRSATIVLMFLMRNKQWTFDQAYEHAK KRREIISINDGFQFQLLQI >CAK76544 pep:novel supercontig:GCA_000165425.1:CT868241:229958:230721:-1 gene:GSPATT00012096001 transcript:CAK76544 MKAFIGLSNCAVTYQSYEIAVKFLKKCLQYAWLNNNLEIENLVHQKTGICHFYMGNIEKA SFYHERSITYDFEIEDSPLRKLSCDTVKIYLNKHFSRNYAETVNNALLSKMNFQIPIDIK QCQENLIDLIDLSQSPRVHLSSEGSRKMSISLENSELSCLKINGMRLLKDILSQQEFDFQ VYTPKHSCSVLYQILVRTESKCFDYLNNKKIHPKDIYHDSKYKTNPLVNDDLGIIKSKSQ AKLKI >CAK76545 pep:novel supercontig:GCA_000165425.1:CT868241:230761:231057:-1 gene:GSPATT00012097001 transcript:CAK76545 MKYDPAIRQQVLMLKIKSIKNPLLQKFLRMLLSKEKQLIDLIKFKQNQQTIDLVQDLIEM AIGTKISLVVVEILLFCAQALENCGQIELSIHLYNQTR >CAK76546 pep:novel supercontig:GCA_000165425.1:CT868241:231156:231429:-1 gene:GSPATT00012098001 transcript:CAK76546 MPNSNSKVKLIIAESPMFPWNEYKPAPLCIHNTKLTNFSTREDEVIKTQVSETNFNKVTN LRIRIDPKSETDVKILSKYLAT >CAK76547 pep:novel supercontig:GCA_000165425.1:CT868241:231518:233893:-1 gene:GSPATT00012099001 transcript:CAK76547 MNETFEQCLSMQSGGNKRQRSPLQVKFVTQRSFQANKQSHQEYEQQRLLLDYSRQGLTIF NVSCGSSQKDRMIWNGLLYLMLNENKLKEFDTNIFKKTPLLQNLSLEDNQLQQFGPISYT DVPKLIILNIAKNLLKSIDPSICRLRLKKLYIQQNYFTNITKEVLDLSDTLEVLGLGWGI FCQAQFDFLLENQKLKVFFTLMEAMSDELTFELYIMNYKSISNAVIVSQGDILNDSINSQ NEQLLTQIKEQLNYHSLEIETSLNYMNDYKQNLMHLAVLYDEIGILDYLLNHHLDLANQI DLKGHTPLSLAIEKKYYRLVSLLLKFNINVNLGGGLQSSNLHIVAQQCQVELLQDLINIG ANPAQYDIFKDTPLHIVSSFSDHKRVKQFYQVILQQPFDVNHYNLKGQTCLEILIEKSNL ITLQFCNEWNTAQKRQLSDQNMFNFCSINPHTKLSLLDKSIINKTLMIQQFLIDFQLVPI TYQTLMLCFLPKINNVFLYKILKKQQLVQIRNQLIYQEDYFYEDSDSIPNVSPPVEAVEK LNLSDENSSFDDDQMSNISDNAPGQVSENLKQLNSWTPKFQSIRNGNLNFKKSFYDILSN LTECIPEDETSFIHLNKDIINNGSKLVTKKNMDEIYTSRLEQKLNRSNKQNLKQHNYSPN NIRMIENRNSIFKYDNYHQKRQLAILQKQMRQIIVSLQSHLRGSNQQNEEITGQYCRTQF IILDQFLNSKIGKELITIDKPLQAEIRTLRTLIEQDRFKPKAANPVLELFDLLK >CAK76548 pep:novel supercontig:GCA_000165425.1:CT868241:233917:234393:-1 gene:GSPATT00012100001 transcript:CAK76548 MNDFIQRIEREIIKFNDIQSVLMRLVQSKFMIQIKSLFESIFQTERSHIMTVLSKQQKDK IVDSYVLNLNILKQNLKVITNVVIDEIYSDFKTQVTDLKYSSVGVTNNNNTQLITILEQS DDKDQKQLSQRCYTETNIKKQFQHMPLSKLTLKCLLKK >CAK76549 pep:novel supercontig:GCA_000165425.1:CT868241:234408:234704:-1 gene:GSPATT00012101001 transcript:CAK76549 MEVKQNRKYFKVGSRKAQLEGNHEIVTRTVSQEEDMTDLGTQLQKKMCLNEDESHFIEEI LYTDPCEPEMNFDEKILIHQEVTFNPQQYLNNEHSIIG >CAK76550 pep:novel supercontig:GCA_000165425.1:CT868241:234744:235268:-1 gene:GSPATT00012102001 transcript:CAK76550 MASNKKDQIKVEGIHGRYGRFRDNRNQNDKDKHQVPFLVRTHWKNGQITLEDFNKNQTQE ILLYLFLSSKLRDIVDQLKYQLNGVYRRDIMFRVSNVYLDQHGVQKKKDLGQVHSVKSGK EDDSDLKNHGFKIGDILMVEIETKSQNKQPISQIAKE >CAK76551 pep:novel supercontig:GCA_000165425.1:CT868241:235615:237539:1 gene:GSPATT00012103001 transcript:CAK76551 MPPKSRRSEPNPNQLKEEGNTAFLNRQYPKAINLYSKALQLEENPISYNNRSQAYLQTGE LELALQDCNKALQLNPSYVKATTNKAQVLYEMGYLQQAIECLQSINNHTPESELLLNQYY QQSHKTLLDQAEQDRQKRLLEWLKIGKAIFPKIKIECYSEDYRGVNAKQTINAKELILFI PKSHMITLEMAKETTVAKKMMQFRLDLLSPKHSFLSTFLLQEKFRPNSFWKPYIDILPSS YPSFPIFYNNSDLEWLKGSPFLKQIKDKLADLQKDYNDICNVVPEFTQYQFHEFCWARMT ASSRIFGININGVKTDAFVPLADMLNHKRPKLTSWCYSDEKQGFIIETDEKIERGQMIFD SYGRKCNSRFFLNYGFVVEGNDANEVNLAVEADQNDPLLQLKEQAIKESLQWPKNFKLLM DTDETAVIDFMSHIRFLVIRDEAQLKLLLNQKNSQNFKSTKTQPLGIYNELEMWKMIGRI CKKTLKQYPTTFEQDQEILQICELTTNQRNCLILRMGEKEILKFYFQFSERMKELLSNFN QQEINLFSSKEENSKYLNYINKVIMLQNQNYQ >CAK76552 pep:novel supercontig:GCA_000165425.1:CT868241:237588:240144:-1 gene:GSPATT00012104001 transcript:CAK76552 MEIKCEYNLQQLFNQGKFGTGLKVILFFADNINDHALDIIKQCCMSMLQTQHKQIVFDIF YTLYGLFIKENFTGPSYHYQNADRKLHLENLLTKQVIPKSQTNQINKQELEDLGLVELLP LINQISRVSEYEIYYSQANQEYILNKLQCCGELFKRVIQLKHIFLVCKQILELLHTTNPI YQLWWARLNFMHNQFLDNPVQELKDEILNSFQEFLDNYPVDSKDQAKLYTEFSYIYNYYY RYQEAEVCLLKAQQLLKVRFELTGKLGKKTKFQEEKLPQLVAQIIDQESVEKEIRELPKV QEVLQQYAPQQVNLEEESILFDKPVVDGEIKQDSLSLEEQIVLLGLIHHYKKTLPNDEIL QQQVQAYLNLMLDKSNSWIVYSQGLLLRSLNEFNHLKRMERALIQMQTLVDQFNDIQPDS DLRALNLFYSNYPDYYNLSGILAEQWMKVGMMTSGYEIFARLEMWEECVECIVGTGDTQR ALKEIEQITAKGLGTVKMKCITGEIKQDPKILKEAWKDSKKRFARAQRSLGEFYFFKEKN YEKSIKSYRKAVKINSYHQKSWYIMGCAYMRLNKLEDAIKSLGEAVRINENDGEIWGNIS SCLVALKKFSEAQSALEQGVKYASTDWRLWSNLMAISLRNKKFVRFYSCIEKLVQLDHRE LIDEQIIQKITQTFAYQTDQLNQENLVQSNINKKRILKLYEYLAKEIGQKYYIWEGLAKY TELLMIYDQRTAELEKKQILDLLPYYNDVVQYRLKSCQMLQILNWERDMQICQNLVKQLT CLNQDVQLIKDEETIKNVTVYISQQKSRLETILEKKINF >CAK76553 pep:novel supercontig:GCA_000165425.1:CT868241:240159:240900:1 gene:GSPATT00012105001 transcript:CAK76553 MSNFTNAKPQRKYRERSQPTARQSLGILEKHGDYKKRAINYQRKKEQLQKLQLKAALRNK DEFNFRMLKSKVKDGIVYEDQNESSGDEQEILKQIKTQNQNLLKASIQQKEKLTEKLKQD LAMVQFEQPTHKFFLKEARKKSFDQVEDKIQVEAQQSAPSFELAQKITKLTETLYEKERM KRFYVAITKSKTKDNVTAKPHQRKRIKKGKGKGLFERSR >CAK76554 pep:novel supercontig:GCA_000165425.1:CT868241:240932:242045:-1 gene:GSPATT00012106001 transcript:CAK76554 MKIFSPHTMRMLIPRFSRTFLQKEIIQDPLAASSKSSNTPKIYRTKTGQISMGLYEKMLQ EAKEKSLAIPSPDADIPYNFEGIDQIPETKDSFLFQQILNENEIREKTLQTHLIKMYQGF LMSMEVADETYLNEYCEKGFAEKTLEALEKLKMRGERVVAFEDFSVTSGQPFPYQGFFVD QVMVRGLSTIRSENLPKSQYHYYNDLDKLGCVVFTPLSLQDPSNFKTKEQGDKLYDDYKK VLVRCLLRIQSPMRLKLIKQNGQELMETNDNYSYNHLVLFESECEMPPKKKQRRDSYRKV SKFQLETYMEWMRNCVPQVWKIADVDNWMRCNPLIIESHKDFQDEVFQGSKYDENVIDIR RI >CAK76555 pep:novel supercontig:GCA_000165425.1:CT868241:242514:245199:-1 gene:GSPATT00012107001 transcript:CAK76555 MQKYKSIKTWERLNKIKAPVPKYEYDNFKEIDEKFKQFEEQQGDPEFSNTTTAFGTILIQ TAVQGLQMTNAFQSLGQPLNRLSQSILKAFDINPTQLNQLKTSSERHEYIKLQMNSTIDT LLKNWSDKIILKEQKYKETDQQMKKEKINNQTKKHFKWLQLKTKYEQLKNKLRQIVVDKL NDYENQQMAAKTQNKHLMLKNVQVITTRHIFENMEKYGHSNEFHFLKSLGQDRLKHIKER TNRQMTQQFDNFNDEGQKQSQYQVVWNNQQEKVFTKQRSQTKQLFTNEIACSNWNDLGFL PILQQMTLNEKKKQQREHILNKYTDGEFLTAQELQFLANTSELLNACLDKDFFQRAANQV NPDLLFNMELSLAEQILKDYGEMKSSTINARLQYIRMKIEKTKLKLAQREKRLQKQSIDE YNMELNRKYIKVCKTVVKHMERTGKFEEKIPSEVPGATQLKDYLMGRITERQFITKLYDY VFEKERKRQYVDMNDEDNLYQNYPSKQQIASSKQIQPQSPRLKNEKNKRQEYIKQMARPK STIGVQFTQNIKRHVFHPSTKLLIDKKLECEDNELAYINPIYAQYNKSEQEKFKLGLKSH PALRQRKNFLKFYSGGPPNQPELEHWKSPNYQPTQREVRAAIMIQRKLRSLFREKKLRQK LEERRKQIYYLFKDNCENMIHQIELGQDQQVSYFYLNKQLERCKTIAKDQINFSSIKTEE NTRKNAEKKSFLLSTGASTPTTKGIQSLRIPAPSNKIKVDFLFEAVRKNRIMMIKQSHFV YSSNDVNSQNYDGITPLHVAVVKGNWDFVEWLLQNGADPYIMDQSSITPLDMSRHLKQTK IIRLFEQYKKI >CAK76556 pep:novel supercontig:GCA_000165425.1:CT868241:245697:248848:-1 gene:GSPATT00012108001 transcript:CAK76556 MQEEKFNDIQVSQHTADKLKKQALEYKKLQETFCKRFSSDANTQFYVLSTKWLNQWKQYV SYDEIVANKAPCKYFGRITLERINDDLEDNVQKCFKYYPISNHPWNTYMKQDLQENVDYV VIDKEIWEFFTSYYSNTAIIRSSNGSGKDKQVAVNLLRIKCVLLYPSIIKQISQDRMQRQ TFDSEVLQVDRNMKFKDYQNLIQRTVHTFSGNFAKDNNVRIWRYVTDQKDAYKALFNDIN KQVGELESQDDMCFDFNGELLTHQQYDTIEDIGIIDTNLIVFEFKDDYKPWCIRNQAVQI EGKCENCHVFKVLSFPCICRKVSYCKEECRQKDYNYHSARCEKHGSDDESVKSLTLSQTS AKGIVGLSNLGNTCFMNSGTQCISNSYPLVEYFLKNLYFDEINMDNPLGTQGQLVKKVGS LIKKMWCGDRQTITPTNYKKAVGQFQPMFKGFHQHDSSELITFVLDGIHEDLNRVKKKPY VETKDYDGRSDFVVAKESWLNHLARNQSVIVDLMHGQYKSTLKCPTCQQFSITFDPYLMV QLGIPSQKKRTIQFKFYKDLFQYQFINLPFDKNKSIPLKDYLKILGEELKVDYQQLFGYI ANMYTTFEFLDENKSIVDIRKNAKRSQLCFRLITNQEFQMNKKFPIQFSNKYFEQQYKKS YFQSGAIIVDGQMTLKQVHQQIFTHLKQFFIENEKVDYEKQVLNQYYSLVYKTNQNYWNP CAFCNSKNCNDCEVKYEDETVEEVKNRALKIDQQCNFEIIILWKQSPFKSAKLPDIFDHF FKSNKIEIQDNYQKNNMSSTINSNTSQGTATLQDCLQFSQMPEQLNAENTWYCKVCKEHV QAFKSMQIYKAPQILIFTLKRFKASNRLFKQKLETLVDFPINNLDMTDFIINSKTPLEYE NENETNNGENNKQKVIYDLYAVSNHFGGLGGGHYTALAKNKFTNKWYNFDDSMVSEISES SIVSKSAYVLCYQLRTDDSKNCQEKTMQSIQE >CAK76557 pep:novel supercontig:GCA_000165425.1:CT868241:249011:249992:1 gene:GSPATT00012109001 transcript:CAK76557 MNYNYYRTQHDNLRVIHQNSLSVGKLKKGIVFQNYPFNPVQGYNSTFQVRPQTSQTQPVK KPSTAQSIRHVPRAATSQSQRQPNMLFSEQPNNLEMETKPSKRFMKIYFMKTNYRTILHN LMNNKVKALPPGDRRRLSVLNIVQDKFKELTISEINLLICQHELSEKFYIYFSDSEWIPC SKCCQSPIFLVLSPQAQKTDIFCCQCSRNLQNPPQSAFQSTTLIPKQSFSQYGHSLQEQK ERQKVSRMIISGKYRKVNLKEIYNEVQEPQQKEESFNIEFPVKEKQQESTILELKDLINE LF >CAK76558 pep:novel supercontig:GCA_000165425.1:CT868241:250009:252194:-1 gene:GSPATT00012110001 transcript:CAK76558 MITKMIQDRQRKTQNIDENELDSFNQYLQKDNQQMIQCSKIKMNLGMPVIAEQLNETLAN TRQSIISQSKTRSPEKKAIVIEDIKLNIRIVFKFYTSFGNRNNTRFLKSNKFIKMLSDAQ ISPQLLSNRDCEILYASHTKNNESLTLEQFQNLIPKLAMMIYPQQNVRQAFLNLYNEYLS ILSQKILNFTEFGQQIQFVLQPINHEMKEFVMPILQQLTNLHKFIFEDQSSNLSKVQWQF MQFLNLCELLPNYINQSQAQMIFDNIQIRQPLFNLQETGNYIFTLNNFVESLIIISKAIP NLDEFTQFRLLLDKIENSQAFSQYLLKLNRTQSDKGRLFYNIEKSRLCKWDNYNQQSQIM NSSQNNYVTHANNQNTYVGSTNSKSNESRANISLMKQSKVMLEIDQQTLTSLKRLFEFYA QSGEPTNIQSLQKSKFNKLLLHTGILNNIIPQTEADVIYSKLCGAQSIKTSQKNNQLTNG KMTFAQLQSSIGLIADKCGMEVSDLIQQHLLPLEKQISDENKEQILQILVELLQDDQIIQ LYEIIQPVFNWYFQEYSKQQQNQILSLPEFLKFCQDFELSNILISQTQLTQVFYSVASLN QEGQEYSDQVYLDKSQFVETISIIAIIIYQTIPNYIQRIVYLLERIFQSQNASKIYIKQN KYRNNYIRLQAKFFGILDEIKYRFLQKESKQNENENYNFDDLVNGTIYRLQ >CAK76559 pep:novel supercontig:GCA_000165425.1:CT868241:252227:253137:-1 gene:GSPATT00012111001 transcript:CAK76559 MGQYCCVYEQNTQVQQETHSTQLIMEKIIHNITIKLTQGKLIDENVDAVVAMADPQFNVT SHLSRQILKMGGKQMYENLLSCQRKKQTIDFGDVIYTHAEELDFDFIFFALLPPLYEIDY DQQIRRQMRSFQYNGDDSIESSIQEYTGQNEKQYIQHSIKKTLLLCNDLGLSSVSFPVIS SEAQINSKCYTALVMLLTIKQFIEEKHSQLKNLQLINITIADKAYIKPFRHVLNRLTDGQ QIVDYYETPQMGPQEDNQVDSFENEIIQFGKMYKNRKDDRSKQQDSI >CAK76560 pep:novel supercontig:GCA_000165425.1:CT868241:253142:253540:-1 gene:GSPATT00012112001 transcript:CAK76560 MGVRITYRRRTSYNTRSNKIRKVKTPGGNVVVQYPNKKTSASTCADSNLNVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK76561 pep:novel supercontig:GCA_000165425.1:CT868241:254150:255193:-1 gene:GSPATT00012113001 transcript:CAK76561 MKCQEKFKNTTLPFMIESVQQLLQQKPQIQLLLQNQSLALSRKTVFSLLSLMYFELTIQQ SVQNFKFPQYFSFSTYYLQGSQLEKLKCLINYFNQCVDSPEYFNLPEIVYQRNSLVDVPN WINSQLPLSDFKFEKKKNEDHLNCGIVDFSDRYFGGKVAAGRGCVQEEVLLLINPEAIIA SLFTSQLGDKESLIISGILQFNQYRGYEDSFVCIPAKYQNKGQTLIAIDAIYFATKPQGY QFTQEAIFRELNKSFSGFQGSQQQIISTGKWGCGIYGGDKQLKTLIQWISFSQACPNGTI IFNGLDDKAYNDQGKRLELCKKRYQTVGNLLKAILNSSQKNILDKIC >CAK76562 pep:novel supercontig:GCA_000165425.1:CT868241:255349:258846:1 gene:GSPATT00012114001 transcript:CAK76562 MIRLRNIIGSALHIQTSLFRFSTQAPQQPNDQFNKKVLFAGKSADKPKKQHQRSEQKHSQ QQKKEGNENQQHEQSAPYRQQERHFDRQQFHKQKVDEPKFYRGDRDRRSPDQQQQQQQEQ QETPKKTGILFGNKSKDAKLVAAKFQTKRVFNQILKKKIENEKQNEKIFIDQLNQAQNIH NIHERNSKLIDLYASQSKWDKVKDIFKSEKQFNSGVYSAYINQTASHSVDLADYEQLKAL IKKVGDRAHKLQPEAFQSLYYMIFNLDLFPNEKAKELEHLINIVTTHHLTPQFDLTYFQT LLEEQSIENEKASHLAVIKVFNQYFERYVQQNKGKSTVDLPNLNIAFAIAVKSTILYNTN DAQNFLNNLKAFQQLAENNVKLDDLVRYAQYISLKEDSFTQLLELFKGIRNPNFIFLFEE ALKKLDANGKEVLAINKEQLEKLYFEKAENLPIRQAELFGLFADRFQYPELTVEIFQNHK QNKQNEYSSFLYQKAIGVLIDQSGGKHISNAVMTLMQEANSFKVPLSKVNYQINQCKAHI KEGQYQLAYNLFTQNVIEDIILAFQRERIRRFLYKYVQKIHIGTTFKLKSNMKTYFKKIK HVDHFVKSLSDKQLFDLYKEERSDNFLLECLIQQEDVFEFNKSITYREAFNQIKTEIAHG VFDYQKLLNPITESEQILREVFDNYEHYVKLEKKLLFNERKQKRVYKVMNQLRQRPGSKK TYEQTLSELEQQFKKSTIEYGLMRNDLIEFSEVEQVQQMQIKMRKQLSQLGLPVGKYKAN IVTFQPLQVNSQQEQEDIEQIPNEALKKDGENPKQKGKSQKTATFKNVNKLVEEYLSKVK EDQKNLRNIDKWRRYLKTMQKGIQFKYKLQNDKNFLKRMIRKTYQCGITDQSMRELVNLK LKKKMLKPRRRQLIRNRLKEKPSKLSVFINGSVSQNDFEFMKYIYYNAYTQYDEYINVPP SNYQNEIWDLLAWGVQHQEPMAVKLGELYSNTCGAKMPEYLARLVANFYKCEVGENSSEI RQRWIKSLEIVNDISTHKVYSHTNDQAFVSLQDVEFLHVLECKQEYKGICRALLNTTHSY RKNVRYGVTQKELLV >CAK76563 pep:novel supercontig:GCA_000165425.1:CT868241:258925:264196:-1 gene:GSPATT00012115001 transcript:CAK76563 MILLVLLIAYTVNSQPYDTVCGVKKAAYYQEILSATDVIVGLGTTPAATTDYIQLQPKLT NDPTTFLFDTLDTFMINLWFRPPIKHTNLADSTEPTKPEQAIFRISMDTSDESLITNQGV RLGMYYTADYTQTTQFQIRYAKASTPSVMVNSPFPYLEQKWCFGSVGLSYSKGKVVYYAF QPASPNVIVNPLPITEAVGFHSSLTSLAVMQLLYWGSAYQYHYGQVRSISILKDYIELDY KHNWFGLMFLDPSYSVSLFARFRLEEMTGQVVYNKIDMTKQGFLGTDNTVQTSDPTWGTT IGLLQFVSTQTVKLPAVVFGSTNTIFGIALWFKATAVLSGDADNVDLTKDMQVFKRNYQG TTEVLTLLFYQANAAQLGTKLKIGTTIFQPALILHNTNVWHHITCTILYPGSLTEQSQII YDITLEKGVYQAHQTTSLSNSYQESATDTIILGSSLNSFSSGNLQLSNINIFNKYTVYVD EDGTDTNYLNCNGDCQVSLNSFSSQICLICKSPLLMNEGNCVASCTAGANALSNTAGTVD LCRSCDFKCSSCIANGNCNACNTGYFLIMPAANSCVISASCGGNYVGDPATSVCTRCGNG KRDAGETCDEGNLVAVPIAAQGPGCANCAVNSPTYKCSGGTATTKDTCYLACGDHIWDTG EICDDGNNVDGDGCSADCGTIEDLYYCTPLAGPINCFKNCGNGKLESSAPDLEQCDIGSG TTGCTNCKVDIGYDCDNSNPPPTPTPANPVSVCTKLCGNGIINAGEDCDDSNNTSSDGCS DCVTDVGWVCSGTPSVCSKTCGNGVRNQGEECDDGNAVNNDGCSNCKIDTDYVCTGGSDT TADKCKAIPDICGDGIQKSTEECDDGNTLNGDGCSKQCKIEIGYSCVGNICSSICGDGIK ISNEKCDDGNVLGGDGCNEMCIIENLYQCQGGSQAGKDTCILNCGDGIRDNTEQCDDNNV DDGDGCSQYCKIESGYGCIKQTQSHDLCFKCLSNCAICTSTNTCDTCLTMFYQLGTQCYP GCPSGYYPDNNVCSECASNCSQCLNQQTCVRCNYDHYLSNGKCYSHCPIGFYDQPNAMLG NQCIPCQSPCADCDTMTCYACIDKYYLDEETSTCLPCNMGDQCLKCESQDGDCLLCSDGY YRDGSSCQLIPLLCGDGLHHPQERCDDGDIKPLDGCDEVCKIELNWDCILYDPQGPDVCF LKAPPQLTVEWSKVYTNIIYIHFSRPMKDGIDYSALTSIIIPELESLKFKEFEEVNDEGI IIQHDRSNAFIPFEYSVSSFRNQTIQITFYYQLTIQDLMANITFNNASLIQDIFDWSLVN QTSQDRRLLEETNKSESYLLSTSLPYYIYYTSGEKELSIAVAYILFVVCIFGLLSGFYYY YRIGEGRIRKTIEFVQMISMLRYINIRMGYNTEQIFTVLDYFNLTFMPNFFDLIQNDCSQ FDAPPKFQYYEYNTQMLSEGGGRFLTVFAGLLVLLGINELFYRFVPDPDIEYYAEQVHKY FRCQGLTIFVEIFFMDLVLSIVLNLFYPDFSNGYGIINFLMSILFAILILVAIVLIIFKL IRNPHIIRVKQVYDYFGEFFDGLLFTTPYTKFFALVPYLQKIMIAISLVALTVYPQIGIL VLVRLGFYSLSANVIPIYYIELFIREVAYDVSMTLITLVILAFNDDSSSKQQKIDVGWGI SSIIVFTIGLQIFFVKYAISIIDRMIPPIMPDHEEIVQQEIDQGNKDIHILQQAVEMEKM ENDFFNNK >CAK76564 pep:novel supercontig:GCA_000165425.1:CT868241:264500:265551:-1 gene:GSPATT00012116001 transcript:CAK76564 MDIDKYLETLRNARCLTERDVRLVCEHAKEIFIEESNVHQVKAPVIICGDIHGQFFDLLE LFNKGGQIPNANYIFIGDFVDRGYNSVETFEYLLCLKLKYPDNITLLRGNHESRQITQVY GFYDEIVRKYGNANPWRYFTDVFDYLPLGALVDGKVLCVHGGLSPELRTIDQIRTIDRKT EIPHEGPFCDLMWSDPEEVDTWALNTRGAGWIFGKKVTHDFNHINGLELIARAHQLVQDG YQYWFEEQLVTVWSAPNYCYRCGNAASMLSLDENLKRDFVMFKEVPESAASQPPKNVLPY FL >CAK76565 pep:novel supercontig:GCA_000165425.1:CT868241:265849:267616:-1 gene:GSPATT00012117001 transcript:CAK76565 MKNIISALKALPFENKICQLPIDDSKINKPRKVIGYSFSDVTPEQKENPRLIAHSRSAFS LINVELDVKNDENIQILAGNLVPTLARPVAHCYCGYQFGNWAGQLGDGRAITLGDVNGYE LQLKGSGLTPYSRFADGKAVIRSSVREYLCSEFMFHLNIPTTRAASLVITDSKAERDIFY DGHPILENCAVVLRIAQTFLRFGSFEVEIDLNPKNTIIPQLWDYCKKQYFGDKENPFQEI VNRTAKLVAYWQCYGFCHGVLNTDNMSIIGLTIDYGPFGFMDYFNKNHICNNSDKEGRYS YANQPQVCLWNLNRLSEALEDVIPKDQSKQIIQDSYWVEYKKWYYHIMLRKFGLIDQNQM EFTKSQCILVDSFFDILHQSCTNFTKVFQILQQIEIPHQNYIDEEVELNQQDIKIVEQLV QISAPREVYLQMRKPKIAKQQIDQFIQYAASSRQLLYMFGIDENWLQQQIQLYKEYDKLQ VISEQERQKIISLLWTDWVMQYKSETNQANRIQIMEQMNPKYTITNTVLQTVIQEVETNQ NFDLLQKVQSVIENPFVKHAEVDDLFNQICNNEICVSCSS >CAK76566 pep:novel supercontig:GCA_000165425.1:CT868241:267842:272267:1 gene:GSPATT00012118001 transcript:CAK76566 MEVELHQQIPPQLNVQVAIRVRPFNEKELKQKEGVCLETSEKQVTLLQSARLFTFDYVFD QNACQEQIYRKCVSNLVQRCFEGYNSTILAYGQTGSGKTHTMGTTGIDCLSNKNNLGMIP RVITHIFEEIEKIDQEILISCSYLELYNEQIIDLLLETSISSQPTIREEKDHTITILNLT TILVNNPNEMLQVLNRGAVHRTTAATQMNMTSSRSHAIFTIYFKINPKDDSEDGTLNAKF HFVDLAGSERLKKTLAQGKTMEEGININQSLLVLGNVIKTLSDQKKKSQTHIPYRESKLT RILQDSLGGNSNTCMIACVSPAESNYEETLNTLKYASRAREIQNKPTQNRDPHAIQILSL KQEIATLIEQNKQFQELLHTNGVKFDQIKKVSVSQYSSNIPHTCEEHLEIIQKQKQALLV WERLGSQHKLEVQKLQSLINDLEIELHNLKKKKDIIFKQFQDAKKILQKFNIPFTNYEED DEIEDLYDEVDKLKQETKEKDYKILTMQKEIDELLQDAHRDQYILCKKQKELMVLQRKLE KLDTRDNFESPIQDSEVDEEVICNDSMIKEANQQIEEFESNLQVMTIRNFELKRQLAEDM KKEFQQQISVLEAQKSSLMKQVNQKQDATQLNALKVKLQEYESKIGEMRQKEQNMRQMQK RLEEQENQVKDLKINIEKMKKQKVELIKKMKSDNEKYIKDKEEKQKELILIKKLKIQQEA ALSKLKNENCKKEVLLRRKEDEILKQRNEKVIEKGTGLSFKKSQKVQAYDSMEKQIESLF SQLISGGQAEIQIQREIIKLEQLQEEISQIEQKICQLQIKREQTSFDQNNKNSLNQIELE LSDIIIIRENINETIDYQLHKIEQLRKSCNNCNEIYTNFLTNSELRELPNWCIRTFKFVI DNWIKDHLQMQNFSQQNDEFQQIIQELQQQLQRPLQETNCNSPTLKKKIVKQQISSQPSD ALELRKQLNDQKRKYQFLQNENKNMISDLEYYKKFYTEHINKTQKDKCIGLNDQAQIPQS QSYQQIRDAYKLERTKQKELSLNLSFQKPYIQNSALKMAKVCKSEREGYTDPLSQAQEEQ ELRYDVIKSIPGHENPILCVYTMQNLLCSSAFRSVKIWDMDAQSHVVSLDANTHVKSICH WPERNAIAVSHGSQISLYDVTSFQLQSVLKSSIDEIRVMTRHNGLLVAGGKGINYAMNVW DARSNNQIHEFEKSSDVMCIQQSDQTQELIWGTFNHNVRKMMMNSNKYGQIAQMTPPHYD KVTGVGLLDNWIVSCSFGKGMRMWNQVNGQQGQANSDIHKDSILTMAIDKTLKVMYTGCK DGQIKAIRISENKFQLVSDISASVQQINSLNIINDSSLIVSGGQDRMIKIWKPSKFTFDY LKQFTPNIGDFIIEEDQYLFS >CAK76567 pep:novel supercontig:GCA_000165425.1:CT868241:272445:272635:-1 gene:GSPATT00012119001 transcript:CAK76567 MSINMEDLKKVNVDTLTAKEKQKCYEAFVAFDKNVSGYIEKDELRAVLEEMGQKP >CAK76568 pep:novel supercontig:GCA_000165425.1:CT868241:272861:273791:-1 gene:GSPATT00012120001 transcript:CAK76568 MIYLDLNPVDQGENPEDAQQQKNDNSKNGNSNADVKKSQAIDGIVKNIFIKPIQIHQSNR KRTAKSQCDYSYSDSSSSSSSISKSLQSITSPSKEKQQHDKNKTKMLDFVLDSHPSKKDI QVRYQVDGKQRTTSNKFLNQKLSKIINQKQETIEEKKEDSVQGTNLTDFQQNLKQELEIG LNRYYQKNCFNFCFRCKQVGHVENQCTEKQRVQCIYCLSEKHHGESCTNFSCFRCNRSGH RKYDCKIKLRLTFCPFCGKTSHKAEDCGIIVPVQTKGNNQIICLACKQYGHANCNIDTFQ L >CAK76569 pep:novel supercontig:GCA_000165425.1:CT868241:274595:276539:-1 gene:GSPATT00012121001 transcript:CAK76569 MKSQIEVLIHFKKFTNIDLFTQGIYQIRVQIPEAQPYLIFNSIRRDPYTTNEVDKNFVFY EENIEDKYFYSQGFLIRYEDEEMPTNIGCVFRQQETQNIEIVIELLFLDKKLLGDLFVDN LKEVALSIKQQMQIISRATLTVSNTLTYNQAYYPIEFDSAHFCLLETQIHTIPIQFSFTK QQLAAELQTQEKLQELLNQSIYLMLDNRKQLVKQLDSLQNEKKLTQLKYQEKQFDLNDRE IDDQIIQSLHDLHHDMYMLWCELVNAIKENHQKLQDQLEQEYLCQMMQRWQNCVFLNKSE VKQLDQALLNGRNNHEQAKQYRSQINSQELDAIKYAELLQPLNANPFIFKHTCLQKGFMQ KSQNSLIHYVVLVHGYQGTSYDMRYWKSILTIRFKDKIRLICPTCNDGTSNKPIQEQAQL LANEVSNFINDENVTEFRLSFIGHSLGGLIIRAALPELSEFKQFMHTYVSLGSPHCGYAS SESVLVDTGLMMIQKWNKCKTLEELSQRDHKDIKNTYIYTLSKAEGLNWFDNVVLMSSFQ DHYVPFHSALIQKIENSNDQRVQAYNETVSNILSKCGKIDRFDINFLITKKKLDKFIGRA AHIEFIDNLILVKMFIYLYDEYFH >CAK76570 pep:novel supercontig:GCA_000165425.1:CT868241:276541:277166:-1 gene:GSPATT00012122001 transcript:CAK76570 MCSWQNQGLLNIIRKIKKSEKELRILVLGLDNAGKTTILKALSNEDINQIAPTHGFNIKN LQHEGFKLNVWDVGGQEKLREYWSNFYENTDALVFVIDSSDQMRLEEGGKELDKLLGEAE LKKVPLLVFANKQDLVQALPADEISDSLKLNKITDRQWSIVACSAKTQEGLQEGMEWLIK TVQDK >CAK76571 pep:novel supercontig:GCA_000165425.1:CT868241:277171:278120:-1 gene:GSPATT00012123001 transcript:CAK76571 MSSDNPDGQPLDIEYYETNYPYLNVKKNLLNNTLSKWRRAIAPYNPFAMQQIPNQKRMGM GIRNGNGFYFPDPYPNRVNWSVFFPTHYDPLSEQHFGNHGWQTRKDAPMFTALAIRAQAL PRGCVRQIDAFKRCQNVNGVTKCQEEADNIISICPKWALEGLKEKKRQLDKIEAIQTLQY RSVLEVSPYNKGRTAKDVSDKTWADGHRDNLRPDTMWADERYTNITQSEINEAKKRVAAR DAASGRVKEQVYQVHHPDMSSSHISEDKPLYP >CAK76572 pep:novel supercontig:GCA_000165425.1:CT868241:278167:278553:1 gene:GSPATT00012124001 transcript:CAK76572 MCKHILNAQVAIRAQCCKKWFDCADCHQELEDHPLLKNIEMVFACKKCKKVFRKDITDYD ESDEYCPHCDNHYVIKAVTQESKEIQKFENLVKEVKQ >CAK76573 pep:novel supercontig:GCA_000165425.1:CT868241:279079:279993:1 gene:GSPATT00012125001 transcript:CAK76573 MTQVSKGNNSHSKTLTKLTRRTSSYVNDKYQQLWESLKFKTIHEPYDVNMLQEFFYDLVK EENFINIDRYEFPVHNKADFTHFKSYFITVLFHERDLNKYLFKLESIRMSHLRLLSINQE LKGFFGLNQILKSLFEHLRMDITLKNYVSDYESVKDIWLEVSTNILQPQDDNQKLHKQIR NYAETNKLNHVHFFNFKFLLYKVLLKHKISMRGIKKVLKLLDNWKSTVMRCERIMELILC NCTKIAKSLQISIQCTVWQLTKSMKLFKEERQNLYQNIYTTMIIDQLRNVICINTIMFTN QCKK >CAK76574 pep:novel supercontig:GCA_000165425.1:CT868241:280520:281100:1 gene:GSPATT00012126001 transcript:CAK76574 MIQSEFKLRVDIALQCEQYKNIFYSCFITSSAYPTYNDDVVMNQTNSIIEAYQTIESPEV RYEIQMKASKRIKIDAIQYCILQQTGLTAQQMYDESEVGQFNVTQYYYLMNDFDFSQYQN QSAKITFDEAEESVWRIMEISKQNGGKLLALLNTLIIWSFHTNLKSLVNQQTNNQIFRN >CAK76575 pep:novel supercontig:GCA_000165425.1:CT868241:281262:282061:1 gene:GSPATT00012127001 transcript:CAK76575 MSICEICFIRDKLIICPKCSRNVCSLCSKLSDCDLCILIEMKFAFLYQQHKSQTEEQAYQ LQQINEKINTLNQEISLIQKVSSHLDKHENKKSDSLEFQMSETIIDKQHELEDIEGEIEN QKVKQIDFSMILVDEGNEELNALKSQQLKLSTQNKELDLKINEAEKEYEVLQETLNLKKQ QIYQILQTLKNKTSLLRGSQEEKHYSQSNLALTLPGKQQKAISGEEKSQNPGLCAGQCVV Q >CAK76576 pep:novel supercontig:GCA_000165425.1:CT868241:282095:283865:-1 gene:GSPATT00012128001 transcript:CAK76576 MDTLKDPANDRHVKTLKPPPHRPLMKSLMFPDKLKNKPDWKLLKDHLQKEGRVSKEDLYK LVGDCNKLLKNEGNVIYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPETTKYLFLGDFVD RGSYSIEVIILLYAIKLNYPNTVYFLRGNHECRQLTAFFNFKDECLYKYDQETYDMLMDS FDLFPLACIINSKFIAIHGGISPDLKSLEDIKKLDRYHEPPRSGLFCDLLWSDPVDQDQG NMDGQWKGNEVRGCSWFFGNDAASKFLQKNNLISIIRAHEAQLDGYKMHRWHGGKDFPVV ITIFSAPNYCDVYNNKGAVIKFENNTLNIQQFQYTPHPYLLPNFMDIFTWSIPFVAEKVT EMLYNLLQVGDQVDDEEVNEEDIKQFKELTQQNKQFDKQSTTGSNNAKNTEKLKKKILFV ANMIKMQKTLREQSESIIQLKGACPDKRLPRGVLTAGKSAIMDALADFNVAKNADIVNEK MPTMAQSPQQSISINKSTNSTTSKTKKK >CAK76577 pep:novel supercontig:GCA_000165425.1:CT868241:284653:285992:-1 gene:GSPATT00012129001 transcript:CAK76577 MPKSHSSSSSGSSSSSEEKKRVKDLNQKKSRSQKSRERSKEKSKEKKNKEKTKEKKVKNL LLVQNISRNVTQDVLHEIFSTYGKLTNVEMQFDEQNNMLPLLFAFITYNDEGDASQATQY MHRAIIDGKKIKCQSLGLDRQKHYTEKIKNERLDKLRQREIKKEKELKQKEEYARAKYRE DKQKNENKDLDKDKDKEKDRDKDRDKELQKKDSDQQQEQQKLKEKEKEKEREKERKEKER EKEREREREREREKEREKEKEREREREREKEREREKEKEREKEKEREREKEKEREREKQR EKQKQKQKREKEKEKEKQERIEREKKKKQHSTSRKRSSDRHHKRSSSRKRHSKRRYSKRS KTPKRKQNRKESTSSSSSDSSSSSSSSGSSSSSSSSGSSSSSQSDDKKQPNQNQKKTSSS SSSSDSDSSS >CAK76578 pep:novel supercontig:GCA_000165425.1:CT868241:286448:287687:1 gene:GSPATT00012130001 transcript:CAK76578 MQQLPAHKVYEVQESKETADAVAYAFLSNTGEPVPYPYKYTKIADDDVRIRILFTGLCHS DCLHSRGLWDPYITYPSCPGHEVVGSVEKVGTGVTKFKVGDKVGCNPQRWMCQTCEQCKK GDNQLCSQNIGLYDDNNFGGYSTHIQVAERMVFRIPEGFNDALGSPLLCAGVTVYAPLKR FYKPNYTCAVIGIGGLGHLAVQYAAKLGMTVTAFTTSKNREQEIKKLGASNLSSSIDKES LKTEQGKYDIVINTLYIEDEEVFKSHQRLTAPTGTYIQVGAPPSKVNFKLDHAYIILNQI RVAGSLIGSYDETQEMLEFSAQNNVYPIVETFEFEEFPKAFDRMEKGQAKFRCVVNVGKW AQANGLWK >CAK76579 pep:novel supercontig:GCA_000165425.1:CT868241:289091:290130:1 gene:GSPATT00012131001 transcript:CAK76579 MVDCNICYCNYKEEECYTLPNCLHQFCKSCLSEQLKTKIQSQQIDLSDFKCPQCGRLFNP EIIEHFLSPELYKKYCDYAFQFNKIMGLEDNELLTNCLNEKCIEKFIIWKDAEYMQCPSC KMKFCRKCQLEYHADKGISCEQQKELHKDQFYIEMKKNLKICRCPKCNNMCEKISGCNFM YCRCKTNFCFLCDVELTEAYHSSHFQKNDPYNSPCRVWYNGTWVDPDKVPKVEPVKEEAK VVQVIPVEEKENKFPCPNCGSKNKDVSQLQFFDKVVQCQSVKCQNSTFCISCQKSVSNND IIGHFNQQTLLCKFK >CAK76580 pep:novel supercontig:GCA_000165425.1:CT868241:291876:292870:1 gene:GSPATT00012132001 transcript:CAK76580 MVECSICYCNYKEEECYTLPNCLHQFCKGCLSEQLKTKILSQQIELSDFKCPQCGRLFSP EIIEHFVSPELFKKYCDFALQYNSIMGLEDNELLTNCLNEKCTEKFVIWKDAEYVQCPSC KMKFCRKCQLEYHADKGISCEQQKELHKDQFYIDMKKNLQVCKCPKCNNMCEKISGCNFM YCRCKTNFCFLCDVELTEAYHFTHWQNDDPFKSPCRVWYNGTWVDPSKVPKVEPVKQEIK VVQVIPVEEKENKIPCPCCGNKNKDVSKLVFFDKVVQCQSVKCQNQAYCISCQKQVQVND IISHFNQQTLLCKFK >CAK76581 pep:novel supercontig:GCA_000165425.1:CT868241:293449:294059:-1 gene:GSPATT00012133001 transcript:CAK76581 MLRVFKAKTREYIKETIELSNLQFPWKFGGYDYCFHPRFPHEPKDGLLNGFTDWRRIINE EKLQLLTTEYFQKINDQQPLRLERIVEHSLMSQIYRELMRTINIRQNTILDALIFKFTTL KIFFVWEFIWVDKEISHQKSGISTKISTDMIFFVYGEKGHLQQIKQLFPFLLMSHLKLKD QLQF >CAK76582 pep:novel supercontig:GCA_000165425.1:CT868241:294096:295616:-1 gene:GSPATT00012134001 transcript:CAK76582 MNQRRSVSLESKKHLTNSTFRTTQLSTPKQDLNTYKPFSPSKEAKLQLFRKIFHVKPTKT STVMNFYDVDTVTPVVCQSAQLDKYDFPNWLKGRTDFTKLRKSKYFDYHLKVFSICEQDP FKRSEELKEVVANYLAKLDFFRLMPMQMLKQISGRLFAKSYEENEIICNKGDKGDCMFVI FDGFVEVIGKNKKLGPKNIIGRNALDNDQPRNATLKSIGSSHLLILNRIDYVTILNNIMK SESVKQEQFIRSLQLFAHFSEEKLKKFCNALQGKYLTPNENLYSAGDLVDHLYIVKHGIL AKTVVMDLEDQNRWPINQKKWMSQTITRTLSFNIEYKAGSLVGYYDILDSEFDSDRKRNE TIDAKTDCFLLFISKSQFFHVFQQTDLQYFHNYHVQNNPLTFEQLVKSTRQKESEQKQKV QIVTDAIYSHLRQYSFDYNTLKIKEKKYDQVKQNQVNASKEFNSQYKIIRRDKHLKILTS QYE >CAK76583 pep:novel supercontig:GCA_000165425.1:CT868241:296215:297285:1 gene:GSPATT00012135001 transcript:CAK76583 MNTVCQQKTATPCRFETTQSYLLEQSPKIQYRRVNSPGLPDCSFRRSHKSSVDKRNYMTL GQMDIQQLLKPTRSLEESPEQKLKPYYVKGEDIIDHHQQSFNPQQYMSIKEDKEIQVEEQ PFESPPKMEVFSKPQLRKEMKMVDKIESDKVSLEIFMSEQDENSLIFTGITKKLVPSPEE NKQILMQNLQQLFTSIDKSANQNKFQNYIKMESLEQSVFHQHLNKSTQQDFLSVSSAQSI KQQKCSSQTNFRRNSAVAPKQPSKWNNSTKADKMKNNCFSTKQSKSPFLKAQNQKMSISK PKLQQINLNIQSPQKMQLHKNQQQAQQNRSTVQKVRSFHITPEKIDDCIYDERFYK >CAK76584 pep:novel supercontig:GCA_000165425.1:CT868241:297717:298730:1 gene:GSPATT00012136001 transcript:CAK76584 MQMNSEQTKQLNEWRLKYVKLNPILLIFMGLVLIAFGIVSQTVDIDYEYISTRYDEICAS KNSCFVNKINIDVPSKMESPVFFSYEVSSFFQNTDKYFNSIPYDQLYGDSDFDTSICDQY KTNQEMGKTLSVTGKALAQDDIAIPCGIAAYSYMNDEFSLNKDGKQISITDQGISWESDR EKYTNINLDKQWIDMESERFINWMRPSPLSRFRKLWGRIDQDLEPGIYTVNIQIKTNDAF AETKKYVLLHNNGQFSDPILVITLPCLCVGPFLIIFGIINLIYWGKKQNLVKKYRIY >CAK76585 pep:novel supercontig:GCA_000165425.1:CT868241:298796:298981:-1 gene:GSPATT00012137001 transcript:CAK76585 MQVEFELKNILYCQCSKVMNLMHFQEYIRDQTLECFLLFPSSCDQSRIQSNLIFDQRRYK Q >CAK76586 pep:novel supercontig:GCA_000165425.1:CT868241:299350:300171:1 gene:GSPATT00012138001 transcript:CAK76586 MSYQNHSQKFPFDNSLQQGQPQAPTFQQVYHSQQHQMCGFEQQQFPSLNQNGYGYYVSPQ SNIVIVCSVPQYYIPPQPPTPLDSISSNSISLNEEGTKKKKASKSDKSKKDKRKKRKRGF IVVLIIERQQQQFFFYSSRSHSKQKRKHFTFLHSEDNWKCKYCYNINFRHRSECNRCKKS REYAAKNEKTKRYVPNPDDWKCYSCGNFNFARRRMCNRCKKDKSSASIPQYSD >CAK76587 pep:novel supercontig:GCA_000165425.1:CT868241:300239:301776:-1 gene:GSPATT00012139001 transcript:CAK76587 MKIQFQLIYQAIILIYTYQILQKWLNLCICFEYLSIILLYSIHNTYLFGINYRKQIFRCI NIKNTIYMKIPTNFWKLFYMNSILMMHLSLCLALTALLPQIAEQNGYVDLGNYSILAIYM AEFFFNILSPAYLKNRKFNIAFTFQALLVVPSFFASDYLTNCRNGDDSTWICKPQILSPI SIIFTFILGAGLGGYFVVQNYYVSQCATAESSEIMFSTTYILMGLSSMLSGFYSKLMLKL VSRSTFFWVTGFIELGLSMLFLFIQTPQKTEMLMSGAKVNDSEIERETNTKEDSIKQNIL DMYKVFLDKSTYIYYPLFWMTGVIIGFEYGLLYQFINKSLEKNNYDEQTINEKTAEVYLF VGIAQTFGALINGIIKKTLKSQTSIALYTNLLGIIVILALVESFIDSFKIIIVLGFFLGL ADISGQFNSAITISEQYKDALPVYGIYFCFQNLSIALVIIQATQLEYVHLGYNLFLIGIS QIVVNLAMHYVNRKQAE >CAK76588 pep:novel supercontig:GCA_000165425.1:CT868241:301818:303354:1 gene:GSPATT00012140001 transcript:CAK76588 MSQLKNQKNPAGYENNFHQKGLNEQQLVSHQSKVLSEESPFNVSKILKAKQQQSNIFHIP QKDKIQIQAGANQNAEKLLPYDIFWWNSQNVKGNQKPDQLSYQIPNSQIIQSRPQTSPHQ RVEQEQVPNYSDLTEEQFIQMQQHQYQLFLQQHQQNQQHQQNQQNQQNQQQQKHQSKSQE QQNGRQKQQDLNVDQKPNAYNLMNENNQMIQTASFNQKQKSVEPQSQENEVKKQQKQEKQ QFKKEQKKQILNQLQNPEKLYNKINNPNIELKQKNPNFSDVFGNGLEKYYQQKPQQTSVK RPQQDQLQESELYGINYHQRQLLKGMSSQMDTHKYYDQMLDKQNQNQIKLQQPKSASKSP QGSPGKTLEKDETLFTKSFYTELTIRGMGKEVNWEKLTNQLNIHQMNIADIDVVKNKQGQ IKQHKIIIKHLDSANLQNVKQWVVTQGGRIVEEKQIKQDEFLRLQQLKEGSKKRPLKISE EKEKLKQQQRKRPASAIPSQKQKK >CAK76589 pep:novel supercontig:GCA_000165425.1:CT868241:303388:305465:-1 gene:GSPATT00012141001 transcript:CAK76589 MQYNQYSRIKPLGEGAYGKAYLVKDVKDGSLWVQKQIDINAMTEKEKIETYREFKVLQQL QHPNIIKFRDVYITTQGKLCIIMEYADRGDLAQIIKNQNGKQLSENQILDWFTQISLALK HVHDRKILHRDLKCQNIFITKTNRIKLGDFGIARVLSHTLENAKTQIGTPYYLSPEIIES KPYSYASDIWSIGIVLYEMCMLKPPFEAESLAFLCLKICKGSFSNISSIYSQDLNAIIKQ LLQANPNRRPTINKILKLPLIVKRIKEFLSQSIQQNEFSHTVLHNQVKLLFQIKRLDIAT KIDEIKVLDDLPKPPSLVNQQSSGPIYQNPVPLVKANNSTPNNIQNTPKPSQPKQVQQPQ KPLASKRERSFTPQKNQIQKKNNIQVSKNNPIINLAKVDNKKKVDQVQSTNQIPQTKRDS QLDQNPKKSKLANNVSSPSLQQKQPIQKPLLQQQPSQQQLKQQQPQQKIESFENINEYDD TEQDEVQMDYGIKFDQSDDKNASEDAKIFAKMEEALIEKEDVDEQFEQTKKRIMESEGQA DILVEEEEQEVQDSVKPVSESSQLSFLGKSNGVLQLRTLLEQVLGANKTQQAFKILLNEL NTKPLEDVEKHGQNYYQKLLPFLSLEERKNFVPLLFQLIVLTQ >CAK76590 pep:novel supercontig:GCA_000165425.1:CT868241:305628:306506:1 gene:GSPATT00012142001 transcript:CAK76590 MQQHQSQPMIPQAYHQPQRNASNSPHQRVVPPLPLTPTHSQPKQYYDQGDKDNHYSADMR LQNRQSSDLPNGQSYQNMTVRLQALERENQSLKKQLEKANNQIQILSQKLNQHPTQSLLK TTTFELVVLQKAVEQLESLKSLLAKKKLQGSNNQTALPESFKDVASEFKSKVTLVEDRAN QSVQQSQAKLLFPKEHLIQNYQSHPPCIEEAKEEPRQGRRILANTQSDQVEDRIKISPIK YLNVKACGNQMSLRISPKGRSEISQH >CAK76591 pep:novel supercontig:GCA_000165425.1:CT868241:306566:308840:-1 gene:GSPATT00012143001 transcript:CAK76591 MLQQSQPIHHSVIKYDDDDVPLMFEVLPFEGRGDYTYEEFQQLQERIVSHQQMMENYKVN TWETSHYYETITVDKCKSAFLDSNEEYQGMITMIEMFNAEVLNAQQRNARIRNGMWRLQF FRSLASIIREISFFTRNRELQAKFITRCYNWALETITKVDYQSRKLDSDSGPTRPPTGQT KRPYSSVTQAKRPQSALTIKSMRPQSGYPTTVIDGYAETTIEQTHPDRPDSGVTGTIRID QMESQYSKGTLLPGDIIQDQLHDYQNGFRTKHDSMPPPDQRLTNYKRRIIQNFQAPRPLS AVDNTDKIKMKSTFPKPIKSAKPKAIVEEKDDEVFQGDLADNNEEVLLNEEDLAARQAEN EAKLIIQEEEAKKKERTLEPQIKVFLNDEQVENNQKPRNLDFETRDGFQQYQNSNQPDEL NVQKKYQKLRNKEAQQKREDEELVAMMHQWSSNKARIEREMNRRIESATYGNRFRELEMK ERQNKEKDYKELDKIQKNHHLSLSKNKPKWEDDLSDLSDEEEEEMIQNNIEIDGQTGLID DDGQKRQPKRVRPQTCQPRNLNEKLPNYQKEPKFMNNTDFRGLESQISKHNINKVKKLHG NIIGLQEEDEANRLKPEQSMSIYGKQLPLTQQQKRPLSAILAAQPLDQVRYDQLQEIEEI KLRLAKKGVAIPVQKLVNALLIPDPINLKDPEGHSLPPPGFGMKVDPFAKKKKSKKKKKS KK >CAK76592 pep:novel supercontig:GCA_000165425.1:CT868241:308965:310172:-1 gene:GSPATT00012144001 transcript:CAK76592 MSKRLEDRVMLKNQNPNYLLDSNYLDRKKQFNFNIYDRQSPAERKSTDSGQGRYYNSYYQ RPMPQRSPDEYKPFANHQLSKVPSFLNYDKGEKPKRFPSNDIQQQVNSFQYLPKQQYSSM HDREQSQKRKFYLNDIVQVQKRQQSPTAFSKKQSLIDVDRTWMFANQQQHSFFSPKNNEQ PKLSKNASDYISNYRPFTSLDVQNDKNMVKNPSQKLQPYLNNNSKFDIEKLRMELEQYKR NNKSRVGDGISILKNREQNKDHSSTYIRRDVSPKLNFNYIKPKAEEQNKDILFNYRQQKQ NSPPKQVQSLKASRSELGNPKKQPFQLIYSKNDQQGILSENQRFLQDRRKTPETNRVEEL RKQLYSTYKPSNRELFFESLNKSTPYNKSNVLY >CAK76593 pep:novel supercontig:GCA_000165425.1:CT868241:310305:311432:-1 gene:GSPATT00012145001 transcript:CAK76593 MNHYSPNQPDNEEEDDIDNSLKDEQKESKLDFKDHVDHEVQNQQQTVKKTEINLTSCILH NQKLESNSDSSRRKNEMAFRNHEDLLETLGSRSNCNQRERRRKHIFKSGAYYEGEWIGQQ RDGYGVQVWVDGAKYEGDWKNNKAEGMGKFWHLGGDQYEGQWKEDKACGKGKYLHANGAK FEGEWLNDQPHGYGIEIWVDKSRYEGNYSHGKKDGFGKYYWNDGSYYIGNWTNNLMEGFG VHYWADGRKYEGWWRKSQMNGRGTYVWPDGRQYSGEYTNDKKQGYGVYIWPDGRKYEGYW VNGKQAGKGRYVLSNGKSQLGLWEGGRRLKWLEQDEDIKPQGWDDYADPKLNEFSLAGS >CAK76594 pep:novel supercontig:GCA_000165425.1:CT868241:312227:312743:-1 gene:GSPATT00012146001 transcript:CAK76594 MINKENFLNKAIQRIRLDEITVKETYFQDDLNQEDRLKEFEQKYHSFRDNFIEYKPNKSK ADNIDNQQKLIQALFPGIIMEDITAGILANVTLLYIQEIIETAKELAVKNESLKISQNDV IEAVRIAEYKNQYELEEEFSRFFQ >CAK76595 pep:novel supercontig:GCA_000165425.1:CT868241:312781:313719:-1 gene:GSPATT00012147001 transcript:CAK76595 MQKTQIEQETGINYTWNNEEDQKLKDSINIYGIQWNKVAETIPGKTESQCIQRWHMLQNE QQAAWSKGDDEQLMDLVLKYGENWSDVAQIMKNKTQSQIKSRFNQLNFQLSNRPWNQEED NQLLLLFEQYGTKWNQIAQTMKYRTEIEVKNRYYSKHKLSEHQMTLNLKISDSEIEKQEE MESKTFKTEFKCKQELQTIEPQPIKKVDLKQSDQQQKDSNISYLCPRNFIFKFEQLFDSS QNEPKKIVWIPVPIIRITKRNPTTNDNLLEQSVDDLKQEEELQTRKN >CAK76596 pep:novel supercontig:GCA_000165425.1:CT868241:314275:315384:-1 gene:GSPATT00012148001 transcript:CAK76596 MNMFQLLFTKFADILLSSRTYPFLQCQPSIQNQTKEMGVIWTKEMNANVRQLLQNNWRTS QQNEMIVQFFIENDGNSYLVEEWCLIQQQATIPNQLTNKNSNSLLKQLTIWLRSAIALMT MTTIFQEELITKQYKVSHSLLFYNKNTKKRSDWIDDSKLQVKKITSKFLNQQNFQLTVAQ QGALEQVLQQRMNRTDSPLYKRCRYMSEQICDRDSQVFQRKMTMDSEQLDQQKSNLIDNT SPSSANNLNRSFISVYSKKEEVELLFTPEEAVLKLQDKNFLDEDYEINMMTNDHVQKEIF ISQIMITNKLSTEEKNCQQQTLSTLKNVHKQSKNKRIRSLQITKLLSFYRQLS >CAK76597 pep:novel supercontig:GCA_000165425.1:CT868241:315692:316436:-1 gene:GSPATT00012149001 transcript:CAK76597 MSLNTTMPYYRNTSREIKTVREIIQAEAIKSARILSRDKVKVKQNTSIGDLNRSKRKTNN SFYDNRNISQNENTNILQSYLANAFQEKKEHLQRIQMQEITNKNKLEENKQKEIKYLMRI AALEKESSKLTEMVSKLKKENTSLKQSSNKESTVLQLHEVLNQSRIENQILKKQLTHLQN TNNNNLNIGIQKRADTQRSVTLNTTYTANTANTTNNTFNDVLKSKEHIPSYLLALSLAD >CAK76598 pep:novel supercontig:GCA_000165425.1:CT868241:316510:317817:-1 gene:GSPATT00012150001 transcript:CAK76598 MNNLDQSIQSEFNEFTAQFQQQEQVINNPESLSFQNKSEQVYQNQSIQFQSAEQLQSYQQ SFNNFQDFPQVELLATSQNETEQYLNISFQDIIQLLFNNQCPQLNNVLKTVIFRSCVIIN VFTILNLIFIINEVIALYFSLITKDDIRELFSVYFGFNLISDCLVIYINCQLRYVINEAE QIKHGDITHPIIEYLKYTIKLNSPFEDIMEDEEQNSQAQQVAISISEKISTSKWISYLLE SQNSQIFALNRVCVLVKIILFAWGNITIIQWAILNWNGKMKSMDQFEPILIILTLVSMLI GYMVIAMIICILLIICASIPILIGVAIWQSCSWCTDLYYEYKQQRIEQQRMGFLENLNSQ KFQDLKNQDTNIHEECSICLQTYQIDDNCVRLPCNVDGGNKKINHIFHDECIRIWIQDQG SCPICRTIFIERLED >CAK76599 pep:novel supercontig:GCA_000165425.1:CT868241:318179:318871:-1 gene:GSPATT00012151001 transcript:CAK76599 MQPKKMSSFLDSIKQFFNDAPSDEIIYNEATSSLPTESKLSKQSSQQSTKGRSSDPNVFK IDNEDEANQIYNDEDEDLESQTMSEMQKEYRILILYNFKQVGKPLDSGYQKKQIINFPPG STFRVIKPIKTHKSLMDYLSSETERYLALDGGWIYFFQLISKDKYLVSSANSLNNLTQIL IKKNEAKPTFRFIFTTKQIKRFYLVNIEQQDLLFLKLKTECQKHGRSFNY >CAK76600 pep:novel supercontig:GCA_000165425.1:CT868241:318938:319757:-1 gene:GSPATT00012152001 transcript:CAK76600 MFKEYFYDVNEMESSSKIQKNAEKYIVKHLNHVVADMDIKFCNDLSLNKLLRNVGEKQIL KEDCEYIWTRYHIQRYLNKHTIFRDEEVIEFKEIQELPQKKKSVRFEEEQAQLQQVNQFN ILKDRVRTGGIDKEKRDRERAEMELKLFENIDRIKEISDIDSFKPLKYIVQFDEKGNIML DNAELKDEDVEKLQGKQQQNISFEEFAEDEVAIKQVDVKKFNQKKSSSESSEDQESDSED SEDDYLMQQRNLIKRA >CAK76601 pep:novel supercontig:GCA_000165425.1:CT868241:319875:320588:-1 gene:GSPATT00012153001 transcript:CAK76601 MNSQKSNKTDILSKVAQLVKKLQHRELPHKMIEAAVLSRKTSVPKNTKLLLQQEHIVKQI TITQRAKGKQQNQNQCQSHHELYNVELLRRSPEQKEQKTEDNQEQRFVQQKLPQIKSQKG FSRSSKNIAPIQKNVIISYQTATNNSITQISNIQNTSNQQQDTKPTIKVNNFNNVANLLQ TTTVLSKQPNSNETEKKSQAIISSLQQPLPKQTAKRLPIPDSSNLSLSGWTDRSPIN >CAK76602 pep:novel supercontig:GCA_000165425.1:CT868241:320625:320885:-1 gene:GSPATT00012154001 transcript:CAK76602 MNADEITFVSIFHNEFKANNYSETLFQDRQLRFAYHQPIRSQSQLSVQKSRSQYVPNVSS VARVRIMSKLEMLDSLNKVQTAKLCK >CAK76603 pep:novel supercontig:GCA_000165425.1:CT868241:322626:324655:1 gene:GSPATT00012155001 transcript:CAK76603 MAQTPAIGIDLGTTYSCVGIWVNDKVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVA RNPQNTVFDAKRLIGRKFAESTVQKDIKLWPFKVEAGADDKPMIVVKYKGENKKFHPEEI SSMVLTKMKETAEAYLSKQVSKAVVTVPAYFNDSQRQATKDAGAIAGMNVLRIINEPTAA AIAYGLDKKAKHEEHVLIFDLGGGTFDVSLLAIEDGVFEVKATAGDTHLGGEDFDNKLVE YCCAEFLKKKGIDIRGNPRSLRRLRTQCERAKRVLSSANQTTIEVDALDANEDFNCTITR AKFEELCMSMFKECIPPVEKVLKDSGISKNQIHEVVLVGGSTRIPKVQELLRDYFNGKEL NKSINPDEAVAYGAAVQAAILTGQGNEQVKDLLLLDVTPLSLGIETAGGVMTVLIPRNTT IPTKKSQTFTTYADNQPGVLIQVYEGERQMTKDCHKLGQFNLDGIAPSPRGVPQIEVSFD LDENGIMNIHAEDKATKKSNKITITNDKGRLSKDDIEKLVKEAEKFKAEDDIIKSKIEAK NSLEQTTYQIRNTIKDEKVKDKFSAEEKTKLESLVDETTKWIDANPNAETNDYKNKLKAL EEVFHPIMQRVYQATGGAPPGAEGAGFPGGAGFPGGAGFPGGAGFPGGPAGGPTVDQVD >CAK76604 pep:novel supercontig:GCA_000165425.1:CT868241:324796:325443:1 gene:GSPATT00012156001 transcript:CAK76604 MDKENAKLAQDYSAQNNIPLLQAQQQPVNQYYSPYSPQVQQPQQQVNQQPYIPNPYQGIN LQGDQPQANQQLQQQIPQQQQLMQPYQPNPCQQQITGIVLQYPYIPYNPQILQNASGYRT PALVQCPYCNQHSVTTITYKPGNDTYWMAALLCICFGFLCLIPLLSGDCKDVYHQCSYCG KVVGHTPYKACQ >CAK76605 pep:novel supercontig:GCA_000165425.1:CT868241:326156:326656:1 gene:GSPATT00012157001 transcript:CAK76605 MKNSIENSNQLTVCQSNSQLQKVTFVNLAQSVQINSPLQANILSHSLPITPNNGDQADLI QEIGLPKILTRKMTRNDYLTTANGHKTKQEVFCNNCQRINMTRLETEYGLGAIQVTCLLI FLFWPLCWLPCVLKSCQDIIHYCPFCNQVVGRTRYTFC >CAK76606 pep:novel supercontig:GCA_000165425.1:CT868241:328028:329303:1 gene:GSPATT00012158001 transcript:CAK76606 MRQRQTYQPNYLQISQTEKPKRYVHSYGKELKTPDSIKPLSALMNLRLFLYKTKQKLEYK QASQFIRNDPLVKEQPSFLYNKFQIEQDNQIKFQAYLDGDLQAEEYLTLQNYKRLYKITK NDNGSFDNSNDDTPYYTQRESALKKLRERIIHRHNMNKRKRGSEISSQVDTSKCDTPSIL LAKQLKKVIIRKHMNKINEELTAENTLKNLKMVQNADFAVQQLQQTLEQDKSIQSSVQKK VNSTKHLKIITRRNKTEISEENKDSRIQLSNEINNLANKTIYESQVKDKQKQLKSKTLKF SNAKMNQIFMQKSQYSFRDQRNCITDRSQQSYCQKNNSKQKLHYQGDEKLEERDDSAESS LCSLFEKKINHLYNQSILLKKNISTKDSMIKRIKHMQSFNQIVGEAVQTNNQKLYQI >CAK76607 pep:novel supercontig:GCA_000165425.1:CT868241:329329:329679:-1 gene:GSPATT00012159001 transcript:CAK76607 MSWYKQLGNSVKELRFVFCQSCGRSEGVRNLVTKNYWQWKETNPKFPFVVRECESIDPYI LVRYKYGVEKKALIGNLNEAELEQVLGQLVAQSNKVNSTI >CAK76608 pep:novel supercontig:GCA_000165425.1:CT868241:330255:332052:1 gene:GSPATT00012160001 transcript:CAK76608 MIRGYTSVLRKSLWYLPSMGFSKKLGIGEASSVLEDKIKNISQLNDIKEYGTVISIGDGI ARVFGLTQVQAGEMVEFSSGVRGMALNLETDNVGIVVLGNDREIQEGDIVKRTGAIVDVP IGMEMLGRVFDALGNPIDGHGPVKTNTRRRVELKAPGIIPRKSVHEPMQTGLKAVDCLVP IGRGQRELIIGDRQTGKTAIAIDTIINQKPNFDSGDKNKQLYCIYVAIGQKRSTVANLVK ILTQAGAMKYTIVVAATASEAAPLQYLAPYSGCAIGEYFRDNGMHALIIYDDLSKQAVAY RQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNAENGKWIINCPYQLLKHKLVMSQPI FQPTLFLSLSQLFFKGFRPAINVGLSVSRVGSAAQIKAMKTVAGRLKLELAQYREVAAFA QFGSDLDAATQQLLNRGAQLTELLKQKQYVPMCAEEQVCVIYAGVRGFLDKVQTSEIAIF EEKFLTHLRTNYPAMLERIRSTGELSKQDDAELKSILEVFIPEAGLAMKQ >CAK76609 pep:novel supercontig:GCA_000165425.1:CT868241:332052:333095:1 gene:GSPATT00012161001 transcript:CAK76609 MQITFAQLRPLQLGQSLYVTGNCNELGNWNPENAIKLNYQMTDLWIGQIDLNLEFVVEYK YFVAQTDNPKQDIKWDDGNNRVLDKMMVTEQLKVMTFNIRYDCKEDGKNDWNHRKSVVYK LIRKINPEIFGLQEVLAHQQADLQSNLQGNYNFIGRGRQNNFWDDEGCPIYYDMLKYNIV QAEVFWFSDTPYVAGSKSYGNGFPRICTYVKLLHKMSQKVFHVYNTHFDHENKLSQIKSA EQIKRHIQQYCSPEDKIIVMGDLNSLPMSDAVKILTSPIWQGFTLQNTMGVLDMPLATMH AWYGLKLGLHIDYIFLGNLKYKSMMIVNDLIDGQYPSDHFPKVVVYE >CAK76610 pep:novel supercontig:GCA_000165425.1:CT868241:333235:333674:1 gene:GSPATT00012162001 transcript:CAK76610 MSKYIYTFGDGKAEGSAAMKNLLGGKGSGLAEMNLIGIPVPPGFTITTDACNLYTKNGAE STFALIKADVEKALHQVEELTKMEFGSAENPLLVSVRSGARVSMPGMMDTILNLGLNDIS ICMGFIQKIHSNVQ >CAK76611 pep:novel supercontig:GCA_000165425.1:CT868241:333687:335983:1 gene:GSPATT00012163001 transcript:CAK76611 MKPTTKEDIDPFEEIIEGVKHAKGVKQDTELDVDDLKTLVEKYKVAVKAKTGKDFPQEPQ QQLWRAIQAVFDSWNNDRAKYYRILNKIPEDWGTAVNVQAMVFGNMGNTSATGVGFTRDA STGENIFNGEYLINAQGEDVVAGIRTPQQITLIGSKRWAELQGVPEDVRKNQFPSLEESL PETYQELKNVQEKLEQHFKDMQDIEFTIQNGKLWLLQCRNGKRTGAAMVQIACDLLREGK IDEKTALKRIEPNKLDELLHPIFTPEALKTAKFVAKGLPASPGAASGQIVFFADEAKKFA QSILVRIETSPEDLEGMNIARGILTARGGMTSHAAVVARGMGKCCVCGAGSLNINYKQRT LEVNGKVYAEGDWISLNGSTGDVFEGKLKTQEAQLSGDFGLIMDWAEKYTRMYVRTNADT PKDAQIARKFGAKGIGLTRTEHMFFEGERIKAMREMILASDLAGRQTALAKLLPFQRSDF EVTIRLLDPPLHEFVPHETAQQQELAQDMGLTLDQVKQKVHELAEFNPMLGHRGCRLGIT YPEITEMQTRAIIEAALNIKAKGMDVKPEIMVPLVGNVNELEHQLKVVKKTAETVFQERK DTIHYLVGTMIEVPRACLVADQIGQIAEFFSFGTNDLTQMTLGFSRDDAGKFLPYYINNG ILKVDPFQVLDRQGVGKMVELAVKNGKSTNPNLKVGICGEHGGEPSSVEFFHIVGLDYVS CSPFRVPIARVAAAIANLTYP >CAK76612 pep:novel supercontig:GCA_000165425.1:CT868241:335983:336328:1 gene:GSPATT00012164001 transcript:CAK76612 MSDVDIEDTINRIKNHKSVQGIVIVNIEGAITRTTYLNEKKDEGDTIAKSIPILAQKARS LVRDLDPTNDLAFLRIKSRQNEILIAPDKDLLLIVIQGPKKQGEDDQ >CAK76613 pep:novel supercontig:GCA_000165425.1:CT868241:336732:339680:1 gene:GSPATT00012165001 transcript:CAK76613 MSKQNLSNYIKFLNHLTELEQKGLLTSKQKHLLKVNLTTKEQTLDKLICSFEDEVNYQNS ILQYLSAIEPQTKQKCHSSGENEAQLKFRKRHNSHSKVTTFNESKLIANLDELNDQLMNI WQADAQFLFNQEINEKLRKVGELINKTTTLTPLGSQKQVNSISETHVLIDGLRSLYKDMK QHFNDYLRCHILLMDDQLTTEHLYKVLRAFMKNLVDADEVTFIINNELYTSRTEQFEDII ITQQQQQEIDLIQDKKLIDLSQCYPELGVLFQTNQYKGNYALKISNSSCIFCFHQKREKN IIIDSFLSLCNEYQFFEEVNQLAYFLLETLKQARVQCFNPLQLSHMIQDIGIAFIRCSKY IFIQKVIEMLKNRYQLSKENPSFSSDQPFVEFDFRDSLNLSIYICMDLNKKEEMNVYQQL NLSYNKYLKFIKQCYDRTTFYKFFVKSQDSLIFEFDKQGLITFVSQPITSKLADDFGINF NPQMFNASYKNMFKNTSLLQHIENQLQEQNQWKLTDSNSSYEVFMKMEEKYFKGFFVIFQ QGWFRYDQKELEANQWNKLRKKIIQSETKQYLDKLEQKHPQLKNSVVQMFKPKSSQKQSL FKNFSPNFSPNHLDQRISLKESQNDRKILKTKGVNHFYSDMITDTDIEDINNLKFNILPY SCNWLEKQKVVFYILRRNNFIEDYKINETALCNFLCALEYKYNKSGNQFHNYDHGVTVMQ CSHAISLEIMKTKHAHLLTQFTKFALILAALCHDVSHTGRTNTFEINSLSNLAIRYHDKS VLEQHHAATSIKMLCAPSTNIIPNFNSEEFRDFRKLFISNILFTDITEHFNLIKSFEART QELNFGTEQDVRLMTGIIIHTSDFAGAAKPFPISKQWSTRVNTEFQEQYTLEGKFGYPQQ PYMKDLDQLPIMAKSEVGFFKFIVRPLWAVMSKFVEDRLQESIENLDYTIIEWEKLMIK >CAK76614 pep:novel supercontig:GCA_000165425.1:CT868241:339697:340212:1 gene:GSPATT00012166001 transcript:CAK76614 MSVIRELSHQKRNVVLELFGTSKRRIEQKENVQSPINRPSKRFNYPLSSNASKLFQILKQ TPSIQQPLYKSSKKNNESTGLNESICRKVTNFLQIKQKKLHIDIQEPRTYLKQIISPYRD FRSQVSFDIAPQTCVAAPRKISQPSQNNIQKNSVRRSNSFRDLFKSQITFG >CAK76615 pep:novel supercontig:GCA_000165425.1:CT868241:340537:343907:-1 gene:GSPATT00012167001 transcript:CAK76615 MSVIFDQLDNIQSLRFNFSQAIKLGAQLYFINNHNLKRLVVGDDQITQFTEQEAINVLSG VQQICSDGKVIYCLMDSGDLYSIDSQPKQLVQGKNYMTITPTTAIDHSGRGFWWKTNKTI YSHCKHISTYKEKLTLITIGGKIFNIENDTQVPIQQLNGLGINGYFKKSLLFQFGGLALT ENGDAYCYTNKMIKLKINNLEDISANNKFIFAIQGKSLLQWNLEDFSNHQFYVNQTLFKK MNYGNEITINCKRKEFQEIRFIYSDVYSQFCSAQLRMIQKINQNDQSISVLERTFCRSNV STLKQQLFDQWDPPSKRRNQEIRQSRQGKGDKTERSDTSNKLTDRYDRKDDLSLESRFRQ STNTNILDTFFDRSNKQESREIEYNSEKKQEINQFSIETTFNYKKNSKSKSDIITPLEIT KLPQFEKQQPIQEQQSIGLKKDDNQPNLEQEEIKIKPSNLLEQYKKQFDLQIQPNTEECI QQVLDKGQVAKDQDIPLQIKNVAAPSQNTINQKLQSIRETYDQPRFKTQNNSLYTLDEES SFEQSVCQDDDDKGKFQLKRQAFLINDIFDTKVEIKPNQKRIEQLKTQNIQKEVVSPVQV QENPIQLQKIVIPDMDLPPVQSKLNNLITKLQLKPEKSLDQVLESQTQKDEQESASSFIP FESQTVIPDTQQRQIKSFLKGDTIQSSKQKKTFEVMEQQSVIDYQNNSDFIDQQQDSFMQ ILQTEKKSDNNKIQNIMQIFDQISVQPKKMSPARLNIFKKQDQENIQKIEIIPDIEQLNS KVELNNVLTEKQNENIVEKKVDSPQKLNEKEQKAVIALLNSNSEEQVIEDRIVQVIQIVQ PSEQNKIESATKENGEQLIKLLPVQMDESRILRKVNQLSEVKQPAFDNKSSITFSDQKQA VSRIKIQPINCSALRPEKNFTPLRSRRDSSARGSSIDTNRFKQLQIETQIVAPENNYQSG QINQERLDYSNAVYHIDQSILDDPTKRTPVAQVLDIINLNQFSNQKSKEPVLVRIQATSQ IPQSLRSNSIEPNLKKTESSPIKQLKLQVKPQNNDKVSNIKRRQQDIILRKLFFRIDIHV KLAKLEFMFNLKQKAGK >CAK76616 pep:novel supercontig:GCA_000165425.1:CT868241:344527:344637:1 gene:GSPATT00012168001 transcript:CAK76616 MNSIFELLDCYDEKEKEIFELQISHAIQALIQIKII >CAK76617 pep:novel supercontig:GCA_000165425.1:CT868241:344735:345985:1 gene:GSPATT00012169001 transcript:CAK76617 MFGSYEQLLQMMFEWDGEPIQYLLTFFNYPQNDTSCGYFEKMIRPLILLKSNEIKPFLDI SKWIQFIDQESIQNVFQTIFACNNLDENYKQDLLGMLLNQNNISENVFRIIIKYTDLLQQ YLNQHQEIVGVICNQSPPTYHQLCTIELFMGWNILNLEWLYNQITYLDQLVHRNFDISNS TMNFLYKPQGLSEIDLKIIHILSQTVTRPETIKFQNVLLSLLIKYEWNNNLQNIAVNMYD SMSQEETPHKQYFQSIIMDFITNNVSFDKCEFGLKRKVERGYRWVFTRIVKYFSLNFLQA QDINALEGRFLLNEDPSNNREKQSLYILEKVSERRTQWAKRIQEEELQKQEEKEQTQMEV EEENNDNDQFFKSRNSFGIKPNNNEEEVEDEIPIRGRSSCQFKQDDLD >CAK76618 pep:novel supercontig:GCA_000165425.1:CT868241:346033:346452:1 gene:GSPATT00012170001 transcript:CAK76618 MKLKLQQSKFNLMGIDLGTAFTGFSVSYNLQQVLVYDLHYSPCYSPHDSTLYKRIIQIIN KEKIGGILIGKPSFEKGYIEEFTKGLESNLVAEGLKTFITQVGEDYTTKQAKKISKTNNK FLHDGMAAMLILQSFLNEL >CAK76619 pep:novel supercontig:GCA_000165425.1:CT868241:346757:347305:-1 gene:GSPATT00012171001 transcript:CAK76619 MKTQQKSIKQIIYDLMLKETQKDSQKKLNIVVIPMRYGQQWGNRNLKKITPSSKQQSEHT LKFSPINCTKISPDNFKEKERRKYEKLISLANQKTKQISREDVQLTQSRQKSYSMNNTKV INQKLPSLPKRIQNRAAKAASLQLYNLDTLEGYNLQVEDMSLEIDEYVKLKSVSSIRVPD YY >CAK76620 pep:novel supercontig:GCA_000165425.1:CT868241:347665:350272:-1 gene:GSPATT00012172001 transcript:CAK76620 MIQNEILLLSPSDGSVMINKDSHEDCFRVGKRMKISHPRQPSYQVEEDKNPAFEIFDLKA HKEPEGIIWKFRNHRQYTNVKVNITEQNTQKKVFLFIDKLKQHLALHNKQYTIINSELFS PLNIGKRVYNTSLYPILNIIWDSIIFFSCCLLLIVSPFQQIYQSNCYWKYLNILMIILCT LDQIYKQIFQRCQEEKFNNNNTTFKSFLNSQIFLLDTSSVVIFSVLIVLDNEFIKIIGLI LIKYIIFRKIILTFNKQLYFELSDLNLQIIQILLTCHYFTCINLRQEFILNKEVIDFEEI IGNYIEVYVNYILQFGNANSTSEGDTLLHGAFNVSTIAILLYYKILFLKFIILDCLDMSQ AYKKTKDLKRLQQFLYKQKISQTLKSQISLKLHQIFSRDENSQNIENYFEQSLAKESLFQ EFKEQKLINFVNQFAIFSKFSKSTKQQIAQNLTPIVLNSNDKLICNQYGDQYTIYLLYQG KVACGLTDTIQEYHQIFSGQCFGQYSFFTGQENKNLIKCLDYCLLYKLNRENFLKIISSN VKDLEIANFIKDQILFNNNYSIIDSICLYCQDKTHLINNCPKVHLIKKKIDFFDQYLYCS DQPRMLYNKRKKRSQDQFRNHFRKLYDMQINQVSGEFSSELNSQSSINLDQNFEKKEQKF PGESSNLLLNDLCNENMKQSGQKEQSYPEMISPTSPQIQQQKLQSKNIFDMKIQNLLNKG NSLQLIPTLSNNPSPINKLQNPSQPTVTEFLTLVNEVFYENYDKNICMEDIYKVDLDRMQ DFDIYYPEYNIIIVLFKMKSNSKRKKIQYKSKMTINQSAAMKIGRIIDKSRKLIQI >CAK76621 pep:novel supercontig:GCA_000165425.1:CT868241:350699:351124:-1 gene:GSPATT00012173001 transcript:CAK76621 MSGIAYMRYKKVWPIMMNDNICALVLTLISSYPLFLDIHLLRLNLFIGLVLYIIDKTTTL IQKLPYLRIIILDIFCLIFVEILHLLCFTNFYKLCRIFIEFIRIMLWLFIIIYRQQDKTS FLLYVSVGLQFFWVYIYFFKN >CAK76622 pep:novel supercontig:GCA_000165425.1:CT868241:351415:351816:-1 gene:GSPATT00012174001 transcript:CAK76622 MSDQTNLITYIIKLESTYTKLKQKMIREKNMFKSVLQNLSQNLGVKCNETYFDSLVIQEE DPLTNWNVLLNKQDNVIRIRKDIAKKEAIQVKQKRKRRTKEEMQQTTQMQ >CAK76623 pep:novel supercontig:GCA_000165425.1:CT868241:352943:354253:-1 gene:GSPATT00012175001 transcript:CAK76623 MQPEYLKTLQYCQQKYQTILPNQDVKFTLVQSHQIIQIFAQYEILVQLLIQNALFCKSEA LRQKTISMLEQLEKQDHAQLYSIDLSQFNDNPLTIEVSPLQSSFQLPLLKQFKTILENIN KNFDDKILILKKYIPLIQISYLPQQYQISKVRCKFTPVDEDLLFQGLQKHGSKKLEAIQK EFLWEKTLKQIKNKYKNSICSNAQMNKIKTWKLSQYEYLNQKEVQQFIKGIQWFGKDKCQ LIHKFFVTSRSSDFLNNELNKADQLLKKRKKHNIPESYPSHYEQLWNAERKKIQLKQIQK EEFPTYDKFFNLSYEYSNSFCKSNFEFSRLGGYNTQFSIQLQQANQMQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQKVQTPYEVWKNAISSLPELKG >CAK76624 pep:novel supercontig:GCA_000165425.1:CT868241:354536:355607:1 gene:GSPATT00012176001 transcript:CAK76624 MEFNCIIERESFQLNVTGKSMIISNKSIQYRFDLSLRVVITWLFRESEISGFLFENLVVE GDGLNMKKLKQFLGGKITFSGIQIFYSFLGEINVNKNKVTKVCTLMNRQTKLKFSCKCFK KSATGIEKIHKEIEILQKASKNGLAPKIYECFESTNCVYVIMENLERIKDFEFIDDDIRN LIQTIASLHKENIAHKSIKMSHILFTEENKLKLVGFGQANNTNIKNNEFRLDIFKIGIIM YKFYQKQNFQVDQSLVIPDEGNSLLQSLLEWGNDEPFQLNLLLSHPYFKFLKNEGIPLCI SIYFILEIQCMSPKFKTQVYQDENEELIL >CAK76625 pep:novel supercontig:GCA_000165425.1:CT868241:355934:356762:-1 gene:GSPATT00012177001 transcript:CAK76625 MNQEIKQKDQTIVLIHHAKNHPWTELQMAHEPLTHAKNKEFKEKYLSSCFDETYNLTNQE IKEWWNLRLELYALYSHQDENCVKRIKGLWYLLTENDLEEIRNKKWTEFGFQQADPTTDF RGGGVQSLDDIINFVSDYKDTLVKEMCKPQNDFYFAASSINITFFIKRYFHLQEQLDERD RKEIADRIALKNFCRSLVREDNFWKKLHQLLLSDLFNEWMALKQRRPETTIMDYGPVLER VKQKTKRTFSIRLFANLKQLIEFYQSL >CAK76626 pep:novel supercontig:GCA_000165425.1:CT868241:357044:358676:-1 gene:GSPATT00012178001 transcript:CAK76626 MLFRVSGRIASKSQFKFSTLRAQLHDLIYRDDKSSDLYKLAASCPKSAVGQQGSEIREQE RRYEVTKLSNGITVLTESASSPSRVDVGILLDVGTRDETTETSGSLLSIKNTYYKTVLNT NETINYGVIQQSGGEFEMDYDQESAYFKAHCLAHDVVDVFKVVADCALEPRSVVAANAAI EKNHGTHNLENIIKSGEGFNETIFKTAFGLTGLGMPLRGFKTNIGNLSAYTIQKFQLENI NPSKIIVAGAGIYNHTEFVSLVQDSLGFIPAGQTAKVRAQTQYVGGEVRNLTDDNEIAVA LLFPSANWTNSQAAVFQVLNALLGLQGSAQSRLQRNILNKNSYADVVESLNFTFSDAGLF GVKIIGSADKGSELLSSVVNELKTLTGPISNTELTRAKNILKTQLYLALERTSDRLEEAA KSLKVFNAIKINEYASYIDAVTSDQINKAVVDLLKNRPTLVAEGGLANRLPSFDQVLNQL K >CAK76627 pep:novel supercontig:GCA_000165425.1:CT868241:358765:360751:1 gene:GSPATT00012179001 transcript:CAK76627 MQQENEPTSLSISRRAAYNYIKPILHEDSLVQTPTTSFRSHIKQNHQKHSIFQSQPITND IIFNQFPLQDSFEDVRKCQSCKFIAVNEIAVILNSTLHSIISIQVLLLQFFHQTEQLVLL RIIGIIFSFIHLILCLLLKQSSLDTSQLATLIFYVVSFIFENQILIIYLFISIFHIQTIL NVIKVSIIIVTKQYGFTQFLLLEIVILIYTLHLYANFYIIFMQESVDNYEFWFIYLKAIN QSLQILLFNIQMYEESTTNQLANTFFIIFNINYYFLLLKRYENHKKSYFLHDQFQIFIIN RCVQFNISLLEMFNLLWILLKIQYKDQFLQKLENYKIQVYVDQLKKCKIIEIIFSEDFIR NLAYKAKIINAYKNEIVTHPGLYIVIQGGMKVQIQAEYETKQILKNGDYFGLIEMILNKS QNLFLKSIKEESLLIYISGDDFHHKIKEFTEDYEKMRFIHDQLLFSSSTTKIKQKCYFCQ QYHVPSQCKIINFKPEFDFEKLKLDEQNDRRYFQRANKKHIFQIRNNSESSKSSNEFEES FEIDPVSSEMQNERQIGKNILGSISQMIVCNSKISQEGFPQLLQTQSFKVQESQTQQNQV NTTQGWRVLDLDNRNICIDNLRNFQFYDPVYNIETIIRKVNKFLEH >CAK76628 pep:novel supercontig:GCA_000165425.1:CT868241:360763:361416:-1 gene:GSPATT00012180001 transcript:CAK76628 MILLLYILIASTHQAEYTLTIKKGDQYETPTLPVYQKNSEISQIRIKLGVTGATYVVPHD ENQQRCMSSWNKLWGYSRCFGSLHHKDSDRFVFRRAQSCLKYNEQGLYVVPNCQEQDLVE IAAYAYDNSVVPYEHPDQLIRIFTTKIRTEVWYQYRLIFLADSTIYELLNDNGDLLERQS IKHRTCYAWKNGYRLNLYFGGVCEAPVDVVADHVEQS >CAK76629 pep:novel supercontig:GCA_000165425.1:CT868241:361425:362470:1 gene:GSPATT00012181001 transcript:CAK76629 MSDQLIIKRRRGNTVETTNQIITLNLSEANSTLLSLKKEIQKITRIKPLRQWLTSEDLKT VYDDNSKPLNQCGFKSGQVLVVKDLGPQMLWITVFYAEYVGPILMFVLLYYLGQKEKYTF MQKSALWMVAAHYIKRILETKFVHVFSRDSMPTQRALINCFHYWILCGASIGSELYLLRT FTEDPAWKKIFIALFAGFEFLNLMCHVRLASFRKQPAIKKNDSDYVAVNKQRQIPYGWGF GRISSANYFWETMAWVSFTIFTGSYAAIGFTAFSFSQMLIWAKQKHQRYLKEFGDKYPKN RKAIVPFVV >CAK76630 pep:novel supercontig:GCA_000165425.1:CT868241:362551:364226:1 gene:GSPATT00012182001 transcript:CAK76630 MYIQQIARQTINRCCFSTAAVNEGTFLDQVMAYFNKAAGHTKISQDKLDFYKSTDIVIKF NLPLVRDDGTYVCVPAYRAQHKTYRLPTKGGTRLSPHINIEEVEALSFLMTLKNSILDLP YGGAKGGIGINPRKFSKREIETLMRRYTLELAKKNFIGAAIDVPGPDLGTGEQEMSWMKD AYTKFKGHQDINSVGCVTGKAINQGGISGRQESTGMGIFFATREILNDVKYCQSVGIESS LRGKSIIIQGYGNVGSFCAKYMYDYGAKIIGVAEHDGSIYNPNGINPYELAAHKTKTGGV KGFVNAQKYWEDESAIYQQCDIFIPAAFEKTVNVNNADKFNCKIIAEGANGPTTMAAEDK LLAKGVIFLPDILLNAGGVTVSYLEWLKNLKHINPGRMTRRWEEQAKHRILEVIKMSTGL NINIKDSKIAKKMLEGPSETDLVHTALEQSMIEAVKNIMATSQEYKVNLRLAAYISAINK LNEHFEISGVEA >CAK76631 pep:novel supercontig:GCA_000165425.1:CT868241:364226:365083:1 gene:GSPATT00012183001 transcript:CAK76631 MIKWFCMLFIFEQLLAQDFHVTIEEIKEEINKRKIYFGSTVRIEHQSSAYFLHSHLVSYG SGSGQQSVTGMQADNDYNSLWTIKECHNQPLKKYDDQIKCGDCIRLEHMLTFRNLHSHPH QAPFSGNQEVSAYGDNGNGDASDDWIVECIDQKSGDNFQASMYFYLKHKLTSKYLRSNKK DNFNQRNCGYHCPIEGQLEISAQSVKNADAKWKIHSGLFYQQPQQLDDDYDQDGRHCNTY GQCDDIDDDEFETVVQKDL >CAK76632 pep:novel supercontig:GCA_000165425.1:CT868241:365578:366516:-1 gene:GSPATT00012184001 transcript:CAK76632 MTSQIKDSAFYTFFVDNPENHSLQINGQMKNQDTSFRFRKYCKSQKVDVRFPWNGTKVHF RVQDHTDLKLGLDFGQWKLGKFEASYFAKFFSNNKKLQYQFGLQKEVNKNFWHSVWVTCE SGLFLNYNFNYTQPKWYLREGIQWNTATNQLKFDGLLNYTENLNEFFVKASNSLDSKLSC QDQEIKLGYLRRRGNDKACGFQVDNQLNLDLVCHAKVKKCDWRLYINQALEVRQYVKYNY NENLSIHSGLSVPLKTIGKVCPMSYLTALQVELNI >CAK76633 pep:novel supercontig:GCA_000165425.1:CT868241:366776:367938:-1 gene:GSPATT00012185001 transcript:CAK76633 MSFLHVHQQSYHQSTEESQHSHKLNFLQIQNVDIKEYKRKWMLHFNSIPNYKVLEGMYYQ LQQSKATDDREKNEEILLQCILISVQAPLSLKLSDEQWLLISELMPTYRTPKELQQMSLQ FLHQSAYNNPWTDQEDWQLLEIILSFLKQKKGNKWSKIAKELNDVSQSKITRTPKQCRER WGNKLDPSINREEWSDSEDLYFLQLLLQHGRKWAEIAIRLSAMTESKKRTEFALKHRYKK MILCSNISYSKLNVGHKYSVSSDWNTKEVNKIVAKISQLEKAIEPERSNVYNCQPFNKQV KLNDSSRLVLIRGSRKEEVDLSKLFHLINLMNLPLLQGQV >CAK76634 pep:novel supercontig:GCA_000165425.1:CT868241:368334:371921:-1 gene:GSPATT00012186001 transcript:CAK76634 MKQKNKMQLPCCRNHDFCGMKYDFHESDKSQIQIQEERALSANIDQFRQSRGLSGERQIN LNQMTEQALDDWYCQSQNRPMEQLLNNKKRFFVPQQYAEELKSRYRLPTTQKGIKEYLFT DQISKNEKYIIQNGESILNPKNLLFNHVKLEPKLMMFGQPIQEREDKKLKRKQVGSRRRG VLLQSDENYRRLSSVDNQSRRFSVHEQPTHQNQQKRTIENHIFLQNYENITRNYSKNEEV PLSFAYKEINQLQQQIEKQEKVRHPEENQIVKCENSQQEKQMIDNNFRSMMKFHQREQAE IRKQLNQAVQSIKQLINPDSEQEQKISNLNLIPKPFQFTQTQQTQNQISNPDKVQQSHQT KKNSEARTRTHTTDEMESSLLTSDMIKYIKECKDKDGQKKANGMIEYIKECRSKGDQTKT KEKFEGNLVEKVNLKLVKEDKLKMCETDSIDYKPHQASMKQANIRSSIQQNPKVSQVSRR ATLNNNQNTSQSLTKGNQSQNVTKQIIGWYNDDSLNQNEPKQQDTSSNIPEIKQLFNYIN QGRSENVEINEEEDIIYIQQLINPTISNKSVMLSNKQSDVSVANSKQLIQKTKQLLNCNS ETRIKYKTELVNFNALLDEKYEKQQEDKYFWYNKGIKHLKENIETALHCFKQALITNPLD SNAVQLVAIIYEKLGKIMTAKKWFQLALQFAETNQLKFRYALCLYKTGSFKASQQLLDLI IQNDNSKDRSLYVYLRGICAKRMFNLERAAEDYKIVKESSRATNYSLCIHFMLAVLFSQK RQLEIKFNPLVFCEFHKLVTSIIEQDVYIENLVKFWINQQWVREEELLVELKQTSFFKRI ATSILRVFLKNMKLIVVEKDNVIFPKENEVHIIVAGNVNVYDHRVQYKRPDIIANYKQGD ILGCPDKDNGLCNISDIWFLTQTRLELISIQKNYFEELWEAQQQMEGIELLTRLQQLSIF KGVSILTLYKLVYELMEKRNLKKNTVLFNDGSYYENFEYIHLRKQPKATIQMTHRLIDQY KHCHFQSKLKKLIIKHKHKIDQLKYNRMHLEGFYIMLSGMCEIENPNGFNNYYIKYGDYF GETLMFNTKGFNSFGRIRAFHDDVTILKLSRFHFNKIPTLDLRIMEKNCEKRQEILDLDR IYKQKQKIKEIHSQKQHQPYI >CAK76635 pep:novel supercontig:GCA_000165425.1:CT868241:372650:372784:-1 gene:GSPATT00012187001 transcript:CAK76635 MGKKKSDFKGNKLQIIQIYHQDTQQEVKKSCGYSNKCMGVKELW >CAK76636 pep:novel supercontig:GCA_000165425.1:CT868241:373040:374341:1 gene:GSPATT00012188001 transcript:CAK76636 MANFKSGNVFNIDVLQSNFKYLITLLIQELIQFQRQVDSQNVEPNKSNNLELNQIKLDLQ KLKNDNTHLQYKIKELEQELYQQKQLNTTICQDLLEARQKYQELQKKYNQETQIKQSKSF HTFYDQNIKEKLKKLHTIYQIDKSLNNKSIINKKQKLTIMTEPCDSDSQYSRYSTIIQQN NSQNDKTNSRNIQNQTIETVTSVGTENNYISDSSSCLLSSSFYKRREKSNTLSFELSQEF PHPIKVFPLTLKNKIYKLNLADNCDYQFIYIKYQSFILTNSKIMNFNIGPKPSFNMPKQI QCLKEEKPYSCVEVESTDCFKNETIIKNVSFAPTITIFLRFQDEDVVKFKDRLRKQVQQT KETFDFHPSLDKPKKKGQLKSCLKSIIEWDV >CAK76637 pep:novel supercontig:GCA_000165425.1:CT868241:375117:376413:-1 gene:GSPATT00012189001 transcript:CAK76637 MDSLTNLQQREFNDKELLLKNEKFIKDANLNSQYCKDFRESLSDQIQKTKETNSNPNHLS LLLIHNQSLMYSSKLNDNVAISHRNAIQPFFGALLKKSPHWIQGYKLRQCSIINRVFRYF SAETHKLEGVLNFDVQTYQLIDIKDKQGNVIEFIIKPVGKIEKVFQFKGSNFQETTKWFQ IIQLHLQDSFGTLNKLTSLCLYEKFWRHERISAQQLDEEAEDGDLLLFRGKSFNCQLQRA LTQNDYDHIGLLIKIEPDRLFIFESLPTNGVSLCEWKTFNTKEWYKLYEKIVYRRLKANR SAAFKTKLLDFVTNNLGKEFSCAPSKLLLQNSTTLGDVNLEAAKQFNRTYFCSELIAKSY KTLGFLPKSVSSTQYWPGSFSQKNPKLKLEQAELSDEFLIDFCI >CAK76638 pep:novel supercontig:GCA_000165425.1:CT868241:376434:377667:-1 gene:GSPATT00012190001 transcript:CAK76638 MRIVIALLIGLALSKLAADPKVVLAEIDNNHMGKTFLNAIQISLATGSPVHEIQSYINNI RFMLEQEQKDSDLYIQNTQASCNRLLHDFSTNLAYHQSQLKAHTKIVDENTNNLQRSLNK IAEVSVEIEENSKKTNAGQSERDLQYAEFQSKIKDHTEAISAIDEAYALIEHLSGGSSFI QVKGRFNKVLSRLQSQSTSSGLLFQPILTMMTQLSSKSDSDTAKKVLQLLANLRVQIVES KSSDEDIEKQQSLNWQQFLSDLTNERNTLSDQRQNLEQAILNYQSIIEESQGKVEYHAAE VERNQSNLDGQDQWCRQQQDIYQMETQSRVQLQDLISRISDHIQDKIVTLKEYLRERLQL N >CAK76639 pep:novel supercontig:GCA_000165425.1:CT868241:377692:379020:1 gene:GSPATT00012191001 transcript:CAK76639 MLVLKFNDIITNQVQQQQQQYQYFKIILSRQSNQHFQIKKAYTKFSGRWSKASDSERQID YVQENLDVNLVAKLVNAFNGIEIIINTPQELYEMYQILHFFQIQELQSQIEKFLIQDKSN ILIGYQLSELYEIDSLSSFYFEYFKEYGFLGILNKKFDTEKARKHIYKNKNRLVPLHYLY LQANLFKKLLILHNNLAILNFDKNKFLDQFQIALLISEYCLTNGYDRIKLQEIFSDAVIK EQVTNEQKQIILIEFEKQYKKNQMRTVSSISQSEEDDLSDTTIEVKHRTSEVMISQTPII EPIEIFRGKELDLKLLIGLKNLQFHFKTKHTSFGFYVSKKIELNTQIFDEQLQLVNLNTK VQLNTSLRENWIRFDENTLNENKLNVNNRLIIQRSKGICRYEEDVNEPQGQIRLTGCRSF IIEEVQVLNTCFFVLSQNRGWQ >CAK76640 pep:novel supercontig:GCA_000165425.1:CT868241:380190:380853:-1 gene:GSPATT00012192001 transcript:CAK76640 MIGDEENTEFISEVSKQEKNESKLGPLKSDKKTHVNNLIYFGATLAFTCIIMLLFWNVKK QSFHKKNAHLLVISQEEFDYFDQLSDTTKVSNCNQTISNQNTLVIVSNQIVKDDVKDCIE HLKPQFSDILLIIYNATQESILKEEDKNVIQYYLSNTELSIPNSEIQKFEQLEEDEQLEV VAQIINNIFK >CAK76641 pep:novel supercontig:GCA_000165425.1:CT868241:381153:382453:1 gene:GSPATT00012193001 transcript:CAK76641 MKLDEIKKCFNSENHYKQTKQIKDYILENNTQEHHQQQSEITKVAIQAVGNILEDTKSLP IQKVLSTRLVKEIMDCHIALVIEKVQIKIIPIYEQTLISYCDKGQKPKQYFSDQPDEQLM VLSNTFIRLISESVFVWNIWHPVIEGQQSAYSRVYNILVAKGLQFPKLFYFNSQKVKEFY VHSKEQMHNSPFVYSNNIQPDFFYIKKRLDVNQFQQSDLIIIRNELLCKQLRLSLLALKN SDLNSSQEKFIKNFEIAYKDFEKTQRFDELQITIQSMQIFALNFYSCLEFDDEVFDKQAA IDAFQKRFQSNQSNFTPSKLHVGAGGYSTDKISQFLSHGSMSVIHPFLLSQSQNSSPNNN QKQQLQILNEKNRRIEQLLLENSLLQKQIKNFEDQIHTLKQNLSQNNE >CAK76642 pep:novel supercontig:GCA_000165425.1:CT868241:382496:383226:1 gene:GSPATT00012194001 transcript:CAK76642 MIQLTKEHLHDQNKKLTEENQRLELVVYSLQRQLQNLGSFIERTKLLQEQVDELNQELGN VRRDNIQLQEIIVSLQSQLSSNIKNIHLEKHLNELEVNKKIQEEQLNVLTKENARLQKLV KDYNNKQISNIQSSAAKLVEIGNKIDLKLGDQPHKRMLSNPTLSSPKGISKQVYQNLVQQ LSNQSTEEKSLFASQAKIKLKDSNMFSQTTYKLSGFQALQLDSPLPSISNLTKK >CAK76643 pep:novel supercontig:GCA_000165425.1:CT868241:383774:385966:1 gene:GSPATT00012195001 transcript:CAK76643 MQAPGLTKLPSQQKVPKYRPSINIDNLIAICTGKLKNCPNHKKALYIRASAYIKKGEYQT AIQDCNKLLETDGENVGGYYLRGCANEKLGQIDLAIEDFSKVLSLDENHVNAAFARASCL NLKGDFAGAIEDYTRALEKDNAKSLNLSNSINKRSLLRNSSMKKDEPYPKTDAFQFTTKK NKYQQPEEDDLMNPQNDEEYLQNDQSQIQNQPQQTSFMSQNPLYTSIDANELNIQRPSQI IDKEISQPMQQQLISMIPENIKNDNKKISDWFHSQGFEARKKEDFIKAIEFYTMALMFNP NHFKSIFNRGFAFDKLRMYNDAISDYTKAIELDSKNAYAYYNRGISYDKKGDYNLAIKDF AKSIELDPSKADFYHNKGFAMKKKNLIKEAILEFNECIRLDKNHFKAYYNRANCYEKLGD FDKAQQDYLIANNVVPNNPNTLTHIGILMDRQQKLEEALKYFNSSLKIDQNYAPAYNGRG LVFDKIGEFEKACQDFNKAIEIEPQNPVYIHNRGCCKRSMNKFEEALEDFKKALSLDSKN PIIYSNMGLVLRKMEDFETAAYCYSQELIYSQENTRTLNNRGYCLAKLGQFDEAIADYTK AIKLDPVNIHAIYNRGICNERIGEFRKAIEDFSQVIHLQNDQGANAYFNRGCCYDNIGEM DLAIADYSKALEIDNKTSKVS >CAK76644 pep:novel supercontig:GCA_000165425.1:CT868241:386137:387191:-1 gene:GSPATT00012196001 transcript:CAK76644 MDKLQPYLTKAQDLYKKFYEKRGKKGLVQAAALGLGLLFLQYQVRKYNGWLKKKSVANQH IFITGGASGIGRNMAKRFARLGAKISIVDVNTTALQEVVDAINKELGNKTAFGVYCDVSD PQSVKNAISESITFHNRSIDILINNAGVVSGRQLLQNSEAGITKTININTTAHHWTVREV LANMMENNHGHIVTIASIAGLVGVRGLVDYCASKFGAVGFDESLRFELRAKKSKVRTTCI CPYFINTGMFDGAKSRFPLLFPILSEEYASQRIVNAILQNETVVIMPVILNFAIMIKACF PTCVYDNMMELFGVSESMDHFKGRAQSK >CAK76645 pep:novel supercontig:GCA_000165425.1:CT868241:387192:388384:-1 gene:GSPATT00012197001 transcript:CAK76645 MQLLRKVYRFSSTKHRFASDIKSTNRQKMNLFQAINNALDIELGANPKALLFGEDVKFGG VFRCSQGLNEKYGTDRVFNTPLCEQGIGAFGIGLASVGYTAIAEIQFGDYIFPAFDQIVN EAAKFRYRSGDQFNCGSLTIRTTWGAVGHGALYHSQSPEAYFAHTPGLKVVVPRDPIQAK GLLLASIRDKNPVIFFEPKALYRNAEDEVPLDDYELELSKAEVVQEGKHITLIGYGTQIR VLREAAKLAEKDGVSCEIIDLQTIYPYDGQTLVDSVKKTGRCIITHEAPQTCGMGAELSA LIQEKCFLHLEAPIKRVTGYDTPFPLVHEPIYLPDKFKIYEAIKQSVNY >CAK76646 pep:novel supercontig:GCA_000165425.1:CT868241:389475:394457:1 gene:GSPATT00012198001 transcript:CAK76646 MKFFSKLTQFFITIGSSTERRVKNIDYGNMTIIIYYFIQLIGYIYSQFESKSIKYYENDY LAQISQLSSIPFMLIIIHYDPLTIFAYYSVFITICAIFLFSLLQILIKPLPFNSPINKII KFYFQNFQWFFLTPFNECMVGVITCGRQSYLAQHSVIKPSECFSTISPHYLIISFTGMIM ISLSGILSIYCFRNYEFLQRGLLRKFSTLNFATIFLHQLLIILSFWKLMYSDYYVIIHTC YNLIMLCILIDVVTNIPFGFTNETVFYSKILLSSLFFGILITIWIFTNKDDGIIFLTFTI LLPIIFAANSTVFRNYFEQQSLKFCEFVDCTLSEEPLEYLLLLSHPLTMTQTSICQTLKL LNIHCNNCKDLQCPCNQSLSRFVLSHGPFDNDKLYIWIQYQFQKLIKISMRKEYSIENFE QLTIKFSTFLQKYRENSYLSYKSIQDIVINFNRSQKNNQQYPQFFISLTKKIQSDTKQEL EQINRSKFLITKTEFKTLTDLNLFFCYENDLMTKIRQQVYYCKEFWMLYQNGTLKDFNAL LMQSKRILNQIEKVQQIYKLYLQNREDSQYENLLTLRISLLISITCLDDLQTQLKKADKL QVVQKESLYSPKQQFHILKYINNEAISVASLVSFQSFGMIDLKISNNFKQFFGYDDDDQI TQIGQLLPTKIGDIHDGLVENFLMVGQSSKIYNSQESFIKNKNNFIENITMCLTISLPLQ ADIHYFLIISHLLKQHTLEKKNQDSFEKGYLLVDVNFQVFGISENIFKKVTQFSQNDRLD IEQIQQKLIVFQLIPDLFVKMQEYYKIKQLQNAHLTNDEVLFFKHGGSFQIPNKQTFSNN NLEQKIKKKKKKKNNLLTNKIIINNLTKIEKESNFNNITQFHFPIEYSVVQNLINPLING ITQDFLYYKIEIEYQDDINNGKQQLQFLPSYHDIQTEQINSKNRSQNQIMVQSFDSKDDE FLDYQQVIASSTTTQRNNKNDFFYQATLLNSLLNNKSIPKPILSLILLFICQNLILLTLI IFIAMMFSQKSILQSNCVRIVTTDLDFLDAYSQLMSGSRHVIYFRDFYEKVSDQKIRIDK NDIEFSRENKLLISWNHINLGISRLITIYEQKSQILNQGSEDDLNISIINSDLKSKIVQQ VQDQSTYYQVMFQIFFMSKQTFTQNLSNFLQNATDPYTTQISRSLVYYNYFDVTQLVQAK LDSCKSYNYYINEYIDRITSFFFIGLYLAILIIAIIQFMFYVQIKRQISFYLKLFVQLDS YDSIKSLQRFEKIHKLLNNQSIALSKKHYEKIIAQASLQYDQLRSINFNNQPNLIQPQKQ KEKQVRIDNHISYFYHIFALVLISLIPVIYALAYQLYYNIISVNVTPINNQAIQAQEMRL KFITTINRYDQFLIKSYFESYCKLSQSNASYKCSFNNIYSDNQILQQIEMNETIIQEELN TLQQLDFSNFFFNTINSNQFNSEEKQSILSKDTCLLTNCDMKKDIFQERLFQETLNSYFF QGIIKLHQIVSSLYLQFNLIYQNLNTPEEKLNAIQDIMEENDYLIYILWGLDAIQYQISE FSQYFVNTSLTTLNDFTANMLVNVIQSCDTQGMLLMVGVIQILFIQILMKKQIIAKQLFK IVPLQIIFQKNIQRQLTVYQRKLQK >CAK76647 pep:novel supercontig:GCA_000165425.1:CT868241:394866:395958:-1 gene:GSPATT00012199001 transcript:CAK76647 MLIEPFHQDTIQDIAFNQNCSRFAIASSDQTLRILNKVDGKWEKSSEFKFSCSIAWKVKW ADPKFGQLIAISSQSKAIQICEEKKQYQENLNGQKQIITLWKQRSLTLEKSELIADIYFG SKSNGLLLVIAYVDGIVQVHRAYENNQFRLEGEEITIMPYGIRALSWNQAPSDKDMSIFA GNDEKSRYLKLKHDNYNLQRIKTLSIWVLSHETQKLTFTKFFEFDEEKTVYDAQWANQNG KTYHQIAFATQEGAKIWQFRFIGESQVEKQNLILINLDSSPSCLPYRVQLNSLANLLTVS YELIANDEQQQTTTRDVKVFQLLNGNWIIKTITPEPNLIKDIQSLLSLPSVQLIQ >CAK76648 pep:novel supercontig:GCA_000165425.1:CT868241:396140:396564:1 gene:GSPATT00012200001 transcript:CAK76648 MFSVQPEGSKPKKFQGGRIHLRPIDSIGRDFDLGNIETKGRRHFSFDPTPVMQYKPQLRV GFPKNVTNPEILFTHQSQGGPDKLTYMPPIKKHKEFISTMRKTVINKEYEEQQTENRRMI EELDVWEKKFRKQ >CAK76649 pep:novel supercontig:GCA_000165425.1:CT868241:396603:397534:1 gene:GSPATT00012201001 transcript:CAK76649 MQIIKSKAVQQLAHRSQYNKIVWQSSNPYNKRWQYKFKNAYYTYPRDINQEHTYVKTPKD NWESVPLGWAWIQDLLHRHVPNFSCLIERRHRLFDKFNVYFLPAFSLFFYQFYPLAFGFK VLTVLPLAMLYVRARDKCGDPDFKETYLRDMLYKNNEINALFKDETLHVLDYDCEYDKGY PCTEKFPEFKNKFWQFFNTDTSMTTGYFKMADVESGAVMNLKFKTMPVPGKYRYQIGEPF YFYDLRAEITHNGQHKEVVLVDEKVALQKVRPFLLII >CAK76650 pep:novel supercontig:GCA_000165425.1:CT868241:397551:399705:-1 gene:GSPATT00012202001 transcript:CAK76650 MKKNQKNVLIRSSMHSPSNYDSKPSTQIPRNRSSNQFEEADPTSKGDRKIIRMRNVVDLG DGGDFTHKPSSQHHRKQNYDENNYLLEQLDQWHEQIISNRKTFAFEQIKQNDNKLIDNEF NNDGGPQINQAIINSIMKPQEKQQQKIQQQDEEQNAQVQVKFQMLRPNRDSNNPVLKTLD QVRIEMNKQILNSLLLQKVTKKLDDLKINRRSKSNWNLQKLDEETAQVVREQSQALKIST LNNFKGLTEYFSNPAQYIDYDVVLSKAKYYSNKPSNQFQVELIQTGQQTSSSAKQLMDSY QQFQTQINNNKQILYQMRLDNNQQVQQINKHEEEISEIRRKYYAKEEKARLGYIPDQEGK KRNLMDIVEKIRQQRELEIRNIQKVITQIKEEIHKNNEKQQILQKDLDEHRQKKRRCKML LKDIFLKQLQEANESLVPEGVVSIIKNMRKINENAKIDQFPRYLDDTSRNYLLQAAQLEI DIEETRLLAQKLLPATSNLKSSQSSKTLFQSQPISVFQLKNQVKTMLKKSKVSIKKPVFV QGIDPLNPSSFAHVIKWENQELESLNPHEKEESNFKLQNLPSEVNLLTKDYNQKLVQLQQ QLEQLQKVEQERILRLYQNKRHLSDVQELKFVLYSLFGQIIGDQIWYDFVIEWTEQKQLN PQQILKKETEQKQNEKGQNKEINEKIKERIASTYRKLQQSNEIDYNFNIID >CAK76651 pep:novel supercontig:GCA_000165425.1:CT868241:400671:402331:1 gene:GSPATT00012203001 transcript:CAK76651 MQFDVQINQPVEDRKLTLVNEQEFENNQKQHAIKVLPLTKRQLNNKKFKRTTTIIDDDDH AIKGPFEDSRVTRMTFEKCRLIELTRFWLIVASAILNILEYEYSFSTYLTRNMENELTIL LYLIFSMTIIIIFLTIISYQIDLEYRKSSRTISQKASLMQTNLIWGLLVELIIIIPTSNP FTRDQYVVFSQRGSSEIRFYTINEIFTYIMFFRLYLLLNIGFKFQTYYSNRIGRVCRLYQ TRFGTHLMLKLCIRQFPFSTLSWLFIVGLIQYTYQLEIAERPLLRTFDVINTYNISKSLW VTMITIATVGYGDFFPYTDLGRISMTLGLFYGVTITSLFTAILYNMLQPIAGENKSWALL DKASIKKRMKQASSNIFFYFYQLKNKQKVAKRLEVKENLNNIVFNLEGHLSDVGIMRRMY RDVDGEEFMEMVNRKFGDSNHSFKDLILYLQKMMEQHKLILNNLEKNQDLQSFKLKSSQA KNLQNGQFSSPQSYQYNLNTEEKLSKDDNFFENLEESNRDSCLMSFHD >CAK76652 pep:novel supercontig:GCA_000165425.1:CT868241:402360:403979:1 gene:GSPATT00012204001 transcript:CAK76652 MNIQNQGSVELSGNQVSRAGEDEAVIYQKKLSIIILNQIERKRTKAKSTIIQSSNHNPFE DSRVTRVYFERYRVLEQGRFWIICATLVLVVLEYEASFLHQLTDTYDEEIKILLYLIMIL SIVTSNLVIQLVIMTFIAYLAELEFKKRSLSIPKASSIFQTNLIFLLILETIILLPCPTP YTMHYKVFFTQRYSDQTRFYFVNEIFTFIMLFRSLLILNMAFKFQDFYSNRVNRLCRIYS VDFGPHFIFKVAIRQHPYKTLCGLFCIGLFIFSYQLEISERSLLRTEQEVNNYHLNHSFW INMITIFTVGYGDMYPLTDLGRFSMTLGLFYGVALTSLFTAILYADLLPFSSEMRSITLL DKANLKISIKQFAQKAMLNLLKLQKYFQRNKQKQIQSNPAVRQRVSHIKGILQKTDNLRR NYRTIDTEDLITMADRRFQEMTHFFQEYYGMLQEMQQQQEQLDDLDTQRLLSIERSHSVT SASGQLRSKDDQYFEELIDSQSENPQLMQFNDED >CAK76653 pep:novel supercontig:GCA_000165425.1:CT868241:403991:404563:-1 gene:GSPATT00012205001 transcript:CAK76653 MLQVKKEYNAPLKDHSEILNYLQKKLGQQVNFKKNEVVEKVFRREGNTLNNNQITLRIQN NTNLSCEHLLLEKYMDTNIDLINYEENIPEDCSSLLERVGFKLIRIEEYSEQVYNIKFMN CSICVQEQAKTKRLVLQLRDKQDKEAHIKMLQLQNSLEILLGQGGII >CAK76654 pep:novel supercontig:GCA_000165425.1:CT868241:404611:409762:1 gene:GSPATT00012206001 transcript:CAK76654 MQNSSNQRFQHISLKKFGQLDVKKQSSSRVNINRNLIYLYSIFINLGTMKYFSKLVYFFL TIGSNTERRKSKIDYGNLAMIVFYFIQLLGYLYSQFESKTQVFYENDYLAQIGQYSSIPF LMIVIHYDPFTTLVYYCIFGTMCSIYGIVIFQVLFKPLPPSNLINRFIKFYFQNFQWYFL TPFHEAMIGILTCGRLAYLAQHTNLNPRNCFAEISPHFLAISILGQILVVISGFLSLYCF RNYEFIQGDLMRKFSYFNFLTILLHMVLQMTSFWKEMYDNYNFIIHLIFNLIMIVISLDT FIKFPFGFSYETVYFSKCLVSSSFFEILVAIWIFSDLDDGHIFLSFCIMLPLIFGINQTL YDNYFDNKCQQFVKSRLEKISEHPLEVICQLCHSDINQQDDYIKVLKYLGIHCQNCSDLI CPCKQKINKFIFNQNQLNTNQIYLWVQYEFQQMIKSIMQNPDLFKSFEQLTIKFVTFLQK YRENQVLSYKIIQDVIYTFQKMNIGRTPYFFLNLAKYIQYQNKFDMQNINKAQAQISQNE YLTLQEFNLFYRYEQSLVRNLNELLIKQKQLWLEKLRSQIQMEKILACSKEIMKKTLNVK KIIKTFENSKDIHNNDSLLTLRIKLVSSLVCMEDINVSIKIARHIESYEKDLINQKQQNF NSIQFIQGQALSVISNISTQTLGCISQQVNDQFCNFFGYESNYGASLTKIEQLLPSKLGK IHNGLIESYLQQGKSTRLYQNSEQFIINSDNLIERVNICLSTLFPYQNGQYQFLIIGHLL KMIKYEKKELDYNKRGYILVDSNFLIFGLSRNVYERINYQYYYKNERDTELILPEQIYDQ LNIQSIIPHLSLVLEEYYKLLALRNEKKILRQDQICQREIGVFQAPSAGSSQFKTGSTVL TKKFTNKNMMNILKNVNSSLNRLPTMSNKQYPIEYSVIQKVLNYVEKDATCEFLYFIIEL DFLEDPKLSASPNQVIARTPALSEILRRQQINIEQIRHNQSNIILSNDDNNNDQMELVAE VTNTGTRRSSNEIIDQLEKIIIYINDTVLPKSIKDFIAQLIIQILLFIAIIVIICSLFKY KRSIQSDCIEQITYDLNFLDAYSETMSGSRHVIYYRDFYHLQNDTLIHFSLNEINFSRYD KIYVAWQHISKGNIRLNNMYESYTQIAQKSQIQLLTIYFINFDLKSKIQQEVQDYSTYYQ IMHQTFYLQYQSFSSSPQTYLSGRSDSYLTQLARSQVYYNFFDVIESANQTLQVCESQNQ DINNYFDKVILYYFLGMYSVIILVLISIIIHYYRVLNSIKIYIKLFKMCDKEDCQHIIHL CESLISMVNYDKIFLRQQDFKILLAQPRHTLDNVKKSQSKTTLVLIRKKRNKESLLKTGQ KNFSYYSIIMFILISIGLIIYILSFQLFYNHIKDSIAPITKQAILAQESRLNFIASVNRF DLYLIKIYFETYSKLNKIDRDYEYTTLNIQTDTQILQLLSIEQETLKQDLNRLKSINFVN LIFDNDQTNSQLNSDQQDSILKSDICIFTGCDMQTELFFDRLYQTDLIPLFYTGVLNLHN KVLGFIQEAFQLISEDLSDLELIQNLENIFDDSDYLIYMHWGLDVIQFQISQFSQYFLDI SKQTIDSLTQNNQLLIVSIGTLLLILISTFSIMLVDLQYKRYVQSKAIIKCIPLPILFQK NIPKHLESFRRKYEQ >CAK76655 pep:novel supercontig:GCA_000165425.1:CT868241:409885:414928:1 gene:GSPATT00012207001 transcript:CAK76655 MKKEIIFEFPEKIILWLYFCQLNGFLINGVEKNFTIQQENQYLFTILDYANFIKPLSEFL NLENMAVLLIVQLIILYAGNFTLMLLKQVKISICYQKVKVVCNYFILFNWIMVSPFQTVF AMVSRKNEFIHNSISDLNANKQIFFLIISIFGTIIITTTSLLSNFIFQKREINQGMLLKY NFKLNYFIGPLTQLFIIMTYLLQDQSLQIITHIQHLILMFIHLIIALQFPFGLTSTSLQY NQLIILSISFNFLIFIWQYFSHNDQFIFLSFFIIGMLLWYSVDLIFQRRIDKIIHNFCKK RDTSHLAEVLEYFIQTHSYTLKHLLQLNVHRVHCQDLLCPCQDCQLLVIDNISIVQLVKK QQRKKKFFIQKWIMHQYKTYLLKTELTKKQQNLLILNYLSFQRIFLENYVLICKTIYQCI EQTEKVKTEMYNVTQTLLYVIQQNCKQQLIMQTLQQVKITQQEFIIITDINIMYELADKI ILHLSKVIQNQIQLWEKYLRNEIKNFDQLLEIINSIKEQKQKCQDLFELYQNFRNQTKEN IYSLRQDLIQMTKIQSQLMQLEDAQQFDLNLNDFNNLSFLTGQALSIISNISSENQGKLE QQITKEFNQFFGYNKDEKKLTHIKQLMPSKFAEVHSGLVENFFFKGQSDRINNASVAFIL NSKNLIEQIKLCLSYFFPVNLRDTSFYMIAHVQKTSKQEQGIHDENLTGYFLIDKEFNIF GMTQNIYEKLNYRYYFKNDANANLMPPQQFYDDHNIFSLIPSFQQKLQNYYERQPNETLR DNDVILIKERGLLKLDFQKQEQEPSASKQFNTKFSFAKFTNKHILNQMLDKSTELFQNSE NIKYFPIEYTVTQKILHAYNVNNKIDPFLYYLIEIEMLEDFKKISELNISTNKFLKANNN KKQQSSQQLETQRQTTAQLLQFESINFITSDHLISQNGDKKAFLKESPLEKQQQQQKIQS KGLIPIQNNAQVKKSSIMNIPKKVHNFVEFLQTHNYPSIFHGMIGLIIIHFLVVVFYITI TAILFEQKKDIQSNCIIYTFSDVDYFNGFSLILSGSRHTIYNSNYLNILEPLILKVDNQA FKLTSKDCIVISWHLLMSGQYDLVGKYENYSKILIGYEQKQIPYYFPDYSNSKIQSQMLL DQAAYYNAIILSLNAYLSGGNDNIFLVRQRYILYFNYQDAIELTGDSIQSCYDYNNDANK YFDSFTQIWFINMYVVAILLLIFHIATLLKIKKVIQIYLKQFIQVDYEESQIIVKQFENM LQTLKQENILLKYQEFEAIKQIPQNVLQVLQQQETKTTLSDENENNNNRKKRVTSINAKK LSFANPSFSIRKSLLLYIFLMFLKISFLVVFEIYYEYLSSGIAPAAKRELESQQMRLDFI MTINMWDTYILKSFYNATQHIANTSSKFNYKNQNILNNQKLFNLLEIDKQYLLEKVKVIQ AYDLTDFLYHNQDEQNSNLLISESNKQILLGQDVCQLTNCNMKNDLFHDRPYTEQLIDYY QVGLIQLFKNVLQVITEHNYLITDDSLTPEQKVQGIAQMYQSFNYFIYVFYGLDATQYQI AQFCKYFLDQTLNQLEYLTSSDIAYILSFGILLMIITFIGEFYIIIHYFNKFELAKESIR QIPLETLFQKGIPKKLNNIMMKYK >CAK76656 pep:novel supercontig:GCA_000165425.1:CT868241:414984:416105:-1 gene:GSPATT00012208001 transcript:CAK76656 MKQNKQIKNIHARSQEIVEQQIEEQNANKSKQQLQEFDFAAKPYVDFDFIKLKKIKSIKM SDSGSRGVIFIDSEQGALVLKLSGQVGVELFLNKLAQALDIKTTQMKCLKWCDLEMQDLR NDILFAASTDEVLSHRLKQKLKVAYFEIIEYIPGLQLYCFQGERAKKIFNQERLFSLGKI IGFDIFIHNGDRFPLPIWRSVGNAYNIILKVIDEKQEDMFNIQNVDLNFDCIYSIDPQTI LKQLDSSIQDKILNTYMEKVQKFLQDLCDDIKKNESKCLDAFQDFIFEQTHYKLNENELL IVKKGILYQIQKITEFGIENIIKIKQELILPDSQDWMDSYNNCLNQIHIEFHEKLIKLFT QIINTNSEIFQTL >CAK76657 pep:novel supercontig:GCA_000165425.1:CT868241:416949:418266:1 gene:GSPATT00012209001 transcript:CAK76657 MGEIIQLSFGDIANNIGHQYLEKLIDDHCLDDKNNSTKDQYRQKIHVSFEELKTQQYQFR GIFVNSSDQSIHKLLISPESEYINNDLILENGNRNKSGTFSNSQLNFRDQIKDKLFEKLR HQIEKCDKFFGCQFAHSTYDYSSGSSSVAIDSYKEGYPYSPFCSSFSILPNIVSSNTIEI YNTCFSMHKLIEYCDVVMLFDYGALENQLTKLRQLSTLENCNNVIAECLLQMNCSQRFPG YQNGDQKKLSTNLIPFPRLHFLTCAFTPIEIVSDLNQKLINLCLPTNSYFSFQNATRNLY LSQAFITRCNSYYLDFQYALSKLQNNIEWIPDAVFHINCKIENRNLGKTAMHVGNHRDLG HSFKQHCEIFTANFRRKAFIHYYLQDGMDEMEFTEAESNMNDFISEYQDYCYGCEISEYE EEEQQSEYDF >CAK76658 pep:novel supercontig:GCA_000165425.1:CT868241:418534:420392:-1 gene:GSPATT00012210001 transcript:CAK76658 MLSRYNINGRTIQEEKLLSEGGYGYILKAIDVNTKEVFALKKSYCQGEERTKVARNELEI MKRLPRHPNLVNFIGGTFIQDKGQQVCLILMEFCGGGSLFDLMAKDPNARFPEEQLLGYM REITQGIKSLHTLQPAMTHRDIKIENVLFQNGRCKLCDFGSASTQRVDLSQIRQSDFVIY EEEWEKNTTLMYRPPEMADLFLRYEVGEKADVWMLGCVLYTLCFFIHPFQESSKLAISTA TYNMPKQHRYSDKLIDFIRLMLTPDPKLRPSIFDIERILAQFNSLPYIQLNAQAIEIKNR EQKLEQEMEQYNKNSFKVKKFDGDIPIDELMNLQKKIQTEKTQVKQQQQQIRQPQQQYIQ RQQMQQQQQQQQRQQQQQQFQPFSEFNQQQQQSKSSQDIFAQFNNPQQGFSLNNAWDSSN QWAQQQSNQQAFDNFGFVSTSHSPSPNPFQWDTQFNQPQQQQQQQQQQQQQQQHVQQQVQ QMQINSFSASPSNSAWDMTTNYTEQSHQSTQPSTNFWGGNSQQQFGVQNAQIQSQQLPLN PQQETIDLIGLNEPQPQQQQFDLSNIIL >CAK76659 pep:novel supercontig:GCA_000165425.1:CT868241:420978:421522:-1 gene:GSPATT00012211001 transcript:CAK76659 MGSCYSQVPYTFPDGGIYNGEIKDGLPDGKGQIHWDNGTSFEGFFEKGKKVKKGIFKWGD SSHYEGEFLNENFHGYGEYYWYNGKVYKGNWVDGKMEGQGTLIYEGKEYVGIAFIQLSGE FKNDKKHGFGELRWPDGQKYIGNWKNGKQDGKGKLIQPNGHVIEGTWVKGKQQ >CAK76660 pep:novel supercontig:GCA_000165425.1:CT868241:421542:422847:-1 gene:GSPATT00012212001 transcript:CAK76660 MDNIYKFRASTSAQHLQHLNNDKQFYSEDEQSESNQQDDFQLKQLSKPPSKMSHFSKNLT GYQTDDSDKDSPRNAKQGPTSQKLSKFRNLMKGIEGDVEGAEEDREEDVNTYKTQTNNED PYNVKYQETKIQQKMQEFNNQQVFQVKLQRNVNSDIELSKSKQNARCKFSNHPFRHLIYG PSIGEHAFNKFLQLTQRGLIYATRCLKGPSNSFIKTKMQVLPEARTPKAKTLLLDLDETL IHSCSAREKSQTCILAVSEQGEEARIYLNVRPFCQWFLQQMSLLYTIYVYTASSSAYANT IVKYLDPKGQWISGILSRQNCLETKNGFYIKDLRIIANKQIKNMLIVDNLAHSFGFQIEN GIPILEWHDDKNDQELKYLATYLMEAADQDDLRLFNKNKLRLLDLIEHKFD >CAK76661 pep:novel supercontig:GCA_000165425.1:CT868241:422864:424175:1 gene:GSPATT00012213001 transcript:CAK76661 MDSKLFEEFDYLDKDQKNQMLINMKSYINQLQSQVQELERSAIDIIIEKDEKILQLETLL NQQSPSKHYNKDEEILQLKAHITELYQKIDVDQTQHYEELQEIDRKWNSYLLEQIQLSSQ DGQQQKIEMLESLLNLEKKNQKYDQELKIKDNQNMIDKNTISTLINKIQQLQDESQELNQ QLIKMQRENDQQHEHFQSNKNALEIKINKLNILQNQREKQVMTLKTQNAKLIQTNKELLN QINELREQLERQTEIKDQRHSENYLLQQTANTIDQIQREEVNITAFEIFEESYEEKDQMT NLRQILDEKSEQIVQYEECIRQSTKQIKEQRAQLQLLQYQLKQIRQQQFNQKNLKEYIQA LESDFLVSKQLLAEKADRYQEQMILLTQENFNLKQKVKCFQSRYHKKINRTVD >CAK76662 pep:novel supercontig:GCA_000165425.1:CT868241:424603:425311:1 gene:GSPATT00012214001 transcript:CAK76662 MQNHCDLILNERGVLWLGDCESAFNAEFLKIKGIRTVITVAAGLKLKLDGIVHHIIEILD SETANISRYFQIANEWIERGLNIGAVLVHCMAGISRSAAIVISYLIEKKKMSYNQALSFV KSKRPQINPNKGFSNQLQAFSAKFSQPPLCKNTRTNNFQQNQEYYECNHYFPMNRKGSAR ILQQNMNFYKSITKSLSQSKNSNK >CAK76663 pep:novel supercontig:GCA_000165425.1:CT868241:425623:426093:1 gene:GSPATT00012215001 transcript:CAK76663 MRKQQQEESEEDQASLLPIKNHIKRIEVDEMLVLLNNDLVNDAKDIDKNRQDIRSLGEAQ NKERTELHNSLLDHCHKIVTDMRELNQQSKSETYNLKQQLSCLNQDKIRLQQNLIVLENK VIETDKDIGFKRRNQQLNKKK >CAK76664 pep:novel supercontig:GCA_000165425.1:CT868241:426108:427530:-1 gene:GSPATT00012216001 transcript:CAK76664 MNRQNQMAIRASLKNTLFSKSRRNLKQNPPPQNSSPPMKNSQPKSTNQQFRHFSDIYEYG PSSDRLYEYLALGEGAHGIVKKCYKRDQNNIDIQDRTTYAVKIFRTGDTEIINTIRETFH INRTLNDLNCVVKALDLFINSKKEEHHLVMEYCPFLSLEQRMGKLSVDDIQIIALNLAQS IKELHQRGICHRDLKPDNILIGDNLTLKLIDFGVSKRFFVKGKVTKKIDMWTRTGSLFYQ APEIQFLGGGYNEKVDIWSIGIILYQLLVGQLPFQQETVLDTIEMIRDSEINVKNTSAFK KLNPLEQDLLKRLLKKDPEKRLSAEDFSLHPWLQKRQQKKSTKSFDDCDIQDIRKSDGIL QQSQISIQKQYLPRSNNPLIVPIQEEKVSSPLKLSWNIWDTHVHYVPQDLIQIINLYDRH SKEMGSSEELINQQQKQDEIGEFQVGLMRTTSEHFDQL >CAK76665 pep:novel supercontig:GCA_000165425.1:CT868241:427678:428512:-1 gene:GSPATT00012217001 transcript:CAK76665 MKLDDFKICIHNLFLGPKTNACYILQFNLFYDYVCNCNQKKFSLLFYQILMNSNSQRVSE SEIQGLATDVRELSQQNEENDFVELLNLLQQFLKNQQSLAISSFLTKYISFFSQDILKQL KGFTNQDMLWKGYIVLFQQEIIELIENQFIKNNIPSQLVSNQIFQQYEQQIQNIQVFNQS QPMPRFSNITQKYSDIIQQYRIDQYCDDVEIINCPLLTFRQEVYKFLKEFNTFQDYKNTC NQQKIQPIFNEINYSNIIYYEEMLKNGQRE >CAK76666 pep:novel supercontig:GCA_000165425.1:CT868241:429891:430139:-1 gene:GSPATT00012218001 transcript:CAK76666 MASSILNLLAGMSVGFYLTYNVDDETHKIFHKEIYVPVKNEMKQDDEPNYWTRVFDAASK GSSVSLTHLKNKYIKDTQNKKN >CAK76667 pep:novel supercontig:GCA_000165425.1:CT868241:430164:430537:1 gene:GSPATT00012219001 transcript:CAK76667 MSNKRQSNASQQQQQQKKPNFNDQPTVIPTKDDDKKSMNGSQVNDSHDGNQQQQQQSKFD LPQIPKFQSLPLRDYFDNKLSAILLEGLKEIGRQRPENPIRFLGEYLLEQDKQK >CAK76668 pep:novel supercontig:GCA_000165425.1:CT868241:430590:431494:-1 gene:GSPATT00012220001 transcript:CAK76668 MSKQLQNEKQQNVIQASGLQEAYEYLLRQLCKKGLPTGNVYEFSAQTILKYEKKLKNQNI QNKAQQAAKEAHEKFVKKMQDKEQKNQEEISKYELAFREEQKRRELNHQSISQEPVQRQS IDKQSLDLKNQQIEKVDQSPPKGQKNKQDPQSSPPKQEVKVQKQESEQNAQQQQQNQQQQ QQQQQQQQQQQNTNKKGAVQNQKKDTKKQQIQNEQSILKELKLEEQKDQQKQQEQQQKQQ DQQSQKQSEQQKPQEQQQQQNKVNEVNKEQPKEQQKQDNKKTSKKK >CAK76669 pep:novel supercontig:GCA_000165425.1:CT868241:432229:432462:-1 gene:GSPATT00012221001 transcript:CAK76669 MNRLAIYQKYYFSNPLSGLKKQSLDDSVEEEPSEHCKTRSSLNNIVIIQSLHSKTIIENF EDKRILKRSWRDDFIWL >CAK76670 pep:novel supercontig:GCA_000165425.1:CT868241:432836:433410:1 gene:GSPATT00012222001 transcript:CAK76670 MRILQKQSFLPYFYYSYLITLNLQIRDCQSLQKYIDFKNKENFYLPSEGLQKYDCEKYLK NENALKYRKMAVKAIFPIANKRGSALIFKQKMFLKKFMWVKGMARKRIQKVIQLIWQEQI FKSVEANKLQQKLLQQYQFILLNQEDRSNSQSLLKSSRILAIGFFREQQLKLS >CAK76671 pep:novel supercontig:GCA_000165425.1:CT868241:433619:435090:1 gene:GSPATT00012223001 transcript:CAK76671 MIVENVKKNKFSFSRKSESEQQCKLDQFKNKLQGDVLQFLKNTKPDDQSLQSFLQRMGIN LEVDEEKYILDIKEYHNNYIEGDILGEGCIGLVKSVKRKSDNFEFACKTVKTDSEEIVKK MILEFKNLKRLNHPHIVSMKEIYIQWNEGFQSTGMVCVIMEKIDGREMFEVIQQQKQYSG IILTKKETIARVLFIQILEAIKYMHENYCCHRDLKPNNILCAHDGKSIKITDFNVSKFND SYKEFGDLNQHGKIEMWTYTGTVAFSAPEIFSGNLYNEQVDLWSAGVILFVMLSGELPFN SEYLNDLIEQIRQCKYEFGGIIWDQISESAKDLITNLLQLDPDKRFTPEQALNHPWITHE QSNSDIPRYQLQINMARILRVKNINQESKIKQICYLFGAGDIWKRHSLGQDTNLDLYDNL KKYRSIDISDSWKNAEIKVTKDQSKKGVYTIDYPFTDSD >CAK76672 pep:novel supercontig:GCA_000165425.1:CT868241:435360:436702:-1 gene:GSPATT00012224001 transcript:CAK76672 MNYKYFILILGSLLITINGSKCKKVINSDAVYIFDDLQTKEYKQILNETSTLYFRFCQPI LKCPEITFNTFAVIINNEGKLDQQCISLINTDSYFADSFELINQDKSNEGVQAEFNNTLN GFYVKYVLYCQDQQEGLKILDISYDKNKQFYTIEMEADNGCPLVLFSQIVQFLNDNNKFL SAILIMIGLTECLMGKQILKPTLFIFGYLIGFFFALYISSEIDLGDNPFFLWLTLIIAVL IGAFAGGLSMHLDKIGIVAIGIGLGVVLSLLLWNALLVQFVTSQYLLYSIMLVFSFGCTA LSFRLFDHLIIFSTSFLGSYLVFKGIGLIAGGFPSEIKNISGNSDYRYYIYFTGIIILAC SGIYYQYKQWGQKIITYDEIVQSVMNGNQSNEVKDSLLNDPQNDQDQIELKEIQEKSDIK GFQ >CAK76673 pep:novel supercontig:GCA_000165425.1:CT868241:436732:437226:-1 gene:GSPATT00012225001 transcript:CAK76673 MSFNNQNYMYEEFKVEEIQFIPQIRQAKSIVANPNKINKKFLYQFNQDIRFVHFAQPIKK TKIIPPINARISLVVNLLKCLSNMKCFNVPLVMQIFCINLKAQQFVHSARKQQSGPINYQ IRLPNYLKAQKNKIEGYCILQFLLIIQTQNSNFWNF >CAK76674 pep:novel supercontig:GCA_000165425.1:CT868241:437735:438600:-1 gene:GSPATT00012226001 transcript:CAK76674 MINLQAIVSLDTGEKIEQICIYEGDDIELLTQFFCDRHQIRQEGKQFIIAEIKRQLKVPV KTRHTSILSLNTQQLVQKLYRNKTETDVFEQLYADANNQKKRLTTALQEHDQEEKQINYA IPRINSISRLIVKERGSSEEPIHSKLYQDAKVIEAKKKALRERVMSQVYPFHPNIGLNPK KKPTKQEQIYHVEKLIQEQADQQLKQQQRRLASDAEKKDKVTNQPYFKPLIRKDQTFRLV KKKVDKQDQILANLIANKMIKLNNKNSNIEYQ >CAK76675 pep:novel supercontig:GCA_000165425.1:CT868241:439412:440821:-1 gene:GSPATT00012227001 transcript:CAK76675 MIKYKRELFRTQIRQQFHQNVFKQKRILANTQVQQAYSFNQLIDMIANPRIITQDHLKEL NSCLLYCINQKLSNEQIQLIERNVDNLLSLLMYQITNKNTLILESLTIFINLTFFSDEIQ DKLLTNSHTNFNILQVISGLISNGTEVRTALELLINVWCNSNSQKLEQLDLIIAINDLTH NSISKNENQNILLISKCLKNFVSCICDDFLLFDCDYLVKLIKTLLNYGLKEKPQFISDQI EIYCIVVQKLNLNFLQQDYDLILNLINLSLGCITLVELITKLLSYFCINETECFYELLQI HVIEYSKQIIRLNNNEVIPSILNLLSIIFYQLSQTFNNLLQPFYDDNVILKEIIRLSEGC YPKKVREASIRCIKILIESSNELQIQNLVDLETHIILIDLLKDFSLDQFSTLFTLQSLNN LLSVFSVQAFQMDYPHHLMQFALSNNQEIADEANQILLKFEDDFHNICQ >CAK76676 pep:novel supercontig:GCA_000165425.1:CT868241:441471:441943:1 gene:GSPATT00012228001 transcript:CAK76676 MKTRNSQRQSQREDLSVTCQEVLTIISQKSHTLYINYFQALFQNVSMSDFPLYSSAQTPR KKRGPISKKHKYTTINLKKHKSSKQFICYEDRDLNIPEKYQSMLQKHKSDDDRESDSEQI KHAINYLYKDLLQCIEREKN >CAK76677 pep:novel supercontig:GCA_000165425.1:CT868241:441961:442716:1 gene:GSPATT00012229001 transcript:CAK76677 MLKKYLFQCNSKDYFQQKRVHTKDSEHTRHFEQHNYLKRSIATETKPALKPIDMEETRKE ELSKFRSISLYNKKQVRMPTSAEKCCSNERIRVFNIFNSTPRNVKPSRSQQMLNGFKEKV VYSSHNRQSSAAKPPISSRINDSQELLQKASRSNMIPLRSFFKDNQVKQQNNIKLELEKH ANNNILELLLLTTGELKKKFEDDHKLRDSNSRQNSEERVRVKVRNSHKFPKDFFL >CAK76678 pep:novel supercontig:GCA_000165425.1:CT868241:442766:445157:1 gene:GSPATT00012230001 transcript:CAK76678 MTSKDDSVRAKATHKFREELEQLTVNQEESEFLIEFFLHKLSDPQSLLDTTYILLKLSKS SKSIHPSFIKMIQNKQIIIPTFSREARINFYQIFLAFPITFELAAIILQSIIGEKDPRNI LVAFQLCDAILEVKNIGTQYKREIFEFLDCYYPIEFNEKADPRFQIKKVEIEHILNKCLF SEVLLNDSILLLQDKIVSAYDRAQGSALKSVMWIIKNQNKITALQIEEIYESIQKLAEQV IMDDDVIEQIPITLIEICNNERFQKVKTKIKTISLKTLEEIPATQQGFIYFNFLKLLVQN HGETIFRDIVQIFNKKFDTKNINVTQKRLENVISAIAEYKKYNREFVSELDNNQKSLLYD KLQQGITHKMQNVFILTLKCLADIMKYYQDYQKDLELYLYNQINNRELIEQQCILDYFAK NGIVDIKQYQQLLAFWSENNQLLYDYSLTCSLYNIQDYFSQFTLGLINNQNYQIKSEQIS ALKGYLDNNSISNSIRQDQAQLVINHIYNPLNKNRKNKLIKQLIQFILKQFALNQNIIKQ ITLDYVLHLEDDQIYYYIPLMEQELNENLIQSILEIIYKQDFKQRDVQITNRKYAYKFIY TCLSKYQKELNLDFPQLSTMETQFNDHQLNQLQLYIVLLRQQMLFNNEIALEKLKEISQS HPQLLSQIIKHKDLENKPSLQKSIGEMIKQLNHKQTLNYLINQVDEEFITGLANPELLQL IIEHFNDTKIDKLKSLALIVNWCPILKKQTISRFCTLQLIL >CAK76679 pep:novel supercontig:GCA_000165425.1:CT868241:445329:445853:-1 gene:GSPATT00012231001 transcript:CAK76679 MKQYNLRSMTILLHQPNIEKVVQKIQQDCQERKSIRYKSEQEDSDFFFSKAIQKTNGNQT YRQPMSARSLYFTPMKSVNSHRQMQQQKEVNHFEDGIESIDGDQSNLLTVILQLQEQIKQ QKDQIEILNQKCTILEAEKDEAQKPNIEQITITAHLLQQNEQLMQQLARLRKKQ >CAK76680 pep:novel supercontig:GCA_000165425.1:CT868241:446883:447856:1 gene:GSPATT00012232001 transcript:CAK76680 MFNSDMKEIDDLQVLDYDVFGKCNDMMSFIKSTHPILKDDPQINRKTNAVAKLINQLINF LKDSDSSNLYNFPEYHDIVYLLKNNLSNNLRIQAIKLQQLETSNYEYKNQISNQAQKIQK LCQDLLTQDKENQKLQKEIKALKHQMQQMEDLEYQIEKAMNNQNQDLNENNNILKNEIIQ LKHSLELKENKIKYQEGEIKLLKIQVQRLLDDQRQDRKHHLFYEQQQIFKENQELLKKIN QLSLQNKYHVVQNAEKRKEPQNEEYNKKQIQYAEKLQNRIFNFLNQLSLHGFLLSAWIGA YVIIQYFK >CAK76681 pep:novel supercontig:GCA_000165425.1:CT868241:448041:448562:1 gene:GSPATT00012233001 transcript:CAK76681 MVTCHIYLNSNLQMTLMGLSAVEGANGYVIFNQDCIPLKRSEKNITYEKAVHMSALVADL WNVTKKCIQRELRIRMILKQLEQGLRPNLNTLFLNVILILIKQQEGDYTMIGIQLCGKAI EEAKQAAAAEAQAVAEAEKAKKGDKEQS >CAK76682 pep:novel supercontig:GCA_000165425.1:CT868241:449382:450040:1 gene:GSPATT00012234001 transcript:CAK76682 MKRKVREYDENEFSKADLKIILLGDSAVGKSKLVERFLLDDYEERQQSTYALTMYRHNAK FEGKTYKIDLWDTAGQECFQTLHASYYYGAHACILCFDVTRKITYTNLKKWYEEMRQNCP TIPCLLVANKIDLDPSVTETKFKFAESNNLPIYYTSSADGTNVVKVFQEALKAAIEHKQK PGGQFMDDLMDYLQG >CAK76683 pep:novel supercontig:GCA_000165425.1:CT868241:450040:450654:-1 gene:GSPATT00012235001 transcript:CAK76683 MDQPRHVFKRVKERSIMDAKLSVDEWRNLFKNGEIDQNGNKIKYSLKQAAEKVGVPKKTL EDYHQLLKKAEQMVDLNNIWDQKMGFLRKLLKCKQQQQFQSQIFSDEEINNQIQEENEVQ EQESEQFNIDEMIVVGDVQYYNVDEQFEFVQQHVQTVEVHHQINNDKKMEIPYSDCDDCE TDDEFDNE >CAK76684 pep:novel supercontig:GCA_000165425.1:CT868241:451135:453083:1 gene:GSPATT00012236001 transcript:CAK76684 MFAQARECHIHAKLNIFGQDRRAYLARKDASVVLIWVVMEDYNVKVDGHIKMKETRNVCN AQQDVVHVFQMGQMDLFVISANQDINQQVECGSIFVANICVPLSFNPRLGNVKFFGFGLW GIPYWTIALDNLPQGIEEAYSTLDCIERDSSTTFCSKCPDGSWLNELMQCEKCGPGCSLC KSRGTCVECQSGYYWGEETGQYTQYTAYFNGAGRCLQCSIKNAKSCDANSVLSCVDGSYL QNSNCVDCLMQCQTCTNGNSCVTCKQGYYQQNNECQYCIGNSDMNINCLECSDLNTCTKC ADSYMLINSQCYLIPVDPGCETFRAKGTNQVVCDVCLNGYYLYQGTCYSCLNENELYDLC TNLDESGQSPSVYPTQCLTNIADPISSSNYFLIQQTDQEIKTNLCVQNNNGCTKMIDSNG QCSQCADQYTLNNGVCYPCSTILNCLECSYNNEVVCNKCIQSTYLNNNECVQCIEGCNKC SSQNSCDTCSTGYYYNTQQQCVKCSVQNCSSCPNDTCQSCVPQFFLYAQTCQLCPPGCSN CQGTLGRECTTCLDGFYLKDNGCYPGTQYCAQHNSDGTCKHCIYGAYVADNQECLPCISL GAGYVCGQNANVYCFLGILQFAVLFMLLFQ >CAK76685 pep:novel supercontig:GCA_000165425.1:CT868241:453330:454419:1 gene:GSPATT00012237001 transcript:CAK76685 MEVLQQEDNQFRYKLMNVFFQYIKNALFILLIGYEGIKLLNIDHDCQLSEITYISTLYHG GIILLLFNIVSACYILYQKHFVRIEYFITYGLNILVFVCMLLFSLQQMIQGRCSIGQLSV KYYIILSIITQLDVLLIMMFKHEFSNRQTNICSNTAVVILLLTTRIDNDCAVNAISLEIQ LISANILTLLFFTVLNVAINLFPKLREQLTNAFKFVCVLVLCFLILNYLLIVYFVQSGDI KENQECMPLDFITRTYCFLAPVNLIGMIPILASFKFETIDEEEDVPQQVEIVSPSRGLPI QNSPSPFQQSKLSMNNNTTPYKIIVNNNNQLSPDELFFVGKQQQQQQQQQQQQQ >CAK76686 pep:novel supercontig:GCA_000165425.1:CT868241:454469:455631:-1 gene:GSPATT00012238001 transcript:CAK76686 MYSKNPYTRSAFKQNEPSFQPYPHDDYYYQPQPPPYYQQSPPPYYEQPYPPYYQQPQPSF YEQPYPPYHDQPYPPYHDQPYPPYQTSLPRKSFAESSRPFSNYYEGDGMITDIEYLDFPA DKTYKRTPLNQRSHNKITNIKKQSIQTIKFSLDKNPVTIFFTVLLEQLNTERQIEELKRK LVIAQDFNLPQLFQIIDKNQTQNITFDELFQGFQEIGLKVDYNQLFLVYTRFSEFNSIDR MSLQEFEHLFLPLGQTSKQQKRQNTLLGPQTKSNLNELIKLYQTNELRFENARSLLQRES IDIQQIYQMIDVNQSRNIGLNEFMNALNLYSAVKVVPSEAALLFMRFDRSRNGTISFMEF KREMELKLS >CAK76687 pep:novel supercontig:GCA_000165425.1:CT868241:455716:456354:1 gene:GSPATT00012239001 transcript:CAK76687 MKIYVDAFSGEEIVSDSFNMEEKFEGVIGEVQSQDIVKGALNVDVGAGGHFGGKNEDEED GGVDDQAQKVNNIIDAFKYAETQFTKADYVTYFKAYAKKVKAYLEANKPNRVASFQKGAG EFIKWVSANFNELQFYCPESYDMENHIVLGYYKEGQAAPAFVYILDGLKEVKM >CAK76688 pep:novel supercontig:GCA_000165425.1:CT868241:456355:457892:-1 gene:GSPATT00012240001 transcript:CAK76688 MSIICTKLFDQFNQLYSSFNPSYFIIGVTAFNQGIQHLSDLAVNYMLKDEYGLSPAMMGL YLSYTTLPWMIKPFWGIITDSKPFLGYRRKSYIILFGILDALGWIMMSKNKDGSLVSVLL LLFLIQLSICFVNVVGEAILVEVAAQASREQRQNNFQHGASRNVSIFFGVRAVGSLLSAY SSGALLHYFTYQQIFMITSIFPMILVFVSFFYQEQKIDVNSLDQNDRKNNTTQCLKDFWQ FFKNPLIYKPVMLIFAFMMAPSSSTIMFFFYTEVLGFNPKFLGQLKFMYAVASISGVLIY NNYLRDIQFRKIFLVTTFLYYLCYQSIIILVTRKNVEWGINDKIFCIGDQVMLQFVGELN IMPVLVLACRMCPKHIEATMYAMLMSTINFGSMLGSWLGALFLIWMKIDQTDYSRLWLFI AITGVFILLPLPWLYVVREDEILKQREKPLEEEEKKEVQSSTPSTSKRDEVEEKVPLLQN EDNPNQ >CAK76689 pep:novel supercontig:GCA_000165425.1:CT868241:457914:459742:-1 gene:GSPATT00012241001 transcript:CAK76689 MKNAIEKVRGNLSGHRMTIKDVVADVLKKSDPEFGDDEDLNILVTLLKKQSSQRSQLDID KIRLSFFRFKFFQELEQQMGAEMVSGLYKQLSYEVQHRRQTVFNIGDLGKKFYIILKGSV WVLVQKKGLQDGSGPTEEDQKQEQDLKDEKNKEMMENAKKNKKMKNKKNKPQAFITQVTL NDIFATMTDKEFLDTQFPTLQKVGQINSGESFGEIALTKQVPRQATIVAAEDTHFATVTR DQFNRLLSAFYEAQQKVNIGFLSKVAIFSDWNDQMLNQLYYHFKQEERKLFQIIYKENEE ANNIYLLKSGEVELCKFVNLTQSVQNNSIINKFFTRNEQKLEKVRTSIITPGQIFGHEEV LAGIKREYRAISISQKVQYFVLDKQRFLQYFQKGAAIQKLQHFDQNKITQRKQSLDIIKQ IKKIPQSIEFREVPFIEQSQNQQILKNSFERIGNPVEPTGYEMLQGHGHINKAHYNFRRN INVIYSNLKDTEPLSLEKAFFQIEASKGSSISIINRIFPAAARPKYVIPDSSISSKTIIK CLKLPKILTEVDKVMLNHKNQEYLDSFKAQSIRGESLKTII >CAK76690 pep:novel supercontig:GCA_000165425.1:CT868241:459878:461742:-1 gene:GSPATT00012242001 transcript:CAK76690 MKEPLNCTLSMLELVQKEVNQDLQTKYIDPALAGCKLLISTANDFQDYVTMHKKNKLDRN LMDIHTREFISDCLNIIKAQALFRGLNIQVHIKQNVPMFLRTDPNRVRQIVLNLLIKSIQ VTINGSIEISCQKSPLLTDHIEIIIKVMAQSVNEGILESVEQTLKHLKQQTLVSKQVIDI VATSKQYCFSIITAFYISMAVAIMPFEFNSIKTGDGTQFYFILLIKNENPNFNKQLTQKR QSALVANKSQFHQVFGQSSQFKRHQSQRLTEICRIQVQEKLKKMKRESQEETISGKIEPQ LPQFGQSEASDNQQPDKSYSVSQISEQDDSQQSSSSSDSKDEMNKGECDGDIQSSKSSLQ INDKIEKIEKQQKPSQFLDTFIRVKEPKARKISVSIIQSRLSPQVSQQLSSKQRDSLLTF GGRSSVYSSMRISSVNLGPNELLDCFEKMERIKQQQFVYKCQCPKVLICEQNDFDLYAIS HQLSNLKIPYIYTMQRLHIVDQLRKQFSQFKTCCKGYHIVFVGVEFVNEQFSSDCAKIKA VLAEYQKDTRLIGLIGFQDEESKLAIKKLQFHDCLQKPIMIDALLFILAKWVKL >CAK76691 pep:novel supercontig:GCA_000165425.1:CT868241:462014:463155:-1 gene:GSPATT00012243001 transcript:CAK76691 MHMLSNYFKLNPETYQNYILWKSTMTIVSLYQCFDILITIVQALNYQIEGQKLIFVYRIL IQVLLMIAFHIAMSKLKRETTLLINTITMLKYFSLIILWFETDYDRIYTSEYDQRSQAFE IYGIMILFLIAIESQLCRTLVILFSLFYSLFRFPAFTNTIEVMGSTRIIFGHVVIQSLLL YHLYQTRNSNDALLTRNLSPLLTQRAAQINTQREMTEPQNKKNNIADIANDEFENLNNLK HLEEQYELLLINFQCGIYIFENAQQPIRIINSFMSHIVLINDQLNPELTQLELYDFGLLS EESCTILPSFHRSKDISNFIKFTQTLEYQYINAFELRTSNLTTFSLRKRKRYNSFVGRCK CKP >CAK76692 pep:novel supercontig:GCA_000165425.1:CT868241:463189:465429:-1 gene:GSPATT00012244001 transcript:CAK76692 MKIKTLIEHLLPFQHFKFNQCQNLIDFSLKIYLKKDNLYTSVVLSPILQRHRPQLILFVS DLTDEPFMTQLNQYNQNSDQLVSDISQKIKQPLNCTISMLEITIVFYSTSTQHSVPFEIQ EKFLNPALAGCKLLISTANDILDYVTIRKKGKLELCQMDVHIREFITDSINIIKSQALFR GLQIQVNVRHNVPAFFRTDPNRLRQILLNLLVSSIQATINGTITVSASKSTLMQDHIELL IKVNASEINQSVLKTIDKTVRFFKSQNLLASTMIDLANAGRKYSQSILIAFCLSLSISTI PFEYHYEKNLDHEEFCFKIQIQNKNPNFSQQLNFKRQSALSFQQQSFKQSFSIDQGFRRH QSSRQSLFDKASITIQGQLEKQKNKQQQSQQSQQKLQSQSQVLATQSQRKDSAIKLSTSF GQQNQSRFIVPRTSQGDIDVDFLPTFKTIKSQDQSKKIKQIISHSKSDISIVSEQESKES KGENESSHNSLDVGVQLDQFLYKQTNETGKLNENESPLVVMQQSIAYTYNNNITPSRRDS ILTFGGRSSMFSSMRYSASIMQPNDLIDCFEKMERIKQQKYTQKCQCPRILICEQNDFDL YAVSHQLGNIGMNYDYTMQRTQIHEKLKTAYEVEKSCCKGYQLIFISVEFVDEELGEQCN LIKNINSQFKKEARIIGLIGFQDDDNRNAIKKLPFHDFLSKPLMIDALLFILAKWVKL >CAK76693 pep:novel supercontig:GCA_000165425.1:CT868241:465476:466604:-1 gene:GSPATT00012245001 transcript:CAK76693 MIDNLKGYFYIYSQPYTNIYQHTKVINIISIYQLFDMIINIVDVSTQSVDGTRIMMIARI IVQAGLLLVFWHIFKCLRKIRNGFLNVATFIYFISLFICWTETDYYLFNEIQKPYTSYTA EIYILLLALVILQESQICQTISLLFSLFYSLFRIQLFQNKIDILSSIRIVLIHVAIQIFL LQLPQQKQKLNNQLVMLGQQSKNYVPMQSNRHSQLNQQLRLSTYINQQNYDVTQRLKQQL KENEVAVSEENRLDTERQNLDQFYENVLNNIQIGIFILDNLQSAVKSINPYMSHLVLKDD QLSDEFLNYEMFDFGISFEDNLTVLPKFHRSKDIGNFIKFMQTLEYEFFLLLLCLEHKL >CAK76694 pep:novel supercontig:GCA_000165425.1:CT868241:467241:468226:1 gene:GSPATT00012246001 transcript:CAK76694 MDTQFFTSSTSMSSSSSSSSRKGSQECFSDFGIEKKSRKSKKSAKRSCSFALGSVTEESE DIEDYLLSRFTHIQMPNDNNEFRERIPPRLKRTEKYQKRRASLSPHGTNGLAKVEEVIGE DDELKNKCIFKLGLMLDKDEFNDKRRRLLQSKDRINIEKATTTNLTILEDAQENVKEFQQ HVMSPTYKLKVDEVDFEQEKKQWQPQEIIEVIEEEKPDNVVNIKEKEMFQKIKKILHEER DFLKRILKGELIQQEDIVKKQQFQSEVGETYWNQLIQEAEEQVNSDEDQQ >CAK76695 pep:novel supercontig:GCA_000165425.1:CT868241:468238:469250:-1 gene:GSPATT00012247001 transcript:CAK76695 MSIITLIICLTTQMRCRSAFKGIKRYIERILDRLIFPARSCKEYQSLNAQEVKYFSVVRR NAGEGNQNQRCNNIEFNRIVPYAFLRNELYPNTKYYVIYFHGNGENIDDAAHLVRKLMKS LKFHAFLIEYPKYGIYQYTETNAKIILEDSILAYEQIKEENKLDDSQIYIFGRSIGTGPA IHVASQKNCRGLVTISAYRSIKQLVRGMVFGVGFFVSAIMDERFNNEENIAKVRCPALFI HGVDDTLIPSHHSIFLQRKLYNQNQNARQELFSNMNHNNIEGFEYEISEKIALTFQELSK AND >CAK76696 pep:novel supercontig:GCA_000165425.1:CT868241:469304:470376:-1 gene:GSPATT00012248001 transcript:CAK76696 MSDQDSSHIALIVVFSIFIGLYLLVLIILHCFGKINNIIFPAPTPMYEEKYFEDKLYFAN LYNQDGNLVNGKPTEYSLNLEKVRSLPYVYIKNKYSETNLYIIYFHGNAEDMWAAAQFME YLMKMINANIFVIEYPGYGIYRNVKPTSTLIEQDALVYYDEIKKEFKLQDEQIYIFGRSI GTGPSFYLASQRNIRGLITMSAYKSIRHIISDFCNGCGCILNLLCCLPNFFRNLERSQDI KCPIVLIHGLDDPLILSHHSQEIYQNLPVSIQQKSNLNLRPRMTHNEYDIDEDIACPILE GFTDLKQKKFS >CAK76697 pep:novel supercontig:GCA_000165425.1:CT868241:470608:471069:-1 gene:GSPATT00012249001 transcript:CAK76697 MHRPKPHIRVMQEKEMLDGYSRLQQIGPLITIPRTVDRIPNMKKVQILEDRYTQIEKDNR LLLEKITNIMNSTNIKLDKPKRISSLNTRKKTEQEQIRRDNLILFKHITTKKSSYSKQQY DKEWNQTKQYFYNLSGLRPSTQKNKGLVRSFEF >CAK76698 pep:novel supercontig:GCA_000165425.1:CT868241:471144:472431:-1 gene:GSPATT00012250001 transcript:CAK76698 MGTSQKFIHGMRIPNYQFSQTAQANDYRAILNQLNQLHQGKQLDKKFQFNNQSLICLNSK TYKQDNQYLQAFLNKLMMKIMLKKYLIEPPNYIQNSNINNIMNQINFLGRNPRRNYTKQF YQQYFNFVAAVSQAPDLNASEIGLAMFIYGQVSQYTKSKNKEVEQSLLLNFRQQIDHFKS NDLKHFSFGLILARIQRKDIFDMLEKQSLVTEMEFQDLIRVGTGIALFGKGSPEFWKLLE EQAIMNIPTTEPQNITTLFMLYKQFGHGTQEINKLFEQQFIQRYDQFSNLLKLQMFSSFA KIRYPSSALFKLFFRDIVGIIQSVNVTAVQMLILDCQKIFHQFPKQIQQFFIDFILKHYQ KFNPAIKSKLYDSFQEQQLLTEELEVALLKKQ >CAK76699 pep:novel supercontig:GCA_000165425.1:CT868241:472487:474169:1 gene:GSPATT00012251001 transcript:CAK76699 MNQLQLSQAQSPRESNFDYEEQPQIQSIQLHQSRAALQIITNVILLIQGIQIQILVAIFQ IFLILFNSYIAFDLSYKISILYVTILHFLQIIKIGVYNNKQSQRINFVQEIISQPVLLHI DILGNSLHLLLSLKGCLIYFLENDDFPIQYPILMHLIFTLTWFVLALHTYLKNKNEKSFI LVLTVLLRFFLVLQLMMVNLKQIQWIDWEWLYVFTILWIFLSIICIFQIIFLFDFICKAA QFLQERDPLNRESINQQSLVIGSLWINLLVLCISALPTFSMVCYTIKLSTGKESYNSLSI TLSVIYSLVFIVFTLYYRTALSLFVSQIQQSRGIETNSEINMDNLQRYRLNSPSSKHKNH LIKSKDQILIQLPQYLIRLSQTYFLPAINSDNSSQLKQSQQLQAQQQHIKKNKTDSEQPK LSNRVSLTEINSDKDTQCFNCYQNESCAVYMPCGHGGLCVKCATEWFTEKQECLICRKPV ESVVKVSQSEQNKVQVIDVLAF >CAK76700 pep:novel supercontig:GCA_000165425.1:CT868241:474183:474670:1 gene:GSPATT00012252001 transcript:CAK76700 MKSKILNTGSIPEKKPTVYLNDGQGRDTYISYNNGGNFAQEFRFLASPKQPTSMRLKYNY SVQQDYSTPRIYYQGDGTGRDTYILQNVKDKCISGQLNYKSMLRSDTNFGQLQKYRYQLP PLAKQKMGLIHQQQKVQSSRLSMPKGKLFFNEE >CAK76701 pep:novel supercontig:GCA_000165425.1:CT868241:474844:475159:-1 gene:GSPATT00012253001 transcript:CAK76701 MANMLEDQQKSTLQVAYNQVITKSKLLRSFSLLDTVTIQPFKKVFQIDNRGNQQECQSIY CQQFHQACLYKINEIYELLESSLQSSIFTLEKIF >CAK76702 pep:novel supercontig:GCA_000165425.1:CT868241:475258:477447:-1 gene:GSPATT00012254001 transcript:CAK76702 MQKYHNTNSTKNKYLKSTFQPPYAQNVISVNQGIHKLIDKAIRLLKDEKFSSIHLVAYEK TAANAIMMAKILKEKFPKLHEISLIDNIEKFTHYEPLEEGLVDVNISVKISSIIIKLTFN PTQEEMQKPGYQKSLFDERSESLKHHDPMIRELYDLTSTNDIQQEEESSEDEDQEQAQED QVENKEGERYYRSQVDFKSSLQESIIKHKDIYASFQQDQDQFNKNFDQTNQFNRTFDQME QYNKNFNQTNQFNRTFDQMEQSNKNFTYNYRQEQEVQSQNKYQRFDQRPQEYFDYAHNFK HSKIPDEDGFEEISIPKQNQNPNFNNQKFQPYPPSQQQSQIREHHQQQFQQDFGNTGNNH NNNNRYVRNTELRNKRMEEQFTDSQSQFFHQSNVYHHQNDGTQRSFQNQNEFSQFNYPNL NQNFIRDERSMINKQQSNIDNMSYNKDRRDDRDRRDNRDNRDNRDYRDNRDNRDNRDNRD NRDHRDNRDNRDNRDNRDHRDNRDNKEHRENREHRDNRDNRDNRGNRDNRDNKDNRDNRD NRDNRDNRDNRDNRDNRDNRDHRDNRDNRDNRDNRDHRDNRDNKEHRENREHRDNRDNRD NRDNRDNKDNRNNKEKRDNRENKEKKDKRDNRDNRDNKDNRDNRDNKDNRDNRDNKDNRE KKQEKRKNNDNDNKGQNQNQKSKVENKLPQQSQIISNFDKRRELNEKMCTPM >CAK76703 pep:novel supercontig:GCA_000165425.1:CT868241:477716:479028:1 gene:GSPATT00012255001 transcript:CAK76703 MMSKCKSCNDDGFLTCPLCQKTTYCSKKCRDYDWAASHKFECKAELPKRNESDFEIVNQG YLGKGSFGCVKLARDRQTGLLYAMKIVNQIEKSDISIENLRREIRIQKKLQHPHVIQLFE FFEDEQNIYLVLEYAENGSLFGYLRKRKILPENEAFVYFFQTCLGIDYLHKKQIIHRDLK PENLLLDKDGNIKICDFGWSAEMMITQTRNTFCGTIDYMTPEMLEDKPHDQTLDMWCLGV LLYELIHGQAPFKGRNDFEKCQNILKQEQFEIKASDQVKYFITFQAKDLILGLMKRESKD RLTMDQVFAHPWMLTMAKEYKLEIKEYIFEEKKNLDQLNSSLRLDTKFSNTNTNTTRLSG SNIKQECVSLAFSMYSDEQQHPIQTRITRRTQHPVRKESGFFETLFENLGCTKRS >CAK76704 pep:novel supercontig:GCA_000165425.1:CT868241:479116:479538:1 gene:GSPATT00012256001 transcript:CAK76704 MIDQQTKTLDQIYGCNFKRVPYLYGEMEGTTPFPINEADEDLDSDKQQQQNQHKIISPIQ LKSQLKPLIQTRKRRQTVHKSPVNKSQFDNHIGFRNSFYKSNNKVNLVSRQRVEELKNYE ISMFTKYAQRFQLQKQVLWN >CAK76705 pep:novel supercontig:GCA_000165425.1:CT868241:479853:480208:1 gene:GSPATT00012257001 transcript:CAK76705 MQNEESLEHLVNSLIQNQQLDEEDQFKQQIGKRRINDQRFTFQEDKRILELVQQVGPNFN KIVKQFPGKTMNMIKNRYYKKLRYIKEDVQNDQEQVKKHSKSKKAN >CAK76706 pep:novel supercontig:GCA_000165425.1:CT868241:480349:480689:1 gene:GSPATT00012258001 transcript:CAK76706 MQSQKRGQQHKQGYQADLNKYFGKRLKIKLNAKRTIIGTVIGFDIFMNLVLDHCKELIPG QGAENKDNLYPGFSNVTDQLVDIGQAIVRGNSIIMWECLDKVKD >CAK76707 pep:novel supercontig:GCA_000165425.1:CT868241:480953:481531:1 gene:GSPATT00012259001 transcript:CAK76707 MFFVIIKNTFYFYILIHSIFSLLSLLICLDNDIDHFEIQCPRLSNKIKEFIAGIFKPFLP EVIEFLVGKILMRDLRIVNALIFSGILEIVLAILGGFYYIMVETPKPIQKSLRIIAKCCF FLGLVLWIAIYCSGIGLRKLFEILHIVYQNPQNVENYSNDLQEFIQKRQEEILEYYF >CAK76708 pep:novel supercontig:GCA_000165425.1:CT868241:482046:486136:1 gene:GSPATT00012260001 transcript:CAK76708 MASIAQQILVVFQKNYMVYFQEKQFIGEFAVPIIVFAMLMVAQKENNPVFLEVVAEFVPM TFLATSRYIVIQMIAEKSERQKEIQKIMGLKGSAYQLGWLLFNFSRLISVCFIFLLLTVP SNCFAHPKLGQTYLDSGQIIGSFILFGLSQCSQSYFITALFDQPRSGADMSVIVTIIGSF CAQLLNVGNVNSNGGLLILLGFCFPTFGFDIFVFPQLGGIVNQDWGISIEGYFVLQASTA LIYAFLYYYLEQVLPNEYGTNKHPLFFFGFKYQTETDVLSSSYELGGMGKPFISNRQSEF QEDASSAIYHEVFENIKQKAIQIKNVRKSYGELKAVDGVTLQIYDSQILCLLGHNGAGKT TLISLLTGLIKRDSGLISYYNTDTDFEDIRSYLGICPQRDVLYDSMTCDQHLWYYGKIKG IEDKQLYLDIDLIINKCDLLNDRAKLAKNLSGGTKRKLSLAISLIGQSKVVFLDEPTSGM DPISRKKIWDILLQVKSEGRCLVLTTHHLDEAEVLSERIAIMAKGRLLTVGSVDFVKVNF GIGYHLNIYNKGSSDWEKKSKNILALTKKCVPSSRENPQTPQECLSFSINFDKKEELLPL FQQLEKDPQIELNLIMNTLEEAFINIGMDEETFLQKKVQGLQATQDTTQVNLNEELNKIV PPSCLSRPPIFNFGLQLWSCLLKKHYNVTTKRLIIGIIMPAVFIILGPLLSTVYYKSFVN KNAEQYENEFYENALAVFDSYGYIILGIAIASSQVGSQPVEEREKKQKYALNVMGCRILP FWLGYYIYDLLISIILLVIFIITVVACGYTELNNGVFYTLVFFNFFAYLPFSYMLSWMFN SFNSAVKSLLIIQVIGFYLIAIIIYLVSFKNEAGLWILTFVCPSLSFFSGCVTFLNGLKL IGANGKVQHVSAFGDDIRPYVFIIILFFQGLAYFGITLLLDNRQLLAANNSGQLGTISDQ DVLTEEQRVLDQRCQDRILARKISKTYANGFQAVKGTSFGVEPGTIFGLLGPNGAGKSTT FNMITSRLKPSSGNIYLEQVEIKKGLGEVYQNVGICPQFDSLYDIVSVRRHLQLWAYLKG LKGQELEESILYFLKVMQLENYENSLAGQLSGGNKRKLCVALALMGGTNMQFFDEPSSGV DPIARRFLWNAIQQGVKLRQSSVILTTHTMDEAESLCNKIAIQVNGAFACIGSVQHLKQK FGEGYRIVIDPINQESVSQIQQQLSQNFGKIDFNLDEHTGKIICKFPIQGFQFYQTFYTF QQVLLNELKLIKDFQISQPNLEQIFVQFAAQQVAEQEKVQIQKNCCSIPILCGNDEDN >CAK76709 pep:novel supercontig:GCA_000165425.1:CT868241:486214:486615:-1 gene:GSPATT00012261001 transcript:CAK76709 MVSRLLVQLDSTTEWILIFGVIFGILIIGLITYRLCRRKQNSQPKYQNNSKNFKDHPKSD KVQEEKKLQNRMDTSGTKKEFFVIEEEPEKKNDHNDNYNPGEVNESINLSNVFNLEGEGK QRQNNKYQQIRQN >CAK76710 pep:novel supercontig:GCA_000165425.1:CT868241:486676:488305:-1 gene:GSPATT00012262001 transcript:CAK76710 MSQLNRSVKQIGQYQYNERHCLGEGAYGKVFQGTDIKTNEIVAIKKMDLALFERDTYLRN QIVSEIEILKKFNHPNIVRFIDLITTQRSLYIITELCKDGDLKEFIQKKRISEQETQGIM LQIINGFKELVKQGVIHRDLKPANILNHEGIVKIADALLDFGFAKYVDNYTSQLLRSCVG SPLYMAPQILQRKTYSTKCDIWSIGVIFYEMVFHDVPWKGRDEQDLLKNILMKPLVFKHN GITDFTREFLTKALIVEESERIQWDQVFQMFESMEKGLVSNNPTLQKLYNDQNISWMQKQ SQKMTGDQLCKQLVFLQQMKQDIGFRHFVNLELYQKLDQLKRIFRTDQSIEECILLLSRL VLAYSYLLVQLIEETCDSGEDMLIKGTKWNILNYTKNEQEYYKIFFTNCKDAFLKDQSQC DAEVNDVERNKLEENLTNKIIKIIGDSFDDLKKKAAEDTHYASLIAIELLLDQQIIHKNI VKITEIEVQQILAEKQQKDDWRKILERITSKWRQLQKL >CAK76711 pep:novel supercontig:GCA_000165425.1:CT868241:488590:489744:1 gene:GSPATT00012263001 transcript:CAK76711 MNNIDQFFISHIQKFVPSWSHLQCDDLRITKTIGITNKTYIIEADATPSKIIFRHFGEVG VGLFLNREQELHIARQVAKCKMGPHFYGHTSHVRLEEYIENEVMSQESMKDPDTYTLVAQ TLCKFHQIDVSSQMNDRTPLFEKHLQENSDFLSQVREKVCSSLFSEDERSILSNMAHWFS EEEVKFLQSVLPKDDIVFSHNDLLANNILLIPPNFDKVVFIDFEYSSYNFRGFDIANYFN ESQFSYLNPNPPYFYIEEGMIDEEILKDFVKVYIEKSGLDLDYQTLLHQVYIGQLFSHFF WAAWGIIMAKSNDIVFDYLAFVEVRYHKYYQLKKHLFGKK >CAK76712 pep:novel supercontig:GCA_000165425.1:CT868241:490168:490548:-1 gene:GSPATT00012264001 transcript:CAK76712 MHDEIEDHQNCVSIVVDTTIPTFAVNENFQSVNSSISLQPQLWYQLFSCYQITIKFFMNV IESAKLQSGKAKGFKKLKIGLENELLQFGFISILQQKERRIGNSGIIPIIIIKQRLGIIN IRELLI >CAK76713 pep:novel supercontig:GCA_000165425.1:CT868241:490651:490818:-1 gene:GSPATT00012265001 transcript:CAK76713 MKIREKYQPYFGFSNFDLDKLADSGPHNHIINKIELSRSHLMMGAGLNFKKEFEQ >CAK76714 pep:novel supercontig:GCA_000165425.1:CT868241:490980:491577:1 gene:GSPATT00012266001 transcript:CAK76714 MDQISEEAIKAMPKKPQNGYMLFRADTYDDLRKKNQDKSMTELTSMISSLWGQMDEKKKD KYNKDYDKAMDQYKSDYAGWLKKFKLDDDKVKKFFKDNKQAKKKNKKGSNKDTKTSKHDD ESDEEDEQKIQSLKNKNQKKQQEQNVQNAKQNTKEQQKQKPVVPQQKEKEKKDNKKK >CAK76715 pep:novel supercontig:GCA_000165425.1:CT868241:491581:492507:1 gene:GSPATT00012267001 transcript:CAK76715 MLQIQQLCEDSGLSCGRGIFIGIYAGLIFCISIYCLIKLYGFMGRISYDLIPLTTCAIQS SLHFINDIFLVTNSLQIVIIYFCLQTFILISQSFFHLYYKMTYSKEEFQEYRLKQKYSLI TFYILFTSIIIFYLIQIDDSNCSAYFDVGLALQFIIILFSVVISILYGQKLKQEMNKHEQ TNVTKIANYQTTAVQIVLTTSAILEFIMSILSLTTFKDLFCNLPLNNLNDLDNNEGFTSG ENVYLTFFSLIEMTPCILVPIIFYYLPQIPQQQNQQHEFNLNDSLIQQSARQSMDFSNLI NMSIELKQ >CAK76716 pep:novel supercontig:GCA_000165425.1:CT868241:492602:495062:1 gene:GSPATT00012268001 transcript:CAK76716 MPPKINQSGFMSVEQRSEIGDFSRHTPARSLIQPRNRSILATASRRTGTQSQHSPTRMTV ETHEAVYWGTNIDERAIEKQFDRFLKEYRSGGMEYYMSQLNQLNETDQFILNIDGRHLLE FNNHLYQQLIHYPAEIIPIFDTVVQKVFYDDFLSLKARNEQEREEFRLYAQRLLIELNPK DINKLISVTGIVIRCSELYPDMKQATFKCTKCGHIVGVNIERGRVEEPISCQRCRDKNSY ELIHNLCQFTDKQYVKLQEQPENVPEGYTPQTVNLVPYDYNVDDVKPGDRIIVVGVYRAA PIRQTKNRRVLKSIYNTFIDVISYQKETKIEQEKTKNITEEQKQKLMYLSQQSNIYDRLV KSIAPSIWEMDDVKKGVLCQLFGGTNKEFSQAGKGRFRADINVLLVGDPSTSKSQILQCV HQLSSRGIYTSGKGSSAVGLTVYVSRDPETREIILESGALVLSDMGICCIDEFDKMDENA KTILHEAMEQQTISVAKAGIVSQLNARTAVLAAANPLKSRYDVKQSVVQNINMPPTILSR FDLIYLVLDEFNEKRDEMLAYHILNMYSLKDQQDYLNQIEEEGNTDLIDRETLYSYICYA KQNIFPRLTEEAQNELIAAYVKMRSAGNSSNTITATPRQLESLIRLSEALAKMQFNQRVE NYHVQEAVKLMETAMKKAALDPITGKIDMDLLATGRSNASRELVSKLIVEITNIIKANLS DYRGQGVRFFDFVEQINTILAAQTNEQTIIDKRQYQKEILEALHLLEEQGFVQLPGDRNK PKIKAGIKALQ >CAK76717 pep:novel supercontig:GCA_000165425.1:CT868241:495109:496398:1 gene:GSPATT00012269001 transcript:CAK76717 MDSPLKDMTGTIVNKQYKLIKKLGAGAFGEIYSSQSNGLEYAIKIEKSDSKHPQLEFESK LYHYLNNHNGQGIPKYYGYYQQDGYNFLVMELLGQSLEDIFSENNRIFTLQTVCVLGIQM LECIEFLHSKQFIHRDIKPDNFLMGKAQKDRVYLVDYGLAKRYISKDLHIPYKDNKALTG TARYASINTHLGIEQSRRDDLEALAYVLMYFLRGSLPWQNLRANNQKEKYDRIMEKKLAT SSETLCKNYPQQLLQFVDYTKNLKFDEKPDYQFIKNLFISIMQENELRMEYIYDWDDEDT QRDKIQIRNDEKNDLLKTNKQSSNTQQRVNKYQENKNLNSNSIYMRNCSNINNKYSIGRT KTNSIGSINKVVVTKTKQITNNTINSLTNQNTLPNNNNNNYSKLHQNLAKQIIKKHSSLH YQ >CAK76718 pep:novel supercontig:GCA_000165425.1:CT868241:496784:498013:1 gene:GSPATT00012270001 transcript:CAK76718 MQNQLQQLDVPRGQSFTFDSNRPFIYYYSVAKPLPDNIVDDLVICCQIRTYLIKQLPKVW FLMASTTAHILIEKQQKFVTPNFILDIFIYSWLSDGMANLKKELMNRLSKLIVENEKLER LIDIFTQEMVSIQEEFSKFHKSEYLLNYNDSCVQPLIVFREKGKNENQSLIKRIDELNID DDSRDSTLSSSQISQTITNKDIDRSKSDVREVTATLQNNKMRKFTKQMEKMTQNVFTELI KYNSQDQQWQIARQFVVIILETSVQSDHYLKTMEKVRFIQPALLFYKKALQVWILAKFSA QQSHQTTKNSQENKMIFSQFLCKAKSFMGKYWNEKLVIPLHTVFQIAEQTYPEVSVEKNV AEQMKKFSILLKEKLVALWDQNLSQCTKQLIQQQ >CAK76719 pep:novel supercontig:GCA_000165425.1:CT868241:498724:499891:-1 gene:GSPATT00012271001 transcript:CAK76719 MGNGCSCQCQAKGMDEVELNTNQKQQSQSKPNAKMDPCQNQEADRKEKMGANLKDEDIAQ MAGARNNSIEDSSSPKLMKRENDDNKPEEQYISLVQSTKGKFTRTTLSAIEMKNGGVYEG EWKNGVRDGQGKYVWPDRSFYEGEWVEDKANGFGKLVHVDGDIYEGQWLDDMANGRGVYI HSGGARYEGDWKNDLQHGQGEEAWPDGAKYEGRYENGKKHGQGTLTFADGSYYKGDFVEN DITGYGEYYWKDGKSYRGQWNNSKMNGKGITQWADGKRYDGDYKDDKKHGMGIFQWENGR KYEGYWYNGKQQGKGMITLPTGEKKEGMWENGKRVKWLNADESPNG >CAK76720 pep:novel supercontig:GCA_000165425.1:CT868241:500071:500706:1 gene:GSPATT00012272001 transcript:CAK76720 MKCSCNNNLIIQFLDDKLYIQTCNNSQNFIPKYQGLPEPINEGNEENNQIVRFMQQFSNK NLDQNSFKQIFEFNANSQSHFKMPIDNDNNFRTMSSQQPIINSCICQHHQKKQKKLKQKA KQPPPKPTIEKTQENSDSKASQKQSKAHSQVNEDIRIFEDKIRSYTSEIDESNKKSLKLP QEWIKRLGTQKKKK >CAK76721 pep:novel supercontig:GCA_000165425.1:CT868241:500845:501865:-1 gene:GSPATT00012273001 transcript:CAK76721 MKQRLNKSSILEELENNRKEQLLEENKKILLIKDRIRREQIAIQNKDNELKQVQEQLHRQ MMSSKKDLFQPINFSSILLHQQQYESNKIVKEIERQNKKKSVPQLEFKKSNTYIKLLHDQ QEEQQRQFSKRQQIKARKYAQQKYSEIVKEIYLRPANKTFHEDPSDAFLSIQKSRPISLH EQSYKTSSESSQLQSVDKYLITKLEKILKTEEKPEEKKKTEFKFKLRNQQIQKLSPIPQV KCKKKEQAKGISIHKKVQEVQPSEWRNIVDDSLLSNQDRVQKTLDLIKLLNSEAVKIEEE TNVKLNVEKEEKLNDLLINQIQARLALLDNFN >CAK76722 pep:novel supercontig:GCA_000165425.1:CT868241:501908:503649:-1 gene:GSPATT00012274001 transcript:CAK76722 MKTVDFQNSIVQQNNIHSNYELLNQLESRKLKQIIPSKKDFEYNFQTEVVKKQQGYVIND LRAQLDAKLVNIIHLQLCTFHYFEVPLAGHKKPLIINFTFTNQAKMKFYISRHTLTPNRF NFEEAFQRKQVLRYTEPGEDNIFTTPFLYMAIFSQQQSIIHAKIQYGMKIQKLQKQDQEE KERPMTAFMSGRISSALSRRQSKDLILMNMNLRQYEPETQKKQLLIKRVQSAKRFQETLV NKQIIDQDKQDLIRERYEHSQKKKIIKDILNVRDQIDSQLKEQQKIWFTNLFSILICRLI RVKYIGMANHLMNEATIIRKKHRTRLNLKQYLTKHGETIQLRTKFQTLQSLCVFAQIQQK TVKTRAEFICFSFMKSYGQIGEIIQKTYRFRRLIRTVIDSYRNYKKKVGAYVQRIIMLWN KYWGVMYNQIQKEDIEKVKEIKKQMNKTIINFQVDEEIKKSPYLDGKIQLIIVQNYYKSL KAEFITKFRSVYKKGKSGARGAYIAAQGFEVKDLNLFKCVDKPILKELIYKYMLEKRMIQ SVLLKK >CAK76723 pep:novel supercontig:GCA_000165425.1:CT868241:503660:504163:1 gene:GSPATT00012275001 transcript:CAK76723 MEQTKKILIGGGCFWKHELNMQRLIGVIHTEVGYSGGIIDHPCYKLICTGQTNHAEVVLV HYDQSILKLEDLLYSFFNQHDPTQLNRQGLDVGTQYRSCVFYYDDDDLQVIQKVLEDVKS FNNDETIHTQVAKAQNYWKAEEMHQRYLQKAGQCPDKGCEDIIMCYN >CAK76724 pep:novel supercontig:GCA_000165425.1:CT868241:504190:504468:1 gene:GSPATT00012276001 transcript:CAK76724 MRPSFLFITLLNLVYGINYSIRGQSNLLDLDPTNDDLQINEGFREAADLIFGTLYQYLPY IVLALTFIYFANKFFPTRQPKKILKKLPIQKR >CAK76725 pep:novel supercontig:GCA_000165425.1:CT868241:504650:506129:-1 gene:GSPATT00012277001 transcript:CAK76725 MQQKEKKFEPIKMPIKAKGLADAQVQKQITTEKHPQKKIQKQQQNPQSEERIEFQGKVNK ESIAAEILLNGYVQSYADFFYVTNETVPKLFYQPSGISLEEHFSNHRIHQKYINQDEEFL LDLKKRLQNAEENAKYQYPDKTQALNEYLNLAEFFFTEYQDYIVAAYFYKRVIQISRQYT EAKAEGKGKLGYAKCHYQVGLIDQAIQILEESMKQCEQLQNLDSVVEQMSTELIKIYNRM AQEYEKGDNDQIAQSLKYYDKCREAAQKAGDLESEGVICNKIGGLYFKMQNIQKSIQYHH KFLEIVKQLHKEDSKQKEMEAHSSLAQCYLKKGDVDEAQKHLESYYALAKDQKLYNSQSD AALHLAKLYQSKGNTAKSLEYFQQHFDCAKSEKPEQKSRKLIDRARVTYGIAKANAFMDN YIKLVANSDKNLKALLDWKSKRDK >CAK76726 pep:novel supercontig:GCA_000165425.1:CT868241:506145:507539:1 gene:GSPATT00012278001 transcript:CAK76726 MDTKVSDSKSPSKSIKPNIQDYVSIGSLGRGAYGEVILAQKKTDNQQVAIKVIDKKFLTR EQKQYQVYIEREMLLYLKHPGVVQLYSTFQKPEKLYFVMEYLEGGDFADFLKLHKDLSFQ TLQFYLAQIVVILEYIHSKGIAHRDLKPENLMLSKNGHLKLIDFGTSVVVHENKVPAEFL QKYKQIKSSFQTQEGSFINRASFVGTAEYVSPEMLEEEPCEYAVDLWALGIICYKMFTGV TPFNDSTQYLVFQNVKNAQLKIPENIPKVAANLIQQILVRNPQERLGSQSMADLKSHPFF KGIEWDKLFQMQAPQPKIVSVKSVEKSIDPDLQLGGKRKSKLKFYGVVQFKIGWFIYRPL DLVLIEGKEYMKLALYDPETEKCQLKIRLLEGVQVYSPSKGQMEIKDQNKKYKIKEVEHP IDKWLEVIEKCRKNLIKKKQKKQEKKQ >CAK76727 pep:novel supercontig:GCA_000165425.1:CT868241:507579:508309:1 gene:GSPATT00012279001 transcript:CAK76727 MAEEQNKTPRDIKIDDTSFTNRKETIADTRYSQKSFIHPQQIYQVQQCNHTVQPIFIQVI TREEIETPFLNEIEMLKNKISKIQQENDKLYEKIHQLTEQLQDRQNFIDTYKQKFIDLQN SKDILLSELEKLNSQKYPVINYATQQKFMFQQNQSSDRTNNNLNLEIEIDKLRIALSNKD EEIRRLQEKYQQLENQGLAVLEEKIKTLIIEKEFWKAKFEETQKVRQYSNFKFA >CAK76728 pep:novel supercontig:GCA_000165425.1:CT868241:508616:509266:-1 gene:GSPATT00012280001 transcript:CAK76728 MHHSIITIPQHGNGRLYKTSICRHYEYGNCSIGSKCQFAHGIDELRNPDDPIPNHIPTLD SNIVITNYKTVLCKYDQQGFCKNGTDCPYAHGQNDKKQARIAPLHLRKALDNKENNDDKD VEWFLSELITRLINDVSYQSDELALSSFKKIQLLIEEKNHRSATEALCLVLSSNQRSKQQ QMAYEQIYNSLVQ >CAK76729 pep:novel supercontig:GCA_000165425.1:CT868241:509281:510096:1 gene:GSPATT00012281001 transcript:CAK76729 MDLIQLSSMILNTLLYATQYVMSKYIYRIQKQKLSTNDKQLAKAFRYQRRKIKIIIMGLP TLLCFAQNYYNLCLSYTQSEKLNIFECLKKFDTEKIGMGILTSFYMTLLLYIGPVYQEFW NGNLREKFSKIRFNKFRWDYFTKIVITPLIDEIIFRELVNNAINVRYQNNIQFIIYSTLL YSLSIQEILTNQQRHCLINQNMDSFHVMNSQKHLYWDYTCPLSWCKQKQQ >CAK76730 pep:novel supercontig:GCA_000165425.1:CT868241:510243:511903:1 gene:GSPATT00012282001 transcript:CAK76730 MDQYKIVKRLGDGTYGCVYKATNINTGQIVAIKKFKKKYTSWDECVNLREVKALQKLKHP NIIKLVEVFKEKDELNLVFEFLDKDIYQQYLENQNNGKHLSEDKIRSVIKQVTEGLAYMH KVGYFHRDLKPENLLVSGETVKICDFGLAREIRSKPPYTDYVATRWYRAPEILLKSPYYN SPVDIFALGCIMAELYTLKPLFNGSSELDQLFKLCQTLGTPNVRDWPESQKLANAANITF PTYSPVLLEKVIPNASSEAIDLIRDMLKYDPQKRPSAKQILEYPYFTKYCFPMIQQIENK QEFPKIDRMERHEKQDDIFELPKVNNKENRNKMNESNSNFLDILEQRMADYERPTKKEVS IHKSSVKESKEYQASKDYRESNLPMIQNNQPKDYIMSKEFSQNDSLDPRIDSRNKKGQAG LKFLRHNDPIGDQLRNQQQQSIQQSRSYEPTKRNIYDFNLIQMPIAKPTFQPKLEMPKQN KNQIFGSNYPKSLPIAPNMGYKYQQQQQQQQYKFDDILYGKPPLKQYY >CAK76731 pep:novel supercontig:GCA_000165425.1:CT868241:511946:516041:-1 gene:GSPATT00012283001 transcript:CAK76731 MPYLDVSTIVEDVDIFFVKSKQIQPCSCSNHFFDGSPNEGEKKIRFCRRCYDKISQLIAD KGFFVDNEESTLKVTLKKEGNSHSRNTSKQFESVTTFTSSKSIIAKGNEVQQIQDEDETL LEINQEKEDISEFLEESDIAKDQLPILQEKSGYQFEKICEYILQNVLQPNKTYEKVIEFW KAKMKTLITQSVQEIQFHSLNTKLMDINHFMKIKIIDHHDEQLTSFFPGVIFRKNVALKQ MQSEINKPSIIIIIGDFDMEGSQNQLEDYIQNEKKLLVDSINQIYKNYEPNLILVEKGAN KIALDECLKKKITVLTNVKKKVLQRVKLCTNAKFISLSILKQCIERKEQIVGTCEKVFFK QFPKVVSEKGEIQKDSTLCFLKNQSCQKFATITISGPSEELLSKLKQCFIGCARLGKHQD LESHFITTECSMFKNNLLKTISNQGNFTTFLFEKIPLKELFNIELKYIKINYVIADVNNF NDIKDFSSLEEYKLKHPSQRDKLEEFSMARMCNKPQEKKSVYYHGEDVCLGQFIILKIAN KDNRCEFCHLPKIAHVSFYYCGDKYIKISVDQKNRSGRVISTNEPPQLSNQVSQQFSQLS NEDEQRDNVYTAKDITKIVQQSHSNQKGEKKKIRIETYIQCSKCDLSSNIVKLSSLNLDF SFFRFMQTILMTPPNTNDKQTGQQINCNHQLQRIFTYDESMVKIQVGEVEVFNTIIQRTL SQELLDNLTKWEEEYIQSQKKDLYQRYLNIMFQLTQFKKTLSKNVEVDGIEQYSDEKFWQ QKFSAINQISELQQFTYNLSIQLQEIGEQIKTEMKRFLNKNSIIRSQKDFDHQSPTNKGH LSGQDYDIIHSDSIVGEDIDHQSDQFGDMKSSIDCKFKKNSSNKILNQQHSVRELDSNHS FIADKQQNPLSNFLKEWPICLFQEEQNKVALSERKIIPFVAIFESQPLSSLAFALNHPNY LKAINYYENFQKADSEQQKVVLSKLILIKTGQQSGKWDQEDHSLPRESLATTTDSNLNTS QQQSIPQKKEKNYITITLQYDKVKGMKPSMSRDQFIDEEKSISSQQSIASGQGNQQFSIK KSKTQEIMIYFPIQFEALRASFGITLNLFIKSLSVTGSWNASGGKSSSKFFKSDNELFVV KKFDDEKEFRMFEQFAIDYFRQMHRHFYESQKPSLLCKIFGMYEIRDKGNPEFYLIMENL YYGIGNQKDLLVYDLKGSETNRWEKKVNKVLLDTNFIIDRNAEPIILQNDCYIYNDKAFQ SDCKFLLRKSIVDYSLLLIINNKQKKIKMGIIDYLRFYTWDKETERLLKFVLKGGKVPTI VNPHDYKQRFLTAIGRYFIHV >CAK76732 pep:novel supercontig:GCA_000165425.1:CT868241:516722:517917:-1 gene:GSPATT00012284001 transcript:CAK76732 MKNFERTLPPQLSQEILYKDDQLDIGIKKLERAYSFNQALENYTNYDQGNDNVREINPTI FGNSQEISRIDGDHGSFQDLCDQVTDPLSSIKRQTLFENESVPESNLKVQSKIRSTVSDF HIYQTDLSELIKGFIGNLQSFSILIFSQCLLSAVLFIYRKSQNQTSFGTQIQVPLESQKH FINEIQSNHNIQNKTNDTAEFKTFFLQSKNAGMSLKSKSNCFKEINQGIQNYVYDIKSQT LKLKQKEPSPSKIPFIDPIIDQQKKRLLEEQKQKEGKKNQQQEQHINQKLIEQQFNLDFT KLYQQVNDAIIHQYNSNVQSPFTIQNQNFSTIPNMFQNFTNYNPLSNIPHNNQQQAPIST NFNTMSNSYPAIKNYSDQNKSKQYNTRSGRS >CAK76733 pep:novel supercontig:GCA_000165425.1:CT868241:521491:521892:-1 gene:GSPATT00012285001 transcript:CAK76733 MLRHKYKAQHICVNSIIISVASRYSKDQYIAVANKLFRNKGYSVSNDVSIITMYIISSAS STMIMSLRKYKSEATNQVLILLLIVDILSALEIKSLWIFIINVSKEYRKGLESNLGRQKA NYNSHDEHIAYTG >CAK76734 pep:novel supercontig:GCA_000165425.1:CT868241:522007:522729:1 gene:GSPATT00012286001 transcript:CAK76734 MIQVEKTTVIVNYEDIIDQGNFGSLFKGTISQGKLVAVKIQKDISDFENQILSAIKGKQF DHIIEVIAFEKKEKAAYTIMELGEKFDLSKILNKKNACLQMAKGVQELHKLGFFHRDLKP GNFVIGKDNKIKLIDFGISKKIEEKSQTQMQGTYQYMAPEILKTQAYDHSVDIWSLGLVF YEVFLGLVFFTNKQEGEMIDDLLQIKQIQINEKIRANRNLGQWQQKLLQKMIVQ >CAK83390 pep:novel supercontig:GCA_000165425.1:CT868465:82:2474:-1 gene:GSPATT00039539001 transcript:CAK83390 MITSLGHHKIVITISIDIPSLYINLISLILISLLNIQVQAKSLVYSHFFGNFVYENDWIV QNGVGVVSNCGTSQIFGGYNNFNSHTNIIKNVMLPTHEAISLNFRFWDIDNWGNEKMEVM VNDRLVFTGYYNSECTKSSICGTPGHCWGDDILIIRVNSLQQKLLGYESYWGISDFQLWA DQKNYILSDVDDCFHGCSNCISDKCVECLKEWEYDIIQQTCIPLCGDQIIVENEECDDGN EEEHDGCFQCKFSCPLFCKQCKFGQCFQCQSNYNLIDQTCKETNQIQGQTSQDKISIQIS NFLDYGNYYHKLLHDQFANPLPIYNFDCNLQTYDIFGYYYHQCETKLIQNCLLSQMKICL ECENFYKLSWNKKACIPKCEDGITVQYEFCDDQNNIQFDGCYKCQTSCQLECKECIEQQC YVCIDGWQIIDNKCYQICGDGLLAISSREQCDDGNYNPNDGCYDCKFICDQNCFQCSTSN LCFLCFENFEMDENNLCKPICGDGIIVQGLEECEDFNDIPYDGCYLCMFQCEVNCSKCLQ GICQECDEGYDLLVEGCKKIIIANEIDDLDLQNKTLSCGNGKLSNTEQCDDGNQENYDGC SSGCDIEEHWICNLEQPSQCFLETNSNLISQNQTEGHQFVLLQFSNQVKQSSKFNFTESI LSQIINLSQDQYQISINSIVEVDETQFAMAEYEFEILFLNPISILPNLSISIKSNLIDSN NMTVDVSTQTILLQRPEILNQEQINVANKFQALGNELMIGLGAISVFMLFFGNP >CAK83664 pep:novel supercontig:GCA_000165425.1:CT868482:659:973:-1 gene:GSPATT00039563001 transcript:CAK83664 MNSDKQLRMTLCALQIKFGISNYLTVFCFWNIISFTGNNSKYNTLQMVIKLKNYLIQEVK FIQTQKKEEEIQSQESLRQAPMIFLICHVYQVSLKLNLNSGLLL >CAK72490 pep:novel supercontig:GCA_000165425.1:CT868124:3:994:-1 gene:GSPATT00038759001 transcript:CAK72490 MLGNLDVKICRTTTSQLSAQDLDETYIIQRPVGQGKYGQVFRAQNKLNKQIVALKKIKQE KEANGFPRTAMREIHLLSSIKHQNIVSFQEVVVQSKNTYLVLEYMDTDLHNLLQRRIVFS LDQVRYLMYQILEALSYLHSRNVYHRDLKPNNILYNVKGQVKICDFGMANEYSKKRPQTK RILVPQYRAPEIYLGEQQYDCSVDVWSAGILFLELIVKPSPFVLAKSESQCFSKIIDLCG TPTEGLNFIFSFMVIGQM >CAK72491 pep:novel supercontig:GCA_000165425.1:CT868124:1144:1452:-1 gene:GSPATT00038760001 transcript:CAK72491 MLDEVQIIIVFAIVDNYLCCDCIDFNSKKIKLIHYTKCRFDFSITNQEYFFSKISQQGNL YGNLQNLSNDYIISRFSSFRIIINLLKYIINGFNQSGYSNQN >CAK72492 pep:novel supercontig:GCA_000165425.1:CT868124:2185:7203:1 gene:GSPATT00038761001 transcript:CAK72492 MSTSLYHLVLFGFLVSTHCQIKVSEACKCQNLLSQNDCQQNTKCSWLNKSCQEKPEEQAI STKPESIYCKGRTQEDCSNKIGCAYYNQNCIHFSGCTSYVYTTHNECQLISTQCTSDGIQ CIKPRECFKNEQEQLCSTVISSSGSRKCVWEDKVCRDQTCNEASQLLITDDACDSFIKGC VTNGRGCVDKRGNCYYYDKNCDGMIGSDGLCEQKGDKCQSKDCANAPLTYYADQQCQSFR KGCRTTGIGCTDQALKSCNTYTGDGEQCLKYIGSSGKCEEGLKGKCQARRCESAPKQYST DEECKSYSSKCKTTGIGCVAILLNCNSYTGTKNECERRIGADGRCTAQNTEESQQCKARI CSDGQFSTDSECGQYQFNCISNGVECTSFLISCNKYKGDAEKCNKYRGTEGKCKIGENGY CALNVCENAEFKTNQECKSVQSYCLTNGTKCVTADTCPNTQQQVTCLASDKCQWAEQCVT NECRFFLTKGTCLGHSSNVECFWEGSGCADKKCKHAGQQYRSNKDCQLFLPQCIFNGQGC VDVSAPCEEYVGDEDTCTYYKGSNGKVPCLYYSATQKCRSKICQDNKSASTQKECDDTME GCKFTGSQGCVNENAECGEFYGNSQQCYALNSKCSQNLGESGKCRPLECYDNSVALEDYE CNLFKSGCVTKGLGCIASTAPCTQYSGNSIDACSKFVGNGKKCWYDQGFSGQCVDKQCTH NTDAQNDQECDKFLYGCVFNGKGCQDAVETCNTYEGDEDTCSKYRGNGLLCVRIDYCEDR KCSDVQNPLSLKECEEYLSICAFDGGKCIEKQDSCDYYYGYSQEQCQILVNVDRDQCIYG DNDQYCTNRKCKDAQNVKSQQDCTSYRKNCIFNGDGKCVEWDSCSNYSDFSEQGCQDAKD KTGKGCWSDQNRKCKDRTCLEVLNEYSNEICQAHDSSCIFTGSKCIKKLNNCSDYDPKIV SDSECKLIPGCWWSSENKKCKIRECSDNITTPSDENCRSHLETCRFNGDKKCVDEKDKCS DYVSFNASGCKEVTNKKKEKCWYTEQSSTCADRSCSDNLQFYSAEICQEHLSTCRYNGFR CQDAKDTCIQYIGFSKEACTVVTTKTNQYCWYQGQSSICVNASCENEIQDPSPENCQKHL ATCRFNGTRCISERASCNNYLGSNQVCQGLTDASNNQCWYNIRNTSGLDNNCILKECSNL QHTYSLDICEKYIVKTVNNKQTPNCTYDGIKCITIQNYCSQYFGFSSEQCLNVTTLSGET CFQDPNNNSMQCRARICSDNQTALNDLQCDQFLKGCVTSGRGCTDSTQPCNTFRGTQSSC LRFVGNQKKCRGQNLTTRCSVRECFHDQQSTTDIECNSYLDGCVTNGKGCISSLEPCSSY VGNLQTCSKFKGNGRLCYSDSLVDIHVCRDRQCSDNLDAVNDSDCNTFLPGCVNKGSGCI EDTKPCSSYYGTQAQCSKFKGEKGTKPCWNFASASNNTSCIDRECSHITRGTNTIECNSF LEGCVSDGFQCLTKRNCSQFYGTVKTCMLFDAIDKPCKGVDETMKQCKQLQCFDAPNNYN TDEKCNQFKPGCKTTGYGCIDNRTCEMISSSQLCKERPDCQFIQGCLNTIKVCMQITKYS QCLMNSNMKCSWDFQTKSCRDWICSDASVLLKQHEECQALNQNCTTTGNGSY >CAK72493 pep:novel supercontig:GCA_000165425.1:CT868124:7296:8371:1 gene:GSPATT00038762001 transcript:CAK72493 MHVSILSPLDILKNVFMNPLFVETKTVRMHLLHTMRIFFAMKYPHIVQLQEKVVLYKEYS CEDLLTKTKCTQDYQGKSCLWMNLTQTCVTFSQCSDVKKTTLSECQQYSEQCTSNGINCI SYQKCSEYTNSTSCKKGTDGECGWVIEQLNQEPKCQIFQNVAPKRTVNNTRIPVLLTVKI ALKQVIVNHIRLNMVGNSSGIDGPCFWNESQSPPVCRLQQCVDIPLVPYMTYQYCSTFNP KLNCTTNTIYCVDKKLCSSYSEQECYEGTDGPCVFAIPLKQSSGTKQCRAKDCTDYIETT TEACSKLQIWLHFQMVSVVLINLIVLNILLKPLVILMESMEYAFSRDRNVRK >CAK72494 pep:novel supercontig:GCA_000165425.1:CT868124:8384:8782:1 gene:GSPATT00038763001 transcript:CAK72494 MLITVQRLVPRNPKYVILLTNKLVIQIMILIIQNALKQNAGTVLIVLLSWSFDESQVTVC IQKSKNECVVGLPHQLSESRCYIKSHSTYRWNPSTLKCEKCVATQVTNETPHEDSFQLLF TSIILMLIIVAI >CAK72495 pep:novel supercontig:GCA_000165425.1:CT868124:8861:10106:-1 gene:GSPATT00038764001 transcript:CAK72495 MTISLNPLQEEEKVHIFDCTKINSHHLNNLRQRATRMIKVLQRYLPEKTQFVEQILNPLK EQYVNAPDLTNQLQSYLSNCGEKIDTKDLGALLSIIQYNNLQVPKDMVGFYVYEEGDDDF YERCSQKRKGPAPLNKAVELSLPAQDTQKSSFYDPGFGLSSLSNKFSPEMGQMLQKVEQC IFKASARQYDVYNSFDKDKDGFISHEDLKRKLQELHILSNDEEQLLIHYLDPEKKGSVNF QEFSSKLYPGMTLYDSKGCVGVVPSLYPAKERNENMKTKLPQITRSFEETSQSMQQLKGA TRFGATPQHKNTFLNVQRPPQDSALFLNEDGRFEKNARLRYLKEDQEKEKNIYENKLNRI RKHQNDIQERIEQNYQMTLQKDNEKCKAKGLAAWSYEQRAHMQNEWK >CAK72496 pep:novel supercontig:GCA_000165425.1:CT868124:10312:11347:-1 gene:GSPATT00038765001 transcript:CAK72496 MYFRKERVKLHGKTNFEYRSLGRKRPEDVARLEFLSSAREQKFDEVIAKRLTATIQNPLS QSQEFYQSAHKTMKQHPQISRPRFAHLEEKPRRKPVWVGDSYLVYTDVEGRDGQRAHQDR SLTTTIKADLAQAKRDEHGQAIQETQLVGMEEYAKNTLEGKVDLTKVKEIRRAIRRRYAN RKNFQKIFTLWDEEGKGKVTVKNIYHMIKKLGLNMNLDEVRVLVASADEDYSGDLNLDEF MNLIFNDNEALNVDLGKLKTLNGEQEQLLLEGEDDQIQDVLREKMQVQVENRQFNQLNLI LKNKLSQLNNAFQELDPIEIGTCQFRKIQ >CAK72497 pep:novel supercontig:GCA_000165425.1:CT868124:11521:12364:1 gene:GSPATT00038766001 transcript:CAK72497 MNIFVLSCLLFLGYSIPVSFKEQCQCSKFAKETSCLEQANCIWSDQICKERSCSQYYIKE KCNAVSHCSWNISTCTQFTKCSDYYMNDPYDCYKIGNQDINLFCMPTENGNDCKDYELAP CGNFQDDCVGFQTQWNLCYWYNDTCNVVDIRYCDTLINEELCFIFGEGLGCQWKDNKCQM ITCSDFTSESTCILQRQNFIEDGPLLCMWDGAKCVEAKDVSHLDYSNCLVNSFYNYIWDS AKGVCVACKDYTPPQTDTP >CAK72498 pep:novel supercontig:GCA_000165425.1:CT868124:12802:14676:1 gene:GSPATT00038767001 transcript:CAK72498 MGNACHCQQFTTENMELMSGRSEIVNKKGDTITIPHQNGTTVTKKTTHKQNDDDGDEDQQ VQEVQQIELKRGDKKKQAKINAVSDTVEIFENIKKLDKRKSPFDYQLMLNAFNEHFIFKS VPQSDIEYVVDQMFYCTVPDGQFVFKQGDKASSYFLIERGQCQIIINGELKKTLKSGDAF GELAMLYNAPRSASVRAVGDCAFWAIDRNTFRKVVEQQNQRSYEENREFMKKVEFFSFLT EEQRDAISSVLITSVFKKGEIIVSEGDVANSFYIIKKGKVAIIKGEKEVSQMNSGESFGE AALYQSCQRAATVKAAEEEVRCLSLSKDDIQRILGQKIQTVKYINTQKWALQQSPLLGKL TSIQIEKILQNIRQVHYEKNEVIIKAGQPCTKVYIILEGEIATMPSKQQVLGKGKIFGEQ FLKSQNQDSKLPETIQVQTDESIIAEFEIKMFFDMIGGSVEQMIQKNENSHEQKYLNRVS VQQQKKDYSNLKLDNLICIKKLGQGQFGNVYLVRTAQDEKLYALKCISKAQIVEQHLERH LAQEKQVLSTINFPFLMQFYKSMKDQNYIYFLVEFIKGM >CAK68192 pep:novel supercontig:GCA_000165425.1:CT868058:3867:6353:1 gene:GSPATT00036975001 transcript:CAK68192 MNCTYHIQNQVQLICIAPHKCKCLRKLCIECQFEHGVDIQKYAVPINRFQEIVIKKLQEY RLQEKSEIAQQRKEFKCILSQTEIMLKRIWDELSQQINQLYDFIEQTNNSYLNLVNENDN IIESSYSDIEKLVQIIQGNTLNDWIFQKDQYLVQLEKAKNWWDQEVKAFSEKMNKEMNQN MPFITIQIVEKEDQVNVWKDDLYELLAQTKNIDQQLINMVIELLRKEQITDCIGYLSNSE IVKKLEHPRINNILLLINTLKNISEIDFNRKNYSTEDYSQIRKDLIEKIANDGRIIQFLK FLVDLTAIDERLIQCGSNSLNLLAEMKADVREQSFQNIRIRDTSLSGANMVRCNLNGSIF DNVDISGMSLNGASLFNCKWKNIQIHDLNKFDAHNGSVYTICFSPNGATFASGSGDNSIR LWDVKTGQQKAKLDGHTHYIYSIFFSPDGSTIVSGSEDKSIRLWDVQTGQQIRKLDGHTS AVYSVSFSPDGATLASGGGDSSIRLWDAKTGQLKAKLDGHTSTVYSVCFSPDGTSLASSS YDKSIRLWNIKTGQQKAILDGHKDYVKTVCFHPDGTILASGSHDKSIRLWDVKTGQQKAK LDGHSQLVISVCFSPDGTTLASGSYDRSIRLWDIKTGQQQAKLDGHTSYVQSVSFSPDGT TLASGSHDNSIRLWEIKIGQQQTKLDSNTNYVQSVCFSPDSTILASGTSNNTVSIWNVKT GQQIVPSDNNYKSILAQFQSPIFKNNILPERITSNITILRISQNPNLEIQGALILKGEFI NSQGVDLRQLLKSKGCYILENQFGFQ >CAK68193 pep:novel supercontig:GCA_000165425.1:CT868058:9246:12509:-1 gene:GSPATT00036976001 transcript:CAK68193 MNKYKIHLNNRYQWLILQDQNVYLFRHNFNLWTCQSTLITIIVQILCVFEKVQKQHYLKS KMDIQHQDNNFLKYYIHFTLFNLTMSYCLQQRFYRYRQSLHEPSLLSLIFIQTIFKQKNM KVQDQNFLKQISIILIFVANLTQCFWWIFLATNLMSTINYELFILQIVCILARICQYYII RRGLDYNIFLHVVSLLYFVIYVESLLILNYTQTFYIVTNCLLMIINYNTYLKKARQKSSL ICRLGLPTYLFIRTIWMVVCSQNYQTLECLTLIGVVLVHQGIKNYKKFGKYERFPQNFYL KTFKQGQIIQNQQNEETPAPAKNYLMHSLIRSQSKITLVQSPKKYFTIQLKDLPDELKLK RYSHLTSFSKSQKNILSQGNSQYQMLQLYSNLINIFPYGILIINEHQSINFINNKCEKIL ECQGIEQVLEKVKMCVNTAKIQENVSETSNKQQKKQHHYQLLQQIIKKLQISNNSVDVLD IILQPQKYFGILGQNESQFYKDFQQAFHQQIFIYEWLIKSEQTQQNYQKKLKLIIMPTSM TNQQQEYISASSQFKSSIKSHISNNQSDQERPVMLIIIKNVTNKYKCQQMRDEQIIHHSL IKSFSHELRTPLNSCYQMLNLMRCQQISKAFSNYIDIAQCSITLLIHQINDILDYAALQS FSFNYNIANFKINQITQEIEDLYKLQVSQKNIALTIKVSDYLAGRIFRNDKQRIIQLLVN LLNNAIKFTPQGGSIFLSVIEVDQQYINFSVKDNGIGIDEHKLNKIQNCLHNTIEFGAVL KSHSKIKQPGLGLIIAAKLIEGLTESKENKLTLSSKKNKGTMVQFQIEDLQQTNLQSIYL SNQQGEKLNNLVNRQENNVQNLDQDKVFSLSNKTLKLDNDVNLQEGKSESFITLRLNDSE KQPEIFLPISPEYFSKKILDYHNKIQKQLPEDYFQNADILCQNCVHILIVDDIPFNQIAL KMILSKYKIEVDQAFDGFQAIEKVKQKMLKHCQNYKLIFMDIEMPGMDGFQASQQVNFQD TKQILELTSNQAFIVICSAYDTQENIQQGKNIGINTFLQKPVKQDELNVLLGTVFKIESN SNFYFQQ >CAK68194 pep:novel supercontig:GCA_000165425.1:CT868058:14581:16426:1 gene:GSPATT00036977001 transcript:CAK68194 MQYTKENALKNVNIQNPLTKNSLAQKLLQWNSLPLINFEPTKLDIYFGVFLHQIIKSSQN LQLKILYQDIMNKIQFEINNKQHLYAELCRKITNKDTLYPFPDQFDFKTFDQSIQESLEI EICNLQFWQNKLYQSTKLQSKEPLQFKILFYQFSIDNNVKQLLIFSTNKNFQPRLQSFPI VSQFYYPNTTRATYINPGEQSNQNDIRQTNQYTNNISFKQQILPKGFFPLPQQTFNQSKF NSCASAKGPQEFQFNNPNQINPNFQFPTPNGFQTSAQIQGDNRNQQNNNFVSQYPYQRTE YQQQNIQFNLANQQSSANDDTDVFRTEQIATKESVVTNPKPQNEGRILTKMIQKEGEKSE CSVCYENYYQKPDEAIMTPCCNKMAHKPCMLNMLNDNAKQQMNLETIRCYLCEKLLKEYQ EFLRSNIPKQLIFNIKLREVLAKVTSKCCKCNSPIQASTEYKQVQIQCLKCNTILCRYCY QEYHGENQANQSCPNLLKEILKAIDGMPVLVCPFCGTMQTKDEKCNHVKCHVCQKDLCSA CSVDRSPIMDHGNHYHRVGCPDYQPWIQNGKVMTEPKLEKRSCQKCRETGQACQFPISLE EYKQLKQF >CAK68195 pep:novel supercontig:GCA_000165425.1:CT868058:17226:17943:1 gene:GSPATT00036978001 transcript:CAK68195 MIKLFSFIGFLFTVLTKLIVYEQKFNHALNDWVNNNNNENLNYQIDASNNIQETPKLNEN TIIQRFFKFPPHNQLFIDINIILNRALDLVVQIGNKKYLSFAQYDASNPTATCKFTFVHL YEIDVITISAQKNPSQLISFDWALKQISIYIEECPATCSYCPDLYGVDACSQFSALFPFQ GLYSKEADNWIITPNIQNSSTNQPISSRIKIYYNRKCDFLPTCLQYLFFKA >CAK68196 pep:novel supercontig:GCA_000165425.1:CT868058:18080:21223:1 gene:GSPATT00036979001 transcript:CAK68196 MMINTNGNNYPGWTYTNNYFFYQVSVSNSDYNIQIKNILVYIQYQNDNNDYFSIQEFNIY YKKLEAYWIDQLQGCFTILFNKCVQCQEGWYFRNDEQICVPQCGDLIIQGQEDCDDGNTE AYDGCFNCKFSCAKNCKYCVFGECLLMEKQKQGIVTIEKDCQRIGYISRIGYYYDIQMDD CQPICGDGIVSMHEDCDDQNDTPYDGCFNCRFSCPTNCLFCQYGRCLYCTVGYDLQRGRC QPVCGDSNVLEQETCDDGNDLQFDGCHNCESSCQLECTMCQNTQCYQCLDGWTLEEGKCQ QVCGDSKVAVASYELCDDPADVNCIQCLYQCSENCQKCDVPLSCNLCEDTYTLIKGQCKS VCGDKMINPLYEECDDGNDIPFDGCHYCSLSCSFGCITCEENNVCKQCDPTSYFLNSTTA LCQEIPQQIIEGEEEEEGQDEVQTEEILCNDNFILINGKCESLCGNGMLASLYEECDDNN KNSGDGCSSYCKLEDSFICLNTEDSFSICSFIILPDFNLRSLSDAKDQTQIIELTFTEKV KMFQDTVLEDISKFTITPQTEYEMKIVPITNLTTMISNPKYQFKILFQQPIQNPMLQISF AKQQIFNANEMELKKLEKLISLGSPFVMSKESKEYVTSIVKMNDAMMYTTIAISGFALLT GTPAMLFNLIDLLQQLSYIRFMQYKFPSHLRQFLESYTKISLKPVLDYLNLDQILTNLNG GQLPFQPRKNQPSSQADPLNQFYIMNAKSCYFSLVASFLSYVVCLIFSKTSALNYFNKII IQKGMKLRNLTKVFQLYHNLQVACIKYRNQYFYSGLFQVYYTIMHQFLFSAFLQFPNYTF TSLFEAVNSLNAILALGFILFVEFQQFIITTGSIKNINDWKFFFDGINKKFWSQILKPIQ MLKITTYIFSIVFLMDYPEAQSFVLSAQSSFYVVYLILFKPMDIGFEYIKLVSREVLFIS TICSFYVYSYDLDDEKMLLLGWAHIALFTSILGSNLLLDIGKYVKLGYDNIQIKKLKIER RLNYQYQNNYLHQFIMVEYGQRARLRQ >CAK68197 pep:novel supercontig:GCA_000165425.1:CT868058:21475:22323:-1 gene:GSPATT00036980001 transcript:CAK68197 MYKNKQQQYSHPTQLIPSVCTLNTQEEDPQDYQLIQRKLQCYKDNLKIIQKNNEDDRKYL STSSDVLQLTESQEDDCESLRQQINLLQDELSRTKQNMMQIIEDLTQQKDLLIYKIQELE STIDSLQQQKQFRNKSMHSTLLDITYEQDQTQDYSRKRTRSKYDDQNCWKRIFEELAEIL KTENVIQEVHRLQQKCIKQDKFIGCVQDLVIKLTPKDIFQHSKPQLRDCWHWIKGICTEY MNLKKMQANVIVETCSQILNVQPQDIVSQIQFLIRRIIAQKN >CAK68198 pep:novel supercontig:GCA_000165425.1:CT868058:22343:22649:-1 gene:GSPATT00036981001 transcript:CAK68198 MSCINSKRLCPNDNAAKKFVLSEGLKKIQEIKANPGSKLRQYIDEINSFYPPEIIQYYSA GYEQQLLKKLDDYNAD >CAK68199 pep:novel supercontig:GCA_000165425.1:CT868058:22661:24041:-1 gene:GSPATT00036982001 transcript:CAK68199 MSRAVLQPFEVYQKARVQFVQTVAELAKRPQNIEALQSAGVMSLLRPLLLDCVPSIQQSA ALALGRLAKHSEDLAEAVVSNEILPQLVSSLGEQNRFYKKAAAFVLRCVAKHSSTLAMAV VNSGALEALVQCLEEFDPSVKEAAASALRYIAKHTADLAQAVVDAGAVPLLVLCIQEPET TLKRVSAGALSEICKHSAELAQNVVDAGAAPFLSALIPHHDAELKRSVCFCLANIAKHTI DLAEAIVDVDIFPKILYRLKDTDPGVRKAAATCIREIARQSQDLAKMICSAGAVVSIVDY INEAKGDARLPGIMTLGFIGAFDEALAMGIIAAKGIAPLKDALIKEPDQSVKSASAWSLG MIGGHSADHSRAMAEADVPSHLLAVYKFPDSSEDLKKKSSQALKSILQITINLRSSP >CAK68200 pep:novel supercontig:GCA_000165425.1:CT868058:24065:24914:-1 gene:GSPATT00036983001 transcript:CAK68200 MLIKIILALVHIGFSVVFDVSEYKMPLYVLSAILTMIGIYNSNKEFGFLLYTFITFVNTF IKGFFLEMYLHNRHSLLDPKTLKLAFYVFIITIVLGNPFVIKRISSFLDSHYTLKFGLNL SDKVSQCITLSNCYHHVLSQPNPSMVELAVITTVGFSITNLVIYFGLLESKSQVESIKIM RQSTIDNFITYSFAIANVYAWNFHLKPQLSVQSNNVNDIRTVVSVSFIVIYTLLSMLHSK HQKKEKKE >CAK68201 pep:novel supercontig:GCA_000165425.1:CT868058:25604:27442:-1 gene:GSPATT00036984001 transcript:CAK68201 MIFQYPIQYQKITENFGLYIVISFLENLIKEFQQEQMNYLKIPLIKFGTTINHISLQQIV ESPQKCAQIQLEDSSFNIYSCNFPELCRNILINKNLILLIQYEELIEFDDKIQNIFNLIQ FAQVKKVLCFIVLAEPIKKCQWKMDIVKKYIDVSVSNFKFSNYTQPNYNIQSIHSQQSIF NSLRFFQTTPKEPDFNKTNDTLMQIISRNKNELEVALIQGIAIQSDFCYLENQTANNKPI QLTEIEGKLNYINSNEDQQIFRLKINDDSELKEQSFLSNTIFSVNKFNPQILFNPQFDSI EKRYEFYTNYRKTSDWSVIIGGGQYDIKNIEFATLNENTLKLTLSSSQFICTQKFSTNEG FKINSQILILNKTTEEIVVFGLVESDNFEIKLNQNKNPKQELQLMEQFHIPINDEDIIQN IARGELLQKGNHIAKCRICLEKLSDTLLVPCGHFRYCYDCQAEIQECLYCETKIQTRKKL EIKLIDQNKNDLLKELMWKHQDLLKCSFQQNLNIEQNLKINTMQNEINFSQYYCQKCNKS KGTEFAYCNKSHLISYCLECSKQTIKCEYMNCNENVICAGQIKFRFDE >CAK68202 pep:novel supercontig:GCA_000165425.1:CT868058:27463:27886:-1 gene:GSPATT00036985001 transcript:CAK68202 MKIPHQSENQLMQDGLLQIFKQLFQSITQILWKNLLLLNLKAYLYTDNRKFVKDLYNNLF DSLDILINYKPLRYI >CAK68203 pep:novel supercontig:GCA_000165425.1:CT868058:28022:29491:1 gene:GSPATT00036986001 transcript:CAK68203 MYLRPAINIFKAMDLAVAYRSIPAPSHPDIDWVMITSFSAQLTILGFAFIGSGAVRYKSV QSSVITVLLGSVITIFFFWLIGYGFAFGDEMGNQFIGFGKFAGAGYSAEFQKDDYSNFIH STVGAVIVASLFGLGTLERSRYFSVSVCLAFITAILYPIALHWVQPTGWLNTIGFVDFAG ASYIHLFGGILALVVSIFLKERRGKRGYVDPSIFLHHSQIYIGYGSFILTIAILIFVNGA NSEGKFDKYNQGLIATNTIVASAFSALTSFFLYYQQTLKLSLIALSRGSVAGIVAISAMA DDVRIWQSAFTGVLAGIVYIFLILVIKRSPIDDPAYTIASHLGPGLLGTILVGFLSLTHG LMTGHGFKQLGLQIVGIIVLVLWALFVALGLQAAAYWIPKSSIDVFKIHLFQEAQGIDIS YGNQYFKHLLAEGEAIQLLDYQPEPTLLSNFPKRGVFEFSSN >CAK68204 pep:novel supercontig:GCA_000165425.1:CT868058:29528:31347:1 gene:GSPATT00036987001 transcript:CAK68204 MHNITFNKVSKPLLLNGSTVTLLDPELEFNNPVDILKKNRIKDASYEFDLVFDQQADQKE VYEKSAEPLLDDLISGQNVTIFAYGATGSGKTHTMMGSQNQQGIIPRALNDLLGRLSKEQ AAQASLTFLEIYNETIRDLLTGKLLDLREDGNKGLVVVNLFKAPVPSLNDINQYIKYGNS RRAKEPTGANENSTRSHTVLQLMLKQCTFTFVDLAGSERASQTTNKGQRMVEGAMINRSL LVLGNCIKALFSKEQFVPFRGSKLTRLLKDALQGNSKTVMIANVAPNNYEDSFNTLLYAH RTRNIDPTPNNFQEMIVQLREENEDIKKTLKKQNSQSKMQLQIEQQFKEQQRQEKMDKQQ SQQQVQQIQQQQIQQQQAEKQAYDEEQIKSIILKQFAQESELIKSLFKLEDALMQTHLDI VEYQKQQSVQFQQKTEDLITKCTDSINNTENEQFEIAMKYKAILQQRRKIYDQCMQSQFQ SVKDEFRKQVQNIEKMKGLQSDKREEVMKKFKELEIEHLKKISNQKNGNQPQIDEETLKE IKKNRLQSLNKQIKDGKL >CAK68205 pep:novel supercontig:GCA_000165425.1:CT868058:31386:33354:-1 gene:GSPATT00036988001 transcript:CAK68205 MSEEYKMLLKSQNTSQSFQRLLQDKLGNQEVLLTTICQFSKLPVTIPIRHNPTGYIHCVF ELECWLEHYSLMYKKVEPSGFACPGCRKFANFKEYGVDYTFYHVLQALELFKIRNPDIQI NASQLIYKHSNKSYYVEEKITKKRFQFAGSNPVLGVTKRQQGLNLELTSPENALIADEIS QTLVKLQSLIYSRIQKTLKLPNKSQLTFKDLQSQVALRKFDLKQVLTKSMKLISLGKQSL QKDYVFAFKKITTNNQVQSILIVYLVQYGIWYEFSLKFKGQPYVQLEQALYIKGEGSLTH NHIYVIGGRKAERDFLPKIYDLEWIRSKNNGIAQIAKGSNIKFIIIRLLFVFYGQKQIQH FDNAIRHELLNCQYVFRNNRWEELKLKLVERFDGSFFTISHFAFDKLIVFFGGVSREPDS FGNHRGPQQHLGQIFACKEEKFVGEQKQDFQVKFLDDDPYHRSVLANPVFSCPYYGTNQL LLSGESMKFKNTDQRQVYTFDWGNATLKMNDLFSLDPPEHVLTPYKRKTIGNDIFSPVQD SEGALAYGNFFIIQHYEAEQGYYDKNPKIITQLLKVNLTNGSFVAIPYLDSKISKEIADQ IYEKLEDKKNQEI >CAK68206 pep:novel supercontig:GCA_000165425.1:CT868058:33412:36524:-1 gene:GSPATT00036989001 transcript:CAK68206 MFESSVDSEFKGPIPPLLSSRVGFQEGDLQQYSLSSFHQQIPMSLVRQKTFGVKKSENQS AYENEEIQYGSKPQFLKTIIAKSLQNNFINNLWNRSYLRKLNQLSFFQIQQLDDLQMVSE GNEYSKASQLWIMVDVFTPYSKFIACWDAFQIITYLLIFFWLPYKISFEIYHISELFSET KSGTIEYLLMMILALDIGVGMNLAFIEKGQIIKDRKRIIQLYVYIYAVSFFTVTVQFFVP SLSSKDYTMILIQSILCVVFYILRITKINKILAQIQEYITNILFRFFNLSGYLNDLVGLL KVLMVIVSVVHICGCLWHGIAYYNSSFSWLDAYNLRDKSNASQYNVAIYWATMTMTTVGY GDITAKNDLELLINNLTMFIGSIVFAYSVNSIGIFVSNMYKGTMEYNRTVSLINTYMSKN KIQFELQTKVRSYLEYIWQEEQEMNDDEVGSIVNKLSKHLQDELQYQLRGNILKNCKIIM KLFSESFIKSLLHYMEEQAYSPEERIITINELDDCSLYVITKGEVELIFEANQVKERVKR NTFQNYNQFDCFGELAFFTGNSRTATAISKGFTRVFKISRAKFLNVISSYPDDYERFCEI RDRIINGDYGALSLNCFSCQSNSHLIQNCNYLHFCADKEKIIKKEFYPVNQRRSQRYSRR DMGKYNAWTNFQLNSTRGQDFQNDAYAKGYDDGDSHTLQMNDAYEDEEVLMEQGRFRHDF QLKIMKIVYLVQLSKMLMMTTVKTQPSITIENPIYLNQLCKPQVLEGVQPKIVTIISLYI AYKDDLLNSKNLSETQDQFVLPHTKPQLTLIQQNNPRPSLALPQPQLKKQLSRSASNEDH NHQPHRLSIDQKLIMVAPQKSDMDIKNLANNPRVQMKRTTTNNNQTLFTENNTQNNQHMS TQTIAPMLPGFDKMHIFLIYQPLHNYDMVIKRYAKVQRFFGKKRLYPEYSVYSFFFMAIK KGWKLRRLGETSKNAKSPMMMRSLRKIQKPYQGIQKQRSNFFKEVN >CAK68207 pep:novel supercontig:GCA_000165425.1:CT868058:36729:38381:1 gene:GSPATT00036990001 transcript:CAK68207 MQEILQIVFDLGEKKQETLKVYRDSDPAQLAYDFVQQHNLKNEAIPMIEETIWHHLKQMT INKPQSQDNIFDRLHKEAQIKQQRKQIQFANQQLSQRGNIPSPVLNYNPGDVLYQKGIQH KEQMERLQDQKKLEQSQVEYPFKPFISERSQLIAKRPHKQSMPDYLMQMGKDMSKHKDQM RTSRYQIETQECSFRPNINQISQKILMEKSRNSQSNIYDRLYNEGMQSKVKRLSNMDNTE SQSQSQLFNQQSSRKHSKTEIPFLERMQISVFEQRKKKEALQPQQEFDQSTGQKLYHPQI CRPPLSRNADHLPIGEYLYQMRTVKEDKFHFLVEQDRQEQQNQRARSLDKSNQIYEMKKR KFLYEIFNLLDSDGDGVISAQRIEISAINAEILQILTPLLCEMEQINAQLNQHQFYEAAS RLIQTLPIVDRDKLMKGLSNKKKTNQEEYSFQPQLNQNSMRIVSTRQNHSASNHKHQVQQ KDEMAECTFKPKLYTPLKIYEFMLNQ >CAK68208 pep:novel supercontig:GCA_000165425.1:CT868058:38419:39820:1 gene:GSPATT00036991001 transcript:CAK68208 MNSKIKLALEKIVGTTNILTQDLNPFNTDWMNKYVGSSEIVLTPQTTDQVSQILRFCNEN ALKVVPQSGNTGLVGGSVPIKDEIIISMRKMNKIYEFDLNSAIITSDSGVILENLNNYLF QYKYQMPWDLGARGSCLLGGNISTNAGGLNVVKHGLLRNYVLGLEVVLPNGKILNLLNKN RKDNTGTDLKQLFIGSEGTLGIITKANVLCIPIPNEKKVFFLELKSFQDVIKVQGFIKQI RNEQLLAFEFMEGKILHKNKHRHSGNKLPFEFQEDKYYVLLEIAGQSLNVDQFSLDMMEF TEEIVMDQSEKEAQFLWKLREEIAESMGKMGYVLKYDVSIPPDKFEWLVNEIYPKREGPF HVYYGHVGDGNVHINIVFESLSELHKEEDKIEQKLFGLVKSQGGSISAEHGIGQHKRKYM ELQKGRDVLGCLSEIKSLFDPNGIMNPNKLL >CAK68209 pep:novel supercontig:GCA_000165425.1:CT868058:39846:41285:1 gene:GSPATT00036992001 transcript:CAK68209 MKKYLNSFKQIVGAQNMITDPFDLKPLNQDWQRFYQGKSELAVTPTSSKMVSEILQFCNQ NNIKVVPQGGNTSFVGGATPVQDELILSLRKMNNILEFDTTTSIVTAESGVILQSMNDYL QTYRYQMPWDLGARGSCQLGGNIATNAGGLNVVRNGPLRNYILGLEVVLPNGKILDLLNK NRKDNTGTDLKQLFIGSEGTLGIITKANVLCAPIPEQRQVFFLELKGFDEAIQLLRSAKQ FEQLGAFEFMEGRILQRCLPFNPQLKAPFEFKADKYYVLIEICGQQIELEYYFERLLKHT EQIVFNQNESELQAMWRWRESVPENLHKMGHVLTYDLSIPPDKFEWFAEEIYPERKGPYN AFYGHLGDGNIHYNIIFESVEEMHKEQERVEDKIIKLTKSLNGSISAEHGVGQLKRHYME IQKGKETFGYMQELKRVFDPNNILNPEKLL >CAK68210 pep:novel supercontig:GCA_000165425.1:CT868058:41493:46808:1 gene:GSPATT00036993001 transcript:CAK68210 MKHKIPTLDLLKTTRPTSRNDSRQQSHVSFRITLQTPKSTARYNHPQTSRIIEQSILKND ISQLKQKHHKAKTETDPLVFWDQVQNFGHLRTIEPPKFNQEKQTQLYQKLKKMKLEKEEK IRREQEETERIKMLELERKHKEQLALEQEKKRMLDSQRYQEYLAERYKIDRRFSIFTLVN ALMLRQLHSKPTSFRLAKLIANQYLNLLNYKAILHKITMQQSQPLQISQILQGNAEQLAQ LKNVQHRLNEGLIIKRKQTRVFLNKQKTIYEQSLAPPQFSEPVLKRIRFLQACLRLKVFK LNKKNVEPKQKVKTNRVHPNRVKALKKRLDDIDFIKANSPSFNSPLSSPGQPVNNPLGLF CTLYKVTDTLKNYDRIKTQKMIQKTNDYLVKRVQSLYYPVIRYVSIFYKNVVVWSIQYSD ITLDRTCIVLFFKGEGRSQYFYRLDIPIGAIQKEDIGDQNCITVWPTVRDYLMSYLELKG QLALLNQTRYGCAKNYKLNFIQGLSMRSRKNITEDDINAIVDTKEILNYLQTSQIVRIRK IFGSVYELLPQFQDCNLLSDATQYILNLPLVHDTILQLRILEQQILEYRRNIYKKPQDLS TRYRDFIERNKSILNQILAFRFVHNNSMFFQDQMLEDIYDLSHKSIYIQCATINIQDLLN HYDFQICNQISKFCLISLHLTLKSQFHFDDLLFNAQKHSVRTGFQTITNFFEVDYSHFDW KFNQDYFKARIEVSVFERDKSLKWSEKQLSQDIKQSRSERAFRPLKMQLDDFCKLGQALQ ETTFKNGDIQQCNFDQILKNIISEQCSYSQVADLVLKNAFTLDIKNRKIIIQPKYLHILS KTHNFIQKMDHQSLYNKIDCVYKYSVYPGEQHDIRRGYENMEKENQIAQLLPFNKLFQDI NQIDMRITVEARKIEQVTDYAFDFQDQWSDLHKQTMTYNKYQTFEMFQRKFLFIDQNHFM KIIYIKQNQSPIILYLHDQHLIHQISNCQRMNKHTKCQILTGIIRVLYSPTSFLYLKDHR FYNYLKVQLPNYHQIKVLLVSNSKKLLQFLDRLDYIYILKLWNNQQCYVHVKVYYIQDQK QQKGSEKIFVYDGENLFVSVELYEFNSKLKKYILLFNKYDLELQFDVEKYFDSNIIFNWC KAIISNTKFDKRFLYRVPQIMKYNQNQSPVNFNKSMLNSFFNRQQSINVNYNEKVTRCTF NEFPKNNFDQNITDKNYLNTVHRIFRVIGHYIQKFYVIKQKNKVKIVNEMRFKDLKNVKL IKQEFVIISILAHTYLDMFQILYYFPKSKRKLMTYISILHFQEMDFKDHVIFNLIDNPQF TDYNDLSNKEKGTQINVKKHPQLDMNLIQKIKLIKKAEQSPFDLQSARQKKKLHSRIGFA QISNEILMKNKVIKQAINQNNQISDNVMKFLGFTRKLHYNEDNRDKMIEIQIWNRLLTEV FLLNLEQDKNFSNFNTLMDRLIETFEDISDQKIKIIGKEHLMKRQSMENSRYNYKVQTEL TEKFEKLIISNHVRVTQQFKFSKSRYSLNTNFSDSQIMEFICSAQFRIERLGSAYVECFL EPLNNKRTDILKPLNPMPYSSSSNYNIYFRYYCLTNYFFKDIRVNLRELLNLFIADGFFK SQTNYMNSKLSISDIINMCHYLTYKIKTQNYLNLSQLALQHQETANTLRKYIITESVDQF QTDKKKDGEGYIYSEVIKKKNKHLVLLQLQLFHTQHKVIVNLFENKTDYFQQKELTFTYI QSVVPNFQFLIKTSNYFKALQRLVEVLKQKQ >CAK68211 pep:novel supercontig:GCA_000165425.1:CT868058:46856:47056:1 gene:GSPATT00036994001 transcript:CAK68211 MSSIQSGQLFVSQAFKNCFNQLVPDMKKFSGQYTEQDQDAMTNCLVRYIDSFRTTQKVFY QTHEQE >CAK68212 pep:novel supercontig:GCA_000165425.1:CT868058:47272:48800:1 gene:GSPATT00036995001 transcript:CAK68212 MNETDLLRGKREQFHTEIRRNQLEKIFNLKRKVSNSDSQLSDYYAQQMQQMGKIKLLDLL ILINEKVNKPIVEMLQNDLGFQLCKLLNLNELYSNWDLFKNILTYLNILVLLPDEHLHNY PKFNDMLISFSQLFILLEAEIFRQGLCIKGNDQNCPLEAGYDEISRLSYSFIKVDQILMY SSTTFEARGELLRSKLFTHSICHAFHNQMDNCVLELHLQLIASLLQDLYKQDNDCRKHAE VFIKPVCTALSTKNLDKLNYLLQILLNLTFVGMHNGYINQIFKFNGALELMQILNEGFND QLTLRILAEIATQSPAHIEILIKNGLLQQLKLKLCSNNKLVQNQALWVLEFCVCGGKQFY DLIFLQTDITNYVFQLLDSKDEQIRIQACFTLCAYLLKGNYQQTLQLCQSKVHIKVFEFL QLENVELNQAILEAVVEILNKETWHSSQYVLMQQSDRENLRYILERLEEDQSIFSMINNV LSYL >CAK68213 pep:novel supercontig:GCA_000165425.1:CT868058:48823:49146:1 gene:GSPATT00036996001 transcript:CAK68213 MIKSYQIRVINYEDIKYDTDDDQFFNFQFEAPQKGSLDDQNSNDFWFKVSHLVHQKVISN YPTMRRSLSVGNKNIIELKRQNQFKYFLKYVAEKKTCSDNLHSTTKK >CAK68214 pep:novel supercontig:GCA_000165425.1:CT868058:49198:49741:-1 gene:GSPATT00036997001 transcript:CAK68214 MRRNFQNLIKDPPANAQRESKPQQPAVVQVAEWNKALEYLDAGDINKAYEEILISEDDLY LLRLMTITGPCVAFQLHQKIKLLMKSEFWKVLALQMLGNLSSKQECLRIEQKQKLQQLLY QWSKSWQPQISKETVLLYNSGVFKPDHII >CAK68215 pep:novel supercontig:GCA_000165425.1:CT868058:49811:51755:1 gene:GSPATT00036998001 transcript:CAK68215 MQSMMQGSPRSKKVLAALGLVAQDLYYPTREEILQLGVQFEDVDFYVEAKLQHMRERVDR FLDLVRQEYNNNSEEHERNSQSSQLQLSQSFMQKQEQILRTLQNKQKIEIEREMQTEMKI EEMKRKNQMKEKRIEELRKMQEEEFHQRMEEKEAKLKLREQKLKQAKLQADIHNETIMRK FQEEELKIQQKQAKYALMKQIEKEEREREIQEKMNRRAQRMAEQEELVQHENLIRKQEYN KKLQNMEQMLLEKQQYIRQQNQLKEERAREKYQNATALKQLETYQMEQKIHSKEKEIQMR NTMQEFNRQQLLQQKRNQEQQKQYMMEQKKLIISQEQHKRNQEYLSQEKERELKKSRLEE QKKLKRDESSLILQSHMEEVQMKQRYLDKQTELEKQRIMALKQQQFLNAEELRQRNIQNM KRKYVQELLKLEDAAQNYQIQKRKEQYKNAVLLDRFEETDRRLKQQQNEYQKLQDTKKQM EITIQNEKMRILAEFDKKKLQLAEERSTQQLSQIIKANPTDRLPTNKPIKTKSATSFHLP PTKEQKEPKLPSENRSTNNKSKRTLDEKSMSTTSQKKRHYFISEETMKAVQLYANGETLK QFQIEIRPSKKKR >CAK68216 pep:novel supercontig:GCA_000165425.1:CT868058:52293:54862:1 gene:GSPATT00036999001 transcript:CAK68216 MNSCQKVHHQNSKIIGICQQCKQTYLGCKFCFAEVHYMHIDDFLLNDNILLIIESVLEKM EMAKQYLEQKNLQINEQFNSFIQAKYTQLQKIKSHLLNQNLQNLLNLEFLITINQDYILY DILAYLKLSSELFSQQQIENIRQVFVNQFQNVQQEYQIILMFDKSCLLYSIQKYEKALQI SSKILKLTQKIYFLNKNILEFNKEIKLKSNSYKWSLECLFGRNLYLIKEYKKSLDFFQKL RNNNTNQQILKESSLYISLNLMQLNKNEDAINYLSMYSKIDSTNLLINLFEAFALERFGK LEFALQKYKLMAQKTNKSFYNLLYGNQFKIKLTGKVLLNCKKFHQAQEIFDKVIEHRNLK EIAQVYKCLTLLNQNELESAMKQSETLIDTNQNSVYGCLLKGIILQMQDKIEDVNAMSKY INQQFPDNGWNYFFQSYVLILDSKFEQAQIFLEKISGEKHKLLFFKVLFLLKLNKIQEAF EIIQQLNKEDQYIALLKEAFLIIKENGQAKIGILQFKRLFEINPQDYMAMHYQGILSHRY VGYCFYLELSYSQAIECFNEAIKLKPDLAESYFYKGESLFRELEFSKALDCYIIASKNEE FNNEQVNFQKGNLNLFLSQFEFALSSYEDSKSIDLASIMKAVIDWYQKKFQEASVEAERK KDRYKQLFFMLGMLYNELGNKQFAQYYQDQFKTMNQLGTYNFHKGNYQNGKVFIKYMERN SQSFEKLSDREYEILKYAAWFLDQQAKEISLCKAQWKCPKFFYQSINIEQNRNINEELLK HFAKYPVI >CAK68217 pep:novel supercontig:GCA_000165425.1:CT868058:54928:56402:-1 gene:GSPATT00037000001 transcript:CAK68217 MLQSIGEIPQTQPLINYGTVKYSFKCVRRHLFKDKVYYVHALPNMITIGEHINDPNPKYK LELNLKNIVYWKQEHGQNRAFGIKYKKNVKYFEAASEQLQKFRQEISCLIGFHSFDDHYK IDELIGKGSFSSVYKIFRQNDKKVFAMKYVSSKQKNDKENMNEIGILRSFNHESILKIYE VYRVEEYHYGIIVEYLDGIALSTLIEQLKKNQNILKESEIKTILQALLMALAVIHQEQVI HRDIKPQNIMISQQHHNCVKIIDFGLSIKNQLQYNRCGTPGYMAPEIVNMRKDQQKAWTS LCDIFSLGVVFFKLLSKGISCFQGQTSDQVLANNKKCQIDWSIVQQHNYSKNCIVNSSII YGQSLLKAMLAKDPEERITAYQALQHPFFGDTFSTMYTDYVGHSISLKSKQVLNPTLEKQ NLDSLQSVEDNYVQKQQVYNRDIKPSILSRQK >CAK68218 pep:novel supercontig:GCA_000165425.1:CT868058:56752:57630:-1 gene:GSPATT00037001001 transcript:CAK68218 MDQTVVDQLSLDAIPYYQEQLYGALSLVLELQNRIEAENEITENIVVKIQDDNKNEIYQH VLDFDEKQIDDVIQEMNDKCLSQLEEIDQETCKQDEQIFTMNQRIDELEDIICQCMKQTN KDQVILNDLTNLIKDKQLLLQDINFEIKEQEQINKQNQQFMKRRMKQEKQKQQELDEKML LKQKKQEQKQLLKEKTAKNEELLIQQMLEEKQRLQYEIDELINGQEQKVQQLLSQMETTV MEEDEKSIVASAVLRESVDKSHYERREIKEQPKTRACCGECQIF >CAK68219 pep:novel supercontig:GCA_000165425.1:CT868058:58645:59016:-1 gene:GSPATT00037002001 transcript:CAK68219 MKQTYNHLNPVFALLGSNSTQTSPMSTTDLNDSIEYYIDQKEYQTELEIVRYLHNLGVQT KTDPQIKEQQYWKEKRQRSQNIILDTIGNSADKIVLNPSVNRLLRKNVSQKRLRSNSPIF LLD >CAK68220 pep:novel supercontig:GCA_000165425.1:CT868058:59682:60459:-1 gene:GSPATT00037003001 transcript:CAK68220 MNLLNRIIRINIQRPITSKLTQLIRNQLENFSFYQAKVLAVQIDCQGGSVVQAKQIQYLL QKFAQKQKLPILCFAGAQVYNSANIILSCADKIIAANNSEIGDYTFSGQLWNISELIKRE NLEVINLSHGKYKDRLNPFQPYKETDKEWLNNSMKNNEIELKKQITLNLSKKFINLHEQT DCLNEAFQSSILTGYQAQKLKIVDEIGTIDEYLQSNYKNMKTIEFFKPQAYNIQQEIIEK LIS >CAK68221 pep:novel supercontig:GCA_000165425.1:CT868058:60643:62456:1 gene:GSPATT00037004001 transcript:CAK68221 MIEDCELDQIIGTRNQFGPNRYIVVSESLFFESYELCKSFYYQIVQQSAQLINIQKENEK NSTLEKNDRFIIFATSILRQQAFEQHMKFNSQQLEQYRQGNQASVIISTINKLIKENIDP TTAKKKDELNNFQDKNEEEKKKIEQQRREAFIQENCIIIIELEQTEIYEMKKKNSHKLQA EDFLKFLETKSKDNVEFWENQSRLRQVYSKEISKLMYNPQIDETYIYITRRQISINDIRS QFEQQFQIKQIVDENHQQVEELYQDIINIPTQRYVPLIIYNQLFRDQVINPILELAKQNR QVSFQTIQTCLGFAEFKPIEVFLKLIETVENCRDIIRWINLLQRHLEGKSVQKSSEAVGD KDQIIYKVREYLMKSYKQLDKRFQDEFLDAKKEDSYDKFLVSKDNMENTQFREQQQEQIF SNTYFSLVLYEIKENFLMLYQNFKIGAESFNVIAKLEFFNQNKNEDNFQTDQGDPTSKAK GNNPVQKKKQNEQPSKDYPPQADHVDINQISLIDKEEGKTVYTLKFQLKEEHQQLVQTKN EQYRNLIMDVLIRTVTIKVKQTMYNPQTRKFALKITQFVVI >CAK68222 pep:novel supercontig:GCA_000165425.1:CT868058:62622:64212:1 gene:GSPATT00037005001 transcript:CAK68222 MATKAAIGEEPEIEKKVCITPVANSIQLPQKIDISVIDHETPKKNFKQKTLQILLMWLFC KNQVNPLWLTVTNKHLIRCCVVMNLNFALDLSSSQYLSSFSQKDKIVQFKQMDQGNVNFW QSVFYTKYHEDQASQTQNQQQMNHQDILQEHLIYNQYRNLILNKEQLKMNLYPIDEKIYH DYVRLDGKKGQKNRMNIFAIDCEMVQTENRLELARVSIVDYNYRVVLDILVKPQTIILDY NTKYSGITEEMLSNVTITLAEAQKMVKSILDEESILIGHSLENDLNALQMIHHKCVDTSV LYMTESNRKQSLKNLAHKYLNLSIQKDTHDSNEDAKIALSLAKLRIEILNHFSSASFPQQ QTATPDVLIQLRKFGGIHLVDSRQEVELLLKYDVGFEDGEQINDEKKMKRMIELLKQRSA NAVNPKLIFAQIQNKFQEFESLFLTMYNLAPSQTMFILSIGGEQQQILLITK >CAK68223 pep:novel supercontig:GCA_000165425.1:CT868058:64465:64729:1 gene:GSPATT00037006001 transcript:CAK68223 MAERNIYLSPFDSEKPKLSAQTQSLEDVTKEYGPIKPYNYNCQLDQYNVKLCGVIFDAIG GPDFILRNDNVESKINTIE >CAK68224 pep:novel supercontig:GCA_000165425.1:CT868058:65318:66329:1 gene:GSPATT00037007001 transcript:CAK68224 MAETKEEVKAQPKVYNYGDLIEFENKQAFSSIGKMPLSTKQTLPSFSFGSAERANQAKLY HNKELARIDFAGKASPGPVYDVRGGDKFYYTQDANTKIGNDPRNTLGTGAKFDYYSRKDV DFEPQEADLIRKPKYPNVKIGLESRFPPEKRLKGTPGPQYDPAIKPEVPTPAQYSFGYRR DIPGASALAPTCSTPVIVGPGAYLQKPPANTSNLEDAPHWTLPKGPKLGKIFEGWDKNQT YDTKQVAVGVQVNSKKKSYPAFSVGKSTREAKVGHFKQLMVKVPSKVHIPHPKI >CAK68225 pep:novel supercontig:GCA_000165425.1:CT868058:66360:67034:1 gene:GSPATT00037008001 transcript:CAK68225 MQKYLGAIYYEFRYLMGRAFKETGLYFDQYGSRLSNDIAWLEPLSRHRKIMPLFAFYKPR ISESASIQDNASLIGQVNLGENVQIGYGAILRADDQAIRIGSNSVVGDNTSIQCSRTRLP TNVLASLKLLGQHVTIGDSCIINNSIIDDNVTIGSRTLILDGVQIERGSQIADDSVVPPG RLIPSGQLWAGNPVQFVRNLNEKQIN >CAK68226 pep:novel supercontig:GCA_000165425.1:CT868058:67059:67454:-1 gene:GSPATT00037009001 transcript:CAK68226 MILSLFIYVFKLDDCIRSWDYLMVRGMIRGIPELNLGFIDVTNKQLEQFKEEDYGFNFQG QESSIIKFKVGELIYAAKQKHTIDRQLISRLAMKMRKSKPSQLLDLLSHFENIQTYRKHA QFYMKTIFDLY >CAK68227 pep:novel supercontig:GCA_000165425.1:CT868058:67528:68077:-1 gene:GSPATT00037010001 transcript:CAK68227 MFDVQPILVIFIINLFIQQMGNNNVCLGEIQNNASRQGSPDTKWKREDLKSGSCVQTLIN KENTNQQRKHQFLQDSLMWILNNFLQNEHSASRWAQAISTLKYVDDIQTTINLLYNRSKF EELIARSGLLLGDELCLCSNFDCTFECFMQMLTNEKHLLCFNFVMICDCISFSPN >CAK68228 pep:novel supercontig:GCA_000165425.1:CT868058:68094:68446:-1 gene:GSPATT00037011001 transcript:CAK68228 MQKQQALAVVRAPSGITKQPFKSIVTTNQAPLQRVNSSGFMSASRQSNQFQKPSTPFYYT NNYRQDWKNYLRSFPSIPKIKILAPGTGYDAKMIK >CAK68229 pep:novel supercontig:GCA_000165425.1:CT868058:69709:70187:1 gene:GSPATT00037012001 transcript:CAK68229 MCVHNNEKVDNYQSQYNDQQQTLEKKSDGSNALKIKNRESEEMECKNQFQSQDCIPLMSL IKVVYLTPKSFIIIEQMTAIEILKEFKIKLDYEQPIPLIQLIEHEIRLRFRPDLAKQTKC VGDLSEQVIVDVKSTAENDFDKDINEQTI >CAK68230 pep:novel supercontig:GCA_000165425.1:CT868058:70418:70893:1 gene:GSPATT00037013001 transcript:CAK68230 MTGYSEQQKLMIGVDSCFSQSEYSILGFGQFNFCTFLMHSKPENVAPKVQLKNLINGIKN LLKNSEFDEKNQDELQQSGNSFQNEISVFRGSVQISNTERFQPVVTDDNQSKHLLNFLSQ DILHIPEIPQYDNQLESHLQNALILYIPQK >CAK68231 pep:novel supercontig:GCA_000165425.1:CT868058:71253:73206:-1 gene:GSPATT00037014001 transcript:CAK68231 MSQNFKFVEQTQALPLIVRKPIAVMNYNLYKKYRHSQNYYYIREINEILSDASTRHVILF KDCLTIDEEVPQLMHNDRMSTLRDTINWRNIHKKQHCSQNITKYCVRLNQFHADIARIFE EPVCTVLNKYFDKKRKYDYFRIAKIIEEENKLNPQKPPKGIVGERPSPANSQESLPQEQE QVENEVVQHTQVLKEISWLKNEKPFHKDASQTINELFKHLEHFDDMSSFSIAPIPKKEKI TLDNFLLYIGTKFNKKQMTSPNYKQTHDHRIQELILKQKTKTLQKGQPNYSLHKKNDTKY SIGSIEKKIVFAKDQFNNLSKKSNRQVSTDIMESLTKLDNKPLEFIKNKSKTTVTKNQIK INNIIRDVNHNNTPTPSLHKVSISSTHLKTQQPYAVPQSNNFKLNSLAKLLIEDTYNDQQ HNKLKHGAITHRPLSGTHTLFTSYSNRNSPSINNKQQNLQNSKPDFNQIKKGKQINSTQL PQQFGQLAKNLFKKVLNSKKPKPINHIMQSSQQDKVLNQFDKLKINCNSQQQLNEINEKK QHKKIKSDGRALYNKFSIPELGCLTERHEKESPLLNFHSNNSHGSPQQFRRLDSEKQESI SKNMKGLMIQQMLKQLAKKNQQNQQKQELCSIIKNSQKIF >CAK68232 pep:novel supercontig:GCA_000165425.1:CT868058:73262:75886:-1 gene:GSPATT00037015001 transcript:CAK68232 MNSTRNDNLETKYFDENQFKESQPMNSNKVTSRGYQSNILQSLAIQGSQKKKFNCQKRDI YVRKFMRNIQTGSQKIKQLNKLQLQMINDKASSQKTLIQKKSSIPKINGRQQIFLQNLKS SFKKNLDRVPILYPYAKFKILWDALSVLTRLYFTFVIPLDLGWQQQSFIFYDFQAISILS LLFIIFDLILSLNTAYYKDGQLVTNRMMIIKHSLFNSYGLQWFTVFLLLVFFFISISEDT LYGTKSTFSFLILILFLVHFKPIITIVNYYEETLNFNKQIQSILELVKLLFVMFYSIHFF SCIWMLVGNYSITKYNKSWVLQSGVEDAEWQIQYLQSFYYSAVTMFTIGYGDVTPQSSAE KVLAVTYIIAASIQLPYSVNTLGTIIQQISVYTVEKKRKLRIISTYLTQQKISQDLQIQI REYLTFRWENQQVSKNDEVEQLIGQLSTDLKDSLVTESYQQILKKSSLFSIGFSEAFRAS LVKVAKEITLQPEQELHTDESSQNNLYYIISGELQIQHSNGMNLGTLVSGQSIGLKNFIT SSQKPEKYRSVGFSKLICLEQKQFLRLLQEYPADYEKYCQIKDSLLFTDQNQELLPQTCF SCNKKYHSMINCPQIHYVPDKEKILKSFTYPTEQRRAKFNRRIRIMNFLSQQQVIEGLKI ASQQLLRENPEIVKLYSAEYMINEADSRKRSLSDTQTVEQSSHYSNEQDKTPEKQQSHKI NKFKQAVHKIISVNNEYSQYITFHQLDPWKSKQLLQNTLALRLKVLDELKSSIFKKEQDL IQYLLQLNNIDYHFDASQFEIALNYKNYYPNDNYKNLFNQKEQKRNFRLLRRLTLYFLQP CNIIDQFKLTNEDISPKLSQRRKNLYFSVDLVIQ >CAK68233 pep:novel supercontig:GCA_000165425.1:CT868058:76221:77024:-1 gene:GSPATT00037016001 transcript:CAK68233 MNNHQVQCPQIFNSHYLRTDYKVNPQPLVLNLNQSRQSSKALSVDRGKPFIQTSFTVKLK QANQNVEQLIDLWQRHKSITQTTPTNISQSFHYHSTTQKNESFVIAKMLEEIPETREVSP LKPEEIMIKPIQLFEINQSTSKLPTTQQYNSNHKKTSSLYVGALSKSDAFSGTKKAAKEN LKLSNNGTSGSKKTQINSSSKKLPKELSVIVQQLQSVQKTLLPYYQKLEKGKKTEMDEQF NSLINQIKSDFTK >CAK68234 pep:novel supercontig:GCA_000165425.1:CT868058:77254:78479:-1 gene:GSPATT00037017001 transcript:CAK68234 MLVNQRKCMFCEKLRNDGSFHLILKRALYCKYSQSQNFFYEKDINDIIDDRSVKCTIHYK DHLHFNDQNEYMRRYYRFFESDDRLPALLEYYKYHINIPRNFSSKTINKRMEKNREYQYL KIKQELGLCQNPKNTQQDSPRKIKDTSEDQSVSQMKNLLKDLKIESTQTDISSNSTILKD LVKMIGNNAQKPQPFQILNYNQFIQKQINPVIEAQKLQCKQLELNSAKPKENKTKLIKSP PLTERNLKSQQLSRQSSNTKLKNETLKQTQQQTTITTTTATTKRPPQLKSRQASLGNIDL TKSCQTTARNNPQQNELLFELAKKVFSTKIAINNNLLTKKKPTSQQTNNFFVKGRTTLQF NLKNMEEIRSSIQSLNVRHKTPNQLIKSQQGTPNYKKKQL >CAK68235 pep:novel supercontig:GCA_000165425.1:CT868058:78557:79422:1 gene:GSPATT00037018001 transcript:CAK68235 MQPNSISSPKFKVSRFNPHREHLKTQIAHTSLLTLKPRASLPKIQKNTSQISQRSEIPLL KMQSMGSPLSQSYLLEMEKVYCVWHSETGIVGGEQKKHNQDAIIVQNLNNYQLFMVCDGH GSSGHLVSNYVLNTLIQQIEQGMQRNQYMLQYNTQLHKTVIKGAFAKTSSLLDQSSLPIS RSGCTCNMVMLLQQNIVPADLGDFQQEFQKESVVYCANVGDSRAMMVSKGVRGGLITNQL SMDHRLDVVEERNRIKQKGGTIAQLQHNGQSVGPYRVWVG >CAK68236 pep:novel supercontig:GCA_000165425.1:CT868058:79424:79735:1 gene:GSPATT00037019001 transcript:CAK68236 MQGSGLAMSRSFGDTQMQSVGVTSEPTIYESKVRQQDLFMVIASDGVWEYMTNQQVAKLV YEKYEQQDQAAQYLIQQAQQQWKENDVVVDDISCIVVFFNQSL >CAK68237 pep:novel supercontig:GCA_000165425.1:CT868058:79761:81262:-1 gene:GSPATT00037020001 transcript:CAK68237 MSEQQKQPNIINYFQQYKQGIQNAFKILEEVEFEVPEEEVKQKVQKQIEDQEQPIEPKFI SQADIRRALENFDKLILTPLYSDPKYLNFESNDRTKRQTQMKHQQMWDQIKLQQKERFAR FQDQEILERILELDSQLKEDYEYNFQFSSGTQKKTIQIKYHDVLKLNPPNYLNDGIINFY LKFIEFELLDESLRSKTYIFNTYFVEKLCPFDKLQTIQQNDNHRINELFKQSYEHIKRWV KEDLTEKEYLLFPINLPEHWSLLIAHKQSKSFQDSVIIYLDSFGIIDQKLVTIIKMYLHK MQCDKIQSDVNYNDSPIKQIPAYQLLVPRQVNYVDCGAFLLEYAESFLSNPNYLLSDFES PEGIYKLKLFPRTLVNKKRLLMKQLLIELVELGKEQALVNYKQRRQEIIESCYTNEDEYD KIDQDLFKEFLNQKNSISVNSEQQRSMLLDFYMNPQQNYYEQ >CAK68238 pep:novel supercontig:GCA_000165425.1:CT868058:81301:86976:-1 gene:GSPATT00037021001 transcript:CAK68238 MSYPMDHFVQLLYFDNLEHLESGQNQTRQKVKEGKVCDEIIFQSLVKVQLIRIVKPGYNV HQNLKKLITITQSEEPIRNLEIFAKSYQTNKPQSRYQLLFGSPEISSNNCDILITLEQPQ ITDFIVFRGQYKRLTICMYGEIMSGQSIQSYNPTYGKNIGLQELNDVIDARIQRVRAITQ PVDNKIYQQIIKQRNQVLDHIYNQENYHLCVDNIQPQLLLEDKQFNQQESQKQIEILAKE LKSIDQDYDFVDKQLVSLYHEKLEQMHRVLTKHLKHSKADIHSAAHFNDVDRIYKLLPTS LVDSVIFAINASYSSAIRAFPIIIQLFSSKYHALLFLDKGGLNKLLEFLIEKQQSPSYFK LKVIETLYNCMNHVEFCNELITRNVEIKTQDSEKSPKKKKKVKKDKEKKKKENKEGKKKK NKKKSRSRSRSKSKSITQEQQQFTSEQVSNFNGFQLLAYTASKNEDEKIVQACHVALNRL QIYVRFRKLKNMIDLVYQGKLAFTEDGTMEFLMNNLPYQWEFENLVGLDLFLFAVQKDFY CDKQRELMYCLFDAEKTKTANFKLVKEILQMKELCITYANAMWFKQMQFMKYIAAMMVLG QNYSQCCQLIYRILQIKGGIVVICQETTALKLIIRVLTKNNDIQLLNLIKSSLVLINLMD QIYIKLLGSDYDYELISLLGQLQQYTEEQDEITQNCAAVTHLMNNEFFSEALLYIMSVTK LDDIIEHEVEITIQSHIFINILRYNDTHNGLFLADRLLAPNARFIKFINTEKSRFSADFR LSQILLSGVLEPYELFLAKDTTKAIRESFHAKLKFVAINQDPDKNKLKEYFGVGEHKKGI HEELKSEFRLLGTFDQPYQSLQFLDGAIKIFKWLCDCNKFYFIPFFEHEVIAGVECFAGK LINLFSAMTSKNIQTEYMLIKLPNQQQILRHYFDLTYNTIELIQERLKFRVQLGCESSFH SNDLCKILIDLWVSLQKVQPNGLRGCKQKVESLLNILRNCFNYVITLNSFQEEDNEQLKI HVQQGNQEIQTLFQSLYLSAFRNQEEQKYILNLMQYLIKDNQNKPQFSNNLFNVLFKPMR KNDDEFENYTKNGDLGFLIDTMGEQKCLQNLMQSSLRTFDSTLQNEMFKVLRNLLELYDH NISQHLFKIIEDQLKNYSNKIKALAKKEDQQLYLKYRQDWVLLGLFLTQAIDICLCNCGF MYIFNYEHLNEDMIKIYKLIDAENDYCDTQPTEKAYKLLIVTLRNQILQIFKKAVDHECG VSQFLQSESQSYQYLIEDIPHITYLQDLLKSLAKNLVKHIEYSEDSKEYYHLNLISSKLI IEILECTSKNIVGQNILLYSDYTQNPYKAKPIFQLYSIVDLVQQSLLNYTNLPFQPVYTA IIEQFISLLFRLFVSISNNVIHPGDVRKRAIQTLLFNKQENIVDFITNLSNQLTNIPYFL KHLKLLQYLLKQIESNNKEIKDQNVTLPSEPRSHQVVFQLIQEKIQQQQSKVKQQQVLSS FFKSTVLEGDKMLKYQSLTVSEVTPWKLAFKELKKEPPTQSNTFLFPEVLYRDKIFKMVP LEIPAYPKTIINDIMSLQIRQPMISKDRIGFAKISTVQPTLEQIRPAVPIPIRTPTVEAP PPLYKPEMMFPLQQPNVQPHQNPINSTPVIPIRPQNANSNYNLLDSIAPPVTFHQLEDPR LSRRQDFNMHPLQPIQQQSGIQQQQQQQIQQQQAMQQPIHQPYPIPQIPMYMPQMSAQMG SQLPSQMAPPMQQVQPPQIQQQIQQPGLQQPMMHLHPQHSISQQPPVQQPQVQQQQQPNE LAELIAMMNEQEKAILREFLTSKDPRKLEQLEQKRIEYPRIDQFLMALQQQQKRRGQ >CAK68239 pep:novel supercontig:GCA_000165425.1:CT868058:87036:87278:1 gene:GSPATT00037022001 transcript:CAK68239 MLNEIKEMAQKQKFGIERFYEGKEVMVKENKITIDFDQSIQLFLKQFHSYNQQNYIIVLE IKLKSFQMQGEIDFFFIERM >CAK68240 pep:novel supercontig:GCA_000165425.1:CT868058:87340:87483:1 gene:GSPATT00037023001 transcript:CAK68240 MRTSSPNLKIFDKAMINQNMNMFTFTKEEGNFADLAKIDYLLLILAR >CAK68241 pep:novel supercontig:GCA_000165425.1:CT868058:87848:88991:-1 gene:GSPATT00037024001 transcript:CAK68241 MQGLFVAAVFLIQFRHNKFQMLVILWILSKLKNQQFQVYDHPENTFQFTDVREKTVISQF LNDQKIKMNLIKQKIEQLLAELAIWQSELVQQQTNLINVIKKDEFKSLFQQLTNQDKGIT NEVIQELQNKFYHYFQSIQSINQTSAQKDLESLDQILENMNKKIIKSQKFEELFNYINKA EIDYLRIANPFNHLIMSTISKNVKRQIIKKQPIYRSRENGLTFDAIKAAIISKENLLWFF KSSNNGCTEFGAFTPYIWNDSQYSGATAANPSFIFSKTLSQIYPIKQAMGACTHYFSLTQ YLIFGGTGNSDQDILIRPDFKSGYSRLGVSYQAPQGLDTSKNSPHLFGALEPNVIECEIY QIIFE >CAK68242 pep:novel supercontig:GCA_000165425.1:CT868058:89676:90823:-1 gene:GSPATT00037025001 transcript:CAK68242 MQGQYVAVVFLNLIQTQQIVDVRNIVDLIQVYDHPENTFQFTDVREKTVLAQFLYDQKIQ FNLIKQKIEQLLDELAIWQSELVEQSTNLLNVMKKDDFKSLFQQLTNQDKGVKKEVIQEL QNKFYNYFKSIEVMNQVSTQKDLESLDQIYDNMNKKIIISGQFEELFQLVNKAEIDYLQI ANPFTHLIMNTIQKNVKRQIIKKQPIYRSRENGLTFDAIKNAIVGRQNLLWFFKSSNNGC TEFGGYTPYSWQDDRKPWGATVSNPSFLFSKTLKQIYPIIIERGGLAQQFSQANFILFGG TCNGDEDLRINPDFKSGYSRLGVTYQAPAGLDTTKHSTHLFGALAPNVIECEIYQIFFE >CAK68243 pep:novel supercontig:GCA_000165425.1:CT868058:91081:91382:1 gene:GSPATT00037026001 transcript:CAK68243 MQGIIETDPKIILEFMAEENRFAHAQLTLQNLQSQPVAFKVSDLLMSLDQNYSSLNVLSK TQCWFYRGRSINDCGDIYNLCKTVQDKYSISIR >CAK68244 pep:novel supercontig:GCA_000165425.1:CT868058:91404:92349:-1 gene:GSPATT00037027001 transcript:CAK68244 MNLIKKKIEQLLDELAIWQSELVQQQTNLINVIKKDEFKSIFQQLTNQDIGVKNEVIQEL QNKFYNYFKSIEVMNQVSTQKDLESLDQIYDNINKKIIKSGKFEELFQLVGNTEVDYLQI ANPFTHLIMNTIQKNVKRQIIQKQPIYNSKENGLTFNAIKAAIVGRQNLLWFFKSSNNQC TEFGGYTPYGWQDENKPYGATVSNPSFLFSKTLKQIYPIILFRGGFAQQFVQSSYILFGG TCNGDEDLRINPDFKSGYSRLGVTYQAPAGVDTTKYSTHLFGALAPNVIECEIYQIFFE >CAK68245 pep:novel supercontig:GCA_000165425.1:CT868058:92807:93610:1 gene:GSPATT00037028001 transcript:CAK68245 MQGIIETDPKIILEFMAEENRFAHAQLTLQNLQSQPVAFKIKTTVPQMFQVKPSVGFIEA DRSTIVEISTTQAIGQDQKLDAKFQINACFRDSNEQDLNQFWRSRDQSTIQTFQLRSRMK YQNPQDQQNQQQQQQQQQQQITGDSKILESSIFNSSHSESRMFKSIKEDSQKDELLNQYK DQYEKLSQEYQVFKQKIQQDQFNNLSKKESNAINTRQALIVVIIALVIGYILGK >CAK68246 pep:novel supercontig:GCA_000165425.1:CT868058:93633:94389:-1 gene:GSPATT00037029001 transcript:CAK68246 MLKQLEDALIKLEETSHNSLKVLEKERQHRIKITNEIEQTQQELEEILNNEEQMIRNIIA VELEKPVQIGTKFQAKLIKQIEVAKFKHVERTNMVDELLETNTNLRMELHLLEKQLQRSQ AQVQDFGNQLSQKQTEFLDLQILQVAKHKELKELVEEVQEMKELLQKVKEIQFTLNKVIG KNNNNANNNNEFSKTNSTEEAFKLTQSKRSVNGNSLNNDYQQDKNEEFWYTLPPTPPLKL PRIK >CAK68247 pep:novel supercontig:GCA_000165425.1:CT868058:94634:95480:-1 gene:GSPATT00037030001 transcript:CAK68247 MNNFHKFDRSLLTVDISGAQPKQKSSYLDKIIPYDIRQANNTKLQYTIPKQDPQYGRRRY QHDFHHFNNSCVPQGDNYFDGSHKKHYNLQEGEIKQYRALENYYDQPKYYHIISGNLQGD RLLATNDIEGAIPATSTSKVVKNQEKAQKIRQERDHLRAQNNKALYLSQLESKIDYQPEV EQKAQRIKSYSIQPTHENQIFENRVTEPSQPKYEYMNKPLKLPPILARETPVNVNPISNK LQLHSNQKNYLLHDYDKTLSMLQQNLPTRLFV >CAK68248 pep:novel supercontig:GCA_000165425.1:CT868058:95858:100755:-1 gene:GSPATT00037031001 transcript:CAK68248 MEVKSNYGSTKNSLENDNILTRMQTELKLIVFRVFFLILKDEEQSIAIQIFLQVISFFQY LTFIFHRQLYVVWKNQKVSYQLYKFFGYFMLTPYFEKLNFSSFASMMYALIGIIFMSLMI LLLIGYSNITKINSSYTWPIYILKLIFILFTTILYLPILDLFFQILNCHYDDNNNLINVV FNNTCWQGSHVIHGIVAILGIVLFVVITMTFNLLYFEPKYNHKDQLSKTSGRAKTFKFFY FLILEISFVLIDLDQFDYVAIYIILIGAFVTFYKLHIEQPFNHVAMQKISSVYAALMLWS ALLICFSHYLEDIIFHGTIYAWLIGLPLIAFAISKKEKYLYDLLLMNINKTEDPNQIILL TNYIQKLLSRYQNNQHFNIMLDALIEVHKNTCQKEDCVFRIKKQLNQRLVKLKDENISHR DYQIHLLLGEIYQGYIRRHQHNVRLRINYAFYLLDFLKQKQQSLNEFNQIELLSPSLDNE FIIFRYKRIIEDEMNITQNETISGNLDVATELTFQNNMRQFQNKIERATLMHMDFWSQLQ EDQPDLGKMNEIGSKINLAIIQVEELWNKIQKMTQNLPKAMRLYAKFIIEVLQDKDFGEE LLEKSKILQAQNNKMKNKQTIQVFSSDDINFEPLPTLLVSTSSDKFAQITNLNLSVCNLF GYHKTELINRKINLLIPQVYAKFHDNYMEMFMQSNDQQKLMKERLVYIKLKSGYILPCYL YMKVLQSFDDNILIAAQFRTLRTFKVGCYLILDSDEVIESISSSCICNLFVDQKMISHRK IYFHELFPNYNRNEYLNKTGCVISFNLQSNIVSNSNYLQYYISDLEDQTQILFQIQITEI SNEHQDQVMGYVIKLEKVSNEQSQILSPDIQQQLVVPNTHVSNFQFKYFPSKALYIGENQ EEGNSARVDQTVIWEQSSRLSEETQAEKKEKVEEVKVEKINYAEGIRTLKLFDNRIQDIE DIRMSFSDSDEVQHSSVFQKNSDNPDEIEQGQRNNVFRNRKALNSTINDQQRPQVIVYLS WAINILMITVLTLSFTSYFLGLFLFENIQNSLNLINYVSLRNQECSQIVMNVQNLEMLRI GIWNMTETEAIAYEEEQRTELNNSIFALTDANKKIMLDDLYINEEIEELHSKSVVNVRIS KTSFSNYDLIEATQQIISKALLVRDKPLKSMTLDDEDATFITYNLQNGIVFQFRNETNQY SYGINNLSGQNVEIFFIFMIVSACAFFILLIILVMFIFQINQIQEQILQLFMEIPEKTVK YLYNKSENFISNLQVGEEEEVSSDLSDEEQDEHKELSRTLKSKRKRKIFKNTNSFHRSQI IIITFILVIFQGYFLLNYFLNQVTYNNLKQQIPELNVTARCSSFYRFVDNCERQLFLNPN EPILLENAYQVVMDNIQKNYEIDSDLHQEHAKNSEIQNSNYYDTFQQIFMLNPCDTFVQN GYTTIEFCESFANGSIEQGMAVAIARYFENVRYIMTIYDMFNGHPEVNFSVAARGWGRFR NITNDSDNVTNYIYNLNNFKQTSESRIMQNVFIKAAFRYLLDEFLTALKYDIEVTQTQLL AVFIVFEVLIFFVYFIVWLPAQMKMTRDIWRTKGLIMMIPLRVIQKIKTIKDFIGILVHS QDK >CAK68249 pep:novel supercontig:GCA_000165425.1:CT868058:100793:105707:-1 gene:GSPATT00037032001 transcript:CAK68249 MELKSNFGGKSSFDLHKSKTDKLLNEIKLIYFTVTQLLLQNDEPSMLLSILAIIVQFFQV TYILFNRQIWPVWMTYEVSRQINKVLGYSMLVPYFEMLSFIGLISMMYTCLGLVLIGYML IILLNYRLKSSISLIVQMLKALIKLFLSILYMPIMDVFFSIFACAEDETGRLVHSLFSDI TCWEGTHIVHGIVSIFGIIFFYLFCITFALLYFEPRFLPMNPQSKKNGRYLAIFLTYELI MIICYTFMTQKSYDYLFIFMIAIGSFLVFWKMHVENPHNNKYIAKAWSILSAVNMWGVIL LCFAKFLEGQLFFGTIYAWLFGLPFMTAAVLKADKLNYELLLTNLNKVSDPQEVLNLTDY LIKLHKAQDPDSQLMIDGFLEIHRATCAKEDCYLKQKRSQNQRIQKSFFKDQIVSERDID LLMVLGQIYFNQIKKFPNDISLRIRYSIFLFDLMKQRQQAVNELLQAEQQCPSFDQEFII YRYKQIIEFEMSLAQNENISNLDVATELNFQNNMRLFQNMIERTTLMHMDFWAQLQEDQP DLGKMNDIGSKINLAIIQVEELWNKMQKMTQNLPKAMRLYAKFIIEVLQDEDFGEELLEK SKKLQLQNLKLRNQQTIQLLSNEDLCFESNAIVMVSTAQERFAQIINLNLSCCNLFGYIK SEMINRKVNIFMPNLYSKFHDSYLERFMQTNDNKNINKERLVYIKLKSNYILPCFLTLKI IQSQDDSLQLAAQFRPIKLFKPTCYLIVDAEESIDCVSASCIPLLNLDYKQISHKKIMLT DIFPNFSQQKQQYLSKTGGTLHYKPNEQQISNKILKEEREEAEIFFNCYMNEIINDTTGV LAGYAVRLEINQNEKSINQELNVYHRQNGLSLQFRYNPRMAMYQGEFVAEMNSQRVDQTV IWDQGDLSSMISSNQPEIHNAEIQKYLVRADNNDQQKNKINYGEGIATVRLFENRIQDIN DQDIISDEENEGKNSVFERNQNQDQERKGQQDVNEKNNIFRSRKHLSQIINNYQVPKVIT KVNWTANILAIILVVLSFTDFFIIYDQYEDIYKTILLVKNQNQRNAELQTITTSIQNLLM LNMDVWIFSTQLEQQTYEALWKKKLNQSINNVDNLNKELMLTDVSLSNQILEMMNNDVVN MRSSDGSSQMFDLSEAIQQLLSKSLIIKDKPITEISLDDGDIQFVLFNSLNSLIYQLRAF STLYANELRIKSENNKDTFLLILSISAAALGIGLFILLIAIASVSKTQEEMLAIFIDLPD KTTKYLFNRAENFISNLQMGEDDDLISEMDEMEREGQNELNKAVKTKRKRRKYKNSNKEQ RNFTLGILIFIIIAQVYFTLNFLMSKTFLTDLNQMIPEINATARAESFYRFVDISERSLF LDRNQTIMNQDSYEIVKNNLNAMYALDSSIHQEHALNVEITSQIYLDSFRQVFMEQPCTI ISNFLNEINEQDCQVFADGALYQGMAVGISRYFENLRYIMTIYDQFWGNSNVNFTLLARG FGKFKNITKDSDNVRNYILNLNNFNQTKEAREIQDSYNRGAFRFLVSSMIEGIRQDMESH KTQILGLFIVFEGLLLMAYFLLWLPLVAKLTKDIWRTRSMILMIPLGVIQSIKSIKTYIK ANIQINDVDV >CAK68250 pep:novel supercontig:GCA_000165425.1:CT868058:106221:109875:-1 gene:GSPATT00037033001 transcript:CAK68250 MSSTSRYRDDPLPINNKYQVFLVEDSTRVTDLIEKDKSIPHVSMIDRFWGHKTQRVTAQP LLEQKECSQEEQKQIEKQVIREEAPVHTELPILKQSMSLKKFKESLMFDERTNSIPKSTK QNPIIDTLMRDSEIFLKKGELLEQVKNLKRLQVLKNYETHHVNLPGVGKLPYIINDYHSK STNPGYSRNNKGNFFTRSLIYIKIFFKLKLHESSFSFNFKQQQKFEIQIWKRSQRIARRL ESEQNRVQKRCHQKNYRCHDKRQRCQIWKPQIQNQKSQCTCTSSIMQKIMPDLAVMAVNS FRKDARDKTNPFLRALAIRTMGCIRVKLITEYLLDPLKESIKDEDSYVRKTAAICISKLY DVSPELIEEQGLLKLLENLLNDGNAMVVANAVCALLIVQESKGTTMLQLNSFTIQKILTA MNECNEWGVIYCLDALAMYIPEDGKEAEAILERVSPRLNHNNPGVVLSACKIMMKFLDYL QNPETIRQNALKMTAPLISLLSLGKEPEIQYVALKNINLIIQKRPIIIEKDIKVFFCNFN DPIYIKLQKLEVLAKLANNDNIQQILHELKEYTQEVDVEFVRKAVRTIGRCAIKLEKAAE KCVTALWECLKTKVNYVVMESIIVIRDIFRKYPRKYEMILKDLCENLKSLEDPEAKASMI WIIGEYVDTIENADALLSNFGENFKDEPANVQHQILVAVMKLFLQRPNDGKELIHNLLKT ATIECENPDLRDRAYIYWRMLSTDPELAKKIVFTERPTISDSSYTIENELLDKLIENIGN LSSVYTKKPESFVKKLRDVLNSKIADKVDEVYDQEELMEGRPEDYSDSQGGQSKVYENSY DTSSQIQSQVQQSQIQQVSQKIDLLELDEPQQQQIPQQQQIPQQQQQIPPQQTLQPNQTQ NIRVPFAEVLTANTPGSQTQIQGLSIEAAFQKNGDKIVLDLRITNKTQDKTFSEFGLKFN KNPFKLQPDSIEIPCQPVFPGQTQVTYSSINTNGPAAEEPPQMPYKIQVALKTNLDVFYF LIPMSLSVLFSSTASITQQKFIELSQAQNQARKQEVLQIQIDPQRMKEKLERNYFFLIGV RKDERGVELLSYAASLVNGMPLLVNVIHSPTAINLQLQVPHPTLMPLLYQAIGFILTLN >CAK68251 pep:novel supercontig:GCA_000165425.1:CT868058:110049:110724:1 gene:GSPATT00037034001 transcript:CAK68251 MKLNYLLLGLFMSLIETIGNFKDMSKENQKIQLQMSNKQHQIKRVKKIIDDQEQWFEEFF EYYEEVIYEYDEQDPDELSLGSQMDLEHLIENYDIPEDCYEENVSIYEYYDASEETQKVD TNKKPNQKTENENNFQVFNLGEEEFITLVDDHQEILDEDILTMKKPIENEGHQKHSKKES HHNKKSSNKKKIKIKNKKKNVKKQKKKQK >CAK68252 pep:novel supercontig:GCA_000165425.1:CT868058:110871:111980:-1 gene:GSPATT00037035001 transcript:CAK68252 MFLNLEFGILPQTQTILFQNLLKNYKSGIVTGTLLFLKEFQSNNKQENRLNLCKQLKSLL EESYQLVDSNSILFYAILESCIRNQQIYYYQIVINFFVEYKPTLDQHMYYFLLNFIEKCI KQNDTANVDQLYQLLYQYIASKKSLPIDLCKQSYNMCAFDQSTLAKQLVKLHTFFMISMQ MDTCTIQFIKDTVMGNNQYKYQWKVENNKSLFIIVSEILEQIQPESQFKLELINIKHLFE LLFYLIEQNTKMEVDKVIPYSLRQIIKMAYKNKLDDFVCKILLISFNHENLFLFLLLELQ SYEGNRQLMILKKFIYEQQIPLYGYLLVICQIVEQSDTLETILEMLPQLNQYKQYNKQIE Y >CAK68253 pep:novel supercontig:GCA_000165425.1:CT868058:112231:113383:-1 gene:GSPATT00037036001 transcript:CAK68253 MLNSIECFIEVLQLQQPCDGLVSEVQQFLDFDMNKVLQQDQRKIVQDPILLINWFNQIED HLSIKQDENDIYITPMIQVQVMLINSFIYISNQNLLQEYFYLFEVLQKKILYLKIYQPNE LTLTALEQCYKFEQCIDCNKISQISMLLYKINQFNSSEKIDQFYQYIIKFDQKKHKLVDS QANLIIYSYYLILQQCCQLVEENKNLETVISVCLNIKEELKKSDQNYLNQCNQCILLSYY KLNKITDFIQYSKQYDLQKTTPLEIQLLILIVKIKELQSEIDFEKVRNQVNKMFQQFLDN NLMHTVTDILILLKELSQNISLYPINFILMNQWFQFKYNLILRASNQQNIMKLSKDIHPD AHRLSIITQHQQLQ >CAK68254 pep:novel supercontig:GCA_000165425.1:CT868058:113388:113833:-1 gene:GSPATT00037037001 transcript:CAK68254 MSKQRKIFIKLICREMSNQVNFGEGKINENYPSAIKTMLEQGKDPKDLLIQYCRPQCKWY DDKYDRCVKAFLSLKNADPEKNCMYPYRDLVTCVEACVQPKIQHALRGNENGFIFH >CAK68255 pep:novel supercontig:GCA_000165425.1:CT868058:114331:114963:-1 gene:GSPATT00037038001 transcript:CAK68255 MNFFRPPLTSFIKTLTDPQLESEQFMIGNESITSKKDVIRQLQEQILHFKEAHNFTLSEM EVIRQFESLLESKHKRRAIDIHFQSQITDMTRKRKSELFRMKRAKKRATIKVYAKKLNAA RNRFRILGQFISNEEIQIIEETFGQHVFGNSHNFSLKIGRALKNKFGYEYYDKSQVIKEI KRLKSQQDQILSRIQGKKQIFCIKTKQLKQ >CAK68256 pep:novel supercontig:GCA_000165425.1:CT868058:114976:116076:1 gene:GSPATT00037039001 transcript:CAK68256 MQKKVIQKSSTVKQFDAASPATKLLEKRRKMYEIHEAYEHQQDEYKKQEEEFKKQEEQIR EKDKQIQEELIKFCNFLQENEAKKKRALVRFQEEKSYKEQKEKEIQDLTAQWTDLQRHQQ RLEKKVTSLKKYEDYLDSIIKQYPEQYHDLQSILDRYATLTNSNSKLVEEHQNMEKEFEK LKYESTQYEKEKNHEILQLNNDIKDLQKKLEEKASERNQIQSVYEATTNDASSKNLSLGR ILMAVDNLFTRCQEGTQRMKQDFEEYKQDKQNKEKVKDKITNNKIQNQDLQFNDEDNYEL KSQQAAWKLKQIVQFMSDFKKIIDNCKGELGKAKEQKIK >CAK68257 pep:novel supercontig:GCA_000165425.1:CT868058:116099:117161:1 gene:GSPATT00037040001 transcript:CAK68257 MNKQEKRKLPNHLQYDTVSPATKLLEKRRKMYEVHEAFEAQREEFKKQEDKFKLEEEKIR QKDMEIQESLIKFCKFLQDNEAKKKRAEGRLEEERRQKIQKEKEIQDLNGQLQELEKKQQ KLEKKVTSMKKYEEYLDSVAKQYPEQYHDMASILERHSTLSSQNQKLVEEHQSMEKEYET LKYILTQSEKDKSHEILQFNNDIKELQKKLEEKVAERNQLYQLVEASANEASSKNLSLGR ILMAIDNLFNRCQEGTQKIKHELEETKQENNKKEDKKVKKEDSKKQQKDEKKEEEEDNYE VKSQQAMQKLKIISLYLNDFKKIIQGCKEDYKKAQNK >CAK68258 pep:novel supercontig:GCA_000165425.1:CT868058:117197:118016:-1 gene:GSPATT00037041001 transcript:CAK68258 MDITNCQHPNHDSQRIKYVCVDPQCSIPKKIGCADCFLDDHISHVRKTTTQFKDFVNESI QQINAVEFQQVTNSPQQDLEKEMDGELDNCIECIKSKFNSIKGDLKGQIDNDMIKLQDNC AQFQQNMNNELEPFKSTIKNDLHVLNQTELNSLVKFYQEASKIHKHYNKAAEQIQEEKQK IKLKKQKYLAKMRSIMQILLKDFNELMTSKNVQFDDSGDSETPQKQIMSPNKMINLVETA RSSRRFPMSQIQKKQLLTAVTKKLE >CAK68259 pep:novel supercontig:GCA_000165425.1:CT868058:118269:119468:-1 gene:GSPATT00037042001 transcript:CAK68259 MSLFSRFTSIFGSNQTTQASSTPINQQLQEKLAQIITSNGKLDLKQKGEVLAQYLLDRDE HIEFLFQFLETKSKDLQGVQVVHLLAALHQQFQYNEIIQDVAIKLRETKMSWVQLEKQEW YTTQTEPDVQKNQQSQKILTEFEDKAQPARIYAQLCYVYLQKLAANVDLYRAVLKLNYPY VADKEQIEAKLMFLWHYKIQNLINSGLILIQYNPNLIEIQVALYVDVWRFQKFICTEIEK IIDQYATLPNSDTLSLYEIYCESIKHYEYLNQFHQFTQTITKPPPQCQINNTLLQEFFAF VTKLKVLNQFNFKKSIKVPNKQDPIMGIPTKNPNVINIRRSSQQLHDQESSESELEIDLG NNKKMKSDHNRGQSTFQYVQK >CAK68260 pep:novel supercontig:GCA_000165425.1:CT868058:119560:120270:-1 gene:GSPATT00037043001 transcript:CAK68260 MSQQAQKGGKAAQPKTEAKQQPAAQAKVAKPQETKENVMRKIRIEKLMVHICAGESGDKL TKAAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDQARDILVRGLRVKEME LKKRNFSDSGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVVLSRPGLRVAQRKSRNSKLGT QQRVTKKEAVEWFKQTFEGNVY >CAK68261 pep:novel supercontig:GCA_000165425.1:CT868058:120315:121300:-1 gene:GSPATT00037044001 transcript:CAK68261 MTQGKNKKLGKKKGQKKTIDPLARKEWFELTAPVPFSAGGFGYTCINKSAGTVVATEAIK GRVVEASLADLQGQSDQMAWRKVKLIIDDVEGTRCRTSFYGLDSTKDKIFGMIKKRQTLI ETQVEARSQDGYILRIFVIAFTKSIKNQQRKTTYAQRSQIKDIRKKIVEIVLREVSKKSI TQLLGFFNQEVLAKEIGKATRTIFPLQNITLRKVKLVKRPKVDAQKLREFYDDSNRTKTA QIRRKGQAEDQTALNLIKQGEVDKEQQQQVQQE >CAK68262 pep:novel supercontig:GCA_000165425.1:CT868058:121369:121611:1 gene:GSPATT00037045001 transcript:CAK68262 MRQDSPRFRAALLYSIEQERSKKLMDFNELLKENELTYLKFAFKTEERQENIYIKQFQKG TNFQRTGFIFIVTSRDNEYL >CAK68263 pep:novel supercontig:GCA_000165425.1:CT868058:121900:122253:-1 gene:GSPATT00037046001 transcript:CAK68263 MNKIFLISLILLACFSKKHKAIDEDNACLNEKCGVQINECFEDYECFEAISECAPQDNPT AQQIKEAEDCVKIKSEAAEELLECAQKKCSKKELNKKQMKMIKKFMKFV >CAK68264 pep:novel supercontig:GCA_000165425.1:CT868058:122469:123218:1 gene:GSPATT00037047001 transcript:CAK68264 MQATVQIKHLQQVRKEIMNSKSYSEEQQHKDDFMRKMRHTLANGIISSYKELVIQNQIYN YDFNGYLIQKYKRGPLHLVCENGRITLIRYFIENNLFDINEICDGHTPLSLLSLYYIQTP EKCTSTETLELMFAKGADPLLYVDKNGNTLNDYCNMIKKQSEEPLNLVLQYIQNFYLKKQ REQALKNAILLSMCDNQNNNNNLTNLLNIDDMKQIAQYLVGPIQYQIDQ >CAK68265 pep:novel supercontig:GCA_000165425.1:CT868058:123353:123718:1 gene:GSPATT00037048001 transcript:CAK68265 MKLIQIAILLSFLTILLSKRIHLNEQTQQCIREKCPEQLKLCYDDMACQLQLNKCRPKVD KPPKQGSKSKPFSNVLCKTNTYQLLACLKKNDNSYNLIDCAYSNCEKSSQSQLYEQLLSN I >CAK68266 pep:novel supercontig:GCA_000165425.1:CT868058:123774:126234:-1 gene:GSPATT00037049001 transcript:CAK68266 MLNQVLQVIGVTQNEEQQLEAIYNDFQRPPKIDIALMHAAATKFAKTDPNIDLDKTNVCP CCGLPTVIEELPLCSSRKEFSFNGSGIALYFDFLVFSGVIIFQAICCGYNIYINIQGHRC DNLDDSRTDKCQLNFFNQFSLTNDDGQLDNVRSLLNFISLICILFTTLFYRRHINKIAME LDDAAILASDYSIFVENIPRDAKENELNHFFTQTFQNETVELRKLCIAYEIQPYLKLNKQ KQLKESVLTKVLELEAEGKSIPKSIPSRLQLESEISTISKELDYMEDHKENLFDFSGICV ISYNYERQADNVCKTFKCTKFQILLEQMGFEQKHYQKYRNNSLYVKKAPEPGDIIWGNLG ITIREQYKRTLVTNGMTLFLLILGFGCLFGLSYIQSIINNYTEEGSLIDSIIVNIIGIAS SILISVINSVLAKMIIKFVELEQQATRTDYNVSVAYKMGVAQFLNTAILTLIINLVIKDK SVTLNQAIWQIGGLNTDIMLIFITNSIVPWFTLLFDVNYFYKLYVRNKILKQGTNCKYTQ NEANQAFEGPTIDLSQKYAQLSKTLMLAFLYASLLPLGVCFTFGSIVCIYWTEKFLLLRR DSKPAPTGSAMAEAMIDFYIELILMIFSLGCTFWEWINYGEVHILTWVQLGLSTLHYLVP IQSICGCIVELGIDNATVESYDEKFLTFYDDYDRRNPVTADAAKEKWIKIQSETIQTAQP SSKNLTIKTYHQINHTSIGSIKVYPIENRDSREELQQLKI >CAK68267 pep:novel supercontig:GCA_000165425.1:CT868058:126413:128857:1 gene:GSPATT00037050001 transcript:CAK68267 MEFVTALVFIFIACACGIAWAIFNWLAVHKIEIHHKHEGLNEKLQGDQQEKIETLLEIGE HITDGAKAFLKEEYTDCSVFIAIMAVALIFVSPWSTLAFVLGAATSMLCGYLGMSIATAA NYRTAFCAITSLSDAFQMAYRGGCVMGFLLVSISLSILSLIIIIYNSVLVKDNNQEDLIS MFDYVAAYGLGGSTFALFGRVGGGIYTKAADVGADLVGKVEKNLPEDSPKNPATIADNVG DNVGDIAGMGADLFGSFAESTCAALVVSSTQLRVITEQGPIIHIGQLMYPLVVSAFGIII CIAVSGYAVFIQKVTHINKIESSLKLQLLLSTVAFLLVFTFRFQIGSLKPWHALVCSLMG LWSGLLIGYFTEYMTSHSYTPVREVAKACGTGAATNIIYGLALGYLSTIVPIVAIALTAL LSMKLLSFYGVALAALGMLSNLTIGLAIDAYGPISDNAGGIAEMSELGEEVRTSTDALDA AGNTTAAIGKGFAIGSAALVSLSLYGGYLTRIQTYNKNGIDDPIIFAMLLIGAMLPYAFS AFTMKSVGKAALQMVEEVRRQLHEHPGIYAGTEEPDFRACIAISTKASLKEMIPPGLLVI VTPTAVGLLFGPQAVAGLLPGALISGVQMAISASNTGGAWDNAKKYIEAGFYKNDLGEVK KKGSDEHKAAVIGDTVGDPLKDTSGPSLNILIKLMAILSLVLAETFCKTGWLYKD >CAK68268 pep:novel supercontig:GCA_000165425.1:CT868058:129280:130779:1 gene:GSPATT00037051001 transcript:CAK68268 MISKEEAKQQDQSKKAQKNKNKKKEAKGNNKKNQKEVDSDDDFLDQLIQQNQEAQKQQQI QQQQQQQQIIQDKKKQLGPDYVDGDPITNSRFVDNSGIRKLGNWEEKEWKQTQPPTIPVS KQFPQGVYPKGQEIPYLGEKSSRISKDEMREKDLIHEHQLQSLRKAAECHRQVRQYAQAK LLKPGNKLIDICEKLEDMNRYLVEENGLNAGIAFPTGCSLNFCAAHYTPNNGDNTILTYD DVCKIDFGTQVDGWIIDCAFTVAFNPVYDTLLQAAKDATDTGIRNSGIDVRLGDVGAAIQ ETMESYEVEIGGKVYKVKSVKNLNGHLICKYHIHGGKSVPIVKSNDNTLMKEGELYAIET FGSTGKGYVNEDLECSHYMKDFYAKPTAVRVPKAKSLLTHIDNHYDTLAFCRRFLDRDGQ SNYLLGLKNLCDLGIVNPYPPLCDIRGSYVSQYEHTIFLKPSCIEVISRGDDY >CAK68269 pep:novel supercontig:GCA_000165425.1:CT868058:130869:132722:-1 gene:GSPATT00037052001 transcript:CAK68269 MKFLLLLALTFFVISTEQIPEVDGILQLSRRNFQQALDEHPRLLVKFYIDTCGYCQKMKP VFIQLAQRLKEYGFVLGEVNVQDSKSLATKYGANAYPTMKMFRNGLVNDFPNSSDSLEIL FEFALQHAYDPITKLYNQEEIDLFLKRSNIAVLKYVFNQDDLSTLSLDHVQVKFGIVENA ALSQNHPHKYTLINKDLEKPLHYNGELDGLSEFISTKGYPLVFSLNEEEFMKVENDKIPL VGIAGQKNGVLYKRLKYIAESYANSVRFVIIDPSLELCNRRFEYLIKQSPVAQKTIYYYD YDTKTTTTATFNDDSVGTLKRAIETLIEEVKAPKREAERLANLIRGDGQVHKLTKENFKE QVFENHRHVFVKFYAPWCGHCQTLAPTFEKLAKELNRDDIVIAEVDHTENQFDDIPIEGY PTLYLFKQEGDTKTRKEYEGDRSFQGMKSFLERNLGKFESAEKKQPEFSEIKSDGTVIEL TSENFDHVVLNSKQDVLVKFFAPWCGHCKAMAESYKTLAQNLKDNQNVLIAEMDWTNHQT SAVDIKGFPTLIFFKKGQDKPEQIKYQSERTAEALAKFIENNSSAVRKEDL >CAK68270 pep:novel supercontig:GCA_000165425.1:CT868058:132849:134494:-1 gene:GSPATT00037053001 transcript:CAK68270 MNLPKASFFDDPKSNLWMKEVIQASDPIISGMLMKNSKLGIQKENNYFIQDGKLACSKKY IDLQNITLEKIKNTGFKLTKNRKSVELFTHNEQAQGMWYDSLKSLCVQKGFNNVYTINKL IGKGNFAKVYSASKKSDHSMYAVKAFDKLKFQDIRVDKPALIKELSIMRKMDFVGVIKLY EVFENDNYIFLVCELLEGGELFNQMKGKVYDEKTVAHIMFRILQSIDYIHSLGVLHRDIK PENLILRSKKDIADLVIADFGLADFYNPEGDYMFKRCGTPGYVAPELLQDEIYDFKIDIF SAGVLMFIMLTGQSPFKAKSYDEIVMKNYHCQIEFNLINNQPLSEEAVHLLKSLLEKNPD LRISSELALQYPWFQKQADHKLILADTYPRYKKNSQLYAKTPLMGQELNQSIYSPLCITP QMRSKSNTADIQREEQNSSTSRQQSQSLKVQKQECILEENDYNVNEEDDIPHSNQIKMYQ IQPKLKKNQEEQQQKNMKGQLQQKV >CAK68271 pep:novel supercontig:GCA_000165425.1:CT868058:136251:136514:1 gene:GSPATT00037054001 transcript:CAK68271 MNLRLMLGMASRLISASRPLTWMQYPQLHLYANLLSMGFTKPILPDTSILTISTFRRKPA KLKKAKRKQRRKKIKRMNKANRERRNY >CAK68272 pep:novel supercontig:GCA_000165425.1:CT868058:136520:137228:-1 gene:GSPATT00037055001 transcript:CAK68272 MKYILTEEHVAIPEKIEITTKSKLVKVKGPRGELTKNFRHAALDIQVSKKVNKKTNTSKS RVSVRMWQSYRKQRCQVNSVASSIRNMIRGVTTGYKFKMVLAYAHFPIIINLLDKGLGIE IKNFLGEKIIRTIKCLPGVTITRNEQEEKNVLTLQGNDLNNVSLTCALIHQACAVKNKDI RQFLDGIYVSEKRLEI >CAK68273 pep:novel supercontig:GCA_000165425.1:CT868058:137569:138167:1 gene:GSPATT00037056001 transcript:CAK68273 MSHFQSDLQNQYALLKELGKHFYEIKQEAQYYSKNYTKFELKLNSTSNAYDENSMKVKQT LQETTNFWAQKQEYSVIRINDMSNKGEEIIKKTNSLIYKFSEKHLFFIDNYKTCSGRKSR NSSCNRTSSSMQSALKSKETTNIYQDKSIDQTNCFQVGQSIQDFESNFNTLSIIEKEQSQ LEERRNLKRWT >CAK68274 pep:novel supercontig:GCA_000165425.1:CT868058:138390:144588:1 gene:GSPATT00037057001 transcript:CAK68274 MSGNQKITQRRITISSELASRSISLAQIYQGICINEIDSNQYIKYDNRNSIKQFKFSQQA SPLKIAASRSRILEERELDQSFCLSNQYFTEDSLPSINVVDKFNDSSESNSEGDSKKEQK SQKSKKQKTNQFIGDNEKQDNFLNEALQISTKFFDAQIDLEKIIRKHHKLSQRNPFKDPY YVQLKVQSGYNRLNYELSHYHDKNKYLKIRKYIVYLCYLPSLIIEPILLTVFFKLFMTVL ILCNVGLFIFVKTNRRTDTDNIEQVITILFLIEIGMRIIASGIILNRKSFFRSPLNIYDF LLVILTTMNLYRPDIIIIDLSPFRMLTLLNYLGDILKGLSTMLKALKSSVRLLLEALIIV GLFSLFFGVFGVLLFQNLFNYRCQFENGEETEDWIQCVQDSCPEGMKCLYSNQTPKLPTS FNNIVYALGQILRTITMDDWSWVMFFTMRIYHPYVWIYYLLIIFVGGFFGFNLVIAVLKT HYAEAAEETVQEERQQEMLKRLKEKQENPERDLISIFDVAILRHIGIYQSYKKYHIQLQN VANVTQKFTIEKIKKEQETSQKPRTLSGKQTDFENRKKGIQDYLESINLKYFLLPKLKYL EQLQKEIKPTNFTDDPLELKLIHKLLQYDFCQLKPYPNFEIYHEFSSVQDILQAQTKKQQ RLNEQTQKQLIQQRRSIRLKQTYSLSTLNLLKKQPQREKFKLPLKKQSRFRNVLREDQTL ENSGQNINVDRQESTKLHHLQQGNSIKSMPFSVKNKQKTYVFIQEQYIDYEAVSEKINAK IQIIPDNSESNEFRYGLIRKKEMVSKTINKKNWSGRDVLYQNLARFISFDQIKKALNHQE KMIWLRGISGKIQIAQKYTYIVVSSKFSDLFFDLIILFNFVFLSLQGIVNPGLISQIEDI TTICLCIEIVMKLFSFNCKELVSDWNHIVQILIVSLNFVELTLGDEIGSSTQQGLRLIRG TKCLLFYRCLKYNKMAAKIGWIAQKTFEQYIYLTFLMFLVIFMYALIGMEMYAGMFDQND TLGQLHSFDNIIKSFMTIFNIMTNDDWYGVYVMGGSLNYNFALIYSYSMVIILNYLTYGL VLAIMLDGFGKYLGNEEEILKEDEMQNLQNSINSEQHDVIFEEEESKDVETQARRYKLSL ISNLLISIKQAYNKIQRKKGSIYHEIQCQQSLYIFDKSNWFRIGVTKLVISPLYIYLMDG VIYWSIVVFIIKTYHDYEIDSSDLPDTLQLIVNILIIIDFLLNIISKGLILDRGSHIQSI WQIVDIIYIIAYFIQYHRSNYAPIVDVLMFLGYFRPMILMYRISWLIQLRAALAQSLVDI LNVLITLLSVWFVFGVYGIILYENQFGFCEDKMEFYVSYEECQSQNRTWVNYKHNFDNIT VAIPTLFVVSTFDGWGEILQVAENSQIADIGPVPFDSYLYTYFFLIIFCFIGSMFFLSLF TGVLFTNLKENQFKMQNNELSQVQREFKSITNIIMSDFPIYSTPPKYGVRKMASDIVNNH RVKQCIFAFLIMDLVILLLFRSEMDRDYFLVINSIHHSLTILYLLWIILMVLALGVNRFF DNNWRRFYFFLILVGMIDLIAHYITDWTRVQYYSSPNEQYYQLYRFFFALRSLRIILIFQ GLINIQRLIRVMAFAVPYLVKIFTILIITMFIFALFGCQLYGKIDSGQVMDDLINFTNFG NAMLALFKCASGDDWRTIMTDTMQHNPLCQEDPKYCGSPTNQLYFILFMLLSNYVLLNLF VLGLIEQFEQFFQMQNSQIQTYVENVDDIKTIWCKYTTQTQGKTMHYKFLGKFLMDIGLP LGVDQDSNLWDACKLSSKFKLQCDSHGYIQYNSLMYELFRRCFYQEVFTQGTPESIKLIK QFNKEQQFRLKYYRKDKNIPRTNIGPAISIQTNINLLREYLNVLILFKTWQQFSKSLIKK VNQDEHQFTDSDISLQNPSENGYQRSQNHILQEESQIESKQDNYIDQLQISRHSVSQSSQ INQYNDLLFYKGNYETSLQSENRDFTFLKTEKRYDSEL >CAK68275 pep:novel supercontig:GCA_000165425.1:CT868058:144775:145890:1 gene:GSPATT00037058001 transcript:CAK68275 MVYLVKVERVIVQAIDTKTNEKVTIKKVFQDRRYKNREHLIISELNHPCITMPKMFILNL MYAEDILLNLVMDYISETLSKEKRKFKITRTIPQSNTQDIQLPNAESHGLFSRHRHLSQR HQTSKHISKPYQSCTEICDLGSANRLMAGESNVAYIYSRFYRAQELIFGATEYSTAIDIW SIVIAEMLTEEPLFPGESATDQLVEIIKILGTPTIDQIKNKVSLNQVSSLGEGIWQVQAR TSFYRFCQLKPLETLFHPYFDEIRQQGFGDGNTKLPNFFDFQKEELQIQPEIAHKLTQSW VKSD >CAK68276 pep:novel supercontig:GCA_000165425.1:CT868058:145947:148499:1 gene:GSPATT00037059001 transcript:CAK68276 MNKKIEGNFEKQKQYQKDLQDIQDKCKKATTDIRQRLLDGCAIKLKNEDVMYIYNKIYRL TEDAGTAQALKNYSKNQNNRGEKVEEQLIQYFKDILNEFIADIYMRLNEFQGEKESVLFI VSRTYHDYRSYSYWLYKMFLYLDKFAMPHVGTSLCSTSLKLFKEGYFDKCNKLILNTILQ FIQETRKNGILLNKQIKHLINLFSVMGSREVEFTKALGEYDYICKKQEDAQKYYKDNFQY YLLLETSKFYKDEIEDKQKFTAPEFVSWGNSIFKLELDMCIECYPKSQQAIENKLKIILV KDQATRLVDSPTGVGYMLQYDRTDELKQLFQFIFRTKECITHIAKAYQTYFEQQGQLINN SIEQEQQQVKDGRQIHEAELYFTRMLEVMEKAQSILKNQLQDEPEIQKSYSGAFMLVINK NEKSPMWLAIYTDIIIRSEKGVNEMETDKRLSKIVSLFQLLYQRDVFFRHYQKFLSNRLL NQQLQNIQLEKQLLQKFKGETGTNVLTQLSSMINDIEQSNRFASDQQISKDTKFDLNVFL LSQGCWPITTILDNVIKPIQIQNVLENYEKIYLGKHNGRILTWCFNMGQGELIYKLQADK YYLNVNTMQMIAFLLFNQATQFSIKNILEMTKIDKIDLENSLIPFVCLKIIQREKQEIED FSDENEILKLNLGFNNRAKKMKLLPNPKMQPKRISKVKEQNQEELQQMEQINKQREFVVD SQLVRLMKSRKTIKHHELLENCQQMISIFKPDILFIKKRIENLIEREYIRRDEKDWNIYH YLN >CAK68277 pep:novel supercontig:GCA_000165425.1:CT868058:148553:149160:1 gene:GSPATT00037060001 transcript:CAK68277 MTDLQTTLCTEDFTPMRKGIFVELFLLYLIYMVISIASYYQHPEQDTSQPGQHNDGAAPP NQSQAKPSFISVEALNKFFTIFFGYHIIPIGMALLYQPRIFSIVVCYLHFVAYIVIMVAH YKEKESILKIAYTASGLFNILLILGAIVGLSQMENGCL >CAK68278 pep:novel supercontig:GCA_000165425.1:CT868058:149281:150275:-1 gene:GSPATT00037061001 transcript:CAK68278 MQGILLLQQQFPKQLFLILSKEFDPSDKLKLELEHVIDSLFITIKHFINEIINTIPNYSG DYSKQSLFDQTLDVQNDSWKQRFDDLNTEFLILKACNQQIEFQKQELQQKLIDQRIKYED LNRQKKEDKDNYRQQYETLKKKAQDKIHRLKDKVVFYQTQLQTYAIESEHLRIIAQEDKL NQPASVKLKLQTILKELHALRQISNSLLLENSKIIIQAKEQLSLCLIYKDIQGTIVKRNK TPPDTTKIKQYYSQTQSIPNSPDRKLKTEKPSLIRIVNPKRTSVSKNLLAEFDVSAQITK FIKQYYQTDQQLRNSAGFQQKLI >CAK68279 pep:novel supercontig:GCA_000165425.1:CT868058:150309:151038:-1 gene:GSPATT00037062001 transcript:CAK68279 MRSTARRSDQVITSQYVTPQGICDPEQAKAIAKKLFDLYDRDRNGIIDGPEVSPMLVDAY RGMSKAFNPTQADVDTFVQVLDVNRDGKITYQDVENYALRILAGIQPLPSYSIQLPPQRQ YNRMVEERLEVARRLFKKFDVTQCGFLTRNEVPGLLRETYKQLNIEFEPTPQDVQAWMDM TDTDCDGKVALQDFEQSIIRSLQEQGISLY >CAK68280 pep:novel supercontig:GCA_000165425.1:CT868058:151106:151689:-1 gene:GSPATT00037063001 transcript:CAK68280 MTFIVKTRKVLLNKLLNRRQLSVELLHPNKPTPSQESVVKELAQKYKADERNVVVYGLRT TFGGNRTTGFALIYDTQQYLMKFEPKFRLRRRGIIPKRDGSRKGWKEVKSKLKKTRGAEK TKIYMSRKTDKREVIRVQKETYLKGFVGK >CAK68281 pep:novel supercontig:GCA_000165425.1:CT868058:151968:161249:1 gene:GSPATT00037064001 transcript:CAK68281 MIQQISIQIYIALMLLQHLIIGECASVCPFTNYKNALLVIDSSTQSYTESINDSENYDTF GFAFWSISIPLLEKLETANRDGPFKNDVSEDGQLLFFVKDSSTTSNFIYGFKSYDYLNRQ VKHTIKFINQDISQKFIFSFGSLEYEGIWIYHQVLLQPALNKIIVEMSNQEKQQANFDLQ NQLSLQISIGGRGYIDSLNLNSFRGKQSKLIYLPKFAYSTNTFSQQVQTCKIPPMVTGEQ TINIISGLRLFEGNQVLQQFLDLYGNRYCIQGWVKYLLPKVDEQSYTLLKLSGQSNFEKE ITLGDELFRVESFISKKNPKSTSLIINADAYGMPVKQSFQSQYDLLFQGSGNPDYSVLQA KRTYQDLNTQLYFEGLQQWHYIQYEYGRSNIDQRMLLQIQFSNDLGKLYENLGNHIFSGS FTNARLNLFFGGDTTNSNTNHEFIEAYIYDFKMQFNYAEDKLFNLNCHYTCKTCEGPLIS NCLTCDANSNRFYQVELKMCKCNSGYLDKGIPICDNKFYSSVQQEEQPIDLEVPCPIGYF RLPKDDGSGYDCLLCPQMKNFFGVLCADCYFYPLTFYLEPVCTMDFFTIKQLNDYEAYHL IKRTEAQNDAYSIESDRALHLNPEIAYYCYIDSCLPQVKYHLGKPITAECKPSYYYHDEV QLCLNPGIANCLKVNIIPGCSLCAQGYYLVNYNTCGRCPTNCYACISDCYDCSGNRGAIC NTCIQYYNLQQGVCKQCGLRCDFCKDYYDQYLGKNYLKCLKCIDDSLYSFSFDGINCISN VIPNCVHSFSALIDDYTINTLDIYFVPQFDQSKIELLCAKCQPNYVFVFETKSCVFNNIN NQCDIGIGSLKSSDQSLESVTCLKSYYYENQIVEFMEKCENLVNDCQVCLETNIQNYYTC LECQNGYYAEYISNRCVQCPSQLNCISCYSQHSVSKDHWKKDVRAFYKKYIEIKNTHQYI LNAQSQNVSDYEVVCSVCQDGYRLYKNKCIKYCSDTCAECLFKDDQYYCVRCQNDQKGRK QSTYLNECIECPEDCALCRPRTSDEILQINPLFNSTKYAKYSHQCILSFQDQDYSYDEDI GVFIHCESPSPGGCYKQLVIRMNAYGDATKYYQDYNTLTDEESRLQFRRENFQLNNFFVL NQTFGEYQNDEFYSLANANHVKSILIQITSAYTFNFRSSYLEVSVIRQVFSQSIFSLINV ELEFNFHEGSTLTLTRDMSISFLNFNKVTIKNLKIIECAYCENDRFLFNSVFPQTVVLNN IYIDHTSQYSGSPLIFSLQNISKFYLDGFTIEGINNDGPSYIFVLESTTFSKQIAIKNFK IFNTQIKDSRILELNLRSDDQVSFENFNISGIYELVNLIKINSEDFKGGQITMNNILITA NITNSREFIHFETASSLSISNFVLYTSVLGQSVLILLNHVAKLENMNFTENQIMDNSVLL YNSNLRNNQIKLIYQLNNIKFENNKYNSVIKFIYFQKYSSLDQTIQISQLSQLNNQLIDA TLTYNMKLETSSLILIQFNQVQVSDFLINRGYGFNDITITECLTLSIQNGIIIQNKFNFL GLHKYLPCQLLYVDGQYFSISLNIISSKDIRMQNLTFSKVESYNFPYISIILTDILKDID DSNIVLKDIVFSESLILLSDPIFQTALIGIQSSQETVISIYNVTFKNNLLHSYIEEGFIL AAGLLYVDCPYCQISIQHSTFLNNLVTNSSSSIMYIKSQQLEISNCLFENNNIFNYKILQ PYLIWAFTQTVTQKAIRQFFKVTSTTGNGQIITEQLKILNSTFSNSGGKLGGCFSVSALR TSRIEIINNVFQNFSTLFITEIEQGGVFYIDGSSTSYLEIIIKDLTVRNVHCRQYGGFLY LKSNNSEVHLTITDGMFNDIYAQQGSVIYASYSNLVEDPQTVNIQEIEIINSQSGYIKYL NEFKELSTQQEISSLINNRSSIYIEDGSNILIQNISVNNLKFESFLNLESSNFIYISDIV IEDSQISNNLIRMSQNQYVNLIRILFRSIIVGFKEQSTGCSITPDTNQIINYACAVGFGG APAYIENDIDDDSVDRGLCVNNIIRLNLDLSISGLIIINDIEDQDYVKISQFDLSNISCS SCTNGVLYLQFLNETTLQQTQLVQNLKVTNSICGNKGCMVVEKLLGQDGRILTQQEAFQQ EYELQITDYICENNLGFTGTCLILNSIFTLIQDSVLQHNNATFQGGAIVVKGSDQIIIEN SLIQYNKAEVGGGMYLEKIFALNYELLKTRVILNSANFYGDNVASNPEKLAIQITQNEML QSKILVENSTTKIEEVVVKPFTSINGKNSKFVQLPTGQQISTYQFFDWRNQNYINYDLIF RIHAQNRKMHLIQNLSSTACTINSRRYNISEQDEDQEFTNNFTKQNTIFYNSETQDYNLD ELIVYFDNDVPEEIVLQLEFQCDSIKIPIFNTTYPYQTLSFHNDYSLRINVKTLECQFGE IKNLTDFSCAICDSIQGLFSLTLNAQKCDIQDDISTISVRSNQLQLRPGYWRPYFDTSEI STCINLQSNCLGGWKNGDISCYVGHIGALCEQCDLYDTRGDGSFSVVSRYSCGSCQDKYE NIALIAGIIIVTLIFLLISIKGTLNSIDEFSKFNPFIRSSHTKLSPQSSILIKILTNYFQ IIATITTFQLQLPQGLVSTIDGVGNPIQTATYSLDCFLVNFSNIQIQYTRMIWQIILPIL YITLFMGLFFIASKQRLIGSTLNCSVMSTTLIYCYIYFQPNLINGFVQLVSYRDISGFKW IKANVADRYDTLNHLQWMLKFSMPALIILAILIPCFFFYGLFSNRNNLTSKKEVKMRWAY LYVEYKDTVYFWELVKIIEKELIILSLIYYEDSIVIKGVLVLLITYLYQELNQNYQPYSM IRMNKLDYYSANICMITICLAIGAYIAQEAEIKELQIPFLVIMALLNFLFLQSILVQIVS KYAQQYEDTLDKIKDFIKKQYPSYKSSKYLDKVLESRTDKRNRIMMLFQKLKKFAIPLGK LMIQTRENYQKTKQKISTIEFHTRQETLDVEKSSQKRLLALPNIK >CAK68282 pep:novel supercontig:GCA_000165425.1:CT868058:162018:163053:1 gene:GSPATT00037065001 transcript:CAK68282 MNDDTLIIRITTYSSMFAGIIGKIACHPIDTIRAKIQIRQTMMLKIKADKLISTLVKETL RTEGLRGLYKGLGITIIGTGPAYSLYLTTYETSKYFLNQLSFMKDSPNLISFTSGMMAET ISCIFWLPIDVIKERLQVQSNLKVFDYKNTFDAIQKILKSEGVVGLYRAYGATVASYGPF SAFYFMFYEKLKTILENPLQPSFLESLCLSGIAGSMAGFICNPMDIVRLRMQVQRASLAT HAETGNFGYKNLIHGMYKVVSNEGILSLTKGSMAKVLYTCPNTAISMSVAEVTRSYFINK YKSH >CAK68283 pep:novel supercontig:GCA_000165425.1:CT868058:163090:164465:-1 gene:GSPATT00037066001 transcript:CAK68283 MMPIHYQRQLSQKSRRTPVSTNSMYLYHLLHYHTFISHFHIDNIVGLDSLLHQRIIIDLV QKESNQSPGITVMKLQKHSSFTLKPNRLPSLKMLKDYGLTEEEKGTYGDRQLLGFDKLEL LGRGGFALVWLASKGNQKVALKQIAKPQQSKEAKFSYIKSDNIVQIMGVEHSNKDTWIIQ ELGGKPLSKVLYTMKGEFYKGERVYAISETQQLLDMYEHPQKLIELMHGILNGISCINDK RVTHFDLKPDNILVENNIPKIIDFGSAFSNEDKDQFGMITPEYMAPEALDIMHNWTKYSN QYNSQIEALTQMHGTPKIDVWSYGAIILDILHGVPNWLSYKGKIMRQGRPQIKYGLFAVK GRDLSKIIQKQQQLQLNSVVRQNCNYLSLVKQNQLLDLLKQCLAYDPAQRSEAKELLKHE FFNQI >CAK68284 pep:novel supercontig:GCA_000165425.1:CT868058:164534:165003:1 gene:GSPATT00037067001 transcript:CAK68284 MQEWPKKLFLAIAFVSCFTCYARPDYNLPLFAFAYLLWDIDRPVSESSKSIQVSQKIRLI YLFVYSWIIDFVWLVYWGPFWNSSTFSHNWADGIQTFVLVLSVINFILKLGTIVVCILAE KECKDALHPENAMGHAKNIFNSDGQHQ >CAK68285 pep:novel supercontig:GCA_000165425.1:CT868058:165034:165607:1 gene:GSPATT00037068001 transcript:CAK68285 MSQSVLWEVVKGNNGHFLVKRNGLTLSTDPFNNTGVQTYSSTGFITQNAIGVVPTQAKAN QINNVNLVARKSTKFQQADRKTKNTQSVYASTLSVKHGIHISLKSNQKEIRHKKTRIVEG SSQKIGQVEQSQCCQKKK >CAK68286 pep:novel supercontig:GCA_000165425.1:CT868058:165709:166032:1 gene:GSPATT00037069001 transcript:CAK68286 MKQERVAPKPQFGILKQQQPSFPDDVLSYNSSKSMKLSNDATLKVRYDRFGNVIDKARRD HQISFRDRVTKQQKVYDLFLFDLFKVEQLQIENRKKQQNKGWCCSIQ >CAK68287 pep:novel supercontig:GCA_000165425.1:CT868058:166032:167098:-1 gene:GSPATT00037070001 transcript:CAK68287 MNSINIVHNNKIFLIFQFFLQTIMARGPKKHMKRITAPKSWMLSKLGGNWTTRPSQGPHK LRESIPLSVILQHKLKYALYAREVQTILADKDGNIKVDGKVRSDFGYPVGLQDVITIDKT RESYRVLYDVQGKFILKSIKPDEAKFKLVKVTQKKVGPNNVPYIVTSDARTIRYPNPDIH VNDTLKIDLETGKVVDFLKEEPGNLCYIIGGNNIGRVGLIQHRERHLGSFDIVHVKDSNG KHFSTRINNIFTIGKGKKSLISLPDDNGLYLTALEKKQAREHQEETKKQ >CAK68288 pep:novel supercontig:GCA_000165425.1:CT868058:167234:168030:1 gene:GSPATT00037071001 transcript:CAK68288 MQFQQLMPVGYVKQPPLKTFVIAKIKKENTEKQIKLYKQKYHQYFYQHDYLKLVKQGKEK DHVLMLFCFPEDLEKMKVDFEVEEYIEIELPSIAPIHKDQKSLYNDYWNILHPNYEYPHK QNKDAALRMQQILDTKVTRNKCILYDEDNTIVIEAEDETHINNARHCVMVAMEKLAEHNK NENQQKHFHDLQYYAKEMTLVIYFEPCIMCAMALVHSRIKEVYYYQKRVVDGGLNDQLQL NNLKQLNHKYLVFYQH >CAK68289 pep:novel supercontig:GCA_000165425.1:CT868058:168049:170233:-1 gene:GSPATT00037072001 transcript:CAK68289 MNFGFRFKQTKAIKFPRTENQLVNKIDEYRKQITDYRWQDCNKGDVEACLQLEKLLTEYI LLLDSAIDQQVKLEISFSWNDSYEPNKFTQSNQWHYEYSCSLYNLGLLYYHLGQNMPTIK DAISKSRNSQWCFQRLSEVTAFVNSRTIQQHSDLSLVHIHMHNCYAQAYGYKKLYDHFQT IKNPDELLIALGLLQESSKMYEQTIRCLIQTKTSNKKPIPPIIYNQLMERFSNDHTVTVV ITNMELAKLMASTAKEVPREQRMGKAITYLNKAEQSIVELFKKFKHKNEFLLLQQQQLIA LKKEYLYLNENAFKHPIAKEYELLQLPVKQDLIKARPPESFQNKWDNKQQEVHVETRKLI QEINAHKTYAQQKLLDLQNNFNQIYFQYNIQFMVDSYQNAETLKLTQSIQLKVDFIKQKG GWKGCQQQIQKIHQLQQEQGKKLINIKNQLDLCSVQKEPPTQGYQLLSQQIENFRRIVED VQKKLLEASLINRTTEEQIKGIKEQLLFIEQNNNQMIASKMQNSLQESQNFFRKNLNTLK KLSVAVETIINKMDLIKLQLASLEKYIDDMGLDQSSNQKIDANLLLQALKMIQIKITEYD AIFASINLKDLEQIACQMEDKQEFLKLANQGEQEFEDSLKVIQEVFYNLEYAQQFYDSIS QQVAQLTNVINELTNNSN >CAK68290 pep:novel supercontig:GCA_000165425.1:CT868058:170291:173058:-1 gene:GSPATT00037073001 transcript:CAK68290 MIISQISNIEDCPDPLETNINKSSKVLLWGRRTQHQSFGIENPIWKYKAFKIILIVSRFI ALITRQITKRDLTHFSKQEFNLINDNASHYNYYRYQGLLPKDRPSRFLLKLNNWFSCILC IYKSNFRKWLLKPDDAIIIIWNIYLIVIVTINVFYVSLRISFPEIEEFPLNSKEFIFEQL PVYSFLLDIALKFNTCIYNKGILITSRAKIVKFYCIEGFFIDLFLVVPFFIGQQFNFRYF DFVIVLKVFQLSSLFSSLFNRLELTNRQTAIFDLFKMISFMILVAHFSACIWHIIGQWGE WGHHEGKTWLKVAQLQNESWLDRYVVSFYWSIVTMTTIGYGDIIPVNLTERIFVIFMTMI SSATFAYTVNNIGGIFQDFSKQSVQLKNNMNQLNRYLKSQNVSDDLQIKFRRYFEYLWSK PSQKVIQFADLIPKSLKDQMIVDVNAKIINQLSFFKNFSQPLLNKLCMYLEERQIQSDDY LFRRNNKSTQLFILVTGEIKLVVTLNDQSRLLQKINNPSFVGQLDFFSKRAYSYDAIASQ TTKVLQISREQLHFIFKDHPLDYEIFQQITDDIVQNQNFKAIQIKCNSCQSDCHFTNTCP LLFGLPNRIKTLYNYRKFTPVDRTPQYLRHNHTRKMYALKHHFIVLESVLNYMMKNEDLV QIEEMKELQQQHGIHFGNQPTCNQMQNNVQTMGKSSLSQRVLVSLDDSSPRTFRFCQNNQ FLNTTGGKNHRKTSVNYGSQTESPDEEVPVNEKGVKKGVNESLYVMNEQGCQQVLQVPSL QINQIMRRKSVKLKLDDSSEEEDDDQEKIMGQYIDIFQQFERVCEFSNYNSHNNISLVLT RYQEKMMVFQRQTKKRKSRRQETLLHLLKQAQGKQRL >CAK68291 pep:novel supercontig:GCA_000165425.1:CT868058:173083:174002:1 gene:GSPATT00037074001 transcript:CAK68291 MDKLDKIIAQLDELEISQKHREELLKRNQATFLLRLPKVILIQRVCGFLDDNDLYRFTAT CSTLRKVMFCPLGFKLLMLSRNANHLVGGPKHSQIQLKHEKIDIISCGNLSTNSSMFDTE EDALAQLEVLKAVKDFLQSKLQDSQATIQKLQDMLEDAQATLKYEKSVNLRLQSKINILQ NQLSISELQRQDVRENLAELNSKYNKIITQMEQDKIILLEDKEKLLKHKQVLIEEVYRLR GVVAKMEENQNNYKEALRQVKTFMESVEVKPV >CAK68292 pep:novel supercontig:GCA_000165425.1:CT868058:174092:175349:1 gene:GSPATT00037075001 transcript:CAK68292 MDYQLPSLADPFTWVSYSILGILVGLSQAGGIGGGPIISPVMMVLLGCPSKKAIWNTYIM LLGGSLGNFLRLGKERTANGNAPLINYQLVQITLPLLLAGAILGVATGKWLPKLIIVIFL FGILMTVFLKTKSLYAKTRSKEMNEQLIPVELKDLTVQKESNHSKELNILKEKDARLYPI EPLTEISLTILIIIVVTLLKGSGAVPSLLGVDFCGYGYHFLNFVIFGIAFYNVQRYRKQI SKDEEYRESIGYDFADGKMSSVFDITVKSSLYAGFLGGLVGLGGGVVLTPLWLETGINPP RAAASATFTVLFTSSISVFIIALSGGYQFSEFIILGLVSSLGSYLVAGFLKKLVKKYKRE SILIQVLLGVISFGLIILPFQSIKDVYHNPLGAIQFGRLC >CAK68293 pep:novel supercontig:GCA_000165425.1:CT868058:175423:176918:-1 gene:GSPATT00037076001 transcript:CAK68293 MNKYLLTFKNPQIEAKYQQTSIGEIRMTMFTLITLGFAVVFIVRIGQGLLQQNYFNVYTY IAMISYIFLQYLLSKKYKRFLRPSLILLNHAFTIYFLFVEESSDSLSAHLRGVNQMGSNF LIILAGEYIDAMFSLISISIIRVIIIFTKSTSIEYSAIFSAFILILYASRYLYQYHKAIR SQYLLTLVDQSWENILTQITKQIPYILISFDEEQFKFQIIKILNCQRLFSSNEDALKFLR EAKHNDQTIEQVIYNEIKNFKQNCVDLFNKTITISHQKLIIKIEYSVYFSNKPTILLVFP QISNLVQTNNELMQTKYDQLLNIIIKLCQLIQKKNFNQDHVKKVQKKLMLIKLIQDLNNS QIKAKFVNLDTILQQLNQLYKSKKITVSNQQQIKIKTCPSIFSVFLIAMMEALQSKELFV TIQVQKEQFVEMELLGSFNESDLISTKKVLAYEYSLIMKHLEISQNKVKFKLFQQAYVPF NPQSYSLTLL >CAK68294 pep:novel supercontig:GCA_000165425.1:CT868058:177061:179439:-1 gene:GSPATT00037077001 transcript:CAK68294 MNQLDLNDLQASHVQVHSPNGEQRVLFQEALGQIKFRQINIQARLKELLIQAIQKLRKYT KIKNLSLLSKQQLQAFNDVSSSYIQQSKREKDSSQFEKQYYQYKQQNVSLQVLVSSLMTM VKHRSFTSIKASHPMLIFWSFLKFLLVLHLCFIFPLSDSFVYQLDEFIDIQMPIFFVEIV ILTIDIIMRLNVQIYNKGILITQTRKIIIQYFRKEFLIDFGGLLGLVVFLLSTVTPLKYL FILKLKELSTFMEVFKYEIDPKGKFKNHLKLLKLLITVVLLAHCFACVWIGIGQHSMDKN WIVQRGLEDSHWVEIYLTGLYFAITTMTTVGYGDITPINPYEVLVSICLTLFSSCIFAYV FNTITSILKDLDADKSKVKHDLEILSHYMKKRNIDSDLQKRVENYLRLVYKHQPSTQEKK IFGKLSPQLKQELNEQDKGLLLLKQPVLVNNFSLKLLKELIESVQEINLTPQERLPNDQG LYILLKGNINIIFGENRTIVGNLKPGDGVGLKSLFNEQQNRKLGFVSEGFSTVYCISQGD FFKKLKDTDLDQFYQIRDRIMVQNYDNLFLKCLLCRKQGHEICEDIYFNLNKELILAKHQ YSVEQERQGKFKRRRKQKSRAFHELEFRRRNANLCYQRTIKTMKMQEREMESDDEDEKSA NEESDQESYDDLEQSRHYLDENKDSISVFFDKQATPKQNSKPEFKSVRTKIKGASIVRIL QNYTNQKVWHDDFCIINDFEKMKFFRMYYPTYNYDSVIKFYNQWRKKTNKNTYKTQGN >CAK68295 pep:novel supercontig:GCA_000165425.1:CT868058:179781:181415:-1 gene:GSPATT00037078001 transcript:CAK68295 MDEETLSLLIDYILGFSDIFEFDTDRLFQVRCKQNFVPKKLSFKPKNKLISQISAELNNS ISLKIDLLRDQSRQQTDAIMYKFNSQDHTLQQLTQQINEKPSQEDLCDLQMQIRKLQNQC EGQFIKIQTQINNQLITIQESLNSYLNAQDFHMLFEEQMSDHMSHFQAKFAPKDMTMQQL DLLECRFHHLSEQLIELKRYTNSNINDVIKKQDFILSDLTTTVKLHEFQEFVDSSKIFAT QTQLSAFQEQLLPKIKDLSDIVDKDFNEVQEFRKIIKATDYELLQKATKMDLIILKKEQE DYKLHYQKNLLQIQDTQKQITRCYQYFDEQRQQMAKQLSEQIISSIEDTIKHNVNKQMEL YKLEDVGQQIDRIQDFLKAKANKVDVSDSLKLKSNLKDFVTLEDQFNVMSMIFKSQMRIF AEFIELFGIDTDNESMNFKKNAIQKLISDTKSLRKSFSKRNSQYLTGIEDQPFTTRQSSS KKKINTSPKSNGVKLLLRPLLSNTFSVQQAKRNKLNLSMIS >CAK68296 pep:novel supercontig:GCA_000165425.1:CT868058:181550:181903:-1 gene:GSPATT00037079001 transcript:CAK68296 MEEPKVELDDLEVNQERLKQNTNQLKNLRVYGSLNAGMIAGILGMDGWIGMGIYVVVFLI VSACLAIKMNFRVKEYFKSSYDAYYSGIGTDLLLFLMIWVIFHNIVNIL >CAK68297 pep:novel supercontig:GCA_000165425.1:CT868058:182209:182832:-1 gene:GSPATT00037081001 transcript:CAK68297 MQQLFDCPICLQTLLQPITLTCGHTFCKPCVRSKYFYQSYNSCPVCRAPIQIYLNQFKVN ILLENLIKQEFNSEQNYQLRVLNYQKRMDLRNRRKWYHTMMIIIIEYSKQVWRIIHKMLP LYLIGIDIIVLSIVLVILMYMSVKSSLRFEKLQKQFSKRVKLEKLSEEIAKMVSLLKADN QDTKDLDIQNLVFSKIVKYLFTNCVRF >CAK68298 pep:novel supercontig:GCA_000165425.1:CT868058:182845:184473:1 gene:GSPATT00037082001 transcript:CAK68298 MDTYLHTIQSIQIKFIHNLQNHEIYSSIRLLITNSNSQFTSSAIINSFTVKSIIIQLRMY QILQEIIIQAIHNQQMHQDLNCHSDQSLASYILMNYGNDIKMDFYLCNSYEMIIIDNFLL FHLFQYTISGYQIDIKRINNIICSISIFKEISIVQIQLLLILESHQMEVIIXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYKLLEQR TLNIIHMCILQQVQKNPQNQYLWIILLFNRIIILFIIIMFFLLTQTLLKFLKKQPDLTRI YF >CAK68299 pep:novel supercontig:GCA_000165425.1:CT868058:184681:185775:1 gene:GSPATT00037083001 transcript:CAK68299 MKYYKQGQLLCYIGTWMPFVILVTIFLFFYGVYMQTYLLPRIRSEYIEKPVIEINTYLIQ LVHINDYVFSNSTVVITVLLHTILVLFLITLIRVVTTLPGKVPKEWLNRVEGEINKMIEN EENMINFHKKGSQTSTSFSSEIDDEQRLQLNSKARLELIDKSGHRFCKELLGIQTKTLPS LPLMQDMLVENGSSLSMAQQLHRLQQLQNVHQFTRLFMVANKFYNDNLQSLLL >CAK68300 pep:novel supercontig:GCA_000165425.1:CT868058:185781:186399:1 gene:GSPATT00037084001 transcript:CAK68300 MEKIDMLVKVVIIGDTTVGKTNIMTQYCDTNFKMNSLPTIGADSRVKMIQMNERETIKMM IWDTCGQERFKSITKNTFKGAQGFVLVYDITSKSTFEHVEDWLESINDNIDTNTVSIVLV GNKSDLDELRQISRDQGQALANKHNLNFFETSAKLGINLSEVFVSLARNIRKIINSANKD TEMLTTDKTKSKKKKGCC >CAK68301 pep:novel supercontig:GCA_000165425.1:CT868058:186424:186885:-1 gene:GSPATT00037085001 transcript:CAK68301 MNRRRQLQLNNAYERVQEIKKKIQKSQTEQPRFPWQLMQLMCYEERFLYKIYMNLNLTQI PQAIPSYLKVVKARKPKNWFDDIPILVGVDEIPQPEQIFIKKNRICAICLSEINDKNLIK ILKCNHYFHNECIKDWLIIKAECPTCRNKIEQY >CAK68302 pep:novel supercontig:GCA_000165425.1:CT868058:186969:187470:-1 gene:GSPATT00037086001 transcript:CAK68302 MNSEIARLEELKQAEIQLMQQVYEQKINYLNTKLQLLTKEVSKKDQEIMQFSQQNQGVNK VKTEQSQKDLEAEKQYQKEYLNALQLEKEQEVAKLKNQYAQDIQNCQNLLRNRDKIIEQL SSELQQHRQQSLKYMDNQKIQYLSQILDLSSELQLLL >CAK68303 pep:novel supercontig:GCA_000165425.1:CT868058:188194:189062:1 gene:GSPATT00037087001 transcript:CAK68303 MIFFGHHPFHQNTMQLILYDSLFLLITKAHQLTQTHLQAFIIDIISQEKLIKKARKGKQK VQIEQYNFQNMLKELEKYRVPDNFTGARLQIACTQIIESWVALKLAFEHQTQTIQESPEN IKKLMSYQLAAYIYNCETEKEDLVQFLSVYLEQYLQLIIEDNSDQYIAERLLDVYNDLEQ DKEGKYLQLLEDIRLYNEQNKNLKHVANIQDSDEDSENEEPPQLVQQQQQQMQVEEEEDS VDSDGYETVKPKKRYNKRN >CAK68304 pep:novel supercontig:GCA_000165425.1:CT868058:189124:190794:1 gene:GSPATT00037088001 transcript:CAK68304 MQPQSKQQAKEEEQKRMENHILEKFELLEFKGKGAYGVVWKALDRKTNQVVALKKIFDAF HNDTDSQRTFREVIFLEQLRNHENIIRLTSVIKAENNKDLYMVFDYMETDVHNVIRGKIL QPLHKKYIVYQVLKGLKYLHTGEVIHRDLKPSNLLINSECKVKIADFGLARSVAKPEDDT NPILTESVATRWYRAPEILFGSSTYSKAVDIWSLGCIVGEMLLGKALFPGSSNLNQIEKI MELIGRPTPEDLEALCAPMAEHMMQNLTIKQMIGFVQTFPTATEDAIDFLKKTLVYNPNK RMTVEQALEHSYIKEFKNKEVESKRDTPLETLMDDNHKYSTKDYQNFLYSRIDQKRRIEQ KQKLIKSQNNSVNTSLDKSSSPTKKESSTAKKDPEKPKVENDSQQPHRGLHWKSQSYSQG QFMRRTASQEDNQFQVSMYQSPTQKKQQQMFPMVDSNSPMNKGNRRSSLDKKLLSTLTAT LQSTLSQQQFSRKGMQASVSKSNVFNKSMNTQYNALLQKKK >CAK68305 pep:novel supercontig:GCA_000165425.1:CT868058:191342:191935:1 gene:GSPATT00037089001 transcript:CAK68305 MSDFYENTADDHLQRNATENRTFDFLYIFIGDIHNHKLLEDPEYIQNQIQHMNPEYWKIK YTPVLKKISECIDEDLEDFIKQEDTQKKQEQQQSIYESICQYAVDLLKSVNVATLYYYSV IYSFQKFVNRLDGVEDLQRILYLTQNHIKERKYKQHVRDFLRGRSNFIREMRNKSLVDKG ERELIKIILNF >CAK68306 pep:novel supercontig:GCA_000165425.1:CT868058:191952:192636:1 gene:GSPATT00037090001 transcript:CAK68306 MLKPHKVLLHEFAELILNQRVEQKPSSLRHSNVLNLWQIKGIWVNENSDLRLQMNFYIQK WNTRILVEVWVLEVIITNDDQAFKKTQRLALLQSRSILGILANLPLSQEIPSFNKHMKIA YNIENSSIPQHFQTINFPVIPCPSKKVTYLYIQVHYQKEIVFSPQPKLAQKPITQREDAE MNTLHQIQKSFRNRTKASSQIAETLEYFNLINQTL >CAK68307 pep:novel supercontig:GCA_000165425.1:CT868058:192685:194715:1 gene:GSPATT00037091001 transcript:CAK68307 MIIDSTLVVKITFYASMVIFISLTFTSILFGQPEKLTRGFDPDGIACGADFGSKEYPYIY FSNPTPSTQYQSVCVKSCPKPDRQNTMPTQLECVPNSIVQQCKAKFSIDNPDDQFLIYDT FLYKGNICMPRNLAYYEAIKDIATPPSRLTNTSDVFYNKWMLLIYIILAGIASKLLLVQL KANTQYSVWGLTLGLFVFVGSLGIIFISQVREAIKNSLETNSISSFQVDEEYILKMTNVP DPINLIFLSFVFVILTFYGAYFLYNNYDRIKNLSELFEQVEKFMAEHEYLQEFSYPMIIV LNFFLFLTIYTVLSLRACFVIDFQQIGPFEKIQGGVLYYFQFLIYIFFFWGVQVIFGLNN YIISSSLVQWIQVGHVHNQEQELQYNHNQSSLVLTRYFLQEAFYNIGKITFASLLLLISP VKFVCDALKDWAVRRKNEKFRNFLVKYCCLPFIEIYNKTRQIEEVVYVELAINRKKNLSI NLCLKFIDEYLELKQEDSSTAEIFEQLRNTVDSFLLITKIFIALFCAIICKVILGFKYFS KEMYETNLTCLVAAMIGYYVASLYFQTYSIVLQGFGYIYLRTMNICKKNNENFEKNQQKK KDNAFDTFKNLFKEFSDIMIQYENKLKEQKNEELPI >CAK68308 pep:novel supercontig:GCA_000165425.1:CT868058:194811:197185:1 gene:GSPATT00037092001 transcript:CAK68308 MDKIIASATSVKEKLKALEQSCKGLNQQEIEGVYKHIHTLGEDSLVLLDQAQKINFGNIF SDLQLFKRVRESIRELDQICIYLNYLDDRIQGYRGFLKELGFQSEKLIMEFRQKGNILQK LHLDTQDLNVQRQMIGLIIKLCPKDLSFNPELFQLMQIPFKCLKQQNRQDIELLDSLASV LLLQCDGKGEADQNQVHDWMCLISGIVQHAYDGNTMGLNILLSKIKLDYLSDLLISNKNY MLDNYFKNSQDTIKIFQGLTSHCISQEAIFIIKTVSQMFYDIVKDQNDEQYLHILREVYQ EFILTKILENLQILNLTHEIIDLLLFYIIGMSSIDQEPFKRSIKLMVSRLTLNASLKFNT ADHITPRFQFLQMINYNYKALNQIEDFHKIYRFFEEKSLEKNKYTQISKCYPWEFQTVKS QITPKSSLQQRDSKDFVGLENLTNTCFMNSILQSLYMTESFRKFVLQMQIPNSILQLTPQ TSLWNCLKKLFTQLTYQNYGYCSPYELKRSLRQPYCNTNDQQDVGEFVHHFLEDLFEVTP NEAFKTTIGNQFFGYQRQIIKCYNCVKPQPNYGYKEKFLGIDLHFNKQNNIDIISMIKKA YEEEQIEFTCERCRQKTNKIYKSQQLLQLPSVLFMTLHRFTYDQNSQTMTKILTKVPFQF QIDFREAFPNNHLNSQDSVYNLYAFIVHLGKNSNSGHYICYARQLNKPDTWIAFDDTMIS VFQFSSKQLDEELIVEESPYLLFYQNLSGQPLIFNN >CAK68309 pep:novel supercontig:GCA_000165425.1:CT868058:197224:197696:-1 gene:GSPATT00037093001 transcript:CAK68309 MLLPKRDLYNHDYTVKFYPKVNNNQFYKDMMKNEQLLNYYVFKNEFFKQHVELQKYRDYG LIGSVGVSLIAIYFYKNLPLISRIQGKWTSFLMKLMIFGLPYATVYIVTDELKEKQMWDQ YTKNFKNYVYYKQTGDIRCFKVQIESTV >CAK68310 pep:novel supercontig:GCA_000165425.1:CT868058:197761:199507:-1 gene:GSPATT00037094001 transcript:CAK68310 MLSSQNLDTKPIGEKYILYKKKMIGAGAFAQVFEGFVKGKAQEKVAIKVLQSLPANSPGQ TIEKMKTLYKREREIHCNIDSEHVVKMLDVVVCDQSTNLILELCEEGNLNKLLNRQQKRQ LHQERAYQIFCQIAEGYKTLYSLKTLHRDLKPENILFSKGVAKIADFGFAKIIEEMDLAV DQTVVGTLLYQAPEMMVSSKYSSKVDIWSLGVIFYEMLYGVVPFIDNHPNRLHKKITTEP LKFPQEVQINESYKTLLTKMLKVDPEMRIRWDDLFLFLSKDTPFQVVTNENLSMASTHMS DSESFQTKYQKVEQQINKIDEMKDYFDYVQRIISFSNNNTTRLFFTLQEKLQINQSMQIL FSLTSTKYLLNEYNFYLQILQSNLPPGEINFKENDIKMFQTDQAKYYKVLNYFKTNQEQM NQFYNEKLLPQFVKLKAADQNNPLIQIIAELVQTNQKNKRSQEIFNKMYIQFIDEITKSI KKRQIIDEATLRQIYTLQINMYFSLHPQKFIQNEFEPKNLENEIISLSTQKLENKLYDLN VMYSALIK >CAK68311 pep:novel supercontig:GCA_000165425.1:CT868058:200282:207530:-1 gene:GSPATT00037095001 transcript:CAK68311 MDNQLCLKSDYICKTYDIFGYCVDCPLGMYLIFYFCSSCPKSCTSCQRDQLNRLMCQTCI EQYAIKNGACIKCGSDCEICKDTYDDNLGQSYLKCLKCIDDSQYLISLDGINCILNDILH CEYAFQTLDDDYTINSLDINFTPQFDQSKFKLLCAKCEPNYLFVFGSQQCIYYDNTENCY TGIGQFRSDQTLELLSCIKSDKYEKEVVEFIENCSKKVMYCQVCLETNIQNYFLCLECQT GYYAEQASGQCIQCPYELNCIQCYQQHSISKDHWKKDVRAFYRRYIEITNTHQFTLNAQS QNVNDYEFVCQVCQNGYREYKNKCIKNFSDSCIESLLKDDQYYCVKCFKDQKGRKQSIIN NECIECPENCQLCRPRSAQEIEAINPLFNNQKYQKYTNQCVKSYDDQSYYYDKDLGLYVE CQQTSNGNGCYKQLIIELNLYQDQFLYFDDLNKLQDEDSRIEFKKRNTYLQSFNSFDMLF GEFENEEFYTLANMKQIKSIVIKVVSVLISNASITGILSQKFCNNIFSVINVELIFEMPQ GTVISIKELVQFSNFNKILLINITFDACVPCNSQINLIFDSVFPQIITLNQITIQQIYEP SYFDYFKIQIQNLQNLFANGLKLISFGQTSVDQFLTISGSNANKSIIFKDLEITNCQFSN SIIFRIELQENDVIEFDKVTVQNSQFNNITFIQIDTLAPAGYLILKNIEIESEISDSKTF FALQSFKEIEITNFSIMQTVINSSTILILNNDALLNNVQFLSNSFISSSFGIINMNQLSY LDYDYQFNNVQFENNKYNNVIKFIEFNKYQSSIQRVKIEEIKLINNYLVDEALEYNQQQI DSSLVLIQFDEISIINFFINRGFGLIEFSLQESKVLSIINGKIRQEQFQFLGLHKNLNCQ LKQVKGQLYPSSINIGSFQNASFQNVSIIQASTYNFPIIKIQSALLSQRRILENLVLENI FFQQNLLLLSKVQFSPSLIQIDSLQESIIVINNLTFQLNVLHEYIQDDLVKQTGLLLINC PNCQIRLLNSIFNNNIVTNSSGTILSIVTKSFELTNCSFESNNIFNYDVLKPHLLWGFTQ PLTQKIINKVFQVKSLSGNGQIWVQSLNILNSKFFNSIGQLGGCFSIFAQGSSRMIIENN SFYNLSTQFYQEIEQGGVLYIEGSSAAALEIFIKNTKVENVHCRQYGGFLYLKSNNSQTN LTISDIILRDIYAQQGSVVFISYSRLVEDQQTIFIQKLEITNSQNGYFQFLNKFTIVANE FEKYYVINNRTLFFVEYGSSLTLQNISSYYLIQESFLNLQNTRNIYMDTINIQNSYISNQ LISINPVLYKNVTIRLRNFNIEFIFVGFEFKNLTCTNSTSTVQDAFYECPMVSQRAPNSL EQDDDNYELIGQCLYISLRKQLDVSNSGLIVFKYSTLQCQLEMYVVFLRQVDCKVCNSGL ISLQLQDSNLQEWKAYSQQLNQIKVLNSNCGQKGCIVVERIQLLSRRNLIEIQSQLQYDL QISNYLCFLNLGKDGTCLYLNQIKTMIQFSTFQSNNASNNGGALYILGDQPLLVENSMIV NNRANVGGGIYLQDQLSMNYEQTHTILQKNSAILYGNNIASNPERLSIQLKDDLAMLNTV NYIDNKTMKIDEIMVQPFQLINGKYSKYVQLPTGQSISKYQFFDWKNQVFINAKMIFRIL TLNRDQTINLNPSIERSICTIQSREYNISKEDEDQLFTNNYTNLNSIKFNSDTLDYNLDE LIVYFNNEVPEDIVLQLEFMCETIKVPIFGLEYPYFVESYHDNYKLRINVKTFECQFGEI KNITNFSCEQCDSTQGLYSLEVNAQKCDVKDDMSSIAVQNNQIQLRNGYWRPNFDTKLIS YCINLPKNCNGGWNEGDTSCYIGHLGALCEQCDLYNIRGDGSFSVTEQYTCGTCLDQGRN VIMIVGVIIMTMIFIVISVSGNIKTVEQHTRGQPFKNMRISNFLNKAQSGILIKMLTTYL QIIVTITTFQLNFPQELNRTISAVGNPLQTVTHSLDCFLVNIIHVDIQYSRMIWQIIMPF LYINFFLGFYLMAAHFKLASYNSSVPTTSLIYGYLYFSPNLADGLVQLASYRQISGFKWI QANVSERYDTIIHIKWMVYFCLPFLLILGILIPFYLLYGLYKCRRVQDQRSTRLHWGYLY HEYKEEAYFWELIKIVQKELIILSLIYYQDSIAVKGILVLFITYLYQELNSNYRPYKLSS LNNLDYYSANICMITISLAIGAYLAQSSDISGLQILFFIMMALFNFLFLYKIITKIIAEY SKAYQFAFDKLKEIVKSKLPNLQHSRYFKNLLKNKTQEKERVQKAFKKLRVFGITLAKRI IEQKNQSIENYKRFKISEIIDSQGYQRKETKLDLQEPDKVTMQRFLQSSHY >CAK68312 pep:novel supercontig:GCA_000165425.1:CT868058:207733:209558:-1 gene:GSPATT00037096001 transcript:CAK68312 MLNHRIYFLSILTLILNLNFIKNALACPFSTYQEAIINSGSQKWMKSLEIDNKSNGDVFI FGFWTFSIPLLIQTDNVNVGEEFKINELQTGEFLFLLKNTETNDNLIVCYKSFDYVQQKV QHIFLLENGLGNFKFIFDFNSLQYEGIWVFHLVVLENQQKKMMVKVNQQDAQSADFSYQN LLNLEIIIGGKGYINNLNLNYFKGIQSKLIFKSSIDYSNVITQELMNECQIPQKIGLEQT INIVQGLKLFEGNQVLQMLIDQYGNKYCIQGWVKYTLTTVSDQKYTLLKLKGISNFEQEK TLGDELFKVDVFVSQNIPEQTYMMVNVDAYGMPVQQSFQSQYDLIFQGSSNPDYSVLQTR KKYQDLNSQLYYDGLQEWHFIQYEYGRSSFDERMLLIIKFSNELGLLKDNLGNDIFSGTF TNSKFNLFFGGDNLNNVINNNFLNAQIYNFKMQYNYNEEKLFNVDCHYTCLTCFGPLEKN CLTCDPNSNRYYQDELKICECFSGSVEKGNIKCENQLYFSIIQEEIAIELEDKCPFGYFM LPDEIGSNYDCLECPSLKRTTSIQCVDCLFYPKTWYLNPVMQIRLSINILKIS >CAK68313 pep:novel supercontig:GCA_000165425.1:CT868058:211231:212269:-1 gene:GSPATT00037097001 transcript:CAK68313 MSIRLWDLKTGSQKVKLDGHTRAVNSICFSPDGITLVSGGRDKTIRLWNVKTESQIGKLD GHTSEVDSICFSSDGTTLASSSSDNTIRLWDVKTGQQKAKIDCHQYSVSSVCFSSDGTKL AYDNLDESICLWDVKIGQSMLEFKGHEDPIISVCFSPEDTTLASGSWDRSIRLWDVKTGQ QKAKLDGHSSVVRSVSFSPDVLITLSVYGMLRQDHKQPNQVIIKIRLILSVYSPDGTTLA SGSADESIRLWDVKSGQEKLTQNNLQKDTQLQSKSPIFTERTSPYVSILHISQTPYVEAQ GALILKGDFVNFQGVDLSQLFKSKGSLIYK >CAK82620 pep:novel supercontig:GCA_000165425.1:CT868433:1:2849:1 gene:GSPATT00039495001 transcript:CAK82620 INGLDFYNKNYSAEEYKETRKKLITKIAKENDIIELLKFIVHLTAIDESLIQCGSNSLNL LVEMQIDLKEQCFENIRIQDTSLRKANFVRCNLSQSVFYNVDISGMNLSGAQLFNCKWTN IKINELNQLQGHSSTVQSVCFSPDGTILASGSSDNSIRLWDVKTGQQKAKLDGHSSCVNS ICFSPDGTTLASGSFDNSIRLWDVKTGQQKAKLNGHSDQVYSVDFSPDGTTLASGSYDNS IRLWDVKTGQQKAKLNGHSDQVYSVDFSPDGTTLASSSSDNSIRLWDIKTIQQKAKLDGH SDYVRSVCFSPDGTTLASSSADKSIRLWNVMTGQAQAKLEGHSGTVYSICYSLDGAILAS SSADKSIRLWDVNKRELQAEIESHNRTHYSLCFSPDGSILASGSDNSVNIWDVKTGQYKT ELDGHNSTIYSVCFSFEGRTLASGSNDNSIRLWDVKTGLQVAKFDGHICFSPDGTRLASG SSDNSMRIWDVQTGIQKAKLDGHSSTIYSVSFSPDGTTLASGSSDNSIRLWDVELEQQKA KLDGHNSTIYSLCFSPNGTTLASGSSDNTLRLWDVKSGQQNIELVSHTSTVYSVCFSPDD ITLASGSADKSIRLWDVKTGNQKAKLDGHNSTVYSINFSPDGATLASGSYDKSIRLWDVK TGNQKAKLDGHNSTIQSVCFSPDGKTLASGSDDDSIRLWDVQIEQEKAKLDGHSCAVQSV CFSPDGTTLASGSDDKSIRLWDFQKGYQKAKLAGHGGSVNSVCFSLDGTTLASGSSDYSI RLWEVKSGQQKAKLEGHSSVVWQVSFSSDETLASVSYDKSIRLWDIKTEQQKTKLDGHVC SVYSVCFSPDGIMLASGSADKSIRLWDVKTGNKKAKLDGHNSTVYSINFSPDGATLVSGS YDKSIRLWDVKKKQQIANINGHSSTYTISIREC >CAK78289 pep:novel supercontig:GCA_000165425.1:CT868301:3428:3803:1 gene:GSPATT00039272001 transcript:CAK78289 MRGHGELISSKLEGKTITIENQFKKWIRIDELIYILTLFSLTLSNQQIYVIIVKKIGNDK NNKQELLKIVIQAPFRKIVKFWCKVTQRLKTKKIKVTIARDLAVSIQQWQLRMR >CAK83115 pep:novel supercontig:GCA_000165425.1:CT868456:1642:2655:1 gene:GSPATT00039528001 transcript:CAK83115 MIVLIMVILLLLPFRNQAQRLKLQGPKPIYMNCIQIDERENGFVGSQYFYEYLSQSGNLL NLDKITFTLWINIYKQSKLSGKQILFAFVDGNTNNPYINLMLYYQTSAGNYNMTLLNQRQ SPEIVNITQQNDLYIGSWCHIVLSIDQSTNNTFINFKFFSTIDWKMNSIQETLVNQKLKY NFGVHSRITNEQLFNTSTDYKACVNIANFYYINGWTTMDSEIYLDYDLELKYFLKPYQLK GLNVTDQFTNVALRQQSNPIFYSDSVGLLLFKNTRIVPQQLCFGLNHKIQYLFFSLFL >CAK56130 pep:novel supercontig:GCA_000165425.1:CT867986:30:323:1 gene:GSPATT00004224001 transcript:CAK56130 MYSPGQSQYLKEGPLQSHSPQRIIQSPLAGKLDSYYWEIRIYYYAWALMQLSSVAVRSVS GAVAVSNYQVGSVNFSKNILVMGAKDLFIKDSSRNED >CAK56131 pep:novel supercontig:GCA_000165425.1:CT867986:460:1208:1 gene:GSPATT00004225001 transcript:CAK56131 MENYHVLHLIGEGSFGKVYKGRWKKSNQMAALKFISKRGKTEKDLANLRQEIEILRRLKH ENIILLLDAFETQGEFCLVTEFAQGELYEILEDDHNLPESEVRKIAQQLVRALHYLHSNR IIHRDMKPQNILLSANGVVKLCDFGFARAMSTNTQVLHSIKGTPLYMAPELVQEQPYNHT VDLWSLGVILYELFVGQPPFYTNQIYSLIQLIIKDPVKIQKFLKRTLKQTSF >CAK56132 pep:novel supercontig:GCA_000165425.1:CT867986:2876:4853:-1 gene:GSPATT00004226001 transcript:CAK56132 MKIHSDSPLSKIDQLFNNLDQNLSKNQYIRLQFKLNGYKEKKLGQDQVIIETSLIDGQYS QTAILIGKAAELIKVYQNEKFINVNSMVIQLLQWKVAYLEKNKAYTIVIYEFQYIGSLDG EHECTQYNVDQNCQSQKKLRVTIKQHNTTNNNTSHQSYITNSIKKVKIENSSFIKESFII DDNLLNISVLDNPANNKQSNQFKDYQQQQLIQQQELTKISVMYPNMKKWVLEGRIILKSE QLDFRCKKTDMISRYFKIIILDCEQEIIVGLFYEKALSKFFSVLQQGKVYTFKNGCIGQD KANGTKKITFNEYSIISESQNFAIPSAPQLNFSTLQEIETLQHNSIVDVVAVIQEIKQDS DSCKSFIVFDQTTRLSVKLWGAQYANINLQKGEIMVFKGLKFYNTNFKSLNSDHQTMIIQ NHDLNEVKQLKSWLAGKNIDTIMKPNPDNSTIDLQQLDEFVIKLLNEGKTQQTSYKYIFG YIIELQENRNMYPCCPSLRCKSKMEEIPSRKTYRCKKCLTENPSPKFSFVLRVTIMDEFT NIKAVIFDDIAVKLLGITADQLRAMSHEDQRNIFLSKEFQQKKMKVQIQFQDYNGQIQPK YNVQDIVDIDYKELATQAFEMFDELDNLLNLSLVL >CAK56133 pep:novel supercontig:GCA_000165425.1:CT867986:4977:5519:1 gene:GSPATT00004227001 transcript:CAK56133 MNYSAYVDVFLNKMQNCIYNKQKQQQFLMLEERIALVMSSDNQDNGNLYVGGYDSLKMVS QKKIGAVLSAVWTGNFKPDENLKYLLIEADDSPTYDMSKHFEKAVKFIHESLQTTNVLVH CAAGISRSVCLIIAYMIKIHKMKPQEALTKIKQTRPYAGPNMGFTEQLEKYYKEVMEAKQ >CAK56134 pep:novel supercontig:GCA_000165425.1:CT867986:5549:6328:-1 gene:GSPATT00004228001 transcript:CAK56134 MKIQFLLAFIVLASTQSSFLEESLINRSFAPMTNIFLEKLTDPTQQLETATAPETLLTAT LDSYINAQAQQQILISQQYESNLPAKGDCIILYGECDYKGPSFKYCDQPGEYIKFEIPVH SVYIPIGMSLKIKDALQGNKLNLIYSHECISEGIHIPEPKIYEEHPNEWFGEIKETEKAV LDSGELATPQIKYYDNDGNVISKEDYQKLIEADIQKSHNFYLGIVEQPDYSTPAQLDQTD SNE >CAK56135 pep:novel supercontig:GCA_000165425.1:CT867986:6421:9895:1 gene:GSPATT00004229001 transcript:CAK56135 MENYHVLHLIGEGSFGKVYKGRWKKSNQMAALKFISKRGKTEKDLANLRQEIEILRRLKH ENIILLLDAFETQGEFCLVTEFAQGELYEILEDDHNLPESEVRKIAQQLVRALHYLHSNR IIHRDMKPQNILLSANGVVKLCDFGFARAMSTNTQVLHSIKGTPLYMAPELVQEQPYNHT VDLWSLGVILYELFVGQPPFYTNQIYSLIQLIIKDPVKYPDNMSPEFKSFLKGLLNKTPS DRLGWPDLLNHPFIQESDQEKYERKKRLEQYSQWAGVENEVIDKRKRSLTPTRDIITYDQ SKDTRDVSPRRMPCNDEYWVKCEQIANDVQGATQLRCDPGFLDKLLQVLTPPKKPSLHCA LKVFGQVITKGNQQEGLDVVKNQQIPIQLVTHVKSILKQGSSELLSELIKTIGLLAKATF DKNIGIDNVFIKFIGMTAQILKIGQNNELINTIKTIGIFANQASLNPVRSVLFYKELVEH NLAQETAKLTKNNITLHKFATQVIGVLIHPIHGEIFSFPWKKGYSIQIQNFNEALPLFES LKNQIVTAYADIDHMKIYNEQDEQQNLTRISVLRILLQMIRVSKDVHVPKELISQALNSD EALFQGTALLILVQQYKNKNQEYQVNLSQVIEIFENNIQINPIVSLSAIQLIAELLQQET NFTNQLLSYFSQQLPYKLLSDLVNPNKKQNRTEEIRKLEGSSFGCPSYGFVDGVITLLQK LLFRYNKEQKKLTDLFQLLEKYEIHQLLFQILLNITSRNDVSPKGFVSLLILIHDSIYSN FKALGQLIFQDNSIRILCSFLKEAQLQSIQEWPSSSGGGMACVNVMSIQIIRIFILAFQE QNCDNVFKELSNQEIVALTLNLIKYLQKDHIQLVISFISRLVGNNEEDKQFAQSFVQNNG LAILQKYQIMSVDSINILSQLARISKDFYPNIHSINLYNDLKQQIQSPDSNVRAKVCNLI GNLCRHSSFFYEHLLKFDLINLCIKCCQDPDKHTRKFACFAVGNAGFHSDRLYEQLRPVV PMLVDLLRDQEEKTRANAAGALGNFVRNSNALTKDLIKHGALHQLLELVKTDKGSQPTRI SLFSIGNLCAYPECRKKFEELQIRQIIELTLAQTKDQQILKYGKRILIKLDEN >CAK56136 pep:novel supercontig:GCA_000165425.1:CT867986:10664:12308:1 gene:GSPATT00004230001 transcript:CAK56136 MIENIVYFKMKHEAQTYKIPIQGVNVQLKDLRQKIKDFLKMPQAQKGQSNQNFEELVIYG ELEQNGGQEKALGLADKVRNKQTVIVVRQPYQQGQSLCESCLSARSTSQTPCCQRRICQG CEEQFVKVTKCFFNELQQCPFKYVNYQVQTIKQQTEISNAELEQQIKNAVFFIVKSNTEQ NVILAKTHDVWATTRRNFGTLMDQFNNKKVILIFIANRVEKFLGCAKMKNIQVPRDPKWQ WCGTSTIQLADNFSVEWLRKGTVDFAKLQDTINPKTGDLVIRSKDCQEVPADIGQRICLL FEQIKQNDEEQEPVPQPQQEPTPKKEEIEWDYNQIICIFNQLQLIKAPANASPVREQTRK SPSRSPSNENIPSQNIQLNEAQHALLNQTLASWAQQQQMENNWNKMNMGKIPGLTGKVQY PHPFLQKYGQKHNKMAEVLLQMVQKESERKDKEKKSSSHSKKHKKKKDRDRSSSEEKRRS RKKRKERKDKKSKHKKRSRSRSRDHKKKEY >CAK56137 pep:novel supercontig:GCA_000165425.1:CT867986:12372:14498:-1 gene:GSPATT00004231001 transcript:CAK56137 MSSYYLYQAPLPKQLISTLFDGTNSMKREYHSIISTYNEVFNDFSQTERQQYQWTKGLSQ INPFIGADFGNLNQSLNQIFLNLLSAQFPQYITIILITDGGEPLDSESLEKLIQEVKSKY FIQFITIVISEQPTPIKVLETLNALFKSEKNNFNSEYIIKRSSRKSIYQIQKDFREAFTN IKQQLVSYQQQDILDQKVSTIINQSELTDKVAPKTLFLAEKGIEISTQNVKINKTTQICH ILQILQKSFLATLKKFSELTVSGLKNECSEILRVTNQLLSQTDNDNRSDEFHTISLILKI ITSIINNTCNWQSIDCHLFDDLCLAVSNAQVTQLEQLSLINQSECSVQKIHNIDEQMISK LDNLSKNAISKLDYLIKQDNIIIDTLKLYIQLFSTEIKSLQDQKAHLKKLVMILQNQLSV AFQQQEFETIFTSRVFMDNTEKFLEQLQQLFKLNNLLKMLKQISIESYNEQLLQSLSQLI KLEQNSNNQSFKDVFIFYKDLKELPILKELGPNDDQEDNESIFVLLINLTEAMKLVIDNL TQSYQKGFKDISSSKRIELVYVNKNENNQQMNQEFYFLNQRQQQEMRFTSLIELFQKNQE KFQLKQKKINLCILIDDELDYWKLQLQITMLKLSQYYVKLSFIALGLPISQYIQKEIEQI VQQYSNEQISKFFIPKNKYNLKQKKKYDDKHSEKLFEEIANKFKQNKL >CAK56138 pep:novel supercontig:GCA_000165425.1:CT867986:14514:15804:-1 gene:GSPATT00004232001 transcript:CAK56138 MSESGKQDSQQQSSSSKQPEESNQDVKVTSAWEKSSKDLINSHQNKFDAFKSMADQSGTI DESHFTIGGEQKSEIFKRFDADHNGKITQQEWNQGWNNLDVQVLETQHKVAIAQQKMEIL DALESKIEPGLNISQRSQNQYHSMVDRKKNISTLSGLTDIFNKKSPFREHEEFQESSNYD FRQKESNRPLDQLLQQFKTPNKVDILVETGSGNGSGKYIGSNKQTSKFGFVQGSASPGFN PASLRNDNQYNSKVHQQMNINIDIKDKNKSNKRREELASYMGKLGLGGNESISKSQISIE QPNSNVFERMKVYVSNIGSQYPRNQSQPGLNDLVNDKSFSTSTFKQQLKQYRKERGGEPQ DTQVTKFSKPSNFLENPLLQDQSLKSFHLKLMHSQGALNNKYTRI >CAK56139 pep:novel supercontig:GCA_000165425.1:CT867986:16135:20972:-1 gene:GSPATT00004233001 transcript:CAK56139 MISQNSFRTHGNYQMKVSLSILKEKKEFRADGEPVFVTVKPEAARGKDEYAISGWARWVD PASIQAWHLLYRVQIFNKDTEGLKNADRPGDRTLSCWKGLGFFYFATYTVDQGHGGAWNI ERTNPYDEVMHKNWVYFYQGYSRSKQSVHIYIKYPSRDIHFDVPANHFVPTQFYVQFGKD QWHGGWNGFLESWYFNTGNGAYKTADYGVDESDQLSYGFGGRIIPKPKDWEQKDLFQNNW GPSEQSLGKEVEITDDYINGLTEYGYGMWTRFIWNGERKLVDKPAWMALSRLTYRPNYQG DAAQIGDRLLAIWVGSGFYHFTTSLPGNANLVNNVNYNNLLDGSWNYIYYGYKKLDKGGR IVGHVFFGGSAVRSTTFPEVVNHTPLSDYLYFCVGSSGAKLRTNYHSFNGHISNVILRLG DGAFYQNPDDLRKQIPEMPKFPELAPIKQVIFEEQRDMKREVAQVAPVEFSDKFAGQSEY SVSIWFKWSTIARATWENVYTLSYNEQNIRGNHVRPGDRVLSLFQYADHRQFFSTYTTPD VDDAFQQIFTECPTPALDQTAWVYAYYAYSKKASSVYSFYKTRTTECEKKLAASHRVPRY LGLYVGKDGIHTPYNGKYQQLYLMAGNGAYREKDILSFDPYIAGALGVQAKPYKWADKKE QFDLSFDGVVQQELDPAAIDGHSAYAIGFWSRYLTAIPKRVLDKPAWVSIARFTVNRDYQ DQSKVGDRTLALWLGKGFYGFRTYNLATNTPTIAQDVKYDDKLEGEWNFIYFCFASSKQQ AIGYIKFGGSGDVKRVTFPEITHKPIEGYGKVIIGKEFAYPGFNGKISQLQLLFGGQGYV PDVESLEELIKTTFPQPDLNIPDTQVLKVQEDEVQKRVGDNPQVSEWPSQYQESLEYSIF GWFRYAQPKLQKDNNVLLRLTNNEPAYRKEAAIVGDRTLLIMYQPNEVVFSTYTLGTIDD GQVANIRKPTPLGNNFGVWTYVWFGYSWPKKVASGIVKFPSDKAVVPYDNVLHMIPKYFA LFAGSDGFLGGWEGPMRKVGAIFGKGAYIDPNKGNYENMLPNLLGLQAKKSDWKPAKEEI ILVPIKDRPGYDLTFKEEVGGVTEYGYGLWTRWLMTTPDRVIEKSPFHQLIRLTNTEKYE DNVALGNRVLAIWAGKGYYHFTTYDKKTNKASISANSNYDDYLEGHWNYIYYSFTAQDTP RAVGFVHFGDLPGQTVARVELLDIAHNPLNGYARVVVANNEFGYPAFNGMITGLRIFFGQ GFVGSKEQFLKDVLAVQPKPQLTVPARTDINVLKQEKVINKGDAGIPIKNQYDQYQGVLE YAVSGWVQTRRGQADELSRMIFRLTINDPAIQKDKSLAGDRTLACFLFKDAFTFSTYDYG DLDSNEDDQNDIQWPSKIEANGGEWIFLYFGYNSKIRKAFAYSLFLNRELGNQYNDLKHF VPNKFWFYLGGDGFNQQFEGTMFNWNLNFGDGAFTNKPKSVISSWPYEPQQQAADQVLSV LLGNQGLSSIKLNRQAGPASGQFDVKGGPASGQFTVSGGPASGVVDKTAGAKSGIAEQSQ GPKSGQTDTADGPKSGQSDQAAGPKSGASQ >CAK56140 pep:novel supercontig:GCA_000165425.1:CT867986:21057:27501:-1 gene:GSPATT00004234001 transcript:CAK56140 MELKILIKAVRHDVTHPDTKYVRFILGGTDAKRYPGFNGLFTQVTFDAVKGVFIDTADQL KGYMNKLENPTIGQVDLQTYRLVTNEQYREKTNEPLLNVIGKDNERFPLEYSISGWFKWQ QAPQDAWQNLFRVSLNEKPADQYLGDRTLSTWIGTAEGGIIHMPTYTYANMNGGGNANVW KNILHKDRHTKWFFVYFGYSKPQAKAYSYIKWQSDDDFLNYDNTNHYYAPNFQVFFGRDK FYTGWHGKVAFAQFNLGKGAFRSGKDFTHPNDAFGIGAGVDKLKKPDTGFKPADSDPAIK ENAFNQDKPIHDKNVNSENPFDEYGYGFWMRFLTAYPQRLNNGKNEAWYFVARLANQEKY DNIRMGDRMLALWQGQGYYHFTAANVLTGNSKSYSKYIEGLWTYVYYSYSVEENKAQGFI KFGEENFKQITHQTTQPLTKYLRFIVGGNDEKRYPGFNGLFTSITFSSSIGAYVDSIDAL NKYVNANPYPKLDTTPQNYLLIKDPITRKPENDFFLREFGEDNQRFPVEYSISGWYKWIE GTPANQWQNLYRVLLKKEPTDTAVLGDRTLAGWIGFGNIHQSTYTYVNMNGAGNNNIWKQ AEHKDRHLRWFFVYHGYSRNDRLSYAIVQYYKGSESLSWDKVNHYFVPRFYVYVGKDAIN GYNGQIGAINFNIGAGSFRKGEDFTHEKDFFGFGAPFVQTKVKPFDIKDRATDLLVSAAP SQEKPSFTKVLSDDEVASCEEYGYGFWARYLTQYPTPQKSGMQGEWTFVSRLTKNQKLQD ITLGDRTLAIFLNRNSAFHITTYNAGNPNSIVNAAARPDFEGIWIYIHFSHNLEKKSSVA FLKYGDEKPVRYQQAATHVPPSFLQFYLGGKDFYSSWNGQFSDVMVSASKGIFIDDEATL TKHLSGFKMPAQFNYDLRTFEVIPKEQPYDGKQEVKEQMFEEDVALRPEYAWSGWFKWDN LPAGAFFLYARLSLYQGPTDLSYLGDRTLAAWAGPGNMMQFSTYTYTNLVGGGNADSWNR INSGQDLVRWHYVYFGYSLIEKAAYYRVEFKGRMEQFTFKDHKHYYPNKFSLQVARDKFY QPFAGIASYFRLNVGEGAYRTSGYEKAKNDIFAYNLGKQDYVKPSPTLDVNRDQNKGVSD SPWDGKDPVWTKKLIGSDLDDINEYGYSMWLRHLAHYPVQMPRGLADKGWSFVARLTKNQ LLQDITVGDRVLAVWLNHGNFYHFTTYHQGNPNLNQNIANPNDIDGVWYYLHFAHSLGSK QSVGFLHNGEKLNKVVFAAEHVAPTFLQFYLGGSQVNYPAFNGQFSNVILSVGDGIFKRD EAEFNEFFKDLKSPDPFNRNLVTKNLIDAPKEFGKDTAKDEKVFTEFALTGEYSWSGWFK WTPTVQQAWHLMVRLSSLQNSEDLSFLGDRVLSAWVGQGYFHFTCYHAANINAGGNANQY QNMNYETDYTKWYYVYYGYSRTQRLAHARVEFKDRVAELQFKNTFQYLPNKFSVYTAKDK FYAAYSGNIAHLRLNGGDGAFDPKTYGDNKQDIFGYNIGKDNVKEKEPEVDPLRQQEVLD SAWNQDKPVYSTEFKKEDLAGIQEYGYGFYYRHLEQYPVQMRDGRLEPWYMMSRLSWNKD EGNIRMGDRLLATWQEQAAILFVTNNLPGDPNMLGRINVAEREGLWTFLYFSYSLEDQLA VGILKFDNNEEVFHIPMKCNHGKVDYLKFTLGSAPPHFYPRFNGQFANYAVKLGPGAFVK NYESKKKYLLNRIPHPAVDDNKFKQFKVVEGQKQFKGDSQDEVIVEVPNDFSKFATEYSI SGWLRWDNPALGAPWYNVFRLSLYNGDLNAENRFGDRDLALYKHNTYYQYHTYNYNPGQP WTFEHVIPHADQHTVWHFFYSGYSRDKGIQYHFISFQESDVEKVFDKQKHLVVNKHYLSF GKDYKKFFPSHRGFTGTASMVNLNYGEGAFTMKPFTKKNDLFQFAEGEKKYRQPFSLLEI WSDKNKQIPSISDSNEIVYNVELTDAKDNIRGLDEYGWVAWVRSSRTEPKNLPFRPHTHS IARLSTQRVNKNTQQPGDRVLVAWQYFPTYYFATYTTGNSDVGQQTPFKIVDGYWRFISM SYKKGVVKAYVYFDDKDIAELKFDVKHELVSEYLRTNMWWRN >CAK56141 pep:novel supercontig:GCA_000165425.1:CT867986:27576:39069:-1 gene:GSPATT00004235001 transcript:CAK56141 MNNKTLLIVLLLACTLGGMSLQLNLAQQFIQLGSNLKLQSLSELHQLETNQLECSPMLGE VSTSIDAWSEILENQDQLNTDSHNLQQLKVALQDFRGNGYDDAEPVLLQFRNSFSDIMSQ ISAEHLQITPYQRWRKDSAMELQGVVSLLDTAENEKDLEQCCDKIEELINRLMKEREQVR NQCQKGPQITINIINSKADEVREITKECSDGQGTIVRIKPKEDDNQVIIPARPDGQQQGQ DETKKPIQPTQPVKPVPADRVPEGDDQQEQSVSEPSSTTDEEDDEDSSNKKKPADYTNPD PVHQKEVESQEPLTEYGYGYWAKFTLAYPKFLPNGKDAPWYFVSRLSANKKDENINMGDR LLAVWLGKGYYHFTTCDQPKNQPNVAQNVNYPEDFDGVWTYIYYSYSSEKKKAVAFIKFG NDDLKKVTHEVLNPSTKWVRFTVGGKDQNRYPGFNGLIQQIYFSTKPGVFLDSEDDVIGK LQAQKKQPKDFLPELITYKVVSNPSQRDPDTKEVLSIVGTTKNPKFPHEYAFSGWFKWEA PKQQQEWHNIFRVQIQQPSTDKFLGDRTLSAWVGKQDGGIIHLPTYTYTNLEGAGNPNVI KNIPHKNRHTEWFFVYFGYSRPQKKAVATIQWKDSTDKQEYDNIRHFQVPKFYIFVGKDK QFPGFNGKVALVAFNVGEGSFKPNNDFSGKGDPFSFDSGKKKLIGVQPEIDTEEDPEKQK KPYKVVEDADTDGLTRPSSSEENKPVIEETQEEDNELVEYGYGFWMRFLTAYPDRLLNGK NAPWYFVSRLTSNMNYKNIEMGDRLLAIWQGQGYYHFTTCDQPKNQANVIQNINYPNDIE GLWTYVYYSYSSEAKKAIAFIKFADQEPRTILHSVQHPVAKKVKFILGGMDNKQYPGFNG LFSQITFSARPGVFLDTIEDFSDQLKRTIIPKQDLDQFYNHELVSDIISRKPNDIPDYDE IGGGQEMFPHEYAISGWFKWEQTQQQVWHNVFRVQIKKPSTDRFLGDRTLSCWIGTAQGG ILHFPTYTYTNMNGAGNPNMVSNIQHKNRIFDWFFVYFGYSKNQQKAFVGVRFATGIETL EYNNVNHYYAPKFYTFAGKDLHFPGLNGKLAYVNFNLGDGTFRKTPDFKHPDDIFGLQKG EINILKKPDQKKVQPEVDKETGETQIPNAVSDNTPKVTKEFKSEQPLAEYGYGFWMRFLT AFPVKLPNGKNAPWYFVSRLANRPNYDNIAMGDRTLAIWQGQGYYHFTTCNLPGQVNVIK NVNYPADIEGLWTYVYYSYSKQEKKAVAFIQFGATDPIDVVHQVTHPDNTYVKFILGGKD NNRYPAFNGQFQSVVHSASPGAYIGSIDQFKAFLNKQPNPLGSKVPLTTTQLVDSQITRN PGTPGNTQTVEAPFPKEYAFSGWFKWEQPTPQQAWHNLFRVQISQPSTDNSHGDRTLAGW VGSGAGGIIHLTTYSYKNMNGAGPNNLPQNINHKNRHFEWFFVYMGYSKNDKAAYAYVKW RDSEDNLEYKDINHYFAKKYFVFVGKDVQFPGFNGRIASTAFNHGDGSFRKGNDFKHPTD AFKFDKGSQLIPKVDPTKPVVDDKTEYGSKFNNNAPSVDKTLTSNDLLVEYGYGFWARFL TAYPVRLINGKNQPWYFVARLSTNVQYDNIRMGDRALAIWQGQGFYHYTTCNKQNGNVNV IQNINYPADIEGVWTYIYYSYSAEKKKAVGFIKYGNDEVKSITHSITHPETRQVRFILGG MDNNRYPAFNGIFTKVSYSHEKGAFIDSLDVLKPRIGIVPDVDTPALNKKIVAGQIERAP TVAHIGETVGDEQSKLPQEYALSGWFRWDKLQGQQVWHNIFRITINSPFSDRFLGDRTLA VWVGTLAGGILHHTTYTYNNMNGAGNPNVVQNIQHKDRHQEWFFLYYGYSKKESKAYAYI KFKDSSETLTFDKINHYLAPKFFVYLGKDPNFQGFNGQIGFVNFNIGKGSFKKGNDFTDE TDRFGFSDGTKAINKPVEPAKPEAIPEADKQVFASASSVDSPKVDKQTVAGDQNLVEYGY GYWLRFLTKYPEQLPNGKNQPWYFVSRLTTNVQYDNIRMGDRALAIWQGQGYYHITTCDQ KSNNANVIKNVDYPADIEGVWTYIYYSYSTKENNAVAFIKFGDSDFQQVTHQVTHPAAKQ VRFILGGTDEKRYPAFNGLFTNVYFNNKVGAYINSLSNLNKLLDSQGPKPSIKLVDLTTI TLIDKETSRTPKDEPVVTEVEEKKLPHQYAFSGWFRWSAMSQEPWHNIFRVQLKTPSTDN VLGDRTLTAWVGTAEGGIIHLPTYTYTNMNGGGNSNLYKNIQHKGRNTEWHFLYFGYSKD QNKAQAYIKWTQSEDTLEYPDTRHYFASKFYIFTGRDKHYPGFNGNIAQAKFNIGEGSFV PDKNFNLPKDPFSFGSGVDTYHKDQPKPQPETKPDPKVLDNSETQKVPALTKELKDEKEL TSYGYGFWMRYLTVYPERQINGKNQPWYFVSRLTWNEKYDNIAMGDRTLAIWQGAGYYHI TTCNIATGNTNMIQNINFPTDIEGLWTYVYYSYSRANKQAVAFIQYGNDAPQTATHSTQH KDTKFVRFILGGNDEGRYPGFNGQFTGVSIDQTFIGTVDEFKAFVAKVGVPQVGLKQLTT VGLVDQISRSAEADITKEATVGGGDQKFPQEYAISGWFKWKPTAQAAWHNLFRVQIKKPS TDQFLGDRTLTMWVFSISQHTHMTIWFGGGNSNLYKNIQHKGRHTEWFYVYYGYSRTISK AQVYVKWASSDDALTYDNVRHYLTPEFYVYVGRDKQYPGHSGKMGYVKFNLGDGSFLKDP NFDHPQDAFGFKSGIDNLVKKPAAAIEPGTPITEELSNGFEQKTPVIDKAAPAERDLEEY GYGFWFRFLHNYPVRLPSGKNQPWYFVVRLANQEKYDNIRMGDRMLAVWQGQGYYHFTTC NKVDNNPNYIKNINYPEDIEGLWTYLYYSYSDDKNRAVGHIKYGNDDIQSIRHDVNHPET KYVRFVLGGNDEGRYPGFNGVFSQITFSTKEGAFIDTADLLKGFISKLTKPAQGFNDLAN YKLIEDSLTRTSDDKPLTKVIGKETERFPAEYSFSGWFKWQPLAQQPWHNIFRVQLKTPS TDSVLGDRTLSAWVGTADGGIIHLPTYTYVNMNGGGNANVWKNILHKNRITNWFFLYFGY SKDQQLAQAYIKWTDGEDQLSHEKTNHYLATQFYVFTGRDDHYPGFNGKLGEVNFNIGKG AFRKPTDYSHEKDIFGFKIGTDKFIKKPSDEFKPSDADKNILENASSQDKPIVDKETNSE KPFEQYGYGYWMRFLSKYPDQLPNGKNQPFYFVSRLTNQEKYDNIRMGDRVLAIWQGQGY YHFTTCNSVTNNPNMIINNNFPDDIEGLWTYVYYSYSSEQNKAIGFIKYGNADFQKIVHE TTHSLTKYLRFIVGGNDAKRYPGFNGFFTSITFSTDSAFVSDVDKLNAYVLKNQAPSVVV PLQTTELIKDQISRDKDEKPSIIQSVGSNNKFPQEYALSGWFRWKPTAQAPWHNVFRVQI KKAPFTDSWLGDRTLTCWIGTAEGGILHFPTYTYTNMNGGGNNNFYKNIQYKNRINEWFY IYYGYSKVEAATSIYVKWFDSEDSMSYDKINHYLTPEFQVWVGRDEAYVGLNGRIAYVNF NAGSGAYVKNNKFDHPQDIFKFNVGQAKLFEKQEEVKPGQINKDLLISPTSQDKPLIDQN VKSDNNLEEYGYGFWLRFLTAFPERMLSGKNQPWYFVARIANQENYDNIRMGDRLLAIWQ GQGYYHFTTCNAVNGNANVIQKYGLSS >CAK56142 pep:novel supercontig:GCA_000165425.1:CT867986:39818:40322:-1 gene:GSPATT00004236001 transcript:CAK56142 MIKAILICVLLLSVTAGHVRKTHKAVHNKKARTFNSAFFEFQNLGNQDYHLSAKEAQHWA QITTDVESSTKKHTSFTETHSEYVPGIVGEVVDLSNNAGVISYTATDINGNILQSESGND LASKMNAAYLEVTSKIQDSTTQNQ >CAK56143 pep:novel supercontig:GCA_000165425.1:CT867986:40334:40963:-1 gene:GSPATT00004237001 transcript:CAK56143 MNNREEEKQTQQMDDTANNNDEEQRQQYLQDLQKRVKQSRKQPDCFECCFAYWLFIFLGF VFKQLFLNKIDETPDGCDFIGQIITVLLILFSLSAFCRCIDGCCILIPCKNTSCFHLSLR FHDLNNDINYQTCKWSLIILTVIWLLNYSCIVFWPMFIFLLITWILILVWKRMENE >CAK56144 pep:novel supercontig:GCA_000165425.1:CT867986:41010:41603:-1 gene:GSPATT00004238001 transcript:CAK56144 MSKQQWTLLTTDTQKLQLFGMQARVTTNYGDVFQGLIYYCDESIIILSMNEIAIKQKMKM TYFQLISNQYKIYKPLIFKILKYAFHQAQQTLQNLLAIDLAAMAQEMIKKEMKEPHQQLF DHLQKLYRDVDWKDQEIIIPSISIRISPPYKSNNISGENKLGVERLRKIVDKYAKNF >CAK56145 pep:novel supercontig:GCA_000165425.1:CT867986:41663:42486:-1 gene:GSPATT00004239001 transcript:CAK56145 MSDDRHQATTPNTNFVVLNTSDQGISENVILKTQKSRSKILKTVYSRDLIRKKIVGCRIY TNEIVETIQSELKKNCRICIQDEESSQFISPCKCKGSAQFVHEECLKMWILEQFGVNKIY NKNLICEICKHKLDYRVNFVDRFDICQFKKLKRTTKCCWIIQLVFIALCIYASISIISRF GINSLSTISIVVVICLILLVIAVNLCFSVIQAHKVEMIENWQFQNYKPSNRALHGKRQIP SKFLRMNQIHCL >CAK56146 pep:novel supercontig:GCA_000165425.1:CT867986:42534:43518:-1 gene:GSPATT00004240001 transcript:CAK56146 MSDIRFTQQSPNRNFNPMNSSMQFIPDINFENQSEDQISPKKEIMKKGILKTFYYQDIKR RKLIGCNIYVNQMNEQQLQLSKRGIACRICMSEEETSRFIMPCACKGSLQYVHEECLKLW ILQKNGINDVFQDRIKCELCSQKFSMKMQLQNHFDKSRFWDVPKQQKICWLIQLVMISAI ICSIVGIASYYQVLLKFVGFSSIGVDAVMTILIVLCLIVIIKFGMGVFQFHLVEMIENWT ISNYRARKETRQGSIFQLQSQMGNSGNESPLAKKKNAQVHPNGPNVLQVVQISQLISSPN ISEQ >CAK56147 pep:novel supercontig:GCA_000165425.1:CT867986:43737:48174:-1 gene:GSPATT00004241001 transcript:CAK56147 MFLSPQGIGHNQQIFIFMKSLIEKIDQRCNLHDEDLEVQDIIAKFITKQQSHLNFIQESQ VPNYQCQNKLENGQIVFQCFTCSQNVNHVICQECFDFKSHTGHQFVPTTTNTGGQCDCGN SDVLQQSLCNTHNKEQQQGINQNLQIPKDLCQNLENFIRACATLYDQYSKQILKQQNQFP ETAVKLYRFSLDFDLPNLKKLIESKLQIATYITLLSKCQFLFEVFYLALEYFINDNPTLQ HFVTYLLQLPLQPDSQQTILESIIQSYAISEPIFQLFDLSIDLTELIYRLFKNQFKQLFT QLCLKKQQSLVSYHKVIVTPNNDIIRELINDIYNSIQDDEDFIIQDDNTIQDIMNQAEHY FQALFTSKLISVEARDSQLLTWITSFQDKQILEEFIFGNYTFELFKAIQKQYQYFHQANE YIISAPVQPLEFIDTQLQQKDFGELCMIQLQQIFGKDFNYFLNQNVNQKFLRNYQMNDHI ITTLINSLSEAFPAVYESYKQENNIPLNAYTKEDMKIYINYQGYALDIVNLSIQNLFQSK LSTKQFQKNFIDLLIVKCYNFIKLKRNIFSSFDFEIKKLYNQYLNNLNQTNDQKSIIIIS MCLHLFKNASLLDKMFIIFLYVHFCQKEYKNPADFRQYLSELLEEPIEIIKNNLQKILLR CIQTFTTVFYTQDAEIINNYYGFSETSISFKSESIDTAYGKLYLFLFDQIGLTDIYQAFQ VIQLPTMVQTCEITYDYFMRMMTSDMDVFNICITYFDKTDSLPNILQQALQKTIQNILCS SSYYLYSTIINKFQSIGIQVTSNLEKHILKICNFDTSINKLTLNKAYQQIYDPNLLILDK NFKAVLIEKLQNSQATQRMLTFGNGLEYDIQQFNQPDYQYLRKLILQVISSVPSLFQSFN LINSGLIETETFLQFIYYQLMCANYFYNQELKAQTQNILNQLHNLKGNQRLQGLQHHFEQ LILLLQKQSFNGDIPNLSFSNISKQKAQKSKSYYKLKYDKLKTSKSLLNLMNQHFPSDSK LQQCDFCLQEVQSNKVLLIFLSNRIHSINYSIVPQLIEEAQNTTNYSPTISIQNCYHKYH AHCFEEAFQDILNNKSQGIPEWQKYACPICLHCFNVQITINEDISPSQLQSFNQSLLFIV KQLGEQQAIVQKYQSNEFYMLVEIYMQILINLIQNLFINVQEFQRLQQHLILKQLIVFLG LTIDNFKVCGFIHDIDYQFQSDKSILINLLDAIDKHILKASNKTLLQAEVLKLATKFQHS YSQQMEILCQCFGVEIEKNNNQQLQLQDKFAEYYVILQNQLRNQIINVLGDNFQKFHDTF FPKLCHYCKSYNEQFSDDILVCVLCSKVFCFKNCSNFEYGNLNMHALEEHNSSSFYVSLI SGKLTQIQVPHGNYSQRILYSNKRNGNPIRNESYNLLNGNWKDFEISQIRIKEIADVIVY NNYQTGFALLQISADHFYYQGEL >CAK56148 pep:novel supercontig:GCA_000165425.1:CT867986:48276:51857:1 gene:GSPATT00004242001 transcript:CAK56148 MFYQGIKILNLAVKKQVQQCERQRQAIGVSVGNIFSFKNGKVQYQLIGFILIFFMHLALE TEFGVNNFIINDLPKDEYADFLSQKSLSEHGFPVPEIKRKHEDAIPPPNSKDQQLSFMMS PIVSPSYEGCRTNEILIVKPTPHAGTQTSFQTNYSKLRNSQSTVGEDMMRYFQIRRFFNR FMRSMHLFSRLQKHHLDILNDSASSYDPKIFGQRQFNFRPLGMIKNLNLPKVPSFSQKIE KIINRIGQTIKQKIEYWDQNIRIFQPEERKKIIWDSCLSISRLYFIILIPMDITFEQEFL YSHQLFILTVIMTILLMLDILLNFNTAYYQFGLIVNERSKIFNHVISKAYGCDAISVIYL FVLIFLNWDEYREEKQYILVGLLTFVGQYQNITKLIRLSEEVLNLTKVTASILELVKLII FLIFISTCCNLHLVGNYGLKYLGSSWIDKYQLDDNNWAERYLRSFYFCTVTMFTVGYGDL TPQSNLEYTICIIFIMIFSIQLPYSVNTVGAIIDEISKYSEQKLQKLRIINTYMTKKKIS FQLQFQIREYLNYYWEMENTQQSNEEQVIIEQLSEQLREELMVEANSVVLNNCSLFQKNF SYEFKKALVKKIRTVSIQPENIIDYSTEPDAYQNNYLTFIESGEVEVQLSDQIFSPGTTF HKLTPGCLFGLYEFITGQEQKEVYKSVGFTKLLILPRSAFLKILKEFQEDREKFCQIRDD LLYNFSKQSLKNLDISCYVCQSREHLSKDCPLLHFCPDKERIIKSYNFVKEQVRDKSIRK HANKYDNRFIYNNALLDFKVLKETAEYIQDDFWQETQIYDLDLENGQTSPSNNNNVSGSP QHSSSSDDEKDEIVLELSKPSLSSSQKFPRQTRTTRLLEINQMKKLQARKSSISPMIPRT SSYIIETQQQPKLSIFAQQNSINVNNQHSDEKAQSQDSEQKNETSIQLSSQQLDSIKPAV SPMKQNFMKSALKKVADNIQSNQSANHQEEDKAKKVKVKFQQAFTFIKRLKQLKFTKEVE KPRVQPKQQTMVVQKQNLNLIQQRIQRKSNTLTMQDQNKLDLLVLELNNQLNNNFQELEN FENKKDFKFYQPHNNLASLQISTKYHPKFLSNMNLYIKYFLYPAEFIKRYKKKDPTILEV KPVSFATQINAYKDVLKFRKQARKIINKKQIAPEQ >CAK56149 pep:novel supercontig:GCA_000165425.1:CT867986:51897:53023:-1 gene:GSPATT00004243001 transcript:CAK56149 MKLAIIFVILATTLAYTIVPTLDIDLDGPAKQRFQPAVYLILNTYGFDASFGAFFSYHNE TTFKHLTSKDYDVLAASVRTHWPQYAQELEGVVEAFNRPDVTFEYMAAWAYFHEIGHSLS VNIRECTAMLLQTKDGIIHGRNMDQAPDAGRLLVVHFKFIKNGQYIGEAVDQYWFKTGFV TMFKYGVVSLQENWRYGHYLPLFMLLKKIAAGTTSLGWTFRHILDSDINNFDQAVNYLEN VIVACSQYNIVAGTGFNQGAIISRDPISTYPTLFFNNTGLGNDQFQYMVQTNYDHWKKDP KGDQRRTIAENLLANLTSTMQNELGAYSVINTLSNSQ >CAK56150 pep:novel supercontig:GCA_000165425.1:CT867986:53069:53894:-1 gene:GSPATT00004244001 transcript:CAK56150 MAAWAYFHEIGHITSNVTECTGVLLSIGDQVIHGRNMDQYPGQARNIVLHLTIKKDGKYL GESVDWYWFKVGFVTLLKYNVASLEENWRFGNPLSKDQLLTFIKQGVPSLAWAYREVLVN EKLNTFDKVVSYLEQNNVACALYNIIGGTGKDQGVIISRDPFEKFPTISLSSSNQGSNGY KYLVQTNYDHWLPDPVEDQRRTIGEDLLAKMQNNLLNEFGVYAVMDTYPIHNEGTFYTVI MNAKYNRLIAFGQPSITLFDDE >CAK56151 pep:novel supercontig:GCA_000165425.1:CT867986:53899:54210:-1 gene:GSPATT00004245001 transcript:CAK56151 MQYKILLVLLATACCFNYLPEVEIDLSAPPRQRWKESVRTILDLYGYENSFGPVFQAHNE ETFSILAPEDYITMATAIRKNFPEYSLEIEGIVEEIQQTRSYL >CAK56152 pep:novel supercontig:GCA_000165425.1:CT867986:54232:56367:-1 gene:GSPATT00004246001 transcript:CAK56152 MIIYHTDEYPAFVDGTGKEDYVPSLFVVAEYPRLIGTLILKDGIDEAAVLKAGKRNWENS RRMLLRSWQIMKKNYWQLQPIGCDSIDLKKEQEKGIAGYILHKQGDKLWEHGPNELGQPI EPENFDEVQEMKTQNYEEYSDDDIDLNMIAPGAKKPAAKKEQKKAAKAKPVQQQQQQQQQ QVKQQFSDDSDDDNKHKKGGAQNKQQQQKQQQQQQVGGVPTKVMDEYIKESFLNACKIGI NDKQLPLEGQVFYEKYMLAFKKPGIELDLKNSSYQKIGKFLQTMQKEGLIEYKEVKKGGQ PSITKIDRQHEQITDWEPTVLTAAKKGDEEKEEKNQVAQYKQNIEVTDLFLPVGPLVKLF AKEGEDNQKVEPLTREQYISQLNQYIKKNLKQEKKMIVLTEDLVNELGIKEHQSESEDEQ EEQDKEKDKEKEKEKEKEKEQKKKQPQNQITLERLHKRIEELMQRSYRIKNLRLNQSEVK QGEFKGLQLIAEKQHNQQINRVVGLEYFGFDHQGKIEDIYSFAYVVKQMQETFHCGISIH DGAGKNAGKEILLQKNVFDQLPDYCANILKIDSRYIHEQNKLGGNKKKQEGMTRQ >CAK56153 pep:novel supercontig:GCA_000165425.1:CT867986:56391:57838:1 gene:GSPATT00004247001 transcript:CAK56153 MGCCLIKKKKSLKTYGYTLNNQVEENKQDIKQSFIQDIQVIILNHSEDEQDKDSLHSNLD ADSWVQGEVLGQGAFGKVVMGLQKNGQIMAVKQVFIQNFNDQVRRVIQLQKEIQMLSKLQ HPNIVRYLGCEQKNQFINIFLEYVSGGSVQSMLERFGCFKESLIKTYLRQILLGLSYLHA KNVIHRDIKGGNILIDNSGKCKLADFGSSKQLSDFAHDTLGSICGTPNYMAPEVINQEQY GKKADIWSLGCTIIEMATGLPPFSELKDAIAIMVRIGKSTKPPSIPPQLISAESRHFVSL CLQIDPKKRATVDELLNHPFLRKSQINTISKNTQKIPKKESTMIKHTFLLDNEVEADQIE PNSPQFQGLQGKSLSKTNQISVKKKVEPPNYNLIVEPDLSDQIDLEQRQQEITNCDSNGY IKFSQDDNQKSVKAKESNQNKQNLQNDLDNVLNQYSQQK >CAK56154 pep:novel supercontig:GCA_000165425.1:CT867986:58505:58765:-1 gene:GSPATT00004248001 transcript:CAK56154 MNDFGINNNRFQQKAVNRKQDSVERQNNLEKQQPNKIWQQSQNDSQFTQDRKESMTVIGT PTPIMECHVPVFEPAKFINDITNKKK >CAK56155 pep:novel supercontig:GCA_000165425.1:CT867986:58965:61009:1 gene:GSPATT00004249001 transcript:CAK56155 MKIKKKNEQQRVTELGEHILKTQNTMLAAKIDQLKKEIQNMKSLPEQTERELVQSEELIH YKKLSNQLSRRIRIIMAYNEEAIQKKVAQEDIQQEKFECLCGANQIDSIKYLLVNGHQQI LQQQQQEIPPPEENNQQTQSNQKDYIKKLIQESSEEKAQLLHQIEELKLQQKLPEEQFFQ TQLFAELVQQNNYLTTTLFNIEEQLIQAQLINKEQLEKNQQQLQQYQLECEQKIKDLFSQ QDTIKIEIKQSDDQIQNTLIEELKSQVEHSSKMIEDLKIELQQCRERNKEAQNQLVELIN LKQTLLNQNNELKQYISVHKILSKDEKIEQVTLRYEKHKQLLYEIEQEYANTKSSEFIQR FREFVGYVKMRDEKVKGLEQQLEAKINDCNNIKKQIQQLIDELDYNSNSFNSINETNKNL SKLVNETQKNLSRAMQEKVDERIKFETERQQFQQKLQIADDTIKQLQQQNDQQKKLLNLV ESEKMSYKETIRTLQKSDGESQQKLLQKEWDISKILEESKIIQEREKLSESINVKFIKKA EKSKSKLKYLKLQMKLESKDTDNELLTSLKMMVDCQQCKKRAKQVILMKCLHMFCKPCID DNQKNRNRACPVCRAKYGIEEVKAIILN >CAK56156 pep:novel supercontig:GCA_000165425.1:CT867986:61169:63188:1 gene:GSPATT00004250001 transcript:CAK56156 MYILSFLILEVLSFAQDNTSVCVQEMNNLLSLPINQQLQLVLPYYSISGDWIEKFGYYDR CLREDQTYTSVQIDQILYKLNYGFCHSNICSAHDFNSQDAQIIIKNLLNVSGIANLLQMN LETTTLRFYNPLTYYPHLGLGTYVTSAIIIILIIMASIDPILRFIKACQSAHTPDDRDDV KDEQASTPVTTKSEPLENQNLIVKDFSIIQNYKKIMNLKTIDPNLAMFNGIRAISFMMVV YGHVCEMTATSTYIQEATLQYKKWSIILLYDMMYAVDIFFWVGGFFLGYVMCDDKKTQSL QKYPFSIFISVVHRLMRIWPCYLLCIAINSYIIPYLGSGPRWFLEEGATQCPGGAWKNAF FIDNFYEDWQLCFGWGWYLTCDFQLFLTCLIPIMIYCLNYKTGSKILILLMILGSLGWGY YLSIHYNFLIPGKNTYNPNYYYKYYVSTYARAPPYFLGLLLGIFYRELKQSKGSGSFNIL NYCKSLIQTSGSRILFQIVCYGIGFGLVLFQFFGWKKEFNSMELIWPQWFQNFYHSISKC LFTFAMTLITLPNLVGAYDIFNRKFMNNTLFKFMAKISFSMYLVHLMIIVIITETFYETP SFFQLDLVTGFVCAVFLSVIFGLMLSLLVELPFGNLDTRLTKLLSVSPKKIEPLLS >CAK56157 pep:novel supercontig:GCA_000165425.1:CT867986:63314:63990:1 gene:GSPATT00004251001 transcript:CAK56157 MNTNYEQLLEETKSKVLGLSELTPENQWVQDSVKDGCTIHTKMNPLNELKINRIEAQVDV DPEAFINLVTNMTKKKEYDSNFEEVLYWNILKGRVLEKLDQNTTIYYARGKPPVFIVDPR DFCIITRNYKLGDGHYLAISKSIEHPQAPLVKGIQRAEMIFAGWIVKKLPNGKTNIIMIG HMNPKGDIPKAIVNQGAKFQVEGLNKALNYLNKNKQ >CAK56158 pep:novel supercontig:GCA_000165425.1:CT867986:64485:65188:-1 gene:GSPATT00004252001 transcript:CAK56158 MHFQRILEAYEQRWLERHDQNKKFRPKKLITDTPDIKDMILMKENLPLSKQQQINQSKEV PKKIRLKQQLQEECPICMMNLDDLQNVCEIDVCKHQICLTCIKEWAEKYKTQCPYCRAKF KKIYPIENGKRKNSPIKLNLKQPKWQPEQDQFYNSQEEQEENQMCQICGCSHSQYLMLIC DKCNEQMCHTFCDPGFLEFFVPEKNWYCLDCRKSKLVYHKPK >CAK56159 pep:novel supercontig:GCA_000165425.1:CT867986:65250:66707:1 gene:GSPATT00004253001 transcript:CAK56159 MANALANDALLQQEIDQQVIQNPNLSFAQKKSLRKSILKASLANAPKNSSLQTILSVSNT MVGSSLLVIPVLFQQSGILSALIVALVFCLISCKTCQLQMLHNKPEELDLPQTIVRILGQ KYNLIFNITNIIILYFAGVVYFILICNMLYSLVQLLCKSLEMDYAPKTDFVLGKLSYQWC GIVYMCLLFLIMLQKNLTLIIKLVQYGVISIIALIIYIITNGSINMAEIMNANLFTLDVV TLCGVFSVAFMVHSCIVPIMKNNLQQENNLRDIGISFGWTWLIYALVGVFGAIAIQGKAV NPSGDGSTVLDYLEQSIFSYGIQVLQLFQLTTVFPLLAFITRSQFFALIYKLEQPPQKWF VLYTSIVALTTLLFQCFNISVSLILSLSGAVVGFFQIYLIPIQLHMTCLYAKKKVNDNRI GLINDSKEYIENPDESIFDELDFKCIDHTALKKRFHKQTRFIFYYLIMLIGAMMGFLNII APFFK >CAK56160 pep:novel supercontig:GCA_000165425.1:CT867986:66766:70267:-1 gene:GSPATT00004254001 transcript:CAK56160 MSSEEEEDKQYNIDQLLHQITQIENTRQVNPQFRKVQEPEFNLVFNLKRPPVEDLSLEEA RLCYLENKRQQVFQRKTHEAKDKKYAYAANNQSKIQNKLLLFFSKAFRDQPNMVEKFLYD KYSHKQETILEQQMHIGISSRQFEPAFQSNKLPQLGYNFEDAFKIQYTDSRMGKANIKKV KKSKTYHQSQLKNDCQASTQNDYFNTIIQQELYEDPIEIGDWKKSWAIVVRNAVKISRFK AKFRQDLREFFKRIAYFAAKEARRRNQKCQKYQKEFMLRAKKLSKEAQSYWRKRDKELIE IKKRKEKLEQERKKKEEEEREQLLQQKRLEFLMKQSDIYAHFMAKKLGITLDNQISLNTD EIDEEKALENVQKVINDNRKQLQQFDGKKQENVQIQELKLDHNDQDRDFSLIAPPSTFKG DLKEYQLKGLRWLDNLYDQGINGILADEMGLGKTIQAIALLSHISSFKQIWGPFLVIAPS STLHNWQQEIKKFCPTLKVLPYWGQAQQRKTIRKYFQQKNFGSRESLFHIVVTSYNLVVS DNKIFNRVRWQYMILDEAQAIKNINSQRWQILLSFNARNRLLLTGTPIQNTMGELWALLH FIMPRFFDSFDQFQEWFSKDIEAHSQDQKTLNQHQLQRLHAILKPFMLRRLKKDVENEIG QKKEVQIVCEMTSRQAVLYRNVKSKLSIKEFFRMLDSKQKVDNLMNLVMQFRKICNHPEL FERKPYKSPCVFQDRQNVEVYQKNPIVQVTKRNPINFIIPKLVYDNLIKNTKCLFTAHYI YNSLKNGESTFSYLLFKHLPLSLFEKNVFDLIDLLCQKKDSSTLVNYSYSKQLQFYIPPV QSQIISFECRSQSFYYQIQQSLYNRQALSFIKESPASFILPNSPESLIASSSKLLQLDKL LKELKQKQWRVLIFCQMTRMLDILEEYMLHKGYTYFRMDGQCQINDRRDMVNEFQQNDKI FAFLLSTRAGGLGITLTQADAVIFYDNDWNPTMDAQATDRAHRIGRTKDVYVYRLITKGT IEERIVKRAQQKQNVQSTVYSGGFQGDKFKPQEVFELLFGEQEMDETVANKFMAKGQKKK KKPVKVEQKDTQKEVSKEQKEQQEEEDIIEVDLRELEMNEKDGEDAD >CAK56161 pep:novel supercontig:GCA_000165425.1:CT867986:70871:72040:1 gene:GSPATT00004255001 transcript:CAK56161 MARSLTILAIVFAVATARVTKSESPKEILAQVNKDSFGNSILSVLQLQLATGGPVGEIQI LLNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALG EAEVEVRVVTSDIANNEKSFADESATRQSQHDTWVRKDAEHVDQMEAIDEASKIVQHLQA GVAFAQLKSRFEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLV ASRASLLATEERQAANWEVQSSHLQEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQT LFLEDAEDSLAIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQVRE EVF >CAK56162 pep:novel supercontig:GCA_000165425.1:CT867986:72040:75911:-1 gene:GSPATT00004256001 transcript:CAK56162 MTYSNIQQEIRHPIRLYCRYIDKIFMVFRFTQEEARELIQRYLTENPDPNNENIVGYNNK KCWPKDCRMRLMKHDVNLGRAVFWDIKNRLPRCLTTLAWEHSFVSVYSKDNPNFLFNMCG FEVRILPKIRGSQEEFSEKDGVWKLQNESSKEITAVAFLRVDEESMKKYENRIRQILMAS GSTTFTKIANKWNTTLIGLMTYYRESAVHTEQLLDLLVKCENKIQTRIKIGLNSKMPSRF PPVVFYTPKELGGLGMLSMGHILIPQSDLRFSKQTDTGITHYRAGMSHDEDQLIPNLYRY IQTWESEFIDSQRVWAEYALKRQEAQVQNRRLTLDDLEDSWDHGIPRINTLFQKDRLTLA YDKGWRVRQDFKQFQMLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFK GTYFPTWEGLFWEKASGFEESMRFKKLTHAQRSGLNQIPNRRFTLWWSPTVNRANVYIGF QVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVFDMEMETLEIETVQK ETIHPRKSYKMNSSCADILLFAAFKWPISKPSLIHDTKDTYDGTTTSKYWLDVQLRWGDY DSHDIERYARAKFLDYTTDNISIYPSPTGMLVAIDLAYNLHSGYESYPSSYEQNNEGQSS IVCVKRTCIHLNQLEPYLNTQNYAELFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPING AIIIFNPKTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALIRALPVEEQPRQIIVTR KGLLDPLEVHLLDFPNIVIKGSELSLPFQAILKIEKFGDLILKATEPSMVLFNLYDDWLK SVSSFTAFSRLILILRALHVAHEKARIILKPNKNVITQPNHIWPTLTDDEWVKMEVELKN LILQDYAKKNNVNVQSLTQMEIRDIILGMEMSAPNLQKETIQDIEKQAKEAAQQTATTVK TSNVFGEELAVQVTKPYENQSFSSHSDWRVRAIAATSLYLRTNHIFVNSDDIKQTGFTYV LPKNILKKFISIADLKTQIAAYLYGISPPDNLQVKEIRAIVMIPQIGSRDNVTMPHQMPD SEYLRNLEPLGWLHTQSTETMHLSTYDITLHARLIQENQSWDAERCIVQTVSFTPGSCSI TAYELTHQGFEWGKNNKDLNAVHPSSTQHFEKVQILLSDKFRGFFMVPDNHMWNYNFIGL GLVQQMKYGLILSNPKDFYHEVHRSSHFIKFIRNEDKDQVDEADNEDFLS >CAK56163 pep:novel supercontig:GCA_000165425.1:CT867986:75916:79094:-1 gene:GSPATT00004257001 transcript:CAK56163 MNLIRQSDTIEDKLKKWQQVQKKKYAEKRKFGFVEGQKEPQPPEILRKIFKDHGNLESKK YRQDKRVYLGALKYMPHAIYKLLENMPMPWEQVRTVKVLYHITGSITFCYEIPKVIEPVY TAQWGTMWVMMRREKRDRRNFKRMRFPPFDDEEIPLDYGDNILDVEPLEPIQMELDERED NAVFDWFYDHQPLRYTKLLNGPSYRSWQLTLEVQQNLFRLANQLLSDIVDHNYFYLFQLQ SLYTAKALNMAIPGGPKFEPLYRDIFEEDEDWNEFNDINKIIIRQQIRSEYKIAFPFLYN SRPRSVAIAPYHYPANVFIKQDNPEIPTYNFDPVINPISAYRTQSRKIDVQIDDSELDIE IGDGFVPLLGETELSDEQTTASIALLWAPTPFNQRTGKTRRAFDIPLVAPWFKERCNPQY PVKVRVSYQKLLKCWVLNSLHKRKPKCQNKRNLLKAFQATKFFQLTEIDWVECGLQIARQ GYNMLNLLIHRKNLNYLHLDYNFQLKPVKTLTTKERKKSRFGNAFHLCREILRLMKLACD SHVQYRLGNIDAFQLADGLQYVFSHVGLVTGMYRYKYRLMRQIRMCKDLKHVIYYRFNTG PVGKGPGVGFWTPMWRVWLFFLRGIIPLLERWLGNLLARTFEGRHSKGISKTVTKQRVES QFDLELRAAVMSDIIDMMPEGVRANKAKTILQHLSEAWRCWKANIPWKVPGLPAPIENII LRYVKYKADYYTNSAYYNRERIRRGATVDKTVCKKNLGRLTRLFLKQEQERQHNFMKDGP YLTTEDAVAIYTALVRWLESRKFIHIPYPPVNYKHDTKLFLLALERLKEAYSVKSRLNQS QREELALIEQAYDNPHEALSRVKRHLLTQRVFKEVRLEFMDLYSHLVPVYDVEPLEKITD AYLDQYLFYEADKRRLFPNWIKPSDSEPPPLLVYKWCQGINNLHGIWDVSDGQCVVLLES KFEKVYEKIDQTLLNRLLRLIVDHNIADYNDCQEQCCHHLQRYESYECSWCFTLNSIYQF YYAILWYGFGFIDFGFKQSIRFGWSIQQSS >CAK56164 pep:novel supercontig:GCA_000165425.1:CT867986:79257:79877:-1 gene:GSPATT00004258001 transcript:CAK56164 MSTIQDNIDYEQQLIVIINQHIMALQLFSSHFSYILLICNHLQKQNNIHLQFQMLLLFLN QNIEIPLISNFANYTLFSQETFFIILYNLELRQRSWLMIIYHSSNVITYQINGINYCRQT YKLTKGYLNLKQVNQFNCQFQTQLICKVLFIIVFLIKLDMILLLLILIMDSIPIYPGSMQ IYTYELTQYFFIKRVFQIIDFYINGV >CAK56165 pep:novel supercontig:GCA_000165425.1:CT867986:79926:81605:-1 gene:GSPATT00004259001 transcript:CAK56165 MDYIRQKVSGKKNRLKEGNFNLDLTYITQRIIAMSLPGEGFEGLYRNPIDQVAQYLNERH KEDYFIFNLSGKNYDESKFKGLVFKDYFWKDHHSPSLNVLFDICLQIHNILKANEENVVV IHCLAGKGRTGTVICCYLLYSGRFDNVTDALSYYGKKRFHGEGLSVNQPCQLKYIEYFYT LLNMNTRIFPNLIQIQSISFFGRSPQMNINGQCYPYVEIIDVKKDSILYSTKQMCKKYAG QNHRIILPNQIPLVADILINVKNYGTLSDSKMFRFSFNTAFIEKHVTYQLNELDPTQIQD DQRFDKQFRVELEIEKCRNCSNLTTIEQKCQICKPHLIEHQETWSRINEIINRYVKPTES QTTKLLFRTKQNDDVEAILKDEVLELTNSLYFKMQLREQQFTFYLIEIILFKFLYFKRKY QLSRSSCSIYILLLLSGMNAVSQCKHISNFYQYLCLLPRSHNLGSEILQQKDELQRKRLL KPYSKTLSIVNQKSKYQKEQ >CAK56166 pep:novel supercontig:GCA_000165425.1:CT867986:81617:83112:-1 gene:GSPATT00004260001 transcript:CAK56166 MKQYKFHFRSLLICLISLTAYYSVCAHLYLNVSPHWVWSLYLSPIFVVSVILFSMFYVSV ANQKQTQSDSEEDFCITLILLTFMLIWWPIFIIIYLQIFENVLIPFESQTGILIERNTSQ FFNEDYATLIFNLSDDSIGIGCQSNQYDASIVEYPLPYKYYDMGNEIKLPSWFCLPLDTE IKEEQVDLYVSTQTIINDMAIITFQYPNFISESFWIAFITTIFQFACIFSSILLLAILLI MDQQSIESNIRKPSRKKRIINFILSFTLYVFMISCNSLIITLTSVLVGLLFLIWSLFNKI HNHFYQMIKGMFKMVTHWIQYIPITIIAIILISIYVVFILIVSQCVIHFKIFLCRHFVAL WKGQMEEQLEGYDKFINKITVFTWIWNIILIALISTQANSVPLMAISIILCVIQLVNIPI ILIFTPKRKIFEQQWCIYYTNYKIINQQCTDHEQQNQFPMDLREIELHLQDDKISK >CAK56167 pep:novel supercontig:GCA_000165425.1:CT867986:83261:83535:1 gene:GSPATT00004261001 transcript:CAK56167 MYQIFRTIKMMSQIIRPMRFCAPIVATNNYLNLYNLNQFYMSLLIDTNEEDEEVMSIKKE KCVVQN >CAK56168 pep:novel supercontig:GCA_000165425.1:CT867986:84457:89258:-1 gene:GSPATT00004262001 transcript:CAK56168 MSEEGKNLTDKSLETNTQHSLYRLIYLMIRDQNQSIIVHSTTMLITLMQIHYFKFHHMIV SVWSENNADILTDIFGYFNFTHYLLNQDYSAVQILIYVSIALLCFWVFLFLTSIIFILNQ KDPVQLLINILKYMTYVFSTILYIPVLFLFTLMQFSISCTNGYMDHFPNQKCWQGLFVID QILSIIGLIILFLMCSSVSLLLFDGTFDQEKALSKRNGRCTALTSIHFGIVVLLSMLPHN ENSQVFFEVYQLIGSVVCFLYAKKNDAYNNRIMQKLFLTYLTLEMWASLMLCISNLVEKR IMVGSLYTWLFCLPFVFMIQLLQQQKREQCLMIYVNKFDNPVQLVNHVHEVIKLVEYDKK RLPQGQLLNGYIEFHNQTCPNPLCPLKKVKFSLNMFKAKNELVSKTDKRHQLVYDTMTKL FIMGIGKFPTDVFIRIEYALFTLRILKSKPQALEELSNIEKLKTSIDEKYILFYIKSVIE AEISELSGDGQDFTEQRDFDEKFGSFKQAMERSVSLLMEFWSQFADEKPDLVKLYEIGSK LFPIRMYVDTTWKRLQRIQNQQLPQALRLYSKYLIEIFNDRISGFDLLEQARKIESSFAQ RKAFKLGMTSNLNVDGQEDGCVFISLEEEKFGQIYAINMAAASLLGFDKNELMNKKVNNI MPSLYAKHHDDFLRRFLDTNEATLLNKERLLLGKHKNGYLQGINVLLRPVYHVLKEGVEF VGTFKKEKRIKDAAYLVCNKEYVVEDISGGCINLLGLDVKSLSIQEIHLFDLFPEIKECY GDFKLKQGKSIDFILPKVLDDHIAFGASDTTIRLSVSILDINFLIMKSSENEQGLAGYQV KIERQVDLSSSNIKQFQKKLSKNQNFSFRLQFQQTGMHFYGEFTDANYSEMITHIQDQSM FTNQEYDLKSKEVEKNEDIIDYSQGIRVMRLFEGVIYDIEKFQSDADMESYEEIQIKREE QQNQENDEPNESGYFRSSKELQETLNAQSESKTIRWLYYISFLLTILLIILCILNNVFER EEINNQNSNWNMIIKQSDMIIHLNEIVLYSRELMLLNLGLSYYNQDKLLFNLNQSILQFD SMSKDIFMKISFNSDVMMNTQSGEEKSTLEQASQQIVSKSLNIQQAYSQLNENQIDYYYV SYNSLNDFIRALLEMYMNTIDLSNEKTNKLYSDILVILILSACLTFTSSIVLTCILTSTL DKRQEILSIFLDIPEKTAKLFYSKCENFLSQISSNEDDEVLSEIDIIEDKGNDEPVSLLG RKRKRFKNNENKHMGFFMKMIFIASIIESYFIMIYFLDQNNADQKINILNEFNQTSLSSS YYSILVNSLKQYIYNDDIYLMNQDSNKTMVQLINSVYEIDTLYQRLHAINVEYNDISYID YYNSIMFDNLCTTITFTEITCESFANGILDHGLMTAVSRHFQNIRKLYNTYQNLISNDSF EFPWSNYTLLLLSADPKKNKLLNLLNTPESFEINDMQFQVLKEAFALLKNEYLEVVTNDQ ENYIVQQLILMIFFLLTLILAVLFCWNPFLRKLNREIWSTKCLLTFIPIDEIAKIRTINN YIRTVILEQNI >CAK56169 pep:novel supercontig:GCA_000165425.1:CT867986:89307:89965:-1 gene:GSPATT00004263001 transcript:CAK56169 MSDLKDFDIKQLHDNIQSCFQYLDKKIYRAMNVAPISNTIVIEKPNTAIAHDYKELQHHV KQLQLKYDEVCYKYMQLLTKYQKIMITIKLQQEEIEQQCDLIKEMKLMTLSQPEVIQIPV YDYLREFTRNNHSQLSNFLQILMHTKKVDLSMIELLQNIEKYYRPQNKQLFQDKYIYPKV PLRKLRERILKTLPDQILSQNVIPERSISFQ >CAK56170 pep:novel supercontig:GCA_000165425.1:CT867986:90758:91450:-1 gene:GSPATT00004264001 transcript:CAK56170 MSTSKYNMESTTQDLIWNKQIYININSINNTMLSDIYRNQGLFKALFLTIGLVLIVFCFF FNVGEYKSLANTKSKAYYTFSARLHTSSSEGIDCYQDIKSCNGDGFCNTVKTTPYLGGFA LGFIGLVLIFSFGESIISRIIKKYHIWIIQLVFLFLAWALILIIPILYLTTKGNDWSLCW IPIIFEFIAVATTSFSAFLYYKSNETKGPGLLS >CAK56171 pep:novel supercontig:GCA_000165425.1:CT867986:92043:93545:1 gene:GSPATT00004265001 transcript:CAK56171 MKGKSKDQLSANIEHIAQMKQQNQTLQEELQQDGKNNDFEKMNTLQDECAQYAKKIEVES KKQRDLEQLIQNAEQQLQDHRKKMSNSDGIQLPSLIKKQKTLESQLEQIKLKHNESLAEI NQLMEQINTARRERVIYSNVFKKLESDIRAKEEEFKKQLLIRKQIEHELNQCQEQFDKMK EQATQVVDSNKQEYTQILKTNRLDETVEQSPKNQQQQQQQQQQAKQESKSQQIKESQQPK QQIQMQKDVEDVTNYELMFEKLKKETGLNSIEEIIHTFRTIEDTNNELFKQANVLSDQID SEEMQIEVLQKSISQYTKNQQKEDNEFEDEKFKFAQQLERSEKLDKDIQQAENEIKEYER ELLEIANKLQIKYDPNSEVTLMQLVEQRAYELVDLCRYYDNHNYTIDSKKNDQESTVSNQ DDQTMKDLLDGVENEKEAEKIMSKDDFKKIGSQELQQFQKKNISKKKRE >CAK56172 pep:novel supercontig:GCA_000165425.1:CT867986:93572:95457:1 gene:GSPATT00004266001 transcript:CAK56172 MKQKVKEIIKYLKEFQIPTISSEFALSEAERANLYFYLTSQEMESQKQQIEEKLPEEIKL KKVKIYQVYDSIDAIQNFNSFDFKQEERNIKEDEIQIISNRDQNIKFNSLNEIKQFLKQY YDQKQHQIQSDEIQQIDLITDYIKSEYLISRTKFILYKEIEQNYQKRYDYYLDTFCMLIK QKISFLMSNQSTQNITEKLINSVPQFRVSLIDLVKEFTEQALLKQNEKMYSIYFTQLRDL VFKFLNEDHPLQFESFRLLLRINHSTILEFVKQANPLIFNKKKPEIKDFIFEETQQKINQ LSTMNDCQQQLTFMFYIGICQQNHNRHCKILAKLLQDCQQNYPQHLDAIYANLLKIKNNS NMGVKQIIEFIGLSVSNPDALHQKFLDLFVEFALEYPKSEEFAQDMFRVYLYRYFIQQIE GDANIINKILQQTFDQLKIDFMIKTIEKVPTERLSQMILRRSNFDLYQYILKDESKALAV FMIKLFLQKDQQQLKSNKYELLKLFQDQVCEYEHTKDILNFLFQEQNKSYNGLITTFIMN CLKRKKSNNMQEEIKFILSKSFYFEDENIIKSVEFYRQMYPDQYQQLLDDSTRLRLRDKR LL >CAK56173 pep:novel supercontig:GCA_000165425.1:CT867986:95561:95905:1 gene:GSPATT00004267001 transcript:CAK56173 MTTLNVSINLNQFQLILQKEEDKKQEQYSLQKKEYPKGPINLYESRFEKVSLSNQKINEV IGWMLIIAVILMIIVIPISIIQNSIIGFAIPLMSVTTFFIVYTNWISMKFFINS >CAK56174 pep:novel supercontig:GCA_000165425.1:CT867986:96803:97997:-1 gene:GSPATT00004268001 transcript:CAK56174 MGNCSSEELSLSELELYTPQLSQYKLLYVIGKGGFGRVWKVKCQKRFFALKEMIKSKIIE KQSIQSVMNERILLQKLNHPFLVNMRGAFQTLTHLYIVLDYMEGGDLRYHLCKQGKFSEE QTKFFVICILMALQYLHKNLVLHRDIKPENLVFDDEGYLHITDMGIARIWKPNNKNETSG TPGYMAPEVMMKSDHGVACDYFALGCLVYECLTGKRPYQGKNRRQIRELIMSKQVKLNRQ GLSKEVTDFVNRLLERKANQRLGNEGPDEVMSHPWLKNVDWGRHYDKLIEAPYKIIGKDN FDTKFVNQVLTISEYKDEKDHNNLFQGFTYIENNDEQQVTDIDTNKQKLLLSTKYY >CAK56175 pep:novel supercontig:GCA_000165425.1:CT867986:98455:99141:-1 gene:GSPATT00004269001 transcript:CAK56175 MISQLLDEMTVASGKQKKEMNKINYKHQRRTRDVINTEKQEKLENKEIDVGLPRIKDKEE VYQELKRRFRMAWKSPGFKNDEFTKIPTLKGKILNEWEILRINLAERSYKAPQGFKGFKI PSQYQEFQKPKTPPGVKKQLDEFRLDFEDFVQRPSKYGKVHEDEMLEKVRINNKEPFRLT NSVQHNEFGFDPHLTWEAEMNRKQSSSKKFNK >CAK56176 pep:novel supercontig:GCA_000165425.1:CT867986:99451:100856:1 gene:GSPATT00004270001 transcript:CAK56176 MNKATRTTSLRQHKLTFLKDNNNSLQLQTRSQSFKQNISISPDKKSQISPTKQNSVFLNG QLLTRPRSKYNQQANLSTTKLQDSQKVFLIQQAQQQQAQQQRAEQSPALHRKQQSDKVLK YDLPKISPIKARLPIKQLQPDMNQLDLFKREQIKQNLIKSAYTKSQAGKNEDNLTKTNQD SFISLQSFKDNMSLFGVCDGHGQEGHKCSQFIRDNLPKNISSQLSQNPSSIIDSISKSFN RTNTQLCNAEEIITTFSGSTTVISLIVDDTIYTANVGDSRSIICRLQSNGVKTAISLSND HKPDLPQERRRIEQSGGRVEPYIDFDGSSLGPSRVWLKTEDIPGLAMSRSFGDKVAASCG VICEPEILTHKIQEGDLFMVLASDGVWEFLSNEQVIDMIYPYYVQDEGNAACVRIVKESI KLWKLNDTVIDDITIVIVFFNKQK >CAK56177 pep:novel supercontig:GCA_000165425.1:CT867986:101159:103093:-1 gene:GSPATT00004271001 transcript:CAK56177 MTIVTVAYVDIGCTAISMLACFLICILGIVSPIYRKYPSKFIFLINIRIIVWISSLFIVL GIFIFIDQALVIIESNHKSAFSELHKLTKFLIGVIIIIISNQFILFLNEVKICIYNPFQA TSSSVFLFLLLLQCLLILVLIIIPLLFWNNIKTYSVLASYINFFSFLICTLFYLAIWIIL NKNKTLQSKRLYKFKSDFVKQQASWLFTMLTINFAFSYYNLDFNEDKDSYTLKVALSLEG LFFSIFHLIQPYSYQKLYQVFFRSCQQQSYIEDIESIEYGLNSDIQNKMMPIYEKLLKTQ INELVKGLVKAVYATLEKQFDHHMKEFEMKRTYTFKFHLSELDESRISYNENDVIRDSII LVNTYHPNSFTTLLKQDNLTKNILEYTLELQYNQKAFEKAKESMGRSGAFFFYSQNNKLI VKTVEKSEIKDFLDGRIVSYFNHISGNKKSLIAKIYGIFKIKLMAYKTQYIVLMENSFEQ MKTLDNEFVVYDLKGSTANRTSKSEDSVQKDNNFKQSPYNPINLEKELSDQIKQQFKDDC YFLRDIGYMDYSLLLGICVQNTQQSENDNYRFVVNEAKNIVYSFSIIDYLQRFNQSKKSE QCFKRSVRFKKKQDLSCRSPDPYCKRFLNFIDSFIV >CAK56178 pep:novel supercontig:GCA_000165425.1:CT867986:103320:104459:-1 gene:GSPATT00004272001 transcript:CAK56178 MRKLVCILLISLSLASQIQGPLSNPIVNELERTHLGKAFLKLLTLKSKAQQFDFSKLYAA IDDLENSIKQRIVDEDKEYQQNEVQYFTDKEFFSGQITQYQNEIASLEIDLTDFTESRNL LQIGLNGKVDELKEVQSLADGLQQRITKEEAIFKDQQNQYTNAVQALDQALQLIGQLRDG SFIQNRNVVFLEEGLKQLQHQRIMYAPLITAFTQIMAPSFNDQEASAKVQRLIQNLRDTI VKNKTDLENSYQQIRTIDDHNLSQYNQRITNLSTIVIPTIQAEIQTRDGNSCILIVGQIQ TKSNLLRDAQSNFKTATDNLDNTNNRWIERTNEHNKLLDQLITQTTLFPKIVTELEGAGV RRR >CAK56179 pep:novel supercontig:GCA_000165425.1:CT867986:105247:106220:1 gene:GSPATT00004273001 transcript:CAK56179 MDQLEALLVKSPTNQYIIYNKILGRGAYGVVLLSKQIKQQQFYAIKIISKTVLAKINGAY NMRQEILFLSKLQHPNIIKMYETFEDENYFYIVLEYCSKGNLFLLLKEQGAFPEEKAFKY FNQILQAIQFMHKRQIIHRDLKLANILLTQDDNIKICDFNWATELIDGQADPVLCGTVDQ MPPEVSSFSFHDTKLDIWSLGVLLYEMLHNSLPIISFVTELSFGRHVSEEAIDLIKDLMN LEKSQRPAVSEIYSYKWMKNNLAKLKIQSTIQSSRVTPRHSKQNSLDLKDISKCIRTEN >CAK56180 pep:novel supercontig:GCA_000165425.1:CT867986:107360:108525:1 gene:GSPATT00004274001 transcript:CAK56180 MLIASFQILLSINLAAFSILSYNYLISQKEVVFQSYYNQEQVENINRIEQINNALFPILE DISFDPEFRIYRYTDTLNCPIEMNQNECHVETCNLRNVEGEDSIMTVDLKYMGEKYTGQQ GQSIWLNIYEELGKNSTSEMHNHFINLIKGLHSSISVSITEQFDYGNKTGANVDFFFWRV GDYPDRIYNLYFLESFLIQASKFLQINQIELPHLTQLKVQGLLSSYNLMPLYKFDYFQNL TKKDLEQYKNDIKLLDSYMDCVHCKRCKVNGKLQIHGLETSIELLFHDDKGAYFEKNDVT AFLNTFQKISSSVKSIESMFERRKQTQYHYFKLSGFGFLFLLFLSQVVVLLKR >CAK56181 pep:novel supercontig:GCA_000165425.1:CT867986:108590:109429:-1 gene:GSPATT00004275001 transcript:CAK56181 MNKLTIESQQTRSALKVQQHIFIEQQDIKSCRICLETEQDNDKPIIHPCKCKGSLGQVHE ECLKTWIVTQNKQLFTQCEICKVEYQIEFTSRKVCIPVFIQMYLERKACKSNLENMIALI CLLFIFLGFTALQAFLVVQIVNIFDGNDKNNQQSSFLNSPITMIGFAVIVFLFLVPIFMC LLYVLKKMLFITKIKSWHILDRVQTPPAEIQEQIPQQTLSRNASQLLQQLPKLNQTTSIL IQGNGQDYEHVQFI >CAK56182 pep:novel supercontig:GCA_000165425.1:CT867986:109692:110493:-1 gene:GSPATT00004276001 transcript:CAK56182 MSQTDKEWTPEYIFKFILIGNSSTGKTSMLQYFINQTSKTKVQQTVGVEFSSKLLEYKDK KIKLQIWDTAGQERFRSIARTYFKNTIGAIIVYDITNQDSFDAIDDWIKDARENGKSDLD VMVVGNKIDLKDQRVISKDHAEREMKNKDALYIETSAVTGENIDKCFQNLIDQICQKITQ GRIEKDEYNPQLKNPLSQNLKTKTNEAQSQSQTCNC >CAK56183 pep:novel supercontig:GCA_000165425.1:CT867986:111400:112738:1 gene:GSPATT00004277001 transcript:CAK56183 MLNNSKKQKSKSKKLSKAKDLEVKSRCESAKFKSEQAACQYEYEIFSFDRQTTIKKVKNE KDISPNLEEENPFGSQILLQSDLQDDDKLICEEIVKDFTSFTFNNSLEHQISQEDEQETL SEFMREQVKYEEIYIYKKNYLNNQKEIQSHMVAILFDWLIEVAHSFHFKRETFYLSINYV ERYLLRQPNVVISRFQLLGVAAIFIAHKCEEIYPKTLKDFHRLIQDQYTIQEIEQMEVLI LKSLDFRMYPNTPIFWLNYYTKLWDEFIIDKDPCVMFKERTNEAYYRYRELVQLFDVCLI DYQYKQNEKLTILSLIYLVVAKQLQIFDDYQTMAISQSEVYDFFHSDEQKYNKLFRQFIL QSEIYLEFDRQIFYEDLNDVICQLVKYFILRFDNSLPRVLLNNQQFIDEMQHEELLSFQT YNKSTIETITIISKQNQ >CAK56184 pep:novel supercontig:GCA_000165425.1:CT867986:112876:115215:1 gene:GSPATT00004278001 transcript:CAK56184 MLKQNEENEIPFNGEFEDSEEESEEFSEEDSEDDELQNKRKKKRKQLVNNNKRLIMNVSD TQYAAVKFVGKILNKFQLQYTPYLETQVWDFCWTDNAVLPETLARMQSHQRINHFPGMYC IARKNYLGKNLNKMAKQFPEEFDFYPKTWMLPSDISDLRQNIGKIKYFIVKPEASCQGRG IFLTKQVESIASEHCVVQRYLHKPLLIDGLKFDFRMYVLLAGCDPLRIYLFKEGLARFAT QPYQLPNQMNAEEMCMHLTNYAINKDNPNFVFNTDEKQLDVGHKRSLSSVFKLLSEQTNI DELMAKIKDLIIKTFCSVQPFLQSNYTQPDNYANNMCFEILGFDIIIDNAYKPYLLEVNH TPSFTADTPLDALIKKNLIRDTITLMNINLKVKNDLQQLQKEQMQRRVLGKKPKLSNEEK KSLKLQAQVEREQYESQHLGNFEKIYPCDKSYDEYLQHAQKIYEEWTGANIRRNVKKDNA TERDKLPQQRQLQHSITIQGSIDSNNKPSMDPAKPSIRKSLYKHVHSKVFSNINPQKSMT ELPQQQQPIEYKLQDGNDNEIAIEDQQNNYKQQEKIDRSKRPPSFYVMKSVKQGSELQLV DLFNYKRISIPETSPQSQPIMLQQQSLQISQQQQQQSPNFKSATQLARHFSIRKTNKSKL KPSESDQEAKKDLIQAVNQYSQFQQQQQQQSFFQSQQQHLQNQQQQPNGSFVKPKVFNLK LQPPHFKIPSLPILMQQQNYYKFRYD >CAK56185 pep:novel supercontig:GCA_000165425.1:CT867986:115580:116091:1 gene:GSPATT00004279001 transcript:CAK56185 MLQSNPLLLTISNILDEIIKETDTLEIEYNSIFHANKAPSITIYNYLQRIAKYTHCSEQC FVIALIYLDRLQEKHTYLVLNSHCIHRFLLMSLLTAIKFQDDDYYKNEYYAKVGGVNLKE INVLEQEFLEYMDYQLFVDEQQYAIYERRLLEFGEIEMP >CAK56186 pep:novel supercontig:GCA_000165425.1:CT867986:116223:117981:-1 gene:GSPATT00004280001 transcript:CAK56186 MTQILIQQFYQSLEPIFQAGVFTWIQILPIVTLANKVLMSQYHQSYNNNQINLAEFHGRI FENSDHQLKFKEEMKVEKFDEFVTNETQYYAWFQFDFAKHMPLNIFYNRMITKQQQVKNT KVKKIIIAILKHFSERKKISLHHGNIIPENIILQFQKKQGSKSKFKFMQLYFTNFPTEQN QEDKENIKKDEESVKKVVKNLLDYIVNKDQYEINLDGKTIEVILKEIENIQEFQLRVVDV KEEAIQNKNESGWMDKFKIWNKNANKEKKTFEQQINESSNELYNVLNEKNLKFIQECEEN FNINCKEQTDKQDQLMSKIKIGTCETDNQDQQESKQNNEKDQREEKEVQWLELIKTQQSL FLNSLINIIVQNFVEKVISEYFDPNYINYIKDSNAKYLESQAKQGKKAETLNNNVDIEFI INKYHSKANVMYEVLRRIFNGIQTTFLKEWNKITDNYFKEYKFDFDLQFKDLVEQHYNEF LIIIFKYSLTSNKFVQDKLADEQKLIEEQFRDYYDEWISYKILDLINNLI >CAK56187 pep:novel supercontig:GCA_000165425.1:CT867986:118021:122737:-1 gene:GSPATT00004281001 transcript:CAK56187 MIQLLFLLQIQAILAGFTSCEVNPEHPEIIYPSNSNSFLQPLDKYFHGQGLTYSINGTYQ FGVSQSTKMSTITLKKSQFPENTIFIDDAAYFNWSNPNYQQDQFLALVSINNEYYVYETS LNQKTPTWIQLTSSFSSTSTAICTNVAYVFDNDIIKGRISNILLFPKSSNQNYNQIFANS YTVSLRIRTSKLIRIFLFANTEQSRMYLFEFNMYLEEFYAAVVHANYFNPETIVHIFKLE FQRSLHEPIKMTVYFSGHHDKAAYGAYLADPDKIYLLFFDALVIHDFSKKIHKNVMIVVD SSQGMGVYRESYTNGEYNLFISIVTKKGLDFYSTSNLQSNQKPGLPKTFDTNKRLSVKVS LLYTVITTDSSFVIYENRYLLKEEKVQYGFLYYSQTPALLSVFYGFGSQFVVFQNTTIIT YQIQMPYLYSLKTLNTNYNVPIQISINATSQDGTQCSCTFNYELLNVNDMYNFVALTKPD GKAEPILLFNSQSKLLPLGDQFFGSNLKYKIETTQLQEENSTIETLQPNTTLLYINQVNI ISNNYVIAYSSTYQVDDDTFFIFSQLTTNSKNIQIEKCKFLACQIIEIIKGEFTTPVVGI TAIKSGLLFHIVIATQQEIFSFEYDYDKMKLLIQRKKQVTKLTKDIPPTMVISSVNYVQE ALIILTSTPNSIICVDNLLIHQYTIAGNQYVPRQVFLNPYIFNDTYFVDNQYELLMIQNS KNNFDIEQETQKKYHYNIFVAFNYPNKFKQQQISIGLVREGVYLIQLSQTATTSTQNIYF YPYQFLYYDAAYKASASFQTISLTQFQLTISQPFVTGISNNDKFYVAVQTNQANQYQLVV LNPSTTEYSQIYQIINLNQPPQNLNIISINRGHNQQIDKVLDFKYDLLFLSSSISNEIQQ LQVFKEPAVLYTPNIQQNRVDVFELQVIAENNFNQAPAQEAAITRQVKSTKQEIGIIARE NQAFTPMTVLGSIFYLNINPADYFFGYISNYTAINKIGLKVDFNEAFIDCYQKDKKHQAI NNSVVQPITRQQANFSLVYTSVTSGIIAGQDTIQTFLQSGRAIYILNENDALFLYTVPAY IDATCIYTFITSDSGYLITVCSNKDDTYFYYYNGTESNNRTILGFSGYQLLKQTAYPNLK SAQFQYNILALEYSQFILTVNVSASNSTLELQNATQYNLVYPLKSYSLFVVDAEELELQS RRNKTLLNRDFVKKFLSNMDAGVIILYENSVLQFLYKSIAKYGAYQSQLPNGVQIQAVTS ISIGWITNTYLSTPFLISTTSNSYLFQVTLPIIERSGSAQVDLIYKLISFAKYQTLGVYS GGEAIVGFFYTESNELGVPEYVFGLYGIPTLKDFTKDLTLKVAFNPNKVYQHVGSPVGFI GIQNLTKYNISNPIITNNQTILYQVNQTEFQYLFVTNEVKITVNQVQCINTSTINHALNM TEIELVAYNNYTDDFSRNSFVINNVYPDYTFWVTEKRRRNFWWQFGVGAIFFFVIIGVLI VLCVNSNKIIVYQERILQNELEQ >CAK56188 pep:novel supercontig:GCA_000165425.1:CT867986:122784:123821:1 gene:GSPATT00004282001 transcript:CAK56188 MLKSIIFIQKHQLFDKEQMQSAQSKRILPKQSPQPIKSNKLNELFHQKNVKLININVKTR LRSRSFPNSLNPFKYIEGKGIAKIQSFLITQPSISSQKYLRKTRPLIKSERDLEKQYQHQ TKEQFRQRRRSCECEFCGILSRFQKQCMNHEFKVQQIEKERESPMHKFQRSIRKHQIYVM APYLFAVETPTEPFEQSIIRQNTLKIEKKKTQMLDVIKQEDALHINSSKILERTPTKKPS FFESFLVKQKEIINSEKSKLVNKQFSSLSYHLLSRNTYQSIKPFKSQLPQIQTNLYRQEP LLSTERNTQKKSEKTIKELYIKPFIHKYSRIRLVTLPDLIPNHIQ >CAK56189 pep:novel supercontig:GCA_000165425.1:CT867986:124233:124562:-1 gene:GSPATT00004283001 transcript:CAK56189 MHRNLRLYRHSSCSTDCSSFLNANLSQEQIKLPNIKQQEKPSLIGALQYGQLQLRVLRRS VEETQNKKQQYYFHERREKNYKKILPKVQVPKNNSVALQTTGFSPWIQE >CAK56190 pep:novel supercontig:GCA_000165425.1:CT867986:124616:124996:-1 gene:GSPATT00004284001 transcript:CAK56190 MNKQNINNVSSRKLEIFQNNALKFINTESNDQDQVVPFYFKSRRNFKLKLPSLQVSRIMI GNESTANETYCQNNKFGRRKPFESPSLSQPLLNMPDPQIDSELKRKIRQKCLLKNVHRIT LLHKQK >CAK56191 pep:novel supercontig:GCA_000165425.1:CT867986:125101:125394:-1 gene:GSPATT00004285001 transcript:CAK56191 MIQVSQCNGDSWTDMEELNEIFEKITSENNSKQNQQKTRIDMFHHEILKNDKNHQISFRD DVIPNIGLIDVNIVDNWKDYNVIQEQDVGDSCSCYIS >CAK56192 pep:novel supercontig:GCA_000165425.1:CT867986:125472:127323:-1 gene:GSPATT00004286001 transcript:CAK56192 MYNQRLRILAQAVLQEQAQQIQNTERKLSLQENYIIYNIIQVAEIANIKSEIEKLAIEFQ NLLIYKYLKEAISHLLIQLDHIFLQYSFESQPDDIKCYISTIKYLSSFVSNIPSFSVFLE ELNNSLQYQPIDYMKTVAKRAYKLMKFQQLLCYYMDQFKKQRGIDLNKYYNLQGFMKIYK GYGKIKFAFKKQKKQPKNHNTQSQSSISTAYSHSYSVTPQPQILGYNDPYQQPYSTTTIY SQEGCTSLNSQSTLIQQPQIQSCSYQKSHSLQGDQNFQDQPDYGISLIQQSSHPQQRSNY DYPLMPQNYNGGVTQTFQQQEQYQPNFQFQNMPQQQQQQQQQYQQQQQYQQQQQQQQQQK QEQQKQKQYQQQVQQVQQIQSIQFAQNQHQQIQQCQNVPLPQFYHNEQHSYELQQIQNTV QFCQEDAIKKVAITDSIFQQSISEISEIQDTSVQQFGFTSFRPDQTQIDDASFQEQINQR IEEKKELINQKVAQEQQMKQRDVGQGKQIKQDFNQEEQIHQRDGGLQFKILEYSEFEELV MNKAKITQILLDPDTNQQVFSTQLSHFILDKSNQHDLEAISLLERFCEKKQSQFERDDQK KKILQLLDF >CAK56193 pep:novel supercontig:GCA_000165425.1:CT867986:127708:129113:-1 gene:GSPATT00004287001 transcript:CAK56193 MFDKNKFLISSISITLLIFVVFHNVENLIQSRRFLQEDETINNQTEQQHQEEHRSARRTY TPMEIDFWICLLIAATLICMAAICSGMTVGYLSVDELQLEIYKEQGTHEQQRQANVILPI IKQHHMLLCTLLIGNAFCMESLPIFFDKVVPPAFAVLISVIFIIFAGEIIPQALCTGPKQ LIIAEKLTPIVKILMILFWPISYPLAKLLDSYFGEHGSTRFQKNELKALIELHGIQKHAT GGDHANEDQGFTQAEINMITSTIDLRDKTVGQVMVLIKDVFSVNKNNELNKETLARIASS GYSYVTIYENQKENIIGTIRSKQLIDMELTKRKISELENLVKPVLFISGDTSLFEMLMIF KQKKTKIAFVVETNKNDQANTSRSPNCQIIEDKSQKNVIGLISLKMLFEEIVKKEFHDQD NHIKFNSLKPTQIQPIGKLQNKMLIEPEEKQ >CAK56194 pep:novel supercontig:GCA_000165425.1:CT867986:129120:129946:1 gene:GSPATT00004288001 transcript:CAK56194 MKSQLGEIRMSPRYIKNMMMFKSFLTKTQPTINPVMLRIYGKLNAEREIINSQEVKQKHN TPRKLIKRPKMNSDDKVLFLIQKDFERETKQIKKLGNRTARTEELQKSIHNQIINKNSNS ISSLINQEFDVKLAPSYYELLNTIQPEMNFKSKLTLREPLSKAKALLSQTEEKKRIYESQ IRHQRDFFRQPTLTDLPSLTKKRTTIKTLFEDSRNKFQANQFINSISQHCKSESTECCKI LHQCSKAQGKFNSHYEELLDNYFTQM >CAK56195 pep:novel supercontig:GCA_000165425.1:CT867986:130257:131007:-1 gene:GSPATT00004289001 transcript:CAK56195 MFENNSVKYVPSVPKIVDPEPITCIIEPSEEEGGLYLGNLEAANNVDLLRKLKIRAVLTA SQETAVKYQEHVVHFHEIIMAHDKADYDIIQHFEQAYEFIDRHRKYTNVFVHCFAGISRS ASMVTAYLMKKYNLSFEKALWNVKSKRRQVHPNVGFIRQLQKYETVLKNQAISNAPSRIQ YQQQQFPQYGFVQQPTQQPIQQSILTPFIQQSVLQPWNQSQRYDELVQYY >CAK56196 pep:novel supercontig:GCA_000165425.1:CT867986:131514:132595:1 gene:GSPATT00004290001 transcript:CAK56196 MQGSQLSEAITQLDCIKKKIHKIKTHNEKFQNNVKLCPFPPGFRNTSQNLTPIMLQIPIA SLKNKDTILEELILWDFEDDYSDEQLFRNLFSLVTDLLEEKYPNRVRKLTASETQKIIED CLSQIKQAIEHHKQFKHISDILAFESYIDKNDSMINLQISTSKVAETIQWDLDNAFQYID EFAYTYCYENKLGQENIVQIGNQIREQIQKAFEKRYNLISKLILEERQDFKQKLLSLLDY PSFEPYQSIKEIPSNNELNAFFKKNLDLLPSEFQILYGQRPIQKQMNDLYVDQEDTKKFE KELNQKSKYFDNVIVQDLLNRTKQT >CAK56197 pep:novel supercontig:GCA_000165425.1:CT867986:133691:134358:-1 gene:GSPATT00004291001 transcript:CAK56197 MRIFLLLLISTLQISNCDKIKISLYIESLCPDTTRFIQSSLLKALKTPSFDELVELRFVP YGKASQNQQSNGSIIFKCQHGDLECFGNKLQACGFNVLPSQTEQLRFLTCIQKTRKRQQE DFESEIKQCLPDLWEGIIECANGTQGKELLWKNGIETLNLDPKLTYVPWVTVNEKFESKA HEMIERNIVQWACQLANKDNEKYVQIDACQEYK >CAK56198 pep:novel supercontig:GCA_000165425.1:CT867986:135019:135681:1 gene:GSPATT00004292001 transcript:CAK56198 MKQIKQSKRAAKYLKKPSKELKNYIKVLLKLKIKRPSQLAREYGIPKSTIYSYLNAKGPM YQPLEDSIFQKLKKRLVMGFQTLSKYKSDRQVMKDITFYLQTEEFFQFTDSNPFSGLIPL SKQNSQRSRSFRRVRDLGLAYFKYLQTTYPPGSSQEQLDELIKKECEEPQGGEEPQVDQD PYQGKEQFEEEIRNQIPTEQIDSFFLFGNLDSFSYNQTSF >CAK56199 pep:novel supercontig:GCA_000165425.1:CT867986:135795:136585:1 gene:GSPATT00004293001 transcript:CAK56199 MSEEEQQLQSGSGIKQEAAIKHRRKKEEIEKDFICGDPNCGRKYGTNAALYTHIKNKHNG VPPTGTVKPSTSKKTKEQTLMVDQVDDEISKSVATMNDPDFMKFTIMISNLGHFNDKNII VRDAQEPCFQLEEEKLLDLYEKILKGSKLISETEGNSVFTKKAEMYLKFSSPIWKKHIIN ELYTVLIYAYIFRGENFDTIEKDITNNLANYKLFSELIKQDKVDQFREVYTTIWNFIT >CAK56200 pep:novel supercontig:GCA_000165425.1:CT867986:137519:138621:-1 gene:GSPATT00004294001 transcript:CAK56200 MDNLCTVCINQRAVNRDFECKSYSCDENSRKGNLETCQSCNKKLQGQNQQQNKKKADELI KVCQRSISFIHNQQIYMKQFIEHQIGELINMLQEKQNTLQSNLTEILQKKLDYYQDCESR LNIIKKNSDYNRLKQQPFVQLEQYEDDKILDFGNIKILTEEIKNFGKRVAKKTNQSACLQ INNTKKYQNNANKENLKDSQEKINQSNMFISFLPSLDDATLIYRFGQKFHMPKQNKVLGF IYTTNQSKFGFYYNIDQPTNGYNNSKDCYIFSLNNIYQIPPMKFYPKEECHSKIGFGKDC KDLLIDFENIKNSSSNLGQSYDVYSELDSECILAGRSTNWNVEMIEIFSLK >CAK56201 pep:novel supercontig:GCA_000165425.1:CT867986:139084:140937:-1 gene:GSPATT00004295001 transcript:CAK56201 MLFKQHQKVQTGKESIMELKSINVQANINALSFQLSSISFKVSSITMNPFSYEHITQELL QREYLQSKPFQILNKQEFIRIIDHPTKSSEITPQSKIIGQGTLNQQWNQDKHVIDDFEID QKQGSNSTKYQSLYKQDKNNTKLYQLKEDQMQLQKQINHQYKRSNGQSIKNEKTENFSDE ESAENNSLSISQNFAELKQNEGEIDEKSYLQNNRFWSSDHSSTFIDFQFYNQNINSQYNN QSEKYLVGPNLKQLSQAQSSQQNLNQRVINQQSFGTIKTVIQNQQPQIQHLTTSPTVFSQ NKLLKQTQPQNYQIFQQQIPLESHQHFGYTQLHSNKKLNSVNHQQHTNSPNQQWQNNNNL QNNQIIGQQQQQGKKSKFHHSASPQAQIPQSQGQQKESMRQQFDRLLRDNKEFKSKQKTH KEENIQNCKSPRLADKKKDQNQNINKKQIQSPKDDKASKKQKLISSQSPLENKGIIKNSI GYVEMNKIKKQYHRSPEQDFERLQNQRQVELKIQDKQKDNHLYSKQFHFQIQNLPLQSFE HKTLNIQQTQNKQNVSNLQTAMTQNISPSERGVTNRPNIKDIINLNDLSQYQENYINFDR TTTNRETQIQKVRKFSD >CAK56202 pep:novel supercontig:GCA_000165425.1:CT867986:141013:141528:-1 gene:GSPATT00004296001 transcript:CAK56202 MFIIVYYGRKLKKVLVYARNRFSSNKNDTSQQVVHPLIEADLPKEKIRQIQVVVFSIAIN TIFYIIVIIILFWSDRDLLCDFEKDDILDVKNNILILIFTITQLFPCFIVPYAFNYRLKE NAFNDDGLEFTRERAGSSKFQIDENNDQFVQRLTRGRVRQEDE >CAK56203 pep:novel supercontig:GCA_000165425.1:CT867986:142231:142877:1 gene:GSPATT00004297001 transcript:CAK56203 MGCLFFKSAVDNLQPPKKSFFEFQLKDIDGVDTSLSKFKGKKVIICVNVACSCGLTSGNY SELVALYKKYSAQGLEILGFPCNQFMNQESKPEPEIKEFVIQKYGVSFPLFQKIEVNGPN THELYQFLRLNSNNLRVSETSARQVPWNFGKFLLDSQGNVVAFFQPTQKPNEMIAQIEKL LSQ >CAK56204 pep:novel supercontig:GCA_000165425.1:CT867986:143509:145594:-1 gene:GSPATT00004298001 transcript:CAK56204 MSNQNDQHSNVEEHILKLYDIHDFKGKGAYGIVWKATDKQTKQQVALKKVFDAFQNSTDA QRTFREVCFLQQLTEHENIVKLLKVIPAENQKDLYMVFEYMETDLHKVIRAGLLRPLHMQ YIIYQLLKCLKFIHSGELIHRDLKPSNLLIDSDCKVKVADFGLARSVGKSENLNELPIMT EYVATRWYRAPEILLGSHSYSKSVDMWSVGCILGEMILGKAIFSGASTLNQIEKIIELIG RPKQEDLEQMNAPLASQVLDGISMQKRKSFAGFFPNATPDFIDFIRQCLDWNPLKRMKIE EALKHQIMMEFANTEEEKTLKQNIKIPFNENKKLTIKDYRDKITLNCEQILQEIQQNIFD KNQGKQFISTPQSFSTYMSQHDNKNKQNVSTHQFSQPVEKPKRIDQSFKYEGQSVKYEGS NDKINIILLNPNKLCHQTLKTSLMVSSNKGFHPIRNPISKYKFQYEENVHSQVRGNCDVS KSPDIKKKTESSITNVTKSYSSYGEPYDKRSNNSSFITQNKSENKQNTSGCYARPIQVQQ SSNYATAQSFSQQKDQFKNKEPVLINQAQRSKSFEKSPLNINPTSPYQRQKTEASKPKFI PQNNLTNNIAQVQKLLQKCCQVQNYQSHKKTTSFIDPQKFQAQQTINFNYYDARNTKFI >CAK56205 pep:novel supercontig:GCA_000165425.1:CT867986:146852:148360:-1 gene:GSPATT00004299001 transcript:CAK56205 MFSFLCTTILLQFILISVIFGNIEYMINQTNSQIFDKVKTHSNNQFLQVANQIGLGFQSH LYYLSQQLQNTVQIFPQLFNPLMQFKSSSSYQTCEILTQQNYLEVCFFAQENKKQLYNLN QQTQYILGYLTNYKNYLPFLFVESVRQKIERFSILIYNPVEQVEIYMIYPANKIKKYFIN KKKHKEIQLAGPYINPTNDSQIMMNLQQQTTFRNFSIYFTIEFTLSSIEVLFFDINYYQS TMLAITDFSGTLIYCPTEWNISYQTSIQKIYDHYNMSGFNITRIPTIQANQIEVQNQSYS LIQLPFSGKYDSYQLSSFINNTNQNIDFYVYLYVLNEQLLTTFTLINSIMQREETVIIFI NVSSTILTISIVFIFTYLIAVRISRPLNKLVNTSRYMSNNLTKKNISHEILNEIKHIEAA NQIADLIQKFKNLVESIKNKINSKKSMVKKNVVQYPLNQYDPEFINKTKNKTDINWNATI NEIDE >CAK56206 pep:novel supercontig:GCA_000165425.1:CT867986:148588:150107:1 gene:GSPATT00004300001 transcript:CAK56206 MNNFLEDTNQSSSIYLQTVNSLKNSIKYQNFISKTKLTVNEIKNIIKWYDLKRNQRIIRQ VTQPDQNNEFQKQIIQQGIAITQMQQQINKQSIEMENLKKTLFQRGNEKPNGIHQKSKSS NDDFLDKQINFLNKQQNINFQHFDKDLENQIQTLIQQEFEKIYKLLSKQLKSDINDIVYQ SIQEQIFVSNNNSPKPDTLRKYSQTKQQSEDSQQNINIIVECLNEQIDEYANNNYNFDQI KAKLKCLKLKQINLLYEDLNNQYKQNSLKDYFIGPLEKLKQFSFNFNQSKLLEYVNGQRR AREDSNNFYAVFGYQYLDIILTHADDQNFETFMENIKGIPFDLFNNQSAFTKEEQQELKE IFCYRCIELRQIEISQRSNELWHQISDNNNSFYGLTMIFIRNLIQQIVQQSEFKDHINAQ DSEQIISKILEWNTPCPEAEFIMEVLSHELELCIILFYLKEEQQELSLRIFGDEQNYQLY MLQHSNEFYSIGIKEQT >CAK56207 pep:novel supercontig:GCA_000165425.1:CT867986:150288:151715:1 gene:GSPATT00004301001 transcript:CAK56207 MTSDQSLDYFTTDLYVMGDNKESQCGIDNQDYINKLTKINLPMVIREIACGLEHTLIVTN EGLVYAFGSNLKGQLGVENIAVAWNKRFELHKDSSWRIPFLLIDRDENQAYQWGNNILKP QFIRQASNIKCGLNFTILQDDSLYLYKNQVLQPLKIKSQISEFACSAYNLFYMDDNNTLY LHPETNGYHLSLLTDENKLYYYLDKQLIQVNVQPNKIDSSFGKELAIVLDDNNKAIIINL KTQQQKQQELVFHSISSGVNHLILIGNITKGQIQNQELEESVQIGEDEEDDDSILEGDGM SPITTQKTQQDQLMNTQQNNTFSFSIRKDLQLFNSIKTNHKETQTDDNLYAKLKNENEEL RTILLQQRKNLEIQIQFQKTLQDQMSRLTQENHLLKLGQNKNQLLNLIKQKEQNLKNLID EFYQKQREFLQNKK >CAK56208 pep:novel supercontig:GCA_000165425.1:CT867986:151750:152352:-1 gene:GSPATT00004302001 transcript:CAK56208 MQQQSANQQELKQIFQLFSQENSDEINIQQMNQLIKELETINQDQQQISVPYQHGRKLVK KISSTDNFEEVQKDSSPKSPTYVSVTELKYFPNNKTTMHFSDFQELYNECMSNKDQHDEL LESAFSVFDFDKNGTIDSKKIRKVFSKFKDNTSEEEIQNVLKFCGVLHDQMSLQEFKEFF KKNL >CAK56209 pep:novel supercontig:GCA_000165425.1:CT867986:152409:152976:1 gene:GSPATT00004303001 transcript:CAK56209 MSLFSNTNMSHVFTYNSNKLFIGNIRSPTINMRAVLSVIETPISSNTNHFHKQIPATDEV EFKLNRYFDEGADFIHNHLKYGNVLVHCYAGISRSASLVVAYLIKYHNYTTLTAVRFLQK SRPIIEPNDGFIAQLKEYENRGKTVRSYLTKESKQEKQDEIKPFHRPVKSFLSSRMKTLF >CAK56210 pep:novel supercontig:GCA_000165425.1:CT867986:153670:154990:1 gene:GSPATT00004304001 transcript:CAK56210 MISISDIETINKFILEANGTLESIQKAFVRKFSQEYGPYLYFYQGLCDNIFTKGQRLLII YLLYNSELRQSLTDISSYSQNQFEKKFVQDPQFYAGLTIKTLLEEQDKLNMNDKQLNKES TPQNSSNKLRNCISINSNPPQKLLQQQHLDRLSQKQNGFDIEFLRLIPNIVQTRLDWIDF YQTHDLQWDYSLQINSDKLSQIKEIMQKAHIEQLSEQELKQFQEYLKKDNNNLFKNSGLL NFNLSDLIEKNLNLAFFLFSKHFPNAEFLEQEQFNRNSLMDAMMTIDITPNALEIMCMLI QQFHIPQEYLNYYVNYCIQFCSNIKEKGQQVKMIKYLTIFLKHLINKKMLKTYELQTELQ AFSIEFSNIGETSSLFKLIKNDQQQ >CAK56211 pep:novel supercontig:GCA_000165425.1:CT867986:155536:158693:1 gene:GSPATT00004305001 transcript:CAK56211 MDQPMVSPRNVTEGHEVSNLITFRYSTDQYCTIYVIKISVLNTQRNEGINRVKQKILDLD DRAILDAGQNNEEEEPSISSSESEQSVSKKGSETMKLGSQSKVEHAERQYKTEDLSPVDG GWTNIIWKRGSLRIMTYVVRFVISILINSEKSKFSFMNRKQFNAINDVTGVYQFYEENKL IRQRIKKKFKSYIQNNICYKVIRNGLETFVNKCLFVIDPSSTIKILWDFFMLFVLSWQMV FVPLKICFFIHIEDPILNFILNYLPVYVYLMEIVLTFLTGYYEHGVLIMDQKQVAKHYFK KSFFYDLLNVLPLLVSAYYVQSNWLEFSVLVKIKTLKFLSDQLEEVFGLRTNYQTLIDVL RLMIQFIFLSHLFGCFWHYLGILQGDYGITNTWINALELQNDSWQIRYINSIYWSSITTL TIGYGDITPQSSVEKIFTVGVAVFSSVVFAYTISSIGLIFSQLNENKKNQRYKMNLIQQF IGQRGVNKQLQNKVKKFYEYFIQIDHSQDSECELLLDSLEPSLKNELKIDLYKKYIMKSK LFKSTFSPDFLDSLCQLVQERQYTPDEQICATDTEVEELYFVLKGEISLQIQLNNCIKQQ HSLCRICLLKKNHILGERFFITGDRYPYSGKAISTVRVAFIKKTQFVNLLKQNPQEYEKF LEAKSKVILKERVKVQGCELCYQNHQVLQCPFVFYYPNVRVIVKRQENITQKRQFKQRSY KSLQDRGGIQYQLISYALDTNLMQEESLDESLIKNMGLQFIEPTKKQLNKLHSDISADPQ LQDQPHPFQNLTPLRPSCEQFSEGSEGGTSKTNKTSNNGLQKLITLRQISQQSQNQQIQP KKIDKYKQMSFKVKKNEFRPPEIVELQKIEEIQSFESPQCSAQKSNQQNIFFNLNDNYIT KELEIKRILWEEYVHSQIIEDKERFDQQKDYQFFYPNFNLEKVLDLINIKVNERKKKTQK SRLTTLGKNRLGQLQNISVRPRKSMITIEQAFSQEDERQKSLKL >CAK56212 pep:novel supercontig:GCA_000165425.1:CT867986:158726:160125:-1 gene:GSPATT00004306001 transcript:CAK56212 MITKQEARNQNFLNLSNQKQTEAFLKNQKNKQSHLKVHLDLKCINYTQMKLFLTNRTEFI KLNLPANIKKQEIKVEQPPQPQQCQNQVQQPQPSNQQQFGFVQANQLMPKKRFQPPTKIG EKSKQEQLGQKNEKQSNQTNFEDNIINKIESDIIEIMDRPTQWTDIVGLDHVRDQVVEIA LWPLENPKLFEGIIAPGSGLLLFGPPGTGKTMIGKAIASEGKATFFSIKASTLTSKYVGE GEKTVRALFALAAQRQPSVIFFDEIDSLLCARSEKDNETSRQIKTEFMVQLEGATRGGCE RIVFIGATNRPQELDDAIKRRFQKKIYIPLPNKEGRQSYFENLIIKEAKEGKRIEMNTSE MQTLVDLTKGYSGADIRNLSREACMYAIRDAAKMYTIKNLKLDQIRATTIEDFKRALQIV KPTVNQNDLKDYLKWNQQFGSYNYDADCLDT >CAK56213 pep:novel supercontig:GCA_000165425.1:CT867986:160161:161314:-1 gene:GSPATT00004307001 transcript:CAK56213 MDSVICGCSMIFSKPMFNQYLSQQSIPKFCINDRYHIKLYLIPYDINNPQMIPEKLLEKN KEIQLTLDSPRNFKPSQINPKKKYELQNGTMLQITRKYGVNEDYLHIQDLYRGIIKSGDY KLPFQVPTKFGMNSSFQYRNQDGLQQAKIQYKIYLRIIDLQSNQIIVQKSIPIYINSRYK LTEQKRENEGNIVQFFCLHRGMIELGIRTAKNLYKPGEVLELEYTLNTTRSQRSITKVEV KLNHFLSFTDDDENERVIENKTLYSNVLPGLSAGKRSEGLKSSITLPNDLAATVKMQFIK NHYILQVQAFADGLLTSLAVPVICQIPVIILESRKKEQPDLKDWKFNTKQENNSFYQFTC QQQQLFI >CAK56214 pep:novel supercontig:GCA_000165425.1:CT867986:161503:162026:1 gene:GSPATT00004308001 transcript:CAK56214 MSYSQEFSPLSMKEEIFFQNETESTSLEQQLQIANEKVIQLMQYQQQLSEELEHLHYKYR ETQQENEMLSNQLRQGPDEAKFLNCVLDMVRVCHPQDKPITLKYAWKWLKYVVDDYIELR KRTKSSNTQSEVFKNPKKNSIVVGDLLRKNCQVAESQYIKNSKCHN >CAK56215 pep:novel supercontig:GCA_000165425.1:CT867986:162867:163211:1 gene:GSPATT00004309001 transcript:CAK56215 MKIEVHIKDKQFAINCGDGLQKVKWLGDVAIFRHSHFYSQINSTTKGIKLENGEMVDLNA IISSTLAENTHVWVVLKEDLEAMGMDTKQQQQQHKRPITAVSRRK >CAK56216 pep:novel supercontig:GCA_000165425.1:CT867986:163257:166785:1 gene:GSPATT00004310001 transcript:CAK56216 MYLYLFSFLYVVSSIVYVLNTDELKILEDYANGIEKYDKQKEKLVIQSISLLANDEEVIQ ITSLFTPFDNQQRVDILTKKAENIYTVYTLYYAKQQSSELKFEKVELKGVEKCTSLTYLQ DQFIVDCTINTILFVLQNGTQIQYDYNKNVQFTQILGFYDGLLGLSPGYLSFFDEQLQLE RQILANYIQVLKDEKNVYLLNELQVFQYTQDGGVIEYNHKCENKPEFMAVIDKTFYIQCG TLRTVSQNEVEVLSLKVSEIFATNQYIIINNTSIYNQDLDTCYYFSNGQLYPINYDDDVI QIVNKQIQIGSIKGYYLIQSDQISQFNLSLDQFYVVDKGLQVLNSGNSAYYGNKFQVVNY VSGPYVEIQQQGVLEQPLQLNLEKQIKDKQLLTLINYLDESIFLIYLQNAQLFSQKCLID QLQLKCQKEMLFQQNSPSNIENVQGTVFGIQIILAYQSQETVYIYLDDKLLDQINNVTTF QLYQNYIVILKDNEVSINYIIDNKLNEEAQINITCIMVAIYFNEIALVDERNNLIIVSDS NSGWYYSFSQSFQDLITEINYVNSQLIVSTEKQAYIYESRILRGKLDLDIITNGSYLQTQ THLYIYNTTKIFQFQIFSDFSLSSWPQQIIKCQIQQRFLGLTFKQSELLLIDNILFVYSQ ILQFTPNNIVERDVYSRFSSADIIFSNYQNKSVTVKVKTIGNILKLQPLQYKESDCQINN DILQIDQASFFDGPISIISKDVDDGIEIIQRASKYQTQPSWIDEGLNDLIYIGNNLRVIY SNTSLSLCSENKCQSILNNTQDCLTLEQDQLQFYLVCKKFVYSGLKSKPESINNIDFTPY FNQLLSIKFDQGSKIGIISRSDIYTQFSLYNNYQLKVQKIISDLQDFQFNDSNIIFLTSQ KVILVDSTLKEIHSYNLLENLISTEQNEALKFLKFKKICHYKENQYLISSKDGPIYLMYL NNEHSDLVLQFTNIQNTTPIETYLIQKDILVCIYKDDNDQYFAAFYDFEDRTKSSLIIPY FNVIELGSSFSKFHYTQQSDAKQIFVINDYLQIKSVSSNKYLHELIANDLKFQSTKINLK INLTETDETPENWTTAIYILCGILGILVFLILFRYIRRYWLTRNLKFEEDKPSEFKNEEV >CAK56217 pep:novel supercontig:GCA_000165425.1:CT867986:166814:167953:-1 gene:GSPATT00004311001 transcript:CAK56217 MNYFRKANYTPVRANQEKALLLPNIFLFQQSPERKSIHQLKGKNLKYGLKKLDISTNTVD LKSDRTKDLIGNLSYQSRTLSGLNINNQQKVNQDSYLILKDLQYKLFGIYDGHGKFGHLV SSFIKFNLEKFIKSDIGNADEMKVAYELLNNKLLESNIDTQLSGSTGISVHIKEHHLFCC NVGDSKAILGRRQLMNKYQSIRLNRLHKPIGLERDRIIKFGGRIEYVYGRPRSPLRVWMQ NEDMPGLAMTRSFGDKMGIKAGIIAVPEIFEIQLTKDDHFILIGSDGLFEHLSEEDICKL ISRYYPLQIEKAADMLMLEAQKQWKLISLGRDDITFILIFRFS >CAK56218 pep:novel supercontig:GCA_000165425.1:CT867986:168285:168785:1 gene:GSPATT00004312001 transcript:CAK56218 MNSCQFKNRSLHINKFHSLTPSHRNQYSNRQNEQVFSFSNEEQVSQRKYMPFIYTKYKPY SHQRRMQNNVAQSGDYFNSTIEQTSFNQFQLKSPCSISPLRKKTLNSFLFTDCTQNQQQS PINCKSEVRQFSFDLPQMQMQQFPRKREYTIFKKKKKVYALKMQNK >CAK56219 pep:novel supercontig:GCA_000165425.1:CT867986:168815:169339:1 gene:GSPATT00004313001 transcript:CAK56219 MNIDPFNLFYEEFKYKLKKHNIACPHLETQQLARKEWEQMSEIEQFRFVYIAKRQDEAYL NFKNKSEINQENPNQHNKMSKQDYKSLKHQFQINYCKNENCENQAVQIEEELLKMYQDIT INRYAIMGKFGAVSLFGIQEKRQSVLIWHTLIDGPIKKYQLKELHQKVQRFLTP >CAK56220 pep:novel supercontig:GCA_000165425.1:CT867986:169626:170676:-1 gene:GSPATT00004314001 transcript:CAK56220 MEIFRAAFYKSGLYHLKPKKSAAVVFILFGFGFVYGFKKKYDHIQRQSQIKKSNIDENVP EPEIQPQPQPQPQPKPPSRIQLVDIKKSELLEQIYNETLRARDVPEEFKPFIGDQKGQDY LLEEYGLNNQQVKQQLQTLLNGMRRARGDGNCFYTSFGFQYLRHMFTKASDQQFEEFIKM IQSLPFSVIHSEQLYEQNEEMCQKFLNYCEYLRTVELEQREQEFLRLFSNPEEQFYGLCI IFLRNLIYKFCFEDEETKNIFEAFDLDLQKQILTWEYDCENNQSVINILTRKLHIDIILY FIDEDSRKLQVQEYKIGNAQTLLEIHIIFRPGHYNVAIPNQ >CAK56221 pep:novel supercontig:GCA_000165425.1:CT867986:171393:172529:1 gene:GSPATT00004315001 transcript:CAK56221 MNVVFQDELENSLQLSSQSIVKANSYVYNQMLKINNGVKTYGLSSILINQNNDPENLMIS ELTYRSLSNDVDSRLIQEFQSRRHIIEPHQIQIRQPSPFQLNKQTCQICLNELSNIIIIE QCNHQFCQKCITLYLYNKIISGEVQKITCPQFGCCTVLSELLIKQNINQEVYLKYQRFLL IKQYEHVVNGKWCPRPDCFNFVFQQGQEKILQCSCGQQFCFDCGNPNHPNKTCQESVDQV FAQALQNYKIQKCPNCKANILKNGGCNHMTCTKCHYDFCWLCGCRYTSIHYDWMNPCNCP GEQYQERDPYAYPKILICIRAILKLLIYVLLSPILALAGLGMIVYGIGYLLSKTCHLCRC LKRCCQSVCN >CAK56222 pep:novel supercontig:GCA_000165425.1:CT867986:173151:173834:1 gene:GSPATT00004316001 transcript:CAK56222 MNKTNNSIKDLQLRLLISESEKQVAVQKLNDLKRSERTKPSLSLFAPSKDQAKRKDKVGK LLIDLMNLIATNNSLQCMLEINSLENMMKIFDQGKCYKLLKKIIKPFTLLITYLLNTNIT ETLSVRSENQTLQHLSNYNSVQAGIQTPMSQVITINSNNMHNHKTSFPSSIAFKPPSEAT TPEKSVKQAPISQPQQKQTISQHKGIGKTQKPVSRRTATTRMNTCGD >CAK56223 pep:novel supercontig:GCA_000165425.1:CT867986:174370:175872:-1 gene:GSPATT00004317001 transcript:CAK56223 MDAEDYKVKDITQADFGRKEINLAEVEMPGLMSAREEYGPQQILKGARISGSLHMTIQTA VLIETLQALGAQVRWCSCNIFSTQDHAAAAIAQAKSAAVFAWKGETLLEYWECTVRALDW GNGQGPTLIVDDGGDMTMMLLEGVKWEKKYEANGELPEPARAESEDEQAFLTVLRREIQQ TPNKFRNYVAQVKGVSEETTTGVHRLKQIAAKGELLFPAINVNDSVTKSKFDNIYGCRHS VIDGIFRATDVMLSGKKALVCGYGDVGKGCAQALKGQGCRVYITEVDPICALQGCMEGYE VVRLEKVIKDIDIFITATGNKDIITAQHMSQMKHNAIVGNIGHFDNEIDIKGLKQWEGIK RVQIKPQCDQWIFPDGHGIIMLAEGRLLNLGCATGHPSFVMSNSFTNQTLAQIELWVNRD NGKYGNQVYKLPRELDEKVAVLHLKKLGAELTVLTNDQATYIGVSQNGPFKHEDYKY >CAK56224 pep:novel supercontig:GCA_000165425.1:CT867986:177583:179314:1 gene:GSPATT00004318001 transcript:CAK56224 MQPDQIEEQKKKIQESEHNARKKEIEMQFKNVFDEAKKILKREYYENEMSDKLRNLLEWI ESDISKLSEKNDRDFVKKYKSFVETYRSGLVEFKEILLNLKKIEENRQEIKKVKINSIKQ NPQSLNTEIKFSEKRLQRMRAGVNLIQVLEESLARKYQETMKKSLQDQPIQKEPEQQMDL SETEIQIFQELENGLKKERVSQTNVDLDKDIQKQKDIQIFDQVERALEEQKEKYQIIFKE FDKGFQKQKVKCESIVKSSYKPVKGDLDFTHKYQDTYFKNYFREKFKLANYFLGDQLIKL FLHENQKYVNKVLNKNSNSSQQNSFNEKKIYNKELDPKNFIQQDDLESNGKALQKILKIN EEIQKELLQDEHQRNIEEMNKIEIQKKQEHWEKVLQQDDHRKSTPNLIKKASQVQLKKSQ TVEKKTISIKPVISSLKKRTIYYQAHPNFPLISEVYKSHQNVPEERLKKAAIGYDIIQQP SRTLFTPPTNVNSQLENKNKVLKLEDDIYPQQPNLRVPRTAVQNFRGTFFPSSQHGLSRQ NYQDYKSLTTLWGEQWNNY >CAK56225 pep:novel supercontig:GCA_000165425.1:CT867986:180435:181421:1 gene:GSPATT00004319001 transcript:CAK56225 MSYSEKHKRNTSPVLRTTSEDRSKICQNKDNYIQYLEQQLQKTSKVVYQKYEERMRLVEE TLQEHDEKFENFIKLIKLLQHFATTQEQENANIRNHVNISMEEIYSNELTKLKMQNGKIE QELFEIQQTYQKEQPILQEFELILNRKIDVIMDELKQSALKTDYQKLEQRLSKFELNVKS EQQQKINESERQLNSSLTHQQQLNDLKIVLDSVIQDQEHTKNTVQQLQNEIFFNKRKSQN QQIRKSITKESLYTENETLAIRPAKEMKENIKKLDNKRNKSKSSMSRSGSRNARLETIKK QKQENVKDLIKKHKQSSQKYI >CAK56226 pep:novel supercontig:GCA_000165425.1:CT867986:182521:183339:1 gene:GSPATT00004320001 transcript:CAK56226 MDLMLEQQYVKADGVEEQVSSSSECSHDSDSSFDLENKINNKSHQKKLTNDLNGDDQKKN GKFGLKYAKISNKQRQALIERVTSTGCTIKSAAKDLSINFSTAKAIMQIFRREGRITKKI IREIKSKTIKNLGQNNEDNIQKKSQLEEQNLKFVKVEAIQDNNETNLYQQAEEANRHQAL IIQQLSTQNLYLQSRINQLQQDKFQINSKYEQLLMQYHQLQSMARQFLQPCMFQFPTFAQ >CAK56227 pep:novel supercontig:GCA_000165425.1:CT867986:183614:184776:1 gene:GSPATT00004321001 transcript:CAK56227 MGNCNIYGQEIEEEDLNQSSRNFEFLELLGQGNFGKVWKVQRKKTKEYFAMKVMDKELII RKQYATVVMQEQNILTSIRHPFLVNLISSFQDKKRLYLTLDLLTGGDFRQHLNYNKVFSE EQTKFFIACIIVALDYLHSQGIIHRDLKPENLVMDHRGYLRLTDFGLASIWRPNNSQEIN GTLGYIAPEIMCKQNHGIAVDYFALGVIAYECMFGKRPYRGTNANQIRDLFLSQQVQIKQ SQLPIGWSLEAGDFINKLIQRKPENRLGANSPSDVKNHRWFQNFNWQNLQSKIIIPPYQP KRKFSCVENSQQCCENKKQMNKIILNDQQQCINKFKPLAQFEGYCFEAEQIKNKV >CAK56228 pep:novel supercontig:GCA_000165425.1:CT867986:184993:185650:1 gene:GSPATT00004322001 transcript:CAK56228 MITIISKDNVRINISKQIVDLAKGLEPYLIDQDIVFEDIVTGDFLQALKLFYEEFQFDIE KMKFPKPMQLKENEKVFGKVLLDHFKPFLDIAIRDKNIDIFIQFIRGAHLFQAKHFIEFI NCCLAMTIQFKGQSEYDIKQFQKDWNLSDSYQESSFNQIFFQKLQNKYIQALSTKDGEQQ VQQQVEFDEDVFSDGW >CAK56229 pep:novel supercontig:GCA_000165425.1:CT867986:185786:186118:1 gene:GSPATT00004323001 transcript:CAK56229 MAMSHGSSILVGSIIYMVLGVAACFGFNSYVSKKTKNPHDVPENRTITLVSVTIATFCAW LMWVVAYMAQMNPIITPEWENHQPSQKD >CAK56230 pep:novel supercontig:GCA_000165425.1:CT867986:187170:187602:-1 gene:GSPATT00004324001 transcript:CAK56230 MKSENSGSLHPDYLELNLNNSKQQDLSNSTLIKSGHWSEEEHQQYVQFLLRVKGSGDSQR KGQPLFKRMSQIIGTRSPSQCRSHHQKFNPFNPRLRRNHKKKKLPKIEKPVLRSKQIMRQ YFSENKVHSEDEFQSD >CAK56231 pep:novel supercontig:GCA_000165425.1:CT867986:188625:189920:1 gene:GSPATT00004325001 transcript:CAK56231 MSHRKFEAPRHGNLGFTPRKRTKHHRGRIRAFPKDNKSSPVHLTAFAGFKAGMTHILRTV ERPGSKLNGKEVVEAVTIVETPPLAVVGIVGYIETPRGLRALTTVWSTKIAKDTLRRFYK NWINSKKKAFTNYNKANAEPKNLETQLKRIVKYCQVVRVIVHTQMSKLNLRQKKNHVFEV QVNGGTTEQKVNYAKGLLEKEVKVDQVFKQNEQIDVLGVTKGKGVAGVIKRFGVKHLQKK THRGWRRVGCIGGWHPANVRYSVARTGQLGYHHRTEMNKKIYRIGAAGDKNTASTEADLT SKAITPLGGFPHYGEIRNDFLMLKGGIVGPKKRVVLLRKSLVPQTSRKALEEITLKFVDT SSKIGHGRFQTQEEKAKFYFTRTQRKLKQQQQQ >CAK56232 pep:novel supercontig:GCA_000165425.1:CT867986:189922:193287:-1 gene:GSPATT00004326001 transcript:CAK56232 MKQTKCLIITLVVFYLLGLLLFFRGYFIDRRYLLDTTEGTMKPTQPVILLVIDSFRIDLA VSEHFTFIKNMTQSHPDQSLFFLSFAEVPTVTGPRLQAMTSGNFPPLSKLLDNFHASEIK EDNIMFQMKKFNKKTLFSGDDTWIGLYPDQFTLQFPQKSFNIGDMHSVDQFNCDKILENL DKGYDLIVSHFLGLDHAGHKNNRVLNNPNLDQKLSQLDQIIYLIYQRMSNDTVLIVAGDH GMANDGNHGGNSTEETNTLFFATRKQGKFYPRYMENIPELKDNYQSTLINQSEYIRKISQ IDIVPTLATLLGIPIPFSNLGYLMNEFFNSEEHCLNNLKQVWHFVETVHSRQGKFSYFQK NQWQNQYSEVKTCRDALILMNDIQIVARKIWNEYDIPLLNLSFVLQFLIIIFFIFVMIIV FQAQEDDDPLTIQQIAAAVKHIFSNNKQVTIMLAISSALLYLFYEIEILITIILILLMIY INFAIFIKIKKNHIQNQNQYQWDLIEKPNTIRLNTNRFSKLFQLLLLLFFIYKVILQGQL LLSIQQKKFEESYLYNEIIELLVLSSVICIFNLFSRLADTQEDATSITKIKDGVISCVSA IIIYYALNFEQFYLAQQNYEFLLEQEYILYLPALFLHSCLFMYQKKNNILDKIIGQFGLI LIDMFYIYPDTTIQDQPYQEFVILHIPKIIYAISIYYYFSRDPIFLLFSCIVVSDKYGLA IYSYEILIFIFLYFHWRNLLKFSMLSFFAMMTLICQITWFIFGNRCTISSIKVDRAFVGV KEFHLWLNPLILTLFLLGPYLAGLVFIRYMGPKLCKHGELNERQVKNSNNLFKLMFLFNF YIQIQFTQIHSYENAGGLIDCDFLDCQFTDVVDLNGIFCVYEIQRICIKQLKRFIQSFEM GKFLKPGRLIVLLAGRYAGKKAILIKANEETTKDCKFPNGLVVGIQRYPRKVTKRMGQKQ IRKRTTLKVFIKQLNLNHIMPTRYRLEESTLKEVRDRIERVKESELKNVEKRKELRKNLR KYLAEKYRTLPAGSLADKKAQSRFLFSKLRF >CAK56233 pep:novel supercontig:GCA_000165425.1:CT867986:193345:194027:-1 gene:GSPATT00004327001 transcript:CAK56233 MPAKKRTTRIGNWYKSDDEKVHFVRRRKAPRPSQLRKISPGQVLILLAGRFQGKRVVFLK QLKSGLLLVTGPFKINGVPLKRVNQAYVIPTSTKVDIKGVDASKIEDEYFKRTEAQRRKN EKGFWAKRGELTEEQQVAEKKRLDEKRKTQKSIDDALVATIRKTSLLKQYLGARFSIGKT TRPHDLIF >CAK56234 pep:novel supercontig:GCA_000165425.1:CT867986:194923:195513:-1 gene:GSPATT00004328001 transcript:CAK56234 MYTFNFYLSHVEQEILNNLKNSEVNNIHYLHYIMEIYIVNQQILFNIINRKLTHMGCHIR KQEKPIILESEVKNKEYIYQLKNQGARKMLIYQWGEINLQSIGMSLNVQVSQQYHYEKKK HHLNKYMQQLKRFQTLSMSLYLCYFIWNYMHLFINLNNALKEKILVVNFLHQPVQNTKLQ INEREYTIIFENQELQ >CAK56235 pep:novel supercontig:GCA_000165425.1:CT867986:196342:196842:-1 gene:GSPATT00004329001 transcript:CAK56235 MNSQTRQNITVLTIIVVSVIGGSFLYLSSKEKHFESSDCVLLRTYSHYYGIFCFTIAALL MLVHIYYYRLFLFASVALKDKSCMIGVDQIQLISGIIGIIFTFLIAYALYLHEPCGNLRK FTILYFIFAMILYCLQCFGMCTGLGILEK >CAK56236 pep:novel supercontig:GCA_000165425.1:CT867986:197531:197955:-1 gene:GSPATT00004330001 transcript:CAK56236 MNYIPPSVFSDLKRRQLIFKSTNIPTFSKTTTLEGQMKSKKVLGIKKKIKKKIYIIFING ESLGSPYNTNQFLLKKHESLDNNNRKEVQPPGSLLAYLLNEKQVDHQSPITNNFDSIKET QELPQVPLNQYQI >CAK56237 pep:novel supercontig:GCA_000165425.1:CT867986:198278:199729:1 gene:GSPATT00004331001 transcript:CAK56237 MQQQQKKPSWIQQLFCGCVREEPLHQDQKIQRLSSKMEIQKQKPVNLISMQQQSEGWLNK TTSQEVDYSADVERDADLDEDQEKIYKRHLSYLEQNSQLQKTSFSDEFNSNNIEMKLLHR IGGLLSRQSNIQIEIQSHLWIMKIFKDVQLVVQDKEFEQKFKSQLINSAIVDIMNQRIKT NTILLAHDPTGSILLKLASPSRKIQYLQQDKTFSNIIDRGICMLKVKVDKVLKMDLDQKV DVVIVNLYYVDCKDNLKNIMYEYLQYAHDIIILLQPNIENSIIYDSAEQAISLTEQANQN CSVELQCLTDSNNNNIAKLLYYGDITEITLNDELNFVYSCIDSSIKKQYNYKLMLRDLRN QLGMSKLIRKLSQSQIISGIGSFPDFVTSINGSIKKYQLMNVQTKDFENENQDAKHKLSE LQSSSSSTESEPEDYQDKIGSFSESYNQSQILEPLQSKHCNSQHFNI >CAK56238 pep:novel supercontig:GCA_000165425.1:CT867986:199765:200091:1 gene:GSPATT00004332001 transcript:CAK56238 MSYNSGFQNRQQLQQYEQLLQLLLVTLNKRLVKFYSNEDCDEFAFRNIFQRIMSGMKSLE KQYILMTQSNRKFMPPRFSETVRNLFDLLKLEEQHEVSKHLFRVKGYT >CAK56239 pep:novel supercontig:GCA_000165425.1:CT867986:200133:200618:1 gene:GSPATT00004333001 transcript:CAK56239 MNHDKKPTQNLQYQLSEDQQEMPPHPLMQIDQRQDSNKLDVVFEKVDKYEKFGKLDQIDK NKKYESGITETTTPRKARESLQQQQMFQQQQFNLIPRDFINQLEECVTRNVLDKLNIPKK SKKKKQRSSSNQFQFRQVLLSANDKQNSSFQSTKRKFNNLI >CAK56240 pep:novel supercontig:GCA_000165425.1:CT867986:200643:200771:-1 gene:GSPATT00004334001 transcript:CAK56240 MRRNRRQIHFTSIFGTTQWKYWDLNGIIGYCQFENELYHSHN >CAK56241 pep:novel supercontig:GCA_000165425.1:CT867986:200777:201576:-1 gene:GSPATT00004335001 transcript:CAK56241 MFLKYLPPVLFSMFFVGMCSFYYITYLSMQGVYIQLIVGCVVLLMVMSAIQVIRFGPGYV DQDKLETQLFNELQEELKLLMMSEDRLLDFLDQNQCNQPLSEEQLIHMLNIKVLESKGIK ICKTCQSYKPLRAHHCSQCKQCVFRMDHHCMWLNICIGMQNYKYFMMLIFYLNLCIILVL ITYFQTYILAIEESDFQLIAKFTYYHSIFTLFMLFFPFFLHHIGCQQSNDY >CAK56242 pep:novel supercontig:GCA_000165425.1:CT867986:201666:203374:-1 gene:GSPATT00004336001 transcript:CAK56242 MSLQYIPTINLILNGFQRTGLSQGQAYQKEQLFSALDKLTGQLYDRDVAQQLFEQCQPNQ RGQVQLEDFAQVIIEADKVLKKKINQTEQQMQQYREELKECQQLAQELQCIRYLQKDNQK LNPQGVDRDSQLIIVIKQAHNIQYSDYQYSFVMIALDGAEVQTQMSTGDKYNPTFNQQLN FQIQTGSEEILIKLFVQDRNQKRILIGQSHLDLGEMHDQQVHSQQLQLVNERQQQLQTYL QIESQWIHNKLKYHQEMILKYEQTIQQSQIDIDDYQRDLLTIQQPFLENNLRNNLQQSLK QPQNSSLPQQNQAFLYQQQQNFIPQQKTFQMQPQQQQNIQIHQPDPTVQDELSDELYYGF ILYMVMLLLALFQCFARPDYLDIILAMLYMIIVCRDCLESTYIKIVGALTILSVIYDIVW ISIYKNWWSGTDKTLPTWGEAGDPIVRMTLVFCVFNIILKTALCYILFHYYKESQENKII VFKFWQFEFQVGAHKQNLFTLKGLLL >CAK56243 pep:novel supercontig:GCA_000165425.1:CT867986:203828:204566:-1 gene:GSPATT00004337001 transcript:CAK56243 MIKQLFRRSLTIQPGLFSFSEYFKERDKAEIFEYYNNKFTDKRYIMYTQKWRNDLEKKAK RRARHQELERQRTPPVAQECKFIVHDQLKGIELPTSLKFAVCKIGGSQYKVVKDDQIITE YMEGLDINTTIELDQVLMVGAKDYTVLGRPFVENAKILATVEQQTLSEKELIYKKKRRKR YQKSQGHRQRITILRINEVVHDVNDQLLNRAVALI >CAK56244 pep:novel supercontig:GCA_000165425.1:CT867986:204708:205804:1 gene:GSPATT00004338001 transcript:CAK56244 MSGKSIAQKQLKSFFNQLIIEKECLEEESQYSEHPGGWIEWFCAHEDHQFLCEVDDDFIR DPFNQIGIKGKFAFYSEAINMILQSTSPEDQDLEDERFLEVYQEASDIYDSYLLRKDRQQ CGKDLLQGKFGHCPRIYCEKQNVIPIGLCEDLKTARVKVFCPRCEEVYMPKKKCADIDGA YFGKSFPQFLLMTYPDLHPKYQLLPDTQIKSNFEPTLFGFKIAGKQGSKIKRFEQQLQFN QIITQPIVQSTEQNILLQEHKQIRIQQSQQQTQQEQQQQQQQQSSQEQNSEHNHKKKNKK KHKN >CAK56245 pep:novel supercontig:GCA_000165425.1:CT867986:205836:206571:-1 gene:GSPATT00004339001 transcript:CAK56245 MKLFLIFIISAYCKLASNETNGNNTDHEEKKQLMDDWEGQMADFIPDDMLSFELQKGEVE ILEQYIKHPTNIRGAFFLSIMNKDKIDFSIKDPRGKIIDNKQQKKEAVFSVNITNPGNYK FVFSNANGKSNHVVTFAIDVRNATYEHIKEADLDPVQKKLSSLYTGLNDLMFDTKFSQQK REGGYQFLRDNNNKYFIYTIVETLIIVFVSIWQVFYIKRIIGVQRKFV >CAK56246 pep:novel supercontig:GCA_000165425.1:CT867986:206612:210359:1 gene:GSPATT00004340001 transcript:CAK56246 MQIKRNRPKIGVPKSTILNTPQSTNQTLPSNKLIGQKTIHEPFIDQSQSINLHKQQKEMR QTFKLSPVQQLLIISPFNLDSTFRQKSHIQKTEGSASEPLLKINMKNLEIPKDRLLFATE TKHISKSLVDLDDQYDYIKTFRAIPLQTIKEIYDTDRKEIERLSSAERHIPIDSRLAPIR PQLQYPNIPEFRKYFKENERYQELQQFYQIDPNIYQKMTEKIKQEKLMPREMKLFTNNNN DKLIANNLLGSDMYVDLFSEGLSSSHFSNLKSLQTEKQQVKQQQNNYDCKEFTNNNAIGN GGIASICDFLNSKNCYLQILNLEDNKLRDGPIMTILKTLQQSKTIKVIKFSKNYITDISM DQFGNLLKTSNSLQEVYLHYNQIRNQGGTVFFRAFLKNQYMKVLDFSFNKLGQHKECVQM ISDVLGKPHSELSHLDLSYNNFNNEDSKIFHQAIMFNQIIYGFHFEGNGDYCTNTRGFLV NQKEIDQEYDALVSKQSIRLSTQQFVDQSLSRIDLKNKMNKLDQHFQLADPSLFKRIQST DYNNNKSKDNCWLCEGWTEIRFQYVVGKSGNISDYPIYLHLDFENYRPMIMEQDQQIFFL LRMCPPNRKIRYFFSNPFLDIQFTAKDQRITALDDFEPIKVQGIPMIYADTSVVYSQKIS IVNYLSATINKKVLDSQKHYIPTVLSRPREQEKIVYIDPNKEKEKSWSIENSIFRDFQAD TEGHLLDCFDFDFECGRLQKHIPDPEDLEVLKTKVKVFYKNILACYKYYCAETLNYETPC LNQQSFIDFISQTNILSKSILNNIDLQLTYLSSYVVQKSAEFIHVLDKCLVRYQFLEIII RLAKEQYLRANLCNNITDALDMLFKQDKVLDLIQEFGVPQDWRDTRYWTQLMDSTIKMKI QFIQLMYDYVSKITFKHNKYVTLTDFKLFIEQLDLGRYVSEKERYLIYLQSMQTQRDELR ESNHIQMQFIEFVEAIARLAEKISPISPMYAVRNPTVNKITRKSLPLFVKFEGLLYIIFQ KIKQGITTKTNDQQIQDLEKNVISKTILKTVQAKKLGVFEEDRSSDEEREKESKNVPLLP DEKDQQEVKEKKVAGSGWSKLRNWANKKKLQRQGNPEQINMLKQLQTYQDEEERYVQVEY EQASIYEKMEIKQRQKMKQEFQRTKLNQDPTFKFEEDDEIKRF >CAK56247 pep:novel supercontig:GCA_000165425.1:CT867986:210401:211033:-1 gene:GSPATT00004341001 transcript:CAK56247 MKIHTQKVSSHHKTDNYNNNKTFYNGHQFHQTLNSFQFKYSQIKEIDEHLLFTNYNLDFG NHTQDMAKKGLYNLEISKDQYNEQTRKQYNRRRVNTSYFVYPFENNRNLSSQNKRDNKRE SRLFNRVQIPDTMKIPYLNKKNRLIKLQLQQLQNRQQVEYDDIRIASRISFHTKTKSNLK KRLNPNVNEQLQIIVDEHQSSDFSFINGWE >CAK56248 pep:novel supercontig:GCA_000165425.1:CT867986:211056:211675:1 gene:GSPATT00004342001 transcript:CAK56248 MHNYNLRNSSQKQLKTLSNQFQSAPVLKSIEQLKTEGIQYYYHQGYERKALHQMFNLNTK KRHIQRYINQQLTNADLNGISLKTSQIDRFNLYQKGLTDELQANSKSYSNLNRLKKTEFQ ANSTEQLFEYKNSQYRDLRITIGKGIQSIQPENNQINQNRIQMSKKLTKQKREEQLLQAI RKDNLFPIVQKSNIFSN >CAK56249 pep:novel supercontig:GCA_000165425.1:CT867986:211700:214585:1 gene:GSPATT00004343001 transcript:CAK56249 MNFKFKTIRRTRNALNAQQIYWEIIKLKKYFRMRTIFGEEKKAEIIIHRTQLPNKYRTQD MSLFIEQHQETQNMFYYIAIGLFSFSTMHFYAKMLYDDDTRYWVSIRYPNHMKQFDIFNG EIQNQYDNVLEKLQLKNKDLSGAVGYHNLKQGIKDLFKREAKIIDFETTDKSIEGLDRQK STQINQMNFIFDQLNHQIDDIINHYNLSRIPALQLISVIINYLVGDTRQICSVDKKEDIY KTILIIKNHLKNDPTLKMNLYNADQIVSKNDLALKDIDIHYKCKFELNEIKEKIKNRDQK LADTTCSYLRDLQGLREQLYRQANVQNYDYSDHRHFDSFEVTDPKYRELLNSKLNNVKID YEQKIKYLTQIAESQKKEIGTLKTQLQDYQFRAEHYENPEFLVRKLFHIERDPYEVWRLI QDNQGNPFFFQVFSVQKKGYGIDYIEIDQLLMAVKVQDRIFERQKQTLDQQLAQFMERIL EDVYDLRQKVLDKESELDTLQKKYTRNLNILNKIILKAFNKIISSYNQLLMKTSFKLWKD QELKLAPLLKDLSQFQIKNLEHDLFDFFIEQIHEMQDKVTFLELKLNGQKFLSKTLESKN SIITNTLTILKCHFNIKNYDLDLEQKLLKLDNFQIRFCQELLDQLIRQKMTYQKYLQSIQ KHDKYVQTDCTEIINQSLQTKEIMVDNVCLITYIKEKYQEKKDKLLYQSGLHNSNRSNSI QENHKSNFDQLPSLKQYNRKMIQIDSNNLKQSNHINDLQQDQSNNITRKTSLRESEITDK RFTKHKTDLQSHSPNNYQTQRVNATSLSIENDGLQMRPIIQNQGDFQLDNLDSNLLFPNQ KNLLPQLQVQKEIKQNIIKQIKQIQNSQCIQCESEPEKLKKSKEGKNKSKNHKYKNNIII QYEDYPKHEQQEQLYLFLNQSSCQSKKNKTKLTQFIYKV >CAK56250 pep:novel supercontig:GCA_000165425.1:CT867986:215183:215557:-1 gene:GSPATT00004344001 transcript:CAK56250 MIDVFSIRDRNSEYTDLSGNQSRTQKSALPEISFPQNNIVVSSCQQNLENLIKAQGDCIE INPETERIRKDTDQRREILLTQKGKQKYKVTFRDQIPGQSLKEIKYYIKEPPQDLDECCP CKIF >CAK56251 pep:novel supercontig:GCA_000165425.1:CT867986:216158:217472:-1 gene:GSPATT00004345001 transcript:CAK56251 MKSCCSLLTLSLMITLSLALYDADSKVIKLTKDNFKQLVLDSGEPWLVEFFAPWCGHCKA LAPEYNKAAKALDGIIKIGALDMTTDGEAGQPYGVNSYPTIKFFGVNKADPIAYEGERKK NGIVDYLLDRAREIALNRLGVEIKPQPSNDDSKVVVLTDANFDEQVINSQEAWFVEFYAP WCGHCKQLQPEWNKLSHQADIPIAKVDATAQTELAKRFNIESYPTIYFFPAGNKKETHKK YEGERNLDALLKYIKEQKPVDGQTVVTDVIQITSDEKLNSICNTLCVLGFLPGDKKEQDD AIQVLKKTQLSLTGRANLGWFVGEQFDDFEAELSVIGEGYPQVVAVDFNAKKYFRFKKQL TVDNLNEFVRGVIKKTETGQSLSSLPKLNTQKSDL >CAK56252 pep:novel supercontig:GCA_000165425.1:CT867986:217651:218326:-1 gene:GSPATT00004346001 transcript:CAK56252 MGKQYAREPAVAKQSAKAKASDLRTHFKNTYEVARAIKGQTLAQALKYMQDVLQHKRCVP FTRFNGGVGRTGQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIEIWAAQRDENVKKEANNKVVARQSRKQAARSKL AIGA >CAK56253 pep:novel supercontig:GCA_000165425.1:CT867986:218355:219760:-1 gene:GSPATT00004347001 transcript:CAK56253 MNCLTHSGLFKSLWTRPIYRAGNLYTWGVHSLGCGINQDSQGDQLRPRRIDAFNGNVAKV YPSEYFTAVITDNGDLYTFGHNNYGQLGLGNTEENQTPQLVRFFRDKGLKVTDVALGSNH AVALASDGNVYTWGNANNSIWDQFQGKASGLGDNYQNNIATPQAVQKLVGTPKGKFVSAG IDYSIVVNESNQVYVWGAGKRGELGNGCSLNFKYPELNPIFEQLSKSGYTIQKIKSCFAG TLALLNEGIVVGWGRSYYGSLGVRQQEYVVTDLENYTPTPVNQKYFQPNEKVIDFDLGYN LSLFLTDQNRIYLSGFDESYVPRPIDLPKDEKILKFSASNNSYAILTDKNFYTSNEFVIP QQKRNLGFLFKSQPKELFDNGNIEQFGGGYRVRYAIVNN >CAK56254 pep:novel supercontig:GCA_000165425.1:CT867986:219969:221077:1 gene:GSPATT00004348001 transcript:CAK56254 MSDLIPETEYRIPKINSYLLEIREPNKNVLLNRTIQSKSQHKKQQLNATSSNHSLPYTGT ALQSSIGQGNQSKDNVSIQRTSQLNKITEQKDIQNDMININQFIHQKKKEQLVQNQYFLK EIKHCKMKANIKNKLENERKSTTSSQDKYEKCWDETLKEMNEDPKTCDVIGDSSSRNNEE QFKRIKKPKRLKSQIFSKIREAEDQYFKAQSKSIIKLMKQRSLQIVDEVTAQIEQEQLLK KEQQYQRSKEREEGKQEIIKSLSGKEQGKLLKLLQNKQPNLQIQPSKQINDVSNSFSKYN KHRSKSFNSALPILQDKGQLQVQNQIQNRKPLLFNKQNLIENQDFSRLL >CAK56255 pep:novel supercontig:GCA_000165425.1:CT867986:221195:222355:1 gene:GSPATT00004349001 transcript:CAK56255 MKLSQSNKLLISPLNNQQPMFPTISYKTSESPSKIVIPLTNYLLFEQQLDQINVNKINKQ QKQNRVDTIYKYIRQQVVKKNDNNQEKSKTQTTTVVNESEDKIKKSKEQPSNSYSLKQIQ KKQSQQKLQRMSKSYIDLHTSNLCNQIQNQCIFELDQEQEDGEHNLCSISQNQINKSPNL YCNLKSKKNCKLINQSKVVLDFNQQNKKYKDQSFQIIHLISKVQQNLLKQKENDRQQQER EYKLKKCLRQKERLEGMYNAQLGLKNRRLNSLLNALKGTDDKKIENDIVNDIRRKYQIQR SQSTIMTRKSSLQLSNPSYVPDEQFEDKLIRKFSQVSDICSSENSLDQTFPYQIKGYLII >CAK56256 pep:novel supercontig:GCA_000165425.1:CT867986:222436:223190:1 gene:GSPATT00004350001 transcript:CAK56256 MSGELPKIASMSLFSTYVQKKNAEEEAVKIRNRIDQIRQEREKILKKIQAEDLKAEQIYK HRLELQLKKEEKIRQKVEAPPPFSLSVSRAQKETLKKIKEELLYRKKTEVKEFRSWHRQD LYGTKMQKSLDHETYRHKVLQGKEEERQANLNTLAKLKERRDRIRYEVEVEKDRVLREKN EYDQKIYELEQLEQLELANLQNTLQRQNQAKEKVNLAQSLPPKEFEVKFGYNSTKNNQNS TSS >CAK56257 pep:novel supercontig:GCA_000165425.1:CT867986:223493:224280:-1 gene:GSPATT00004351001 transcript:CAK56257 MFQQSQFSDQKNQSGFKQGNNYNEQVTNMTIKMIKKIKQSTTDRNEILYFDKQITLIQLV ARFEQTNEAEGKGFITINDDSGYLKLSLLFSEGTYIREMFDIFKEEEPKINYFQFLLRAR VNKDTICFDIMNIKKTGHGYLVSHMLNIIQQAIKSNQIGNPNFVQANTQIDEEEQQRLTQ LSLSTRILNFIKAQTQQLGPVSITQQSIINEFSQTDKLQEIKQSIRQLLDSGNIQSGQGV NTYMLVD >CAK56258 pep:novel supercontig:GCA_000165425.1:CT867986:224337:225720:-1 gene:GSPATT00004352001 transcript:CAK56258 MKVHSQDSTVPYYQVYLGRSKYCLKGRLVMGYSRIMFTLSFVFLNGLSLVQLFRIDPKWD IFSAEIILIFLTDMFMIVTVFSDPGILPRLNSQVQKVMYTECYLIPLKPKSTAELIIVNQ TKLCEFKFCDTCKIYKTSTTAHCRRCDNCVQGFDHHCVWLGQCIGQRNYRYFYCFILFLT IMLTLFLIVQIQHLADTDDYLIIELLIYALNTFGFLVFSTYLLVLHTYFIFANKTTYEYL TINRFVINHHKLLFYQGQGILLQRRLARWHQGVWQKLLKPIRSQFISFTQQVYCEVPSNV QQQRVQQKIQFMYNDTMDKIHMDDKTKTYQSELCSVQQNRQMLTSRQNETTFHQQEYDFR FLKVESESNGEQINQLSHDSREEKQDLKIYGLSKSQQIGYKDLQKGMATKFSKQFNDTQI NKFDNLG >CAK56259 pep:novel supercontig:GCA_000165425.1:CT867986:226548:227022:-1 gene:GSPATT00004353001 transcript:CAK56259 MKAFLLVVLLTFAVAQDDPVDLCVREKCPDEVAACEKNAFCAIAATNCSNKCSADYDCLI ECANKSGNKLLIALSKCGVANCYPSFQFSSGCDVASCAAVLKEECLDQNSLSNFQCAFGF FERHPECECVQELV >CAK56260 pep:novel supercontig:GCA_000165425.1:CT867986:227102:227601:-1 gene:GSPATT00004354001 transcript:CAK56260 MKAIVIVVLLALTYAADPEQCLKERCPNEYAACQKEVFGCASAAMKCKNQCGGEDAECML NCALASKNAKLIALAQCGHENCKDVAVSFCDVEGCVAYFQSECTQTLGLKSFQCASSFFE RHPECSCVSEF >CAK56261 pep:novel supercontig:GCA_000165425.1:CT867986:227713:228361:1 gene:GSPATT00004355001 transcript:CAK56261 MNFIQLGKLKNQLANSKSNIPKIQQRRYSRVNSIEQLISYQNYQKENLEQIDKYPFKKIT QDQSSQIDKPEQKERSQSLTHIQQQYQINSHRLINQQKITKTTNNIEINYSKQGIQLLQI HQKRQNLNEALNSILQQKINNLNARNFRSNSNLPALNSQQGKLSDQSKINQFRKLKQYDF ESQLPEGPQLSFRQFAKMQGLKLPQFLE >CAK56262 pep:novel supercontig:GCA_000165425.1:CT867986:228571:231849:-1 gene:GSPATT00004356001 transcript:CAK56262 MFAEALGEDDEYVRLQKISLGIKEVSFNLRNMQIITDHNILKSMICSYPFQMASIELLMS AFFDYFKHRLFLLAFYRALQEVSELQEYREFLGNRSTRSLKMAINGNVNKIIGEQQKQGS IPFSKQVIEKLLIAKRFACSYLKRFFLLAIELNVDLEEMSIIFKQFIMHTRNHKFQQQLI DQFFDCKQSIFYNFSWKNKYDQELDDFCNKWTIEIAPQWILDRKQSMSSFNYIGTDNKIK TEQDDEYLTPASKVESVADYIEIMQKMICKPIEMVTQHDLLQLHNSDNIEILIKFIINPL SEELIDDWGLLFQRKEIGNSEYKNIFKSSTIPMDYLNTVRSFKSLQFLIQKVNHSRYYQT IQEMMPIIFEKILESLNNESIQLNLNHLAMLIDQLLLICPKVSILKIIELDFLYLFLQYS NNTFIESLIIDILDLTVDKFKFGNYIQEQIWTYLIETKWIDYLHHHIFQQNLTFSNQQFP IIQENDQKSKILNLLSKFKDVQKPIEIVRINILDEYIGHLGGSSVQQNQEEYVQLPENLS YAQLLEQDVDAIRHYLEERRTRNSINYSRKQSRRIESLTATNRSNVISHNRNVTLPSLTS FNTIKSIGTVTNDSKQKRGSVDKFETTEKTAISKTSQMNLTLLQSSRISISSDSGFSSSK LVMLYPSSNLKANPSQFELDQSQFYYNTTTFEYLINILEKILNVTLIHQVRKPINLENES FCKLFFNQDLVFSLYKFYLYEINLNKEISFQCGRIINSIYYLAKRYGNVEEQLILKDVFF QIVEYLNKIIINANKLNSDMSITEHFTFFQTIKNGFEIFEPYDVTRLNKNIYKFLNETVI HLYIIYFFKSKQNTLYHYYFVEFINFIFENAPAYLLQNILFNVGLISSLYNAYTTFYANG FKSHSYNESLFCYIIKLIRSIHSNLNKRELTVILNSLQPLDSWKCLLKTVPSSQIKNDKK LKTQEVELQKSLLESQRSIRQSALNDSRASLSLTVNNRKNSQMQSTPQKFRTRIQQQLEQ KSTSGQSSSQLVY >CAK56263 pep:novel supercontig:GCA_000165425.1:CT867986:233153:234707:1 gene:GSPATT00004357001 transcript:CAK56263 MSFQTDNRALNIKYTIFEHFIFPFKLNPQILNSVNSPNISFEQFRDIAQKCQVSNIEADI MFTFFQLALDNQPGVERKMVDVRQLGLFLSLQNFNHAGRHSIFENVNINDVKYQERQRQP MNSYSPLNSPRAKTMRVQSQQNEVQQVIQFVKSNVKDWIKLLTNSQETITNQEYNLLSLI LFNEQKSISSLIFDRTNKLGKEIVSEWTIKNIQCQEYASTICGFTKSVTVKNNVGEDLKI TQCDDSMIYIDCCVNTLSISQCTNCQIFVGSVRMITSITSCEKITVCVASNYLKISNTID STIHYYGSYSPILYGDCRSIILAPNNSNTEKSLQRLREASIPINKICRDKYQKPLIIGQS KIDWSLLPIEEFSKFILPESHFGCIDSPLILECNFDIERMSKEITEKKILSQQMNYEKIV LPLLAPPEYIKAVYDRYQLFAGIQAQIKQSNLKEENQKLLQNAIQGNFREWLVSTGTIKG ISDLVKLIDQEQ >CAK56264 pep:novel supercontig:GCA_000165425.1:CT867986:234707:235052:1 gene:GSPATT00004358001 transcript:CAK56264 MGGIYKRKGNSAKNKQHHRILKTKSFKRANDQIHDDIKPENIQKWQNQPIDETLPGLGQY YCVSCARYFVNEESIKKHQISKQHKKQEKRAKEKPYTHMEAELAGK >CAK56265 pep:novel supercontig:GCA_000165425.1:CT867986:235069:237027:-1 gene:GSPATT00004359001 transcript:CAK56265 MQSQQERKSLVLELLKSIDQQNHSLTVNNQPLHEFQWFELDNKIRILVHELLEPHLKEFV EQRSDYQTVSLKLDKYIQNYEEFKALYFQNGKGTPIIQQLRQQMLELQEKFENIQQTSLF TINENNRKLDQIQFLRDQQYHQLKMIENNQMQWTEQIEKYRQQNQDFKQVLLDNLEQVKK DNQIAIVTVYDKLQSMNLYLDKQDCVQKDLKVKIDSNYDILQSYQDKLLLQIAQSQQNQQ SLELLNKRFPKLDELLSYDRSVKNDLGLMNNKLQYFENYIEKYFPLFLQGTLSDTLHNCL SENDRFKLAQFEEMKFTELHRVIVSDDGVPNLDRKINEYTSFIEKQLKKNMSFLRDQKVS SNQVNTPIQEDISSKISEIPITPKSDDSSERVGVKDGTNLKIDRNQMEFYLQNKFNEFKL ALEKDVSFLQNTADYLDKQFNVLHDQMIKSFELIKIQNYESVNQITQQMTDLINQFNNIP LLTTELNEVQQQQQQSIQKLLQCQILMIQQNQEEVQYNGQVYSQEQCKEMLNDLIYQMRN ENDNSNIFDSPIKKLKPQLVLDDRKSIRIKTSSQSGLRKSIYSQSQTRSTTEKRKLYLLG SKIQQDLCQSLNNSVLNPRKTNTKLLFQSKANQIE >CAK56266 pep:novel supercontig:GCA_000165425.1:CT867986:237046:237517:1 gene:GSPATT00004360001 transcript:CAK56266 MQKQQPQQETQNFKPQIKKANSFDEEDKLEIIKQGYGINEALKLDILEYYKSSRQQQEFK NPADFIKHRLDNKYGPYWFVFMWDRKDNLNAQFSYYNNDDKVFEFEVQILCYQAQWMAQF NLFIQSRSPCQILASIKWPNSTLIQYVF >CAK56267 pep:novel supercontig:GCA_000165425.1:CT867986:237715:238396:1 gene:GSPATT00004361001 transcript:CAK56267 MRKPPFEHCLDCPIIIKLMDACPLSPFWQIVKEEGLSGLADASLLYELFMVIISLVPWIC GLILGILLLKRRDMRSLIRVLTHLCAHITCDVVKVVYFKEDRPDGSCSLKYGLPSCHSIF AGLYTSWVLIEIFVIGMKLKTRHIIAVISMLIVPYSRIYLIYHTLKQCIYGWTIGLVFAI VGLTFHHQYKKSRKNQQVKVKQ >CAK56268 pep:novel supercontig:GCA_000165425.1:CT867986:238639:240167:1 gene:GSPATT00004362001 transcript:CAK56268 MLSYYSTGQFPKSLDPPSRFKQRQASKGDGESMYKRNFEDPQKHDFKQSAHALNQEFHHK QYHKINADYKDRFFDTMHNTTNQQKQEDRLFYESAARQQNLDQPNHLHNWKIVKERQYAE RVQQQSKCDQGKLVSKNEYQATQSSNTESKTNTLARSNMFEISKPLLRINNDLKSQQLIK NVQIVSDDIPDWCSSTNKYYTPEHIEEIKKQRQQQLAKADILGNFIKEPKKDPDNKVYQD NPFVGQRYEPKRMCLFYDKPDHVRGQQCATQDLSKSKVNLTWKENVSSQRFEPRLPVSIG RTDQPVIKSLNQKRDPNFPKVEKIGQSDVYKKIEKDKPIQLKIGHGSPSTLNPVKY >CAK56269 pep:novel supercontig:GCA_000165425.1:CT867986:240209:241756:-1 gene:GSPATT00004363001 transcript:CAK56269 MSDTPQINIIANDCVSINQMKREKFRMDIRKNKLESLFRQNRGIHRLGDSKVPSNQNILD YLQEFLKSDTIETLNQFCNLIDSKDLFEAYYEVSQFIIDIKYYLIQPLNHQSHFNKMLQL LKNCIIVNPKIVKTILSDEKDKLDLALLFQLMKILQSAEWKSLHVDALNTLNLLIEQESQ LLDIIQQEKLLLEQIVYQAFDLATDEYLMALTEFLSLYLQSKRELKIKDQYNITLDILNR AIQSKDLQIIYGSVLLANCLSEQEFTFFQQIYAISDIIVDYLEQDLSHFEYIVIFKTLKI CIKKMSELLFPLIGKNNIMEILYNLAYKLEKQAGKDLLKLVSCYYKTYTNLHCVIEDQVL NKYVQKCLMEYLDKFVEYYAQSNFAYIYKVKVLDKLINCQKHIQFRLQEQQQFNPEPYIK FFGVIEQALEQVSIKVEQILYFIEALSNVLQIEDMHYQTEVLKLIPNQLQDQLSNLSMHE NDRVAQETTNLIVLLQFC >CAK56270 pep:novel supercontig:GCA_000165425.1:CT867986:241799:243416:-1 gene:GSPATT00004364001 transcript:CAK56270 MNYKDFLEISAQFSLGGLITEQAHPHTVGLSEFAKNDLKTGIQRMKDLDMLVFDVLMNKL DQLAHMNQMVLDTWSKGNRVFICGCGSTGRLALTLETLYRQITKQDNIISFMAGGDVAII ASIILNLELSNQMNWALKREIYQYHRQKEEKPLGLLELLKKQVKLGQPFFLYCNPDEVLT VQRSQDVFNNPNINKINLSVGHQAITGSTRMQCSTVLTYAIGLAILCKENFIDYATNSIK NVRQYYESIDAYQFIAKFIELEADCYLRKEYVFYRSKPNIAINILTDTTERCPTFSLHPF ESILDNPINPSWSYFVMDDSEQKYNNSLQAWESLLYGRQPRALSSNYWHKYQHKVGLEKL LSHDISQDQVERRTKYAGGNHYQFRIAYDQDKLEMSWEFVSPQLERIHFEKINAINDVLG QNIVLKCLINIHSTLLMGRIGRYQSNIMIYVRPTNNKLIDRAIRYVLYLLNQNNVVNENI TYALVCENLFEEIKTLVYGESIVLKTFERVKKQFSQ >CAK56271 pep:novel supercontig:GCA_000165425.1:CT867986:243436:243968:-1 gene:GSPATT00004365001 transcript:CAK56271 MDSKQNKQKAHKTKTMSNKEIYRILAFEFQQQDSLGIKIDLIAEMVSSLFYPNDQNGEAK QNFKNLITQSFKSHCYKDEINEESFCNAMNSVEKYINEETTDRFLQKIFKRHDSDKDGFL NQQEFVFLMKNYKDSHLTEADMLAIFNRMSQGDPKGVSYDNFKKYSL >CAK56272 pep:novel supercontig:GCA_000165425.1:CT867986:243991:245244:-1 gene:GSPATT00004366001 transcript:CAK56272 MKKFEQYIQIYGLMIIAFSYVSVLNTNKYDSNLVSLKETGASVVHILPKYYATANQGKSE KDLDYKQLRINFGNYSDYEFQTYIGKGASGHAYLGLQKSTKNKVVIKTFKSLKWNSIKRE IVFMQTVQGHDLISPLVDVIRNNNSVSLVYNRYIVFPTSQLIKTMTDNGNKLFLYQTLKA LDYVHSKGVIHGDIKPANIIANPKTKLYKLIDWGLSMYYVPGRPKNPSVGTKSYQSPEIL LRYQYYDYQIDVYAVGFMFAQMIFKESNPFKGTKKLGKEKVQYMHRIARVFGTEGLKEVA QKINVNFDFSDFPNYEPVNLNKFVNANNSQYCQSDALDLLQKLMQYDAKKRITPKDALKH QYFDSIRHQFE >CAK56273 pep:novel supercontig:GCA_000165425.1:CT867986:246003:246950:1 gene:GSPATT00004367001 transcript:CAK56273 MQQESIYNLIPKEYVPPPKEPMYRSAYPSNLVPTGSTFNNYTTSRPKINNINGEFELVKG PHSHKGQSNSLGRPKGSYKPDTTMFRLKNTGTMGSNQLPEIQSYKYPPSVKPQVPKKDEK PIHGLKSNKNFIITNAVENILSAPKQIVEDVAWTSKKDYGKVPDYLTKIKSSISSEYEII RNMHISEAEEMDKQKYLMSLEEVQQLKEGLKKKWESVNKEYQSITHIRMIDTVGLKRKKE QCEKELAQLEKDIEKLNKNYVFVDTQK >CAK56274 pep:novel supercontig:GCA_000165425.1:CT867986:247019:249305:1 gene:GSPATT00004368001 transcript:CAK56274 MDKQSQKVRKSISNYTYDLNDLIGQGYSSKVYKGLNTLTNQVVAIKVISIQQLITPISKS LLKNEINVLKLINHPNLMKVFETFETQNNTYLICEYCNEGDLADILETSSFSENDALKVL QQILQGVKALHDQKIIHRDIKPANILKSDGMFKLADFGFAVIENQYESIIKKFNVGTPMY MAPETIQYNEYSEKSDIWALGVVLYQMIYKQMPQNQKLENDIEKKHAALINKILTDNQTS QKTKELILKMLSMDPEKRISVNDIIQLLNPPPKKLTTISHQNISFKSIKSIPASLDLHSS RTLFDDNLLSKQIIKSQPDEVAENNQIFSKTQNCKDHANPRIIKVNQFSSFKDLKITKSP IKTIQTQTQQNPCIQQQPQQTSKPSYNLNLQPIGKSFKESPLKLREQQQTRRLKTQISNE FIDPTEKESNFLSDKASVVKVPQTNINPNQTPLKTVQNKKSSHKNANALDESECIQSSHQ NSTNDTIKQNQLSNCLQIFQERQNLQENHSNNQNQLNSHFKKIKSPIRIIHSQKTIVTPP FKSSGISEQKKQQDSKPNYYLQTKGNSPIFQQESLSQLIQNLSIEKIITDFSSKRHEEKE RQPPAKIRPTFKFLNYLNQIIKNFDNINTEDKQKCYFLLRKLLGIKATYVQQCYPQYKQE QIQVYIDNFLSYYAKVETIFYTSPDKSFEQFFNKDLTQYSISFSQLLLYYLQKISFSKWN QDIQIISEIISENVRQSNDPVLFARRWENDQP >CAK56275 pep:novel supercontig:GCA_000165425.1:CT867986:249398:250239:1 gene:GSPATT00004369001 transcript:CAK56275 MGICQKKNVKDNSQKHTGYESEQVVVLTCIKSHIEYFDQIDLELLKYPDVSLLLRKHIKN YKFIEEGKTWVVVKEIQSFLNNKITILIRNFNPETDAALQLIQIFKKLVSSTQKLLESSV KFNEAKVELFQSFQNQLVQTSIECLIIVNTLISKKLQWWNDEYFQWRQNHLIQTFLIKKI DKSNKEIIGSIYDYIQLLIECIKNLGDLEGSQNAQETDFKAQQDFLDQFYSQMRVGLIQN SFISGSISNEIIPEQIQVVQNVKAYALIINKK >CAK56276 pep:novel supercontig:GCA_000165425.1:CT867986:251724:252408:1 gene:GSPATT00004370001 transcript:CAK56276 MITPQEQLDIIIKKIKSFLNSKSQSTIRTLGKTFRGFESFDQKCRIDKDEFLIGLKQNGV VLTKFQTDFLLNYLDRNRDGSIDVAEFLYLIRGSPNEYRLEVIVQAFRKFDKENLGFIVA DDFKGIFNAKNHPKVTRGELTEDQAYIEFLQYFKEGNKSGHISLNEWKEYYAAVSADIES DENFIALIKETWRLK >CAK56277 pep:novel supercontig:GCA_000165425.1:CT867986:252410:254259:-1 gene:GSPATT00004371001 transcript:CAK56277 MSMNPNDDQQRLYQMFQQMGNPDPYGRMLPVPNPPYYSCWTPPFGQPMMPPPPNQKYPFP EQFMHDQALQMNSLQPNQGGMNLNGMPQGLPNMPPMPMGFGMPPMGPGQIPPGAMGPGPL GTMGTMGPMGPMGPMGPMGPMGPGPMQLPGMGPMPQGPMGQMPPFDFQFKQQPQLQQQLG PNQMIPQQQQQQQQQQQQQQQPQQQQLQQQPLPQQQAPQIQQQQQQQANQNTSTNNNSIN QQNNLEQGFSQVVNEFQLKILNMFVQQNQMLLDFKNKNASLESVITQILDEITNLQRIVE QKFDNGQTEDFVSRLSIPHQEMTHNNILLKSLSYTLNEFQYQLVLVNDLDTVLFKDKNFN LEIALKDMKGQDIKNKSKIELEIQLYSSDDKPMILQHNSSNQVILRLPEDNIWLEDGIVE VEKLQINEVTSHYQNGWVHMIVFPIKNNTKIGSDDINPALIKPLILQIVVKSKKTFKKSS RSRSRSHERLRRLEKLENKSSSESEQEIEQKEMKISQEKQNGNENEKGEKDNQIEEQNED EKANNNKNNKNNQNDNEQQNENQKQKEEIYQNNESES >CAK56278 pep:novel supercontig:GCA_000165425.1:CT867986:254275:255505:1 gene:GSPATT00004372001 transcript:CAK56278 MKQQHIQLPNLSKLQHLPSSDRSPRTVNTPTRKASEVLVKFNFHLNPSLHKKKQIKNTPN NEFLTKKISKVTRNIMELRRRKKMKCDSLQIKPRIQACKTNKGGTISVLKNNEQCRSYLH KKYKDQIYTGASEFSDKSKDKLRLQMVKWLIDNKKDVVCALNENKQNILEWVQCVEKVDR DEFDIILHGIQLYKDPNLINRLFWVFDLNDDGYIEFNEIQYSINLFREYDQQDKIQIFFE LCDENDDGYINEEDIKKFFSKNLTNQEEIRQMKFLMKDFYQELNPHYLKGLNAEDLYQAT LSDQNIRVIVEKNTLILKSNNKKEDDIGSSLNNLIYSGQYEGKQGIFFPQIEGLIDALIE KDRIMMQYKQIKLDFDQIEDNSD >CAK56279 pep:novel supercontig:GCA_000165425.1:CT867986:255547:256401:1 gene:GSPATT00004373001 transcript:CAK56279 MAGKIQNESLDQLEERAQRIFGKLQSIQNNVEGEKQQKFKLILGYLQSVESAIEDVQQQK NQKFLDIAEKLQRLKSMLDTEKEARDQINEKMKKEILSVEKNCKTLLNSFSKERLETEKR VFQNLSQQVDQLSTEIQKEFHQKSETQNKLLEIQNDQIPQFYQEIEQEIRIREEIEEKIA QQFNEQLDELKRIFDSQQKQREQREEEIIGILKKIYQNAYEACKRNRADRERNEELLVKL VEQVVEKIKREIVDSDF >CAK56280 pep:novel supercontig:GCA_000165425.1:CT867986:256456:257460:-1 gene:GSPATT00004374001 transcript:CAK56280 MLNIGDKLPSIQKHHMKRIQTEIQYEECVVKVAAKTLPGQNIKKKLKINQDSLAIKQNLC NQNNWHLFGIFDGHGQNGHLVSQFVSRHLPKAIENILLQNYVTNPNLLSKSLQVAFQQVE QDLVDKTNIACNFSGSTAVVILLIESRIYCANLGDSRAVFLYKFQDLWYNRPLSYDHKPN KNFEFKRIIRLGGRVEQSLIDGKRQGPFRVWLTNEDVPGLAMSRSFGDVAAKSVGVIAEP EILRYKIQNSGFILLASDGLWDKMDFESIQKILDHIDSPITQLDMELIAQKILGETYSKW DRKDSGRDDITLILVHIQI >CAK56281 pep:novel supercontig:GCA_000165425.1:CT867986:257577:259141:-1 gene:GSPATT00004375001 transcript:CAK56281 MALLGKTLKGRTTKAGGTEQKRNIKIRQITKSQQEFEESQKQAQFKKEQLRSKIREEQKI VNFNTKKLMAYWRRTMRAVKTEQLKLDIELYSQNNKRELDSKEAFIQMLDKNLDEAEDQY QIALRNHLIHLENFHLLQESRNRALLEEFERDIKILQEEFQIEFDDMTKTHKQQVKELED MIKTVEEEEKRKAEQAKNQHQTNREETKNKDVEDTSQMKQQLEDKQTKFYNDLEQMHQKY QSDTAKKTEDHTKYYDANKDMSKKIERLVRSIASKKAKIDLTKYKILQHTKECNARNQAL KKEKENIAKNYQDLKLKMNKFREEQSRLLKELVNNSRNAVLKLTEYKELGEKILKTAELC RRLETEREKVLPFYEDTVDLDQIPENLKNDFEAIEKEQYEEFAYLNNFYKRYNKVLLDIL AIQKQKEALQNESNQLQSLLKQYLDGLSCNDDVLSNPNPLFVKNFNIDLGERQVAGESQQ TIIEGVFNVRSTQMQLQGQRVGPFQ >CAK56282 pep:novel supercontig:GCA_000165425.1:CT867986:259183:260812:-1 gene:GSPATT00004376001 transcript:CAK56282 MTNDVTKNKSIGQYIFGKQQIHKQGKTLGEGTFGKVKLATHILTGEKVAIKILEKSKIVD ASDVERVTREIQILKQVRHPNLVQLYEIIETPKQLFLVMEYVNGGELFEYIVQNQRIKDV EAIRFYSQILSGIEYLHKLHVVHRDLKPENLILDSRGKLKIIDFGLSNFYKTDDLLKTAC GSPCYAAPEMIAGKRYQGLQVDIWSSGIILFAMLAGYLPFEDPNTNQLYKKIIAGDLKFP KFITNEAKELIKNILNTDPQKRYTIQEIRKHSWFNFIKDNQKIPTGLVIGFHKIPIDPEI IKQLVNFGISIEYAEKCIETNRHNHVTTTYYLLLKRYLIAGNKSIADISSELFEPQRNSI RQTTQTDRKSSKPQNPLPLIQIKNRRNHTQQADDSPIDTKPRLNNSVNTQHTILQPSPTI LNQSIDIPRKIHLDLQKNTLLDGSLLQPQNDVKVLKLKTPANRNYILSEYYGRFPRKSRN QDRQSSRQSSVDQEETYYKTFYKGTSVPKK >CAK56283 pep:novel supercontig:GCA_000165425.1:CT867986:260876:264569:1 gene:GSPATT00004377001 transcript:CAK56283 MNFKFPGTYAELKDTNFSEFYRVKSKNSVPNMDDRLIFIKINQFQEEFHQMPTLIEDAQN FDFLYYLVEYLDKHSNQKAQFQLIDIFSFQILKLHNKNSKAFQMTLFLFLNLLQQKQVQG PQVKRALKQLKQLFSLNSFVDLDREGIRYVFDLIKMAIEIKETQIREVWIEVLISALIIW SKHETVLKNTIVHLMYEQESVVPNLSKFLVACSKHDKLKSYTIDQLGLLINFITEKSTNQ TESLAVKNLRELLTISSKEMPKIYYQQLSSMIGLYDNENYHIRSGLSDVITNVIEYLVKE SKEQNDDEVLLLNANNLTKSLISRHLDKTALCRSNVLQCLSQLLNLNCIQKIHLPTIFSI SQSRLRDISGYARKSALQLLKSVSRCYRYIYVQSQGRDKFWSSKEIGEQIKSNQLELDNI HNDFQRLDLQFQEGNISEDQINDTIKNIKKRTQEIQKGQEYLDEYSKFLDGMKIAINQVL QLCQSKNQADVTHSIKLFAYLSKYDFESANIGLRRMLLLVWSQDKSIQQEVIKKFWKLYL KDNKNTKQIVLSIIDLISTSNQKELLSIEKIILSYETEQAPNYKLPNKAFSTLWDLFGRP EINQRSMLIFVRIMLTRNCSYFNMEKIKQIQELLMAYNRKDPDWIIIKELSLILSKLDRN QEKNQIYINNQIELLTRLLVKYKDTQDMNYFSACDSIIQLISLSYNPECKFEALIKQFEF EQHSKNSMELEQLSNNDKMHLTHAIYIAGCISLQLLVLIDKTHRQLKQLKNDRESQIGKE QEIDKISGGLEGEFERIHEVVNEIQDLNLVQQNLLSVFSPLVIMIIEDCLVDMENKKSSC LNQQSPLVQVCLITMCKFMCLSESYCRQNIEMLFNIMKCPLFDQVVKNNVLISIGDLLHM WPNTVQKFHKQIYSNLLDSNGPLRRVTLLVLTHLILNDMIKSKTSLSHIPVLLNDPCQQI QSMARYFLNELQKKEQRAISNAVPDIILNIHEHNEIIISQISLYIDKNLVESIVEKLVNI LGISQNQSEIKNISILFNYMNLTQTSLQRFLDGWEQYREKLKDQFVYNQFISLIKKLRRT LPQESRIVIDEFEMKIENYDKETFENRRREKVSKRKNGKTQFQDRDDKELKENISNVKQQ QRRKVKKKDEEILEIESNSEQETSQAQAMKLQTNQRRKRKNLQKNED >CAK56284 pep:novel supercontig:GCA_000165425.1:CT867986:264585:266302:1 gene:GSPATT00004378001 transcript:CAK56284 MDQNSNYFFNSAQKEQTEFWNRNFHILLNNHENFEIQNDNCTSFYKRSKILKKWIPRLYS VQSNYILYGDRNLKGFRKLDCVYLTSSLVEGPYKYQLQLKYCGFVLELYTDQKKDHDSFK DSLQAHCILTDFHTQYTLLRQIGFGSSAQVYIARSNYNQQLYAIKRVQKNYSVKQKKYEQ EQALHNEIQIMKELNHPNIISFYSVFETNKHINIVLELIQGGELLKQGQYKSIRDARIVA KQLALCLDYMHQKGIMHRDLKPQNILCKSNSLDVLIADFGLATYIKTQKQQYYRCGTTGY VAPEVLMYKEGSKMYNEKCDIFSLGVIFYQLIFNTHPFKDSTKAGMLKRNLAADYKLDEQ TKVPQSCKDLIASMLRLNPKQRPSASQILRHDFFNEALNELSYPSLIGSIQDISDQKKSG QSFNSQVGELKLSTFQKHGQVSIFEKMPLNIKSANQSMEFKELSPLWNKKITLQQPITQP RSKAQSMYSNKNLDNRGQKVSIFRQQSKNSENDIDQHQFPYSTIINRCTIKNK >CAK56285 pep:novel supercontig:GCA_000165425.1:CT867986:266565:267588:1 gene:GSPATT00004379001 transcript:CAK56285 MNHSEGAQSPPYFGMYPLPYWPTSSQFLLMMNFQNPMMSPIHNLGSPILTSHLNFTQPTI NYDIKYEQNSQNKESTQTKQPQQYTSQIQIISEQLDDMNYDQITVENLEALIHLLFAEEP KLKKIQQQLKEKRCLKVVKILDTLAKKIRTQQKNREELIKFCLRKAFREIFHQIQEKCTK KKLNLKAASKIFQQTYQAEKMKSIALPFRKNSKNKTMNNHFLHELFQSKQFQDQYKIFLD NLDTIIENDKNKKIKAIAEKSWEFIQSNRKSYTFKRLPWSLKNLEKLKETANEMLNYCDD QKFI >CAK56286 pep:novel supercontig:GCA_000165425.1:CT867986:268944:270891:-1 gene:GSPATT00004380001 transcript:CAK56286 MNIDKKIYIIEQLIQEAHSDLRVLLFNETFTKYIEASDLMAIEFLCYNAKQLLQILFVNY DEIQPIQYDTYSILMTKVWDIVELNIEEILQQIEVHFYHLFEILTYKFIPDVQWAQAFKI LQLIQKRSQILITESLNANNQLLQNFLPYIYNNSVAQVLLIYFENQFHRQQLEILQIGIQ QYSKFDYSSAINFTYLIHEIMVRIQTKELLEYLLSSQVLLIVNDVLSQETFHFIVRKNAA HVISLISNYYSLDLQNLYLDEPYNQPITEEFRKTEFFRYFNIISIKNILEKTLTRSCKVG LLDVKLIEIIDNIVRISDIELWQRVEQSNVMETIINLVKKYNHSDIFIQYVYNMIAFILD RALNDFHPFWCLQLLTKNKLQTLQIKRIDRQLFAFEQQLQIKLIRDSDFLPYYDELKQIE STLKRSQVWKVIKEQIEIHEDKHKFKLGDDLETPQIDTPFIISAIQEDEPDEIIEPQNNI FIDCFNKQSPMDSLNNNDDSDDENKVVGAFQGMDTLNKLKNVFEQMDLQDQDGQDENQKG KKIRNLSNSLNTETQNFKQKLHQRCLEGDNQMSRLSMSTSTHLKKLNVDLEQFTIEIFEN KKVLKNKILMKVDEIDEISNQQQN >CAK56287 pep:novel supercontig:GCA_000165425.1:CT867986:270911:274988:-1 gene:GSPATT00004381001 transcript:CAK56287 MLRIGEERAIDYETLIQAEQARNEELENDVQQLNDENARLNDEIKRLMEELGKLQSQVSE LREQTTIIEQLRSDLHNVQHQLDLKLQQIDDLNHDVQTRDAELFKLQGGSSVTIVTENKL LQMQSEIDRLQSLLKQREAELDGWRLKYSSLEKVNIQLRTENASIDSLQGTIKTLQQELA SKQERINLRDDKIKQQDDIIDQLQNELNHLQGLKLEVENLKQQVHFKVQELTTCKEKLAA ALREVGALRLYKGEVQVLESEKQLLRDEVDHLRGEIQKRLLEVEELSFIKASQEAQLKQI PFLEDEISTLRNLLADAISEKGILQTQFGQLQNENLALDNKYHTQEGELDEFKLDNQRLL QINDHLNEQLQKVRNERNQFERDADQLDKTLHDVQQLLADAEEKNAQLEKEIKELKDELN KLRQQNLQQELDLQAKDRQHEQQRVQYEGYLNELQLEIQRKEQLIKNWKDKYLQSEQLVS DLQFTAELLKQEQIRARQLELEIERLKQRQLHQPPPQIEHIVDQDEINQLRKQIQELHRE NFDQYQQIQKLKQQIEQLNNHINILENEKHLYIQEIDRLKTQLNMKVEENEQMRAKMNDL NQTIFNLKHLESRVPELEQTINLLRQHSQDLIQQLNVKTKEYEDLYGRYFDKSLEANQVN QLQLSNNKLDIVRQEEVQNRENVKTDLNKVGVDNDRLRREKEYYESKYKYLLLEVEYLQR LKAESLQQDPLLELRGGNQDDVNEDIANLERQQQVLQDQLAKLQSQNEQKDKEIDDLNAQ LRQLQAENIQDLYNKLQQQYSLLQTQITNLQQSSSNSSAEKDNYINELESHINDLQNQLQ QLDQQRSKQIGDLQAQLQKLLDENNKLRQQLTDLTNKFNSQVSEMNSMQKVIEQLNGFQN EFIKYKALYERECLVTADLKDQLGQLDKQARQLAAERDNLLDRIAKLQAEIEQLGKQIQV KNDENAAQSKTVSTLEGQVAQLKPLEAENQRLKQLIDQQTKELGDLRQKLADLQLAADDA NKQKTQFQQLYNSVNGEFEQLKNKFAAKDNEINALKASNTKQESIVKQVKVIDNTNPNDL KTLQDQIAQRSKENEEIKKKFNQMDVELQKVKKDNTEISKLQQAIQAKDKELDDLKKKLD KFSQDSSNLEKLKKELEAKVNSLNSDLNTSKKNFDNQQNDIKKLNQQINDLQNEIKRQQN IISNQTSDLQTWNNKYASVVKDLRSDNPPQSTNQTNQFTTTITTQVNKQPQTSYQQIDDS QSNRSSGYRQNLFPTASQVQQTNTQKPVTASSIYQSGSSSQQPPSQTSSSQIMNQTSTTT TRSYARRY >CAK56288 pep:novel supercontig:GCA_000165425.1:CT867986:275134:275891:-1 gene:GSPATT00004382001 transcript:CAK56288 MSRVLPVICSSFCVSPKNVLKSQRDYSYSYLGDNCAYATSDIEQKGSVRQEQPRTQIKTQ VIVKEADHTFCEQRIAEQQKEIDLWRKKYLELQAELDRNTSYEETIQQLQDRVEILIGEN KKLNQSLKQKIGDLDQARISINELEQHIRTQKNQNEEIQRLQKQLDQSKKQVNEWKNRFV TIEKQISTITNTDMKTSELEQKISKLQQDLSNWKERCLRAEKEKKDLEDCKQ >CAK56289 pep:novel supercontig:GCA_000165425.1:CT867986:276805:278362:-1 gene:GSPATT00004383001 transcript:CAK56289 MLVLYYCACCYILQRQEKKNNLIIQFINLQQTYMKADSFQTKFLAQQIKNKIDPKNYYSS FNLGTSVFTSKMKSEESIDKKLSVSQPMSPSYLKAMRALQDRIRTLEGENGILNEQINIS QNYCTTAHVKSKQRNKVEKLLSTMTKNDVFQKDKTDEKDQKIKELDKKLKQSEIENEDKI AYIHPDYKFQISQNESKIRELAEEINNIQQQLNLNLDTNESYKKKITQLNQQLQKEKQIT LNYQLQLDTFVKSSKQWNESMSKMNQDIKLYKEQIQELQQYLDWYTTQYPVDKFQFMELE IKQLQTKNADLVNQLDQQRLQNSQLISDIQLLKLQYERIESQKHKQIEQLNAKIFELQLL VQRQESKDQQQQTSNKKQVKKFSITQVTQVPTSEQEQIKQTTDDDTSFVIVKKNNEDTQD YENISRQIIKLELMLEELDQKYDQIVKQAQIETDMRVKQTLRQELIQILAQIKDINQQIN VLISQQKSLKTKL >CAK56290 pep:novel supercontig:GCA_000165425.1:CT867986:278411:279359:-1 gene:GSPATT00004384001 transcript:CAK56290 MAEYSNSFSKQFREDQEEFLNIAIKKWKFHKNFKRNLIIFSIIGMSNCAIIGIFLLVFAI VFLVFNLQIVEKEVYYGSSCTKNQVNCEIPIEISSDMTAPIFVYYQLENFYRRNRNYFKS KSVEQLKGNVDADLSNCGDYQTNSDMEKDQSYGANTLNKSENAFPCGEIAYTYFTDTFKL KNSQGEIVEIDETDIAWESDRQYNFKNPKGWEKFAWTNIEDEHFMVWMRTAGQGRLKKLW GRIQNDLSKGQYVVAYDEQLYSSDMVKSFFMTTTTVFGQKNMVKF >CAK56291 pep:novel supercontig:GCA_000165425.1:CT867986:279517:280341:1 gene:GSPATT00004385001 transcript:CAK56291 MKKQKQVQTLFKYFQSDEYEMEQDKKPIEIISYIKMNEEFPIFELILENCPTFVLDAQTS LQLEKLQQLIYLGINGCQIKSLIHLPLIDSLKRLTLDNNYLKGEQLKCIGYYKDKLLSLS LINNQLKIYPGSPALQHLQQMSALKQLSLIGNIFELDEEDNLTTPKEEVEYVEMRIQIFN LMPNLIYLDCIERSKLIQDEKFFNNYNEENEYLSLYVSKSVTQYETSRSQSMISVKKNGT MRIKEENSNLHQRDEKKTPTRQLRQQKRKMYVYD >CAK56292 pep:novel supercontig:GCA_000165425.1:CT867986:280503:281243:-1 gene:GSPATT00004386001 transcript:CAK56292 MKTLKSRVKSIIPQWFNQGYVRKPGCGRKRVNPQAVTELEQWILIETKKGGKKITRDQIK SKALDIFNTDTFKASKMWMDKFLSEYDIKFKVQSILQEQGCLSKLQEVKFKQEQKSRHEK ESESLETKRLIKKESQEQIKTDLNVKQGFEEKVYVEDFFLDQDPANFFSDSFEIQSRQNL SNLFQNQNFQETAIKRFLQDTLQYIYYIYIRQFQQYIQHLDHNARDKNLLQDQ >CAK56293 pep:novel supercontig:GCA_000165425.1:CT867986:281330:282332:1 gene:GSPATT00004387001 transcript:CAK56293 MEFEQTQLKYDDIWNSNIVYSKRLRIMLGSQPIRFILSFLILNVSNITLLSLITNSMLLL WILLSVIILTNISLFQVLTRNPGIIPKNIVGFELKYDLLQVPQITKYSSMQPNSDYMVWK DNLIHQIKYCAFCHIYRPPRSSHCYTCGNCILKYDHHCPWIGQCIGQNNYRQYIQLLLFG MFDQLCIFSICSITLNDEMIIKIILIIYTIPLFLFILSLQGLHSYLIITRQTSKEYFKQL WKTKAGNPFNQQFWTHHPEYVDFATTYYRHKNFVSQLTQIDLSINNDKKTQTLKQPIQPY KEIELQIKN >CAK56294 pep:novel supercontig:GCA_000165425.1:CT867986:282404:284308:-1 gene:GSPATT00004388001 transcript:CAK56294 MKKPLLIIFVLFCIINAQQEEHKILNREILKNIASYVGIELPHDLHLFCLPCKLMMKQVQ KFSKNTLMSIVTKEYVALCPKFKNPLICEGKKQKYLDQFAVQFIDNYLKPSNACQVLGAC KLEHEPQTLKEYINEIMVDKLSKQEQQRWKERSEALLINNEDYKVVQYSDLHVDTEYTVG ADAFCGNYNCCRKENGIPKDSSKGAQYWGTLASCDLPFRTVQNLLEFTKEKIKPDFILWT GDNVAQYRWNQQKNQTVPTQMITQEIQQLMPNTNVYGIYGNHDLYPSDQYDMIGESAQSF RDAISETWKQYLSQEAYYQLRRNGFYSQIDVERNLKIIALNSQAYDFNNFFLMEGVTDPR GMLNWLTKELKDSESKNQFAIIIAHIPPGDISCNSQWADRFSVIIERFEHVVSGLFYGHT HSDQISHIRSRIDGRYIKTIYIAPSVTTNSRQNPSFRVFQFNGKTNQILDYTQYRLDIAK ANKDGEHAILNWDIAYNFLEYYGLQSSRIEDVSTLGYRLTHDEELLRKYIYSYATGSEVR YQNHLKDLKKLFLKKGTRNYFICGVDTATFDDWFQCIGLFEILQDSDNTRYKIYELFYGK WLKD >CAK56295 pep:novel supercontig:GCA_000165425.1:CT867986:285455:286310:1 gene:GSPATT00004389001 transcript:CAK56295 MQNSILINELNAREKVNFQQYISQNQSRFIINWPKIQFPKEIYSFNGQYKYKNVISFKKN TFQHKISLKTIELAVLYIDIYLNAKNISEQKFQLLAICSFNLAAKFNQKGITQQTKILDS NGIGIYQDHEYDEMEIELLQAMQFQLNFITSSDYAELIGLNLQDEKIKSYFLFILVGIQI RIKIDFQIYKYQHLELALAILFLSDENAHQLQPRILQISKVIQDSVNQYEKMYEKENQGE EQCQSNLNKSRRIKRMKPIRKLLSKQVKQKLRQKY >CAK56296 pep:novel supercontig:GCA_000165425.1:CT867986:286462:286863:1 gene:GSPATT00004390001 transcript:CAK56296 MYNQSQFADEQSSILFEDEIDFSNIEIPQLTRRNGESNDKQKVHGYIILDQSPQKIYNQE QCPICLDQDQDLSPLQCGHTYCRTDIAKLIGQAVEQIIQCPICRAYQYCPSSKDLYQNQH EFQFIDHTQLETN >CAK56297 pep:novel supercontig:GCA_000165425.1:CT867986:287700:288688:1 gene:GSPATT00004391001 transcript:CAK56297 MDLIQKKQKIEDSDGEEIIQEGEVDENFEQRVQKLLYETDNGLNLYNKPVMPLIYYEFNE DIKQQAEQVINQDTTILPQDKYEKYEKEASKIWDKFYRHHQNNFFKDRHYLEREIPELNH FKESHQKDETKLYVICEMGCGVGNALFPLRKNYTFFKKVYGFDFSKRAIDVLKANELYDE TVFQACVCDLVLDPLPDFERPDLGTLIFVLSAISPENHLMVVRKIFEWMKPGSVLYFRDY GQYDFGQINLSKKKNRKLKNNFYVKHDGVRVYYFSNEEVTSLFTTAGFKQLDVKAHYRYI ENRKTKVKMYRVWVQGRYLKE >CAK56298 pep:novel supercontig:GCA_000165425.1:CT867986:288714:290375:-1 gene:GSPATT00004392001 transcript:CAK56298 MSQHIANQYFQHPLKNEPNRRIKTLYSMNDSNSCRIRKVIIPKNISIDKETLYEQLHLEK LKTKQLQLENQSLQNVLQQFGKEIDMMDESDQRKVIQQSLLRIKQKDQEIQILKENTQFK AQQDMKKQIQDLKKELLKYQILQKFEGDQNQIVQDNINLLNKIETQKQYINELEKIRSDY IQLKAKNNQLQQVMKLKDQQIQRYRDRDPLSEMNLMKHPSKNENLLVDELQMKMDEIQHL QTRLNQYQQIIQDNQNSLIELNYDSKQKIQHLEAEKKQISDKYDKLYMDYNILLEKQKQI DKYLQQFSKKKLSTQTLIYGEDQLFQSTTQVLLSPLTSPHHGDNVVVKQVKKQQIEQTIL ELKLSLRKKRITLQDAEFILFTTEEDIAINDLEKQLRLDPFHLKNSTLLARYMIEDYSEK DFVYDPELKAPQAKVKSVFRNIMQNYKLSFDQNVQELVETSIKQNLIDFCVKKSVTTLNL DNINECMISQDIQWNSKHSDYLQQMYYNKYNKYLNFELNNLLKLFDITQ >CAK56299 pep:novel supercontig:GCA_000165425.1:CT867986:290880:292317:1 gene:GSPATT00004393001 transcript:CAK56299 MSNQFDKLRKEIDQKVCLDDFIKYTQKIASEYATLQMLDLGIQSIQTRIQFNPSDSQLKG SLVASRVKQQNKQTQVFEYQHTVEQQFEERLNRFRELITSQVRQFCDQVQAQDFSKPFRE QISNNVNNLHEKLHQLELYQMEIQRHLEAREFEKLIKQYTQVEERLQLLENHSQGLANLI QVINQQKKADEEQQKIKKEEKKKEDHLNQYLTIEDFQKYQQYIKEHYLTQVQGAQFLQRI NETEDNIKNMFNKGIYDKLESEIVDIDEKFGVMLESLQNKINQQFQNQVSKLNVFEMQLG SFMSKSEVIQMLDNPQAVMKVNSAVQNIQEKFKELYSKQIDILEAQFRFSISNIQSANIT ENDKLNQSALLLKKCEQIRVSLQEFFGQNIQQNLTLKKQQELVQQQSQQFKNSNKLESKY QNRPLSVGSRYTKMRRQSATPKVISSSFSGVSEPQFFQKVLQNPLVQKLKK >CAK56300 pep:novel supercontig:GCA_000165425.1:CT867986:292345:292986:-1 gene:GSPATT00004394001 transcript:CAK56300 MQNSITLCWCHICKKQFESQVTSDLIKCAFCQQEFCEVIDPQNDPRGFIPYGENQQQRQS QTQLIQQQQPQTIIHITRNQIPIRFQQRTNLFDNLLQLMFPNTQFQPGQSLEQLIDFISQ NDPNRYGSPPASQIAIDSLQKINLQSECCTVCQEEYQTQEAVQMPCQHHFHSDCLIPWLK QHNSCPVCRFELITDDDDYNKRKNLK >CAK56301 pep:novel supercontig:GCA_000165425.1:CT867986:293014:294403:-1 gene:GSPATT00004395001 transcript:CAK56301 MKAFGIIALLLITTYCQSNEIDVVLKMLADLKNGTSKQLAQLESDWSATQYTKQDIRGEC NRRDQEWANKERDIRITLSYISWLEKRIKENNERLQRLDINRCESNSNFINDIKNSKKTL NLIAFLRRAVKNAKETDLPTLLQSAQFIQLKSYLADDEDEPEVTSENNEPPHVYEDAEVD TEDNQQDTQEVEETNQETTEDSVDASAPAPEDHEIEQHQETAEPDAPVPEHPFEAAEKTT NEQLAKEAAAFNKIIEKGQKAPAESGSQKDYSQFTVAQQELLNFLDILESEVRGSFSKKQ DNQVTSAMGYSDFKGLINKENETFKGHLVAEDINLEKLQNQLITILQATAACKDRLKKIQ NSIDLANEDLNSAEAHYKSVSETLTEELNTFDDVYRIYSSQVGSQSQQYKRDVQGQITN >CAK56302 pep:novel supercontig:GCA_000165425.1:CT867986:294597:296293:1 gene:GSPATT00004396001 transcript:CAK56302 MGACTGKGPKSENNPQKELNQKQQDPNLKSLDNGQNKDKKVFTSQKTQFKVNPSIFVTLK KGDILNYYRIDQTLGEGSYGKVSLVTQKVTGLPRAMKQIRKDKIEQKDNMIQEVSILKEL DHPNIVSVYELYEDEQYVYIITEYLSGGELFEKINEIDHFDETIAAGYMRKILEAVNYCH NKNVVHRYCCLFDNSDLKPENIIFESRKVNSSLKIIDFGTAKELLESKKLSQRIGTPYYI APEVINKQYDKKCDVWSCGVILFIMLCGFPPFNGQSQQELYQRIQAGVYSFDEPEWKEIS EDAKDLIKKMLITDPDKRISAQDALQHEWVKITQKEKKLNHKSLENLARFHSYSKLKAAI MQLITTQVMTNQEKKKMQKQFKKIDVNHDGTLSREELLQCYREIYNDELKCHQIVDHLFE QADVNGSNQIDYSEFVIAFAKKEQIMAQNKLEKAFKLFDKDGNGQISKQELQDIMGGVQL SDNQWSNVFGELDLNGDGVVTLQEFTEMLIKGANEQE >CAK56303 pep:novel supercontig:GCA_000165425.1:CT867986:297038:298724:1 gene:GSPATT00004397001 transcript:CAK56303 MSLETLNGIEYLGAHLKFKVPGYREKSDGHVYYRIVAQFTLVEPFKKVDFEYTYENCLTL FKTLSSQCPDLPQLPGIGLFGFKPNGFDTEKRRVDLEKWLGALVWRCDVLGSECFYNFCN LGQMLDKKWLKQPDCILKFNYGHSFPVQDFFYEPDTQVCFVLTTDDSIASKMQGLMGIFG KSIKPSFHSQLIGYRLDVDNNENPITQTLWTIQYQQRGTMIKWDEKLTILGIGFDDGHII CLRIATNKKYQEYSEFCYIHKHTQAVNGIEFNSLTGHCYSISKDKCLITTDLANPSSILK EKEFVNELTCLTTNQYRLFVGDNVGSIYIFSFENGPLHAVHQIKQDVAMQLTSIQYCPIK NYLICGSKEGYLSVFEIGKQGKEKSTNQITLLKTIPNKVVQFSISTKEIYVNYGSSVIIL ESTNMKYLYSLEAHNKDITKIQLFDSIGLLITSSKDNTVKFWQYKYFPHILLNNDILPLR KEPVAEHKVTIRQQGGEQFKQAVQLQQQQMKPELEDLDHWQ >CAK56304 pep:novel supercontig:GCA_000165425.1:CT867986:299208:300249:1 gene:GSPATT00004398001 transcript:CAK56304 MQAQKKNSFRCFAIFQIGMNDQKGDFLTVHKRGCLKTFNSERDELIQAVDSHYDGQVKFQ NGQNKEYFEFRNWIGIKKQSNDNTYYYLLLCHKNVRKNDAFKQLQTLQTWNNEIYKNIDP SKLEDDINKLEQKYGEPDGYLTEEGEDEKQRNLYQQQQQEKYLQQQQQQQKQQQQLQQQQ QQQQQQQQQQKQQQQQQQQQQQQQRQSQQQQFQTPQKNSQQRQGSAQKSKSPINKAQNFN YEQINSIINKSGAFRFDIKPNGITQVDIKFGKNALNVNHQVEQPIQKVNPQQKTREKQQF MNTEALKQMEESNKLKQADALIRNSIVGTLASGTLALIL >CAK56305 pep:novel supercontig:GCA_000165425.1:CT867986:300378:302254:1 gene:GSPATT00004399001 transcript:CAK56305 MLYQLHIVDIEGFENKWYYKLRITNNYNSCYRDVHVRFYDLNYLQKKIMHDYLKLPQFPE KSLFVSWFQINESREELLENKEPVQKYLSGINKNPPFKYDAIYIFVQSTYDPKRTRQLRF LAINKDAFYSTNIKNQVIKKGKFNKVFLVKGVSQDRVIHQFLMPSNDAAKKEYENYKRAQ LLITDQNYMVKCHEMGQIIKKKPLFLRKQKKTIYNELEIGLDSAYDIYYAIEDYAQQPMN KLIQERQQKQDHFKLETISEALITLIQVAQYLQFLQVFQKQFSVTNLYYDEKVGFKIGGL SPLYIYKKKYRLKNEINPNAYKALNPPELRGSAGGYSIKNNLNSYIKTDVWQIGIVILSM ASLTLPTGLTQSEEIDNKIKQVQSKYGEKLAFLLKNMLQRNQNDRFSIDDIIIPAQQLMP MNQLQLKSIRQIERIQIHSISQQQLEDMDKQFKEKSSEKKYIVHLTIENQIVQQMFLFNL ERIKREFVIQLHINVSPQTIPDDLIHKIMQSLADYQHLYLLVFNLKKCTISDEAQKNIII SAQSIQKLKQLTLDISGNQSIPIPQSKIKVVVYNQ >CAK56306 pep:novel supercontig:GCA_000165425.1:CT867986:302292:304077:1 gene:GSPATT00004400001 transcript:CAK56306 MIYYVIFSLLLNLSLQSTRIRTKESYTTNYEIEQDTIKMAHKKQKVYVLNLTNAYDVDVF GDSEDPIQTPYLSLDNEDVEMLEVKNCVPKHPQLKEILQYKIKDATEDSYYTDMVTLSEY SNLFLMTQELLLIQVKLRCSDSGWIAQESQSVFDLKKQLNLTNPTIKTNAQFSCPKKHLN CLIVTPFGGFWVSKFSDFDSNDLPIEPQPEQRILQRKIVHKVSTFGEYLAVAVGADGVDL YTYELENKDIYNRSILHLLTISKQQFNVTVNIIGVKVQNDKLFCLDDTQGLFVFNTSNIR KPVLIMDIPIPRTVAFEVYENTVLVVAQTPSNIEYIMEIFIELKRSLLFFDDFTYRDLQI NPNYAFLIGEEAHLIIKHSIFNGFVKYNKELVKTFFENQLVRFELYSKSSEPAEQRFKET FYYVGLSRQAIHVWKFMDYHAFLLCSFDERSEHEIVVKLNSTSCDENIKRESLYQQCQAE QKINVLVSGPLFYSDTFTILVFILIFLGIVLCIICICVCVRWKRLLRELEENRYQIKEMK KYGHLPEQEQYSI >CAK56307 pep:novel supercontig:GCA_000165425.1:CT867986:304298:306121:1 gene:GSPATT00004401001 transcript:CAK56307 MYIIQIGLIASFLIGNSLQTNRIRSHPNYTYSVNEELLSFKFATSEAHVKLLRFKINPTI YDIDVFRNDGDTLGEQIETPFLSLDNQYLDEQQLDNDCVGLPKHPKLNEILSVNLLDNTL DIYYTDILSLPQVEKIFLLTDELELRQAILKYNQTQQPQWKVEVKNTSFSLKTQYQQQIN YTNAYFACFNNNQDQCLILSNYGGIWLDKNSEIEQPILTAEPVVKESKDLNKVSVFEQYL ALANGNAGADLYRYQDNKLQYLLRISSKDLNQTSINIISLKLTKNRLSILDEHTGLYIFD IIDSQVSLLLSLPYQRCVAFDHSDNTYLLVAETPNNIEYMMEIFILPLTKEYYINRIYVD DFSFRDIQIDDDYAIIIGEDVHLVIRHSIFNGFMKNNTDLVKTFFEDELIRFEYLELNQT IQTQYVETSYYIGLSKGSLHIWRFNDFNPVVICRFQYGTTQNYTIRANSSKCENHTNQDL FEQCQITQQIVIQASGPLLESDSNYLIIGVCVSAAIIILVILGLLCRKGRALAKKIQELK KQAEELKKYGALEAEQQSMGH >CAK56308 pep:novel supercontig:GCA_000165425.1:CT867986:306152:306488:1 gene:GSPATT00004402001 transcript:CAK56308 MQTHQLPSCVSPRNFTNYISNGTGRDYYVVYYNGGLAKNTQVHEEGCYDRRRFLSGEQQS KGLPQLSPKFQHYQGDGTGRDFYIKYLFLRSQDSMKEGSFQD >CAK56309 pep:novel supercontig:GCA_000165425.1:CT867986:306929:307787:1 gene:GSPATT00004403001 transcript:CAK56309 MGEVGINIPLGQPLNTEKKLIEIQKELKDHINYVNDCFDKELLTIGSSKNQNGRRIKLSQ YKVDPTIFGFSLNAFEVRKQVNFLCQQFYQICISDPIYQNNKNCSQKEIDQSIIMKRIKP LTLQFGWREILIQIFLGIACIGLVVWDAVQLQFNVYPEICGSSIHYLLYLRIGLFVLLFL SQLMYFNYQTSYVRKALKVQFYISYFLSLIITILTILCLYGYNLLSEKYDQIILQMSQCG VIFIGCFLICIHLYKLEINLQRIRVLLGAQYQSPLCFF >CAK56310 pep:novel supercontig:GCA_000165425.1:CT867986:308181:309180:-1 gene:GSPATT00004404001 transcript:CAK56310 MINIIQYPQDTHFVKPLFLIGLGITIHEIISYPLDRIVSRMIAKSPYLNQSFSEQLKQIK LAESFKGLYKGFRTSFDRSIIQNLSFYFCFQYFFLRYGLEFNSRTRIEVNDQPYLKYCEN AKFHGIAFGSAILSTVFSQPSNVVNLKLQCEQLGLPPKKYWDNMKHLEEIMDYHNKKFKI AFTPGFKSKVLLLYCQFVAEITIFQEFLYQQGYLFMNKSMLRDSQQKNNHFIGALGTSLL VPIVFHPLNFLQKRYMMRKLQNMKLLTPQEMLKQDISKFSTLYCGLGTEVFRYSTRSMLI FYLIWNSANQTTKY >CAK56311 pep:novel supercontig:GCA_000165425.1:CT867986:309347:311091:1 gene:GSPATT00004405001 transcript:CAK56311 MQIEAQILYQFECFRKGKNENKKYIGVLKSDRLDLYKENSQVSKYSLPIQLQTHIKWEVE EDNLNGKKHYKIVSFKFQQVKQIKENHVAKISTFYSDEKTLTILKEHLRNKIIFQRIQDF YTPLQTLGKGASSRVLLVRHKNTELYYAAKCVDKSYVNETENGIESMFQEISINNALDHP SFIKLHAVYEGDNTFYMVMDLLEGRSLHDEINNSQKWIPRRYCQECNQILTGIEYMHDKQ IMHRDIKPENIMLLKKGDLNSLKIVDFGLATYCNIDKYLFPKCGTPGYVAPEIANLVDKT IKYDKVCDVFSAGVIFFKLLTGKDLFPGVGFNLVLKLNKQCKIDLTPLQMKKIDPSIISI VQKMLEKEPNQRIGAAQCLQDQFFASCYQAQGLQGPSKLTANQKKQMFSSSGKALTTEFP NDKIKGSPQDKLENQGSFVTQDNAFRPIQSNQQKIMQKFNTTEFEHTENAQSPQMEKVIK QFQNGDTIQEEDEK >CAK56312 pep:novel supercontig:GCA_000165425.1:CT867986:311258:311485:-1 gene:GSPATT00004406001 transcript:CAK56312 MGVCNSKKPIRGELPHIYEKVKDNPKPEQILEEIKDHNQNLNPFKNPILARRLHSISVQS SLQQTLNSKTSNQNP >CAK56313 pep:novel supercontig:GCA_000165425.1:CT867986:311515:312586:-1 gene:GSPATT00004407001 transcript:CAK56313 MSTVQMKQHINLLKKAVGEIKDSTQERITQISTEVQFNPQDNKEFNDLLNEIKQDTLRKL HAFYELKVNNKTNVYQQELSNCSTNYSELQQQVLEQQEIVKEKINQNLQKREQYDKLAIR MVLNYERLKLYKKYFKSLKQYADRKSKSRLAKFNAYQKYQLGLQTKVFYYWRSTCHKTGY QTMLITQAAKEIKNIQSQFIDIVKILKAKIAETEEQIQIKKNAKAEFSYNLSRNLLKTIS NLSMEVMSLHQVTIKDNQINNDENTKFLKEVNGLIQTKMNSIQQFKEKLKSNEEAAASET FKKNKQTIQFDLQNQSRK >CAK56314 pep:novel supercontig:GCA_000165425.1:CT867986:312679:317157:-1 gene:GSPATT00004408001 transcript:CAK56314 MNFTYQNFVHVLSIQTKTKIGVIKMLMILEFVQNVALCFPQHGWQQLKYDDKMLSSIQQI TNYSLFIDRNHTEYLLEVFIISLSLIYFIMLFIYFHSPKQQKISQLTSITLNIFQKLFRI PLIVTYINFMNHNSSGFMEIIITLLIFALFLIFLTATTYFQRDIAINFSKRQFPLNQFYT PYLYAIYILDIIRIFIFVVINTQTGFVIFYLLTIITQILTQYHNLFQQNDPHNFNQGLIA CNLIISVFLLLGLGIAQLLVLIFNILLYMTTLSFYLSTQINLFRFIKSNNILHVIENKIK LNFNPLFLKQDTQYKSDNGSYLNFIKQIVENEIKSNHYFQNENNVLILFDYLTKSEQSYF QALFILNKFEYQQNKLSFFYKSYNEFYKSSIQQEITQVNKSTLMIQQLNRDLLIEMEYSK RFTNILTKQIDLLSNLIQGSKNFAEVGSRIVKVSQSIQNTQRWLKKNNVLENRTNVIYLK ISINFNSVIMQNYGQAIKQKKIIKKILESDHKTLEAIQIIKNKAIMLSVSMIRHRGQILN SNKSMLQFFGYNNDFKLEFMEQLMPDSISSLHNQFVERYLQRNQLKSYTLQNSLEVLQQQ ENGKIQCLELSFTVSNDKSDFIFISLLKKGNQDKGYIIFDQSGKITGMSNYLEQFNELNS QMKQYSYIQYFWTELFYIINNNEFLIEKEVSFVVRQNIQKIDNQYKNQIQSVFKLNNYSN IPNLDYFICSDRSEKHQLLSSKNCYSNHETYQFDFLEPQLQEKLSQLINLHITENDVTLK FKVKLSKIELKGQLIQYQLELNPLETKAEYEQVDNINDEILQIENVKAKSLNDVSIVSSE QKGQYILHDILLKQSSSQPFFQIYFYKLVLVILVVVFLIIQINQLEFDFDAKLTFTKYIW SPQLMNQFYNRAFHYGFNQVIYQNLNQSEYLKNSFSKEKEAILQQFRSNFGKLYSDLINI EQDQHSPSFNLTLLKNQISFESYSSFSTAIRENTMGLVSYQKETIQFSKSLLFFRLNIIQ AYQNTIYLIEKFTLILSDYTSNFMVFWQTVLIIQIILFSAPFIINIRNWYYFEKRHKYLI QIISRINEDQASRLIEIKQQQIQQSDNEKQQMNMSQSYYTCKSPLRLIHSTINQSSQNLR SRDTQLLYERIQNKSISIVLKLAISLFCYIALVALASFGYYQIKASDTQYMPIEHLIKTY VKFQAQLGFLLSFASILKGQHIFEEQFSKISDPEIGDFRIFFQDDQVPKYFQNISQTYQK KIISIFSSIILSDQIDEGDKSQLYDLYKGDFCDYLMDELPFCNKSLTSNEFQLNYGSFYP YENNSDVLRKGIIGFISNLDSLFKNDFEVEITYGIYQKNITDEIQYYKEFNNLVVQYFFN VSDAFALFYEKVDIVSQNFIKEQRNNLLIYFYSFGLTFLLVYFIIILLQIIYSQRRYKNY ILALVTLSEDVLNDKTNLSLLKRLSQ >CAK56315 pep:novel supercontig:GCA_000165425.1:CT867986:317184:318050:-1 gene:GSPATT00004409001 transcript:CAK56315 MLQGLNVPPLFSINREEILKPVQQAQVEQVPTKQTVDFKEIDLNPPNKTLYINRLNEKVT AEEMRQTLFALFSQSGKVLDIIVKKNILMRGQAFILMEDEEAAIKAQKAFHNTQLYDKVM KVNFAKEKSYYISKGDYEEKEKLPMSDKIKEHKKRIQDKRQREMINQKQQREAPTISMPL AVNQYYYIFNSSIPNQPNKVLFVEGLHLVDFVNLEQHFRNFYGFREFRGIKPKGVAFVEF EDELQATNCLNELNGTQFEEVTLQISYQKK >CAK56316 pep:novel supercontig:GCA_000165425.1:CT867986:318094:319244:-1 gene:GSPATT00004410001 transcript:CAK56316 MQELTELKNLVIQSLEANGSLAKIRAQIRASVFNVVDQQEGNNKKPSPFFWENNKAQTIY ELGCGRDMLELIKEFFLFFDMHYTNSIFSSESNLREEINREQIAKKLNIEANDTTKPLLY FLLKNRNSEKQSEEKVHQKVAQPSPKEVQLQQQQQQQQQQKQQQEQKLQEQKKQDELRKQ EELKRQEEDKRKQQQDEQRKLDELKKKQEEQKRLEEQKKEQQRKQQEEQRKQQEELKKQQ EQQKLEQQKKEQEKLKEQQKQQELLKQQQEKQKQEADQKKAQQKKVDNRNFEDEKYDNED LEEELEGDDLRDSQLQQDQFQESDEYMQQSQSQGIDMTVDSAALEEFDYFEEIEDMD >CAK56317 pep:novel supercontig:GCA_000165425.1:CT867986:319254:319789:-1 gene:GSPATT00004411001 transcript:CAK56317 MRRIHEWTQFFQLQEKLFTKKPVYIFRYFLMYKDQMTPIMREVYKFNPTQKLVPYKWTIA ILSCLTGITLNLCYNKYFFDEQFVRDPGCSQQIEMPFVYCLYRLFWYQLFCIAHGHPLDR FRDGDFVNAKIRADHYEYYQNRRDSTFDFMQLGELEQFDLE >CAK56318 pep:novel supercontig:GCA_000165425.1:CT867986:319825:321785:-1 gene:GSPATT00004412001 transcript:CAK56318 MIGKKEIQISNATRDRVEACRIYIERKYAKQIEEEQQQLQGWQQLSKLMDSLHMNAKEKE IIKKDILKKEAEQMRKKRMRLSIEDFQPLAIIGRGAFGEVRLCRHVPSQQIVAVKKMKKH EMIYKNQIGHVTNERKVLEEAKGNNWIVEMKCSFQDEKNLYLVMEYLAGGDLMTLLMKKD ILSEAEARFYMAELVQAVSSVHKLGFIHRDLKPDNILLDNNGHIKLSDFGLCKDAELHFD KPVFSSKFKQKQTRREKAFSTVGTPDYIAPEVFLQQGYNETVDWWSVGVILYEMLIGYPP FYTDDPSSTCQKIIRFQQCFTFPEEPKISQLAKDLISKLVCDTNNRLKFEQIIRHPWFGG LSILKVRDMKAPYIPTVRSELDTSNFDKYEEEEPWIIKGYQNSKKEMTFVGYTYKQEDFE EKRPIQKALEELECSKPSNSRGNTKCTNSPYQSPNLQFKSSLNKQTPSTQQIKSTSTTQS PFIKQQAVSPMPLCKSQIQKKSFLNSRLQTEQNESIHNTNQQHLSTQGNLNNENSNPNAN SQNIKNFIYQKIQQHTVNNQQSTNNGSIQKTVQFNVDLQKLVQNQKLKPEKPDFESTKIS SNASNNINVFKQNIMNQIRAISPLTKR >CAK56319 pep:novel supercontig:GCA_000165425.1:CT867986:322866:326683:1 gene:GSPATT00004413001 transcript:CAK56319 MQCRKVEPNVIMNSARLAQRPVEANKMEEEIIHVMKSPDKKSPKNSKSLKSASSGPIINL QQQIIIHQSAPTKDSQVLLKFQNQMKKKKKKKKTQKKQKAETIEKQGSEVRIDQILKSNL KKYQKEKQEQMLKEEMQKQRVVSLNEMRKMMDQQIRQLNQQRFVRSRSPPSSMLPWGVNQ NKLKQYWTKMLEIHDKLENPNEMNQNATEQAIKEKMRRHKLGLDFLNSKPEKQIQKMIQK QKSKQVLENVQSPKNQKSNCENKEAEQDLKLYILYKRELWRHQKIEEKKQQKLKKEKLEQ NLQILEKEAKLFAKSRNASMKSSLAVQVAPSNGQQSSQHFRTSEPPNFNKLEKEYKELMR SLRQSSYSSAQSHTRKTSQIKFIDWFQKLPESYLQEQLSQMQSINEQMNNKGFQYKLSEQ EMYQFLEQFLKEKFEQQTKSTIKDRFNELQERFQQASQQQSSNSQLQCLQQNVNPQSHQS NKSQNQKEQASKSPKEPIQNEISEEQLEHVYNVAATIIQKIWRGYKTRQVVFEYLEYLIS QEELEEQEKEEQKLQQQFEGLSQEEEIKSVSQMYDSEIKINPLELQQQDSPNPQYQDKPI LPLESLQQSSSRDTKSIPNQTIDQFLEEQSSPQKSIQQQQKSEQSQLQIQEQENNPNSQQ ASEKNIHLYHGLNSDPEYFMQVIKLREEALQLKYDQQLALLEKMLDKKKVSTDLFSENKD KLDKRYKRERDKLQQSKNEVERLHQMFKDTIKSTQKDQQFMERMKVQTDEDNLSIWQIFS IRSETEQSDVEIEKKQTPHYGLLQQIKNDQFKKYSKNRKQVRSFDQQVSLDEISMKNEEI QTSTLFNVHTESINHSLHKSLQLTSTLQQSIPSQEAVQTEQIEVPENKVIQLKECSDSSI IPFDDRKIDNLTDYIVQNIISEFAEEMNDFPLQSLGILMEWDEESQLDQQFPPGFPTTLN FIKDYLVSFSEFIQKHYLAIFLEQINTPLGITPSDLLKTISLTGMSSNVLDDSTSGPLYP LIKHIHQMEPLIEEEIWSKFNQSYALKLKYFNDINISEEFKELEIYHLRMIYEAFNESIN YIRPYGIRGQPYPWKSNPLKVYQNQTTKESIDRSMGFAISKMLKWGSFLCGFIPEKIETP QGEIIVIEDDYLNSIKEDRLQQMLEYEIQESEEKWLNYEEEQAEVAVEISDVVFETMLDE AAEEIFKISQAYKFQT >CAK56320 pep:novel supercontig:GCA_000165425.1:CT867986:326871:327268:1 gene:GSPATT00004414001 transcript:CAK56320 MLQEDHQNANMNKIISIINKAIKKCPQYEQSQNYLLESRKIVLFNEGLREQILKCQDEIR ELQKEMTQPSKKLRRKANEISKKYRCSNCEKKYGSEASLNLHCKIKHAFSETDSKTQIDH SFQI >CAK56321 pep:novel supercontig:GCA_000165425.1:CT867986:327331:328172:-1 gene:GSPATT00004415001 transcript:CAK56321 MQRLQLIHANLCTNQKVVVEKLEKEQIGLVYLNSPSDLNSLSSQMKKELCSAVCELDKDS NIKVLVILSKLDKVFCAGANIKELSDCSYESQQISDIFYEIHTVFDSLRKPLIIGVNGVA LGGGFELALNGDIIIASEDAKLGLPELKLGVIPGIAGTQRLTHLVGRTTAMKYILTSDQI TAQEALNRGIVSNVVKKDQLRDECIKIAKRISEKSLYTLIVGKTAIKNAQELPLSQANQV ERQLFNSLLNTQAAKEGIQAFIEKRSPNFRNI >CAK56322 pep:novel supercontig:GCA_000165425.1:CT867986:329105:332121:1 gene:GSPATT00004416001 transcript:CAK56322 MLNTEIPNPQKIEEAFNIIMNSKNQQIGKEADTYLRNLEQNYPYILISLFQIFENSQVYL NKFQALLLIKNVIVRNWVKFQMKDNLRIQNISEDLKNHVKDKILQFLGVVQDEKYKKEIN LIISVIAKHDFPNKFQGLVNYFVQGLQTIIQSGSTNCALTYDLVCSLKVVQSSVIQNRNT AFRIQQVQFSQAIWNNLLLLWKNITQIQQQELQQNINNYSFVNNISKKLDRLLSLSIMSL NQEQDYQRKNTVSIHVVVGENSISPKKCPKTKVIVTKSKNFDSQCSIISGFSDYLLLLKL IIQNEWDDKRVLRTGLIGLVKTLKSLFFLREEQFYNKKLQEAKSEQVRQSIQLASQLFNS FFQENMQFLIEQLIKIASVPTDLSDEELIEQEEDLTIDDAKNEMQCPIFTICLICHDQLM LRFPELFIQKITQIMQQLINSNFNASQQILESFFAILGSIPKITTKLKVQPIQIQPILQY LIQKNTIQSQRRFAFLCRNYSNYFTDSELPQILEYARLLLSNSQDQIVQYQTLMCMKKII ICMGQNFDYRNSQFFEQIAPVIVKLLCNLQKSNILWPLLQLLENLIQKYSEINVNSMQIL VKVIENSDIILLMKTKSQLLVGALCDMFFALFVSFPLGTNLSHLYQLALVLIDTNIDSKE NNIFELLQFLIQEYDPASDNNSTGVLFNNLYTTHEKLFMEDTELNHMQTILRVIEELYLS NHIQLSPNIFNLLQEKLQIAQQIDCHDAALILKKSSISLLETIILKQYDSITVQYYQKII LFLIQELIKLSGGDQVDQMYYTIQYKNNILEILNRFILKDLSSMIQVLNSAQVSLDQYFT LWQETAQHIINRGNRKINVITQLLFLKYIQKPTFEKVSQFVLQEAFPEIDYDFELRSQEF RELQQQNKKKLANSKQTRLSKQFRNNFRKEILQEACLYDETFNFRSFFFQTIQVIKLIFY QECMKSSQLL >CAK56323 pep:novel supercontig:GCA_000165425.1:CT867986:332200:332691:-1 gene:GSPATT00004417001 transcript:CAK56323 MVVKALAHKRIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKAGY RGDKKTRYLDQSGFRKLLITNEKDLELLLTNNRTFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKVRAEEKKE >CAK56324 pep:novel supercontig:GCA_000165425.1:CT867986:333283:333959:-1 gene:GSPATT00004418001 transcript:CAK56324 MIFTSLNQLCEENNLSLKTTELAAQLFQKCFSQKCCNLSNILLIAITSLFISIKYNECSN LTQINLQDCILLGNGNYTEKDFLEMELKILNLINFDVNLPTISDFLQEETIQYVDLVLFV TLDSKFWSFSKLDLFQAILAFANKPKKDQNEITTNVFYSHQYQIMNIIQTKVNMLTAISN DQKTNLKQQRKRIQKQKLRIKKRNQRGFNQNKLDVQI >CAK56325 pep:novel supercontig:GCA_000165425.1:CT867986:334962:336042:1 gene:GSPATT00004419001 transcript:CAK56325 MKTTTVVESQVLRTNVEVEQVPLRYNRKRNYMSTSFVSAQFQNNQYGCYQIMIDNHDCRP QCQPPPQQPYMMPMYVPVPYPQQQQKECECEDGESYKEELLFLRQRVAELLAREPEVKTV KERVEVIDNTRVEQLELEAQKLRLQLQQAQNQLRQKEQEFIELRSGNDQSQQGLSSRIRI IEEQLYNVRLEIERITGLLNQKEQEIVDWEKRCHEIESSVTYEIEEKTTKLRSEVEVWKS RFKKLNTDYFNYQEQLIMVQAEIDSIKNGGVKEVKEVKVEKKVVGPTITTVQSSQSRTRG SRVIESQEVYKEGTPARLYP >CAK56326 pep:novel supercontig:GCA_000165425.1:CT867986:336634:337498:1 gene:GSPATT00004420001 transcript:CAK56326 MQYVDDMVRVQQLAESWQKKKSLKLLKSRLSSAKNPQRTLSRVPHLTRNYNTVEQNIFAV SKLNKTFSHIYNSPKENQKQTNLSFSVDETFYQFPSKSNTKKENQMVIDRIQYQFQIFKQ KNQSRISKKTSFQPRASQTFSRNSISVQNQAQQSLSSTINNNLLVNQRTVLKNGVKYPYQ LLIMNDSRQKILNSMINSNDTTLLTISNAGDLDELKKKDSQVYQNEVKTVQNEKRKQELL SRNYMTNAMYSLMALNRRSQSNVKTKYQTLLPRDSRFYMV >CAK56327 pep:novel supercontig:GCA_000165425.1:CT867986:337547:338751:-1 gene:GSPATT00004421001 transcript:CAK56327 MLTYTDFCLKLVKIIKDEKIESSTLKTLVDETIDLLEKQQPPIDQYEEEVIAKELQFYRT ECQYLNKLRISLQIQVKQLQRQLFEEKEANSELINILKTQKQEQLLNQIKPEGLNNIIQR NEPSKLTNRQPKDCIFFFYFICIQNLVLLRDNLYYLKLLNRQIKNIRTPLLEQQDPKNLK ELFDQCVQQLYRDNCMKGNHIKKNKQSCSRDHSGQFQLAEEEKDVTFSKLNIKTLTEKFF LSPHFIRMIEAQIFDIKCCNNMACLYNLREPQQCTEILQNQNESKVSQIPKKIPQFRQDH RSKSVGRHDDLKLQYQYLFADVLRLERELCGTPKQNKSRYIELSNQLKDAREKLYQLQCR ILN >CAK56328 pep:novel supercontig:GCA_000165425.1:CT867986:339494:341049:-1 gene:GSPATT00004422001 transcript:CAK56328 MADILSLKVAFIEFIEKLLPSISDPMSITQQKCQLLIKLLQDQAKTISDVCLFIQNFKIG HMKPSNQNGQEEEKSKSPTKTKQTDHELFQPESYFRLNLPNKDLIIKKSGHKYGELKEKN NNVEQIEGQTEDTSTPLKFGIDKLKKTPKSTSTLNTFFQQVKGFSIVSREYLIDDLQSCM KEFRRHTKIMGCIEQRSFEVNSGEISEADKKLHNQNYNFTSYTKQVERQKSFKKMSIFEQ KKNPEPNILKQNNEQLLPTQNATPIQTTPSLQKVQESKNIDVQQPYTARTYQHSKTTLYS QRAQQRRNEQQAMLNQQVTTQQNNEQLNNPNQQNNRIDNQDQNKKFQASPLSEESTSNGT PEIVKVGTVTSAQQTNKRSINDNVSLNTQINSLPISPILNNNKFPIESTQSQTHSREQST NEGIKVDQNQQLSYRNTYTRFNYTQQRLQYARGNLISNNQKGSTNQNVDDKNIEVRIDTK QLDSNY >CAK56329 pep:novel supercontig:GCA_000165425.1:CT867986:341065:342369:1 gene:GSPATT00004423001 transcript:CAK56329 MNNFEQDFYQFVKDQLAKKRKQKDSSPNTPQDETDEMEKNRLFNQNVSKVLQQERKKMRI NKVTREQLFDRRFKEFANMDTFLDIKQFLKHLSNQKIAKAEKKKKLKTLKKIDSDSENDD LFDYAEIIQKSKQIVEKSTVIRHSPDIKGYLIQEDQQNSPKNDIEELKTEDDKFYNGIDQ LFVDIKTKSEQLALQKKYEQERRNCKRSFQMIRLPQKHIEENLQEKERMDELISKQAKQF KQQTEKVLSLLEKTTKQLYNNKQKKGPQRYIKKKKKLTEPIQQLSDISEQRTTFHQLYPE TSRSTNKLPQLSPNASKIYSHRTFLSQAVFPDSRKISQITEREEPQAKIKNQFKQFIQKI DRAKASFDKSYQNDKELLQIQQRIIKNMNKIGEIPLESLKILNKKEFEISNLKQSKFKKL RPMQIL >CAK56330 pep:novel supercontig:GCA_000165425.1:CT867986:342554:343047:-1 gene:GSPATT00004424001 transcript:CAK56330 MGQFELTQYILRYLMTLYIQYEVNVQSLIIQIMNNIQGYSLSKPSTKTTQAPGGNSSISF GSDEPAPQQKRVVRDPNASQFTLGGDPAPQKGQQQQQQQQQQQQQDQGTHTSVKVKNPPG GRSQIQFG >CAK56331 pep:novel supercontig:GCA_000165425.1:CT867986:343090:344471:1 gene:GSPATT00004425001 transcript:CAK56331 MYTINNHLHRKTSSNIKSNEVQKYNYPKQHHKYSNTQLMQKLAGLQVLKKLITGPSKSLN HNNSKTRIRTEGNEKQFKGQMSISPKKEKEKEQSASPPQRNSHAQVNVISNLINQNLNHT EYKRLTREQRNQSADFQALLSQQRNENGNLKNSTKKKFNSYGNLNEQVYFSQRSGLSECP SALQLIQNTIDQKLMGLKGSASMKQFPSPPTIKLQQQQQITTQEFKEKLSNLSRDLRQNK IQIEDELLKTQKKQNQKCVTRYDNKEGEKQQRENISQRSKSVDSQSSLLENLKQRYECDE TQKLNYYQTQADDEYRGNQQTYIKQRKLESKIDQLVVQVQLLKKKSEQLELQNKFLFENL TKFQQETDCSTDERNLLMNKLDQMIGMQKRQEENLNFFKQIFAKGYDQSRRIKTEQHQQQ VEEENMKINQKTESKQRPFVKSAYQGLDFNI >CAK56332 pep:novel supercontig:GCA_000165425.1:CT867986:344482:347228:-1 gene:GSPATT00004426001 transcript:CAK56332 MSQESQTSLLGNLRKVITLVDQLRDIGLNDYIKLPRIVVLGIQSAGKSSLLEHIVGIDFL PRGSGVVTRRPLELRLSYSPQSVCAQPTAEFVEEIKGKKYTNFEEVRKSIEELTDKVCGS SKNIIDKPIILAVTGPNCPDLTLVDLPGITRIPIMDQPKDIEQITTNMAKRYCEDPSAII LCVVAANADMTTSDALLLAKKLDPDGIRTVGVLTKIDIMDQGTNAIKMLKGEEVPLKYGY VGVKLRSQQEIKDSVPIVQAVQREKNFFANHPVYSSIPGDIFGTQVLTGKLTRILYRRIR SFLPTLMQEINQRISKVQNRLDILGPGLPIEDSDKLHFIWQLIHEFSVRFRNSISGQYEK QKANIKSLQVPAGSSIKLLFKDLYDDYSQLDHCALKKFKDEDILQVIQKYQAQSIPGFLP VDAFYALLNPELKKLYAPAYETLEQAFQILEQYATTILESQLQQLPSVYKMLQDQIMEVI HECKKNAYDSITDVLDAEQNYIFTNDFNYLSGKPFIKFGKESKADQQKGNPMVLELRNKI EHYFKLVVRATRDNIPKLIGYFLVKGCQNQMLRQLQSNLMQNQTILSVISEDQNVVEERK KLNREIETFKNAQKIIKRDPDLSEYILSATEEQEDQQYIQKQKQQTSSSSNQQNKQQSLQ APQQQQQATTTTKLQTQQQQVTTNNNQQNKTQTTNTQISNQRTDVIRDQEQPPQRPNDPI VNRTTTQQPDSSRQQPSPTQPQQKGTQPQTQPTQQNQQPQNNYPLNNNNNNNNNNNNNNN NKTTATAQPAAQQQGPPPAQQQQQTQAQQQQPEKKSLFGFMKK >CAK56333 pep:novel supercontig:GCA_000165425.1:CT867986:348161:349510:1 gene:GSPATT00004427001 transcript:CAK56333 MSAFNKYYSILESNKDIKQQYKQNNPEDEIPEKSKQIQEMNNPIDIRYGYQDKSSLLFQI NKKFPNQPDFKIGVDLIYLIDISQSLNDESLEKIKSALKCLVNYLSDQDRLCIVTYSNKA CQLFPLIPLSEKNKLKIIKKIEQITIKKENSNIYSALQITLLSLELRQFKNEITNVNIIS QDQDLRKYSNDFKEAFQNEQAFKLKVFLLFSQDLIQFCQKKKRESQKFGRYHIMKKFDQL SSLLCFETLKLQQTVILNLEIRVKTHQNNPIQISECSGGKFIYVSPYEIRIKKKLVSIGQ NKPHLIGIGNIPKSEGGEICNIEVSFQQIHSNHHQNYDIPIYAQSQKNNILDETVMVQIY KLKSRSQMNEAMLYYDPYRIQDCIEILQMFQSEVADLPDGIKQQLNVEMQQFELALSQYK HNPAQQIENPFTKIDQQSKILKLKVFDKL >CAK56334 pep:novel supercontig:GCA_000165425.1:CT867986:349733:350713:1 gene:GSPATT00004428001 transcript:CAK56334 MSASKLMYSSPGPKSQSAVSYGGERDRALSPSRAKISQLNEKLSNLQHSINEDQAIKKET FEQKVKILEDKVTKQQQSDESKFKLLKEQLSKVEEGAQNEKIIRESGDEKLRTKDLKGLE AYLGKELQGEKVNRKDHEQKIIKNTDDRVYSLRLDLARQKKYREETEEKNAQEIGDRVLQ LQEEVEEERRQREEQNQQTIKRLGDSILKLQEILTTEKKQREIAQAQMFRMLDEMNAYLN GELNAEKNEREATEETIINLIDQTCNRVENSLRK >CAK56335 pep:novel supercontig:GCA_000165425.1:CT867986:350725:351252:1 gene:GSPATT00004429001 transcript:CAK56335 MEEENSFKDSFNDELTNTRSPKSKKIKKIDSPTHKKNVGHWTKEEHEKYLQFLEDNIQMK KNNKIFKPMSEIIGTRSPSQCRSHHQKFNPSSPLTQRKSSKIISVSNQAMPAMTLQEETN VNLEDEIQSSNRIKLMFFDEDDMVQQNFNLDDL >CAK56336 pep:novel supercontig:GCA_000165425.1:CT867986:351608:353488:-1 gene:GSPATT00004430001 transcript:CAK56336 MKKLLKTNKDFGNQYLDFTQLFEKKLKSPTINTDCKKELIDQYRQRNFKHEPQPFFESSE ILVYGSFENSNIMAVQQEGTELNILLNGDTNTNGCTQWFYFGVLVKQPQLLTFRILNNRR GKSLLKEYNHIRVYDNNKWNSETTKELFYYRTNINHPFYHSQDSSIANLQQNFPLYTLQF NYNFTQNKSIVYFALTLPYTVSNLHQLVYHSALKHKVLCTTPLGLPIFKLKTKNKTSEVV IILARQHPSESVGSHICEEIIKLLDAGHQVQNKYRFIIFPMLNPDGVFLGNSRCNFNGID LNRKWDMPNQTTEPEIYSVVKHIKKYKVAFLIDLHGHSKKLNQFLYGCATPIKHISDYMR VKQFSRLLQQGCDLFNYLNCTFSVTPDRMSTARVAMWKKFQIANSMTIETSLYGALKKPF EKEEFQLLGQNILNALFQYDENQSDPHLYEAQEINQAIRLIKKDGFDDKESGSDSDAEQD IIVTRNSRLKVTSRRDSTPMMAKSKPKQQSETPIQQPTLFFKNEQTYSFKRIPSYQLKRK VSRMNSFQSQIIDEPLSAQLPTIYNIPSKQYKGTLDLIQAIQVKSLSRQQ >CAK56337 pep:novel supercontig:GCA_000165425.1:CT867986:353761:354843:-1 gene:GSPATT00004431001 transcript:CAK56337 MENREEDVPATEKPVAEVRLEEKLNEIEEAGEKGINEVEKYYKITGSPINNAIIVQILIC ALASGSTALVNSLGPVRWLISLIPVFNFLNFIIYAVFLAFLQFGSTFFKKKPWNFLFFSI HFIGKFSFMVFYGVNYPLSKFEVFYFLCVFGYLYVLALVRNRDAGLNGVDFTIKGNFFQL AGLGIFLGFFLALLTSAGFWPMIWQPIVGVLYFLYLLLEVQRFDGSLEYLREGKNALFLG AAQIDADLLWFCPLALFHITSKGDGIANKAQEHLEGAKEETKKLVNSELNV >CAK56338 pep:novel supercontig:GCA_000165425.1:CT867986:354899:356101:1 gene:GSPATT00004432001 transcript:CAK56338 MKVAKQQKKQSIWDQVKNEEKDFYFNYLQKKSRNLQKKLKDIADLEELSKTKELKAEQVQ KIQSKEENNEKVKELEAQLSNWLSAKKEAEQSGTLLTQEAFILILEHLSENQDTVALLSQ DHNSLYELAKLLQNRVNGQLRKKDQPWKGLNLKHQAHSEHPKQVEVVQPLKQETPQPQDI VETHKEQTQQQEQYVLIKSSPKKSFQEETRTQVHQTLDQQPNQHSDDTQQQENTNLVPNT NQPQEQSQQPDQHEKQDNQEKTHQHREGHRQHYQHDNQRKGYKKNYHQDNNYDRRNNGDD HKNRPYRGNRQYQNRDRQQKEEWQEKKEVVEQQQDNQSQHSSDEEYITIERRQKKPQHKP QRGGNRNRQQRQGDTQQNVNVEQ >CAK56339 pep:novel supercontig:GCA_000165425.1:CT867986:356137:357738:-1 gene:GSPATT00004433001 transcript:CAK56339 MLQNSCFEDYVGMEIENQLGTRGQSKEYFGNKRINLFQTPRKQDYLGPENQMDESQQSPH FILQCKNQRESTQYNYNSENENTANENKDEFQIDINFTPKTNECLFTELNNLIVQVQEKI QNLSQQSPGANDLSQCYGTYSEIKQQIYEIERVYRTKDDELSQLNIQLEKEFNSCKNQKY LDLTTNIQVNQSFDISKRYQQLQEIKKTKLQKFKNKYHEKYRLLKSDLDELKSTVKQFLS KNSFTLDNEQCYLEFSKMFNAKFKEEISFGENSNQDIENQKSESPKLKDNQCIDSFILTL MKEFDINEGDVENIRVQILQCVINQKELILQQKNQLETIQTNQNLSANQQINKLKKIKDE LENELIQLNLQKEQQQKVLDQSNRGIQELKQKLERIENMDYTLLNSRLLQQEQIQMKQQQ KLISIASIIFQQLIESVSYKSQIKIMLQEYFQHLNTYFDKANEIINKQNWKQDDDPLKYF NYHQQTVQKMMDIICAEYSKMIRVIQQQRQDLMSQL >CAK56340 pep:novel supercontig:GCA_000165425.1:CT867986:358758:359314:1 gene:GSPATT00004434001 transcript:CAK56340 MQFTSSKESQYLFTLYEMCRRNVINQAQKGELKDLLIQGDRKTQEILQIYQKNANKQELE KGILDLLGKLSQDIIQINLMDLIQFTAFLLNTNLEDRNLCKLNRLKKIGINQTYLRACKS QDSLNQKPIMKEDLNKLTRAIKERYSFNHLEIQYRSKNQGKRLSDNFYIESVKTGL >CAK56341 pep:novel supercontig:GCA_000165425.1:CT867986:360241:361712:1 gene:GSPATT00004435001 transcript:CAK56341 MIILIFLAYIHLSVNQKIESSFLRVYGIQAFQIRNITQNGFPLKSKITITLQHLEGEKPI LLMCSNKPNANISMDYESIIKQCFYDVNAYEDKNKKQVLSLQDKQFVGKFHHNYNIYEYQ DAGLFIGAISKLQSSYSIQAEIQSIYTCQKECRNGASCFYGVCECLQGSFGDDCSIQGLN ILDQKTLSSSHLYYLNIKQLTKTAFLRILSNSIPIRRQCYAEKPQVNHGAQVLTNLLQLD HDQIKNCQNITFQVQDEMKVQQIPYYLFKIMDVESVEIFDNNLQEESLSTFMLILFIGLS IFLFLMIACCCSKYFKNKVDYQKQQLQTEAIPTYVDLYIPTYKFQEIKQSDVPYIHTDGH YCSICLERFDLYNNVKITYCKHLYHSKCLRLWIEKIKVCPLCRAPLDEQTIISMVPPKSL TLIDQITNKSTNKFKSSQGSLNSLNNQNTNKFQHLNYQRSLAYIDQ >CAK56342 pep:novel supercontig:GCA_000165425.1:CT867986:361792:362569:-1 gene:GSPATT00004436001 transcript:CAK56342 MKLFFKFNSQVFEDRFLLIILSLNSFDAFLLVIKKYLQEFIKIHVYLYQKQQQTKSFFSM LDEDCVYLSQNEISSQNHNEISKNSYQKLKDLVPPQGKVQKLKRNYKSKLWNETNTELLY RLNAIFSGSIDMIYNYFKNHVDINITLKKIKQKYKQEQRNNPDKLFDKRKKPKLTEKHQR KLEQVAKMQTLNLVPRWSQVSEIDKDQIQGENQQDTEIQQNIFNEINQLMKTNRLRQVQQ RSQQQSN >CAK56343 pep:novel supercontig:GCA_000165425.1:CT867986:362703:364525:1 gene:GSPATT00004437001 transcript:CAK56343 MYLRERRATSYQKPQVGQDDLRNQLNLLDQFVRPTYDVKLPHDPSQKSGIRQSNHLNKSF QLPKLSTLPEESINEEVAQMQQAIDQQNQTLKRLLKEQREVNKAQLFKQELENLKTQVQT IHLKLPKLPQYEQLNEIKHEISSLRQSFFQQAQQPQQPIIQPPQIIYQQMPQPLQQPQYY PPPYPYYPPPYPPYGQPPPPPYGQQPPPYPPQQQLYQPPYQYNPYQYPYQPQPQPQEGQQ NQQNPQSAGKIKTKTAGSQGQNSRRSSQNMIPLVSKRSIQQTKQSFFSDKGDKRVPFQGS RLKVIFNAVRFAMRWKIYCKPLNILWRKLYKHSVECKAVIQKISYHVALKRINDWCKMVL AKVENYLSKIKEIDFINPEKPLTEQEIDQSYMQLTNVMKYLMTSLVTYCTNDFMIPELKF LSYLQFFDQPEIDRGLFVARRVLFWKEKQLDMTKTQQMMIVGDLVILVHILPALLEIPGQ IFLVKCMVSLVQIHFMKYFDLRVLNRNPEYRIIQLNLVDVVDGKLVARLEKLEKFDDERY IVGVYEESQFQGFYAKRPHFQDDMQKALFQIHTNLLQALAAK >CAK56344 pep:novel supercontig:GCA_000165425.1:CT867986:364573:365845:1 gene:GSPATT00004438001 transcript:CAK56344 MEDLLECTKCGNEVDQILALSCEHNLCLLCSAKLYNKLQCQTLVCEICSSQTLLDPSAIE VLQDMYKLQSSRMSTANLKSSRQQIQYQASTHSKASQIHLNTQQGLTVTCQQHASEEALL YCYSCETPCFCMECYLQGLHKNHEVKNVQKSYSIIRTTKADQFYQKVKSSQDQLLQDQCK FAAKKKELVEINTSTKMQIQSNFQELYKALQLKESELIQVADDTMCEKVKEIDAEVQKIQ AQMDKLNDVLSDIESYFPDHPDQSQAIMAFNYIALNNRKIDQLTRTILTERNTWGASLQL QYQLDPQSIIQQIEDIRSTKLKIMSLRALETVEPTINEKKLYERAREDRTKRLFQFQTPI QSLVQDENKENNQSFIANNGEQTQLSEFQRKFQEAKRTLSQRQKLN >CAK56345 pep:novel supercontig:GCA_000165425.1:CT867986:365869:366552:-1 gene:GSPATT00004439001 transcript:CAK56345 MYDFSRMQKLVDRMQKLQPPQPPPDTRFLPEELKKEKVIEDPKKIEKKTKRQLLIKNKKG SGGLKPVEDERLFLIQKENYLKKKQRELEMEQVKKELAEQKKQEKQKLEIETQRALQFVK GKKELSQLEREEKIKKKNDNEFLKRKQKVNNSIQMSSIDASYVSQNQNLNNSLIKKQIID YHTLPKEQYNRIYRQQAVSPPK >CAK56346 pep:novel supercontig:GCA_000165425.1:CT867986:366712:368580:1 gene:GSPATT00004440001 transcript:CAK56346 MASATKEKQLKKKEKEDQIQSRSLGNFHNLELLCKADDRQKKTLEKIIKQKPDLARSLNI YADGVKYYIARQQQRDKLLAGKDLPLMEVSEEQLQLPSLPLITNRQMARQYNKDLFPPRL LSESLEKQNRKQINPNNYTPFANRQRVAIPALNPPMKINRDRDLPKLKFNYFVGSGNNGE LVKRILQKREQYWTAVPLTFQYKHFMWQQSYNGMDFNRLTQQESSFARVMYNFFEFHKNI TSKTGLSQSLLQYYNNIEKTFDIIPLVFIINFKNCDWMKDIQQFTEFYQANNPLVQATMK STLDFDFYVSNLVGTKVNYNGIKTYKMQETLTSKSQYLWLLKPADWNRGEGVHVFNTLEE VETLIKSYYYGKGNYECKEFVIQKYIERPLLLSGRKFDIRCWVLVSQEMQYFLFKEAYIR TSGTAFSLDNKDRYIHLTNNAVQKNAQNYGQFEDGNQLSLNRFQQLLDQQETTYNFRKQG WPMIKDVVKITMNSTRMNKRNRKYGMQLLGYDFMIDENLKLWLIEVNANPCLEESSNLLK MLIPRMLDDAFKLTLDQVFTPEVDFGVWQPKFKVDEYEDQENMWESLGFCS >CAK56347 pep:novel supercontig:GCA_000165425.1:CT867986:368639:369932:1 gene:GSPATT00004441001 transcript:CAK56347 MDQQTEQDKLESIATPGVLDKYQNAGKIVNVVLEKVIAKIQPDADIASICAFGDQEINGE LQKVYNKKNIEKGLAFPTTISVNQICGHYSPLKSENSNLAKGDVAKIQLGVHIDGYIAIA AHTVVVGEAQVEGQKADVILAAYQSVQALFRSIKPGTTNTALTKLIQQIADDHKCTPLEG VLSHEVKRHFIDGNKVIINRETQEQRVDEEEIQVNDVFVLDVYITTGDGKTKESDLRTTV YKRALDRQYQLKTKHGRAFMQEVYEKYPSLCFSLRAFEDEITAKLAVQECAKHELLNPYP ILISPNSIVAQFTITVAVLANSTIQISGLKLDETKFKSAHDLNDPALKELLKLPMDKDSQ KKRQQEQKQKA >CAK56348 pep:novel supercontig:GCA_000165425.1:CT867986:370060:371726:-1 gene:GSPATT00004442001 transcript:CAK56348 MKGLNYRFPHSRQDSGQANRGDKSLLNQGNQGAQNIHNNRWNEHNDHSPSPLMHAMQKIS VQSSQSTSANHSKKSSISKPKQTQQMSFLNYLILKKEDEEQEKQVVQQQQNQHKQQQSSF MQNNYFPNEVVVQRNMFNFLYVIGIGGFGKVWRVEHKKNGQTYAMKEMSKALIIAKKSVN SVMNERSILSNLKHPFLVNIYFAFQDRENLFLYLRYHIGRMRRFSEDQTRFFMACIFLGL EHMHSKNIIHRDIKPENLVLDKNGYVRITDLGIARVLRPDNAQDTSGTPGYMAPEVMCRQ NHSYAVDYFALGVIGYEFMLGKRPYTGRSRKEIRDQILAKQVQIKRSEIPDNWSLESADF INRLIQRKPANRLGFNGPQELRQHSWFKNFPWQKLYNKELKAPFIPHQTEDNFDARQISI EDEENNELIQQNVIMLRRNSIQAQFNGYELDNFTQASEPIKQQLNNGNI >CAK56349 pep:novel supercontig:GCA_000165425.1:CT867986:371861:372667:1 gene:GSPATT00004443001 transcript:CAK56349 MIKINKNFNCLEAISEKNFDNQFQILILQFIITSGYDLFYSSEKCMGWFQNLSLRNKEAG VNPLIVWDAYMGIYEFFDSIRYFNKQKCSCLVHAQLILSLIFCAPVLLINHYIIVFFGNR TIQNKIKKMPIFYYNNSMETTMPECNNCLVEQVENDKITQLHCSNMQIYCLIQASFPPPM SISLDENKIIMSNLQQKNLAIFNNLIQKLEFIIFLYFNFLIISVIYVFIQLLLQKIWQNA YINLKIYFLKIAINKNIQKKEWHNTIVC >CAK56350 pep:novel supercontig:GCA_000165425.1:CT867986:373157:373654:1 gene:GSPATT00004444001 transcript:CAK56350 MKSSDLLQQFNDFRRKLKAQIQSNEITKSPIKNQVKTQTSPQKPNSGIKTKINLTQHQNK IQNRCNTIQAVPNKNQLKSINKNLQLQCITHEKLLSKQENAQSFKCSNPQGKKESIGINL LNQEQLYQFYSTFEQITEDEVKQLPNEYKQLLKQLAQFVNKKLPQ >CAK56351 pep:novel supercontig:GCA_000165425.1:CT867986:373762:374211:-1 gene:GSPATT00004445001 transcript:CAK56351 MTSNEMVNQLNKLLQQSIVSNSNQKVRPFQRNSLVNQQNTLPQFNSAFILNNQKIKTRQS SKKDSNSEIAKSGTKNNLKYFMINGKYGEKMCINGKSNEFEENKENTQKIQQESPQNMNV LIKHKCQSSEKFEVFKQLINQIHFKNKEK >CAK56352 pep:novel supercontig:GCA_000165425.1:CT867986:374675:376302:1 gene:GSPATT00004446001 transcript:CAK56352 MSYQLYPKPFQFYYYPPYIHQLGLPLINPYNLNNYPQQMSSFYYQPIIGNSSDNCIVIED DNIQHPLNPNQQSIVQTQTNREQTTQQEQLMILEQPFKKQIQQSDKLNENEPHIRISVFK QHSSQIDAEYILQYDRIMGNEYKKEITIGRRKQQDDKSENCDIYLPHGDKNVEKLHCKLL TEKGFSYSNLLTKSVLLFFSLFRSSKPIIKLPFSIRKHIYSFIKEKPQFYLTDNATKVGT FMKIKKDNLKLMQLHNTYLIGADTYFHVMEIKSKPSQIKQKRNKDLNQFYKSLAKEQVRK GAKIHGLTLQESEQFNIVLNEFRTNNQRQRTLQKLNQYDRPYLKFSFNSASVNQIQSHIF IANYDQESVFKIGRSQECDVIVNINTVSRRQAQIIYKNNEWFIHDGEGIRESANGTWQSL QNYSTRNQDKKIQSKPSLIEDQMEVKISENIIKFDFVNFGVTKKRKLNQALIQDLLQ >CAK56353 pep:novel supercontig:GCA_000165425.1:CT867986:376477:378388:-1 gene:GSPATT00004447001 transcript:CAK56353 MGSKCCRDDSIQIKDEIQTAQYPDATLPLGPKQKESLSQQGSKNSQVQEILVPIDKKQNE YELGSEIHVDKEQKQVATVVQEQQQKIEEIVQSQKMTTEQIKKEVQSQNQEDNCAKSMGK KIKLGVETFVQSKVGSIGTHYNFGKVLGQGAFGKVWKVTHKTTGLVRAIKQIKKNSLIKE EESRLFSEMNILKNLDHPHIVKLFELFQDENNYYLVTEYLSGGELFDRIKKMSSFSESIA ADYIRQILLATVHCHEKKIVHRDLKPENIIFISEDPKSQLKVIDFGTSRKFDNQKAMSKR LGTPYYIAPEVLGHSYTEKCDIWSCGVILYILLCGYPPFVGKTENQILERVKIGKFTFDP EDWDSISKEAKEFITKLLRMDPNKRLSAKQALEDPWLAKYAPSTQVNRKVLDNIRQFQAQ TVLKQALMSYMITQMSTQKEIQELQKEFQRLDINNDGFLSKDEFLKGYLSIQNDLKLAEE EVEKILEKIDINQSGLIDFSEFCMAAMNQEKLLSVSRVEQAFKIFDQNGDGFISKKELEI VMGDLGDDVWSQILSDCDNNGDGQISYEEFVKMLQNKKL >CAK56354 pep:novel supercontig:GCA_000165425.1:CT867986:378535:379509:1 gene:GSPATT00004448001 transcript:CAK56354 MQFQKQLLKNTLEEQQQFRQQQQFDQKRRAIEQEQQLLQESAKALEHDELQRKQIQRIKQ RELSEAYEQSINQKKQNQNIIKQKQIQDEYNLVSQAQQYQEMQKQQKMLQQYQMKQIAQA SMDDKMRKIMQQKEQQEQEKIKEQLNQRDEENRISEKQKQYRDYYRQVAEHQDRMQKNFA EKIGSDKQFQIDNMINRGIENVQYKEDMEAQQKKQSQIYNKQMMRDSLQKQIFEKEQSTK NQNGSQIMSNGFRSTENNQIDPSVHNPLLNPIPGYNQNPYLNQRSQLGTYGNQVLKMY >CAK56355 pep:novel supercontig:GCA_000165425.1:CT867986:379528:380176:-1 gene:GSPATT00004449001 transcript:CAK56355 MQKYFYILVIFATCSNALTFYLTEGKQRCFLDEYTANTVVIGAHQLLDKVQPNGGGVELS VLDPDNQVILTKMTNSEEGKFTFTTKKQGRFQVCLKMSNTKGWFGDKKQPLYFLRIKQGE NQEFQSAAKESQITGLKQKIKSLQKQEDDFIKLQLMNRENEDKLSIENIKINDNVFNATL IQIVVIIGAGVFQIYSLRKFFAQKIYY >CAK56356 pep:novel supercontig:GCA_000165425.1:CT867986:380752:382453:1 gene:GSPATT00004450001 transcript:CAK56356 MADQEDQQKVQQQEEEENVDQYSYSEGDQYESDSDNLKFDIAKPEKNPFRPIGIDFQKIQ QIAHLAPNSKEIQQEEENRKKIEAYQLYQQKVQDAEQNQKELEKQIEEKLNQQKDEELQN LQKQPIELQINKGGQLVNADEIQKIIYPWQVLFDDTWKKNYYYNPVTKESVWELPLDIVQ KLSDYRRQFEHRLYDYQERNFFKFLPKQYVARQREQLFQKRQKVMLRPARKQVEESLATK FGYKQGDEEYNTWFDRFLSDGNKYKEKDPALTRMHPDIDSGYTKADLYEKFSTYFCIFFA RGCCAEGVNCRYYHRIPTMDECEQIDNSKDVFGRTRFANHREDMKGIGCFTSDTRAIYIT HYKMPKADTSTQALALMYDTLWRHFSPLGDIDDLNVIPAKGVSFIRYKHRCQAEFAKEAM DSQALDQQECIMCKWAYEDPNPKAMSREFEEEKLKLVNAVKDKEKKEQQNNSQFRQKIEG QQIRERSQDDRKGKRGGDRGGKGGDRGNRGGDRGNRRGGPRGRGDNRGRGGSRLFDDD >CAK56357 pep:novel supercontig:GCA_000165425.1:CT867986:382493:383100:1 gene:GSPATT00004451001 transcript:CAK56357 MGSAQCCKKNVQDEGVQELVILEDPNQQTAKLSDLQQEKHSYKKTEECGTPAFPELRSQQ SKRSEQCQSLKEIQFVGQVDRDEQILKSTCTLGRKKQKNDSPIVAFEAKNSLKSFDSNRL KKLQSVPEKQLNLKNISSPRDEDSFMQDSQRCGQPDEKSAKSILKQEMKYSRFRNQQNQG DSTFRKVQFNLE >CAK56358 pep:novel supercontig:GCA_000165425.1:CT867986:383157:384084:1 gene:GSPATT00004452001 transcript:CAK56358 MSGGLTYFKEDFPVYSDLISTINDQLQSIDNLHVITCTTENKNNIAQYLRAKNATMAKYL IHLNMYLLHKLNYGNLEEFPVDQLIEDKILIQKIIQAQKKLQYSIDKLSKYQETQINEDD QVVDQVEKLTLKPRIENLHKDETNDKINNPNQKYVPPKLAATLSKSDLNKQKQERREELR KTQRKANLIKSILDEQETDKPKEMTERDLQQLYYGRAEDEKQMEKRKYEEDHMTRLPTTR DEKRRERIAERKANVTRLDDFQEFETINKVLNKGTKKIKKPQGSKLKGSMKKIVKRKRR >CAK56359 pep:novel supercontig:GCA_000165425.1:CT867986:384117:384984:1 gene:GSPATT00004453001 transcript:CAK56359 MTTAHRPTYRPAIGGSEQGGNKMLVHSRSYHSKDLPAYLILKMRKPGQGTQEELEQKDFK MDLLKREEEGKRQRELKALGVSETSLAIEATQKNQNKDVQEPQILKKTKVEEKEIYPQDA DDKEFIKSSDDEEENVQKPEKPTVQQQELKIEEDSSSSSSDEEDDDELLMREYQKIKEQR EIEEKKKILEKQEYLEKNREEEIIKGNPLLVSEDYSLKKKWYEDTIFKNQSRLEVKEKQR FINDAVRSDFHRKFLNRYIQM >CAK56360 pep:novel supercontig:GCA_000165425.1:CT867986:385301:386133:1 gene:GSPATT00004454001 transcript:CAK56360 MEGNDEINTKRKRENYQDEILDNFKINQQKKKQIREKDYQENDEEIITNINLYIRRIAEQ QNVYTNIKIKEMEDLLIFQVLTTVYLSLFYIINMIFRTQTKFMRASQQRKWAKIQFQYNK LILKQHLYQMTSKVKMQLYYIELLIFTAQNIFLDVQFSQLNIPNFINFLIIKMKFEEIIR KYVSPIKRFDHVLPNNYSAQDIYNYYEELVIHLVKQAKFNEIESFTKIRFTRKFAEVAQT QDQMDWLNDRAKEISNVAMSLFKLRFPQL >CAK56361 pep:novel supercontig:GCA_000165425.1:CT867986:386182:386676:-1 gene:GSPATT00004455001 transcript:CAK56361 MIERIEIKKYILNGFQQQQQINYFQLKMQKLIELIKTNELDQAVIYAQKQVQINFMKPHL INEIEKVMSLLAYKDISKCPFSHLTQNSQRIKVASETYKEMKQAKITLLKKLLQWAQEIL NSKLQYPYLIEISKGQFSKVQ >CAK56362 pep:novel supercontig:GCA_000165425.1:CT867986:388595:389586:-1 gene:GSPATT00004456001 transcript:CAK56362 MKFDILFVILILTVSAHAQDDDDFIIDSSSEYDETAATRYWYFCAASYCNPNVILNWSCT TPCSKTPYMDDVQIFVNATNENAGYSGYDPKHNEIIIVFRGTLPWSIKNWFEDINYIKTS FPYCTNNCQVHRGFYYSYLGIQDQVLNAAKRLTSKYPNAKLVITGHSLGGALSTHALVAL TVNGYRVDHYYSFGSPRVGDSAFFNYVKSIYPSAKFRVTHDHDPVPHLPMEVQGFHHINT EAYYKDFLIFHKDVKICNDEKKEDPRCSNQNLLDLSVDDHCNYLGYNLAIGVLVCQ >CAK56363 pep:novel supercontig:GCA_000165425.1:CT867986:390619:393686:1 gene:GSPATT00004457001 transcript:CAK56363 MNELFRKSFQASTVDTRQRLASRQTRQHQDTTIPKSILDQNGIERWINDILREACLNEKQ NSLNKLGLDRSTLKVSAGVKDEDVSRLYRSMFVYTVGFYEMLHEMLRNLQLTAAIWKVFG ILLEYVAKGDFQFAINQIQQETQQKIEELNETLTQRETKFKIVEKKAQEEIIQLQNMLQD ITDQNNVLKLQRDNAEIDFQQSNTAFEEEVALRIKFEYRINEITSIYRELAQSHSQLFEE LQEIRILYDKTSNDLMKSKKKLETVIEEKDIRDNEINHLKQTVENQKRQLDEKENKILIY ELKINKLTKISVNDQSISMNYEHQFNQLCMQFSKYKEENIIKLQELEKYQRNYQDVLDLN KKLTNEIQIIKQEKFQLQTENNKYKITVESFEQLEQGYRSSILQLQQENRELIEEHESEI KKFQRIQVEHSFQAQRIQVQQNEILALNQNIQQMKIAKIHLDELFIKEKAQVQLLQNSLS GKEETILDLEKCLSFIQQRLHTLTMEMNEQENKARLNQQDFKAAELSYKKQIEQFRETLD TQRRELINEKDKLEALQNQYESQKKELSEIKSVYKEEVYEKNILVTKQIYSNLIINNDNQ KIGGYINEITDLQLKYDETTRMYKQLEIKYKELEQLMSKDQKFLIDGHESKIIHYKAMQK EMVSKMKLEDALSQWDRTNQKLIKLDQSFKNLHEQLKQLKSQNLQMEKENEELTIKLREQ ITLIQKLKADNNKSTSDLKLIKFKQLVYYACLKDVNLKVKQFSDLILQTQNSNQELNNEL AKVKEENLKQKQLIRRYRISAQGQQQPSQVQLEEQDSTNDLQNQQNIKQHNKTEHLKSEK SQDDQSISLNNSILLQNQTKQIRKSKFQIEVEQIEQNLSKKQQEVHQLKLEQLSQTIDLK QSQIENKTQNQPVKLNSLQIKDDLLNIDDNQFSIENEKNQQTAYQKSRSISVSQGSKSQR SNKSQGQMDFVVRVKKNTLIQNAKMNSKQFGRLFQITRDHYQS >CAK56364 pep:novel supercontig:GCA_000165425.1:CT867986:393714:394225:-1 gene:GSPATT00004458001 transcript:CAK56364 MYDQSQQKINSRQSILLMFSERHKPRNASLAIQQEKYSKNQVLLYKKETKSRYLTPKKQN RSFQDRILDLPIISSSRKNQLYVTQIFTRIDGQQKNHNIYNRELKFDSLLCGTLRQFSLT KEQKLFNKSFSVEEQQEMIETFKQQPPIQVKKKKGQRQRNCN >CAK56365 pep:novel supercontig:GCA_000165425.1:CT867986:394460:395784:1 gene:GSPATT00004459001 transcript:CAK56365 MLRQRSTSKGKHFDRLVPEKVNLSDYQIHMVEDQKNESLRELDLNEQLRVENSQAKYSSL LKQKLMENKSQSSLFVYQRQPSKYKPYIFENECPSPVRKIAKTPYKILDAPKIKDDFYYQ LVDWSMNNQIGVGLGNSVYTWNAITNETTQLLEIEAPVCVSSIKWCDRSDIIAIGDDTGA VRIYDIVKAKILKTYENHNSRVGCLDWNGCNITSGSRDKSILFQDIRTNNDYELSFQSHK QEVCGLQWSPNEQFLASGGNDNNVMIQSIKMPNQSMYVFKDHIAAVKALAWSPHQPNILC SGGGTTDKCLKFWNTSNGQLQNSIDTGSQICNMKWSTNTNELVTSHGYSLNQVAVWKMPK IERIATLYGHSFRVLYLALSPDGENIVTGSGDETLRFWKLFPSKNKNSNLVNQSKLDSIR LDIR >CAK56366 pep:novel supercontig:GCA_000165425.1:CT867986:395978:400619:1 gene:GSPATT00004460001 transcript:CAK56366 MKKKQAEHQMIYTNIPNALDIGFTAVTLSSNLSFMMENPNDYPVQFHFEFQKFKITPDRG QLAPGARINFTLTYAPVLAEVIVASIILHIQYEQPRVIKVSGIGKYPFLQLNTKKLNFES LLIGKTVSKEITIKNQSEVTAQFQIQKIIDDEFKDNAFTLDYYSGTIPQKSTFLIKVTYK PKILNVSVIRFKVICQGGNELTFECVGQALEHAVYLSEKSINFGEIKVGNQATKLLTIHN DSDLPTTYQFYTDLNNIFAFNKIRGQIQGKSFDRIIISFTPKTTINYYERIYCVASNQIK FVDLIGTCYDLLIKPIPLLQQHIDNFRRRVIQGKLSEVDFRYMENSCLMKINQQNESNLQ GEWQESPNQTVQFKELMLPPSSDNRLIKFSEDFIDFGYVECYQQSSARELELHNRLNCKL TIFWTIQSHPTIDGEKVPVFSVTPETQSAQANSKCRFQVTFRPTKSSYYYFQYIQFFAIK YNPKLTKKILDQVKVNKGSILNESFGNNANLKLSQTGLTNQKNTIDFTSKEMIPTFSGQI GCVGHSFGINSQPYIPIIELRPSNKLYFPPCTIEESVYQTVEFINKSDTPIYFNFSPDPT KTFRVYPNQGLIFGKSSQLIIVEFVPVENKAYNQTLVCHMNHQSSNQINIQAIGYCSTPL LKLQNDGKVFFPPSFVGVYSRQKISVHNESRVPMSYSIDVPEKNQNELYIEPSSGQIKPN EVLHLDCQFIPYKRNKKYKIKVPMTATEILADNQNLIGYHIPGSGNYDFPLESRKPIELS YQFEIFGQGTDGNLLLNVTQIDFNIIKVNFNTKQYATLINNSACTFYIELVLRPKNKDKD KIDHQMISLINRSFTLDLQNGIIAANSKLDIGIMFNPIEVCEFDLVLDVIATEKNPKAPK GPNFQNRKIISQKCKLEIKAKGSYPLLKIADVRNDSISVATLWENFQINQINAELGKDLN EDEQKFLKIEQLTFDQAQQLQKRLRSYDWNFGYLPSKPQVKSRKIVITIQNIGGTDLEWQ FKLPSDHQIELEPWADPGEPSEEDTFEKAILEKNIFQIRPKGGVIAPKCFKDIELIYTPC NLDDELKSKGISNESHFLRVVLQILNGKPLVLNLKGTTLAPLEGRLAVKKNSFELPETPV GLLQPVKYPIEIQNVGSSKVQYKTIVKEIDIDGEIIDSQFNVFDIQNPQGSLLPNEKQYL YCLFRPLEQKSYNFELLVEVSDMVKVIQPVKLAIQGRGYANQPRKQVQQQAIEIPRQRSH QSPIGSKVFFSLEEIDFGELLPLKSAHRMIILYNQSSDRKFTFDFGVSQFALSNNRPGLC CGDEFLIEPIQGELEAQSFIELKLTLTTASTPAVYEGECECTISWENKNQQINTSQVSQN SQAITVDKETLFLRIKKKSSLNVELVNSFKQPPPPIHNAMAHPFQQLLGQIITEVLTDSH TDQILKALDQQPITLYQPEQQSKGGDEIKQEDLSIQRDYKDYKTMFLEEEFIELTDLIME NTFFNIIQETTRRECDLLRISKTFVTPANK >CAK56367 pep:novel supercontig:GCA_000165425.1:CT867986:400654:402210:1 gene:GSPATT00004461001 transcript:CAK56367 MHSFKDLDITDTENEQSLCNQHHNEIIKVCLSPGCDQLKLCQSCLSSHFSSHKEITIYQL FKEVQAKLQKAYRVEKSKDKFDIVFKYIQEMRQQVHHIFNQLEQDIKQYLIEKINIDELN QNYQTLININENNYTQYIPILKLYYLNPNIFYDGQLQLAVVEDTILTKLLILNEKLKLQV DKLKNDAEQLFEIKTRKPSEHQQEDSVQLLTTINGHENVVRSVIKLHNGDYATASRDKTI KIWNQNTFQCIQTLRDHINWVQDLTLIPDNKFASCSDDKTIKIYMQFTQGQSEYRPQSVL WECVNTLRGHESYVVRIVYNDQFNYLITCSTDGTLRLWDPSQKNALTVLQGHENCVYTLA TQANLIVSGDEGGQLIKWSLNHMKIEQKEKKHSGCVNSILINARIYSCGDDRKVNVWDTN LQLCYVIQLERAELESLQFINDELIVGGDEQGYLQFINLNQRRVISEIPVHNDRIYKIKY YDGILCTASSDLSVKLFKFNI >CAK56368 pep:novel supercontig:GCA_000165425.1:CT867986:402233:405428:1 gene:GSPATT00004462001 transcript:CAK56368 MLKFKRVYHFSKWPIVDLLDYNHQINLLKSQPSKPNIQQLLGPNYEKYQHSSIQFDYVSH QQEPSEIQVLSSHHPEFKQADRIFPSFDQLKYIRETESLKHQKFALKEIEEDDVFTVDAM QSYKPKLTCDNLTENQLLLINLPINATIQEIQDFVHSKTNQQVQVELHSCSLNINAYATL TFQNQAEQYKSQLHLTKFNGILQECRSLENTAEESPFNRTLVITNINKTLSLQEVLHTVG QYGRVLNAVIPLEVQNVDLPLYEDIIQTLVDPCKLEILNVQTGKTEIEFYPNLEQFEQLY KNFPEYYDPNLELTLFEYQQQKDQKQDQFRLFRLLNQKTIKCLKVPSHLKENYKKLWIPQ INVDTPKDNLQYQLYAKTYKNRGYCVCTFSSKAMAQRALFAINAEGTHDLAVLSLPLLNT PKFYPENTSHSNQEKYGGKFRIENLAYPKLRAMKSWEDLELYQIDLIYNLKQLERIRTQI SQVRDQTIEAIQTNYDTYQKDLEEKKEKVKQKAYSELNKSDEYKNFVEKNSFAESLDSFE DSVAVVKDTKPGRKFNFSIHNEQYKNYVEAIKDRAKDVKLKEKEYADKLVKKYQTIFISD SIQRKLEKNVEKSDDTLLSLLQARVLGGYAKKERKMKKKLTRGSRLVSTAAMYAADSEMQ FKRIGELFSEIQKKGKYVINEANRDSKEAQAKLAQKIALRRQRKFKRRFSSTILNPFYLK KLALQKHEEFLEYIKKMLLGDESQNESLIAIHPLNHKDQLKVSLALAKDDLGNEFLNDKI INYVREFDITDDTRDQIRSQEANRKHLMSQITKDQIARIKEFSKQSYTQKLISLDKYGIT TETFEVTDNEVDINQMVKDLNTFVAVGSEKYDFVVDKLTKKRYIIKSEKPFVKYDLDAFS IKNLGVHNLEKEFVDLLSKNGIQSDKAIEMLKALENDGQISEEILDLIDRLPENTETDYE KIYQTQGLTRKQYELAKSLDFRNNLDEIQNDIDQQAYLKDIAKKYSPLTNDTFVRDKEQG KLKIKNNKGKFLVPKQKKY >CAK56369 pep:novel supercontig:GCA_000165425.1:CT867986:406179:408419:1 gene:GSPATT00004463001 transcript:CAK56369 MLRLVSKKSFSSTFNVLDTFKTLKSVITAPIRHIRSYVRQDGVHSSMTPNLTYQAFDEVL HEYYAAYSGNPFHLQVYNNLDNIQTVNFGTVDNPCVIFTADTPFRYVGCTGLQNEDDYEQ HEIHLFMLREGPLQRCPMCGQVFKLVRLRQQEDEEMSYYRDSFHPIDIFELDNENVQSIN MLKMWTHREASLFESALYEQNIMVTMDNDVHDRLLVDPAYRMWRFQHGEDKLKYIDQQLK QAGYNEERIYRNPKYKLPMQKATYAAVIEAEKVLAIQERLERKVNRFHVREYLDFANHAR RERRMRLRQKERWENNYTYFYGGLTEEEQLYNDYFETDQELYKDDEQVEQRIDEAVAQVD PKYSPLRFDFQEAYTHNPEEDQTSLLEKKLWRFKYRLAFDCTKDYQARERRLIERHLARM TKDPEYVAVFKNLQSAVNSENEFALLQAEKDYYNLAAKEGFLQYKDYFEGDTSAEIELVE ELYKASPLAFSKVFVNHSARLVQQEGFQKFPKRAWNDSLGLIQNYVLDFQDFSSNIVPKA QKLANYAGLQNVLPADEQELVKLGLDKTEQIARQKISQAQEKPQLNEPKIQAQQQQAPPK VEAEQQQQKPQQQQQQQQQQQQQQQQQQKKGPFNKKKMIIFIIHQTEYYIIIIFQSNTIY SFLLILILFYLHFFFIISILRKQFLIINLLSTFLSNCMMIYLSTTRIYLF >CAK56370 pep:novel supercontig:GCA_000165425.1:CT867986:408459:409643:-1 gene:GSPATT00004464001 transcript:CAK56370 MNLFKQNVTYYYDEEFGTYNYSTTHPMKPLRVAITDDLVGHYGLKQYMNCIDQSFVQTYI KRVDEDVLTQFHSYEYIDLIKIITPENKCQYEDQLYRFNFMEDCPVLDRLFDFCLCQTSG SVGAACVIADQKSNIAINWSGGLHHAKQSEASGFCYVNDCVLGILELLKTYQRVLYVDID IHHGDGVEEAFYLTDRVMTCSFHKFKEYFPGTGHIDDVGHDKGKYYAVNFPLNEGLNDDS IQYIFKPVIDKIMENFRPDVVMLQGGTDSLSGDRLGCFNLSIKGHGTCIEYLKKFNVPII MVGGGGYTLRNVPRCWTYETSIALNVPIQDNIPDESDYKVYFGPEYKLHLPISNMEEQNS KDYLEKNMQI >CAK56371 pep:novel supercontig:GCA_000165425.1:CT867986:409859:410807:1 gene:GSPATT00004465001 transcript:CAK56371 MFSARDQLSFSRKTIQHFSIASQKPIRYATQYCGDAEYSSSIFNSDYELLRTKEKYKQKC EEIDMKKNQLNSDHLDLEFRAEKLRQGENEVKYMAELLKKKLKQIKQQEQQIKENQSSFA DKFRDKEQSLIEQQKLIKEKQDQLILKEEQLKVREQSLKEKESLLQEQTTYYTQFNERLK INIEETNKKECIQFNYNLEFYIQQISKMNQTVETLLYHENHIRDLEFRLTQQIQGLKDFD NQIRQQQTAIEELIDQKEQGILKSQELINRKLLWDQKNITRQHLQQISNYMTDQKYY >CAK56372 pep:novel supercontig:GCA_000165425.1:CT867986:411474:412808:-1 gene:GSPATT00004466001 transcript:CAK56372 MQIANDEYIIPNLLQGIDIRNHEISTLSQTLDRLHFIKGLHEEDNLRLRAIITDMQYNFE ALVAQKNIEISRLSGRVKYLEHELYQMNRLGQDKFQNSNKVEAEPPKLRELEKAMKQKDQ QIQDITNQLQQLMTQFANRNQDSGSEIKKISKDSKELNLPFLVENDNLKKLNAELNRQVK NMNDEINTLKSELNEANAELARRERKLREYLLIDKMRAERDEEVKQNLQPVYVKVSEDKN LLDGVLALMHYMRESITDIIFEIFVRSANQAFNRKQSSAILFSINTKELKNRNVRGFLIV LYLYRKMKKFIKIYFPYYGKLILNDHMARIALGEDEYHLSERRDGTNLWLEECLAKLSIQ KRKSDKNNEEDAEYQHLFRLLSLRYRCKYPLKGNEEMRVDLDIRVRLENKICNSLLKLFI D >CAK56373 pep:novel supercontig:GCA_000165425.1:CT867986:412986:413262:1 gene:GSPATT00004467001 transcript:CAK56373 MSQEHDLDDIAEQQLAEQTALSQIPSIPDQALPTRQYLEKAVIDQLHDALKALARERPKN PIEFFSYYLLTQHCNKKKELQEQ >CAK56374 pep:novel supercontig:GCA_000165425.1:CT867986:413319:414189:1 gene:GSPATT00004468001 transcript:CAK56374 MLKHLYDTDCVTWSPQGKLFQVEYAMEAVKQGSICLGLKSNENVVLCSLKRQPSELAGYQ EKQFKIDDHMAIAIAGLTADARVLCKYMRTECLEYKYTYESHHPVGRLVFKVAEKSQHKT QSGAKRPYGVGLLVAGIDPNGVHLFETCPSGNYYEYKCQAIGSRSQAARTYFESWFHLFE KSTLEQLILHGLSALKKAIMEDEELNERNVEVGILGKNQKFVHLNAQELKDYIAKLETFN ANTQIQQE >CAK56375 pep:novel supercontig:GCA_000165425.1:CT867986:414190:416497:-1 gene:GSPATT00004469001 transcript:CAK56375 MEYDFQSRPSSAFNLKGRPDSAKSRLPSQLSNTYGGTKKLPNVRPHSAVVTKNSKLGKKR TDEEVILEEQDYDNFDKQGIDINAILLNPPSQLGDKKLPKNIKKEKETLYEEQIELKQQI NKIKEENVRLKTKNGQLQKENSKLEKLLENLDSYIQTGQGHQALGDHILMNNLKKTLKDV KSQLTEKEAELSILKKHIKVTKIQEIEAELKNYIEESIRLRSLLDQALRNQAAQKMAVQM DNFEEKFYVQMKVINSLQQEMDQFNGLIKQKDEELFNAQVKCEQYDMLKTRAEDQSEYLK QQVQEYNDKCEILQQEIQNLKTINNNLIIKATKTHNDDLHIRELEQIIIDHKISIDERNE TIKQLEKQISEMKHYEQESFKQQERERKQLENDMEYYKNQFELVDEKYKNLLLQVAQQDF QEEYPQQQKSDRKSARTSTVKLATMGTMAVIPSFDKDSKPPLSQLPVNQPQHHNQGSQLG LIQEEKPNQKQRPQSGGVRRRRTVKQEDIKDLGLELNMRFRLKKLKLEDVVENDLFDAQI KQKGRISIKDIALKLSDSPFELKDVEKMYLLARYMIEDNTQDWVEYDEEATCQLQIVRSI FKQLIGKCRIFTEDEERQYSNEISAQIMKFKKSILSYLPTFNQPQLTKDQLTKAFNYIGI TLSNEAFDYFYLRLFELQGESDVRKFDYKLVIEEWGKEEKEQNKKQKQQERRDTKLNTNF TYGQKFSIEK >CAK56376 pep:novel supercontig:GCA_000165425.1:CT867986:416858:418614:-1 gene:GSPATT00004470001 transcript:CAK56376 MDNLKQMQALKVEKGDGSHHTYSQDEVSSFCDHINYYLRDDKDVADILPMDPETNDMFIK VGDGILLCKIINLAQNGAIDPRAINVKKPLNIFNENINLNLAIQSAKSIGCVVVNIRPDL IKDRREHIILGLVWQIIKIQTTKMVNLKENPFLIRLKKEEEEIGDILKLPPDQLLLRWFN HHLKEAKAQRQVNNFDKDLQDGENYIVLLNQLDKDRCSLDGLGQDPENRAKTIIQNAESI GVPKFMRPVHIVKGNSKLNLLFCAQIFNACPGLTPSQEDYEKTKMLQEDDDPESSMDERV FKMWINSLNIEDGYINNLIEDMRDGINLNRLLERLKPQTINWKNVKIPAKSRIIKVQNAN YSLEQAKTFKITLVNVGGVDFVDGKKKLILGVIWQLFRLDVLKTMGDQKDDQILEAANKK VPEADRLASFKDPKAKTSHFFFRLLNSIEARAIDWDFVQKGETPEEIESNAKYVISVARR LGATVFLIWEQIRDGKAKMLAVFTASLLHFAEDYKAAKLGHAE >CAK56377 pep:novel supercontig:GCA_000165425.1:CT867986:418637:419161:-1 gene:GSPATT00004471001 transcript:CAK56377 MDEWIAIDIETPEGKFFKSPIYQEEQVHNAKRNLIDNIVQRCAQYGKLDNPYQIARKTGA MQKDFDYEKGQNVYDQDDSFIDDEEIDKENHAMVIPETELDDYHMCICNLKDFQKSIEYK KRMAILKKHTVESKNKSAKKKNKNKDKMDQVVEKQNHVEQAIQQNTAIQDKEIS >CAK56378 pep:novel supercontig:GCA_000165425.1:CT867986:419332:420795:-1 gene:GSPATT00004472001 transcript:CAK56378 MINIRNKRLDQSQLTCGRQSVNSSIATQGKDYQQLEKSFYQISQGQKVKQHTLPALQEKK GLFEQIFVAVHEKLNDDFKNTIFRQTQYKTLIKNSNKTLKLKTNNTKIKFKRQQSVIETN INQNCLIKGNWIACEQNWFPQFREFGQMVTHQGSIFLSGGFGNSVNNDFCQFETTSNKWT SLQNVTPRYGHTMTSISTDLYVIGGEQINNYGPLRSKCFVNEIQKFDGERWHTIKYTGIF QMRKYHAATNYKDSIFIIGGEGFKNKVLNDTMIFDTAHSRFHEVPNPKNVFAKGIMHTAI VEVQETIYLYGGVNQNDEVYDQLYTYDAIQNWKPKETIGSKPPKLMMHTMVYYDPFILLY GGKIDDMQQTTFSNQIYALRNNEWYVIQQEGVIPQPRAGHSSCIDGNKLLIFGGFNYDGY LSCQMQIMEFKRVYQINRIVSLPALKSEPAETSQGQRKTFLLKTRFSLLK >CAK56379 pep:novel supercontig:GCA_000165425.1:CT867986:420809:421729:1 gene:GSPATT00004473001 transcript:CAK56379 MSLSFSSFGKILEKTQPIAVDEVINLNGHILPTIKKDKNKLQMFSFLRKNEGKQQSESFP FNAVSRRAKPTLCCLMPERSYEAKYTPKFEVVQCKSPSFDFGSGNQIRTRSISICSQDYY IDQYTSFRTMQKSPKAQTEKKNTISHIKEECPKLLHSLKVQLDKNRRSKTHNCSPDFNKY TDRKYTQREFLQQYDLLGVNYARINKRLDVGYVEFSKFTNKVMMKQDRAETPPSVRLEYN FDKPKQMRNIYLGNNKPLQPKLKPKQLQTLNNCGQRQIKRTQELMQWPDQ >CAK56380 pep:novel supercontig:GCA_000165425.1:CT867986:421903:422419:-1 gene:GSPATT00004474001 transcript:CAK56380 MSNRQFKTVFLGSQNVGKSCIVKRIVQNSYDGKTEPTIGSSFQSKTININGEDITFQLWD TAGQEAFRALTKIYYRFYKNPKSVYDITNKETFRSLEKWHEDLKDNCDRNKMILALCGNK VDLDNDNITYAEAKKFADQINGGLFLVSAKTGQGIEGLKSIEY >CAK56381 pep:novel supercontig:GCA_000165425.1:CT867986:422447:423016:1 gene:GSPATT00004475001 transcript:CAK56381 MGQSCMNKKKESNDPMLLSIKPTNRTKKPTWELHYNESRPETQEDLDFDIETRILKKKEV RFSTPDRGRHSFSQGVKYQKELLMKQMFGDMFARKKYSLIRSDRELREKEYHNRINQTQN VDFSFSPGKLSLKQINVDPSDQTSSLKEDVKSLKSFKSNSQPQSSILKPKSNKAKSLYSG SRRSVRFQF >CAK56382 pep:novel supercontig:GCA_000165425.1:CT867986:423170:424211:-1 gene:GSPATT00004476001 transcript:CAK56382 MYSPIIHSKQKTVLNISLTKLDDFHKNVVRYHSIKCVDGQDYRRYKIRSQEYAQCKTKIG DGNGKHVFILNDGHGTSGLPIARFVGMELDKQYQELISKLDANNMKDEKVHEEIIDLYKN TDELLSQQSEIDTSQSGSSNISILIVGDQLLCVNCGDSKALFYYKNDFQEQTIHLWRMKN LSFEHVPSRETELLRIRSHNGRIDQQMIRGMKQGNLQIWTKNKLDQGLHITRCFGNKVHK TVGVTVEPEIIHFRFPKSGYLVLASGSLWSYLDTMDIGQVLNKYNPPKSQSEITRIENEI LANAHHFWDGEHDGIQDISLMIIFIDLQL >CAK56383 pep:novel supercontig:GCA_000165425.1:CT867986:424920:425213:1 gene:GSPATT00004477001 transcript:CAK56383 MDQDPEPPKSRLTKFFEKVDNWVGPSRLQYKPACHDLKEMIVECVLESDCMKNNEGDFRF CLTEGINKECKAIRHDWYVCRKSQVDWNKHHFKDDPR >CAK56384 pep:novel supercontig:GCA_000165425.1:CT867986:425248:426516:-1 gene:GSPATT00004478001 transcript:CAK56384 MSFPDYIDQLNYDSNSSDLQFEQDELPSKRQNNSNKNKKQMPNTKNAYINKISSLIKITN QEQSDEKQRHMNINRVGKKQLPNKIQSQLIIGSSNCDKEDKLAKNRESARNSRKRKKIYL ELLETKVTKLSEQLEIFKRVNDQTTELATSLQSKINQRQDQDQNKIILFSNLQNSVQSNI NEMNIDTFIESLNKKFGSGSLDRQQQIDHYSRQIYENCLSPYLNYIIGVAKTDQDIFASS ESLSEHGILRSLKLTDKQKQTLQKKQKKLLRYQNELTNTLSSFQDIKNQVQLELGAYGQT LEQLRKELKPSQVAKFLLEIEKKDMQHQFKDQFEKCFGSEFDEDDSLDLYQFMAEHNYCN TLGIDIQNTYQIYKQSNDFLKGRIDVEESQQTATKIE >CAK56385 pep:novel supercontig:GCA_000165425.1:CT867986:427749:428599:1 gene:GSPATT00004479001 transcript:CAK56385 MNQQFYNFLNIPITQNPKPELVRVRLAKQFIVAQVLHIFNTWKSIHNDESISFGLIGCGQ VGKLIINSIIPYAIKYYDLKPQDVHISTRRPEQIYYYVTKGYQVYFDNDRLLEQCNFVVI AIPPVIDNWNILELQNKNPKAYIISLLSTLKLPRLKQLLKQENQLYHTQISSQQLDQAIL SYIELIEQIKPGVFQTQIQEDIVKLITEQSHNHFIDGENRIKIFIESFGIELTESQYVKI FGRNKQEFETQMEKLFKMSFTKSMLQFQNFIQK >CAK56386 pep:novel supercontig:GCA_000165425.1:CT867986:428707:430728:1 gene:GSPATT00004480001 transcript:CAK56386 MFQSSLSHKKLKQIDIRKNHHYYLNAPYQISVLTPEQQKFEQQNESVNSQQSYQNNSYTQ YTEDLYFRKHSKLFRDLRSTSNQNNNNQLNNINQSFIFRIKSKNHLQEEPLILDNLGQRD KDKKTKDLVKVLHEMKKKRQKYIQKEQFIPRSPIPLLKRQNCIYLDEPQVEKLANHIKTL PIINKREPGLNNAQQNDTQSNFQKLTNHGNLEEQFQSKLISLQQFIFQLQCNYLLYVKQS QVRQQTYFIEEINQNDQIIMQLMKKRWWWCESLEKSEDVQFYWSQKLNQQILQKQQTHAL FDITKKRVINPQIINNHEEFLKFAQEQKLTFIQQNSRIHNHLDLYQIFNLKKNLLKFINK YQTLANEELQQFFPYSINVANIQDPQFNIYQIKYKLTNSLWLVKPGEQLNNHSQIKLCQN TKQVSDFITSELKNSNRVVDSFIIQQYIKPFLYQKRKFDICAYILITSFNGIVRVYFYDE VIGRTSSKEYSDNDLDPLTHFTNSQIQKECKSFSLYESGNKLSTEKLQEFFKQFKINFRE IMISKFKRIATNIFKSMFTQMVLRDYNFEIVGLNFIVDTALKPWLIDANLNPSLIPDCPF HQKFLTQLLDSVFQYTLDLLHPPPLNWPQNKKQLIENFTIQDRFSVIFDSRFDSEILLEL YQKDIN >CAK56387 pep:novel supercontig:GCA_000165425.1:CT867986:430929:431465:-1 gene:GSPATT00004481001 transcript:CAK56387 MNISIKQIEDDLNGNDTSPSRQYDSFKLINNLSIKTKDSVEQKFKLIKRRQNHHKSEIHR PTKNTQDNKTHETEQRGGTCEKLLSELQIDTQIRQQIKKNIKVSEQCVSKNNVLESNSSS YSSKIGSKQNCVKGILKKQTLESSFSDNNSRSSSVASGKSVKFNLVQANVRMALNQWK >CAK56388 pep:novel supercontig:GCA_000165425.1:CT867986:431514:432023:-1 gene:GSPATT00004482001 transcript:CAK56388 MASQSKKNISENKRDTLQFFSRQSQSAQKFIENKENENPQFLSIDKQNYNGKIRNSILTI ETISNKKKCEILKLMKPDNDNYVEFVVYDDEEVGISKQFSDKLQEAYDRDDDVQTTDSVQ KQLQDICLNDLEEGIQQNLGDKNKMIINLKRIRQHYNQLGLESKKEIKN >CAK56389 pep:novel supercontig:GCA_000165425.1:CT867986:432332:435808:-1 gene:GSPATT00004483001 transcript:CAK56389 MQLNEDDEYIRLQKLSIAIKEITQILPNQKQFKDISTLKLLICAYPFQQHSVELLISILF DRFKDGQFISAFHQACSEVAQLQEQRALLSQRSLSLKSSRLTNMQNTSVDSRKSSQNVSF AKAVIEKLLMAKKFSTRYLKQLFLQANELKMDLEKMARIFKILIINTKDQEFRLKIISIF DECKNDIFGNRQWVATYYEEIDQFFLQNHVLSPSKLPDFAQEKNSKITFQVIDDPLNYDS DDSQEEKIDIQIQKWLCETNTYDILEREEIFNKPENFDEILKFITQPEKVELHKIWAQYS TVNMQNDDPTYYKLIGKSLKQEETIRSYKALQVLIKEDNFQFFDEFVKQNVIQIYRLVNG VYEFINDQNHNMNLNHVAKLIEVTLMRQPKLSVVQIVDLNLYFSLSLYIYNSMITQILES IINIKIDYYQLGYFFQEQLWKYLCRTEWFKFIVSLVSKEGVNMNITDKHTKTEATISILS ILKTLSQYDQKQINSSSINTEDKQLLTNFLGVLQSGKTPELYYTTNQFNWQLNKEIYEYI SANEFDNRDLDNLNQFIQERKNNTSTKQYFMDLKNSKMRKSYIPPQIEIIEQEQIILTKS IESPAPTRSTRTKNNIIINTRKSQSKGISKLTQSNSLQSSPTQLVESPYLGITCLNLKSM IQQKKPYSTSFYQITIKIDIFISLIQIQTQNLKEILLMMDFSSSRTQDYLSKFIKHQLKN TQYENQLEQLQIDYKYYQKGIRLMHEATKSIMQYLKQNNDKVKSALSKLDISSMSKCFLN QNLFDRYFQIYMLNILENQPYDSNLSDECGVFINYIFENVLEIKSLTDSQMDLCNSFLNS IEYLCKIIFEMHKNPNFLISTKHKFKKTLLMTTLNTGLQLFQLTYSDQIEKLIIKYLNES VLHILVIWFFDSDSNNTFQRNFFMFLNLLLNQAHSALISTLFFKIGFIASLQNAYSRFYM NGIKNDSNYENLSYYIQIIIQLIQNVIHQRQLVQIEKNLETMQSWHIITGNKYIELTKSI TNNNIVQDNMINLDKQSQNTPKYASFHRTEDRGKKERKVGEILIQKDRSTLSRKSLLLSP RLLPLSPALTNKFNQQSS >CAK56390 pep:novel supercontig:GCA_000165425.1:CT867986:435908:439262:-1 gene:GSPATT00004484001 transcript:CAK56390 MTSFNWDQTLKLVPDSNIALVFDQALIATDEYLRLLKITEGIKYITQNLPQAKTFANPKL LFQLMCLYPFQKSNIESLISACFEHFKDFGFINNFFASASNISLLQETSTQTLKQRITML SRLNNKTKDNNEGQQFSQAVNQEIITIKLFAVSYLKKFFELANQLHLDFQLYCLMFKNLI QNSSNQKLLENVMDCFQDLRFTIFSKCDWVNQFSQEIVTCKVTFIDLVFNNSPKLFPSYT KYQIVVVVEDSDSEIVSQTQIIVNQQQNRRRSYISNEQDPSLYLQNLCFTQDPEQPLQHS SVFQEVDNIDILIQFVLDPAQISIASLWVENYPNLPDIIDKDKNIFKQRSSYQLSKYQVL RSYKALLILTNLDNFNKLLVQYSQIFTKIFAFIHINLFDDTKNINLHHLAILLKFLINLQ PKFCVKQIIEFKIFPKLLEMLYNPSINQLLIEIINVNEDAIKLGIYYLDQLWSYLHQINF LQLLSNYSFDAQLVQDTPTPDQAAYQETFQVIKALSIYDPQKLQKKLLRQETLEIQEQPT IQSRNDYDNLEVFQNEKKEQLIRGEDDLLRRQSSISINQNTCKVQVFYKRKSNIFYLPKI QSNISCQDVDNKQINYSQNIDNLLSSGNIKTQTFMRLRNKASYHLSKTRIKTEQDIDLYS NDGFPSSKLVQIYPTTKSIIFLSEFEREKSVFNYNDMSENHLRTLILLLNQLVDFAEKQQ EIQKRQSKTQIFNIDKLTYSIITEKNIYYLFKVFLYSILEIPHNPTSISVESGLSLNNLY LKVKNIQIFDNHKDLLKNQFQLIIDYLVKCIVKLNSIRSKIDSASFAFKQYILVSIMTNG LQLYDRQNYMIKVDKVFRSVFRNLTDTMLHIIIIWFSDSQQNTIYQQVFTKFISIFFAGA PMPYLSNIIFKLGLVSSLYNAYTKLYQNEIKDTSYVEGIFFYILLINYIIRNAIRVRNLS DLQSALSILDSWQQLESAQPFENKMALKNADQVINLEQPKQVEKVVVKKSEEKNLKLIKI KSQINHKVNSLVSLKKKTSEPNTNPGVQTSRAELTQRKLI >CAK56391 pep:novel supercontig:GCA_000165425.1:CT867986:439530:443068:-1 gene:GSPATT00004485001 transcript:CAK56391 MNPLLFEEALGEEDEYQRLTKISDAIKLVAGTIMRIKTFNNWQILKYSKNTLIIYLVICS YPFQQEVIQLLMNTIFDYFQDIDFLNTFEKALLEIAQLKEQRVFLSSRSSSLRIGVLQQK QQFKLEVSYNNMNIRNEQLKQSSIPFAKPVIEQLTVAKRFAATFIKKYIILAKSLNQDIK ERVYIIKDFVVNTRDHHFIILLINTFDELKQFELRDQSWVVRFDHEIEQYCAQHNILVPH YIEKQEKHRQIEQNSSGSESEEDKDSESQQHLSSNSNSASGKQSLMDEEELIQKWIAQKQ FIIEQQQLEILSKYENLLLLISFLLNPSEEILDDFWKDQYPIEEINDDGVSLKIRNQKIE FHAIVRSFKTAQMFHHRQNLEKLQLYNQIFLQMFFKQTSEAFQIKNRNLNLNHLALAIDS FLTVFPKSSMALILENQLLFTLSRYIYNPLVMGLLIDIHQIQINKYNFGLPFTEQIWKYQ LFTDWFMWVQNLLYEKENDLKQLEYQVKSENIEFIVKSLKELSKKELKISKPKNSDENKI KLTQLLGSLQAGKSLMKQNTKDYENWKLNSKIKEHLTMDEFKAQDFDNLMEFTKQRDSMY KQQLETKISQEIQIQIDNIQLKRSSRVRMSQTNSMHQQSPKSNSKFLFYNSSFQSQSDSQ PNSSRSIKLPSIYTSNQYSESKFNVAQSFSSQGEINTKNISSFGQTPKNSKLVLASQRRL KTESEDIGMNYIQSNDGFSSSRLITIYPSKQAQIKFEQSKSVQRQDQIMVESCLRFFSEI LRTILDYFQQPNKTNLQQLNEQILIQQILSMNFLNFIFEIYLSSLIDQNVQQQKIGELCG QLINQIYYKSHHIELFQLLREQLRTLFLANVDNLTRAIVAINKQIRLSSKFVNKCQLQLL LQTYFFGFNLFSIHTQLPSTSNIFKHLNETVMHIFIIWFFDSQQNNCYQYFFTKFLTILF AKAPVPSLTNILFNIGLINSIYNAVQSLWVNGMKSTCFEPGVYFYIRMIVYVINKSLNHR NMIILQEYLQPLESWKGVKKLIPDEDSNYMIELQELLKTGQTPIRKIQLKKTKLEKLKSQ LTVDCFDSKLKEIKQFEQAQLEKQKQSFTPKVLQQGKKMSNKLSQRSLRNRPSLKSDN >CAK56392 pep:novel supercontig:GCA_000165425.1:CT867986:443431:444207:1 gene:GSPATT00004486001 transcript:CAK56392 MQIAKPIIYGTIATWLGRRSDEKKTHSWICYVRGANNEDLSYFIDKVIFVLHSSFENTNR VVSQHPFVIAETGWGQFDIIIKVYLKGDYDQPLIAVHPLKLYQNQTQNIPLTKKPVVSEQ YDEIVFINPKPELLEILNAKPNQENNQVEEEVQVDQKEPDFEQMTPAQILKYNQPNFTVF DINESKATIEKAIQIVSQDILADYKRKNHQLDTEIQELQIEYENLQNKLSQTQQ >CAK56393 pep:novel supercontig:GCA_000165425.1:CT867986:444582:446103:-1 gene:GSPATT00004487001 transcript:CAK56393 MKIKGGFSSIKNVIAREILDSRGNPTVEADVITSKGVFRSAVPSGASTGIYEALELRDGD KSRYLGKGVAKAVANVNEVIRPALVGKNVTEQTKLDKSIVEQLDGSKNKYGWSKSKLGAN AILAVSLSLARAGAAEQNVPLYQYLAQLAGKRTDKFVTPVPSLNVINGGKHAGNKLAMQE FMILPTGAKSFKDAIQIGCEVYHSLKSVIKSKYGLDATNVGDEGGFALSIQDPNEALQLL EDAIKKAGHTGKVDIGMDVAASEFFEKNTYDLDFKNAKNDGSKKLTAQIIDRIISQICVI TSYYDWEGYTQFTAKIGQKVQIVGDDLLVTNPIRVQEAINRKACNALLLKVNQIGSLTES IEASNLSQKNGFGVMVSHRSGETEDNFIADLVVGLGTGQIKTGAPCRSERTAKYNQILRI EEELGSKAVYAGKNFRNPQL >CAK56394 pep:novel supercontig:GCA_000165425.1:CT867986:446646:447360:1 gene:GSPATT00004488001 transcript:CAK56394 MNQCINKLLGSLDHLYEALFQKIIELFARRGIIRPLIRRPAVPVIELQPIDHSLRQPLVL HSCTSTPVFTQSQTIYRRAESEKDFRKCMIPNLGDTIYKVDSYSRDVSLLNLFEDKQEQC QTLNLQDNHQKGHKLQTIIEENKIQQNSHEGCQSQNQSQQFIKHQQQQQDWALQNEKQQL PIANLQDQDENEQFYSIIENPVKITQSEQYFSIIDRSSFRNSFNNQYTRK >CAK56395 pep:novel supercontig:GCA_000165425.1:CT867986:447885:450618:1 gene:GSPATT00004489001 transcript:CAK56395 MQQHFNYARLLRYPFSNYKNYGQLTQVKLDKSLLENIENKQYHFIQYFRQNGHLVAQLDP LQLIESQQKEMPGVLDFGIKYNDDIKVSNILKKDVKSVEELEEYINKLYAQSVGVEFEQI EDPEEKQWLHENYEKFMNEEITQADRVSIHNLLVQMEAIDHYYHKKFTTFKRYAGEGGEG VIVALRAIYGQAVELGVTDVVQSMAHRGRFPLMSSLLDFPPSDIFRKIMGENDLPQEYTF GVDDVVHHLSTSNKKKFNNKDLTITVVHNPSHLEAANPVSQGKAKAKQDDYGNIDQVLNL QLHGDAAFAGQGIVYESMLLSGLDNYSNGGTVHIIQNNQIGYTTNIKDSRFSRYSSDLLL AYRYPILHVNGEDVETLHKVSKFAVEYRQKFKKDILIDIVTYRKYGHNEVDEPSFTQPNM YEKVRKAKSLPVKYNQQHFRQEDYEKIRQKVFAYLDSEYEKAKTLKQTLAKVTDEKSKGS KAFTQKWNKMKFSQFCGQDAKTGLNKEYLVNLAKQSVVIKPNFNLHPRLQKYFIDDRLDQ IQKNSIDWATCETIAVGSLLEEGFNVRLSGEDVERGTFSQRHWAFVDQKTEEKWIPMQNF AEAKMQGRLQVANSPLAEASVMGYEFGYSLENPNNLVMWEAQFGDFYNTGQHMSDTFITC AEEKWMRQSSLVLLLPHGYDGAGPEHSSSRMERWLQQGVQNQNFQFAIPSSPSSIFHILR RQMHRQFRKPLVIANAKSLLRSNKAKCTFEDLGEDKSFQRIITHKQGDRPNKVIFTYGKF VYDLLEQLEKKNASNYVTFTFEQLLPFPKQELELGLKQLDKDVKFYFVQEEPQNQGGLNY CQLQIDQVLTSLQFTNKSLNYIGRPECPSVAVGATVLHKQELQNIVKQIDSIL >CAK56396 pep:novel supercontig:GCA_000165425.1:CT867986:450643:451400:1 gene:GSPATT00004490001 transcript:CAK56396 MQAEFDVERPSYQQLNEETPKSTCLYYQDDPITLYNQFIRLYNQDINQVNGIRLEEMKSS KVVQFIHNYLRNFVGSFVLLIILFIPLLNIGFYILILFAAISLNQNYLIFRNNISKCQID YIGLVLDPFGNMVENQDICVMMKKNYLVFKLDIKETEGLHFSKNVKEMIKNRSSGTGDNK IEFTIYNQNLKQDYYGFPNYRCSYLVWSLSGCLIIIAECTTSEIMFYVYQVNN >CAK56397 pep:novel supercontig:GCA_000165425.1:CT867986:451417:452718:-1 gene:GSPATT00004491001 transcript:CAK56397 MNQLQISTQEISKYKDTITQFLKDNTLYDCLAHHNQLIVLDQTFTCWEVFQIFVEENLEE TLFWSSDISNYDGVFTHSDLIKVLLKLYENAFNNKSSLQQSGPLFNVIEEESEDQEESTQ KSQSQKQQPRELNDDQKLRLIYEMKTISIRQWNRILKDDLESQIGFVQGRNDERLYDACV KIVKSGTSRLFVVDPETLMFQGVIHQKDILSFLIKGFTQYLGTILKQQNNILSQHQVSIK VFFSNYNRQKEIVTCKENETVYQVFYTMMHNHKSYIIPIVNNNEEYVGQINRRDIILIIK NGLFELFSQTASQLLVFLQTEKARIPAYQYYSKQFFSMDQTIKEVVENLVLSDSSALICL SSNRQIQAIITISDILRFILKDVY >CAK56398 pep:novel supercontig:GCA_000165425.1:CT867986:453523:455209:-1 gene:GSPATT00004492001 transcript:CAK56398 MGSGASVEQEYKHQKSQAQNDIFIEEGIGIEQSQLSRKWMSKMTILKNLETKNFMESQIQ NKSFKQPLQQDQNSSIVFSDDIEQISRSPSSSVKSSNMHISNQKKKNFTDSDEESDIEEK QLCNNKRSSKFYNFQSDDSQDERDNDTKLIKQCILQAEEAVTSRKSSINSNADQQFLANL NINDINQTIQLVKQPLPHHYPVNQLYIRTINSEQQLQPGKDKLVKLACRQQSLDEQESEL SYRDDHRDMSKSELQTDYSPEQLLNQIGIAITSKKGNKNDTTPLTSDYLIYNDSYQKIFI LSNGHGKFGEQISNLTYRLVFHYLIRTPKFYSHPMKGLEILFKKLQDKLKLYIEKKKLDD THNILLSGCVLTVIIQRDSKLYCAQIGDNRVYIQKEHIHNGKQIKNITQILPTHSPSDHS EKTRIFNSGGEVRKNPQGEEYIFVRGRLYPQLHVSRSIGDLIAHDIGVLSEPNFREYDIT NQDVFLVLTTSPTFAYQVDDDVKNHLSGFSLSDIQSACESLYKQCKSSWISSEGVFEDMT IILQWLGQLKEPK >CAK56399 pep:novel supercontig:GCA_000165425.1:CT867986:455282:456670:-1 gene:GSPATT00004493001 transcript:CAK56399 MISVVGYENQNNVIYYVVQFENTVLQKRFSQFEELHQSLLPYFPLPNLPPKQYVTVLIGK SIEQLEKRQKALDIYIKELYKNPRIVKSYQFQSFLGLAQSIQMIYEFEGLSQPIKDFSIN FDMGLMLVLGSEKKSVPSIFNKVNQNSQALGTLECWLQKEEVFKKEWTHSFTKQTTCFHH LENTVVVGFIDGTLTLFLLNQQNEIASFKEYNQHSSKVLAVHLINGCLCSVSESEFKVFS MNKNIFLVNQTLKDLQGMLISDEKAYVYDLVGAIFVYELQNQKMSLLLKFDTQLENIKGI AKCNYKLYCVNQNGVILILETETYTVLQKGKGKVQSKEISHSESRQMIYVGNKDGSVTAY DSSDFSSLCKLFVMYLVIINAHINSVSKIRNFENENLLLTGGEDRYIRVSHSYISKAWRL PNVLIGDAAKQSSLKQI >CAK56400 pep:novel supercontig:GCA_000165425.1:CT867986:456744:458874:1 gene:GSPATT00004494001 transcript:CAK56400 MQNFQEETLVRSLISNICRPIVEKIENIQKEVECIQFSIHQHDRKIDILSDKSDQLAYDM QCTPIYSSKLNNDAISNLRMNIQDDEQKVFDLERHFNLEFNKTRLEQGAIKLEQENQKKE IKILGDIQQSLTERVEIYNNYLSQKLYQQEFSINNVDTSNSKVVKQLTETNLKNQEEISS LNTQTKDLLSKIQYNEQEILKIQCSKQWMQDMIVELRQKSQFFLTIDQIDVVQQSQTPIR IQHINNTSTIQNQVDLEKIQEIIDDKFLELTNVINAQIQQQQQQSQEQLKSQLDEFKITL VKLKNESAKNSQSINSYLKDSNEKIQNAINVAQDSKIKSKQQINQLDELIQKQLQKITQD IQTIQINITGLDLRMAETHIKVVNVQEKQHEIQVHQTSFSYLNNQNEVLNFMPMKQDSLC SDSFLNNNVATQKMTKTEETIQAQLAQQVQMKKKVEIQIQDTEQMPIIMQNLDEQDIYIK NLPKIQNDIIKLKSDFFNEQQYNQNQMTKLLKSKSITDQSIKDIDQQLRQFNQYFNVIFS LILHNELIGTDNQAKVIGNGFKFEFIPFQQDEKTIMTYQNNKIAKVDLLMKTITSSHSII KKQRQNTFDFQQDNKKRLETETSRELKTMYSNKKYRLWDDKQITQTERLNLSVDATAYQQ LPKQKVKRVLVKYKRLLS >CAK56401 pep:novel supercontig:GCA_000165425.1:CT867986:458909:460431:1 gene:GSPATT00004495001 transcript:CAK56401 MENQLIAFVGDPAVGKTSIIKYLKGLEFEEDYSPTEQIETTDVTIQNNETITIYDTPGNA AERKQVIEMLKSIQVVFVCFDVENIDSFDSTLQWLDDIKKQINNSMQVCLVACKIDSDSR QISQEQAFEFINDYPNVEYLEVSVKTGENMDMLIDKIPVTTPQGDGDLQQSYRTQQSNKQ SEKPAHSPERQSQTYKSQTSLLNKEEPLWKVYDFLFPTGRVAKLVKISDVDAKNLSIQDI LFKLQLNQSYPVVNLIGAKETNKGKFYAGIARACFNTDAVIVDSGISTGIEKYAIRRGVK LIGVAPEQEVKYPNQSTGFIDPYEISNGHTHIFLLSNYDEIMSLDNKEKMMVFGLEGVFK INLCKKLAEGRLAKNGQRESMKVVHILLGEQEGFLNELQQAVMANQPIIVIKGSPLCDQY FQNYNNPHANYSNASFGQLVRKGHFYGLNSLDSEDIAQYVHFFLTVTPYN >CAK56402 pep:novel supercontig:GCA_000165425.1:CT867986:460548:462240:1 gene:GSPATT00004496001 transcript:CAK56402 MSIVQEKLQNGVLGEQKLLNLRFQTHQGQSNSHLAILAKHKTMSYCKSELVQNSKVKVRK QTRKGELQQGQSAYSIFQKKYGFRIIRNNQYRELYSRSKELNQQLWEHLRKCAILTQFKE DYSLEKMVGKGNFAKVYQTINKETKKLYAVKVFEKSKIKNSETDRLALVKEMTIMRKLDH KGLIKMYEVYEDDSNVFFILEYLEGGELHNHIQKNQKFPEKVVAKILATVLDSLDYLQKS NVLHRDLKPDNLILRNKGILDDVVITDFGLADIYSSTGNYMFSRCGTPGFVAPEVLQDKL YDFKIDIFSVGCLMYLLLTHKQAFRGTNYDEIVMKNYHCKVDYQSIENEISADAMSLLKQ LLHPKSQCRPSARLALKHKWFQTNLDEVRFKQLNCDLSETKDSTMKSSLSDIPFLYLNKS QNSKLNFSTPQQRQIIQKNQESQLFTPQAMLQIDESLNDNINSLKILDDTITDIQVEDES PQSHLLPQYQLISKMKLVVDSKASSLYGSPMILNTPDVTARKLIQGNQKDEIAQIQPQKR ANKVMNNLRSLESQ >CAK56403 pep:novel supercontig:GCA_000165425.1:CT867986:462302:463668:1 gene:GSPATT00004497001 transcript:CAK56403 MLNKILRSINKFSTVYPKRNFNIDYLQDVEIITRDYYGSLRNILKSFSNHKINLYDIETL KLNRTTKGQKIVVKLTFEKVEDYKFNELVFDLQQRYDEVVINNDSQIPTVPWYPRNDEDL KTIGLIMQVNEENNQDHPQFKDQEYRKRREEIAKISQAHMVGEPVPYINYTQEEEETWKK IYTILRGKVEQVMSQRYLRNLAKIENALGFKYKIPQLRDIDSYLKAETGFRIKATHGILS QREFLNSLGHRVFCCTQYMRHHSTPEYTPEPDIVHELVGHVPLFADKEVADLSQEIGILS CGAEQKDLSRLGTLYWFTLEFGACKENGQIKGYGAGIASSIGECEHFPKAKYEKFDPFIH ADRAYPIQTVQPTYMYTENFDEAMQNLIIFGKSLQKPFGLYYDHIEKELKATRRIKTHLN SQ >CAK56404 pep:novel supercontig:GCA_000165425.1:CT867986:463796:464907:-1 gene:GSPATT00004498001 transcript:CAK56404 MSEKHKHFSLRIKNFENKNQAIIIDDDEPKIQKQIKQNDNQFDSEITPWFSQKTASIKHP TIRFHNEIIEFYDYISPTIEEHRKREQAFMRVETFIKGVCFRILRQNFNLPNADIDVVMI DKNMSAKELYKKVAQNLMKSDKFENVNLIAKAKVPIIKFFEIESSYQFDISFNQMDGIRQ IDEIQKAFTIYPEFKYLIMILKCILKQRDLNETYSGGIGSFLLFQMILAFLREVRKEAFA NKKQEQLKNITLGEYILRFLEFYGSKFDYQKKRILMVNGGSIVNKPTPDDKFSLISPQDP DHDIGSSSFKIKEIFKIFSNRYNFMSHYNFKPEESVLKYLINPSDQKFTFIKQN >CAK56405 pep:novel supercontig:GCA_000165425.1:CT867986:465542:466082:-1 gene:GSPATT00004499001 transcript:CAK56405 MSKRGRGGQVGIKLRITLACNVGAVLNCADNSGAKNIYVISTFGIKGHLSRLPSASIGDM VLCSVKQGKPALRKKVMQAVVVRQRKPYRRREGYYIYFEDNAGVIINPKGEMKGSAITGP VGKEAADLWPKIASAAGSVL >CAK56406 pep:novel supercontig:GCA_000165425.1:CT867986:466510:467867:1 gene:GSPATT00004500001 transcript:CAK56406 MKQLQQLQSQEEKTCKRFLVAKSVSQEHRIKSNDSRPNNIFMPTYDEYLLQNGPKFTENG DLVQHSIVGKSEWFNKQKLGKRLQFDDLASKQLPFHKGIIRQDTADKGSAPKSEQKSTKF GSSTKLKKNHNNNLTTKNQLIEELNNIMQRIKTNSDVEKGKVEQLEPQLKRVLTKETRVL SKHEETEKYWNYFTERQACLLNRDRISSQLLQAEKYKLKNDTAQVFNVLNTEYERIAVNN WQKTLRKFENSKSKKIKVRGKEMIIEKEQKEDFIVGSDLPRAFSEQSLVGSRLEYIQKPE VKVINDPLAFKSFKSNKYLLQRLDETQDYFQNSYMISPDKRNDFDKLIILGKSQFEIEKE MLLNDGGDSTGVYRKDIDKPPDEYTKEQIYEQQYANKVKLILPQLGKWKSKKLGPLTNQS EKSELSDEWVADDILKI >CAK56407 pep:novel supercontig:GCA_000165425.1:CT867986:467905:469791:-1 gene:GSPATT00004501001 transcript:CAK56407 MRTALLLIVIVIASGFLEINEKQQKNLQHLLMQEIHDGGNFQAADPKAIANIDFIFSGYN LFLGNPITWRETSDPGFTGRNIFLTSYSKKQVTSDGRHKIPDNLNVITKKGCKYNFESFV IKNTEQIQNYMSSFIGVNLVSNIDITPWAFTASSEFNHMQQKIEQTSATFVISMATCQIA QITQVPELAEFHQSFIDQLSALPVEYSAPQYLEFLSNFGTHYATDIILGSKVGYVYTLPP AIVDDFDQKKFKEIDLKQAATITSALLKGVIGQQILPKEQEAKAYSDVSKLSTQSFTIEI GPQSTENTPKDWLRETELEPTPIRYTLKSISELVSEGKGQLSSVKEYQKIGQNLKKALTD YCNLLQLQGERNGKCETNKREIGQWVEQRKVCLNFLKDCDWSGASTQICGNKNPIQKIGM DLPDVQANSVKFHSDKTVILGVSKFKRDKNQDIVIASFHNDYLFLDKPEICLINQGMSAS AEYPIYLTDTAKCVVVLLWNACEGEVFYFTSVICNQMDFMNAQFELLGSMGKCEIALLDI RYPNSAQLRICLFKEPKLEGQKACFTNENKDAYFGQEFKSMMIEENEVMIHRSMPGSIAI QFG >CAK56408 pep:novel supercontig:GCA_000165425.1:CT867986:469825:470508:1 gene:GSPATT00004502001 transcript:CAK56408 MDQMDNQFQEKFQQLLKLKEIYTTRNVLPERLQRLKLVDINFQLKYIRQKQQNRFNLILN NSIASQNIPKKQATERSFSNQLSHLNIADQQNICLSCDKYIFEKKVKLLCQNKSSHNYHS NCLANIMKQQLANQCISFQCLCKSQINNGQITRQKILELEVYINRLMINQLNYLKTHYQF IKQCANKDCDFFWIYKQQQRQIRSRSNSPVKSYKITYANYCPDCRFL >CAK56409 pep:novel supercontig:GCA_000165425.1:CT867986:470546:472960:-1 gene:GSPATT00004503001 transcript:CAK56409 MQQIKTNIIISIEVLITFQSVTLEDKLDFSNLSFLIIKSLIYIQMIKHNVKLKSPKQDVV QTYASPKSTFYITRKLVQTNSDRSIKLLNYKKLDIQKIQNQQTYSESRAQTTVDYRSLST IPTHTKGQLSQNIEQQSYGINTERQQSASILSSELITNRLPKTNRVVSLYQLTTLNPQPF KNELVNDVQGLSPFQYRSKTRINSMMGELIKLNQIEEEMVDNTPIQFKQGGNIRKSIFMT TNYSTYQKMSKVKIRNKQQNNQKQQIFLSKVLKNIKDYQNEKSESKQLLRKFSQIWKENF SSTQFQDQQQYRKSSQQFKLYFNQFIEKLLNKHIIYQYILETLEWIKQQNEKAKKKKNQL IHEQRKMYIKNHKLKCVQTEIYDQKFRYKQDIKSFGMIDILSEIQVDEINDISESEQEQE EPKIKQVEYFTTYLSSCQTSYHIDPIISILDNQTKNALLSVDYTDLDQLIYKNNIEESIP QIQSENSQVKRFVSALYYQYYSDILIGGYINLDQLTDLEDNNYFEQQQQLIPPNLDKKAM LLNLRRKTREAKIQGRRSHLFENQEPQQFSNIFLLSNQNSNNVVLNVPNKIIQRRMSKSM HNLNSDQIFEDVQDINKSLQMDSIIKDFNLKTIKYKQQLRLQNLQLFEQIIKKESSQTQL VQMMIEHNLFDELKEFLRSHPNFSLNQRNIAGKPYIMLAAQSGNAELVQYMMSLNVQVNN KDLDGNTALHYAVALGYYRVADILLENGANPYIKNRCNQNPWNWNQQVPKYVC >CAK56410 pep:novel supercontig:GCA_000165425.1:CT867986:473051:475100:-1 gene:GSPATT00004504001 transcript:CAK56410 MSSEKGEGTDPKLRNGPVVERECTDIFCLLLFIVFTFGMFYISGYAFKNGDPHRIAQPYD PDHRACGVDEDVADYPYIYFANPTNPKYLYVTTCVSECPQEESEPAPTARHTKISHLEEA KTNSKLPSELKCQPNSIVKSCKQNMLSTNPEEVVVIYNTELFMKTVCLPTTKQYYETVKD KIDVETMEKVSNDMITTKWLIFGSIGISLVLGFIFLFLIETLAGCVIWTLLFLLFSVLIA GGVYTTGYYYALTDPSKLPASAQAEIDAQTLQEQAQANNVNPKNYLYIGITLFSLAGLIL LVVCCMYSRIRLAIAILETACDYVQANFSVVILPFVTFFIMLLYFIYWFVVALYLYSSGE VTNKPKQLPFGQFSFTQNQKIFANLHLFGLLWNSSFIIASVEFIIAGSVCIWYFQQGPRA QEGGPIPLPTAIGRFFRYHLGTVAFGSLILAIIEFIRIWLAFLYKQQEELIKKNKFFEYL FKCLMCCMWCFEKCVQYINKNAYVVSNMTGKGFFHSAKEAVFLIARNPLRFATVGGFGEI FIALGRGFIALLTGLFCYFIITRTEKFKYNVTYPEAPALLCGAIGLVVGSLFMSIYGVAC DAILIVFVMDEEMEKQNGKGVALCCPPKLEKFLEDQ >CAK56411 pep:novel supercontig:GCA_000165425.1:CT867986:475142:476338:1 gene:GSPATT00004505001 transcript:CAK56411 MLFIQEEEEEFVDDINENSHIHQQFWTTNAINILGVQNQNPPAVDPPDQNSQRKKRLKEF SNSLIKENPKYIADNLYAIQIVQVLTNAGLCKISNFVNGHYQAQLEQIIKYDKHIQDSRQ DSSLYKQIFFINVNPVQISFQDGCLVFKQNPPTLDINPSLKKKYQYLFEVINLTMRLLDT YFKKDFQSIIDHYINMKENKYSNNRIIEFLQNEIEKNAENYTYVSKWLEIKSKIKPVLVI YKQIKILEKKDELCGRKSLKVLSKHIKKIDKLQKRLYQEQILSPLEFLLTNLFDIFDSIL KFYEENYEKDVQFKPQVVSKKNEKHKQKQLKPQIQEASVQECEQEQFQYYFQKKQLKQQE HIVEQFDCQLDVLSGQSQSPRKKVKDQKSE >CAK56412 pep:novel supercontig:GCA_000165425.1:CT867986:476423:477022:1 gene:GSPATT00004506001 transcript:CAK56412 MIINTIRKNKPCTLQKEEIPITIHLIYNPVEINLMDTSINNQNMYIIYYAKCAVDYYDVA MPKYSQKGYSTPVRKLKQSPKRINSYHFHSHPKPTCQTLKFEGYISRESPFRNWIGNMKA QSASRVSQIQRIHVNFIYIIQNQVKIIEKNQKSESYQLQEYLNAVNDRIQWNSLPKVFNY QRCQTPDILKGARERAKRF >CAK56413 pep:novel supercontig:GCA_000165425.1:CT867986:477291:478009:1 gene:GSPATT00004507001 transcript:CAK56413 MDIRMQFRNKKANADLQNKKQNFHLGVEINLQRQGYFEQLLSKVYFDIMAEDFQYKVYPV TTDCEVIFQCWTFEFMGQKNFEGRSIKGVLKFQPDYPKGGPSFYLDPVITDKGAEVLTHP CIYGDHYLCVHLFTFWGQMKCKIDDESRIREIEILQAIEYIFNNPNILEGDSCPNPSFAS ESPERQQEIQKRQAEFLPFFNKDT >CAK56414 pep:novel supercontig:GCA_000165425.1:CT867986:478900:479333:-1 gene:GSPATT00004508001 transcript:CAK56414 MQKVEAPRIKPSPIADAKLQTKILDLLRQALNYKQLKKGANEVLKNLDKGICELVILAAD CDPIEIVANIPIKCEEKNVSYCFVSTQASLGRACGISRPVVAASIVQSEGSQLKTQIIEM KDLIDQLFI >CAK56415 pep:novel supercontig:GCA_000165425.1:CT867986:479361:481648:-1 gene:GSPATT00004509001 transcript:CAK56415 MSEINSTKLAIFMENPLKKQHLNQNKNELEVILSPPQYNKRQLQFCKRIEELDLSNQGLM EIKQEKINNIWIIKLDLSHNCIQQYGNEFKILQNLRILDISNNYVKILPLNFNKFHPQLQ NLYISHNNLSTLPQMPDELIQLNIEYNQIHQFPQNLQNSIQILLLSGNPIYELKYLSELK EFSIDWVIYLGWSERIKGNQLQQFQNWMSKENQATFQNMIKHFLGEQFNYKNSDQNGNTI LHQAALKQHLGVILGCSSVIDKNSLNNQKQSPIQLALFSDKYQSVKCLLSLNVNLNSVKI SLFQQNLVIISLIKQQINLMQGFLQHGTDPNEQDKEGNTALHYLINKWPTFQFPEKYAAL LLQQGACPLILNKQGLSPLHIGVKCGYIQAVQFALEYKGQLEICDRCSHPFDITQVTGKE KFSVFDICMQSNQVQMCFSLLRYCQYYIRCKPITYCCLWKLIVKHNRHIFVEYFQKSGNT LNQSGEQLQERKSKHACCLNLTKQLNASFMKINLPNQFTMSRQRKDYFSIQDAPLLKEGD NDHIEYLDSSIDSHEETKGLQPVNWSRYDCNNEMNAPKLCIQSNTITEKESRRENSQIRQ IAEAYYNEYILNDNLLKKEEILIILLKLNFQINVVNQLRDQSIQEIFIQIVEDYSFQCEI LQEQQSSIKQESFFYKDLESIDQGESTCQITLMPSSINYLKQRFDQWTLEYLLRFTTKFS EHSKLSEIHQFELFQL >CAK56416 pep:novel supercontig:GCA_000165425.1:CT867986:482548:483399:-1 gene:GSPATT00004510001 transcript:CAK56416 MGDIVPNQLFVAGYSRSKVSGDKDVKDIFKKYGTIKEVAYKGSYSFVTFSSEQEALDALK ATNGQTYNGQKLKVDVVDNRKGRKSGPNDEDKCFKCSKGGHWARQLVQMEDHQEEVEEDP IHVQRGVIEDLIPDHTRHTHHLDLEEEDIKTEERDTAEVQEEMEDKKSEVLVLDDHPQIQ DHPKDKIQYLDKKWENQFITKRIYKLFEYISFNSFSQIIKIMKKRTLSTQLGGKKSQNII KLQKLYNI >CAK56417 pep:novel supercontig:GCA_000165425.1:CT867986:483464:484099:1 gene:GSPATT00004511001 transcript:CAK56417 MNKEQLKICLESIDEYVRGQKRLERKNKILAQSNKLKTIQNQKNSTKIQEENITTKVKKQ VKKSEKENQKKIQPEIQQQVQKVIKQKSKIQQFLEEGHVKILPFNNICEQIEMFFQFKNK VIEESAYNSNQPCIYEPICKTKSVKAIKTRASISPISTKQTVSIRNKQNKKNPLDFFSFN SKFKKLTLYQEDISETCELVNGIRILYLNKQ >CAK56418 pep:novel supercontig:GCA_000165425.1:CT867986:484276:484842:-1 gene:GSPATT00004512001 transcript:CAK56418 MPKLCEKINQFLRQHVVEKYLPLTSLVWSTKITPISNQSLVMDNSTIYIKVHQHYLREMA RQQIINRQNQEITQVQEGGLTDFIQKNNQQRIIVIPQFERELSESNCTTQIHALQLINQK ELETTYFSLEDIETTYSSYPEELNYSFIDESIIQTQ >CAK56419 pep:novel supercontig:GCA_000165425.1:CT867986:485389:485935:1 gene:GSPATT00004513001 transcript:CAK56419 MSEIEEINLEQSTPKNRQSRQQTKTGNTRKPIRKFRRQNNSFQNRNRDRDRPRQKEWKQQ QQNNGNTPELYEIDIYNFLAKNQISDLISDIKSRCGELVKLHIVANNIQENEAETRIILK AFFKDQKSADECYFQYSDATLDKLLLRTERKY >CAK56420 pep:novel supercontig:GCA_000165425.1:CT867986:486460:487600:1 gene:GSPATT00004514001 transcript:CAK56420 MGNVCFREEFVQNDIVKLKFDEDDLHATAISIQGRETKQLAQLSDFKKLKLLGKGAYGRV MLVEYDKNGKQKFYAMKILEKKNIRKESQIRHVLDERKILEKSNSNFVVKLRYAFQNHAR LYFIVDYMEGGDLYYHIKSQPTFPDKFIRFYAAEILFGLQHLHSLNVIYRDLKPENILLS QSGHIKLSDFGLSKILDDFNDKADTCCGTIDYLAPEVLGNEGYSFACDFYSLGCLIYEMY FGMPPFYSKNKRLMIQNRSIRLVPFSEQCPQDARDLLTRLLEVDPKKRLGRKGAEQILNH AYFLELDLQKMKELQIQAPITIVNSDYIDLKMFREKVPQTPQNKGTLKIFEGFTYLQKES QDY >CAK56421 pep:novel supercontig:GCA_000165425.1:CT867986:487653:488122:1 gene:GSPATT00004515001 transcript:CAK56421 MLPKQNASSYIMVLPSSNQSYISTEQPFVKKSTVLPESRSKLIIPYNMTPLVKLFEPIKT KFIPRDTINQSIQYFNEPAEYSQAEIDLLDQSPDKSIQQHHQENYNPLQPIQMPQQLDII SYLLYHIRSCLLKPPFQFPTLWIISIGI >CAK56422 pep:novel supercontig:GCA_000165425.1:CT867986:488172:488612:1 gene:GSPATT00004516001 transcript:CAK56422 MNFYRYFKFLTTKIQQKYYAAKSLIAMLIEKYQIVQRLNELQLELQYHLDNQVGDLAHQS LEQLKKHEWVQQERDLKYQDKRYIKYVGKGLKYQCLQNMLRIVPYKRLLLQINQINQGQN WIILMTYSKNKYQSTFSMMWLEWKYA >CAK56423 pep:novel supercontig:GCA_000165425.1:CT867986:488632:489768:1 gene:GSPATT00004517001 transcript:CAK56423 MNEEIEELIFFNSIPNFIKDLDNFGVELRHLVKHLRLIIKSLADKTNQNHIELNKAHRSL EIEVKKIIELFNEIPAMVTKMQLSINKARIILQRIKLPHNNYRSENQEIYDEYLQLQGEN SRLEQLIQRRINKAAIISQVCEEQKNILQFEITQLKEDNKKIIELYQLLQGIQEKEFLLS KIQSQRQEIWHQMKQVKSEEIQQLNYIELKQLEQKCIDIIRYISSYFGQNRMPHQFIGLK LWAESELMALKIKFDVPKVNLNLWTLLQNQELRNSQNGNIVRNKMRNRMFHNIQYFHNLN QDISVQQPDVEIELDNQFEQLMKSYFNQMKLILFRKTCRQLQYIQMTITCRVCFRRMTKI VWQSSGEMCLICKYSLSQ >CAK56424 pep:novel supercontig:GCA_000165425.1:CT867986:489958:491022:1 gene:GSPATT00004518001 transcript:CAK56424 MNFRQDQIDYKDLVRRREEKRLVIGKKKNQFATSIKLINNVDSLSNNEEVYKQLYRFDPE RELLSEYSLAKNEEQPIQQAGPIEQSQEEPIERQEQVLIGQQNQAQPDNVTYTGMVKGLF KEKVQVSKIQKANYIDATVFHQYQKLIQTGEDAIKFFAKYGNTTPIKFINCVSKAGFIEL QCEAYNKKDKLRRQNNTEGAELELPMEFNKSKEPFRPYDLIVVKTRENQKEQTLREYYTI SAHGIVHVYTDKGKKRMQGDSSTEVISLSDWMHESTMFNIITNIQFFKNYAITKIFNIWK SNVRYNLFSKTRKRLIHESFVAKASLCSALDGHQSNDV >CAK56425 pep:novel supercontig:GCA_000165425.1:CT867986:491085:493208:1 gene:GSPATT00004519001 transcript:CAK56425 MISSKEIKRKPDQDASKHYDSVIDKVLQKLDTVCKEVIDRTNQNNQQENEEARFGQQVKQ KPMNEERKEKEENALLLQLAEKDKGRLHLFIKLVDYMTVETLVSINQTSMSMLLEEMKKE RKNGLFNTAVNFDVQIFTPDEKEISEHLKCLLDDMIVVMRNTARVISHQSMIGYIKNTKL GELLSEQKENKDCIADVQGIITDSIEYQNIKNEIFEKVTSDFQAAEVYVNTNYMRCKPIY EFLNTWNQGDFENKEHTLDIIKSHVMELKKWLADINFYIKDIAKGILNVDGKKIKNKLQP QVVTKLENFKDYLYKLMQKKANQTLTTLQTYTSNLSKNPQNLKEYAEFIEAIQEAEQNIK GLETAKIEVEAMHSLLKSKFDLNPLKNSDIVMMDDIQAEYLRLTLKIKEAEELCKERKQE MMKKHEAEFIKFITNIQNTTSSVNKGKLILEETQPADALQQLNEIKTRSLDRFKELDKNY QHYAKLMGLSVTTNKDLQELEATYNDRRMLWTHSDKFNKLYEDLQKNIFTTLNVEEIEKE MKSYEIGILKLRQNINNLSKEGRDKVLDQHANRVGNVSQFMPVIQALGNKDLRPRHWKKI FEDLGSAWQPGKTFTLQELIADGVMNKKDKIEEISAKASGEASIEAQIEDIKSKWSQLSF IVNHYREAKDKFIIGSLDDIIAALDDHQLKVQTKLGTRFVAEIRPSC >CAK56426 pep:novel supercontig:GCA_000165425.1:CT867986:493279:502325:1 gene:GSPATT00004520001 transcript:CAK56426 MYLENIFSADDIQKQLPQETTKFMGVDKFWRDIMMKTFKRPLVQDCCNSEELLKKFQINN KILEDIQKSLDNYLETKRQAFPRFYFLSNDELLEILSQTRNPHAVQAHLRKCFDNINRIK FSDIEDSTEIIAMQSAEPETMPELVPFSTIVMAQGPVEHWLLRIQNMMVKSLYDITKKAY LAYPQKELERDEWLFDYPAQPVLTVDLIKWTEGCTTAIERMGMGRKTSLSQYYDFMVQLL NREVSIVRGDLNTLQRTLMGALIVLDVHARDVVATMVDKNVASLNDFEWAKQLRYYWEAD VDNCVVRQTNTRFIYGYEYLGNGPRLVITPLTDKCYMTLTGALHLNFGGAPAGPAGTGKT ETTKDLAKALAVQCVVFNCSDGLDFKTMGRFFSGLAQCGAWACFDEFNRIDIEVLSVIAQ QILTIQQAIRQKVDQFDFESRTIPLNRRFGVFITMNPGYAGRTELPDNLKALFRPVAMMI PDYAMIAEIILFSEGFEGGRILARKMVNLYSLSSEQLSKQDHYDFGMRAVKSVLVMAGAL RRKNANKPENEVLIKAMKDSNVPKFLEHDLPLFNGIIKDLFPEVDMKEEIDKQLVDAITN QLKLIHYDDPAAYKKKICQLMETIQVRHGVMVVGLTGTGKTTLIHTLARAMEQLNKEGSQ DYYHKVVQKQTLNPKSVTMNELFGYTNVLTNEWTDGIVASIVRTAVTDTTDQKKWIIFDG PVDALWIENMNTVLDDNKMLCLNNGQRIKLPATFTMMFEVQDLAVASPATVSRCGMVYME PHHLGWEPLVKTWGVQLREQYMKDDKVPTYVDTLIDKIEHFFKDNLKVVREEFKEKIPTT VNNILKSLLNLAQINLKLLTDTVVLEKLNKFDMESHIAMVLIFSYIWSAGANLHDSSRSQ FSQYLKGKIISLFSGFPFEGDVYDYYCDYKTKEFKPWTEKISEFKYDSSIPYFNILVPTS DTVKFKYLITQLIEGGFNILLSGETGTGKTVIINEYLYSLESERFVFSTLNFSAQTSAKN LQDLFMDKDKFMKKKKDLLGPPAGRKMILFIDDVNMPALEKYGAQPPNELFRQIIDQGGF YDLKKLYFMYVKDCQFITACAPPGGGRNPVTPRLFRHFNQTWCPDLSQSFLEVIFTAILK GFLMEQNKGLDKFASYIVKSSVEIYFKITKELLPTPTKSHYTFNLRDLSKVIQGILQIRY ENLTNKEMLIQLWTHESQRVFQDRLVDDKDRDWFLTLLLGHTQRVFEFEWEKPQVQNLLF GDYSNANKDYIRIDNPQELPRKFQDILNMYNASQKQMNLVFFTDAIMHLSRLCRILRQPR GNALLIGVGGSGRQSLTKLSAQTRGQTVFSIEITKNYREQSWKDDLKKLLKQAGAKDQPV VFLFSDTQVVRESFLEDINNVLNTGEVPNLWASEDIEEIINDVRPLAKEQGLYDSRDVLL KFFVSRVRENLHIVLAFSPVGEKLRNRCRQFPSIINCCTIDWFDKWPDEALNSVAMKDLG GQEHLGIGDFVDSLASMSVIIHSDVKTYSERFYDELRRKNYVTPTSYLELLKLYIDMMKV QSNILPQKIKKYTVGLQTLKDTNEEVGKLQKKIIEFQPILEQSAKDNAKMMIELEGKSKE ANVTEQIVSKEAAEAQKKKDEVNEMRDSCQAELDQALPILEQAQKAVQSIDKAAINEMKA LKTPPNLVQIVMCAVNLLFGEKEDWPTAQKLLGRMTFIQDLLEFDVTTVQERRLQKLKQT YLSNPDFTREKILNVSQAATTLLVWVVATEKFAQVKKVVGPKEKALKEAEASLKKVEQEL AVKMGQLKEVQDMVNELKRNLQTSINKSEMLRQQQQTAEIQLVRAEKLVSGLASEAERWK VNAALLEEDLRNLVGNIMLAAGSIAYLGPFTYNYRSEIIAKWINNCKELSIPVSDNFTLQ RILAEEVTIREWQEAGLPADNLSIDNGIFVFNCRRWPLIIDPQGQANKWIKALGKETNLQ ITKLSESNFLKTLENSIRFGQQVLMENVEEELDPSLEPILQKQTFKKGAVFLLRLGDQDI PYNNDFKLYFTTKLPNPHYIPEISIKTTIINFTVTPQGLEDQLLVEVVRQERIDLEEKRV NLILQISQDKRQLQELEDKILKLISEAQGRILEEEDLITTLDASKITSDTVNQRMAQSKI TAEEINQAREQYRIIARRGSVIYFVIADLALIDPMYQYSLEFFIKLFKKRLEVAPNPPSL EERLAILIDDITKAFYINICRGLFEKDKLLFSFLIASKIQLQAQYIHAREWNIFLRGGTG TVPHEEHPSFLNEKSWKNLNNLMSLHNVPMSLRDSNDEQLWREVMDVQDPWKCDLPLVFR SLDPFQKLLLFKTLRDEKLVILIKNYVSDTLTSFFIEPPVFNLRGAFQDSSCTTPIIFVL SPGADPITYLLNLAKDMEMETKLKIISLGQGQGNIAKELIKTGRRTGDWVCLQNCHLAIT WMPELERIQELQVEADTDANYRLWLTSMPTDKFPVPVLQSGIKLTNEPPKGLKANMMRTY NDLSGYDSCTKQDEYKKLLFSLAFFHAVILERRKFGPIGWNIPYEWMNSDFETCQLQLKM YLDEQPEVPYQTLNYIISEINYGGRVTDDKDVRLITDLLKQYFCPAILNDPNYIFSSSGV YHPPQIVDLQSVIQYISSLPLEDDPEVFGLHANANITFQQKTVAEFMSTLLSVQPRMVAE KGVEETPDQIVFKVAKEILGKLPPVLVQKKEVAIESLAIFRSQEVDRFIKLVRVMKNSLE LLQKAIQGLVVMSIELEKMFNSFLDAKVPENWENVAYPSLKPLGSWVTDLNQRLDFFKQW LENGTMKSYWLSAMFFPQGFMTATKQTYARKTKTPIDTLTFRTQVRPFYRDNIQDVPQDG VNIDGLYLQGCKWDVGTNQLEESDPLVLFQEMPVIWLEPKFYKCPLYKTSTRRGTLSTTG HSTNFVLYLELITGVEPAVWTRRGVALLCQLDD >CAK56427 pep:novel supercontig:GCA_000165425.1:CT867986:502465:504016:1 gene:GSPATT00004521001 transcript:CAK56427 MSKLKLQFCSGPSLQSSIANNQKQFQGNQQDPISALQQENLINMGNTDQKRRNNCAGDRF IPTIKKKFSILTETKAPAQDIASSQAALEMLYKQQILDQEPIMESENGSLKFINQNNFQY KNEHVHYIDSIDPKNYNSPLVDHKYFALPETISSYYGKYIRKIPKVPFKVLDAPQLQDDF YLNLIDWSNYVPNMLQLSTIAFIYGMLNLKRLLNYLIFCNDVVTSVGWSLRGPLLGVGTN NGRSITMGCFHAARVGTLCFAESTLSSGSRDKSIIQRDLRQKEDSYFKSIAHKQEVCGLK WSPDSQLLASGGNDNKLYIWSAAQYDKPIFKFNEHQAAVKAIAWSPHQHGLLASGGGTAD KTIRFWNALEGKMLSKEDTGSQVCNLMFSKMENELISTHGYSQHQIILWKCNGMKRIATL IGHTSRVLYLAMSPDGYTIVTGAGDETLRFWSVYPQSVGNEQNSKCQLTLQNISIR >CAK56428 pep:novel supercontig:GCA_000165425.1:CT867986:504077:505131:-1 gene:GSPATT00004522001 transcript:CAK56428 MSDSDDSLKKQHQLPQNFGEIIDSDDENWMPPKKSYSQLEEFEPSKFNKNIVALDWDFNK VFVKQLQIEALEDPTWRAQVIKQFEIHPTLDHPNIVKVKEQNYEEKKIVLEFLNEANYFK KAILEQARPITNMIKLRSYIQDIAEGLSYLHNLNYVHMDIRIETLHCTRGLEDLIRTVKL GDFGNIQRKGDILDAFHYEFIKKRQPMLPPELAIGSQADPKMDIWCFGIVIHQMIMAYSP NLMPQNQNQPTKISFAKAHWNKYEVELFKLVKSMLQINANDRPSAQQILQIPWLQ >CAK56429 pep:novel supercontig:GCA_000165425.1:CT867986:505178:505447:-1 gene:GSPATT00004523001 transcript:CAK56429 MAIRSDSQRVFQTQILKTLMNENHLLKSQAKKKDALIVQLLEKKVKEGEQALKSERKGKN IGSLTRREDKDLRIHTRIKTLIDLLPDGF >CAK56430 pep:novel supercontig:GCA_000165425.1:CT867986:505942:506418:1 gene:GSPATT00004524001 transcript:CAK56430 MLQENQRENEKKQYSMFYPEDERSKSHFLNQQYLTNFFEFTNHYKEPDLQNQGKQEEKNQ HKIPIIIQYEQEPRYVNQKQQSNLLIPRYRRIMIRRIKRAQQALKLEELRTKQATKVLDK SNQKYIYESRHQHALKRERGPDGKFLKKQNSVDSPSKL >CAK56431 pep:novel supercontig:GCA_000165425.1:CT867986:507132:509061:-1 gene:GSPATT00004525001 transcript:CAK56431 MEFQCCQCSIEFNQTDHSPLVLPSCGHSICLSCVNQYTSGEQSLVCKEDGIQCNVQRDIK CFPHNQSIITLLKKRRSSGRCITTIQTPDEQLQQTHNQTKDISDFSSEKGLSQSQSMEAL PKSSLCKLHQKELELVCQEDGQYICVNCALFGPHKFHNYLPIEQYLKQIESAVQQISNVY NHIQTERNSQEQFKQLLILGLNQQQNCLKSLIELQFDDLIKSIGDIKLQVLHKIELNYQN SIEHQSNKLDSEFISLQIEADKWLNQANIQLSLLLEDSEEQKCRKFDDQIVKQGEILISQ FDKIKQQINIKIEQSYTQNDIQVPIQVILNYIAKMMGLNKTKSEILKQEFIQPLESILEE KDPIKKIDQSDFLLEDRLLQEETFNQQEQQKPFQMDVSKGKRYSGIFSQSQQPTQPTSPS QDMTKSINFASGQNQPIKQKERSMTLSHHELLDVSQILVQKQSKMNNNNSNSNSKQQKKF TNNQKMNDKLSNAFSIIHQEKSEIIDLSSIDFNDQIVQILGDYLKGTQTVKVLKLSKCKL TDDLIYKLALNITQTKINTLHLQQNNLTEKCLDNILTLLKQNDQTQLKNIYLNQNNFTAV KAKKKIDELKKLGIQISL >CAK56432 pep:novel supercontig:GCA_000165425.1:CT867986:509061:511522:-1 gene:GSPATT00004526001 transcript:CAK56432 MIQSSTRNRSEQLIYQRRSQEYFDKNITREHLLXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPQIKSKLVQTFGRKKNAVASASGR EGKGLVRVNGAPIELVNPAPLRQKALEPLLLLGQVRTGRLDIRVTVRGGGSTAQIYAIRQ AISKGIVAYYQKYIDETQKREIKDLLLQYDRSLLVADPRRCEPRKYGGKGARARRQKSYR >CAK56433 pep:novel supercontig:GCA_000165425.1:CT867986:512112:512599:1 gene:GSPATT00004527001 transcript:CAK56433 MNQDLDQKSIDQIIDKKLAIMEAEYELFKKYDNPNYKGADYPIDQINNQSNDNQQKENDD EEQDQGKDKDENMNLDEDDEFQEYQGYEKMEENSDKEEEDDINYDQVEHVDPFAKPLDVD LIKKCMAEIHMPTPAWAQNLQGWEQRLSQMKQL >CAK56434 pep:novel supercontig:GCA_000165425.1:CT867986:512624:515087:-1 gene:GSPATT00004528001 transcript:CAK56434 MRPKESDVYKRIRLLGQGSFGKAYLCESLKDHSLCVIKQMDMRYLSEEEKKVKRIQNDQE TYQEFRIMAQLKHPNIINFREVYKTVKGKLCIVMDYAEGGDLAQLIKNYEGSIPESRILD WFTQMCLAIKHCHDRKVIHRDIKTQNMFLTKDMRIRLGDFGIARLLDNTRDKAHTMVGTP YYLAPELLENKPYSFKGDVWSLGVILYEVFKRIISQMCAKTPPFNADSLASLALKIVRGQ YQAISNNYSSQLRTLVNQLLTVNPEKRPDVHQILKMPIITNRIKNFLSETMKRSEFDHTI LHNQQIQLTDTTIPLIDDQDLKVDQTEQTPKSRNQTQQQQQQQQQQQQQQQLPGIKSPPI CQQKQRKNTDLRKLPEIKLSKPERPPISRQQTSRQQLYQLPSQRIQPSTPDLGIAHKQKN SRFVTKESPDSFQGSDQILEEGTKNSEQGSPKFFQNVLDKPHIKNIKGLSKLDQIQKIKL GQKSEEEVIKPIYRVHAKPKLLEQFIKKQRERKISEEIITTQQHQLVFQQQQQLEKISET PEQKLLDKTTISDFQTSEEQQQIQNNKQTVDTAAFDFQNQLQVFDVTQKKPTKQPSKTST SKTDEEKNKKKIYKIIYKDPFQKKPQVIKKRNSEEDMKEMINELKNVLSEQPKKIEEPPT QGDNDSEGSRIYSEDSSEDFRENTDTLHPKKQVNTWMQGNSEQIQIVNTSKSQPEPQRGN QSGSRILRDKLEIKLGQHFEQLYKLAKLCSHQEDLGKQHIKMAILDNLDLDENKAETCAT LLVTLATIGY >CAK56435 pep:novel supercontig:GCA_000165425.1:CT867986:515232:515931:1 gene:GSPATT00004529001 transcript:CAK56435 MSGSITNFSSNIHQVPIKDLFSNYPDNQSEEQEQKIIEQLTSQPIYVNQQFVKDWIVFTK DLLRKQQEAQQAETNLQNLQILYLQAKGELKRKEMFGSATTAELLMSQNFKEKLITDKLK SIQEQLQKKKDDVPNLIYVDIFAAKIESQDILIQAKELLEQLEQYNTDLLNRSQDQELSF QLLSTGSQNFSDEQTWRMNQQQQQNQRENTNPDLGSQNDYTIQS >CAK56436 pep:novel supercontig:GCA_000165425.1:CT867986:515964:526944:-1 gene:GSPATT00004530001 transcript:CAK56436 MKKTFITFILLIVVAISSKLEASELRTRGLTYNEAYNIDVSRLSCHANFDFIIAELERWI DIIDNREQLIKDLAIINTIINIVEKAKGTMSVIHQTMLVEIKQKIEQSLQNIFIQNKWAA CRVEDALEYVGQLNATQTNEEKIQLANQIIAHLRQTQEQIYQYLNQCQYVQRTVNLRQKV TQLKTLRRFCQQDIKKVRRIFEDEPDSEEDIIYYDEMPGETIYYYFEEEDLESLIDDIDH ETYHLIREKQKDQLNKDVSNDIKQETKGNKRYIALGHEIDGKVKNSDEDNLNNHENQNSE SQKTETETENVVQAVKVFKVEDLDNQEYHSSDDIISNDNNNQGEGETQVEEKFNSIQSSK VQRTFENSNKPLEVKNQSEEEEIHVEENFNSVQSTKVQRNSDSSDKPLQNQSDDGDIQVE ENVNSIQSTKVQRTSDSSDKPLEEETQVEEKVDTIQSTKVQRNSDSSQEGETEVEEKFDS IQSTKVQKTSESSKKQQEVETEPEDPKKLRQQQIEDERSFKIQSLREDIDVNEPTAFQPP KHKANIGRVQERKIVAVNTKYQDSQFDGEATEQFEFNDRALLQDSSEYGFGYWVRYCEHG TKEHAREEGEYYFLSRMTINEEFDDFSFYGDRALAIFLFDNSFVFSTYDTSDKVKTKDKA VVLDENMDGMWYFVMFSYSSPLRKAVGYVASYGEGNGIYRVEIDATHIPPSYIKMIFGGK HMNYYGLNGQFANIFYDIDAPAFIDGDDALDEVLKTLSNPPQNVPAVIDENILTKPKSFN GNEQGESFHFDPQESQLIIEEYAVGLWFRWIDDLKVDEPNTFQIVNLRSNKNKAPGKGVL GDRALELHHTFGGGAKCAIYFNTYTIKGSKAKGQSYLSKTVESQEFIWTYVYFGYDNDGG RAYGALIKPGQVGEIQFKGIQHKLVNNLMVTLGGDDVISPFNGKIGYVGIYLGTGAYREG LDFGMTFNYGDGVMAVYQVGKPVTYVGGDITSARYVQYDSAENVVDKIIIHNDEGMKING QSEYAFGMWTRWLSTLPKYLNKRAPIHNIARLGTQGYVIDSVNGKLVRLNANRPTTPKDT TLSIALTTDSYEFQTLEEKEELPFTVLEGRWHYVYFGYKRHVDKGLAKGYVQNGVDGEVE EISFDTYHDFLFEYVEFVIGKTAAPLFNGEMARITFSFGPGSFISTTDILKLYTQNSLPE SAYIHPISRQTLQLVGAPQQIKEEPIQFEFEKYQGAEEYALSGWVKWNGPLKTGKIAHLI TMAQKRIDDLDGKNEETLQITRGDQAFTFITYNQNEEEYKLAAHDEQYGEYADQWTYIYY GFSQSLRKTYGYLKYTFTESEFRQEEVNHFYLAVFSVLIQEKQQFSKFIGQIKTWVINVG DGAFREGGFDENENIKVHFGFISGTDHIKLQQAGQEAHNEETILECSSQNKDVPLKIEFE QSDKLHLHGVSEYGYGYWVKFQYFSNKNTIYSRPQLMGLSRLTTNRDYKDFDAPGDRVLL ILLGKSSYHFATYDVITKSNNVAGDIPYQIESESEWTYLYFSYKRISQTQGHAMAFSNFK QITSGIQMDVMHSLLNDYLQLIIGNAGKYYSTFNGQITTIRFNLGPGSYVDSKQGLLQRI KNKDTIPDTLSPTKNYEVLIGKHDVATIEEEHHITHINEEAREYSIQLWFRWFKQSVKTQ QLIYRFTSTNPDSLADAQKIGDRTLALFHTDGIEFSTYSLNPFSLSNSYEAQIPSQQLEV WTFVYFGYSKHNEKVSYYLQADEEEHQGLEVALHAVSSNYWLFLTRDALIKPFDSRLAQV ILNIGDGSYREDNFHTLSVYLAAPKLFSSDSKFDWEADDTITLVSSDPDKLGQKITFAEP DRQIESVQEYSVGLWARYLQAWPERQWHTPTEMQIFRLTYNDERDSGRIAIGDRVLSAYV ILDNYLFGTYDLNDDAPNEISTIPYSNLEGKWHYIYVGYKRQLQQANYFVFNGEEIKYAT NEKLLHKPLGDYVNLILGGENEISPFQGLLTQIAAHFGVGSFINDGEELLKSIDSSFALP QELTVEYIHKQKHGQQQLIGEGDNNEGSESTGDTWSGVGEYAISGWFKISETQVKKEGEI NSNCQILFRITNNDKEHLNDRKLQGDRALHAQICAGDTIKLSTYTLKGLKDWNEAKFLEE KVELIESKKAWSYIYMAYNEDAGQIHTLLHLFAEDKPVIFNGVQHFVPHFIGIYVGKDPH SRKFQGDLQKWVAQYGQGAFVDVLKKGYQDHLPNFRHIQINQKHLWSEKESHIIQTPEKL EVTFTEETESVDEYAVGVWTRWLIAFPTTLIDRSDVHTIFRFSNKRQYQDKSELGNRVLS AFLTYGNYEFSTYDASKPANAVDGQLPYENIEGEWTYVYAAYKNKQFYGMILFKEQQKAA HLTLDVTHQVLTGYAHFVLGASEFGYKAFHGWYFDPRIFLGSGSFINDSQKVVEMIHKLH RSLPVFPQQADDFVWPVSMMDTTNWEDMDTKKDKLNYEFADRSQVHSYSFGFWYQTAVLL PEMENDFRGLVRLTTNSQDIAGDEKFIGDRTLAVFTKTDELLASTYTIKDPLFEPVSHSF ELIKNQWTFVYFGYEKGHARGHTFYCQKDLKKKELSVQHIIPNEFYLHIINDIGHPSFWG KIYGLKVNFGQGSYLENPLELIEKWPYDPKQHRDYDKQGQKTLSINSAKVSKMSLQITYE IIKFNQQFYVFNNGRTRTQQQQPINREPEQPIQQPPVQQRQANAYQQPQQDNHQQQYNAQ QQQHAGQQQYQQQQPHQPQQIFPQQQVEQQRKPSKIDVDQIPRPYVKSEESILFSTAPAP LYHPPSVHQFYQTFDEGNCGPRYMRSSVYAIGNEPSILQQTEIPFGIVVQPLMEPSLFES ELPQVEFTSEPLRCQRCKAYVSPYFQFGQGGNIYVCNICKMKNQVPPDYYCVLGQGNQRG DKFQRDELNKAPTSYIKKEIKNTLILFCIELTQISVAKGLYSQVISSLQSILDTIPYPDK TDVAFITFDSKIQFYNIPKTLTGEPQIIVVSEIDEANVPLPPEKLFLNIENDRDKIDYML EKLSKFGETITQQANQLVSVGTVLSNAVQLMQPRSGRILYFGCSAPRYGIGKLPQKPTDT KLFGTDQEKLFYQPNDQAYVKLGQTCLRNHISVDLFVANDENFELATVSPVSNLTGGSIY FYPHYNQQINGTELHYALYRNLTRSYAYDLIMTVRTSPGIILFDYYTGGGKISVRDLELS TLNSDQSIAIMLKQEEKILDPEAYIQYALLFTNQQGQRVIRVFNLQLQVVNKNSGNNAWH NIFKTGDVDCIATLLSRKNLPNIMVQPIKQIRQTLFESVVNILHAYRKYCSSNSPSGQLI LPEAIKILPLYLQTLSKRDLLKYGNVRIDNRIFEIHLVLTQSMHFLNNFFYPKLFPIHDI NNQLVADKYYVGTMTDEEKTALPHNIATTIDKIKSDGIYLLDTSQFIYIYVGQNADQQLL QNLFGVNSFAELNSIELFTKIETDYSTKVQNIIESLQQIRGGTYVPVRVVRQNSPQASLV QSKLVEDEQQLEKSYADYLCELHGAIQNKGGV >CAK56437 pep:novel supercontig:GCA_000165425.1:CT867986:527875:529443:1 gene:GSPATT00004531001 transcript:CAK56437 MSFKNTQQYKDQKFTNHLNDCEAISEIKVKLENVPENPAQLDDQAAELIESQSQIKFFLE ELDNKQQDEFQQEIKDDEAEEYEPILNTQTQVDQKLIYQQQQQQKQKQIETFQQVKEKLQ SRQTEPLPSDNKLNQDIIQDDIDISQSKLCKSLLKNINDQGNNEDTELIAQLYSELNEMR QRNKEMQIQKDFYQSELKRVQEQYQKDLNKQKQIFENEIASLKAQINLAKKVTKQETQNL TTVTFQERQVILKDLMNKIKDQQDKVQEGHSNFNEKPINHTKQNNEPILNPQTQNQKVLQ VVDLEHEKLKDVDKALQKEELQQADKKKKNAKQQESPQKQKIAVEKQVNPPPPKKSTKQV RNTNPEIKQDQQKLAPQMKAEKQNESNRDAILNKQTAENPDDFFNRLSNQSQQIGQDQQV VFQNQKNQINQTKEDHKEENLNQAELETSEKQKKQEILQNPIDDNLLSNEKNSQLAQQDP FSQNHSQIQNQNSVKAQKQIPKQRRINQGGNSIQNVPSSLFD >CAK56438 pep:novel supercontig:GCA_000165425.1:CT867986:529851:542887:-1 gene:GSPATT00004532001 transcript:CAK56438 MNQHISKALKTLIKSLCMQTLCKKLILMPLTLRNPQNEVHQRFYKTNIVLSLYNQDHLQG LSILSLQLYINQKMNDILYIYDDEQNTYKPFNVLGQFGKVRQICKGFSLNSFQILSETGC LYYISYELSFYQLPFKNEKIKYITTNKNVTLALSYSGQVYSFGDDKNHYGTLGISKIYFL PIPSRIATDFKQVSLSTHLACGLDYSGKLWTWGQTPIGNSEIPQIVNSLQIKINKICAGR DYILLIDGSSNIFLLSDSFKQSFYSNQKWVLQKFRQFTNEHLVISEILPNDQYSFLLTNK NEIYLLVLSELLIKKLDIRLKIHNVTQNDTHLFCLTELQQFIKIDKANLYQNEQIEMKAL YEQIRYDNAEKAMHSAILPQNILKFTLNSIQGFVVDKSRFLDGTQKKGISSSIQVYQQQY SISEVHQQETQLKLSIINHQINHTPNKIAIPLQKSRIYYSPQPIVLKSHKSAMTPLKQSA VLPKSYLSRTPNMQQPLARSFVNYSESRTKSPVKKANLMRLLIQDIQITINSMYNYPQIA FEFRERVYSNRSNSAQDIKQMIKEINPRANSQEKEKIQEFIHKERRYDQELNKQVETVRS QLEGLKRQSNQSEEVFEDAISKRRQSIADDLSVGETGTQDKEINYGQSRIVGQKKYSKNI LNASPILNSPAIQKFDETTKFFEEEILFRNRQKQDRVLIREVIILDSSTYVNDENLYTVR GKDQILIASRVINGIIDQSWELTSNNKYKKIISVNEPIQYLEEDIKIFQGNCILRKQIRK TPEILTMGEGINEEQQNGDIVESRRLVENNESLTQMKADGWSFQNMQRLERNLGIEQLQI HQMECSQKTREKNDPFQSIQKQVEVNKDQSETLGKFVQEEILRLNKDTGKLEKILIRKPY IEKEKAKELDKEYSQKGVKVLSRKILDNSPSQKIMLQDGWLLTQEGFLQKKLSESEPDQF VEEEIIIYNQVTKKQERKLVRRPFFDDQLQEISEELDEDSNLGVKVVARRIIEKNEKRQQ GKEWQKNPEGNYELQISQAESTQYVEEEVLIKGKNGNMIRKLVRRPIEQCKGKVGNNLRE KDNNGNYVLSRKIIDSRFSLQNWKKNGTMYEKIISQEEPEQFVEEERKLVRRPYENEEEI GDQLNESIGNNQKVVSRRIVQNDTSLSEWKKNQKKPDIQNEPAKFIEEEVLVMNEETGKI ERKLVRKQYQPGQNVGNNIQETDQNGNKILARRVIENTTSTQQAEKEGWKNNQGYFEKTL SIQEPTQFIEEEERKIIRRPLDDDGNIQEGEELLEDLGDGCYVVSRKIVDNDLSLASSNW RKNNDGNYEQSLGVEPSQYITEEVLQFNNKTGMMERKLVRKPYLGGKIQEGDQLNDVDKN GNKVLSRKIESNLQPKATKQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKI IRKPLNNEELDNLQFGQNLEENLGNGKQIISRKIVQNDQSNQALKQQGWVKNSDGNMEIR FQPEPVKYVEEEILVQGEDGNVQRKLVRRPYLPQDNKLQTGAVIEKDQNGNKVVSRKIVQ NNKVMDSTWVQSNNGYLEKVVSQQEPEAYIEEEIIIINPKTQKQERKLIRRPLTQSDIGE IGENLQEGDANRKVVARRIISNEQSLDQMKDWQQKQGQMEIQLAQSEPTRYVEEEVLCYG ENGLQRKLVRRTLTKENSQMGNNLNEVDKDGNRILSRKIVDNSQSISQIQKDWQKRQNGT LEKIISQEEPEQFVEEEVIIINPKTGKQERKLVRRPYENEEEIGDQLNESIGNNQKVVSR RIVQNDTSLSEWKKNQKTGQLEKLIVQNEPAKFIEEEVLVMNEETGNMERKLVRKQYQPG QNVGNNIQETDQNGNKILARRVIENTTSTQQAEKEGWKNNQGYFEKTLSIQEPTQFIEEE VIIMRQGGKQERKIIRRPLDDDGNIQEGEELLEDLGDGCYVVSRKIVDNDLSLASSNWRK NNDGNYEQSLGVEPSQYITEEVLQFNNKTGMMERKLVRKPYLGGKIQEGDQLNEVDKNGN KVLSRKIESNLQPKANKQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKIIR KPLNNEELDNLQFGQNLEENLGNGKQIISRKIVQNDQSNQALKQQGWVKNSDGNMEIRFQ PEPVKYVEEEILVQGEDGNVQRKLVRRPYLPQDNKLQTGAVIEKDQNGNKVVSRKIVQNN KVMDSTWVQSNNGYLEKHILKKRLSYINPKTQKQERKLIRRPLTQSDIGEIGENLQEGDA NRKVVARRIISNEQSLDQMKDWQQKQGQMEIQLAQSEPTRYVEEEVLCYGENGLQRKLVR RPLTKENSQMGNNLNEVDKDGNRILSRKIVDNSQSISQIQKDWQKGQNGTLEKIISQEEP EQFVEEEIIIINPKTGKQERKLVRRPYENEEEIGDQLNESIGNNQKVVSRRIVQNDTSLS EWKKNQKTGQLEKLIVQNEPAKFIEEEVLVMNEETGNMERKLVRKQYQPGQNVGNNIQET DQNGNKILARRVIENTTSTQQAEKEGWKNNQGYFEKTLSIQEPTQFIEEEVIIMRQGGKQ ERKIIRRPLDDDGNIQEGEELLEDLGDGCYVVSRKIVDNDLSLASSNWRKNNDGNYEQSL GVEPSQYITEEVLQFNNKTGMMERKLVRKPYLGGKIQEGDQLNEVDKNGNKVLSRKIESN LQPKANKQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKIIRKPLNNEELDI LQFGQNLEENLGNGKQIISRKIVQNDQSNQALKQQGWVKNSDGNMEIRFQSEPVKYVEEE ILVQGEDGNVQRKLVRRPYLPQDNKLQTGAVIEKDQNGNKVVSRKIVQNNKVMDSTWVQS NNGYLEKVVSQQEPEAYIEEEIIIINPKTQKQERKLIRRPLTQSDIGEIGENLQEGDGNR KVVARRIISNEQSLDQMKDWQQKQGQMEIQLAQSEPTRYVEEEVLCYGENGLQRKLKIHK WGIIQMKLIKMEIEFYRGKQSIILNLLVKSKQIGKKDKMVLLKKLFHKRNLNNSVEEEVI IINPKTGKQERKLVRKPVYQINEQVNYGDCLNEQGKDGERVISRRIIQNQFSSDDLNQQG WQQKKDGNLEQIICETEPTKYIEEEILVMNPQTQQLERTLIRRPLSPNSQNLKTGDNLTE IDKEGKQILSRKIIDNISSIKQQQKEGWKFEQGRLTRLVSLSEPKQFIEEEILIVNQNTG KQERKLIRKPYIEDNKTQFGDEIDEQQQGYRVVSRKIVSNNISSETKKKEGWITNKEGLM EKVIASSEPSKYIEEEILSFNKETGQLERKFLRRQIQDNLVMGDSIQEVDPLGNTILSRK IVDNNSTTGWIKQKNGTLVKQLSVQEPEQYIEEEVLLTDKDGQQKLIVERRPFINGESKI NRTDGGFVLSRKVIQNNQTLTALKQEGWVKDDQGILKKVGDQSTGNSALSKQLINQDSQY FEEEHQILNPKTNEMEIIQLKFPYNVNRQIGKRLKEQDSDGNQIISRRIVTNLDLDYNPY QFVEEIVEVANQKSGQTELFAIQKQVNITEVQIGKNLNEKQPNGFIIRQRKIDSLQSWKK VTDAPKEYFKQHANINIKRQMYQIDEDSQEQRDSQYRSNEELNSQGIRVPDFDGSRTDKF LASSSEKRKQQQLKAIEEEILILNPQTKKVERRLQRKTNEAKQDPEIGDDLYEQEEDIII LSRQLAESNSPSDLMKKGWCEKSSNIWQRILSKNESFQMIEEVVKEGKGNKQKIIITRKN YKDEELLFGEDLKEQLGQDQTLVRRIKVSNRSLAFYKNCDFSKNREGNYVKIIQSNDNPN VQLKIPKYQEPNLKKSKSSSSQLSLIQAIEQTQITKSKSLMNVNEQYNKNQGTNKAQQQL HEQFMDDQAIINRTNISDISETDKMFLKQLERKDHSKKQSQQDILRNRVGSDKNNRSSSS PFSDQDYNMSSNFVVGIKIIKRLLQKMILVNAKIGFDELCYINQQYERFSKAIRLIKKFG TRCGLASLNEHRLKTFIEFNEDDIIKYNQMLTENKIQAMEHIPEQGQQAEPIELSPDEKG SRTLVKSQSQILPKQLNFRNSFTSTPQKEQQQLSQNQIKSGQPVRATQAPSTSIIISSLP QSQQLVRGSNSRSSTIKTVVSPQPQQKYAAQQKFSYQSDDKKKSGQNTSQSTQKSNRQQE VPSVNQSQNASQSSIQNSSNQLAAQKKEIISQQFKKMGSYSQKSKK >CAK56439 pep:novel supercontig:GCA_000165425.1:CT867986:544120:544598:-1 gene:GSPATT00004533001 transcript:CAK56439 MESSQQFKPEKSPNDSIIQNQKQVIQEFNFNDKAPLVPPHLLKYYYIEEKEKRLNNMWNK DIRPQGQMELEDAKPQISQQEIFDHLIQIFSNVNSLSPPPHVNLNHLACVTTNKNSPFNV YALTHRFKAKHTTIKFYTHKYQENKQQLFVV >CAK56440 pep:novel supercontig:GCA_000165425.1:CT867986:544637:545027:-1 gene:GSPATT00004534001 transcript:CAK56440 MGSQSSKLVTETQRHEHAINYMNSGGSMSDKSAKSIKDIEQTQQEFVNTQFKWNFGGQKV FVAGTFSQWKTTHQLQRDKGGEFSIVIPLPKGIHHYKFIVDGDWRFSPDDPTTADEHGIL IM >CAK56441 pep:novel supercontig:GCA_000165425.1:CT867986:545905:546420:-1 gene:GSPATT00004535001 transcript:CAK56441 MIRLAHSKSVARFSGALWGPIHERPIVDRVMSTSQWPVPYYQRIFKAYPVRQNKQTWAMN LAGAEIHDINWYCAKQALSRTLKGRQAVEYVENNIPTQSYIVIQKDVSRMAKAYVSDLSL FLSVANKESKVILDSVELI >CAK56442 pep:novel supercontig:GCA_000165425.1:CT867986:547021:547705:1 gene:GSPATT00004536001 transcript:CAK56442 MFQTKKSNIKFTIDKQFYASIQKNVTENKPVQVCPSKFPAKSQQIDKTANPKLRTKQSEP ILKQIPSLINQRKISDNQQLPNSAEQTKCYDGNSQLIQQLIQENMKLKKQNLAKDSIINQ LITNNDTQKVNLCRASTSQTERNAHKLLKQQNNQKTARKSMEELSSLGFTFCNTEQKNYQ KECEQFSIKSSKSPVKFPKEFQIIPNQKKFFV >CAK56443 pep:novel supercontig:GCA_000165425.1:CT867986:547780:549002:1 gene:GSPATT00004537001 transcript:CAK56443 MGSCCANTTQEKESFTAIPAPIQISNENLEVKETTKIKESNETPSSPIRSEKIDEENYGS IKSYRGVLIENTNIFDEQSSLALTIYNSLGPFPFPIIKDQTLTYAGPVQIDAGSIYQGQW LDGKRHGFGKYLHPYGSIYEGEWYNDQQQGYGRIVLPNGDYYEGQWKNDKAWGTGKYVTI DGTTYNGEWVDDKQHGKGVEEWKNGQRYEGDYLNGQKTGYGLFFWPDGSKYEGGLLDGMP HGDGEYTWKDGKKYKGEWMFNQMHGYGIYIWPDGKIYKGNFEKDQREGNGELDWSDGRVY KGNWKNGKQHGEGEFIYKNKIRKGVWQNGQPVKWSHSELL >CAK56444 pep:novel supercontig:GCA_000165425.1:CT867986:549112:550304:-1 gene:GSPATT00004538001 transcript:CAK56444 MFQFKKIAKLPLILIQCECGREFLQEEMYCCLSCQNSMCRYCTSNEIFCYYCRNCGDVQI TSETSNLTVNCSSCFECPVCKNVLRRQIDTEKNYGFTCSYCFYTTRTIGVTDPNFQQVYT KINNAYKQEYEAEYKDFQDQYNRIKSIENNNFLIKKQQKNKHVLKSLTQSWTKSEALIHY SNADIDKMTKEKNLKVVLQRYNLDLNNKQVELQDFNPSQQSSTLLPIPLGLLSRKNRKCR KCQKLIFTLQPEKNSKKFAYSVQNLHYDHAPYLQVGKVSYVNKEKTELSVVIQMFNKTKN AMEYSFQPLDQQILEQYKLLTVKNLPSPNFTFNNDNIESDVQQYQKFEAILIIKDATKIQ FAFSVSAKIKMPMDQQQLNYNVNVYIGTL >CAK56445 pep:novel supercontig:GCA_000165425.1:CT867986:550304:551462:-1 gene:GSPATT00004539001 transcript:CAK56445 MKSIILIALIAVAFTARVQERNMAKIQAELAKSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELETLEFNFQVRTNEHNALVVSLEQDIQDAVIDVNNTQDTLDNLLYPRRSQ IQSKIDTVIGYQEENRKLYDEAILVRGQEHDAFEAQVAELNDATSSVDDALSLLASLTNP SLLQIKRFQNTLKNIENKLRSRSRMAPMIKALISLASNQNFSNQDVLKSIVDALNDFRNA VVDQINELTAQEAQDVIDHEAYLEQLDDEFSEFGRQIDRATVDLTATNEKIDSMVEFRDQ RAADQKQYTAELELENNTYAEETDIYTNTKNEFTRELGVSEQALALVQSVDFSNIKV >CAK56446 pep:novel supercontig:GCA_000165425.1:CT867986:551482:552712:-1 gene:GSPATT00004540001 transcript:CAK56446 MSINNKNYLLETFPNYLHTQLDLLAERANNLDKQERIKSIIEYFCELKNLAVALAIKLKT QDGFMLYPKIISDDYIIDFKEIQSCHLRKNEIIYFQAWAFSNLNQIDLYLLQTLLRLQCI LVQFECKKYFEIQNVDYKQKYGGDLIKIFLLLQTQSQVYEMKPDSFFLCIIQNCKSKNNQ FITYLNKFFKGKNMQKCIGYLEEQKQTQKVSAEKRRKFQQFKDDEISKKESLKDSQYMSQ QLSQQISQQISQVVPQTQQTSKTIVRTQSLMINKSNEQSNPISKFQQTSKDIITNSLMRR PSLTTRTIKEGLNHKYKRENEFRQQRQLQQEVSKQNFFYEDARSKKVENVSKRVSTCWNS DCLAPETPELFSD >CAK56447 pep:novel supercontig:GCA_000165425.1:CT867986:553021:553753:-1 gene:GSPATT00004541001 transcript:CAK56447 MKRGLQYFFSKQRMEIFFLKDCVQGFQGEIRIVKPGFFEKYLFPMAMAYQNLPGYRRRLL PDLDEEKLENKIQRRKDIKLFQQKIAGIHLEFVRPPKFSNPSLLKDPIDDKLIIEEVQQR FKLQITKNHMLSMVNKITTYGQFTLQIENFYSPELQDYTTFQITVVTKKPIMKVQKLIDE VATKDEQNKDENKDKQDQKVVKQGKEKEIQK >CAK56448 pep:novel supercontig:GCA_000165425.1:CT867986:553799:555383:1 gene:GSPATT00004542001 transcript:CAK56448 MEGIITQFGILFLLAALFIFDGLFKLVPLYAIIAEVYSLYLDSQKRTPQQKELLKNQKLT WQELKKHDNQSSAYVAIKGKVYDVTSFLNSHPGGREFLLLNCGRDATLAFQSYHPFSDKP EKLLEKYLIGDLLTTEWPTFKPDSGFYKECTERVKKYFQSKGINPKTPTPGLVRAIPLWT CFFYTFYLTFVSDSLGLTKRILIGTIFGILQALNTLHLMHDASHGAAGNNEKWWWFFGRL TLDYISGSSMVAWQNQHVVGHHQYTNIMGSDPDIPQLKEGDVRRLVKEQIWSAMYKYQHL YMPFLYGLLSLRSRYYDVFEIFLKETDGPVKVNPISLQDKLRQASSKLLWLFWRYYLPVQ VFGMSQCQFWFLFIYVEFITGYWLAINFQVSHVSDEAEFFYNNMDKAVKNGTNEQWPIEW AVLQIKSSVDYSHGNWFMTYLCGALNYQVVHHLYPGVSQYLYPEIAPIILEVCKKYNLKY NLLPGFKEAWNGHFNHLKNMGKQNKFVGFAKME >CAK56449 pep:novel supercontig:GCA_000165425.1:CT867986:555641:557085:-1 gene:GSPATT00004543001 transcript:CAK56449 MSYKSFQQCLLMTYSVALATFSFAYNSYIINGPLDHIRIWIDIDSSFKEGAMMTSFNFGG LVGCVIGILIVKTTSRRLMLYLIDLLLIIGTAGLNIQNYNTFIFFRVIAGIGSGLTTLVT PMFLKEIIPTQIYGVLGGQNLVLLSLGTIVQSFMALGFRDVKGQTSVDMSYWRFYLLPPI IICITRSLLLKFYFPFESPIFSIQSGNAQAAEEFLGHLYQDEDVSKLKQDLENLVNKRNV EKTLPNARVFLGIMINIFYSNSGITPIISYSTKIFQQVTTITVAQILTIGMSIIKFIFYS TGAQLTQKYGRRMPLIIGTGILAAVLISTGISSAINDNSEYNDTTLKSVVVVYIVIAIYL YFGVFFNTFGAIIPLYTPEILSGIYLTIALIFQWSFNITITFLFPVIRDSFGMCYTFYYF GIMMGVATVYFMIFIKETKNLNDKEIDALWQNQTSKVRDENENLIQ >CAK56450 pep:novel supercontig:GCA_000165425.1:CT867986:557802:558933:1 gene:GSPATT00004544001 transcript:CAK56450 MKVRSGCSQYPSLLQIFIIVFYVLNTVMTYIHIMILEEHTAHYIIFSILLSISGYFCIKT TICDPTDSYVIQQQKSRGTPFDYEEHQLNQFCELCIAYVKETTKHCKQCNRCCEDFDHHC RWINNCVGGKNYKQFIGMIVFTFIILIYSIIVNGRVISQYNKQELETLTIYSKYKRSLLI ITIVLLVLETIASLLLLQLIVFHIYLWKKGISTYEYIIQRRSKQVQPSNFSEFNIINNQE SKMDIIKNNREQEEQSVVFRKDKEKQASQIYTNSELMKKDESLCSSKKNSQSILQKRKLT QGDSDDSQKEGVINFFSQRIQSNVDLRLQSRQMNTIDVKTPEITQFQ >CAK56451 pep:novel supercontig:GCA_000165425.1:CT867986:558985:559948:-1 gene:GSPATT00004545001 transcript:CAK56451 MNNKTSHLDQIISKIQRQSQKNLHRSSPNLSITKTNNYHYSQLHQRLVSSVCQGLHSPNQ NSVVSTIKEKIAKRIRQYNTCEDASSFQDLKNMQDLANECANYNEFTFSSFLQILDRMEQ REQPLKIVLFSELKKSFLLLANKIQSDIDTLSQHVLNLIQELETKNQELQKQKCPIQLQH RTRSEDFQHILNTLRLKKKDQQKIIGLQKQIQFQDNVETCKVKHDLNEAVYDEQIKYSSE EDSFPFGKHVNLLLVDPSTLNQPIYKKGLSLNLKPIKNKVLKGYQDEFMAQMNEFSESWR QQALIEKRF >CAK56452 pep:novel supercontig:GCA_000165425.1:CT867986:559959:561427:1 gene:GSPATT00004546001 transcript:CAK56452 MSRYPQVFLDFQIGTQAAGRVIFELFNDVTPKTAENFRGLCTGEYGNVGMAKKTKKLHYL NTNVFRIADNMLIQGGDIINNDGTGGASIYSQTFVDENFSRRHACAGLLSMANRGRNTNN SQFFITLKPCPHLDGKHVVFGQVIDGIEVIKRVGQVPVDMQDRPRIPVIIINCGEVSESK NWLICDPFKKEIMDEIHRDRLKALYGQEYLDELDKEEEQKLQALNPEKFKQQSLENEEES NKQLLVEQLLQKTTEDQEQEDDSQENLDQFEGKKFMTQKHKDRYFELKKKIQQSKVLNDK AVLNEERQNTDAQYDKNLRKGKYLQKKEAEKQELQFKQIDEDKDYLNRMTVKHDEEQEKK KEYFGWDVFNEDAVYNAYKKRCTTLAKNEGKYKQQMESNQEFVPTNEALERLSSDITKQQ DRRKEFSRRRRFNEDQPVTYINERNRIFNKKLERFFGDYAADIKANLERGTAS >CAK56453 pep:novel supercontig:GCA_000165425.1:CT867986:561483:563228:1 gene:GSPATT00004547001 transcript:CAK56453 MQSTSQRNLLLTSPTKYQNPMKFNQTPDDTGKQKQYFIKDKEAMFEEIQTLKQSKNQLFI NIKKLQAQVQYYKKEAMYKEESSPLKSSSRFKQLYQERIAILEEENSKLHQQLEEIQAFV SSLKNPLSQTNLELFSLQLQNDNQQLTTLLEDKENENQELKKANNKLTIKCNALQIQQNK LKALNNQLLLEINELKKKQHQYESRISQKDIPRVDEKFQIEFEQTKLEIRKFQQQLKQQE QSFTNQLQLEHEKIEKLEKRNKELQKTVSLLENKYEQEKVNYQQLQQSQKFIRRTVILKT IQPEEPEKYIEQETKIRKLGSVEKSEILHVAKKIKLNLIAQQVSLKKVEEYLLTNEILTL QQLEENLSCHIFGLVEEEEISQLAAYLADLENDEVETTALRVKSIFKNLMENYTILTNNE LSAINQSIALKRTQINEYLTKKHPQIFSIGSISIDQYLDSLQNLEIQFNKNEIDHLVALI TKYNRSPRVLLQQIYAPFYICNEPISDDEESTFNQPVAQLHNPMQLSEDEEQMSALDIKM CNSQELRKKSHTELPQF >CAK56454 pep:novel supercontig:GCA_000165425.1:CT867986:563246:565106:1 gene:GSPATT00004548001 transcript:CAK56454 MENRLEKNVNKLIERYKKTSQQIDQMIQPEQIVSQPITLYQTRSRQSRTNFNDEDSSSRE KKNHSIEQISRLRETLNAKELQEKEKQERLRILNKELKMTLKDYMQANKDLEVKVNQREK HIKQLEFELKSIQDSFSKVENNVRENRQKCEGQEKLIEELQQQCHVSHQKLKGKKDKIQL LKEQLKQQEAQFDEQGQNFGKELERVQRLCEDFSTEIKNQEAQNRELEEEKVQILDEIQQ KEAQIRYFDQLLQDFQFRDEDNLKQIDFLNQDLQYQKAQTQQYIIKFEECQAKLQQVTEN TQTTILNNEQESKKQQDQFQDIIFKKKKKINEQKHKIEQLQQNISDLQRKVDNDDKNRQI QEYDLKKLQQINNELKQINDQQTQKLYNNEEKIQQLLLELSEQKQINSNLISQNKQMEQK SDQAEIIIEQLKDDIQNLSDSLIQVQNYNRNLEQEHLDCKLQHEKQMDEIEKKIDNLVES AANAKDELKESKQRENELKQKLKQQELETDKQQQKCQKYKLQLESLRQTIKNLEDKFKQY DCQAQQIQIQSQKQEEMQNQQKLKVLEDIQSLIKLHRKI >CAK56455 pep:novel supercontig:GCA_000165425.1:CT867986:565331:566210:1 gene:GSPATT00004549001 transcript:CAK56455 MNPQDHQNQFMQTNRPLQIFPQCMNPWSYQYPHGYSPYYQHQQLMFYRQFIPAAVPEMQH TQKQQQQRVVITISSDEEKAPKKTQEQPPKPVIEAPPQPKKQPKILDLDQLESQGKVYDY ESSDSPQLPRRVSKSLNFQKTMRQMHYESFQSPKQKKRPIKNRKEPTRIQPKLAKQVQIG RQRQLIEFPQSSVKTRLIRVYTKNEEKFQKLLNILLQNFPNANDEDVVRILNFTGKSYEK AINFVQENGFLVQYLIETYQNNVLSSEEESTNKK >CAK56456 pep:novel supercontig:GCA_000165425.1:CT867986:566576:568002:-1 gene:GSPATT00004550001 transcript:CAK56456 MKTTLLQNILKTKANSNMIKIHQPNLNLIQFDSPPREFYTNEFFEKNKNKIHIYESLEEN MKYHYLNPQSIFDPSISTDMYYPYSINMKINRDLHLILAEAYFGSTKPKTAIIGNQATAR LVRYIQDLSYDPVACDSKRNFIDFTNYILNLNGLQSQQVVQMDKYEFLSGKQFDLIEIED IFRKPFGLLVPALKAITDGGLLIYTIQQFIPNNKQIGKQTKQQLNLFHNDIFSNSETIIQ GTLAKFEQVAHDLGKHIEPICTLATANQVGHLTLCVAVRNGKKQLNQYHAYCSTCGRFTN DCEQSNQCNFIGMGPLYIQNLDQNKEVLKKCLKIKKYTNWGFLERMIEQIYKIPADCYPF CITKYMKYNKSSFFYEALGNNEGYFLAKSPYHNIPTIYTNMPWNILMGKVHQFMIETTQI PSPYVASFPTQPLSLQNLEQAQRIVYDEEMRLQSLPKQLKAKGQDKH >CAK56457 pep:novel supercontig:GCA_000165425.1:CT867986:568333:569039:-1 gene:GSPATT00004551001 transcript:CAK56457 MEETFNNIYFKGEGYQTNSQILDGANQSTISNHMNKFMNLYLQKVHQQMQLYQCDSEEQC HYYVEQLNRYLQQFCAAGKEFNNYKKFSLNFNWSEYHKQNFISRILYDSKIYDTIMTIKD NYESEGVEKLVNLIKANKNNKIEYCCDLVYQEQTIQIKTHSDLMRYWLQYSQCEDLGLDE IMDFERRLEKAITGLPDTEKQLPTLPLNWEQQILSKKK >CAK56458 pep:novel supercontig:GCA_000165425.1:CT867986:569587:571320:-1 gene:GSPATT00004552001 transcript:CAK56458 MVLTDKPCFGFSIAALVIYVTIFGIANIIGYGPINQPMSDGKQCELIYFPDLKDISKTLC LDECPKTGDTKLQCDNCSGNILNTDEYNNACLPTLYNQLTQVMPALKTPKLNNFTQSLIS NCEFLITGLFFLLSILYIGQRMVRQYLSLMISFLTNFYPYGLLWLSIVMAYRLSNFHDMD KLERTGESRQLNYQSIGILVEAFNLKSTYTIMLLILIKVFIGSLITQCYHQNDKEGYRLK SYISLTIRKKLGQYTLRYNSVIQILVVINFFLMYYSITAALSNGSIDSTQPAYSQYQTSV LGIILAVIVFCLFVYASKILRLYTDYFIYIFTLQQLLWEKEQSLQILKNSLEAFGTISLL AFKQIINSPKNLGIKFMYLIKKPAVAHKWENDLSLLTSLSLGRQVFYLTQNQVNNQPIAS YQLQESIDALNIQDLEIVYLLYQQAESILKYSGWSIGCMIGLVNSLFGCGFSTIALMIPL CCDLSYVISAQIMKGFLTFGLIEGNQDDDQLMKYYKSIILIEKEELIYKQNKQQQK >CAK56459 pep:novel supercontig:GCA_000165425.1:CT867986:571580:576729:-1 gene:GSPATT00004553001 transcript:CAK56459 MENFKNSFQQKLENRLQFIIGFTQFCLMKENNFLWTLSLIVSVLQKVAIIKLASLLNNFN GQPGIQDLELLFKICVPTMYLPENLGPDYLIACQSVFIIFFSIPAILVGVSMSDEITFFK QTKFKNKRQLNISQSDNLSDCIQIMHQIYNQNSYIEGFQHRLMSDQSNTSLQIIRLRQIA IFLNYFPHVFLIPILYFLLQINLALDKADQTQQIVGQILNVILAIETLIIAALSLVWCQV SFTLHESNFLRLRFSYLQWLHIGFCIIPILISYSYVRAGNLIKEDDFIHTVNLVFVILAF LIDALDAYITLPYVQKFKYSTNLFMRAIVICLTLFFICRLSDQQIICLTFIVSPCLSRLF VVLQDSRIENVLTSTLKKEKSIQVLVNQQQPQQTLHTHESEQGLRIESENIKYKDFQSNM IKQYDMSYFIHFIRAVQLMRLSEIGVLTRNSGVELKNERSKILMVCIILLRTHTENCNNQ YCFCRGLKGEKRKIIEKYEIVKEMRMYDDFKILNIQFYVELIMRYLRDYSKLVFQTEIRK AHPNIIYLIQILVFLCNSDECYQAQLQILDLKHLLEKGSSLQQRLAFELLKSYSKYQILH RFKEDITRQSIELTLKYEDYRYTELERDRLLKLVFANLQHKKQFLIKLNDETHSYESLEE LYLQLNTQNCKIQKELEYFNLYSPGRTSLYCYMLYCLEVTNNYDQFIKLCNLINTRESKF FEYPSFYYKTEEQSYKVGYMLLNLTQSHMKRGEIINFSKNFPSFVGYTQEEFRQQVKSLD QLCYPSLIKAHDEMVEMFVITNRPMIFRQPLPLLTQNATPGVLSYLEIFIDISFNFSSNV LPSFCFMKEIKQGIRQDGLSGFILLDSKQNIEGITNNALECFEMSNPSKLLGKSINQFIP NFNSLEAQLQHYLEEYQKENGISGTLTTANKLVQHFTVSQDSIEMILDKETKFQLDLEVQ MSYSLVNRMVFKVYVLKIRSPYKLGAVCSDESPLSKSLDEYSSEFEGIKLKDYIMPGAGD NEIPQFTSQPDPITLRSQMIPVEQENQPLKQQEFLCSDHHDMISKQDSSEKDIESPEQPH KQIYINSISSNSSKQSEIQRILVKSHFYRKFSEMNTKPKLLYALLFINVFSLTAILGFTT ASTILYANWQSNMRSNIYILQAFTMTAYSRATLQGSMTMIQYVQLDQNLSKYGMYYEYNA TLLGQLQTVLDRNIEIFSTKMDEYSENSIIREVFSTIELEQLDIFENVDKTVKGWTALFQ LIYMIRSLHITDFADSFQFLKSILYLLRSYSKYKDAYSLLNTRFTDEIYSILGSQDNIID YVTFSFIAVYVVYFCIAFLLQFSYIQLCKKYIRCSEQISHSAIASELNHVNQLLLINQDH FDLYEYHFSLRDKFHSEEEEKQNNNYLSGTSNAHNNSNNNNNKKFIKVQGQLNLKRQFTF TIHLISFTITLITLLLIRMNDKSQIQDLESKLAIYQKCITFTESLSEACLTNFILQSNKY LVERAFIDPEDPAQFFIYTNESYTIANSILGEILYSEGTVSEELKILFSSNVCLVVNEPD ICVTVNNGELKQGLLLPSQELFQILRENIDLYQISGSASQMLQLNHMLSESFMIDAVNDF VDTVRQTVEQGIIDSNNVKLTISIIFYLFIILINLAQIWFIHQYVTKKLWGIRQFVYLLP PKALYNEDSFYKTLTYLLKIENQVLYM >CAK56460 pep:novel supercontig:GCA_000165425.1:CT867986:576774:578415:1 gene:GSPATT00004554001 transcript:CAK56460 MNFDTNIYKSSLMSKWILILQLVIDVSLVAVFTGIAEVAPQILTFTDVVDDTKCQNELNV QQIQGTQEEFKSVLSNNLLCFFCHENIPDQPFGIKGILLNQETIVNQTMLTYSIGYFDDI TRRSCWYDASQNENINYLPKIKSNIYDKQIEVQQSTLLLNWECIQNLTSYTCYTKEHIYK DNRPFSRLFGFIMVEGIQYQYMNFAIMKQSKDYYLYNEVMMIAWIGIELVFCCILCMLED EFEDQQAKVLIPTLSVRNQIFLIFFLNNHPLFLIQLFYDNIYLKLFNVIFQSIGQAGFMF YCISIAFKLLELNQQFITFINLGICVTYSALKSFITIVTGLDMMKNIPQSSVYLNQSNFT FIGLFMVFWYTYFILVQGVLFIKNRRNKAIQYKFARTDVNMKMCHKYYKGIFIFQIFSLI FVSAFFARILWQNIVIFNPNYLYYDHYQITLINVWIVTLFILFHLENPNKINQILEQDEE EISDKVEIQLCNTIQLEEEQVKLQEIPEQNLEMGSKTLDTNLRQYKYNNIAQPETQLRH >CAK56461 pep:novel supercontig:GCA_000165425.1:CT867986:578456:579388:1 gene:GSPATT00004555001 transcript:CAK56461 MQANLAHFFLVLKSQECVQYQDINGAQYEGQIRLIQPHEQKLVFNYTRNLATNESNDNKL VQFKDLTYLKVQNQIPQSQIVEKSKSEKKTKTFVRWEQNVDASNLEDQAVKNFDQFEAIK KFGIEPTYDENKYTTKLIAPVTEDLRLYAEKCEKELKNDTSNKHVLEERNLKQLDDEEQA YSEVIREQPQQMAQVQQTIQTQLTSPPIQFFNSNKKKEKNNKILFIEKLLEPVNLISDDP EQQMKIKQINQEATTKFKFQQATTDNIPKLMLSNFINAIEGYKWQNMNPDPSKNKKEKLK K >CAK56462 pep:novel supercontig:GCA_000165425.1:CT867986:579395:580402:-1 gene:GSPATT00004556001 transcript:CAK56462 MKTCKLKCCLFLIFCNLKDKLLGWFVFKPINPTYQFQEQDLQNSNLQECSIQSEQFSINL IKSSSLSLEDKLLSNKHSLIKSQKTKLSFYSIQLRQNINKEWPNDLEIEGLYLDTKNGRL ALALIKPIKYETKMVLIHSHSNHPDIGCCIDEYIDFCNKFKIMVIGYDYPGYGLSQGVTS QDSIFNAIECVYHFVLSLGFQNSQIILYGQSLGTSPSLYLASQVKIGGVIIKSSFKSILS IISNHQQLHKSDIFRNYEMIENVMSPVLIIHGKLDKLVDIKQIMELSQRAKNLIEIFIID DGNHNDFGSQSKEFNEKMQNFINILQTL >CAK56463 pep:novel supercontig:GCA_000165425.1:CT867986:580431:581146:-1 gene:GSPATT00004557001 transcript:CAK56463 MIILVLGCFFVAHGLPGDSCQTTAKGIFAKRQTEFEEQLNRLRNEVAQGRRKNHVGEMDY AANMNVVEWQQGIANAAQTCSERCPDSLESCKQLTTRYGALHKFRTVHSISQEWEPREVY QKWMDDNKGEQLVIARMKYFGCGRSLKKNIDKFIEYVVCFFDEAPRKGVVPYVSATQKTI GSACDKGRSSTYSGLCKTTIYQQKIFDGIRFSKYTLVESK >CAK56464 pep:novel supercontig:GCA_000165425.1:CT867986:581346:581817:1 gene:GSPATT00004558001 transcript:CAK56464 MAENNKDLKQLKIKTAALKRIQKEFFGYQKEELKQNERIQKLKDQNADEADIKKQEEVLQ ETVQMYPNIIGRLVESVTELQGWLDGKINDPLIDTSDEKTKALEAIVEAQEFLVANNPAQ >CAK56465 pep:novel supercontig:GCA_000165425.1:CT867986:582375:584159:1 gene:GSPATT00004559001 transcript:CAK56465 MYTMETQTLLGCKELLNPQSNLGSEVSLNIQKSNLYVIIRIYRSENFTNLLLKLFKEDYQ RFNLEEQLNLNLQYQMQSQLVVTIQILFHALLYGVSLDITYKPQKVCFTSYLTKPDTTPI YASDKNIINLLSFYTLKQNDKLVHGSLSIDPLTGLFQYKTLTTISFYEQIELSEGFLDCL RFNIVEHQKLMEIQAPFVLYQMNKITFKEFKKFTREDQYESKQKNIDQLKEIFKRQMHQE MTKEEKDKFLDYPKPKSLPLLTKISVQKTGDDLLQQWKDQLLITSGGYSEIRKVQLAYKI SDNDQAFTRALAVKTDKSSTNNKVNHELSILKTLSKTTEKGNGEAYIVTSYYDENLKGCY FMEFYNNGSLEQYTNSKSQVLSLRTKLFILAGIINGIDFLHYKQIAHLDLKMGNILIQKQ LIPKICDFGEAKPFDFLEQDKSNFSRSLPYAAPELYNSNEITPAFDIFSFGILMCKFVFE QFPIEYNPNDLTNLAERYKNNTYAIKRNLIQQIKCGPKKIMKKILHLIILCLQSNPKSRP KPKWILAILWKMINFLDSF >CAK56466 pep:novel supercontig:GCA_000165425.1:CT867986:584218:584987:1 gene:GSPATT00004560001 transcript:CAK56466 MNQRVVVRKRSPRPIIRYLKYCPKMTVASIMTIQNFQGCPQSPKITLSGSKLYQSFTVQS SPQSQMKRYISVDAHRSSPPQAILKSASPTERKFSYLSYQRTTIGLESPAKQQDSIYQIE YVDDTVYYGQISITKRHGMGAMYDKNKNLIYLGQWNNDKYHGLGVLVQNGYIYKGEFLNG VLEGQAIEEGNEKKFYGYYKNGQKNGPGTLYEHSKTTNGIWKNDILEQEC >CAK56467 pep:novel supercontig:GCA_000165425.1:CT867986:585073:586265:1 gene:GSPATT00004561001 transcript:CAK56467 MQNVKQMREKYENIKQNNPNRNVSARSYHSQTPSKEQYQIAFSNSQKSTQNPIIQIGKTL LCSPCYNKRLLNKKQLNKKQESELEKRLLMNQLEQNQLLEQQKINFEVGQLSYRKQLEQQ NRVISKQLQDQQKEKERQIKEQEILQQNLIIKQNQEILQKEKDERKKKQNSLHQELASQL EQQKRLKKLDQLSQSVQFDDPYWRYQAQEEKELQRRKHCQLIVNNNNWQNHEKEQLKKQQ QERAIKNKEIDQRIKTLQEYHNQLEQEKIEKQQIQQQLILDLNAQVKLKKTKEEAIKLIE LQKQRKRDEEIIEQEKQRHCQELCNKKKINQEIIEGLNKQVEMQKQQNIKQQQEPVKTDF YLFKPTVEKQTISCADCKKQQVPQQLSYA >CAK56468 pep:novel supercontig:GCA_000165425.1:CT867986:586755:589477:1 gene:GSPATT00004562001 transcript:CAK56468 MTKQNIQIESETSLCLLNNKKAEIQSPDIGSNIIFKPFAKLILYFHIASFVIRFQRYFKP DGSFMDKHFAIIGDKGSGNSKDFLAFKSKKPSLTVVNFESVSWTVHVPYLYRQYKRKLEF LATKCFNQIPLIEPTNKIKMIWDIILNLIRIELLYLIPILITYEKLLDQYHLTLIIASFC FLVDMGLKNITIYFDQGLPIRDRYQILHNQNGFFVLIEFSGLLGMFIFAVFYNYYDDVFI LNGGYLKLLLLLVFYQIKNILVFIDNLSQSMSFGKATSAIIELTRLLGIQLILQHLSSCL WIIIGRYCLQNGIKNWIEINQLTESSWDDIYIESLYFISISMFTVGYGDVHPNNKIEKIF SIFFVFLCAFQLSYSLDTIGHLLQQLKKTSEQINKKLTFINQYMHNKNISKDLQVQVREY LQYFWYQEQTKQTLQQQKILNQLSDDLRRSLAYESNILVFKKCKVFAQEFSQKFQNDLLK QLSFSNYQPSIQINTHIDGELFLHIIESGKVNVYEKNEKDNHLTLMGSHKEGECFNLEQF FKQEKQDRLVYKSAGFVSTLVVPFSLFYQTLQDYQNDLEKYQDIKNQIQQSQFQLKQCVS CDSRRHQSDLCRQVHYIPDREKVLKSYIYNQCQERQFFVRNRNRLKNYFSAKVDIEFLKE AANLVKVSNESHTFLKFQDLEELNNDESKPHLKIKHDADSISLLIKEFESKSYIPDGNSE LKLQQSCSRSRGLNQEEFNVLQSINQKLRNKQCFTSHDIEQMEFTIKKLELNYNHQFIQD FEKLKEFKIYNVNHNVSQVLKCQNYPIRKLQYNNSNSYETCQLSQASYLLKYLLYPFDFI NQYRVLNLNDMKRSQKISKVQQRQRRMSKELLLKRIGRKLIFRKALQIHPAK >CAK56469 pep:novel supercontig:GCA_000165425.1:CT867986:589661:592480:1 gene:GSPATT00004563001 transcript:CAK56469 MNQTNITDNSHISHCQLMIQEESLPGCNNSNLYHSIDIQNQTAQQIQYQECQRIEDIPGQ KENLIQSEVKKKQFSQLMVQFNIHHFKRKMLSYIHPYKELSKGQFSMISDKSSSFKSYPK KQQQKTSLLQYNKAVLGFRNIIKDFNNTLVAQTKKKVSQLIGIIPIINPYSTTKLFWDGI ITIMRIYLLIWIPILIAFKNGTLEDLNAYTLNVCSSAFLIDLAMQTFTIRFDKGFPVKDR YQLIQYQINWWTAIELFSFIISLYFSIQFHQNNFSMSVVEDKGWTKTLLLLLIVQTKNIL QFIENLQCVIKPSKSTNSLIELVKLICLILLIQHIFSCIWVIIGTYEHLKSQTSWLDLVH LDIDQPWHNIYLEAMYFISVTTFTVGYGDITPQNTSEKCFTIVYMFFCTLQLSYSVNTIG SILIQLKENNEEIKQKMTAVTEHMTNRQMSRGLQFKVREYLTYYWQQENVQKKNETAEII RLLPEELQKSIQREGSSNLINKCSFFKEKFTPGFLNQLVEIAYVQNFQPGIIINDLQNIY IIEYGFVEILQNQTVVGQLSQFQQFGLKKVSMDLINETKYRTKSFTNLLIIPYASLVQLV AQNQNELEIVSQASFSVKNECVICKGSHVTELCPQVHFIPDKEKVIKKYLYNSIQTRGRI KRKPKDQFQEWKNELKFFQDTAEQFQQDSSNEIELLFPNNEKQQQMNACTQNSLLEINDE KPKSIIINLPIGQRGDLDMKIDNKDPLKSDRKSELKNDISELCLNQQFEAISQKFKQIQI YNEKIQKDIFLLYKQLECLLGQNGYEIDKYKSYKHYYKSWNIERIIVEHNFASNYINHRR SEKVGLEQISKYLLFPKMYLQKYRTEKPPEVQQQQQPQVNKSIRTSKKKKRIAIHPDF >CAK56470 pep:novel supercontig:GCA_000165425.1:CT867986:592506:592931:1 gene:GSPATT00004564001 transcript:CAK56470 MDQNQNISRCTLMINDNQSESNNNIDHSIIGIDETITKKVDLTKNMKQKTKFDQAEINVS FQNLQSNQTSSISPKQKSFSKLLIYFHSTKYANKMLQFIRPNQKFQRKHFAIIDDPASSY KYMKKTTNITTNIVRQHLYLI >CAK56471 pep:novel supercontig:GCA_000165425.1:CT867986:592951:594011:1 gene:GSPATT00004565001 transcript:CAK56471 MKYRAFKTSLKQQLLLCFSQIPLIEPQSKFKLLWDLILSALRMYMIIWMPIVITFHSKDL EQYHSLFIFLTCLFFILDIILRAFTICYDKGLPLKDRFELVKRQFTLSTGLELFTILYGI ILTFSFLSEIESPYILEEDGWPRILLLLFYQQIMNILQFFDTIQHQLKLSKLSNSFIELL KLVFLILLIQHFCCCLWVVIGEYKQRQDTINWLKRVEGEPWQNVYLESFYFMSVTMFTVG YGEIVPTNPIEKIFCICYMFLSTMQLSFSVNTIGTILTQIKENNEKIRQKMTCINEYMRE KQISQGLQYKVREYFNFYWEQENHIKKK >CAK56472 pep:novel supercontig:GCA_000165425.1:CT867986:594085:595270:1 gene:GSPATT00004566001 transcript:CAK56472 MNKCEFFRQFFSQKCLHQLLEKVEFKTFQPGIIIENNDLNIFIIEQGLVEVKQQKVKRQL CYLKENDYFGHLEMKSNQSSSLQYKTASFCSILIIPNKGIREVLQQNEVDQEQYLKMKEI MYCYICQNKHHSSDDCHLVHFVPDKEKVIKQYNFIQNQCRDFYKRQRHRHHFSASQDFEL ISNSAKIYQIENDQIIEQIVPSLFYEQKQQIQGFEDCLNHEKINLSDSNYRQSKYLCKAS ASIKMLRTQTIDILMNDYGTKEMYEQIKQKYLNIHKYTQQQQHDLQLLYKQLTNKREDDT EICDKIHNLRCNKDWNLENVIRKINRCYRQEFHQAVIEQFQKYMLFPNLFVSLYKSKKIR TQEDEERRNASVIIQQYKQSKFVNIIYKI >CAK56473 pep:novel supercontig:GCA_000165425.1:CT867986:595329:596445:1 gene:GSPATT00004567001 transcript:CAK56473 MNDNKQKDLESPIFSVVCFPDSVLVSLGGGGKKYGLVNSLQLFPKPIYGILKDPIHTLQL GDEIFQRLRLNTKTGLIVGNSDDQCVILKVQDNKISIVTKFQTDRAAIEPCQNDGVFNYQ GDTLATGGEDGILKVWSKDYQLKYTVDMKGKIQSLDYHVANGMLIVATDNEECKILKDSH VLHKLDISQNNIHKLQFISALFSLDGTTIFTFKNPMRGASYMTSWRIENDNIKPLKTIKI HGHPVVSTCQSKEGMFIGIGCSDGTVKIINARKLDIESSKQIHELPCTALCFTPDSRFII SGSVDAKYHFLQNTRPQGIFSLLSKFWLLGMLLAYLFIVIKDLFE >CAK56474 pep:novel supercontig:GCA_000165425.1:CT867986:596495:597208:1 gene:GSPATT00004568001 transcript:CAK56474 MHNRNKTSKSQMQPLIQLTIDLGNHKCDRIALYRDTNPYLEAKQFVERNHLAQSMVQVIS NCIIKQMKAYDEILMITYDTQTETSYLRNLKSNHDEIQAKQKTPSPKRFHPSPKQTTKQN LSRSPSNISLHDELPTDKVVVKKLFQILDSDQDGLISANKVNYNKLPIQLKNRITVHLEQ SLIPLEFEELYEKLKNDPQEEYFITLKLISQILDIPKIKVLI >CAK56475 pep:novel supercontig:GCA_000165425.1:CT867986:597216:598311:-1 gene:GSPATT00004569001 transcript:CAK56475 MQNKLLYYIVPILQICTITFIDNPWMILWSIYVIIPLLDEILAFDYFNPKQTKELEDDIS YRIPLYLVVVLDWCLMFTVLNHLTWNDVSWFDTIGLMSIWGGLSSNNFTVGHELLHKDTK LDKFLGTYTLVKSLYCHYNIEHVFTHHRYVGTPLDAVSAEKGQSLHSFTPKAIVVQWKEA WKQKEKMQRYTILNILMCILIYRLYSTKGFIFYLIQVYQSIAYLEASNYIEHYGLRRKLL PNNQYEQISDHHSWNAPHRITNHLLFKLQRHSDHHKYPSKPYQILEIDPESPILPCGYLV SIMIAQFPKVWMDLMDPLITGYRSKQLYEESRKKVRRFLVKFGVFASCLMIYSLYD >CAK56476 pep:novel supercontig:GCA_000165425.1:CT867986:598776:600472:1 gene:GSPATT00004570001 transcript:CAK56476 MQNIKYQESKFQFECFRKHLLKDATYYGYLLPNLLILATVKQSQTPKYKVPLLLTTQIHF ITKQPSNEIEQFGFLYKEQFKYFYANPNTILKLKELMACQAMFKEISDFYQPISMLGRGG SSKVYLVMKKRSKDQYASKCVDKRYLIEDGGFVINRYLAQNGLFNEIQLMQRMNHPKIIH LEELYEGENTFYLILEYLQGQSLHESFNKRQVLFEQEQIQTIMFQLLTAVQYMHSLGIMH RDLKPENIMFKSQNAYDELKIVDFGLATSIQAETYPYPKCGTPGYVAPEIANLKDLNQKY DLICDMFSVGCIFYKLLTGKELFPGTDYQEILKLNKKCSINYELLTLYRAPKEAIELIAL FLKINPKERISAQAALEHNYFQLKFQTKRAKFQQSNNKYTPVFQTQNFNPKDNHQKQNFH IEDDVVEDENAHSLKVPIMQNMKTFGQFVCKIKPQVTPTLARRNFKKFQTSDFNSALSPN SPNPQLNDHKPSLIINAQTQELINEINTKNEHQINQMGQIDEEQEDQLQQNI >CAK56477 pep:novel supercontig:GCA_000165425.1:CT867986:600663:601231:-1 gene:GSPATT00004571001 transcript:CAK56477 MHLNNLQNSKPLVNNSQPWKPGHSISRLVNKYTNTHEKEISESNSKLLRKMMEIQERNAG FKQPPTHGSLENLRKKEQNKICSENQTILKRLQSASSAYSKKNWVSDIEKVKKYRENLQR KTRTNDDLNMLAAQEQVKRTLHSQSSSRKLKTSQGPRTTTYESLY >CAK56478 pep:novel supercontig:GCA_000165425.1:CT867986:601533:602458:-1 gene:GSPATT00004572001 transcript:CAK56478 MNQQIVSNSIQEITHYNRVLEGNRRYVAKKLASDDNYFKNLSKGQSPKYLLIGCSDSRAP PNELTETDPGEIFIHRNIANLMIPTDLNSNCVIQYAVEHLNIHSIIVMGHTCCGGIKAAM TQQSVGGLLDLWLNQIKIVYEKHQDLIESLESEDDKVNCLSSLNVRAQVMNIWKNPIIQK SWQKGNPIMVHGWLFRVETGYIEELLIDSQTPEEMSKVFALKFKLDSERILSKKASRQSS PQNSTRKRFQLMQKKITQNLKKLKENGGRLEQDIELQLLGELIQNSQQS >CAK56479 pep:novel supercontig:GCA_000165425.1:CT867986:602830:603222:1 gene:GSPATT00004573001 transcript:CAK56479 MRTKNKHKLKDEVSTEIELYNKEKIILKRLAENQNIEYLVQNQKQEIWKRCEEIDLELIN IYEENQKQFPKILGKTLIRICDLEVKNDQKYLRVQLEGENQLQLFPYSFLKLHVPHQLVQ FLLSIYNPYL >CAK56480 pep:novel supercontig:GCA_000165425.1:CT867986:603240:604058:1 gene:GSPATT00004574001 transcript:CAK56480 MSSTLKQMVFPPIGKHIEDLQHQLKDSVQQYKADRHREYKDIKKKRLKEINEENKYKIFH RKVFNSQSKQYGGIFEKEKDIYLCKEPLINDYLKYHIIEPQRNLEKGLIEIKTGTKEFLD ASCFGEVPLQKLGEKERKLREFWIKEGERQAKIRFKALIDSQTGVQKKLNQSKRLKELKV FIPNKFTLDLFDEHPSQILALTQLHSHSKTMKWKPNSFYGEVFDNSFCKISDQNQSILKD IEKTEQLE >CAK56481 pep:novel supercontig:GCA_000165425.1:CT867986:604060:605783:-1 gene:GSPATT00004575001 transcript:CAK56481 MHQKLWIPIPNDFKPKQEHLIIQGEFTKPNGKTRLLYGYDHYVVCVKDGQFKKCIKLEFD TKFEILRQAIQKKDEDDDSLGPIIGIQFLRDNAGIMPTYKLSASSKLILEWRQFLSPRIN QWQFHNMFRVYKKIGKGNFATVYMAERIEDGEEMAIKAFAKQAAYAEENGKEAILNEIAI MRRLHNKHLMKLFEVYETSNSLYVALELLEGGSLYDLIKDKVPINTKQIQQIMVGILIGL QEMHKKEIMHRDLKLENILFKVSKKMESVVIADFGLATHVNEPVYLYCRCGTPGYVAPEV INIKDMKSKYSSICDIYSLGLVFYLLLTGKPAFNGKSYATVVKQNREASVDFEIKQLQNA PGAAVDLLKQMLEKDPKKRINAEKCLHHAFLSDMAKIMLEAEKEDQQSIDEIDEGNEIQN MMNKINDEYSKFDATRNINSPLQSPKQSPGLLMQKQIQQQKQIDSTKVVGHDSPLLKGKV DSIDSAQSIGTPTKKNNQQYQPSPQIKPSRFAKQAENNPLLKYAKKD >CAK56482 pep:novel supercontig:GCA_000165425.1:CT867986:606291:607898:-1 gene:GSPATT00004576001 transcript:CAK56482 MILNELIKKKYWYINKNWRVRHQILTIQIASLILVFALLTAIIIVGQILVQKSIKESADV IFIRQTKAELNSVWMYKNNILTLLNSASNQIQLINKLNQYFQSTSFNTVDPVQCLNKENT NDTYCYSSSFCFGIFNTTCTQDDIEELQIVYKITSILTQFRNSIDNTQALYFSHANYAQF YTLSQGFYFKPGFKPHSRPWYTFHRNQTTNNTNLSQLIYGNPYQIFLTGGGIRIAMTSNL ISLNQEIEGVIAKDIDFNQTKAFKYQDDSTTVTIINLKGQVIYSRLYDNPNQTIFSITDQ NYSGFNQTDFEQIVNYHNQLNYSSSCSNIQEYKNVLCRYNSKLNDSSIIQTATINGTPYI LLLVKNTNYLKLLQYLQLSLIVDQYNSIIQQHLIIFLSITLLIIFLTYMVSFLLLNQLNI IIMQTNAHLFNKQVKSFNNGVFRNKYYFQSTEVSNLLLSVIGLMHNINSNKKNEECLHEE QKQFPQIIKMNKMVRLVIKGQIGKMQNRLVQNQYNFDNSDLKIFINYFRQTKNFT >CAK56483 pep:novel supercontig:GCA_000165425.1:CT867986:608470:611070:1 gene:GSPATT00004577001 transcript:CAK56483 MSKIVPLPIKSSAIVIKEQEDDIRPSDPVKANQYMLQNMFFKQDETGHPTPYEFTVGLDE FKKHGLGLYLYFKFLEYTIYVFLIMSIIASIVCYFNSTGNGLDAWKNLNLLQKLSVGNQE KIKSSTGTEYTTSDISDFYNNSQTWLSTLTWMDFSYTLIFILFYLAFSYWSNKEIKESMV KEKVPANFSLGVSIQQQQQIKGLREDERDPGKLKGFIEDLGFKVKEVKFAKNYSNTLLLH KQEAELKILIKGEDIKAQFPDCDPAVKQKYMKQLQEIQVERETIIKNIIGKRLRHDEYPT LMAFVIFERIGDKNLFIKEYKANTNYSVFQTFCCGKKVDDKYLFRGNVLKIIPQVDNPSN INWENLEVSSSNRFLRQILVGFGVFCLMIITFFIVFAINVVSKYSPEDCEIRDYTYENTQ KWISEATTSSDKTQIQQCFCMNLSLTTLYADYFNYCTDIVYQYTGIQALQIVSAFVILIV NSLLQLLIKSIVIFERYQSLSKKLSGTLTKLFISLFVNTALITLILKANIYGFKLSYYLS SPIPPLQSAQQKEVFPSDFNREWYAVVGAAYVNTWIINIFSPYFVDFVLYPIRNWFRKRE ALTAKIQRDLNRLSVGPEFNLDLYYANLLNTIFVTLFYSSLIPLMLPLGFFALVMHFLVQ KFLLLKYYRKPPSYDEALHDSVFTLLPYSIILHILVAIWTYGHPYIFPSSSDALVENGGL LDVSKDSIWIRISNSSQLSILGVLFVIILVIKYFLINPLSYLVKLCFDSEAVVVDFAQEE TYDQIYDQISSIQLATYEIRENENYKDLVYSLDSDQRSPTQRKSEEERVPLQDI >CAK56484 pep:novel supercontig:GCA_000165425.1:CT867986:611281:611673:-1 gene:GSPATT00004578001 transcript:CAK56484 MYLKFNLKEIENKQQLSPLINSNGTIKKVMKLEKEWQNQKIEKNQNMKQKNLRQLQTQIQ KSYSSMKIERCHYCLNMNRQAISLIKQMMMKLKLNFIKLKNLLNYQKSLMILFVFENPND FELDEILHHV >CAK56485 pep:novel supercontig:GCA_000165425.1:CT867986:611799:612159:-1 gene:GSPATT00004579001 transcript:CAK56485 MNSFRRVVTKTKTNIFLHKVSIDNVLREQLAALRLMQPKTQALKISNEDSRSTTKTMQIR DFSMKLIKTIYRKNDDVEVAGYQKILAELIYINNKKRSCYRDSRDTAIK >CAK56486 pep:novel supercontig:GCA_000165425.1:CT867986:612331:613735:-1 gene:GSPATT00004580001 transcript:CAK56486 MSREAQQKVFLNRFVVKKKISSGAFGVVFLVLDKQTNQEHALKLEKEDNEEMRSLEREVE ILKQLNEAEGTPKLIWFGTEDEFNLMVIQLLGRDLSFYFRQQKKFSLKCSVQIAYDCVSI LRNIHSRNVIHRDLKPENILMSKDNESLYIVDFGISKVYCVQGEHMYILPFRKDKTFMGT PRYASIAAHQGHEIARKDDIESLFYVVLYFLRGSLPWQNLPVSENERTKAVGDIKQNIDL NDLCANQPHELVEILQYIKTLSFADEPNYQYILQLLNQIAENNQFILDGIYDWTEGLMKS TKKFSSLESKRSFEFNKIKEHSPGPVMKSSSKLSNQIIWTINEQPQHGQFQNSQQHLLQP PDPNKKPGQRSDFRHLSNSSSNSNVGSFSSMKIKYLPSQVEIEKPSLFPKWERTCVQQDS QYQDWMDENNFEIPLEMKYKNYTKIQLLEYVY >CAK56487 pep:novel supercontig:GCA_000165425.1:CT867986:614219:614660:1 gene:GSPATT00004581001 transcript:CAK56487 MQSQEINKDQQVENVEVSKPGDSTIFKSLLTRIKEQNLPFTENEHMAIQDIFDILLQYSM IRGEKNQTIICFVQYLISQLSGNPELNKLSLGYIAEKSQAQLFAVKRLWNTTISQEVFLD QIPKWQGQRPISELKSIE >CAK56488 pep:novel supercontig:GCA_000165425.1:CT867986:614754:616380:1 gene:GSPATT00004582001 transcript:CAK56488 MSHHMPSNPLIKQLCSNHPTEQFTNFCQNPECLKPLCPECIESHTKYHQQVQTSADIDSF KNVKQQCLKKISTGIQEIQKIIQDADQYGLSDIDDQTIREIRKGKEIAITLVTEHFSSLE EQYKSFLDQQSNSQQTFNQLQESIKNTMNELEHLNVGLESSNLIQFIKKICTMDLKKTLL KYKKTMKNLQNKSATQQIMVQLNQANIQHIQTALNNYAKLDFIPLQNTVFLSQSHVSQYQ ETYLQQNEYFRGKNKLLHFFETGKSTIWLYDLSLPDQNWRPVQISNYVEVLPYSKSIMTP DGQIYLTGGSLPNNKKSGKIYQFNFSNYQLQEVGQLTYGRSSHGLTWKNNELYLIGGYMD NLVITQNCEVFDCINKRTRRLPNLNNAIASPSVCIFNDAVTVAGGLVQNLKINQQIEFLM IDRWVTCLITSQYHLASMMCSIQIDQNQLMIFGGYYENNKGSKECMILEINETIAKVVEA KQLPHAEGFWNNTPLIYQGRIWALQNVIQDIHGNCSQDQRRILIFDGQNWKST >CAK56489 pep:novel supercontig:GCA_000165425.1:CT867986:617106:617441:-1 gene:GSPATT00004583001 transcript:CAK56489 MNKYRGKYDDSYKVIKTEGNQDIKSKITEQHVNRLTTRKVPSITSQLIKFPIQNQEKKAK SPILKEEKILDLLCLSTQQLKQVFKQPPTISTRKSIKVIRNTSLPKDFFTV >CAK56490 pep:novel supercontig:GCA_000165425.1:CT867986:617441:618328:-1 gene:GSPATT00004584001 transcript:CAK56490 MSQKKQKIQVEQKVPENVAKKTQRDSKLRDAVAKRRTERLAANKTRRAQWEKTAQAYEAE YKAADKSLVDNLRKAKTEGGFYVPAEAKLILVVRIRGINTLNPQVRQTLRLLKLRQLHNA AFVRVNKATIEMIRKVEPYVTYGYPSRAVIKNLIYKRGYAKINGQRIPITNNNVIEQQLG KVGIHSVEDLIHEITTVGPHFKEANRFLWAFKLRGPRGGFIAKRRSFINQGDWGNREDLI NDLVKRMI >CAK56491 pep:novel supercontig:GCA_000165425.1:CT867986:619413:620329:-1 gene:GSPATT00004585001 transcript:CAK56491 MLFLLFLQIAYANQNEDYVRINSTISANIRYGQFIQLIPNTFGMGENNQYPIVDGTAIIN LTISSPAKNEFDFFVFDSPYNQFYVCYIHFSERSGNICQQLVSNICQPCPKNQFYFQTLV SVFLQAVMSVQLNYQTKISDATNMNSLCFLGCQSDQVGSFIIANTSYKNYQNAASYMILD NTPFPNLVDCNITVKNCQNASSEIENQVVDESFKNFCKIMRNISCVQQFQNITEPEIQFD IDFDIQITPNNNLYYVQYMVMIILVIIIIFLFIILCVIAMRYKRVKKKIYQTIKKKK >CAK56492 pep:novel supercontig:GCA_000165425.1:CT867986:620339:621726:-1 gene:GSPATT00004586001 transcript:CAK56492 MGNQCSAKENSSSEAPISRTTLSRISVQDKLLKDNNAFINSCTETYFKKFHKKIVENDIQ ELCKNMNQKKLFVKIKGKYPDDGEQQIVYKKISNDMQQKGKHLYNQLILHYSQFLNELFE FQEEFPLKSMTSYNYGDVKTSTQLVRNVSFKRSQSENCRVQQPSLPDQNVTQQSINNQNI ARQFFENKQLAYLFLEQLSCIFKLCSTIIEYTYGSFISSVFGTDIDAFTDRQLFVNKIYQ KDIFKSIPYMSLLLSNALPIVYHNRSALISNDCASPPILDQSLMPLVTENIQSEHFFDLL DSTEQNIGQQSIFNEQNWNTQPYSQTFALIHNIINCKMPWLKFKLIGKLDKLIVEIFLSS RSNNQISQFIDIIQPEDSKIEVLKYILQKYMSSSKSQNLLLCYYYLRWMQEYDSVIHQKL KTFTCPYFVRFISLYEVAYNYNQKRSPLLLFNQ >CAK56493 pep:novel supercontig:GCA_000165425.1:CT867986:622791:623125:1 gene:GSPATT00004587001 transcript:CAK56493 MQEAYVLHDQYRNQSMFNYISGTSRPLYFKRPLVPKMTDTPIKLSQPQYNLVKVQLPPVK MTIERVSQQFFSVLKTEAEAQTDPYQLMIRMKIKIQKYQRCNI >CAK56494 pep:novel supercontig:GCA_000165425.1:CT867986:623315:623956:1 gene:GSPATT00004588001 transcript:CAK56494 MQKSRKEIDNEDKGREIIEDYANFASKVYDGITREGLSLDKIAGKYEVQPVALNTYKGLT ELSTTIKPSILETTINVAQFIKTIYEGNKRYFLSFLFIQRTVLIEELLTVAKIPDLPEAE QEEILMQQHEEKVKNASLEAIQGEVIAETLDMLSKELFRIKQEKRIAQMEKSAEEDRRLR EIKEAGTRQAEQILRDRKICLIQSNYESSLRNC >CAK56495 pep:novel supercontig:GCA_000165425.1:CT867986:624038:624299:1 gene:GSPATT00004589001 transcript:CAK56495 MTNLRKQKMNTPVEYFERKYNSDETIIKDLVQQFLIPNCQRSKLQQQIQIEERRFNEAAK KLIQATLSQAAQQINQKC >CAK56496 pep:novel supercontig:GCA_000165425.1:CT867986:624483:625986:-1 gene:GSPATT00004590001 transcript:CAK56496 MIKSQINKAINLSQSILDDSPEFWIQRPQILIQFQLSDELSIVNRNRLIQKTIYFGGYYL KYGDDKFLDVRNLFLEIVYHPRTSQTGFRLSKNGEKLDAYGDIQNWVEVLRKYTIQKNLQ QKYKIIKKIGEGSQFQVFKIRDKLTGQQNAVKIYDKLKLLNSPYLLDLLKKQIGMKRQFD HKNLIRLQEVYESANHLYVVEELVEGGTLESKISSTTFNQQQIILIIKQTLNGLQEMHKK GFIHGDVTLKAIGFKSEQDMDSLCLLHYSKVMSINAARNSTKKMYSQRPSLKNDKAHTSD LQQLGIILIRLLTGYQFNQFNLPSQMDDIKSILNFQQTSKELEILLQQLLLIDFELQLSD TNTPLEVLKNDIFKKQIEPQNFILKYQNLNDKRRHSEQSNSDDESMEIRSRVNTLPNIQD RNSESRSLSNRVKNMVSPLKISKFNKIDSGLKPPSQNAQKRPSNLYQLPRMNLMPKIKQK VW >CAK56497 pep:novel supercontig:GCA_000165425.1:CT867986:626532:627035:1 gene:GSPATT00004591001 transcript:CAK56497 MTDLKEKKVEDEQQTKKIRMIVTSRSAQDLENFTNQVIEKTRGIQRDQGAQVVFKGPVRM PTKHLKMTVRKSPCGEGSKTWDRFEMRIHKRVIDFQCTLPTFKDITNFKIGPGINVELNV EQQ >CAK56498 pep:novel supercontig:GCA_000165425.1:CT867986:627397:628918:-1 gene:GSPATT00004592001 transcript:CAK56498 MLLFIFIALASSLRIQKNFFISNIERTVQLTDRYVYIKSKINFKNDGVIPIEKIYYTIHS ALSDKLYHVNIEESNAKRVIEDVDVKYKQNATVYEFTLQKSIEPSTDQEVELNEYYYKRF KPMPKEIGVEDEQLVQFMDSVYFFTPYFVQNQITYYETPKIISYTLKSATQRNSLLEFGP YQDIKAFSTQIHTIHLENNTPMTVFTKASKIIEVSHWGNILIEENYSIENQGAKLKGEFG RVNFNKYNPNVGKHSLKQLSASLPYDSWGVYYRDEIGNISTSNAAKGKLNGENQALIQLR PRFAIFGGWRSNFTLGYNLPTKKYLEQDGNNFKLTLNFPFSVKEMVSDSYNFQISLPEGA YDIRVDLPLQVERNDTLLFSYFDTVGRPTIILDKTTTSQFDNKQIIITYKFSGISILREP LMIFGTFLFAFLLIIYLRRLDLQTLKLKE >CAK56499 pep:novel supercontig:GCA_000165425.1:CT867986:629543:629795:-1 gene:GSPATT00004593001 transcript:CAK56499 MNDEQSSFELSKSKKISKVIKKSKETKSNNQNSSQKQTKKKIFLSMKDIKDVQYKKNGIP NENKSEVVVTKQEEKN >CAK56500 pep:novel supercontig:GCA_000165425.1:CT867986:630521:631457:1 gene:GSPATT00004594001 transcript:CAK56500 MNQFNQNILFAFWQSTPIVNKLLIIFAGIFWIIELFIGDQLKFQPISLQYVCIWQLITSA FVQGSLVSIIFNLCCLPDLNNTERRLGSILYLLEFIIKNSIGMACIFLIVRLYCLFDYDE EMMHSNHFGFWNVAVYFITTKALHKPNEETEFLFFPLVLKSRYYLISLLLFLQIFKQTRC SVLVFAFIAFLEFIIYKGPMFRLPKRFIESIEKKAFLQKYISRQDFIPIDQAYDLVFSGT QKIEFQIENLNKDLELSPTGQMSNSQEKVQTEDDSMQIK >CAK56501 pep:novel supercontig:GCA_000165425.1:CT867986:631837:632710:1 gene:GSPATT00004595001 transcript:CAK56501 METAIEGFLKKVRMQIEQKFAFKYCPIFQIELSPNILDWTSTDFYQFSQSLSHLDFESKY FDKALSEKLDQLNSMKFDEKSHEQLFVPNQSQARNKIIISGLSAKINKNYGMSNFQRQIL RENVFKSIDIRDNQLIAGTDIEKLSILTPNLLYLAQDQIKLVFKYEGDLLYKDGNSKFGL FTGTLNKCSLSEEDNEGNLILINDQGYYVQKKTQLLEQDEVELLFPDSDNNTYMLVFNKQ IDNQSLSLINLRNNKKAEIQIKRQLLYAYFCFSLSCEAKIQIL >CAK56502 pep:novel supercontig:GCA_000165425.1:CT867986:633542:633974:-1 gene:GSPATT00004596001 transcript:CAK56502 MSQERLEQRPETSKCSRLEKRRMTNQNSQRNRTAIQSRTAQNFNPNSYNGMTLDEYFRKK GQDNSIQQVEKKKKFLVTSNEFFKEFQNQDAMRRTYMGDSKYMNMKQDLKKKIQDYDKDL FQKYANKFDLGNGMGK >CAK56503 pep:novel supercontig:GCA_000165425.1:CT867986:635614:636077:1 gene:GSPATT00004597001 transcript:CAK56503 MQGTVQQVFLQFTANKPEMDGKTFAKVSKDCHLLDKKLTSTDVDLIFAKIKPTPAARSIT YAQFEKGLQMMAEKKGVGIQDVQNQILNAGGPHFQGTKADAVKFHDDKNLYTGVHANGGP STIDKNHGGLNTICDRSQADVRGVKK >CAK56504 pep:novel supercontig:GCA_000165425.1:CT867986:636545:638028:1 gene:GSPATT00004598001 transcript:CAK56504 MDEKIKDSFFDDPETTTFWINSSLPSQDQILHLQDSMYIQKDQEFHKCTLGIQYHNLLYF KQQTVQKWVNLNNSTMEYIKHQTAGVGIRISKHKQYFEFFGDVEPWYNYLRQYCVQRNFS QSYTLLKKIGQGNFAEVYKATNKLDGAEYAIKCFRKSKLKEKIDRLSMIKEISIMKKMQH ESVIKLYEVYEGPECLYLVLEYLRGGEIYQYIKKSHPFSEEKCQKLIYRILKAVHSIHEK GVLHRDLKPENIMLRNKDDLDNICIGDFGLADYYSPNGQYLFTRCGTPGYVAPELLQDKL YDYKVDIYSVGILMFILIAGKSPFDGKDYDDVVLRNYYAKVKFEDCKLSEVGMNLLKGLM NKNPIERLSAEDALNHQWFVKENSNKCSQFKIRKQNYVLKKLTHGELQIKKSSTLSTQFS PQSSISSLSPYGITKYNLDDSPKSPNTPNALHPSLASKSTGMAQLRPVRRSQFTLKQI >CAK56505 pep:novel supercontig:GCA_000165425.1:CT867986:638659:639816:-1 gene:GSPATT00004599001 transcript:CAK56505 MGTCCSQQQGGEIQNLEYRQFEPIVMPTLVPQQINFEEYREEIVKIQSSMRKKKAKNVAA QIKEEAEKERPGDTWFQSKEPKHIEQNVLITQNKLGKFKFDKKLPQEFNECRFFVAHEHK RNQGNIYVGQWLSRLRHGRGKQYFADGSIYEGYWKFDQANGRGRIIHSNGNAYEGDWKNN MSNGYGVFYDFDGSRYEGEWLQDQKHGQGREILVDGQEYEGSFFQGKKQGQGRVKFANGD IYTGQLENDSITGFGELKFQDGRNYKGQFKDFKMHGKGHFIWPDGREYKGYYCFDLKHGE GEFIWADGTIYKGEFRDGKQHGKGILIDKNGVQNESYWCEGKEKRLKSEA >CAK56506 pep:novel supercontig:GCA_000165425.1:CT867986:640490:641974:-1 gene:GSPATT00004600001 transcript:CAK56506 MKQNGGYQSDHSKATFFNDGNHLFMQLVNENLQFHDQGSFQMKYINRVTQINVLWAEDYL KIVEEKSAKFIHIQNAYLRVVMNQKNQQFGFRISKNGQQAEFYGTSLELIENIKKYVIQS EFQKKYQIIEKIGSGNLSSVYKIQHSISGQMFAAKIVKKSVLARCSKFEKDYFLNEISIL RQIDHDNLLKLEEIHEGEQNIYIITELLEGGTIKEEILNNHFKEQEMIKFMHSLFNSLYA LHKNNIYHNDIRYDNILLRDPQDLKTACFINYGKAMQIPSKNCSLNQNNHQDQERIVNLC IKRDIYSLSTILLTVFTKKVYNLNQVVDELLMKNFQYITQTEYMELSLPLQRFFEMIFTD KHKIQTEFLTCEKILGLDIFRILQKPRNSQNFVSKQQFPQLPRRMSKFVPCQSEREKLDN SDNSVKLPPINRDASTSAEKSLSSSPKSQCLLTSHLNTIKKKNKLKLINKVFKQNDL >CAK56507 pep:novel supercontig:GCA_000165425.1:CT867986:642999:644454:-1 gene:GSPATT00004601001 transcript:CAK56507 MGNCNNSKDVNFDDALLPCKADFALLFVIGRGGFGRVWKAENKKSKQQFAIKEMNKCKII NKKSVSSVMNERYLLSNLRHPFLVNMHTAFQDRENLYLVMDLMQGGDLRYHLCKQRKFDE KQTKFFIVCLLLALDYLHTNTVLHRDIKPENLVFDRNGYLRLTDLGIARIWKPDNDNDTS GTPGYMAPEVMCRQAHGVASDYFAVGVIAYECMMGKRPYLGKTRKEIRDQILAKQVLVKL NQIPKGWSEEAADFINRTLQRKPVNRLGFNGPEEVQSHPWFRDIDWNSHMNQSATPQYSI NINNDNFDAKFANMKEDEDYESNLLNGQLLRRQSIQDQFNGYTYDQTITQQPILYSLTTK QTVPHLNTQQLTSGKRTNIEETNPQLSQISQKKYNLISQTPRQQSKTMLTNQKHQSDSNR FTNRLQFN >CAK56508 pep:novel supercontig:GCA_000165425.1:CT867986:644700:648047:1 gene:GSPATT00004602001 transcript:CAK56508 MILEYALNEEDDYKRMTNITRGCQQLAQQLPFYSNFTNSNLIRQLFCCYPFQQEPVKLLL SIMMDRFQNVEFLNSFYKGLLEIALLQEQKAMLSSRSPGRGRKSFSKYLSYDIRNIKWIV RLGKKLISKQFAVSFLKPMFLLVQQLRQSLESRSGIFMTFIFNTRDEQVIKLVVDNFEEC KSEIFGACTWVNRIDEQIVQFFYSNQIIRSSNIIQVDQDGVDEQQESSESSIEIDPNEEI QKWICQKNTPMVNEQLDYFRSFEYLDLIFKYMFDPCHFSAIHEWEHHYDDIQIKHTQNSR KLNQNKDIDKLLLLRSLKTIKILMHENNYPIITKLVPQFLFKLFHYIYDSLNDYERNIDL NQIAFILDFLLQTNPRQSILMIVEFNLMFQLVSMIYNENIAMLVQKIISDEYDMGNYVFD HLWQYLDCTNWIQYFLSIALTLQVDTFRANKNNQSDKTVQIIGLLKQQINYDRLIHQEEI NVDEKKLLTDYLGQLQAGKNYIQFYSMNQLNWHMNQNVKENITVSELLGKDVDNLMQFRT ERQMYQSKVQMSIREKLFVPQMTQQETKFIRGNSKRQIKETLQQRELTNKQIAQTVKQLP RLTIGNQELSKWINAGKNQINLSVAMSPKRTPRSFQIKTEQSTDFSSFYEGFSSGRLKGL YPSPKISIQSSSFERKAKKFQSDVKLLPSCISILKQIIQSIFQNMMSLTSQNKKQAQRLK IEQDSILPSFLNKEMLSQLFRVYLYDINNQDKTISDASCECGLIINEIYLNCKQHSELHQ YKSLLKSCFYEIGDYICKVIVKLHDSEQPSKFKRHLLTSTLQEGLILFGEQQDTPKNIFG ILNETALHLLIIWFFDSDQANTYQQTFVKLFTIIFSRAPQYLLGIILFKLGLISSLQNAY FNFFINSVKFTTGAESLFYYVSVMIYAIKRSLLTRKTESILSNLEPLSSWKQFKEVEIDN NSKFVQHIESILQEHDGKTPRQIIKKKTITIHSKNVMQSRRSQLQMQFQNKVRITMQQTT NDIVNLMRVKDKLLHNKKIMPSQNNQ >CAK56509 pep:novel supercontig:GCA_000165425.1:CT867986:648065:649406:-1 gene:GSPATT00004603001 transcript:CAK56509 MSKCVNGLRSRLTAIIGAQWGDEGKGKLVDILAEKYDYCARFNGGANAGHTIVVGGVKYA FHLLPCGILYQTCMNVIGNGVVVNIPTLFEELAQLDKNRVDYTGRLVISNRAHLVVDGLL EADAKSESDSRKKFLGTTKRGIGPTYSAKALRQGLRVGDLLHWDTFLLKYHSLNAKLREQ EGIQIDTQKEINTLKDYRDILINRNMIVDTISLIANARKDGRRILAEGANATMLDIDYGT YPYVTSSSTNVGGVCTGLGVPPSAIETVIGIVKAYTTRVGEGPFPTELTNETGKYLQKTG HEFGATTGRPRRCGWLDIPILRYSIQINGHSSINLTKLDILTGLEEIKIGVNYLLNGKVI DYIPAQLEELAQVEVEYITVKGWKQDISDCKTFSELPVEAQNYIKKIEELLGIPVSWIGN GPQREKIILKD >CAK56510 pep:novel supercontig:GCA_000165425.1:CT867986:649430:650773:-1 gene:GSPATT00004604001 transcript:CAK56510 MSGKTRDHFGTLKSAGKTVLKEESAGACIQPIQYQVPETPPHIKKYRKSYKHQFGCSILH PGLVDAPKPQGNWVYGRKTDLSDKAGELFRQQPQGIRELINEINEQKYASHIKEPLGTMP TRNYNWPEEAKSDGFAFGQKIPPSEYSAKEVVFPPDAKRDEERTRLMYLKSHGNFEAGEQ KNREYNWKINPNDYRFGKKEDREQEQMKKILQHEITQNQYPKTTIISKNQEDWKNYNEDP LGKPKNQAQLNPRMPQIFGEMKKDEQWTAGQCINGQPTQKEVKPDLDLGKATKFGFRNQP KPGDETRAFGVPAIRNDINKKGMKSVADPQNYGDEVPAVALLFPEKFSHMGLTEQDFLRL RTKKEIKEIFESIGIKYGIGKFEGIFKRAREIQSAYDDKVSVKAFQLAVQEMHHID >CAK56511 pep:novel supercontig:GCA_000165425.1:CT867986:652034:653174:1 gene:GSPATT00004605001 transcript:CAK56511 MNTTNIDQYLDSITNQDQLLLIQNVVNCFKDPREEIKQVGEQLMKQLEQRVKQQNQNQNQ NAYEEQKESLKEPILYKKKKMGVSILSLDGSFILTDKETTQMLEWQFNKNKQKRLQDSCA DAGRTNLYKQIKSEDQLLATDENEKTIKMTIYSELMRKKGMRWLSTKWSEKIPIDRIKES LKEIQGFNKYDKMRFKFLKTLSIELIRGRIYKKRLDKKSDNQDILTRPQLEYISRKSADL DDFLICKITNDDTVEQIDESDMANDKILAIKEIKWQEKVNSFKENKNKIEKQLEKIEKQQ DKFEEQQEKIEKPQKKIKKLYKKIMQQSENKVSHTIDFQLFSESFQHEYNSYAQNALADK HLLI >CAK56512 pep:novel supercontig:GCA_000165425.1:CT867986:653653:654201:-1 gene:GSPATT00004606001 transcript:CAK56512 MIIYNQMLYLKTQSQPKLKQQPIKQKNLDDNDSLSHQVQKQDETTLIKPISSKQTKKQSK ALEKKAACTLENVKEQKQETVQKKKIFLSMMDIKKAQYRKFKIIEENNQKKPIAVVYDHS >CAK56513 pep:novel supercontig:GCA_000165425.1:CT867986:654403:655610:-1 gene:GSPATT00004607001 transcript:CAK56513 MISFSSPVLPDSWMNSNMLLLSLIAPPENLSPEYFGSLLTQYGQVSQVTILNKSLMDYKV LVEMGTPEAAKSAKSFLDQLSSNFIKCSFYSDEKPPQSGSLNNCSLDLINDSTMSSLRNR HSTSLNEIVQEDDKQQPSSKRKINSSTTLQHTTTLCLNGIKGKNLDAQKLYNIFSNFGNI DKILFIKYNPEQTRLKNFALIKYLKEEYSIFVFENCQKLQFFDSTISISFVAEDAIEKLL SLDTLYNEQDYYVGSQETDRFNSSNKMNLLPPSQVLHVSNLKKVSSNAETMWDVFSEFGI VEAVKVLNTQFKFMCLVKMENLKQALEVMALMHNEEVDNRNVQISFTKARI >CAK56514 pep:novel supercontig:GCA_000165425.1:CT867986:655705:657051:-1 gene:GSPATT00004608001 transcript:CAK56514 MHVVIRLQNHGCRNHKFWWIVVAPRKRNVKGRFIEHLGYWVPHERKVVQRSVILNKPRIR YWLAQGAGVTPKIHRFLSWIDLLPPPLIKFGSKTLYEKPKTPISVDTFKPFNRPFQSSIE YQFLDKINENQVNNDLKRKILYSQQKVEEIPATSVELEKEWDRLRAEVYQIEKDNKAVNP EKKELVFKKINEIAKQWFTEKQMEGLKQLSQEKANIKVDNKNLKEQIMIQNLAIQTQKSL EDKQTWINDLIPLNQDEAFRYILKVRKRVRAARIALKRIYDFAYASSQVVSRAFIDDFLR NRNGRQKVVPNEQHKDLKHDIIETMHYIPVNRPVHPLPDFEAYDPEEYTDVKRQSEQLIK NKSYSIPNVYLEPDQVEPQLNRHTGGYIKGQGGRKTKARAMAKISTLRKKAKNAYQARFG IRK >CAK56515 pep:novel supercontig:GCA_000165425.1:CT867986:657437:658935:1 gene:GSPATT00004609001 transcript:CAK56515 MINISKLIKQRQQYSTILEDNSKLFIKPIDELGDVTFRGEFLIEKNGKLKKKQIQFQQHI LVYSKNKHINILNATLLLHLTNLNEIEGLKFIKSGTSIDIYNNVIELYNYARKYCIQRDI PERYKITSTLYYGSNATFLQLESTLQHKAVYVAKIYEKQNYTTQDQVSGLKKEVQILRQL NHSQISNLVEIYENDELIFLIMEELKGETLQGLLENSQEFNESQIKQIMRPLFECVAYLH NNNIFHRDIKPQNIKFRQSDDYLQPCLIDFSLADNWNKNGRYLFTRCGSVGYVAPEVLQD KKYILNIDVYSLGVILYILATKKHPFEDAEHSKKILKNYNGKVDFTQVQCSQILLDLIRK CLEVDFTTRPSCKEILSHPFFSNRIPKLLSFKIKDQRKSTGIKSARIIHFNSANNLALEE SMNSLSQSKSPSSFGSQRRSLKLQKQKTVFPEINISQSKSKYFQTRYTQYESLN >CAK56516 pep:novel supercontig:GCA_000165425.1:CT867986:659049:660732:-1 gene:GSPATT00004610001 transcript:CAK56516 MKRLFHKLNLETEKKITYEQTHRKCFFQKDFVRMQWNRSKIPKITTELNVVQIVVMESKQ HQKKIQTIMVHENIIVKQKKDGSLCWIDYENSILEMIHNAQYGDGIRLIKCFDQFEMFGD LDRLMCVLKKFTIQLENFRIIIKFLRRLVPMIKQRIRSRKDKNDFQCKIYNKKLINATIE VTLEKELRILRRINDENVQKYIETFENQDQIIIVQELIIGGNLDQYLLKWPLLSEEKASK LFFKLFKSLAYLHSKGVMHRDLKPENIGLRLNGNLDNPCITSFGLADTVRITHDSDSDED VPKYLFQRCGTPGFVAPEILKNQEYNCKVDVYSVGIILYYSLTGKKPFDSQNYREIIEKN EEGIVDLSPLKLTKEGIHFIESILQPDPKERITSQMALNHIWFRNEKISKLMELKVNTKM KNIQFKSPQYRQSIQNHKNLPPLLAIKQGLDQPYGSPRLSQLTSAQRSSLYTNSTRRISN LNVSFSPKDINSIPQSQHHSLYTKLNQPSPSLLTNSQRPSQSLSFQYPFMKQKVKHKVID EN >CAK56517 pep:novel supercontig:GCA_000165425.1:CT867986:660966:661783:1 gene:GSPATT00004611001 transcript:CAK56517 MSIFHVTDISEKPSSYTSQLANKDINYQALRSEVRTSIIDKYNHFEKLGQKPKSPKGGQQ SKESPRKHQNTLRTLDILFGKPPQNQEQVSQSSPAKISGLKKKMQQIGTQEQTGKFHLST GAQDFKSTYYDQSGAKHSFLSPQSRNTQDGLMRSGSLTSKFYPERGTQLSNAVPIQRLVE IQNLLELTPSSELQTLPRNYLDELQRVASSIQRNLKHHNDIRLKQ >CAK56518 pep:novel supercontig:GCA_000165425.1:CT867986:661783:663425:1 gene:GSPATT00004612001 transcript:CAK56518 MSGFKLYRSRIILALSSQAYKRAHKFSSQTSTEPEFVDQPLNKQIKLNDQSFIKIQQNEQ KTSNPPTQNIETQRINQNLQKTETNPIQTKEKQENSAIKIPLQNNNSINHNNSQTQKASN QNTTIDKDIVIQASKHEQKKELPLFNGQNKLQPNNSSLQIQQQQQVKQNGHQMEQMNKAV KKEIKYFKACGLHNIGNTCYFNSALQCIINTPKFNDKFLSDEIKQELNPKHKQVALQYWR LLKQMRTTNNTSENPVQLKQAISSSTKKFGGHRQEDSQEFLRALLTCLHEDLNRVTGKPI YKELTADLNKQSLEDISNTWFNYFKGRDNSLVTDYFTGQLLSRVTCSVCKNQSLAFDNFQ DLSLAFPNISGNQITLEDMLKYYLSEESINQYTCGKCRQQRKCTRQLEIWRLPDILVIHL KRFYYKGPMKKKINIDIKFGAKLDLGQFIINSSDKSTLNCNYNLYGIVKHYGDLNFGHYF AECKHPFTMKWYQLNDSSVQEIKPKVSYESDNSAYLLLYSRI >CAK56519 pep:novel supercontig:GCA_000165425.1:CT867986:663680:664509:-1 gene:GSPATT00004613001 transcript:CAK56519 MFQTVLTLPVIFFGHGSPMNGIEINAFTLKWKELAKTLPKPNSILAVSAHWETDGVKVTG NKIQKTIHDFGGFPKKLYEQQYTPPGNLKLCERILQLIPDAKIDNSWGLDHGSWTILQQI YPDQDIPVIQLSLSTRLSEQEHYNIGKKLAPLRKEGVLIIGSGNIIHSFKEMEWTDTAKP RPWAAQFNLQVKDMILKKEHDKLINYKKLEHGLRASPTPEHYLPLLYILGLQESADKVTF FNDEVVMASFSMTSLILSS >CAK56520 pep:novel supercontig:GCA_000165425.1:CT867986:664531:666159:1 gene:GSPATT00004614001 transcript:CAK56520 MIKFVLSISKYTISNQLKAIQQLKINKEKFQPLELRSQLWNLIQNLTDQDSSQFIDIYKE FDYDYRDIAEFYNKNHIAHDLIISKHKKNEPKVIIDSAYQHLLLLNPTLQFVADFDYENL QQYLNEDGLRNILSETQSDTLKRAYPKIIENTFYGLYLQAINRGQDQIKLVEILKKLESI LLDEQNPNELIWKTYLFSNLQSGNEKVINYFAFVMQNVEELTQKELIVYSQIVFLFSLKF GNHRTVSILFRKLFVFLTKLKDQLSLQDRLFFLKKTQNELDIPNKQELFCKQIYEQIRDI SIQHYPVTLKGLCNFYWVEIKIEEEEDFIEKNMEQFTKQIEIIDLLFGLIYGNSQNIQLI KNLFAKLQNLMEKSSTLEKCYYYQIIYILENSYNLTLNVENKEELRSIYFNATNLKSSAE FSQANFDMDEILQSIQQELNSQKRPDWRIIKIKKQAGVQIYQVDFIVELEGIYRKRLIYL DFIQRQSYIDETNLIGKQRIRQNIFKTEEITYIDFKTWYKQQDKVAYVKQLLGL >CAK56521 pep:novel supercontig:GCA_000165425.1:CT867986:666206:668865:1 gene:GSPATT00004615001 transcript:CAK56521 MSKYQPPTKKKVTKQTVPQQSKKQEQQQEQMYIETILDPTQEAIISLIQEYLVRYQLLNT LDTLSKELASGQMGAETYHLDSELLEYFDKGQYEMFFMLWNKYLPYNLRMKDDDAKKLEF YIQIYFLIYEIHPKTGKKGGIIGKAALHYFKTYLDTKGQELSKTTEFLPYYALPYVQRPQ EHQTYKHLFSGQWILETKGKLVKLTNELLNREQLTVMEQMYQNFTDEPKKVNLTSSNQNY TTQLGMNNQELLAVIQDYNLKYNQMQKKFKDYIEQSKQTMIEAQQKWYQLNKEVTDIANQ LNKMLEESRKGKQVPQDQLDEQLKKLAKLSQFFSTSVEEIISQSQDISMYDKASIFENDL SISPIPQHSQQQHFNFNQPQIPYVQLNYSKIKSVFQDGSSDPGFICRILQALRFRITTAK GARVRREILIQYAQFDILGCIPGNTAVLQRLLVDAPNSVKEYTLRLTNAMASDYQGRSYL MASFTLIKTLIDILKKEKDDSLVRRNALGALQKLSLRRKPQVVMIENDVIKWIVKTLENE KESLSEYSYEYATALFMNLSMRDQGKQKCVEFKRDVLKVLNELLEHDNMQVRTFVNGTLY SLFSISQMREQAQALGMKERLVLLMQNSDDRFIKQIQYILEQINKVGPDELEPDEDNDVD DIDDIDDSEDTIEEEDAEDALKAKDGELVGEELLNAHFSLSHQEAIQQNTMTRSIIMQEN QNKKAMTPKSSQQQPFRPTTPVISASQLQKSQLPSEMKPRPKLARTPVGQDRPAVNFEQT EQQFQQQQQSMKSSQQNNNSQQRQPLPEIKPAIEAPLEQPSYERNDEYKNAFQHKPKIPR TPPQK >CAK56522 pep:novel supercontig:GCA_000165425.1:CT867986:669021:670448:1 gene:GSPATT00004616001 transcript:CAK56522 MIKLITKADQFGAVYRPTFNSKDAYQTFFGGLITITLYSLCISYMVYMFIQWGTHQILPR SSLSEEVKENLYMEFDYDLVQIKLSNDADPFSKVNNILVPQFYVLTNQVKSDPVVVFPNA DGWVIPKNYKFKLSPTENLDAQLQFIACSSEYIIEGMTCASDQEISDFFNSKTTISVVIY VTKFNVKTEEFYRIPKKLEFIIQKEQTIFNKYSVKTSYAYIDNGVVFPENNQYQFISDYT LATQSLQLNTQQQIYKKSIYAQFTFSLDNIQQINYITYAHLDEVLASIGSIWSIILILSY CAVLFNEFNQSEYLYRKIISFYYPQFRFLQIRQNFIGKITKVTGYGQSYDPIEFTKYYEK LKQDAAQKMKVKNILYEISRIQFILQSIQERNLIVNSHNVGIKLKLLDKDSSEFPNILPH ENQLNDNDFMLLSKQKLNSKTDPGKNKFYQLNVMNHARIETTQVNTSQDKFTLIT >CAK56523 pep:novel supercontig:GCA_000165425.1:CT867986:670493:671808:-1 gene:GSPATT00004617001 transcript:CAK56523 MICETTPNFTSLTEIYVDQNFQCICDWNAFEFTETSQKLMLIRDSEKNYNSYSTNTKFSK SVSLYVGIFSKYSDSINISINSKLQDDCLSLCKNNGYCIQHTCICLEGYFGYDCEYQGFD ILIQDYLEKDKFYYLDVMKIGSSDFSLEFKNSGKVSHQCFMENPYLRKAEVEESASIKIS AEEIMKCQLASTSSSKAQHYIIVQQYSVGFLYIQYYQKEEVDHFKTIIITVVSTGAICLC CFVICIFKCYRLRLEKTQQTNNANNIGNLSKNLKIFESSFDWTHLIPAVEYQSLLRKSPQ IKDQIECQICLDSFKYEQFVRVTYCMHVYHYKCFDKWMKQNLICPICRSPQDRKSIDKIQ VQENLANAAQSYSQSSNRNPEGHSQYIYQPRNKRYGDFPILTHNRTGGSSKLIQSN >CAK56524 pep:novel supercontig:GCA_000165425.1:CT867986:671858:674127:-1 gene:GSPATT00004618001 transcript:CAK56524 MNSRLSNLTPIRSRENILKDEQHEPHHQRRTKIVCSINEKTCEIDIIREMLKAGANALSI NTAYTHDRRQYEKLKMIRDQLEQELDHTIPINMMLQGKITRIGHLRQPEIYLKKGNIIRI TQDNKVIGDENIICVDQDELFTQAKVGDQIFIDYGQIVLNIKALETDQEAKQILMEKYPD LYPTEAMFKNSIFEQDKSEKSKLQSKSSLSSENSRGASSTQLQSKKQKYIDQIIQNKKQK LATKSLIVFICEIEQNCLMRPFKPLFITNRSKEQKQIIKQSDDSDEEIETQITSKDINDF TIAKRLDFDSITLSNVSRPEEVHELKHLLGSSTNIQIFVRITTQEGINNFDKIMEIADGC IIARAYLATWAQIEDVVQMQHDMILNCRKLVKPVLISTQILESMLTQTNPTFAEMGDIAD VVEQHIDGIMLSGETTYGNHPIKVVQALARISTNIEMHTRLQYQGLFQIKIQENPIASII AQNAIENAYSLRVKLILLFTTGETALSLSKLHAPCPIVAVTAKKTIARNLNIVNGVIPFL VGSLVGIEQQEKSIKFKINSQNIGKFQIERMDQTRRLYYQHIWVIWNIRRHNKLDDNFAY LIKIVYHIERMFFCKTIKSYVYINYFYIMCFKLCLLCFQAILIICSCKINENQFIKSQHN ILKNELQPSFINNPFWNMHRKMDLIRHLWTSIIKHTQQQL >CAK56525 pep:novel supercontig:GCA_000165425.1:CT867986:674150:674943:1 gene:GSPATT00004619001 transcript:CAK56525 MSGKYSQKESISTTTTEQQKAFQDTFEKLEQAPQFDELADKMLLQFMDKEVLEQPMKETY EAYSKFIQENKNKLSEEELLKYKKQKDCVEKIIDQLNNNPNDKEKLIQLFENMQAEGDPP QEVFGGNENPLNFLNAPTDGAQGEPCSIF >CAK56526 pep:novel supercontig:GCA_000165425.1:CT867986:675270:676096:1 gene:GSPATT00004620001 transcript:CAK56526 MFEGTRLQYIVPGYTGHIPKGFFEQQVGYYQEEKPQNHIPGYAGEIKSMKAENLFAQTYG KITYSIQHDDYYKGQDVPPESRYKSQLKDTYQNQNKVQLRTAAEIVGVKPKPIEYKIPQT ETAKTFFKVDQQGNPQLRESLENWKSEYEQHSETIDQATHKFYGDPGQKVPIPLGTPLPG YTGMQKRVVAANIFGQTFANARKTALQDDAKIKDEKMNTFKQQASFIPALKR >CAK56527 pep:novel supercontig:GCA_000165425.1:CT867986:676229:676645:1 gene:GSPATT00004621001 transcript:CAK56527 MNNKNQEVCARQKLFNDQEFKEVVKTFHELIIQINKSLKKQKRKKQHQQPHQQIQKKKQV ELKQFKRNSYHIQIAYKLYERNNKQNQIDECDPTYHSKKIRNNNCAIEVENQQQTLTNNN LKSNESTVQR >CAK56528 pep:novel supercontig:GCA_000165425.1:CT867986:676997:677921:-1 gene:GSPATT00004622001 transcript:CAK56528 MQTQIVHESIEEYKLYNKVLEGNKSYVKKKLAQDEDYFRKLAKGQNPKYLLIGCSDSRAP PNEITETDPGEIFIHRNIANIVIPTDLNINCVIQYAIEHLKVHNIIVMGHTCCGGIKAAM KQDSVGGLLDLWLNQIKLVYEKHQELINSFAEEDDQINCLCCMNVREQVLNIWRNPIVQK SWQEGHPVMVHGWLFRVETGFIEELSLEDSIPEEMSKIFKIKFKPPKVQSPSQHEDEEGN AISPMRARRRFQRMQSRIETGIRHFTIHMQNVDEEEIEHKLVENIEQDLSV >CAK56529 pep:novel supercontig:GCA_000165425.1:CT867986:678199:680581:-1 gene:GSPATT00004623001 transcript:CAK56529 MQSAVLIYNPGLQAYLCCQQNGELKLENIPYQEASHSKATKFMIQGTVNLKKAITENDDV VFKFNDANYLISELSLFCSWNGPTISQDSTWKLQRSNFPPLPDWFQQRPFISLSYLQSSD QLTQCLVKQNERKPIGSHSYQLQSIYLIEDLLYAMMSIEGQYIKKKNGSFEYQIEGHLEQ STCDLSLQQMVSKILPMCSQHDFIENYIQQKIKFENGYISQAFCSAIKELMQKYLLMVNQ LDYEFNQGEITLQKFWYYTQPSIRIINSIYVLIQSLSKQIGGTLLSTITHFINTSTDPQI VEVYSFLLQKSFLPYMKQLSNWIYYGRIDDPFEEFLVAEKKIQKSSLETDYKNDFWDQRF ALRNSQIPKFLEKYQDIILRTGKYINVIGQKESPFDNFLIKNQGHIVQNQDFSHLVSCFE WANKEIINLLFQKENIHKRLKTIKTFFLFDSGDFFIHFIEAAESELVKDIREISKEKLES LFDLAVRSIASNDAYKDDLVCYIDQYTISEQIYAIRNLRGIDINENKPPRNQKLKGIELF TLDIKVEWPANLIFSRASLLNYQILFRSLMSLNYLLKQLNEAWVFQKQLKDTGLHQKFLK QNFLLSRMQHLVKNYLYYVSYDVVEKNYTSLIQNLQNADNFEEVKEQHMRFSENCLKESL IIDQDFWKIFNKCTNFCSQFSSQIQKQNSSLMSFFKDDFVSKKVQINEKITELVSGKQFQ TLYETFIKNFDEAYRELIKIIKIYISQSKTYVVSLMIRLDYQFDYL >CAK56530 pep:novel supercontig:GCA_000165425.1:CT867986:680867:682003:-1 gene:GSPATT00004624001 transcript:CAK56530 MNMNMKVSISGYYYESADNQVDADGFNDNSSKKRESQDKDQKGELERINCTFKEALSKLY ENQKFEKAVFKAEGEYKQMIEQLLLQCEVLNDLINGSVIGSQCIILSTQKFQDLKPANIL KIYLMFRVNLKPKQDKSKLQNQLDQISSDPPQSDNIDINLQIIDEKELDQFQKRIEVLNQ KIGMNQVKSNNNGETFFVRGSELFSGSDKVNEKKDKHDFNLIGQYTLPIQESDPQNIMAH TQLLKIQANLLRNDEEMIQKLNRIYEQQESLNKTEQQQDLSNQVSMIQKKHEFTLNSIDE IPKILSRLISLKQLHQQTIFLPQQLEQTSQQLDWMLQSLDEGSEIISILEKQIK >CAK56531 pep:novel supercontig:GCA_000165425.1:CT867986:682023:682885:-1 gene:GSPATT00004625001 transcript:CAK56531 MQKEKKVVKAVSCWIILRNIMMWVNILFSLAVIGLGIYKYTDGNFNNFADVFIPFYLIFF GILLIFAEAEWIILIKHFKFLDNYFGRGFYNIFLGTMLLNIISINNDGETDRNITWVLVV IVSSTMAFVGVMEIFLYIWHCGAKSEKYAKYDEKQDMQQKLLKEEDKLTQQISQNVRSEI DIKYSASMQPQSAVVDQSQQNYQQQYQQPYSQPYQPYQQQDYQNQPTNYTPEQIQKIQQN PEEYSKWLKQQLLVTEAKIPKQQALDGNSQL >CAK56532 pep:novel supercontig:GCA_000165425.1:CT867986:682902:683791:1 gene:GSPATT00004626001 transcript:CAK56532 MKICLNIENEEKRLVDVTEQPTKFSSSFYTDQTREIKIIREAFNSNNFTQLRELPNFKRN ALLNNSKQKVFNVFDNFSPTVKVQGMNKYFAPFTYIPTDYDFAKYLKRLKQLMDQEKQNY ISTKPFLNCASVGKKLKYNDQFFIKENLLPFHLSEDIFDLKKQSESRQKIISECQRLSGA FKPAGKHYQKYDAEIILSNLKNAIIEDYPTSKFTITNNLANVITVQFHFEINPKELIYYM NALLNDERLTDYQLHKLINDWGIQVNQSIMAFSMKSLWIK >CAK56533 pep:novel supercontig:GCA_000165425.1:CT867986:684449:685266:-1 gene:GSPATT00004627001 transcript:CAK56533 MNLIIVVLFAVAYCYPIVVTNTDLSSSDLFINQIDLMNKIRQQENKKVILINIQTSHQDN EIFQSKLLKKIDNLKNFKKLLKSKSSFVSPFVDYSPIQFTQGLQKDNQFEVQTIPMNFQN LKALDKFAQQQDAILIVLFSKISSNVKVLEEITETSEPSDQIVAVELADESELTSNTIYT VTSNQLTGYIVFFVSIFALWIAVQCNGAIQTPERFSKQNYYIGKES >CAK76380 pep:novel supercontig:GCA_000165425.1:CT868231:2:2458:1 gene:GSPATT00039128001 transcript:CAK76380 LTGELIPLHIPSYQIDHFDQVEIYNLLVPVYDRFFLEFQNRGQPIDYKILNTTFLSKQVG PIDLKIQMDNQMCLNMHNVSIFDAKIENSIIFGINSFSQNSDVLIEQIYFKNCIFNNTIL FKLKTDQKRIIIQDVFIEQCQFQNSSLFIFQQDGEYQSSIIIKSIIIKKSILFNSQFIQS YDNLFLYSFEYIENQITNSQLISFSTDLSCFNFSLRSNVIIESILFAQLFQNSYQFKIDI DEISLSSNIFENFQVLVVDQILQLIYIVLKNMTFKENINPQTVIQNHLFKLTSPNILIQN VLIINTFNLRYIYLYNITEINIKNVTVQNQRQEYMIPLFQECVIYKQQYSQLLLVQGFQS LYLGFIKLVNQITIDQSVIEILSNPIILKGEQESILIQNVTFIGNILLKISKGLIFSIFS IYSEKTQQIKLDNFLYQNNSYNQYIPDASQSSASLLFISSLYSTITPQNIQCFENSLTNS SNTFIVIKSNATQIKAIRVFHHNYLRKEFWNTYYNIKLQSNLNQLEINYIITKTLGINNK GGVMQIIVDKFTLEDGLFQYLIAESSSVFDIITQGTGIIRLNSCNIAYTQNNLLSNSEQD GSISINSKLSDLNLKLTNFTFSYIHNNFAPALLSISTSKFTNIIFIKNVKVLNCFSLINL FSSLTFSSDKAHLNIVTIQNILIQQSYEALIDFSQQLVHLDSTILTKITEGNAIFNIAGC KLQMNNIVIEGVVLSSIFQFINCQKIQLKNILFYKIITFYSFTLLHIEQNIQFLSIIQVQ NLTTQNLVLLNSKDLNCIQLIYPNLEFEYKLCNQFNPL >CAK76381 pep:novel supercontig:GCA_000165425.1:CT868231:2676:5413:1 gene:GSPATT00039129001 transcript:CAK76381 MNSYVLETLFNTSGCLFARSEQLTQGKLHVYNSLFINNSGTQGIAITSINVKTFLQNIRI MNNVASSYGGGLFFDLSSNEFVIKSALIQNNSAREGGGIYLNGNSILSKNNFYGSLLILN NAYLSTNNLQELPSHLDLSINYQTLPSEFKTINSIPISSLNLYPYKILQQGKPMITQILM IPSNQEMIKYTIYNLKNQNFITYLTEVSIQFKNRFNEQLLNFSNSTCQIVQSTIQVNTKT VNQTNISLIYFDQTKNNFNLGTLIFTQDPYRQNEEEHVIQLYCKTQYQTQELLYNIKTKS LFCQLGEFYVQNGCSVCQSLQGFYSVTYNTSKCSIFDKIKFDEITSNNIKLKPGYWRPHF ESDLAVICFKKLQSCQGGWIVGDDLCNTGYMGAICEECDKHNIRGDGYYFKNNENFSCIS CNQASINILSFIFIGIWTIFSTLITLRSVEKTNQLFTSLALSKKFPDLLFKLNLGKYQDF LLDQESILLKLYLNYTWIFSLIFTFNIQFSFSFIFINTMNDTSYFMSRNLDCQLTQQFSL ELIYTRVIVMLTLIIFLILIIQFGVNIFFIVKKVKFNDNILSITLLYGYIQNYASLINQL SSILAKRQISSINYVQGDVSLLFDSDNHQQWIYKFSIPLLSLIGLILPISLLCFLYIKRG ILNKISLRRHFSYLFNEYRINCFYWEWMKLWKKTIIIIILIYFETNILLKGILIGICLIV YQVITSLYQPYIYEKLNKLDLQTGQCCSIAIFLASIKYICEQEEEDAIAKIVQMLIVFLF LRLSYPIISNIFAIYYRKYKEQLVQVLVEILRKSSSQQKLIWKLSDKLDQWKQKQDRIQR NFKKMRELTFKNQKINKYVVFQTICGKQNTMQDLELLNSRNFNYPKKVL >CAK72527 pep:novel supercontig:GCA_000165425.1:CT868129:318:791:-1 gene:GSPATT00038796001 transcript:CAK72527 MIINEAKLFNCKQKNLRINELKQINGHYGRVNSVSFTQDGQFLISCSNDKSIHFRDAKTG KIKIFFKVRARQNQYVPVLHQHLAVMKQCIYENKCQNQLVMLVCYMDGSLKLIQLVKKHQ NIGSRNTQWNYVEISEQFSMLIALIKITQTNFLIIQT >CAK72528 pep:novel supercontig:GCA_000165425.1:CT868129:861:1364:-1 gene:GSPATT00038797001 transcript:CAK72528 MSCQNEQSEDMYRILALSKDVEELVFSILIKIFKTEKIQDCLQFLQQDTTTIKLNNKQYR QKTYLRQIRNRCQMLDKITLRKQQLPLIKLKTIILINRIILQRFMRKLNRYQSQQYFRIR GQQKSHLFVHLTALDDIQCGSNSLHMLVEMKVDQKTQSFENIKIKNT >CAK72529 pep:novel supercontig:GCA_000165425.1:CT868129:2632:10212:1 gene:GSPATT00038798001 transcript:CAK72529 MDLIEHNTKEIIEICNIKAKKQSYRFKMIFFNFYNLLFFLFLLISSQEISEKCVCGHARS QTQCQNSGLCIWQNGGCVLSSARTYIKENQDESICKNFAEEDCRIQKQCGFHLGHCNSFI DCLVFKKDQCQQSSYRCVSDGTKCVEMLECNKYKTEIGCSNKNLNGGYCFWVKDMVWKCR DVIICEELPIFLTSHIMCTQGLKGCTVNEQGYGCIKQKDQCTQYFKDFQCFESKEKTQNC FWDIKNGKCVERVCENLPFTQDYECKSYLSECTSNGIHCIKRKQCSDAQNKFGCVTDAQG NKCEYHKNQCQIKSCDTALDSLKNYQQCQEYDNYLDCVTSENGGCKQRPQICEGYVSQVD CYSIKQQDCIWQKNKCKKRECIDAPLYFSQKDCKQYGNCIGKVDGGCQQTPEVCDEILQE QFCEFNYNSQSCIWLQGKCTLLECNKLKLPTYKNHKLCQEASSSCTFNLDNFGCKDFICE NIQEIEFCQIDSKGTVCQINQGCIDKKCLTAPPNYESNSQCEEWLPFCTVNVQELSNSKL LIGCVDKKSQCEVAQEEQCYSTSSGIKCKWDKLGKMCIYSICTDADPNIFLTNTDCNSYK VLEGTCIIGTLGFGCQLWSNTCDDLISQQQCELNLQDGTKCFWTGSLCKLLECSDASPIN YTNNIECNAWLAYCIFNHIMGGCMIRPSNVDCTSSPNDIMYDTHFECQAWNPTCTVSSSF NLEGCELKKANCSDYIRQRNCKTNLAGQQCYWTDFYQKCMDQNDDGDCSMRIYGDLSHQN CENFLEKCTVQNIIRTCTNLSYYCDYKLEQQCVITMYRQPCKWDARNKLCKNLLCSDNMT AQTEAECLKFILYRQCQLKIHSSGSFGPGCEDRPYSCNYITDPLICKLTLTQQHQRCYYS KFQCYAVGYQQCEAIEDSKSNEECQLHNSQCVLQSSGQGCYSIYGCQVLSNNVCKSAIMK FNHKCNNYGGRCYLNYHCNNLSTDNCYGRKAGLGQMCYRECGYYSCDSSCITKTIEYRII FQSFQTFQERSKLCQDYSSNYRYVTSCQCCQSMNDCSQQQGGQQLCDSSIAIQSSISSSI SRCGYDYQTNTCQKRICEHISYINYPVITDQICFDWGYDCVLGVSGCIVFTGNCTLIKLI YQCYSQNCYWQDSKCVNHIDCQINTTAVTTRECLLVNSDYCRLNYTKGFGCAFYRCSHIT DETICNSSNLDDGQNCRWINGQCYFRSCEDYLQQSECESSYGSIFQTLTKCFWCLDDLKC SKNKYCNQSSMISPKSHQDCNSLNYQYTIQFESSVICKIKESFCSSYTYEDACVSTIDGV DCYWSSNICQNKCEAVTTNPFTNQQCYDWNSNCMYINFSCKLLNCLQLTVMSDCNIYHTK CFWESSACKRISDCSSYSTSTVCSNNNNSKGIPCFWNSTQCMEKTCQNIPTTPSSFQDCN SWLTNCQFNEYDISCVEDCTSADILHITHEQCESYYANKSCTVKLDLIQCVNLPISCGLA KETQCYLDKDGNQCYYSKSTQMCLNLTCSNLDTDFTSHEKCNQKLNQCTVNATLNGCQQL NDCNTYSIQEQCYLDKDNFECQWINSKNICTIKECSSAQFNEYTAFSCRQYFDDSCTVNK SLDGCEVGQSFCMNYNYQQCISDGQINLKGVDCFWNEERSICQERICTNGPSNATSHSEC ILFLSTCQKGGCRQKGCFDYYYAIDSACASIFEDKRCVTNGFRCVLRNDCQDVSMIDGCT FDINLNPCIWIDEKCQAKTCQTAQVTLIKYEECNSYLPYCTVKQDGGCTTKQSCSDYEIK EACYTDFENFQCIWDINLSKCFSYQCIDYCGDGIVTNKDEQCDDGNYLPYDGCYKCQVQC PQGCNQCNGRLCQECNKNGWKLVEGVCTSKCGDGITVGNEQCDDGNNIEFDGCYQCSFQC DEMCVDCFQGQCILCKDGYVEDGPKCQNICGDGYLVYQQEQCDDGNRQNNDGCSNTCKVE NNWKCSTDNNFSFCSYALLPKIILTRLSKTDTTSQEFKLSFSEPVCLNEKAISEEQFLQL IFIEILDLKDNDYDIEIKSMISISTQLSDVAYKILINFKTNVKNPVLKVQVNSENIVNNQ GNTLVSKEAKLEFRSPYKMSSYQLSLMSKTSMLSKIVLYFIIIISGISFLCGNLEILWNL LDLLQQLSYMKFHNIVFPQNLESYFEIFTIGSFTPIFDKLQIDQNLQDIFNFQIPVIQAK WKFQYYEINCYFLQNLQTLLIMLMMGFTYYIISYMFQKFLILINYQNWPTVYQKDYYFKI AKFTFFLQKIARKYYQYFIYSGLIRIFTSSFYELTYSSILQLVNFNTLTTLNTTISLLAL ITLICNIFLLAIFSCYLSKKNTVAMNLSVLVEGIKSQTNQGAKQYFTILLIKKSLFIVNL VAMQGLMGAQSLVTACLSGVFSCYFYIFKPFKNNFENIKIIITEVLIVLNLLIFSLYEIL KLNQDKEQAERLGWINICGFTLILLTTLSIDIYQQFLQYKELVITKVNMCLRISHIKTQN SRILFF >CAK72530 pep:novel supercontig:GCA_000165425.1:CT868129:13011:14083:-1 gene:GSPATT00038800001 transcript:CAK72530 SLPNPNPNPKPKPKPKPKPNPKPQTPNPNPPDGTTLASGSGDKSICLWDVKTGQQKAKLE GHSDGVNSVNFSPDGTTLASGSYDRSIRLWDVKTGQQKAKLDGQSSAVYSVNFSPDGTTL ASRTSNNSILLWDVKTGQQKAKLEGHSDSVNSVNFSPDGTTLASGSYDRSIRLWDVKTGQ QKAKLDGHSQPVYSVNFSPDGTTLASGSYDRSIRLWDVKTGQQKTKLDGHSDCVNSVSFS PDGTTLASGSYDRSIRLWDVKSTKGILPKELCHNDLLASLNMPHQSPSNQQNVKYDRTIF RICQNPILEAEGALIFEGELIDYKGYDLRSLLKSKGSFILENELKQKEN >CAK79603 pep:novel supercontig:GCA_000165425.1:CT868339:99:1598:-1 gene:GSPATT00039344001 transcript:CAK79603 MIPNQYQFFLDSSCCKQREDPKEYFFSIVASSLLKETKYYETSDASRDKLIATMNKVADI DPEFILQVAYYVRNQMYIRSVSNFILAFSTLHPKTKPFCSTYICPTLLIPGDLIEVCQFV QVISQCQKSNNKLDRTTDIRKKLYFPKLLRKQIQKKLTQFKIYQLGKQCSDGSRKRNIKK YQEVLNPDIKNKRREKRLLKLKLIRDQMKLQGPDTKNQFKNQAIQKTNRVAKNRRRHTRN PNLFVQETLNVFDTNFISLKDIVTLSHVKEPRSLVMSILGAKYPKTLEEFEKEFKGERKM KFEPEKAGTRMQIPIPITWDRELSKGLNSKRQIWEDLIQKNQIPYLALLRNLRNILKSGV SAEAHLKVVEKLSNQKQVKNSKIFPLQFFTALNEIDKLNATENLQSMYVKQKIATKEQAK PKEKQVNIEEGQVDASPQVIQSYKDAIEKAMQIAVDKNLETISGVTYVFVDVSGSMCSQI SGGKKYGSINQCKDCAFGL >CAK84529 pep:novel supercontig:GCA_000165425.1:CT868511:1:1629:1 gene:GSPATT00039686001 transcript:CAK84529 RQLFEDSNANITFKITPIGQIVISEQEQTQAEQIMLVQQQFSLIFLILIPISIVTNLFDY LWAVLEILSWVNNFYFLNVNYPFNVEVLLLNSDWSSIINFPTYQELNQPGCDYYFQAPKR FQDKGIDPLFINNAQIPFMFILSALSLYLINYIFLVFFSYLNLFFNKEIKLNRKRFSIFN LQVIKKTVNTQVKPIDQVQVENKQYIQRIITFLSFTSNGFKNKIKQTLTLCLLDITLACM LQFTFSKPDSNIIVGVNQLMAFFATGLILFQLFQSYFVLNIHKCLAENKQFQEKYEIYYE NINTDDSFGYYYNFFGITRKITYVCFLVLYYYIPILQTLFCFSSTSLGFFLLCYKNPYNF NLTLIHLIIVIISISDLINIQFIKENIINLGWVIISLILFSILIEICSLIQGILQYFYNC FVFIKIQERNKTRPKKIRLQKSKSKMQNENSSKQLIKEAIQIEIYHAIKYLNKEDFSFQF EIYIIIDYFFRIFAIVQFYDSIHIWFLYYLYLNLFQFSTVKQEQQKSKFF >CAK84530 pep:novel supercontig:GCA_000165425.1:CT868511:1783:2382:1 gene:GSPATT00039687001 transcript:CAK84530 MIQIDDKPEQTIKHKLIDNLIKQTDCYSISFNQTSSIMVSTCQTDVKIWKFAEGKIKEEQ TLKGHNSFIYCLIYSKNCDAFLSGSQDCTIRLWRLVQQNEWKSSQPYLQHSNTITCLALN QSEDEIVSGSSDNTIAVWKINFIQNELFFMYKLEKHQGTVRALDYNFSSNLLVSCGRDKS IIIWQKDQEEKVQFHQIVIN >CAK73211 pep:novel supercontig:GCA_000165425.1:CT868147:20:2281:1 gene:GSPATT00038867001 transcript:CAK73211 MGIIQDFNKQNFGCLKLSKTYIQELNIMITKLQLTVFSMFLNFMLQQFLNMGFTQQQILK AQQICKQYRNLEILDVLLKNVQSPIKDQSQQQSQSQILRELSFDVLTPDQRLRQKGIPVG LKNLANVCYLNSLVQTYFHNPIFVKEILSFKYPVQLNFEDLLNKNEAKAKRIKSSIDLVV HLQRFQKINIFRLFAYLVHTDRKYVDPYRVFLNVVDEFGNRFQLGDQKDWAEFNLQFITC IDEGLKYHENKIMDAEMQNKDESGDMHESDQYQNDRNKSGITQTRSMILLDENKAKLIEQ QPLQSQPQNQTIINRLFFGKTKEYITHPNQRNQSEEQEQIFLQIILNVKNKSLYQAWEAN NSFSIEGYRNGSEVIELAEKAIWITQIPDSLLFQIQRVGYDPERGLIKLNDEFRFEKEIY ADRFLLENRQKVIETQQQLIELKIKQAELLFQMDKYQNYNGISMLTVLSTATKYVKEAKP DDEIMQQRIEQCRLSIQLILQKHQFELDEINQKIEDLYAIMKKYKYFLQSILIHEGAAES GHYYTYIYNPSLKHWFKFNDINVTQVSEEKVLRDAYGDGKSKTNAYCLIYQRADHFEPQS YSDYTNNSVYAQYIQQNLYEEVKNDNKKFSIEQQEHELVELGDLVVEIYNVQFQQVNEMA RKFKYRNGNPLNNFPTYLRTLLDQMNDLVKWSILDYAIREASQGKRNLRDYQEQMIFQQR CISIILILESNTILNN >CAK73212 pep:novel supercontig:GCA_000165425.1:CT868147:2427:3823:1 gene:GSPATT00038868001 transcript:CAK73212 MVSAYSVGNGKRSNEVQQGPDTPGPGQYSGDKKQKYTPPSFKIPQAQRQTFQPSFTPGPG AYASSENLLKGPKFTFASKKQTSVTSFQPGPGAYNVKPTKQAPQYSFGGKYGSHPDDSQP GPGEYQLSKDLDAPSMKFPRSKRDDPHSEKVPGPGAYKQERPESAPKYRFGNSQRRGLYD NELGKVPGPGQYNYQSQFESVQPKGFTLVSRKEQMTQQLVVPGPGAYDPQPVKRPPSCKI GKSMRGLQFANPNPGPGEYEPQIDTIRPQSAMVRVGSATRRPLNDVKGVPGPGTYDLPSK MVEGPQVKILGHKYDPVQAQKDQIPGPGQYERPIMQRPQSAKIGTSRRQELNSTLEVPGP GQYKPKEKLGGPCWGFGTSKRPPLNPKNETPGPGGYDQGNEFGSVPKYAMKKIP >CAK73213 pep:novel supercontig:GCA_000165425.1:CT868147:4020:5988:1 gene:GSPATT00038869001 transcript:CAK73213 MLLNIQLHILDLILSSLTFQELKRIQWIDSFFATYIPSIILKTKNQISISIFDYNKSLGL DKWLKLEKLSLIISTTSLSELKQILKQIPQSVKKLKLQLNYDLIIQERFYSYISKYMAQL TALKMIATGPNIIQTKQNLDAKFLHNHFYDKMKNLKTLHLDTTNLSKITNESIQNYLFFA LFYKDEFCTRQPTIEKISFDQLADTNQLERGYLLQSNFQINTTIKKISLKQFDQAQQMNL LLHLQKLMHLIGDQVLSIKLCQHLNIHTIIEGDKMTAFLKHFNNLKVFSLNIYNISFEIL NFELDELAQLNLISLGLASLKYHQSHFASLFSLLPNLKMLNLDFTSIDDECLNILGCSTQ YTQLKIRGCRKLTNESLLPFLEKQKHLTQVDLRGVLGFSKKAFRLLTKNNALQQLSISDN KINNSVLVDLLHKNSGNLKHINIGELMNNQGLTNDVFKQAKQRNVCMLNMQSASINFTAQ SFSIKVVCDFLQLVPNVQVLCLKINVQNIIFLIDQILEHDSICQSLQKLTLSCNGYSHLN VSQREIQIFQSFREKAIRLDTLTLNVSDGGNEVGKIFLNCISKKTKGHDIRLVLPQQNIQ YII >CAK73214 pep:novel supercontig:GCA_000165425.1:CT868147:6009:7135:-1 gene:GSPATT00038870001 transcript:CAK73214 MQLYTFLDWFFTIGSALMAILLGFYVSGAACLLGLLFYLIYPFIIEYSYNSLSEQQEIII RNMPEIPIVYHENYNITACGIEKWHPFDSCKYGNVYRQIRQKVKAQHFTPSMLSRGTLLY LGMSRWYLLKMCYSAYVSTLIELPVFFLPGAFLRSSLLDPMLLATSGSIYAAKLAIEKGW AINLSGGYHHASLNGGGGFCIYPDITLVVNYLKKCQNLNKIVIVDLDAHQGNGYERDFLQ DSSVYIIDFYNSYIYPGDHEAEQAINCFEHVDKNTTDEQYIMTLQRNLEKHLKDDMEFII YNAGSDIMGGDPLGNCCISPAGLQRRDEVVFKWANHKKIPILMLLSGGYQKENTYAIGES ILQLIP >CAK73215 pep:novel supercontig:GCA_000165425.1:CT868147:7149:7601:1 gene:GSPATT00038871001 transcript:CAK73215 MKESKPKNIHQTFGKADRFPKQQFLCETFVISEPSKAKYSPGPSFPKGQRIVFYRNQQTP GPGNYNICDVKVYRGRPEKPYKVNKEFLDYMNSRPKSVQHSNPGPGTYQLGSTLRKRGIS FTRSRIDSLRIAQSPGPGQYTISRPISRLQ >CAK73216 pep:novel supercontig:GCA_000165425.1:CT868147:7762:10287:-1 gene:GSPATT00038872001 transcript:CAK73216 MSTKSCIVNPNIKVAQLKKQIAQLTDIEEKDLTLYLNDYTLEDENQLSTYNPDDCQFIYV NRDNFQLDEDVLETEILEIQNRGIYNRMKGYEKLGIIHEDSEEVTRKKSNQQLTVDFIKL VKNNDIPAIKALFPTQYPIDIINDRQYSGFSAFHYATQSGHSELVTLLIELGANINLSTK DNQSSLQLALKNNQISCAKILLSQPHCDVNFVSQGKSALQLAVLAGNLEIVELILKHPTF DSQSIDLNEFKGDPQAIQLVINLQKSNQTNQANKPKAQKGYIKKVNVLRFFHYKRYLTLD PEAGTLTRYKTEADYPLQPIEIVALSNIISVWQPKREWYMNSDHEYLSVSYKKGGIEILT FCSKSKNTILSWRRSLEDSIKYYTEIEKKIAQLSELRDVYGLSQYINESSEQFSIIEDSS FQNNQHVIKQRQQQLLQQQEEDKSQRQDSYQQQDNGNQFQQLLNLQQQLNDQQESINLSP VEIPQSIKQCFQYLEQYSVIKQIGQGAFGKVFLVKHNPTQNIYAMKQLNKKRLMQKKQIK FAITECNILKQVDSPQIVNLFQSFQTVNNLYLVMDYCGGGDLSYHLCKYKTFDESTCKII ARQIMKAIEYLHSKDIIYRDLKPENIILDSEGRIKLVDFGLSKQTDDGKTRTFCGSPAYL SPEVLAKKGAVQATDVYGIGTVLYELLLGDPPYFSEDIDTLYNSIRNDNLHIPNKLSKPC QSILLGLLQKEANKRIGCKQPSQVNWNLIKLHEWLEWDNPEEKVTFGISQENLDSIIRKY THNLGDADYNEENSSINRINGWTFVRKS >CAK73217 pep:novel supercontig:GCA_000165425.1:CT868147:10349:12369:-1 gene:GSPATT00038873001 transcript:CAK73217 MLDDIYPLFGWNEPVPTEFKEIFSKCVKKETELGHDSNSRKCSSTLEESLIVDEDYEIIG KSQNDNSICPICYQNVQLGNTQLYSNHLSLYFDVHTQCALMCKQKPASLALLHEHIQSAK FIKRVPIDYDLLDLNIKAFVEFRVFHTIAQKPFLHLTHSTTLKQKKTTSILIDYANLREY FGKRPKYLIPFLQGRIQIELYIIDANLMQEGKSARTFEFYYNAEQAAVGDYISLESDGVC ISMQLEEESIQLSIKSLQITLPSELSTSLIKQSPKSSPIIDSFLFSPKLNNFTPQVGPIQ SRVRLQSFQLEETIQTPIDEWTEDLQNLEQIRGNVVNFVKTQHGSRLIQKYFTTCTQIEL DQLLQEIGPHLPDLMIDPYANYMFGSLSQSCAPHQRLYILQTIGNRLVDIACDKKGTHAI QSLVSLISCKQEEEMVENSIKNNIIPLTLDSQGTHLIKKIIARFSEDRLNYIFHKLMDRF IQVVNHQFGLCVLKDLITKFKNNLEKSAIIINRMKDHLDEIIQDPFGNYGVQHVIDVYGD LRCNCIIDKILLKLIQLSIHKYSSNVVEKCILETSPKTQKRFIKQLSQDIICLELMKNKF GTFVLQKALQEADKLSETDSLQQALYRNLPSIYAQNIRQKWFEYLSKK >CAK85765 pep:novel supercontig:GCA_000165425.1:CT868543:2:244:1 gene:GSPATT00039723001 transcript:CAK85765 LVEQDKINLQRALKMFKAKSILFVDQEATKEELINQIRASDCLIPIEEGYQFDLTDTLFQ KYDEQEGQDC >CAK82621 pep:novel supercontig:GCA_000165425.1:CT868434:3:982:-1 gene:GSPATT00039496001 transcript:CAK82621 MLTHVNENPKFAETLKQLVECGKDGAIRWPEIAKVLENMFHITISKPCLLKPAYQSIISP NVELDKQQLRLLVTTVIKHSAIIKQALPDFQKTSGIQQNCIISAEFSQKSSKAESIENGI QVFVQKKSEYRKRLVRVPGSAISRVLQCKVLPSDDSFTNKLKFMSEQLSLLLMISIDYDG EDITELYSTVTQKMTRKNFHLLLNCISFMFDLKCISQGQITRDDQLTPDYWLQPTIKENQ KLYVYNYFFEDDNCQKYKMYSDAIDVQQEMEYKVFLNINPISQPKQQSFLKRNVKLKTVR GRITVNDKKYPSERTIILLN >CAK82622 pep:novel supercontig:GCA_000165425.1:CT868434:1939:2913:-1 gene:GSPATT00039498001 transcript:CAK82622 IIQDSQKNTIWTVDTKDFYCRSLFLFNINIFGTLFLIFITIQRIVQIFLGPRIYMYKACQ SIDNFDNETIYIFNITDSELLNQNESYLSMKFTFNKTLLYMSNEFQQKYNFTVETHLVEI QYYTKGFNAFLCLASDLETMFIIDFLDFLGSNYDGDVQLLNQNTNETWSWNKQQLQRKYV VAYDERIYTTLTEFIKCILGIFLQSIIASIYMKMSIICAPILIIYMSICYKQPKSELHAN LLELRYLSTSLN >CAK82628 pep:novel supercontig:GCA_000165425.1:CT868437:488:889:1 gene:GSPATT00039504001 transcript:CAK82628 MENKREFYLLIEYQDLLRSLQFVIKDVQAQRQIQEPTFSFFFKKQIYYQLRDYVLEANFE KYVVKSASKIQEFLLYRSSLDKSTKWNFLDRLWDILIYKFNFVQDDLYHNQNSDAYDISF EFVKYIIILVQLI >CAK87305 pep:novel supercontig:GCA_000165425.1:CT868617:93:2069:-1 gene:GSPATT00039808001 transcript:CAK87305 QWKIKQGLVFTIIQISSNCFSDSITSFCQKVLIEQWVQENDQRVRNILKNQGLISMQMQI LQKDWSTQHHRIAKNMQEMLRRIDELQEQISHEANLNKRDIYLQELDEATKQLDQQIENI SEMGQQLRLITDFVNHIRKGLIRLEGKINQMKEQLKSIGNDVKFLRGKSVEQLFEIRKWK VLKEAALKNAKSIYVSLETKVIYQLNQEEGHKKGQEQVNKKEQDEGNKEGEEEGNKVEEG QEEGKQEVQEEGNEKKQDEGNEKGQEEGEDGIKNEEKKSILINLDNIYDKQGELNEFLFD EQKTVLLIHGVAGSGKSTAAKKIEEFIWILHNNNKRIRNQILIPVYISLPSLKNPIFSSV EEALHQDEYGFDELQLRECKEMLEKKEFRLLLIMDSYDEMKLENIQKNLYMNNKVKQNWS DPLVIFTTRSEIFTSSNYVYWFAPDKVENLKEIQLQKFNSDQIMEYLKKFTIQSVKMLIF EIFEWQTQISNQGGLDINNFEKSWDKLKELCLSITNFNGETLLNQKQIENILSFLKNNEF FTLKRNEALRSVMIKLQKLWSVEKYEKMMQQINLYRLIETPYMMEIIVQVLPKMMVKASD IINLRQNFLKNFPIMLYEFYKSNYLNRMFKQQQKMYIKYDKNQKEQKDNIEKGIIGQQ >CAK86040 pep:novel supercontig:GCA_000165425.1:CT868561:159:1774:1 gene:GSPATT00039746001 transcript:CAK86040 MMVLYQNMGVMINIQVYGMLRKDIKSSNQKFDYILMVINWHLVVMINISIYGMLRQDNKK QNYVVILALLIQSVSRLMVLYWYLVVMISLSVYGIFGQCNNKTKLGDYTDSCNQISSPID GTLPASYIDDKQNCLYDIKTGQQQDKLYGHTSIINSICFSPNGTILVSGSDDKSIRIWDF NTGQQILQFDGHTRGVLSVCFSPEGDILASGSRDMSIRLWDFKAKKQQFKLDGHTNSVWS VCFSPDGTFLASGSVDNSIRLWNVKTGFEKQKLDGHTDSMVVQQHQVAKIILQKKWNVKT GQLKTKLSGHTNCVNSVCYSPDGTSLVSGSVDKSIRLWNVKTGQLKSKLNVHSDSVNSVC FSPDGTSLASGSADNSILLWNFKTGHLKSKLYGSSNCINSVCFSPMGTTIAFCNDDTSIN FWDINMESEIKYFNQNCEGMSTQMKTLPLQYNPFSESLNAISILLIFKKPIFQAQNALIL QGEFINYYGVDLKQYLKTKGSCFLENQVEFKLK >CAK94502 pep:novel supercontig:GCA_000165425.1:CT868678:1580:3140:1 gene:GSPATT00026826001 transcript:CAK94502 MMEISRKLRTFDIFGQQIRLKASGSSVFQSQIGAFMTLLLFSTLTYSGSLFILEMNKGKN AIFNSQEAVIQNNEGFSFNSTELIFSAGLLGILGQPIPNEDNRIFKIGFYVCNKSLNETE CIVIPGEICGNRIREASKKLNIAQAYENITYCMSEQYIRENPEIRIQGSNRYDNFTLLGA VQLNLLVHYNRKITILFNLDCASNEEIDNYIANANLYYSYTFHQLNKELDVSPYQQTENI DLTSLYYKVGKYIKIYLQYSQSYLEYNPFYFFPRSVQHDGVEYQKTVTDSVLYFQDANTL ARIEVHLDAKKKVCYITYQTLMDVAAKLGGLFTIIRALLDIILYPIQSILYKLFLINFLI NHQNNGTNKDIQLQKSKKQEICIHQLITSSVKREFFNQQSQLINKYLDIRQILIHPLQFT QKIEGLQDSIKKIDILSSRQIKLISKQEMDELVVQSNNEECPDCRCLSYGTINSESKVRN QDKYAIPRMKIQIH >CAK94503 pep:novel supercontig:GCA_000165425.1:CT868678:3520:3918:-1 gene:GSPATT00026827001 transcript:CAK94503 MSIINYCRNFDVERIDQDALTQNNQEVNQYDYLPKCIRPKCIQPICIQPKDQNDNVRSSY VATYALLLLGGNKSPTMYDVAYVLRQADIEPNLPEIEALIKSLKYKDLNQVIKEGKLKMP QLMC >CAK94504 pep:novel supercontig:GCA_000165425.1:CT868678:4083:4985:-1 gene:GSPATT00026828001 transcript:CAK94504 MKQKYLIQFISVAVGNSFPNTISNVLRKAIHNQNSSCPAIFEVQRGGRSQQQLQQEFTTI FYEIKQLLSVSSKLLQVNQPVYTTIASKNTTTMVPPGEPYLKKTDGTKKKMMLDGQEIDP TMDPRHISQLICNSISERIIETVATKHQNSQQIFQEMKAVVQEVLNNMETTNNSKDQEAL QLMDPLLDLLDKLADGSLKAQNLSESTMTMLQKHLKQKEEISKFIDCFAKEKVEENLTKE KVKEKLQNKLNKAKLGCYVKSTITKNLQILSNLFGRLLPKVQMTQINQQKRNKIKKLKSY >CAK94505 pep:novel supercontig:GCA_000165425.1:CT868678:5163:5507:-1 gene:GSPATT00026829001 transcript:CAK94505 MIHNPFDYFQNFYFQTNLLLRSIKIYKRILVEITIIEIDFYQDQDESLKQNYQGGKMSCQ YEVYVAKNCTTTQSYLITLIDGTGSMSGEYEAVVDAHNATFSCLGSQQMKYQWE >CAK94506 pep:novel supercontig:GCA_000165425.1:CT868678:7550:8782:1 gene:GSPATT00026830001 transcript:CAK94506 MITTPAQNNTSSIQEKLMEERKRLITSFEQKFLGDEQARQAQYADQATYNGQINEKQNKQ GVGKYLFPNGDVYIGQWSNDLFNGEGVYLFNNGERYEGHLLNGKKHGVGIYYYANGNMYN GEWINDLKHGKGKYSYYLQGESFDGEWQYGERHGRGVYLYSLGDRYDGLWERGLKWGRGI VEFASGARYEGQFASDKATGQGTMIYVNNDKYEGQWNDGLKHGYGVYTMADGSRYEGNWM NDEREGQGLFLYASGDKYEGMYSKNVKSGYGVYVASNGDRYEGEWANDKRQGNGTLYMAN GDKYIGEWKEGEKSGKGIYYFAHGDTYDGYWLGGMRHGYGKYSWSIGDYYEGEWRFDKMN GKGKFKGADGSEYVGEFSNDNKVN >CAK94507 pep:novel supercontig:GCA_000165425.1:CT868678:8805:9428:-1 gene:GSPATT00026831001 transcript:CAK94507 MYINTTHTPDKKNSYLNKFNKKRIQTTDKENKVQNLSNLSTNDDCKSYCDSVDYYRQENH ELKKLVFQLKFEMKSQLESCLIEQKHNLKSVVQKYKSFIDELMIQKNQVSSECDEWKQKC EQQQQIIEQQRQQIYNQSQVIQQNLFTIQNIQQEFQQELMQNQMEVQQIMNLKDQTIDEL NQIIQQQQLL >CAK94508 pep:novel supercontig:GCA_000165425.1:CT868678:10043:10802:-1 gene:GSPATT00026832001 transcript:CAK94508 MNHITKDNLIDLNSKLTDENQRLTLLVYSLQRQLEKAAVQINQTQSLEERLEIVQQELIQ LRRDNQNLQEQLYLISLSSTTMDKEQTLKQEIESVKSEYEQKLQVLQKENNRLNRINKDL QNKQVNNFETNVSKLMELSVELKNKLDSFAQQPSSLNSSMAAPKVLTKQIYDHLIQQLSQ SSGEEKTFRTTQPIRLPNLQSKEIRQPKSNLQKNYTAIPFIQNINNIK >CAK94509 pep:novel supercontig:GCA_000165425.1:CT868678:11647:12867:-1 gene:GSPATT00026833001 transcript:CAK94509 MFLKNMFKKQTRFQKYLFESTIYDNSETVDLEDIKKMLQGYPSKEEEDQLVQSMTIVQVF KEFIRLIPQQLESPKKLKVLLTIHVLMGDIKHGRLFVQQFLGWIGWQHMEQKDTFSKFSS VQTMIIQKLALISEIISKSKMKSNSNVVFKDIDSNVMQFYKMINALNLILGQHEFYAQVF QTHNRTIVMEIYLLLWNDVIALYLMLESQQVYCYQQMDQQQSIQVYELFNEYIKLTPQVK KFAQLRVFFQNCIVNEPKWYQPTKKEIEELQIYFQNVKIYLTSRSKRLKIEKSSPQPNKN GQTSGRDMKKSQSQSQIIPKGDLKQQQDHYLSNTTMDCGKRIHQGNAASQAQYTFESECQ TGDVIKQ >CAK94510 pep:novel supercontig:GCA_000165425.1:CT868678:12971:20823:-1 gene:GSPATT00026834001 transcript:CAK94510 MNNLRYGQIVRIQGDFGMIVTKGYTQTNVYYMTYTNLSKISNFRESLFQVLPKGSFEIHD EFMKSHKKANQDLLDQRLKTELNQYFSFVETKIDEEVLFGQEIVLKHYDSEYFLVGSYKC SEQSMEAFQVSLSSKPSSQALFRIEAYQTYQKDGQPIYFDEPFLLVNTKQQFCLDFIEKP IQWLDDESCLAYIKRLQDVQRYQVIITNNSKTSWKMKLFMRNDQRIEKKSIRNYELIYLQ YTEDGTYLNGEQQIVSLQQAGTHIPLAAIWELQVIQPTSDYKRNQQPQLIKEYSRGSVFQ NSGDILKDFSRVAPRQRSVVDQQQQAQSQVNKKIPQQEFILRNYISGKVLTSRDQLPQLH EYIQESNQVVEILAAKQGQQEIVENSYIQMSIDKELLQNVQIEDQWNDSIKEDEELETTV IKKQLAFEEKEHQHAFQIKKVKGNIKNNLMFVLSAQEVLFQFVLILNKQVDVIWKLLHVQ QLSQVVEVIQQLIQFLQFEVANRQQCIKETYIIDLAMKILVPIYEKKLYGIDVKNLQKKM KKIFKLTYQLIKELTQNNKQLKQDMGKYLKDFLEQAMDDEARAQDSLKELLEDNYESIQR FVTDDHIQKVFEKMKQNPNEKYLSILSSICVCKGRAILKNQNLILKLVFQSNELLNFKFR LNTKNKVEVLCRINKLKPMWRRIRQIYQESQEQDGLQTWNYFQGYFNLLGDVCYNRNKLS QDFVKENISISILLALVEDNHSQSINTFESYLKVIHLAFVDTPGYQSLVISKVIDWEENE LIQIEKRTSMRKVSSFGGQNNQLAQQNVSDLNNIIKYVQQFITKFTHFTYDQKYNQTLQR VLMIIKTLLTMNLEIDTMQLLQDLLRICSCQKDFQSLEDQKGEENIKKAKYRMTKVSSEN KPIMECKMLASNIILNILDLENDIRINQMVAYFRNKRESDDTNESVNNTLPKKGLISGMV DLVHRFSHKPPEEQQQLLDNNSSVFKDFAKSLSGSKDKTEQDSYNIPQWIQVIKDLLDNR NLFKNFDNNVILVFAEISLMQEPKMVETALQLFNRMLGQRRELIKHFSQIVLLSKNCQKN VKELISKCIQIRQRLEQLSDKNVYTITKENQSTSNIQVDEIIEDLNKLCVSLKLHRFPSQ VVQISLAFNECEENDKTNQTLFKALDMHQTLVAYISGADEINPYLYGLLNSCYKFLTLFI WNHATNKIEIKWPLKQIYCHLQYNSCCIDFVRELYHNNKELLYNENEVSVAIGSIIQQMN KEKPDSMYRVKLFDSLRVFLYDHNKTIKFNQLQILALLQQKQNRNLIYVLNELQSDFLID SVNKPETPLQKSKAWDAESFNELIDDYSANYEKIEETKLIRMQPQLQYMIIHFEIFSLLV EDHNVINQEKCRVMHPFQSLLFLMKNSYKSNCWPLQHFLRSYINRLYYNSQMELISQLCI QEDLEIIRHQLDQILLLKGCNYIKQVQIVDGVRFQFMFSYIFACMQEILYSINLLFLNDN FLSELEGQLSKEIDNLKIHQLLFKIAGHLVQIQKMWFKSSHITHLCQVLINIMKIVFRTF DNSVLLRLEKVFNENAIESQTTLKQEEKQDTEQNEQNEQDGIINRLLIVTETKLTQDEKI QSNLQQTLKRIFHLKSKKRLSVIEEPDNNVELNIRLMKLIKIFNENEEFQGFIEQEFNKL CSEFTKIDEFSLVAYQTQDPAITLEEFLKNLIQMNITHKLNDDLRSYFLKILTRMISEKN PNINSQDEQAKLAIDEWEPEFWSDSRQQIQEIQCFLAGCGAAQLIYEMFKENFDDRWELF NQLLIFSNAFLLGGNSKCQDSLLQLLKQDSSNQMMSNLQQSILKFSKFVNTNFKIQKSKF QKDKQNPFLSIVYVDNLTQFSEKTETLKRTLPSAVESQNTIKNRQLSIKVMWRAFRMLQL MCENNNVHMKNYLREQTDKEDSVHINSINFIEFATKELRILLKILNKNVVSITQQIVDFI NEVIQLPCFLNQVTLCKSTYMEDVCFTFETFQKEESQSIQRELHTPEEQDELFELQAKII QSIMLVLEGNNQKNYEELQQKLDCRFLVNFIKLIVQKVGIEKIFDFKKEARFSDEIQQML NVFIIKEKIEYVSKDQKWVKHFKQEFESNPTLKDIQTMCLNNLRKIEIFYENEYQMVFFP AHPVFQFLSDETRDKIMFKIPRDTQRKKLISLLEEMEMIFSEISYNFSLQNWILPITHKT IQLLINISQLLSLIINIFMIFAYAVMIKDKQSTLVTDDYEEVTLFILSILQFGFSLCACT FYVISRASLEFKKLKQEQFSLTKIHTYVISKISNLIIVFKSEDFMSHISFTAIAFIGLIS NTYYFSLHLFYLFGQLSLLQSVFQAISHNAKQLSLVALLGVLFQFVFSIVGFNNYVDDIY PEQVDDPCHSLISCMITLMTSGVIGSSMAQWDPLKFIYDTVYFVFFALLFTNIISGIMTD TFAQLRDQRNQIEDDKKNKCFICGIDRQTLEKQQEDFEEHIKSKHFLWNYVFYIYCLQNK DSTEYTGLEYWIMDKVQSESVNWFPIRSEDEDDRTKQIELLQQKIEDLAQQLKTQLLQQQ IEE >CAK94511 pep:novel supercontig:GCA_000165425.1:CT868678:20991:22318:1 gene:GSPATT00026835001 transcript:CAK94511 MDEDQDHFVEDDGSDDDKFEETWKRQYEVNELLNTQQQTLPKKQDKRPESKHGRPESSKF TQKKYSLNDNNIPISVALQKFNQLVTFNNIKIEQFWKSDSVNASPEEFVSFLGQAGFTFT QEEINQVLSDLENEFGKITIENICKKVQAWNSNENAMLEFIKEKALHMAQASKRKFSAQK KSKPQSASATRTAPKDFRPISGISYKSKQSGFTEFKIGEERPVQNLSKYYLQKAKEREKE MDRLLQLTISKGKNEYEYEMLIKMGEANELSQLLESKITYRAYKSAQGNLKVHMYELDRF NKDMTLEEFQREYNMIKNKYNERRNLKIWEVLSENKKSQKSLQHFGTQKDEIQQDSQNQH QSKVINKKERQSELKKVLLETMMLTNVLKEQLSVLQKKGIVIQQPSM >CAK94512 pep:novel supercontig:GCA_000165425.1:CT868678:22551:23053:1 gene:GSPATT00026836001 transcript:CAK94512 MKWQTIQEQNSQILARLVKISNRSPTPPSQSGTPRGRRALKEQKLRIESENKRLHNKLNG IQSDLRQEKFQESFMKHKKIQKNMQRFYFDPNLHQIKLRVDAFLDNVSSQRIHERSKSQY QNSKSSRFDHSPFMKFRTVSQSFSKARV >CAK94513 pep:novel supercontig:GCA_000165425.1:CT868678:23305:26243:-1 gene:GSPATT00026837001 transcript:CAK94513 MQYPCQPKDSITYDLNGFDLDIKEHQVFDSYSNRSKQQNSQQMIILAERSRITSPSVKKR KQKLRMAQIIQKFVEKIKISNNLFTKQLYVNTLLQTYSNPIHKENTNSKDFFQRLKYYIA VPISSQCKFRQLWKLLMQITYLTTIIFSPLILIYPSNIEIQTLLIILFSLTVLNSVLNLN TCYYKNGVEVTHLRKIQQNYIRRYGLQDLLQYLSFIFILQFCESNFTISVLALITLNLLI VRLIKLNTLISQQLCVRLGLQFIKGVSYCHVFSLISISNKYQIQFDPLGLQINSYLNYLN SYISQYFSFSSNLEYETNFELLLALLVQLIFTINRISLILDILFFFNQNVHQYLLQKNYF DFKAYLLNHQVDQNLMKKALSNYKFEMKKDFQQQNFMIDSKSIKYIDQEIQKALWNTVNV KYFKKILVLTQFSQNIQEKLVENMQLQYFQPNEIILRQNCNDDDSMYLIKRGQVKVCYQS NNTKQVGIKTLGEMQTFGEVSFFTGLPRTSTIVSLGPVETYKIRRSDFLEAIQSSRQDLE LASYMKDQILHNNQYGLIGLQCFCCNSNEHLIFQCDALHYKPDKEKIISKYQFDHHQLRT RYKRNKKRTNNTRQYLFQIGMVGEEFQENNFLGDQSEILKELTQNYNQQSSTYIQQNQSQ AFFPSREIIKSSDKNSILLISELDDIKEQFQDLDSMIHRKDKSLFPQNNTFQIKNQVIQE LDGEDQSSHSEDNIPNEICFVQLKSSSENKKQNNIMLNIPDTDKVQDTIKVNSCNLLQQQ NNSCMIPNTQENKNYRQGRKSLSSTQLHSQQIDISKKNENLRLPSIQKNGDDFDLNPSDR SSPPINKKNKSPQQNKIRVEENPANFIDLNNSSHFFCLDDKDELDKAQNYLFYYPYQNPE FIISLQELQNQIRYNNVKVHRIQKFNKKSPYTISFVISEKIKQKAIMH >CAK94514 pep:novel supercontig:GCA_000165425.1:CT868678:26622:29286:-1 gene:GSPATT00026838001 transcript:CAK94514 MFQLKQLHLPTVPQIQENEQYTKQGFDDSVLKIQNVSLATRMDYLKKTIKEQKSIITELV EREIRHQKFFSFLEVAWRGFLQRSLRIESVVKKKGLLENKYLNAFQYLDDIFNSQYLLCN NIEGQFKKQISHKFEQFLRIIENIYFEISLEYSEFMEMIKERTNQLLDLEEQDYMEYVKK LISTNKDLVKKNQDIEQNLKQIQKIKEKKLSDLDGQLGFQTIYQLQSLNAESKKQNETLS RRLRILMNYNDKSINQKLNEEEENYECICGGVQIKQMGFNNQLDIVRQSYDNSEDRQLQL TKLDKKYQSQNNSTYIIQQLLLKTLKSKQIKVATIEDDQLNVTFTQSEIKDLEQILNNYQ ILQIQNKKYQQQVTQQQVKIKELEISNEYREDQFVESETFSILQTQNMNLIDVNSQLTQQ LQGLQTQYQEMANSKQFDINQLRNNYEIEIQKLTSEICQLRKFSSDQQFGIKNIYNENER YNQNLKERYEQSQKITDAQSGENNVLKSANKELRNNLDELTKQFQELQNKQNEIEMKNVG LMARIPGELNIEQLTFRHNKHKECIQEALQIIIRHQPQFKEKFQELIGYIKTRDQRIEQF EKEISKKDKQIQDQKRHLQMMMDETDYNSKIYEEVMAKSKIIEQQLSIKEKNEAVLIQDK QAEKTKFENERMQFKEKERQQQEQINQLQQQRANQTQLNQKYSQEKLQINYNHGELVKQL ELYKSQYEKLLSEQSTTKIERDCYAQKIQKSKLINDESLLEIDRLKQKIIELEANIESQS TLLNNPAQLEQVKGYLILKKDDTIFEQAQLSKVKTTACCSECKTQLKEVIIQKCMHMLCK SCGEIAQLKESCPICKVSINLVDIWATKVQE >CAK94515 pep:novel supercontig:GCA_000165425.1:CT868678:29759:31852:-1 gene:GSPATT00026839001 transcript:CAK94515 MKIIIDAINYESDQTNFQVKLKCGPTVLQTVLGNNWNHRFVLEHKKATEIRIEVWQKPND EFQFIGDSIIQRVEGAQKLTIKQSNKEQGFINVQYKEVKDSVTQQILSQDSLNLSKIEKQ AQALQKLNDSLSMLPSPHQDFGSIDEYFSQQFQNEDIVGNSKKVATKLVRESFDKILQNV SIGKEVHLQDDDEFVENEVEKNNIEKLQKQLMKIDCNKLEKKRKQISSEIFQLDEMVQQL RIDQIEQKQQIQNLRQDLIQKLLYLEDKIEHLKKQIRKQKHHVFGSNPNLVNSEIEISSI NLQKQFVEKWQQDPNLEKQRQEFISKQIQEWNDIQIQRKKRIDEQFKKVEQEEKIILQKK NELQSTTNAKKREEIEKNLKLLEEQQAKRKVELEEANRRFEKKKKEKYLALRYAEQDATY MIQLQQERKKILAQNKNPEFDAKEIKQFEDKFNQIHIEKQKKRRVQWDEREAQWNKFKAQ YHSASYQKAKEEYKKIENGIQQEKQERLNKLTQFIQEVKQNHKPTVSEDLQDKLILMKNN IKHQSDYKKYQSLSKIIQSKHQYHGVSQEKLQMIVKKQKLIPLEKLPINKISPRDVGDFY LKQNATFNIKNIPPVKRLQKNDNEAKQSVSSLPNPKSFDYLKHQRQKKAYKILQNGSLDN NILDKEDLQMLDSINKKLLALK >CAK94516 pep:novel supercontig:GCA_000165425.1:CT868678:32962:34566:1 gene:GSPATT00026840001 transcript:CAK94516 MDLYGLIFLELQIWNFWIISSQLLIGLIVLGCYIIYQTFFTIVSYSKFFFSCQKDFQLDS ILEHFLVSQFCIALISLNLVRFYDYSSLDLCYQFLRICQYFCTYLFLQKHGKENALQLHY ILDTLMNIYGILYLNYESIFFWFILGQIALGCIHYCTFIMSLIIFTFSNYYFSICDYIYF LNKVIERDDQAGYMKNFIRLYLLTQLALAIQFIFEQFLLSENIWSELIKTDIIYFIFRII QYSFHLIIQEYYQDFGSHYYYHFTVYFLMDIFGLYSLFLISNSFWNILFQIILGFIIYQW ILIRYVYLKVHKRQFSDNMIKCPLFNTFIIFFMLEIIFSIIGIKFNFEGVKCYLILRMIQ YGMVLLIIKFSFIISDFWKVLITIILLLLSLITVLYLFIKMFRFLQQQIYVNLLLFTQLF VDLIIIGSLLFSQYLKNKNLFLEEYQNAQEDEQIYKMKQMLWWLQEDDIEKIKVDQSCII CYEKLLNDSETCKLECHPTHEFHIQCLQRWEVENSTCPICRQQIKRQIQALVIN >CAK94517 pep:novel supercontig:GCA_000165425.1:CT868678:34692:35033:1 gene:GSPATT00026841001 transcript:CAK94517 MIFEYTLSLNDKIRRAVTQQHLTNQLQKIKLRKPKQESPFTMQSQFRRLENKKWTEIFQE NQKIHQNLTNVKSVLNITSDLSDFQKIAKLNTQNKTNNILPKLQPRCNSLQRV >CAK94518 pep:novel supercontig:GCA_000165425.1:CT868678:35110:35962:1 gene:GSPATT00026842001 transcript:CAK94518 MLGPLKENSDQSDSEDIIDEQTNNLIGIFKVRKLIDSDKQPTDPQLVKIQDYLKCPICLS LFKQAVYIKDCSHRFCKECIEKSIRSQREKSCPTCRKKIATRRDLRVDEIVSKMLNTVVP DMEQYRIQEDLQIQQEIKNFSQQKQKNEEIQKLVSQTDTILSCNVQLYAQNQLIHQIDKN YIRAPFNTTVSDIIQLIGLKLNLPEEFTQYIDIYINNEIQTQMDKTLAELNSEYWGRKED FQIYNPNDFHKWSSSEMNIANRQIHYSIDRFYQGYL >CAK94519 pep:novel supercontig:GCA_000165425.1:CT868678:35966:37624:-1 gene:GSPATT00026843001 transcript:CAK94519 MAYSISKDAQQLLCEIINQISLTERRAEQIRIQLCRKTSFNPYACFKRLDSMNTNQIKPE QVVQLLKENDFFVQELNTLFNKTFLKEFLNYQGFLNIILPKTDGELREITAMKQPTKAVL EKSIDYTLAQLFNLEYNEAINLERLKTRLGNYYNPDQMFQSMDSLNNNILNFKDFDRFFR RNGLLLYEEELIAFFYRVDKQRTGQITLNDFRRMLEPLQKAEQQPNSNNALQTPKQTVIS QPKSSNIFIDELGSSNKAGQIVQSRNLSASNKKKFQSNRRASSITESLQTTKLTENFLND EQRFIDLGLEVLKLEMYLEEIKLKLQQQKDFNSMDFFHFMDLKNKGKVNQHEFAYFLELL QLQNLDVIELFNFLDKDQDGFIRYSDVSEFISPSNMSSTYICKKPAKNSLLSYSLSQLFS KTTIGFIQLLFNQWNENEIVIRLKKKQFLNEQNLFQIFNKLDPFKKGTFNRQDLQRFFEL YNVKDDIKLLYKRLGKLNKEINYKEFELFFIN >CAK94520 pep:novel supercontig:GCA_000165425.1:CT868678:37991:38603:1 gene:GSPATT00026844001 transcript:CAK94520 MKNQGLIRDFEQILIENSPHLIIKSDLQIQSNKNIKFTNMDDSKKQYSKIKNDLREQIIH KILKEKKPIMDVAQEHNLLQSTCKSIINTYMREGRVGKKESRVRKLKKVMRTYEVILNPL YPQMSTIVQSQKIENCVEKSKKGLKEESNQNEKFSEEQELNNFSIVQQWCDQIQQQLILL SHSNQFFQNTANLIQK >CAK94521 pep:novel supercontig:GCA_000165425.1:CT868678:38688:42164:-1 gene:GSPATT00026845001 transcript:CAK94521 MSVNQIIEQVLVSMLDFENTDFKLSKKSQILELIERDELLVMERQWPAKRLNMVEFIKLM IPIVHHKQSELLYLVMGLIELYKDVVAISQQNELSLQDVTSYVCQVDTSENTMVPQRLIS DPKKFNLNKTRIREIDVESAKIIGSNDNEIFHIVPNSLQNDSIRHHNGIVHTGVYCNKQI ITLDSLDSKINIYHVDGSLKQMVKISQHEDKETIILSFAWSDRQQRIGLTLKSHSICMYE GDFKKYRIFSTVLASQEYQTNIWFLENQNQWITTDSTFRLYFWDLLNESASLIFQNKCIQ GNIIECVEVVHMKMIATASLDKQVALWDIQNGEIKITLSLKDNGGIHSLVYSYYYQVFIT CGYSTYINVYDINPKYHDVTQIGKMTGHTSMLTSILMVGKLPVLVSGDDSGSLRLWDIRT FSCLQSLNFGKKTQITKILDMSDINMLCFLGSRVNILKLDIRHNEEIENYAVKVEFDQQR DELIVATKKQIIFLDIYTGKIKTILNGLLSESEDDITQFRPLNYFNKFILADSKGNAKIY HHNGEYISSLKGHSDINVLKLDILNKLVITACQDSILIQKMTGEILREITHFEASQLELS VHHSLILLSQGSKLFYIDYEFVKCLGVLEFDAEITNIIIIANYPLLAVSTIQGKVIFVKF NIKEHIQVESEIFDLYDVGSNNYITEETEQEFVTKMLFNLKELELLLATSESNVIRISLN LDNLETTEPIHERINYNPLRKAREQLSLGETQKIVIPQNFTSIKNLHMFKVSKKQIISIS FLELDNRYILISTSDGQISIFDLIGSLIALYNINHPLPIKWNIQYSKQGELRKRIIYGFK VIDILRKQSKSEKEAEQYSLVESLAVTGKIQLKSPKQYKATVMRDEFSPRDLKFTKIRHL YQAEIQGPTLKQLEAQRRLLEVQNMFREDSKDPKLDFMLKQKERERQKAIDRASNLNFLD PEFRDKSLLNTKFFQNNQYLTNLNQRLENTERQDYSQHTNKEVNNNKQNLSLPITNRHHK SITKKKSVSDFYQYAVEKNLFNNDQNTIDTFASQSSLRPIQISTNRQIKSIGSSLISSDL TWHGQHRQQQKDLSTVLHNLNQKLRLSKNAQINEPILKDISKSDITFEEQIDEFTKRHKL K >CAK94522 pep:novel supercontig:GCA_000165425.1:CT868678:42227:42699:-1 gene:GSPATT00026846001 transcript:CAK94522 MKVPEGSNKKNVKVLITTNTLSVKVNDKVGIDGNLYDKVKSDESVLIFGRLLFKEIKKLM QRKLRTQNHWKFLVLRLKEQLEICMINKGNSKDSQRQRRTWDAEGSPFKGYPFDPSKLNP SNGQIQF >CAK94523 pep:novel supercontig:GCA_000165425.1:CT868678:42730:43029:-1 gene:GSPATT00026847001 transcript:CAK94523 MKIIKCIKRSLLKNIKRNQFILKKQKELQEKQKEQEVQQKEAQSQQQQMIYVQWNEGQVQ QDVKIKQKKNPQKYESLSHKQKIDPYINTVNGGETEKYC >CAK94524 pep:novel supercontig:GCA_000165425.1:CT868678:43709:45338:1 gene:GSPATT00026848001 transcript:CAK94524 MAAMDYNISKITPLLLIYRYHGEWESLTNNVQNYLSIIAIQYLEQTKGETFIQFNPQQDL TQNELSLAKIGSFNIIFLDPIYFDEKLVVGLSNITDMNETTLSWHGKTDFVYYKYYKEKG GYPNVSCEIEYDIQLNYNEDEVDSKKIDITIQLRNEVSDNKECNIQLISQYQLYQSNHYK QILFYSVMLNILCAIQYFCVTKIIDSVVRDDENSIVQRISIFCVGFVTIYDTFLAIKNLS FAFGEAYFPYFIFPSFFFFMLTMNCDLKLLWIICRIRFQDQFLDQQSQRQFLTKFFIIYC MKLIYQFQLDVSVLTLFLLLQEYELYNSFLLVIGFFMTPQIIHNIRQGINPKFIPEYIFG FLSINIAVPLYFRGYPNNFRRLKPSPEFCVCLVLIYLIQILILYLQYKKGPRKIIPKCLL PKQYNYYQDYKTQELEDCAICLLHLMIEPDQQEQDLDRMLVSKLLMITPCGHKFHPSCLK SWMEVKLSCPTCRNTIPPMCE >CAK94525 pep:novel supercontig:GCA_000165425.1:CT868678:46422:48003:-1 gene:GSPATT00026849001 transcript:CAK94525 MRLPTMNHGLSETLFYQLKNAQMDVLLARVDDKSENCNFWQSFASSWTELDSNKLGADGW EVTGGLAHSTQCGPVGIFGGLEKMMRGAVVSKLLKFKPHYKLKIKVLWAKLDSWDNEAAQ IKIDGKLVWERRFQWFEGYFGKICGCPVFEWKTMFARTEVDVDHTGEQAKFEFTSTLDEV GNESVGMRDLYIFYAACADNCAECTGPKDSDCKKCANNWALVGGKCQALPNFVLLEQSFL EDKFTGINGWVLTGNKAGKTVSECNGKSMVGGFDIMGIGAKATKTFDIPPHKRLRLQSTI YKIDSWDGEFMIVKVDGTEVWKTSWNLQTGGANICGQGVWWDGFTSIDEIFNHQAPKAEI IYTSTLDQDAIDESWGFRDFKLWYEPKEACAIFYSECDFKGASFEFCSKSPNFQSDNIPP QIRSIKIPPQGRVTLYENTDYNGKKVTYTTDQTCIQNFDFSLIQMSGHIEGGWIEVEQ >CAK94526 pep:novel supercontig:GCA_000165425.1:CT868678:48055:48521:-1 gene:GSPATT00026850001 transcript:CAK94526 MNCKTLVLVVLAFTAVQVFGEPVAVSQSFTANDFSDADGWTVAGAPAHVTECSGTKMFGG FGKFGARAVASKAFELPPHSYINIKLQFWKIDSWDIKKLMSLLMTNQHGQESSNTTKGRD KSVAKEVTGRKWSLIIT >CAK94527 pep:novel supercontig:GCA_000165425.1:CT868678:49512:50261:1 gene:GSPATT00026851001 transcript:CAK94527 MNPQVRYRPPLPKFDQKLVDIKNIEETKQKSVLQKSDKRRFKQVNLLIDEMLKKLTQVNF QFLQNLNQENIKVQCLEILGDELIEKVKSRKYSSIACALIIHSLRILLIPLRIKEITQII DVDEKQVRKILIQLNLIKPFNEDAFTIAFMIRICTCIGFNQKFQTLCKFFYSHLKNLHLV QGEHEHVIASVLVKLTGDFIFKEKGGINIHTVSEIAGCCEISLKTLLSKLTPYNQTMYES AFEFYQRTK >CAK94528 pep:novel supercontig:GCA_000165425.1:CT868678:50264:50932:-1 gene:GSPATT00026852001 transcript:CAK94528 MKYILALLFVAIAQAGNCGQTCCQDLKTRAPMTFYYFTNTGRFYGGSGESQINTFGYSGA GKYRNDPKAQCIKNQGPAPSNTYTIKTCQNTMHNPPVDRPCSFWIDGNDQDRMCGRTEIM IHGCACCTPSDWSVPPVDGCSAGCIIINEENRKKLRVGDTLIVQDEDPLGENIRADDIIM DQEILYE >CAK94529 pep:novel supercontig:GCA_000165425.1:CT868678:51587:52953:-1 gene:GSPATT00026853001 transcript:CAK94529 MLNTSQTKSTTETTNSIIIDQKYSIIKNIYRGKQYNMYLAINQKESDDINQTDNKDKFYL IKMKRYLSPNYSRQTQQNEIIPELQFLLRLKDANCVPILVNCGEQIISGQMYHYQILQRH GPSIKLIYNYLSKSIPLPILCLIAIQTLTCLETIHKHQIVHRNIRPKKLLLTTNGNEILL SDFKFATKFKLQHGSIYCLENYKSTSNKHFLNKYSSINQHLNQFPTPKDDLESLAYILLV YATNSTVFKVKADNKALKLKKLENIKLSIIPEITFKSAPIEFIHFLNLVKTSNASDFPQE YEKFKQLFRRVIQASGYVEKDLSYPLFHISFQECHPQQSQRHNTQPTRFKNSYHSNQNRE SIQESAPEDEISIYQSDIVGEDRTIEPAIKSIRPQSYKNLSTLNQPKLGSQIVKLKYTKK >CAK94530 pep:novel supercontig:GCA_000165425.1:CT868678:53159:54187:1 gene:GSPATT00026854001 transcript:CAK94530 MDFQHHTNSTSISGSSSPSSKRGVQECYHDIFLEKRQKRSKQNCYRSGSIVLGSVKEVSE DIEDYLISRIPHIQIQNDKFEFRQNISQRDRSSPLLIRQKEYRKRRASLSPHGTNALQRV EEVNGDDDELKNKCIFKLGLILDKNEFNDKQKRSSILKERTNHDPNLSILEDVQENVKDQ PTFANTTLKLKVDEVDFGQDKKQWAPEEIIEIIEEEKPDTIINVKEKEMFQKVKKILHEE RDFLKKILKGEMIEQDDIKKKQEFQMQIGEIYWKQLIQEAEEQVNSDEEHQ >CAK94531 pep:novel supercontig:GCA_000165425.1:CT868678:54366:55419:-1 gene:GSPATT00026855001 transcript:CAK94531 MNMQCKIFKTKEIVYQRDPNDKKKIINGYKVIKIIGEGAYGKIKLAIKDNHEYAIKKFNK FILKKKNKMYKNPNGTTKYVSLLDEVYREIEIHQRLDHPNIIKLHEIYDDEEREKLYVVL EFAEMGQILKWESKQEIFTASWKFDELTFKDYAKQMLMGLQYLQQQYVAHRDIKPHNILL TKNHQIKICDFGSAQQLTPQNDRVKGTEGTFQFVAPECLRENKKSEIPGYNGHLADVWSL GVVLYCIVELKLPFQSEIMLELFNQIETKEIKMKYDGPGKELILKMLIRDTSKRPNATQL LEDPYFQ >CAK94532 pep:novel supercontig:GCA_000165425.1:CT868678:56130:56649:-1 gene:GSPATT00026856001 transcript:CAK94532 MSDNRIRNIPSTASSKQSSQQSSKKIEHSPTSYQLVLSQFCNNQKREKHQKSVPCLQSER SLIHNLQQQIIELTKKVDYLTQENKTLKQNRVNSLDVFIKVLMLEYSNQIPTDEQLQQAV GVIATIENRKPLIKTKSISIKNRFLNLNKSIEINYQPLYHSAPT >CAK94533 pep:novel supercontig:GCA_000165425.1:CT868678:57023:57439:1 gene:GSPATT00026857001 transcript:CAK94533 MQWSYQVQVYIKQKKKNCIPIQYEFYYFTYVTKNYRFRKKINLESFLKNFARSHFSKKTK LKQVLAIKCLQLTHCGRDQSYNLMKMKNKWKSSKVIKAQKQIIYYLLKSVLNQLFIPQEN NRINKIIQFLVYHLDQNS >CAK94534 pep:novel supercontig:GCA_000165425.1:CT868678:57869:58173:-1 gene:GSPATT00026858001 transcript:CAK94534 MFLRIASHFIRQPVIMKQMTRQLTFLPMATILNNHKLLIHNLSQLRTEFLQIEEQNDDDD LLQKEVPVRRTISNYLN >CAK94535 pep:novel supercontig:GCA_000165425.1:CT868678:58185:59345:1 gene:GSPATT00026859001 transcript:CAK94535 MDQFLNEFEQYCRNIGILEQESNFEEKADELGQILFKHILKLNKESLMQLSNRIAKQWLD QQTTKTAQPNSAKQNTKRSKHSQSTPQESMKSIINSNIQSTNYLYDQYIQKEENKILKSQ KIIEEQLKECTFQPQILKKSQQLDTNVPVHDRLAKFGTDQKLKQQISSEIKDKNDLKQCT FRPQVNQKANKVLEGDPFSRLYLNALSQRLSKPQSQEKVYSHKPQLISQPPQQQLGYLSV PVEQRLYNNFFDQQQTLVQQQEVEKLAELEECTFTPMINQYASISNGVENKSKVFERLYN KSATLKSNSEMKDQTQTLNRFSSSKQSEQIIRNASFDKSKYVSQFQIEQSPYDRLHEEYK RIQKKKKIIENQVFSSIPFKPKINKK >CAK94536 pep:novel supercontig:GCA_000165425.1:CT868678:59443:59652:1 gene:GSPATT00026860001 transcript:CAK94536 MKNLGETQLYDLKFYQVIIFGQFFRRLKLKKLNILLQFNNFSYNFLFTEFVKQILCSFQI LQYLIILFY >CAK94537 pep:novel supercontig:GCA_000165425.1:CT868678:59789:60301:1 gene:GSPATT00026861001 transcript:CAK94537 MFLCPLGKYGLHLLKNLIGNTKMIQSIPLTLDQIYGVNFKRVPIFFGEMEGTNPLPINET DEDLYSEKNSSEQLKRSLPPLQKVDIRVFGEKQRRRQNVHKSPIVSTQLEQHIGFRNSFY RNNKINLASRQRIEEIKNREMGLYTKYAQRFNVPKSQIWN >CAK94538 pep:novel supercontig:GCA_000165425.1:CT868678:60822:60932:1 gene:GSPATT00026862001 transcript:CAK94538 MNMIKNRYYKKLRYMKEDDLNEKEKVRGVRKQRKAN >CAK94539 pep:novel supercontig:GCA_000165425.1:CT868678:61122:63869:1 gene:GSPATT00026863001 transcript:CAK94539 MSEAQVNPEVDNQSVISEDSNNEDVDVFGQDQEIDKFPLLTSILDSSWSQILVNLLTIYA LFGDDIRIIAFDKRADDGFDVITIICMIIFSIEIIIASLVRTDYFNSFFFWLDIISTVSQ ILDITSFNIAVGLQGSVAAKSASQLSQANKASKTSSKAIRVVRLVRLIRIVKLYKAASYS QEQAFKRQPIRTQTTKKSKATIYPSVYENINNGPVEQENLNKKENNTEQRGSIESHKLEG EDNANSQENRENQNMVAKQQQIDNSIPKVQQIRRQSMKEPSQVKAKEKKESRVSKRLSDS TTKKVIILVILLLLIMPLFSSDYYFEPSYSLAYAAEYVRVVAEIPNTKLTEINQTIYFVI DQHKSFDTPVGYITNPFTEIENYETPSYQYLRESSKSYYFELVDPVLVGLEYIGDPVILF VSDNSDVESKNSIINIVNTLFVSAVLLFGAIAFSNDANNVALKPIDRMIVKVNLIAKNPQ LAKEMKLESDGTQKETTQIENAIIKIGALLALGFGDAGSAIIGTNMASSGDVDPMLPGKR KWAIYGFCDIRNFTDATEVLQKDVMLFVNNIAEIVHSMVDRYQGSANKNIGDAFLLVWKI NDSQWYEDGNEIKWSNLTFINQLADCALIAFMKIYAKINREPKILEYRNDERLSQRLPGY KVKMGFGLHIGWGIEGAIGSEFKIDASYLSPNVNMASRLEAATKQYGVAVLISSELHQYF SNEVKKYTRQIDKVTVKGSVKPIGLFTVEMEADDLPPSKQDYPQEEKQQVMYEKKQIFLQ QLESGDFNAELYIKRNKDLGLITKNVNQEFLHQFGQGFQGYLLGNWKEAHMLFEKAKQIR PNDGPISTLFNVMGETNFKAPSDWKGYRELTEK >CAK94540 pep:novel supercontig:GCA_000165425.1:CT868678:63976:67468:1 gene:GSPATT00026864001 transcript:CAK94540 MKSYCNINLKITNPNKIQIVYSLLKSIKLSNPKKESSLIEEIENTLSESNYLPNELIGEY DGGFEHNEPILLTLKKMFLKYFIWIGLLKFVSVLFLFTTPVLINYLTTALDESVNDFLIY SGAVLGSTLLSAITDTQYNYQIKILEFRCKSFLVQTLYNSIEAQSNLDLMNLINIDVNTI MNFFISFHEFWSLILKLIIGMTILYLQIQEAVFVGFATAIILMLVNFAIANKIGHYYSSG LIYKDLRMKAVKDFISNPKSIKFLKWENKWESKIMNIREKEFAIIAGSKWLDSLCVLFWS ITNTLISSITLYVYSKNNDLDNVFTIIYVFSLLTNPLNSLPWTLAGMLQAKTSFLRINSF VITSKQKNQYEEGIEINQAELKWNFDSDFTLKIPHFKIDNIMFIGGKVGSGKSSFLLSLL NQMKVQSGFFQVNQSFSYVSQQSWLFKGSIRDNIVMQSKYNDELYKQCLVQSNLIDDIQK YPEKDLFDVGPDGSKLSGGQKQRLCICRALYNQNNIYLMDDIFSSLDPQVGDSIFNNLLK LTKPIIFALNDNYLQRYKKYADQIIIIKDGQVIHDKQQIDMYFQDLQEQTFDLKEQKVNV VQQEAVQDEGENQQSVEVKKTQQSLSFYLKSLGLLNFIWILVSLALMQISFNVYDIWLNT YVNENPLFIFNNSFVLTLFMLVVIDIFIKLSRAVSFAYGNLVQAKKIFIQLLSHVIHAKC SFFDAEESGEILIRFSDDQNVVDNRFPFELNLLCNNVFNFIGTFIVLVVLQYYLILPVVV SALVFYRLQQLYRPTSLSLRRLDAETNSNLATSIFEQFKGILTINAFNKREEFRNIFLRK LTQATQVQWCSRVIALWLQIRLQLVAGFIVLFILLFTALSLLYELPISKSTLQLLLYYSL TKISLFRDLTLSLTTCEQELVSYERQNKYCSHSIEQDKCLDYSEEIKFKEIKLQYFNGQL ALKGINLEIEKGKRVAFCGRTGSGKSSILAVLFQLYEQTDGDLSVPSQQLFEWRNKVGVI PQLGFVFDGTLSENLDCSDQNLISKYISMDGNSQIDSNSISQGMRQLISFSRVVLQNPDI ICLDEATASVDQQVEDFIQQYLRDCGKTIITISHRLEALKDYDRIYYLENGLIVEQGTFQ ELVELGGGFANLRNC >CAK94541 pep:novel supercontig:GCA_000165425.1:CT868678:67537:71013:1 gene:GSPATT00026865001 transcript:CAK94541 MKSTVQDKERQKIKPNQNINIEHCIVKTVSDTFKTFFDSKIKEYGMPTYITMNSEFVFVG MDQAYVVIFRQDCNYTQSHKVLGNEFQISRGRVKMIHISIDGQLLLVVYSNDQFVIYNLK SFIEIFGCRLKLVKNIKIVPLTNQCLYYELIVQLDNNYIIKMPLDLNNTGQFNNTGQFEF ELGIPIYKVGMLCEKQQFEEIKTASIVEEDYIAPMSQIIQDLSIMDTSYQEHIQCMDDND KLTQIDNKAKTSRIIQSKYLMAVAFTNRVQVIQLHFGAKLNQEESKIQLLLNFLRPDAFI YNLVFNQPYPNTFESQQLSIQCSCTWGLGNFKETNKRYVLLIINWGCREYYAFKVMSSNS NIQVIQGGHFYNAIDFATLYTFPLTCKFITNSIFLSFVVNNIDGLISTKLKLMTTSQFEY GVPFTLSQIEKHYNQEKQIQNLSNGQYQPKFSQYVSENKQQVIISQGILDSIQDGYQISN DIIMNFNQLHSNCTYRIIIILVQITFENGLCNILNGTDLYTIRLKKWDEYLIELSKNEEW DKCFETAFSIHKGYLTLLCDIPENDTQRHKYIQDICGNLGLQYIMTQLIKNQQINNTCIQ KVIQFFIKTQNEEQLFDQIETFMVSNGYGNIFYDSLKDLIKQFHVNIPYQNQLKVLRRFS DMNEKDICQRIIFSIQNIQQFDPKKLIEFCQEKDLIEPLIYVCSQFNDFLTPYLRILTLI TVLSDSHHSNQTEKSGITSLTLEQLKVSLFGFLQFCFTGQNQQKPELFFTDKQFKSMFKD LFEYLFDFKNVEKLLEIDYQKSLQVFLLVFSERIQDNLRQYINEGKQIKIQMSKSVQEGF LPEILNLEQTEIHLQVLSRIYVLIKIAEMTYFGKLNNKKEIEKFLQYSYAFSSNIFAICS FRFNSNHILINLLNYMDILRLEESTLFDITWVLRGRVQKDLPDEVIRNEFLDRVMKKLDK SLEGQYMILEELREKAQERKWVLMEGCCLIKMKRIPEALYLYLSHPDWMLSEKVFGVLAD QLRLEKQEEFIEDWVFKNLGILGCENSQKLFNLLYTYKREDIGRVLMELKKVDVVKVDKK MGREQEMNLFIEFLEYLKPRMQIDVSKYL >CAK94542 pep:novel supercontig:GCA_000165425.1:CT868678:71079:72266:1 gene:GSPATT00026866001 transcript:CAK94542 MGNCTGICGKDMVAQTIEDKKQKLDMEEQQQMEIALAVEDVPQDDAQQQAQPKEENLDEL KQEQQHDDKQKNESYHEKVKQVESNEASVNPHKDSQNNQQPVPDEQKEYEPVTLETGATY AGQWRGKMRWGWGKQVWPDGSVYEGYWQNDKACGKGKLIHADGDVYEGEWLNDKANGEGK YIHNNGAQYVGFWKDDKQHGNGKETWPDGAHYEGQYEEGKKHGKGKLVFADGSMYEGQFD NNDIHGYGVYVWADNRKYQGEWKRNKMHGRGTTTWPDGRSYEGDYEDDKKHGQGTFIWSD GRKYIGEWKKGKQHGKGIFVKPGGEQREGEWIDGRRQRWLDEAAGDGHNANN >CAK94543 pep:novel supercontig:GCA_000165425.1:CT868678:72980:75628:1 gene:GSPATT00026867001 transcript:CAK94543 MSQAKGQTSTFKILPYHFIHVLDCNTNVTRVEVGPQTFIRQDHEQVTSGQQPQKMIVLPK QHYCIIENPIVKDKDGQPAKDRYCQFVVLHGEREIRFFDKYSTPFPLYPKENLIQQPTKL TVVKEGSALKIEAQRNFKRGENEILAGDQYLFKGPATYYPRIEEKILGQIDSILIKENQA ILIRAKQEETDSNGVVRKSGEQWLIRTPGYYLPQVYEEFVKIIDAEILDNRKALHLKAIQ TFKDVYSVERKAGEEYLITSEQTSAHIVDVFEELVTVINLTVLDPRQYCIIENPFDFETQ RNKFGSKVLVEGPRSFFLRPNESLQYGIQDSYILSEDQALLLRAKEKCKFTEKKLAKNNQ FEEVEVEYEPGNKWMIHGPCIYTPPIVVEVIEKRERIPLDKNEGVYVRDTRTGQVRTVFG ESYMLKSHEELWSMELPNNVDIIVVISIFPYRWKERQMEGCQLQSSLQYLSTKKTRIVFG PALVCLEPDEVFTQLSLSGKTPKTPGVVNTLHVMMGPEFSTDEITVETSDHAVLKLLLAY NWRFKVDENNIESASKIFAVKDFIGDLCNQMASKVRAAVASVVFDKFHKTSAKLIRTAIF GTDENGKIRKEYLMVKNNLVITNVDIKTVEPVDNQTRQSLQRSVSLAIEISTKKQEQAAQ HVAEQQEQQAQGELDQLRIEDDLKAEAAKQKLLEMENKSQEVTQQGSAIADAEAIAKAEQ IKAQKEYELAQLRARANKIEKEAALKQKQKEQDQNIKYEQEKAELEIRRAKELATIESNK FEQIIEALGQDTLIAMANAGPEMQAELLKGLGLQGYIFTDGNNPINLFNGAQQLIGGALP Q >CAK94544 pep:novel supercontig:GCA_000165425.1:CT868678:75654:76664:-1 gene:GSPATT00026868001 transcript:CAK94544 MSNFSNKKGFTNYSYLGAKQTRTQIKKQVIAAPAMMKKMKLISKSKGKYQLEVDETFLSQ LQQAKQFLAELNDTIHGQVESNQGMVQESNPQFEQQEDDGSSSASDEKSGAQLSQQPQEQ QSQQPNEVKETQDFYRRIPHFFMGRFKKWAKILKEDDVSTFLHQLKSNKKSKQGRYELGD FHKQAINSIKRCFQIDKNDNNEQQKQKKKIKELFLEFLQNEAVLQIIQYNKITNQDQKIK YIDVIPNMVQEMYSDKPFDQFLAQQNIEKQINKKKQLLNETQEVKQQQQQQQQLPQLKQD QSFEEPPIKFTREMSFQSQL >CAK94545 pep:novel supercontig:GCA_000165425.1:CT868678:77322:77857:-1 gene:GSPATT00026869001 transcript:CAK94545 MFKIPYEEEDVHNYLFDNQSRAISLSKMLSNKPMKLTKFYNSNLKLRRKKLNNKNKKTQI KWQKQHQKEIKEPKRQHHPKATKHVKKVTKKPN >CAK94546 pep:novel supercontig:GCA_000165425.1:CT868678:78132:80467:-1 gene:GSPATT00026870001 transcript:CAK94546 MLHLDIEVQTIQFFIGSSLESCSIKLSDSFSTSALDSKVRCKCKEIQEIWEKIELNVQYV EKGNVLDICIYLQLKLYFYDGQTIFGEATINLGFYIENNLPLIIDKITIQNKFDSEAQVQ MSLAWNQVDQVQSKQIRQVSPMRDQPIPTPQPQKPTAQQKKPADELHFDKRTVHATYTQW KDHQEMVREQYVKKLKEPIKKGQMEDEKFEEIRKPEVRVMSPRRFQTPKDYKPINKQQIQ KSTGGFENLKSSNNNPLDFRQLNKDSQNNSNNRAPSKSPNRQDSRIKTRNEVLSATSPNE QSEILKGSTKPKPLSKKGDFQENFGTRPTQIDGGLTLSSKQLDTEVDSTQWRQQLSQLQQ ENAQLKNQIQKLTNENQGLKDQQIKSEAAFNLLSETYSNLRNEYQRIQVKSFNNSSSHSL LVNKETDHLKRELDQKSKEIEFYKKEAELWKKDLEVTKRENAQIKREAEQFKKGLDNQSY DLECQKRDNENKKREIENLEKELQRAQQAIQLVQNDSFIKASQLSGQNSNQEILEQMKNL IKTKQIEVDSKIEEIKQNKIRIAHLEKELFEKGRHSQDQSEQIKNSNTQVEQENEQLKQQ LAEKNVELKKKTQEIEKLMAQNNLILQQPDMQNMDQAKLLTDYIAQIAALKQENESLILQ SKKDQVELESLQHQVEINKKIVVLSDQRHQTLEQQIAELEKAVVGGKQNMADVINAVMEC GGPQLAEEVERFLITRRSTKVS >CAK94547 pep:novel supercontig:GCA_000165425.1:CT868678:80598:81445:1 gene:GSPATT00026871001 transcript:CAK94547 MPFKFHKLKQFFMSAKPQNLDDGSLILNPKAAHKYSLIWMHGLGDTAYGFLDVFQQFPVV KAETKVLLLQAPQRAVTINMGMKFSSWFDIKVLKTNANVEQFIQNFQDTVSMEEIQDSKK IVTNYLDQEVKLVSSKNVFIGGFSQGCCMALETAFSYPQPLGGIVGLSGYLFPTTQINDV QKETPIVLVHGEQDQMIPCNLSKISYQRLDNSKRQMFNHHVIPKMGHEVPMPVIKVMLDF FQNAQK >CAK94548 pep:novel supercontig:GCA_000165425.1:CT868678:81451:82107:-1 gene:GSPATT00026872001 transcript:CAK94548 MDTEIQNQQLSVTLLEFHHSKHHLAYIKNLNAAQKQLEVIAKVQDIIKFNLGGHLNHSLF WENLTPIKNGGGQLPDANNALVQQSIKIGEMLIILKTFFNTRTAAIPGSGCEFLGVDQQS KKLRYLKLPNLEIPQNYGLTPILIMDSEIYMISN >CAK94549 pep:novel supercontig:GCA_000165425.1:CT868678:82215:85229:-1 gene:GSPATT00026873001 transcript:CAK94549 MATALVSAGQSISKLLSFKGLVGTYVQQDIETRLQQEVYKKQIRVREFFTDFDRLRKGWV TEDKFRSALSMINFHFTRDEIEEIIRRYKLNDGLVQYTTFCNKLEEQFLNSEAKAQVFQA PQIFNKDEEDTVKRLMLAIKRKIATKRIFLKQPFQDFDRTACSHITIDQFSRVLNQLGLL PKDQYLQLLIRQYIDNGNPKEVNYVKFCDDVDNVQEMLSGVITGIKHNPKEVHPDDDFIE DKEGLDLISTLFTSKKLTDNINTLEQVLKKIQGDVVMKRIRIREFYKDFDPLRKGLVTES QFARILHLQNIPVTEKEITILLNHYKIDSIPNGQVDYNQFCEDVDKIFTIKGIDKSPQAQ VPQIDDTTTLPARRRYLQMTEQEAIQLDELLMKYKQAIQNKRVLLKPVFEDFDKTKQGYI TTNQFLRILNQFNLFPDPVSLNLLLKRFVDKANLNEVNYYDFCRIVDQSDEGVAISRSHA DAFKNYVKSDNVSQAFIRNDQPNDFEDLMAKLRRIVKEQRQRVAEFLKDFDKLRSGTITV TQLRKGLSMAKILLSDAEFQLILQNFGCKDKLNFVYWKDFTDQVDQVFTTKNLEKVSPSE DVPLMSTQYNYGRVSITERDRQVAEVIKKKFQYFCKATRLDIKQFFQDWDKLGRNKVSPK QFRQTLATVNFILSDEEFQAVVKIYAAEDDGDIRYVQFINDTQPPLEIQTESGASQAYVG VKPKEKEKLQPSVLLEQIKVAVKIKRLRLGDYFKDFDPLRKGLMPTNKFRGVLSQMKIDL DQESLDLLETMYVVPEDPIRVNYAKFIEDVEIVFTKSGLDKDPLMKPPVHVIPTFLDPRD ALTSEEEEALHTIMLRLGEVVKKHRILLKPHFQDKVMYVIHILQDKTKSGKITFTRFRSI MDFHKLPLTDDQFRVICKRFAYQGIEFNYVEFDEILKKYENFYQ >CAK94550 pep:novel supercontig:GCA_000165425.1:CT868678:85281:86243:1 gene:GSPATT00026874001 transcript:CAK94550 MKTKYNKLSPLDESKSAKCELLNDDSLKMNILKQKIIHERSSVLQRDGELRKISEELHNR IMNQRLNFNKPLKYAQFVIHQRKYDTKKNSNAVLNIVKKEQQLQLELSKRDVSQFASYEQ EADKSQLIDKMPQNQKKLKMKYRDIVKSILIKSIAQSFHDDSINVINYHSKPKSISVNEQ PVLPTNNNCAIKPIDQYILNKLENIAQDQLDNSYMPPLSQNEMKTSTQKTSLLKLIPITT ATAESSKLKRIRCQDDFSNSKLIQEFKNDSGVKNYEITRKAQDRITLYQKILKMQNESRS QSQSCYIKKKIVNPIQNKYN >CAK94551 pep:novel supercontig:GCA_000165425.1:CT868678:86484:87107:-1 gene:GSPATT00026875001 transcript:CAK94551 MSSKRQNQKEKQRIMPTIQEHNDLVAEIPKMPTGEVGENQKQFHNDFQREPNEYQNQEKE LNYDQFEQFAQNMSNNTIDSNYPQKLIDFIHQQDCKKMQKQSVQSKQTNDYQQLQEIPNP NQLDRRNQQKQSKEIKPQSTLNEEIKIFEERIVKYTSEVNQEIKLSPRLSEEWKKKFTFM KKKK >CAK94552 pep:novel supercontig:GCA_000165425.1:CT868678:87854:89909:-1 gene:GSPATT00026876001 transcript:CAK94552 MIDAGSTFDLTAPPQFLQPKINVSKQPEQAINKPQKELQQKTQNSNSKLPQLSNNQNATK NANQKQTQQVQQQSPKPIQKAKKIDLFRDALQQATTKYLPPGKKEKDKTQKPKPQQELQQ QQMEEIKKEYEDLVQNHYKDLYVHVANEQQKSLQDLHNKRIVTALQDLESRPKVQNALEK KEEQINKQRLYKDRLLYEIKQMIIQSRRLDELLNDLVLQNEDTVKETIEELLEKEQIQED KMAKQSYEIAKLRDMYLKRRKLLLEVQKQCQITYDGLKIKYQQYEASSQSMMSRKKQLES LSKIVAKYRSEFQSQQNFFGASLRGRFDPMLEEILEDELSKCGDEQALEIVQQGEKKIIA IKEDNEKRKRGEQKDKAKLAIENATQNINKQKQDIQQELEKKKQMFQKLRVVTSISNQEI MKKYKLNMDLDQKELKNIQEYVGKEIERYERQNDELRAEIKKLKYEQENSILDAQINLDE LERDVTKQQDLFSEKEKQVKKVEKSIDEVTMSLSRIMYQLSGKGMKAKNIEIKRSALVAT ASTIQLRLERMLTVLSKTQEFLNEESINTNPRYNKVEDFICLNPKSYISQDINEQIEGNI KFVYKEEDSSDEDCKEMDEVRQQVKLKAQEDKPQVVVVQKKDKKPNK >CAK94553 pep:novel supercontig:GCA_000165425.1:CT868678:89965:91293:-1 gene:GSPATT00026877001 transcript:CAK94553 MNIKFVYNQKTHKISSKKNQTLAEVRGAILNVYPNELQNGFTIYATLDQTQPPYQLQDEQ FFSRVKDLYTHLGWQSIKFIVKDINNPQLTADDINALNQSVVIKQNVQLSQFDDILQKKV ADQPKEEKQEIKQINTQEQQNNVAEGFTQQIIQQINKLSLQDVDCNNEEFKKFIIEQVDE RLVFHGIIVNNKKPQVQKENPINPQYKMQVIYKQNNEIQMEIGKPYKWEVHLKNDGNVIW KKGAVKLVGIAGTYKDLKIELQNDVKPQEEGLFSYVLNPPVYEVNNVSNEFKLAHFNGNR PEFFGQKVCFCLFVKNNIEKNERPSTITQKDAKFNATINDSKLQKINKLTEILGIHNSQA QQFVEENQNLMIEELVQAFLDKKN >CAK94554 pep:novel supercontig:GCA_000165425.1:CT868678:91756:93921:1 gene:GSPATT00026878001 transcript:CAK94554 MIKNILLTCFYGIIVILSLILIYVQRKIKRLRHNPGQIIFAILVSQCIMSLFIVFSQFYN VEDSQDQQEYMLSINESICKLIAAPSLMVSLIYNLLNILLIVNLYCQIYAKNYDEINIQK EIKIGILVVTFTSLLLVLVFDELGFRRLQLRITQCFCNIFGLSKERSYYNFIYNYPPEIL QTEIYKTVIDKFIKKYLQTYIKVNVAYGLLYVFARLLIPVVINYFESDQKFTSVCQFIQV IATLIMTIIRLHEPIIHRHFRHLFLPKKLVLHQRLLEKNFDEKEDTLQRSYDNIKIETIG QDINSIGIKLYRQKKSNSILLSLEQFQPLQLLPQESTYEDPQQYLVSIQHQGHNQLSLFL QASYEMFEEYQYCQNDEMTSFSFNKMQQKSIQINHHDLLITTYGQDILSYKLREYFGITL KQIKKSLDKEQNIECLKQEEVNYVGTLFLTHDNLISMEFITNEQKRQLTKGGGMQQLWSR YEQEFICELGIFLPVIIGLHSYYLDDNYYTLVFKLNRFKLKYPLIEEQWSQQFVLNRLIS QNIIGWITIDNGIYNKRFLAREVSDENYKILLKRSDYLIDENDKYSLIDMIKRDYVTLQQ MKCSMTIHFIFTKHTSSRLKTLVRKEKLIDQDQSIETQPKRQSNSYIGQMASFELKTKLG YVEVFWDDCWKYCDSNIDKVLEIINNKF >CAK94555 pep:novel supercontig:GCA_000165425.1:CT868678:94612:96012:1 gene:GSPATT00026879001 transcript:CAK94555 MQWILLGEGAYSSVYKVKRLEDSQEYALKKVKLQNLNDKEKQNAMNEVRILASIKHPNII SYKEAFIDIKSNSLCIVMEFADGSDLYQKIVNSKKNGKQIEEQIIWNIFIQIVRGLKALH ELKILHRDLKSANVFLYQNGDVKLGDMNVSKVLEKGLSYTQTGTPFYASPEVWKDQPYDQ KSDIWSLGCVVYEMASLKPPFQADGMEELYKRVIRGYYPRISQNYSQDLSNVIRSMLQVQ PHLRPNCDKLLQFPSLLKRQDDMPKQEQTDNEPNTLLSTIKFPKNYHYFTSMLPKPCYEC VNRKQIKSLHSQQASPNPRSLMSVNGSYEDVTSQQTIEKKEPITNNRKAQPDVLLILEQY NKQLRKPVMKVRPNRHQSQEEVSVLEVITQHQSKLSLENNRLPMLEEVSPLKRKAKPNKH RNQSLPNANLLPLIQAGVLK >CAK94556 pep:novel supercontig:GCA_000165425.1:CT868678:96037:97429:1 gene:GSPATT00026880001 transcript:CAK94556 MIHNQNRNPISDPYALEDQLARELQKRKVEEEKRRREIERICAESEEIKLLKQKVQTAYV TKERTQQLAEQQLRRIQDLRLESEIETAILEKLKREQEEERAKEKYRLHQRLEGKYTLQK QMKEHEQLREEAKEQYVYEKDQVNRVINQLMQEDRKFLEDSARKKKIAFSDMQVALREKA ELIQRMKQRERDENQKYLDFIKEKDRQAHEIKVKKQEENAAKDKIFQKLKEEEERRKQEA ELLTELRFQLYQEQYDAQQRQKDIDEANKREFQKREMQQAEYEARVRKQKQKEEEQQLER DFRDQMMRKFAEDDKIEQLGQQKRRMKEMEHKKEVERLWQQRLQLFQMEKQKEMEQLERQ RREELYKQQVIEEEKNRILQDHLQQVGEFIPKGLLLKQGDTQYIKQSQPNGSYQSGFRF >CAK94557 pep:novel supercontig:GCA_000165425.1:CT868678:97611:103109:1 gene:GSPATT00026881001 transcript:CAK94557 MHFTTLQRYKQYQVCSACPSGKYQSPSGGGCSNNCMASCTTCSDGTTCDTCSGANQYLSL PSQTCTSCPTGCLTCDSFSCLSCTTGYYLSTICIQCSTPCSTCSSSTNCSACNSGYYLSG SSCLSCTSPSTYCGSPCSCSSGYYLVGSCCYPCQSPCAQCSGSATSCTACVDPTNQSTPS CNCNLPTKYLDYLNNYQCSNCVSPCLNCSSSSSCSSCINTYYLQVTSCYPCTSPCVNCST SSTNCTSCIDSAHQSTPSCQCSSGYLMNTSTHMCNACTFPCLTCQNSVSECHSCASTYQY DSTAHTCTCLTNQYEDSGSPKTCQNCQSPCLTCSSNVNCNSCISGINRHLSGSSCICDDG YYDNAGVCTLCSLPCTKCTSPTVCTECYQISYQVLSDCHCMNTYYMDATFTCQSCISPCL NCSSNSVCTSCIDNYYLDTTNCVQCTLPCFNCVDIDTKCTSCAHPQQTVQSNQCVCDDGY YMDVSYYCQLCTYPCSKCTNTSTQCTDCASTFISSGSNSCICADGKYEETTNSPSDCQTC TSPCVKCEITSIHCLTCIDTNQSVDPSSYQCICNIGWVANGNYCDQCLSPCTSCSGTTTY CTACKDAHHQIVSGQCICESGWVNDANYDCQPCVSPCSSCSINTTHCDSCLDIHHIINAS YQCICQDTYYSDTISHCEPCVLPCANCDINGCLTCIDTNQYIDSNLDCVCNNGYYMDTVN CSLCQLPCVYCTTLLDCLTCIDANQSIVGDRCVCNDGYYANGNYCNQCQLPCTKCVTTQN TCTQCVDPNHLLINNNCVCKPGYGQSGLNGNYCSICQYPCLECSININTCTKCVDQNLFK LENNQCQCQEGYYKDNNNQCQICAPQCKTCEEFLDYCLICKDDSFILINNQCSCNSGYFL NNQNNCQACIEYCLQCNDQISCEVCKDGYFYESMVCNKCSNNCKTCLNQNDFCQSCNNNY DLNNNQCKCGVGFYEQNDTCIKCKYPCIDCSNETTCLQCAQIQKLSLSNQQKCICQDGYY WSISECQLCHTNCLTCQETSIKCLSCDLSLNKILQNDQCVCTSNYYLSDENTCTTCDSEQ GKVTESCKYKNCNDLVWTYGEDCDDGNQIIGDGCSNCKIDNNYICANTIMQISLCYQCSQ FCISCQQNSITKLSECVKCKSGYYLNENLCQICDKQCKECESNPQNCTSCRFLQLSSQRC KLCESKQGYYSDYSINTCYTKCGDSIVADSEQCDDGNQINGDGCSNKCLIEKKFICQNDV CITPNYPNPKLSIFGTPKRYEKERSFKLEYNVPLKIIKSTFDLSSDLKFYIENRSGDVYL LDYPYSFIQNMTEVENSYYYYEAIITLQLNQSSQYQIFNIYFLNLSLIQSKEGYEQIIDH VKASIEEYLLVDETTQSLTETLGSFSINLFYILLILLAVSILLGGLDIFYNLLDTIQLLS YLKYINLKFPYNLQTYFEIFGFAQLSFIQNYLKIEDFFSDFISIQDLKPLPKKIRDDNYS SIYLVNICQILSVYVMLFGIYSVAFIIPLTIRQVRFKYYEDYPEKDAFILKLKVYFLSIK IFIGEMCSKVVNELFYSGILRTFMATAYDYSFLMVLQIYSIDISSNSLLLSFSSLISLLA FLFYIAICLLIIYLLGKHKLSLTCKPNIEKFGSIIEGIKLKNNYQKCFNVILLIKKLMFM IILVFCYEDPLSQTVNLFLLSLITALYLFHHKPIEDQNEYNKQLSTEISLCLTYVFLIIL IINEQIKRLNIKEQSYIGWICIVFITSIIVIQLIIDLIQQWRMLLKKFATLRRFINKISN MFKKKAEEAHPNQNVFEEIQNHEFYQSIILYK >CAK94558 pep:novel supercontig:GCA_000165425.1:CT868678:103536:104663:-1 gene:GSPATT00026882001 transcript:CAK94558 MGDENFTIELSSSSLDLVNSEQRITFKLSLNLIITWIFYGDKIIGFKIENLQIDGATEDM IKLKMSLGCLVSFKGILKFYTFQQTIYLNKNNVTKICQLRSNRNFRIYACKCYKKCNRSI ESIHDEINLLLKLQGHRFISMIYEVYESESCIYLIMEHLYRYFDDDFTDEEVKIIVYVNF IITQELALNNQKTRKSVHSSQEQLVKTNRISNAVLQNTTNHEFELDIFKVGTLIYQRNNQ DDKEQSPEIPDSGSDLMKNLLENQQYYRFNIASALQHPYFNSLNGDGIEQCKFHSMNPKF KDKIDETLSFQTKTFENTLLSQIKQQYFPGDLSTELQN >CAK94559 pep:novel supercontig:GCA_000165425.1:CT868678:105348:107169:-1 gene:GSPATT00026883001 transcript:CAK94559 MKLQLYSLFSQEVYCKNQTQVESQIKTKNDLKLYGNSRIASRIQTVRNGNAWNQLNDVID NEILYLNLPQIIMKQQSMSTNMTLGSLYFKVYFKIHYHTESGKAIYIVGDNKQLGNWNPV KGLRLQWNENDEWTICIKIDRSQYQKIEYKFIVNNYENPSLQASIWEPGENRVITTHMIQ NETKSEYFNCEYWGYRTIKLKLNYNLTQRQRMMIVGSIEQLGQWSHPVLMKCQQKIDILN GEPVQQWSISFIVDPMNFSFRYFYVIRNDENGSMIWERGNGRYLKSSDLRSFRQVQNAYA NSPIKIKTQLLAACQNYRLQKFKNGSFCSDRQLKINKETNLGYSFSDKEPSFFYYESFGR LNKLDWNFVVQFTISQISENIIIGPYPQNEQDILVLKQNGIKAVLNLQTRLDIYHRGVDW DEIQNTYKKNDMVMKNFEIFDMDPVDFEKKAFKAVQMLKKLINNYEFVYVHCTSGIGRAP SLVVLYLATVLQVPLNEAISFVKSKREHFYINHDMLRKSLQKTTMYNNGEGYEKAQVYNQ FQIGSQTKIYEKKFDYNLLY >CAK94560 pep:novel supercontig:GCA_000165425.1:CT868678:108113:109354:1 gene:GSPATT00026884001 transcript:CAK94560 MAPLPEPSSQNVQSVENKDVFRFIYKNKEYDVSEYVPKHPAGKSFFDKMKDEKEDFTEYF RCLHSKRALKILKSQKVVRSNIKESEESKQYSHIKKQVKNLFEPDWTIELLLFVGLALGL YLGVTSDWCIAIPSIVLTQIVAGWQGHSTNHNRNPLLYKLSIPYGIIHGFSADWWQFKHN NHHIFTNRIGKDDDINHTYQLWQYGFLYLKWKFDSFLASYNKIDIIYILIHQIIVFQQKI WIYLVAQYIAGFFSACILIGNHEREYKFFNKIDKPFIEHQIITSRNYDWTDWLSNLLMGG MQFQTEHHLFPQIPFYRLPYAAKIINRELNKFGYKIHIGKIL >CAK94561 pep:novel supercontig:GCA_000165425.1:CT868678:109358:113210:-1 gene:GSPATT00026885001 transcript:CAK94561 MSQISNDSNTQFYSPKSSTNQDQFKSAQDKTFTPYDERSITPKSNTNSNIYNPKDQTPIV NADFIAQFGPAPQQELQQSKIQFYGFDDFPQMGENINNEEKGVEFDSSYEYEKLKAQQQL ENRKQSRDMKDIEDLFNKTNSQNAFSSSEQFYKFNSQGIQNYGNTEKQPLDIFKMTENQP EKQNFPQFNEFSSTHQKTQQMAFTFHEVNQFLNEGTQNNVITDSLYSQSFAPKANFEVAE NNFSQFQLQQLDYNQNLNFHKANSTPVQQNIFEQPKVVPSQQSLSDEMPGSNISSQIRNQ TASQPQLNYGQQISPQSNSYYFLQQQQQPEQTTNYSPIQSLEIIKQIQQFDLSSEREKKF QQNDYVINSKLQTKEDDSEIPWQDFNPLQQSHDNQIQDNIFKMKDQEVNLPQDIIKQSQF ANEPKASNLAPIQVWQEFIPQSDPQSSNQLKKPENPLQQQDIFQIQAQNQQQYEQQQQKQ QSYKFIESDNQQFEDEEFQPNFPVHMEPFQDNQNLPFKEQNPNTQIPIENLNGLIQSHEI QSNESNHNGDLPYLKQLQTDQSLKHENNRIMSHNSFKSFQESQQNFEEEIYYDFDDFDQT IETQNFNQKQQQNQYKISSQQLVDQQQELKITPRNEGNKQTKSVNENSQPNATPNQSPPF QSITNSSKSANDKKKNNPFDEVENNELPKWDKDFPQFDYSQFEAKPELKKQLESITEMQE ESLTQSQAIQNRNSTQFQENTLTEFQEKSIEESKVIQPSIKVQSQEIPTSFKGFHGVRES KDSNKEPLNPQLLAEQLIPGLQDIIKKSFQSNIQSQLQLLTQQFQQKLQDDELDNFDIDK INSKVFMIENLVQGYGKKLDQITEMLNREKEMQEQQRISEQKAQHYGQDSQQHIQHQKQK QICSPLDLDLEDHFEEQPIQNVQHQNQGYSKVKIAQSNLLYNTQQKGFVPYQTLYQTQSQ YFSESRMKDAQVDNIQKYQTNYQFQKQSLKGLQDYEIQNFKKQCLSDHITLLDTYELIVT LKSEKYDSMDAQGYKVIIILKNKAQYEIQNLIVLFNSNKIKDEYTLRSEKVSQQYLNPGE IIRQEITFQHYDLQSVYLNCYIKYTINNLKMGYNFNKMSQSQGLQGTQDYSNLYLSQMNS RYAVQDLGQFKRNFQFCIARPANKFFVYNFITSEELQDCEMKCQSEQFQLRSLEELVIFH PWLIQIDQFSVGGKVFVQLKNGGHEFMIKVVNKNQKGIVFMNGMNMDLKQTEHFLTFFAF LFSKLY >CAK94562 pep:novel supercontig:GCA_000165425.1:CT868678:113252:114419:-1 gene:GSPATT00026886001 transcript:CAK94562 MAQTSKRKQSRSFEDSEVAILTQVIVQGEAVQGRWTKDEHQRFVEALSIHGKNWKKVEEY VGTRSGAQIRSHAQKFFNRLEKEFNKQFNGLKSSEIKQIFENKRFHNFTEGDQTQRRQRT GSINDISDDEIQGNLDSMQIECNQLLLQQQQQQVKTQLQQGILDLNLPDSCQHYQNTMDQ VTKYQQQQNKLQKKIQEIVDRNYPDDLIKEICDLNKEKDQIIAIEQIQNAYQSIAEVNQI EQQQSQKQQQPQQIQSENSQQSKVNNLINEESRPGTDESFFHFIMARNQKYINNRKLSLS DLIDVPKKDEKTQESELQEQKQQQQQENEGQRSRKQSFTFYNDQVEDNELRYQTLKKNKK EQ >CAK94563 pep:novel supercontig:GCA_000165425.1:CT868678:114451:116310:-1 gene:GSPATT00026887001 transcript:CAK94563 MNFAQQQTYEENPFQFELDSKKFLQKLEKERNSGYNDDDEEQKQVPHTQKLYQTSAKSTR FGLLQKLEDQTNKLAQERDEMFVNEAKMRDPNQPQKIDEIAQHIKHFNPKLMEYRDDEAR KTIYGKEDKKKDYELQEMKKKKYRIKSILREKRETITDFIEKKREICLANLNIMTKKEET ERLEDFIKNEQESLRARRLYFKNDCELVKKFMNEVKFQADQAAYQADREAKKKDEVKTEV AKILAQIDRLKLQKTKYGEEFEKLDKYRQFLEKIKDTYKTKFSEFEKKDINLFSNQQSEQ KNQFFVTGVDQREEQKQTQEQIEAERQQERMADLVIEILNNIEEGNLRNIQNQRDAEEDI EQKKRELEKLEQKLKNEQDEHLEMLKAEERKLKAQLKIQNQLKDQEKVDQTKLQFAEGED LDMDKIGKKIIEIQQEATKNQDIMGKRLQIDSKVIKQVINQLEKIVIDLSESKLQFLLRS KTDFIEAEKKIKKEKQQQRLNNQKDEEKKKQIMERRNKKEEHLQKFYKGRHDMKRNYKQE KPVVETEETETDQNDDEKYLRESYELVYVPPQQKSHNQQQSNELNIKQNDRQQ >CAK94564 pep:novel supercontig:GCA_000165425.1:CT868678:116491:118651:1 gene:GSPATT00026888001 transcript:CAK94564 MSNQPFQVYLRIKPLLESRQKVHAYSFSTETLIEDLNDNRIVIRKDGKSSKSFVFDKIFS GVQNNEDIFDQSLRHNLDHFVEGYNTTILAYGITGSGKSHTIFGNEKDEGLSFKCINYLV NRMKYMSPEANVSMEMSFIEVYNETIRDLMSDQPKPLMIMQDNQKGSYIQGLQTIKINSI NDVLYCINIANQNRSLAQTIYNVYSSRSHALIQFNLSYCFEGQTITPKLFIVDLAGSERV YQDQKSKNQQEGSNINRSLLALSNCLTILSDKSKKNQHIPYRNSKLTRLLQDSLGGNTRT IMISCIQQNKCQYDEILNTLQYSSRATQIKKQVQKSLHQQISEEKIMIPSNINDSEMSTK QLYLTKIYNDIYSNIEEYHEINNSLIEIQNNILQNQYQIEEIYSMSLQNNLNSINDDQMY HNLMIAQKQNQEIEQQLQNALKVNLKQKQLQKSLLLQITEEQQQYIDYWKIKYEESQKEI SDLKQVLCTKNEDINSKESQIQSQQLLLEQIKTKNPSLYTTNNDSDCSYPSSFASSTSTS QIKKKSSLTHLNIQNTLSQQSQLFDMIDRPRFSNLSHIKQILASRERSPVNSIFRQSPIR SPLRKKPSIRNITSISKVEQSEISQISSIKKSRLINDPQITTINLYGSQYINLIDKENIS >CAK94565 pep:novel supercontig:GCA_000165425.1:CT868678:119301:120035:1 gene:GSPATT00026889001 transcript:CAK94565 MNSSSKIRKGSRNMKYKKISHEQRTQIINELTKNGKTLKEVSEETHLKPSTVKAILQVYL KEGRIGKKSTRDRKVKLLNTTVIALIDKTKNSDYAMENLQFIHPMIKINQQSSEISHNGQ TLTETQQKLDQYSKSLMMTQVKDFLREKKQEILEQLVNPLAKQNFLNQLAQFEVADQLPF QELKKEHLQAEDEQLVEKITQHLKSKVKL >CAK94566 pep:novel supercontig:GCA_000165425.1:CT868678:120041:128211:-1 gene:GSPATT00026890001 transcript:CAK94566 MLQLVPNYHVEMIRNSLKSNQQKLYDAIEGQQFENALFILDQLKSRSTWISGIYLIMSRH KLDKNIINRFLKIVQESDEAEMAECEQSALTQICQQIMNVEMITLLYKYNLEGWVQLSPK ILEYLRKSIHPSVPQIFINYFIKQNIELLEKHEQSFNEDFVWTLQDIRTFYKLMPTNIQH PYYQIIQISIYVRMLDLENVPCPISPQTIPIQYPTKLEEILKKLVFSPHKDCLELYVFCL IQLGLLGIVIKHLKSNLTQEQQTQIRKQFMETALKQDYIVDVEVFKFLDGVQSFDHEIKL KVYNKNPQFYENIDSLDIFDDESILNGLMHNFNYLVNQQKVIKQLAQYNSINSLKAIWIL HIDGAIPHLTTNPIQEFKLKNFKCLNIFDLIQFKYNYDHLIEALKVTTICIWTDRDIRQE ELENKQLLAYIKQCITRENCQTIFKLLFNIFNGLFEESQDIQYIQNQVPYFLELLTILLF EQNVEVAQKQYYLQQLLLLTKNLENVLIIMKCQLVERLIFFLRIESEFQELIIQILINCI KVRFSLQYLQAIAQFMSPYQSLIMIPYIEEKQKLTQYRKIASILGVPLSSIECGSYQQQM YFQDQIADKDLFLKNSTLLLYCLNKVISIQNDQYYYFTGHDSGIIVKGQMQFETQNNIFS IILQFKGQLKQSTLLNWGNQDINFSVVIEDNQLLGIRYKQQNIIKTAKYTLKYQENFIVI NFNQSRAFQVNINGNDVLARQADQFDNFQIPVLNFFAVGAKLTDYKSLSDSFRGEMRLLY ILDQNINAEDMYILEKKSQNNSILFSQLLRMQIKGRILIYLNTQSQKQDIQFDGLLNQSN QIFIENCQYLMFRGRRQKQTTISKFMGKLFQQRISSNSMEVTNQNSKNVLFLENTTLLDV MKSYGNLDILFFPLHILNHPQCVISVLQLLNTIIQKFGDDNSIQNYLRSDSQYKGIKVLG YLLTVHMKTQGCSIQLLQQILNFYNSLLQCQIIQILKQDCMCIYHEFEFWQYCQYDILSY LYSYLFEQLQNNEELFTYFKDQVQKFVLYFVKFNQQLMKRSKGDQQTYIQTRRMIIKCIF VHNVQPFFLNAIQDKRNTSTEKLQVLKNEILLLLNHLTLKTINDLVVILGFTVRKPQNNL ILELLTDIRQGNQSVQKESFLDVMQSQFALKMENDDTILQIIFKLVYGVIIEMVNQNTLD DQLLGPLMEILVKVQVHQINYEYKLLELQQTKNSQQQQKTKEYSAIKSLFIKINYILFEI TSNIICQQQTFQVLINLSSLVLKQELNLEKEVLNLLLSHFSFYNLETKQLIIEFFLQSQQ YKVFMNQLCNHKELMNFIRELINIETGQTLINYIVLHHIDNIDFSAKKILKLFNMIQQQN NQFMKVILTILLLQNNTNSKQNPKSEIALLDLFMLLPTAITQNKELILSDPQLFVKVFHE YVTYLQKQNKLYSFYPDTEFIGYYEYDPVPFFGFQQAEVSKKYIYPNGGVQAVVLFILFY SLELLIQNNEAKQLLLIWKKLLVEKSKCQFQHNSQTLINNEQIQNLQMKIKQIKIKSQKS SLMQKIKQSVLGEYQLTQYDKYFHDMHLYHVLNFQLISQQYSYSEELYDIFTSIKYKYDN FLNFQSLWSIIDSNNLQNYADQLSTTINLKQLSTLTSFEELNNRAYQIITQFNLQSQQVQ QAIQLNGKLSNDAKKFVDLLSKVNSPLKYLELFKEKEELIQKACVYLKLYSFRQVQFAQE LSVLLNIYSLQHSNLDQMDNSSINTNNQQSILSLSTHQSQLYDYPKISTNLFNQYRQEYL QIIQKFENEFIIKQLIIQFKVKLHFKQNTHKRGLWYFMNYGDAHLYEQLHHENLETFLEL LGKERYSINSYEDSMRRKMFLKVFAKQKKTYEHIQLNTTAQGIFSDLYSAQQSIQQKDKV INNENQRFTAELITQRGFYRGKIRITNEYFMFENFGLDIQFQEVQYKFQKDSLLKTEKQK LIPLAQIKEVFPRSYLAQPVAIELFTNNNKTYLFNLFGQRQTVMKLLSQCCNVIMNPIEQ FKKSGIIEKWRRGDITNFQYLIEVNKYGGRTYNDLNQYPIFPWVIANYVDFDISNKDHFR KLDIPIGAINQKRLENFLERFKQANPEDMNMYFIYGTHYSHSAIVMSFLMRMEPFASLHQ ELQSGRFDKADRLFHSLESQWHSVTNSSSDVKELIPEFFYFSEFLKNKNKFDLGQLQSGT VVSDVTLPQYINTNSPEEMIFLNRLVLESDHVSFNLHNWIDLIFGYKSGMNAQKYNNLYH RLTYSNYVQQLLEKTDDEVLKEQYITQVYYYGQTPQQLFKKDHPVKQFSKLNDQNPLLQL KQITLMISKQIIEIDQLICNDKYLVLITNEQEIHVFNVEHRITSFKIPKDYGDQEVKPLK FNQDNIFLLFDDSLVVGGYSDNSVKVYCLKDLKLTCSVLFHTKVVTSLGKSSTQLLCGSR DTRISVWEWTLSHQPEFILYGHQNEVTTIEVDQILQIILSCDLKGDILIHTLKGAFLKLI ETSINDCYQIKIHPSGFILISQYKNLIIYTLQGELFLFRGLLDHIISINVLNEFSPEILI LTYDGTIFITSIIQLKRTDDFNQYCLKKYKLGEMEIKMETNQLKKVKELIKTKHLGFMNS QVSCSQFIQANNHRVLIIAYENGQIISLYEQPKTTSLL >CAK94567 pep:novel supercontig:GCA_000165425.1:CT868678:128791:134940:1 gene:GSPATT00026891001 transcript:CAK94567 MYSIKTKNLISCFSKNTQMNLQTLLFFFPTSFIQKVENAISKQGGNQKWLIFEYSQLISK HNEKVNKLFYCFKRPFHYKTYPPKLEKFNFNQFNEITYKILSQKSQIIQFLQNNSIQIYH TYIINIRISKTLKIMNQNALLILLTVSCLLQGGFGCPAGTWGISPCLPCMSECATCSIAT QCNSCKPGYYYVSSGFGQCPDCSSTCKTCTSSSTHCTSCNDGYYLSGTNCPACLSPCSLC VTTNSNCLGCVSGYYISGSTCVTCSKPCAECTTSPSNCTVCVDSANQAIPSCDCTQPTKY LDTTTYMCNNCVSPCLNCSTASLCDSCVNGYVISGHACLPCTKPCANCTINQTNCQTCVD SANQTPPSCSCNSGFIMNLSTFMCDQCIHPCLTCQNSVSECHSCASTYQYDSTAHTCTCL TNQYEDSGSPKTCQNCQSPCLTCSSNVNCNSCISGINRHQSGSSCICDDGYYDNGGVCTL CSLPCTKCTSPTVCTECYQISYQVLSDCHCMNTYYMDATFTCQSCISPCLNCSSNSVCTS CIDNYYLDTTNCVQCTLPCFNCVDIDTKCTSCAHPQQTVQSNQCVCDDGYYMDASYYCQL CTHPCSKCTNTSTQCTDCASTFISSGSNSCICADGKYEETTNSPSDCQTCTSPCVKCEIT STHCLTCIDTNQSVDPSSYQCICNIGWVANGNYCDQCLSPCTSCSGTTTYCTACKDAHHQ IVSGQCICESGWVNDANYDCQPCVSPCSSCSINTTHCDSCLDIHHIINASYQCICQDTYY SDTISHCEPCVLPCANCDINGCLTCIDTNQYIDSNLDCICNNGYYMDTVNCSLCQLPCVY CTTLLDCLTCIDANQSIVGDRCVCNDGYYASGNYCNQCQLPCTKCVTTQNTCTQCVDPNH LLINNNCVCKPGYGQSGLNGNYCSMCQYPCLECSINVNTCTKCIDSSLFKLENNQCLCQE GYYKDENQCLRCAPQCKSCEQFQDYCLACKDVTFIQINNQCFCDYGYFLNSAFQCKPCNS PCTTCQYNNNFCTSCIKEYQYLINNTCVCQDGYYMQNYECSLCYYTCTKCFSFNICNACV DGYYLNNDICTQCDTQCLTCIDHDECLACSDGYYMVQTHKCTSCISNCKECDNSYTCITC FDGYFLYDEQCVKCDDNCHTCVDEYQKCLSCNDNFLLIDNKCICQEGYYYQNYECLKCQY PCKICEQEFKCNECLSLSNIILSDQNMCVCKDGYFWLDNGCQQCDETCQTCFLKSQNCLS CQPQSNRVLFNNKCQCQNGYYLNEFNLCQTCNSEEGKIIKSCKYKNCPDSQWTYGEEYGC SNCIIDKYYSCVNTILQQSICFKCPQYCELCNEDDLNQLVCKKCQSGYFVDANSCNKCND KCKECADSSSNCLSCRFVQNDQKQCKLCESMDGYYTDYKNNLCYSKCGDGIKSKDEECDD GNILDFDGCNQNCRKEQNYICQMGICLSTSNIPVPKLQAIGDTSLYNPQRLFQLTYNLDL DLPDNFNLSQFIQLELYNGFEFKNINYEYSITQQLEIQNSNKTQLSAIIKLTLNRTSSQE SLQIVYNNLTSFSSDGQIQQISYLTQEIKKYTLIDQQLIEEVGLVNSGNYYILYILAGFA GGSILFGGVDIFYNLLDTLQMLSYLKYINTQFPYNLEQFFDLFGFAQLSFISKYFDIEGL IDPYIDFQRLKSIPKKISDDGYSSLFIINGTSLLTIWLSFLFVFAIAIMVLPILRQFQMK YFSDTPEKDKWVLRIKLYLLSIKIFIGELCYIIISEFIFSGMIRTHISTAYDYSFSMILQ ISALELRSQDQLAQVSSVLSLLALAVYLLVIYAITYISQLSNYSITQQNNKQRYGSVFEG LNLIGFCRYTNAIILLKKLLFMFLLIFTYHDPLFQSINLAFLSLIQSLLIFYFKPFEDQN EYKKQFSCEVNISITLFLISILIIDQDLHYNFFTEEDKINLGWCCIICISCILIIQLVID IYQQWRLLIKKYRQIKRLIEKINKLFFSQSESHVSHTPLSVVH >CAK94568 pep:novel supercontig:GCA_000165425.1:CT868678:135363:135791:-1 gene:GSPATT00026892001 transcript:CAK94568 MRLSTPLKSQSQHHKGGKFNNQIQHRSTQEQDLFVKYNKLLKQHAQSITQRSQVIQASQY LLKGGFGNNTKRIRNTLNVISDICNAQQQKSAQRNKSQGNSSKTAGANSQNPAISNNKSG PHKIISETLKTNEAIQRLLKLK >CAK94569 pep:novel supercontig:GCA_000165425.1:CT868678:135844:136555:-1 gene:GSPATT00026893001 transcript:CAK94569 MSEINESLIEAPLKALLEDVLFCLEGYLEGQSDLKLIENQLKDYDTLPGLVKIIANVFKT LMKKVEKKISLLKLNPNDNSSNRSYHAEEEYDKLEQIIQKYEAEIRGHISFFQIEQQLKL YNDSLLQKIEDLEKSQNETIEQLNKKIYDLKKDLGKSTESHRQLIKENQQLRESVDHQHI VHTDSEVNGRMKDKKQFEINLLVLSFRPQIKKS >CAK94570 pep:novel supercontig:GCA_000165425.1:CT868678:136796:137107:1 gene:GSPATT00026894001 transcript:CAK94570 MAMDNAKFEQLSKNFPSSLKFRLNPVYYGARVGIQAYLGLLVGGSLLIYLNTFNGITFPY LYKKQKVEYSAVPDFYSKQVLLYQRTVPNTVTQL >CAK94571 pep:novel supercontig:GCA_000165425.1:CT868678:137146:137989:-1 gene:GSPATT00026895001 transcript:CAK94571 MSLQEIDVLPDQQYIDQLKVKVKIQEIQESALLIQLCTISIPILLCKFIVMFYYQTESRF HQGLNSTEYSHSLHFDFDFRLILLTILFKCMNVITIIQKPLKYVIFSIHLITKLYILLCL SRIKIFESFFIVMSVTYLSIYCFLKTRDIIKGHNDLQVKYYINHIVTISFLTTFLLVQEL YYKFYVIPLYWLFMILYMIFLLLNLQLVEYRQYLWENNDIYIATVLMDSDLIAPANLLKL QSQITKPQNHEEIKKQEVIEDSEIDELDSEMQD >CAK94572 pep:novel supercontig:GCA_000165425.1:CT868678:138018:139007:1 gene:GSPATT00026896001 transcript:CAK94572 MSDEKEKLKKKILHDTEQLAKGQRFALFSSPVPLGLGDDSYDFKKRPPRGENGKPITQPT NMKIGAPKGGKIRSSYFSQVSFTSIGDPYMDPERKDLQYELEKTKKILFKDQPFRPASGY KELLGGPWPHMKDFDMKKTRNYKTADGRVWSAPRNITTNPANKELSKGYPHQKDEYDRFH QYQLKLQMEAKRREKEVAFRTTVAGGENFNKDKQVFGSDGKAPPPNKEKPHDMHIMKHEQ NFKPSNPGKKGIEGEFEQITYKPDPLKPMKRKEWPKGKEQFRPNHLVVMSRPTPSITCFK QNIRRVMSAKGF >CAK94573 pep:novel supercontig:GCA_000165425.1:CT868678:139090:139662:-1 gene:GSPATT00026897001 transcript:CAK94573 MELEKIEDKVEDKKQDKLDENPIELEKPQKSILMKGPNIIVSGNKVSLAFKESGYETLIL QPPMGNENSLIINLINGSGVRVGITLNDPSLNLIEYPVGMHRCQYSYRVKDGSKFNDGIG AKYGNGIKKSEPLRMLFINNELIFEEQGKSLGCAFKVLPIKDGKYYPAISIFQDAQVEFV YH >CAK94574 pep:novel supercontig:GCA_000165425.1:CT868678:139683:140452:1 gene:GSPATT00026898001 transcript:CAK94574 MNVAEIGELKKQLTKALTENAHLMQKNQLLELKLKETEERNCNIQKMNGTIMHVLNDLNV QNNRPSTEILKQQDQFNMKLMQYQKRIESYETQIKNLQTQLQEALISKNEQNQRITQLQE HDSQLLKQIGALESSVKTLTGQLQLQGKQTQEFSQQLEDLEFESQKVKFSQSVKIEELNE ENMKLKEELKSLMGQYLRKTSSSMQTSVPASPQNIRLQPQPPQTTTTPIIKKQTPKKRTT TITQWKK >CAK94575 pep:novel supercontig:GCA_000165425.1:CT868678:140506:142063:1 gene:GSPATT00026899001 transcript:CAK94575 MATFQQKYESLKQEFNELDINDDGRLDKNEMFQVLDSKIGKQFDREIAEELWSNLDINHD GKVTVNEFIQILLKAEDSLKSKINNCRTTLELNYQQKRETETNLDYCQSNEKLNNFGVME GSQLCVIVIQAANLKADGKQLDPFITLQFDKFEKQTTINKGPNPIWKETQKFYFPVQTGK EDLKLTVCDFDKYTPNIVIARVDLNLSNNAFNLKNQQLHDIWLDLYDVNGNRSGSQLNIQ IQWVYSKVKYLRDVLNSYQQQVYSQEQELLQYERDLFILYEPFVKWRQLNTKGYQKPIAQ TPASMIPVSYQQADPTKIIKPFSDPLLDKNSKFKLITILVGLIACLTLLILFYKAQYFDL LLIIDFYILWEFNALNENRIKTLGILFGMSCFLDVVWIFCIGMKWLGNDSHEIFIPYEVS IQKMISILVIISLIVRLYLILNLFQLSQEVQNITNPQPQKIQHRNIVKIDMQNTHNIESK TYLHNGRPIVIY >CAK94576 pep:novel supercontig:GCA_000165425.1:CT868678:142101:143146:1 gene:GSPATT00026900001 transcript:CAK94576 MGCQVGKTNQIQIRSKYNNLVGIKFQQAIENSNPKLKQLQHQWNQFEIKDLSAAFKFKQS DPVRFRKYLMNGAISSNRWLLWKVSLFVDNIFESGCYEALLKSQHHQFDIEIEKDLPRTL PGHQEFHKKSQALQNVLKAIAIYNNDYVTGMNQVAGFLLLISGMEEEDTFWMVNYLNINP QFKFLQLYQTNDSQNQGNQFEYVTKLLSIFHKHFQSQMPLLYQHFETQGINQYCYIWKWI FTLFLYTFPFEVVLYFFDFMIANNILAIISLSLALLKHFHSHLLKLNQTEIAQFITQFQE CSDVFDRSSHSDHLCVEQILCYATQFHDQLGFSRLEETLQ >CAK94577 pep:novel supercontig:GCA_000165425.1:CT868678:143172:144495:-1 gene:GSPATT00026901001 transcript:CAK94577 MGSNCATCQNCQKKEEVLISEVQQQSNPQMNQYSQQPNEEEDEDHLEEKNQDGQSPIIQQ YLNLDPPKKNEAIVYAEQTRAQPVETPQVQVIMTNESNADSKKRKNEMMLRNQEDLNDVQ VPKSENTVRERRARHQFKSGAFYEGEWIGQNRDGYGVQIWSDGAKYEGEWKNNRANGKGR FWHIDGDQFEGDWKDDKACGKGVYIHTNGARYEGDWMDDLQHGFGIETWADQARFEGNYQ HGKKEGFGKYYWGDGSVYVGNWSENKLSGFGVYTWPDGRRYEGQWLNNQMSGRGIYFWKD GRQYEGQYVNDKKHGYGIYTWPDGRKYEGYWSNGKQQGKGRYILPNGRSQIGMWDNGKRI KWLDLSEQNIDLKPQDWNSYVQPSQGETQL >CAK94578 pep:novel supercontig:GCA_000165425.1:CT868678:144526:145890:1 gene:GSPATT00026902001 transcript:CAK94578 MNSNSKSLSNSIKKDLYQQACKLKENSIDSEAESQLNELIDSLKTSEIIQQVEAQREWLQ KIFQAWRLQTAKTYNVYQVASFIETKKRKWLKILFKQWHQFIESIQIRQVLLFRFQTKRE KRLKGTLMKAWAQVVSNLQQKQIYKYDAQQFYIKNTKNKYFQGWRRFIQRTQNDLIAQQE LVHQQQMKLYSKYFGIMKCKIHQTEKNLEQYAINKQKQRVQTVFQSWFQYTQYQINQRIN IKEFIKKRNLKLLFEIITFLKNQTEKHIIYRRQKKLAYLGRWKMLMQKSFYILLKYKGQQ QNKRYLHKIRKDNLLKSAIYTLKDTKNLVQKYKEIEKCLSQKKMKQLFYYLQNYTQKQKY KRYSLEFAQFFRRKQLQKWLFSLINLYHNYRVKKRDMNEKMMQVHLVKFCKRILQKWKEH NQQTHHKKLIKQQIEFSNQYRIKQQIFKIMSKKK >CAK94579 pep:novel supercontig:GCA_000165425.1:CT868678:145895:147316:-1 gene:GSPATT00026903001 transcript:CAK94579 MLQGQDEFQEFTAEDQNNIKTFVYQIEKMRLLLQVPLERRNQIICQDIAQIAGEIQFLKQ YKSKPNFLDLCKHLFLKTYNKREYIFQQGETGDAFYVILSGSVKVFIEEPTEFRNFMQLK EIAILRKGDAFGEISLLYNSKRTAAVMAAEKSDLIVLTKETFDEYLNKDHKQEMQTANLN KLLSFLERVPIFFMVQKSMLVQISTKCTIENFASQTILIKQGTEPQNMYIIKQGCVQVIR KTKFQCGSLTSREEIAQKQKDVFYDIDELGDYDVFGDYAILNEIESDVSYITSIPSEIIT ISAFNIKKILTMEVIQIYKQQLKRYPDDFDIQYLHEEKKRWNQYRRKLVRNISIEKQNKK GFNHRLRLPELKTKELSPPINIMDIKTSDSKIYFKFLEERVSPVADSKKKITQLTQVGFN NQIIKDLEFSQAQQAFQRKLKKLQYKKY >CAK94580 pep:novel supercontig:GCA_000165425.1:CT868678:148526:149700:-1 gene:GSPATT00026904001 transcript:CAK94580 MNSIIENQMEGAMSFLYKILIQNSEVQLSQNYNQIDNKYSTIIVFERYISDSRTIFLYAY SSNSLIIIKQHHYKLEEGKVDQYITSVEKFLKDVLEINQHQACICYVNYASVKELNNLKI KEEAQQWLQQTFPKSQIILVMEYQNIPQIPNTYLQIKIPKNTGALLTLLKIQRDLKEDLK AYEEFMIIQINQINETHQMFMSKLEQCEKQVVIFNFLDLIKPIIPREPPEQIEYLAQFLM NLPPSTQLDIDKMIAILKLYKQNLFSQNAIIQKKLNINEYLHLTQTELTIFQDIKNCHFP KKGNHSQKCKVCQKKSKKIKKSSFVCEACQIYYKINVALCAIRCFRQFHLNPEKYLRRKK RTIKIKVEE >CAK94581 pep:novel supercontig:GCA_000165425.1:CT868678:151215:151818:1 gene:GSPATT00026905001 transcript:CAK94581 MYFIIALFVIIFAAAFWIVSKNKSRGQTINADNSVIFIVGDKNAGKTSLLYCLSNQNSSI QTTNSIEPNQTELIKQNNQSVIVVDVPGNIYQKEQFLNKIQEANKIILVKDSSETSQIGA TGVILYNILISIPFQKSRIPILIVLNKQDKEKAYKAPDFEMFLSREMQICIMQFLKGNNT KKFDNRWKTDAY >CAK94582 pep:novel supercontig:GCA_000165425.1:CT868678:152566:153726:-1 gene:GSPATT00026906001 transcript:CAK94582 MYNNSRDPPNQILLLILNSLPSSFPLNNSFIHQKFNEYGDINKILIFERGKTTKAFVEFH ELKSAIQARRQLNGCNVQGGKMIIHFSRLKNLNLEIVDNSRGTDYTQASSNSQNSDSILN SRTDENIQLDLTNHISQTQSPRANSSPIRNEQINRLLESDDEDDLTIWKQAIPLNISEFH SDIQKLLQQRQSRLLRILNFDSKITGKMIYNVFSKFGNLEEILYEKSFSRAFIKYQSVNQ AIIAKEYLNNIQFFDSQIRIYFEPLQSLQPTSFQDEYMIYYHDNQLFNTSPLSSNLLITC VQDPSEISEQIQMFAKAKEIKLGVNNIHLTMYSNADALKIIAVFSDYEFQNQKMNIILK >CAK94583 pep:novel supercontig:GCA_000165425.1:CT868678:153986:154631:1 gene:GSPATT00026907001 transcript:CAK94583 MIYDDNAPISTKEKTNTNKNPICKVETQEQKGNYSIPYRVTWIDNLHRKIIVTVRVTSDI TVREFLQMVIGEFNQTFKRAEMELFFFINDFNIYELYEMDEDERPDEELPPYDEHQKLRQ LATKCFALKQTQIMLNSLMVSSLSDPLSCKSPISPRSEEEKINPQYNNIQNTENIDEKID CQEKDPLKKSKETDQKPWWCLCCYEE >CAK94584 pep:novel supercontig:GCA_000165425.1:CT868678:154669:157337:-1 gene:GSPATT00026908001 transcript:CAK94584 MKNEDLQSALVRQSEVENKLRIKYRFQLEEEVKTLTHQLNYLKIAKQEEADKYDQERRVY LKQLEQFSGKLKQVKQDAIDEYEYKLATQKVKFENQIKVTKQETSDLKYLNTQLMDXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXMDKQKLWLLQQEVLIDQKYKLELQNKDLKSVISILEEKLIIQEELGIQAQNEINQQK SAISALKQSTNPKKKSVTGTQIYSHSPQKQQSKSQTPVLESRPQSHQLWQKAAIAVKKSS KDNSKMSDSQKLENNNQFEQRQDQMINLEKNTRTSNDQFSLQRNSNPEELNQTIQIKKLG SIQEIQYEHFSSATLSQRAEQNLKFVQVATQTEEQISNQTPSSRGRISSIRKTRQQFVQQ YQFNHAETQYESELSDSDYFNFKLELLVSQLQNKIQSTQSMCDLKLEQKNQDIQDLQNQL KSQKINFDSQLKQFENEMNLLHNEELDSKNLTIKKLKEIIDQNNEKIKELEETINLFEIN QENIHQKYEDILNKKDQALKDIENKIQENKLIAEQEQSRLLQINQSQREQFQLELQNLQN QHTLDEQKIQQEKIKYLEEKATIEKTKLDNQQMLEQIQKKEFILDNYKSELIKTNEVVKY LQLELENYKKLNNIFKSKDESASQMITHGFLSQEAQERIKQRLQKSKISKDNPKFGFSTK DAYSMNFQIQRKERMKHAKLGTLNTSFDDKSIYSTQKNVFRFKMMDDESQGILQINSRRM QSQDDKTNSEIKKKQKQYKSNQRAKTQIGDYSESKLLIQDIFEKEITVLNRSNQLLSQLN DQQNKFKSVHSTYNSTKKKFIQNR >CAK94585 pep:novel supercontig:GCA_000165425.1:CT868678:157343:157900:-1 gene:GSPATT00026909001 transcript:CAK94585 MINSTTSRIRDDNEDQNHRLKILEINAHQFYAQLKPLFAENTQLKQNLIELNVYIEERKM HLCKYLSDVGPNGEDLILVKAVGDLQQICDLIGDEIKRLRSQIVTQIEVQQSNEQCLKEV RSDLQKETERFLNEKQLLIDQNTISQQTHKQIIENLKAQIDILVNLHHL >CAK94586 pep:novel supercontig:GCA_000165425.1:CT868678:159526:160451:-1 gene:GSPATT00026910001 transcript:CAK94586 MGSQGSKKEVKGNIVMMLEKKNYFCGEQIKGSVSYSVQDSEIKVYVKLICVAERYVKKKM LYQEEVFENTIMLSYPNEIGDFQKYFSILSPQLQSMELNTWNQDEQIYIKYFIRSYLNFQ DHNVKDKQRKQCEERIFIKRTYQNKIENIIKQLSYVTRQWFLGDAQQIEFWYDFENKNFR SNETLSFWLGVDNRRTTINIESIKAQLVLEILERQDYWKILQRRILSCPEERIKVPFGIQ KSFQINLIIPSNCPTAIFSRKIMMCYHVEIEFNFEYLGCRRKQYVERVLIDVVNCDNQ >CAK94587 pep:novel supercontig:GCA_000165425.1:CT868678:161261:162911:-1 gene:GSPATT00026911001 transcript:CAK94587 MKANDGLILIQKSASEEDCLFCQKYGRLPIIRINFAPLLNRALYTKYSSSQWRGKKFEDF FSTKPIQWIIQYKDKLAYGDQDEFLKRFYPHRDQSGKFDQLLEYYKYHKDIPRMFSPKLS DLAIYFYEKKKQLEYRKIKLMLGIPIEEDSNCTEYEKLQEDIKVLNSITLQTQVSSLSLL RDMLKSKGNEEIVNIDATWMTMVNNQQLKTSQQPSNLKLLKQLISKNTQFYKDKLHTNDP GFSKRTVQNSPSQKLHFKTLSKDTSQKSLLSSNKQINNSNGDGEFKKFLKQQMLAINHSN PCHHHNVKQQAKPIFIPNYQSQQNSIHQIMSTRSISSEQLKLIQSQQILKPNLSNNINQQ SKHKKSQTQTHYTEINSPNKQTKQASNNIQNNIGIDFKPPLSHTKSTDKLFKAYTSQSSI PLSANINININQLNMNNLNIKSSFQQYKAMLESPKVNTKKKTQSNVETLRVNNSGTSKSK TSLKKTQLSVQQLFAQKIISKNKISQK >CAK94588 pep:novel supercontig:GCA_000165425.1:CT868678:162952:163780:-1 gene:GSPATT00026912001 transcript:CAK94588 MQQQKKTQPPAVLNRTRSLGKQQQQKPQQQQQQQQIQQQPKQGFTQLPSQKLTNIKTVDP FSDKSKQKTNSFAYVYNAGGIPCRVNHGCNTMKLQWNQGVEIGHLPYDPLMVTCFEGLVE EAHPYCFIATNAIKDMLNEQVLNLNFIIFQNAQEKVIPILAKLVWPLRTALSSQNDKVFM SSLEILKLLSNTIGSHLNSHLKNLLVPLSKRMDKKNQKEIIQDVLRQIQDNGGPDTLKVI KAAIPTYTNM >CAK94589 pep:novel supercontig:GCA_000165425.1:CT868678:163811:166080:-1 gene:GSPATT00026913001 transcript:CAK94589 MNSQLDDGSKTSLLIVLHKKKFTKIDKNSPKSYQDECLEPPSFFESFYLNNSGSSSKQYT PNAYDQINQYLFENFNRWDEVYGPRKEQQAISQNKKKKNQEIQSASHIALLINCLLILWN NIFKALLSVLFPHLILFEEDESINHLSYFLLFYILIVTLTYILCQFIQMKHKENLSAIYK FKLIDDFIITNILLVGLLLIQITLNTQIIVIILSLYFFNDGYNQIEKFFKLLIITKKKCF NYLAISKIIIYYLYLIHLFACVLYQNDFQLSYRDSLFININFFTFQANYHVSESSSDLTM IFSQIVGLIMLFNSIDVIIQIRLKKIELLNRPKIDVHILHYYIWHHKGNLLEKLKMVTQL NNNSQLTKQIGQNAMRIIFQQIMEDYLEISHIFTKQFVINLSEKIKVFRKTKEIDFNKNR GLYLILRGQVLISFKKEGLRQYQQIMIDKVFGLIDCFQKNISDIKIKLNNNFLVLFIKEE DFKQCLNLNQDLETFHMIKNRILFEGDTSYVNYRCLICRGFHLMRKCQVQQDIYKLILKD YFQQNKRIKVQRRAKKDPQAFKIYQIKIKKQISVTVRSEIESNESSSIYEQLPVLQDEPT ESSKFFDRNMINGQSKGTITIPTISKECYGDFISDKILLEQMKSCHKQASLQPSIQPGSQ QFWDGLRTDYRSQQLSPSTFKFQDIVIADDIDTIQEYVYFHPEFNISSIISILEK >CAK94590 pep:novel supercontig:GCA_000165425.1:CT868678:166774:166902:-1 gene:GSPATT00026914001 transcript:CAK94590 MGGKWHSIIRDIGGDLIEKVECVDTFQNHKNDLTRKYYRFHY >CAK94591 pep:novel supercontig:GCA_000165425.1:CT868678:166912:167213:-1 gene:GSPATT00026915001 transcript:CAK94591 MELVMYFQDKCQKIFGCGFIHYDLINCQAFGYQLSSYYKTQIFIYFDLSIQNFLNNLKLA NQQILTLEQISNQLRRCIFLC >CAK94592 pep:novel supercontig:GCA_000165425.1:CT868678:167461:167586:-1 gene:GSPATT00026916001 transcript:CAK94592 MGRDLHNIASPPRGIIKGRIETFFQNEAITANQSKQPLKLK >CAK94593 pep:novel supercontig:GCA_000165425.1:CT868678:167847:168488:-1 gene:GSPATT00026917001 transcript:CAK94593 MQIQQILQLPSVVKNDIKIIQQHMDFKTRFQGRTSTMNKNGVLGILQSTSQPIKKQFDST NTQTNTNTIAQSYFFQKFQKNAETILQQQTDFDMTPSTLSGYPSHRFLQDLQKINLDQYE IVAIPKRVYDDPKYMLTQPIAPVLNTRMNNHPFQNDEISSLVKKTIPQENYPPKSKNLNL NQITNPFIQAGYGALRQKKLKFLTDRQLNTQLK >CAK94594 pep:novel supercontig:GCA_000165425.1:CT868678:168522:170180:-1 gene:GSPATT00026918001 transcript:CAK94594 MLQISNLKDRLKCKIEFSEDEEEKGQIISLSSVDDALRFEDRYESQELLGQGAHAVVKLA KRKGTNDLFAVKIMRMNNEEVYNNVKRTFNNSRCLRHQNIIQEYELYINEKYYTASLVME YCPYPSLEQILKDRVTLKEDEVRNIVKQLLMAVGHIHSKGISHRDIKPDNILVNVDGNCE LKLLDFGVSRRFLWKNQHHDMLTKTGNIYYCAPEIYHQTNYSKEIDLWSIGVIMFQCMTG ELPLQNENPSDHIELLSKPELWNFKNRIKGESLSAQNLISRLLQQDPKKRIGPQDALNHP FIEKNKIYTTLAMLSSTKIIDDDDNLFLNKCQSLQTSLSVDQKQSIHRALKTLHLGQEYQ QIVLEDLMQELGNVHIIQRRNSDKCAGFIQLVNSLGNSQGVTISKVLDVNTTTQCNLGVG STQSIVSSDHLTQDYFGDLGNIQSSVDLGNSVNETQVGQDTKKNTFGQFMNQMGAKIECS IDINLGLADSQQDTGFNNKFNQIISTLDVLGIKECDETVEDQQ >CAK94595 pep:novel supercontig:GCA_000165425.1:CT868678:170541:171867:-1 gene:GSPATT00026919001 transcript:CAK94595 MGNVHYLNKPDRLRTLSAKIKKILNKAQDQFQERHSNIQQGYITDLRQYCRIVTACEEQL ILNLSDLDLEFIGDLIKNLSGFHLLLISNLLNWIQQFELLLTPHSGNLPFEHLLEQSRKH KSRFNTINESDAAKHINELHESIQSLAQNNKQIIDSHNTDIENPNSVDIIDSVTQQLRDN IRSNSKSNSKIILKKLLSNQKNKNNLIDQEQQTTLKYQEVDYIEQQNQELRVLFDRTIRQ YEDKLKQSIIKYKEVKLILKAQKVELEHQSTLLEAQNELFKQNQAQKPQQTLTHFIQVPT IADRNKRSLVDKQQKLIFTNMKLRSSSLPRIDGLDESIMRNSFTKISVQLSKNLIPTQDV IENFKKYQQSHEFKEKISKVCTQVQVKVPVEDLQNESISIESRVMIFSKLCQVLKEKHQL CFPQCDHLNFLN >CAK94596 pep:novel supercontig:GCA_000165425.1:CT868678:171900:173413:1 gene:GSPATT00026920001 transcript:CAK94596 MKSLFAIIKTRDEDRKILYASEEQFNLDQVFNIRDGENSDIVTYRDKITQFFQQFQYFGL IQKSNFLGYSTNFIIDFFSQERKAQCHELMIEDLIFYIQAIKEPVSITLVLVIQKESQYN SQYASYCLTFIKKCLQKIEKESKALTRMSNLIIQNISQFEKEINSQIPEYEKQKCKSIQV RDNVTNIARKYLDSCELRRNLNQFCQAFVNRKMINFFQIQMTPPQQDLMLNPIAIVIKPY QTLLVANFIGKDDNTYISQRFLTFIQKMQPTKSFQEMSNLYYFSMTEIQVYVNHIMMNNQ NQMSLLLNKLTDDSYFTIACTQTHKKLAEKIPLKQYVSYIKCPMSSQQLRQDRIEKIESQ FCNIIGFRLIRECQVYLYQKDPTLTQKKVEDEINKIAAELTKSKDKQGEREKKFTQLIRF YQSLLKFLGKPYTVQEIAYQKNMDISRLWAHIHWLKSLVGFYCL >CAK94597 pep:novel supercontig:GCA_000165425.1:CT868678:173687:174641:-1 gene:GSPATT00026921001 transcript:CAK94597 MEALVNKANVLIAQENLIEANKLLQKASQIKDSPYIHNAYGIIAQKQKQTDKAISSYQMA LQLLPTFPQCLSNQATLLIETEKYSQALDLLKQALKTDQNNAEAHNNLGVLYYKQNKLEL SQNEYMEAIKLKVHNPEAHSNQGVIFCAKQDYSQALQCFDEAIKLKNDFVKAYHNKGTTL YEKENFKEAVEIYDKAIKGKTQDPETYYNKSIALQGLEQFDDALNALEQAYKLSPEMALL YVEKGTLMYRKGKVDEAIKNYDLAIQLQPNCAEAYYNKGCALENQGKIDESNKNYEKAFQ IKPTLVEKKK >CAK94598 pep:novel supercontig:GCA_000165425.1:CT868678:174652:177795:-1 gene:GSPATT00026922001 transcript:CAK94598 MWLHPETMIKLGNEFFQKKEFDQAINWYQQSINKKIRNLWRGTTIQAWSICTVRNLMKLS ITSNNPQNLRPSFPEALCSMGIALYNLNQYEKALNYLDQALKHRQSYPNPLKYKGDTIRK MGNLQEAVNQYKQAISLKPDFYQAHKALGDTYRKLKEYKFSIQSYDNALEYNQKYAEVFK KKADSLRNLGIFEESLENYTKAIEIRQNYPKAYNDAGLLFIQNTKYKEGVEYFQKAVQLK QDYKDAYNNLGVCYYHLLQYQDAITQFDTALQIQVGFAIPMLNKASTLLRMKKYDEANKC FDQVMKHQPKNVQVLLGKGISLYETKKYEQAALLLSQAYDIDGNNFEVVFNYGVCNFQLK KYKEALQLLQESQQLRDTLEGHFNQAICSLYLKNYEYSKKEIEMYVIHQEDDMEATIMFR ELLRMLLGGTEEIRELIILLLNQQFDEALKHFNASVSGKGDYADGLYNKGVALCNLNQYE DAIRQFNKAIQLKPKNECKFAFINRGICLKNLKKFNEAIQNYDEAIQLSQGTDVEDIYYF KGNCLLELNKYEDAIQLYDQAIQLESVYSSSANFQEGIAYTNLKHFDDAIQSYQHAIEQN SQNSWAYFNLGITYYNLENYEQALIQFTRSFDIQPTFKDAVFNEAAAYIKLKRYAEAINS LDKYNQLESNDYESFFLKGCLLKQLMKYEEALECFSKAVQQKPNFFEGQFNKGVAQLESG LSKDAVITFDAAFKLKSDSEKSLNNKAVSLLNLSKPEEAIKELEKAIKLSPNNPTLLNNK AVTLIDLKRQDEALTILDEVINIDPNFFKAYNNKGTIYFNQKNLAQAQQYFSKAVEINPE YDSARINLSITFQEMGEHQQAVQQCELISNLQWLNSNSEALIAFATALRNCDRFEEARQK YEVSLQLNPRHSQAQNGLGIVYSNIGQYEDALKCYDQAINLNNRYPEALNNKGVTLYLMG RYDESVQMLQQSLKLEVKNAQTLNNLGASLFYLKRYDEANKIFDQAIQQE >CAK94599 pep:novel supercontig:GCA_000165425.1:CT868678:177946:178632:-1 gene:GSPATT00026923001 transcript:CAK94599 MSKSNSTKVALVCLKTSQNITNQSLLQVFGKHGKITKILIFEQGPMQTKVFIEYEDPDQA QDAINCLNNTKVMNNIICNVYPSRLRELKLDNVPNTKGMDLTQKLPFSMPSLWDLNVNED ESASQVSEEKLVDIRKRLSLIDEEISRTIESKLDNALIKLYQQTKCLRVLMGEYQLEEIL HKTSKYGKIVQLLPLHNLGLPEAGIIGSVREV >CAK94600 pep:novel supercontig:GCA_000165425.1:CT868678:179339:179832:-1 gene:GSPATT00026924001 transcript:CAK94600 MAEQVQMLQSKIEDESKVLQTLQREMQKYVEGRQKLIEQSHENEMVKKELDLLEPEAKVY KLIASVLVSQTLQESKENVSKRLDFIGKEFKKVEDLIKENTNKQIAKKTSIQKMQEQLYQ IVQAYQQSLNK >CAK94601 pep:novel supercontig:GCA_000165425.1:CT868678:179851:180264:-1 gene:GSPATT00026925001 transcript:CAK94601 MQIRQPTRYPVVPQFQNLNQYGPFVSPTQRTSQIQRIGTPNTLRFDYQRLRNTQSMQQYP IISQTSVATPQKVIAKKPHIKMPQPGQTVSQSQTPSYDPALMVGLIKENQEIKAKIAQKE KELQLLNEEIALFEQKS >CAK94602 pep:novel supercontig:GCA_000165425.1:CT868678:180290:182957:1 gene:GSPATT00026926001 transcript:CAK94602 MQNQVDLDIDTGQFDTMERDFQEVLRDLGTDQNLERFRSEFDKLYRSLKIIHENQRRLIS KCREYNAEISQNASKIQTVLKMTADDSAAIQQLKTQLEKAYKVLEIQQEREEKHKQKIKI QENEIKQLLCHNVDNSIKPLNKAKRQNSGQTTTVHELLQRKQELLKEKEILQIQVFGTRS ENQSILDRIKSLETSKESVMKEYKILQQQKAEFEERLQKDEEAKNVTQAELEKIKRDFDS LKEDEKQLGNEKKKLKSEIEKVTLQNSVKTKEIENFKQEKNRVEKELKDTRDKKDSVVKI NEQIEEKIKDTRLQIELFETEIEQLHQKISEGEEKKKRMSELVKEQEELAERIEEEKQLA NNGLNQLEDDIQIERKKATDDRQVIEDLRRARNILQKEIDRCDNNNKKIEEDFIAKQKYL SEKQNELGGLQKKIDYLNKKIANVEKETEQQCLQFSQAQTKYFHSLDEIKLKDSLISEFQ KKNIETEAKLKQQQNLYETVRSDRNLYSKNYTEKQQEIEKMRRSYKIVNHQISQLKEEIE AKGNALAKEHLEHKKKDKTIEEQSRVLEKYKTDIDEKAEKINKYIKRVDKLQFTIKDEEQ QIQNLKEEFELVVAERDILSTQLIRRISETNLLYEKIKINESTLKKGEQQYRERLGDIQM LKEKIADYKREIKVFKREADTIKDLEGDIHNLTKELTEEKLKAKALTEELENPMNVHRWR KLEATDSENYELMTKIHSLQKRLIQKTEEVVIKEKVVEEKEKELKALKDEMKRKPGLEDQ AMIPYYQDSLRQKEEQMKAMDQELSMYQSHINEYKLEIDRINKELQRVKQKYFNQKKREQ QQRDLRIQEEQGQSIQVILPEKKYVGGGFALQK >CAK94603 pep:novel supercontig:GCA_000165425.1:CT868678:183154:183363:1 gene:GSPATT00026927001 transcript:CAK94603 MVKQQDHNENKDREGYGDIGYKTQLQINYYNGKQPKKMDIENLLEFTKDSNHMVNKIMKE QNLWIWNGK >CAK94604 pep:novel supercontig:GCA_000165425.1:CT868678:183425:184141:-1 gene:GSPATT00026928001 transcript:CAK94604 MLTIRNEGPFLVQELDVSLDSDNAVFIDESNLEILIPDEQITKKRKQKGSKANKKELNKV QSLKKSDAASMSNKKEKKQQKLNNIHCKNKLFKDINMPCSQIIQKNDLNNCPLKFCTNQD KVSKESKAYQSMMENVQKYKEQVSWSNKKDHIQIPDKLLIDEIQDIQLFVPKIKGVNDSI CSNTLYLNKIKDKILPVSNPVQSTQNIKYPKALSRDAYIEYLKDIQMKRVISQNKQIP >CAK94605 pep:novel supercontig:GCA_000165425.1:CT868678:184165:185141:1 gene:GSPATT00026929001 transcript:CAK94605 MNIESQDFRYRDIKNYQSLSKLQILVVGDGQTGKSSLVNILTYRKYPDKMAGKTSKQPFK TQGCDIRMALRNDLTQKQQFLLQIVDLSGDKAQRQYLDVYISRLKLNAIIFCFDVTNLKT LDNIRKWVQRLTIKSVIHEENEEALETDNSQFSRQSSLAIQDEQDCLLNYNSYTGIPMNE ILQIPFIFVGCKMDQIPMDKQVRLRQQISERIQQVYKRSNTVILLSSTKPLTYSDEFKQL EECILRIKQKDKLEEFFIREKIQSKMMKLDESFWKSTIQTPLEQKYQLFCVYMKHFWQRL MCKKRKQ >CAK94606 pep:novel supercontig:GCA_000165425.1:CT868678:185179:186648:-1 gene:GSPATT00026930001 transcript:CAK94606 MKSEQQSLLLTKREEFRFSIRKERLEDLFNQNRKKCGMNNEENPIIEIPSFSESQELHKY LIDGEFSQQKLLKAANDQQLLNKLFLSAQQSDYYSITILSNICTKQNLGECEVLFLNQLK LAKQRMDIRMIDNLFDALNSICTHELTRKQLEYLLNNNLIPLVNQILKENQHEIYTDDEF KMIKSGTELFCRFFNQFRIFTEEELTQIISKYNQELRFTFYLMKQLLPLQMQYPKITFMF VKNLIQIISLDPDIANDLDNTTLQICVQLLEFSENQILISIILRFMISISALKDEKFVQR IFFLKGHDIVKQYINHESLIIRQASIKLLANFTNVDSENLQKEIVSDLPFIAEVVQNFQN SDKTDQNYLRLIYGITYNCMTYTSTELIQDLRVFQIVRIKFLLEEPEETENIIIYLKVLY TLIYYYQAFCIDDTELEQKLEILLDHKNKEIVKLAEETLRVIDLKKEQCMNE >CAK94607 pep:novel supercontig:GCA_000165425.1:CT868678:187129:188726:1 gene:GSPATT00026931001 transcript:CAK94607 MQDDRVLERSPQDSLRISQRSSMLDRKQSGRFTFKKTQEEEQQTQIQSNRNRIKQQLKCQ LNAIINKPIQPLQMREEQLIELGKKLDVEITSIDVENIIPNTKEFFEDYEIHERLGEGCL GLVKRIVQKNTGLEFAVKIVATQDDEIIRNMIIEFKRLVQLSHDNIVKAYKMYLDFDTGF QSESQAFVVMELIHGKEMFEVISEAGHYSEDDAKELFKQLLSAIEYMHRHGICHRDLKPN NILCLQGKAFQIKVTDFNVSKFNTEKIEMWTYTGTVAFSAPEIFTGEGYNQMVDMWSAGC ILYSMLSGQLPFNADYLNDLVENIKLAKYDFPEEIFSEVSAEAKDLIQLLLKKDPQERPY PDHALGHSWFRGNVVRKTLRHLTINKNINHLASRNSNRQRQRTFLLKKDCYTGSSDGEDY YDVKIKSTIRKSLFCAIKPTQEQQVDMNHLKVTSGLLRKSNTTQIEDFKYYDQQ >CAK94608 pep:novel supercontig:GCA_000165425.1:CT868678:188837:189272:1 gene:GSPATT00026932001 transcript:CAK94608 MQNKTVFDKLGGQANIDAAVVKFYEKVLSDPSVSHYFKNTDMKKQTENQQKFLTMAFGGP NNYKGRDMKAGHAGLGITTVAFNTIVKHLGDTLKEMGVPADVIAEAAAVAETTRADIVEI K >CAK94609 pep:novel supercontig:GCA_000165425.1:CT868678:189680:191225:-1 gene:GSPATT00026933001 transcript:CAK94609 MKTFLLLLCVLLQALCQDYYQLLGVQRGASDDQIKKAFKKLSLKYHPDKAKGNKEESEKQ FQKIVNAYEILKDPEQRQVYDKYGEEGLKEHTQRQQQKQGHFNYNDVFSRFFGGGFQQQK PNLEQSLFSKSDVYEVEMTTINRFFRRDHVWMIYFYKNDDYGRQHKNTWNELASKYYGIF QVAAINCAAENEICDDEFQVYEFPKIYAYPANIRQEPVEFKSKVEIDQLAKFAISFMESF VSIVTNDNYEKFIQQEEQHIVLLFTNKTSTPPLLKVLSKELKGKLVFGQVRNSDTKLVEQ FQIKNFPTLMVVTEPESYRGVIYEQNDFKKEQIMKFLREYAYISKKVKKTHQGPRELSNL LIKNGACTTKDTKMCFIIITEDKDYLDLLTPLSYHYMKDKIDFYYINKNNLIYSEVFDDV SSFPSALVIKPKKERYAKLQGELTLSNVQDFLDSIISGNVQFKSMKDSLDLNIFKEDL >CAK94610 pep:novel supercontig:GCA_000165425.1:CT868678:191318:191858:-1 gene:GSPATT00026934001 transcript:CAK94610 MSWDAYVTNLTANGALEYAAIIGLDGNIWASNFGVAVLPSYQADVPDEKNPDVVTKVAYD EKTAFVHALTHNGNSGNAAGVRINNQKYYTIQFDGDAKSWYLKKNKGGACIAWSNTAAVF ASFSQTINAENGATQNAAECNKRVIEMAKYLADSGY >CAK94611 pep:novel supercontig:GCA_000165425.1:CT868678:191960:193104:-1 gene:GSPATT00026935001 transcript:CAK94611 MQKSGIHILCIRKVRRLRIMFGVSCPFNSLYLGTVCSLLLMTLCIYQITKTLQKKAAIVF DNFTCRYMQGYFQDRMNQIVFLIFTMNRNLAFAYFLYFYFDQSFLIFLVYFFTKKATDLN VYNKSNTKLVRGCTYGLCAFFSILLLIDIIVYYTKNEDCSFITLFVIRVVEVFASIIFIT GAYFLNKKMNALIIEQIMFTNRMTVVEKTQYMKIRNIKLKFWTLVCVTAFGQVIQWSADL VYFIYQKSKDIDSCEFPAYNVAVQPWADILICLFGYFLPLFCAVYLFWFKKKQVQYVESL EVESLPERYYHKLLNQSNSSFSSSKQN >CAK94612 pep:novel supercontig:GCA_000165425.1:CT868678:193123:194086:1 gene:GSPATT00026936001 transcript:CAK94612 MSKTIKKLNEVALDNIQATTWHDEYNKSSYIFIANLNYAMNEGDIAIVFSQYGEIVDVHL VRDKITGKSKGFCFLAYEDQRSTILAVDNFNHAEICGRLLRVDHVREFKPPKEYLDISPD DPEIFNKLYKPSGPDGKGWGTFRELTQEELVLKAQIEAQQQEIQKRNEKIFENMQQIKNM QTIIDEDERWDKMLMVQDEKKELLEKIEALQYFNNKMQKYKEVQEPEKQETNEERLLRLQ KKQNKKYEKKNSEEKGKEKKIKKEKKEKKNKKNKDKKEKKEKKSKNSKKDQPQQSDNQDR GSQK >CAK94613 pep:novel supercontig:GCA_000165425.1:CT868678:194161:195968:-1 gene:GSPATT00026937001 transcript:CAK94613 MSVIRERHPLTSLNQLQNLFGKTKPQEGTHSVCPEKARGVSKAKQKTEGSRREVSNVQKS QYTLQAQKLYTNHFDNIKSSRAFNVKSQDLLLSPERIDSSQVENRVLEIQNDKKRILKSS VEHSNDTNPKLFTSEEQPKKIEQSQTIQLKNLIQAKQQRDTTDKLKNSRREKHVTQILYD DSRTRQQKTQQNTQTSATTEGKLSEITQQWFIQRFIKDFYYFLSNTENVKLSWDYSKIYE NDFQQILQGLGFGENKELWNDFTNGSYILSRNLLIVLLAILNVPVQQIPLYIPKDDEQVP MASHYKNDENGNLMLSPQDCVEIHNKYKQLYLNTKLIHGNGQRRNLEKSKSPPQVINLLS NRSRDMAIKKKNNLNINEWFAQQEQKKKDNIEKLKSQLEQQQQDETKELKSTIKPVAIDL TQLSKPIKKQQDKSTIDVEFETQQQFCTFSPQINPMKQFPISTASTQYDIDQQAKRLREA RLRQKTLEKLKNTGNTTNNESRTQIKLQTEQSMSQEPKCKKIKSKPIFLNFPILYIDVKI DDAKTVRLPVFNGDNSESLATKFAIDHNLDNSLEERLKDLLEEQINSVQEQQL >CAK94614 pep:novel supercontig:GCA_000165425.1:CT868678:195992:199508:-1 gene:GSPATT00026938001 transcript:CAK94614 MIIQPNNFHSLRTKYQPELPQLLQLEELDTKTETIQVNPQIREMFPFLCKEEVKAVAFQA ANANENTIRAPLRIGCVLSGGQAAGGHNVIVGIYEQIKRRHPNSQLFGFLKGPIGIYSGK YEELKDDTINYFKNRGGFDMIQSGRHKIETEEQFKKSLQYCQSLKLDGLVVIGGDDSNTN ACLLAEYFLKNNCQTSVVGCPKTIDGDLKNEFVEVSFGFDTACKTYSELIGNIMLDTVSS KKYYHFIRLMGRSASHIALECALLTRPTWAYIGEEVEKNKTSLTQIVTQLSDLIEKRYKQ NKNHGVILVPEGLIEFIDEVKILIKEINTILAANKWEPDQVFNNILTKLTPESSKLLEFL PRSISDQLLLDRDPHGNVQVAKIETEKLLIEMVKAELERRKYGGKFSAISHYYGYEGRCA FPTKFDCDYCYSLGVNAAILIENKFTGVMSCIRDLHKSPSEWNAAGFPLVTMMDVETRKG KSVPVIKKALVDLDGPLFKFYQSNREKWAFHDYYHPVGPIQFEGDQVPPFLINGKGFEFE EVDNKIYEQKPYEEMHLRNLSKLGLDRSNTPLSLPKHLNLKLSLKVIHHNYSDNVMKAIE KHFPSLMAKSINAFQLLHDDAHEAHNKPLRIGITLNGRQSPGANCIIRGLLALCEQSGST LYGFIGGTQGLFKQEYLEINQNSLQYYINQGGYHYLGRTADKMRSVQELQQVKETCNILS LDGLVVVGASHTLTDCIIVANYLLQENVKTRVIGVPCTVDNNIGHPFLEGIVGFDTASKT YSQMIGNIMIDAASAVKYWYFIRLMGRDPSHLVLECALQTHPNVVLISEDIKERGLTLQE VVSEIADVVVLRSQKNKEFGTVLVPEGLLAHIGQFKQLITELDKHFATNKQDIMNNLTPW SAALFQSLPDFTQQQLLMEREVHGGIQLSQIETERLLAHLVAEELKVRKAESKYKGSFSP ICHFFGYQGRCAFPTRFDAKLGEVYGYLAGLSIQRGLTGYCVSARGIAGPIERWHFNLIP LLGMISIKQKSAYGEAQPIIASYEVDLKQSLFKHFSQISKNWYLKDHYENPGPIQFYGPM ARRPTLTVELSYKCYVDQIEEIERLVEVISEQCRFETRADLLDLAIAQLKALARTIEVVK EAKQ >CAK94615 pep:novel supercontig:GCA_000165425.1:CT868678:199651:200799:1 gene:GSPATT00026939001 transcript:CAK94615 MLHQQTKPFLSQTFSRKISTPNKRSQALSTLCAQDLPSYSPSKHILSDQKPLRFQTLEHQ KTQQPSSHNANSVSCQTNMSLCCFDDPLPDIPPSDPIWLQIIPQETLKGSSVEQLFRENK QYFYHLLSQYFKEQIQGDFNMTKFQSPIKCKTRNDYDSQQKSNDKIQPNKNSFYENYKSP QHQQFAKTSYKSDFTGYAPQNYNVQTEKLKYEKAKQQIATLISYKINDNNYEQNQKMNRL TAPRLSTSTEIRIQAYKNYNQALEQPQLIEQTQNCKNNYSKLNPLSSKGIFFEKKSESYF QYPLHPLPKSSKTFLKQYSTIIKKDIQGPKIGDVTQMKNWRQSVIKQMNHLRRLSQN >CAK94616 pep:novel supercontig:GCA_000165425.1:CT868678:201082:203316:-1 gene:GSPATT00026940001 transcript:CAK94616 MLTASPHMKSDKPYQQSPQYKKPHPYNPEASISLKNSINLKDFQFNEELEYYKTRSADLE QYARQLKNELDTTIIRMSKQGALDEKAELMIHQNQILSSDIDKLQKNFAQKKTECELWKS KYEQQLNSAVQLKAQYELDLRKLSNELKMLEERNAILEKERSHEVEATKTNFSIQNEQQR HSYLTQIDVLENQLRKLREYAEIRDKEIYELENKLTKVLQDREYVETKLLKDNDIYRTRL QEQERDNQIEMNNLRQKLDILNQGQLDNIKNQYMAQAEILDDEIEKLKGLLGIKNEEIKT LIDQNERLRANYEREIETITLQFHVLKEKMFENEQRFQEESQQMENNLRSQHEIGVETLQ SHHQNSNQILENQIAHLKGQVLEQSKQLEELQKIRQQLHQANLQDQENAQNIINNLKKEI QDQQSRYQDQILKNYQDYDLQKKELLNYNQQQLEFNQQLQQQIQNLNQIIELKEQQYETT LVQFKNMNDQLINKLNDLYRDNEQNKAKFNVTVAEQEDQLNKQLKLIKQQEHKIQQLSST SQRDKQNAERQITNLQNQNRQKEQQLAELKAQLKAAEENLDKIDNELQETKNTLQSQIEE QKYNYEQEIESIQSQQNEDLLSTKKLYEEKETSLNQELIQLKGNLQTQQTLNIELNQKLD EYGQQIQNAVNNSDVIKQYEILSEINLINRLRN >CAK94617 pep:novel supercontig:GCA_000165425.1:CT868678:203352:204807:-1 gene:GSPATT00026941001 transcript:CAK94617 MFQYTPQEDAYYRQLWAPFDPQGLGFAEAKSTVQFFKKSRLPVDALKGVWLAAVKNQEGK LYYSEFATVMRLIAYCQNGFEFNEQLLNQNRPVPLPVMDGIQVPQQQQQQQPQQQQQPIL QPQQQQFNNFQQAQNQLPQSNYYQTVPVPNHNQISLQTYVEPIIDYSKYDLPDDQYNRYE LCFTQQDKAHQGFIQGDQALAFFQKSQLPALTLTQLQNLVDIGHKGFYFKNEFIVAVHLI ALCRKDIPLPQQLPESLLQLTRRDQQQNQVRSTSQTMPQLPSGLPPQPQRAGSQNEFQGS NLQASYSQNKLQNQAQQIQLANNVDQQKQIIDDKKKDVEFLNQTIQKLQSFYDFLKKEQD ELQAQITSLNDQQAQKQHQMDILQDSILNQLQVNQSLQKKLFELKQSNNQFQENQPPTQT TQFQTINIEDDYDYSNYRAKKDKVVSGGNQNTENLPWH >CAK94618 pep:novel supercontig:GCA_000165425.1:CT868678:204838:205209:-1 gene:GSPATT00026942001 transcript:CAK94618 MKHFIVFLCILFITHCQRQTLEQALRQRYQSKMFVHQSNFIQNQQQTRLLQQDQDDLIDH QGEQQHDQIQNDEVKQRKKTRKVVKKRRVILKPIIIAPTEQQKNQKKRKVHKTIKKCKTI IKD >CAK94619 pep:novel supercontig:GCA_000165425.1:CT868678:205229:206084:-1 gene:GSPATT00026943001 transcript:CAK94619 MEIFDLFGDDIITEHQNEKNKQLKSEKQQIKNELHRQKVVQKKKEKKKKIKQQKQEIIQT LETQEQKNDFVHQFKGLRNQKKENGMKALQSPFRIIIDCGFEDNMSDKEQRSLARQLSEL YTENRKIDVPLKIYVTNIYPILHKYLEQYNYKQWQLVSEERLFTDIEEFQQANIVYLSPD GEEELQEIKEDEVYVIGGLVDRVIIKNATLNRSRQLGVRSAKLPIGQFIKKNYKKCLNVS TAALMISGWLKYKDWSKAFDSIVPQKFKEQEANEQ >CAK94620 pep:novel supercontig:GCA_000165425.1:CT868678:207451:208798:-1 gene:GSPATT00026944001 transcript:CAK94620 MSADSEEQNRDVSNDPQPKPDNKKVQDFTEDWGYQGNPSPRFTPMPYPQLFPPMCPPPFE LEKQVYHDYDQQKYPQMNSDRKGQSEVPQMDHIDDDPHRIPVSYPFSYYPPMKYAPQTMF MKYPPPTHQGQPYMVPPSPWYHQRPQQQLMPPFCYYPQSQYDGLQCQNTVSKELQSKVFQ LVQYQKVSDFQCNCKKSKCLKLYCECFANNWVCSQSCNCQDCKNRIDNPQERSKAIEEAL LRNPDAFAQCFQQKGQTQFSVQQQDKPLKEPTKDNSNITRKGCNCKKSGCKKKYCECYSQ NLKCSDLCKCEQCLNRTDAEIQAQQDIAQVLNSQDQVNVDIKVNQKSKKIKKIGNEDKSD SQKPVVQLKINLNNNSSRKKQDKK >CAK94621 pep:novel supercontig:GCA_000165425.1:CT868678:210013:211426:1 gene:GSPATT00026945001 transcript:CAK94621 MYNQNNEKVNSLFDLVENDFIVNYLLDPPHAFPRTNSNQNFIGLQQNFSLLDNQYEPMDM KQDEPIAEAKKQKSKKRKTQKSNESEQLKQKDPFQYKNNLKNTYINKITSLVQQDSQKLL QQQQAKFRTSEDDRIDKNNSVSYQDIEDSTQAKLLRNRECARNSRKRKKIYIELLENRVK QLNDELEKQKLLNKTSAGYLNKMSQNQQLQGFFLGRQQLYEKLEKSIQNKADDNELNLLL DSMRFRVGGGGKERVSASNYFFNQILEICFPVHVRYMLWAASESKDLFADQLDQQQQEGQ PQWLLDLTRDLQINETQKKQMKKSQRRIISDKNKLLEILTQFQEIKEQLYNKTSQVENFI DELRNILNPTQVGKFLIGLEKNKFRREMTISKIWNIFDDQSDDEEAEVEVKEEDSVEEPA KKKVQI >CAK94622 pep:novel supercontig:GCA_000165425.1:CT868678:211489:212756:-1 gene:GSPATT00026946001 transcript:CAK94622 MKYLKLFTLISITLGSEIQKHIHHLRSGSEDLETNLIFAGVACIAISFILLQFNERKAAI NSYRLQYARQNCISLTPFQESNPEDLIHITGQLITDELVTDKEFGVSQINCVKLYRNVEM YQWVVGEKQKEQQWVDHYVENSFGYNNDKSKWILNSETFLNISVKLHQFELPEDMKTQLN TPLETVKLNQANVQIFQQRYKDKGYRRFLLQDDYIYMHQVEDQIVNGDLRICFKQVICSD ATIVARAIINSLLVWQFEDTYNKTVSKQNQVQEKELSDTQLPNEDKPIKQIYWIFQGLFT PEECLQKVVNENALLFWVFRSIGYILIAVGSIILLSPVSQLSQLIQSDQLSGFGFIIYGL IGAIPINIFSICFCWLYYRPMIGLLQLLISLILGGSIFYYAYKNQ >CAK94623 pep:novel supercontig:GCA_000165425.1:CT868678:212829:214069:-1 gene:GSPATT00026947001 transcript:CAK94623 MNSEWQQMLMEDLNAKLLQRVELIGHYEELEELLEFQKTNSTFEYSLAIKLQELAQEIQN NCSSDQKIKSLIKERETLHQELNKRMEEQTLQVFEQYMANWISDFKGFRVIHGEEKYQQQ LLEQKEQIKGMIYTQYNKKIQGITQIYQQFNQIDQAIQYNIFYVDNDLSSQLNQQQNRTN QSILQKRDDTLQLSQIIQSSDLSKQIVNSQQDDSLIQHMDEFNNIITKSSHPIKLHTIYE EQLLNSNQPIRQHYHSKTIFSHKHSTAQISPQMSKSYYLRDNPLKRSLTKGTLLLKKFSN IPQKREFDVFQHQNPTQLGYGLRMAQLTQDRIEFRNQLKPQSDNSQINTGISKEKAEQNA GQRKQCSKSLLLAFANSHSKSRVN >CAK94624 pep:novel supercontig:GCA_000165425.1:CT868678:214120:215844:-1 gene:GSPATT00026948001 transcript:CAK94624 MYLNQSPYRNVPHQNIPTTTIYVDPNKYQQPIIQQPIRYQQSPSTDYGYRDSQLQHQYQD LAKKYQDLQSENNQLLRQLSDRPQIGQTELLNQKLQHYAIENEKLRQQLAYTYELQEKLT LVTNQLEKVNEALMLSNQENQHLCQQIAEYKNQQTYVHQLNSTIQTQEIQLQQKQEQYRN LQQEIDYYQQIFQQRAQETQQAQLEINRVIGQNQVYIIRIRIAEKKLFEIKIRDCCFVSR TEQFLCNQEHENMQLHEKNQELLRNFEQQKALNQNLIMELNKSQQIDSYIDQLIDQLNEQ RSKIEYASARIQDQANKINELEFIANEKSQLDVQYNHLKQNYNIIENDYNKLNELLKQKQ IELEVNKNTNMQYSQRIQQNQMNNVLINELKLEIEQWKSKQSRMEQVFNDSKKEDQFKQK CLQEQNAQLTSITAELQDKLQQKEADLQQQNIMFQDTLKKLREKEQIIAYQSNKPNVDLE EVQALKNRIKLLELNDEKNYSLQKEIQRLNAQTASLRQELATYKNKHHDYQFMDEQYQRS NKQIQELKSHIVTLQK >CAK94625 pep:novel supercontig:GCA_000165425.1:CT868678:216106:217108:-1 gene:GSPATT00026949001 transcript:CAK94625 MIMKIKQFLNDNTGAQSINKVIMALSDLKLVVNDTQFMSQLEISNRLKHFFLNDQTKILF LNQLLQVVGDHLQRKENFYVKLKMLLLVHIIISSQVARAEFSKMIINTKLTINIKSNDGT DNLIGKLCQSYYCYIYKLASQTTLINEDIGKPYDDLMIYFTLSNQCYIGMNMQRLIETIN NEQVPNDIIAHLVKFLYFDIQDIYIFILKDVKYLIEKNPNLISNKQQLLELYKECQSLQA RMLIFYKFNRIFPHFNQIMPPHSIQIKCAILNFALEDRQIQEVQRSYAHQIREPLSVKNS EKKTQDFTRPFSPKVQH >CAK94626 pep:novel supercontig:GCA_000165425.1:CT868678:217162:219767:-1 gene:GSPATT00026950001 transcript:CAK94626 MFNQQYSLDQSNSQHSSQQSQTFLAVPRLIQQEIQAYQTGVQKHVNFEDPNQLQKSEHKE SLEEGDIFGSRLSIEKRNINKVDEVEENYKSLKLFQIEMQNINGRKLISKNSRKFSDQSD MGLRKHSEQLRKASSSNFPQASPKFKIQISPLLPKEDLFSQQKWPKRWFYIIKFLSKIMC VQPNLLKQSHLMLIDDKANIIFQNCFIFNPYTPKVLWIRYILLIMQMFGFLIIFWEFAFS ESQNLFLAFFALSIFESLSNYFIGVYVDEMYTENRWSIAQQYVYQDIVYLTSCLLTLFVS KYFILLLLLRVQRLRLINSQVTYCFIPILLIHTVSTLYSRLSQDQFSDSNISMYVTSFQW TIFQLTNSGNPYDRQLEINGDTKIPLRVLSIIVTISGYLITIYLIKQCLGYKPQQKYKIS AQLSQNLQRYQNRNKLEIIDLSGLRPDLQFQMKQELFMPLLESLPFTRSFLQDLSQTLKT QTYPNGTILHQKYQVMDKLFFLMKGNFAQCIGNKVLRTNIFPIQYFYTQLQCPLTLRCLS ESLIAHVSIEEFLRLIKMHSQDFQKFCMLRDCSKEVCPCCGYRNHTLAKCKHVFYVPNFK ELVLIHNTSEPNDRANYYRDNGKNRINSLQNKNLICITAISFAITNKISSETDLTNEIMG RLQGSMNNFEDSILNDFQDEKSSRYSLYQKLGTVEAPKTINSPQGTLNSLTHQQSSLPPI KLEEKESSYNSRKFVHKHVDYSHTQNSIKVNLVKSTNSNELQIKRTQKNRQTSSSSSLNK KVHSTSIMQQPKSQTGSIIPQQEENEDDIDQVCSYEYYYPSYNIENIANALNHKLMNKSI SFVN >CAK94627 pep:novel supercontig:GCA_000165425.1:CT868678:219913:220981:1 gene:GSPATT00026951001 transcript:CAK94627 MLNKPELSKELSVFLELLHQDNGEYKGYENYLIGSDLDEMDIHSKRGFNKDLSIIYSQPE SEVSTQRDNASLNIGDLFSVIFRDLENQAYSTLSQRIKQLQVRWLFKLISEKLSEFQQQN QSLETNNKLLVQALNSSQQEIQVLAVSHEESSNKLKKSIQDLKKQCEELHKSLAYTQQIN QNLLSQIDREQSTYKKNEEEFRDASQRLIKSNQALRYCIPIEISEIIVNIGNISPMHKSK LQEVIKYLNDGIDTKQQELRSGRSQSRKQKENNSLHYSTLNISSNRNKKSMSPQGFTSTR KQQGVNVLDTNYQTSYKELFLKSLKVTKQK >CAK94628 pep:novel supercontig:GCA_000165425.1:CT868678:221010:221620:-1 gene:GSPATT00026952001 transcript:CAK94628 MLIQAPIPRTRFAITPTNVRPIHVCLDVTPTRQLSNHNSILNLTQRLDDRQPIRPLVDNK HLQSIIIKPDNINRVQGNSFCQNQPPNKEMQTQIQELTKQKENMSQILAEAIRKSNLLQK QLDKIQGEKTEQALQFQVNLDQFQEEITQLTHKLENLIVENTQLQESYQNQQFYIEQLEY QENDNFNVTQNFGQM >CAK94629 pep:novel supercontig:GCA_000165425.1:CT868678:221902:223455:1 gene:GSPATT00026953001 transcript:CAK94629 MNQEKDCSYMQQVKFDLRRRRMHIVPSVINCEVQKRRNSFSLSSDACKSPQRKATKYFEN QNPGQNAYFWSLIKSKRNENEQFVQVLKSMCPIQVSEVEARLQFLLRNFGYEDEKSMLEI VENIQQGQRCQQWTQEESRILIWAICKLGVQHNQWKELGNLLNRSVKELKTHWTELIHHT QRGLLWTAQEDQTLQNMIITYLNNSQTINWKCMANVLNKSDKQCQDRWYNTLDPSISKEA FKIEDDLQLLQLVQKYGKRWKRVQREWSLNRKRSRYDLKKRFYELLNQPDSGYDSDTSSN SSSKYRKLNQDDIDKIQKLISEMQISEGMQLENKLGKDKLLKNKLLSIAKSDLDKMLNQQ RKIKIQSASTPTDEQKNDIQEEPDEVIMNTPSIMNSLSRCLLKINLETEDDQLSIDKLAV PKVQYNVDSVEHLKHEDIFDIQFALVNDKTNTLYYANLEFMQQLINLITNQRKLMQLTDM NKQPQKTKKKFSSQQLIQVYPNKVGLSQFSQDDTKQQ >CAK94630 pep:novel supercontig:GCA_000165425.1:CT868678:223475:224773:1 gene:GSPATT00026954001 transcript:CAK94630 MDFELPKRYGLNKPTFKVMAGQHFAKFDSSLKPLKNLISFIDLSKPIKELNYIEHRKVRQ IMQHLKFQSQSRRFINHQDEQIAVISQQLEMELENLAKEDCPTPQVDLVKLKFPSQLQTK FVHESAATLETHYNLHRIKEKINHRNITLTESDLLNKITQINFQTARNSDTKEFYHNFNT SRPRGKKEPYLSLDEKYQILKGYLKERGIEMGRKESVPNEGYLQFYHEATNRLIKQTKEQ QLLKFRKSITLKTAPDNQDHSPHKKMSITKITTVPTTVGTTPALHAITPSNLTMLHSSLL KLNPGNNCSPLALSHRHRSEKEKSPEKPYSEKWQDFQNKAEALQSYYLHEGKEFLQTLDD LENTIEKPYLRHFNQIIKVEQEQGNLEEQQKQNKIMRKRKQNLPKKIRMQKIFKSELQ >CAK94631 pep:novel supercontig:GCA_000165425.1:CT868678:224957:226487:-1 gene:GSPATT00026955001 transcript:CAK94631 MFIIFIICLLKAAEITKNELDEVNYMQTVDWKPYIPTQAFSQAITSQGCVLPKESVPFLD ATFTPTRVIPSVDTSKQTISSTDKTYAEFGTIDINNNLLKYQVDFTTNYQNKLVYGTLYT QPLSMNYSVWVHQNNKTIAYKLYECPNMTMDFTITQVNLEGLTEVQKMEVVNAMNSIRFL NPMINQYYEDHSEKFCSKLKLFVSEKLISNKISFTMFEFYQFDDPNPKIIESIENIIFQG LNFNDQNYVLGLNVEIFNYQTEFTTPLDVHQIKLNTYSFGISMDLLKSYFNYGAENGMFD DTITNNNFPIQGFSYKTGDLRTMIPSAYYSFKLNTELIVQCKLITHSFIGLTDLAVINNS ISVQLGHHCQVITQGILITGFDIDVEMKLAIREFSEYIDFFIKEYHLLLLKFQEAPNYGI WSLKNLESIIKTGLDQNLIGKNVFGSGWLKNQLGPQISYGFSSQTLAIWNAQLI >CAK94632 pep:novel supercontig:GCA_000165425.1:CT868678:226793:228224:1 gene:GSPATT00026956001 transcript:CAK94632 MDNIQQIFDTLQEDQEITIKDNKCSNCDKPSKTKISIKNIPNFQHIFIISFNCQSCGYKN DEVRFRKEKQEKGIKLQLKINQPQILQYRIIRSQQCKVSIPELEFQMSTNKKSCINTLEG FIENIIDELSRDQIERKNCQGELYSGIQYIIENLQQYQQNKRLPFHWNMDDPSGNSFIIS PSQFPQDDHLNIQYYTREEDEFETIFKKKENPQQKDQIQEESKPKQQFYLKIRGLPFQCT KSDLINFLEMPRLKKDMLTMKFQQNGLFTGEAYVQVNSIEDLEYLRTFHKSQMDHRYLEI FNSCFDEYNKAQKSNQFLKKINPKITSEVGNINEENEYQCQQQGVLKLRGLPWSSTEQDV RTFFKNNSKIKTIKFLYDDTGKAKGQCFVLVKNLETAEKLKQKYHKKSLGSRYIEVFICN QREYLACFQKTYTERKRSVSP >CAK94633 pep:novel supercontig:GCA_000165425.1:CT868678:228535:229669:-1 gene:GSPATT00026957001 transcript:CAK94633 MFQFQAIPSNARTYPIIEQQNVVQEQKSENEQELPCEASIDVNQLPEFDINQIPSFTKTP DKYPSPIKGQKAFQDFDSKQPSTQAFSITSQNEHENIVPNTNNDEQKEADVQKSEGKKTQ QKGMSEEKRKNNKIEPQLSIQVHSVQNEYISEQYSPGMSERNKITQSTRAVNKVFIEKRF NFLEYIRYCPICSIDQLARAKHCQSCNKCVACYDHHCPWAGNCIGERNRCVYYWFPSILD SRNLLCLYQRIKFQQTSFQTLQNLEFDHYNPLLKFFSIYFLTNTIFFGFLVTRLLCFQTF LSFQNLTTWEFYSWNKISYLQELQRKNGSPFSQGWKRNLQTYCRFNIPQLTIWEYNPERV YK >CAK94634 pep:novel supercontig:GCA_000165425.1:CT868678:230032:230788:-1 gene:GSPATT00026958001 transcript:CAK94634 MKVMQLTAYENTLASQLSGGNKRKLCVALALMGGTNMQFFDEPSSGVDPIARRFLWNAIQ QGVKLRQSSVILTTHTMDEAESLCNKIAIQVNGRFACLGSVQHLRSKFGDGYRVVIEPIN NLDKDATMLQLITKDYQNNRPNIIQEITKHFGNIYIVDDMHSGKIICKFPLAGFQFHQTF HFFQEKLQKELQLIKDFQISQPNLEQIFMQFAAQQTIEEVVQKKESPNRCHVVALCGNDE DD >CAK94635 pep:novel supercontig:GCA_000165425.1:CT868678:230809:231997:-1 gene:GSPATT00026959001 transcript:CAK94635 MTAKRYTMCVFMPSFFAIVAPIVTKITYNAIEPTLDDDVNKNLVFGEIALIDAYVYILIG ASMASSQIGSAPVEEREKKQKYALNVMGCRTLPYWLGYYIYDITVCIILLIVFIITVNIF GVEAINNGNVYGLIFCCYLAYLPLSYILSWLFTSFLSAVRSLVFIQLFGFFMIASVIYIV SIKVEGVLWILSFLCPSLATFSGFMAVYNQIAKDNNVTDGDIFENVYPFVFMIIMLFQAC LYFYITYLIDNRELLGAQSGGVLSLNQDDDVIQEEKRVEVQSCQDRTYANGFQAVKGTSF GVEPGQIFGLLGPNGAGKSTTFNMITSKLKPSTGTILLEHQEVKKGLGDVYQNVGICPQF DSLYDIVNVRRHLQILGLSQKAQEEKM >CAK94636 pep:novel supercontig:GCA_000165425.1:CT868678:232064:234219:-1 gene:GSPATT00026960001 transcript:CAK94636 MTSIWQQTTVVFHKNFSIYYQERQFRQEFFVALLVFIILLVGSNNMQHIPTIEETSSPAL LQIGAEFIPMTLLISSRYIVTAMISEKSDRQKEIQKIMGLKQSAYQLGWLLFNLARILAV SIFFLALAVPTGCFGPQEIEYKIDSYQVKIQFFNPIQQIGSYLLYAIGLTAQQYFLTTLF DQPKNGADVSMLLNIFGSLCSALLSIPYFQKQFAYQNSRHSWIVILFGIIFPSFLFDTYP YNQVLGTINKGIKPPLGVALYFGLQGAEILFYGILYLYLEQVLPNEYGTNKHPLFFIGKK YQIQGEDVSNQYELTNMNKPIIGTRQSEIQEDNSSAIYHEVFDNPKISPRAISIKNVKKS FNELMAVNGVTLQIYDSQILCLLGHNGAGKTTLISILTGLIKRDSGAITYYGTDNDFDVI RNYLGFCPQKDALYDSLSCDQHLQYYGKIKGIDEKELQMEIDQIINKCDLLNDRTKLVKQ LSGGTRRKLSLAISLIGQSKVVFLDEPTSGMDPISRQKIWEILIQVKNEGRCLVLTTHHL DEAEVLSERLAIMAKGRLLTVGSVEFIKMNFGIGYHLSLYDKTNNPQMWSEKSKKIVNVV QQFIPQAKLNSQTSSDSMIFQVPFKQKDKFLPLFEQLERDPCIQVNLMMNTLEEAFINLS EWMKNPSLIKHQGNKLQMKIQKLTLTQQMNSTKSYLLNV >CAK94637 pep:novel supercontig:GCA_000165425.1:CT868678:234523:236035:-1 gene:GSPATT00026961001 transcript:CAK94637 MDELLGAIQQRKAKINEIQEAIYQIKGNQQQERLTVYSMVRKLKEEEKIRAEKENWIIGV IRNTKQYNEEQMWYIQISNFSHIYVKTNEMMKAISNQFLEIPYTPTCNMYQYLENYYTLH SSFQKHLNQDTQLKVQFKQAVRVGNISLVTDLIKSLLGTSRKQYRDFVEELSNQVKKEPS PQKIRIENQRIRSSTQKIEEVKLVEKPPTTTMINKVDRIKRKQITISKIQSSCDLSPTTE TPTLYLRNKFKEIEKAPFASMFANITTVIEDLDEQNIQICDYQTVKRQSQNYEEFKTNYD ALCDKIRLRNNLIQQSVFDYTNYALKRVQNVETEYPNLIKEKYTTLQNNQDYHFCNIQLK PIFDSLCPCMQKQTVQPKMSPIKNKVIIRKSILQIKNLINNLKKNKLMQANTTIVLITTG SQNNKCPQLKLYKDAQLIKPHPRALSANTQQQPVKYLTCSSFIENPIAIKTNLLVRSTYE >CAK94638 pep:novel supercontig:GCA_000165425.1:CT868678:236060:236667:1 gene:GSPATT00026962001 transcript:CAK94638 MAQKFKERLLQGTGVSNKKFESDYAMKLMQKMGWNQGQGLGKNKDGHTDCVQIERRADQL ALGAQQYSLGQSWNDLWWEQSYSSSLKNLKPISTKNLPQQNSSDEEDKFSDYEKHKINRS QKSKPYAQSAKVLIEQDSDSENDTFIAIQKKKIKKTK >CAK94639 pep:novel supercontig:GCA_000165425.1:CT868678:236958:237362:-1 gene:GSPATT00026963001 transcript:CAK94639 MQTPFKTDETTQQINDSDLKSQQVEIKKKISKQQSHVIYPRIGSNYQAEIRNSPINTQKC KKFCQNLKIWDGSSKKHLKLEVEMERKRLRYLMGREFNSEDSILTMFFINNFDRAKVNSA LKIKKEELQNNNVL >CAK94640 pep:novel supercontig:GCA_000165425.1:CT868678:237410:239459:-1 gene:GSPATT00026964001 transcript:CAK94640 MSNWNFYPCDKADHDNYRLDRACIDPKCNKHQLVCTICSENEHQFCNPPSIKSFLMQFKQ QLHSGSIDQDNQIEELISVYEKIENQMELTLQNIQLIVDEQKKIIKNVKDNIKDHSQQQN KQKQQKEKLVKFEQNMNQENYAELIEEIEDFRKNANKFQFSIKKAQGSEITKIEERIQNG NKYAQQYINSTCSIIQQFKKLNEDFQVNLQNYFYITPNKPNDQEQLTLDRAFSFSRFKTP PRAQDIPQNTSDTKNTNRNIQNQSGTKSPDPTFNLSSSKQVQSSQIPKPQQQETNSANKI PSFFSTIPLSPNQRSIQRSYSPLQSAENRNQVEQQTALEITQESKTLFETSEQEITKLLF LSNQLIAACCGTKCIISEFKTLQQTYTINLREQITDAAYMEFNHQNGILVLATKKGNIEL RRRTQDPSQPFIPINDSSQINYQSDKSILVSINQLKKQIVTLEDKNIIKIFQVDPFKQID SFQLTQPGTVLHVNSDFIYVGCKSRLIICDMNHQYDKYLDIPDINSTIISINTQENNLLV GLQDRINVFQRRKNGDYFRIHEYVTGEIRYMNSLTKLPIVIVLRPQQIQKKQVFIYDYKL EKFIDLETQMVKVCAVREDDGISLIGLTQGSGQCVIYKVVQTSQPQQTQ >CAK94641 pep:novel supercontig:GCA_000165425.1:CT868678:239536:240102:-1 gene:GSPATT00026965001 transcript:CAK94641 MQRQQQQESSLQQRLGFVQQYHKNHPNTFELKPPKEYKTLQNLKYIDMFIHSRKPKELEE QPKSSLMDNLNRINNLYKAASQPRIDAKEINPPQYFYNHKQGCQNPQPTTGKAIKENQIV KTFLNNNELSLKDYDLQSTKGNAFTAKSTSPINFSVNKSPLNKVARTDSVLGSKKTNEPV VTFLKGVY >CAK94642 pep:novel supercontig:GCA_000165425.1:CT868678:240134:241121:-1 gene:GSPATT00026966001 transcript:CAK94642 MILSRNYESNSNLTSQNSELLCSQTLLPQQSLCLNKLNQTESPLKRMRTLINLRIKDKET IINRICKSFELRNVRNTKKQQQRRKSGSEQLSQKQLHLDYQYPKTIVKHQEVVTNELQRT KERKRTVLKRMSSILLKHQTTIRNINNQGNQKDSEVQLLILQQQQQGNDEPNVPQSQEVK KQKLRSFVLEPEQSKVVLDVSRKSKKPFASIQTYINEKATMQAKEQTMNPNNIVNQTNPF MSFAGFQSLTEMNGLIQNKSLINLGRYQVIKPTYPLPKIKMICSARNNCSLLDTNFLKQK KPYINNGNTQRKQRISTNS >CAK94643 pep:novel supercontig:GCA_000165425.1:CT868678:241973:243032:-1 gene:GSPATT00026967001 transcript:CAK94643 MNSSQSSFTDLNDWALVYQNLQTIEQEYLSLKLKLHFKTIPNFDDFIKLYESSKIGCNEA WNHNEEIFLQLIVLSLNPRCIKNRFDWEKVQKLMPKYRSLSELCFKFQSFYKAQLPRQPW SSFEDKALLQIILDNTSRCKKKWSSIANQYNLLCKSEIPRNAKQCRERWNNKLDPQINRE PWSRSEEFHFLQLLLQNGRRWADISLKLSMITKYKRRTEFALKHKFKQLQKYYGHKAKRL NKTDFEISSSLEHIGS >CAK94644 pep:novel supercontig:GCA_000165425.1:CT868678:243376:244029:-1 gene:GSPATT00026968001 transcript:CAK94644 MLILISKIFRIPIKLEIQSTNSDIPYASIAIPILNRRVINYNFDLKTNYFELISQFRHYN LSGLVEQDYAIVSKKEKSIFFKVDPLNKGMNRRKMEIYENQISIQRSKLLKALLRDLENL FTINQLVILSIDRASSVWKNVKLKMKVSETKQFNNIAFFFCKIELNQIIPNFLFTPYLSK KMLQSNYMGKKNSFEIDTLCQYYRIVVET >CAK94645 pep:novel supercontig:GCA_000165425.1:CT868678:244068:244736:-1 gene:GSPATT00026969001 transcript:CAK94645 MQSTNPSSPLKPSTSTPSKSIGPYRTIITTYAPFTTLRKNSKAEDSPIKKVKPFHDDKLI KSTKLIKPNRELSKSYSKSSDKIIVKDVKDESQYIGSYNGKVREGFGKLFNAQGILVYEG QWFNDQQHGNGILYNHDVNAQFTKYVGQFQFGYKYGAAIEYYRDGSMYVGNFESNCRNGM GQLTKKNGEKLNGLWLKGILISRI >CAK94646 pep:novel supercontig:GCA_000165425.1:CT868678:244753:245020:-1 gene:GSPATT00026970001 transcript:CAK94646 MGGFQKYPIPRYVLSDAWLYNRQWFRHSVHIAIPTLILSFLIYRGAVASQTQKMGEDYYV DPQDYQSPKKLIF >CAK94647 pep:novel supercontig:GCA_000165425.1:CT868678:245388:245720:1 gene:GSPATT00026971001 transcript:CAK94647 MTTNLGPKLKFVMPLKKSLSFEDVFEENCDLEQKQWNNSKMDRKVSFAVSTLVFLRYSEE EILHFRHRLKSQIHSSIELIESSYLNPQLCSPGKQSRRKSCFKENDEHIN >CAK94648 pep:novel supercontig:GCA_000165425.1:CT868678:245889:248456:-1 gene:GSPATT00026972001 transcript:CAK94648 MKVDLDDLSSDDELSFHTGLGFKKPKVNHEEEYEMEIEIPKFKIPQSQPQRARLNLFQED FEQTPKPQVQDTPNNQHHRIYKEYQRNQAFANFQKSNASLLSNVEKGVTVKIFDKNMPKN EKLNETEYKIKQKRSVQFNLENNVVHPIVKHEEEYLTLAEKLHLSSNIRRAWQLYRIDSD SEVEAQDDQSDDSIVQVVSSTPSHKPKGILKKKLQVFKEEEGKKTITVEQVAFKDSRNKK NLSQREKKTLEKRVEEHDYGVGLKFLQKLGYKYGEGLGANKQGILEPVIAVKKQSFTGEG VQEYQQQDEEEEQENNQTQENKQKPFFQSKKLNKYEKQWRKKQNKEDGQVTVNIRKEEVM NLDRNHIMGNLIKENQNSNKYKIIDMTGSDINDYLNQTQDAQVYSNKAVREYLKEVQELT WSVKTILEKRLGKWENNEQSIKKEKDKMIILEHEKQEELMQFTQWNDSVKRKEDFLNYLK FFKDDDILISNDKFHIFTKFEECFRKFSDQFIQFNLIGLLVKNAEQEIKVLTSKWKGPIT NLDILEYEFQLISDVITLAKDVYIKKKSQNLSKYAEQLDVFIINNSISTSDLNTLKRFIG LLIAPILIRLRNYLSVAFDPTQENYLIDFLGLWMKDLALVETDETGVNQFQSIRLLDQQV QKDIMCIVMSKLKLKIQEWNLNSKISLHQWLQPWITSKLNSKELLEEVEKKLKQLKFTDK DDFGFSVLQPWAIHLGENWKNLLYMSVLPKMLFCIHNLEINPQNQNVQPIKEIFKWMDEI EPFIEMIFQPLIEKLSRTLENWILQLGSKEEMNKQADYSQLSRWLDGWERFLMKRVIQKV YKFETQFNSMKQKII >CAK94649 pep:novel supercontig:GCA_000165425.1:CT868678:248497:248872:-1 gene:GSPATT00026973001 transcript:CAK94649 MNFIQRAAYRYGQSNLSKLEQIGLSHTKSINLSRPPRNFTPGQQAPIAYTEQFTYPEDYR PWTINYKKDGIFWLVMGISWFAYFSYELAYLRRTEQEERPNKEYYAS >CAK94650 pep:novel supercontig:GCA_000165425.1:CT868678:249729:250063:1 gene:GSPATT00026974001 transcript:CAK94650 MQIQLIKAGVLMERNRNLGYSKLNEECSVQIKQMHINKQPMEFHLVEMKQQFFIRRRQDN KYLMNKKKQKYEVKESKSRKLQNTEPKNSGSKTKEINRVEQFIQ >CAK94651 pep:novel supercontig:GCA_000165425.1:CT868678:250122:250822:1 gene:GSPATT00026975001 transcript:CAK94651 MKRKLYKLSLFKEIDEFNQKDDDGHYSDDSSVSDEFLNTTLEAYQSQIFISSNQTFSSIH SEQLQFIQGVFDKSEESEKSIDFNITNIDGDNRTTLMMRNIPQTYTKEMIMMEIDPKFKN KFDYFNFPFDGTSNPGYAFINLKSKSYLRDFYSYFNGRKWKNTPSKKPCYLKYAKIQHKK FKQINPQIYLQQSSVIKLVQSQKLQCNL >CAK94652 pep:novel supercontig:GCA_000165425.1:CT868678:250853:252125:-1 gene:GSPATT00026976001 transcript:CAK94652 MKILLLVLISLALCKTGTDPKIALAEIDDHHMGKTFLNAIQISLATGSPVHEIQSYINNI RFMLEQEQKDADLFIQNTQASCNRLLHDFSTNLAYHQSQLKAHQKIVDENTNNLQRSLNK IAEVSVEIEENTKKTNAGQSERDLQYAEFQSKIKDHTEAISAIDEAYALIEHLSGGSSFI QVKGRFNKVLQRLQSQSTGLLFQPILTMMTQLSSKSDSDTAKKVLQLLANLRVQIVESKG SDESIEKQQSLNWQQFLADLTNEKNTLSDQRQNLEQAILNYQSIIEESQGKVEYHAVEVE RNQNNLGGQDQWCRQQQDIYQMETQSRVSTQDLISRISDHIQDKIVTLKEYLRERLQLN >CAK94653 pep:novel supercontig:GCA_000165425.1:CT868678:252561:254078:-1 gene:GSPATT00026977001 transcript:CAK94653 MDIQNQRRKSKFSFSRAPISDNTQQNTLQQFKNKFKGQMLQLINKSENGNTKEQEIQQFL NRINIELDEEDNKQIKFDLSEFWEKYTEGEVLGEGCIGLVKSITRKLDGQELACKTVKTD AEEIVKNMILEFKNLKKLSHPHIVKMEELYIQWNEGFQSTGTVYVVMEKVKGSEMFEVIQ KQKNYSECTARILFKQVLEAIDYMHENYCCHRDLKPNNILCAEDGRSIKITDFNVSKFTD GYKEFGNLNEHGKIEMWTYTGTVAFSAPEIFSGGLYNEQVDLWSAGVILYVMLSGELPFN SDYLNDLIDKIQQCKYEMVGPIWDQISYQAKDLISNLLQFDPQKRLTPQQALDHPWVKNA QNESNLPRDRLEKNMARFLNWKPQEAQMNAKKIKQLCFLFGAGEIWKRNSLKHSSVSTIS EEFKKFKSIDITSFKATEQIQVVKDKNSSHVYHIDYPFSEDESD >CAK94654 pep:novel supercontig:GCA_000165425.1:CT868678:254256:254687:1 gene:GSPATT00026978001 transcript:CAK94654 MGSVECCKHYPRVDTLKFDDIDSSSQESVFYKRDDQFSRRASSPGQEAVPLWVNQRQPQM YQKHACVSTALQSYSFKTISSEQHFSNFITFQALQSSRDQQSSKLQNVRPNYLDDLNDIQ VQLSCDLQSSEYIKQPSQYRKNI >CAK94655 pep:novel supercontig:GCA_000165425.1:CT868678:255438:256782:1 gene:GSPATT00026979001 transcript:CAK94655 MSQQTSKCSNCRAQIAETVFALHEIYCIRNNIECKRCGQFFDKNDPQSHEEEFHKGTPCE FCKEIFQDISKHTKCLKKPVQCIHCGLDQTKDQIFQHENICGSRTERCDICKQYIMIREL TKHVATCVPPKPKEQVQEKQPTQKTVENRQISQQPQQIAQPPKPDYKNFDYKYKHQVEAE QVSRPQQQVQAKHEIFGYEKPSLPYSQQQSQQQQQQQAQQIRQPINKYDKPIEVRADNHS QNRKTPQQYYNPSQQQQQIAVEQKYKPKEYPLQPTNVIKRQASGNQEVRNVYPQQEKLTD KYSYQQSNQQKLPDRKPNDQRQYDPNSYLNRQQENKYYDNKQLEVRGIDKKQSDQNLHRQ YSQQPQQKKQQQPKAVDDDIDYLALGLTREEIEQQKAYLESLQQQRKPQEAQLKPQEYKP RQDYYQAARAYK >CAK94656 pep:novel supercontig:GCA_000165425.1:CT868678:256827:257502:-1 gene:GSPATT00026980001 transcript:CAK94656 MNINQSQYSFENEKLKIKIKYLEDFIENSNNYEKTNSLFDLKQFLSKNYKRFSMLLDEIY YLKQQVEGEQMQRINEAQKLQTEINQLRITTSSLQQQLSQSQLQLQQQSQQQQQQQIDDN EYDVYRGSLNPIQRLEQIENLENTIKQLSDEIEEITQLNIKQREQLKKKDFFREYELMCK ETEGLRQQNAKLLDQLKAFNSNRTNTNSSNQGNMNGF >CAK94657 pep:novel supercontig:GCA_000165425.1:CT868678:258079:259570:-1 gene:GSPATT00026981001 transcript:CAK94657 MSNNPEEELQSQMQQIIENGILKNIENVQLKNKVLQSLQLKRVSKLTLIGIDIILDLKFR GGATQLKDKYSKTYVKLFAQLPQPKDEIINYILFLITYNIHWYLWKDAQYKEQVNIRFVY DCYHILIFYSNGLYVSDAYIRGQIDKIFTSKFLEYERIQDQIIMQKKLEQKRKAQEKTFL GRKLMFPNLSGDGLTFAKHLSEKLKPQKIQRKDSTKIEQFEDQNVQVSAQTKYLLSHLQL NVNQVSPSVKLVLHMNKPQVPFSRPKMLQHNFEKSDEKLKFVGLLNNQPQVQKKQDIKYT QNILDKFNLRAPPKEYYQKFAKVDPLFKEMLELNYVLNNHQESLHDLYQFKELGQYEVQM PLSEESPSDQQIQNKSQFDIPDEGLNTQPPQTEQPQITLPQVQGEQNFKVSESLNLLDQR FQQQQKQTVPLYQSKQKEYKQKYDRFIQSESTDNKINSIYVDLQKKQHVLMSHHHKSSRR K >CAK94658 pep:novel supercontig:GCA_000165425.1:CT868678:259631:260877:-1 gene:GSPATT00026982001 transcript:CAK94658 MKTLTLFLCLCTILLARQVEERRDYPSIDQQKDKVSELEEAMVFGETAAAAATQSGQTQP TTNKTPTAEQTKPGTKSDSSEGKNEPPKPESGKTQGQTDTKGDQNKTPSKDSNPKSDQGQ TESKGKQDTTQDKKPKTEEGEEESDNDSEKDSESESDKDSEKESDSDSEKESESDSEKES ESDSEKESESDSEKESESDSEKESESDSEKESESESDSDSEKNSDSESDSDSDSDSDSDS DSDSDSDSDSDSDSDSDGESDSESNNEGEEGEEGGEGGEDGYGELAEEGEEGEEPADFKQ CLEWECTSQVNACAKDSGCVPAMQNCKSVADDEGISGYVSCLSDSKTAFNLYRCINDECI DYLE >CAK94659 pep:novel supercontig:GCA_000165425.1:CT868678:260984:261962:-1 gene:GSPATT00026983001 transcript:CAK94659 MKRILICLLLISLISCRAVNHLTEEKKPVDSSKKDDGKKEEPKKEETKKEDTKKDDGKKE EPKKDEGKKEEPKKEEAKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKD DKKDEKKDEKKDEKKDEKKDDKKDEKKDEKKDDKKKDENEKKDDKKDEKKDEKKDDKKKD EKKDDKKDEKKDDKKKDEKKDEKKDEKKDEKKDEKKDDKKKDEKKKDEPKESPEEKKFRS CIEDKCGSQAKACDKDCNSKLASCKDVVYVAGYQAYLNCINESKPAVALLECVQSKCLE >CAK94660 pep:novel supercontig:GCA_000165425.1:CT868678:263317:264264:-1 gene:GSPATT00026984001 transcript:CAK94660 MYKILALSLGSITLIAGKLKIMGGINYFKTHEEIILDDKTILITGGTDGIGWETAKKLSK AKNVIIAGRNVERIRSQLINYKNIKYMYLDLNDLDKVQVFCQEFKNTYEKLDILINNAGI YNLELKFTQQGFEQNFGVNYLSPFLLTYNLLDCIPNEQESRIIFVASRAHANSPSQVDFN IYLNRQPIPYPWYKIYAISKLANIYQTSSFANHLKSTKIKVYTLHPGLVSTNMLNQFYFS SLLAPFIWYFTKTPDQGSVTSKFLATQPNQNLKNGYYYTDCFPKYRKEDNNILFENTTKL LQKLGYIK >CAK94661 pep:novel supercontig:GCA_000165425.1:CT868678:264650:265246:-1 gene:GSPATT00026985001 transcript:CAK94661 MFEELTGFFKEFLDNKFQQMELKIQDIQKQLLQNQREQESMLIVKIEKVFADQDSLQSQF QSLLKEIEVAQKQADEIIEKFSQQVPTTKIKQQQSLPIQQNFQQNLLNLSSIDLKDQSQI NSIDYSHRPSNIPIQHTGKLEITNPKVSKFDENQSIVFKTKSGKKYHRAYCGYLSDSKIP ITLNEAKNKLTECKICKS >CAK94662 pep:novel supercontig:GCA_000165425.1:CT868678:265426:266672:1 gene:GSPATT00026986001 transcript:CAK94662 MQKQYENENIVIQVIVSTDYHLFKFSILLSKLMIKLYIILNSLFALVLNQQVCPEDEYRF KNECIPKSCQQYIEKITSKKKPSGKRFLSLDMNGKVMKEKDDYRIEIEFNDELVGIFNRD NNQSCITDQLYRHEMNYVHDNKDDTAIVSSFDQKRRKWSFLIGDLSNKLYQDKQSDKTIY NGFYTINYFVKDYVILQYMFDFQIVLDNNQKMIETHFNDQLLDDQVSCNQFGTCVQKIYT EISFCKDKDCTEVAAEPNITVNTPVYLISEIKDEGFLDWYTHDLELTFYSYQLVKKFRPV FEQHNPGKTIFKLVVPFIADKGSLYVSAKLTKNSYWRRVLEDEIGTSLAISIYQDAPCIK KGSNNQCPSQELIEKTNQLDCGCVDIMYCDGIDIFNAMMLILILLII >CAK94663 pep:novel supercontig:GCA_000165425.1:CT868678:266703:268042:1 gene:GSPATT00026987001 transcript:CAK94663 MNTSELVEYQQKVEAYIKEHKMTDLFENLTRLLVLSRPQDPMTFLIDILENRRMQRLILV AGVVATTRQEIVVSLANMFNYKVITVDDHFKSHWINDDQVNQYIHAELKKTEKHFRGVVI SGYPNNITQAYYLQSLGIIPERFFYLESDPDFCRQYYEQISESQEEVTKALTRDRLNKKD LEYIYGSYMDIFNTSKRTKEDIIENIKQIIRLRDRKFPPLRYPRVAIIRPPGLKERANQL AQIFSKRYGLVQLITFDMIQQQIQNKGALGPLIYSTLQKEGEIPNDIMNSIVYTELLSTE SRTKGWVLEGFPKNEAQLKFLEAQNVNLFVILNEDEDVLINKSKQLKIDPVTKIKYEKVP LNNKPLLDRLQNYQIHNEQLLKSRISKYQAYVKLIEQKFGERVQVFKLEKDSTENIIRVT DVFLNNPKIYN >CAK94664 pep:novel supercontig:GCA_000165425.1:CT868678:268063:269043:-1 gene:GSPATT00026988001 transcript:CAK94664 MSTFLYNSVRFMLKPWRPKREIFHFVFSKAMTQSSATKILFQLRKHIQEKPKVLMLSINS AYGDITQAQIVAEAFQQAAQNLDAPFYTFIDCYALGSAYYLASCGTEVYANPFSLIGEVQ PSKRSIGFQNVLENFKINYRKSRRETILLDAFTKVSEKQLQFVNKEVQTEFQFALDQIKQ NRAGKIKKFEESKIYTAQQALENGLIDDICTFDEVLAKKYPKYKFRELTLYKDESSMIIV QGQLHLAIENLLTKFENNCQYFVNENAYHDIQEQISELLTNALNNIDIQQSAYDLMMDYL NDFIINSNKY >CAK94665 pep:novel supercontig:GCA_000165425.1:CT868678:269067:270364:-1 gene:GSPATT00026989001 transcript:CAK94665 MDQSTLIDESEQSFEQSRRVEPTNRISYAQIKNYQVAIVHALKLSFIRDVQQNKMPFDSL PNIVQQKISDFEDEFQDLWKESDEFLRENGEAIEKLVMKPLCSKLISIDPVKDREIEFSM KAYSFVQAKHLEIDENIEKHKMFNQVVDLISKIDKVETPKEKLNCIVNAGKQTTIVNQMA NNQPTGADNLLPVLIYATLKAQPQKAYSNILFVSYYRSPKRITGEDEYYFTTYESTLQFI EKLDYHKLNINHQEFQDLSKERLDTIKNSQNELSQNGVFNMDAHQNYVNLQMIKMKIQDL QRKSKFYEQSKKYKLKFNQKQLNNITLNEIPEFYEEYQNLYKNLMEMQKDIHNLYDLTNE IIKESQSETKKQATRKFFGIL >CAK94666 pep:novel supercontig:GCA_000165425.1:CT868678:270466:272874:-1 gene:GSPATT00026990001 transcript:CAK94666 MTDDIFLGLTSVQQFDAKLKLFNQKRHSMSQISTAHNSTLKSLKSTIGDGMPMVSFLDTF VERVHYLVTRCNELSDRNECVRIALELGISFYKVQSLGSQQEREDFIIKQLQNQVVEQLR QWKIKMEQRELQRTKTKVIHENENNLNIFNWVEIHTKFRSLKKKLKFALIEILNSKENTK KIYSSDNVTNIAYKKPDMQSIIDELNNLLHKVKKLTKVTTNIQWSNEKETDFKVKRNSAK HRLGMLVNSNSFNTIGMGEYIITHDKDKQDQQRREELLLKYDTQQAMTEEELLYLVNTSN LLNKCSNKKLLQTVINQLDSDVISDIELTIAENILNQYYRISKEMNQSRHLYLKVNQKAK EKEKSEINIQQTNKADIKKLKFKFLKMLREMKEDRKDTLKENTDKYKIFYQEYRRGNPIA NPLVWKFKDIMMSILERKKREKREKKLATTSDTNKPIFPSKTESLTLKVVMRKTHPSSKV IIKSQPDLHTNQSNPTKVQDYILKLKKKDYEKNKQNFSQFYSGGPVRQRPYAHQSLTIEE SDTTLPYGNKWITPEIELAAANKIKKAFKAYISKKRFERAVSQKKFLTPQPAKFYRLVQK LNEKRKQIDVEECYKSLKVALQHQQYRKKTIKKPQIDYSKVVIPKTMIQLKLKQRKLFLA LKVNNTLWMDHSGFIFEASDVNCYDVDMYCPLYYAAKQQSVLFCNFLLINGADVNMPCKD GETPTFAAFASGNIVIINLFVSNGADIDKLNNEGKTPICYCSIELLKELNLQYRPCVIK >CAK94667 pep:novel supercontig:GCA_000165425.1:CT868678:272907:273634:1 gene:GSPATT00026991001 transcript:CAK94667 MKSDSQHDNGTLQQLGRLRIPKVLFLPGEPMAKKRVSSLPSSPVKGIPNQADVQEKLRIL EAEREAKQKLQEQEMLERGKRCSFNRQAHIINKLHNVEQQLDRWKKTRHNIELQVIVVTK SVNKTKMSQMFESHQKTGLEFHRPIQQRNKSYSEFEHRSSGAQFNPELFQRKKDRERERS QLVNQTRYRNNVSQIDRNVRVMQKKYRTEKKCFALRKPFYNINDIKNYSHFLLF >CAK94668 pep:novel supercontig:GCA_000165425.1:CT868678:274673:275231:1 gene:GSPATT00026992001 transcript:CAK94668 MAYQLDLSQSKFIVDDTPQLKREPPAIFAKKESTDYFDVNSTICECNEEIEPYAFQLRFK VELKQDPIVQTQEEKELCNMGSSFGVNDGDKNNNFDNPIDHVNQNTQGPKDNNQILGESK QSFNHIQKRNVLVQTNKLMLKNQQQLFKYNQKYVNHAVYQETLLSLKQKQIKRITKIDY >CAK94669 pep:novel supercontig:GCA_000165425.1:CT868678:275250:275755:-1 gene:GSPATT00026993001 transcript:CAK94669 MEKRFYKTCSKHAYYQYINRYDPKLLATYQTGYYHGIGRQGLFKTLVLRQAGDSIGMEED HSYTYKMHVMAMNLMYRSGWVLFAYILIWNTFLLGDPCQVFNTSYWDLACKPSGDMDYNT RYEMLYVQDRVLRF >CAK94670 pep:novel supercontig:GCA_000165425.1:CT868678:275798:279437:1 gene:GSPATT00026994001 transcript:CAK94670 MYSTQQMSKFPSTYSNHYNASTRRYVSSAKTSPMTGPLQKLIETDNDTYSKRDKNPQHLL QEMLNTNNTNKIQKLISSISQHDFNIDNLSQQELLNLILKVYQLNLYDEINFINLLYEAY TDKQHKDVLKYLILHCHEQLIGKSGNATRLLEIFQEMLSLNPDFTSFQLEYQLDSIPVSF NRIQLRCMLLLNQYDVLRSLKGAVVLFEQLEGFIFQQFKSNAIPTQNDISTLVIAYILLS EQLELSSRLGMSKQALEIMGKQSDSSITDLKRKFIYNASKLSQKYLGQMIFDQINGLHRK MSPDLNRSQSVSQDTVLHQFLIDSLDMLYLKNYKDMFDIDRVSTNLISSPYIFTLMEKIL PESKKKDKGLSILSDDQMRPSSGKQFQIKQIKNDLNSPNQTRFKQQNFNVLSNNNSQTQI PASSASKPTTNRPPLNQQLSSGSLHSSGKVNQFDSQEFEIIQNKLVVQQRELDELKQLYT KSSASKKQEPENNQLAEQLKKKIDLLENNLFQIKNENTTNKKEKEQKQTEVIELKTQLQD LITKQTQLEKLLQSQSQQQQMIIYQQNLLQQQAAQTTQTLIQQQSKSTIQTPTMNIQIPP KENQKDQRILNQTPDDDFIQMDETTPYIYKQNQSYIIQLLELLDVNVTFSKMYTKYQSTS QDENNQWNSEIQNVANYRVEASVIETKDEGKSILLRAFDDKNAMMCQEYINLELLKGLIT YVDFQEMLPTNQPSVNTTHQFFKFLVLPYTAVVPDEITQEMKLQFWPKPYGLLNGLTLRV DFMDRNCLIYIHHIETDQFRISICDPNSKDTLRLDLEMDYSTIDAFFKDAKSIKDQYAFF SKTTMLKMTEKTPKFGDDDVAEALQERHFDKSKIKQLQQSLSLNQTYMTENMNFKSPFEF LKYLKAIVTFFEQFLKQLGISFSNSLFGQKYFRCKSWNTGTKNQLQIVVDNQDQQVVQVS LQNCFETFGPNKTKIRAQGQTTLPYSSIQREFSVKYDKLAAEEKTAIFQQLLYSFNLNVF EKVCEQSPEQFDKNPIIQNSYDCGSYKRMILYDNSKISVVTIQVIGANRRMCGVKFSVFN VETSQEIGVYLPTSQGEWDLRSLDKQKIKSSVENVPFAEFFLTQILKCPITTQILFKKIL KADSKGNQINSSEINNRKAFIERVDNLITWQEVLAVAQN >CAK94671 pep:novel supercontig:GCA_000165425.1:CT868678:279742:281665:1 gene:GSPATT00026995001 transcript:CAK94671 MLFILLVGLSCIVARDADLIETEIAQLEHLIQLQTEKLHYLKELREISINNQQNIPQIDQ QVLQEVVQKKPYKTQSNDKQNLNKERSFTNRMLKKYQFNITNSILDASLIQTFQYKSTTT DNSFTQMLYVVTNTKEIEIYDLSNSMIVNAQLDFQPQYVSISNRADDPILALANKDGQFA LYKIENSRMAIESQDSQKPKTKLVIQLNKEFEMNIENQQITSMIYAMVKGTKYILFGSSS GSVYAYTRNGTLVGERNFNSPIIQVIKSYPNMLYLTSTGFGYINPVNLEIVQPICDNLPF QIVHLTLDIQQTNIVYALSDLGEVYVFEIKQNEQCKMKLKLVNNQQSQVILNNAKLYSLR HYLIVYDQDTRESLLYNTTDVLTEVDYDQPFNLELFKQIKGQQVVLQSIKGNGASHYLLT REVQDDHELISYYEITMPQKKDTDIFSNFRFPIIIIAIVIVLLYQFWFKGKKKDKKDKGS KKKIRGEDEEIEQILNQAKKPLTGPAGGASAINNRSPTRSEVQNGQKQVRFDENLKRADK FKEQLENLDQKTKLLNERVGVTNNIEAQRQKLAQQALLQKNKYV >CAK94672 pep:novel supercontig:GCA_000165425.1:CT868678:281688:282316:-1 gene:GSPATT00026996001 transcript:CAK94672 MQQAEETQEKKENEMSHNISVQNLMDKIKIFLIMRQLKEDSIPIEIIPNLYLGCLGCALN KKKLLESNIKFILSACEMPQAPFSKDFASLIININDSVDQDIKSKFDESNAFIENAVNTQ QNILVHCFAGKSRSTTFIIAYLIKNHKMTVNDALELVKTKRPIAQPNTGFMKQLQQYYDT LYNTIIPVEKQQE >CAK94673 pep:novel supercontig:GCA_000165425.1:CT868678:282345:282988:1 gene:GSPATT00026997001 transcript:CAK94673 MENLDQYTNADDRNLQLSQLVLQQKREKIVLQKKIHALEKQNKNLSQQMESQKKEKKDQE SKIKQLQEYKAKQDSVTAKLNGLIKKLLQRDDESQLHDHSLSAPKTDAKSQKQDNYERKK KDKEYLEEQQKLDLNEQIIAQYKKQQLKDHSISSKLPPIEDTKNKPIIVQVPKSQLKKIN PQYLIEQLQKE >CAK94674 pep:novel supercontig:GCA_000165425.1:CT868678:283000:283880:-1 gene:GSPATT00026998001 transcript:CAK94674 MVFFIIGLGLGDHRDITVKGFEAVKTCKEIYLESYTSILGINKLQLQEFYGKEVIEADRE CCETGIDQILENVSKDPQNNYAFLVVGDPFCATTHTDLFLRAIKLGIKVEVIHNASIINA IGCTGLQVYRFGETVSVPFFTEKWKPYSFYPKIKANLDHNLHTLVLLDIKVKEISEENLA RGKKIYEAPRFMSTQIAVEQIIESDKQLGLNAIDEKTKCFGVARVGFETQKIVSGFLHEF LDIEMGPPLHSFVICAKELHPIEEEMFQFYRNNQQKID >CAK94675 pep:novel supercontig:GCA_000165425.1:CT868678:283914:284979:-1 gene:GSPATT00026999001 transcript:CAK94675 MYPQQVQPVMLGPSGIQRSPFQPYSSPVKVPSGPSIYTQQGFQNQYIQNQQVKTLQTHHM HHTHEYKIPQYQYQQSSPQQVQPQQLATPPPQQPEINELYHVEREMQVLSVEDVEEPWKK KCVELEVKIYDLQTELARYKNQGQELKVTSNEEFQVRELEAKIRMMKDIEDGLRKEIQNQ QSEIDSWRQRYQKLQLEYQQLLQGGDEIRKDKYQNQKELQINKPWKCKIRIDSSSIYIML YIRNKEQEIGQYKQMIRELESEMREFQSTTEKLKYYSNEANVWKDKFMKANQDYHTAQEQ CMMAQAELDSLKKQKTITTTEKTTSIQQNNVRRQQQY >CAK94676 pep:novel supercontig:GCA_000165425.1:CT868678:285025:286166:-1 gene:GSPATT00027000001 transcript:CAK94676 MFDSESFAIRNSHKSFISGKNYEDVQSQASSPHKLTRINDLKNQVENYLDMLESKVTMVK ERALSEFVIAYNGYMRQVKEDLKYLKEKSVEMERYYKDNKRIQNMEQQLEWFREESVKLY TKIEVKNKDIFELKFRLQEVQKENEFLEEQIKQLMRKNKKYEVIRHTTSVVTEQAEQIKE QQLFCTQPRPKRIMSGQAQPKHLKQLQDIFDRVSNDDQNQIINEIAQYVSFIENSQTKQI QLLRQKMNQAICQSTKAFATRSEYEEFFIDCVEQVRKDIMRRRQNAQVDNKFSDFNVFRK EDKFKVLELVLMNEKLLQTLHQKLFPTSHVAQLLEPTTIQTEYQDILHQIDKKRSFSTKD RMIIKKGKLLYK >CAK94677 pep:novel supercontig:GCA_000165425.1:CT868678:286177:286885:1 gene:GSPATT00027001001 transcript:CAK94677 MNKQIRDKENKPLIDNARFKRIGTTDRTASPINQILSKMRKQQSPHLSARTPKECQSRIS NDCEQQLQFDAILEMEERKRKIRKFKQCLTENIEKLKEFDAITQQLLCFEDCLTQILKNI KQIKSEISEQIKQQQINQKINIHQIKESIKQMSEDISLNEFNIIKEMQMKPFNNIMSHYM NKCEGHLDKIENMISTAKSQLEFKEMCRQIEQKLLTLRNQSPHFKEV >CAK94678 pep:novel supercontig:GCA_000165425.1:CT868678:286899:287646:-1 gene:GSPATT00027002001 transcript:CAK94678 MFGYVLRRMSNLRFSFCSLNRPNQGKPGMKSPSTNYSYTFDVINHYDQISKIKGKGAIVS LMPCYPQYQVTKQGSVVVKMKYTRNYIYLWRFQPVADIGREVNNKESLNFPISYENCGYI LDLCDQLSTSPEIKLEEQTIKGNLTFTVLIDYPNKSARISLQAIAQQQQQQQSQQQQQDQ KYEVQIALAHFKLICEQMKQGLPLVTGWIIPEKFLFQINKEQQE >CAK94679 pep:novel supercontig:GCA_000165425.1:CT868678:287660:288246:-1 gene:GSPATT00027003001 transcript:CAK94679 MGCLTSSSKEEDMSIALQSSKKLKIVIVGLEGSGKTSILQYLKNGKFTETQPTIGLNVES IQFKARHYLIFDVGGKVRTLWSHYYENLDGLVFVVDTTDQERIEIVKNEFKKLGSEIKYK IVMLIYLNKIDLPRSSPVELCKDIQQQESDIVMQRCSAKTGEGIWEGIDKMNKLLDHKFQ Q >CAK94680 pep:novel supercontig:GCA_000165425.1:CT868678:288481:294195:1 gene:GSPATT00027004001 transcript:CAK94680 MFRRFFYWLLIFEIFINQTSCCVSQCTSCIGVCFACTGGYYVKNFSCSACQKPCQNCNNS ATSCTSCVDASHQSTPSCNCQSGMGMDTTTYMCFNCSSNCDTCTSGTVCTLCYDGYYLNA NACSPCTKPCQSCSSSSTNCSTCVDTTNQVTPTCACKEAQILNTSSYLCDSCPSNCKVCS STSVCTQCYDGYLLSATVCIPCTKPCAKCSSSVTTCDTCVDTSKQSPPLCNCTSGYVINT TSYLCDQCTFPCATCQTSVTYCLTCAATYTKNTSTCSCQNNQFELSGTPKTCQLCTSPCQ TCSTSATNCNSCVAGLNRHLVGNQCNCDDGYYENVVCQPCVKPCINCTSASNCTSCNDPT HQSGSSCSCQQTFYMDSSFVCRSCVSPCLNCNSPTVCTSCVDNYYLSGNTCIQCTLPCYN CVDLASKCTTCAYQNQSVSNNACVCDNGYYMDGSLQCQPCIHPCIKCQTNGNHCTECAST YIMSTVITNTCKCPTQTYEVVAHNPTDCQACTQPCDTCTGSADYCLTCIDIHQTVNASHQ CVCNSGYLMSGVNCVTCANPCLQCSGSTTYCTQCKDAQHQVTTGACICNPGWVNDNNYDC ITCQLPCVTCSINDTHCESCKDPNHEINASYQCICKDTYYSDTIDSCASCTQPCDNCDIN GCLTCIDSNQIIDSSQNCICKPGYYAVSVNCSQCSLPCQTCDINQDHCLTCVDVNQTLIS HQCICNDGYFEVSNYCQQCQLPCTKCEISNDRCLECLDPNHDLIDHKCVCKFGYGSSGNG GINCSFCQYPCLDCSTSVNTCLSCLDSSLFHLQDNKCLCQEGYFSMETQCKRCSPQCLTC TDESEICLKCSDLNHDFMQNSCICKFGYYTDTQMKCSKCQPPCVTCDINYDYCTSCHDDN QSIVDGQCLCDNGYYLSNLKCAKCNKICTKCNSYSDCTECADKYYLSDSSCLQCQIPCIT CLDISTCISCADNYFMNYLGECIQCISNCKQCLDTTSCIICYDQFYYENSLCIPCYDNCK SCENSSNFCTSCIDINHQLIDNKCICRDGYYEENYVCKPCDPTCKTCVSLNFCIECGAWN HIVLLNNLCVCDDGYYQSHFKCDQCDKSCLTCSQTSNYCLSCNSQLNRILLKNACVCQKG YFENEKNECWTCNSNEGKIIKECQYKDCSDYLWTYGEDCDDGNNITRDGCSNCKVDTNYS CINTLLEPSLCFQCSENCIECQLNEIQKLSECIKCKDGFFILQSKCAKCADKCLTCDTSA SNCKSCRYLKNDLGECQLCESKIGYYSDQINNQCYSKCGDSFKAVTEDCDDGNLLAGDGC DQNCKKEKKFVCKDGICITPEYPKPQLINTGDTSLYNNQRSFKLEYNLLLNITQDSGVEN EFDLYIKNEIAENPIDCQYQKQLLFTLNNQSKIEFSVSFDLQFNRSSIKEQLIIKYRNIS KFISYQGYSQQELQVVAAISDYMFIDQSSVAQVQMATNSNQYVLYVMAVMGGGAILFGGI DIFYNLLDTIQMLSYLKYVNTQLPFNLQEFFDFFGFAQLNFISKYLKLQELIEPFINYQN LTPIPQKIGDDDLNSLFIINGASILAVWLSLLGIYVVSTFVPQILQKFKFKYYTEIPGGE DFTIKLKLMFLAAKIFITQLCYIIVSEFFYSGIFRTLFATAYDYSFSMTLQLYALELYSP DFLVRLSSYFALGALGIYLLAIYIVTQINGSASISQEQFSNKQKYGSLSEGIKKDKYSKY FNAIVLAKKLLFMLILIFCYPSPFFQAINLTLLSSLQTIFLIFFKPLEDDKEYKKQLSCE INTASSLLLISSLVLDQELKIFSDDLRTKIGWFCIANISLIFVIQLIIDAIQQWIILIKK YRQLKRLVEKINQLFYSRIPQQTSHDIFIRTDIQNN >CAK94681 pep:novel supercontig:GCA_000165425.1:CT868678:294829:296561:-1 gene:GSPATT00027005001 transcript:CAK94681 MNDQKRLEEIGIQRKELILKKTKIQLQYNEKEQKIAYIKDGEILRQCAEQYIRELLNNSI QSPQKIINLEHLKHLDWEFGLKKDQKELWFAKWKGHQLLVGGCFNENNQKVGKWIELSEY FWDLCRMTHQGFYNNGIKVGQWNTKVDEKIMQKLFGLVSGGGLFDDLGQKQGKWIEMHQN FYNGQEVKHEGEYKDGKEFGKWDTFFKNKIIGGGQYDNDGLKFGNWIELSDNFIEYYILF PLGMVKCHTKENISKVRRMEDGIRMTKRNQCIIEDINISGGGLYNQDGLKDGNWIDLHDD YWQFCQVTYLGEYSNGIKQKQWKSNYYQSNVQQLQKILSGGGIYDEFGQKQGRWVDLHDN FHNGCQVTLVGEYQNNKKVGQWDAMYMEQNLKDVRKIGGGIYDENETKVGFWMDLHEYFC DTQYIIISGQYKNGIKVGKVEIEFKKQYENEQKKIGGGIIDENGLKDGQWIELSDTFCFF QFDTIINQYKNGRKIKQDGKS >CAK94682 pep:novel supercontig:GCA_000165425.1:CT868678:296990:298046:-1 gene:GSPATT00027006001 transcript:CAK94682 MNDKNFLISEEKHGLRNIQILYFLIIGMLFFKNEQTMIVNEDNIILFLLQIQQESDEYDF KIDYICKDIKSNAIVDQALYNQPNPLDFNWEKFKTLDEIEYPPLIQYQRLKQQKNQNKYK IQQLIQLNERNEQRLMRDEFYGFLIQDYNDICILKKGLFQNQHFQGEMIEFDKEKNELIH YINIIVDKEGDKLGKNVKICYLSIQVNPIGFKMKRYYRGGFYNNKQNGKGIMIEYDNEGK NRQFYYSGEWENGFLNSFGIFENLNKKANEERLQELKDNGKLEYRKQYWRIRKNIISWIW DWLFNLKIKINIRKVGFHFRITQKKKTLQNKTGFKYKTVFKYHFC >CAK94683 pep:novel supercontig:GCA_000165425.1:CT868678:298866:300401:-1 gene:GSPATT00027007001 transcript:CAK94683 MFLYFFLFLALIGTYLILRPLLIMIKLKVQFGKQVYFYYIPILGVIQIYRSSAKKGNPQQ WVIDIITKHPEVKLIVSNSVTKPVFIIVDPEYYKPLYLDHHLLVKHDQSGIKEYIVILNI SFLLSKGLLFAEGEKWKEQRSLLGDHFLFEKLKERIPMMNQVTRDAINNKQTVDQVFQYI CSITGGVVIRSFFGQEAEGWLINDKPAQIELGEILKSFVLVRFSNFFIYFKQKLLGMKSW DYFIKFKQDLNQIISKRKSKIEKEGRKWENGDFLDVYLNKLSKSKDTQESYQYETEDIIS QFITLFLAGTETTGALVSNSLLFLSENTSYLEELRNEAKSALVDGQVTADSLKKLVQLEC FLKEVMRLKPSVVHPIVRQPKSDIQIKDLFIKKGSYILLGSFLANINSNHYEKPLEFNPK RWLQSKPIQIDNGFVNIPFAAGGRNCIGQHMAMIEARIILAHVILNYDVIKNPELTKVNW LSRGIQTYLPDDAIRLKKLE >CAK94684 pep:novel supercontig:GCA_000165425.1:CT868678:300979:305293:1 gene:GSPATT00027008001 transcript:CAK94684 MEQIKNKRLLVLILLISSLTLGLGERNCHFRYDQRPKFAMKATICQVKFVKVVLHNVNNV VAKLVISVHCAMMDIWFTIMLVNLVGLGVKHANYNNLVQESYLCQGNLEYYQESLPQSVL CVMLGIIWKGLFANNVKNHVQAVLLIQQLVQHVLTLPIKIYQLVSVNRLNLWTLVQHVLH KIHVKLAQKVIFWIMNHAKIANYLVKHVNQLQLNVYPVLQKIKWQIRNSNVFVLMNIHKQ KGIANNVKFHAQIAINELIIVFPGGQCVCQFGYFLHMDILNNKECQQCSPSCESCQNEST ECLTCLYSNQFVDSYHQCVCKEGFFFKDNDSLDCTQCGVACQICDNTSCQKCINEMMEIQ DQLSCVCPKGYQFFENNCFQCQLPCSKCIDQTDICIECLQSNSSLNENKCICDSGFGLSQ TSQQYCSPCQFPCLECSSDVNLCTRCSEIGLFYLENSKCRCPSGYFMQGPGCIKCSDECQ ECNFYPDYCLNCRDLNYEFKNNGCFCPFGYYVDNALKCSKCQEPCQTCQQNSDFCLSCVD PLYELINNSCQCPISYFQLVENNNFNLSAGCHTCNEMYLNCIVCNLSYCQQCQQGYRFNE NQECLNAICGDSIIVGNEQCEDGNDNEFDGCFQCQCELGWIQNELGCQTICGDQVIVTGE QCDDGNEIQFDGCYQCKYDCNESCIECIEGRCIKCKEGFQLEENECSINCGDGILNVLTE QCDDSNNIPRDGCNNCHLEDGFICYCQNELLFQSCERCSDYNCLKCAIQNQQQVCQNCID GYFVDATQGCSQCDKICLKCTNSPKNCEIFDSSIQQLKDCNQKLGFYYDYQLKDCVSKCG DGIISGQEQCDDLNTNDFDGCNNQCQIESGYLFNLNSLSLINEPFIQVQSQSSSNNQYSI YAEQFQDTINCTGTTMNIEQFNQTEYNYTIIEQGLNCDISMQYFKTVEPSNLIHVLIKFK NHLSKRTLDESPTKEIVIVPKRQVYATEEQKEQGEAMASTSKSISSSIVVFAPLALLIGG FKFIWAILDIMSWMNNFYFLNVQYPENVRLIFQQAEWNNIINFPEFDIFNKPSDEYYFQA QIKFTEKDVDPLFFNNVQIVIIFVFQVLITWLICFIIRKTIQAYYKKKIRIQSANHKIFQ LKTNQQNQSILENEILQSTIQNQITTFEIPNQLKSIYFSCLDCERSFVANMLKTIQISYL DILLAIILQITNQQTANNSIVKINVILASLSIGIVILLIYLSYQISVQHHLKLENQHFSR RFNCFYEDVKTNSKISMNYSFLNMLRKTIFIIATVILYDFPIYQTSVCFLSCFLNIILLM HCNPFNNRQQYILNFIPDSCICIIVGITITFAFQDQFRLLEDDMIYFLGWIVGACIYLSI LLQLLFLIKELIQSIWEKIRNLFVYLKKKFNL >CAK94685 pep:novel supercontig:GCA_000165425.1:CT868678:306859:308553:1 gene:GSPATT00027009001 transcript:CAK94685 MRQPQTKKSFNISDSSYYISLACIITFEIYSLYRFSVICKSNKIEGTEKGFFGILRDHSD PQWGEFAGHLTELMVFQFLFILGSYTVKYHSKQENRQRNLQYYNMIVGLNYAFYLHNIGM IFQMMIIVSFYLFQKICYKMKYFIPTLWILAILTLWSNETYKGYEFSMISPSLAYLDGFR STNQLVSWNLVFNMILLRIISFSIDKVWASQIPQPLKQGEWSQSEKSTIIKTYRDRVEES QPLEEYNFSGYLSFLFYVPLLFSGPSMGYNAFNSQLKTPQQSFNKSQVIKYILRVYLLDL LTFEVFLHVCYPNAIPKLAQNFHILENFSAYEFHIMCVMNLIFLWYKFLTIWRIARGWAL LDGIETPENMNRCLYNSYNFSGFWRSWHRSFNQWLIRYIYVPLGGTKYKSLNMWVVFSFV ALWHDFKLDLLLWAWLICLALIPEIALQKYFDKEFFYKKWWFVYLCQLGGGFQIQMMCLA NLIGFGNGYEGMTVVFYKFMSLEGLACFLFYCIVRNGWITTIQFRIRDDENAESNDKRF >CAK94686 pep:novel supercontig:GCA_000165425.1:CT868678:309260:310982:-1 gene:GSPATT00027010001 transcript:CAK94686 MYEFYIIGQGIKIDQTEVIENNQNPDFIKTFTINYFFQVQQHLKMEVHNQISSTQTQIIG QAQTTVAEVIGSKNQLIKANLYNQSGRNNGQIFLKADHVKLCREEFSIQLSGLKIRGTKL WFWDKISPFLRFYRLKHDIDFGVLVYETESAKDMASIKWKEIQCQAQKLCNGDYSIQIKV ELWDYKKSGKHKYIGETQFCTNELIESNKAKKILQKEFRSMSQRNQSLGILQFDRFSIIS NYTFLDYCAGGQQINLMLAIDFTASNEEYHNPNSLHHLPSNGYPSQYLQAINSVVEILIH YDYDKRVPLYGFGCKPKMKNHNTSQTIHLFPLNDNPDDPEVYGLDGIVECYKKSLPQLHF DGPTYLHPTLQKAMEMAKKCKNQGSENYHVLLILTDGQTDDMLDSIDDIIASSYLPLSII IVGIGNANFKNMKILDNDNKSMVDSKGNKAIRDLVQFVPFNQFKGDPTQLSNKVLEELPT QLLEYMKLVEIRPKPPKVVSPNSFKHSNLENEQIPTRQANQYPLQQYKDESKILDTKQNI YDKQLNNSNI >CAK94687 pep:novel supercontig:GCA_000165425.1:CT868678:312768:314375:1 gene:GSPATT00027011001 transcript:CAK94687 MIQNCTSYLELTECISYQGVQADCEKFVGNGMSCTNDATATSICKAKDCIKLTADSYSES VCQAYGVQCHNNGTKCDVAQSCSSLKSNLVTCTQYIATDGPCIGTALQTETPISCSPAQC SDAPSTLITNTQCDAYKKGCKTNGYGCASSITCADVQSSSVCAAIKSDDNFICIWTSQCR QIESSCNNFTSSGSSVCTSSKTTSGFGICVWKDSVCTDAKCEDLPLSVNSETNCTAYSAT CTFSGTGNGFATKGSCTTYKKKEICANAKSTDKMGSCAWDESVVSGTQIKGYRIKECQDA ATTLISDSECNSFIDGCVSNGAGCMKSTFTCDMLKTQFKCLQDSFLRPCLWINNSCSQYY NCTDLLLTTVTACQEVSKYCTSDENKCIPLKIFANYTKQNQCTIGTDDTDCGWVTNTKKC QVFTQCSNLVQNVQPTILNGECSTYADSEVTCTIGGTDGNFSFDKSASTCRLRQCSDGSI STSTHNGCFGYQINAMNQCTTDESKCVPLADC >CAK94688 pep:novel supercontig:GCA_000165425.1:CT868678:314439:315104:1 gene:GSPATT00027012001 transcript:CAK94688 MAKACKTRTCSDTKKTKSSECALALVGCISDGIKCIDKGKCSDYGTKEACSVGGTDGVCV FNPTSGSTKNGICKLFTQCSVGNSDQRACQNMPLTCKWTVATGTTSSSCVIHTCDSAAKG TAKCVTIPSFDGKQYTVCQPKGSKCVVGEPSSLTQDAYYKLSQYTYSCNAQTKKCIACGA GSSSNNNSTSINKSLDNPTNSTTDNYGSSLYVLLIFIYFIF >CAK94689 pep:novel supercontig:GCA_000165425.1:CT868678:315534:317254:-1 gene:GSPATT00027013001 transcript:CAK94689 MGINCSNNSKKLNQDNIRDQINQSKTINYDVYNDNQINEGAIKAVLSQKYGNSKYQIQID DEQNIRCILDGQIMEIDQITDLFQSPENLANLEQEVGGYYLNKGKKNVVKHKFRKLENII MIEKLEHGNVYMMVVELLVDSIMRMAIRMACGQIQVKDSMIQNKQCIVENIKMVRKLVFG ILYGERKGLKTFQGLVVENMMIMRYKSLLKLECGMSWMISLNWVSKWFLQENISMDKKQV DGIFYGGTKAGSHFNKCKLNIQENTISGGGQYNFNDEKGLYKIGRWIELSDIFTSDSQVT CNGPYKNGQKFGRWDIFWREETRSPFQLIGGGQYDDDDGKGSIKIGIWIELRERFKQDSQ VTYKGQYRYGQKVGRWDVCYKEQICGGGEYDIKNNGCSYKIGNWIELSDEFREYSQVTYQ GKYNYGEKIGKWDIYHKFYNDGWKNEKIGGGSYESNENGCSLKTGKWVELSEGFRNFYQI TYQGEYHKGKKIGMWLEKDLKKKNEN >CAK94690 pep:novel supercontig:GCA_000165425.1:CT868678:317403:317816:-1 gene:GSPATT00027014001 transcript:CAK94690 MSFQINQMNVELVSNKQFQMGIIISVNSLKILFSQYSKLLSEFIAFSYALISIHINKFRI QSNEKLLLIIQYNWNCFVQNNFLCILNLNLILQNNKKLFRQRELSMNLKLQKQQFQQRYK NCQDYKLILIFPDQLQK >CAK94691 pep:novel supercontig:GCA_000165425.1:CT868678:318673:319728:1 gene:GSPATT00027015001 transcript:CAK94691 MLEQKDGQFQCKEMSFQYELLPHINIQQQTCWAVSINSDDQLLLIGAESNINIMQFKAGA VKKIQTIQKHQSWITTLNFFKQISLFISGSSSVKIWSSNLLSNPKFLLKLQEHSSNIQCL ALRNSTPSVIISGSKDCNIKFWYQKENQWICLQTIREHSNIVSGLSLNQEGNSLISCGDD NQILIIKCTDEQRWNVVQKIQGHGVRLSFISQESFAFQPWQSDKLELYTYEPQIGQYQKN KEIAIKGSKQFCTHLFPCSFIPSKHILLSKNAYNLNLIRLNTSSSILHGKLEQAIEFNYP NNWLGTIFGTMSENGDFLITWDSQSKQIQIRKYKEIYKQRRTQDSKMCTIF >CAK94692 pep:novel supercontig:GCA_000165425.1:CT868678:321279:328161:-1 gene:GSPATT00027016001 transcript:CAK94692 MQFFFVIIVLAHIEVSYSQWYNTIAVLASNTIFTEQTGPNAYLKSGTFLQQSTQVTANFI TCTNPKTSYITLNSNYPTVVTNQTHYINIGDFLAFDLYFQGTWQNQSVKFKIGSFEYQYY YESPSVYSSTNSFCDSLGSVIKTVNFTMTTLSNNKILFFSSNSGDGLVSIKNFYSSTFIC FPSCSKCSGPDFQECTICYYQTPTNGICPTCPANEMQTDLPYRFSFFQEWILSNLPKQSH HKYLVQTFTKTYFTLNVSPENMKWSLILDSIHLDTTLLPNIYLNYQYIYGVFKYNSGVYR FINELSINYATHLIGFHITLVTFNAIPLNCGIQFKINNTYYGSIYRNNIGIQTHNVQIYE TISSGSYLTYFVTKYLLITYLDIPKNQLLFSAIGNYTDNTAGWGIIQVQVTAGLCPQNCE LCEVSFKCKICDSGYYFYKDGTCINSCQQPYQKLNGSYCVDYDQQTPYSQFLIQEYLNLE NDPRQYSQYTLISQNGTNFLKGEDIYYSYQQNQRVFGGPLVWAQAKFKRVHNIVDKHHSI TIAFFILYGPTFPLDGQFIYTIENNPPVSKSISSYYSSYSDGSKYDRVNQIISHNTSTLT IYWECFGPNNEPIQAYCGFYNYYIAVHKCKPYCLECSDQYKCTQWNSTYDSNVIKFSQEE CLINQYYDQDSVRCINCPPSCLTCTSKLDCQSCESTFTLSKLGCICKQNQYEESNQCFDC PIECSQCLSSNYCIECLISNNRQLQNGQCNCIDGYYPILSDPQCLICHKFCKTCIGPTSD ECITCKNIDNIENVGSICRCPTGSYYQDSIQTCSYCHSSCQLCFSFGINGCLACDSSLHR ILKGLRCDCKSGYYEQNNICTNCPIIEDNLLSQCYNLCNNNQQIWHTLTCNSCDLGFQLL QGECEPICGDLQIKGYEQCEDGNSVIDDLCYNCQYQCPAHCLTCNQNSTLPCPDICGDGY ITGSEECEDGNIIQYDGCYNCQYQCQPQCTKCIKGECFECATAGWFIDPTVTPWQCKERC GDLQIIGVEQCEDGNTSDTDGCKDCMYYCRIGCSSCDYTSQTCLSCELPGFVPQSYYCKN ICGDGLVVTDPSGIYSEQCDDSNTINYDGCSSSCTFQCQPLAICTSCVNNICEVCAPEYF LSSNKICISVCGDSIIVDGEDCEDSFILPYKGCQNCISKCQSSCVTCDNNGQGCLSCNSG YNIIDKLCYSICGDGIVTDDEQCDDGNIIFEDGCHFCQFSCEDSCLNCISGVCYDCLDGY QLISFKCYPICGDEILGYNEQCEILDSSHSYGCNNCKFKCDKNCQICFFGRCQICQVGYE INPILQTCQKEYQQFDIQIEFCKIQIAYLEQIYQTCTLNLQSKLCTKNCKVCLDQICMEC FSGYYGLQCISQFGDGIVVDEEVCYDQNNQRMNDNRECYYNCDVNCMSCVNGKCDLCLQG FYLFNNECISKIIITILYLNDDLDKCGDYKIGITEECEDYNSNPFDGCNQCKFQCDLNCI TCQFGKCQGCIDGYIVNTNYMCEPECGDGILIPFTNEQCDNADDGCQNCQFKCQPYCLQC NLQWCFQCQNGFSIYFNQCLPICGDGILKKTLKIVRMKMMNNLMDVLNANFSVNKIVKYA NKYLKEDQCQSVCGDKIITDDEQCDDGNETQFDGCYDCQYQCNQHCVFCEIGVCIECTRD YALINGLCILNEQNAIKIIESFDKNDPKSKEAILDGNEICNQFECSFSKQPNMKLTFRQQ QFSLQYIDISFDQQIKFRDQIIKQKSSFNISINDLDPKDYNITINPIQDISFDLQYAYYQ VIIEIFPQVLNKPIIFILLNQDVVNSNNQPLQFKNQSISLQIPKVISESIKQTSIKAQQS NKAFMIGAICLCIISLISGESSVVVEIFNILQYQSFLRFINVEYPENLFIYFQAQDLLSI TSYLQFFQLDDFLNLITRKELENVNVRGKFKVYNIDADLFTNIFPQIIQFLVLITMLHFI KRIQSLLVKLLIYKTAIYHLQTKKSKILISVINLLLYLGKSVKILIRLRYFYNFDQIREL IYLNSWDLIFKVILQLHYNRIDNIRSILTTIIAGIIFLYFIYFLLQSFKQCSDIYNKNIK AKLQIKFITLDMCRTMLFHIVLILFQDQQFLQCLLLSFSSLFQCYLLYQYKQCSKSDRII SILIEATLTVFSLSLFFYLEIEQVQLSYEKKVTLGFIHMNSLILCLAIVLARHLIPKIIK IFKFMCKKEIANFASEVIFI >CAK78552 pep:novel supercontig:GCA_000165425.1:CT868308:35:1925:-1 gene:GSPATT00039284001 transcript:CAK78552 MTSYMQSSFSIQTKANFDFLQQPSDSDSDSESKQFLKGAQQQNQERDLKKQKKLIDIESI KSELEMRPITENTNPENYYRRHSRKSIGALTNMIIPTSSILIEQLSQLKKIKEVRKEVPP ELLGRIRQNSIRFQSVPQLNPVYRQGDQNKRFYIILEGKVVVMKPKEKMVGSNKLDYIDN STSKPAKKTEQDPYGLNNVFPDYIILKVLFQGDSFGEAAIKLDTARSSTVYALEQTHLIY LSEMAYLELINPYMSAALDNKINYFSKTPLFQFIEPQEFMGIILECKLITHHAGEILFKE DDKATHIYFIIDGEIELSKKVGEKRIILSSYGQYQGFGEVEIMLKIPRFTQAKVITPKLH VYRIRKRQFFDNLGNYQIFETMKKNSNIIYKHWQNRCEAAQQTIHQLDEIYKAAQDVQQN KPNFKAKHILNKKLVESQGQKLSQVKLLQNITDEDLRNIKIVNTQNQSVLQKVYSNTLQQ YQARLLKKPQAVQQSHDENCIRNPFTIKTQADILSENSTEFNSLTTRSSQPVVKTDNVIP SYGQLPSLHIPQNTPLQIVFDTLSTLPRVNKDNLVLSLMYQQAFKSENPEKKAKQIQKVI QASYRNVS >CAK78553 pep:novel supercontig:GCA_000165425.1:CT868308:2321:3972:-1 gene:GSPATT00039285001 transcript:CAK78553 MNQSVFEKPHVLQSISEADKLQFYNSTRLWHTKFNYQLFDTEELKSVHFEDNKKFIMILK SIQNFNKEVRILLLLFQIQTSQKQGRFVPTMPTRYNFYQNIVNLLREAAIAKGRDAQLIF LDKVYGYFVQNNREVMKTQDKETGNTTSSDDTRFIIQDQSQPLPSKQIDDQLKDYKEKKR TMHKNCDPPQLRLKLYKRRQFNQLNNIIPQIQARSTFPKVVSLPQKEQDENLQQSQEQTD GEIVIDGDLLGNEDDEEKKKKVVNMVPKIKVFQKDDVNLEFTDINKQFENRQNYQLYQPS NQEIELNLQNRWIQFRQKEAAEKKMDEEIVNSMNVWSKNKARIEKEIDRRIDSQHYGSRY AEIDKKRSQSVGLSYQPDPKVVNLKPLPTIIQSQNRQYDSVIDISFLESKASAQRVVNAR RTYKDILNLSANDVQPDEQPPSLSIYANKLRSNSLHNKFSQLLQRNSPTRLNEQNLQEVL DIKNRFAKHKIPIQINSLLSGIMVPTYQEDFNNKLMDIGQSMLANPFEEKKGKKKKKKKD >CAK78554 pep:novel supercontig:GCA_000165425.1:CT868308:4018:5945:1 gene:GSPATT00039286001 transcript:CAK78554 MNSKQQHMTACPAILKGDDLPDDTPLKVQNSNLPKPIISKKLMPQVNNEILYERLNQEAE IFKQNNQMLKEYYEQEELRPCSFAPQTLNDGQEKRSLYQFLFDQQNHVIKVEQKLEQIKQ NEMERDLQHPYHPKTNEFNFSKRDETIPTYERLYNLNQKKPTEPLMKQSESTVDYRPLIQ QKSQNIVRNQKVEDILYQDAQRRLQKQKEVQEKKNTTKVVTVNVKFTSNNSEKIVAQKFI REFETVIDWIFDQTGQDRPSNVSFSIDYLKLGEILQRLGFLNQLQLKESNEKAVEYENLR LSEERALLCEIWTVLRGDELGGISKRNLCLFLLTLIGITDFKIKELPSAQNEEVPNYQKS LQPQQHKIVTAHQPNDRPKLGTIDKDGNIIFTFEETKKIQKQFDILYRNRLGCEELKKTN WKDQNPYKPQILPQSKQLANQFREKVLEETANLIDNQLIKVNIPENGQITHADLLVLQKK AVEYHKEQKKQEILQQQLDKCPFKPQLMSNSNGSCQNIDERKSQSKKSEKYLQLYSLAKP TTQKRDRTTEEIEYERQQEECTFQPGLINKGSQQQKQESHFVNKDVDKTVQRMRQARQRR EEVQGMLERGYKIIAIELIDQGKQKLILESVETNNI >CAK80226 pep:novel supercontig:GCA_000165425.1:CT868361:46:1140:-1 gene:GSPATT00039383001 transcript:CAK80226 MIQSKMIEKEEELQCSLKHKLPVLMIACDRKLKRNQRLLCSECMENLESKAQLMSFKKVL QNIEENQKQKKENVENVIMGSIKLIEELQKDLFLLKSNVVQSLDQIIGNVDEWIRHIILI GQQNVTYSFYNELDNLINQERLAEFGQKQLIDQINQIQQSWNQKIEKKLNLFKQFSEGQK CKNILQQLIDVNETEENQGKIKVTQENYEISIQNFQEMIQVENKQKQLQQQLMMYEQVQF NLIDDSNQQIGYCFAIVFNKDGSIMISCENKKIKIWNFQQGTFKLSNSYNKHSRAVTCLV YSKKTNNFISGCNNHQIICWQQINQNEWKSSQPFIQHTGRVNCLLLNKQEDQLISGGCDH KIIV >CAK84794 pep:novel supercontig:GCA_000165425.1:CT868523:1:1777:-1 gene:GSPATT00039702001 transcript:CAK84794 MSLSQQLKEEEGVIQPIQPVSTVHNRRKNKKRQIVNPTQNTGHWSQQEHQTYLDFLQQHK QIMESQDQKKSNKIFKQMSELIGSRSPSQCRYYEFNLQIRSHHQKFNPFIHQVKKRQKGA GRKRKDNQITQPIQHFYPFYQQPIISQHQLDFPMFQPTYPEEMVYPQPITSDRSLLYNFG FLPQFPLGFNYYNDLLVNQTIELIRRVVIFTKYCDKSIFTSLNELIPLFTLGRQYWTKIL SLVFDHERITLFYQTHNSNQQRRVIEPPFSFKNSYYPYQIEIDIYYIILQYVMQLLYILI SFLLMFIKAIHFSEQEVGRNVRSSKKKYVWKFFLDNVEQEIALFVSSLSGKKEVRHNGRS IHQESRYNFFHIIIFSFFGDFRYLHYLSDSYELFLDNIPFAQYYNPRNDVKGRNTQNRPL NNEDNDEYDLSPLKDPEPPKKKLKLDVHQEQTNNTQNKTASKQQELRWKQGANEEFANFG TPSTNGTDNYFGNFGFTFDTPQKETKKSSQQIQQQNNHNQNDKINPFLEFNQNSWIGQPQ PQNNSNFGCAQQTSQ >CAK84795 pep:novel supercontig:GCA_000165425.1:CT868523:1778:2325:-1 gene:GSPATT00039703001 transcript:CAK84795 SGVKHMLDSFNDKFNEILAVLPYVDYLFGNEEEVDQFAKNLKFEGDLPQVMQQIAGYEKH GQRERVVVCTQGKKPTLIAKKNEIITVEVQLIDASKIVDTNSAGDSFCGGFIAELLNGPD LVKCAKAGNYSASQTIQHEGSTIPKYAPVKTW >CAK74580 pep:novel supercontig:GCA_000165425.1:CT868194:1209:2279:-1 gene:GSPATT00039035001 transcript:CAK74580 MDLKQQPQEQKSEFHSNPLTYELLPNIQYYQHEYCCALAMKESNNILLAGQDNKIKVIQF KNGLKLVNCAQKHQNIVVTLNIFQNRYQFISGSNDSQIIIWSFHLMSSPKYLTKLKGHQD WIKCLVIRPKSEDLIISGSYDKTIKFWKQTSYFLTQSQNSYFKQQSWVCSQTITQHKKQI EGLSINQEGNKLITCGADHLILIIEEIETQWQIKQKIEVQEFGYRISFINNDLFAFQPRN NYLCLFSFNPNTGLYQQNQDIQVQGGEKNCDGLFPLLYLQKQQILISKNGINVNVLKFGF INSNDYYCKLEQVIGFRFLSSWGGLIYGTASHDGKYLITWDQISKEIQIRQLRFEK >CAK74581 pep:novel supercontig:GCA_000165425.1:CT868194:3612:5620:-1 gene:GSPATT00039037001 transcript:CAK74581 MQITIQNITSDQNLDLQARNHFQQSKTSEYGCDIWDSNQKRLLKIKYRISTTIELQRCSL DGEILKIVQNKNSSFKLDLLTNLEQIKYLECFENYLGNYMEVRKWTMYWGGEQIQQTGGF YSKDGLKQGLWNQLIKQYSRQYPLTQQIVKPKYAKKENIFMIKNLVYGHTQIKIKLCIFI QQLFCGGGWYSSDFQKNGKWVELSETFWNQSQVTYNGEYKGGKKVNKWDTIINGKQIIGG GFYNTSGSIKIGKWIEVSDGFWEKSQITYVGEYQNGKKVGRWDFFYKSNSGDYTNELVGG GSYDDDDKQEGVGVKIGKWIELNDGFQEKSYVILVGQYKHGEKVGKWDIFHQQNNKNELI GGGFYGDIENQEGIGIKIGKWVELSDGFWEKQQIIQGGQYKNGNKIGRWNILDSRRQIIG GGQYDETFSFKCGKWIELNERFSWASQVTSYGEYKNNNKVGRWDIVAWGKQIGGGSYQYD ENQDCETLKIGRWNELSEVYGLYSYITNNGEYKNGKKIGRWDILWREDEKKPFQQIGGGT YDQEDKLGNSVKNGKWIELSDGFRKYSQVTYSGEYRYGKKVGIWEEIELRSNKKIKQINY YN >CAK74582 pep:novel supercontig:GCA_000165425.1:CT868194:6485:6885:-1 gene:GSPATT00039038001 transcript:CAK74582 MSKKQKLLTGLNSLSIWLINTPDWLKENQKDTIEDAQQQIEFYTLELDNHKEKFADNNHG MQSNPKSMKPKPMQELSNKKLLTDSKSTSERSYPLNRSPSEIDLIIKYFNKLLDNI >CAK92192 pep:novel supercontig:GCA_000165425.1:CT868667:864:1389:-1 gene:GSPATT00024924001 transcript:CAK92192 MISITSILTDVSYKIFVYFKSSIFNPVLKATLRHENIVNVQDNTLLSKEEKLRLSSPNKM SNEHKALLLKTALLTRIFIGIAFLAGNLEILWNLLNMLQQLSQLKFHNLQFPVNLFVYFE IFTISSLAPIIDGLQTDVSFEDLFDFKFPIIQAKWKFEYYEINCHFF >CAK92193 pep:novel supercontig:GCA_000165425.1:CT868667:1439:1707:-1 gene:GSPATT00024925001 transcript:CAK92193 MDGFHVKLLEQCDDGNNQNNDGCSDICQVESNWKCQQDNNISVCKYSIQPKFNLPSSQKK TKIIKNFDYTLVKNNESFYR >CAK92194 pep:novel supercontig:GCA_000165425.1:CT868667:1710:2451:-1 gene:GSPATT00024926001 transcript:CAK92194 MRRRVFVKRGFVRMGLLLPNLILSVQECYDYNYAIDSTCASTFESKRCLTNGYQCVLRKV CEDVNVIDRCTFDINLNSCVWIDGQCYTKTCENFTNFSYKTLGMQFIFSNLHNQRRRRMY QETNCQNYQIKEACYTDCQNVECIWDDTLNKCFSNQCLYFCGDGILSSKEEKCDDGNYLP YDGCQQCQVQCPQGCNICNGPVCEDCHMKGWVLIKGISNSFCGDWYIEGNEFCEIMFIL >CAK92195 pep:novel supercontig:GCA_000165425.1:CT868667:2518:3984:-1 gene:GSPATT00024927001 transcript:CAK92195 MVDIAQHILDIQFISNFSIQFIEIVKNMGIASENLMEGVKRHHNYAKRYQRNCFVNQIII KKSAFGLELSVNNQNVLSERIGLETDKKLGQNAKLQKSQNLLTGKPTLHFKNQLDCENRW LYFANCNQMLLVFTLHASNMTSPKSHQDCNDYSFQQTINAAQSQNYYKYQDACVSTIDGL DCIWYSNASISYCQATIHHLSTWTHSSCHFWKDYCMSLNNTECQSLDCSKLTIIADCNIF GIVWPVKALVIVASTSNDSMCSSTNNSKGIPCFCDGTTFTQSSKMQWLANQLLIEQGQQS MRRRLHLCKYFKQHSLTMIQLDLIQCVDFHFLVFQQNKFGKKCFFQVSFNQCVNLTCSNV QASYTTHEKCNSRQRSCTVNSNWMDANNQIFAVVIQAKNNLKLIQIMQNVNGRQVKIYVQ QKPVQLHNCPYIQHIVVISILRIHVLQMRSWMAAQLANPCA >CAK92196 pep:novel supercontig:GCA_000165425.1:CT868667:5108:6109:1 gene:GSPATT00024928001 transcript:CAK92196 MHQEENEKLFEGSVKLKLIDESNKQHGGCQAIAFNSTGTLLLTDDWKKKNIWSFKNGKME LLKRIDGADTTLNCVVFSKKQNSFIYSDDASLSIWKQQDDNDWIYSDPQTKHKNAILCIT LNEQEDVLFAGGADFVITVWKVDLILNQLTYVQSLQKHTFSIFALSLNFSENQLVSCGQE IIIWQKNNEQLWEFGYVVNQSTQQDGSRIKFLDDNQFIWAANTQESNKIFVFEHQDGYFQ ENEEKTIQLNNDNAPFDLQLFPIVYNKQKNAIFFKHKRNLYILRKLKNGDLKIVEVFSLN AIQTQGNVTQNGDYLVEWNETKQGFSTYEIVYQ >CAK92197 pep:novel supercontig:GCA_000165425.1:CT868667:6820:8277:-1 gene:GSPATT00024929001 transcript:CAK92197 MNQFLIPCPNLNHSQIVDTYCLNQACSEMRFSCAKCIFDRKSCHTDHFEDVQSISHFRDF YAEVQKDCDILITQLDRIYAQITQQFNRLIENLREKYQVPFEKLKVLTPIQINQVVNQMV KFKVQSKTLFHQIKESFVSFNVLLEKCQFNMQIQELNYVLKKKEVNGPFSMSLMKENSLK DTELYALALNKTCSIMIAGYATGTIKVFDFKFGQFKLVQVLDQHRLWINSLLFMKSSDCF ISGSNDQSIIVWQQQRNSLWQIYQKLEGHSGAISCLELSRNEDFLISGSADCSIKFWEKR ISWDCYFTLNGHSGSVQGLSLNSEQNQLISCAYEDKKIIVSQQKGKVWIKIQNVSVEYWG LRLCFVKENQFAFQPYCKDHLLIFQFNFKTKQFDNTKKVDVKSSKQCNSQFPQKWVTSKQ ILISKNGNFVNLIKLDENGDFYCDQSIDFRTASIYGTVSEEGEYMITWDQKSLEIQVRQY KIENK >CAK92198 pep:novel supercontig:GCA_000165425.1:CT868667:9132:18745:1 gene:GSPATT00024930001 transcript:CAK92198 MRLFVALLLEIIHGFSVLKSDQCLDCSLLIRKEDCEYASCYWSAVSENSEGTCSDEDLSE NPGNSEGNVTFCQSILNPEQNCNKAKGCAYYNSACTIFTGCSAYLFHSTLECQRISSECI SEGDGCINVKQCVEYLTQDICENSGSSSGSGRCKWNSELQKCRDYKCSEADLVLTTDQQC SQFGVGCITKGLGCIESPLRECKTYESSGQDCRKLIGSDGQCEQLEGSQYCQLKKCETAP TTYNKNEECDKYLKGCVSTGQGCVVTLLPCNTYKNNCTNYIGSDGICEYEENQENCRSRI CENGQFSSDEDCNRYRSGCITNGKSCANSLQSCTSYKGNKNSCLGYKGQEGMCKGIDDNE QQCQVQDCIKDSSTTYVTDEQCQSIQKVCKTNGMGCVYTLKLCKEYEASSEKCFGLIGSD GRCQGGVDGKCKSRICSDAPSTYNTDYECKKYQSGCVTNGSGCIDQTSCQLTLKENSCSG TSGCQWNSSCIDSTKCSNFQTQLICENNSAFNYQYKDGITSYNYTKCSWKSNQCIDLKCS DLTSDKYDSDFACQQVMENCVYSGYGCIDKSSDCSLFKGSQIRCALYANKCWNDSNATET TPCRIRLCSDNTIFTTDEECAFFQKGCRTNGQGCIEDTKQCSDYQGTQVSCNKFTGYISN STERQQCYNVSAATSTTTCIEKTCNLAVGLTNNTDCGKFLKGCVWNGLSSCVKDTSDCTA FYGTQQQCNTFIGNNQPCYGLSTNSSNSQCKVKLCVDNTQPGLTDQQCLNFLEGCISNGI GCTSKDTTCDKFIGTAQTCSSFLGNGLKCNRKDNCSTRQCSDYTQPKEHQDCYNYHSKCR FVNANSPCIDKTLCSNYVAQGTNNTEKAAYCKAVKDDSNFICNHQSDDSNCSNYTCDQMP TQTECESYTKTKGCYFINNSCVSTNDCSKIPLDNTSSDKPTSNPSKLTWCNQYKDINNKQ CSYHPTLSNSNCSNYILCEQVVSAKNSMDCNRLLYKDPNTTNKGCLFYNDQCYSVQTQCT DYLAIGNDDTEKQAFCQAMKFNTSIGTANTKVLQCVFEVGNSNCSTATCESVTDVSSQTD CERKALNCAYFQSKCYTKQNECSSYSTKSVASNKPQFCSIMKNSSGENCGYIDGMDNCIN SSSDCKVYRWDSYNSNPAPSPSPSTNADKRLYCQQRRSNQGRLCGYVYEQSHCSLEINYC ELIESPTSQISCDLQAKGCTFIHSTSICVTTSRLASCKDIKFNAATLPSESTKLNYCKSV MSYSGECTWISGTGQCDDLSTDCTSFSLSSVPNENDKSTYCLKRVSQAGGCAWRKGDAIS TCRSFSCFDITNAVNQATCDKVLSGCTYYQKQCIKTQSKCDQYYAFGADDYQKFYYCSGL SQTTGNSQCTYIKGSQLCTVKIDSCASYAVSALSDANKLTWCYILSDLSSKNCAYSTGDS ACKSITCELILSASSQNDCDLYLSGCQYYKGFCYTKPSSCSSYTIPSRITDNVVFCSNFK NTSSQFCGYVSGSTCANPYSCDQISAVGDTTALKQAYCQARKSSGGYVCTYSSGAYCIQV NTSLSCTYITGTITSQDQCQSFSSNCSYQDSTKTCNLTSDLNACNKYGLGSSTDEDTKIK YCRSILSGTCTYQAGDSKCSNQVTSCNQISISEINDKLYYCLARSSSNGNCSFDDQNENQ CSQIKCSDIDYPNSQMDCNKRMSGCIYYRGTCYSMQNNCTLYETYGADDLAKKEYCEGLK TSDNLLCTFISSLEVCSNVESSCSSYDVSAVVDKVTWCELLIQNDGQACSNLNDSSKCSL KIYNCESITATSQKDCDQVLLDGCIYYNSKCQKKQNNCTDYVIPASVTNKQLYCKNMLDG SQRYCTSGTTNCASPTTDCSDITANGVDDSEKRVYCRQYKSSAGKMCGYSSGLKCEIERN YCSVLTSPTSQQDCDLGLDNCVYIQKSGKCVEKYLIKDCTAMLFDSGLSNKVSYCQSYNP NGNACTWNTAADHCELDTKQCSDFDATGQSDKRVFCQSKDRYNCSWRNGDTSSSCRKFKC QDVDLAQSQSDCDSRIFGCSFYINSCFTLENNCVNYYALGTDNTLKQSFCNGIPTKSNQK CTYLSDDYKCRVRDQCSTYNVQSLSDKASACQGMYNSTGIQCIYVKGTTCNQVDKCETYT ELSDMSDCTKLLDSNNRFCLEGNTTCLTFTCEDISDPKSQVDCDTKLKGLCYFNTTNSKC ITLSDCSTYKNSDWPSDADPIKHCDTLIDKNGHHCTADSATSTKCRERLCSDKLFYLNSE CKEWKSSCKSDGQKCIESTQECSQYSGDKDSCIKYLDSDNLNYCKVIAGSDQDIGPCSTM SCYQNTTATSDSECQSFQNGCVTKGVGCIAKTAKCDEYRGTRDQCSKFQGYVSKTSTEFC SGDSTNTNLSKCRSRICSDNMTDVSDIQCSDFKAGCVTNGKGCIDITASCSSYKGTQEEC SKFMGNNRTVYCWNDLEAASSKSCIEKQCNHIKGITNEQCELAFPKVLIQDIQTLLCVSN GQNCIKNPAQCSDFIGNNITCQTFTAIIDGPCKGHSDNSIGQCQSRQCYEAPKSYNTDAQ CYDYHPTCLTTGQGCMKFQSYDKFGKPTLNCNNIVNNDACTQKLGCALASQCLVLVSSCS ALTSQAICQTTTLSNGTQCVYDLAINKCREIQCSDYVGISDNNICQNYGSNCTTNGNGCE IMKSCVLYNEKLTCQSAYSTDPINRCTWTDQGCRQRECKDFKGTTNSSCKSFLQGCITNG QNCIGPNYSCSDLTQKTCLTDYSGNPCIFYNKQCLSYSKCEDLKFTTHDQCQSFSGLCTS NKQNCIPLNKCENYSSQVSCIVGVDGKCGWINDKCKIFQGCSNVSGTSNPVCQQYSNTCI SDGVKCVEQNLCSFYSQQFLCENNKGLDGDCIWADNACRLKQCEDLKTNIDTFKKCYDQL SHVKCSSNGTKCISLTSCEYYEEKSCILGSDGPCIYKLPIDQSSGQISCRLKECQDVTGK TFETCKSAFDSAYKKCTSNGSMCIDVNYCSSYTTKVACASGGIDGQCAFVPSASKANDGV CKLFTQCSDADNDKDVCLSNSKYCQWISTSVKKQCIPHTCLTYNKKNECNPVPSFDQTSY LLCAKVKGICQEVQPTTLPMETCYIQSAQTFLWNITTSQCVQCKHQINNNVTNNQTGSTD SSHMLFTLAIVFSLYF >CAK92199 pep:novel supercontig:GCA_000165425.1:CT868667:19155:19601:1 gene:GSPATT00024931001 transcript:CAK92199 MAQQKGKNRSNYRIREGDWICSNCNNMNFAFRDSCNRCYAAKNIKYNESNGFKSALFLTE SNGDIPPISDRSNKSSGERTEIGTNNFSFDKLPSMKPILKQITKETCKINQNMKNQNMIT LSLNGYANNANRLINTIKYTALNVGLRG >CAK92200 pep:novel supercontig:GCA_000165425.1:CT868667:20690:21437:-1 gene:GSPATT00024932001 transcript:CAK92200 MCKSNNDQDQPKKSKQYQKIECNQRKLVLDMLLNQKLSLQEVCSITILIPRLLYPYIYIY CFFNQYLNRWLTNLRTIQKTYEKDGRIGKKETRKKKLKVQNILKISVINPFTLEVQPLSV QSDIHQIYVDKQPSLLDQIALANQQHSILQSQCLRFSSELASNMNQPNFAYQSTLQNLLL SSQYVFKSILDLKPQGQIKQEFGSLPQPLPQCFYTQQPYQHQPYPLTYTQLRT >CAK92201 pep:novel supercontig:GCA_000165425.1:CT868667:21752:22351:-1 gene:GSPATT00024933001 transcript:CAK92201 MTERRVEFCRSLGKLAFLNTLIIYNQKEYIIYFQPMESKGRCKIQVTNGFDFWVEDFDLE KFEQKRKKSGLEGTYNSYFDMMHRSISQRNFEVYVDQTQNFVLTLYFQLNKGVSLKGDFE LGRPLHFDDIKEFHCVYRLFLFDIFKAKDQERMKAEVSRKGRRMEERESKKSISQPRQEE EEEQDEDESAAQRRKKVQL >CAK92202 pep:novel supercontig:GCA_000165425.1:CT868667:22442:24246:1 gene:GSPATT00024934001 transcript:CAK92202 MSGNRKLINWQLDQTQNYNPLFNFEQKTLICTNCIINQTDITVGITKEGIVNYCRFCHRY LRPPWTLCERESKELLSICLKRLRGLNKVKIIDAAFVYTEPSSKRIKVKLTIQKEVLNNT NMQQTFICEFVEHYQQCEDCKKEFTPHTWGAAVQVRQRVDHKKTFFYLEQLLLKYNANDK VLKIEQVDDGLDFFYKSRSHANRLVEYLSTILPIRVKQSKQLVSHDASSNLFNYKYVYAV DLPKVCKDDLVILPQKLCKELGGVGRVQLCYKLTTIVHLIDPLSMKCSDLSIEAFSLYEN EIEVYNLKTHQHEFTVVDVERIHTRNLNESYISITIIRESNNYVPVTVKSHLGDILKTGQ VAIGYDLTQLTIESLEDISNYPECILVKRQINKEARKQRIFKLKRLEAQNVMEEEGGERK RNQQKKQNDQDEQFEEFLDDVEKDKEMRKNINLYRDEDKIKKLSKEDLKKKQKKQVQIQK KGDDDEWQDDDEDDVKLEDLMKDLNLEDKPELAEPVDEAQDEINQFITKLEKVTIEK >CAK92203 pep:novel supercontig:GCA_000165425.1:CT868667:24247:29137:-1 gene:GSPATT00024935001 transcript:CAK92203 MGKDIKWQNTREVPNSWLSYPVQQFRLKISQDMILKDIFVFLTQLNDTRTNTQLYFSETE LESIQIPQVTDCKNFGLDNLCQFNAFESKTYYFLVYCKVNCHYQINVQTQNHHEYLSNEN MIKSITSEEGKPLCLNLTNIEYERVSLLIIQITTYNIMSLYINMNETIPTAQEFDVQYDI DKQLIIFCWNNQTHPQNVISTLFKGLGSFYIELKIYQSIHRISLYQKIEDKVKKDKVNYY KLIVEDLQDHLLMFNVDYKSQNIKIYVQPCIGDRCSINYTNFEWQFQFDIEYQQFIIPFH KMIYTNEYLIAINTDFNFSQYQFEVKINHNLREALVIRNVYQGILSKNQIALFLLDYMIQ EGVEIVIQLIFKYSKGHGVILSKQCIKDEEELKIIDDEFDYLQQADPDNYYNCSFTQEQA SLISSDHIQAENEIKFFFNQSEIQQDVPKLSFESRSFNFHYMVAVQSYVDSMRFSLQIKY KSEFTRTNLDQYLINYKALAQLNVEKYEFYSFNLPEQIVSICIAIYYGEQQIQNKIYVSR VNPELKLMKTLDFEVIQYKADVCHSFKMDILAQANLRFSILEIFNSRSNSPFKSIPLHLA TPFKTLDLNHQMTFEIIEEATLYINLKSLYGNFICYILSNSRNFGNSFPNKYNFTLTSDQ HTLIIENPQQFNFLYVKSTSLQEDESYQILYYYHNSFLELFLASTFYGLLNDNKTLYFYY QSFSNPSRLYIIRTYLSEYNDQNNLQIYISLNNKCPDQVNFEYQILPTSNYIPIHNFSSG SMIYIGVYSKGTNKYSLLIQEEHSGIELKDNIIQTSPALEQQNYYFYYFIPKNFNFSTPV KIQAFTKFNLIELSCNVVEFDFKHPQRQQYPTNQKYEIKELFSSNPSNKMLFINTTDMVM CKKNGCLLLITAYVLGHYDYFNIMVSSKYTVIRNLEMIIGYASQNVMSYYYFDIDEHIKE IQISTTAIQDCDYDVYVLKSRNNTQFLYPSVDQYTYKFASDTLIISEEDNRGHYVIGVLA QDCIFEILLHLGGFQLNYIHNGQIVDTHIESTISYYYLNHHEEPFRILIYDMKNIKVSIS VENNSKQIEVPNSDELFGGVIQIQEDICQSCTYIFTLHPIRPTAVSLILSYNSIPLPIKY GRTYYDHCLNKCEFNLQPGELYLFIYSKSIQLTFHSKINQITRMDLTYSNHIIPINETCI LRIYTDSYYSINLSNREFPITLQLGREFNGRNTIDHNQQVFIFSIERIDQEYIIQISSRS EVMVQVYYDTGTKMILPKQEYRINKSKHTLIYQFQEIEKQYSITLQCVGDYYITVNVYNK IKYINFNNHYIEIIEEGQQYNIQAILEQELQFEKIDCLGKTQLQKTSSSRDTLFKIQAVD QTQPFKFNILSLVPHIQYSHDQWYLKNARFEITKLLNDSLEINIHTMRRKKTGSLNLKNL IYKMHLSDQDFLMRALGCEIDIEFLQNYTGSSIFYNTFIQEVKENQESLQFTVSINRELI YGLLVFQAYYENYSIPYTYFYDVSVIYNESEKMKVEVEIEDSRINYILIVFVISVLLAFG LFCLWVCMPKQRQRSREFHEQQEEQESYGQYELSKLKQKEPVNTT >CAK92204 pep:novel supercontig:GCA_000165425.1:CT868667:29228:29866:1 gene:GSPATT00024936001 transcript:CAK92204 MFYKAVSDKKCTPSPLRKCSQYHVPNTYFSKQPLERSFEPIEKQKTLADEHNEIQRLQQI IEQLKDENAYLRSTLQQQHLQFQYETQQLNQYIVNMQSEQQSLKLALIDPTLQNNKMIQY IEDLSAQHRNLQVLYQDACLQLQQAEIYSNQIMLLQKELKGKEDEIEILKNELQITTKTN TEQSENQIIYDFLKNIKKQYNDDECRSVHLYD >CAK92205 pep:novel supercontig:GCA_000165425.1:CT868667:29874:30387:-1 gene:GSPATT00024937001 transcript:CAK92205 MNQSQYQVAFKNYWCHLCQSEQHYRLEGEQLNSEQFCQNCGCVLEQIVTKQNHPKQYQIY QQPLRMQRVFYHIIFFNDDENQHQNAGATEDQIRNMKRQTHQGEQEKTCYICQDDFRNGE QIAMMNCNHGFHEDCIAKWLRMNNSCPVCRCKQN >CAK92206 pep:novel supercontig:GCA_000165425.1:CT868667:31256:32834:-1 gene:GSPATT00024938001 transcript:CAK92206 MLQSISISDYKQSPQSIFNSLQSQGVIRSVNLNSGIKSSAIKSSGSKRLHSECNSELQLS VSSENSKQRSFSMQSNRPTITRQLSHNQSIIDTVNKKIVSETKENKFVSINQHEQVQQVS DFNQKNSKEQHTLINLQNKVTILIDENQKLILINEQLMQELEKAKIAYETNNQTVLLQSL QRETQSLQQTVLQYKKVNNQQSQQILELQQILNEREELNIEQKSITNQQLIESQQANKIF KEQFLQLSQKYLLKCFELERLQIVEKAWSDKINIVLKENENLNLMLQQRLNEQIQLQQQY KQQNEIIIKLEQEICYLQSQQKEHSYIITKKNQDQIQIIADLNKQFELKNEENKKLNELI QQQEYKWRQSCIEFEKEMKQSYSTKLNLEIKHQVQIIRDDYQKSLMNYAQTIAVLQGQMQ ILQKQNEQLHRVNSQNSNLKEWKHEKQLYFEIIQELQQKVTELTSQLATILNYNSNYVSD YNSLGIQEQLNKLEDCQKYNQKMYKKCQQIFNQKFYV >CAK92207 pep:novel supercontig:GCA_000165425.1:CT868667:32868:33219:1 gene:GSPATT00024939001 transcript:CAK92207 MLRTFKFCTEFTTTQFLKLSNLQLSSLNDKIENFENVETSFSDGVLNVHLPNGKSFVINR QTPNKQLWYSSPISGPQRFNYVNGKWVNSKQEEIEKQLLNEINLLIQ >CAK92208 pep:novel supercontig:GCA_000165425.1:CT868667:33221:33765:-1 gene:GSPATT00024940001 transcript:CAK92208 MSFMSLTPVWISTLTVIVFLETYTLVHLFIQMKSAFYKIWVQMPYSTYRPLKILLIRICL KIILMNSNLKVNPQVSPIYNVPQKIVIRDPISNMDFTLTRSSRNCWIKGNACMFIVLMGF KGVFKLSYSTWFRICITLSSRPSNQFKALDQGRSPFVKYYKQS >CAK92209 pep:novel supercontig:GCA_000165425.1:CT868667:33790:34269:-1 gene:GSPATT00024941001 transcript:CAK92209 MSCISVQKHVNYGEVILIVGDCHKIQWNVQNGIQMQWNQDDIWTVTLDSCCLPMNYRYVV VKQVSRQIIEWEDGVTRVFNSHQDVQDIWGHLRITLKLMNYPDSNLTLNFYTQTGRDIRS HSIELRENAHLLFRSRINLFINWLINTNPTKSGKEVVCV >CAK92210 pep:novel supercontig:GCA_000165425.1:CT868667:34272:36418:-1 gene:GSPATT00024942001 transcript:CAK92210 MSSGVSNEQIGELVDHLNQEHDSEDEGMEDYKIGGYHPVHIGEVLLNRYVVIQKLGWGHF STVWLAKDFKYDTYVALKIQKSASHYLEAAYDEVEILQKVAQNVQNPVWIQSLKDYYAEE GRTHFNRDDTHTVQLLNSFVYKGPYGHHFCMVFEILGVNLLEIIKRYNYKGCPMDIVRKM AKQILIGLDYLHRICGVIHTDLKPENVLLCLSDEEIKDIVENGQLTSNQLFSDRIHIYRK MLGIVKEEKKKEEETEKEHEEEEETQMSKTQRRKLQRKKKKKQDHVNQEEEEEMPKSIKD LFKQDNQKKISFKTQKPLPDNFRVKIADLGNACWIHHHFSTLIQTRQYRSPEVLLGIKYN PTADIWSFACMIFEMLTGDYLFEPRQGPNFSKNEDHLAQIQELLGKFPYEYGTRGAKAKR YFSQSGVMKRIQQLHFWNLYNVLTEKYRFKTKEALSFCSFMMPMLHQMPEYRTTAQETLK RELCCNASSEQVLKRYIDQVFQFQNFREYIPSSGWFKQTTDEKVGHKMSEEEYKKFTAHK KVNHEQEELEQGQICEGIQPTLLTNYRRASTRSYEGSTSLTPEVEVLPKKQGKYVDHRVI DRSFTDLGYIGYGEGINLEQLDSTGNWQFS >CAK92211 pep:novel supercontig:GCA_000165425.1:CT868667:37100:37618:-1 gene:GSPATT00024943001 transcript:CAK92211 MDQSDKTNPRTTVELPSFTLVGLERAIIHKDEIDPQTAQIGKTYEEYYGKQLHDKIPNRL HPMRTYCLYYGYSNVQNWQEMKYTMVLGEIVSDASNVPEGMVSVQVPAHRFCRFDCGPGP IPGVVIEAWSSLYKLTPEDFGGVRSHDYELEVYPEDQLDKSNLKFQLYIGIQ >CAK92212 pep:novel supercontig:GCA_000165425.1:CT868667:37759:38274:-1 gene:GSPATT00024944001 transcript:CAK92212 MDPVPPKVIVDIPKFTVVGISRRVHFASEANPETNVYIKTFKEYFDKKIAETIPNRAIPG RTYCLYYDFKDVQDKSIFTLSILVGELVTAVDQIPEGLQAFEVQDATYAQFKGGPGAIPE AARDTWMKLYLIKLDEWGYKRSYKTEFELYREGQNDIGNMEVELHVGIQQI >CAK92213 pep:novel supercontig:GCA_000165425.1:CT868667:38323:38658:1 gene:GSPATT00024945001 transcript:CAK92213 MDCLGSRPLNDEKASSDSLRMLLDQLGEGQFIETIIISTSVYNCCYFKQRKEWILQKRRI TKSVNKWVKSNLESRNYIHSFLDSHPVSIILLRPLRNFKPYITSTTLTLHS >CAK92214 pep:novel supercontig:GCA_000165425.1:CT868667:38737:39324:1 gene:GSPATT00024946001 transcript:CAK92214 MESTLFFLQLQLYKLQSLKQFYKFQKIQTIKISKIFKFDQFQFYGLIHWTSTHKYVDLQK FINKYGWHMKFIDISDNMPEHHQCILDFNSNQVFHIEEPTKEDIFLIIDPQGKVIRAERP EFYIPKNLDSVCEEFDDFIDTHSYCSQKFKAIKYKKKSLKELHIHYSSYQQQQTINIKTC INKISNNTMSDILNK >CAK92215 pep:novel supercontig:GCA_000165425.1:CT868667:39750:40709:1 gene:GSPATT00024947001 transcript:CAK92215 MRIRNQDKVEWTNLMRDSFVFWDMYELHNKSVAIKNLDEQRLLKQQQEQHIIQFKQIKAQ NLCGNGMDLIKKYIQHGIYYQIQINDKVMDFNESIKITSNTLLIVLYDDFEKQIFIILNK LESIEEEFQEPTNIIIITQLEKLNNISKLQIQFCHFNQVWNQNTGLSFKSDIVEKYFNFY ADKYGYLMAIQSNDEFIERLWIKNQKEIIVDWFIQQFGMTQKNNDSEWKQIKQECCQFNQ KYEEIVSSYEIPQSMVITIKSIKYLLWDSHNYSFLEQSNCPTITQNLNVK >CAK92216 pep:novel supercontig:GCA_000165425.1:CT868667:40780:42872:-1 gene:GSPATT00024948001 transcript:CAK92216 MQKTIASFFKSSKKGDDSNDQIKEQQFIEENLKKEKLMPEQKVCASKSKSHNMEIKGAVL FIDMAELYEQVGEIKGQNSKDAIKELVAKLFIRIMKENREEFVPAYLFSILKLGPDYESW ELGIGQGIIVKSISAVSGKSEKQVRELMNTLGDLGLAIEKSKSTQTSLNKFFVSQSVKEE VKLTMIQVFNTLQQLQKQEGTGSSLEKERILTGLLRMAKPIEAKYIIRFIEKNLKIGAAE KTMQAALARAFQLYHKGNVEGDYESIINQALCECPNYKKIIDTLFSIQSLKEVPELCHII PGVPCKPMLAKPMKSIQMIFQRFENMKFTCEYKYDGLRGQIHFENGQVSIFSRNLENMTQ TYPDIVNYVHTHYQHLDGFIIDSEIVAYDVANNRILPFQTLTSRAKKNVDQNQIEIQVCL YIFDLLYLNKQSYLKETLEKRRQTLRETFKEEEGKLKFATSRDSENFEEIEEFLLNSIKM GCEGLMIKTLEINSQYEPAKRSFNWLKLKKDYLDNGLSDTFDLVPIGACYGTGKRKGFYG SYLLACYNEDMDQYETTCKIGTGFSDENLEKFFQFFQEYLIPHPLSEYKCDGVNMDVWFS PVVVWEIKSADIQISPIYSACSTILQLNNKGVGLRFPRLIKVREDKKPNEATSSQFLYDV YKQQAVVASNNTFEQDDDFY >CAK92217 pep:novel supercontig:GCA_000165425.1:CT868667:42911:44032:1 gene:GSPATT00024949001 transcript:CAK92217 MASYKSILDGLLESVSNIVVARIRTLKQTYNDSSLNRLPASSDTKLSLLGAKQFQTLANQ VEQFMQKLLNEFNQELEEQYKHSINKQYQRPLTGTNGQECQREQIKEEQEKGLVIMFHNK QQDDGETTLKFNHLKDFLYYDSKKAKQVQNLSIEFKSQGMTDNNMEHFMKKFIQFYNIEH LKLNLGCNSLTVIGARQLAGCFTNLNRLSFMDVSIYNNKITWEGIDALMHAFKHLYNLKD LNLDVSCNPIGNKGFVIVSKALPEMRRLRKLWLSAYDIGVNDESFKIFINEFTKLPPLLI AKFDFKVNPFNKKLIQELQKIIHEKKINNYEILV >CAK92218 pep:novel supercontig:GCA_000165425.1:CT868667:44516:46062:-1 gene:GSPATT00024950001 transcript:CAK92218 MNNTNQFKNQNFTVHMYEMRRPLSEKNLGRYIPEQSRPSSVNQIKQLTQMKSSTQLSKQH FQYKDQKENMEIRSNQQNTINQKPNTKEIFEYTKLQDKLSYLEQKIINIKSHIDSSQRQT KQGLASRFFNTKITEQQQQQQQNINKPKISKNDLDLNQIQNKPKSSTIVKDPRAISNDNL NKKPSLSTFVTQQKTSAETNIQKIIQIHPESAKGVSQRIAFSNNHRERSTRSETQFLYYI SSVIRCYMTPEITKPIQQVREHLVQSISAAQYSKMMNSQQYEEKRVNLPSISLKKTIVFD LDETLIHCNESVKVPGDVILPIRFPTGDVIEASINIRPYAQQVLQTLSRHFELIVFTASH SCYANVVIDYLDPTKQWISHRFFRESCVQTEEGAYVKDLRVIGNRQLSDLVLVDNAAYSF CLQQLNGIPILNFYDNKSDQELLYLQNYLMAMKYAKDVRQFNQQYLKLDRFGEFKDPIQL IETLFKEYIP >CAK92219 pep:novel supercontig:GCA_000165425.1:CT868667:46166:46447:-1 gene:GSPATT00024951001 transcript:CAK92219 MEISIEEELLKRMNESTYVFTRLCHRSIEKTKDGVFGFQITQGLWFKLRIFLLKREGDDK KFDIVFVDKFFGQQKARDKMHELQQKYLKNEQQ >CAK92220 pep:novel supercontig:GCA_000165425.1:CT868667:46617:46853:-1 gene:GSPATT00024952001 transcript:CAK92220 MDNDKPYLTSLVHSSILKTNFGFCGFMITEGLWFKLRVYYCFVIPLKVCIPDPDEHTFNK LEEAQAKIQEIKVALNST >CAK92221 pep:novel supercontig:GCA_000165425.1:CT868667:47753:47854:-1 gene:GSPATT00024953001 transcript:CAK92221 MWFFRSLRALQLGYPAYVCWMIKGTQPSHAHEE >CAK92222 pep:novel supercontig:GCA_000165425.1:CT868667:47894:49177:-1 gene:GSPATT00024954001 transcript:CAK92222 MQSQCVKSNGSILLDYFKSLGQEEWNINLAQYSKLVDFRLTQNVITFVEVQNFYAFEDQK NFLQMHSQTQWTDQEWKILIWIVISYCTMNKMNLLKMNDWEMVEKLIKFKNGLNCQYKWL CHVIAREDRLQWTQEEEQMLKEEVENSKILKWHEIQFQIFMKSNGRYFKKAKQCRERWNN YLDPQINRSNWKPEEDLCLMKLAQSEGLKWSKISYKMKNRTENQVKNRFKSLINKETKGY QQQQEIGVLINNIIQKLILSQSSSTTNGYMQEEKPQKVICLDGNINYLQQQQYLIYQYQY YLAQQQYQMCYSYYQGEQSVKSEECQSQKISRSNSFCGLLDKLSLDSKSLTQELNKQYAQ EIEVPINNITKSQQLITIPNFITENQGKVKSNKQRFKFSSGDQ >CAK92223 pep:novel supercontig:GCA_000165425.1:CT868667:50789:51552:-1 gene:GSPATT00024955001 transcript:CAK92223 MSLHKIVLFCLVNNKSFSCIQQNLILQINSIGDEENYNCNQQLDHKHLRLILKGRLEQLD CSHAQNCQGSGIRTIPVFGDLINNCNSFYQNGVLTQQFIYYKIYIIGVYYLNNHLNGNLM EPILMQGSFHTTESNYAMIFNSYYQNGTAIYFLNQYIQNYPIGTWNVTCIANTRYNFMNI DIFCQNEFSNGIYNIIFYLPPEFHYDFGPSAFMLFTIIMILLIYFFLKFIQKTQNVGSIY SEVEL >CAK92224 pep:novel supercontig:GCA_000165425.1:CT868667:52239:52613:-1 gene:GSPATT00024956001 transcript:CAK92224 MGQLHETLFFIYLKHQVNLEVERSEQAEIAQEKQLQGMTIIIKNPQRYIPRRINRQIARY LQNSYMIRLIILLLKVQQGSHKKANYIKQTNNKGKDQRELKIYQKISESYSYYKQKCAIV IKRR >CAK92225 pep:novel supercontig:GCA_000165425.1:CT868667:52763:53242:1 gene:GSPATT00024957001 transcript:CAK92225 MKIQQSPSPQSFYFISIVLQQQFQSFYYFCCKLLTAYDCQVISIKIIIIQFFISIYFFIS AMNKSVQMANKQRDRILSCGTPFLKEFFNSIIVKVQLLINPLRIQFLNCKVQLSLIYTDI IDLNQRYCMSIQNQQYYHRLLILNYLLIISKSEIKFQIL >CAK92226 pep:novel supercontig:GCA_000165425.1:CT868667:53855:57415:-1 gene:GSPATT00024958001 transcript:CAK92226 MTKILSLLYFNSDSELFSFNQKIIVYKIQFPSLQVNLTNFEFAGNNYSFLLLCQYKEEQY IYTQSNIYLQVLPQNDTNIYVMFNQNFPQNQTSLELDITNNFNSFSGQLLQYKQNPDGIP LNFTFMAQQQAGQINQSYVLIQPLQLIFEQQILFYLIGYNNNSIDILQSAVNPTSIYQFG QICSIKISVNASSLQVAYSIYPQLMIIGLSANNTIYLFQFYKSNNSIISYSNYTFNSQLS DFVVTYSNVIILIENQSIEIMTFDFTSTFTLNQSSINNLFNNVQFKPTQIVVNTQLLSSL LYINNVNEVIIISIDQNSIPIPISLIKVNYTIKQINIINQQLILSYLCNNDQNTCFQVYN VQNLPKYYFVKYLFSVNVDNEVIIQSDNLFLYVNFNNYTVQVNKTFLKKNKCLKNKLEXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTKENIIPGSKNF SYPMNLILDRQVGLCESKKLTQTNNLNKYCSVTQLNQYNSTSIPNYSNFSLITSINNECF ALQNNSYIQTVNSDLTQISNLSYSNLNFTDCLKSASNDYTLYSICGNRTSQYLLNFTLNC EGNITLNERILLPKMFDNISKIDTILNQIFILGSFENSQQLYWFNQSQNILQEIDLNYYG PSQDFSIAQIQSMIQGDQSQQIIVLYIQSFAVYNRMMFIDGSVSKIGDINIIEISFCDSL QACFQLSIQYSFVLIMQTQPNIILILLSDIYFSYIVEVKLQLFQFHKQKLNKGIGIRTIP NYGDLSNNGNIFYQNSVLTQQFKYDKTYKIGVYYLNNVLNQNQMEPILMQGSFNASISNY ATIVNQQYQNGTAIYIYDQIIYNYPIGTWNITCIANTTTKSIWIFLYFAQMNFKMVHTTL NFICLLNFILTLVHRFICYFQQLLYCLYISTLNFTKRQRGLGLFIQKLNYDSINVYIFHQ IDFIYLVNIKIDLKFLDQIIVLYYLKRLIQHLLKLVQFKQFIQLILLSIFYLNMKIFLFY VLGYKIILIPNQTVLRLLLINQIMLLQCQIIQFKFLKNLFQNKCYLIFHYQLLNEISISV FFIFTQFIVNNNYLYYAEKQNFLLKLQCFWINCNTIWELNNSCVLENFFSKINHFTTIKK NDPHNLSSRECIDKKLISQLQFHQQSMQRTLLKRWSSISIHKQFIW >CAK92227 pep:novel supercontig:GCA_000165425.1:CT868667:57623:58439:-1 gene:GSPATT00024959001 transcript:CAK92227 MISAILSLSFNKEQGISLYPTEGEFYKQYIDQQVADSQLRCKIDPQIPNVQIMNQCEEIY EIVGNSKIQKQIIEFKSMSSNNTHFITLSYENEVIMYEWKNQIIEQVGESITIDPQFNCF NINLSEDFAILVDCYQNHELLLIQLMDEQSIPAYQVQSSIPTSTKMQQIVNGTNNFIVYA QYFKEYSVLSLISSSFQNSSSLKNKFVDFDIPITISPNIYAITSQEILQLSISPDSQLRI QMIYLVQESIMIYLCILNAIKYY >CAK92228 pep:novel supercontig:GCA_000165425.1:CT868667:59445:62267:-1 gene:GSPATT00024960001 transcript:CAK92228 MIILLILASIVCGKFLGKVNKVKTDYLNVFLFPHSHDDVGWVKTMMEYYQDSNGVQQIIS SYMEQLMNDKTKYFSQVEIAFFSIWWNEQSDEMKEKVKELVRNGQLEFLSGGWCMNDEAT SYYEDIIDQMTLGHKFLLQNFNYTPSIGWQVDPFGHSNTQALFSNMMGFNALFFGRIDQE DRTIREKNKELEFVIHPDSDSRHSILTHVNYYGYYSSPRGFDFDVTNPNRQEVTDSNLQA KTDELAAYFKQQQTVYRGNILAHTLGMDFQWSDAASYFSQMDRVMNQVNKNKEKYQMNIQ YGTPKQYIQALNEQNITYPSQQEDFFPYADYPNQYWSGYFTSRSAFKGYVRRIGRYFQQV KLLYSLVKINNLCKSLCNERTLQDLAEALGTAQHHDAITGTARQYVNNDYVKMIKTAHLN MNKQVSTLLNSLSNTKSVNHVTCNFNGTNVCHSLFDPLIKNQTVILTIIDTKVNNDGIKE YLKISVPDNLYFKATDEQDNLLNGEILCVDGQCILYLQRIVDNSKLLHYCKLKTVATEDE TNINKIEPETINVDADTQLFNKFKLNYNFYFSTSGAYVFKPFGESHPYGDYIKAYKFTGS IVKQIYIEKTAIKTWITNFDDENIFYVDTFVDSINLTDQKGQEVVIQILTDINNKQVFYT DSSGLAFQRRQVNHRDSWQMKVLEPVSGNYFPVNGAIMIKNTEEDQACAVINDRAQGGTS LSYGVIELMLQRRLNNDDYKGVYERLDEQEDVDNKSVGMRQMMSHTIVFYNPKQNSNIVR RLQYEQDLKPLLYFSAQDEIEYKQLKNKFAKLVEKQADENLSKFYIEPWINENQYLVRVH NFREDGIQKLNFPAGIRFMQTTLTGNQELKNWQLNRFKWTDSYTQEQSQQNYNEDQVGPM KIKTWIVTI >CAK92229 pep:novel supercontig:GCA_000165425.1:CT868667:62301:63343:1 gene:GSPATT00024961001 transcript:CAK92229 MSEQGEEQQGDEQLGDEQQEEQQQQEQQPFQIDTQIPPEDANVFMTQQKLEQNQVWKCFD FLFPAGKLAKLIKVNYMQPKTFPMTEILTKLKLDDGLPVVNFIGCRHNFDNPNSTRGKFY AGIARACHNTDAVIIDNGITTGIEKFSLRRNCTVSILFKYTQLIGVAPESVVSYPKLNPT KIEKNELSNGHTHIFLIGGEHVDLGTEALFKINLCKAIATGKISKTQGRPRCKIVNILFA DSNDCFDEIREAIINKLPIIVVRGSHLCNQFIDQEKILDAEFEDIMTDKDGFFFPLKKLD SEVIAQMVHYLLTYTPSKPKQQ >CAK92230 pep:novel supercontig:GCA_000165425.1:CT868667:63388:64296:1 gene:GSPATT00024962001 transcript:CAK92230 MRRQERIVTEEKNLTPQREKNNDVINVLQSKRSEDQSLLRTDLIQFQYERKEPRLSIKIN ENNENEKDKNQPQKHNEISNQNIKCANPTHQEPVLMVVLDPNLGRHERIFCQECISVMES NKKTIGIKKVMEITQENNKKKREYRDIIAQQHLKELEQFKFNINQLKSCLNKNLDEMMEN ANMWMKDLQNFRKVEYNLDTEIQWLINYESKIEIEQMADEIKPLNMNWVSKLNNKLELFS TFKEYSICINILNNLIQRNKSRHQEKKELFNHQQGQQYNSQQPQRCNLQKQQIQDQGVKK NT >CAK92231 pep:novel supercontig:GCA_000165425.1:CT868667:64436:65101:1 gene:GSPATT00024963001 transcript:CAK92231 MKSQQGYLSEVDINQNHKKYSSRYKMVNEKRNSMLVSLVQPNRKNLNKSFVPELQEMPMK QRRNSYQEGISGQKMSRLNCLYPQTICMQHALQKPKIDNKATLRKAVKRASIMMTTVKYW KDHSYKKIEVPAMIKSIHTKDNCLCDRNALTTRSVKKSELPPVLLSQYRIKNNERLIQYS RDRTADKTKSVSHHFSNLYTHSIPAFSPRYSIQNANIRIKQ >CAK92232 pep:novel supercontig:GCA_000165425.1:CT868667:65127:65810:-1 gene:GSPATT00024964001 transcript:CAK92232 MYYNNYGNCNALSRLNTGSQFLNQRISVKQSKAQEYSVPFSVTMSPIKQQRESYQPIIEN YFNLQTETQEDRICFDCLNNFIALMTQGTNITKQIVIENLTGLRNLIDFILNSQQDQSGI LQQNSGLQSIHSSQIEQSMHNQLKIPEIQLLEQKRFPNGVNRLLEEQNKSLQSYLIIHQS QQSERSLVNQTEQQNESVDISNQSYYSEYKRQAQNIEMDLQSFLKQK >CAK92233 pep:novel supercontig:GCA_000165425.1:CT868667:66006:66748:1 gene:GSPATT00024965001 transcript:CAK92233 MSAPIKIVMLGEGRVGKTCLTLKYCKDQFNENQQSSIDATFFEKSVDLGGGKNITLAIWD TAGQEIFHALTTVYYRDAYGAVLVYDVTYKESFMKVEKWVEELRSFGTKDISIVVAGNKS DMKNQMQIDKNEVEEYCKKIGAKHFFTSAKSGIGINEMFKSLGESISIKVQAQESKGKKK KGLQIKDVKDPKKQNQKNDGCC >CAK92234 pep:novel supercontig:GCA_000165425.1:CT868667:66749:68217:-1 gene:GSPATT00024966001 transcript:CAK92234 MNQYQKIGSYSNNRFDNKQNLQQQTFKDSQIQAQAQAEIQFYIYSDLQNLINLIYSREAV LEYFKNDPNHSMITQSNNKLILQLNLSNAIHIDQQQIYKEQPQLFEFLQKERNKAYKILE KIIDNNDQLQNDHQKNDDVIPKYLKEYKQQYAKQEFKWESFPLQKTNQVAKFDEGVLQKM ISSIQNVDNQIEQIRMNKSYRVPDQNEIQLKANDYYKMTQALLKENFPIKNPQVNQMKKQ EIINIGGQSNVAQVQNLNQKTPSSNCDEYSKLNNQQDKRICEKEKLIDFINCLSQCNHIP LFKQPFHIRTHFSEVVFWDKYDEKIKQIKWAINFEKQHLLFQLLCLHNYFNLFMEYQKQI KGPQTRQIDMEIVKTLVNNDMKQKKEGKPYISNLIGFMYCCKDQIEISGYPNQKQHTTNP SNNAKKTGTMQNQQINQGYDEDQIYKFYEIFCSQLQNEINQQKR >CAK92235 pep:novel supercontig:GCA_000165425.1:CT868667:71040:71711:-1 gene:GSPATT00024967001 transcript:CAK92235 MNSSIKNGSQTNSRFDNKNNENLQQQTFKDSQIQAQAQAEIQYYIYQDLQNIINLIQSRK AVLEHFKNDPNHGMITQSNNKLILQLNLSNAIHSEQQQIYKEQPQLIEFLQKERNKAYEI LEKIIDNNDQLLNSNQNNDYFIPYYLQKYKLRYAKQEFKLEDQFPLQDTNQVAKFDEGVL QNMISSISNVDNQIQQIRMNKSYRVPDPNEIQLKAKYIIIIFQ >CAK92236 pep:novel supercontig:GCA_000165425.1:CT868667:72773:76827:1 gene:GSPATT00024968001 transcript:CAK92236 MISSILSYSFNKQQEISLYPTEGEFYKYYIDQNILDYELICKIDPQIPNVQIMNQCEEIS QTQGNKFKSMSSNNTHFITLSYENEVTLYEWKNQMIEQIGESVTINSSFNCFSINLYGDF SILADCYQNNEFLLIQFKDEQSIIAYQSQSSMPTSTKMQSIINGTSAFLVYAQYFEEYSI LSLFSSSFLNQSSLNNQFIDFDITNTISPNIYAITSQEIFQISISPQSQFYLKSTFSQED LTSFTIINVYFDLTIYSQCDQILLMYYLTQEETCVIQLLGCQNQIISAQSQQCDFPTETI VKILQNSYFIIIQFDDKIFIYEKQSNGYYIYLLSHQGNSLLYFNFDNELFSFNQQIITYK ISFPSLEINLTNSEPTGNNYTFLLICQNLGYHTVTNSRIYLQVLPKNDTNIYVMFNENFP QNQTSLELNITNNFDSFSGQLLQYKQNPEGIPLTFTLMTLQQAGQINSRYYLVQSLQINS IQIQWVTQYLIGYNNYSIDILFTSFYNSVSIYQFYQLCSINISVDASSLKVAYSIYPQMM IIGLSAHNAIYLFQYYNSTNSIISYSNYTFNSEFSDFLVTYNNIIILIANQSIEIMTFDF TSTFTLNQSSINNLFNNVQFNPIQIVVNTQLLSSLLYINNVNEVIIISIDQNRIPIPISL IKVNYTIKQINIINQQLILSYLCDNDQKLCFQVYNVQNLPNYYFVKNLYSVDVDNTVIIQ SDNLFLYVTFSHYKVYVYNPSLPYHMSLYLMLKLTSPIQCAQAIKSFYYYSPQYQYLSSM IILSNNTIFQLQRKQQFRISVEFNNEDFNNSLKYPEFVFNYNVTSSLNEKALQQTPNQSI VLYSNFTVFLNQRNLSINLTKENIIPGSKNFSYPMNLILDRQVGLCESKKLTQTNNLNKY CSVTQLNYQNSTRIPNYSNFSLITSINNECFALQNNSFIQTVNSDLTYLSNLSYSNLNFT QCLNSVSNGYTLYSICENRTSQYLLNFTINCQGNMTLNETKKLPKMFQNISKINTIINQI FILGALQNSQQQQLYWLNQSNNNLLELDKQNRGVCQDFSIALIPNRFQNDQLQQISVLYI QRFIVYSRMMVINDSVIEIGSITFIDIQFACFCEYFLPTEIQYNMVLILQAQPNILMILV SDIYFSFIVEVRLRSYQEFNQNYYYGIGLRTIPNFGNLNNTGNSFYQNRVLMQQFAQNNA YINGVYFLNNLFNDNLKVPILMQGSFNSTISEYAMILSQQYENGTAIYFNNQSIYNYPIG TQNVTCVANTKNNYMNISIFCQNEFSNGTYNIIFYLPPEFHFNFGPSVYMLFSIIITLLI FFYFRFRKRTKRVGFIYTEVEL >CAK92237 pep:novel supercontig:GCA_000165425.1:CT868667:77404:78354:1 gene:GSPATT00024969001 transcript:CAK92237 MGDSIESPSQRHIKQGKGPKNSVHFQDISQIHKKDRSKILQNDTDKFKYLFQIPNIISDP KLVQRRKTFETFTKNANLDLYYMHLKKASGIARSISQKADYKEVIAKIHNNKMQDQFVHK LHKNKGFILTNELWSNKLVSQVSDQSRLKELSQMLQLQKIKLNSEEQLQNYEQYFKQFVK SKCQNEMEVRRGEVSKAFNDLDDQATLDQTYKDSRVLSRNQSVPMKQTDQSFFSREIMTA QVSKKRGVNSQHSSIKLAELLKQSNDEIHSTQEVVIKLRRFTREEADLQDKPKKIRIRRL FAEQLNNVIKNSKKIN >CAK92238 pep:novel supercontig:GCA_000165425.1:CT868667:78441:78838:-1 gene:GSPATT00024970001 transcript:CAK92238 MSTETTEFIPKRLAVAVAILILFVLHYVTLLINAFLKGPDQKEYINRRVFLFGIAGLLSM STIQSSVFSAILAWLTFVPLAVLHLSIYEKFQNLNNHKDTLWLAYYGLQFVWFLGIIIDF YS >CAK92239 pep:novel supercontig:GCA_000165425.1:CT868667:78926:79719:-1 gene:GSPATT00024971001 transcript:CAK92239 MHIAIISMVLIQIYAFQATLIQQYSRIHPQNTKKKSPTSSDRISKSFEKTKPRVEKQQIR SETIDVVMRPTDPVLEEVHSQRQKIINQRVVAVNTFNRILNVDRVGHRMQRGQLLRYLRE FYSQIMVEQINKVLKVPDTLTLQMYEQLITALQQLDRQQYLNLCFQIYDLSGQGVITSTN LLSLLSVEKNTSIIENDILTMIKLRSSLLKSQLDKNEQSRLPKLRTISFQGQTDNDLPNR GRRSRSKCYPSHQQIETH >CAK92240 pep:novel supercontig:GCA_000165425.1:CT868667:80463:80711:-1 gene:GSPATT00024972001 transcript:CAK92240 MNQIQIQNRPNSARATSQRKRNTSQYTQQSQVLVKNKCVQFQKIKQNNLLELLLMDTGTR MPSPISSQISVGIGRIPKDFFN >CAK92241 pep:novel supercontig:GCA_000165425.1:CT868667:80720:81175:-1 gene:GSPATT00024973001 transcript:CAK92241 MEFENESSQAQSTGYFQNPMFYTPSHNQQVYTVPPNTINHPQQYQNPQNQFWFVGTNLIN QQQMVVQKTVFDQPPLQIQTQQFFQQSQELNDDDHDQDYQPEEDQGSDSTSSDEQQEDLQ EEGNEIELQFQEQFDDPDFDLQAYLKVRDQL >CAK92242 pep:novel supercontig:GCA_000165425.1:CT868667:81310:82568:-1 gene:GSPATT00024974001 transcript:CAK92242 MDKKKLKKKVDESDSSDLEQLLEISKKYKNRPRPEKQEIPILKKVKPPEPPPQPPQPTTL PQLSSFKKSESGAYKVPQHLHKNYPHAVRLYLGNLPDNVDKDHLHNYIRQQMESHGAVLD PGDPVIQVQLQPGQKYCFVQFRSIEETEAALQIDTINYQGKPLKFKRVKDYEISPRIEGE REVPKIQPKEPAQKLFVCGLAPDTDNDALANILSEYGNLKSLNVVRDIKNVCKGFAFCEF ETDLETQNCVNGLNNKVIGGRLLQVKKNAQLPTPTQDYIIDTITLGEQSAFEAKLQQINQ MKVSSVVVINNAVRIKNIEDDYEYNFIVKDLKKEIEKIGRLISMVVPRKKDGYSEGIGKV FVEFENEQFAKIAIILLQNKKYDGREIDIAFYDPRLYADKQY >CAK92243 pep:novel supercontig:GCA_000165425.1:CT868667:82690:83245:1 gene:GSPATT00024975001 transcript:CAK92243 MYVSNKGELNRFTLDVNLHEADVLSRVNYINTTILARNPIEKLEEQKLFFDPTSLGRYKN YRGASSKIANYIKNLDPIVRAAFVVERSPPSIRYNSSRNPKAQEKLKQKIDKLNNFQNDD SGIKYFNQHRRSCSPRLKLPAVSKYHKNPPKMLVNSYESRTIDADSTLSHSVHNH >CAK92244 pep:novel supercontig:GCA_000165425.1:CT868667:84100:86138:1 gene:GSPATT00024976001 transcript:CAK92244 MGSQESKQEGLIQDSRYGKATLIRKYNQICATRKVFIQDGSQHDEFVKRVKIEHPNLVKI LESGIQKEQELCTTYHTMYIISEYYSTSFHKEIANRRGRGAFWTEQELWNQLLGLVDLLM KMQEAHLVHQNIRPLTISYINENTLKLCDNLFQSTAFQMAQQNPENQKIFELSPKLLEAI NQNNQFPRHNLFKSDVWSLGMCILQASLLDHCRDCFDFERGHVDVSRKLALLNKNYSIKY EQVIVAMLSVDEESRPDFKQLMNFMKGNPDAGISKFLEDSVIHSSRICLDTKSPMSLKED SLPEPLNQLREQIESKPTKNMVELEELRIKPKIVIKKTKKKDLGFYNYQEQMVIRDPSKS AIQQQNLYSYTSVDYSSNKKLVNSSHQFTNPSPQTQQFNDKEKIEQLLGYGMQSYSIDSL IMPSISIKPQISLVESSKPFSSETSKTTQQSNPQVITIDTHPSCNSTPVSYTEIPISYVA KKDINYRQEMEQMIELNRSRCFKTEQYQDGSSYVGEMYNQLRDGFGKYQFVEGGYYEGQW KQNKMHGYGTLFYGVGQKAYEGQFENDQFSGFGTLYNKEPTKLDTPFDCSNFDLIGNYWV KYVGNFQNELKDGQGALYLSNDECYKGEFYQDYVDGHGTFGSIIGVWRKNQLIK >CAK92245 pep:novel supercontig:GCA_000165425.1:CT868667:86157:87259:-1 gene:GSPATT00024977001 transcript:CAK92245 MIILFFITSTLASRVYPISQIQATQNFQLEYESGSLTVDLNSEMSYLSKAGDKIRCNSAP FGEQIQCQHCSPDCIVQDSQLISSEITLVDIFNEEETEPIIYTSTNESEILGLGLQRGAR YPQNPFVSKVFHICFGYTRGFVSREFKNPNLSELQYKANESNYQVDLRMIKVNDEMVVDT YGNITYVDSKEPFILLPEEEYIMMRDYLQEYGVEEEGKYLIVKDKSRGLPTIELIFEKDE KIRLEKDAYSYRLIDGREIVTFYRSKINRIKLGLPFLTQQLITIDQNNQKLFISKYNCQD QFKQSQQNTPYLKHIVIPFVLMCLTFYCLLSQRAKNGVKRRQLEQQELVKLKEEEEQI >CAK92246 pep:novel supercontig:GCA_000165425.1:CT868667:87424:88968:1 gene:GSPATT00024978001 transcript:CAK92246 MVSYDPSLKRNQRLLCQKCLDSLQVSTKVEGFNKTLSTIQENHQNRVNSQQFLIEKSIQF IEPLQQEIQSIRDKFVNILDTIIKFTADWKMELQQISKKPMVLSFFAELESLVYRQQDNM QQVIFDQINKLNQTYSKSIINQLPIIKEDPFKEIELKLNNSEKMLNNEFLQFDFNVQIKN NKKSPISINLKEIKRVESEKGISHIIFDKTGQMMITINEGKIGVWKFASGNLEQQASWVA HQSDIKCAILSCNQNPYILTAADMTIKISLRINEMYWKSDFESQKLDNHIGCMIISRNED YLFTSCENVISIWRLNYTQKKWLTFQYSLKQHKWAINAMSINKSENKLVSCSKNKQLIIW GLNKNNQWEYKYDVKQSILDIGNALYFLKDSQFILLSGELDTQDNVYVYEEQNEQFQEVL KKTLKLPKIKGDSSISPIVYIDQQQNILLISQKNDLYLILEKSDGSFYIIKQINRIIDIH QQSQSLAQDGKYLVYSKYDNRDNRNYLVVNEIQY >CAK92247 pep:novel supercontig:GCA_000165425.1:CT868667:89841:90790:1 gene:GSPATT00024979001 transcript:CAK92247 MSNNTNNDLADQNTSQQSFSPQPLETSKGIAENTNQYKYSTDFQDPYVKGLFFKNLYCCL IGQFLYNLLMIILTFSAGMINWLVTEDDCNQRSSREEENCVVTPKWLFYFSLVVSIILSF TIYFGGSAVRKGPIKILIQIFYPIFYGFTFSSIFAFMIYNMHPLGIWETLSSMFLILIFL NIAYCGKREVSCLCKTIIIIVPPILLSVFFLSFKAVLYGIFLEGTIISMFYGFYLILEAS LILKSRRLNLQPEDYQIASLLLNGLLVQPLVRVADIILSIVM >CAK92248 pep:novel supercontig:GCA_000165425.1:CT868667:94358:94816:-1 gene:GSPATT00024980001 transcript:CAK92248 MSQYCYQVQQINENSEEFKFDDYSTYLALTIGGGSLFILSFLLGLFRTCKENTGVFWAQL CCLVFGQTLITIGMGFGQSSDDVYGKIDFTFNYPVAEFVAICGIFVQALQNCYEHSLSPE SN >CAK92249 pep:novel supercontig:GCA_000165425.1:CT868667:94946:97837:-1 gene:GSPATT00024981001 transcript:CAK92249 MFVLQQRVLEKCIIDITKKAFGEQIEIENRGAFLNRIKEITLEAFQLNYGEEIFLLQQEL RQSQEAIEKAKLDYLDREQQLIMSLEQKLQEQQILQLKELNGLQEMHDEQIQLNKKLLIN LERVNRQNSELSQQNNQLNKEISNLKETYSQFESQQKLKNQEIFEFRQKFEQQWSANQVL EQQYKTMKQEMEKVIKEMHRKNQLQIDENVIAENEVQRLKWEYEQLKSKKHQESQKYKEA LEQLKLKSSNKIRDYKQKLKEVQNKDAFIVELQDQIKELQEHIEFQQGQHKSTIKQFENL EQNMEQEKSLIQQHLTKSLETTLNDKDREVLSLFSLTQQLKQSLQNEQDNKQRSIIKLED QIKQINQQRDELSEEIQDQQLKIEHLEQELIKKDQNIKLLLNDIDELRQFSEQTLNTLRE NQDYLSELEREKQQQHEIIENIQNQLEIEIKELEQRCKLFKQEHCLMLEEQLKRQASCEQ EIDKLSNENQQNILNFENQQKKLKLEFKLQSEEQMRQYNQLEKESQQMNEQIESLEKQHQ ELIQDLEQYIAQYTNALSQIEELKSKEKDLIQIQSKNQKTWDIEKQLLSSQLEDQKVQFN KIKQKVIRSVCKQKEQLKQQLNETKQFIQNRFKSYDIDIREFLSSQIKKILQLSNQELFL EKRNHEVILKEFEIEFDRKVDQVKREYKLIQEESDIAIKQKIQQIYQYDQSINDLKKQLS LKLQENDNLQSQFQISNELCKELQKKAEIEQIHSQKLISQLQEELIQIQQTVDFTQKERQ YFEQRYNDFKVMSERKLDQVSREYQQQIQQLEVLVQKNEKVDQSHVFLKHSLSSSQGFNR SPNMIKQQCRYSVIYLDTYQKPPNYGSPTLRTPNKSPINERADKTIEELRVEIHQQKEKL SRMKLNFTESQKKSKIASQY >CAK92250 pep:novel supercontig:GCA_000165425.1:CT868667:98015:101887:-1 gene:GSPATT00024982001 transcript:CAK92250 MRKQQALISKRIRTNYQIQYKQKLTINIKFHQQILMKIIFLVINVIQVICLSPQFQLQEN QEISLYPTEGEIYKYYIDSIVADTQLMCKIDPQVPNVQVLNQCEEIFQMQGDEFKSISSN NTHFSTLSYENEVTFYEWKNQILEKIWESEIIDSSFNCFTINLSEIFSILVDCYQNNEFL LIQLMDKQSKITYQIQSSMPTSTKIQSIVNGTNNFIVYAQYFEDYSILSLISSSFQNLSS LNNQFIDFDIPITITPNIYVITSQELFQLSISSDSQFCFKANFSQQNLNNFITINVYYDL SIYSQCDQILLAYYYQYQPCLILLLGCENSIIQTQARFNWLQFESILNIFQNSYFIIIQL NSQIFIDEKQSHFLFAYHLTNQDNSLLYFNSDKLTVMIVYKIQLPSLQVNLTNLESARNN STFRLICQNQGKKIFYQSKIYLQVLSQNDTNIYVMFKQQFPQYQFSWSIIVQNSFLSFSG QLLQYKQNPDGIPLNFTLLSQQQAGQINYRYCLVQSLSLIFQQNTYFYIIGYNNYSIDII GSYGIQSIFQFSQICSFNISINASSLQVAYSINPQMIIIGLSANNTIYLFQYYNSNNSII SYSNYTFEQQFSDFVVTFNNIIILFANQQIKIMTFDFTNNFTLNQSSINHQFNNLQFNPI QIVVNTQLQSSLLYINNINEVIIISIDQNSIPKPISLIKVNYTIKQINLISQQLILSYLC NDYQNMCFQVYNLQNLPKYYYVKNLYSVNVDNKAIIQSDNLFLYVTFSNYTVYVYNPFLT YHQSLYYMLKLTSPIQCVQAVKSYYFYVPQFQYISSIILLQNNTILQLYRKQEFQINVEF YNEDFNNSIQYPQFIYNYNVTSSLNETAFQQTPNQSIVLYSNFTVFLNQRNLSINLTKDN IINNTKIFSYPMNLILDRQVGYCGPPNLAQTNNLNKHCTFLIIATFLQLLRQIMNALLYR ITLTYKQQIVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX MLQPPPLCWKELLLSILCIIQFGLIILVSSNNINYIAQGKFEQQKCSTNNNCQGLGVGFK TIPAFGDLINNGNSYYQNGVLTQQFKQNTKYIVGIYYLNNLFDGNLMEPILMQSSFTTTV SNYAMVINSQYQNGTALYIYKNHLYNYTIGTWNVTCLLNKKLQSQVNVSIFCINEFSNGT YNISFKPPPLEKKSKRWIYTLVSIIILLLLYFYLKVRQKTIELSYIQQEVEQ >CAK92251 pep:novel supercontig:GCA_000165425.1:CT868667:102414:103589:1 gene:GSPATT00024983001 transcript:CAK92251 MNHIIQQPKTAQKSKKPSKVASKHPEIQPNKNLEELRNKEWTVAIQKEIDQFEQAIHEVE ALFKEASISQHLVSLGTFKLVQDEYEFAAFKLQHLREMLAKYSDEHSKYHQIKQKLKVLT NKQDKSAEDNEAIQKITRDQENFKYTITKEREKIQFQFGVASRVLILIQVYIKLKDQHEN QQELQKHRDYILQKIDKIKKTEEKHTKRIERHFNRIQVEDPDAPEDIEVEEEQSEEEQDT TGNNTAREDLIKLCDGLISYLTKIEKKEGDSFLQHDLATFQYFDQIRVAAPFYSNQIDLA IDLIKTKRTFYENAPDSEFVKKEVQQVVKKQEQKVDVTNEQEFPKLS >CAK92252 pep:novel supercontig:GCA_000165425.1:CT868667:104429:105168:1 gene:GSPATT00024984001 transcript:CAK92252 MQEIYTYQILKQCNGKAVIQMDESLLDVINTHLKIKPQQKQREVPYSVKKLHNFQRRIVP FFMNQFMHWAEEMGYKQVDGYLRAIHKKKTSKQQKFELGDLKKLFGAINPRTKIIQMETQ NKWIDFLSTQADICVLLNNKIKDQSTKQLYIQAIENLKAELKKEIPYDKFLSVPKKEESF DSLQEESQELILNEIFSSDEVEEYAPTNKEDPYSYLSCAYRKFD >CAK92253 pep:novel supercontig:GCA_000165425.1:CT868667:105808:107166:-1 gene:GSPATT00024985001 transcript:CAK92253 MNQVKHFKFILQYLEFSSKSDQQQYELTILLKRSTAAAQQVVTKLQIKNPERTMTICRLP IDQVVEFQQRMTKKDGIYADYNIKFLFYLAGNQLLGDCVINLAYFLDGGAPQDQKQKKPL TSTTDKRAALLFKVIKDSIYESQEFPIADVPAPVIGPAPQTARTSSTRKIDSRTTRARSQ SPPKEFKTAIIVSKANEQPAESTVSQSSFKQQQHHQQTQQDNLTQKFVQLGEKFQQQKQQ QIVQPPSPPPPQEYDNFKEITDLLSQQEAELEKQIEQLDIHIRSDGKFKKIFDDSTFNNF DVSSQDSEIQLWKQKCAELEDKFNKVQDENQHLTVIIRQQSEQLKKMNQRSQSGYKQIND NALNQSTTSNQEQYEKTIDKKNQIIKELSNQLLELEKNANVNIIDQLKSQIVRLEQQMQE KEDQWLAQERIYKDKIIQLLQNTE >CAK92254 pep:novel supercontig:GCA_000165425.1:CT868667:107178:108302:1 gene:GSPATT00024986001 transcript:CAK92254 MSDHENSNIDEVIAKIKTLPLAQRVHAVALFHSLKRKQKYDEELQEEINKVTNQYDRLNL PIYEQQNEIILGQRIPNGVELADLAKYVNDTETQEVNEKNVAEPLSEYWYKVLKNSTLIS EVCHDNEKDWEVLKFLQKVELEHEENNNNFTVKLRFLPNEYFTNDVLKKRFIFEKNEDTP VKSESTHINWKEGKNITQKKVSKKQKNKKTGASRNVDKVVDADSFFNFFKDFDLTNQKEL DEEELQKQEDLMNEHFDIATEFLDSIVPSSLELYLGLQPEIDGMDEDDEEDDDDNDEDTD DEDDKKKSKRKKSSGSKGGDNKKAEKPECKQQ >CAK92255 pep:novel supercontig:GCA_000165425.1:CT868667:108305:109463:1 gene:GSPATT00024987001 transcript:CAK92255 MQGLLQPSQQTLLVRSPTEGSLKSYSRYESKGKKRIVYKNNKGEIVEPQEKLAKSQHSHQ SQPAHSVKSRTITPTPSENVKLQTNTIFDLVPIDDPLWLELIPPEIQSDPNFNLEKLIMD NQEYFVNLLGLYMQERQQNRIQELKVSLPQKKQTTYDQVYKKLNALDQQQFNIKMYDQVP VAQSLQLQTQYETSYRTPKINPQDNCKPSYTRDKAEMLTLTSYKQNYINWKPTLTDRMGP KRGQSTGALPFIGKTSYQENYRINNFEPMESAKKKSLGPFASGSSMIFKEALSKIHYPGY QIEELQPIKKYSTHQQGNGSYDGQFKTTFKNSFAYKVPEPIVQDRYWKQKLIQKILDKKQ N >CAK92256 pep:novel supercontig:GCA_000165425.1:CT868667:109497:110857:1 gene:GSPATT00024988001 transcript:CAK92256 MTDLGIAIERMEQLKESIDIGYLCICAAFVLLMQVGFAFLEGGCLRYKDMQSIMLKIFMN TCVTVVIWWLVGYGIAFGRDSKEFVGSSVYVGFDMKNSKHLAEFAFQTAVASAATSIVSG GAAGRMTYIGYFILSVVFSSVIYPFCAHWGFGNGWLMKLGYHDYAGSGTIHASAGMGALM TTMLLKPRNQRFNPKYAEHFEPNNPIYITLACLSLWVCWCFFNGGSSLGIANGASVFMSR AIMNTFIAGASGALTVFFIFYLMNIDQGNKYSLVMICNGNLAGLVAITGPCDNVDAWAAF VIGILGGMMMVFANRVLFNLQIDDPVDAISIHFACGMLGANLVSWFDMDTGIVYGKGGYQ FAVQMLGSFIYIGWSALIHLITLLFIDKIGFLRVTLEEEHEGLDPATMGCCAYEMVELQQ >CAK92257 pep:novel supercontig:GCA_000165425.1:CT868667:111705:112137:1 gene:GSPATT00024989001 transcript:CAK92257 MKNLGDKYIYQQQAMVQYVITSEDIHRRKDNDAYFRLNYLYQASLLFSESNIEYAKLMKE QMQKVAEKVPIRMTPSIKHTHCKKCQSPIIFGEVKFKKICKKLFIIKNCSKCKYQRKIFV KKIKGFELLQKQI >CAK92258 pep:novel supercontig:GCA_000165425.1:CT868667:112465:114201:1 gene:GSPATT00024990001 transcript:CAK92258 MTSEIHSFFDNKDQLKDKIWTKFCKIQYKNDSIEYKTECNRLSKTHKELKPFSIQIGQET LYAFKNEQPQGMLQLTVTVMLFKRYNDQEYIRLQRNGQYVDIVLENLEQLKKALIDKCIL TTFHNDFQVLKMIGKGSFANVYLAKRQSNGVQYAVKAFSKLYIHGQFRGREGLENEIRVM RRLNQENILHLYEVHETQNSIYFVLDLLEGGELLRRAQSKIYTTQKIQKLMYNLLKALFH MHSKKCMHRDLKPENLLLKSKDNDTDIVIADFGLAHIMDQQPLYKRCGTPGFVAPEILKY NDYGPFYNEKCDVFSAGVIFYLMITGIQPFKGYEYKEILKSNKVCQINYDIQQLNKCPQN LRSLLKLMLKADPTDRCSAEECLKHEYFQEIYNQDDLMDNKDNLQKYEMEFNSPLLRKNS SESQEGSMLLLSKQQQWNGQTETIGSLSNCSNVSSNNKADKQQQPYLSKFSQFCSQMKQE GLVQNNQNSKKKRNRQDLHKFALQNSYQQKQKSKDDDYVIDDESSGIDNQLSQLNAQQPK MGFLKKSQSLDVD >CAK92259 pep:novel supercontig:GCA_000165425.1:CT868667:114205:115225:-1 gene:GSPATT00024991001 transcript:CAK92259 MIDYFIIFLFVKTIQPQFILDQVQVLNYEFNEKLELNPLFKRNCLNDRERLCKLHLEALS PKVEEIISIASEYLNYFGAEDPDQYQRLMNQIITQYVYRQDEYQAYALVFQGLRDVVQSI LNIQIGINSKEGEMMIVMENTTKQFDNLITFENLYLSEDGNFIYTKEQEGVIHFNSSVNL GTIKMNSTQILASYFNKKKTLFKSRSMVELFGGGTEITKLNISAHSQIAYITFMIEATPL SPEKLKNKIHIALQQRYRQLHRIKDDFIEQFIRHFVPKYMHPALHDIIQNMIQNKPVQTQ YLELQFIIDELRTTKSEKLIELNKLIEQLLQ >CAK92260 pep:novel supercontig:GCA_000165425.1:CT868667:116033:116470:-1 gene:GSPATT00024992001 transcript:CAK92260 MFKQTKVNDGFKLPRIEKSHAYVPQSNRDSLDFLLGKNKNDYAPQIISEKKLITELPTNR LARRGSQILDGSSQVFMPVQQTQRKISQMDCKYDIENFFTLEPKPVVKKPMVIAKINRIP KHYRLQNFTDWVEKLYGQDWFNDQI >CAK92261 pep:novel supercontig:GCA_000165425.1:CT868667:117054:118696:1 gene:GSPATT00024993001 transcript:CAK92261 MQLRIKANRKEYFDFSNISNVRNNHLYVGQTVYDYHLKLISSINEFIAKQKQTNIKDNGK KYNYQEERSSLQNQQQQGNNQEKPFIEKKIEFTRKEVFVLLLMMYSNILEKDNQNMKGFY QINMELIKQSQSKQSQEKLKCIHSYFINFYHRILKQNDGVSNDYNLNSNEIQRQIQNLEK EIQEEQHQHDKKIEQLNQRIQMILRQFINNNPKEYSEMQQQDELVTFIRRTEFRNYKEIY NDQQCPLSQFQKNKGRCEDYENSILVNFADKNVGGLSLDSLNIAQEEVLMLTHPEALISM LFMEPMNDNEAILIKNLIKFNDYDGYGQTFRQKEEDYFKWNSNSSLKQNNEFSQRQQDLN KQNDAHNKKIITNHILCMDAIFYSNWQIQFDEKYINRELVKSYIAFSLALDHTDSKYVST GKWGCGIFNGDIQLKFLIQLLAFSKAYQDSQYRNEDFKNKTEKYISFSSFHDGKFESLIQ QYYQAQTEQKQNITKINYVIETLKGQFKQQISKGFNK >CAK92262 pep:novel supercontig:GCA_000165425.1:CT868667:118778:119191:1 gene:GSPATT00024994001 transcript:CAK92262 MFDGYCRQIMQPNRVMYNKSDLEWGFQRQDFQLQGLQCSLFKCNKYTKSCILYLHGQIGS KLEAVQYAFFACKNDFDFCSFDFQAVGQSLGDFVTFGQLLILMNSQRGGEPSSGGSTFEG EIFAYDFVGKVGEQPQR >CAK92263 pep:novel supercontig:GCA_000165425.1:CT868667:119219:119488:1 gene:GSPATT00024995001 transcript:CAK92263 MFGFGQSIFGFGRRSNKFNKTKTTYSRYSSLKLIKFNCLQIQISLVLCFYWHQSKTVSFL NIILIQFLRDIKNIRGICYVMQSQSTKIK >CAK92264 pep:novel supercontig:GCA_000165425.1:CT868667:120500:124426:1 gene:GSPATT00024996001 transcript:CAK92264 MCKIDPQIPNVQVMNQYEEIYRKKGNKFKSISSNNTHFSTLSYENEVQFYEWKDQILEKI GESVIIDSSFNCFTINLSQHFSILVDCYQNNEFLLIQLMDKQSKNCLLNIIIYAQRQPKY NQLLMEQIILQYMPNILKIIRYFHQFHHHFKIQVAQIINLLILIYQLQQLLIFMQLPPKN SQFYFKANFSQQNLNNITSINAYYNFWSYSQCDFVYLLLAKYEDSLIQYKTARYLGCEGQ INFMNEGCPISSCPFYKTLQNTEFLVYISINKFYIAPKLAGLPKYQSYQVNSNNTLFYLN NDNDLFQFGQEIVVYKISLPSIQINLTASQPSKKYQNFTLICIDEFYPIQSSLVNIYFQI LSMNDTNIYVMSNYGSQDFVVYNDNNKTISLQDYSGQLLKYNITQKEPYFNLKQITFSNV SKLNQNYQLVQFISIDFVVVSQYLIGYNNYSVDILLCNYIEQNQSYQFFEICSINISINA NYLQAAYSFNPQMMIIIGLRDNKTIYLFNYYNDTKSIISYSNYTFRDEFQDFFVTYNNIL LLRLKKAIEIMTFDFTNTFTLNQSSINKLFNNIQFNPIQIALNTQSQSSLLYINNINEVI IISIDQNSLPIPIQVIKVNFTIKYINVISQQLILSYLCNDDKKNTCFQVYNVYNLPKYYY VKNLYSVNVDNKAIIQSDNLFLYVIFRNYTVYVYNPSLPYHQSLYYKLQFDSPIQLTEQF YSGLQSSIIISNNYVYILQSNQNFVLEFLNSGQLLGNTKIYPQYIYSITITSALNETAFQ QTPNQSIVLYSNFTVFLNQRNLSINLTKDNIINNTKIFSYPMNLILDRQVGYCGPPNLAQ TNNLNKHCSLTQAFQYNSTSIPNYSNFSLITSINNECFALQNNSYIQTVNSDLTQVSSLS YSNLNFTQCLNSASNDYSLYSICGNSTQQYLLNFTLNCEANILLSETLQLSQMFYSISKV NTISNQIFILGTLQNSSQQQFLYWFNQSKQSSQIISGDKSYCQDFSIALIDTTISAIKYQ QSQIIIFYIEQYYNHQILLYQLMLVQNNSELKKGESVMTKKCDKSSYNNCTELIPSYVLI MKTSYNLAIILLSNFDMYQISEIINVRLAGDESQFGISKTIPNYGNQNNSGNSFYQNGVL TQQFQYNNIYIVGFYYLNNDQDVILMEAILTQGSFNTTISNYAIIVNKQYQTGAALYIYK DSIYNYSIGTWNVTCLLNQKTSNQVNVSIFCKNEFSNGIYNISFKKPPFKKSSKRWIYTL ISIIGLLILYFYIKVKQRTKDLDYISSGIEL >CAK92265 pep:novel supercontig:GCA_000165425.1:CT868667:125494:125880:-1 gene:GSPATT00024997001 transcript:CAK92265 MDQLDQKQLEQLKQQQAQKEQMEEVKKSILTQILTPEAKQRLANIKLVKPEKAELIEVQL CQWAKQGKITNQLSEDELIKLLEAAEGQKKQETKVTFKRRKLSSDDEDDI >CAK92266 pep:novel supercontig:GCA_000165425.1:CT868667:125962:126648:1 gene:GSPATT00024998001 transcript:CAK92266 MATTMFQQNEANFKNKVEEFNDLYKQYALTQSKQLVFEKMKLVFVQAEQQFKFMEIEFGS FTDLHQKDFAQKMKSNKLIFVECQKKLRDLQSNLDKMPLSNVSSNSKKQAPSPIRGPNQD LHTQVKQLDTLDSRLLEAEDTQLTILDNIRNQKEKLLKAIEDTKEIQVGVKMTQRHATMI KNRQSYNKGILLSLICILTIGNILILYYKFMS >CAK92267 pep:novel supercontig:GCA_000165425.1:CT868667:127532:128626:-1 gene:GSPATT00024999001 transcript:CAK92267 MTSNRAVNNEFQITSQGSSSRIPNGQKQVQKDVSLNQVIFIANFKRHLINILILKEFGIF HQALLVVTSNRDDQLINSDKQYHIVEYQDDSKVKGYQINLKNKHNAHFLKDLNKFEDEEF IWEKISLKKQFDIDTLDLTVFQIMDRMQNIAYLRGSYNLLTNNCQDVANQLTEQLLLENI SNKNVVFLALTEKQSYYEEGESQSHSALLVVTNYSNNGSQPEDQKYYIIEYLKDGKIKAY QFYIKNQPQNLENLNQFNNGRNFWQTKAKICKFYIQQTVSNIIDQMNNINYDNVKNENNS YRIAKYTFDQMNQIQEQERQRQSSEFDCKEGLQKFWEILKIVIMVFAICQTYNFFIMTKT FLLS >CAK92268 pep:novel supercontig:GCA_000165425.1:CT868667:129965:132000:1 gene:GSPATT00025000001 transcript:CAK92268 MTEDIYPLFGWEDPVPNEFKEIFSKCTNESDSRKCSSTLEESLIVDEDYEIIGRSQNENS ICPLCYQNVQQGNTQLYSNLLSQYFDVHTKCALTCKHKTAQLALLTDQIKHAQIMERVPV DYDLLDLNVKVFIEFRIFHTIAQQPYLNLTHSTTLKQKKNASILIDYANLREYFGKRQKH LVPLIQGRIKIDVHIMDSNLMQEAKNVHSYEFYYNASKVSSDYICIGYDDICISMQREDE HNQPLLCLKSLKINLSPDITKCLTKQSPKSSPIVDSFLFSPKTRNFTPQVGPTQQRVRFQ SFQLEEQTQPDEWTEDLQSFEQIRGKIVNFAKTQHGSRLIQKHFTTCTQIELDQLLQEIG SNIGDLMIDPYANYMFGSLSQSCAPHQRLYILQTISNRLVDIACDKKGTHAIQSLVSLIS SKQEEEMVENSIKNHIINLTLDSQGTHLIKKIIARFSEDRLNLIFSKLMEKFIQVVNHQF GLCVLKDLITKFKNNPEKCTFILIKMRDQLDDIVQDPFGNYGVQHAIDVYGDLKCTPIID KILQKLVQLSIHKYSSNVVEKCILETSAKTQKRFIKQLSQDVICLELMKNKFGTFVLQKA LQEAEKLGEGEQLQQALQRNLPSIYAQSIRQKWTDYLTKK >CAK92269 pep:novel supercontig:GCA_000165425.1:CT868667:132095:134678:1 gene:GSPATT00025001001 transcript:CAK92269 MKIIIRYCSQWGNMSTKTCTVNPNIKVAQLKQQIAQLTDIREEDQTLILNEHTLEDDRHL FSYNPEDCQFIYVNRENQAVEEEAPETEVLEVQKGYNRMKGYEKLGIVHEDSEEEDDTRK KSVGMHKSQMEIAAEFIKLVKCNDIPAVKAMVSNHKSPSFDIINDRQYCGFSGFHYANQQ GHEELVVYLIEEGADINFCTKDRQSPLQLALKNSKIECAKLLLSKQVCDVNYCSQGKSAL QLAVQKGELDIVELILKHPNFDAQAVDLSEIKGDQHATQLVTYHCQQKLNKAQELVKPKA QKGYIKKVNVMKFFHYKRYLTLDPEAGTLCRYKTESDCPLNPIETIALSTIVTVWNPKRE WYMKSDHEYLSVSFKKSGVEILLFCSKSKTTILSWKKSLEDSILYYKETERKIAQLSIQG DIMALNQYIGNGGGGQFNLIADSRCQSLNENAAQHLKVEAQQVQEQMQQQQQQLQQQQQQ QQLSPVRTLSPVEGNHGNESHKQYLIHYSIIKQVGQGAFGKVFLVKYNPTNQVYAMKQLN KRKLLQKKQVKFAVTECDILKQVDSPYIVNLFQSFQTLNNLYLVMDYCGGGDLSFHLYKH KTFNEATCKIIIRQLMKAVEYLHSKDIIYRDLKPENVLIDNESKIKLVDFGLSKQTEIGK ARTFCGSPAYLAPEVLSQKGAVQATDVYGIGTVLYELLIGEPPYFSEDLDTLYNNIRNDN LEIPTRLSKPCQSLLQSLLQKEANKRIGCKIPSQVNWNIIKQHEWLDWDNPQEKVNFGLT QENLASVVKNYTHNLGDTDYSEENYKLNRIVGWTFARVV >CAK92270 pep:novel supercontig:GCA_000165425.1:CT868667:134684:135193:-1 gene:GSPATT00025002001 transcript:CAK92270 MDNFLIEGKTDETYSLRKLLKYYGYLFVTSMFVSFLGSIIYIVLLVELYTTCSAQTGQQV AITQLISYILNGVFSYACLHLVSQSKTICYVNFSVILTLISKVIALVFAAILKNRNWGEC YITQAQGVMLITNISIEFCILLVLYYFTRNLYFRLEEKQLRVSLKLLFE >CAK92271 pep:novel supercontig:GCA_000165425.1:CT868667:135207:135647:-1 gene:GSPATT00025003001 transcript:CAK92271 MNKQSTFGKADRFPKLQIYSENFVVGDPTLIQQIKCGGPSFPRSQRLIFAHHIQTPGPGN YNIADFKSIKQQADKLYRVNREFMDYMKSRPRSVQQKNPGPGTYELGSTLRSRGISFTKA RVSSFQIEQSPGPGQYTISRPISRVQ >CAK92272 pep:novel supercontig:GCA_000165425.1:CT868667:135801:137141:1 gene:GSPATT00025004001 transcript:CAK92272 MSRKNSEDDGIIQFDEDDNQQDNKNKMSAKQQIKTRKESDDDEIIHEPGMQQINQQEQEL NNLRLNRPKTSGQEMKIKQSDQQQENQFEQIQQRREHQSEESSNNTEERDEHNQSVVNFQ SEAGEQVEVEDGDQQVQPRSLPLQRLDDNDQQPQSQEIQLQQQQPPQSSNNQRQVSVNPF PQKLEIDYVREQMKGQDKGRQFLTTPLKENRTLQCYIKRDKSGLARLYPVYHVYTTDGDV YLFSAKKVVMNTSSNYVISMDKKEFSTRKPCFLGKVRSNFLGTEFILWDEGKNFKKCKDT TLIRNQLGVVYYESHIMQNKCPRKMKVLIPKVGEMNIPKMFQPLHDKEGIQYDYQYNKRE FIEEFVNKPPRWDTKMKAHVLNFYGRVDQPSVKNFQLVKPDNHQFIYLQFGRVDDLLFNV DFCFPLSPLQAFQICVTSFDFKFACE >CAK92273 pep:novel supercontig:GCA_000165425.1:CT868667:137662:138300:1 gene:GSPATT00025005001 transcript:CAK92273 MSDLCQNCKHRQLKSKMTGKKFSMHILNQIQQLRSPRNIETPFINVIDYLQKIHRKKEDD QVQEQESEKQLQYSRIPTHVSKQKTDVQVEDATTTTSRQILFPVSQFISRGASATKIKSS AFYKMRQMSLGSRDNKRRRNIEVGPMFNQVSKYVHPMRPQTVRSFNSKMDDIFRSTQTTT RQELIRHSKSEMNLRLPVSNKLSEVQSLMRRE >CAK92274 pep:novel supercontig:GCA_000165425.1:CT868667:138300:139285:-1 gene:GSPATT00025006001 transcript:CAK92274 MSTNMFNVLNISDDEDSKTQQKTQQQQSKKNKQKREQEQVIPVELVKKESTQHHNPAPKQ KGLTADPHPKDRHSGTGIGKELRKEGGGRRNWGNYKDDLKQEKYQGAIEGKETPQEQNTE QQQAEEQQPQENVPQAPPEKTLADYYQARGVNVEEVLKKQQQKAQPVVKIDEEALKKEKL QVMRTREDEKREKEQKATKKSSGQQQGYRSEMSTEGQQYFGFTNDTRRNDRNDRNDRNDR NDRKDQNNKGQKKQQQPNNGVQFDDKDFPSL >CAK92275 pep:novel supercontig:GCA_000165425.1:CT868667:140538:141568:-1 gene:GSPATT00025007001 transcript:CAK92275 MSPQQRHENAQILQSPQNRQPYLLSPQHQVQSPQIQFQSPNTRDQKQQHYFSQVQAPQQP KHIAHSSSFVQPTLVQQSSQKVVNYTNTFVLQEELKTLQQQSVKMTQEIQKLESEAQRVQ SAQLIKELDDKIKLLNQMNKQLQVDNAELMRVPDVMALRDLIVKYQNDRKMAYNQLAAMK NEIQNYKVRCEELEAKIKIDTSQELNDLVQELENKVQTLILENDRLNLQLKNSSSLANTI QKQKQENDLVKEKLIKSRKEEEALKQSYQKEEIKLKLYEECNDKLKLLQDENQRLQGIIN ESELTQNDLKSLQDKILVIRQDNERMRKQLKNRQ >CAK92276 pep:novel supercontig:GCA_000165425.1:CT868667:141664:141960:-1 gene:GSPATT00025008001 transcript:CAK92276 MLQYFKKLSQQMIIYIEPKLLSFLLIKIWLLVMQQFQVSKNKPIANPTQLYNQFQVRSPF TNVENKGLVQKTASDMEIVNKPVVLHQATDTSINSECI >CAK92277 pep:novel supercontig:GCA_000165425.1:CT868667:141967:142413:1 gene:GSPATT00025009001 transcript:CAK92277 MNPDIYKKVCNQFQLSERLFIVRIQTEDLCLHYDFKLTLLNVLVMSQAIQPSSKIDFISD QAGQNSPFIIQLRISFKSSILGTSQTLNTFSRFTNPNPVNVAYKLLIACLISPSDVNINA SNPSFVQVILSYLQSPTLFLLSHSHPTD >CAK92278 pep:novel supercontig:GCA_000165425.1:CT868667:142423:142886:-1 gene:GSPATT00025010001 transcript:CAK92278 MENNLIWLEKYRPKTLDEVHGNSDLLINQEQQQKWEIFLPSYWLALLEQGRQVVQILGDS IKESVLELNASDDRGIETVREQIKGFAQKKVNLQEGQHKIVILDEADSLTEGAQQALRMF ISDYSNSTRFVLSCNDSTKLIDAIQSRC >CAK92279 pep:novel supercontig:GCA_000165425.1:CT868667:143452:144441:1 gene:GSPATT00025011001 transcript:CAK92279 MILPTSILSVLLGSIITSQTMLNQFQEWKTEFNKNYQSKYEEIYRFQIYIANLEIIQTHN SNNNYSYTLGENQFMDLTNDEFLEIYASKDAQEQTPFSNKNSDIILTHKTGKKVVLYDWS DYCMSPKDQGNCGAGWAFATAEIMECYFIIDSGQAYFKKFSQQQLIDCVDGNYGCETRSM NDIINAFEYANEDHISELDYYPQTGRQDACKGIRGQHTYALTQFETIQRDIYTLIDSLSN GPVVAGFDISGWQFYKDGIYDCFLDKKPNHHAIIIKVLALHDEQDKDYIEIQNSWGQNWG RKGLIKYSLKYDCNAFDRPIYRSFKIQVH >CAK92280 pep:novel supercontig:GCA_000165425.1:CT868667:145374:147125:-1 gene:GSPATT00025012001 transcript:CAK92280 MVDQNKQKLNTTIFGMINEEILKVNKWKKDIQEQKNYTISIYDSLIETIDEWVISIKDQQ FSQENKKFLEVLDDMSDNQEGWIKYNVYQIVSSIILIDNITQKKLQTQFDELNAILNKFA MNNQFNEIFSQNLNIFWNSYKIAEQFRKNQLNDFENIVCKSHNLPISSFNIQKNFPLEQR VYCKKCKGKGESIEELKKVLDQYFIDQNRQLQKNSQKFIKVIQKHWLSLIKDLQFSFFSQ LNNLTFQLQNQEQKSILDFICKVQRSECSNLSNYQNLCQMLSEKDQSIPECQIGDQQLRI LVFVKDLVSKLQNSIKIIQEKHLFIQKSKDNQPKIADLQIQREIYWKKLPLNTIWNDINV KPNCALVTNLDSLLLKGVNNCIEIHHSINQRLAKKILFLQPKQFVTCFADCQIANCFIAG YNDGKLQYWKILKPQMNFYQNIENIQNFHFESPQYKNHTDQINFIILEQSKNNNTKYLFT ASSDGLINYWELQLTNNRMSFQYSLKKHEKSVMALALNQKDDILVSCGQDNKIVIWGKRQ NQTSYLKSLCQRFKFRSSINIHNFILISTFHPSSQV >CAK92281 pep:novel supercontig:GCA_000165425.1:CT868667:148482:150747:1 gene:GSPATT00025013001 transcript:CAK92281 MKSKQYFSQTQDSDEYKAQIKLLEEMNEKLTQENCDLIREITHYQIQLREKDSYIEQLGI ENRTLKFELKLALKKEDLYSTVTLDRYKKSFSTIQPQRKRIFETKMTTLHMNYLSPKKCG NSKEDFISPEAGEFGKSMDNGLNQVEGQDAKEINNDNLSQSNQNYSKLGLEISKGEGSNS TPQQLLQEPIVIKQDLSQSQQLKQNQYSLFEEFLIISISKSTLNGLTNDIFEAGEANLMP EILFQHSSADSCYKEQLLLLAQQIHPYGLKVRLEQKTQSMSNLNSILMGGQNYDRLAGAY VITMQSEQTFNKSVVLNNKTQVNLDNICDLISITNINHQLYCVCVDVPDFYDCNVGNNTT KLKKKYFYSLSKTYCFITKAPIIDFFIETIKIIISTLKFKKAEIYSMVSEFEETLGDIDN YFYTSFKLELYNFLTELQYSKLISKCNYKFLQTPLQFQHIHSSNVENYEIEWAISYAFLN MELPHYLILLINMMLEQHICIISSNRTLLSSLLMILPHMIKPFQHIQPTIHVLTAQLMPI LDSPVPIICGIVKDSEKGLQNLGIEDIDSFCEEQSQILFFDANKLKFYNLSANLTECKIY TTLFDKLFKTYQQIRQLSVPGHYLADSNDTTMNLSFQILDDTKTYIQDNLLPLIPNDDGE INLNQILDSILSSTQDQILKQITQTQYFSYYIQQKFKL >CAK92282 pep:novel supercontig:GCA_000165425.1:CT868667:150814:151394:-1 gene:GSPATT00025014001 transcript:CAK92282 MQVDEYSKITSNSNGMNITLRDLLDQPIQTKTAKVIEVFINEGDYVYNCYIALKISYQGE LMELYNRWPGRIDEVFIKENDLIGLDSILYNIKSEFRQDLQVEFIQYGFTHKRNQNIRIN IIKNKEDPTSEQGDKIPLDPKIILGHKDNIFRVEMNDGKTNDVNILVMKTKYPQLVVQYL ENKL >CAK92283 pep:novel supercontig:GCA_000165425.1:CT868667:151749:152712:-1 gene:GSPATT00025015001 transcript:CAK92283 MKQYEWDLKCISTRSAQKQISENWFRTPKATHRTQTLQSDNKIQSRKTLETKLTTQPCTS FKEEFQKISSKIGSFVTPTSEFQSPMQQIYEHQVQELKTIDMQNENVSLQQENLTLKQQV QIQKDQIKHKDMVIKKLNFTIQQLTSYNQQLKLSLGSDTDLAKQKAQIKMLENELADKTN QIQQFSKIQDEIKSLEDFYVKMLSKMKSDLLFQNRELLKLLNHVQCMSQIAILSLQHEEL PIDLLFKYKQYGLFEEEQQINQKVEVFELTKENGNLLSKILDDIKKTFEKISYHLVEGFS LLTKH >CAK92284 pep:novel supercontig:GCA_000165425.1:CT868667:152789:154089:1 gene:GSPATT00025016001 transcript:CAK92284 MSSIQRQPRSRIFESDSQRPSDRPITPSQQQSTNYKIRQLTHNTQLTTPIVQCHYHPDQF IQNFCKHLDCLLPLCPACVNIHQEDHNNQQYAPTFDHLSTCLAEQQNKIVDVCNLLADDI QNVSELKIIINDHHKTQINKFLEAKEKLYQAIDNYLSTLENTLNTQSQKQLDYLLNQVNQ FHRLSYERWNHLQTRLQKLSSEKCLKTLIKCYKQSKTEDIYSQQHEMSLQFTDQIKAQLK QIQIKPAQLQNIMEQLNLYIQIVTEQQNQPSPQQIRKSYRPSSQQHAVSASSIDARIKGY PIQQRYVIPRNKVELSIPQIQQSFLPQSQGGINYFNQAIIPLNVQQTQQLNNIPPLPFRP SVSVKQIINQFPQKNPFLPLMQPQENKKGELIDLKSSRHLLRTNEQYQNRIFNENDFSPK LNMT >CAK92285 pep:novel supercontig:GCA_000165425.1:CT868667:154120:155809:1 gene:GSPATT00025017001 transcript:CAK92285 MEDQVTRFKNKYLVNRSNTKRMTIRKPQLDHSPDNWKEMFGTFLHDISLKKKHQREKTMN HLQDPLVQENPLLIPCTTQRFKLELFKYLEGEQSVQEMMNSINFPGLKQEISPDQTNIKH HVQKLRNFRNHHSVPIYDNSIHTMQMKQQHLMNEGKFTEAQQIQQQLHEQTQTKIQVLRA FIQERIELRKKANQQQRLEEIKNIHFIKTFYDISNEYRLKHQQQQEQLEEDSHYFKKSVP GSKKLKQIYVNYDNVQPYVKHQGKHFDKYSYPSIAILKDTMNQQSKEYLQEVEQQKQKRF EDALKQVRKLLESQNIRFSSNRHNNNKSQPLDWQIDVTIASPKRNPSEKINVSQTGIDYK NLKDYVIAKKPFFLFTKRAKQDKNPRNAHGLITMFDLKLYDYIHNKKIYTSNPQLKQISD KFHTMIESKKEQEINAQLEIQIEQRKYQLNKMKRDSAPEQGQNEVSQYSDDQSLQSIYEV NLDMLYNQSHQLHKKLGESIDGGFAKRIKQIIKLEEVNQQCLKTNLEKLTKQKISL >CAK92286 pep:novel supercontig:GCA_000165425.1:CT868667:156020:156613:1 gene:GSPATT00025018001 transcript:CAK92286 MSFFIVFQSIKLAIALILQNYSVVYPIIGMVGSSAVTKLFNIKKEYHQPALIMFINISYT IYILFFDPHSYVPTMYFRGAHQMMINVINIIGSEFIDSTLSLIVLYFLRLLHLIQNSESV EFTSILLAIGSNLSLLLIVYLYHKAIRSQFLLTQVDQRWKKVQSRFQMIQNLYQQIVKLK NFNTKMSHQHFHKQFIK >CAK92287 pep:novel supercontig:GCA_000165425.1:CT868667:156936:157354:1 gene:GSPATT00025019001 transcript:CAK92287 MEGRIQLQNNMFKQIHNQNKKVLQSQHQNFTGQSKFCFKYYCQTSELVIIKGETLETKSN RIRLQGKFNIRKLNYYTIKIKYQLQLICKEVNAEQFCIYLELNDEILSPFTNVIMPQFYF GYIKDNNVIQ >CAK92288 pep:novel supercontig:GCA_000165425.1:CT868667:157604:158738:1 gene:GSPATT00025020001 transcript:CAK92288 MSNIKFVYSKKVHKIPQKNATNLQSVIETIKSIYNQLNTVYLYAIINPDETDLVAEIRTD TEFCQLKTLYIRNQWPSIKLLVTETQDYKSILKDSWGLLNQSMAIVEKQSRDACTLSQPI QIDQSQQIAPSSGHQGTQVQVHQQDNAQNTNQVDFRQNLQLKQFIADIVDKRIRDLGLIN KDQEYNFTLTSKIPLLIGINGKKMTTQITMQNSGKSQWLNAALTNAELGIHYQINNVSCG ECISVTIDLPYIPEHFEDEKERVYKFYIICENKKYQMQKLSDPISIRVQASDIDHIVKQL QDLFPQKKREDLIKYINEKGINKEFDQYVDMLLQEY >CAK92289 pep:novel supercontig:GCA_000165425.1:CT868667:158756:159364:-1 gene:GSPATT00025021001 transcript:CAK92289 MSFKTGDERHDFQVKCPYNPSHQMPNSKLFYHISQGCQDKARLEHLYEHCPYNFMHVILK ANMDAHLRQCANLNKNTEEGNLMEEMQKVIKEQKKEQRKAQQQQKQQQQQNTQIPGVIVP EYTKKKKRVRNKKKKEEPKTENKDGMPVWGESSDDEKNEVQDVIEQFAQTQVTEQKQQDS DDEWDEVPIKQKGRNGKTREKE >CAK92290 pep:novel supercontig:GCA_000165425.1:CT868667:159433:159753:1 gene:GSPATT00025022001 transcript:CAK92290 MDNLILHLKNLFIQYEVKIDEQEFNNLESEMNKVLIQHKERSPFKDITNSEKQAETQLEA YGETIIKRIESVRKQPINNILQEEGFPRSSQSIENNQRQHLPYHSQ >CAK92291 pep:novel supercontig:GCA_000165425.1:CT868667:160528:160896:1 gene:GSPATT00025023001 transcript:CAK92291 MAKKNFGNIKKINHIQMIGPKNIFEIPISEYKNKSNEDLECLDDYSSKSLPKDELQTAKF NYHNSTNNIYPKKKVRFNMDIVLCKFSNNEPALSISKQTKKLISSSPNLKWVNPTFFNLK NQ >CAK92292 pep:novel supercontig:GCA_000165425.1:CT868667:161823:162095:-1 gene:GSPATT00025024001 transcript:CAK92292 MGCSESTHYKSSLQMSRDSTLDTCRTSPNKTKPKEDFLDSYNFEDFDTSELNIDQSCFHF YDIILQRVVTVPKLKSISMSTIISRRRDQI >CAK92293 pep:novel supercontig:GCA_000165425.1:CT868667:162466:163445:1 gene:GSPATT00025025001 transcript:CAK92293 MLFKQNCSLFRKLLSQKCLMMPGAYNGMVGRQCADNGFEALYISGAAVTASSGVPDIGMV TLDGFCKTIKDVALASGLPILADADTGFGEGEMCSKTVWEYFIHGASGLHIEDQVFPKRC GHLDGKELVPSDVMEKKIQIAKNASIQCSGGEFVICARTDARGTHGLDECIKRSKAYMEA GADMIFPEGLHTKEEMAIVAKELKAKNPNIYLLANMTEFGKTPYISLKEFEQMGYNCVIY PVSTLRIASKAIDEFLKQLKKDESQVNSVQNMQTRKELYSTLGYTPGKEWYFPNSKKQ >CAK92294 pep:novel supercontig:GCA_000165425.1:CT868667:163457:163945:-1 gene:GSPATT00025026001 transcript:CAK92294 MGNVCEPREELEWNDRTIQLQRRLEPRMSISSPYYAKLKQIESTQNCQSQSAQEKQILNL VDIRQQIVQEMLNHVETCGHQELVSAQIMTETHNFSSFNWINDSNSHYESWNPQDCHTLQ ETTCERGIHLNECMRLCCLNLEAFKYTEQGSDYIYVAFIMKY >CAK92295 pep:novel supercontig:GCA_000165425.1:CT868667:163970:166714:-1 gene:GSPATT00025027001 transcript:CAK92295 MQPQQFLDLVQCAFFESSTQRSSAEQVLLKYKDECPDEFMIYCASAFSNRGIQNRIRVAC STLVKRLVGLIHPPSNQIIWLACSLQTKSDVKLKFMKQLIDPENEIRRSAANTISEISAI ELPRQEWPDLIERLTTNSKHTDILIKVSAIMTLGYICEALKTHQSSGISEKDSKVILMGI CVGMDLNEQTLEIRLTAIKALQDSLYFMNDMFKYQEIFSFVKNLIFKNAVCNIQEIKHRA LQCLIDFVKQLFTFLPVFINELFQTTQASFENQGEISIAAIEIWNTICAEMKEEITQNGN QKTPESNAVDCCVQFFKQNYEGFLLPFMRNLLLDSGDVDDEYQGLSVPDSSCKGLAFIIE FAGVNTYDLVKNFIQNTITQQQWEYRKASVMAFGALAEVQTKEIEMLIKTALSSLFTCLV DQHYKVKKATAQTLSRVAENYPQCFHEHDQANQMLATLLDQLNNKISIVQHLIWVFVYLT EQLQQFSMSIFSREKSKILQHLASTSVRADIKNSEIALIDTAFMAILNIIYSVTDTKLCN DYLIQFFQQIQLLESGSQVPVEIKFHLEMGLMSAMHGCVVRLDDSTTPESVFESIMKTLS NVDSRVKNDYFYVLSGIAYAFKKKLSKYSSQLIAELNKPLSEPDDMDSFKTALFCLADIA RAMEEEFVPYMNILKYFFGLIQNPNFNRELKLQVYNAIADIILGLKEKSFQFLGDLKEIL KLGFAASMDLTKSQQNVDQDYAERLKETMTSFYTCILHAYCEPNVPNFDLRDTVDWFIIF CTEMCSLKLKPTIEYVRLTLCCIFDCSHFFQTVPETKIRLKDFITSDFVIELIRKMSQFN DKDYQECVSFAKQLLNDVYGFQLKLY >CAK92296 pep:novel supercontig:GCA_000165425.1:CT868667:166775:167146:-1 gene:GSPATT00025028001 transcript:CAK92296 MNLIPNERRAIEQLREVSELEPTLLNWGKEIRLFNRNPNRKRKSTTRDGELRSRLPQLNK VPLRMPLQEISLNKEISTPNQSYLPPLIKPVKPHTAKPNYNGIVLGVNFAHFERRILSKI NQP >CAK92297 pep:novel supercontig:GCA_000165425.1:CT868667:167262:167545:1 gene:GSPATT00025029001 transcript:CAK92297 MHLVSARFFTITSIYIYQIILNVSIVQIDEEDRQLLARRFARQRFDWYCVQRIEFGIRNT CGNKASVKSHTERRSVYNFYNKKSIY >CAK92298 pep:novel supercontig:GCA_000165425.1:CT868667:167548:169992:1 gene:GSPATT00025030001 transcript:CAK92298 MLKHENIVKYIDCIETDQFLNIILEYIESGSLASILKKFGSFPESLVAIYVKQVLKGLEY LHQQGIVHRDIKGANILTTKDGTVKLADFGVATTLSEDTTQSNNIVGTPYWMAPEVIEMS GHLSTSCDIWSLGCTVIELLTGNPPYFDRLQYAAMFQIVQRDCPPLPEGISNECRDFLIQ CFQKDPTLRDDATTMLKHQWITKSWHIVQGPNLPEEINPSFGTFITDSFIEQVPQLVQCQ SPRKIDKSSAVRDSQLDSLRQLKLRTSHQIKQNGFWGQNEDGKSMREINELITQLTEQSD NSQIIKILEQLKDTIPNDKCKEYFIHTGLTSLLEIIEKYMPLYCENNSQDQSLQILKLIF ELINIVVDQNPQLLELSCYLGAATFYQQITSSEFHKELRVEAAYFLGQLTYFKEGYLLSI GGLDNLLELIDTSDISNNIDLIGLALDTIILLYDLNTISQRNLSRLLTSKFITYRLVLIA EQIQNIDVNLMIKSLNILVILAKCDDKIVKQSLCEKEVITRLATFLNQNQEQSLIKTIKI FRYLSTEPNLQPHLDGFAIIPRALSLLKKDLPNQGPMIADILRIIFYLTKLNHDKQEELC LYEGVPLLLELFKLPSDLENQISMKKAAISILCSLATSSERCKLKLQENGGISIYVGLLT TNLNITKLLDTIVKLIELDQTQRIPLSKNIDILVAYFKNNTVPMYPTLVKVLPKEFGLSL LFRKQLLELLIQKDQSQLNLKHLLQILYYLLESDSIKIKDLFRNTILQQLIEQEEHGLAV QGIVKQIKELILLKQ >CAK92299 pep:novel supercontig:GCA_000165425.1:CT868667:169993:170316:-1 gene:GSPATT00025031001 transcript:CAK92299 MDLEDNDFLADGDNRNVRSRNKNNNNKRNNKVETLQRDQQKQKRQEQQHKDEDWSDEEEK KNNAKRLEQQEKKLKQVQKQLDYKKDEEEMQPKLKGKEKRQAHVHDL >CAK92300 pep:novel supercontig:GCA_000165425.1:CT868667:171404:171649:-1 gene:GSPATT00025032001 transcript:CAK92300 MGCVIASEKVSMQKTMMMESTFNKEQREKEQRIKAHLILDEYRQTKTIRKQIKLQSDVKD ALQEQLTKNKTVTPQKSSIAN >CAK92301 pep:novel supercontig:GCA_000165425.1:CT868667:172096:173586:-1 gene:GSPATT00025034001 transcript:CAK92301 MKLSLTLFTLLISIYCAVHREYYDILGVSQNASVQDIKKAYRKLSQQYHPDRNQGDPDAN EKFSKINVAYEVLSDPEQRKKYDKGGVDGLNSQGMQHHDPFDIFGSFFGREQQGERKGPE LKVKVRVTLEDIYNGKEIPVYLTKQILCPHCRGSGADDPDLVETCPTCKGVGSVQKRQQV GFGFFQTFQATCERCYGTGKIIKKKCHLCKGDKIIPGADNISLYIEKGIQDKQTIKYENM ADERNDSGTSDLIFQIEQIPHAFFQRQGTDLRCKVEITLKEALLGFKKKIKHLDNHFVRI DKEGITKPGEVQIIKGEGMPQHEFSSQHGDLYVEYKVVIPDFNGEQLRCKYKLLSLRMVK VLLMNIIQMYKFTCFCLFMWLHSKSFSSIFYQIVIENEPECVNLQDIPILYVNSIALQFK DEQMTEFKVIEFALIILSMLISMICGARYLM >CAK92302 pep:novel supercontig:GCA_000165425.1:CT868667:173756:175082:-1 gene:GSPATT00025035001 transcript:CAK92302 MKQAPKLSDANSPNNKLINILLSKQKSPSQYTIQSPAVSPTQAHMPKGQYQSKNTSIDLS KLTTLMKQQKVKSPSEGQKDSMTQLLAKARAIATSAKTPTGPIHIRNDSAKVRKENKEPQ VVSPKVSDTKQMGKASFTFEYVIGIGGFGKVWKVKKIGQQYAMKEMSKALVITKKSVNSV MNERMLLSQLKHTFLINMYYAFQDRENLYLVMDYMRGGDLRFHIGRMRRFNEEQTKFFVA SIFIGLEYLHTNNIIHRDIKPENLVLDEKGFVHITDLGIARVMKPENSSDTSGTPGYMAP EVMCRQNHTYAVDYYALGVIAYEFMLGRRPYVGRSRQEIRDQILARQVQIRASEVPPNWS AEAVDFVNRLIQRKPASRLGFNGGYEIKLHPWFKNFPWSKLQNREINCTIRSKSE >CAK92303 pep:novel supercontig:GCA_000165425.1:CT868667:175477:177081:-1 gene:GSPATT00025036001 transcript:CAK92303 MQSVPDQIIIACKEMGYGEMNIRKCWDLAKGDQVKFETYLWEGYVEPPVQKDDNLNVNIT NYLKKNGLLQTNYFQQIQKDSLQEHKLYQRTSRLRGKDQYVGLANIGNTCSINSILQYCH QIPQLFKLSIECQNGEKDMYHKFILNMQSLFLQLIASNLEYVNPQQALNTMCWDAQEQQY IGVQQDIVEIFNLILNKFDKSIRRLHLQNLMATNVQRVELFGNQQMNELFQIVFMNDNNQ KEYHPNLVATLNHKNIRTFLLKEFANKILELPKFLRISVNRINFRNRAIVKSNDEFIIDN LLNLEICMYNNNNANKKEQISILQNQINELQKDIQKFRQQHSCLVGVLKIYEDEGIFWDL IVYLKKKIDGLLSQIKIKEEQYKQQSSIINQQQSSSKFQYRIHSIVVHFGSAWEGHNYIY IYNFFLEKWMKYNDISVNLVSEHQVQNDSKTYGQLVTYVDQEMIPQLKAHYQFIQNVGNT VVQQPNRDLTKMAELSYIPQNILASVTEKNRQNLGNQCR >CAK92304 pep:novel supercontig:GCA_000165425.1:CT868667:177114:178957:-1 gene:GSPATT00025037001 transcript:CAK92304 MLDAQKKEHIKQLMEITNRSKLLIISILMYFQWDKEKSMDFILNAGEFLSEDLVPQNRRQ AAEILLKQEQEEEEEEELQMQVQSHGSMEGDYLQQNKVQTQSQLKSFIKNPEAIEQIDKF DWIQTKNKRINQIRDFLQQIENQEWENMTIIKERQSLLRDRKNEGQNDKLQLRIHKSAIG IKKNEGTGFFNCIYQLLFQNPELVESILNMPNLINKDDQNSQYINELQYLFCSFIFSNER FVKDSNLLVAANRLNKLIIIDGQINFAQQLENHMEIINKCLQDINKQKQISIFDNSFQSF AGTKKGGQKSIYLKFEQEDRLFHLSLLKQIENLQALQQQQPFKTYWAFQINRRDKEYQNG QNNNVDYWLSQKIDLEILFHSAKTDKFIQIFENKLNEETIKEIKKSQQIYNSLHVASSII SEKGVIQEETLQQLKVDKQDKEKYLSKYLPFNMNLIPREENQNSKYSYCLQATVIEIKEE NDHLFYIYIYNFHEEQWYRMCDFQVSIVSEDLVLNDTRKNGCFLVYVQQQQIQKLRGYQQ IISEIVRKVTINQGVISREILQGNPLLNGLNPSHLERIQNTNRANIKLLDDELNSEFNN >CAK92305 pep:novel supercontig:GCA_000165425.1:CT868667:179143:180056:1 gene:GSPATT00025038001 transcript:CAK92305 MSTFQERFKGKTTVMGRNGMESVLNTCQQPIKKDFEYQNRCPQIPQPLKQQVNVQPFPNQ IQYNHQQNHQILYQHNPYIQEQQIQDHLQYLSQQQYFQPSTNYQARQTATEPQKKNEQRS QSAKQQQQSVDDSQRYKPYTIKDFEMMKKTANAKLGGLGPNISGEEWAKEKEKLHKRQEF AEQVRLFNSTNIITIKNKETKLPEINARQKAIEFARNIPKPVAKKKDDIPMKSMPNKPVN NQGINRDPFDDEIARLEKEHIKYLNQLDKMK >CAK92306 pep:novel supercontig:GCA_000165425.1:CT868667:180220:182362:-1 gene:GSPATT00025039001 transcript:CAK92306 MQQQSIHQQKIVEFTNQGEYVQLEDYIRVNQTAQMNFSQALVECIYYTRDSEEHLQCIQQ ILKQQIDFNYKDNQGNTALIQAAKSGKISILNEIIKYKEKFEKKQFKLALDIAIQSEQDN WDIVETLLQLTSLEKPQHLIKSLRKGNFKTAQKIIEQYGASGQDENGDTALHVASRLGNL QIIKSICQKENLYKKKNQQHQTPLDVAYNQETRNQLIEEEIQFQKSPNRKRKQEELVENN QNSQKSSKYEDCCEIFHKPPKVFNDQAIQTENKEKKDSESQIPEHNYINQPFYDQLISET IVTLPQHRTTLDDIVKQLSFEINTFSQELNKLLEEQRPIIDKIVQMVDEIVQTVSSKSRA FLYGSCYTGLNLLDSDIDIVIETNEQERISLYKIAEQFKIQGFIKDVKVIDNARKPVLKM QCSQEFQNKLIDITISKNDHSGRKTANSMIEFQKEFKQFKSLALILKFYFKSINLLNAYQ GGLNSYCILTMILALLQIKRVRDTDNEEIGKTFLDFFDLYSQDIDYFNKIINIVPSQSEN MQVDEPNIYQQQFPQFDQGQQELVILDLHNKGNNIASSTFKIKHIKNALSLGYSAILNSQ KCEEPCFFSRYNKPVCCILKQIIQQSKNHHLTGVFKSKQPFYFFNYNNTY >CAK92307 pep:novel supercontig:GCA_000165425.1:CT868667:182488:183177:-1 gene:GSPATT00025040001 transcript:CAK92307 MKQVSSTTDYSILRQIQIHHSHTQPKESDITLGRTTSYESSQFCRNFNIMAFRRASTKED INSAMQGKNQAYAQETIAISNLNFIKQSQFHLHLSPSSQIEMNQLQTKVWKSLQKQMLQK VYSIDIIVLLQNQNEYEFKFDISKLSCFLMVKELSNLISQAYWDRINKTNQKRKFLHPYL SILVGRVKTQKLDGNMRLFELIHILLNGQKTLILQESPQPL >CAK92308 pep:novel supercontig:GCA_000165425.1:CT868667:183193:183999:1 gene:GSPATT00025041001 transcript:CAK92308 MFQISIAFSICSQYECQGVLDQLCLIISKSIFDQENTYENKYLQNIKQMSNIKFVYQRKV HKLPAKVQNYQEIVETIKTIYPQLKEVHLFTIINPSRDLNLFLDHPIEIEEINCDFGLTF LKKLYKQMRWPTIKLLLLENEKDENQIKNSIDLLNQSTIILDQSNYQSTKPKTEQEIENL KAREQQKQVNDYKKDEKLKQTLIEIIDERLRYHKLLNSNTQETDMIEKAKQLSQIFPYQP QDQLLEFVKHQGSNLSLDKLASLLTQNQ >CAK92309 pep:novel supercontig:GCA_000165425.1:CT868667:184095:184252:1 gene:GSPATT00025043001 transcript:CAK92309 MKKRLIKANKQNRPLPNWFRYRTDNTIRYNSKRRHWRRTKLNIN >CAK92310 pep:novel supercontig:GCA_000165425.1:CT868667:184256:186940:1 gene:GSPATT00025044001 transcript:CAK92310 MINIITCTNQHQYSFYSNSLFIFICIENQIYKQPNRFVNFTISIFYAISVLQLSNLAIES IALYYPILELFISICFYHSVFDLLIQIYSYAQYLLSVIKTHFDEGVKNIFLGSNKQLYLL XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXHHAKKPSYAENIIYHKSNVSNSQVYEHSYQLKSKVI PKKVESKSMLSSTQMSFKLKLASKYNSANSVNSINSQSRSEQILNSISESAPNSPKKEKQ SHGRKSELNDKTQLVAQQVESVPPEIVGRQHFKFLYVIGKGGFGRVWKVEMKKNKKMYAL KEMAKAKIIQKRSVNSVLNEKYLLEHLHHPFLVNMSYAFQDRENLYLIIDLLTGGDLRFH LGKMRKFSEAQTKFFVACILLSLTYLHQHGIIHRDLKPENLVLEEDGYMRLTDLGIARIN KGNNAGDTSGTPGYMAPEVMCRMDHSIVADYYALGVITYELMLGRRPYNGRTRQDIREQI LAKQVQVRREEMPNYWSESAMDFVNTLIQRKPERRLGANGIEEILHHPWLKGFPWDDLLK KKLTALYIPGAVDNNFDFQNQISEETQLNDEQQLENQVMLRRDTVQALFDGYQYDVDLSK LQHQQTQKNTQRRLQQSPDKK >CAK92311 pep:novel supercontig:GCA_000165425.1:CT868667:186951:188274:-1 gene:GSPATT00025045001 transcript:CAK92311 MKSVTGVLVILLLSQSVLCSPKKINTDFLNLLSSKTNVMSSQDAIQSVLTLLEDLQGANV EAQDKADLTFQRFENAILQDINEFSGIVNVNSKSAAAASQDLEAVDLKIQQTTDYLNWNN KRYKANELKLENLAEQRCEANALFIDTLREYKNALSVLDWVRSDAQSKQTNLVEKSHVGD YAEKLSKYANLFEEQAVQDFVKLGDDEASFSQTRQRGNGEQLVQLMTKDVVGVIQQLIEK LRDTIKGLEEQEIQSANDFADFKTNLLAEQESLKQEYDAKAKFLNSLQNDKELASDILTK KKELQDQSMRILSLTQEEYNYKKKLYNSEKDKRHEENQLLEESLLIYREKIATVNEYLKK RVNEYVGDSLIEEHAVSKQQSVSARKGQ >CAK92312 pep:novel supercontig:GCA_000165425.1:CT868667:188325:189698:-1 gene:GSPATT00025046001 transcript:CAK92312 MNFFRELVSGQKVRFINGQYNLDLTYITNRVIAMSFPASGFESTFRNNIDDVVKFLQEHH GDKYMLYNLSNRKYNYEKFGENRILEFAWEDHHSPPIDMLFDVCKKVDQFLNEDINHVAI IHCQAGKGRTGTLICCYMLYSGRASNPDEARLYYSKKRFNKAKSGVTQPSQIRYIEYFNQ IFKSPDYCAQLKRLSAITFVGPSPKMSGNTSKPYVDIYTVKDMRKIYSGLSEAQMKNYEY KGNELVLQLNPVIYGDILIKFYHYGSLRQKFMFRLAFNTCMIDESNQLLFYLQNLDPDST FKNKKFSKEFQVRLQFQDVCEVCNQRQQFQQKCQNCQQEMQSEFNSWSLVYQIMNEYPKY KLIQSKILLFGDPQDDDFHETIAKLQSGDQVESDDDSESDQDSDGEQKEQRLQQQ >CAK92313 pep:novel supercontig:GCA_000165425.1:CT868667:189756:190249:1 gene:GSPATT00025047001 transcript:CAK92313 MYISLSSQNKTWWTHTSLVQSETHQKVSNVINGVNSFQNKASLISTYLSLEAVNRIPVAK KLAIYFKAAIVGATFFGSRIAAGSFYERSTQSEIGKLLDGAPIWENKFDVPELDKKFFFI DDDNNFEPSLWHHGMYEIINCKKKQFDREAQGILQA >CAK92314 pep:novel supercontig:GCA_000165425.1:CT868667:190287:190895:1 gene:GSPATT00025048001 transcript:CAK92314 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSIVDQIVNLAKKGLNGSQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDITSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK92315 pep:novel supercontig:GCA_000165425.1:CT868667:190899:191533:-1 gene:GSPATT00025049001 transcript:CAK92315 MDFEHSDQFTQRVELIIRNFLHIPDEGEHNWKEINQKLDYLQSQLVDVKLSILQYLKQSF EAIREQLQCDLEAIQIEFQSKNLQQIPLVKTGEMMVNERIDQIGLNVQEIEKSLVNKIDQ LSNKVGTIKMNRDELQEQIKSFDGIDIKLKEARMESQWQGLANVSDQFWLFKKQFEPLLS GTQQQKKKHSLFT >CAK92316 pep:novel supercontig:GCA_000165425.1:CT868667:191567:192137:-1 gene:GSPATT00025050001 transcript:CAK92316 MGQQQSQEQRPLNDLIKDVATFNLLAKSPTIKSSLGKQLLAEVTKRKQDLFDSIPFVKKQ DDLCIGIFVEKEYFQITQQKFYYCKQLLEDFSSILMVDPQKEEGTCQICFEKKIDKLLPC GHSYCQDCIEGWFTVKEQDSCPMCRAQLTKQKMKSESYVVPNEAELIDSFKEQLFLQFSS QK >CAK92317 pep:novel supercontig:GCA_000165425.1:CT868667:192139:193315:-1 gene:GSPATT00025051001 transcript:CAK92317 MYKLIALALIVSSVFAVQKDTKTILAEIDADNFGNTILSTVQMYLQSKGNAEEILVLLNQ VLAGLVDDQNKHDNVIRVDRSACTRIITDLENSIAYHTAQVAANAQMREDNEKALAEAET DVRQTIQDIESNERTYAQEEANRNKAHETWVRKNGEHDDAIAAVDEATKLVQHLSLGATF AELKPKFEAVQKRLIENESHGALFQPIVTALTELATKVDQKAIQRILQLLSQLRQQLVEA RSVLEETENRQAQRWVEFSTHLSNEHNRLVDRKNQLEQAIQTFKTNIDTATHFHEVHQLE LEQAQETLDAEHEWCDLQENTYSVQSSERTRQQEIVERILEHLTEKLTATSQYLGGRF >CAK92318 pep:novel supercontig:GCA_000165425.1:CT868667:193511:194417:-1 gene:GSPATT00025052001 transcript:CAK92318 MEFSIMKVCSITGLFVYFFIGKQCFMWVWPDKIEEKQCFFVVSLYLAHLITYTIVNAFYF ACYQSKSKYISQAKIEQKPWPWDGKESKVWQEMKRLFWKNVLINQFIMVGVAYAASCIKL DTRFDQSFPTVFEISWQIMVFGIIEDVLFYFSHRLLHTPYFYGKVHKVHHIYNITVSWSA EFAHPLEYILGNLIPVISGPILLGSQTHMITVLVFVGLATHKTLSDHSGFNFEWDVYQYL PLTTHSEFHSKHHSLNTGNYSSTFTYLDDLLGTTIKK >CAK92319 pep:novel supercontig:GCA_000165425.1:CT868667:194506:195950:1 gene:GSPATT00025053001 transcript:CAK92319 MYSSMEESWNFDEQEPKSPSQDLLDKYNYRLQEIENSKGKIKFEQQLDRLRNWYEIKGHL LEITKEANLLQSENVDRRQQLILQIRNRESQLKELRRLQIQGIKKQKQIGLFIKEQRTSK RQEITIQEIDELLNGIQAMKDNLQQKYGWQFPKKQIFDFSSDEFLEEIDVLKTDRIKFDF IENNIYHLDNSQQMHYDMQKFKKKHLNSVLDQSVRSYQSLSSSSKKEMLSLRFDKVTTRL PPIPKETQSQSQTTQQQLKSGIGLSKMLRYPLNSQNLASPKRRQQFGFIEDIIANSVSSR VLTRKQTTNLTHQSEGESQRKDRRKQDLSVHSSQDRMLKMKNVQKMYYPDVKFDIIREVD APQKQKKLPRRGLNQQQEIQRILNNVNETFSHVINNKAYSEHKFTKIRDLQKQYVKDANS SLSKISKYQLEEKLDDVWQTLVKDQKKKQPKLLDYYKKKFKI >CAK92320 pep:novel supercontig:GCA_000165425.1:CT868667:196150:198302:1 gene:GSPATT00025054001 transcript:CAK92320 MELLNNTQVLRKVQIQAEIMQQVQASSNIDQPRKAFSYSVFTKMSSILLNYQTQQYTVAI RINQQLHFLARDTIRIIQEIFEALQYVDKVQLCGKDASLKSYDKYMRQLDQLVFFWEQIH ILENRPISFNKEDISSQISQQLDQLLDTLVKRLDFQQKSQRLKQAESSKPVQATNSQPQV DHRLRIAHEMFAGLGREKDQIAAVQLYTKLAEENDATGQAIMGQIYLEGIGCPKDYDQVN ILLIIQAFNYFKKSADQQNAFSLYHASKLVLVFIKLNIKEAKVFDKFYEKDYNNTITSKN SSETYSRNSDCSFALTLLKRAAELDHSESMIYLGDLYSNGLQLQDYTLEKDYSNAEFYYK QAQNKNSAKAMHKLALLYLTMCIQPLYKNRSRLIYPLLNQAKNQDYLPAFYDLGLLLYQG LQDDIEQNLVMAELIFEQGCMKGDLKCAQKLLNLRFQALRKNEVSIEDYFGLLDQIEQGN KDWTITYYIRGKVYEKGLQVEKNQKKAQEQYRLGYMKGCQKCRVQLDKNQKETMPTDNNP TNSQQSSLYQRQTINGFIEQIRQSECRKPFQNQRQVSNGNVQSIFNNAENDIQSTIQDGH TRMTISITRPKNQTDDRKRGVSASDLPLQDIGGSILLSQIKFPEKTHNHSVFWSPRQTSQ QSQTGLQITNSISKKRFNLNKIRLQSNIPEN >CAK92321 pep:novel supercontig:GCA_000165425.1:CT868667:198647:203071:1 gene:GSPATT00025055001 transcript:CAK92321 MLLNDDLKPIVENKAIYENLIYKLNKIEYQTIYNISTPNIGYTQARIIIDIKMKSNSSHL DEQIIKQVLVNDNFSNINCQNLPQDTIYYICSLDLLTTQQNFIEIIIQPSLQFFYRNLQI FVLQCAQNCALCQQSTNACLKCEDQYQLIQEKCLKVDDQIHVHHYSINYLGNSYEGHKQY KNKKHLLTTSIGDANNIILDYNTTNTTKEILINNQTQIGPFIQNEGIEYYNLSIHDEPMN IRIDYTIYLLYSDLPIALFFFQINGFENKQFLSFRQFDNFEDCYSSQYANCKIHKFSQFY FNITQIDNLKIIGAFPLQQKISAWALGNIKFTQFELLKCQYKQYQLGCFKQCPSGTKEVN NVCLSKIQEKFVSVVQFLFFQTQNFEFFSNNHIYIDSNDTYFKYDNIVYHNIKKSLQIDV KIKKVMKLYVKLIILDANLTENISINLANFSLTYNISDMEPLNVSLGSDEVQDYALEIEN VKIEFDNPKGNSKLQIIRKGCFYNRCNILISELLILSPKCNNFCHECNVESGCIEPTSES QYCPQGYFYNDFQCSNCPKNCSRCSQYNYCIECYEGFVLFSGECYLKEKDKYSSEDMASL TNPVLGKFSNQAYTQCKSQHQVRNVEKCACQDGYIFNEAYECLHCDELCETCIQTVSHCQ SCRSTQHRKLESGQCLCQEGYFEELQKQFCQQCLPKCKQCQYKEFICTECHLSQHRVLSL NDCICQDGYYHDFIQDRCLKCKNTCKNCKDYDTCIVCDELQFRTLTFQNQCICKQGYFLN NQICEPCHYSCLECRDSSEFNKCTKCTLERKRKSIQMDYFECLCQIGFYDVGQQECYDCQ LFDNPPIDHYCYANCGDGIIQWNEECDNGVQTQSKGCHKCKLSQSKCQNEICQICNLKEC VQCQDGYYLKSDYTCDKCSPICKTCKINPYNCIICADNNLQCSNCLPDQGYIYLENQCQS ICGDGYITLEEQCDDGNLLDNDGCNSNCLIEHYFICGNTCTNKPSWHFQIQQNKFDKYYS DQRQYHITIKNYESNIDKEQLQLSYVKTNQLYPSNCGQFNYTISKSSISKIGYQQFIIKL HLYQQCIDQQLSIMLFNDSKIIYEKQIQIINYFTLQNQFTTSFNLLLYLYAIIFTVNFLF IQSHKYIEILFIFQMIAYHNHFEILTPKYFESFVELFSPYQMIISQQLGIQNIQKSQQET NQFQLQDNIVRQLIFTIILIVASSSLRLIVYILIRINQNRRHKFNKKLSQKLQRQLTYQL VYFLNYFSYQLYGWIILNQFNSQISVYIIFIIFCHIKIYQYFRNQQPIRFVVLLNAAYIF ILMMMKTNQIFQLLLTSLIVGVLLISSIIEKNCFDLKYKLLLGNLWGTHFIYLIFELYTS TVQKYERLNQILGGVLIINYFILLLLQMFDILRTSVIITKKYYSNFKERNKHKTYDQQYF GAITFPSLSQI >CAK92322 pep:novel supercontig:GCA_000165425.1:CT868667:203197:204419:1 gene:GSPATT00025056001 transcript:CAK92322 MIIFISAILYVVNSFVGDLTCSSQLTQTTCENLGYCFWDGSLCQIEDCYKIDEIAACRAT GILHTPPTVICNAIEMDSYNYQIYANVCNELSDEPNELVQHYIRYQEPKSGYPDKSSDGV TLALWNTLFPQFGYIGQIYTIQILKQTMLQLDQTLDLYVRYRSLFLYDTQWTYDQEKAIS YMFQQLRDDTTLINTNKKPMITKLWSVVDNLLERFRIIGNNYTAIYPLYSISQVSLSRLQ AELSGRKHLATFKWSQYIQNGYVQLLGYDLHQFGFEGQLTQVYEFNVYDSGHNPLALTYG IIYTFSFDVSTLPTLYLYSFNKATLQETQIRALIIGAFDDCSYDSITLKSTCRIYPLTSN TQYFIGPSLTACGSISKRYQCKQPRCLWTGSICTNNPP >CAK92323 pep:novel supercontig:GCA_000165425.1:CT868667:204957:206271:1 gene:GSPATT00025057001 transcript:CAK92323 MFVLIFIFIITLQASTYVGQSPCSTLTIANCGANAHNGEGWCYWDSLLTPPACVTLPCYL IDEVAACRAGGSLITGGAFTECDSLDTISLEYDNVCCDKGLGKLSHGLVRFPMNFDGYVD STNNDIPTPISVLDITISVKEMFKLYTINPWLAYKELSESQFESKLKAILEKYLEQSTRD VLLTEPKSHPFYIERTVYQCLQLLRDWTVIHKSSDSLRYAILPKIWSLALIALTRMTKFQ PNYYQSHHYIINFAIIPYFKNYIKILGQGHTLTIDWSSFFYNSNGFMMVYSYPPEQFGII NTYTDVFCIRPFQGSTVTYIESENNYDSSFSQIKFTYYWLEGTYSSIDETKVKLFQITDD TSTITDTLVVFTCNQSQRICTNTGNFQPAPPLDGKGQNYFLAPDTYNSLSDFKRAQCILA YKTWTTVCT >CAK92324 pep:novel supercontig:GCA_000165425.1:CT868667:206909:208497:1 gene:GSPATT00025058001 transcript:CAK92324 MNKFTLSFNAKELELKYQENRYNFTIPVFKGISLISFIICVLRTILSFIFEEYLTLYIFL GLGILVLITTFVILYYKKSWIDNYLIFINHILMVYQYSVNTGYDAQEAYLFGQMMMTLHI VIMLISDFKCALLQLINNLIIKILIAEISNGNISLQTYLYTFFIAFMAIFVLQRTNKQYR QSFLFTITDNSQGSLIIIIRIQEMFIPLLLDNPFAYFTFDQNHLSFQVRLSNFSEFPQFN QYQQSQTNLKALLRKYVIFGTTLEDFIFNRVNTHNNKLLVNKILELNNQKKPNEKLIVKY SEFYITELMFMIVIDQKQQIILSQNQKINKLEKGIKVFIGGINKFLQAQLHLINKANYDR NSFYKMQTKLMYILTKFSISKDIALSSCDINSKISYFIKLYQKAYNIQIQYRHHHADHDF CIITMKQMLNQLLIKLMTFLSKHNEDQISIEIFSEQNFIDLIIYTKSIAALHVQLQKKTN FRKILKKIGPFDKLIVNQESIIIRLYKNMGHLEDMNPFELS >CAK92325 pep:novel supercontig:GCA_000165425.1:CT868667:210239:211393:1 gene:GSPATT00025059001 transcript:CAK92325 MKMQTIRTIDFSKANKQIKDLSNRFLQSKMLRKNKENCNPNTGSLESAAKLLLEALQKPI LRNLRDLIRIKESMVCERNIFDEVCSMGYSENSDVGEGFKISFANLSIKQESIVDCESIQ PTNAPILLFMVLQNIRKKKMIISFQEIKYFILQRNLKSVNKRLQYAKREQLRKILINKRK QEELLMQVYLKYWSQQTQLLIFFDHFGSKIEKIYKTKLNQHFNTMKCQIQRREGFIRLTR FVNIKLFEYGQCLNKVYKNLHLQKCLQKQIQSVKQGSPIIFELLYQFQNKLQKQSIKILL LHSLRQKRRLKSFSYGLQDLDKIFKKINCRWGFNKLQNKHQHKRMMLPTKYLVMALQKLQ DRQKHWVLRKLNQ >CAK92326 pep:novel supercontig:GCA_000165425.1:CT868667:211413:213650:1 gene:GSPATT00025060001 transcript:CAK92326 MSKKQNSQSTPEILQYKVRPQNHYQIEQLVLNDMKKKILNDEMNSIQFYDIIQPDMVFLI HIQNEIVATNPKDLTKKPIKLHKKVKRSDLESNLRNKLINEPGGKLLLQEIYEILDEDDM TQQVKPVLHKEEQFKGNTQYKQESDDLMVANLDQWWKQVKVKKQQEFEKKQLELEKEKQV IAQIQKAVSISSLRRGAVDKRTNTTILPAFPKLMQSQSSDDKSPKTESQTLFQAKIKGSL AKLSNQKKAELLHQDIGLVKQLDKYHQQGSTLTQQELINIEQARQKQSHEEKQTDTLIFD YTVEGLKNSLHTRIKMNLTHFLNANERAVFYEKQTQSILQQINSKLDKQKKIVGKNERDL ENLRMENHEIYNRLRSIENIQKQLKEELGNVGNNNNNQVQKSNKSSNVDFYMASVGKQNE IDSQLETMKDSYKKYKIQIQLNFKQIEKLEAENSKLNKNNKELLKSIQGFLQELLKIGLD CRKQGLSWIIKAIWDTNEKLRDENFPSYLDSKGREFLILKAKKMVELNDLFIRAHQLFGS YHGRLETSQDNLNNSILSILSKNHFSQIDSEEIKNKTLRERRMKSSGEINLLLKGLNREE KQCVQGTLTSIFQSKQTLNDIIYTEAQKKIQKMFKTETSMALEQSFIAEDKERLIREYQQ IQQDIEAKEIMFKKFEDLELQRIVKEIDYKKYLHRFSVEPLQVLSALFGYV >CAK92327 pep:novel supercontig:GCA_000165425.1:CT868667:213685:217842:-1 gene:GSPATT00025061001 transcript:CAK92327 MDQEALMKILQNLMKDQGEIKIGNFVIQQQEPKRNNTTMISHNKVDIPQISKSQQDIDYI TPKPNDFVNDLFFDEPLPDLDQFIEQEVVSNITIDSQTKPNNVQKQLFSKTYQMIDKFSP NLKEEFESALEYDFEQLLKPKTSECSSDTMTTITDTTSSDPLRTFLMSLIPLKSSLSPTK QTQIDFLFLYSQPLLQITNNSTKKVLQKLEINKELNSIKEVFIDVKAEIKFMSTPARMDR FGEALDLNPKALHYAGHGIYMESNQQSYLLFEQLHGVAQLVSANQILLCLQKLKSPILFV FVASCHSKLIGEVFHQAGAEHVICVHTKEQIMDEACCVFARSFYHGLIKGQQTVCQAFLA AKTQVKVEGRFPFAEENKFLLLTKQHHQCSTWLLREGEFKDLTPQQNQNNLPSLVSNFTG RSQQMQEVIDKIIQNQLINIKGVLGIGKSALIKEISIYIAQRNIFKNGVVYIQLNQCDSF DSVASRFAQIFMPNLALQSNYEIKQLMVTQIIQTIKNQEFLLILDNCDLIMHSNDRDVFV DFLELILANCKCHLCITNRSEILQQGRVIKIEGLPQEESAQLFINSSSREITIQEVEELL GCNDLLNKYTLNDKLLISKFSNHALFQILDGHPQGIILSSGLLENYTLKELYQQLSYKQL MDLPISSRVTEEERRSLRVALNLSWANLVERYEKAAIFFGMLGLLPCGIYNDELNDVWGP GWEKLATQLIKSSLLLQAKKNTKTHYCLYNFTVKFAESKLDQKWKRIYHHNIVKLLLKKS YTYYNQIGTQAHDINNQPNDEFLAIEQNIKNAIFREFEVISNGQFEIEPLKQEKKDDEND DETFNLPLTKENLEMMQKKYENNSQKSHLSSKVGFTGSVISSFKYDQISDDYMTNGPKRK SFSIAKVGVTISEEDELQSNKDYSDNGMSINYVESKLFKINSNNVKPDLIDDSSNPQESQ NQQIFQQSQIPQAIQSDQTQVQKAIDPKFIKSSPNPNPLTQRVTFLDQQIKPITQDVKKQ KYDDDEKQHQPLSKRQIIPKMGDRAKSGKAKEESTSSAQQPNKKDKIQKLILYYCQILLL QRRYNECLKFINWAYQYFYGDLLFTANIIKTKACVYYMLKEPKKSQQAFKQAKEMFIKKG CTLGVACCEAASGYISLQERSLLQAKINFENALQFYEQLNHDFGKHFLNRWLLLVKNKIS SLKNDRAKHIQQEKMLIENLKQEFKKGIKVDNLIQKKHKGGVFILRWLGDTLSIFMEIAT IEDSKQKDIMSILRVVEQNPITNYKKNTKKIDDIEVKPSTGGNAKPTSSSSSTATLKRFN KTQKPPIYKQLNEIVENAQQEEDPTNTSLQQLQKQQQQQQASKISIKKNVQIQMMPCQKK TTINK >CAK92328 pep:novel supercontig:GCA_000165425.1:CT868667:217861:218989:1 gene:GSPATT00025062001 transcript:CAK92328 MTLSAMKLFTQGWTGLIYKMVEPLFARFLYRWMRNIGLDRGLALEDLVLFQDRELRRDPL FEHIQREGFHPYTWILFNKRRARFSKVERGVRGSTAPEWLQAEARERILADSVQNIYEWD NYVYQNYMSDMTPTARGTILQKLLPLEWFLFFGLLRVDSWDRYFYNEVMYKGLEYSKEEL ANIPKPFKAQLTTEEGRRQFEINVNRFIDLYPGSIVKEGEKFDFQRFYALEAINNNRDLS KFDSSLVSQLKSELTQQVEKKSATKVKKVKPSFPNWLHSEGKGLLA >CAK92329 pep:novel supercontig:GCA_000165425.1:CT868667:219015:220232:1 gene:GSPATT00025063001 transcript:CAK92329 MNQLITIHIGGAGSNIGSKLWYNLSQHRQEDLSSIFQENSHQSYKPRSIFVNTIDDQVPK YDEPQFSPNQFFYTKEDTGNIYTVGHYCVAKDLIPKIQDEIRRQVENCDHFSGFLFTHSI SGGFGSGYTTLLSSLLKNEYQKSMSFSFCLMPSPNYRNNVIESYNSIMSLNSMVEAFDGV ILLQNEAIYQIIENQLDIEFPSYNEVNQVITQPIISLLKFNQQQSLQMLKLNLWNKNKLN IWSCSFGPLINYDQKHFSKKITSNSLKDIISQNSYICNKSKPNYKQAFLYAEQINQTLLS KTLSELVENKQYSIYQQGQEGQIKQFKEPFQQINSQKENLVFIHKSTGIAEQLNQLHKNA QILYQKRAFMHWYVGIGIEDQSIRWEFSSFEEMQNNYAIDVSNNE >CAK92330 pep:novel supercontig:GCA_000165425.1:CT868667:220267:222728:-1 gene:GSPATT00025064001 transcript:CAK92330 MNASENLINIYSPDNSRATLVEKRRKLDADWKSAFMLQTIRNKAEKREDEIIDTSKIGQQ WQVKQFHTLTEKQLNVIDDFGSGHSKGTASKQVKKQETQFESIYYESKSQMGKLRGLVLR FLEKHNKNQEWSIKPSHPFIIVWNMFKLFFMIHIFILFPLIDAFGVKLESMIVQQQQIFL MEWLFLLFDIVLRFNVQIYKNGQLIKQHQYLALQYLKSGFFLDALGIVGFSLFLFQDILY IKYIYFFKISEIKKFIKFLRYELDPQNKYNNHIKLITLFVTIFLLAHIIACLWIVAGDKE PSWISNNNLKEDQWYIQYLWAFYFAILTMTTVGYGDIVPVNENELMACIMIVLLSSAIFA YTLNTITTVLKDIDQNKSQFNQEARIIQNYLVKKGCDTDLQRRVQQYLKSLWKWGLGEQK QNEERVFSKLSLPLQQEINLQDKGQMLLKLPFLVNNFNIECIKELMQHIQEVYFQPGEFI NPQDGVYLLYKGQAEVCWGSKTNPKCICNIFQGDHYGLLNLFNADQPKYFLKTSDFSIFY FIPTQIFKQVLKNNDKEIFCMIHDQVIYENYSNLYLFCPLCRREGHLICQDIIYKPNRAI AIAKSNRQTVQDRQKHKRFFVKQQILRNYKEMQIETCQFINDRRDLMKIKYKTIFDSDDA EEEPESLELQSSQSWQFDESQMDEQKITNNNDENQFKPKVTLQKRQTPNKRQTILGFLRK KTQDAMQINLQKIEEVDTPHNNNCTPNSRDKLYNLDVMKTFTKYFKQYNYENIIKQLNRR RKTIKTKIKRLERFS >CAK92331 pep:novel supercontig:GCA_000165425.1:CT868667:222905:223842:1 gene:GSPATT00025065001 transcript:CAK92331 MKYQISSFLILLLIAPSYSSCLKALSCQNEQSYEYQQFAVLQMMKCYYQMHNKSLHQNVE SLSDHDWMIFTMFSNQFSLLCNYHSILNDINQITNSINGIKQQQEYDEQLVQQLTHNFKK MSQYSINFTSAIEVFQNTLKNEKVRLDKYNNISNHLSFIQNQTQLNQTTSFSNLNYLLQL TNSLQLISDNQMQDSIKFYLISSFALYLITRRSPLKEKQQIILAQVSILLLVECLISVVL TQLLVKYVRLLLSLYRFGAMLFIQYSIIKALLYSSQSENEISVVINQIKNKYRVE >CAK92332 pep:novel supercontig:GCA_000165425.1:CT868667:224043:225078:1 gene:GSPATT00025066001 transcript:CAK92332 MSRKQHFIERDDMEEYSDISYRAYQFTPKKGFEMGLICGSYKNLQSSSRQQRSNFSRQSH KRRQTIKFKHLMNCSNRRITVLRNKSQCPISGLSIRFNRRRVSARKMRTKKYSTINDSFG LDNREDGTEDARHKDSNNILEVEQEGSDRQQQKCSNNNGSQWKVFSLKKEIQNDQIVELG KADDFEKYQIVYIQQNINGLVGRLSHLLQNQSQKRLSFRNSENDEGGESCFSFNRNSNQF VKIYKCKFCSQKFQKACSLGGHISRSHKEESQQSKKEAQPIKKTSIQKQKKASLQKSIGM QLMRL >CAK92333 pep:novel supercontig:GCA_000165425.1:CT868667:225092:226281:-1 gene:GSPATT00025067001 transcript:CAK92333 MKSALLLLVIALATASTVGDLTQRLSNYAEHPFGSSMINLVSVNMKTGGSLNELKQLLQQ IKDELIALTQLQDSENATFTRRSQVDLAKLQATLEQAQQDLDNQRQEQSSLSNELTTLQT RVKEDQAALDRNGRGSSDAQSRLDAENADFATKFSDYNDAILACKEAQRLLLNLRGEGAS LIQLTQDTKTNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLEANHKTQVDNLSRLGDDLRNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQK LSE >CAK92334 pep:novel supercontig:GCA_000165425.1:CT868667:226316:227320:1 gene:GSPATT00025068001 transcript:CAK92334 MEQLNSEEQVIIEEINHSEHTEIHIIEYICYPFYLALLCLLCILINLNKRKFRRRYRVDE IFLFIAVYLFNVLITWNFFDFFDKIVRFIITLIIIFGIQHYIGRVQIVGVTGGIGCGKST VAKYFNEFLKVQVIDCDQIARDIVEPGKPAYKLIVQRFGLSILAGQQDGQPIERQKLADV VFQDNQKRKQLQAITNKFIFKEIAKSIWKICFVQKDQYVVIDAPLLFESKVLEYFCFPII TIVVTSQEEIIKRVKERSGLTEEQILQRIESQMKAEIKIKKSDIVITNDKSEKSLIRQVQ EKVFEYLI >CAK92335 pep:novel supercontig:GCA_000165425.1:CT868667:227649:228393:-1 gene:GSPATT00025069001 transcript:CAK92335 MYDFLGGPKPIQIRWIVNVFKALTLPYILLLMYYTQNLEFGTYLYLALHGSYGILWIIKD LTFPDQNFQKYTTIPSAIGGCLILIAYWIMPIIQVTGFGQNEIKPSYVSLIIVLYVIGIV LMMSSDCQKYYTLKYKKGLIKEGLFKVNRNPNYTGEILIYLSFAMVTNNVLSYLIVFMSW IFMFVPFMLRKEISLSQKEGWTEYYKQSYLAFWKFSQSDIINIGLYNMMIVFIIVIIL >CAK92336 pep:novel supercontig:GCA_000165425.1:CT868667:228401:229164:-1 gene:GSPATT00025070001 transcript:CAK92336 MSTSRLAIRLYTYRGYSAPWRVSIMLKLKQLQFQPFFVNLPKAEQLSNMYSQINPLQIVP TLEINGQLLHESMAIAEYLEEEFPRTKLLPKSPLEKAIVRSMCETVNSGIHPYHASRFYK YVHNYVSDINRQEISEPFLERGFTALNTLVEKHGGDYAFGNQVTIADAFLYPAFRGDDVS YLVNLDKYPALKKVVENLDQLPEFGYEHPDSQG >CAK92337 pep:novel supercontig:GCA_000165425.1:CT868667:229197:230593:-1 gene:GSPATT00025071001 transcript:CAK92337 MQSERIKSKKRVKHYEFLYDSKIGSGAYANVYMGRDIYNDSSTVAIKIISNNLIKSDYTS QQIKREVEIMKSFNHQNIVKLLDVFHSTNNIYIVTEYCNGGDLKTYLGSRTLSEERALQI FKQILNGLQELLRNGIVHRDLKPANILLQDGIFKITDFGFAKRVQVDSTMSSLVGTPLYM APQILKRQPYTSKSDIWSLGLILYEMIYKTTPWHSTNVVELLNRLDKEPLKFPFQPQIEL LTRQIILGCLGKEEKDRWGWDQLMKAVQGSEKQQIININLTKELYYVTKKQQLHKQQSQQ NLQEYCRTSSTQSSPIRSISTKRTKTIANENNSSVLLSELIKKKQQIYKIFELLEKQLEK LPILKLDQCKQYLSEQRSRLVCANIEQIYNKEDERELIQQQKILQQYSANFGVQIMILLL KTYRKIIINNYQTGTLNLDYAEKQTIDNCSVLVSNQYK >CAK92338 pep:novel supercontig:GCA_000165425.1:CT868667:231149:231712:-1 gene:GSPATT00025072001 transcript:CAK92338 MDDQIKTIRQLQLTIQLASNENKELREKWLFLRSQYDPELDSKINNLEKTILIQQQQISQ LQQQKLNSSNIKDFDDEDEQYQMQQLNLKLNEQNQQLIRYQENVFQIQSQLRNCEEEMSD LQQTLNQHQVDNAIFQKKAQQMEQLLSKVRGQEPLSLQYINEIDRLTTKLQQLQKNCYIK SRLTHQS >CAK92339 pep:novel supercontig:GCA_000165425.1:CT868667:231966:233483:-1 gene:GSPATT00025073001 transcript:CAK92339 MNQQNNVPKDVMDFYLDMKIKKERIQKCWQQSGGNEYKMQDLIFQPEEEEPQQGLDFFEA TLFDKRVSNTILQVIKKTPREQNTFVGIQNLGNDSIVNIMLQLLHQIPQLYQFILRIQSN NQNVKCIKLIQKQGQFLRRIQLLLTELSASNCSVISTRQLLNANLWSDKEKECLEFEKEP VIIFFNLLQKIDQSYRELVNNEQGRNDNIVMNELFYSTVEKNLIQEKEFFYISDMKECSV YSFLYEQYKQKVSVLPQIIAIQIKRNQKNNIRNITILNLGLDKTFEINQTLQLDFLKPNY KGQQGDIQISQFDDLESLQKAISNFNYVIEMLNEEGNQQDMIKILQSKQNTLEKQQNKHL ENIQKQKETLQSSYNNNNNTYQVHSITIQKGYSQSNSSTLYVQYFKFKKWLKFSNSICQV VEEEEVSTSSQKYAIFATYINANTVPQYIKHQENLIEIGNLVSGNINYDINQNKYLRNLI PNDVLFEVHRSNLENRQQF >CAK92340 pep:novel supercontig:GCA_000165425.1:CT868667:233690:236607:-1 gene:GSPATT00025074001 transcript:CAK92340 MINQKLFCLKIKDLKLFVIPQIFYIIDEQNKLISFYQINKLVNYLLPTKSYIHEIPNSYS RTYTPQCYEIIQEIDQSKPKTISYEFKNECQSNEQIIIKKIDYDFPVYQEFSNLGEYEDT LKYIVEDVYLKDVCPDIEQYLEEFDLLFYHSKTLENYAILKSNDTLQVLYCTNQSTIQKD VRNHQVIQYQDSFLLIKKKEQSAKVISYSIGSNKQDQIQIQSQIIEILQFKQYVILVTEN ESEIIFLDLSNNKQKKITKRVKFMLKQFKKQENQNKQIQFYFAQFHYFQIYQINRQLVID QYFEISIYEIKDITIIYSGESQRISNSQIIPNKYCVIGIHNQYNIIKQYILDDSLKLLEN YQIDDYDFIRPIKFQNSKENLAIALNQQDQNYLFIFSIEQPIKLIKILKISKLNFHLQNH LVYYYNKNEEYQIFDIKYFKISYMNLAENQKQIVLQETIPILFKHQEEEASPNQLFLEIR INNYCRKLNSKKNEITIQVQDEEIIRIEDIDMFQGPIDKLMLLNNPKLKLVGPFLQQQEI QECTELQNICITKMGLEQPWNKDEQTLFYSLKYYNRYKVLPFYYNKNLENDEFVIKNAYI FRNIYVLCFYEIEKTVFANILQFNKTQLYQYFEPMPLKEFKNGDLEYAQFYQSNNLILIK SNSSQLLLKVTPNMINEIGKNFDLSNILLIKNSDNQYIEIRIIKKIDEFYFLFNVFELIS FNLFIQNSYKIYFDQIVSALSPHISIAIYQFDEDFKNQLLEAVLVNNQIEIKVLQLTLSF SVISTIIIKSDIISYDVQKVLRHPRTSEILTFQYYDSNTLILSNSISKNSYLYDLRQKKP FYDYIFRMDDNTLKLYPLNTTHFLFYFNEINQFKIGQIGFEIQRLEELDDVETCMITAVN QLSQSQFTLIIKRFVLLSKEAKIVTTSAILLGSFYLVRRSKLKQQRAQSQVFLNTQNAAS NND >CAK92341 pep:novel supercontig:GCA_000165425.1:CT868667:236991:238084:-1 gene:GSPATT00025075001 transcript:CAK92341 MYRIASILPLPFFLLFPLTILYMQIFQNSQSKNYHDIIFYVLLVFYLDKKIYWKILVSIL ILFISIKKISISTVFLQQQQYPQSLIISLLTFETMLIIHHACQNQYYLISIYEYKQNYSI ANNFFKVLDQNVKIEGKISKFILPKQFCQLNLSLIMFEQHFILLSAILIGLYLRQVQSEC EKRKLASHDLFFAKNNDSALIESDSEERFNFLENQIQSSFLILIQEDEKIISTQFVDSPN DNLDAEKWLCQLIQTINQYCISCTVSIYIDEEGNTYQKHEEDYFRLKIETSHSEECYQFY LEDNLEFLVFCRAKKFINIYSVNLLNEFKMERRRDKLLTLILQSRFWILSYILCIMY >CAK92342 pep:novel supercontig:GCA_000165425.1:CT868667:238134:239819:-1 gene:GSPATT00025076001 transcript:CAK92342 MSEQQQQYWPIFTEKDEIKTFWNKKPINFVKQTISYQQLMKRISWKSNKPTEYEFLLSEE GVLFYKKNNKVKGYVQLNEDISLKLIDLKISNKKADAVKVIRIKRTKDIFLYIWNHDYQY TFQFLKYLCQFCFVQNLDELYTLQDVIGKGGFSKVYTLTPNIRLPNQPFNYAGKVYNKNE LLSKKDLKKFYHFIRSECYILKRINFPYVLKLCEIIQLEELLILVTEYIKGGSLYQYLKE RKRLSEIESTQILLKLALGLQQVHNLGYVHRDIKLENVLIDKDQLKLIDFGFAEKICRDR LVNGQGTAGYIAPEVFMKQPYQEVGDIFSLGVIFYSMLSGKAPFRSNTYDALLKLNKECQ IEFSELRFPNVSQKTMYLLKAMLSKQPENRINLQDLLNQLTVCQILSPTNNMASTQSIVE GSVGISFNHLQSKNTLKSFYQNSQNSFTENHSQMSQQKPSKNLRDRRYKSQSLDKKGLLL KSSSFKPSLKNIYQIKIEQSDDNISITDLDQPIPYENLRFLQTSYQTLNCQKFI >CAK92343 pep:novel supercontig:GCA_000165425.1:CT868667:239868:241229:-1 gene:GSPATT00025077001 transcript:CAK92343 MQINKVCCFGAGYVGGPTMAVMASKCPKQTFVVYDINEQQIEKWNNKQYPVYEKNLDEYI NQTLNTNLIFTCDIDIALKDCDIAFLAVNTPSKKYGLGAESSLDISYIDSCLQQIKKYPL TKRLILVEKSTVPIKTCDYINAVLRDKNICVLSNPEFLAEGTAIQDLLNPDRVIIGGAIE SAQQLASLYEQWVPKEKIIFTNIYSAELSKIVANSFLAQRVSSINSISIICDKIGADVNE ISQCVGSDSRIGNKFLKTSVGFGGSCLKKDLLCLIYLCESLQLDEVAQYWRQVYLLNEFQ KQRFYNLIITSMFNTLRNKIIVILGVAFKANTNDTRESASLMIIQKLQEEQAILRIYDPQ GKIDKLEQCQSLDGIFKGASAIVILTEWEEFTKIDYAQAYEEMAKPSYCFDGRNLLQGEV MKSLGFLYYGLGRI >CAK92344 pep:novel supercontig:GCA_000165425.1:CT868667:241277:242761:-1 gene:GSPATT00025078001 transcript:CAK92344 MNQKERRRIYSLQNRDPINILKTRMDVSMDNLQKRKTLNSELPSLTQNKLRMTNYTTSMQ LQQKTKYEQLSLKILKEPYRALNIKQRMITNHFTLQQKIQDTIAYDCIKHVLSNTDNTII KGQVILPVQNEQQYTIPVSRQGSRAVKYDHFGLVFGGHSHRENIEIHTISLLNGQWKRKQ EFCQSQIEQLKLRQVLNPNLRLGCYFSLNIDENKTIYAFGGEKNTNSRKTTNMVTRICLE DDRLEWQQYPTQIGCRRNHSGCYSHNHLVIIGGLDDSELNTKFYSDFQLINLTNMNCTQF YPKFYQKQVIQNDHPFKLGIAYHSAILVGNPYLRMNYDFTSNAKKEEQVDQLFTREGIYI FGGKDSEDNLYCELYHLIIDTYPSVLQVVETIGQRPLGRRSHSMNYDEKISALLLFGGTN EMECFGDLHLLFLKNYTWQKVQLQGYLEYPFRYEHCSVYNEDKLFIFGGLSQNGFLMYNP IQIQIKFKTIRKHN >CAK92345 pep:novel supercontig:GCA_000165425.1:CT868667:243073:246311:-1 gene:GSPATT00025079001 transcript:CAK92345 MAQELYKLLVLAYTSTENAKRQNAEIQLMSVVMENIQNFQSIAQIAKSQDSMQSQAASLL NSAVLKMLSSQVEIQLEHALLVFDVITSQQTSLKCKQLLQKSMSLLIKIKKTIKPDIENK MRQLVKSDQLWEIQSGLFFFKILVDSLELSSYNLVINQGLDWIRDFFDLIYPIFKRLDEQ SGDLSEEYIITIRYYAQIVLEYCEKLFKQKTHNKESLIPLQNLMFKLTSFQITLFAIFKY SPQNNLLQSCIISCTNNEQFDNRINEVKMYGLKCLQILINSLLSKSKNDQKNSVFYNQQS NLTQLLLYSIFAYTRQARISDILQKQFLPSILSSMLRLLAHLGGQAELYPQFQDSKNALI ADIIYPFLITTKSEYEIMKEQPEEFVNIALDVVDKQESDLPKTAATTLLETLCDHIDGST SFLAQMAIVLISSAILELSQSQLNNQQQQIILADLQKISNKKLFQEFNAVDRIESSLMIL TIISYLVQKRQDIIQLMESLLQQNLQFFTNTTEQIIKVRFALFFGYYLDNLFKVETQFQI MLNYIQLLISYAKPQEPVVLYQSIDALKDVFEDDELKHKTGGLVANVFPALISGLAFSTY EKHFEMIGNLLKKFPLMFVQNENYIISLVQILVQRIIQEENLIKTNSDSNRYIHFTRCWN VIRQLPTINEFSPLLLKIEQAMQPIYQSLVIHESRMNFDEELVLFISSVIQKLQQVSPFQ REILPCFSNIITRQSNRLLQLYETLNMYMYYGRNYFLQEQAQHIYFNLSLQTLMQEEAFE DIDLAEGALLIQLGIQVLNIDISQPLLAYVFQQVLQLIAKENVTGIIRSRITAIFLVAFY YIPQQSCQILGEHFQNVYHKVLNTQYHPGYDIKLFILTICKLIQQEPQLLTTDLIQIIIQ NLESQENEEKPEKTDFDDDMMDDDDNDDILEEEERLQAKQQIEQFKSELQNYDEFTVFRN TILGFKYQQDLSFQYCRHPEIIGLIKQKLDTSTQQKLNNYLKYVRIDNSESARKVMTTGK RKHQQTK >CAK92346 pep:novel supercontig:GCA_000165425.1:CT868667:247158:247496:-1 gene:GSPATT00025080001 transcript:CAK92346 MDFGFILCQFLGIQDIAIDGLATDLCKLYGESAAALIQNIGFTIGNSLLGSFLFIALHSS QHRSLETSFLILSILGLILTIILHLNLFEQVDNKKAQPPFRIQNKILLLNET >CAK92347 pep:novel supercontig:GCA_000165425.1:CT868667:247759:249198:-1 gene:GSPATT00025081001 transcript:CAK92347 MKNRHISLYSYIAFLFIMYGVWHLTIQSKKHGGYNVSELPDLISALQNAIKPQITFNVLN IFTQEEMNKFNETIHQKYSEFEIEFNIVKDIHQNQDKNGLLINIQKAQYTFLFIQKLDKI SIVTQDLNDPSIFKNLRRYLNKFYPLVEKELQLESRQFYTNRLLIFDFYIIEKQNDSLCI DVTSDIEMSVLKLQKYFGLSPENIRINYKFLDSFDFQIIQKKINLQKQTINNFLDQSKQQ NNMNWKEYFQMNILTILTDEDVNIDIDSSENVQFFYSEPSNFMIQVNQQSTLFKNIFNAF IQVLQLEEQQEIMIENQDFKPSLELIRDYFQRREFSRHILNFIKELQYIQFIQSEGEYKI SLKSQKEFEHRLKYIKDTLQSKNVNRTDSVNILIDDSFSDLDTFSFEYTLGMYLPLILPF AYPILLSIYDELSSN >CAK92348 pep:novel supercontig:GCA_000165425.1:CT868667:251330:258874:1 gene:GSPATT00025082001 transcript:CAK92348 MVIEGKLGRWRRGLQTLFVFMTQYNKIMKLSQIIYEYLHRKYAKTKQKNDDSYSKQIEFE NGDTVNLKGFSSSELKNKISTIQSLGSILWRYMKRPFNYIFLLISIICLSITQNNSQVIF GFVIPFLFSTVMYLIKTLYYMILVHDEDNLINQRQVIAFKKLKKMMQNKKISLMEYEQKV NRTQLISTQRADRGMMPSSPQALMELNESAKISIIETIRWEDLEIGDIIILKRNEVCPAD CLILESNQERFYTDEGIKGANELTSLPKMHQFKGNGFEYRLLLSGTVTFDKDYKSESFYT GFIRLKNDPQPVDIKKENILYRGQQLQTCDYIYGLVLSCGLNCQYYHLTNFEKSTKSFFD SRVDTFYKFTLILIIVLSFGSWMIKSIRFINDGWQIQCLADYFLLYLNMFPYYLIGVLDV LQIICSSQKMFKFKKQKAGQNNESQEEFNIFQNHKMELNTVYSKLNATPIADLSLVDSVI FDKTCTLTIPQFKIKLILINETFYEIHNKTFKNATQWKKYQKDVLDNQEMLFIQDQSLSP NQISSIKVSPHHRPMSPTKRTSIPAWRLEDNLVNIEPNLEQDIRETSQQDEANFNAEEIA DDHSKLKEIHRTKPPKLFQIDSWESGTDLGGMINQLNEQRMIKSQSPIKETARRKFSERR PILEKMEPKKKLSADRFYKTDEFNIQYERQDDFISNEKEIYSKSLTPEYRGVIEEALFIL IVCHDTQTKYLQKLDSLQQEFNNQIDELQVSLASNYDYKFIGSTFGKSKYIIEIKENLLE IPVTVTQLDNTKLCVTTQIMDYNKLLAFQFNDKFEYIQYIREDGLELIEGINMDKEDKDV WNGIINKIIQRGCRPVIYYKCNMDQNGYNLFVKNPLEEIKNKNNQLEVILIVGVQEKMKK QLKEIINYYHNADISIWIASGDTKNKVLPVAYKSNILKENIQVLTIESNDMISQQIKQHI LWCYNNLIKQLREEQNDKKNNEKLGSPNTSTRGVLIGINNNLSKSKPFCIMIEGKALEII ENDQNLYHHLAFLVSFCTHLIGYSMNKYQKGVLIKILQKKQLQRRRILVVGDEANDSIIM ENSDFAIQIQNERINQNSKIEKMEQIERLKKENNTSYSQVTNKLSKYKQINSNYSKKQME KFIYSKVRYMSTADLIIKDMNNLVTLLFYDSRKHAEFVENIMIYAFYRCYLIMFCLFFQC ILEIENEIPPLTYFQSIMFILPSLLLYKQSILNTQNITDFKQQVVYFYNQNWIQFRKHKY GLFIYKVLLFSIFESFIIIFIQKHIDFFTNDGRGFGDDAQSLQLYTIIIFVEQSKWIYDS IVYWWVLFIILYLLTLISYIPIFDETYSQIMEHLISVQSVFYIILIILIVFTLQLLLFQF IPYTVDRLMFSVKDKIEISSLLKPIEIYYSKKKINGSLLLNIQKYALKLFKNEDDMDSSI RSMLSGMALSEKSIKINMFTQQFKNIQLETKYQLNESKSILEYYRFYYPLSWMLVEGAIL LQIWFINKDENYTWLLYFSFGYNGTQILMALFIYTSVFKKYHFRLSKILILSRLLYKIVY DIYFFQQNDNSLSDMLIMQLFMLQPLIDDRPLTIIFYCIIVNISFIIRFSANNTSINQNI NQYIMLNYYLIAFMQTGLSSGMHLRVQRNLRQEFIENLQLNQKINSISDTLSILMPKFIR NMINQSGEFDIQENQGEVAILFCDICEFDTIIKVQQQNVVHLLDILFRQYDSLCNQYNLQ KIETVGKTYMAASGLKNLISQNNINPVFRALQVAFEMQKFASSQSFGQTENIVVKIGVHY GNVIAGVIGYHKPQFSLIGDTVNTTSRVCSTAQDNQIKISEEAYKQVSSCVDFTFTLDIV EAKGKGQLTTYLVQEVIKEKVSRKKLRKFKDDMQIFLNREDYVGRQRKSIFEFKNSRKPT IVQSSIKIVTPQLVFKSSNNLDNQNLALNNSNNNNNVSQQHSRGESDQLQPPIKEQQGQT ITGQNLIEALEIKLARENLVFEDALEFPLIEVDKEKLKQIHQSEDEFKEMDVLILDKSRL YLDFHSDVDEDVIQEFYEELRQQNKILVILLELGMSILILIQNTSTILIDNIFREIIVWY INVVQGYLIVFVKLIFICLLFNEQYCNHFFKQKYFIGFYIFILIWWIMHIFSVKEGIIGE VCIAIGIFLSFLTQLNPIIKMKYKVVESVVMICMNIIAAVVNKWDKSLIYYASLLQLIFV TNQIYKFLKNVEAYNQKCKLKYKHEQLDQLVKHQLPTHMLDQFLQLQQSRAVLKDQHEDV TLLFADIAGFTEYSSKVAPEQVVFMLRNLFTEFDKCCQEKNVYKLYTIGDCYVVMGMVDA KDRNPALEAKNTVEMAFEMINIIRKVRDKINNSDLHMRIGIHTGKIIGGVLGTDIVRYDI YGPDVLIANKMESKSERGQVQVSETTKKTLELCYPEEFQYQSHCMVKIPSIDRNTEGHFI QIKTFQEPSMDLQNFN >CAK92349 pep:novel supercontig:GCA_000165425.1:CT868667:258901:260742:-1 gene:GSPATT00025083001 transcript:CAK92349 MLDEDRERGNLALDTYKQYFKLTGYFNIILLTFVQICWIGCYFGTSILIALWTSKAEEDE NIDNYKYLEFYFYFSLGQGVFAFLRPLLLVSAGTRTSDKIHTKMINCLLYAPQCSFFERV PLGRILNRLTKDQNSLDSEIYWGLSWFFISVSLLIANLTIYVYSSTAYMLIPLFFYFSLC WWIQLLYVTASRELQRLESISKSPIVSFFGECINGQSYVRVFKKESKFIEKHCDNMDVNR RVFLELIGTQTWFMLILGLVSLVVNAMAIVYCVFFSFSNPSLAGLLLTYATQIDGNVSEC VQSFSQLQLGLVSFERCLAFTKIEPEPGYKELENYLDHWPKQGRIDYDNYSVRYREGLKP ALKNLNFTIDPQDKIGVVGRTGAGKSTMTLTLLRILEALEGKIVIDNVDISTISLKQLRE HITMIMQDSTIFDGTLRENIDPLNQRTDEEIVSVLEQCCLKELTQQRNGLNTQISEGGDN LSSGEKQLICIARAVLKKSKVVLIDEATANIDVETEHKIQETILNAFKDCTVITIAHRIN TILHCDKIIVVDAGEIKEYGKTKELLLLKDSIFYGIYQEALKHNKK >CAK92350 pep:novel supercontig:GCA_000165425.1:CT868667:260845:262968:-1 gene:GSPATT00025084001 transcript:CAK92350 MSSDNLRKGLINDSFGDFGSFVETLIPEQKKTAREKAGFLGKLFVSWIYPTLQELLIRFR KLAQKQPLEVETIQPLSKTERSSYLYEKFKNQLIITQRGENTIFKALYQTFQKQIIITFV WLIISVCTSVVIPIMIKSIIDYITNQEEDYYYASTIIAVIIISRAINMIANAQARLEIRS FGFDAQSVLSVELMSKSLRTSFLSNPRYTTGEVINLMQVDAGKLQFVTYYLGVALLVPIQ LIVTVYLMFAYIGLSFLGGFGIMILTAVWNTLIGKFLMKYQQTMMKEKDKRTNCAILDIF INQFFREKLFKLRKAEIDITRKRYFGTAFYIFSVWLSPLLILCGTFLMHILLGHQLSAGS TFAIISLFQMLQQPLLQLPIAINEVMATNISLKRIQKFLFTDELQSDCIQFDYYGDERSV EIRGGNFYWSTLKKEEIDEQEKKEQENKKKKKQKKTKKLQKQVLFNINMEIKKGMMIGII GDVGAGKTSILQAIIGEMLYNPENPPKVTITGSLAYVGQKYWIQNLTVKENILFGLEYKE QKYQNALKYSCLSQDLKILIKGDETMIGEKGINLSGGQKARISLARAIYSDADIILLDDP ISAVDAHVGNFIMNECLNGYLRNKTRILITHALNSLQYVDYIYLLENGAVIEQGTFQEIK QFNQFQFNIPKVIQKSTSK >CAK92351 pep:novel supercontig:GCA_000165425.1:CT868667:263103:264777:1 gene:GSPATT00025085001 transcript:CAK92351 MRTNQGSSNDLLYRSNQTRRDTDPYAPNSPNALKEQTGYVIREKYNKLKDLNSKLKAALR DYMQEGKDQEKILQLKDEIIQKYERERSDWQHKGNEDLKSQLNQLKIKVQKKKTKMRLLK DQSKVFDAKLDEQKAQFQVELDRVQRLYDALTTEIKDQEKRYILLKEENNVIKQDAVVKE EQSRYLEIELKEDKQQIQILEQKINEQFEENRILQLKIDNLNNKNQDLEKLIKVNEAHHQ QELNKFQSDKLSQQQELNSQINKKKDKIKVMSNQIQQLENTVNQKQQQEFKLNNLVQEKQ KDYLKLQAQLEDYKNKTDLVSKEANYYLSDIKYLKSELEQQKSLVKTNEDKLAQYDKQHQ IDQEEKTQILQDLEQLKQDNRRIQQLLYESDNDISYLNQQHLEKQRSIEKTVEYLQNQLK YSQENLAESKRLLLEMQKQQATNEEQIEFYKNKYQKAKQNQKTLKNEQRCLEERMKMIEF ERIQDERDALKNKDHIIQQKQVQQSKIRVLDDIQNMIKLHKRTNF >CAK92352 pep:novel supercontig:GCA_000165425.1:CT868667:264804:265987:-1 gene:GSPATT00025086001 transcript:CAK92352 MKQPSYRCTEKLWKPIVRPPRHNYRVKDMGNEIFMVQDTVTKRFDFEFQNSRGLTLQCSL FEPIRMQDKPHPCMIYLHGNSSSRVEALTIVEYLLPNNIAVCGIDLSGSGQSQGEYISLG YYESKDVNDLYEHLRQKKPFITQIGLWGRSMGSVTAILAATLNYNFKVLVCDSPFSNLTH LCQELASNSYSIPSCCFNCFWCLVKAKIRREAKFNIEDLNISQAIQTLPIDVSIVFLSAR QDQLIVEKHPKILMEKFRGTKVLKQFEGTHNSKRPQDIMKETVQFVRIQFDKHSLNQNTN QGTTKITNTTKDQIPIPDVDAPLLSSEKPYLFKTDK >CAK92353 pep:novel supercontig:GCA_000165425.1:CT868667:266005:267871:-1 gene:GSPATT00025087001 transcript:CAK92353 MIQQNILDLYSYISDPEINSYIYQLCYLVYVTDDQMLKELLYNYAFTNIANYYRLEWTLK AFNEDSKFEKVKKGFTAFLKELKIKHAQEHALQGGTNQKETNNLDAFTINCIKGYGEKQD QIIFYLIEQSLSLKKIEIEKQKDHMGKFLQYINQMIVSLRFQDQPDFRGLTIPFNYGNLD SFLIVNLLEDEFTCFNTAKRVPYKIIIETVNPSELLLIQSVNQLGVEDLEELIPFYDIEK EMRTISQIKIYQQYNIEELKKLVNKKIDFQNLHHFQNLNKIKDKDIYVSKSQSKSIWGED WNITKEKIKQNSQYGNLKSHEVRQIIIKGGDDLRNELLIMQMMRKIYDIFKQKSTKLFLR PYDIILTSASSGILEFIPNTVSLDKIKRENPNLTLRQFYKKNFENFEEAQKNFAESLAGY SLICYLFQLKDRHNGNILIDNEGHIIHIDFGFVLTLTPGNIGFESAPFKLINEYEELLNG KDSDMFDYYQILIFSGLAILQEHVTELLTFVKLMHFSPRNNLLACLENFNLNEFKKRFHE NVGEKNLYPIVESLVKKSSNSWKTVLYDYFQYQTNTIYY >CAK92354 pep:novel supercontig:GCA_000165425.1:CT868667:268210:270637:-1 gene:GSPATT00025088001 transcript:CAK92354 MDDLSIIFIRQIGKYQINSSQPKIVKSQIFYQDTNQESAKKLRNANLYYSCRNTEQPNQN ICAQLIQSEPNRTTEKEIAVLELLSKHPHTNLIKIFDIIKKDHCYAMYEEIPMNLEKFLN SGRNLSSIMKENFYCQLFAGFNHLKSLKIIIRDLQPKHIRVKQIKDNEYVLQISDFRSAE ISEDGYVDSINGMSDFAAPEALIKGQSLNNQCSIYTLGMLLYYICNDGRKPFEANSYQDL IKKQREFCQNLLNQSAGNNDHQRLIEQYKRMLVWDKFNREGDDNQFRQDCYLLDNTYFLR QSDSVGRGRQGFVVNAFNIQTRDTLVCKMIQKKEQNNEQDLREVQICGYLEGENHQNIIK IIKIIKDSQWYYIFLEKCDMNIKEFMEQNNQFTDQEIIDFLSQIISGYEQLKRKSIVHRD IKPENIMIKFDDNNDKIYKIIDFGVSKIISGSLLAHTDVGSLLYIAPEVLENNVSGYNDQ CDVFSVIYFTYSKLGVLIYYMMYKKEYININGQNEIRQQQKLLKTNPFKCPDSMRNPDLR QLIEKMIVYDPIKRINWEMLKGYRLKKNHLDFLNDIYRYSLFAIQSEELLCELQDKYRDD KVLAGDIYAHRIILLKFANLAFQKIEQSINQEYIQMNETEYKINKIFNANVWKMNNKWNQ SHQILKQKQQRLEDYQITMLNDALSVIQNMDIKNSEITLNFTQVHKFYIKLPNLLNNSTF INNESIKLKYHLMKMRNLLNDSKNDSSIEYTLGQDSKILFFDKLSISQMNAYIDENQ >CAK92355 pep:novel supercontig:GCA_000165425.1:CT868667:270687:271918:-1 gene:GSPATT00025089001 transcript:CAK92355 MKCSEDKPASLSYQFLDKLGEGLSSFGYIWKVKHITTGQIFACKLVKNTMKKERTLLQRE IKILQILKGKKGFTQLITSGQDLRNTYFIMNLLGQNLEQIRTKCGNFDTTSILNTGQQMV QLLKDLHHSNIIHRDIKPENFVVFQEKIHLIDFGLSKLYIQDGKHIEFRENKGMIGTARY ASINSLKGNEQSRRDDLESVGYLLIYLYMGTLPWNNIVAEDKTTKYYKIQRMKEAFRPES YINLPPELSKYLAYVRTLKFDSQPDYDYLLRLFRRGDDQSATQKLLIQSNHSVKKANLRH LKKAERTQCMTARKLMNQIETTSHRITFEDLSSAGTDILEELEKNEIIQLQNLSVGIKQP NSLNLMSKIRMASEQIFEIDNRLKYQSPISLKQLQ >CAK92356 pep:novel supercontig:GCA_000165425.1:CT868667:272181:272354:-1 gene:GSPATT00025090001 transcript:CAK92356 MLYATNSLYYRQFLTTTGKKSKAQYQPQQQQTIAKGQNAEHPAAQSA >CAK92357 pep:novel supercontig:GCA_000165425.1:CT868667:272419:275716:1 gene:GSPATT00025091001 transcript:CAK92357 MEEETQGLVEQKIKLNNAKGYKKIVSPILNTIMLMIVFCLIAFMLSMMIQQQKLTQHNQA SMLQKQQLRKPSIDTNTYEYMTLENGLSVLLIQNNDAIISQVALSVQAGSFQEPSNYGGL AHLLEHMLFVGSHTYPDPNYFNSLIYNNGGTNNAYTENYETNYYFTIQNSALQQGLDVFS HFFIDPILDQKMVEKEVNAVNNEYEIITSTDDWKIEALLKIISEKSHPFSWFSIGNLNTL LKDEISELLKQFFNEAYSSNLMSLVVESSLSISELKTYIKNFEKIKNNNLIEPTCEDLGY PIQYGPQFIKYKSNSDVKKVYMTHQLSDVQQQYKTKSIEFINNLISQSKGVKEYLIQNNL IIDMSSSVLFNDHNGCFSVLALEFQVYDLNDYDKILQKVFSYYFYLIQTLFDDKGDILVD NAKIRSLYNDYMQIATLKFDYNENIIDDIQEIAHNLNFYGFHDVLSRKYLYEDYDPELIY FYLTELLDIDNLNVFLGDSQLNHPDVQYDKVNRINYAFVDIPNSVIQKIQSYDEIEQPKF ELPSIHKYQPNDLNMKSFCKPYQSSKEDPYEDLQNEKMLIQRNSEMVFSNVEECLKYEHQ YEELYPLPEYLFKSSIGKLWWKLDRSYKTPAIFMGMKIDKINFQFTLRQQVLLKVFQSYT STMISQHLDAAFQNNYNFKFTSSPNHIIFNIYGWNDKFFEFIEDSLAFFIKQQIDQSVYD QTLKTLMNNLNEELQTPLYQQIRNRFFFNTLIQGYYEPQQLLQELKSINIKDYEQFHSHL FKGTNFQLYLTGNVLREEALSLFNSIEKKLFGKQKHLDYNPIYSKILKLSNNYIIPYAAE SDDINGATYNYYIFGNRNRKQFAIMNILKGTFDSYAFNYLRTDLQLGYLVSAKFQPLECL DGAAILVQGSSKTPYQVNQHIEDFLQHFYEEIEKMTDFDIDELKKAAIQQLRQKEKTLFE KGQTYWDHISNNDYIFEEKEITIDQIDLLKKEDILTFLNSAFKKSSKISIQLYGKHMLKG QPVEDFLKSQSPINSFSNIEEKLSDKEKIKVGAMLYQCSFKLGQI >CAK92358 pep:novel supercontig:GCA_000165425.1:CT868667:275741:278135:-1 gene:GSPATT00025092001 transcript:CAK92358 MQETLDQFLELLKQTDEAIKNEIRTLTSNNIDFQVTSSKWDEASVKQLKLLIQTYCIDQQ ISHHLVPDDVWSLISQLMKSTKIDCKLQYATTRKKIRLLQQQWQKKEDEALKEICLSYLT QNRPFKWSDIAKELAQKLNQQNVKMAKIVRDRWLNKLNPNIQRGPWEKNEEYELCKQLLK HGKNWMIIATEMKNSRTESSIKNRYFNILRKLENQDVPILSKADIEKQLVKLQLNENVKF EQFGQQEISFILYQLSKLEPNITKTKQEFMPDNKVQRKNNGSIQNSCSKRLSKAEEKDEK LHAKNIIKKVLQHYEQDQNLDINKMKDYYQEVVAQKGHTVELDEEPISDSENLSEVQFAV MGKDSQKLHLFPKSQLKVVMELMKKKKEQKKEVQSSLSKIQSLPPPLPKLESKLQQPQQQ QSQPQQPQQQNPQQIFQQTPIPSQPQIPVQLPLVQPIMQPVAQPTPVIPIQQQQASGQQQ QPQQQQQSSQLQQQQQQQQQLQLQQQSQQQQYYQLVYPSNFMYPQMQMAPVAQIPILPGQ MMGQIPGQMVSQMPGQMVGQMPAQMVGQMPGQMVSQIPGQIISQMPGQMVGQLSGQMIGQ LPGQMGQMVGQIPNQMVGQIPTQVVSTIPGQMPQIISQLPPQMIQQVQGQIPQMVQPGQM ATVQNGQIQMPQLASMPQLAQMPLQQLPLAAPQAVGMPQQFIPIIYTSQPVYQQQQQVQG QLNQQQKTNQQQQQVPIMNYQIPMMPQYGDQYFQIMNMNQQNQQKQPKYSQVKQEMEEQQ QQQQQQNRK >CAK92359 pep:novel supercontig:GCA_000165425.1:CT868667:279194:282771:1 gene:GSPATT00025093001 transcript:CAK92359 MKKDKRSDKKKTSQASLYRSSITITDIPDNLMEKNDILAEEIQKTVKTLTYSQRIAKAER HIYDAYYLRTIRNDIDETNKKFLKILWIIENQQFWIQFQFLLTLIYQLMTFFESSATDGL EGFILAFFGLDTIITVILLKTKKKGGLGFKFNLKRQLKIFFFCMCLLDFFVHLGDPTIVR FSRLLRAFLMPLYSKDLRRTLKGILKASRDLFLLIALYLFIISIFSFVGINLIGELDNVD KTTQDYGNFLKLFSMLLMTATLDFYPDILIPPMMQGTYYALFFIIYLLLFIFLFAPIPLA VVYEGFRNHRMEIAISDIIKQKSSMMASFISLDFDDQGYISETQFKDFIRGFYRNQLSEN KLLQLFGEIDKDFNDKVQFDEFYQLLKVLQDGTRFTLPSAKPLECWERLRAYFNKRGLQR FIEGSFFGTSMLVVTITNCILIIAAFFIEDLSVLDIFNSLDTIFLVLYSMECLVKIVALG IGEYFNEGWNVFDISLVILQIIFDYILFKVVTGNIVQSIKANRILRLAKIQKVFRLFRAF RSVKIISFLLKGVEFLDVVRRLLYKILFCIPIIFRLMMPVQMVFFIYATIGIYVYGDVET VADNPYANSKCDPNQFQYSWGDCKYADFKSFAGAYLMMLQFFIAAEWNQVVFELTYDTGN MFSAMVFIGSFEFLSIFLLALISGLVWEVFTIVSLSIKQEDEQVENEIEISNDAQLDTKI NGISGVTQLKKKTRILNDDNPDVIQFQSNDKRDLKEGNPAFRTISEQFQQDTFNENNLQT RENSQVRIVQIAHSKTLIRIKTPEYKPFDVGALYKQHFKDYQTFLEFKQSNDGFDVQHVT TEYMNHLRNEIKKDEIFHKKYINISNHHLLIQNAVLRDASEVYIKKQEDDFFKTSYREKF ERIQELKFQSKFKIESKILFSLMGILKFPKPNIKYYFQILYLIENYFTYQLLPESSFFKL LHQINNKWYLISIEDTQICFNRLHSGPWDHSDTLFTTSNLQICENLRSFIDQDTYESRTT VEDFKLSLSKLAKNFEMDISSVDVNSSCILYQITNDQYGYDTNSIKLKRNQDEISPDQKF VVLESQRNIGTKTCFTHRQDSQSSDEHQHINKTIMFVLSKTQAQEKRIIYQNQVMLAQFI LDLAGVIHNYSHNFFQQIEDLYTLRLKQKGKGIKRM >CAK92360 pep:novel supercontig:GCA_000165425.1:CT868667:282788:283466:1 gene:GSPATT00025094001 transcript:CAK92360 MYILILLIVQVYSYHTISENSKVKTLNQTEFQQLNIGRDSHSWFILFYRPSCPHCQKVLP VWESFAEYNQTSSKIGAVNCEVEKDLCKLFSIDAVPTMILISEGGNLHHYSGNRTKESFI QFLDKNWQNNETETPPKDDSSIFDTTTIFILLLLVLVGFLIWIYFQEKYEYSSISSQANQ SSIIEMQEINKPIEI >CAK92361 pep:novel supercontig:GCA_000165425.1:CT868667:283613:284365:-1 gene:GSPATT00025095001 transcript:CAK92361 MGNNLCFQTGERSSQFPQLLLKYQIENCEIALTAGPVENEDVECLVIPTDSGYTNIKQSD RPSFKQINEKCVYVAGSKKRKSQIFVVVMDKNYAVKNDDGEDEKQTIYAVVQEALKLAEQ KQMKSVGFPVFESKDHTTSATIMLMAIKLTITEQKIKSLKRIAITLDVSIDVQIYKHIEQ VSSFKWVFQQVFKGSEQLRYSRTYSSSINTQIDSISIENLQSRVKSNTKEETP >CAK92362 pep:novel supercontig:GCA_000165425.1:CT868667:284978:285567:1 gene:GSPATT00025096001 transcript:CAK92362 MADVQSQRAFQKQEGIFQNSKKLLAKKTSKGVRYWKEVGLGFKVPKEAIEGHYIDKKCPF TGNVSVRGAILKGIVISTKMTRTIIIRRDYLHYVAKYNRYEKRHRNVPVHISPAFGPVKE GDIVVCGQCRPLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK92363 pep:novel supercontig:GCA_000165425.1:CT868667:285584:286084:-1 gene:GSPATT00025097001 transcript:CAK92363 MINDFTQLAGWQDYSQSSHTNQLKLLSESMEYSSPMPSNKNRKLLPNLCHIRQVTEIRSP RKKSLHSTRIQQSQVLYQIKDENPLKLRPANPRHIRVTPSQFNFTLSEDKFNKMSKDSSP TKQKLNKLAPLLQKVHKVQIKNKIITITQKSPLTQLQKLLDVLNEK >CAK92364 pep:novel supercontig:GCA_000165425.1:CT868667:286093:287062:-1 gene:GSPATT00025098001 transcript:CAK92364 MFCCKIKNTIQSSTRNYQLLDEIGMGSYGKVKLAKDVKSKKKYAIKLIEKQKMEEFHAEN NILSEIRIQSKLHHPHIVELVEYFEDEKFIYLVQEYCSKGTLRQEICKRRLLENEIYHYI YQLNEALIYLHRQRIVHRDLKLDNILLDENDTIKLTDFNWATKITSYAAEPTNCGTTHYM PPEIVLLQPHTEKVDSWSLGIIIFELVFQHRPFEGLTQEELQKQIIYHNPLTDCKSIPTD LIMLLNCLLTKNPIYRLNCEQVKLSQWFQRQHHNSFLQADESVNLTNITYNKNDNI >CAK92365 pep:novel supercontig:GCA_000165425.1:CT868667:287077:289932:1 gene:GSPATT00025099001 transcript:CAK92365 MQFLSRSTAPKLPLNLECVLKQSKQIIELQISALHPGPVVQQHIDIFLSDVEMVEKDHKR FNSLEAIVKYSIAHEYMRISGKLLNLSLEKTQDLLKSHQIFDLSCAYLWITASGPEESKE LIFDSNLDMQGMPLIMYLNCLNQSEQTCSIEQINNHLKTTDDPEVIYLLLRLLKKHCNQN QADVEKVVLANLEKMETSFQAKYCFSEFINEYYEKYEVGVSFLDLLLANKLEQYLQSPSL IFPYQYQEEQKYPLLSTRESKYIYKIDNCDMQQEIELLKQNVGLIKEEEFIVQDETLQEK QSESSIFELKQKINTLSRKLTEAEKKVSIYEEKISKLEEDKEFLLQELEESKRQGQIHFN AFRSVVNEKLQSTQQQPTQQQQQQQISKQSIFLSNKQNLFKNAKTSTLKASVNFANIQPK QLQLQQIQEESQLKTEDELKNENEVSNFDKKIEEVTSEKCLNSEKSIDSPQTPLEAVTPH QCSQASLYANSLATPSSQSPPNKSGPPPPPPPPPPPFGKAGFPPPPPPPPGSVKSSKDLK PKFEIIPIDVAMKKVQTWNPMPVNKVEGTIWETFKYDEMKFEVGEFVDIFAAKTAIESSV MQSGVFQSVYLKREPITKLSKGSGPKDPNRAQAIQMALKRVRYPVEEILQAIRIVDEEKL TEDKVTLLIDCLPKENEKNLWKEEKTWDFNELQSRISDYSDADKFCMFVMEIKCYEERLI SLSAKYESLKNQVVIEELLLMFDAVFDSIKKDEEILIVMKYLFYYGSILNGSNQAQGLRF DCIPKVTCYSDKQKQFDIWMYVIKKIEESGFVIDLEADLTKLDTLSQETRKMDNLFVFLK QFEQNKHQIALARDSDQGGDDRAKEVFGQLYNEIELFVIVQKANLKSLEIKYKELCQMFG ESSTKSTDQFIKTIYEIKRKVRERKRILLEQENQRKRRQAMEEKKKTIIKK >CAK92366 pep:novel supercontig:GCA_000165425.1:CT868667:290159:292624:1 gene:GSPATT00025100001 transcript:CAK92366 MLRILVLGLIQDIILGSKIFYYHDPGNDISKPRTHAKISKSNTIIDFYFEFSEDQKEVTM MIEIDKISYFSLGLGKSMGDADLWVFEISNNVIIGSDSHCSKHQRPPTDVSTGGTDDIEI LGYYYNQNGKSGVKFKRKSITGDKYDKELAQKKGVDFIWAHGKNDQSLEVSNHGKTNYGY VKIDLIDKGGDIDVIIENDSKYYQLHKWTNFSCWGVASDLAIIVGRYFKTWGYRTYLHGF LFILIISSSLTTAIFMLSNDWEILEWKHFKEEPAKNKFHIVFFITLSVLMIVQCIGGIMY NMMLISHKINKQVSIKPSIHAIAGSIVYAIGKLQIIAGLFMDNDIRLMLILGAVLTIRFI LEVIYQRGTLMVMTNGNSSSSYFKKHKVLPDKEPLLLNINQSNFEEMEVQSDKLWCIFHN QIIDLSQMIHPGGNYIWKLIQGQDITKYVLGAYPLPQLKLKPYAHTVYAFKALSKYKTGV QVNQDLELFYDKTTQRPIKKLKAIWTLTSVNPFTELIAKFEFTNPQFQVKTVFNGLDTFG AYFIIKSDDNNEIHQRQYTMVLSMTNQRVKYRKDILELYKRILNLQPIQKEIPKLEEIED QLPLIIKKYEAKNGFSNFLHEDNRQGQYIIEGPFGNSIQLENNTNLIFIAGGTGLFPFLD ILDYQLRVSYIHILKMKLGQEAANLIDLGIKEIKNFTITMFLAVNSIDDLIGRDIYFALL SLQQYLDSPNFKLIVKGNFKLKECPVVETRFTQQTFMNHITDMQGQSTYFICGPPKMNVE VEQILREMGIMKIIVL >CAK92367 pep:novel supercontig:GCA_000165425.1:CT868667:293042:293952:-1 gene:GSPATT00025101001 transcript:CAK92367 MEQKSSKRMLTLDNNFEHAEFISARTDTKQRLGELFIQHPTKLESIINAFTLQVFGLRQV SSKIPKFKHPKPLFELPMSDTQRSLTHQTTSIIDCSKCNQSLSKMINNKSHHEQLILSQP TLVAEEKKEIQVQPPKRLVSHHGRQKNELPPILAHPHISPPPFFQQSPKAQRKQKTMGKL SFGRISKNFDHELMQVIQSELQRQFNNNLPKEQPISKMLQRHQNLKRSKLITLSQAIKEF KKSVQSEDRSNTFDLFKQKEKELNQLISGHNYEIYQTIDDII >CAK92368 pep:novel supercontig:GCA_000165425.1:CT868667:294606:295359:1 gene:GSPATT00025102001 transcript:CAK92368 MASFIQTLLTKILHTLYVIPSTIYDMIISNFLTKYSYERLVFYMKPKEILKESQILDIYG TNYRVDIDQAYVLKANNIFRNYNLGGRKLEIRYQNFYEVTEKKEGKFDAVVFSSSFMLMP KRIEALELAKSLLNPGGSIYFILTLQPDSKKNSTFLQFVEYIKPKIKYFTTIDFGSITYE KEFETLLNKSQLKVVNKEKLNKDFNIPTKIFRVFVYETKA >CAK92369 pep:novel supercontig:GCA_000165425.1:CT868667:295383:295814:-1 gene:GSPATT00025103001 transcript:CAK92369 MGSSCISNQKDTTFEDLYFPQVSTQYMGIQNINQTIIGDFQQVKLLKESLEEGIQISNIQ TIVITPSCEQEGAKLVSQLVKLGNHFSIISKRVPEIPPVSERSISKKGILKNKQDINVTQ SADGGYLFPVPKRKNGKNIKFQG >CAK92370 pep:novel supercontig:GCA_000165425.1:CT868667:296204:297157:1 gene:GSPATT00025104001 transcript:CAK92370 MKKRFLKLQERRIYKVCFCINYVKQLFIKKQLFKDMQQVNFENQIQKSKEWSTLPYDQFN KNKIESNLEHEGVELPPPQLMNMMSYLSDKFEEELESPINIKLQTENHQNQQEDVQIDKN IEGPIIQIQVQPSKPDDHFISTLEQRSRRIRKQNINANLQRNYEYNQESDLNSQSNEHTM NPCNCSKSNCLKLYCQCFHQNKQCTELCKCLDCKNCDYHTQVRQTALEKIKMKSQRQKHD NDLFDLSKVWGCKCQKSQCQKNYCECFRRNQKCNSSCRCKDCANKKKIPNQFKKKKKFET ATN >CAK92371 pep:novel supercontig:GCA_000165425.1:CT868667:297394:299566:1 gene:GSPATT00025105001 transcript:CAK92371 MKPYQTPTSCHSRKGSLKVQRQPLQVISNCPEYQQCADYLQDKVQGLELRVKNLNSQHAD RSATKSKVDIPLSPFMNQKKACQSNQKIDSQSFYETIINKRLENISKIDHSPKHVKTDQA FANQDKYSTHSQFSNLSHYQSKLHNNSILRIQQLTKKLRKFKMIKDQNQDECAPSLAKIK CFCQEHCNDCFDFYRCTDLVTLKYFIYLLLQEINTLYSQDAIKMADNSLQYQQQIEMLKE QLDHQKSDQLTFQNQLRLQKMVVDTQKLIQDIINALSSKQNHSLLINQVEVLNKQMKDLK DNMSTNQTQNYLSQNTTSFGQQLAKCGFDTNQQHQIMTNESSLINQSNYKTQTRDDRNQS QLSSKSKSPYYRNQKNLNFDIETSNEQNKRLLDEQTIVNRQLMENLFKLQTEKQSSDFKV IQLQDEIKQKTKLIKDLEKNMYSENSNTYNNLNQRIIDISKNLDQVLIQNQELTYENNHL REKQDEFKQAEEKYYDLQQDFNKQYQQLNAVLKDNFNLQKQHQQIESDCKSYQQQIKKLQ DKCQHHQTYLENHHQIEYMEQQIKELQIQQQEQNKIITLITDEALYLGQMTLYACDILSR QPIEGIPTTLLMLQKDLNAKKGTIQQKMNILQQFGNNMKDQEPHNKHQILESVDYREKLN SETSTLRQKNASYYDETSSTVNQNNQQNELMTMLLLQCNIIEKMMEF >CAK92372 pep:novel supercontig:GCA_000165425.1:CT868667:299672:301635:1 gene:GSPATT00025106001 transcript:CAK92372 MFDHTQQFVDVDGFKIDLSSEFGHGAFSRCYRCKNPDKKMDLCVKIIQLSENSVDVFSRE TEIVERLLQTDCEYLQVEKPLLYLYGILHVRRSIAISYQNLIKSKLFNNLNDFINLGVAY TRLKVLYQNRIIHRDIKPANIFFGNNGTFQLADYGAGRILDNPEELFMRSGIGTPIYAAP QVYQGENYTNKCDVYSLGVVIYEIVYSKVPIFAASYYQYFEALKQTKDKKIQITDFPKNI FGMPQEKEKLYQFLSQSLIYEESSRISWEELFEMFPNQKSTFKVKQNLSEQRSNATIIQK VESQIFISNRSTLESDLQSSVKRLQDSSLMFNKFISELIEDQEKKDISVKQIDRNDSLIQ SQRTDNILQRLIECYLAKCTLFAERLFIKNNNFLDFLKNNENSDFSRPYVSRLYYYLILL SGYQFSSIFNVYNLVFQNQKYWDELEITQKKFVEKQIELLLQDKTEDLKVQKKFVKQLYI QSNYLFKDQRERFKIINENIILTFIQKKYQAILFRQNSITINIKNNLLSQILIIFEDESE FLMENNMYHLAYSIDFFKLEEKYDSFLSILDFDYKKVVQLPDDKESLLRAIKNYFKDLKN QKH >CAK92373 pep:novel supercontig:GCA_000165425.1:CT868667:302297:303427:1 gene:GSPATT00025107001 transcript:CAK92373 MKINSHPEKKLEGILKQDILFQKAKTMIKELKRDIQGLPSMDYEESSANLYNFIVNRKDE YTTNYSSKAHPLKKTQRTLSFELIKPKIQETQIKHMRFGSREGRRVESRNKQKIRRRSCC CSDCGHDNTKITHLMYKGATCHRQVEHAQQISKKRDFVEDSLRFSQKLARRIIHIKNRLS SKTIVFRKRCNSCDTRLSKDIHFQHKSVTMQVPQRRDTHSKTQKRHTVFVKRQQTINDKL LLIPIKNLKVVQELQLPNKTLPTLPSNTSSKMLKKKHLSRTFIPVNRSILPQVSPQNIII NNYKSIDCQLKSQIRKEVKELQKPLSESKKNSVVSLTNPYRNISQLDYLLKVRRRQCNY >CAK92374 pep:novel supercontig:GCA_000165425.1:CT868667:303466:304501:-1 gene:GSPATT00025108001 transcript:CAK92374 MQFFDITNLEKNSQNLKSKSIVQLPQSPKKKHQINYLDMTNFKFDWIRLDEKEVEVMKEE SPNIYKFSLQEQFSWFQNQHTHITYPQQIEHGNFIESLMKHQIDEQKRHDLLCWMDHVMG NCKYASIETYFLAISLLDKFLQQTTLKLSNSDMLVIGVSCLSLASKYKDRPCLSSQELIQ LTCDKMDELRLVEWQFTILNTLQHQVEIPNYFKIFDYIMRDLEYRYYKYISSDVVQNSLV SRKFKAIYKCGLNLLKFVSQYYDTTIFHQSSISYGTIFYTIKRMELLQYSIPKDLINVLK EIQLDQAIINTEIVLKHIYRLCQNGMSSKQQKYFKD >CAK92375 pep:novel supercontig:GCA_000165425.1:CT868667:304623:305227:-1 gene:GSPATT00025109001 transcript:CAK92375 MYISEFQDVDSKRVKERLVESAYNKVLVWRKIFQEGLINEQGEKQYFSLKEAAKFVGVPK KTLEDYTSIFHKVGLVASLFDFQGKKMGFLRNYIRKNKSKIRKASIAERLKQAERKRENL NLMKNQTKGSSFLEDFSSSKETEQFIEKVSDQNLFNSELEEIDDVFQESHILLPIFKS >CAK92376 pep:novel supercontig:GCA_000165425.1:CT868667:305790:313849:1 gene:GSPATT00025110001 transcript:CAK92376 MLRKITYGCQISLSLCSEIETYLSTDQLNDNLLVHKVTHQNEILNFEKCIFMIIPVLNHF WVGKLVELVRNDIDKEINKKESTIQSRQQTVKSYENNLDEEMMANISCFQKLQDTSLLFG TSTFHLVHKDSLRFVMIDQESVQLVEFPSDYTVLHFQPVYQYQKQISCILDQETVTLCAA KSIQNRKCYLRLISKESADQVSSTQISPTYLKMNLYSSLQENEDLLYAGDLIAINLSETN LFLNAKQPYDTFHEIAEYQIQRLQNQLTQLTLPKIIYEDLKVTFDDVIERIGMKRIQKLQ SSSYWKIEKTNGQGGQIQFYQLVRLKHNQSSRYLELLNGDPILTNQLSKLSLFMLIPIDE GQKVLKKDSYFKVQHYQSGMYLQDQNNKQENRNINILRLRKIGDYEDTETKLLQYSVKIF IEAIELLQEINDQEIKCSLYDIKNKEGEIRLKIKFYFIYQKVKQVLAYVSDFLMNKLISN LSPNQKYNQMSFIRQDSFRQEPLFPLICLLFQMLKPELVEQIAQNDKDFFEKEEKFYQST KTINRADRDEGRIIYYYRLQIKILQVQLIETINIICKDNIENQNCFFQFLPILHKHIILY PEFIAVLTSLVCKNRFFLEDLSKIRKISSNKPNKNTFILEWLTEKVSLNSVAKTEIPLSQ KTEILQFLATCCSFGVEAIFANQEMIFKTLINKKKNITLMKCVVDEEKNIIVTCNGKMGQ VSIKFEAYFEQAHKNSNPHFQEELNFLKAQFFLYSQLCLQRNYDSIKYFGDQFSDNSLVP LLNNNLIDPELRAHLIALCKNIYLDRDPLIAQVKPTLIRVNISNSQTFFDNIFYEDEDGV FDKVELETKSLYYVIQKFKEILSSYLRQMVKNLHEQQPQKVYNLLTLQIMRILFMLLQFG WFSKQSDEMNKQRMDDSKEIEYALEKLIQQLSLLLEYDFDYQKAHSKLKSKNVNEKLAKM IKIQSLKFFRKTEQKKINQSSIETIRDPLLRKLQNVKRVLNRFQNKTFSAQTNTSDYEIE IKIEICKIFQYLLDMRLDFMIDNAIAFFTNTFLPSVSKKFTHSEKQQLHDSAENKSHLQT HLVYYRKKYLTSLQGLLREDLLKPGQKKFQQRGIKDHVQINRIRHFDRIIDRPFIEVLLK GFYFANDNVLQNHIVELIERYQNQRSEFCNYLKQIQMIMTNNLQIIYRTMYILVQKLKNN VQNSQIWLRLDIPIALNEKNEETIEKVLEKLVEIYTIISKNEANERSVRRIFLNCGGYQV VYQLIVKCLSVIDVNMDIFECSFELTEYDEQKQYQQELTEKILQIFQACLRLIGLSVRND QQNQEYVLIKLARPLLRYNMVNLGQIELINELFSNNHNLLPLVNSNDLYQLLEYVKLYGK YQSFIELFTSIVRNKQCQSLYKDIYQALVQCESKDINDNYNFQKFYPNQPNIEEFRQSFL KIIEVVLIHDDRAALSVKMLEVLDFTDLTSYFLEKTQEMRNTNLDLELQDSITKIKFVIC RIILTLSKKKKKLLLIHENYFLQIISIEASWLEQQEFLQTSAKTYIVEGLLPLINTYNEY ANKKNSFKTDIAQIRSYALSVLKQYSQLTKNSDTSTKYQVHRLVKAFDLKVPDETSETDS DQEGLMSDLNGDTFKTEKFEEYQVTEGSDGSDQGCNDLELWEFFKKLFDNNQKIKELTET DQLAQSIWHVSDMFTSDFWEDKEKRQLILTNNDMLQKILAFLQFWKSNKASKQSAIFALK LLIQIIKIDQNRIKILDQLGGTKIILTLIWEENESENDYIIQLLILIKELMTCKQVQKTT LTFLSLAISSDKFFQKMEMLLTIEQDLKICTLVLQIMELMCNDNNKELQNLIRYQKNNKK SYDLVQQTINYLCSLDVKNNYEQLIQCLKTLKSQAYACRPNQTLVANSKFVEFSIRVLND SSLKQYLDEVQIIQLKHQCLIILLVVAEINTKDDYIVQKLKKIVPKTVLVQHFVNVYHQM VNLFGEDNYSKLIFVNKPNFIMESGFNAYILYVILSELNYVVEDLEEFKKNDDEGQLVQD FLRDNILNELSRLGQSLMKHGLEQLNQIKQQFSGQVEEKMNIIKKHEMMHKAFQFYKMNT LQVEFVKDDVLYLTYFPKLPCFYLLRQEIKKKFLDQADRTSTKTKIISLVNFVDSAYKFM LHQEALRDYFKKHKFLEILARRGKLWMQLAFYNGFFINLIIILSYTQLAFPPGYQPKDPD VIQYYRLNEPRFLQDYNNTNTKYYLMMLAYLNLAINFMVLFFYLIDKAPVKLQFVWNAWH ASSLIGHLISGLIKVIISIIVLVIDFDIIYYILQIAFNIIGITIHPFFLAFHLIHILYLE PLIPILKAVWLAKFKFIGLWLTIILFEYWVALISYVYFFEFFITDKNQNLCNHLWQCVIV TFDWTFKTDGSVGGRFMENIGEKAEEMYLNNLNNYYGRFFYDNIINIIIKLCIINVLLAV IIISYSELRSVQKQKEKDQNHKCFICGIDRLVFDRTSQNTGFWHHIKVEHNIWNYLFYMC YLRMKQSDFNNGVDNYIRAKQQASDYSWFPIRRAKALSLKLQILESVSHKNTTSKSKLIG LLHSLRRLVWIHKQF >CAK92377 pep:novel supercontig:GCA_000165425.1:CT868667:313995:315402:1 gene:GSPATT00025111001 transcript:CAK92377 MQIPRDQMRKGLQKYNSNYRNQGNRRQQTQNLMPPDVIPKGMLSMNSGLKTEKNTKLNET ETTDVTHNNLGFSQQLQQQVRVEHLDLGFFKVQPCKVMGNHNHKQCPFFHNPKDRKRVAV EYSADLCQYIENNSICPYGDNCNRAHNRVEQLYRVDNYKTKFCSYYPNNILQCDYGKFCS FAHSEGDIAIELIHNLEYDDDFFIFYYKTVWCPFNLTQHDKSLCVYAHNWQDFRRKPQGY NYIPQSCPNWNTNEYITEYSYGCPDAFNCTKCHGWKELEYHPILFRTKQCVNSNCNKQDC SFYHHQQERRYIEQSSQSRIFRIVPRNRIIQNVFKVRERSLQTSQRNQKSQDTCSDQQQW LSHNLQNSFQYEPESDTVVEFKNKGEHYQTALISILERTDSEELKDLMRKKSFSVDIIDD NEQIRGVLKMIDLDS >CAK92378 pep:novel supercontig:GCA_000165425.1:CT868667:315520:316336:1 gene:GSPATT00025112001 transcript:CAK92378 MKQKLSRAQLIAHYRQKPLEQMPESFKALCQYYPQAFKALSQNPYQARPIRGFNQEILVY SSNCATPLKTAGVYQSGGGDLFRFDEQQILTPQPKQSNTFDWSQQQLQSIKKEIVFSRST PGQANHLLSKIWYYVDDYLKVQGPFSSIQMDNWYLKGYFDDILRIGFKPENSEGFLRIYQ LKTQKQSKKILKLLRRGSCPPSLTASQETTIQLKPTAQQQQQQQQQQQKQDLQQQIEMQN TDNAPTIRVPTLRKKK >CAK92379 pep:novel supercontig:GCA_000165425.1:CT868667:317024:319041:1 gene:GSPATT00025113001 transcript:CAK92379 MNFSAQFWSQFGMLMKENGFDRHFENQDLQLTTLLEDDLIIGEFRNQNQKLMEYMQKKQV AELVSYLTEMPVDDSNSKRAFRYPFYSCELLVCCENPKILEQFFGEDRSLLHKLFQFFVS DEEVNPVLAGYVCNVLFNLLKMRTDIFLAEFYQYQNLVDGLIKQLQSRSVTELVIRLLTI EQETQVDYTPQRLQLIKGVVDRIVDTSNFEVSTNVSHIIQEITLKAYVIFKAQPLFEFLY GESIDQFCKSIVHENNFVSLASGTALYNLLTLLYKIATRQGDPAESAIFQVNQQELFNRI ESHLNKWLEFLQNSEARIFGQHKVKILEIIGVCISLNQESFVTKLNELDIFKVYNSLFIK YEKHDILHLQYYRFLVHIIEQRLDSLVQSLFNQNEFIQFLLKCTSEVSNDKNQRKEYLGF VTKLAHFLEEFSSKYPFLQSELEKDTWKQFKEQYFDKAEKLNNHELGGHTRADNNEEVDF NKEDIQNKYDDFLKSNHDEKMQNESNTQNIQNIFDAFNIGSNDQSNSTDGQQQQQQDWSN FSWGQEQSQGEGTQQQDFQSFGEGEQKKEQVMEFEQQSKAAVKHDFAQEEKDDEYVRFWQ FQVHEHDSKLHDDALYEFEKNY >CAK92380 pep:novel supercontig:GCA_000165425.1:CT868667:319151:323211:1 gene:GSPATT00025114001 transcript:CAK92380 MKKITQSLFWFYFCLDLVESVQSYADVNPLNFVNEQFKPSTQDETNQTIKGANQYITKYT LNAFTLTELIIDLRHLDKYTDDQGISQFNYTQDDWNINIKLDDNTIALPDIFEQGDVEKV SDFKFIIFAYKTITFQILFQINSQEFYAQKYQFMNTTKVQNMEPSTALVNTNSIFVFIQS KADQIALPANTLPLNSFDYQDHIISYSSNNFFKQNSYTTYSPQSKYWGDRNNIVIPYIPF FSNCQGFGQYIYFYQLIQHGNCTLYNENETEAINPFQFSMSPISDECNDLKLYCIMDEKY NINPRLPRWFELNDKDTLFFIQADPLDPKDFSSSYEAPSNGIVEIQTVNSIPSGMMPLEV NLDIHYYQVTKTQKRIITGQVTFTNFYKLSEDQKAGKAEITYNLIFNFISMTQGQLVNNF ALEWPVYFILYMIIGAILAMEVAIFLFYHYITNRKRPRPKINLLIYIRIFWTSSLYGICL AFVPALTVYILISIIMAGKLWNTNLSLSCNMTPYSESDDGCAVVFFDLFKNQLKYTSDSF RLLRNARTGLAMITVGLYIVYFISGIYIPEINPEEDYNGNVKNVRLWKRSRIIYCLAFIA TIILIVTQFSYSDLFANNAYMFLIFLKILQMILEYILEVYFDNNLISSPIGVICSTYINV ATMGNPTFYDFLLSTIVDKGIEMVERAYVIKIQDIVTEELEGQFDKVYKMLKRLLDEEEE DFELEDEKLVEMQKVLKTNEDDSDSDIVFSDDGKENLASDDESFVSNKISSNNHENSFAK DDYDEFENKFRTYLLDQHHKTFQQYNEEQGTHQELAADNEDQTDLIDYFSNFANDIITLV FNPFITTIMWFFYDESNLLADYQINKSEFIYYFLWTIILIPFQMMIDTFGYNLVEQYHEL DFLTYITNTRERFRNRNLFWKASEFQNDLNCETCFRSLDQWCYSSQYYFITTLQVCAIQM IIFGSHIIYVNQYNIFNDEALIFIIFFWVVVAFFLHHLFIEIGKRLKIWDISSRVAPQDE QVMSSIGDIDKLSTSQIPQGPKLLIPNWNQAIEFREQTERLSEINNNFQDFTNDHFKKLF ITSNKQWLADNIQLILSPKTLKSKRKIILDKFNAIYGPLEKKNEEDPVAGFDNAWNFRQF TKNSSRTEMARTSPQKFNKRIDNMSESTKAILKYWLYRSRHMKTAIKYIGGLLEKITKPF CEYCGLNWGLGVDTETRIEDIYLRFLKQKGLKGISLNMGTEIDDWQNFFPKQCKFRTTCF ICNVFMVEEMQKDKVKSQGEQKLVVQKKKNKYTLKKVANLILIMIKFIDIAQKLAYQNQ >CAK92381 pep:novel supercontig:GCA_000165425.1:CT868667:323269:324154:1 gene:GSPATT00025115001 transcript:CAK92381 MGKRIRAQRKGKSNSVFKAHQNQRIGSPQYRHLDYAERHGYVRGVISAIRHDPGRGAPLA EVEFNDPYKYKKVTKLFIAPEGSYTGQYIYCGAKAQLATGNVLPIGQIPEGTVVCNLEEH PGDKGALGRATGCYATIIGHSDDGTTTRVRLPSGTRKTLSALCRATVGLIAGGGRTEKPI LKAGRQFHKYRRLRKCWPKVRGVAMNPVDHPHGGGNQQHIGHPSTLSRYAPPGQKVGLVA ARRSGLLRGGAQLKQMDEDLAAQQAKK >CAK92382 pep:novel supercontig:GCA_000165425.1:CT868667:324154:325396:1 gene:GSPATT00025116001 transcript:CAK92382 MNYNLKTFDTIKWLRNRYKSRVKDQYILYESELKEQNMMKAVFNSIDRDKSKFLDRSELY DMFIKYGININKSKLKEFFKSIDEDEDDKLNWTDFKQALKNQEALNMFVQLMKKIREDYE INKIDPTQLLTFVPLSFPQMIQYMNYCVLREEIIDKIESENINNYQKHKQCVNLLTLEDN CYRNIKQNIADDNDSEDEIKNVSKIDHSQLLQMKRFQERELKFKRLEKVIDISKRKFYSN PTQDLGITDNVRLSNITDIKVNLSQEINKTRENVDKFLAILNNEKLILQKSRQASRVSSR QRSREKKNGIFKKNTILVEAEKELFNASAPQQNKFKILNSGSLNKQKYPRERILSLNVKY K >CAK92383 pep:novel supercontig:GCA_000165425.1:CT868667:325627:326147:-1 gene:GSPATT00025117001 transcript:CAK92383 MNKKLFPVLLRQFNRTRYRSEKSLIEDIKYNPSGDNELKRIFQKHISLIRSYKQLLEVLD YNFTQVNQNEIIKKLQKEFDDRAKYGFNCSNELFIKFFAKVFEKNFWSKEFDDWLKVYLE QNVKKMSQSYSQQMKKALQNCNKNEEYTQILDDHVKQIESLKQLI >CAK92384 pep:novel supercontig:GCA_000165425.1:CT868667:327134:327857:1 gene:GSPATT00025118001 transcript:CAK92384 MSGKAIIQKAAPFFKTNAWNATKNAIEQVQLTDFKGKYLLLFFYPLNFTFVCPTEIIQFS KLAKQFRENNCEVLGCSVDSVFSHAEYVKKPKADGGLGGLDILLLSDLTKQISSDYGVLT DSGLSLRGTFLIDGNQNLRHASINDAPVGRNVDEYLRLLQAFQYVEKHGEVCPASWKPGQ ATIKPDIEKSKTYWQNTHAKN >CAK92385 pep:novel supercontig:GCA_000165425.1:CT868667:330128:331524:1 gene:GSPATT00025119001 transcript:CAK92385 MGCCCRRQKNPTNILSNQVRIIPQLKKPEELLAQPVVIPDSPKGLKSKKKELDIRTIDLL EMSHVMDHLSQTSIKSDESIINKFQQKIEELEQEQEQFNNKKTSIETQLVNSFVSDQTQS QNYSNNPELIGVKIKNRNICDIQNKYDFSEVKDFTEYRGQIYIERLIQKQKSSICTYIGK IEQKYCCVKLIPMAKQIHIDKWIKSVQIAQQQINNYFFQKYCYYKIEKIKEQPEYCNCFI LCNLEQFNVYTFSHHQAITFREKVSVAPDIIQIIWFALQEYDQKHQSNPEKLSDQIFKTM FTVKRNNILISRCKIEKKLKAILSDWQLLLPEFEQIIPNKILQNQDNLFETLQQQQISQI LYQNNRNLAQIAPNSQNLQDQIQLGIMNRQSQLVDKFSKYLMKLLFFRSNFELLNDVSLD GFFNQNEFQNKCEILRPIENQDLLLQKEN >CAK92386 pep:novel supercontig:GCA_000165425.1:CT868667:333188:334584:-1 gene:GSPATT00025120001 transcript:CAK92386 MEKLLECKILFSYQTQIVIKIKKFSPLIYSIIQNKYKIQSGLVFTGRIRKSLENGQQLGM DRYQRMQVDNTQLMVKKMANGQISSRIIGARRRYMKQEYMIMVKGQGIGSTYLKKKRGGG EYNWNGKNGTWIELSNEFWQRSQVTYNGEYKNNNRVGRWDILYREKGKEMFEQIGGGSYD KGGKIKIGQWIELSDGFWDYSQLIFKGEYKNGSKVGKWTTWYRKDDKFEQIGGGQYDEEG SIKIGRWTEIRDGFGLGQQLIYNGQYQKNSKVGRWDTMYRPWNQEKFEIIGGGQYDGESS IKIGNWIEMSDGFKAQQQITYHGQYKNGKKVGRWDIWYKDNLNGEREKIGGGSYEDGNSK KIGSWIELSCGFHYLQQIVYSGQYKNDKKVGKWEEIDLVGKIKLKEINYIN >CAK92387 pep:novel supercontig:GCA_000165425.1:CT868667:334755:335303:-1 gene:GSPATT00025121001 transcript:CAK92387 MYQCTHHPNCQVTTICIDSHQCNRKLCHICVYEHKSSKRPLPIELFQDRLTEKVNEYKLD DQQQQLTIKTILKSALSDIEERIRKLHQQVIDDINYTLDKIDQQDQQYIHLIYNNANPIE SQNSDLDKLVDMLEGNTLSNWDAQKKSYQMKFTKALNWIVQEMNMYEQRFQVEMKNISSI DQ >CAK92388 pep:novel supercontig:GCA_000165425.1:CT868667:336392:338131:-1 gene:GSPATT00025122001 transcript:CAK92388 MFNPKPLENLSDYQCFLNHCMPICAVNLQPGLTSDQRFACKNCIELTHLNVSPLNKIIET IEKPIKQKLNQYEEIITPHLNLLKQFYGNISLMESIILQQLDQLTNLVNNWINSIKQKGE QIARYNFFNQLDLLINNQQVANEIEEKTSDFELMTINKAQSIKLNSYLDPFKSFQGYSNL QNILKQIIGSQNEVNLKECHEFQQQKQQQSISQQIKQPLMSEFLQQYNQQIQSYPLLKES NLGSSFSYQIIPKLTYEQGELCQALAINYNNTLIAIASECNINILTINQSLNQLEEPKLL QSLERNHKNHVTTLNFFKKASQMLNSLISGSLDSTIVIWTPDQQFKKWNALFKLKNHTYA INCLLISQSSEDCIVSGSADKTIKFWSMTNFNYWSCSQTIQEHTSYVYGLSINDQGNKLL SCGNDNLILIISRSHQEQWQVKQKIHNGGISISFITDNIFACSSLEGTSLIFYYMDQENG IYIQSNQFQIQTGGKGNANYFPLQYNKSKNILLSKNGHYVNLIKSPTNPPIDDNKVILEQ AIFFIQNMIFGTMSDDGALLVTWDSKSSEVQFRKYKQKL >CAK92389 pep:novel supercontig:GCA_000165425.1:CT868667:340037:341497:-1 gene:GSPATT00025123001 transcript:CAK92389 MKIFNILKQLDLFGAQLFQRVDQDSQIYKSIFGGIISLLIFSSSLAYAFWEFYRWNTYQL NPKISTSLYASDFSLLDQNYGIIKINYWKDNIDAIDPFEKRILIPMISYTENYIVVDTQI LKFSNETSYNGNQFLIPQMKLGFSQINGSLMTTSEMYIYFVKCSEELLSEDEKCASQDII DDFFKQPLNTIVMQIHYNQLNSKDASIQQSVQEFLIQVEKESCYTLNTYLQTTYYDVRNS FLFGQPNYYEFVNGVQIQPQTNSVSYCKQAFGYETYSVIYIQMKGNQVKTILEYPTVGDI LANIGSIVSVLFMARFIINSMNSYFLHQQVIRDLISFYYPQFQDIKIIRNWKFQIKKVQL KNEVIDSKEFQEFYRNIKSQMELKMTLSNIIYEISRLYLLVRSNKLREEIERCHQIGISF NSIYLQSNELETSLKSTARKSEWLYLNNQDVNLLSLSRRKQQRLDSIDNEIPIEENDFYG LNKVYS >CAK92390 pep:novel supercontig:GCA_000165425.1:CT868667:344151:347024:1 gene:GSPATT00025124001 transcript:CAK92390 MIMAFFLCTYSAAQYSFPNTTLNLDSLSFQKVITIHESELSEESCLTFGIWSKYSPLNIQ SFKNQIGMFQSNCFQLINVMEYSTQTLNFIYYVCLDYSSKRIVKTIQFISDQDEQIKFEK YVDPSKYENIWYQFQFISWPRLKKLQLIIIQSESTIFNEIVENIQPLKKSQLLLTFGDSL VVKNSQIDQLTSGMLLSLFPGQIVTYDPIIQAIPTSFDFESQIIQGYQNLIQCNCQKNEQ VNLQNIDLSVLDSKIYISGNSSCDYYGFGFGFGFGVGVWGWVWGLGLGLGFGXXXXXXRL CFKLYGQVILNTVQNAEEFSYQFMKMTPYQGKRQLYIENLATFELFYLISQFQAKLVITS YNIDYPLVSNQVLDNSQKREIQFQIQTAIITSWHYLFLEFKDNELSVKITFYDYYQNDIK SFEAQIKVSQFHNCQYKLHYGNILQNRLNYLNMALRNFQFGNCKEDERITKCHQSCLDCE GFGNTRCLSCSVESKRIYIPEFKQCICPTDTLDYENKCINYEDSNLKIHALKENDSKCKA GYFELDDDCVQCPSIIKNNLITCFNCFYGGEDWAQYAICDNNIYFPSQIGEYENKLTTSI QYFHDGSDLIICDTCLLTGLSQDLQNPDEIYKLFFFKSQGFQFFCFIEGNADDERCTYCN VSNCEICGIDLEQIICLQCEKGNILINGNCISQKEILAYKFCQPPYYMSHNKECKLCEIN NCLYCFEYYFSDRIELSTFEISESIILDMQFDSVYVGCTLCEDGYIFDFSLGLCINQAPR IQFCLISYVKSLEEKCILSSSNDFQVSRVTNDCEIFIVNCSKCALNLYQIVICIECNIGY VLQENKCYMNEEFGLNYQKDIWDLYIQAFLLTYYPEKELHGQKSVDTLYQCGSQCLQCKK EDDQYYCDKCLLNYLVYTIKIQQGLYCPSCPKLCQVCKYRTVEEIKVF >CAK79292 pep:novel supercontig:GCA_000165425.1:CT868320:2923:3876:1 gene:GSPATT00039310001 transcript:CAK79292 MQNIHNRICKPKSQCEKIEKLTQNQEILHELLKLRSTQNNSLHNYDQSQHEMQVYLTKSM SRQFKFESTTHNYILLNILKTTQQFINNRFKGYCRKEMGSIIQVLKDLIYTDQSQYTQAK TQMMLQQKHKFHIQNFQFITHRKYHKIEDLHYARNTNGCTSITLLPKKFKFQNLQKNQLT NNKKINTHLQLLALTADNASKYQKNLEAQFFLTCKSELLHLKEQDRKMFVSTKSKSSFLY CFSASISIQSPHDVVNQQESLSKLCFKNMLSNFLDEQKSTSKRDLTFMFSICTRITGWMA RQTNLQYYTEQKRLSIQ >CAK68314 pep:novel supercontig:GCA_000165425.1:CT868059:184:2568:-1 gene:GSPATT00037098001 transcript:CAK68314 MKNNIGIGDKEKKKSEFEQQKSLALRLKKMNKFGGAIQQNPEYYFKKADALEKMGIFEEA LKYYDLAIKINPHSPEYYENKADTLRKMNRLEEALEYYDLAIQKNPEIAMYYNNKGIFNL NNKQAFTLKMMNRFEEALKYYDIAIFKSPETSGYYNNKAFTLKMMNRCEEALKYFDSAIW KNPQNSDYYNSKAEMLNKLKRFKEALNYYNLAIQINPENQVYYYNKAITLNDLDRLEDAL EQFDKAIQKNSENHLYYQSKAFVLDRMKRFKEALNYYDLSISKQPEDSDNYFYKAKTLMN VNRFEEALDYFDIAISKNPENSNYHNNKANALFKMNRFTEALEYYDLAIYHSPEDSTYYN NKANALCLLNQFAEALYNYDLAIQKNTEDSDYYHNKAITLDKMNREEDALEQYNLAILKN PQNALYYNNKAMTLKKLNRIEEALEQYNLAIQQNPENHILYFNKGISQSAQFLASSLHII NRLQEALECYDLAIQKNPDISFYYSNKANALERLNKFEEALKQYDIAIQKNPENFMNYLK KATILDKLSRFVEALEYFDSAIQNNPENSEVYRMKANTLIKLNRFEEALKYYDLAIEKNP ANHVYYTNKADTLKKMNKLEEAQKYYYIAYQKQPNKGFDNTNYNSVNKTSSLI >CAK68315 pep:novel supercontig:GCA_000165425.1:CT868059:5018:6238:-1 gene:GSPATT00037099001 transcript:CAK68315 MKIIIKTLSGQNFPLDVEGSDTISDVKEKIFQVKQFEVGQQKLLRKGTLLDDKTTIAELG IQENEFLVVMVNAKKSAPQQPQPAQQPPAQQPVQQQPAQQPAQQLPPQQQPQPQVAPVAK PAGSGTGLLSGPEYDKAIEQLMSMGFQREECVNAMKAAFNNPDRAVEYLLNGIPPGVTSH PPAPVASGQGQPPAAQQPVGQPNQIQQLRQLYQQNPQAVLQLLPQLLQQIQQTNPELHAQ VSQNPEMLLQMLMGAGQQQGPPPGSIQLTQQEFKDIETIMQLGFTKQDSLEGYIACDKNV EMAINYLFEKQANGDLFTQHVQREQANPPNQQPPGGNDGPMDEEDDDDVYQ >CAK68316 pep:novel supercontig:GCA_000165425.1:CT868059:6323:6532:-1 gene:GSPATT00037100001 transcript:CAK68316 MDRKKVAILLSELCIISKPQRKYKVIHSISTNDNIMIYQENSEQLIKIEQKELNNDKESQ KQLLLDPFN >CAK68317 pep:novel supercontig:GCA_000165425.1:CT868059:6808:15057:-1 gene:GSPATT00037101001 transcript:CAK68317 MSQLKKLQQGEFQIIYPINGKTGLYKKGLEQWVCKQVVPQKKTQKELIDEIDQMKIRLSK PGIYIPEGYWEDKQGVSFVYKKFKHSMGDLIKLHRRQGKFIPMNVMQKYVDEFTNWLDKI HKSKKTETQRFFHCRIKPSNLFEDEDGGCVITDFNQFSNENNENYLPPESIATLKKNQSQ RFSQGTAQADQFGGDPQKIDVWQLGMVFLQMASLREMEELLVFRDFQAHESQKGIEIREI VRKAYGNHFNNLIEKMLEKNPNNRPPLSEVEDLVQDFFSQNAIIADETLITPQPELLLEE LKEKAKQLKSQTATQYLSKYQLQPVSRRIKPEFFWEALQDLKIEVTAKEKSAFLAQFDNS KKDEIDLSQWVQNQKEIIKLGELNDYQEQELSEMMKELHKYLEEQKINLLEKFKENDTEL VGYLQFEKFDQTLKDNQINLAAEDIPIIQQKYDPKKKNIIYYEQFCEDTKSMNQQQLGFN DLKMKMYRGIREIHNIFIQFFRHYDTKSRGELSHEEIHRFLNDLKIHPSPDIEKQLLSHL DPTNKKSISFENVRVFFEELINEDVRAILQEIVNGLASISMTLPQLIRSNTKSASIITKN QLFNAFKQANDGLKNSDFTFLMSIFGVKDEIEYPQFINAMVQKGKDLKISNWKLLQELEV KEQKQEKKSAFDDPEESMHKSIKFNAKQFDPQKQLLQFIYKQLQEREQTPRQYFKSTFQR MSITQYKEKIRQLEIYKGDYEIEQEELLNNLLVPHDKSLVDVDLLIEAILYYKDKKIEQY RYSQHKAIMFLEQLNKQMKKKRITFADIEDLDTLGDGYIRKKQFQDFVNKQLQLDTGDNQ FQDFLTSIQQDQQNISLHKLKQGLKLDEASNKLLSDINTELAYEQSKPEQVFKKYDANRN TKLELREFAEFLVQLVGDVDQKIVESLFLKLDANGDNTINLYEFKQKISHQENDISEQQQ TKYKYKQTAQTFAKQLTPQIMKAVFRLKDALRENNESLEIFDKKGRGQILLNAFQDIIVS LGFEFQEFKQLAQYLLSPEDKKFLQYDKLAVLMHDCEEAEQLLINLNQTIQSGKVATASL FYNYDQNGNDVLDKQEFYKLIRDIDPMSRNKPVDNLFLLLDSNQDGSISISEFKSKVCTK DKPRVMATINKQQLSIFDDVMKFAKDSPALLGEFEIRDENYTNKLDLDTFIMVLKKNNGP NIQLLEMKALADQLGALQGNNVNYKIFCLKAKQYQVETPSTKIEAIATKLRDQLKNKNTN VIEISQEFDINHKGKVKVKALKMGMTDKSIALNDNEWELLLTIIEQDAQDYIDYYAFNDF INLGLAKYKESRKVLVLNTNQEIDRIVKSFTQYLEKEVCTLLAMYRQTDKDKNNFISFEE FTALLLKTIGYSTTVDNQKQLFDIFDMDKDGKINFTEFEYQIYKRNEITAKQIQEMKLVM LNGRNPQMEQQLSDLFLIISQGSQFIEFKQFANYINYFQKYSMLELDQFFRYFDQEYSEK LDQFRFILGFKQQQRQQSIQSQSQPQVQIPQQQPYQQNVQPQPSQFQEPPQFQQPQYQQQ QQQNGQQMQYGQQQQYPGQQQQFPGQQQQFPGQQQQQFPGQQQQFPGQQPQYNAQQSQYN GQQKPQYNNQLPQQQQQYQQYNPQYNQQEASFNASIPNSSIAYPPQNTMYNKQVPQEFDK KQEWVQNAKFEQSWVSQGQDQYSQKYDPNQSRAAKPQPQQSQIPIEFDLNFYDQEIQKKC IQKNIDLFDLLCQYDETPLPELKISRPDQLELAFQFLEILAPTPHVQQYYYYYSQGQNQM GIVLPYLKMNNPGKLLAKALNFTMIKHKQYTKQQLWGLIGENQPTWQIKQLDKINSNLKI GLNERELREAFQYWDTEQKGLITFKIYEEVLNLNQIVIPQKQDTQSKDPALQSVLDSFLL HLSEAVLAKNCFNLFEQLDKRGYNQIPFNDFLSVSKKLIGSISADEIKSIKQLLQQNGFI NLSDLAKFLNVDRTKINQYSQEDEKQQFGLAQKDQRKSILNTQNKEKFNKMLPIINEFLK KRSKTYDDFALYFFPPNSNSTIDRVQFTKKALDAQFGLSATQCEELYDYLDANSSGHISI NEFRLVFQSKQTEQQIKKQADNIVQSQDIEQEILDLFNQIDENKNQQLDQRELLKALQSV GLNPGTEELSQYFAQFDRDRSGTISYQEFSHIVKDILKKELLQADDLLEDLRREFRQVCN PTTRMLSKEQVSQVFQNMGVLIKNEELTDLFVEIDEDKSGSIDIDEFIYFIQKNQSGMSA KASAAVMNIKGSRRISLHDLKEIFLQLPQNFIMSFVRGQNKKLQNLPSSQLKPVLDNCGF FYQGLNYVEASNFNIKQSILDKVNIKNNFLAEIRLIEATGIPIPDEKDVPRGSFLRREIG IILIDKAINKYEGNAVYIPAAWNPEYEDRWVFEQPAMEQAILMRWGNFDEKLDNLKEMVF EFITYSVNKGRLIQISCAYGSIPVYQLKPGKQILELKGGAPLKDITIDKKDIRTNRNGWR SVVKALSSNIKSQLILEVMKLPPLMVQKISALPNKCMLNKWALSMQSAFREYFAYRTQMK GEIELNLASDIRIRAWLHCFDCPDTTRPIALFWNEFIEPKVGNDYQFLLKSISKIADSLY LMFKNTEFKFSIGDPTARIDHDSVLLKRRQQLVAEAISDMKINLGIVIQQQKKAQIITSL EPLNVEEMMDLDNESDIQALEKFFSKKKTKKQQ >CAK68318 pep:novel supercontig:GCA_000165425.1:CT868059:15404:15944:1 gene:GSPATT00037102001 transcript:CAK68318 MHQEINQVEQLIAILLISRSQLFGLVEFENVDQTQSLIVIGVSHFFERVSYFAIDPSWFQ IIIIINIIQDAQYLFIGVTVIDYYEPQVTSISTANTHDNQKISFLYSIVLLPNISFRHKS DPNISHERDKMFSNIKSIIQKNETILSTRLESTPIMRKKNETSKTSCTCNIQ >CAK68319 pep:novel supercontig:GCA_000165425.1:CT868059:16087:16323:1 gene:GSPATT00037103001 transcript:CAK68319 MSLSIRLIKTPNAAWEKPKQILDEESLIQRQAAYDEKVKEQIFNSEANPIEIKEDEEEEE DDVEDIDDYADDLQTHDL >CAK68320 pep:novel supercontig:GCA_000165425.1:CT868059:16911:19457:1 gene:GSPATT00037104001 transcript:CAK68320 MMNLNEEQITLESDALDLNIYSVKSILIIIIMNAISSAENNLLWNGENVQDEFSRPIGLH LQFCQLEDLQKNTYDDINALSSPTINRKEVEHKSALRSSQGSVFRFLKILQKKTQQLKEN RNKLSFFQKIYQEKILHSEYSKQQQTQSKYVFDPTNFLALVFQFLKCLVIFLLLVIITYF WSFDDMRHLVDNNNYFYIVIILLIDISLQFNLAIIIRGQIIYDRIIIFKKYISRQFFEDS IFLVSLVLIIHTSQLIFVIIFYVNGIGMLVKIIRKLEDTFDLSVKTTELLKLWKLLFFIN LLAHFIACIWHYIGLNTIDANNNWLDSKQIRDETNFIKYIYSFYWSVVTMVTVGYGDITP QNYIEMILCVIIMFLSCGVYAYSLNTIGQIISILNHESDRLERSFRTLNKYFRQHNIKDE LQSRIKNYLEYKLKEEEKSNQSVQFPQSSQVYKILDQLSNHLRFKIISEIYQSMLQKCPL LQTNFSKSTLQNSTNLLETLNYEPDDIIINQYTENENALYFIDQGTVEICEQRTKTQLME FTSGESFGEYEFFTNSQAAFTYKCKSHTRVFKLSRSKLLEILNKEDFEIFHQIKHSFEFN IPVKHAQCIICHQNDHFIHKCKLLYYIPDISKMVIRQQNFKQRREFKQRRMQRANTFSLL AQIQEKQFEYYQQYIQEYSQKELLDDENVIIGADSQITSLMYNTLKASEIQIQQNQMDYG KDMLNKNSPRKLNSLNVKTKQSLPETGLQIETQNKLKKSSVKILDGPQNQTMVFDRMKDY NQYFSENNYELQIRRYNQNYIERGFLSSIKKRTGRAMRFIQL >CAK68321 pep:novel supercontig:GCA_000165425.1:CT868059:19906:22037:1 gene:GSPATT00037105001 transcript:CAK68321 MNSSSRAKVFVHSDQKWEDICVGYVQIVHTDGATYIRGITEKQQKQCNNIVTHQHLNVNK EDWCINLKVNPEIIYEQQGDNIISWEETELKLQIAISFIDNKYSQEFWNQLQNSRRHFRY DDPESTYPIIPSQQNLEAVQLWISDNPELIIQKLTTDPNNTFLEALAKIFQDSETQQHLH TLEQLHYVIRNLIYLKNENVLKKLLSDKFYMTLFGIMEYHSENKGPQRVSYRNFLSEELK FHQITDLDHEIMEKIHFNYRLSYLKESVMAYYLYLEDPIYNQFNFYINSNNQSILESLLL NHQSQFEQYLLGFLDNLELNCKFINEFFLSFKQFLQIQSLNEAFVACIGKTDLLRALFSK TVEVQQQQLCFTTLQLTIMIGNSNSQYFQDFLKQNQQLFWKSMETLLFSNMTTLHSMIID IIQYICQNQAILGQGIDWLMKCFDQKLNASAAASLQEIVQLICQQQKTFSKDLAVLSIHI LNILKQQQKLAIKDKFMLMPALKHFKYLCTSSCSQIVKPFCESFIQITASGKIHGILEGQ IRDIIKYIKNNATLSHYIVIEVKNLNLQDHPLYQKLVQQYSYVQIEDGSKSRMQMEDLKY YENDDSEEQAPQQQTVQVIREVYRLDFSKIVRQRNEDEEDEDEVKVRETKPKGIVFGDNC FKRIKTDDDDDEN >CAK68322 pep:novel supercontig:GCA_000165425.1:CT868059:22468:23577:-1 gene:GSPATT00037106001 transcript:CAK68322 MHYLLFLIPIVFSSISPADYISQSDRPKIAAHRGLCSIFPENTAQSFEGAMFQGTDFIEL DVVLNKELQLIVAHDTFMNTVSNIKTFPEFASRQRKRTINGMVRDDWWLIDFSLAELKRV GINQVRGSTRPKMFDGLFTYPTLWEVLQQVKDFNIRTANERNPNKKPVGILLEIKDYEYH LEYAGVSIVQYVISELSKFGIGTIKDCKNVVPIVIMSFDMNAVKETRELTDLPRVFLMTG LQNQPYTFYAEATKYANIIGADLASIWNQKTQKIQPEVQLIKDNQMMVYAWTFQDDASGT QKMFNATIAKDIYYQAVKLNIHGLITEFSDVAIQYVQLYQYQ >CAK68323 pep:novel supercontig:GCA_000165425.1:CT868059:23593:26366:1 gene:GSPATT00037107001 transcript:CAK68323 MKVQLNSQPRLNKRLQVMIQAKEVYLSSDIPCQIRGCAFCNQESDIQLENPIFMLDNEIL EQYIDLIEQSNINNIVVLQSQVAYFRKRQQQSQYAQQMINLIESNFSKKIYVYQDEFSIH TQNGNPLILLAQWYNSHRQFLKTELDLCLLTQNYQMHQQCKNLNIKCFTIQDYVKLYENN DLLDFLGMDEEFEQNQEAINNQFPYIPVEECVQQIKQGLLFEGRISIDRNNIKLARIFVK QFQLEIKINQQNRVLHGDRVAVELLSEDQWEQQQKQKEIKFDEDDDDDGNNNTHTNDTSH EIMSTSHLQNLFRKVQSQNLVPYGKVVSVLQRTERHFCGHVENNIFVPADGRYPNFILKH SKYDTLQDKKIQVQFVDWPVWSDKPLCKLKKVLGKAGDMYIEGNVILLEHQVEIREFSHQ VLACLPIEGDKYRISEQEIKRRMDLRDILVCSIDPVGCKDIDDTLHCRRLENNLYEVGVH IADVSHFVKPDSAIDKEAAHRCTTVYLVDRRTDMLPKLLTENLCSLVSNVDRLAFSVIWI MDDQANIKDVKFGKSVIRSVASLHYQQAQEMIDNPNDNSQLTQGIRLLNMLAKKLKQLRT DQGALSLASNQVKFSFDDETHNPSDVRMYQLYDTNSLVEEFMLLANCSVANQILQRFPSI SVLRRHQEPKMKQLKELQILMNDLGYQFSFDTSKLLSESLDQIQRPNDSFFNKLIRMITT RCMNEALYVCTADVDYPELYHYGLAAELYTHFTSPIRRYADVLVHRLLAASIDLESLPPS MSNKIRMTRICDKLNMRHRMARFASRASSDYHTYLFFKNRPQQIDQAIITGILTDKVTVM IPRYVLEGTIDAQIIDRMNIIIQGTRYRIFDYIQVEISVELHSFHKKIHMKYIKNNN >CAK68324 pep:novel supercontig:GCA_000165425.1:CT868059:26559:29438:1 gene:GSPATT00037108001 transcript:CAK68324 MDFQAKLKLSILILFVPVGFIALLQIITLNVIFITNFSDQANQLSFAIYNETTRTTLRFS HKLETINLGLKANVAFILQDLMTANQDLEFHDSLQQIQTFLYPLIRRGNIENFKVICKDS FEYSMQQIPSQFESWNSSSQVSVDLYQQYFRFILNYNHKYSCNIQLYQSILNLVGQTYRY ANSEIWENQLNYFMINEQFYQFRYNEFFPIERSKLEEYYGQFLEHLLVQQDFDDYRCLRQ DTLIQYYQQKSFIYGWFYSIQKQHTRCEKQQISKILAIKIFDIEKFKQLAGSSQTESDHN VSLIIAQAVVSLTFLLYVWTTSIKVANSFCLPLQRLALQLQNPQQHSFDSIPLEAGDQNC KEISSLHKSLQIYLYYYQLLQQQHFYSDQDQGSHYLMTLSQLSEVYKYHKNNWQVSVCAN NIAQIHLKNKRYIEALKFQVKSVILGFEEYTSIKQIEKIRKQLLHSQKSILIQLRNKLTR FVINKLAGSTYILHSEHLNRNRYNSFMLQNSYKQSEIQQIQVTERLILINQKKQENSFEK IGYHQQLELEEDRFKEEKRFYKLTILFRKYTFCRMLYKFCIKEQPRFLNEAICSLTELYE EISNKSYSENRSIIGMKIQLLIMKFSCYQKLNLIIKAKQDLYSIKILYEQYIMLEKSNLV LNGFDIFQLTSKEIIQNAIRKLKICLLMKHQQYQEASEKCIKIIRKNSQNIHKLNSFAYK TLQSIFMKLNLNQNVLQKLYLDINPRMFQIFFLIDYSSKVSFEQIQVSHSICAYILQKLI NLREVGLYIFNDTLYEMLSASQSIKYRKFLLKQFERFQIMKGGECKVLESLDNLLEYRLN QFSKQSSYDMNQSQKSIIIQKASQEQKGMVQSFVCVFSEFSICVDEDLLEKIQKQTQRQE INLVLFNIANSNRNHEQAKNLAKKMSACYLESEVETIRWISHLNQSSIPIHTQGYVEFL >CAK68325 pep:novel supercontig:GCA_000165425.1:CT868059:29581:29964:-1 gene:GSPATT00037109001 transcript:CAK68325 MGSICKQQAQQSIEIQNEVRLSKGSEKKDLIKRNTETSGGTLQYNQNSTKQFSVRKDPLA SYMNESLSDSDSDSEQSKPNQQVFTNFVTFQALPSQKQMIPKFEVLKDQFKNEMLQQMSL QQQRKQF >CAK68326 pep:novel supercontig:GCA_000165425.1:CT868059:29984:31366:-1 gene:GSPATT00037110001 transcript:CAK68326 MQLPRLKSDDLQLQFQDTHNISDDQQSLLAQSTIQFTQKPRVIQSVITGQYQAQDDDSLD YAQFMTKSYTQQSDQKQQQLEDYLLLQKIANSTFEQNPQPYEDEFVKQINGALVQFCISS PATRKLFANQEKVKSRNTLALNANKIWLENQSECFHCMFDTKTLRILIQCESTKIPRVEL LSQFHCNDLSEFVRIFCKLPEVRDAMLSSYSLKETSQPDKYGINSGYSEVVTALTDYMKS IEINGLPSTALIDDIVERYLTRLIYEKLYPKEPTARDIELNIRFKTLEWITDEHLSIKIK SKIDQQFECAAQMINQIDMKKNSVDKLDCILKATQLITDTISQINQEAASADAVFPAFIR VLILAQSTRLQSNIKTIHQQIEDDESCWSAIVWIENVNYEQLKMDPNQFNRLQQEKNVKY GMCKRRDRKKRILLF >CAK68327 pep:novel supercontig:GCA_000165425.1:CT868059:31402:32719:1 gene:GSPATT00037111001 transcript:CAK68327 MIQILLLLGVAIANNLRFRAKGTIPNLWNIQASTCYGVMFDAGSSGTRVYVYSWNCRETQ TMPYVSLSEKSIEKKVKPGISSFATKLNEIPAYLQPLIDFALSNIPKSMKAYTPIMLGAT AGMRLLPVESQTQIMKEVQRVFLKSGLLFLSEQWARVITGQEEGAYMWLSLNYLLQTMSD QQQVTTIDLGGASTQISFKPKGKIEDGMMDLIIPNLNEYEIFSSSYLLFGMDQALIQVHK QFQDNDTFTITTPCYFSGYENVYEYDARFKVIGQGNYQECRRLIRQYLNNDPEKCQHSNC GINGQYQPKIDGDIIYGISGIQSMVNLFGFENYTLTQYSNKVVSFTSLVWSDIEQIPEYI SNPYITTDYFSSIYVDELLSYGYGISRDQLLYSPDEINNISPSWTVAAMFYQLAQIQCQY DSPVCIQLIK >CAK68328 pep:novel supercontig:GCA_000165425.1:CT868059:32750:33662:-1 gene:GSPATT00037112001 transcript:CAK68328 MKEEENQIIKQEEQQLYIQQCPIPVHYTSIPNEIQHYQSTFQVLAEENHHLRKLLNLNQQ HQLICLTKEQLQEEVYKMIDFLMRHLNYLSKEQIFAYQKAFRFWTQKKALKSVFFQIFTR YLQVVKTREEMIKFIIRKSMKHQRQTQSKEQMKEKKVIKKMNNSFVKQLFQNTSYQQDYS NFLNQYLQLALNENQQKIRKYVVFIMEMIVSDQINQVLNYKRFPWLNDWINQSVQLAQEL QNPQNQNQESKKRKI >CAK68329 pep:novel supercontig:GCA_000165425.1:CT868059:33778:36523:1 gene:GSPATT00037113001 transcript:CAK68329 MLKCQSLQKALLKYLKTFENQSYQSWKWHNYTQWADLSFRPLIIMQIIFIIIKLLIIANN QLLWVIFGVQILVHSILFLCLPRIIYKESYFLQLVPLIQQECFCLLCYFAYNESYLMFYT TICIIFQSMYSTILWKVIAILIFFIQTILLLDLSSFEGLYLTVALLFMQIVRKELQQMQH FLNLQALFLIIDSTPQAMCIAHKDKNWLLYSNKVFDNLAYKLENTNQSDTDQSPEKTATN NSTKNQLTFLQNLQLDELNNNQKFVQFDFDDDDLYFDDQYKIKNVTYSKDKNSIQYSKAF SFEIPTDRPKNHVQFQRFFQSFKNPDDESIIKKTFTSDVTMKIEVNNPPQFHLLSAGKKN HPKKLNLPNKQSKSGNLMTPSRKVSADFLLNSKENRSSGHKQSLLDQEANLINKTKPVYV HKMKFLINVLENCRIFDNDDELQIYFIHEVNQILLRAKLKKLESIKKNLLRSISHELLTN LNAVFGFIKQSQDRLLNKESCHLQLEQALSYTKLQLYKIYDFFDYRDILEDSFQLKSDKF DINTVILECVDLFRNQIERKSLAINVKLPETSYIISGDRQRLCQVLLNLIGNSIRFTYKG EITITVQKQEYMESMEGIEVHSANLQDNNLLEVQVNDTGIGMKEGELSILRKKLHLTDED EKVSKHSVGIGLGLSVSKQIIKLMAPNNQNYISVESKEDQGTCFNFLLKYNEESNLSTNF LQRSNNHTIPYVDAQSTQQVRVMNYNKCNNLQITEKQGQSELQLDNLINQCNCKIVLIVD DEEFNVDVLIHMVKNLGFDTDFAFNGKQAIEKVQTKLLKRCSQQNCIGYNCILMDINMPM MSGWETVQRIRQIENEIHLSRTIPVVAVTGFCSIKDQQKSINEGFNSVLIKPATKEKLIE TFNRLSI >CAK68330 pep:novel supercontig:GCA_000165425.1:CT868059:36611:37404:1 gene:GSPATT00037114001 transcript:CAK68330 MSSLVKKSKDTCDLLIKVLLIGNSGVGKTQILLRYTENQFKTSFLSTIGIDFKIKKISVD EKVIKMQIWDTAGQERYQTITQTYYKGAMGIILVFAVNDQESFRDIEKWMNQIKLHASDN IIKVLIGNKTDLPDRCITYEDALNMAQQHNIPYFETSAKEGTNINQTFQQIAKLIKDQNE RLPQQSDKAFNKLNPANQDQQKQDDSVCC >CAK68331 pep:novel supercontig:GCA_000165425.1:CT868059:37556:38235:1 gene:GSPATT00037115001 transcript:CAK68331 MHKHNKSNQQPQHKAKITVIGNLGVGKTQLISKFCDSLNSNQYVTSQDIPINLLKGRDFQ SKTIVLQNKNFMLNLQDTSGDKKFQVVTEVFIKGANAIIIVFDQHKLSTFQDVKYWIDLV NKVDSKVQHKILIGNKCDLEKQFEENELQQLINEENLIYYETSIKENINIEVVISRIVDL VSEDYPTSDEIQHLSSIILEEHNKSKMRDSCCINCVIM >CAK68332 pep:novel supercontig:GCA_000165425.1:CT868059:39079:40149:1 gene:GSPATT00037116001 transcript:CAK68332 MQSYQEAPFFENNTSKKKIRYENADIYGKQQQKKYHYIVLDQENSTEFSQYLVIMTNQTT QYKLVIKNLSEMPCPNNCSNNGICRNGVCICNPGYLDHDCSEEGLRITPFVQFQQQKNSA TVKYICICFNYTLGFNMLIKFSQQWENVSDVRVQILISQKFNLPSDYNNTYDEIINTTKI GKYKVLSNVNPLDIEQVSISNQSYSLLIKIISKNLVQCCISIDFQGLEYQEEEKMLKLLV ILGLIGFFLLIFGIIVYYCYKPKSTKSNLEGLYQLANQEDLQKFPECPICLENFEINKLA LLARLECQHIFHIQCLSMWKTHQNKKTPYSICPCCRKAIV >CAK68333 pep:novel supercontig:GCA_000165425.1:CT868059:40276:41604:-1 gene:GSPATT00037117001 transcript:CAK68333 MNLLSQIIIFNLLFLETLAFNLSVSQSTPKITETYQTISQFNSTFLFNTTIQIEGEQFEY LIVQFQQTSKDKSKMAVLYNEKFPTLETNKTLQFKDMDYDSFALKKKNHYLFIENQFIPK FITILSNISITFDLILTGTNTSKCLNNCNNYGNCIRGRCVCISNYIGKDCSIKATELEIQ TWRNQTLSNNVTFFYYQQQEKSKELDLIFYTDSQENISVFEIVSSVISLPTPRFYDFYGK FNKSTPLAQIISSKGFKDLDQDDFLSEDTDQDDKNLLNGTWTMQISLPSRMIIAVLCNTP NASLSISFQQRSKYGNTKNLLEWLLPVIIVGVIIILGLFFIIRRNIKKGELIGNQVTQVQ LVTDCDICYLCQQSLKSKNDEIVVKINSCQQEHNFHEKCLLQFYSKKSRQLYCPNCPQIL SEQQQ >CAK68334 pep:novel supercontig:GCA_000165425.1:CT868059:42920:45708:1 gene:GSPATT00037118001 transcript:CAK68334 MKQLEMNGTQLLSQKKQDLWNIFKRDHSIAIFFCFQLTINALVIIQYDSELIFTITVCIL NTIFAITTQLIALTNKTILFKKICIFISKLSTLLIIALLDIQLKSALIILLHSFEVQEAD KKYFCYFEIIATRAITLFIIIINFDLIAIISVSFQLILELNRLLQDREVKQIVQNQKSPM ALSLISQQEQDNLWKNRIQMIPVSFIMIDSKTQKINFKNRTAHHFFSMFCESDQEFEDLL LHKLQFNLVQDNIDQICQSFSYLKIRQRLRSKQNRFSQQDNQNCATPLPQSGSSAIQCIS SKQGDSFDHNALKFTLAEIITNLSDGLFQEYIINNSLELLCHQNYLGLVENANTNHTQQK KFQLSGSILTNQQNEEIILLLNDISKQNELQQLISQDEFKSKIVESFSHELRTPLNGAIN FLSAFLQDTDLTEANKKQYIIPAINSLRIQSYLISDIIDFTSASSNNLELFIKEFSMREL INEITSLFTMQFAEKHLEFRVDLMDCYTNSVTSDYSKLMQILVNLLQNSIKFSTEGLIVL KIQSQTKEILKFTVTDMGQGVSQSNISQIQLQLNNLKNQKEIQQNKKWHGFGLLISATLV QKLGPSDKSTIKFESDGINMGSKVSFFIKNQQVKHNSIKQPTIRQNTQRFQSSVHLTSSF NNLNGTIIQTSDQGLVMKNTQKQQKTKISSQKSNDNSMFSESVVLDSLDQKFSLLHPVEP SLITNILCGSPQKQVDRKPFVKNENSSQRSNNSKILSVQFIKQAEEQEDEELLQTYKRRK KCQCQRIMSVDDEIFNQKSIQMLLCKLGFEVILAFNGQQACVDILNIKKCGKKCSLLTLI LMDYQMPILNGCQASEKLIQMMNDNIIPKIHIVGLTAFTNSNDIENCLKAGMSDVLHKPL NLKEFKEILTLI >CAK68335 pep:novel supercontig:GCA_000165425.1:CT868059:45882:48580:1 gene:GSPATT00037119001 transcript:CAK68335 MNKKSPAKDIFLYKTLFLLAIQMILNICLINELTEMKSSLIVIILKIILDIISCSFLYLL KNKLTHNIMLNIIFVNRILEIVVISIIQLPSGIYLSIQMMYSLDIKTDQCMAKIALLANI SGKLSLILSVCLIQIDALTFVCSLTLISLDILRFIQYQQKYQSKTHYLQLQLNEKQSPSE YSKTSDNIWRQRIQDMNVQVLILSYSNLCVKYINQCFMELFRCTMEEELQKIILQELNFQ IPQQYTEIFKSASLQMKKLRDKQRDPSCREFKNLESTKQVITIQTKTLEQILEEYKQGIY ESLIQASRNKALDISCTLKLQNCNPISLSGQIISDNQEITIFLNDISKQTELSQQKIKDD FKSKIIESFSHEMKTPLNSAKNLIESAISESQIDNTIKNQYLHPAFNSLKLQSYIINDII DFSNYYANSLHLQTKDFTFKELINEISGLFQQQFEMKNMGLKIVMVKNSFLTFNTDYNRL IQIIVNLLANSLKFSYSGNVVVRFKSLEQNILKISIKDQGIGIEQEKLDRIQKTLSQFQE TSDFIYNKNWHGFGLLISSILLTKLSNNANKQLLQIKSKGKSLGTKVIILVEDQNKVEDQ NRGYSIMISRKSVRFGTKINSQNKIQIGTVIVTSVKPVSNFQNNDVVTPQFKTIELQTDM ISIDKSSDFFPLNNKLKELRSSDPKLISDLNDSQSSDQSENIKFGQLGQSNDPPSIQQQF KDVKSSRSSRSQVFSIKQLKLLEDQESQQNLLNFAQKKKCQCRRILSVDDEIFNQHSLCM LLQKLDFEAFNGQQAIDKLNQLKKCCDNCQLLDVILMDYQMPILNGIETTKIILEMIEAK KIPFINIIGLTAFTSESDIVNCLDAGMTYVLSKPLNLKDFKDLLSNL >CAK68336 pep:novel supercontig:GCA_000165425.1:CT868059:48607:49432:-1 gene:GSPATT00037120001 transcript:CAK68336 MLTKSIRLFRQFRFCTSLEEITKKQAEWSSIAKQKTQQQKEYLEQTLTQDQKTRVQAIAD ACCELDLFELQCLMSLLNENHYKAQGIGIFELNSNWPVIKQNEVPTWPPKEKEQIEAYMK ELFGENVPDFKAIFGGIGSAGSQASSQSAATKEAPKQEEKQAQAAPKVEAEKKNYDVELS AIDAAQKIKIIKEVRQLLNLGLKEAKDLVEKLPANLGKQVPKEKANELKEKLTAAGCTIN LK >CAK68337 pep:novel supercontig:GCA_000165425.1:CT868059:50632:51059:-1 gene:GSPATT00037121001 transcript:CAK68337 MAYNNDFQDLLPDEQEEFTLKMPVKNHLCRLDLLLQQQMDDLDMIKKEKEQLRNNLIELS QKTTDSFDDANQYLNDEYRRLMQEFHEQNALQLEQHQFLKQQVDQINQDRIKLQQNTIVL ENRVQDSEKELGFV >CAK68338 pep:novel supercontig:GCA_000165425.1:CT868059:51089:51700:-1 gene:GSPATT00037122001 transcript:CAK68338 MKQALIFKHESPNVKADFHENDTKDPIKILQSIYDQYDALFVEEIILEELDIPEITPELR DKLQEFPNVHSFGINRCGLQSLTNFPSFKHLVRFQADGNLIKAKQIGYLKKYTELRSISL ICNQIDDAKTMIKYLKEMKITQLNLYGNPIQDYFKLFFDEIPSLIYLDNLQKTGAEVYYD YDDTNELSKQDGYICPNYKEYKS >CAK68339 pep:novel supercontig:GCA_000165425.1:CT868059:51772:53979:1 gene:GSPATT00037123001 transcript:CAK68339 MNFISLDHQIHSIEDYDILCALDRTDYSTVYKALDKKTGLIVAIKVINLVNQAELAQAVQ TYRTKPFRNICLVDKCFGQDGKLFIVMEYFAGGSLKDLIHLFKINKQTLETQYVQVIIRE LLLGVQEIHQESLVHRHIKSANLFLSQEAKVKLSYFSTNKEFADTINKSQSHILSLPYWM APETIQSSLTDQKTDIWAIGITAISKIPYEDVPPQKVIFKIVQQPPRLSGDYPNEMIDFV HQCLQRDPRLRPTAAQLLTHPFIRNAKKTQLLFELLERAGMLSGEQQQASCETLNYTHNR VVTSLKLDASGTPIREDDESLKEETLKIKRTFRKIEKYKPGLGKIVLTLYLCRYTMKSSR SLKPRPPDEYNNLITHSHQLQQMKTNNEPSLFKYRVLEQKNKKIILEADEDHFNSFANAL SVVQRFHDEIKQQLNPQPSSRKIRVNSDSEKSNNVSHPYPNPDIKEDQDFRRRIPHFFLS RFKKWAKMMEQDAAYKYLQNVQESKTSKQQRFELGDLQRCFQVQVNEPKESKLCKNLLKD LFISFLQNEATLQIIHYNKISSIEQKHKYIAEIKNMIQEMYELKPFDSYLSSEKIKGKKT IANNICQSPIQEKQEEQRDDFQIPQIQEKYSNESFKKYNQTPSLVKMNSFQ >CAK68340 pep:novel supercontig:GCA_000165425.1:CT868059:54467:55106:1 gene:GSPATT00037124001 transcript:CAK68340 MPLVSFNIEITLKYGQALYLANSQCDWVVDKMCRMTCAQDSFWQFSLEVNLNPFEYKYAI GDYFLQNQSDLHWERGPNRILNWNNEKCITIKNKWEQRKISFFLQANSKTTVAILMSNYN SLQKRLKKNKDINNSSKVFCTSLYVDVSEIAQGIEIQYYLLIQRRCIQKKQISRSKRAHQ QAYKFESFQLILVSLK >CAK68341 pep:novel supercontig:GCA_000165425.1:CT868059:55172:57423:1 gene:GSPATT00037125001 transcript:CAK68341 MRNKTFFLQKYDQNLLVDSQSFHFEQLPNEFINSILFYSKFVFNNRRYYQIFLEIGWGII GSSAIFDAQMGKSVFGGSNGFAVGTTIYKLVLVPQAHHTLRMKFRIWAINKWESEYIVIK ADGVVVNKQLVHSSLTSNFAQYLFQEIFFFHSGPSVLLEITSTLNEANNNESWGVRDIEI YAVTCPTGCDLCSYSDTSDTCSQFQFTTSNFATDTFSTNQGWVVEDYVVQQLLCDKIQLF GYFPTGVSIYKRYFLETSFYKVKVKFQFWKIDAIATSNTHALYANGDLIWTYDKTKSKTL NICSVATSEEYTNVDVEFESNSQLITFQFFSDSVAGEYYGIRDFYIYVLLCEDQTALCSK TSTQIVADPDLIDFQLSVSSLVSSIAASLPPQWSSYQSFSATSRLTQSSCTEAAVPIQYM SLYDKLYKDFVFNPHKTLKIIFYLYSQSRENNVLLYVDDALADTITIGYSSNDINCAASG YGDKFEEYTLYAIEIDHTALMSRISFIGLTYTGGNFLQGFNKFEVYTGNCDCNACTDSGA CQTVYSLVDIDFAQDTLTDNEKWQLISYSILATTCNSKEILGGYNVLSYTQQVRALYKSL PTHNSKRIQMNVYFIGNWANTEYLVILLDNNEIWRQKVLKANYASQLCSGGGPSYQIVEI DLFISHSTTDFTLIFKIDSGSGDEYWGVRDFFISTSNQIIS >CAK68342 pep:novel supercontig:GCA_000165425.1:CT868059:58038:58994:-1 gene:GSPATT00037126001 transcript:CAK68342 MDRIPFGIDQMIISYERQQIDQNNLKYQMLLKEKIVKVQRNCQSLFKCIPSGILTPLSWI IGGVAVRHEYILLETINHLATIELKQNKGDYYVDMQIFDYDKNFAKFLTKEREWNRELDS LTKEEIGDLDFFQVHKFLGIVLVCWKGLNMFKKGKYEVLFKNCKNLSRAICCFCAKDPNI DSIMQSILGLYTDWLCDYVKRTEIQWILDYFYNQTISSVTKIRTVMSEIHKLRSKKEDDY EVLNWNII >CAK68343 pep:novel supercontig:GCA_000165425.1:CT868059:59143:59700:1 gene:GSPATT00037127001 transcript:CAK68343 MYNSFCDEISKRPSIWVERTTITKSATAAAGSGDLHAYRRFKRAETLRQREEEEVNQERL EHEEFQQTREEKFMQSQEITNKKHNKRVQEKLKLKMKKELKKIEREALQLNKFANDGSFL EKFTQQLQQDKQEQKQENQELKEEPIAKNEEENKVQQSQNSSDE >CAK68344 pep:novel supercontig:GCA_000165425.1:CT868059:60189:60773:-1 gene:GSPATT00037128001 transcript:CAK68344 MQVNQNEEFYLRYYVGHKGVYGHEFLEFEFRNDGRLRYANNSNYKQDVLIRKECYVSDAV IEEIKRMIEDSEIVKENDSKWPAPDKIGRQELEIKLGNNHISFTTSKLGSIQDVQNSQDP DGLRVFFYLVQDVKCFVFSLIGLHFRVLFQLNIIRQSQSIEHILIIKK >CAK68345 pep:novel supercontig:GCA_000165425.1:CT868059:61353:62501:-1 gene:GSPATT00037129001 transcript:CAK68345 MDVKIGRSFKLTKKLGSGAFGEIFHGINLKTNMEVAVKLEPVNTKHPQLFYEGKLYQYLL QEPSVIDKGIPNVYYCATEGEYNIMVMDLLGPSLEDLFNLCGRKFSLKSVLMLADQMIQR IEYVHSRHFLHRDIKPDNFLIGTGKRAHKVYIIDFGLAKRYIQKDGKHIPYKEGKNLTGT ARYASINTHLGIEQGRRDDLESLGYVMMYFLRGSLPWQNLKASNKKDKYEKIMEKKISTS IETLCKGFPQELATYLTYVRNLRFDEKPDYSYLRTLLKDLFTKSGFEMDYIYDWNLIQKT DGTPGQTTNNTQLQQPPAGQVPPKQG >CAK68346 pep:novel supercontig:GCA_000165425.1:CT868059:62683:63540:-1 gene:GSPATT00037130001 transcript:CAK68346 MNKFVDIIHQDFPSIKVQYINSTLIDLEFDFEFILQDSTVTWDLYIWKCYLSKFIESLIL LIIYCSKQAIYNLFYIKSQSRDVRCNNENQHKQKKDQGKFQNFLLQLKALIDSFLAPSPE PEEQPKAQVTKAKKQKKKQKDPLAPKMPKSAFIFYFQDKKDKFQSQYPDLQFQEITKLIA SEWKDLPKEIQQVSQPKTKQQYHNSAEQDRNRYSQEQELYQSQTGKQPNGKGQAKANKSS AKKEKIIKILDQDEENDSFGADIGQD >CAK68347 pep:novel supercontig:GCA_000165425.1:CT868059:63948:64427:1 gene:GSPATT00037131001 transcript:CAK68347 MNKAYLILKFDNINRLSMLNVQLFNFHFHQLKNQSELYFQQYQYKQVLLQIIFQFLQCLG ILNINFLNFENYPKLLLLIMQFQFLQVSITYSFGVIFQANDNQSIIQNFSYLNSYIYTFE SYFINKSLIPQQPQDVVGQLIQIQIILQNYIRQQRYKLL >CAK68348 pep:novel supercontig:GCA_000165425.1:CT868059:66910:68731:-1 gene:GSPATT00037132001 transcript:CAK68348 MGSSASTRINEFQKIKADLKLTTLPDLDKVNSKLIPQLLIVAQDLLKVTEQLRSRIVSYH DLLMRAMKLQSYKDVTLIDAFKIWYLCTLVCNDTKGDNVQFECKIENFDHEVLPESLKKL MSFVLIFIYKQGSVVAFTIEEKFIQDEKEHLIICETGICGDFKLQLWPFICSSFLSYLRS AVRLAPFLEEKINSQINLIRKYIDVHKAEKLTKNINQQTLTHICEQFKYFGLLVDKYHQE VKVFTKGYKEIMKELHPLSQEVLREEGNQNYILNDERTILKTKIQSIIKKYLPNYVLKEV IEIRQEMQLKEQRRKVKPKTKLERRRTEQAALTFPTTYHWVGHEYFDTFFSAQSLTLSEL EECRSQGEEARKKLFKITGVNQWKDNTIKSVFECLAWILSAELNKNLKDKDFKLLKDEPW LHFIKQKKHKFTELSSLIVANLKIWLKYFSPIRYERICNYYQDFLKRQKELEKNKFEFHH LIRDLPPQNQYNALSSFSKNIRVNETYMSKIEKLFEMTIQSNGKMGEELYENWQDIVNQA DSINYQLGTPFNEFIKEFRPNTLAELDELQNRKAERKQTKLSIACIEV >CAK68349 pep:novel supercontig:GCA_000165425.1:CT868059:70140:71547:-1 gene:GSPATT00037133001 transcript:CAK68349 MLIFVTSIVFTHVVSQEPILFSSSFTDKKLVNLEGNMIIYIGWRIYPENPLKSGFGSLSE SDDDYAGLYSLNGEPDKTYSCGMYKIYENIPPHRYLIINVKTLISNTGQGGRGALNIRVN GILIMQEEQQLDKVINSKKTSFQLPYLSSSPSVIVEFIFAVKNIFRTMTFGFREFQLYYK QCPTGCLFCYTYDLKSNDCNLWSLDHHSLGGSSALNEGWLINLQGKNLYNMEYCQDDKAL NLIGLVLPTQSIGKTVHLKPHYKILIQFKLLLLGADSQMFTYFNLELNEVVVTDVKIATY AEGSKICKYRNPTSQGDYIFQPTYENFHQDTIVNFRVFTQGGKASIENFRWSIRNFEIYI KKCHLDCIESCSGPKNTQCSRDKYPSFKSFKNYFTNTLFTDTQKWQMITPIQPKSPNYCS GLSIFGGYLQLNGDYFIQRIGYF >CAK68350 pep:novel supercontig:GCA_000165425.1:CT868059:72330:72959:1 gene:GSPATT00037134001 transcript:CAK68350 MNTRSENLDNAKSLFQEHLPRFFAENILYLEMEVECNNVTIEVVNQLLELYRIGVEYFES IKSNKFLVFKNKTQQLLMRGNVNQCMNVAYEELKHETALKKSRLVQSHDILPPTSPKVII PQKSQKQQELENQFKYIQEQDQKLQVNQLLEFHGYEQQRVTRMHNKALQEQEDQVQMRLQ RQRQQSVRLKGQNE >CAK68351 pep:novel supercontig:GCA_000165425.1:CT868059:73065:74144:1 gene:GSPATT00037135001 transcript:CAK68351 MNQFSNYLFQYQTKKVFSIYQHQCNSKSPQIRRQLDSNPNCNQNDQKYMRQFKCQDQIKH QPQTQTIINSPTNKQTYIASKVKGKGKENNNQQNANIIHYPYKNPVEVKSPQSYKQNPYH AKQPTPEFENRYENDERAQVLRLSNSGLNFRFVLSQQESEQNSEQQPNQVQNESSQQSPT NLTKSDELLVELDSVRKSKCSNLTKTKTIAIQAFESTEFDKTEDQLNKIFGSLKKVKIIK KKFSQPTIQTQGTQRTMEDDSMIKAEPNKQVKTIGGLSNIDIQSMQLRTELTDLDSQRSK STYQDESERNFSSFRHNVVTPRKMQQNKISFLMYKKKLERKS >CAK68352 pep:novel supercontig:GCA_000165425.1:CT868059:74405:75214:-1 gene:GSPATT00037136001 transcript:CAK68352 MYYSNSSSSLIKSPYGHIITKTPFTRQNRPQEKMPDQSIMSQYQSPTLRQAMSPRAAREY LNSLKSFINQYESKPINESRIASQSNHQTNQQIPYLYFPIANRLNDEIKLMTPQRIEVKK FNQDGTVHSLKYYYLNQPVLSSSNDVIASKLITIIGLNHSGKQQLFIELIKKVMPKYFKL SIQTDQVNQQLNVQINDDFKSTIKSLKADLKNYQLIAQSDQHVLVCREQKGFVRDKKDKG ILILCIKPGKETKQNCVQTLKLIENIQKN >CAK68353 pep:novel supercontig:GCA_000165425.1:CT868059:75314:76286:1 gene:GSPATT00037137001 transcript:CAK68353 MNNSRSSARKGTNNLPVQTGDIEEYIQILYEHQKSCEKAGKYLEADQAKKRLAELKKELD QKNKYEVKDRHTNEKQEIEKAHLDEFNQFNEFWDQKMAEFDSEAQRVKEQVLQRHDEELR QFTEELENSIPVKPKDSAELLSLRKTEESLARQENYQEAHLTQQRILSMERDEYEKWNAS RMCKIRNLISQLKLKQTNELGALQQRIISGQEEQRKIRSQELEKLLQKYQNVRKELTSQQ NQEITRLDKTMKNQSIMQQSRMNSSKMMNSQMKKGDEENFYIK >CAK68354 pep:novel supercontig:GCA_000165425.1:CT868059:76326:77523:1 gene:GSPATT00037138001 transcript:CAK68354 MQRKEFQFVEEMVMELFSLIDKSSNQRLKNSLQEPIQSIQQSLQILKEYEEEQQIFNFLK VQFEKLLQIAKTDNHLQIVLGNQKQWFSFFNKKLIAEDRFIESICYISKMMLNQCNTYSV TYTQYLTQECISLNTNQLITIQFNFDDSHTCITFMAPKIIETNLFLVDIELQKLMQKIPH LEQRLNYAEKNQHLLKLCFDQSNKTIKFWSNNDILSYQLTCRKSKQQVDDINYKIYLDVG MVIKLSQNHSLIIEELNFEDKQPDDIQDEIYPIYLQKESENQSQIKINDGNQAVLLSLPL NSSIKLKNKLEMPKEVDMTLFHDNKGYFLEIESQKLDYLPQLLSTRTQDRQLQLKSRNLN FALGLIILGQTNILMKIDLQTIWNGEGLFEI >CAK68355 pep:novel supercontig:GCA_000165425.1:CT868059:77549:78266:-1 gene:GSPATT00037139001 transcript:CAK68355 MKEDDTSISQKKIDELIREQKYAALIQLISKRDPSRLKQYNSLKIKNQIFRLNQDVAVCA NNNDVYSGKLIKIYCIKDQNNQYVPVIQVQWYYTKQDLNLDKKLMKCISIKELFFSTHVE FLAANKLQCPIEVMTFDQYTQLEYEEETKFFSRAAIDLKTMEPMPTVGEWPKSCVCRMPQ NPDIQMIQCETCGEWFHLDCVNIKPEEAEQIEHYKCPGCQ >CAK68356 pep:novel supercontig:GCA_000165425.1:CT868059:79061:80113:-1 gene:GSPATT00037140001 transcript:CAK68356 MSLLDKQESKSFRDEVSPSIRDFIINFRKQQAQIAKQSAYQQSIKKTIPIKQITQLKLNR QSVDTQTDLTEQQSDTSFKRNSFQISQRQNSTYSQSCLTTREVQIQCDDNQMKPTDDLPS ARVNIHYLQQHYQSTQSQSSDLAIQNASQQLPLIYRSPQKMDNEFNFDQMTEQILNKVIQ ELDKRNTETNITSQQPSASKSLSSQKINNLSINNQTVSLTVIPQPKNEDDDSFLKFIQMS LVNKNKIYYEEQENQPPQKSPVKYFSNIPKTREQHSKSSMSKSIFSESLNQNRVIKSKCN TNTNTKSNAHQRLYQDACMRQERKTMATNRKPRY >CAK68357 pep:novel supercontig:GCA_000165425.1:CT868059:80449:80904:-1 gene:GSPATT00037141001 transcript:CAK68357 MQTKENKQNLRSFFSSTHSTKYLKSDGIRKLTDLTNYDTIKQQQKLQMKHSINNQYQKIL GRLPDAKFFNPKSESFVSYPCYNEKYLQFSKEIIMQTKKKSTIYFKFRPKMMIVTLMKTS NNNLQITISQKFQNPYPQQIKNPTIPQIIYE >CAK68358 pep:novel supercontig:GCA_000165425.1:CT868059:81044:82325:1 gene:GSPATT00037142001 transcript:CAK68358 MIIFIIATVSCLDINLTNLQFNYYSLPISANNITYHLIVDTGNEDMWIFGKNSNRRIYYE CQKCASTENQTLEYAQGKISGVKYSQTFSLMNQSLDLQVIEASKVEHFYSIIADGAVGFS RKQLSKEDNILKKLYDSKVIDYKQFGLLLNEQNCQPTSILILGKPNKNYYINELQYVKPI QSEQWTVKGISVEIVGKNNKYELESYSQLITFHSGVSKILISKYKLNKLISIFNENYQLN CEKESQNQVTEVVCSYNEQSFPELNINIDANLSLTLLPQDYIAYCDYNYFLQHICYLNFQ QIDNQDVLVLGSVVLQKYYTHYDETTQQIGIAKSIYYQRDKQSLSQLGSNVNNNSMLYFT ILLALVLLIVIYITIKMLLKQSIPLYLSVLEKSSSRSQEQEMEAITLKTQSNSQPPLTT >CAK68359 pep:novel supercontig:GCA_000165425.1:CT868059:82721:84314:1 gene:GSPATT00037143001 transcript:CAK68359 MQTMEIEKKFSSCGDYNILQTLGSGLHAKVKLGEHDGKKVAIKIFKSNHGTAQNIKTLTN EINILKQLNHPNLVNLIEFNSALPYRRKNGQTEPRVCIILELAEGGELFEYVASSGRFSP ETSRFYFKQLLSAMSYMASKDICHRDLKLENVLLDDNFNLKVADFGFAKVMETAKIRTIL GTPGYMAPEIVAKKDYNGTKADIFSAGVIFFIIHAGSPPFSTASDKDQYFKLILCNKWEQ FWTYHLKYKGGNPEFFPQEFRHLMMGMLAPNPDQRFTLEQCMQHPWVNGPVATLEQIQNE FKKRHERVQAEIQASKMKKQAQKNAGNKGPIGVFRSIIGESEQENFDETINQYKLNFENR FMIKGKDQGLPTDIIVYQDPKFVFCYLLKHCEEFNCKVGKIHETKYKVNFAAEQDENLAF SLELLDCEDEMIKLNLNKLSGDYIQFKEITNRLRDALNKNYDGFLQQEVQQD >CAK68360 pep:novel supercontig:GCA_000165425.1:CT868059:84314:85965:-1 gene:GSPATT00037144001 transcript:CAK68360 MQKLIDELNLTQYKSVEQVVRIYTFFKTKELNVQIQFTFDDFYQCLLNNEKPFLIEYLVC LYLEVHLNDIHHPYIQLLINQNRCVRQCLIFNEMANILRFLIDHHKIAEPIDIPDEHFHD LDFDTKIEILIFIVNILAFQSKWFNGVIQNKIQLFQKGIVKEKKQESKKQWQEELHELYG QQDEIISEERKILSRKQLAISNKKRIKEIEKEKEQIDERIIKLNNLIDIDIKELQKFGVN SMKILLRDQDYCVWIIQAMPNYVLIKINKKFNLLYGEEIHKLINKIDDGPLKECLVLESE EFCNEKRVQSKSKDIEFQLDQTGLHQFKKQEGKPEYLIFCQDVNNLDEILIKMILEIEEK ITLFFRQYLQLNWTYPKSRNVWREEIKEGNIQDFIYTFLSKINQFRSRFYEFDNDDEVPD DYKDKKIPLFYYYKNLSQDLQEVQNCDYAYVLILYCRVLVQQNRNIERQKEKLVELAEQA EQQEINNIKSQQKSIQPVKPKRAQRAIIDDDDDDEDFNLNSYYYR >CAK68361 pep:novel supercontig:GCA_000165425.1:CT868059:86469:87711:1 gene:GSPATT00037145001 transcript:CAK68361 MMYEDYDDFPLCFEKAIRIYLNGDSSKYTDLKIAQNTTWNDIQTQLDQKFGKFREMRLFT QQGVEIFDDDVKFFKDGQSFYASRGDEFDPYSPFSQYETMKQLGEGGFGCVTLAKHRITG ELVAIKIIKMIGNAQDIELNFREAEVLRSLTHKNIVKVYNSYALKNTQMAVIMEYLEGGE LSDRLKQKGGRFSEEEACKYFRQIVCAISYCHQKNVVHRDLKLENLLFSSVDSDELKAID FGIAGIQCPTNTDHVNIGSLHYMAPEILAGRVTRVSTSVDIWAMGIILYKLLFGNVPFNG KTQQDIINSIINKELQLPPNNLSEEVVNLLNQMLEKNNELRLRITDVEFHPWVNTDQRTP QRKQTFLQIPSEGSIRKARPSASPRARSKSPNPSGILKHPNIFKL >CAK68362 pep:novel supercontig:GCA_000165425.1:CT868059:87745:88893:1 gene:GSPATT00037146001 transcript:CAK68362 MLKSITRRRKKYIFLDYLIILFTALYLRIRSVDTGFYSSLQPNYLQLLTILFALMFANIG RVQCILISAILNIFSIYWLSTSTYIVLVSSIYKFTYDSLILLVFCHSLNVFRDKGIQVLT YCYAFGNYLEIVQIFFNLNYFKFLLFILIVSLSLLRQRCRQLPQSNYFIVIKYLCTTRIA IIQSIILLHVLLTVINLHTFVNVVTSSSVRYVVLLIYPLFLLAIDPKFRNISQIILALNV ILSLLLLFDHQVIFFMASLLIPHIFVFQLALFIFIFSEINPKISKEHKYLNYDAMILIYY IILKNSYAHYDSYVQYKGIIYFMSIIIAIFIIIKSKRFQKSFAIKQNYQNNSYDQFESAK NDDTVF >CAK68363 pep:novel supercontig:GCA_000165425.1:CT868059:88924:90189:1 gene:GSPATT00037147001 transcript:CAK68363 MKLTQEIKFSLQKTQKVLSIQMQVHHINDFTKLFKKCIFPGSRWQLEQENIKSIATTFEE PLPENLQEFIKENNCQILEKEVTVGYDNMTYNEVLQQLLPKNVQAPQGYEIIGKIAHFNL SLEQLPYKYLIGQVLLDKNKHLQTVCNKLEKLHNVYRTPQLELLAGNNSYDAIVPEGGVR LFLNFEKVYWCTRLYSERERVIKYIKELSNGKNIKVLDLFCGIGPFSLRIAKDLNAQCLA NDLNPECYYYLLKNIIENKVQNQVTPLNMDAREVVLKIYNKEIDFDFNHVYMNLPVLAIN FLDVFKGFTQRTGKVDLPYIHVYGFAKGKDDQELIEQFSQRIIKGLPGFDKSQILRFHIL KNVTKMKKMCCLSFQLDKKSAESEFGLVEQEDGNNSDFEDDEKMEQHELVEDVVNKKVKI D >CAK68364 pep:novel supercontig:GCA_000165425.1:CT868059:90266:91441:1 gene:GSPATT00037148001 transcript:CAK68364 MLLPTFQSSFFQIYTTSTTVNVAVFLDKLVIEGFKGRKQKVLEFVQTSYCIEWDYAISQN NQLMGFTLCLDGQRRYQFEGEVLNVTGLRSVLKGKIGFFNWEKEFSFQKWIKKTEHCEIF QVLRGENLKVIKVLQDNQTNLELSVNHILNQKPHVNLLHCDEFYRDEQQIYLVMDYHSLS LEDLQKEYSNKMIPLNIIRIILQQLLEGLNHLHSNQIIHKDLKYGNVLLTPNQTVKIFDF GLSQIGQNTNIRSGTGGYLAPEVFQNQPITSKSDIFSLGVIFHKMLTGKGIFKNLEENMA GQMRISSQLKDKNAKDLLLAMLNQDPELRFTAEDCLAHPFFIGEYDKPSQKYDIIIS >CAK68365 pep:novel supercontig:GCA_000165425.1:CT868059:91678:92454:1 gene:GSPATT00037149001 transcript:CAK68365 MNFTDILASKFEPETTGTTIMAVIYDGGLLIGADSRTSSGQFVADRCADKIDYIHDRIFC LRSGAAADTQIITKHVRYYVDAHAQELGRLPAVATAANLFRNFLYEYKDSMSASIIVAGW DPYKGPQIFTLPLGGSVIEQKWSIGGSGSTFIWGFCDSNYKENMTHQEARAFVSHAVAHA MFRDGSSGGIIRLLNVTKDKIEREFIDYKDVPFK >CAK68366 pep:novel supercontig:GCA_000165425.1:CT868059:92615:93026:-1 gene:GSPATT00037150001 transcript:CAK68366 MNQVEPFGIRVIPIKWVLKFDPPTIGLVYKQHQKEKKKHLYQISLNNLIFLTNPEDIVNQ IIYEHPAYLNRKFIKHDQLIGLVRKLLDYKSQKLQEMVEFMEDHLVEYNDADSDQEEKYT SNQNYSF >CAK68367 pep:novel supercontig:GCA_000165425.1:CT868059:93886:94759:1 gene:GSPATT00037151001 transcript:CAK68367 MIITLLLLIQKINADCIANQYLTFPKQAELVYAVKRITIKLEGEYIIGYGDEYEVRDKSK ISLKQVPSGIIFAPSNFEIYCPAVHILSRKDSIKCEIQLNMEKVQDDETDPTSIPQKAKF VIPIEVDKDIKSNPVFEIENNFQMRLMDLAKQLEKLAYYLEYENCDILVYIIPKPLSIST DQITLLQQYSKSIPEGATVEEIEESNKGELYVENLSLDTNSSTHHYGLIAIVIGVIALAA IRAFSKKKEQQNNPDQQPLNQQELQNLT >CAK68368 pep:novel supercontig:GCA_000165425.1:CT868059:95231:97645:1 gene:GSPATT00037152001 transcript:CAK68368 MQQNKGEIQQSSKVRILKKITIKLQLRLDNEYNEESNENQMTQSAFVYYQDQQEMSIDFV HSKMERDNNNIWFANVEIDPNHGYKYYYKLQQKNKIKQESQTRYFKYDPLGRIAIDEWNC VWCLYRYQVEDPGILEHFQLKIKDYQKKSYQSIDHQNDNEPGVSYLECSETLKLQDQQLK RQFAFIYKNNQDQVELSNQFDPRKGNSFMNNFVLNKFKESIILSNEQEQKIKSCLSKKQV VSTQNNDQQYQKRIQELENKFNMKADEAHNYRLQLDNEIEVKKKTQNTIQKLESQLKDLK EQNRELDHTLQHNQQLYEYNLKERESEYKYQIMKTMEDQNRELQDKLEQISKRNSEMLKK EQERMEEDQRALQTKSQEVVNKYRQMLDEANEQIRNHELKSFNFQSDDITISFIQSEIPD WVQQNIGDLEQTITSYKNIEETLKKEKDDIEQYYHEKNKKEKSLYQKKAQELLKKGENCQ KELDKCKIMLEDKIKESEVQADENNFKVQKYKAQLNKLKSQLQKKSDDLAVFIKAYKELE QKLEDEQQKNMLLQRELDDTIRSSEKKRQEEFERFQKDRQDEMKQLQEESQQQLLLEKEK HEQLQREQKYEQQKLIEEMIKFTYQKIGDEILVPYFDAQKKFHDNLIFNFKSEIKTVIKE NIENFETPVNQLSYRLSHIEEEQLEEQKMEALQKHEDLVSAIQQFKEDSKIENAKGLKQA FIQYTIECNKISEMAMKTNFMNNQDGEQIYQINHWKSILDQRLDSLQRLLSFSNELSEWY QQFQSSRDIITKAYEDLKMKHQYQ >CAK68369 pep:novel supercontig:GCA_000165425.1:CT868059:97717:100765:1 gene:GSPATT00037153001 transcript:CAK68369 MKSIDDYKKIVITMKKRVKLLQQENQDLKRLQQEKVYVYSDRYTAIKQLKKAAKKLGLTT EMFFRAADTKSMEIVTTKRLGEIFKTVAKLHDNYVQELLNIFDVDESGSITRDEYYQTLE MYQINEECGSNFNRNSYSKFIYFLKKEQYDGIIGFIKMDPNKLGYITREMFVAFTQSLNN WQQYLTLREIYSAYQYMDISENEQISREEYLQAFEKLPALPQAGKIQEQKVNVLRKVLLI KGVRLSSFVLELMLSIQKPEDGITIEVFYRVAKQYLDKKETLSLFKLMDYQERGSIDYDE IMSFYYMTMSYGDSFEIVFTILARKLQAMNMSIEKYLKQEQIYAETQLTVEQFSSIAYDL FNTPNYEISSKLFEAMDLDNSGVVSAQEIITYTNDSLKNYSLLLRQKSQTQTTVMFEDFD STQIEIANLDIEEQLLFELKKYKTTFSDKDRFQNFQYIFTNEISCLLVCLQIVENLKLSK QKYWEDPEFGSHKMDPFGANSIFRVENLPITGWPDAKDLCWQRISEICGDWVFMDENGAN ANDVIQSHYLGDCWLISALSIIAGNDKYLVDQSDISKGLYPKLFHFLSKYGIIVIKFNKM FRDVYVVIDDRFCTYNNKLLFAECRSEREWWVQIIEKAYAKLHTCYESLTSGDISQALFD FTGIPCTRHVIDETNITKDPVERKKFLLNLFEIGKQSKALMGCSAHGTGQVLLNSEETGL YRGHAYSILHYFHLKDDQSRIHKLIMLRNPWGFGEWKLKWSDYSQQMNENFELIQAYFKE EIQRCKENGDEPPDPYALGDDGIFIMNFKSFHNVFNNIFMSVNLDEKWSVMRIYDSFTKE HLGTPTKNERSQALYPFNNPRYTIRINHPFPNKKIYITLSQRDGRLDRQAKYPYEGKLVK IIMCLFQLQQNETELTKFQKPVHDSKLLSGRRDIDMEVFSVQNATYVLIPSAHKPNITGD YVISVYFDFPPNGIIIESSTNNQFLLQPKIYTSLMQNPTLLKLFNKL >CAK68370 pep:novel supercontig:GCA_000165425.1:CT868059:101384:103672:-1 gene:GSPATT00037154001 transcript:CAK68370 MQHLSRPQLQLQEIVQQNNFKTCTNKSNKLSQSFNPCGLIAQSLSPTHKANQSTGLQGID LKVLKKQGLPAQAKQYQQELLKLMISHKQLPIQKSVQKVNTSDTSNNLKSNITTDSRRTN SNQYQNSNAQSTKGFINLANQIIIIVHYENQQIKFPIDPSKTTGWLDEYLKQEIKKHSIH QYSSTGSQPDHVKITCGTGNESTNPEIVSFHTVDKNLPVDYYLQQPNKPLEIFSGQTLNL QPFFATPQTAKVSLKDFIFVKCIGVGGFSRVYMVKKKSNGRFYAMKLIDKEFILQHKKQG IVQNERDIMTVLDHPFIIKLEYAFESKNFIIFVLEFCSGGELFWQLRQVKRMTEYQARFY FTEICLAMFYLHSLSIVYRDIKPENILIDIDGHIRIADFGLSKPNMTEEDYAYSFCGSPE YMAPEMLLKIGHNVQVDHYCLGALLYELVTGLPPYYSRDTEEIYESILNEELTFPEKLNL SNEIKDLLKGLLSKQPSERLCANKGLLELFTHPWFKDCDLVSILLKKVQPPFKPNQLQFN YDSNDLMNGELETREKLLGKTGLQQEIKIFQDFYFDQSEQKQMKIEQSKVLKQHCIMITS LQMQLLQKFKTIRKSTEPKESSNSKPQSPYTGSRQINQKQKIQSEQMSSLQKRIKQSSLG NVQPIQPNCIVSPQQSKIESLKRIISQNNFFMDRQNTLPTNNHQNGQSKDSIDSQLIYQN SQNMHKRVFSLKQRKK >CAK68371 pep:novel supercontig:GCA_000165425.1:CT868059:103739:105680:-1 gene:GSPATT00037155001 transcript:CAK68371 MNSRELQLHFDLQALDDSINQTDKAAEKPNNPKQIEVQDKYSKQSLVSELENSPTPKQTK HQQQTKIYSNDKDKLYFQRNDEKTNAAFGSAEMQQRIQLAEELKKKGNYYYADKNFEEAI SQYTEALELVQDSAVLLLNRAIAYIKIYKFQQAIVDCSKFLEIAQNKEERFTQSIDSFFK AHLRRALAYYKTGQLQKALQDVEQAIQIDPNDKEAQRLKDDVKHYLNIEFMDKQNKTDSK ECSDEPTEVSLEAQQISQRENSLGIQVANSGRSSECVQTSMKCKFQQNYLNYKDVIDGFL IQNQNYDLYQVLKVMMEYTDESAAYFYDKRGIETLIQIIKADEEFLHDQFNFMASLPALI LQSYQEQNQLYQEQFILQYNGVDIIIQKIIKLLNKAKQKKKSAIFDCIRDYIEAINIMNE EKTRILIQSSPHIITTLYPEIFVRILSLSETEPELVSSFLSLCANLCYDQSSFLRQIFLN HLDQIVDQISQIYQNVELSIQSCDMYVQILNLLSNLLIEDQFRKKFLDQTFNKEFYIQYF RLLKQLQINLDPNYDELISIALGFLINLFHQLNAIQSEQLILELKQLPKILEAFSSSRNS LILERVEIVQASLNLQKEPSIINLKFKVIGPMKNGYNFH >CAK68372 pep:novel supercontig:GCA_000165425.1:CT868059:105799:106239:-1 gene:GSPATT00037156001 transcript:CAK68372 MTHLYDLQEFKEAFALFDKDGDGTITIKELGMVMRSLGQNPSQQDLKEMIKEVDFDGNGM IDFNEFLALMANKLRDTDLEEEYITAFKIFDRDGDGLLSAQELKHVLINMGEKLSDQDVE DMIHEVDSDGDGQITLEEFIKLLNWK >CAK68373 pep:novel supercontig:GCA_000165425.1:CT868059:106276:106840:-1 gene:GSPATT00037157001 transcript:CAK68373 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFRRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCREEFVKRVQVNDKKKTEAN KQKQHISTKRQPVLPRGAEVVKPTSTVFQHPKAFVEII >CAK68374 pep:novel supercontig:GCA_000165425.1:CT868059:106902:107379:-1 gene:GSPATT00037158001 transcript:CAK68374 MPSKRRNNGRSKKNRGHVKPVTCSNCCRLVGKDKAIKRFTVRDIVDASSKKDILEASAYE SKQQQSIPKLFDKLQYCISCAIHARIVRVRSSEDRKIRVIERKRPAQQSTQKKDAPKPQA PVAAQ >CAK68375 pep:novel supercontig:GCA_000165425.1:CT868059:107967:109001:-1 gene:GSPATT00037159001 transcript:CAK68375 MNICQLDSTQKIVQFAIRMLILSCALVSTLFVGITCLKSNKQKYWVFKLLILQSFAESID LVGAISLSFQSKCYETLCGIIGYIMHCCWLTSFSCLLLLFYLYHSALHINSKYQAVTNNI NKFMILCTIWPYLWLLYPLLNDSIGPTGWKLYNSSELFYLFCGFKVLQIPYVQEDEIIFL IFWSIPLWLIFLSVLILRKKISSRIKTLIFEEQIGSVELDIIKKVADFSIIYVFFWLTNQ CVKYVQLFYEGYTKSLFAYVLFVFTYLFFEIHLVIIAFIFYKNYNQVIDIKAIWSFGKKK NSKVKNDEQLI >CAK68376 pep:novel supercontig:GCA_000165425.1:CT868059:109039:111226:-1 gene:GSPATT00037160001 transcript:CAK68376 MKILCIWLAYQAKQEYILCSLIQTQILFNNTDLKQQLQQPILILAQMTLFIFNFKQVVLD YEQIIIIIVQLVIFSLWLYRERSLRPKDSVEARQRKNQHQCTIDIQVDTLKQQQNLIHTI STKRINLPQNSLLFNDQCELLETQINFTDLITHPNQYNQAFEILLNLKFNYIEDTALKQR ELRIIVLEMQIDEQHLLTIDKNEYMKYENQAMLIQCMVVDGIKCFQLQFFALPLKMNDSQ EIEESMEIDMCRSLSHELGTNLNSIITFIKMALKDEDISCHFKSKYLEPIRINSEQLNLI VGTIRDYNLINLRQFSLRLEEVDIELEIRFIVSLFNESIENKNIKIQYSFDLQSSVLVND KVRFRQVLFQLLQNAIKYTFESAITITIQNDNLECFISISDDGIGMEAENMNSLLNSNKF VKVSEQSVGVGLGLGISNQLVKQMSGKNNSIKLNRKDRGCQFSFFIKNHQLEMSKESMRK QSSGFVKKSSQTIRFISANTYFEDQVIGESIQKIQIQKTLIQTHSNSQQQFILPSTKRIY GQQESKNQSEEDSFSSIHPPILSPKFQQSIVQCSLNSDCCSRVLIVDDEYFNIQCLKLLM TKYQSKCDSAYNGKEALQLVYQKKQHPCKKCGNQYYSLIFLDINMPILDGYKTVKELKHL MKQQIINKAWCIANTGFCDLDTKLKSYEAGMDYYLTKPLDQNELRNMLNVLFPINDY >CAK68377 pep:novel supercontig:GCA_000165425.1:CT868059:112145:112650:1 gene:GSPATT00037161001 transcript:CAK68377 MVYQWTNFVWETNAWWLRRIRPFIVLGGFAFTAFYGGRYYFFGKWAYYKQRQFSEAELVA QAEVNKRNWGYGVWYKPTLERSRKKLLQDALKDKYRYAMTWEELFYEDPRPVEEILEEEN SWEEYEI >CAK68378 pep:novel supercontig:GCA_000165425.1:CT868059:112935:114253:-1 gene:GSPATT00037162001 transcript:CAK68378 MRKIQNNQPIPGAAYQQGYKVNEQVVPQGIAFFSTSGKRHYPDFQRGELESYQGMQHVFD PPKRLAQDYDRSLNTKDIDGAVTNTLRSKVVKNMELAQVYKQEKQKSLWEHSSKPSDLDS QAYQPRKKWEDNDPYKNRSAIYTNYEDSKKKADDLSEKHLETIQYPDSNNFQPNQQFHES QYQQQQLYAYEDPNLIAQRQQLQNQQQLIQLQNSQSQPNLVLQAQNTQPTNQSEQSKPSQ QPQVYQYQIEDDRQRQQQLLMQKQWEQQRLESLQKLQQSQNDKIREQQNIKMQQDQDYHF IQEQRQQSLNQKQQYARELRQQQESNKEGSYRKGFTPPPVSKSEIIGSLLQAPKLQKSQG FDGETNQNLRDSLSKEIEENDKKLVINRFRPHELQQYIYDMKNGRIGGSKTRNNPTFMSY AGQQLVNQQQ >CAK68379 pep:novel supercontig:GCA_000165425.1:CT868059:114287:115416:-1 gene:GSPATT00037163001 transcript:CAK68379 MQYLLALCFVLVVLGQQIKGNGKHHKHKNKEIGFVQVSADLNDQRIILEGIIQQVNQLAL LVQRQNVFDDSLRQRPFDLMGQLDRLDKLELQPEESKIIESLKQQLNIISNAMDVDDYIQ ECDGEDVCQICQASVYYQLDDDNWYMIGFEHGFKKEFQFLLDSAKQALGSDGDLLLAFST ENHICLQFANLKHPIDINGVLKLYLGTTLNDYQNKLIRLPTHGVPLEFLVRAEYTNRNFI YKDLKFIVNDNSQRDILGGRLNKLQFSIFDLNVYMIILSFKVLIEYDGQFYIQSQIFPFQ VKTIQGSTQSEQINIKYSKNIAEQYLSSSILKDTFKFSQMQASTYTNSTDIVISVELD >CAK68380 pep:novel supercontig:GCA_000165425.1:CT868059:115717:121776:1 gene:GSPATT00037164001 transcript:CAK68380 MLSLLIKVILVFEALSQYKNYRCHRENITSQYDCEHYYEGQSYWNSTACLKNETTINPNT TETNKDPTYCNLISKTECANDFNCALINDNCLHFTGCSAFMKEKQEECQSISKRCVTNGK QCVEIGLCEEYLTEQACQYDEEGDYCYWDSSLQKCETADTCEQYPKFLNTDQLCREEQIT CTVAQSGQGCVESNSKCSDQLFQNQCFWNRDKTQECFWNGTACVDKICQNALSSLITDEQ CIKYLKKCTTKLNGGCVDRTTCNAATIKDACKTNAKGELCFWDGTKCLDKICSNAPSTYK TNSECESYLEDCITNGNGCVDNLTCDSAKLEDACESDLSNKECAWVNNLCVIAQCSLAPN TLTTNLECSKFLTGCVTRKDGGCMKISKCSDYDIEEACLIDLNGEECFWNDNDVCVDKTC ENAPLTLEQHQDCQLYSDQCTINPKTNLGCVDMICENITAQQLCVTDLNDNKCFYQEKCS SKECTLANLTTALECNKYLSECTLNDEGNGCTLLPLTCRGNSKKESCYFRLDGLCGWNSQ SNVCIDRECFTADASYNTNEQCNTYLKGCVVSNTAGCMVLPKLCEDRKKELNCHFDDDNC VWGSEQCQSRSCLTAQFELDTIDMAECKQYIECSQDETECCILNNEGNNCQGKSTCDVLD ESNCVSDAAIEGTCVWNGAQCINADSLLCTDYIGQNHKECHDLKNTCTVNANKNGCIDLK ECEQYDNQSQCVLNLRQQFCSWNAATSHCYSFSCSDAPDSFSYSTDEQCRVFQSDCTVHS DIGGCVDKFSTCGEYLKKESCYRTINSAKNNCYWYNNSCRKTESCADITFLQTFTTANCE QILYDCKVNTTNNGCVLKICTDYNYTTLDQCLSLNSCSINKSKTQCIPIKSECGDYTDQS QCYYSKDEGLCIPYIDACYIKNHACESMSGDETTCPTYRKACIKNPFSNNCKRNICSSVS SPDASLAQCQDFDIGCTAQVDLLQCTDIMVNCSDYTDINLCYYSNSGYCILDKDKCIDLQ NKTPCNQLIFKYDATCDSLKNSCANNPDKTSAIQCVDKTCSNAIKSEYNHQICQEWMSDC TSNYDGTKCIVMQNNCSDYKDSDCGDQSISGGCKMKQNICVTGQCNYSPSTLLDNQACQS YLSTCTVDRIGGCEQRQKTCDLYKLQKQCYFDINNNRCFWNPTLQNCVELKCSNIEKTSQ YSTTQQCKSIQYIDCILDNTGKGCQDNQYQCESITSQQLCTKDIKGQQCQWNDNKCYTQT CTTAPTTLTTLQQCLAYYTKVKCTTKSGGGCTFQLDYCENYTDQGSCIISKKGVECGYDE KEKKCKVKSCQIAPSSYSTHQQCNDYMDTCTVHTTGTGCQTIPDTCEEMTMIQCEVSDCY YDNDQSKCITKTCQNKPDSISSCSEYLGQCYEDTIKCKSAICEYYYYATDQECRNIMSHC TSDGTQCIPRRKCTQAFNEASCVSQPDGQLCQWTANKQCSVRSCSVAPKTLTTHSQCQSY LKNCTTQKNGGCINLMQCVEYSISEQCQIDTLQKPCVWDITKNWCRNQECQDQFGNNHKS CQAVSKECTADLVKKGYCMDLLECSEYTLKLHCIIGTDGSCLWVNNQCYQYSQCEDIQFK THEECQKVSKECTTDGNQCVPITFCQETNTNGGCKLGIDGECIQIFKDDVNVCTQFESCK QIALPSHSQCYATKSDCTTDGVTCISLTDCNKYTLQISCLINIISTGQCAWDTSANQCRD QGCTDLAGTTNEACESQLYSCTSNGKTCVSKFNCSSLTNEAECKIAKSEDGQCFWLNSKC EVIGCANIANAKSVEKCREQNSKCTYDGQSCIAIGQCKDYKTQISCNSKGTDGICSWIPP ASSNVTTTTERNVCKPMESCQQSEQDQNSCLQVKDLCYYKKATNTSSSQCLEHTCSSYYE QNNICQFFYNWNKTEITFCQIQNNTCIKIVDPTTLSYNDCYLLSGYTYTFNSQQSKCQSC QPPKKNITIDKKFANILTIFLIVQIIVFI >CAK68381 pep:novel supercontig:GCA_000165425.1:CT868059:121959:123377:-1 gene:GSPATT00037165001 transcript:CAK68381 MLQRIKIVRSLNQKLRYRYEQLSAELYFLNQRDCYGAKTPKSVKLKPLKAANQGYLANNH ESSDTCYVTGVPYGQTKDKFQNYDLFNSLNTQMHPDLIVLQLDPSPYLARQRFLAHKCAL QNVEGYEMYDTPLIDPLKPHAWEEAVVNLVVLDMLNANKNMEEIDWSKGLSTYSYANIQS KEIQEANKNLFIQTIDEHIINHRYSEYYLINRVLYTALMGKHKVLLADMPDHLHKLNLGG TLEIQEARDLFKYTLQKTKQMNDIPITLRKAAYDFLPHVFQTPKDLYMTAMLKSAFEGCE TINAYVGIPHLVPINNYWVEAPHGINYTEATRIPPRRTGETDENQIEKMALLDVLLETHT WAEPYLSNPFPYIVEDLTQATAGDLKAMKECFLQYYQKYSAYKNELQKELPNLRNHDIKF IEQTRQAVPDMKYEKSIEIKALTNKQLQQRNVEIVQ >CAK68382 pep:novel supercontig:GCA_000165425.1:CT868059:123383:125244:1 gene:GSPATT00037166001 transcript:CAK68382 MLEHKRNHSQKIRTVQIRCYDKQVAIDIAMDKSVADLLNKLREHPATPNSQQLVALKTVS NSITMDYMLSVPEERAQLPIHKLKQEALIGVYRQNAHKQNLSLLDFTFERCIGKGGTSEV YLVRHHVSGRLFALKMIKKQYITDCRRLEQILREKKILSQVLNHSKFIIPLYATFATKDH LCFLMEYSAGGEMFYHLQNYRFTDDEARAYICEVICALEELHQHRVLYRDLKPENILIDL RGHIQLTDFGLSKLDLSEEQLTNSFCGSPEYMPPEIVNRQGYSYPADFYTLGCLLYELLL GLPPHYSQNTEEIFHKIQNEDISFPEDLSSDVMSLLQNLLNKNIKERIHDFATLKRNPWF ADVDWQAVKQKKSAQMPIFIDIYETHIHQEFLKIDVNDLNQKNEHGELSSSEDLFDFFNY VNESYKDIFQLKQKMRVQSDHLIDKKLLQISQSSAKKKNLQLNLNDIEKQFSKTQTLKQS LTPQSVMPLSLLRKSFQQMMKEKPRKSQDNHPTLSTVLSDRPIIDRNYIQKMLQTLTSKK KPQSPSHNGLSWRPPQVKRGSSSSTHLKSSISQRGQSSTRQIK >CAK68383 pep:novel supercontig:GCA_000165425.1:CT868059:125269:134192:-1 gene:GSPATT00037167001 transcript:CAK68383 MSTSVKPVLNDVIERDYTSKNIIGYGMWHRWIPMQNTTELKKTTLPYLQLIYEFDTLKTA MYSKIYMINALDTQSFYIVLEQFGSYTLKNQIALDNIMIEGRWAYLYYCQNIAAGDFRFY YKLLIDSNYDQTYVISGIENDRINRRKSGFSAGYITIIPEETPGYLYFGQKSEILFKDEF YDTITDFNTALSVACSFPDVCSIGKQVTYMFNNIYNFGQLGAKMDDLFYAEGNYVISAWI KLDQLNLDQNKSSYTALRLAIFDFYADDYLQGDRQLWLNYQQDYEFPENTKISVHTFSFI FAQLTFYQTKEDDKWSIQGLKYEDQVTQWHYFSFQHGSFVEAETGYGNTKIYFQFKDFEI TDYYVEKKNHFSTQQLVLIAGRDKYAESLMKGQMAFLKLEYCFGNTFTMVKDCNVLCKEC VGPEVNQCTSCQASQFRVLTDNQCLCKRNYVENTDKTLVVCQSFTDRVGSNIFQEASQVN TCQQGQFLIKNGNTQQCLSCPTQMSDISIQCGDCYINSQEWYLNPICTFDYQAFNSNPNN AFKFIERMESAYQLFTISGDLNLELCPQCLKIVTDTSQLDSNKLITQYHLGVRSTILCKS CSKSVNNQCVDQNENCDQCDSHYVCQSCQNGFKLVSNQFCRQCPDSCTECTFIGDMPQCT SCPIGFYLSGGKCTQCGWNCLICDAQRCFKCVNYSQYYISLDGQNCYENKITNCLIAYEE SVSNSSAISLLKDYPIVQRKDDVVVKCALCVTQSINKLTSCVIDFNLPVSSRYLEQNGQN VQLSATNLPQGSYQLTNQTNTTSMCDSDRNCKSCVLEDRFYQDSWKIDITSFYNTNYGQD FLDLVLKTNDGDIKCVTCLKGYDYLNGKCIKSCDSNCKVCQIINEQAICIQCTENWNGQR LTLSNGECIECPYNCALCQQRDAKSLSTVNPYFDPTKADFIKFSYICLKSFTIPTVNLNY DFDLENFVECSSASTCKQKALIDLKLYCNKKSLTVLNGYDQDLTEFTSPKYESDSHTIVF NQKVLKEITIKMRLINDDSTLSCKLPDNFQIISDLRKNVFTLKTINLEFYGTTNVIFNEN FIITGFNQVLLKDFTLASTSKNQTLYIYDQFQFELILNSITLKVVDISFSIVVENATSIT IDQFAIQDSRIINSIGIVQCFGSLKKDDVTLQLTNIDIKNSQFTNSKIFLFKLSENYNNL KISIQSAVFTSNVFRLGSQAFRTDFPLNVRMAVVDITQFTQTSDELIESTFASFEGVKRV NINQIALQQSKLSAKSRWLILPLFSMTDLQVKNNILSTDDVILISNKASVQYSDANDGNT IDMQTIKFELNKYLGNKAFMEIYQTTFVGLKITIDDLLIDQNELYTLTKLQQKYIASENS TLYFDSYELTLTNTKIVRGKTFPEMAILNSNKVQIDNMDVTRNSDILKLLNNRLSCVSAN AIPQIYTTILYLYNIKEIDMNHITLYKIKSINQPLISIKSSDRLKIRQKESIRIRNSIFS ENLLILSKISELQAIISIISEQNQDFLVEDSKFLYNFQHSYISDLSFVSSSTLLFSSPNS SVILNRNNFEKNIATNGQNSNLVLKCNSITITTCSFLYSNQLYFNVIHENIVWGLESFTI PKQEEFYQAFPIKTFGGSAYLSANTTTIKDVNIIGSISLLGGAIYLQPQSDGVVKFQDIL FQECRASLEQVSNAQGGTIFIDASSSQIQMTISNCTFLNSYSRREGGVIYVIPSSSENNM YLEDVLIQDSYSIYYSFIKTFGSNLLNLDAQNLTLQNSYQGFKQYLGQLYQLSQSEISDY HLNYIFSIKGKITLQNSSFTDYMNGLIEISNGELIMKNVIVSNYITGSQPLISLSSISVI IMQNVQFLNISVYSLNDNIVPECEVSYTALALTCDYSQIAPTFIEIDMNQTIIDYYSILN LKNVQLAQNYPTSLISVMNIDADHSIVIQDTKFQEIICQKCSEGLIQFQFLNYFKLGNNI ISFEKFQLQSSQCGQLSCIVLQQQFNQNRLRLLVDDSAKNSLIYTVTVRQSIFSNNFGTN GGVFHVNDINILFDDCKFQSNIASESGGAIYFISKQATLNIYDSEINGNQAAVGGAFFLQ NYTLNSPSILNLQLRNNKAQLFGQNYAEYPNQLTLSLNGGVDYLEKERLFANLTTIIDVI TIQPYNVSNTQKDYITLPSGQAINTYKYFDEETQQYLSYNLTFRIIALNKQDNKIQNLDG SKCTIFSRKINLKNITDIDPQNIENVTSNQTSPYTSMQEVNFNTTSQDYNLDEMIVYFDP KSNSQAVLQIEFLCSSIRIPMFNPEPPYLLKYQIENYRLRVNVQSFDCQVGEQKRDEDGT CLVCDSQQDQYSVIAGETCQVKDTISTEAVTSASVKLRPGYWRPYSYSTRIEYCLNMQIN CEGGWTPGDSSCFSGHIGALCEQCDIYNIMGNGQWSVSAQYKCGSCNDVGDNSIKVALIS TWTLISIMLSVKSTMEAVNNMIISHKFSKYFVEQTKAGQGGILIKVLTNYLQIIGAVQTF QLSLPSALTSAFKTVGSPVESMSYSLDCFLIKISDINVMYFRMIWALIMPIIYILTFFIL YGIAILLRQADASKSAITTTFIYLFTYLQPTLLGGFVSLLSFRQVSNIFWVQGNVAYRYD TAQHLKWVLSFVFPSAFTLGLIIPTYMFLSMYKIKNDLNDGENRKKWGYLYNEYQPKAYF WEIVKIFQKSFIILFLTFYEDLIIIKAALIFIIVFIYSLLTKKYKPFKLPYLNYLDDMST LVCGTSIVLGMTLYSANQTSNQEIIWPFYIFLIIINGVFIAIILWEILWAQLDGQEEALD KLRNKINVKFPNLSNKNWLTRKLFTNRAEQRARIKKRFRMIREYLMGIVRNENRKRDHLY QMNPLELSDKEKDENDSLSPDINPTILSVPRFYNKIYPEVFVDQYGYDHQSQADLSRVE >CAK68384 pep:novel supercontig:GCA_000165425.1:CT868059:134712:136271:1 gene:GSPATT00037168001 transcript:CAK68384 MDQNHLKLNFSQFIVEKQNSYTDDYKLGGVLGVGAFSQVRKVTHRKTRAIRAMKVISKSR LSTAELQQKFINEINVYKQLDHPHILKLYEFYQDEKNYYIIIELCTGGELFDKIIEKGSF SEKEASYVMKQIMSAVLYAHNQNIVHRDLKPENILLDITSQGNYNVKVVDWGTAKIFSPN QQINEKFGTLYYMAPEVLKRNYNEKCDIWSCGVILYILLSGMPPFGGKSDADIQKNILYG KYLLEGDVWNSASAHAKDLVTKMLQYDVQKRLSAKQVLEHPWFLQQHQEKVDKQVVQGRL KNLMNFRAEQKLQQATLMFIGTTMISKEEKNQLMQAFKEMDQNGDGILTKEEILETYKNS KDHGFSTIDYTEFIIASMDRKKAVQKEKLKEAFQIFDKDGNGYISEAEIKEVLGPSLTGI DEKYWLDMIREIDKNGDGQISYDEFCEMMMKIIQ >CAK68385 pep:novel supercontig:GCA_000165425.1:CT868059:137196:138378:-1 gene:GSPATT00037169001 transcript:CAK68385 MKRASQIQIQKEAIVFSSDDSMNSDNSFNSSIEEEEAEADTNTIFHLKEQMAQFFTENPG LQEAIKFEQEEKEENTEKTEQNKHKQTLISKSEQQQFVHGWKLLGKDVIRNSQGGQTLPT LETINHRLNIFKQKVDKVDYLEILQRETQQQQQKQEEQVQVKQDTKQPPRSQSENPNRNE NEEQKLEEEQDITYFSFKKKMQLALHKRQQLQREEEERKLKQQKEKQKKVNEADQLANDT PNQQTQNTLVGSKMEFQTHVGQMLYQTYLQTGGDKESFKKMAAIFLKNYHKKQKENLDKL KVFPPAIPKFTSDDCKHLNLLLPDFSTQLYAPNKQNLFQGVENYKFPANSPEQEFINLVD QIMSGKVMQFWKEMHQQ >CAK68386 pep:novel supercontig:GCA_000165425.1:CT868059:138436:139689:-1 gene:GSPATT00037170001 transcript:CAK68386 MLNVNSKRRMTLFSSRQSIVHGTGQNVLLWDQMFGNFQSTLKQKNQINKSQKNGNDPIFY SSPLNQPNPIQFNEKLRQGFRQYLNGELSALNLVNECNHLNRKETKLKNRFKLVKRANMF ANQLQVTNKYRSLHQQGLTDQEIEKKVKVLQRFEKIKKLIQKNQETKLIEDTNLKDVQLF RQLYEVSENNKSKFKQKDLITERTDGQREYENVNYFTHRYLRVKRDDEQLKKNKESKNDQ DFFNKQKVRDIIDQKLRGFIDEREQQEQKLLSKAKRRMLMKNTQFKEYEQEKYVLNDPLN KDVKLYAYLFVKPKEKMQFNEINQKTLPLTKRVKESKHYFKNFKKQLTSRSASMTNDSSL NSILEINIDNLYKASDQIQSQILSNDQEKICKKNQVIIESTITQLRNSKDESNKTFF >CAK68387 pep:novel supercontig:GCA_000165425.1:CT868059:139744:140523:-1 gene:GSPATT00037171001 transcript:CAK68387 MNYDVKFCQVVKDQQLQFNNQIQEQDQWFITYGECASGKTTAMFREDGVIQQIGQIYIDN QKQQDVSLSMIEIRETKLIDLFTQQEVKLITSNQSFNCLNLKKVPIQNMDQYLQIIKSGY KNRTQGDCMLNNISTRYPMIVKLDFGNFAIQFVDLVGSQKAIYCSPKAIKEITFNNKALG QIQSILNTNDEAQRLLLCNTHLVTKVLKNAFRNTSLTNLIVCVSAANIFEKDTLKILKQI KGL >CAK68388 pep:novel supercontig:GCA_000165425.1:CT868059:140754:142210:-1 gene:GSPATT00037172001 transcript:CAK68388 MYKQFFSKIELIENQIVISQLYIHICFQHIPNKIHNVNVGNQFIFTILFQQFLLQFAIWI LNIASKKQQKKIGKYKILEIIGQGSEGKVYKVECEKQLFALKQIKPMFKVNLEVYTNIGE NENLVQFIEVFSHQENSYEVYEYCEGGNLTEHMQKQKIRQKFYNKIYLTILQWLQGVPDN IVFKMNQAKIADFGFARFVPNPEIKWELSVKCSPFYASPQLFEAYFSSKCDVWSFGLILY KMVYGFSPHQSNTQISDVKRFHQDIKYNRVPFPENDMPSVVTLIKQMLRYSEDDRISWVQ IFQHPLVGQSNDNEMLVYSPRILEEFNMRIYFEDKIYQLQIFNSLISNQESDIEQILIIL NLWLSYILQLKGEINGQIVIKNLQQKQQMFINGSQAKRVRSVVKEYQMMVEDQIKFINYL DQKRHSTFSKNNQNFQKMNCLEQKEQIESLNCSQETKQQLKQIFKI >CAK68389 pep:novel supercontig:GCA_000165425.1:CT868059:142739:143683:-1 gene:GSPATT00037173001 transcript:CAK68389 MFWILTLIHLTYGIPCAYTIDENRIPIETDKEPWMSESMSQCQFYENSPVCCTQAQDEGI ASDFSSLDATFGSDGDGCDICAANMKRFWCVYSCDPRQGEFLQITGRANVTDPRNPNRTI DVQTVTLRIHPSVACDVFSSCQRTNFASQVSAMATPGGFFNFQAEQGVYDMMQFIRSSSL QLITIEFSEQNSLFMSDINNCNQTFEKAKDGKFYDPYKFEIKQPCGCNTCEDSCDAEKIL YQEPGVFYGFDWQYVLFAWGWAILFALAFTLYRSCIKKKVILQEDEDFIYN >CAK68390 pep:novel supercontig:GCA_000165425.1:CT868059:144113:146695:1 gene:GSPATT00037174001 transcript:CAK68390 MQNNSPIKGRRISNQIKLTGKLLKKKTASEVEILGPSGRDMDTSVVEQIQIMQYENLKSH MQQCQSELKVAKSTEKIKKKKSLDRTAAIKKSQQLYKKKKKSTKIKKSVSAERVKSQTLE FSSPECVRRKKAATFFNEKPNTLQDHRQQLGLSFLNKIGTKRIDQILERNRMSSIEHSHH PPLTPEKHRFPHELKHYIKEKKKFYSQLESIKQQSMLEKKKHIDENLRSLAEIAKRNSLS NSRSPPRDSANKVSAKPLMLSYVANRKSTSRKSGVPKSLKEQFKQLEARYKTLKSNSDVI SLRESRNYEHEMKEQAAKCIQRWFKGFKARKLWQKKKEKKLLKIQQFQLLKDTEMARWDN LKSFLQNLQVKQEIVVMADLIESLIKYADFNKENVLQNHIEQPQIKLKPQKLIIEKTEVF PPQIGQILQLRGELIKEREKKEKQILKDLLVQERISPRSFGLKEQEIVKWGEKELEALEG SKQAIKDGWFKAYETIQKTQKDLRFVQKLGDDHFNKMMPVSVSQSNLLKNQLHDLKINVI IMSDSQLLRASYSEESLIDQKKKPLQHSEQLDIQEQQLASYNSNQSNKTLSSYIQGLEQG MFHQIWKNRDKLRICSMCRLMLAMLGNIQKTSKLIVKNQYQNQFLQIINLSIGPSPFEIL RFFRLTEEMLEQSLDGGFIHQAVLSLEIFSMKEKVGAEDVCVNEMERIHNKAIFDAFNEA LDYHRPFGIKGRPLPWRKNVMCRQVNSVEETLEKSSIRVVLWAETLSGILLPQGSQMDND ILPQVREERLDKMLKQEIFETDDRWQEFDEEHTEVALELSELIFTHLITEVITELRI >CAK68391 pep:novel supercontig:GCA_000165425.1:CT868059:146772:148614:-1 gene:GSPATT00037175001 transcript:CAK68391 MQQDDSQTSKEVTKPKTKVKKSKEQLIVNVSSSQYPVIRHVGKKILNWKIQKDENATNWD VWWTDGAVFSDLLGRMSSHQKVNHFPGMYSLARKNHLGRNLMKMHKQFPQAYNYFPYTWL LPAELSDFRANIGKNRTFIIKPEASCQGKGIMLVKDAEGLSIHEHYVAQRYLSKPYLIDG LKFDLRIYVLLAGCDPLRIYIFKEGLARFATEQYKKPGKDNLDNICMHLTNYAVNKDNEN FIFNQSDKQMDVGHKRSMTSVFELLRSRGENVDLLWNTIKKMMIKTFCAVQPILAHQYKS CQPNNHMNNMCFEVLGMDVILDHKLKPYLLEVNHSPSFTTDTPLDAAIKRQLISQSLILM NCTQKAKQESINQEKQMLQQRMLTTKPIKLTLEEKQKLIKQCQEIRDQYENQNIGNFEKV YPLEQYDEDYDKFIEHAGLLYQESTGANIKKIQQQQQQQQQQQQQQQQQQQQSFKRIEKP EIKSECTLRAQEYRSESKISEQRTEIVRLKSEKSQGIKRMLKKEHSTTLLADDTIRNIRL ASLKPQQRAILKQDTLRKTFKLPVSQGTFVTPKLFMITENNKNSNYPY >CAK68392 pep:novel supercontig:GCA_000165425.1:CT868059:148641:155400:-1 gene:GSPATT00037176001 transcript:CAK68392 MQSGQLLNLQRLERSYLQTLSQNGWESPLVVNAAVEFANTLIKDGRLLLKQGNSTQAEMF FNRAKEITTPISITSGIFYTNTFVKSRGHAILQISQLFEQQGQYQKAWMNLREVLPFMEK FSYTKRVNISEDDKQVLKLYSQLLYHGSEVCRMMHRKDDMLDQCNKLVDILQRLGITFDS LRTLTKEEFHQEYKKWFVMLARAFYYIGKSQFKVGFERESLRNLYKAWQIQELIFGPDAP ATMKARDKYEKLSQKMEFEMIMGQEKVDFQQFKADLQKDVGQELLRKVVTLKPNKNFDHH MQTQVKSYQQIVRQLSSHSTKYQLPEYVKKVRQEYEEKLKTISNQQQIDEYQQQQEKTFQ IKNKKLSSRAASTYMNQSLLPQDKLFSPRLSLAAHSQRTSLMNENYMDKLKVQLQEAQLK TEPIDEMMLMRPQFKSEHLQLKKKTNNKQGDQNEQMTSNKKKIKSSRLIPRMLNKQNTQM LHNKSDTQQSEQKLPKLQTVQDLNMQQQLDEMRVPTTESVLAQQQQSPSQQVMIPQLQHV QTEITEQSETLFYDLLNKYSMEQLNLAAKIIQQYFRKYKSTIRKIKTTQTIELTPMATQK PQSQTACNDKLESNQSNRTTMKVSVKELKNSIRKGGRFDQIVREIKNNEAEQISTNKAKT LVQIIVDFYNLPEQEMYFLGKLFFDDEIKIWKLSNISICAFSDSGYANTQTDEDEQISFP NTPSQLRHQLQHIMNIFTDQQSIIALQLIVYFQLQNDEQQFNVNFKINNFVSYLDEYQRW FSKDRLENFNGLLSIYCKQQNLYEPNNCKTIVFLKSDFAQQIIGKLMYALKNHYYLIRSV VGYKLVSMKDAINKKLLNQIIDSTKCYRNKKIEKEIKRIMILKQFKNEPIKLIEHHQQEQ PVVIQSTQRRQQVYADEFIHEQSIVIQESDDNKSEASQQNISESDQKRPSINLKSNENSS ERDQVKEIPLRHPKKQNTTINNRNTMISPRNAPIVSSQALIRNSMAPKVGNHLSEFARRQ QQQKEAFEPPQSKKSLEDPQEYKDRSQTEIQLVQEYFSEFQLANLDDYQNYQPKEGPVIK LNTNVNMEPYFPPKGIIIQPEPEEYINEYPDNYVALNLIFKQENTIFIGYVLIQESKAFL VINNQDGSISKKEIHFDFGLRLPNRRQKLEIINKLTYAEKQQKLSLIQELLKYDYRHTLI LLDRYVKRINHYDEFIYNEIYQELQASQLLPLTDIHSLIYSEKMASLVIDQKVYQTEAEE GSRLSIVSEPNQENYDEKVLDGKSFKNYRSKFSIIKNKFYFKDFNSYFRVEVCFKEIKVF DTKLLYLSDQQAKQDLIILKKNMHEFIGLKKLQIKIRLYYPNQRLTYYRTVHQLQDVEKI LHIFNKPQMIGYQIRSQSKIQHRLIGKALQFKRHQISLEERNRDKYSALDQFLLMYISDP SQFISNENQRLLKQTILFYYFKQQLKLTLISSSRLRYFMNTYFLRKTFNAQSRVSNIGKV FVECEVYKFNKFYFDQENGEHTYFYFQITPQESRTKVFKLVLDLADIKSICPKVALNNCF NDKVLHDVLKILTAYLICYRTNTYHGIKIPLSYIINQSKYDLHNTFTEVTYGSNILEEQQ NVRSSQPKLSLILNDNNFRKKSQTQMMDVQIENLQLPAEASRILKNTSMLTPVFRGQKFI YKTTKVVNSMYVIITVSYLSMNKFQIGLYIPQTCRNFSCYIQQSDFQQMNPEFLESIFPS CLVTKETIDQFYINRWKFSEMSKIYQIAVNHPDEFEDFYSEMRSQARAIPQLELQKLTES KVSINNEDELLEKPYDDMPKLLESFSDQSRQNVRSSTQPPIFLKKQIAKQYSVQNSIGNL NPMRLSLPTQQQQNIPRADKSLFFKHSPRISNQGSMENYYQSDKDLFFNQILVRKNNLDM ISTFEIKIWETLMNKITITKNSQNHFIFNLDSFQGVLRENLYTQVVYLGNEVNALFEVFV ENVRKPLDMFKVCVPIRLNESQNFQLYQRITLFEEPKVVNFKLMLRKVLFSYYYDERRNL QNLKLKDNFVDSYEFIQSDIQKCSVYMLNKLKKQLKLNPSTFIVQDVLGDEKCPQLIKVN ANYKQYAAFKVRLFLLSQQYQYVLLKRMIFTMRPTQIYVSIFYQEKRKKFHLYFQSMKNC KNVTIKYSLKEIQQCIPSAISLLNLGLHQELGKRIYQSFKNRLLVSTYQQLL >CAK68393 pep:novel supercontig:GCA_000165425.1:CT868059:155446:156405:-1 gene:GSPATT00037177001 transcript:CAK68393 MKSKLDSSHLSKSSGANDSRILKQTYNPLFKIYHIDTKHILCKSCKMLVLEKEAYKHLYD DQHVHILQKEFLRNKIKSESNHRRREVKSDIKQKSFSQQSMKKQSEKGSLNKSRDSTIGQ FIKSHQQFVSKLVEDLNLIGHSNLSLVVALLSETQCKINMIYELNFSKISEQGTCFVIQQ NKQSQPSYSINKQRMPEFLQQFLQKNLGSNKSIMDWKSRNKCTYLRYQIQQCCKKLAKLT KNKDYQKFLIGIRLSHLKGLGLQQQNDLNKQLQAEETGKVQIMQQNEQEQESQEENPQLL GDQKKSNIRIVFKKLKQEN >CAK68394 pep:novel supercontig:GCA_000165425.1:CT868059:156473:158742:-1 gene:GSPATT00037178001 transcript:CAK68394 MNSLKDIYPLIEQEEVLYSMRKQKLILEANKLLNSNESDTLDDSIKSSISKVSNLRQDAI VMDNQVHQIQQNAHVTIKSFEALKNQKKNVELLLNTLNCFLELSRIKNQLPIAIEQQDIA SCIKFGQVYYEILPNLLKEFCQSDYQFVENLIINQLIPFQKQQFELAVKNNELEKINLCS GLARVLHLEQSLDKVKNIYGEELLKDLRTLEQNEQQHLPLSFQDIANNKYHYPFLNYFVG ILELIKPEKFSKNVQQYYGVEGVLELIDYLVSKISHPYLVGVLKQLNSFFEIEKMVKNAK SVQSYDNQLLQSISKKINLDQKQLEKVSYYCDEISAISSEYNGFLQHLKQTAELDKDITN LAIGDTITEYLSKYLEFSQIELQQQIVFFVQKSEPLKDLWNFDKGKFTKLLECQNVLLSN GYHEWLEEIFYLIKQQIQRAISTENQIIASALINFIGFQVISDDLHQITLQLFHRYLKKE YFSPQAIGIAQDQTVNNSFIILLFNILSNIPMYLKTLKSQIYSELPKGPQSQMIVGAVEE MIEVNTKKFQKLIEEKLKVLAENLKSTVKEVFEEIKQLHFDQKDVVMLEQEREYQKYFSN QIKNAFKGLNQWKTQFTQENYEQMSLHLCYSIAKQLELIIMNKKFHQTGAVILEKEVRTS INYLIMMTNPNNKQAFARLQLICSTLLLESYKEMEEFLSSSAISELSSNEIKKIRQLRID LQ >CAK68395 pep:novel supercontig:GCA_000165425.1:CT868059:158825:164716:1 gene:GSPATT00037179001 transcript:CAK68395 MKRLGQALILIYWLTLLQNTSAASNSLSVSNAACSCTQLLTEKECRERKCYWNNKKCSVL TINTTNYCDSIGIDVCKSTPGCANVSEKCVSFSGCSAYQYTTNETCQNISINCTTDGEQC IELDKCSSYTNQVSCVKDENGKFCYWSTEKSVCQLPTTCDLLPITLNSDLLCRAQISSCT MKEGGGCEASGEKCENQKLEGACVTSLDQKKNCIWDTNQCKEKTCVNAPITNITHELCTT YLSTCTVNDALNGCQDRPVTCSAAKIQNNCVINSAGQNCYWNKNNSKCEDKNCDNAPDDY TTHDRCQQWLSTCTLKTGGVGCQTKLTDCTKYTTSNQCAKTLAGDDCFWYETKCLLKSCT NAPSTNINHDMCSSFLPSCTVQIDLTGCETKKATCSLYTKEDQCVSQLDGKLCIFQNDAC IERVCTNASEDLTNEQDCKRFSPTCMVKSNYKGCILQECKNIDTKDRCSLDYQNKQCYFG LQCQLRSCANAPTTYKTDAECRSFLNECTVNEELKGCIERPSSCDKLKEQQCTKLTDNVT KCSWNDDDENNKVCRNLNCSDITPGAQTNATCSAFDPSCTVADNPSLCMAKPLGCSLMVN REHCFGVILVDMKSTCSWDKDQELCRDRQCSDADSTLKTEAECRNYLATCTLNSTGNGCA DEPATCKGYKTKEVCQSLVSNPSNIVLCGWNGCESRTCSNAPILASGQLYTHVSCSSYLN TCTLNEDQTGCVAKFTSCTDVKSQVQCGETILVTGTRCYFDVSTDNYFCRDFKCTDETSS LMTHAKCQNLSNQCTLAESGNGCVDLLSACSDIKESQQCEGIVLSGNRLCSWDTNPTPDS DTQIPVCKDRACKFSPLKDTSTNCEKYLSTCTLGDPDLGCIDKPASCSGLNQKQCSNVKL INGTYCSFDSTIVDETQQCHARICADAPTKYQTDADCRTWLPNCTVKSDESGCVDEPSDC SLMMKLQCYKLSVKSVTCFWNANANPASCRVALCTDASTSLYTSDALCKTYRSDCTVAKA NGCITQPTSCASLDQDHCPKVTINAASKEASENKCSWSASSTACQDRVCTDAPTTIITEE GCRSWLKTCTLGLTNQGCITEQTTCDLVQTQNQCQVLSNGTKCGWNTGCVNRTCSNAPDT YINDEQCRTYLSTCTVQADGTGCVIRASKCTDILVDKQCIKISDSKLCQWNTVKLKCEDR ACTNAPTTLINHAMCLDFLSTCTVKASGTGCQTLLDKCSAYTVIKHCVQTSSGSGCQWIL ISGTGSCVDVYESCSSYTIEASCTAQRDKSKCIWLNGSCYNRECQHAPKSYSTHEQCQEY GNCTTNGQGCINYIPCDQYKYSASCVKGLNGSCILVNQCQNIGCDLAPTTYKTHSDCQAF LSTCTTNGNGCIEIKRCQDAYVEEACKVNASQKECAWVNGICYDKSCDTADATIQSEKGC SDYYPQGNCTTKKGGGCVTKGKCKDAQVEAACISQYDGQKCSWQNGTCQLRSCTDLMGYD HQTCNTAQADCTTDGFQCTMMKTCSNTLIQQACIKGTDGPCRWSEDKCLKYTKCSDLIYS THVECNSIHPQCTTNGINCVAITKCSKTPKIACFIGTTNNSIGKCIWTPMTVKASAPYQC IDFTDCTNALYLNHNDCYNYSLGQCTSDGVNGCIKLDNCAKYTVQSSCFIDKAGQSVNSA GAITATGKCKWSTDKCVAQGCSDIVGSSHRDCNGQLSTCTYNGKNCVVQGTCSGYTTNDS CTVATGTDGKCIWDNSACSAMKCEDYPVTLCSLYSSTCIQDGSTCVSLKDCTSYKTQTAC DYGSPTSVCAWIVTTTNNKGKCVEVTTCTIATVYEKACKKLSDRCYWQTITVANSTEHIC APKTCEIQTGTTCTGFYDWSLKTYTVCQFSAQGKCVSTDPTSLYQNDCPLATLYQYTWNP TTNKCTICNAVQTNNSTQQNQSNTTEDSSAVILSIVILLGFVI >CAK68396 pep:novel supercontig:GCA_000165425.1:CT868059:164744:165539:-1 gene:GSPATT00037180001 transcript:CAK68396 MSQTLDAFSRSIVLTTIPLEDLKFVYSVPQIVDPDPITCIVEPTHEEGGIYVGGYEGAKD VDMLKRLKIRAVLTASQETAVQYSDLVVQFHHVVEAHDKDDYNILQFADQTFDFIERHRK HTNILVHCFLGISRSPTIVVAYLMRKYNLNMEKALWKLKSKRRQVNPNTGFLKQLLNYEK LLQQSQQQQQQQQQQQQQQQQYQQSQAKPKSIFKMVRQQAIPQTQMLPQSRILPMDSRLI MQKQPSQFMQPTYYK >CAK68397 pep:novel supercontig:GCA_000165425.1:CT868059:165905:167005:1 gene:GSPATT00037181001 transcript:CAK68397 MGNVCLSESEEVWEWKHEISELCKNYSLFPWSQQIQFQIRNIEAVREIQLRDIYLMNALF LNNKIENSESEHATISQKNMKKFIRRSGLSEQQLEQNDISFSGSLLSDTQSYIYHEKACQ VSEVSIRSMDYFAHFTDIIKYQIKTNEISHLIRFFKQSYQTDETYLDSFKAFIDFLYQSV VSYYKILNFKQELPRYSGLINKDTLINFITNCLLQDRELYDKIFNKISEELQDKCYITKK MMIENQNQSTREMGISREFQFLDEHQPYLQPIRILQKVQQKQGPASKVKVILKMSQVITL CMSKGRGKSLLIATDDMLPVLRYVIIKSQIYDIHVHIFILQNLLTQNVLSSNLGFFITSL QASIEQ >CAK68398 pep:novel supercontig:GCA_000165425.1:CT868059:167187:167850:-1 gene:GSPATT00037182001 transcript:CAK68398 MGGCLFRKNSNNKQKENVQVYYQLSQGSETSNLMDEMEDVREVENIKNWEILQITQIDNK CIILKKPSVCSFNYKVIEIGGDGNAMYLEIHKQLQYSLIQLRQSSNYFVGAVTIDSICYL VLRILQGLAAQVSVQEMQCLDIEENIENTIKSRYDEKFICVGAIYLNELVILVFRQFLLK IQCPVIQQYQTFDQNTTCILTYDSVLYQLKKQI >CAK68399 pep:novel supercontig:GCA_000165425.1:CT868059:168209:169912:1 gene:GSPATT00037183001 transcript:CAK68399 MQNKSNTCAVCSLKTTFGCSQCKSVFYCSVEHQRQHWSVHQQSCQSMKNQDNKQIDDTIS KSPASKPLKFEIQQTVQPKSDKKLIMNERDILIEDHDRYRQISIRLLSQRDYQDAIQQIK KTIEFAQKLELIGGKEDTYEKIADQMLLVRAQIKLLELETARKTLISIFEQVKLLTQTSQ KNDQVKVSNILKVMAQLFYETGDQRQCELAYVEYTLMIEKCHGEDSNSTGNAYFLVGTFY LQHKHYTQALACFKRALNIRSNINPNVESVSDCWYNMGVIYKQQNKKDQAIDFLEKALKL RRQIIGQNSLQAAVCLETLGRLHIQYNEYQNAYSRLQECFNIRKQLLKNPQHQDIERVSK LIQILYQKLQDQNYNKQNSTLQQTILENNFNRVLEMEESEKQEKSNSSPSRKRSQLKTDQ KLSQLLNISSNLLESFSIDQLIQLKLLQQELVKYKNRQEQQQIIIDSQFMNTLSSIQYNL LREQNPQVFQQLQIIKPDEQQSEYESESKPSIDQKMYASNQEHSQKIVQRLGSFRKLLN >CAK68400 pep:novel supercontig:GCA_000165425.1:CT868059:170049:172746:1 gene:GSPATT00037184001 transcript:CAK68400 MSLQPRLSPKNYKKYSIFNDTINIRTSRNTSFDYEFQKRRNSNIRFKNSGSVLRGSKAGD FMGNITIHFQYVHIHSQPQGQNAFRDKNLIEAIKYYNECTLIDSLHIPSRYMLGVCYFSQ NQYEKCIKELKFVKEQQPNYNKNVFILLALGYKKLMQLDWSIKTVLILLSQQLDECLQFY PQCYDALIFKGKLELKLRRFQDCESTLRSAIKINSKRQTGYHYLGDCQRLQNNFDQAIKN YQIALQFDTSDKSKLNILKMSTCLYEMSNYQQALQMIDQYLSQDEQSSEANKLKGQIFQR LGQKLESQLYYEQAIQNNNSRIAVSKAIIEISKFKIESKDFYSLYHTLLRVEYLDVDKQQ LQPYIQFSEAVVYLLKKNYAQADEAFKNLQQLDIPPIIQEICPSYVGYLNMMLNNFEQAL KDFRQNKSLPYNQVICEGIINFNSFDIAYSKFDQALSYNQVDPNMYKAILLIKEGFKSEN SDYINDSLQCLSRAQQYNQNNSQLMYLKSLTYMLMDNVEDAYKEILTTIEKADENLADHY YLKGLLLAQNGDVLNAVQDFSVCLGIDDQYQKAYLQRSKCYSYLGEIQQAFDDMNCYIQF VDDFLSAGNLLYNSKMYEEAYKTYKQEINLTIQHRQQMAVCLFQLEQFDGEELQEFIRDK QFDQNMILAYQQIIKYEYDSAILTLQKKIDPQSSFLFNKQYLHLYKGICYLYLQKYQEAI QEFERCIQLTKSETLIYITNYNIMFCWMMLKKFKYSHTQLEQLIKLSEQKHVLLTIRNLL IDQTEFTLSENPLGIEIMLADTIKLQPFISTQYTIYPYFSLPMAQPKDISPQFDFKIITS LDAQSIECRPEAPWIRRNQTGVLFTDNLQQCELSQTENSSPEN >CAK68401 pep:novel supercontig:GCA_000165425.1:CT868059:173413:174389:1 gene:GSPATT00037185001 transcript:CAK68401 MSQILAPVVEQTTVPDGFILEQNSKTLMVTINRPNQANSFTLGMYLQLIEILKKAAEDDT VDIVLVKGNGKMFSSGNDMKNFSLFTLSTEEQRIQFATELVELIRELNNSILHFPKTLIA CCHNGVFGFLFPMLALFDQVFVTEDCYFVAPMIQLGQGHEMFSSYTFPRLFGHSKSYSLM VNGERLLAKDAIECGFAQKMFKTKEEMYSHAQKMCQSIEQMDRNSVMNGKLLMREALMSE LSKAGERELKVNFKIWSQENLLENVMKHMMRMRSRL >CAK68402 pep:novel supercontig:GCA_000165425.1:CT868059:174391:176586:1 gene:GSPATT00037186001 transcript:CAK68402 MYSQFILSVIGCFLILFSTFWFRTPIRDINDIVAFRKPMYDQIVLFFLDATGYRYIINES SEHEIFQTITILKDLSQNYPLHSFLKKTKAVPPSTDFTILSIINGIKQMQNNLDVTFKSN PAPYEDSILRKQFKSALYSSKKHDWLRMTSGEFTFVENPQFFNLDNIQIADLSSYQFFKQ SFLQDQPDYQFYVVHMMGFDALGHALQHQDYDQGIQLFRMFNAILEGVVNSLKENQLLIV IGDHDQSKRGKHYQCSEESTECQGFIFAFSIDGLLQENQTYDFYEPSDISATITSLLGYS STSQNLGKIIPQFYPRQTDKSEIQNDQERVKNQVLKYLQTQGFKISPTFIEELKQLAADQ VVVEVQRNIQIGLPRKDTFFFGILLMLFSIYLMRNLLGLQESVIIVLTGFFNAQIMTIGL LVLVVLRRESNLKLILKILALLMFIQLNYMGYIPDILLSIEIQFLLLLAIKYQNYINSGQ NFAKWKISFLFTLVMISQFVASVFFLLDQNNFELRKSLLQSLIIVIILFFVIDPRYFNKG RVKALYLSILFILSDVAVIFQLNYSKNFIQFILQFMLMQYIDVGQNVLISKILYNAINPI KIDHQVFKGYKQLNMNSMILLTKEFDIGPSLFSVFIGSFGCQIIGIASLFFNQLKLDRHK IVGAMIISSIFNLILDSWQILNYNQSILNTNQMIEEQIVLLNSLPMKLLELILYSFAFSI FARQTKKQREM >CAK68403 pep:novel supercontig:GCA_000165425.1:CT868059:176605:177474:-1 gene:GSPATT00037187001 transcript:CAK68403 MDTIDTLKIAFLEFINKLNPLLPDQLHSIVLAFKEQLYSKTPSDLYDFVQQIPELKKSDS SCNTSPEPLLNKVASHGYNPLSVCSQKDSDDKSQSSCSAEKYQQLKIGTIRSNVEINKNF FLKPTTKFNANTQNKISRNVDIEAKVQRENIKLVDTLREMPKLNRVCFAERTGLLSQISE AVNQFKKDCITINTVYEKSSEISTPQTPNDMRVGQQQYFYYQQFPQQPVCQFKGYSVHSK TGFMQPIQFDMQFQLMQQQFQNQLIYKKLYF >CAK68404 pep:novel supercontig:GCA_000165425.1:CT868059:177545:178612:1 gene:GSPATT00037188001 transcript:CAK68404 MELKESYSSFKDFDDDGSDIFKSLIDDDSDHLNRNQCTIQINNQLQKSAVQDSSSIFRGS DKSIESDQSPIMEQPLLIKQQYSRQFQNRLGFILQRTRPKRKQERQRCSLDTSAILIKLL NSKRLYRLAFNFYIFLSIVFRGMCLGVTLIQTIVYSLDRQWSCWWSIGGFVLSRIMFGLS IYKLLNTGEQTKDKSVKMVYETYLKWFEDIQVKIRQLERETSSLIRRNQVDEDQFLKQTK EINRLQKWLLFQKTYQIFIPPELSFLQFRKQSNGFFQVQNFIMKSIELFFFMPAFLHFII VFNVREIERIFILDFTENQIQHIFSILVIIDIGVFIFISLTLLYLGNKKQKVKPK >CAK68405 pep:novel supercontig:GCA_000165425.1:CT868059:179386:180843:1 gene:GSPATT00037189001 transcript:CAK68405 MQQNLINYRKRINQIVSPAFFKERKVSEVVELQPLILNNRIKSLHLPEGQQLFLDNSIEL DFSGFKTAQINLSHNITVFQSPGQFDGGQAMKRSIILSRNSSPKNRKLQRRGTLFLIDEN EQKKYEQWVSRLRKRTTDYFINIILNHYVELFASMQMKHYSHLVDIYNDIGEQLLQSPIT LIRFTLVIGSYFLEMKDFNKSSFLSKQLFIISKMLSLYKERARIMLFISKICQQGQLYEL SLQILEDGLVYSWLSDGQEEEAQIYESMGFSLFYMQQQKKARVFHFKYVNGHLEPHNSQL RISIIQQLQLSERLLQEKGEKQETMNDVVLNRLQFPFLKREKNKIIKKMDISQFEENVKQ VISEQSQVIKYESILLRDSQIQQSKMKLRDLGTITHKYLEMKRKSIRLQWKQRERINNFT LDKNLNEYIKQRNKQIIDCSVLFQRIHDNVAHKKDKELEPILINQSQKKPLILYIRKVFE QQLFD >CAK68406 pep:novel supercontig:GCA_000165425.1:CT868059:180909:183566:1 gene:GSPATT00037190001 transcript:CAK68406 MSQFICSGLVYKLFVFVEMMQNVFIIDSKQIYFVMHPILQFELDIVFVQYFRQVIQYSQL TYILDAMDQNQSIILLYTAFGLQITLLFLIGLSVNFVKQKKKITTVTSYSFKAISFYALI LNTFLHVCFINIFLVFLICYPDSQYSLGVECYSGVYILHTVMAALGLFLYVVIQYYFSTF YIDLKPFSDVPFAQPQSRFDYIKLLFKFAILLFITIDYKAENPYTYIIFVLILYFVLLML RIYEPQHFQLDIYNFLIACDVIQFSLAFAYTLHKFLDNGSSDTVGFYFILAAIPFVYYCA IQIGHKKNNYFQVKGIKKLSDPNQHEQLYNQLIYIIDRRDNLQNYLLLEGILVQHMETCQ NQNCQCQYLSESKTKDQDELVWYKFIIQMIDLSIAKFPKSCKLHLLQSYIQNSKLNNKFK SYYSLQHIKQLETSLREEFQAYRDLHTLEEMMQEEDQKAEIIIDVLQVVKFQKYYGQFQN LLEESVKRHYEFWCELQENNPDIQKLFNLGGQITQSVEDVKDLYDKLMEINPNHINTLRI FGQFQNEVINADSLGIRILEKATQIENAQQAYSNETQTEKYGENSNTCIMTCSAETKSLG LIQSCNKEIEKLGYHKYELINQFITRVMPKCYADNHDKFMSKYLETNESKIVGKERTVYC QHKNGSIIPCLLMFKILPQLKKGLRMVSFFKVLENFDSNHYILFNPTTFLIYGLSQNCQD SFGIPVNFSYGNNAHSNDFTMEILILDFNHLVEKLKVSQDNIQTAFPLQLDTTKLQKQFF MEDSVDRLSLMEEGEINNNNNQLNQKGTSEQLKCFSKKRMPYCKAASKISLQQLSKQANT LQLSKQAILTVYRSYCILRSLKEWQLLLE >CAK68407 pep:novel supercontig:GCA_000165425.1:CT868059:183926:185908:1 gene:GSPATT00037191001 transcript:CAK68407 MSTITFHSRILEMLGKGEYNNRDGFTASTLREDLLSRLKIVQQMQFDTLKVKNDLEKSNV EVPTQYHYNFTSLLIGNKYQDIELTLIDSIREVLSHVIILIGTDLQSFKIDDYITPAQQS SFFINYNGLRNIRLGLQERTDQFYNFFQDLISNKFSTFLALMIVSIIILIITHAIVIPIL LKVDKANNKVLSMFGLIPPIEIKELSDKCEKFLKDYIEDFNEKKELENRINNKNQDESKK QQQLQDKNYIEMNNEEHIDEKQKEQIERQKELQRLEDEEKERLRLEKLEEEKRKQQEEDN KQKRHMRHKKKKKHKYNIQEIELTEDERTRIEKLERSNEKNDSSALIKFMLFFILFTGFF VTQLVLEATYLNNVKFLFEHLKLSHQRYYIMMYRVVYGVEDIIQEQPIKIDNVWMQQLYH TLIYDAEREVFESYKSFPLTGFETYKQYYDWFNFNDMCSNITLMSNLGITLTEEGCRQVQ NGILEKGLRTSVINLAPIQMILQGYLVIIQNLVQLXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCFYNMDAYLTKLSIQIW MTKGMLNMIPMSIIQKNEKLKFRFLQDNIMTMVQ >CAK68408 pep:novel supercontig:GCA_000165425.1:CT868059:186030:186557:1 gene:GSPATT00037192001 transcript:CAK68408 MAINTNAHETDKKNVAHPLNIEYTYIFQNLICIFQNIFTKQFLCLYFSKCQLNQDLLQYD NVLTNYILYTLQVSALLQGDSDFILTGPIHILEHIINLVYCSVSRITYVKFQLISTANIL PTKTHKCNLLDNQLLKIGLIISAKQEEERNKQSPKRFLIGNNLLRAKESKAYQDN >CAK68409 pep:novel supercontig:GCA_000165425.1:CT868059:188221:189694:-1 gene:GSPATT00037193001 transcript:CAK68409 MGDRQSHQHQAQTYQQKQSQEEALIKFYVYQDLQNLINLIYSRNAVLEHFKDDPNNSIVT LSNNKVILQLNLSIGIHQDLKQQYSRNHNLQQILQRERNTAYQTLKDVTQKSQRQISTSN QTKIFLKDSVENYLNTYTQNYEMGYFGSNQFQLQQTNYAPQFNEQTLSKLISSMQSIDAQ TNQIGTNGNYQAPTPEYILQRAQDYYSMTKQKLDQSDPTNCSAQLNNKQENYSQGNNSLT ANNIASKQPQPEQYSEEIQLNNKQKSQIIVDKQRNQQSSSNSDQMQLNNKQESQCQGCGS FNTNNRGIQEQLNSSNSEQDKQLINANLSQEKDKLIEFLKLSGYFQQTHPYLNSQIEDLQ GKAKTLMNQSYLTQNEYDFAIKLSKQNGVFKLLISLHYYFELFLEYFNENRPSQSIQQFL CQNKNKGKMNVPFLQYLVSKKQLIEKNFDSKNQINYSQASSNQEDFVFEMYKGYCDYYKI TRN >CAK68410 pep:novel supercontig:GCA_000165425.1:CT868059:191724:192110:-1 gene:GSPATT00037194001 transcript:CAK68410 MLGLKYEYYQYFSYQDLQQLKEILTYDSIGEVKFYEDEKVIEYKINKSNCILLSELIELI NGFHQISFGIGVIAFHSLVRESDVHEKKKILTLLFRLRSYMVEIRTQSISDYYLQKNLV >CAK68411 pep:novel supercontig:GCA_000165425.1:CT868059:193860:194020:-1 gene:GSPATT00037195001 transcript:CAK68411 MKNNVLDCKKSTEYTILLLVGKQDQERKYYDEYDKIKKQKMIQEI >CAK68412 pep:novel supercontig:GCA_000165425.1:CT868059:194766:198971:1 gene:GSPATT00037196001 transcript:CAK68412 MPLQMNCMYIDERDNGFVGSQYFYESLSQSENMVNLDKITYTLWINIYQKPKLTGRQILF GFVDGNTDNPYLNLMLYYQSSGGNYNLTLSNQKQSSDILNLTRYSDLQINNQSTSNTFIN LKLFSTQDQTMNSIQDTLVNQKLKYNFGVHSRITNEQLYKSSNDYKACVNIANFYYINGW TTMDSDIYLDYDLELKFFLKPYQLKGLNVSDQFMNVNLRKQSNPIFYSDSIGLLLFKNTQ IVYTFMENLVSLTMMFWIKPQNIVAFFQFISLTDDVLKQVSIGFGVNFDYKLQFHQNYGN STLGLLTDSTWAHVTAGVLELSYDANFIPTNQKKLLTVYIDDNQVQQKTINNVIAFKRLI LGPTATGNFGAEMIDIQDIRIFRGYGILTGRGDCLLFVGAYCAFCSPNTHYCKEQDPNDD IIIFNCAAGYKETQSGCFPIAIQNCLKQQGSGCINCADNYQLQNGQCYNCSDSNAIFCKR NITNSINNKIQQSKLCKIDFNIQNSAAYCQKDSQNNCQQAQFYKKFYKCKTDIYLTELNT CQSTCTLNNKFQQNQVCLKKCPGKYLYKQDCFNTQKLPAYQCNSANNCNNNEKKYWVIIV QNEKEQSGQYLQSWWHSYNFNDCDLSCKYCFGGKETKCLGCYYNKFFSPYDTKYVSDCNT LSLFKYNNRDTMVCELECPPPYLTQGLECVKSCTNGYAIYNNTLCLQQTQVTDNFIETQY NSSQPKTIFANCPQVCQTCTSYTYCTSCLNHYILNQNKCPTTCYPQYLYIDEYGVSVCLI NCDSSDYVYDNANIDGYQIRQCFKIKCGSIQINKKQQTYLHQTKPLICVYPCEDQYYVQQ NTNQCAKCDPICQNCQNSATFCTKCWPDIFLQDNSCFVSCDSKFKNYINNQCEGSCSSGF TINDKVAGIIIQACVKFCGHIFSQFTYVLDTQCYQSPPLIGAYCIGFQCYNCYYKCKTCS GSQSNQCLSCYDQTFLLNNQCVLDCNTKFYDLLNWICVDVCPSNAYTTSGYQFINTNYIL VTSCSSTCLYSQYQYKNQCTDSQPDGTYCKQKTNYNLCDKCTAVYKTCFDSYSTTCYECN PGSYLYNTTCSTECPVDIPYKDTINNMCVVTCTSYQQDGYCVESCSDNYYIYDADKQCYQ FGCPEGTYNQVTTFYCYGCSLGCATCTDGIINSCITCIQGYFLQGVSTCTDVCNVNPDIV QDWTNGRCAKSCPTGTYMQTLPSGNLACRNTCPGYYYSNICVPACPAQTFPDGIVCTSYA GPCSVCYGEQVNQCTQCDSGYYLADTTFVEVCPDTKPYANLANQTCVSTCPDYLYLAKKI CFSPCPTFLSIYQLNGKKECVDSCYSKSYISLGICYPCDSI >CAK68413 pep:novel supercontig:GCA_000165425.1:CT868059:199043:199901:1 gene:GSPATT00037197001 transcript:CAK68413 MYFYLICKQSCPPTTVIQGQYCKSNCDVNNFLYGQLCVATCPTFTYKSPSELKCLLCNSV CRSCNGPLVSQCYSCIENYLLNGNTCTQTCPDLYDYEDQKCISTCGTKYELTDFKSCVTT CPTGYLKCIKKCLITPPDGYYSNGDSCINAIVNVANAHPKMYVKHVVKTAIQLCKPVQIL VLINLFIWIQQRRLALLNIHPNFIIKNPMIKEVALRIVLQAINLMINVQVLVLKECILRI NSERIALRHAKNVLQQLIVLHVFKIIFWKMDCVN >CAK68414 pep:novel supercontig:GCA_000165425.1:CT868059:199935:202677:1 gene:GSPATT00037198001 transcript:CAK68414 MHVSPNAIHHYLNIKNQCLENCPTNPVFYYHSNICMDTCPNNTFQNNQECLDCDVSCSSC IGPSNNDCLVCEETYYLHDQQCTLTCPYLYNDVDRSCVISCPRNLLLDGNKCVLICSLYM YSNTCLSNCPLGTYDSNFICYDCSQNCLECDSFGCNKCANGSFLNDGSCSNYCPYYYNVV LNKCEQLCPEGTFLHIDQCSASCPANTYIYLQTCIADCPFKTILIDSICYQCPERCLVCK NQYECLNCEEPYYQYKGECVVACPTALPYQNKIYYACQSECSPNTYERGYDCVKECDLII YQNKCLKQCPYGYYGSIICKPCKLECKACNDFNICTECSENFYLERNQCDTQCTRIKDLK QKKCVDSCSSLLYQNVCYETCPINTYQYANTCLQKCLDGYFGSTEFKCEKCSSQCITCTS FNQCNSCKIGYYLYQQQCLDQCPGKLFSNPSTSQCSQSCPDKLYIFNNQCLYQCPSDYVN DTENYKCVSSCGKQQYLTKNSCYPCSFECDQCTAYGNKNCIACANNYVLTEDGHCFGKCK AGYYQTSNSCEQCLHKCLTCQNGTECIQCRGNNRDQIDCSCPKGFYDDSFYDNCQKCPCE ECTSQSECLVCKNNLQVPNCSCNRRLNQDWCITCQVASVKINYSDDLNSIIVYFGYMISV NLINPFQPSSCSFWFTNAEIFGQDAQCYLSWDRYAVHILLEPYASVNVGDKLSFQQSFYR DVNQGLCDGQYIEIFIDSAVKEPQVQTKPYILFDVPSVVSTCKTIEIKQILLEGTAKKIQ EVLFWSLHEMDNDDYYLQMDAFLADQKSEFIIPVGTLASNVTYTITAKYMNFLKRVNFTT FTFTTLPDLVPYVFLQYNPLIARVYVLIVKSPILI >CAK86033 pep:novel supercontig:GCA_000165425.1:CT868554:1105:2128:-1 gene:GSPATT00039738001 transcript:CAK86033 MRPQSPKFFQTQTIATTRVVNSPPKDKRHDSCGRLAKVTTYQSRPKTTLTTTTYRPVIET RTVKLCTEKKCQGHETLIDQLTQENNKLNQRVNELQNELDQQVEKYEGEQQVWVNTSLET ESKIKLLEETQKNHKQEVSYLNSELNTFKSQFQYQDDQIRFLSSQLKQQEVLENKIALLS SEIERLQYVIEDKNEGISLLKFRVQDYEKQLQVGQNVIEDRNHLIQELQQKYNHCEQELL FSNNIIHELKFEITQKDSQTQQMLAQKDSLMQTYRENLELLEKKLAQSQQNEQLLQDQID KTEKEREDINNKVQQLETELNEYREQNYNQILQ >CAK80507 pep:novel supercontig:GCA_000165425.1:CT868372:1312:3074:1 gene:GSPATT00039403001 transcript:CAK80507 MIQVETTTVIVNYEDIIDQGNFGSLFKGKISQGKFVAVKIQKDISDFENQILSAIKGKQF DHIIEVIAFEKKEKAAYTIMELGEKFDLSKILNKKNACLQMAKGVQELHKLGFFHRDLKP GNFVIGKDNKIILIDFGISKKIEEKSQTQMQGTYQYMAPEILKTQVYDHSVDIWSLGLVF YEVFLGLVFFTNKQEGEIIDDLIQIKQIQINEKIRANKNLEQWQQKLLQKMIIQRLDNEN KLNKDVKRISIDEVIRQLEEVDELNKLDGNSGYASDDKSIHLQYLKQGKNQNTIEQNNIQ KCIQKAIQQKIVEYVQLKQGLKLSVNRLGAKLNGELQYYELGEQKYDYQYKKIIFIGEKD VGKTTLINSFVNYFFRITLDDNFRLIVANQEPTTKISHYYLGSYQRQYGINLIDTPGTYG YNNEQIKMVYDFINKEKQLIDIFVICLKVTEQRLTLETKQILELIAKCLGKDSKEYANKI IVARTFFQGGNLDESILTYPSSPFSEITKWLPNNWHLGFNGGSVVREVTNRIDRMYFEIS MQNFQKMEQKIESQPQKIIKQNLLNQQSKIN >CAK75759 pep:novel supercontig:GCA_000165425.1:CT868219:54:3128:-1 gene:GSPATT00011358001 transcript:CAK75759 MNHNNQKKQKQLKLKSKKVSIICKRKINKSNKQKNHALQEELKQNESQKLKEIEIIKVEI EKGLHHLQEKNQQIEQQTVTIQELEQINHALKEELKQKEEQKLKEIEIIKVEIEKGLHHL QEKNQQIEQQTATIQELEQINHALQEELKENESQKLKEIEINKVENRKRSSSFGKKRINK SNSKQQLFKNQNQKKSCTQRRNQSRKKNKNQNEIEIIKVEIEKGLHHLQEKNQQIEQQSA TIQELEQKNHALKEELKQKEEQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQTATIQELEQ KNHALQEELKQNESQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQTKQKNHALQEELKQNE SQKLKEIEIIKVEIGQGLHHLQEKNQQIEQQSATIQELEQKNHALQEELKQKEEQKLKEI EINKVEIEKGLHHLQEKNQQIEQQSATIQELEQKKSCTLRRTKKLIKVEIEKGLHHLQEK NQQIEQQSATIKELEQKNHALQEELKQKEEQKLKEIEIIKVEIGQESINRIVISNYSRIR IKKSCTTQEELKENESQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQTATIQELEQINHAL KEELKENESQKLKEIEIIKVEIGQGLHHLQEKNQQIEQQSATIQELEQKNHALKEELKQK EEQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQTVTIQELEQKNHALKEELKQKEEQKLKE IEIIKVQIGQGLHHLQEKNQQIEQQSATIQELEQKNHALQEELKENESQKLKEIEIIKVE IGQGVHHLQEKNQQIEQQSAIIKELEQKNHALQEELKQKEEQKLKEIEIIKVEIEKGLHH LQEKNQQIEQQSVTIQELEQKNHALQEELKQNESQKLKEIEIIKVEIEKGLHHLQEKNQQ IEQQTVTIQELEQKNHALQEELKQNESQKLKEIEIIKVEIGQGLHHLQEKNQQIEQQSAT IQELEQKNHALQEELKNKQS >CAK75760 pep:novel supercontig:GCA_000165425.1:CT868219:5127:6889:-1 gene:GSPATT00011359001 transcript:CAK75760 MEEWTEDQIYKIILKISEEQSDSFLNTVEDHPKFDGNNSTSYNQSSQLNLDDWNIRKGRG DKRSYTSDQLNSMKVENEDQISQYEHKIMVMNQEYEQCLSHIKELENVNENQNEQNEKLF DQIQRIEDELSNGRLKYTQIVNELEKKNAQLKECITSIQNNEQINNQMRKKNQDLEEQIN RQKNDIHQKDAQIGKLISKIKDQDDKIQLKDQEIKNFIKENDKQQYAPIEQQQSQHDSIK KEKKRTINYMTRNKSNTVLLRSETLHSRSQSHYIGQFDLEQFKDQCAASVKSQNTESEDD QTDKKTLKQENDEQQELEKLIVELKSKLEAQTNQLQVVENEKKQCEAQIIALSSEINVFK IKEKKMTLQQKQQEKKLTELQSEKNKFQTEQEQLESRMQEIENKHQQKMKLMTSENDQLK KRLSLNLGKVEIQQEKDKDIMKIKKEYDDMIMEMQKQNMDMHFLNEELIEENNKINEQIK EISQKQLNDIVEIQQLEKQLEVMENELIAQKQSNIQQQQQ >CAK75761 pep:novel supercontig:GCA_000165425.1:CT868219:7704:9538:1 gene:GSPATT00011360001 transcript:CAK75761 MQQELAELKGLIMQTLEANGQLAKIRASIRASVFNVVDSHDNNEKISPFFSENKKVQSIK ELETGRELLELIKEFLKFYEMSYTNSIFSSEANLREEINRDAISKRLQINIEDKNKPLLH FVLVQAQKNQKLQQSQSTKTFGSIFSSQEMLSPQKSISSQMSKSKPQIGVSQQEFLKKQK EQFNLLQEQENKKRQKEVQKKQREELQLQEEIKIQKLNRQKDENQLQEDRLKLEKQKLEQ IKMNQELQQKSLEIELQRQAQLNQESELKQQQLDLQKKLIEQQQLAQEIQENKLSQQFKE KQQEEQQKLIGIEQNVKETDLKLNTILQEKTKISDELQLIKEQILGEKNKLFQLTEQIQL EESNLQKLQQQLIVQQENKQKIENEQNSLKQEVIKFQDEKKTIQDQIDQLKQQLKEEEQN LESFKQNKAQEIQGLEEKFKQFQFDNNELDKKLAQLNIQKKELQSQLEQQNKLKIEISGE QEKFEIEKGEISKQIQELQKEIKNLIEGRDYLLNQIDDLNNKLNVANNEIDFYKNQILSG QQVEVENFLSTLKQGGSEVEAKATIDQNLETVSVNTIYDDNQKVEYDYDEPFDS >CAK75762 pep:novel supercontig:GCA_000165425.1:CT868219:9640:9840:1 gene:GSPATT00011361001 transcript:CAK75762 MSQIALKWEIQRLQGTLPNKITNPCTFYEDNLYLIVDNYKQNDLYSLSLIDFKWRRLYNG IPFKAR >CAK75763 pep:novel supercontig:GCA_000165425.1:CT868219:9880:12051:1 gene:GSPATT00011362001 transcript:CAK75763 MNQGKIYCYGGSIDIEGTILLDEFLEIDVVQLKFRQIKSAPTGRVEHSMCMYRGQILIIG GRTQKKIFNDCKTYSIGSDKWNQIEFEPAHRFGHQCTVYEDTIIVTGGSDGQLILDDVWL LVDLRTWIRLEIKNPLPIFRHQAALAMKEYLIIFGGCTFDGKRCNDNFYALNIVTLKWIE LPKVSRHPYPRVQHTMLCLLHQSREDILVIGGLNYQDLSILNFSQMANLVDLQPQSSLMS YRSHTVEREEFLQDIPLEVQNIEEGTSFLELGKYYEWKIESNQEMKFTPRTGHSVVQCQE NLFLFCGSDETTIVNDMHCYNIFKKQWEQIAPKGILPSPRSGCKGVAHQHDIYYFGGYTN RRGEYFNDLYVFDTKLRQWNQIRTTREIQPRVDMSLVINNEKLYVFGGADGSNRFNDLHC FDIQNNQWVKLQTHGQIPSPRFGHTAEVYKNQMYVFGGWDGFKTLDELYTYSFASNYWYL EKVRNKPPSRYRHSSTIIGYSIYIFGGVDAAMTRYNDLYEFNCELKEWKFIETAGNTPSA RTFHQLCSYETNIYLIGGNDGTKKNNDMYSIQVFDHRFSDLSSISQLEIQSTIVPKENGL ISILKNQVNELGQRLREEQEFNLCQICSSKDINSVFLECGHRFCCYECSNKLELCKICLK KPSRIIKIFVV >CAK75764 pep:novel supercontig:GCA_000165425.1:CT868219:13183:18733:1 gene:GSPATT00011363001 transcript:CAK75764 MGYLLIDVLSEIAFYKSPSQLSDRYFKVQLLICSLQFLALLFPNDGWNYWNYDYDPVFKY FKQVINCLLILPIQHEQKIIHSLIASAFFAFQSAIIIFISILTIFRKISSNTEFTNGILH FMLRVVNFYFLHINFSIGNLFLLYYGNGIFCAKFNSFQDGKCSQHSLFFIGLAGSILTIL SQYIGVYLFRSFSFRPFSILQSKYSFNQMLYVFIRAIMFAVYLLETTSFNVYLFFILINC LILLQMIESFFLNAFYPPNNEFNFKLYFILFSISILITLNVLSENTILQQNMILESVMLA ASFAFYFSEVLFERKIYHQSQYKLLSSSRTIDIIQRIYELSQDIKKTVRQKKMFYYYAYL VNHISGDKHIDNSFFQDMSSRKFIIQFLQRQLKLAKQNGKVAFEDMQLIYTNFLSHYCKK PLLSLVEFRKYDIFYQQQQSYYFKFMKKQINNNLQQTVQKQQQANVFQSQNREQEKQSLD IVHISKSIVFEELYIPNIIKLMDAKIYFWEQLIKGYNTIQEFQNEAINLSKKVQQLQREL LSKLSFDIENIGHLGTNCNVLELKIASIFYSSIMNDYYNSAQCEFLIQEIFNIEHTLSSD VITNFTLVEDKVALIMLSLVRNLGQIINQDKRGLSQYFGYDDKEFQQIQYINQLMPPFLS AIHDNLLEDYLQQAKSKLFQSYNVVFSSDKFGYLVAQQLKIDNNFLILDDYVIMGCLSQV KRGIDFVIFGDDGKIWGSTQNFFQTYFQNSNEKFTLQRLTNQCYIYMFLPQLIKIIDSYK NQLVKSDYEGLLIEDSCIFMVRDNIIELIQHFQSLSICMPKSSHFEKSIIQKKAQLSEGS LSKKIGTISIQQNDLQEKEQDHLVQIESNRKQSEESIKPGQYNGIPLLNKQYQNEIQQYL ESIEEKPAEKFLIKFSLNLKNIGKEDYHRSYFILEILDVRKKDLIGKSVSENQNQQTNDS KFYSLQNNNHNTTQEEEQTKANVSGFVQSEQPYSEIVPVNKDIGCGVTDLLERMGPSKKQ KQDQDFGLFNLDSARDSMSYGPLSQRAHFINPNQKEGELEEIVKLDQDFNVLGIQLNSAS AIMQKEKNIQYEPDEEFDIDESLKQQKQQIGKSSSDNEKDKKNDILEIMKKNKLKGKNHE EEDVEALKSKSSMTSATSGNSAIQIIKKFQSTTHLTQGLKFISISSLTVFIIIIILITVH LVLITISNQDTSLTINDINGPFILNRSYLEAISFTWSLIVGTLDIIDTSQFMKEQTFSDL YNTTTDLYDEINNMYLGFIQVEEKGMLSLQKFTFLKGETEEVKFPYFINLIEGTLDRVVK IVQIEIKDFPTILTREYLDQLFLLRYNLNQIYLMSSELIDSLNVVFLSQTNDRVDEMQTQ VIIEIICIGIILLVELYFWKQIQNYSQQLMLLTGRLQESDANESIARASLVKETLTQQSG KYSWKKQNYYALNYQSLNTLNFELLNQQSRIIKDLALKNHFDVNQNKNKNHQQENNIKKS QSQKRNINVVLSSRIYNTQISLISYTVMLLIVFIIIALMFFGGFLLFSQQISDLGPSQAL TGNYIKFTQKLDTLMACAQILKTQQLVYDALIKMNVYDNSTINSFLQTNDIVELFSDLSK IYSENLTSIYESILQSNKIKDEDKQLLFTLYQDDFCAELSDEIPMCNIEEFGIDKFTQTF GSPILPQDDNKEYVNKGIVGVISRLDTLFSQFFDYEIEYKQFQPDTELCREQIDMKEFRN AVFAHFLDTNDGTNTFLDIIYISIYGILDENLSYIYLYYGVVGVLVGLSYAIYYIVIIIK TNNKLIRTRLALITLPISILTEQHTISMLKRLN >CAK75765 pep:novel supercontig:GCA_000165425.1:CT868219:18829:19871:1 gene:GSPATT00011364001 transcript:CAK75765 MIASNELVLGDQQTQIMMQTQINQVSFLLKFNSFNSYFQEWLYKLYYVFFSFADFWTYAK SVTNTSNYDNHKNLNSQPRCSACNEEIGGDGKVFHVLGVKDSMMSFKIGEFGVILTKRIV IGTLLLILTLGVLWYRIIEMPTQQWMDYHQHYNPNITISDTWTDYLEKCSKEKIFQNLQN QQICYQKYIDRTIVDWKGYAIRVEDNQQSLMKFLHHSVNILVKMDPAESEFHPDLLLTAD SEIATELSSEIEKLERGSEFIFTAIIKSIGDYQNIRHFHLLNITKLEGYIEIPPHLHQQS RYGNSQQFLAQSTD >CAK75766 pep:novel supercontig:GCA_000165425.1:CT868219:20564:21932:1 gene:GSPATT00011366001 transcript:CAK75766 MFTKLFSTFRHQNIFRNYGKQFMLVGLLSTICYRQKLSLQVNPNIKQYGDNLNRAFKRAL VPEDDFYKNTIILSGNSNKELAEEIAEYLNIKLGSVTIGRFADGECQIQVLDNIRGKDVF IIQSTSPPVNDNLMELLLLVSALRRASARKIIVVVPYYGYARQDRKCAPRVPISAADVAR LLETVGVDRLISVDLHCGQIQGFFGPRVPVDNLEANLVAQNYLSLQKKYEFKDVAIVSPD AGGVYRAKKFQEQFDQHHPGMQSHLAMIIKQREGPGKIASMNLVGQVKGKDCIIVDDIID TAGTLSEAARVLKEQGAKRVFAFATHALFSGKAFAHLGAPFLDQIIVTNTIPSKPQEEVL GDKICRLSVAPLLAEAIYRVQKKESVSTLFDIKH >CAK75767 pep:novel supercontig:GCA_000165425.1:CT868219:22145:23414:1 gene:GSPATT00011367001 transcript:CAK75767 MQTQPQPIGVITYYSDQFEPQCPYCQSGEHSIQSDTCTFQQTHHINEQAVRGRVVYVSPE RIVSRKIIETTAISPSMSQLVTPSIISTATPRIITSQNPLTQVSPVLNQNQIISKQQSPI LVHQQYVIPQQSYIQQQIPIVQQSSYDLKMSRELQQSQLIQQDLQLKQKELAEKLQNQTE EIQQSNLAYNQLQQALYEKKDEYEQLKKAYAYQTQYSPTTSVPQSLQEIYNKSQVKEKKV VLSQEELELYWKHRVYELEEYLYELWGKIGQMQQQKQESKKDDSIKIEQLQREVCQLEHI VRLKSNSVVILKNKLNNLMYQYDPATEVTKQQDQQLQSVRQQVMNLNSKYQQIQEEIQDL EAQNQKSNVGVNDYNAIPINQDSFQY >CAK75768 pep:novel supercontig:GCA_000165425.1:CT868219:23445:23845:1 gene:GSPATT00011368001 transcript:CAK75768 MQGFKVETITPGDGKSFPQKGQKVHVHYVGTLLDGSVFDSSRNRGKPFIFTLGAGQVIKG WDEGVAKLSIGEKAIITCPPDYAYGAQGYPPVIPKNATLKFEVELLNFA >CAK75769 pep:novel supercontig:GCA_000165425.1:CT868219:24296:25331:1 gene:GSPATT00011369001 transcript:CAK75769 MSQTSKEEEYSIISKIGCGFSADVQLVSRSGELMALKVYKAKQDQLYENEIRIMNKLSHI SGVIRIRESELRGCIMMDYAKYGNLLQYLQQSRLEESIARSFFKQMIFIIDKIHKMGVAH RDLKLENILLDDQYKLKICDFGFAVQYLDEQGRRIKVNDYVGTPQTAAPEIYLRQPYHPV EADLFQLGVILFQICAGSSPFHNANIKSDPNYQLIYRQQIGKFWEKHQFEFSAQLKDIIV KLLAFNPNRRLSIPEIQSHPWMQGEEADQSQIIDEMECRQSILSLQI >CAK75770 pep:novel supercontig:GCA_000165425.1:CT868219:25451:27587:-1 gene:GSPATT00011370001 transcript:CAK75770 MQTLKEYIPIVLTKDHLSKREANLEVAEEKFTVRCIYILEVKEQETKSPKISFHINSSRS TSIQNTVPYCVKATVFPNILSRTKLDIIRYRHSEQLQLDSDKITTQKDRKKLSELKIRSK EKDKSNSKMHTQFTKSPTQKPIITQLTSLIKDNFSVSERTSSFKFRSLSPEIEGQLWQKN NKVNRINKAYEIQKEIHHLERFKTCWKTDEIQQILQQKIVQIGLNTKKGLKQIFILIFLP HQSLHLKSSQTEVKQITNNLELSIFPFFIPIYEKIINEKELTDNFRQWISYFLDILHFRN HMNFTKVFSLDSVEITQLNQLPQYDKFLYIQLQGQFDLWILLNQQLKLSGEFQLDKYPKL AIKLFDLKSKEEVSRFFKKRENLLPFYFRHQQQESQTSIPSQYLIEVENDLREPDEQMFE QSIIQMNEQEQDTYLKLLQQYIQSNKSKYSSKLKNLEEMMRQRLEKIKARKRYSIQIKLQ AKKFLGYKEEELIQQKLMLEDEKSIQEIQEEAFDQIMPQTTHIFKKKQKQNIPIEDPFQS FQTKDNEKFKEITQLINIEKIILEKNLSRQDIYYYLSLFKALMDSDTTTRIKDVKYPTLF ISVDQLKRGIAFIALYKNTVNTKKLAEVYNRNYQYCEFLEFLDIFTTQYKYRVSEEELKD IDEEDSLKISRKQSVFGRQQSLKYQIDQN >CAK75771 pep:novel supercontig:GCA_000165425.1:CT868219:28593:30553:1 gene:GSPATT00011371001 transcript:CAK75771 MQKNIDDEDVDPCQFIKNLDTGDTYSVNNFGELDIEIKQYKPLNQKKERWKTFWNRMEEI NEKLYDACEKGEEEVVSNLLKDQIELKQQVQQQNRSYNSSLVGEDYQEFIGLINIDCKGL DDWTALHHAVNNRRVGIVKLLLDSKANPNLCTLMKRTPLHLACLRRSLEIVKLLVQYKVD LNCQDSDLNSPLHISSEIGFVQIVEFLLINGADATLKNCQQKSPYDVTMSPEVRKLLEKY VPNTEGYQSRSLIGGQVVRNSRADHIERLLGKVNELSKMEILKQQQQQQQMNQKDKQVST PQPISQFVDVSVNASSPDGYIFHKLLGKGSFGEVYFASRKQDGEQYAIKTLCKERVLTKN LTRYAQTEKNVLSVMKHPFIVRLHAAFQNSSKLFMVLDYCPGGDLGQLLTKQVKLTEEVA RFYICEVILALESLHKNCIIFRDLKPDNVVLDSKGHAKLTDFGLSKEGVYDNITKSFCGS IAYLAPEVLMKRGHSRTVDWYLVGVLLYEMIVGMPPYYNQDREMLFENIKKGVLRIPKTM SEEAKDLIRSLLVRDPSQRLGAKADAEELKKHSFFKSINWDDIYNKVQDGPFIEKDNTKQ WVRETIDMKFGDQPQSNQRISDWSFIQ >CAK75772 pep:novel supercontig:GCA_000165425.1:CT868219:30671:33255:-1 gene:GSPATT00011372001 transcript:CAK75772 MSKNNTTINLTINQLKDQWKKSCKNEIVPQFVRQPSINQIRISKNNRSSNYGTSPIKLST EQIQAPKTLKQDNFSLESTISISSQIFKVDSHIESKQIQPTPQIKMIMNQEHSHINVTTI MKIEDESNSKEQIQISNVQTGLSQFRIINISNDIENPINCLDLQFQPVVFQSSIQQHTFQ KKAESNKITHKMYMMQKLNKFKKDEMNKKIIKHDPLKQSSSLKPELQLKIVASQSLTQIK KNPIQQEKVQDQQENKLDYEFQKSTMSNEIYSFVSAVVQPKIQNFRQPLQQDQDNQSVQK LNFQTEATHEIKQYFVQTFPTPPLKLQRLKMETVNMQQNSLDENNKLLKDQPTKESIQTK TQPMKLKQDLLKKDQIPLFQLFILIGDGKINEKKVKWGDQIPIKNCPLSTLNSNAMKSDQ IDSSVVKVCLSQNILQDRKINLDDQSKQTFQTKSIKLSFLIKDYDDKANQAIKCMIIPLQ FNENLLKVNYEIVQPKEKPQYPTLLQMNRMKIEKIKELITEGKTSRTAFKIKQFQKHDSN GIQIRQVTETNGSEKKYVQHGSLILEESKEIQVSQRCSSIDKATSKIKTSKNQFSILNRF QKIKYLGRGNVSDAYSVFDQQTGMALALKTIQKSLIQSKGISTLISNEIKTQMVLNHPNI LKCYGIIGDNKQIALILELSDYTLYNLIRQKTINRKEMIDILHQVIGAVNHLHKNGIIHR DIKPENILISQNVIKLADLGISIRAYSCSQYCGTQGYMAPEIKLHEKYTSKVDCYSIGVL IYEMLYKKLPLQTLYPIKNAKNDLLIDLMNNLIEPNQDLRYSCSQALDHEIFNQLIYPQL IQTSILNNLKKLL >CAK75773 pep:novel supercontig:GCA_000165425.1:CT868219:33872:35373:1 gene:GSPATT00011373001 transcript:CAK75773 MDESKLEDIQQMSIGSLAGVLSDLNQNDVPVLKDLPLKELETLIAEFRNTKMRLYQIQKY KFNFNQPTLSVLVDIVNKKLRLALEIIEKQNNINQQFLLDYHKYEKNMINLIQDAPKQNN DVIEELEDKVVDWYKSVTIKFNEITSLKIFNTNELNVIYNEDFYTKFQNQQNLTFLQKGL FKNCAQTAIEEYRNMLNKFSIQHSEELQGIMDNLQILDQFYKAQQLVEDMIDFLSSKLMF DITQVIKNSLINIDKITNYLNKMNYRFLVRQQNTEFRQYESKEQQTLFLNMFQQIKYFKY PKTIQEHQVLDEQFNDLNKQLHVIIQKNIEMSDYPKAIQRNLKKLYEYFFKGNRKFIKLK IRYIKIAQQFEDTLDKQELLNQNVLAELQTLQAKSNTLHCFMTGLFEMGEFCLSCYDLAE EVHNFLFQVQLLIHHYSETLRVIGASKQSNLGQRPRIRKIFEPYPEEEKAVLGKKYQLLQ VKQTGSSKQIN >CAK75774 pep:novel supercontig:GCA_000165425.1:CT868219:35404:38635:-1 gene:GSPATT00011374001 transcript:CAK75774 MSKLINCRISLQNYIPMIYYEPLLEAENETFHYYSSVVSTLLTDGEILSEGNVESKKNPF KSFQIHQQRESIIRSNHYWSKMGDITSWIQLINKFIIFIEDINSKDDEKEQDNSKNELIK WCRLQNINIPKMILILAYNEYIIDLTQKYVSEYSVINKCCSLDQLKLNRTVSLKKQQSMP EHSEDIQACLLRLFYKGLSIFSGHKSAGYFNYVANQKFKLCYNNLMAIIGDFPLTCIVMA FLQKNQQYEIKYSTKIDDIMIPQLAPKAFVKEHKLQFIQRQSLHLNEITFNGIGQVLFNE LWKHQSGPQLSQDCILQPNIEMNCITVLYDPNVAKKEIIEQELNGKIKDLQNFYRNQVKE IPYENDSKFIMQAGGSMKDWIEKSAYKTFFIEGLPLDITQELLQEFLQDVVISEMKLYKE GDKITARISLQDHDDLLFCLENIKEYKDNQLKVYTQQDQFEHNEEKLLFNEQYKIIITWN LHLNKGTAIIKLNSPHLFNAEDFETIQHLTYKMNLKSVYEDEQTVLADLKQQHKDIDIKS VTIDKQLRYENNGDLQLEIQKLLSNIPQDKYKIDSITKQNCKRILSLIVYDRNIMEQFIN LHNQIKQFRGLKVQLNVKPFYYHDYEGPIEMKQIVEEIFDEKKLKLGQYTITSDDEFKRV KVTIECWNKQDHEQLNKEIQDAFTPKIIKSSQDFDITVIFSQSGTRFLKTQEESLQILIR QDFINNQLFVNSSQVKYNQLAKAIKEFVSDCSKSIIQIPKNCIRLIMGNDSQGLNEIKKN FDLKSVKFNSISGELQLFGDQKNLDAAIISISEIISSQQQQDKPINALTCNYCFDNMKNG YMLQGCGHKFCLQCIMFSIQNSLGDMTQLPIKCPQCNQGILLADLHILIDEPSWEKLIKL SINKYLQDHAAQIAFCLTPNCPIIHFQKIPRYTCKKCKKQYCNSCRAPYHFGQTCREFKA GNEDSINIYMKKNDVRRCPHCKILIQRIDGCYRVTCTGCKKSICWKNKADGTPCMALFET SSECYSHLTKEHGGYW >CAK75775 pep:novel supercontig:GCA_000165425.1:CT868219:38696:39698:-1 gene:GSPATT00011375001 transcript:CAK75775 MQNQQHLIREIYLKDRSNTFLRQQWVSVFDTLLPLPKTTLIVDIIQEIDTLKIIKELLSK NHNITVISDTSEANYNWCNLVNQNFEVIVADLRAETKLNSYQYIGIKWLLTTKSQLVIIS NDIIYEQLINCFSIAYDNLIEIPYLQTQQDELFLSISYDQKLTYVLQQIKNSNPEVDRDI VVYCESLYQMDQIFQAIYFHNQLSESEEFEVHQILPFQSYYEQFGQQLMEMVYPSQKGKR KVFLALHYQQLRKYLQNVQQKISLIIHMGYQTTVKTFLEEINAIVYKQYNLSRHDIIIRN RISYFPKILYLFGFNEFNDLQGSIN >CAK75776 pep:novel supercontig:GCA_000165425.1:CT868219:39710:40288:-1 gene:GSPATT00011376001 transcript:CAK75776 MTINGLLIPSKFILVSCHFITSLMAYYGAKENILANFQTKTYDTNSDEYTSAYNSIISCI LLGLIGLGIEMIFIITGITMFYDTSNFLIICLHAVGIIIYSEFIIGAWPYYELWYYWAAF ILLPVLLEIVATCFGNILYGTAFKRRLSRKGN >CAK75777 pep:novel supercontig:GCA_000165425.1:CT868219:40312:42862:-1 gene:GSPATT00011377001 transcript:CAK75777 MRLINKSKLIKIIIKRVNETHHSLVECMNCFAANIDVQISYIDAPLINIHWCSDTVLALT SKGSVYRSDDRGRQWIKMSEIFHRKALIQLEDSDERIGIVNNLVASPVDKQLVLFTGTDQ IAWISLDCGKTITAVNAGKQLREYQFHPLEKDWIIASAWKQCGSDELLAGTPCVSYKELL LSQDTGITWHSIATYVNQFTWGYQKQRNGQIYSQGTNSSIIRTIRQRTSIYNDDFFQTRT LSVPAGSRFMLTESFLFVAKVTSQATQEVSLYVSGTELGQYKYSVIDADSKLLEHSYSIL DTSENQVFMVVNHLKPSSPLGVIYISDSTGTRYSRSLENVSRLENSAEFYRVQGLEGIYL ANVYAEDQAKIYTNQVFESMEEGFYAQQNGFKDEDLKKYKQTRITFDKGGQWVPLKPPTV DADGKPINCNKCQLHLHLSQAFYQFAPIYTETNSIGIIVATGSIGKYLSYRQDQVNTYLS RDGGLTWIEIKKGSYIYEISNHGGLIVMARDQETTNQIVYSWNGGMEWTPFNILDQKAEI QNIITEPQNKGSRFIAYGAIQLESNGIRTEQGLLATIDLDELHQRNCIGQDKAGEIGSDY ELWTPSGLVNPECLFGKKVTYMRKRREAACYNPEQLERIMSVTPCQCSQEDYECDLGFVM TNQQCTPINGTLNIDPPAECDGYYTVSSGYRKIAGDICEGGVEHISMRFTCPNNKNWIFD WLVIGGILFGLYWLYNNQEKVKEYFARTEMREIPQSKQEMLIQQIQQRQPKQQQQQQQQQ QQYQPIDLEQNEQQDQKFDEDLIERDDDVQIQDETAHELI >CAK75778 pep:novel supercontig:GCA_000165425.1:CT868219:42865:44749:-1 gene:GSPATT00011378001 transcript:CAK75778 MRKILSSAIAITLRRTPLVVPQRTQRIAATLISLPLIRYGFYTLNLNDAYEVSIEDNLNE GEMREVQVGPKKEDAVLVCKVDGQIYCVSNSCPHVGAPLSAGFLVGDKVKCPFHNASFSV KDGVHEEGPMFRGLQTFPVKQENGQLVIRVEKQLLNAPRTLNMVTKGDDPTHVVIVGGGV SGQSAAETLRQAGFRGKITIITAEDSLPYDRTPMSKMTFLVKQQGLQIRPQQFYEQYGID VLTNTTVESIDINNQDVVVGKEKIHYDKLLLATGGTARRPQLDGVNLGNVHTLRQFNDLE SIRDKAKTAKNIVVVGASFIGMETASAIKKEFKDQVNITVVDSTTVPFERVLGKEVGGSL QKLHEANGVEFELNAGVKRIGGVGQVQRVDLLNGKSLQADLVILGTGIQPNNKLVKDQLK ISPNGGIETDVFLKAAKNVYASGDISSYPYWATGEHVRIEHQNEAVRQGYVAALNILGKP TPLTDVPFFWTRQWDRTLAYSGVGQGFDEVIVDGDLTQQKFVAYYARKGRVVASASMNTP NAQMIISEALRLNVMPSVEDLKEKKVSLDDIKKIVLSKGSSCHCKRAGQCQAQL >CAK75779 pep:novel supercontig:GCA_000165425.1:CT868219:44750:45832:-1 gene:GSPATT00011379001 transcript:CAK75779 MVQLDDDFIATGSNDRTVKIYRYRVYELFKEIQFKSDVTSLAVQVRKDGSSFLIVGLFKD ICILNQGFEVLKSIDRIHNGQINSIVCLEDATTIFSCAQGDNRLLQWNTQNDQQKKYFEH RDSITCMTLLGDQYIASGGKDRNIKVYKQTYTNNVFEKLQLSMSLSDAHPTEVTSLAYGG GSILYSSSASGELKVWDFMDGTMIKQVKNYAGWCFRIINFQLEEEQNPQQKTQLQTSIPL CGSPRRKKQPSNEYECIKNSFIGTVSFDGTFKIFNGKALLNDNPQPMITTQLKSLHDCHP FGGVLAIRDSITDKIDILSSGNKNDSNIQLYSLAQS >CAK75780 pep:novel supercontig:GCA_000165425.1:CT868219:45925:47057:-1 gene:GSPATT00011380001 transcript:CAK75780 MNKTRTSFATLRTKTQINQASQGTLPCPSHPSFNISHVCLCTTCTLSISFCSECLFETQH QQHLAKHKEHIIGWNDLMILCRRRAIKRIESNSTFHPGSSSKLIKTYIKNQEQLINNDFD GLITKFTEMINKVKAEFMAPYKNYLQDLMDMGTILEKLVSDYYLEKNKDYNNDYDNFLSE VSKLQDQQTSNKKTDQNQFNLRKLMQNIIPLVSKANGQFQRALQQQNLNVEEGESQKSGY SLFSNMALKQSDNQVLNDLVNDYKVLLNSRPCYKNQGLAQQTYNGMQELIDKCYHSLTQV DFMSPGYKSQMIKMNKENISLKQQLASPKGTKYLETIIKEQSTKTLKQLTKLEEDEARSQ EKCNQSID >CAK75781 pep:novel supercontig:GCA_000165425.1:CT868219:47269:47594:1 gene:GSPATT00011381001 transcript:CAK75781 MGYFDLINQPLNEFGEELTRLKTDIVTNLQPESRFNLLVGLSVANLAIGVAVKGIKSRPI QTGFISFFGLGLIVYPELYFGLIRQKKVQQQITHHFIQRD >CAK75782 pep:novel supercontig:GCA_000165425.1:CT868219:47623:48099:-1 gene:GSPATT00011382001 transcript:CAK75782 MGSACSGLQTTSQQKQNNQTAGNEHNSIQRAVFEHPKQVLDSISSISSCSDKQTSRPQRT VGQIKTQDWISLNKSDKYDTTRRVYSSLNQNSLSPLKKDHNNTFSDLSVELIAADQVKLM IPSKIRKSSSRRRVTSKVDLLNKVKQMKYNEKFKRRKT >CAK75783 pep:novel supercontig:GCA_000165425.1:CT868219:48555:50803:-1 gene:GSPATT00011383001 transcript:CAK75783 MKVSLQDFGFHQPNAPEVNTPRSLEACRREGISPNEIVKVSFEEYQKKYRLSNLDAKGIE TYYKHFEEKREEKYRDLIKQRHIVLEDEKTGLWSPDGLGKSKMISKYSQQDASSLIEKEK QQIEKIKKKQQKEIENMLEFELKIQEIKEQNEKKMQEERTKQKQRELELERKRAQQEEIK RQKELQKQQKKEQEEEALKERMKLLESKEQERIKQEELKQKLRDEENKRKEDEKKAQQEK LRKLNEENIRLQLEALEKRKKEMDEKAEQRKKMMEEQKEKKKQEAEKARIENEERIKQAK ERNEQEVLRVKEDVEKKLQISEQKRLQFEEEKRKKLEQQKIEAEQHAEIIRKVIEQNEQM ELEKKKEYLKKIDEAEERRKQLEKEQEREKEKRRQEDHEKEQQRHQVLLQNEQRQKERIE EFLKKFQDKDENLQKIQFERSILITDKRNQDTLKRIDKRDNVERIMKKQEYDRMKLQEKL NEKMERADKIQEDLEQLLLQRQNMRREIDKQKRDLLLKLEKIREGKIPQSEIQKQFGITS TDLKSQTHEEQNFARKSQSQSINKNNKKLMTLTKPFSTIRSSKGQDKLPEINSSSPQLKE TLNNPLNTKSVESAQQLLQQQPQSQKKSPKRAKKQDIVKQKPTEPPSPEVLFELNQIIQR QNQEIMRVFMEEQANENRRDDKLRNCKSENRAKLEKLQSLERQKAQQRIQKLQEQHQYEQ YQFKLAHNLIG >CAK75784 pep:novel supercontig:GCA_000165425.1:CT868219:50827:51937:-1 gene:GSPATT00011384001 transcript:CAK75784 MLQRFSKQYQFSQIGRMKQRKRSHIKYFSKPIIEGQYGVVPARAVPSHIQRPSYISETKP VYGIYEGAPVVHGQDMIQKLRKAAQIAAKTAYVASKSVKQGMTTDDLDKIVHEFIISQNA YPSPIGFMGFPKSVCTSVNEVCCHGIPNLRPLDGGDSLNIDVTIFYDGVHGDTSVMAQVP EMNPEITKLIDTTQKALYEAIKICKPGQKFSKIGDIVEEVAGDEGFTVCELFTGHGIGEL MHMPPTIIHNFNDYPGVMVPGNVFTIEPILLMRHDQYLMWKDNFTVVSPDNPSGIVNLQT VAQWEHMVLITENGYEVLTKREDETGI >CAK75785 pep:novel supercontig:GCA_000165425.1:CT868219:52879:53367:1 gene:GSPATT00011385001 transcript:CAK75785 MYQLTKQLVDFRRNQFLNQGLQTEFTIQSENANKLVSVGSQLKSLNNIEKQRYLRPDSSY DESSGESSVMCEQNRQKRLSVEDVLSMVIDSECNQAIKLEPKPFQKNNRVDQEWESQKTI IILDVDEEAVDSLDLNEFFDEQYTIL >CAK75786 pep:novel supercontig:GCA_000165425.1:CT868219:53453:54253:1 gene:GSPATT00011386001 transcript:CAK75786 MKEDKGAQYVYYNLVTSFHKYRKAFGDNIEFAQLKQIDKQVNKNEITVVMRFLPANFNSE KLEALQNIRSEELFTYQGLKYGIFKTSDYEIAGNIKKKIISYFQNKKYNDLHITIKAKKK STKEKVKVFLEEESYNPRSQLEQKIGMPLSPLKVPPLVVKEIEQPKPKPTAVQPTICAIQ ITVPQIQANQIKPVELKPTIIEQSDQKVIVRAVQLKPVTLTVDRERSSRKK >CAK75787 pep:novel supercontig:GCA_000165425.1:CT868219:54745:59084:1 gene:GSPATT00011387001 transcript:CAK75787 MSNEDYYQLPEINLDLQDYEISLLIRECFIKKFDFEEIKCRFDNDSDIKQKLKYMIPIHQ SFDYYYYLIKTISESDNPSQDKKKYLEYIVRSQSLQNIISERCYLLITQANSIDNLEYQI EQLFQIFFLLKDIDINILQKSNISLIFTLQKIIEINYRDYNFKELFQKFDDFLNLIFKST QVQQYKTIPAYPQPLECLQYLNENNQIFGQTIEDYQDSATYLDYLFNFLREDYIYYIRQQ INYLSEKGFIKRIDRRYLPNIDLYQDIKLIQFEINNLNIKWKISLKQFHLDKRTIVDQID WNYSNKLQIGSLICITNIECHPLLFGLIINRSKQQDDYEISNRIDLEFRFLGPKSQIMEF LNLLSQQTILMDCRTSAQVEAQIYNLESIKKMHYLPKTNLILQSLQTPFYYKNYLLSKCF PQLLSTCDAEQEKAMQLILFEKVAFIQGLPGTGKTYLATRAVSILNQKLSQIDKPILIVC QNNHTLDYFLESLLQFIPADQIVRLGGNSKSAKINSYMFQSRSNLDFDQEEFKELKYQLK TIFNRLIQYEYTINAQDITRFWLELRDKLINDFRKERDLNISQVDELLNFWINVKSLDDD QILFYQSQIFGDHAILQNEKITKQIQLNNVFGTQHMQLNNQQDQDQQQSNQNEEPLPPIE QFNQQNQNHSNLHYNFIGIEMIQQCLQDNTFNIWQLNHKDLCEIIKYLKYLKYQEDCLLF EKTYKKFKKVSQTLQNLEASNDLYKLNKYQVIGVTVTDAAYYSHILKQLNSKVLVVEEAE QILLSNLVTILTNNFEYVVLFGDYFNLEEQFQNYDQTNFYFLKNIQNQQIPSVVLSTQRR MKPNIADFLKRIYQQNIKDHQDIHETTNKKEVKGLDSDFFIFDNQQLDLDLDIKQNVQEA EMIVQMVQYLIQAGNKVSQITVLSQYQQQVELIKEKFRNENQTQVRVESVDDYLGQENDI VIISLVILNNHKKLFKRKYQNRIKTAFSRAKLGLYVFGYFDYYSRQSTLYDSFFSKFITQ LYDEDYLTHFIPLKCHIHGTVKQIQQSSYFLNINGGCFCNNHTCKKQYLPGTQNQNDCQE MCEKILKCRHKCQQRCQAQCICTFTYYEILPECNHTVLIQCGQDLADVICQEDVEIYFHS SCKHTNKFKCYEINSAYQECQHNCDKVLPCGHTCKKKCRERCYPCEQKCIKSMTCGHRDQ CKNLCYQKCSPCAYNITIQLHCGMHSIQKKCFEIKNHIINDLKMPPTFLNSRIGMELVFR ISENLAKGLIKDVKNFQQIQDFQCKYPCSRPRKCGHQFQCNNYCYQECTPCEYEILLTLK CGHQYQYQCEDVKVALKELLPIEEYENLCQSEHEKILQLFENCIQCSKPCDKIRACEHYF PCSNSCSQPCIPCQVEITITLPCGHLRLVKCHTVQTSSTGIVKYDDEQACENCSIIY >CAK75788 pep:novel supercontig:GCA_000165425.1:CT868219:59316:60631:1 gene:GSPATT00011388001 transcript:CAK75788 MIATEILSDKPEDQYQRLEKAMIELFKYDNLLNNEYLVRKFETDIMKPEWYLLQYVVVQR ENSIKSQYVQNELNTRKKYHLILTDILNKYNKQLWNFDHQMLIIRPYFPTERKRIQIKIP AISVSIIKDTLQKSGFVKEELVYEEEIYQADKTYVKLILTSQTEGYAVEIFDFLMSKKVE LQIDSVIMQNVDYLDEFHKQINSKKCQMMTKKIEQQEYDPQEYKRNDQHDEQEKDHHYQK SRARGNRGRGNYGYYRNDKDDDRHEEKYQNKDKGDRQDRGDRQDRGDRQDRGDRNERHDR NDRGGRTHDRRGGQRDRGNNQYVQKSDVQHQQQQQSQQQQQYQLIPKAVLQKTPKQNIKP NTLNAHDFPALDSEQKS >CAK75789 pep:novel supercontig:GCA_000165425.1:CT868219:60843:61514:-1 gene:GSPATT00011389001 transcript:CAK75789 MGKQYAREPAVAKKSAKAKASDLRTHFKNTYEVARALKGQTVAQALKYLQDVLQHKRCVP FTRFNGGVGRTSQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHVEIWAAEKDENVKKEANNKVVARQSRKQVAKNRL AIGA >CAK75790 pep:novel supercontig:GCA_000165425.1:CT868219:61719:62698:-1 gene:GSPATT00011390001 transcript:CAK75790 MNQQSFVQVNLEHDQIKKRVNSLKFQLKDIDQKNFIIQQKLKDLRQLSPDAKKSPIAQLQ EQLGLDRKQIMEQFKPIIEKEGVYVRNERLKTESYLQNSRNAEIQQKKIKVKQIEDQDSQ VLRRKAEFYEKKINEIRQRQVQEMELNKLIVHKKVMEINNYKRYEQQLLGEITLAKQKEQ SLNNRFCQFATSSDNSIMLPLISKTNSRMSQKSYSQQRSIMGSNSVQRSEQQLNRFQRSD TKNNNSFIDYVENKGLRTKLMKEEQNEFNIGCQTSFILTDKNQQSVQHSQNFDTYCSNNQ NESFEKMQKNKQKQKSKK >CAK75791 pep:novel supercontig:GCA_000165425.1:CT868219:62931:63944:-1 gene:GSPATT00011391001 transcript:CAK75791 MLYQDQRKMIINQNNYEKAKLNRPRSISNNAISFNLEIQQQQLKNDVAANYQTSISEVRK FNLNQRNNSFNQGVENNAKILNQQNDYDFNRLMKNFHCMQYTNKKIKETLKCSTQDKNRM VQAQSQEKQTKTQHNSQTRQVKQDDNDIQELRRLNKTETINSNDIKKHENNRINTSDVRE RMKNYPQKSSSPQIIHPPRPKSSMEDKSVTIMSLQLRDMKENIQQKDIQKICYEMGYHVV KFDRDYDKINNIANGQGCLQIRGNSNDSKFMNLQTNLSKKGINLGDQNQNLKDFISNKYL LKKQEYSQIETQPVLNQDEKLLNNFLKFQKRKKGQFI >CAK75792 pep:novel supercontig:GCA_000165425.1:CT868219:64213:64964:-1 gene:GSPATT00011392001 transcript:CAK75792 MYSKQKGTIDVSNKQEQIVPLNIRMMKQIKVSTCEKQAVLEKITITYINLITRKSNCIQQ QKKGTIIINDDTGYLSLSMNLIQEFDQNLFNRINSDHSNLHYYNFILKTRVFKHEVFFDI QTIQQVNQSAMITYQIIKILAWARLQEGQKMQIHPRAENEEDEQILIEVSNLNEDILTFL GQNLKQGKSLNEIVDHFKALNNIEPQDIKKSITLLLKDGKIKNEGEIFHQVIHL >CAK75793 pep:novel supercontig:GCA_000165425.1:CT868219:65283:66020:1 gene:GSPATT00011393001 transcript:CAK75793 MSGIFEYNGGSIVGMKGKNCIAMACDRRFGQQMSTITTNYQKVFKIQDNILLALSGLNSD IHTFYALMEKEINLYNLKENRKMKPSTFANLVASSLYERRFGPFFVTPIVAGLENGKPIL ATYDSIGCKSDLDDFQVGGTGGNYIYGACEAFFKPDLSPEELEEVIGQSLVSGCDRDSLS GWGGVVYVLTEQKLTVKILKTKQT >CAK75794 pep:novel supercontig:GCA_000165425.1:CT868219:66053:70753:-1 gene:GSPATT00011394001 transcript:CAK75794 MKFIYLMMKEVQYQNVLLLVLFNKFKELKDISDWLVYLQLYQIIGMLLYFWNVIKIVCSF LIIHSDQYHYVRNSQDVKNLRRTKRDIQNEQAYELMSEVVKHNKQVLIFVHSRKETVNYA KWILERASRLGDRHIIGTTKINCTKLNDNELKKLLPYGLAFHHAGMLRADRNSVERLFLS GDARVLIATATLAWGVNLPAFAVIIKGTDIFDVTRADMQNLCVLDVQQMFGRAGRPQFDD KGEATLITDFNNVGHYMGMLNNASYIESKLLTFLREALNAEIVLGNITNYTEAYNWMCHT FLSIRLRRNPLHYGVQRAYDDLELDCDTLVQEKIESALKQLDALKLVRYDTRNHLVTSTD LGRIASHYYIKCETMKVLQKQKFNDKNQYQLLKIIAKAKEFEMIRVRPEETKELQKIYDD AWVFDEEPDVRKTQEKVIALISGYLAKVNFENYALIMDTNIIIQNTIRLLRCMLDMAIKK NQACMALELLKLCKMIENRMCPRQNPLFQFSKESFSGCNTRKIMKSKDAYMPRAWIGAMA ECSLPAYQMKGEDDIVLAQQLSIPTNLVSQFKAYVNYLPDLNIEYKVKPISQTILQLVVL ITPQFTFNSKWHLKNEPFWIFAYDSEELLHSEEFLMEMDTIIRGNTMQISFYVPFNSKCK AYYLTIQSDRWVMLDEDYTTVQIDLSNAFMQDDQIDFTELLDLQPLPISALNNTEFEQLY QQYKYFNPIQTQVFFGLYNTDDNILIGAPTGSGKTIMAEFAMLRVFKQSPQFKVVYIAPL KAIAKERLKDWTKRLKEINKNVLELTGDYTPDLQALLKAHVLITTPEKWDGISRSWNNRE YVRQTCLLIFDEIHLLGQDRGQVLEVIVSRMNSLSYDTNKKTRMIGLSTAMANGLDVSNW FGVKKGRFYNFKPSCRPVPVTIHFNGFPERAYCPRMATMNKPAYQDIKRYSDGKPTIIFV SSRRQTRLTALDIIALAMQEGNEKQYIQTTEQELAQLCTKIDDTQLKSVLQYGIGIHHSG LDKNDRNIVENLFVQGKIQLLIATSTLAWGVNFPARLVIVKGTEFFDPKLKKYVDFPVTD LLQMIGRAGRPQYDTVASACVYVEQSKKNFYRKYLNSPFPIESSLLQGISDHINAEISSG VVKNNQTFIDWITWTYFFRRLVKNPTFYNCPSTNSKDIQYYMNNLVANTISDLVTSKCIT QEDGQYESTFLGKLAAFYYLKHTTLKHFDERIQKESRFEDLLYTLAYSSEFNEVPVRHNE EHLNEALSKLCKLKCDKNKMDNPNEKAYLLIQAHIFRLKCPIKDFETDQKLILDSCIRII SCMIEISANKGYLQTTLNIIYMLQTIVQGFVKNEEQVLMNLPYLHKLKPEECINRVRTIK ELLQFFNLREFDIFLQNNVHQKENIAEIMKAINALPDIQLVYTKTENQLKVNLKNESKPD NKVYIQKLSKQREASWWLILGDEDRIVSMKKVYLRSTASKDIEVEDWNRNYRLYLMSDSY LGLDQIIDIK >CAK75795 pep:novel supercontig:GCA_000165425.1:CT868219:70778:72552:-1 gene:GSPATT00011395001 transcript:CAK75795 MNSNLINLSAELLQAQDIKRYLIKDFNRTTLKLRYFEQGYNDGRPISLNKAYLERQDDDV YNEERMRKAKPMYRLMFGTEFHIKELSNRLKVLLKDLGVHVAAQDTRGMSGKINLAFVDL LEGIYIIYKQSVMQGEEGQAVFMKNVINVLATYRGYDFIIIEPNHNEVQLQILYSTMYEV DSQIDRIMAQTLHQEDDQSKQIIEYGTFNEFLKHYKDVVNMEPINVESNWEIQFQEEQQQ EKQQIEVIQDREHINYLSEFDKQFHNVLVKASPEYWQLLVFDLLKDLCDKTLSNQILECK FYDILKNVKAAQYLLIYRKEIVKYLSDKKFWEVQNQQQKPNLQSNGTATSNHQLLAKIGI DVQQEGMLGLRKQEFTNQQKVQTTFQEGLIEFDPEQYARMEQSTLVRYFPTSTRTDKLEY LYIRIDPLPKKPIKEDKLIPINDLPEWARKAFDGTTSLNVIQSQIYPKAFLTEENLLVCA PTGAGKTNIALLAILHEISKRVDEKDKRLKDQDFKIVYISPMKALASEIVEKFQTKLRYL GVKVKEFTGDMQLSKKELQETHIILTTPEKWDVITRKTNQNQ >CAK75796 pep:novel supercontig:GCA_000165425.1:CT868219:72595:74725:-1 gene:GSPATT00011396001 transcript:CAK75796 MSTAGYLNKYNNKKQNDTKSIVSQQSSKKSTTTDVKTKEDILNKMQQNEEKHRQLMMENN KRRKEQEKKLKQQEEEKQKQAAALLDQRKKTKEQAYVNYLRNNTKQILADYQPRQTDYPK QNPKPQLEKKVQTNYNNFEALDLEVSQVAKNKKLPQEFQKQWEDFEVMKKSELLDSLDLS TAQKQKPAPNQRKHELQQLLGLPEEGSDDEEDLWKNTMKNGQLAKQNSKPPLSKPAQWAE QAITQADDVLSKMSQKQGTERDKRELTSQKSQQEPLNTKQKQLSSSVPKNATPNNKNSEQ MKILQQKEQQLLGQQMLIQKQLQQNTIDTNQNSNNNTNHQNPPRKPPQNIRITQKQIHKQ PSSVQDEQNHIQEFLREDLQNINDLEIQLQLELLNLNKENKQKIESVQQKYGVRNLQTSN QVNRIVFVQNQYPIKSQEDQIEASLLKLDMMLQQPPQKPIEQQQLQFTNQQQKQQIHQTI PLQQNTYQQQTQQQQINQYGQQQQLNPQQYQQLLEQQNLLQQQLIMAQKQIQQMQQQTQQ QTQTLNQQQGDFPQFPSYVLHQDHQSFVQTFSNGIPQQQQQQFQQQQKQQQQQQQQSFNS KPRNVISSDNQSFSVVSSQQNDKYTFDLDFGAQKNPQNTYTQQALKKMTQKQSQVKSSLP IEEEEEPEEGKIEVRQDLRNLLFD >CAK75797 pep:novel supercontig:GCA_000165425.1:CT868219:74725:76404:-1 gene:GSPATT00011397001 transcript:CAK75797 MFKPKNQRDQQQQPFYLSWFTNQGENLKRGGTAPNQSRKDKMPFKSPYQQPLIAVAHNVP NPYLKTISQPKSYQNNIQQISKIYAGQFQGTVKRRSLEKRYDEGPKSGRGSFKPSRTFTQ QISRPFRFSEQQQSRPQTQQMNTLEPRLNCENLVVDNNDDEQLTPREQEEIEIVDIDNND DYSNQSDEAESDEHQNFQLHTQQQEEQEIQKNASFVHKQSEEPIASMRKATASLNRPQTS EGVRRKRFMNSDKNESKHAFETRQSDFGLLDYEPNVIQEDDSENQEVMPIILNQLNSPKE LLSIRSGQRRKQTEQNQMENFDKNERPPSRHKTPPKATGLELPIQSGNQNANRDGIEIPI KNMYAQIDELGNANNMGIIKNNDEFDDFDLGFIKMNNKVNVNKFQQRDGYQTDDGKKQVS EQKHPQSANLKYNGLGFQNVSYSPLNNQITSAHGFRANEEQIVIKYNASSALNKNYGNQT VKVPFQTSLGQDFLRLFAND >CAK75798 pep:novel supercontig:GCA_000165425.1:CT868219:76758:78304:1 gene:GSPATT00011398001 transcript:CAK75798 MTEVCQPNQTGFFHSCGQFSQCEQNAATLAYQCQHDSPFTPKAGIIISYILTPVLIGIGI LGGMGGIYMSLQFQGGVLKGPLLEMILNYSQSEATHIAYCFMFGGTLLNTALLMFEKNPE DERRPIINYRIAIIFNLAVPFATNLGSSLASFLPQLYTLILQELFLFGVAPILWQKAKDA KKAELKISEGSKKENTQNLNDSNLNPKIELQTIEGIHEQQEYKISDANSNESLYSHFKQE SENILPLMPILFILGSFGLNQIFIQMRSTNPNKPSYVGIEDCTWQNDFMIFILILANVLY DYLIWQFGTKQEKQFNQINFLPKERYFTPISKFFKIYAGGFLAGFVSGFLGMGAGFVMVP TLLFSGLIPRCASATSAFIYLMISLNNLITLLTNHYLDWQTILLFTGLAIIGGSIFAKIG YILLAKYKIGYFVILIVFSLDIANIVSQIYYGVVFGKRYGLDYLTHANKECQ >CAK75799 pep:novel supercontig:GCA_000165425.1:CT868219:78356:79188:-1 gene:GSPATT00011399001 transcript:CAK75799 MKKSKSTLILTKESIKAYDNVDILFQQYQVPKHSRVLKFNNNLKEKFNKKYKKTEKKITI NEFVKQTKYSDEPQMKLLEKVENPYNFASNVNTEGFLKKYYSNLIRIGLVKPPLRIAPQN QEAIQRSRVISAMKLIEHTHDQLKPKRATSAAFGKVDPLLTINALVSTKESDMNVSAQKH FEKNKTMTTFYPTPRTQSQPQLGYHNNVTPRHSSVMETPKCDTPQSRSTMAKSTQLLFRP YSGFMDHTSRLSQQSEINKRLKRPNHHIF >CAK75800 pep:novel supercontig:GCA_000165425.1:CT868219:79223:80249:-1 gene:GSPATT00011400001 transcript:CAK75800 MEQVIQNDNLVLLQQKILSYPKTNEDLKSIASIIKVTQIFNKLNELYHQQLSQEGQIKLC NYIELKNYQLGEQVDPNQEFVVQLILKGQIEVYYLEEGYIYKHEKYLKTLNPLFYIEEDF YVDKIKYQQNQLLYKVAFNDSIILLIDKKECDEIYNYYGEIFLFKHRTLSRIIPGLGELN SKRILEALANQFENVIIPHSTYITEEDQIGEHLFFLAQGHASFQKNKEHVFNVEESGIIG DELLIDPDQDQNSVQTYYYTVIAKSAQVLLYKIKLKIFTRLFPNTIIRHIIAQHKCKSGS LIYRKIIDQAPCRKQTY >CAK75801 pep:novel supercontig:GCA_000165425.1:CT868219:80279:81255:-1 gene:GSPATT00011401001 transcript:CAK75801 MLTNSSTDYILENTLKEILSDMLQLLEQNIRSEEELHHIQEQLQDYENLHQLAETMKFIF QALKKKFKENNYQQKFFKCEPKSQNYEKLEQAVQKYEQEIRIHIRAQHEMKIFIETIQQQ LEESEQIRKEYLKETTQIIQKLKKENYNLIQQLKYYTQTNKQQTALNSRRDSAQTINQTL QKQPSKSNHLGNESGKQDQHNNSQIMKLQQTQNNSLNELLKNKESQKSLTQRPLISNFSR NKSYSVGISKQNLINSSLIQKLILEQASKRKSLHADSHRSKGCLDQQKLSNN >CAK75802 pep:novel supercontig:GCA_000165425.1:CT868219:81319:82344:-1 gene:GSPATT00011402001 transcript:CAK75802 MNQFVNADIFSIYVENMVIFIFDNALNDYHPFWAYYLISRYKLQNKNIGHLYRLIYQFDK NLEQKLSRDEDTLPYMDTLKLIQNELKTSKIWNTQKKLIINQDERNATRLGEDIGTPKKE EQITKLLIEQQLLQEEEQVSRGNSALDIRSKQSHHTNPNYLFENIQLNVFDNITISKNIG EFDCHSQSEDNDKNSSQIPEDPSDKQDNQFEQRLSIQSNKNLFLRSNNKFIGMSSSLQYE ERKDKILSGSLQNVFSSLTYKRVSSLKFSQEFRKEKVEEFKIEILKNKKVLKQHDNSILK VDEIDQEMNFIPELQEQDKSDLQLQKQQNSLTQLNNQNKFT >CAK75803 pep:novel supercontig:GCA_000165425.1:CT868219:82376:83428:-1 gene:GSPATT00011403001 transcript:CAK75803 MQFILQSPTQKVIEQIIRDHNSDLFKLIIDNSFMNYCRNAHPIAIEFICKNVDQLLNILF ADYNLIPKEQLQQYSEQINNIWEIIELNLEPILKQIEIHWQIIFELRWKQFVPDIQWGLA YKLLNLVKERDNSILEDLLKSQDFLISFLPFLNIHSVSQMLIAFFEIGFHQSQIDFLREG LKFFQKQDVFSIINYTYIVHEIMTRILIDQQMEYILRGEFLKTTFEVVVQENYDPIIQKN AAHIISLISNYYTMDMQNINVEDPDCQDIISQFKQTPFFANFDVSKIGQIFQKAVSKNIK VGLFIIKLVEVRERLLLDNRQFSSNYGFVNMGLNS >CAK75804 pep:novel supercontig:GCA_000165425.1:CT868219:83666:84277:1 gene:GSPATT00011404001 transcript:CAK75804 MFQIKFLGRFFSGSKDKQGVSCEHQTNQNQNINGNSYKCMKQLKQKFQSCQHEVEYYCLK RINLVTNVLSFVIKYCRMEIGVKKKCYESCLLNIDNGQELNKNKLCEHFLQSKDICQEQQ KQCNQEINVLLDCGHTAISKCWEREEFKKTFACKQICMKQRSCGHSFNNIYAINPAESKD LAIIWILVWRNVEIISVLHVKKQ >CAK75805 pep:novel supercontig:GCA_000165425.1:CT868219:84481:85397:-1 gene:GSPATT00011405001 transcript:CAK75805 MQQQQVTNKRIKPIQGHSPQRSPGKFCSNLLNISNKFAGTGGMSSSLAPKKAQAPQKVPK SGIFQALPMIEQTLFKKYYDRGDLPIAVNFSGAVRKIIIIYIFPIFFEGLRETEDPYKFL AVNGCEELLQKGETKILSVLPQLIIPIKKALATKNHDIMCITLKKIQKLVKSGQMIGEAL VPYYRQILPVMNMYKNKRLNIGDKIDYSQRKNENLSDLIQETLETLEKNGGEDAYINIKY MIPTYESCMF >CAK75806 pep:novel supercontig:GCA_000165425.1:CT868219:85723:87652:1 gene:GSPATT00011406001 transcript:CAK75806 MLRTNMSIVNLNHQQSSSSLLQALVNQRPKSSQKSHSQKRVPSTKSSKTNQIGQPSYKVH FILNKIQLVTSSLCQPIPNQKQEVSQRTNETLKPNDNSTTEPLQSQRIQEQQSEKKTNKK ASLIQRTIFGQSAASLGIKQKTVKLTRESRSNSKKEMQQSIIEESTQQQQVKKEVENPEA TQKLEQLISKYKNTSFFNQTYQSNQTSTQQINPQIVISVSQPKENQSLQTTQQTLLSQAS LAVLSEQDQNLNTAFSQSTNLQVNQTQINKYVTRISRGANFIPQKQNSNSVNNSVNTKPT RKRMNSPLHAQRRSKENSIVSESLVSHRESKSKLRNLSGDRKFTSTQKISIGPQVPFQEF QYYMSFARFFHKDKKFETQIKQLFNNSIKTQQYFSEIYLDHIQQSFHALQFCKETQKPKL EDIKQKIVNLPPNKFAKSIVFDLDETLIHCQESNDDPSDIALTIKFPTGETVEAGINIRP YCKEMLQILSQKYEIIVFTASHECYAQKVLNYLDPDKKLIHHRFFRDSCVVIQDGLHVKD LRVIGNRNIKDMVLIDNASYSFCFQSDNGVPIIPFYDNALDKELVYLTTYLMDLMQDQDI PLKNSTNFKTQLYLQDITFEQLITRL >CAK75807 pep:novel supercontig:GCA_000165425.1:CT868219:88241:88772:1 gene:GSPATT00011407001 transcript:CAK75807 MPILADLKYQVELQMKKQQSNRVGPSPKEKVMFLTRVLYEKKSLKEACQELQMSYSWGKA IWSEHISQYNKSKKLYKKNDSPKTPPSETEQVTKKVAGVKILQKGQHIAKQFHIQVLIHG NPIKTKATD >CAK75808 pep:novel supercontig:GCA_000165425.1:CT868219:89179:90025:-1 gene:GSPATT00011408001 transcript:CAK75808 MIIYNTNLMIFLLLTLSAALKLDCKDQCDGDHYCYLGQCYSCSYFRKQWEAKIPDFGVLI GKGNGVPAYSCQNDTQHLHELEHFLQPNETGFNQTVFIGMKYRYFKPRYQCVHFARYYWI QKFGSAFPGIDTADEIFDLTYAIDYKNGKYRNLTKFYNGMSTSIRAGDLLIWNKSYPYYP YGHVAVVLDVQLGAEEPYITIGEENYDDIWDSNQYARKLKASTSNFGLVYVINEREITGL SPQEKCKDYNGSANDVIVGWVRLND >CAK75809 pep:novel supercontig:GCA_000165425.1:CT868219:90628:91173:-1 gene:GSPATT00011409001 transcript:CAK75809 MRQQQAHIQTLQTMATRLSSKISNRRSVLNDSQQIYNQQLNISVNQSFNTPTLITNQQSF IISQPTNQSMRDTIKSVRCTSPSLRIQTEREEVEDKKQQQFIDATMRLLAQNEKLEKLVC EQNEMLANYKQEKQELEFTVKRLSQEITFLKDTNQKRVLALERELKQVSGKSQHQPKRFL R >CAK75810 pep:novel supercontig:GCA_000165425.1:CT868219:91659:92559:-1 gene:GSPATT00011410001 transcript:CAK75810 MKKHNKPRQDEENKILEYLSKITPLNLPERIYIYKFCQTIIKLSKPDANSKIQLKDTKDL LLMFKSYFMAENNVEILQQIQQLMIDQEFKVYYFISIADGFSLSLNKILVNKSREDFHNL IQTTSTIVISKIKAKLSQQQQYQNKNQPIYRNQNQMKVLKLSYGYRGNAVNNENNKTLQF PQKPTIIIRSASMIDNLLVEQSQYQSYQQQLMQQHQQMYINQKRELKLQLRAKQKKLKKR LHSNYKLIMQIDFDHIWAMHIILKKNLSSILINIFYIGVDFTFGVN >CAK75811 pep:novel supercontig:GCA_000165425.1:CT868219:93138:94042:1 gene:GSPATT00011411001 transcript:CAK75811 MQQQQVTNKRIKPIQGHSPQRSPGKFCSNLLNISNKFAGTGGMSSSLAPKKAQAPQKVPK SGIFQALPMIEQTLFKKYYDRGDLPIAVNFSGAVRKIVWKCEPECLDYHLYLPIFFEGLR ETEDPYKFLAVNGCEELLQKGETKILSVLPQLIIPIKKALATKNHDIMCITLKKIQKLVK SGQMIGEALVPYYRQILPVMNMYKNKRLNIGDKIDYSQRKNENLSDLIQETLETLEKNGG EDAYINIKYMIPTYESCMF >CAK75812 pep:novel supercontig:GCA_000165425.1:CT868219:94792:95799:-1 gene:GSPATT00011412001 transcript:CAK75812 MLMCCNAKRIKTGESPHRSKSKPKQRNSEICPKFTKERWIEIMKGSSEDQGYSELSYNLR HNFPQDLRCQVWFWLTEKARIKNVLYQKLQYETPSEQYILKDLNGSFRQKGNSKRFSGSS DSSQSDKNSEQEKVDQEKLDKLKRILIAYSNLDKEVKYVKGMNFIASSILEQQVQEELSF IILNHILNIQNYRKVFLMDMTILDIIEKRIQFEVPLIYYKMQELKVKPQDCFDSYIFSLF QLVRKELALRIIDIFLYEKESILQTVIIQLLKMQQVEILRCQDQDEMINYIRNDLIEDAL DKLDDGKDYLSQLLSIHL >CAK75813 pep:novel supercontig:GCA_000165425.1:CT868219:97238:98841:1 gene:GSPATT00011413001 transcript:CAK75813 MGICSGKQQPSRHAKQHLSEIKRFAKQNIRQFYRFGKVLGSGSFGTVKVGYSVRDSKEFA IKTIHKYRMREQFYLVLRELDILTQLDHPNIIKVFEEYEDDMYYHFVMEYCSGGELLERI VEKGYIGESESKVIMQQLFSAINYLHSMGIAHRDLKPENILFASKDKDAPIKVIDFGLSK KFRNINQKLQRMNSKVGTPIYVAPEILSGDYSFQCDEWSLGCIMHVLLCGNPPFQAKQLD KLEVKIKHSEVDFSFTAFDRVSSEAKNLIKSLLVKQPKKRITCEKALEHQWFKNMKLSSH QQQLTNSDHQKILRLLHTYANSSKLKKETLKILINQLTQSQISQLKEVFTTYDKDCNGTI SIQELLQIMANLGFKKSEEELVQMIKKFNNINPSSDDITQDTQITYTSFLSALVNCKSFL NKERLWNLFKYFDSNNQNFITIEDVRKAFEREGRQLSQSKLISLFQEIMQNEEQKTIDFE QFCQMMQDNLYNSNNEKNNLEQELDCFEN >CAK75814 pep:novel supercontig:GCA_000165425.1:CT868219:99607:102539:1 gene:GSPATT00011414001 transcript:CAK75814 MSSYFLNSGLKRDGQLQLIPEHIRFNLTQLAPSDVGIVQFQIILAEQEQNIIELLDIQDN LGNPLIKITLKQREIIIQYLDNTYKFPIQQIILYKLYPIFISFHDEITLITNFTIQSQKL AQRFTYTSNKMGLFLGSRSNLNAFTGITRNLQIRFVKEIELKTQIEGEIKTQKNIVDDLD YIESIKYKLPFSKPLLSIEELDNWKPNEEYAILPIQQLKKINRTTNQPLLVCHDMQGGYK EDIWCFGNPLRQNSYRFYYMTHCDIFVYFSHNFITIPSMPYINICHQFGTKILGTIITEG DDNTLTKQILDLKYVDKLVQICQFYKFDGYLLNIETNVDNVELFIQFIKELSDKLQSIGA MLMYYDSHNAEGVLKWQSELNEDNMKYFQACNYFFSDYHWNLNKLANTEKNAGSQKNNVF VGIDIWGRGQYGGGQFDSYVALKEIKKAQLATAIFGQAWTYETSNDSRSQFIQNDNSLWQ GKNTVSLFDKHKNQWVITSNGGSGWKVVQENGEEIAITSFDWCIRTYTIQLNLVSSNKGG IIQFNARVKGTGPKFDDLYLIGIELYDRQNKCIASIDSFSTKVNKKEIQFNAENVNVADD KWREHTLSIKTTDDTQYVKFIEVGKDVELWGGNYGTQFTGESMVYISYDSNKDLLRMIKP RTYEEFPLQTYYNNAVGEAYYIDGQKFTHYKGYYDNLNDFDYSLSYPTKKIVSQDIQDNW ESSICFSDAWNGSSCIKIKGDLQIQKSFLIKLFKTRIDPQGNITASINIKNFDKKILSMS LVLKFGDKILQQFKPTKILTNQGWDIAQYTIENPGQSLKSVYLKIENISQQQQAVDGLIG GLAIYDDNYIEQMKTTKVDFKDPTKYIKNIQLKESVTNLYDLYIQFDLGELEPLLKTIRV FNDKQWIGNVRSNYAYFSELALDGNDMIIKLQIILHNGNHIKAESVKPFILNSDKIIRLP >CAK75815 pep:novel supercontig:GCA_000165425.1:CT868219:102553:104222:1 gene:GSPATT00011415001 transcript:CAK75815 MHLIPKLTKLNKSQEDISAIQDYLSQLPQFQAPYEVLKDLCKTIGYVYFETGQVIQQNNK FAFYVIKGLLQLEDNIQILPEMWYDGDLGFEVKQDTHCFALPIYLYKKFSSIHGVLYKQR KKSIIANLPILEKIAPKIQEQLIRHFQEVKFAHTDLIQKMDEPGNALFILQYGVLSLSKN YQKALSHYEKQVLPRKFWFNEIVLCQLNDQGVLGEEFTQQEKALYNVKVMSKSVSLLMIS MQQLKNISPQVFQFVSKMFKEKSILRDEIYKQKCQELEKNWQNTNKDQMLNQDIKKTISF KVLSKQTSTCQDQQSQTNEDQFGALFGNLSYQKMPTFIQQYFKQKHLKVKKRQDQYPLNY SNSTTINHIDSTFDPFILLQKTKQKMLVQQHSLKQQHGFRLIKTRQIDRKSEQTKSQQIR PNTSQITVSQQSQFGQTLQLQQSLNQQSHASSSELNLQKTTLQSFHHQKPIIRQFKKIQR SSSVHRIDDIPFSLIPQLKNKTPRDNMFYLNQQQNYLGQRIKVNQLLHNSID >CAK75816 pep:novel supercontig:GCA_000165425.1:CT868219:104246:105806:-1 gene:GSPATT00011416001 transcript:CAK75816 MFLETKACLIISVQIILKIENSTNKPSAECYLYQFFSFFTEKHYKGLLNRTYTHFHVYQY NQQKPDFNISVLFSTSTYLDDPQQQFWFYLNVPEYVKTFNTPLTLKVQNNDTTGCQNELI MELKNITNNMNISFAEQLVMDVRVEMQCQNFHQMFLGTVKDDTEEVESQVITYSFLNSAV CLCCMIYGLRILRALIEGLDNPEEYSMFSIGFVMVQDLYLCFLNFFQAMQSETFFQYFIT PSFLQFLLFSIFEMRILMILWKNTVGNDQSFRNHVIKFYLILYLSMFSTMYLIYEYIVSS WLLFFLSLYIVPQITHAAQRGQFVRFNSKLICGFLLPRLIYYAYFRLYWNNLFSLKPMPI SIICILVSVAIQIGVHILQNEWGPQFFLPKICFPSKYNYYYKIPTSFDLEEGPSSEYAHL FNEECSICMGVLHQVPTIRLTNEEERNYMIKEAIKKQKNHYMKTPCDHKFHVLCLVKWMS IKLSCPSCRQSLSPL >CAK75817 pep:novel supercontig:GCA_000165425.1:CT868219:106289:107074:-1 gene:GSPATT00011417001 transcript:CAK75817 MFRGNYNRQSLKPQVVTFQHVLDQVNALDHRALSLLHKMVNPSQMNIFATASLVSLFAGL IREIPCELLDEKNLNWSLLHMFLNDVEKFLENLRKFKEFINKNQITSKNMQIANYWIQKY NEIQQDNQYSVSYAFVQITQMIIKLDKQLNQRQPSSPARSLSASPSPKLNDNDDIDEIDE LKTQPERMSVREPPKPNGLKLQLVPNKPMQQTPAHKHTQSQQIKQVAPIHAPVVQKKK >CAK75818 pep:novel supercontig:GCA_000165425.1:CT868219:107338:108661:-1 gene:GSPATT00011418001 transcript:CAK75818 MQQISPEVEQYLQEAVKPLLERIEELKQKDQQNEDQIKFLTGEISQLKALVSTFKPAEEK KQVKQQQKQEMNGNNNDHKKPERPQTAVQQKNDQNKQKEPKPQTGNKNLSQSALVDNTTK NEAENTAPKSQRQSEEKQPKIAKQQQQQPPQPKPKPAKQPEQKDQQETKTSNQDQPQKQA NPQKDDKQADKIKQNKQPQPSQPPQSDKQKEQSKKNEKPQDQKQNGVQKSTNSKQPEKKV DNKQDDKKIVKKGSKPVNQSGNHHQTDEQQQQQKAQVSQEQPPAEKQIKENHSEQNEQQQ DHHQEIVNNQQEAVQNQVEEAVQNQAEEAIQHQAEEVLQHQAEEAVQNSVEENQFQHNGN QEKQEDENLLTDNHQDDNNQKSEQVQQSQQEDMF >CAK75819 pep:novel supercontig:GCA_000165425.1:CT868219:108772:110468:-1 gene:GSPATT00011419001 transcript:CAK75819 MQEKKRNIIIQEICHQTYFNNNQLIIPIQEQKPMTTCIEIVQRRLIKSISRIRGASQNTI EQLKNTQQNLQYPIQPQTQIQRKDVPNQVDQDFQAINPYAQQKEVNFKPQVNQLITQEQR KYKDDVKIYYNQLNYKQYQPLKGNIDQNQQQKQQFQKTTVQQTQIQTEQYKAQQQQKQFT YTQVVEQNQQQLNLGDSNLKSPNKKHIKIQQHNQELHQIRIVNEERDQFLKHFMTQIKNT LQNYGGIQIVKQSSEERKRGNKSSREAGQQTQFNRNQGQQNQPYQEVQQPKKPKIVEIQS NIQLQNSILRKDQNERLLFTQQQQFYQQQFQQNSPQQKSKQRIQIKEVKIQEPKHNEDIQ PDRKQPNAQKGYYDSKSHNHIKNTQQKVQHQQVNRRDHQYINQTQVQIQQQNYQNDGRHR ALSDLQQRRRIEYRPGMVITDDLVNQMTPEEIYEYFTQLDLENQLGLKSKIIVLENKRVQ INTADSCAICLEDIQPQKEAVDIKLDCNHQFHYVCIKQWLQKSKFCPVCKKQVDCGTNQN IQ >CAK75820 pep:novel supercontig:GCA_000165425.1:CT868219:111140:112491:-1 gene:GSPATT00011420001 transcript:CAK75820 MKRNNSSFAQQETVYNLSKPNSMQEINSSICISQSYQQNSSLNQLYANRFLGEWNEDLYT VSRKYVPTYTNGLNLYTTYCTPQNPIATIVIIHGYGDHSGRYFHVADEYAKSGFQVILYD QRGFGNSGGIRSHGHIKQMHQDLECILLTIERSQPIFLQCQSLGAAVGLSFCISNPSLIL QGVIVVNPYLQFAQKYGVLKKALLTLMNKIIPGLMVNSYIDFGHCSKNNNVIKTVAEDSL VQPFMSIGMAYNILQLEQYILPNVSQFAQPLLILHGKEDKVASHMNSVELYRLAGSKDKT LKLFDKGFHELQNDVEFERVKNIITTWCQKQVNKDKRISYFRELNHGLVARQNNSTKMII LQWILIYFMLRNKMKNLGRIARFICILALMIASLIVHIRW >CAK75821 pep:novel supercontig:GCA_000165425.1:CT868219:112685:114223:1 gene:GSPATT00011421001 transcript:CAK75821 MELLDFMMECAKIERTIEISRQFLTKIPSFHPYQAFKQIDLHDDGYITAQTIQVFLHRFG QLHTLKECGILFYKPEFKYVDFLRFLLPTNQLLRDYISHSDQKTQQSNECLKEIAKHISL EIVLMNNLLPPKSLDKLANGTREDIECLFKKERLFVYQDEIDAIIKRLDFYGDGQIDLLL LKNWVLILNQQIKSKNQKESSSTFATPKKKVQIQNAIKTTERKGSTKNTPKVMNVSPKKG NLMEKQKSTKKVANYETQKQEIRGKIAQKKQEGETEKKMIKEVQTNKKNVNNLSKIKPKS PYEIIEYLLDKEIKIEKVKQQLALQKEFNTLDAFHALDVYGKGSIGVEEIDTLLNQKSGQ SVHIFSRFNSNQLSYHDFLYLLQPYSVNFAEILNTRQPSIGGNQKKISQLFSNQTIKLLI ELLTLLQQIPVKLNADIQLFTKIDSITRDNFIGVSDLQQYLYESGLRYTHHEAMLLINAY DKDKDGRLSLQEFLDM >CAK75822 pep:novel supercontig:GCA_000165425.1:CT868219:114410:115873:1 gene:GSPATT00011422001 transcript:CAK75822 MNQLKKHISVKCINFNTQSTNTRTRSISNQVSKNSTDRSRSVSKLCPAIKLPVPTKNSES VSMSNSKPVSKLGKVKIDLGNKATSRSQQTTQKSRSNSQISKEESFPKLLPDFGRMETEL LDCQDFMLQLPEIQFPTTEDIMKERIILANRIAYTTKTKKRIPITTPDFYKVSHLQPQQG KGAFAKVCLGIQILTGAKVAMKIIEKSTLKTESAKKRLLLEITLMKILSQYQQFASLFEV FETKKQIYIIMEYVEGGDLMKWTKEKPIPEGQAKNLFGQLILALQILQSHNILHRDIKLD NILLQGDSIKICDFGVSRQIIKGQKILEQCGTPAYLAPEVISNKTGYEGFASDIWSSGVL LYILLVGKVPFKGNNMNELNHNIQNGLLNFMEMKKYNLSNDAVDLIKSILNVNPKLRITL TEILNHPWLKELNLKSNKVSVFESHFLN >CAK75823 pep:novel supercontig:GCA_000165425.1:CT868219:115931:119069:-1 gene:GSPATT00011423001 transcript:CAK75823 MVNSEDDTTTKKHEEGKTTFTLKMAKYLSTSGIGDYLDGINVLLSFLLTVLHLIDCSFWD QGGNQDVGEGQAIITIPELICYIYFLLDFVINFYLSENKLFFTFQTTSLVEYVSIFPSLL ARLNIITGYKYIYMLRVLRFLLCYKLDKVLQRLSMEGIQLVQFRVFRLAYKPIVTVMSII MINSSVLYVVEQDYSIVEYIYFMVVTISTVGFGDVYPTTIYGRFSIIVAILIMFLVLPTQ VEMLTRVYSLRSQYARNKYISKKESEHLLLLGSSQVEGFKTFLNELYHTDHGMNDINTVI LQPSAPTEEMTLQLKQPALQSKVIYLEGHPLQNKDLERCSSKDCNQHLREMITVNIIHAF AVKQFAKKQKSRKGARVCLQVLQPSSKDLYFNSLGGHETDQVICVDELKLYLLGKTCLCP GINTLISFLIQSSKPSYDITKYDKDKSEWIDDYLCGIVSGICWPDIQLSNLGFLTTQISS AIYKELNIILFALEVELESGTSVFVNPVEYLFEDYLHYGYVIASEMPNIDEIQQIKFPEY IQRNYCFPNQQRQQTNKNALQQEAEYLKEILSEGLKDDSSKHPAYYQVKPQTITTGLPKV GDQEKFENHFIVCGVVTDMKYLMMPLRARSLKNIQPIVILNQDLIPTEIQLQINKFPKVY FQQGSPLNTEDLKKACIQKASALVILQKSADQEDGLSNIVDADTIFIYKTVKLLNQNINI ITELASISTISFLQISRNNYVQKYDWSVSEPFASGEIYISTMLDTLICQAFYNPFITSIF DQMILGSASVNKKHKKLYQANKLQQSNLFLINIPPKYQEKTFGELFEILLTEQKMIPIGL YRGEKVKNNNKPYVFLKPPMDVVVSCKDRLYVLSGKQPKEQENVVEDENNFGQAAAPQQY NIKSKLQGEEGRVDIEFSRELMKFNDKSQSFVNELKKINSRVFNQGLIHDCILQYVFRIR QKCTRFVKRRISQSKSNNLICYNNHQYIQKYMALI >CAK75824 pep:novel supercontig:GCA_000165425.1:CT868219:119094:120523:1 gene:GSPATT00011424001 transcript:CAK75824 MAENRLFAFVNHYQNNSDWYSLNQIQIQMIIEENEFDKQIDDLLNKEKTARLANNLVETL KVTKQIARLCFDTKQYSKFNELIVSLSKKRGQPKKAQIELVQMAMIELKTLPINQKLEMI DAIMKVCEKKIYLEVEYARCVLMLTQYKEDDNQIADAAKILQEVQVETYGSMDKREKLEF ILYHMKIMIKKLDYVRLFIISKKIEPKNIEEDNIADLKIIYYSFLVIYYRHENNYQETAH AYSKILESLHKNRQLETTKVDFNFKIDYNTVLENYALYTILSQYSEEKQKQLQSIATTYK YGLEALPNLQQLIQAFLGTELISTEPQTHNIQAAEIFDESIENNQQRYKDFRRQLIHHNL RIFQIYYDSVYLNRITELIAISTEELEEEICIMMDQKLLKCKIDRIQGIVDYQLKKNEND VLQEWGDNVNKVLNLIDLTSNLIKREEELFL >CAK75825 pep:novel supercontig:GCA_000165425.1:CT868219:120769:121554:-1 gene:GSPATT00011425001 transcript:CAK75825 MIDLYLNPDQDLYYSITDEQPKPVAVENLKACDQLLKELQNRGSMSRQLQLLLSAYVLQL SGIQKSIEMASTILAQILKSNKEWVPAMLSLAINKFLSKKQTEGKTILKLLWAKQADTSG WERDELERAWLLHADAFISIQKYDQSEEILRKCLKQNKCCAKAEELMGLIKEKEQSYIDA SNSYEKAFKLTNQRNPIMGYRLAFNYLKAKRFVDAINICKLILQINPAFPKLQSEILNKA IQALKS >CAK75826 pep:novel supercontig:GCA_000165425.1:CT868219:121611:124911:-1 gene:GSPATT00011426001 transcript:CAK75826 MSSDLLIQQSQVFYLLREGLWKSTQVLCHKFYQRTQDPFFQFWRAFCYFKSGSLNEAINE LTLIRNKREFQFATSAALIYYSQQQRGVDREIIETCRQMQSEGRKTPNDRAFQSAIYFYL FVDEGRKAKEILELLSEGQPISQITLGWYRLLQKEEQFTPDKILDYFQSIGQFNQKPIEY LLGLAKASEINKKYPITLDALNELMIVWRDFPYTDVEKLRFCIFIQDWEQFQDLANKLLY DDPTNIFGLKAIAFYNLARKGDVRESLEKIEELFNAIQKQEEDNVSLVLNCCQLLSRVSG RNQQILQLTMSQIQKTRKIAPLLGDLCLELAQETLMLEEYDKAYGFFQEAAALDEGRMES LAGMIQCKILQGVIDDAEKQLEFVQEVQVSVGRTTEIAFLQALLESKKSEGTDSPIAQQF IEETLKLHLTQSKQLLPGYEFYIKFNPDFTFTIAQMYLRNLSTNLMLAGKELPTSGIGKG TKLLESIARQAPGLTNVQLLLSTGKMALGDPQEALKTINRVLELDPKNEDGYILHALISI KTKQINLAANSLNQAISNNFAIRENPLFMLVKGEVEYRTEDYKNAQITLEAAYELVAGKI QEQKQSQVKNCDKCQVFVLLAKVYAINKKETEAKKIMQKAIQEYAGSPHESTIMMANSEI AIESGDIKKAINILKAVQSGQPNFVNSRIILADVYLKYLKDRRNYTRCYAEIIEAEPTAE NYKLIGEAFMKINEPQEAVLSYQKAAELNPEDEEITRIIGNALTMTYDYQKAVNYYEAAL QKTPGRQDLLVDLGRLYLRMNNIKKAEQVLVWEKFVSDDYAAPTLTTLRANAQGFLLIAR MVTKLQQATFNQAQNAEKEKIQQQLMAESQKLIEKIRKAFELAVQTQKDVIEKSKQEAAN VNKEKEQLGLIFLEQARYFFYNERNYKATLDCIDDGVKFIPTNESLIQLQAETFYQSGDK ISCEQKLKILQKLNPKNDYASMMLSELVLQQDDSEKSIQQFVQTLQEKPNSFGTLSKVID WYRKQNRLDEVLKPQLIIVLRLHKTKMNQGYVFAEACIINIKIYPKRR >CAK75827 pep:novel supercontig:GCA_000165425.1:CT868219:124954:126303:-1 gene:GSPATT00011427001 transcript:CAK75827 MGSCNQCVQKQELQKSGRNQYLVVHQSPYNHQMAIRIQSAYRSYITRQKFNQKLAERRAR NQIICNSTQDFLIEYHTSSYSPKINQFDCSNVYSSIQNNQKHSALSIFNRQQSLKLQPKE SDSFDFEELDQYDQTPQNKLLQSPNKSLRSLLNQKEKINSFKFRCVLSLEILETNDKIKL QQKNKRQKYDTIKLVGGNTYYGEWLDSLPDGKGKYTFSDSSYYSGDFVKGQFHGKGEFKS KEGTCYRGQWKLNQMHGEGLYNYNNGCKYEGTWEKDLPNGQGIEWYANGSVYVGTFLNGE KHGKGKLTFIAGEIYEGEFQFDNFNGQGTYKWQDGRVYQGEWVNGKMNGKGLLNWPDGRF YKGQYLNDQKHGFGIFSYSDGRKYVGQWKLGLQHGKGEFHKGDESQKITKGIWKQGQLVK LL >CAK75828 pep:novel supercontig:GCA_000165425.1:CT868219:127630:128532:1 gene:GSPATT00011428001 transcript:CAK75828 MQQESIYNLIPKEYVPPPKEPMYRSAYPSGLTPTGSTFNNHTTSRPKVNNINGEFDLVRG PHSHKGQSNSLGRPKGSYKPDSTMFRLKNTGTMGSNQLPEVKQYKYPPSVRPPVPKKDEK PIHGLKSNKNYIVTNAVENILSAPKQIVDDKQWTEKKDYGKVPDYLTKIQQSISSEYEII RNMHISEAEEMDKQKYLMTQEEVEQLKKGLKKKWESVNKEYQSITHIRMIDTVGLKRKKE QCEKELAQLEKDIEKLNKNYVFVDTQK >CAK75829 pep:novel supercontig:GCA_000165425.1:CT868219:128603:129849:1 gene:GSPATT00011429001 transcript:CAK75829 MGIHQLMQFLKEKAPNCFRTLMLDYFAGRTIGCDASMAMYQFLIQTQSAGLTQIIELTDK EGNRTGHLVGLFNRTLQFLENGIKPVWVFDGKPPLLKSGELARRKKLKEEAKVKTELALE QGDMQQALLQNQRTTTISSIMKEDAIKMLQLMGCPVIIAPCEAEAQCAELCRAGKIYATA TEDMDALTFRTPVLLRGFNTKKEPIYEIIYDDMIKELELTYEQFVDLCILCGCDYTEKIE GIGPGTAYKLIKEYKSIEGILEHVQKVNAEREKNNQNPKYTVPSKFLYQDSRELFITPLV QKGDELQLTWNKPDVDNLKKFLIEEKGFAESRIDNGLKRIAKKDPAGFQSRLENFFGKTT KIIHPNNSKAKAKSNKKTEQPQKSGGKKKI >CAK75830 pep:novel supercontig:GCA_000165425.1:CT868219:130115:132167:1 gene:GSPATT00011430001 transcript:CAK75830 MIEAKQQIQKSVYKYSYLLNDILGEGYSSKVYKGIHNDTGQVVAIKVINLQTLVTPISQS LLKNEISIIKQLNHENLMKVYEAFQTRNNTYIICEFCCDGDLANILRSTNLTIKEIIDIF TQISRGVKALHDQKIIHRDIKPANILRLNGIYKLSDFGFAIVENDFESIIKKFHVGTPVY MAPETVQLNQYSEKSDIWSLGVVLYLMIFKELPFNQKKEEDIRNRQQEVYAKIRNERNLP KNIQYILIGMLEIDPQKRLSIEQILTQLQSQKKLQCTSHQNIVCKILRRSSAHEDYHSPN QFSKPLKTLPNEEIEQQIEPNSCRHYQIQHKINLYDQEFKELAKGFCSQQQTDNKIKENT KSSKRKVQIQIPIVQSNYIQKLEKPKISENSDLQQNAKSSSTNETVKNSYSSNRQVQNEY TIANTLFGNIENALIDTKDNKLSPICYTSKKKKLIDSPKRQLKTVSSYQNLLDQNTYSNT NRPFNQNNTISQQKVRTSTSVSKFQDSEKKMKIKDKPTLNQNSESLSATILPTYKFLVFL NQVLKNFDQINTEEKQKCFFLLRKLLALKANAIKKFCPLNFQETLFQWIESFEQYYLKVV PVFYTNQDRKFNQYFNNDLEQFTKGFSKQLYQNLEQVNKQLNSKDLLIIQDVINDNLKQF NDPILFARRWENGQL >CAK75831 pep:novel supercontig:GCA_000165425.1:CT868219:132243:132634:1 gene:GSPATT00011431001 transcript:CAK75831 MTDNQFDNQNEFDEMVNFNFVIHIHLKKRSARKTQTIIVGIPDEFDLNKIIRFWKKQFNC TGGIISKDEDYGDQVTIRLTGDNRQQIAKFLVEEGIALQDNIKVHGI >CAK75832 pep:novel supercontig:GCA_000165425.1:CT868219:132901:133895:1 gene:GSPATT00011432001 transcript:CAK75832 MNLYNVDDEKLTNLNITSHVIMNIEYFWTDERVRRLCQAIQNLDDIQINLDLFQQNIQQC QGQDLALQLLINVSNISAIQHLQTYWNSPQVIGEIKIITSLTLLSFIWERPLQIQRSSGQ IFNSGDTRVARYQTLGQSNYLRAFHNKFRNWSQILTSMIDKISRLGYRNQNITGHSFVAM GDFIEKLENLKNLTLNLADWGNDYNKSLELFYNEDLQYLIEKIFTLELEKLHLDLTGLGM NGSKITEESAFFLLQHLQLAEIYDLNIVLQGWICDVGVKNQILKMAKEKQQLKKQMYQLL AFKSLEVSTQDRMLEDIFAKL >CAK75833 pep:novel supercontig:GCA_000165425.1:CT868219:134093:137177:-1 gene:GSPATT00011433001 transcript:CAK75833 MQAPVELLLEPQHIQQFQKELNVLLAKQKNFGGKEPIVKIEVCQKESIRYYKGDNKKQNY LKIYVVQPTFVAQIRNFLKSDNRMVCDMELPQLTFESNIPYALRFMIEYDIVGMGWIELK DYIIRENKNKISSCQIEVNIDCQFVKAIPLDEKSEIAPLRILSFDIECAAEKGFPNEEHD PIIQIACIVKVHNRNEELIRVVFTLGDCEKIPGAWVKSFQKEEDLLNEFQVFFQGLDPDI IIGYNIQNFDIPYILNRAQNLKLKNYGKFGRIPTDQSTIKNGKFLSKAMGMRETKDINIE GRIQLDMMIHMMKEHKLSSFSLNNVSFQFLKQQKEDVHYSIIYTLQNKNPETRKRIAVYC LKDAELPILLMDKLCCLYNYAEMARVTGVPVNYLFMRGQQIKVASQLLRKAKHYDFIIPT EHFKSTDQEYQGAFVLDPVKNFYKVPIATLDFASLYPSIMMAHNMCYSTLLNTSPFKVGL ADEQVTVSPETNAIFVKPNVRKGMLPLILEDLINARKKAKNQLAQETDPFKKAVLDGRQL ALKISANSVYGFTGAQVGQLPCLEISASITSFGRQMIQFTRDTVLEHYSKKNGAEFDAEV IYGDTDSVMVKFGDYSVEKAMALGREAAALISKKFIQPIKLEFEKVYYPYLLMNRKRYAG QLWTKPDKPDKIDTKGIESVRRDNCFLIRKMVTEILNFLLIKQDEKSAVQYTKEQIRNLY LNKVDISELVITKSLNSKKEDKDNNNNNNNNNNKEKKKNQNEDDGNKLTKNYKQKQAHVV VAEKMQNRDASNAPSAGDRIAYIIIQGAKGTKTYENAEDPVEVLEKDFPIDFDYYVNNQI KKPIMRLFEFVIPNPESIFVGDHTMSRYIPKVNINSALGKFVQKKTACLNCKKVIKDNEA LCNFCLDKTLEMMTKSSIKLQELQINYHKYWTTCQSCQGSLLGDILCSNRDCEIYYKRIK VQRDLKEQWDEIDKLYAQQQ >CAK75834 pep:novel supercontig:GCA_000165425.1:CT868219:137759:139882:-1 gene:GSPATT00011434001 transcript:CAK75834 MYQQYFDDYCERLFSYNFLNWPYRDSKLKPSKMASIGYICHEDSLQCFYCQKKIMIDDQI LPSDVILERAINQHDQYCQAMAIKFKITQEFLHSYGMAYYDECLASWKDPIELKKTYLDK VPQNLNFLVQNPKQVLAIFGWIKQDQILLCKFCGRKCQIVEEEFDVFQEHRYFCKWANEG RNIKYGYLIVLQQLRDQSTQNTQDAKERENNNVEQDVLRKTLVSQCENIISVNLLTNTEL QKAKAQILACQDQLTKRKRFDVKESEREMEEFELDIQKKVKVQKEQIEERNKERMSMINK QDSDEEEEQEQEVDMAVHDQQAQHNHVQENKENTNNQQQHNQVVNGADQRIEQEDNQKQE TNREQKENSENQNQVQEIQEIQKREKLITNHIQEEQIQQQQMIVQNQEEQIEQQIIAQSN KSKQSSPQLPTQLLVEQRGDQYSSERGEQINQVVPQDKEIEKVQVQQSEEIIEKQEKGEN NLININNVEQQHNQNNDSQKHNNQQTIQDENQQDAEEKEQNQQLVQDQVEHNEKSIVIEQ NQQAQIQSQEIEIEQEKKEPNPNVEVQEVNKDNEQIQDQEIKEVEIQNIVISDEKNQNQI ISEQQKVDTIERELESKQQNQEENIQQEVEVDQEKNLESPKNIMKTSFQNDDSNLRNQED NKIMTIINLEDQIKSRQQENQEIEQEQEEQDNPNVIEAQDNENLDKD >CAK75835 pep:novel supercontig:GCA_000165425.1:CT868219:140309:140628:1 gene:GSPATT00011435001 transcript:CAK75835 MEVKYRPPLNDVNECNWLEKNFVSCLKEKSVKDDLPKRVCKVENVRINEIKIQILWFFLE CPERSGPYEDANQLRNIYIKQKLADLNPTVPEPRKRK >CAK75836 pep:novel supercontig:GCA_000165425.1:CT868219:140701:142534:-1 gene:GSPATT00011436001 transcript:CAK75836 MGATCCKTNLQTQDELSVQSAAFGDNDQGSQKRVVKQTTASKRQAYIPAQTFGLGLKQNL SDDAKEVYEEPKKVSMKVSKELEQLLERQNSDTDRKKILEQQSLQKMDQKLHINVDVFVQ LKKGQISDHYITGKVLGEGAFGKVWKVTHKKTKLDRAMKQLKKTSILKEDKEKLFSEMNI LKNLDHPHIVKLYELFEDDKNYYLVTEYCSGGELFDRIKSLNFFSEKKAAELMRQILSAV WYCHNQKIVHRDLKPENLLFVSDSPDADLKVIDFGTSRKFENGKRMTKRLGTPYYIAPEV LLENYNEKCDVWSCGIILYILLCGYPPFSGRSESEILKRVKAAQLKFDHEDWAHISQDAQ NLIKNMLNPNPAKRLSAEEAYNDKWIQNNAPSNVINQRALQNLQQFHAKSKFKQAVLTFM ATQIITQQEQDELNKTFKAIDKNGDGKLSRQELIDGYTQVTNNQELAIIQVDHIMELVDI NRSGEVDFTEFLIAAMNQEKFLSVQKMEQAFKVIDLDGDNYISKAELQNVMGIQVQFNQI WIQILKECDNDNDGKISLEEFSSLLQSKVL >CAK75837 pep:novel supercontig:GCA_000165425.1:CT868219:144252:145651:1 gene:GSPATT00011437001 transcript:CAK75837 MIKQSHSTYAVICLVAFSQGVINLSELAISYLLKEDYGMNPTEMTYIQGIISIPWVIKPV WGLCTDLLPICSYRRKSYLFIFGLMGFVLFYALSIYGTKDAVAGVSILLSIQVCIAFCNV VAEALLVEISTGNDSSTNNVSLFFGFKAFGTLMTSYLSGYSLKYLQKQQIFRITSIFPLI IAGASLFLNEGQHKDTDIKKQLQELHRFLNIKAIYKPIVFIFCFMLQPSTSTAMFYFQTV YLHYTAEFLGKIKFLFALANITAVSIFNRYLKNYSFKSVFFVTTLTYSVVNALQILQVTR RNVELGIKDEAFSLCDTLLMQLVAELNMLPILVLACKICPSKIEGTMYALLMSTINLGQL VARQIGGILMYFLNINEASFENLWMMITMTSVYILILLPFLSTIKEKEMIESKDLYNKQD VEEEEDIVGKGYQRLSLSFVDS >CAK75838 pep:novel supercontig:GCA_000165425.1:CT868219:145677:148870:1 gene:GSPATT00011438001 transcript:CAK75838 MFKQAHSTNLLIEMVERVDDDKFLDRKLEQECDSLNEKIDTRSLILKAIDIFGKQKVSQD ARIIEITGEKQPQSIPNIVKNQKYSIITFIPIVLFDQFRYFFNLFFLLITLSQFIEPLKV GFLFSYVAPLVFVLTLTLIKEAYDDFKRFLRDREANSQLYSKILQNDVVSIKSSQLRVGD VIEVHANQRVPADCVLLRTSEKTGTVFIRTDQLDGETDWKLRSALKLTQLGQFFGSTLIV EAPKLDIYDFKGRIKIEEDKESVSIDNTLWANTYVAAGKVTCVVIYTGRECRSSMNQRKS RFKMGRLDKELNFLSKVLFGFMCILAGGILILTPDNDVFINLLQFFRYVLLLSSIIPISL RVNLDFSKLVFSMKINSDKDIAGAMARNSQIPEELGRVHYILSDKTGTLTQNCMTFKKLA LESNTYTTKDLNVVNKILKRQFSANPVPLHDIITGKTKRNSRRNKDVVLRDLIQCLSLCH NVTPIIEDGIRSFQASSPDEIALVQISEQMGIQLISRNAEQMVIQTPNKVENFKIVYEFP FSSERKRMGIIVQSDSIDGYFFYLKGADQIIKNKVPEVQRGFLMDEAEMLSREGLRTLVM TQKYITSEEFKKWQVEYEEAKSSMDDREIKVNHALEQLEQGMEFLGISGVEDLLQEDINH CIDQLRNAGIKIWMLTGDKVETAQCIAISAGLKTPQQEMFTIKEADSLNLQNLLNQFSAK HNSILVIDGVSLSLAFNDHFDQFMYVTSRAPCVICCRCSPTQKAQVVEAIKKNTNQVTLA IGDGGNDVSMIQAADVGIGIVGKEGKQAALASDFSIMKFKDLSTLLLWHGRLAYKRSSVM AQFVMHRGLVISVIQAIFSFLFYGIAIPIYNGYLMLGYATVFTVLPVFSLIFDEDITKNK ALEFAELYKSLQKGREVTTKTFLIWLWKSIYQGTVIMALSFLCFQNTFLQLVTVTFTALI VIELLNVITELKTFKFITLVSQFISISLYLCCIYFMRDVINLSEITVNFVMNVGLLVLAS WLPLHLVEKAIHKYYPSEADKIMEKINH >CAK75839 pep:novel supercontig:GCA_000165425.1:CT868219:148897:154347:1 gene:GSPATT00011439001 transcript:CAK75839 MSLLQLERSYLDALSEFGQHAPKTIQACVTLIETLNQTGQYQIKQNQPGEKYFQRATELA AGLQSEELLDVKYFTYMLYAEYMERDHNNKQAYNLLIQLLPLQKTNQKPIIMTQIQILNH VIENGRLCKIASVASLNEKLLNLMEEIGLTFYLQKQFSKKFQLILLQALSFQAKIYHKQN KDSQAAQLYFQSFHLSEQLLGIHEKRTQEYKKLYEQLSDKISVNIEIQSQDECEDDQPQQ LQVTPREEILKSFRPKITSFNNYIINVDSARAPKPSKKVDKSNKLTTSSSNNKPTILIKP TPLSSLFIIKDHSKRPTSSQGTTKLTSPTRGASFLSKKNTERFHHKMPSLEQSAIKELDD TLPPNLTNELLITRPQYEVKPYKRIEFYKQHTSRQTTSTYQIQLTIPTRPQIREPSKNTI TSKMDVKKISNTPSTQKIERPSQKKILAPEIQPTVLNIKPIGSTKNLTNDNDEDITKQEE NKVLSFEGDEPIKVISPQDSIIAKYLETHPMEKLLEAVERIKGKLRKYVQYSHNRKIEMQ ERKHQHSPGRVIMSNKQQQLNRSNTLIDIVEKKLLENEASKIIYKFFQSSNTMEWYPVHF YDKLFTDFETSKWTLENPRIRGQFLHKSSKTDDCQQINLEQIQNILFNLQRHSTLQVIGS IEISNHTRKIQFRFIIDTLVEHYKDIQTYDDMMDLFDQLLNIYLMQEDLFSEWLDNRKEQ TLYRVSKGRVSQAGQKRQSINIEFTKERRIELQDNMQFYQYLLSKVLFLIKRMSYIKTMN GYKFKSVPQNQQVSIQQYNNQIYYKKLERISNYFQYLDCEIQHQRSKPILSQKRHRKRNK DRHLFIAQERKQTIIREATSPAQDDESQQHSQRGSPYISLKDTGIIIGNELQSRQLILGG ETPLIQPQLKQPGKSNWKNIAHQEAPPQLIDIFTLETQDYLQRYFPEFRLNTPPIDYHPS PAPNIKKLLTESQYHFRQEVPSKKYVKLEYKPIHLENYLILTQVVKIDQQFYYLTLTDKL QLKQIFGKDLWEDQLEIQLKELINYNVGRTGYIIDLIELQNILQSKLQIVNCRIQIKQDE TDNSYQKKLNKILRVNKRLYQVIQSIEFIEEGLKEMSQESNSQQEDGVELIEEQKREPLI DIVFILGILNNNNYVEYMNYLPSCYLLKNSNGKFMLQDPEQPRKPKFPYRLILSHEDMNK HISRTNEQTINAKDITLFPHYNSVFLIRQQQFIYKPIGVKLRILTDLKKLHQDFRNGQSK AHLLIYYNNHKKIFLKLNSEQTEKWAHLFQIDGCMRWHILNYKTKLKKTLVGFKLYQNEN RVSQSKDPRFLLMQDKLTQAKQYFIQTKIKSIGCVFVCVKIHSSIMLMRIMPAGNKSKSH LFIFQIDQQDPIKLTYTLCKQFILKTTQTYSKLELMPITKNQIRKQYLLSNQYHDNNVLI GQKSYSRVVYKQVKKIDKSYFIITVTLIKNYFQIYLYNQNTCRRFYFTIHRSDFLIMNQY FLDSIFPEQPHEVVEQFFRPWKLNEILKIYSLIKKAPETFRNRTQLYLQQMSQTKKLLKR SATSNFSSASVIQRQSTLQLNQLLEELNEDKLNKSCWLFDKLLLRKSNSVFENKLWMEII KQMNINQNQIVLDTFKTVLSELVYFNDRTCNFLCYIPCQEIQQSFRWQPIRLRIHSYDTC KTIDVPLNIRGKQVQVYKLGSSLYLNYQSKQEIPSNQEIMKVNKNNDSEYIKYQLLYKGA FMKHKMLFITIYLYNEVFRIRIFSQTNSISRKLDVNQVELKIPYIRQLLILNPYEAGRRL SIIYRNNFIHASFLKL >CAK75840 pep:novel supercontig:GCA_000165425.1:CT868219:154512:157197:-1 gene:GSPATT00011440001 transcript:CAK75840 MQRVKRDEQAKEIINVLLAEDAPIQRIALIDLLQLCNYQVVACETGIQARDELLKTENEF DLILLDLGLPEMTGLELLQIIKAIDKLKDVPVIMMSGDDETETVAACLNAGAEDYMVKPV NFKKLQGLQTFVKKKPKPRNSNQNEKGYYTIVRNIGKGASGSVELVRKSTDQELYAMKVI PTFFMNEQERKNAENEVSLLRVLTAPTIIKYYESFTENESLNIIMEYAEGGSLTEKISEY QRYGTQVPKDQILAWMAQLVIAIHFMHSKNILHRDIKTQNMFLNKESVIKLGDFGISKAL GTHANFAQTFLGTPYFMSPEVIRGQPYGKKSDIWALGCALYELVMLKRPFQHDNIQIIFE MIQNKPYDMDQSVDQDLQLLIEKTLQKDPNNRPTVEDLAAIPCIEEKINQFYKDHPNETN LISVKRLQLGPQLQPETTEQVQDENYIAISADMIEKIQLRKVIYGFVNQIEYIGVLGQDI FSYLQNTCKNQLEIQQIGQHLLESQLIIPLESQKDLTPNQYYSFPIFLQFIAANNYQKYT GNSSDLMEILDKLFKKFKEFQKKFVDKNTIKEDILEQGFKEYFQLTHLTTELQKSTMIDY SQEKKLAAYVNIFQLMRFHQSLTEYFVNKLQKKGVKEEPKSILKTIIGSILPPHPKKVDF AYSINKLITTLPQIKHGMLRKNKPAPNFHQLPNNDPRILTLDTRGLIFIFTEEYQDASIG LTSELQYLEEKSVQQYIQCYIKQFILKYVCLDVIEQEIIIHPLCQTYLSDFGSEKQLFQW LLERMDDGYNKEKLLNQYDQQDFYIRFKNPNDSTKQLKN >CAK75841 pep:novel supercontig:GCA_000165425.1:CT868219:157891:158957:-1 gene:GSPATT00011441001 transcript:CAK75841 MQQTNYTLNEFMLQGQSIQEQDPNKCILKESAEFQQFNPLGFSLFLEANCQQEVIAKQLF AQILKMIEDKLLELEQRLDNREEIKWINTQLIQFKPPDQYSTQKQNDDTQSDQIKQSIKV VYLGKFSQQSDIDIYSPEAVHQQDVQLIKSQKPLRSRSQVGRANTELMRTESKTKMNEEG ILQKIEQFDKKIKVLTEQVNKMSQKETQFSKKIEQQINVQLKDCQDRLIQNEKLFKQVYS TNQEITENQRGFLTHLQQVRQEINCFSQDLTRISDENKITVMQIEQNYLELQKQFGEQKN ELILQKSFLESIDNDFLMILKKFKDLQNDLAKKKFNSSQFQQKLLQ >CAK75842 pep:novel supercontig:GCA_000165425.1:CT868219:158968:161163:1 gene:GSPATT00011442001 transcript:CAK75842 MGNFVKNQEVIQRNLDEIIQSQVQESPNILIKQSKIGNVEIIKLNMQIIEVELIYDSVDK IQVDLTYSSQVNCSLSFYTYITEIKGSKSQRFERTMQESIIQKFKCPQGLNHQFPSRFVE FMITDLLKFNRIKATPEVSHHTLIIEMKSLTSKSFQIIYFYRIDCNEQTFRCELINTKQI IVYKNRMYEIHELYGVKNTPFNPEWNPNTIEDKECVICFCNIINTVLLPCKHMCTCSICA DHILMSQKVKQCPLCRIDIDNYLTLEIKDKVKQDLQLRQFQEEQQKYLEAIKEKKDQQAI KQSTIMEQLKSKVHQDQMQKLKLFNDIDDFQRNNQVVEGLYNNEICYSNEENLSDRDNNQ NQNSFHDKIKQFSEEDNLKAMQFSEDDKQRYSSNQRMSSYDLKQERGIISSFQHSQEKQN DQQQQQSDDSDVSQEFPQISSSKQQQYQYSFDYQNYDEQSHYNSQQQLTNTDRQFVSKQP QQFNQGTDKALPTIPEYFKESSDFFQQIINNDKDDNIKQNNIGIQQNKYLDEFPQIQSQE LNKSQLSQQQQQQQQQQQLSFRQLKKQKNQQIQFSQLQQNDYEQEDVLMNQFIQNDVYKE AENDFQQQYQFQNDLPQEQLINGQVLKNRQQDDEVYNNCIQEVDVNKQQEDNEDFGLVQK NDNLQQIIYEERSSQNQLQQQIQEEKNYACNQEQKNEQISLIVFD >CAK75843 pep:novel supercontig:GCA_000165425.1:CT868219:161195:161468:-1 gene:GSPATT00011443001 transcript:CAK75843 MKDPICLAGDQYENVSLTNIDNVVQELEKRKQLMQDKQFVLPTTQCFCFPQQGKKVIPQR QEGFLEIYDDDEEPQQQDAVEI >CAK75844 pep:novel supercontig:GCA_000165425.1:CT868219:161515:164814:-1 gene:GSPATT00011444001 transcript:CAK75844 MGFYNQQLFFKTDKMRTKHLVLIGVIIMQVCFVQALHYDLTTLLDQQNEVDCENTDLVQM LEDFDQWSEIIELLQGGQLDAEMKQLQELSDFTNEMISANPNDLMEDQQYDEQLGQLDEQ LQTIQNQYNGPQRKFLFEQSRQISDQIRRVIESRSFEQKRSQLAMLYGLIEYLLKQISRV QNQYQQTTQPQPRAQPQQVQAQPQQRIKEQKERFIIQKDKCGDRYQQRKVSKQRVVPGNY RQNAHPVPEEAGCDIPFPQQRQPKCVVGSDIILTSSISADQPTVETEVKKLKDVNEYGFG FWMRFLTLYPEQLQTGLTDQSYFVAKLTKNQQDGDDKIGDRLLTIFQTQEQYIFSAQHDK PERKEATAAIVFGDIEAVWTYVYYSYSAFEQQAIGFYKQSNSQLVKQVTLPASQGTPQYL RFVLGGQYFNFPGFNGQVSRPVLAIGFGTYLINEQEFLQYAISCNPQPYVAPQKLIPYQF VRDSKYVDIEDNNAPPTQEFIDLLLPDEYAVQGWFKWEETDLQENWHTMFRLSNTPIRKQ QILLGERVLSAWLGKPKGGQIHFSTYSYANMKRIWQSQCSSICPTLRSTFTLAFYQRKAY AQVLFKHVHAKSLSFVNVNHFVSPKHYFYFGRERQFPVYSGFMAYLEVFFCKGSYLTNVK PALRPVPTPPPPKKRCVEGPNRIINAKYDKGPVVHVELHKDDLKDTTQYGYGFWFRYTGL AGGQYEGGRPDWSLIARLTNKKETPKDIRDGLLTIFQGKVGFFYITANNKAKKLVELAQP FGDIEGVWIYTYFSYTRYKAIAFYQIENQAPITLEAKVTHPRMEQLLFQVGGKDPQNRYY SFNGQFHRPVLRLGSGSFFDTLEEYNPFCLSCNPQPQKDCSSKGLIRAVSAKASQFNGNP VNSGDRFGTTEQYSVQGWFKWNGKTSGKDQLLFRLTSTLAGEDALNFDTLSCYFDTRDQT LNFYTYTYTDQLGSGNPEVRQIVEGKTFVKDWFHIYFAYSRKSRQADVIVEHSQGKGALS FKNVNHYVAPSLILYYGKDQLTDAFQGFIQGLNLFAV >CAK75845 pep:novel supercontig:GCA_000165425.1:CT868219:164961:165332:1 gene:GSPATT00011445001 transcript:CAK75845 MNKHMYRHCPYNFLHIFRGEDMEQHIITCPDKKEPDVPIQLEQNNTEIQYLQGDPNWGLK NPNNMAIEMPINNNQVQQDNCLIDQFNNLKLQRQDDIWDQLWKHQNNNEDDFDMVQ >CAK75846 pep:novel supercontig:GCA_000165425.1:CT868219:165891:166874:1 gene:GSPATT00011446001 transcript:CAK75846 MIQTNESNSFLDFQEMEQESEPRTSKKVRKNPIQNDEDSWEEDFQYISQFRSSFKTRGKQ VSLKEVYLEQIENDPIFWKCVPHPKLLEYLEIHHYNDIYDYVKYCNKKGLLLDQPIKKFF QEPFEIPKYNYYQMKEIHEKNYTQRCIESLVDEVKKLKISKVSEFPKTNNGNIIKFYDSE ALKEQYQRQEQIKNKQRELGRQIKERKLQNDLKAQELKPIYEEFPLDQPINEETIKTCIL EFIKFNFSIVQQFEPIKRLKDQSYDEDIDYDFLSEDSLQEICSTHPRERKNFYTINFAKR VQVIQVVNLFSFQKDETVV >CAK75847 pep:novel supercontig:GCA_000165425.1:CT868219:167137:167561:-1 gene:GSPATT00011447001 transcript:CAK75847 MNQSAPKRSSSFGKSFNFPSETSQKESNTSIYLTETKTKKVDRSINVPLNSWREMSLVEL LNEAIELLQENKSNIIDQQKFKRLITQYENFQQTNEKKQILFQRRSKIIKSNIENIISSQ NDFQNYLQGVKKL >CAK75848 pep:novel supercontig:GCA_000165425.1:CT868219:168322:170031:1 gene:GSPATT00011448001 transcript:CAK75848 MDKSVESLFTSDFWRQVNQQYFMVETPISLSVLMLVLASHLVSIQTQGLIEKILKPSKKN RSQVQKLNDNNLRMHLSLMLMQREKSFEPIQELIDDMIDKICEQELRDGLVSNKALLKNM HQLPLEKQMEILQQEAQILQCIQQQIDWPDQLEQVIMELEDDLIRVQDKNIKQLNNLAGH LEEINKQLLYEYTIKQISQFTLRISRNRVQHQQNELENLEKLIIHYQDYQAAESMGKAIY NPETNLVTVTFDSFLSRTPIDLKLDQFQNFQRLREVQLELSVQQQEIQEEAQTMVSIQTE MCHSCRQMIEITNLQQCKYNHVNMNLHQYNEEILIQQRYAITQKQMQQFYIDLYSANYII ENNQIQCQKYFCFKCLKYEFDQYDISEPNWICPLCKGLCTCIRCQRTDSIYKLKRTFLEI GGNLDTMYQQSIFEMLVENKRKLIQNIPLDFINIQKLQSENEETLTPKGLCQNKNRINKK IKKKKSSETTIVGKTQSLIMDTSSSSIKIKKIKEYKRLIPNESTIFLQ >CAK75849 pep:novel supercontig:GCA_000165425.1:CT868219:170087:170785:-1 gene:GSPATT00011449001 transcript:CAK75849 MSLGVDFGQYQFDRRLKELQDRVFTLNHRAPQGYNFQGMPPREPQHLNNQIFYNPSGYPL QQPQTFVPYMQNFNPYQQQYMLDEKSLQKKKEEKEEKERKKNEQRQLELKQLEEQLIKKQ ELMISNMKKDILDYIDPYIYGQYHNYYNYSQQQQNYLQQQNQNLPIQHQNQVPIYSQSPP PINFQASQYMQQYQNPYVAQNFNGVPQQINNSQFSKIGQQSMQKIDNLLTEI >CAK75850 pep:novel supercontig:GCA_000165425.1:CT868219:170835:172335:-1 gene:GSPATT00011450001 transcript:CAK75850 MNIIFKLIKYLLECVTMLFEKNRARQKFLRIIEKEENYEKWQQLVKEHDQQDYIQQWLQK EESNLYQYKYIKSLSQKLRMAKQEKNIPLICQLLRQNANRNIGNILNPKLYSHAFTKTKN LIEEFQEEYEKCLEFLFNSEFPNKTQFFQELQKAIGQTALLFSGGAIMGLYSCGIANILD KQHILPKVMTGSSAGAILVSLVGTATDIQTIFQPKYYDYSMFEQKTQFDILDKLSRLLTK GYMLEKEQMKQFLQKAYGDVTFLEAYKNTGRIMNIMVTGKDCSSSDCLLNYINSPNVIVW SAVCCSCSLPGVYGASHLYYKNEEGEIFEGEIKYVDGSISADLPMQQLAEQFNINYTIVS QTNPWVFPFLTSHRSDHTIIHKITDKLVQFILGEIKYRIQQIMSIGFLPKMVCRMSNLLI QKYEGNITIWPKFLWLDYSKLLDNPDEYTVQRMKVEGQRRTYEKLHFIHAATRLERCLSK YLN >CAK75851 pep:novel supercontig:GCA_000165425.1:CT868219:172578:174254:1 gene:GSPATT00011451001 transcript:CAK75851 MKQIVLFALLACVVLTHNDIKHVQELLFELKEEIHEQIVALDAEWVLQQKMKQASIQALR QTKTDQEADCDRRDENVINKKTEIQEMSDLIAWIEHRIHVNNNRIQTIEDLQCRQSLNFV DTIRDDKISLTVSVFVKEQLEKIVSEGTSFAQKSQAMKNILSFLQDIKEQKYEFLELTRT MEIEREENPNMIETHMGPIEMKKFQEVRNEILAVLDELEAHINSHIPVAQADMIRVGLAY LEWKQRILKENEFFEQKIVELGKQLENLRDQLLALEYSAQQCRERVKDIERAVEVAKNDG VLAYNDYVDEHQRLLKQLAIFAQLYELYDKEIDNKTTDEEKEALTQERNDHIIVGGDKNS LPPQYRDEPEEPHILGADESSLPPQYRDEPEEPLIVGGDSSSLPPEHREESEEDIHIVGG DSSSLPPEYQEPVEVPQLEETEDQEQLLIKKGPLKLREIETAPSYKRSDFILLQMKQRKT EQDEVIL >CAK75852 pep:novel supercontig:GCA_000165425.1:CT868219:174285:177339:-1 gene:GSPATT00011452001 transcript:CAK75852 MNTQLKKQLIFSYLYIRQTCYHHLNYLIVNLNQNVISIQIVCLECLLDISTKILHDQAIE SNRDSKSIVFNRIKEITLEAFSKQFTDEIHRLQAELRQEQALNDQIKREFLEREETIIQE FDSKQREFQLQQIREIQELQDLLEASETQLQKYQQQNDKFNKQIKELQSKEQQLLKENLL TKENLQQCDQRYKQLNSDINDMRSRNDSLNQQNQQLDRQNRDFKNECERALKELTELKRK SQQQMDLNIQLDQEIELYKNEIEKLKTKKHQELSKQKELLDQLKEKSNNKINELKNRLKE AQNIQQYQQEQLDEFQELIRESENQLNQLQTNHKQNLKQMEQQYTKQFQDLEQQFLVEKL NLEENLTISFEQVVIDKDKQIQDLIKEVKILKDKLYQQNLEQEKMQRQQQNLEQEINQLN DELNQQTQDQQELENKNRNLELDITKKDQKLKALLAELDDIKIFQEQTLNTIRENQDYIA EIDKENQQYQQFLQHVQHLLDIQIQGSFSLQRLGQEIEQKLRQHKDDIKRCLDEIKKKEE LHNIELQEKDQKLMLLQNNFNEENNKIQSQLQEQQSENRKQRNEFKLKFEEQNKIIIELQ KDQIESNNQIEFLQIQNQQIIQDLESNNDQLECAKQEIQDMIKKDKMQSDEIGKQISILN HEKNQLQVQLEEYKFKQKTLKQRIIRTVSRQKELTTIQLIELKNTLINKYKQLESDCKLI MQNLYKKQLMITENKLQMIENDKQFEIEQLNLEMEKKLDNLKKQFKQSEQLIYEEGQIKL KQKQQQIEQLIMSKANDNEFKTQISLLNKENEEFQKQLQLQEQLFIEQKQNYELELMNLN KLIKEQQEELISQQQFSEYTMNKERQYFEQRYQELKLRQEKKVDSIQQDYQLQITQLENI IQQPTKMKSQSPNRNIQKSPIQNRQTTQLSNKSQSFGSPNINTGIKTPNKTVIQIDNTDK TIEDLRLEIQQQKEKLSRMKLTFTESQKKPFKRN >CAK75853 pep:novel supercontig:GCA_000165425.1:CT868219:178407:181318:1 gene:GSPATT00011453001 transcript:CAK75853 MLILLQQSYSRFFGLTNKLSSQKLKVIILPHSHDDVGWLDTVDEIYLRGPGVKNIISSYI NALLENKDRKFVQVEIVYFERWWNEQNVLMQQKVKGLVENGQLSFAAGGLVMNDEATPYY EDIIDQITYGHEFLFKTFNFIPKVGWQIDPFGHSNAQSILSHLFGMEASFYARIDYQDKE QRQKHKELEMIHVPYTTSKQNYPILIHVNYFHYSSPPRFDFDPLRNSGGYVNDQNVQSKA DDLNQYFVRQGQSYRGQIITHTLGDDNEWSNSKSYFENMEKVINYINSHPEKYNVVIKFG TPQDYVKEINEYSDEIFYPTKNDDFFPYADKQHCYWTGYFTSKVAFKGFVRYTGRYFQQL KTFVSYQFATKNQDILDLKQPLLKALKELGYALGVSQHHDAVTGTSKDHVTKDYVKILHR DLTTMNKEFHRLLKIVIQKDLDEKNIDQLYQYSYNSTSSECAEVYDNLQINKTILLTVVD TKVNEGDQDIIKIKVPKIPLNIIDTQNKPIQGDVICSNPKDEQDCTLYFNYKIKKGVQYY KIKQGKNNEESSAKIIASETFTNITANTKISLDNGPEFQLQYKYYVASTDNVQPSGAYIF RPSGGAQVYGSIQEVRVFNGTVVTELNIERSNVNTWIRKYKIQDNQYEVETFVDSIAKEQ NKGKEVIVIFKSEDIKNQKVFYTDSNGMDLQQRKIGFRETWSLQSNEFVAENYYPINGII QIKDHASHNVMAVVNDRSQGGSSFNDGEIEIMIHRRMYSDDRRGVAEALNEEEDNPQCKN SAQQNCSKVVGLRQNIIHKLLFFDQEKNPNLARKAQLYLDFQPIKVFAIDSQESFTENLE IQPTQSLLNQIQVVNPNGDAIIKLYLIPREENNEYLLRIHNMQEQSNVKISFPNDISTQE TILSGLRQWSEQQKLRFVWKKNEVQDSIYVPLDEVAPQQIKTFVIKI >CAK75854 pep:novel supercontig:GCA_000165425.1:CT868219:182512:183670:-1 gene:GSPATT00011454001 transcript:CAK75854 MGNCNVLGQKEEFQEAMQSIRNYEILEVLGKGGFGRVLKVRRKKNNQLFAIKKMSKAKII NQKSITAILNEKNLLIQLRHPFIVNMHSAFQDKENLYLVLDLLTGGDLRCHIYRNKRFSE QEVKFFAACIITSLDYLHQQGIIHRDLKPENLVFDDKGYLRLTDMGIARIWRPENSSDIS GTPGYMAPEVICRQNHGISVDFFALGVIVYECMLGKRPYVGKTRQEIREQILGQQVQVKR MDLPVACNSNLQYQLIQRKPINRLGTQNPNDVKNHPWFSQFDWNLLSKKIMLAPYKPKSV SKSSSSFDSIETPQDDNYAILRNKTLNEQFNQYCYDPESCMQK >CAK75855 pep:novel supercontig:GCA_000165425.1:CT868219:183737:186431:-1 gene:GSPATT00011455001 transcript:CAK75855 MEFDQTCTQHPKQKISWVCLEKQCQQRVMCSPCAVKIHEKSHKLEELSNLLENGVLQTYQ SNSRNVGLGLKYLIKGESELIQEENRIGNENTYFQSVVEKNLKVVQKKMDVFKKEFLEKQ KEIKLSNQEERNKVINELEQLNQQLKVSENMGNDIEEAFQKIQTYVNHKEYEFKNNKEAE IVNKKIYLLNLMKDNYMKMIKTYFSNAFTIEFCKLFDMQMETLNSKEVQDVNGFLDYVDS KWKQDYKNLSVSIEKFVQKLHEGLKYIIQLPLQEEKGQLAQFPIQPMKQQVIVPQFASPA RNPVQYQQQPYFIPLTPFNQAPSQYQFHQQQIPQSSQRVYQNQNQFHSAPAPKCEVENDY FAQSQIIYEEPHVRNNTRPDFVNQKTETPIKKKISIEDFIKIQQMGDGAYSTVYSCQKKE DVQKGNSNQCPVYAIKIIDKNFMKLVSIISSSQNKKQHHAYIEKEMLQYLKYDGIIKLHS TFQDKNNLYFLVELASDCFGEFLKLYGNQKLTHQIIKFYTAEIVSILEYIHSKGIVHRDI KPENLLITEEKHLKLIDFGTAVIYDQEKVPQKIVQAIENYRKDFVDTERRRQYSFVGTNA YLTPEMISDKPVGPGTDLWALAIMMYKMYTGTIPFKTESKEDSEDLYKKIVTDQIIFPQS VPQQAQDLMKLFLEKDLNDRLVDYSKIKSHPYFADIDFNSLWQMNPPQPLQIHRDQRKSK TFVQEPISKIIYQEMVEKDSGFLISYYSPRLLVLQIINDIPSIHYTNPKLNDKKTVIHVT QFLKYQLLKQDKFVIIDEKQKYIFKSQNAKKWISLIGETLNNGLK >CAK75856 pep:novel supercontig:GCA_000165425.1:CT868219:186542:187267:1 gene:GSPATT00011456001 transcript:CAK75856 MDPNHSRKPSQNARALTLADLDQQSISEDSAMDLDQSQLHRLGIDISNIQAADVTQNDEP SKSIMHFSKKNSDSTTNVDLTQHIFQFKQKIQDLQLELGSDRSDPYEISIHSFKNQEDNS QNKGLCVSEALGTNINSQNQLKNQDQQNSTSYQFKAPNTSLSSGGLSYNLPHLNSTGNKA PLNANPIGNLYEQLEYHRLKFYDSYMPEQHKVLNNPLKFLKYKLHQQNRYAFDHCLDCLI H >CAK75857 pep:novel supercontig:GCA_000165425.1:CT868219:187678:188669:-1 gene:GSPATT00011457001 transcript:CAK75857 MNQQRLLYYHIFYKHTLNFQNINADISTFFFNYCFKLIRSNCMASIEQLSKVEDPQQMLK DDSSTSSHGSDSSDSSYDFAQDLNQKPSSKRTPEEEKELLYKYRGKHGLKYAKISNEQRQ KLIKQVSTTGCTIKSAAKELNINFSTAKAIMQIYRKEGRTSKKIKRENKKTLQTKQEILN QTTSDKPNYGESLPKKADVVQDNLDTNLYLQAEETNRSQALFIQQLNTQNLLLQGRVQQL QQEKQQLTQNYSQLTYQYNQLQQMMSQMMPSYYRPYP >CAK75858 pep:novel supercontig:GCA_000165425.1:CT868219:189017:189984:-1 gene:GSPATT00011458001 transcript:CAK75858 MNHSNYSDKHRRSVSPILKTTSEDRKMLHHNKENYIQYLEQQLEKAATMVHKKYEQRMRG IEQLIEDHDEKLKNFIKLIKLLQNFAETQEQENGKITRHINTKMEELYHNELRNVNQQLH ETQYRLELLEATQIKDQQPAFEQFEKSINRKIDVMMSEIRQSALKVDYQKLEQRIAKLEQ QPDPQIKIQDTERQHHDKIVEQQLSDLKLLMDNLLKDQDVLKSNVQNLHSDFNHQKVLSQ VQPQRQSRKSVAQQSEGESIMKPKLSAKDNLKTDKKNNSKKSLSRSPSNKKPIDKKNLKL NQTKTSKRGLHQKS >CAK75859 pep:novel supercontig:GCA_000165425.1:CT868219:190036:191018:-1 gene:GSPATT00011459001 transcript:CAK75859 MDFTGQFRLDEDSLNFNVFQTPVKGTIKGIKSQHLSHELNQLIHIQTRTIFGAYTIIWWQ IYIFQILKTPAILKLILIRNCFKCQFNSPKSLKKWSLKYISKRVEKELQNHTVGYSEICQ KLTDEMTKEMEGHQDYGLKDVKNLRRRVYDALNVMISVGIVVKEKKLMRKNTKNQVNITK QNLIIRKQKLKELLLQKKEQLTNSIKKQEALQNLIRFNKERQINEQEKIKFPFLLVKTQL TNSEEEELILESHKSMDYLKVLSKNKLQIFGILGIAQQLFQNQQTKN >CAK75860 pep:novel supercontig:GCA_000165425.1:CT868219:191217:196318:-1 gene:GSPATT00011460001 transcript:CAK75860 MKINQYACISLTYDYQKKGDIPDCKDQWIILNKAQCIKHKLQEYEPIVGPGKLDSQNTDN QFTNQTPNSSSIFTIINDKLLIAFKQKILQYDRISDLFSTDKYQTCLPQQQHTVTSGNVI SMHPNQNQLIVRTENAIILYKFSNSNFSLQKTYNYSNIKQLNIQNNVLFLCLSDRLIAIN LINQTEQILKADNNIVAGYLKDQEYYYICENSYVVKSLQRNYELAIPIPIAIKALFLIDK FICVCGYQTKYEPYDDEDEEPATYSSHVFWFDITCNQPIKESSHREVDLIVDTNNKGDFG ITQLSDLYIVFGSNLRVATFWSLDRLCQQAKRYENSDEILELLQHSEIRGLVTYKSNPFI GFGMNQKNFRCIERNPNVCIFDKKGGLQMYEFFNFKKIVEYQINQPQPQNSIFQNSQNLF QNPQLVSTRSQKFQKGLDDIKFTVIECEKKEMNIIANPPFNLQLITQNKDSQMVFGKGRI DYQFRLHQNQNPSANLVIDYQHFQIIGHEREIVILTPIIVQQILSEQQQPKLQIQKVTSN PAELINSLFLFQNKILIQTNTSLYLVNYQDNQWNVKLLLNHNNITRVQVLDQYLLLTISQ DGVQQILYEYKKDSLQQKVSHRSNAGCLLQLDGQPQLLLIIDDQLYILKDFVTKQLVQID IEIIQSKEYFIAQLHENHIYLSFATIDEYEFNHFILNYDAERNQAKSELHLNDVLSNLNI NDIQTQITNFDWNITSINTPIAKIIIIFPNGVQEGFVFQVCGNDLRTIDNEKGEPIQLSS YTHFVKGISTLKYVLPNEEKLGDKPGLCKAKQEQKEFQYQMQPSILIYEFDEKSNLTIHR LLLIKLDKLDDSTPLLQLFEDKPNPQKNSQSEQLKTPDRQQNEISKQLINQQPQPPKRVT QITYQLSEFCKQSMKQLNGEEEEDLLMKPYFSIFTSQKFLDELSAQYTQIHNTRKLMKQK TLESSSVQDFCLLRKSFDIPKFKFSYDEIQTTLASLSKVEEQFSWICNFVINTLQMNPIK RNKITFGEFEFPSIHHITPKKQPSNSKTKIGRKIVFSEFCDQEPKTDLQMAFIEKLCQAH KSNVKYLQLGNESSKEINDLSLPLNAMSTTSFGIPLSRFSQKQQIFDTLYESNNYNQNQV SNSKDQVKTIQAQTENGSSLMGTFKGQTERNQKIEPIQSQRKDKSSDTSKKNNKLFDDND KGLEKKSDANSQQQLFDINNKGMTRTKGGFLSQESDQESINPFKSDFQSVREMNMVESQI IDPSKQKDNLKESTKPLFGQPLKTEANQEKAIFGQPLKTEGNQEKSMFGQQTLNNTTGLF KDLKLDDKSGASAQNSNILKQQTPNEKDKTNQDKPAVESNIFGQLTSQQTQNSNKLLNLN SNENPTEKQQQKPSQPPLFNTNFGDGKLLFSSLTPNVESASSFLGIKTETKDAAQNEQSK KKQEDSSLLNSKKESQSLLQNLQKPENQEQNQIPKTSTPAPAPAPVPQQTTLFTFGQPSE IQVTPANNVTQQEPQIQPQAQAPLQLGSSMFNSQKQDVNFNTYSQTQPTSLFLNCSNQPF QGMQNSIGIQQQSQPGPLIINSSTFGSTSSVFDQKNTAPPPQQKINFSNLQSQGLPNTLG GFFGQTPQTNQVGFLQTSSIQFGFDPNQEKPRK >CAK75861 pep:novel supercontig:GCA_000165425.1:CT868219:196437:197941:-1 gene:GSPATT00011461001 transcript:CAK75861 MGICTSQNEAEKIENSEQDYQKLDYNRVSTYQKLENIANNIAAQQQIDTIFSSLIQRMIA SRQTHILKMLEKMDSKKKQQFMTKLERIDFEFIDSVQKNINVIQLFHHCYKKNQPDEFSC NVIQSINSQEQNLDLIKKHKVGIVFLCGGRSSRLYDKLLSDIGLPSKKCVVQIMMERLKK VIMIASENQEIVDYPIAIVLSDQNSEKFQMYLKGRRDFGFQSITFIFEKSLPVIDIKGQV VFEQENQAFMTPEGTGSIFLQLNSFINKFPNMEYIHFLGLDNLAGLPLDPQMLHLICQQK GDALCKVIETNSILDDRIFYSAKQFQTYKEQDKGVEESSQNLAQMCLNDLYLSVSFLNTL KQNHEKALRLNQRYHTIKRGNNIQFEKHIQDIIEVTDLTILHQTEDYALLIDDPRKAVIQ LSNAHKRILKLEGTQEKDLVEITPQMSYCRREDLKKIENATYPLII >CAK75862 pep:novel supercontig:GCA_000165425.1:CT868219:198585:208411:1 gene:GSPATT00011462001 transcript:CAK75862 MKIPINILEQPKEIELRMLEFILQSSNFLDDLMKLVTDQSHPIHKLSKGSLCLIIDKLDQ YIQIAVEQIQKNPSFKCHMLLSLINCVLELECYRKLFNSLDHLFNMLEYSDSFLVYEQIM LILIKIYKQPSNLNNPQQKDVCNQQQIEYLPRAIYFTRILLDHYNNLSFTKIDLLDYFNQ DANYQTLSEAPIEFPKLVLEYMEPTLMNESYQDLCKNGIHKKELHQPIQIRNFEITDVNS ITALALSQTLLNAQDQSYCPLLDAMKYRILICKGFQQNKIPTISIVVVLHIQSLLMYMLL TQFCHINNCGELLDQVFEEQLEYEHHFKIYMKLFQLKTIDPQLLSTLLLTLDEIITIERQ NENEVAFNIAKNYDETFLQFIYDVLSMHPLQIETEQSYPLQYFPTLLSEQCAKHQRLASS IFQIFSSEPGVLLLSRTNIALGSSSALIKALYLQDNKMLLPPESFKAAILLLSRLSRKDD IQRDLRIFNDLIKIVENQIKCNIDIQKPTQNGYSNITLEEADSVIAVCIQSINEHFRDDL GRRLNRVNAPSFARQISESIIIKQLQKLFENFPQFIGSIQQTLILISHLANEVPTLIGRL IDSNLPQTLNEIIQKMSIDKINYKIMTAIFQFYFNISLKEEGYEKFNKSGMCFLENIVHY SLIDNLNSPREQLSGLAQCITKYTQKIDKVGPQVCEILNQSVKKLWMCLNEKKNQLTISN IYTQYFEIITQIQNLSILSFKMFHYPHYTYLAEMNSKGFFDSLLMFFEFPTFDFKNDLLK VFRWLTSVDEYENPHKILKKTLLVMDKWENSINQTTHTVNVLYYNAQKLFQSFSQQSIQQ NLQMASFHSQIECLLEILKLYLVNSPQINWEKTDLVNLHHKCCGLFTIILGSVIFNNDDN QNDQTLKKSVFYSYIQSLKHVIVNSFNLINRKNSELNTLIALFNQMCQKLQNDNIQIVIL YISQIDELLINLFESKRVPENKYLNLDFINLLLKSPFTKCFQLAIHQYTNMTLQNQNDQL SILSKHFSSIIKILYINKFEKQHSEFGYSLLPQQISDIQNMLQITQFSNSDEISNIQWIL IEKVMMILSPTFLQDLIIKERERLNFFTFSSFREDFGNFNIIQQNDSTQARDQLIGMGFS DDAISLAFQNIRFPDVGRAAAWLADNQDKVEQKRQQMKENQRNVFSVGSISEIIQHKQQE LKNYIKTNILFFNKFEETLFKVFDGQMMPSLLMCLKQAVQEQFKLQLRFKDCELELKKPL NNDIKLIISVLHFIYKQQNLVQEYDYIVIEMMKLIQELLKYEDEQIIRAVNHVLAILTLF ITDDQKQKKYNHDILNEILVGVLNILKGKVQNHTTSKICIEILVRAFQVNRESVHKFVYQ MKGLEYLLKVKGDSKNQLYSLTKLVLSIVHDSSIVIAQVEAKLKKIIYDQEYNKEIENSN KQVQLQQSLHTPQHNTYPSCFKPIHYNIQIPNEIRLAKDNLALTAIQNQCFYSEQIKFVI NSLFEDQPNHYVLKKGFHLFTLDCLEPVSFQKYKEPESAKRSVKTSTKKKKSEDKMQLQS NFQHTQEAQILIKLLIQQMIISYFDKTEPYQFQWNIICQVLQVLIRRYPILIPKLVRINC SKFLKPYHKSLGPDYNETDFPRKISFISLITKIMTPIKNLLFELCLDNVILNQMSNNSTA PFSIEIRRKILNDIYEGIEKRIKSLDSNFCHLSDTLVFLIQIKSVAKICFKNVNEPQNSF NFVKLYIEGMKNFDLKSYYNYENELQFLNETLAVLFNVAAYLLLFKPSLIVIPKQIQEQQ GDHFQLQGMVGQLLPEDINCQLIPNKGIIYQDPIKYFIKWPLLPISFHEGLNQTTRQDEL NLQHIWSPFRRRNRFLNMEILEDIQDENDEFQIEHDDDDDNYIQSVESRYDSSIIEESAE EEFDQEVNSWVTSQSGNNQIEAEDPQNANSSWTDEDDDQIGEDGQDDDVEDSDDSDDDNA SNSELGGLNQEEIDQEILERNVQDLNQNMNQQHNFAQNEQYNQGLEQNKGTVNHYHHLTN KEDLTFMKMTKSIIQLTGIKFSKQFLKILDKFNFLLPEKLQVGDLQLINWWTELTSKQTI PEERLLLQQDVRIQPLNIFRERLGIDFLRIDQDDRLLTRVQQQPHARTARNNLPQIIREF PDRQSQFDILLAQQRQFQEQFGQQLANLQQQNVQTIQSSNEDQIREHQYQQPNQQNQQQQ LINCQNHPEDYGIQLSQFQELTCGSQSNQINAEIEIQQQQLRFEENNQNVITDQQEKVEQ HSSQQQQQQQQQQQQQQQQQQQNNQNYSQIYPKSYNVLKRIGKTFEDLIKNDIDPSVFED LNEDMMMEIALALPYEIDGIDPQFLASLPSEIRNEIIQQYSAPRNQTPQQQFTEVDLSQD LQINNNSQRIPSQQPRSQQIIPPINVPQQNPNNLQHKINSRLFQTQRHIISKLGTVEDEF TESLLSLLYVESHSFINFPINLFISLCNNQNVEHKLIDTLFLILKTKKLQDQERLFPPCY LVKRNGLVRDFSKIQSVVSLKVLYMFSKLKGFSVNYFFENKKQHISSSEDVLSFPLLELI QLLPEFQGNHQEMLIQAITNISTKQKDFKQDKVKLDQKSVDCICKTLLSNMGGIVKNFSN IIISLCNNQENQLLIVQYIKEYIEKTIREINEQFKRQQQYDEIFNGDKALINVFQFVKEI NAKGNEGNNQQDVRLNFQELLDNKELIELWKNMITFLQQIPQQQIIKLTPKISPYLECFF IIYQIVNPIKKIRLNQKESKKIAMMEEQYEEIKEQEIHDELFQKICESGKALLNIMLKER FQEYKEKGKITNDSLGIIIQKNPRIVDFENKQKYFKIELKQLKLQNNKHHYANIAVRCRR KDIFMDSYHRFSKLKPEDLKGKLVIEFDGEEGVDQGGVTREWFLMLSKEIFNPNYALFTP SLNGQMFQPSNKSHVNPDHVKYFKFIGRIVGKALYDGQLLDTYFTRSFYKHILGQKLTIH DMEDIDLNEYKSMKKILEENVNDWGIYWTYSVDNFGKWEERELVEGGRQKQVTEDNKFEY VQTYCYQKMAKEIKDQIEAFLNGFHELIPQNLISIFEWKEMELMLCGLPDIDLEDMKENV EYHGYDREDKVIEWLWELLESFDESKRAAFLQFITGTSKVPLGGFKDLKGINGLQKIQIH KKHYKNFELPTSHTCFNQLDLPCYPTRQILKDKLELAILEGKEGFGFA >CAK75863 pep:novel supercontig:GCA_000165425.1:CT868219:208546:209673:-1 gene:GSPATT00011463001 transcript:CAK75863 MLQPYRGSSQPPRSNQIQNYSRQNNQQLAPFNMFQEFDSMSNKMMQHMDRQLANLGFGSV FKGFDDIENEMMEFSNIHRHMAGLNQRDVNQHSKDGVFQVYSSSYVQSQKMGPDGRVVQE KYFDNNAVARGVNGHTISERQQGYKNSDGIDRFGHERMMNDKGRKHVRERDRTGQVTTTN HYMNMDENQVEQFENEWLGMGRNLGIQGPQGGLRALQGQQNMRDLNNHPFPKEQIAYGTP SYPRNNDIQPIMLGNNSNSYNNNPGRLALPQQQQQITRSAVQQPGIQSRVPPQQQPVRAL PARKQEFTGVYQNKGARNQHPQAG >CAK75864 pep:novel supercontig:GCA_000165425.1:CT868219:210331:211298:1 gene:GSPATT00011464001 transcript:CAK75864 MIYNSNLQLKFKIILVALCFLPIQPTDYFLFQTLVLKSVYISIIDQAKEILVEEPNIVSV RAPLTICGDVHGQFHDLIKLFHIGGRLPDTNYLFLGSQSVETFSLILCLKVRYKDRLTVL RGNHEIEKSTKYMGFYDECQRKYGTEIVWKHITDVFGYLPLAAIVENSLFCTHGGLSPAI ESVEQIKQLNRVQDIPHEGAICDLLWSDPEETKMGWGVSPRGAGWTWGQDITDKFLHQNK LKMVVGAHQLVMEGFQHVHQRKTVTIFSAPNYCYRCGNQA >CAK75865 pep:novel supercontig:GCA_000165425.1:CT868219:212888:213334:-1 gene:GSPATT00011466001 transcript:CAK75865 MNSKNTLVRNYSQIPTFIKTLNNFKTVRIRSLKNLSIQPVAKKSPFVNFSKPNTKFNSPQ KNKENLMAKQNELIINGIDSDSLHFSYSPSSCKKIQCQKQVFNRKLKQNTSKLDKKTQQL CSIYLERPKSKINNLFQNQANQPIIRIR >CAK75866 pep:novel supercontig:GCA_000165425.1:CT868219:213599:214019:1 gene:GSPATT00011467001 transcript:CAK75866 MKIIEEIKNQVEQEMKKMIGKCIEKRYAKLTDKLKQEFIIKVMQGGQTIRQASKDLCINY SSAKAIISNYRKSIGGGKQKYNLEPRQVKVNDKYDWKKFKILTYCEETQTNEYTMETFAQ NIKKSNQDKVLI >CAK75867 pep:novel supercontig:GCA_000165425.1:CT868219:214500:217756:-1 gene:GSPATT00011468001 transcript:CAK75867 MQTQDLGQLINALQLTYGASQESVNSGEALLKQASMQPLYAISLLKIVDDQTQQDLVRQS AVVNLKTFLEKHWGEKKEPGHYVVNPEEKALIRATIIDALARCIQVKKLRSQYEDLIYKL VAIDFPKDWPQLVQQLVIKLQNYTSYEDLWSALLTLRRTCEVHQFLLDNDRKPLEPLVAS TFPLLEALIQKFLENYNEQSGQLVKVILKIFHHATHLLMPIYMRDFNAVAKWMLFFKTII SAPTPPELASFTQDSEEETRREKTYIWTNKKWANSSRNSQTKRWLIPDMADFAEHIKSTY AIGFMELFYKILTDNTQFQGPRTCLFALKYLYYSLKLDNTKELLKAHYDKLIYHVAIPKM QLTPRDDELWKNDPEEYIKRLDDFSLSTYNMKNPANDLLQEICQQTDANGNLMLIQFLTY CQNAFNSNLDPLTNQPLNLLKKEALLWGIECLVHQIQKIDVIKEGLEQILEKHILPEFQN PVGFLRARACHVFNEYGTIEFKNKQNIQLAVQGISKCILDKELPVRVQLQQSQDLIRPQL SQVLEIYIKLMDLIDNERIVRSLEEIVKNFTNEITPYAHQLAAHIATIFQKYCNKQNQGD GDSDDDGEAELAASGCLEAIKRILNAPLQQESYVQLEPVIFPIINFALTESGCDFINEAL EILNLMLYKKKQLTPGLWFYYPVLCYIIIGLPQETNVYALQGLTEEQYILLEGCKKDWGS EFVTQMLGSFRNYIQKGGSTFLTQNDFFGNSFISLIFRFIQKIYTIAENGSDETDQNQVT TILIALIENFPGQIDNLIPQIVDFTLLNLSKEKKTNKFKMVNIGVLNMCIWYNPQLVQNY LNSKAITDQILQTLLSMEKHYKYEWDISRLIFALCQLYSLPQIPNYLLTASSEIGKLFVR LSTKILELREEEESCEQEDQAEEEEDDQKKLADKIQDLEQDEEDDEDDDYDDEEDDYAEL YDSPLEDYDAILLMEKLILTLQQSNPQLYTGLFSQLTQQEQEQMTKNIKEAKEQYDEWMK QKQQQQLNK >CAK75868 pep:novel supercontig:GCA_000165425.1:CT868219:218911:220329:1 gene:GSPATT00011469001 transcript:CAK75868 MIIGESDLYQLIQSINKRSMFKTKFDLEFIDVCLKDVPFFHDLRKQVGETQYQQILRELQ YECHVPYEPQVNIGDMSTKFYFILSGKFLVLTRSYITYQDIIMNSELQGMHITIWQVKGA DSEILGFDSLRLPVPRVAFWRISDDVRSISHSNNTASGEVSSDSDKEGCLQKNQRQLVVQ IVDIQSRKQDAEKFALLSTVPMFSKWSAKTLRQLLCDISEINFIPNQLIYQQGDPVDAVY IIVDGEVQLFRQYNKNIHPISILGCKECFGDDEILSQFRSHSAKSINCVRLYKIFRNKFL DHIPIHCEGNNLSNHQSFATQSSVLNRPFLSNNQTQNKFSLYQAQNEELRVYRSESLKQF NSPAKVWQKVMNKKKEKSRTHQTISSTQQVHDLLRRNYRHSDESLQVQKVYNSQSPKVKF ANTPTHIDEIVNEGKYRIHANGKFDKTNYLMGQIKLRSITQSVWKKQLDKIK >CAK75869 pep:novel supercontig:GCA_000165425.1:CT868219:220470:220889:1 gene:GSPATT00011470001 transcript:CAK75869 MFGDDEKNHRKLKPLIVYDDITDRQQSMTSSSSSSSYLKKVNQKLTQSTEADNQIDNTNT TSFYTIISKYYDYYNNQPTQREKPQIPQNPVKKIERLYTEQNEQINNQQVRRKSTRKLEE QKLKNKKPNPSYNKNKQLF >CAK75870 pep:novel supercontig:GCA_000165425.1:CT868219:220926:221970:-1 gene:GSPATT00011471001 transcript:CAK75870 MFEPSSKIREASHAGSWYIGDGKQLDAQLNDFLSKAKGETIPNIKAIIGPHAGFSYSGPT AAFAYQHLVQKERMRVFLLGPCHHTYIKGIGLSELEQYETPLGNIELDQPTIKQLSAELK KNYVFTNKDIEEQEHSLEMHLPFIYKIFPKCKLIPIMVGATSEEQDAQVASVLVKYFVDP NTVFVISSDFCHWGKRFQYTPYNKEHGEIHQSIAQLDGQAIKLIESHNIKEFYKYLDETE NTICGRHPICVLLNIINLSKLQLKTQLARYAQSSQVTKPNDSSVSYAALVTSLI >CAK75871 pep:novel supercontig:GCA_000165425.1:CT868219:222153:224973:1 gene:GSPATT00011472001 transcript:CAK75871 MNFFRSQTMGYYKLIIPRESAWNVMNELAELDCIHFVDYDPTLPMINRPFANYIKRCDDL LVKLSLIEHEMKKYQKRITYCKDVNFLIKNFKQLIKERSKASHTYLDEIENDIDKKHQQL IEQSTNMENLHERRNKLIEHKSVLLKGEALLGQSFFQPANYVAEGFVNLQGKELDDIKIL QGSVKFNYLVGVINKEDQIRFKRIIFRITKGNAWMNTMDIESDQIVDTKNDDAKIIKSVF VVVYPGGGGSNVITNKLNKICESFQVAKYTFPENNMVFQEKLRQIETELVETRNLLEMTK NQVEAYLDDFQRIYQNSNCSQIEELKLFLVKEKYLYTQLNYLRVQGSVLYGSIWLPQGAD IKVDQALREGLPTGQLQISPPEGTRPPPTFFETNEVTWGFQEIVNTYGMPRYKEINPGLF TVMTFPFLFGVMFADIGHGFCLLLLGIYLCVYNKEIKDMLQLSDIWLLMMGFWAFYNGWI YNDFMSVPINLFGSCYEPGTVDDPIHKDEQVWVQKDQSCVYPFGIDPVWMCVPNELTFMN SYKMKLAVIIGVIQMSFGIILKGINAIYFKNWIDFIFEFIPQLTFFICSFGWMDFLIIYK WFVNWTGKTDQAPSIITLMINMILAPGKPVDPPLWGDGQSEASTQTALLLIALFCIPIIL LPKPLIINSQNKKHHAQSASNLTESMNKDLYQKINEDSEGTQEISEVHTEQSGGGGHHEE FGDIFVHQVIETIEFVLGSISNTASYLRLWALSLAHGQLAEVFFQMCLNGGISSGGFVGA IRLLIGYSIFSMATFGVLMMMDVMECFLHALRLHWVEFQSKFFKADGYAFEKCSYAKVMQ DNAVPKEE >CAK75872 pep:novel supercontig:GCA_000165425.1:CT868219:225019:226360:-1 gene:GSPATT00011473001 transcript:CAK75872 MDLCVFGVDDGYAEAIIRGLRASFLTEAQYQQMKNCASIPELKSFLEETDYQNCLQADNP QIPTSILRQRLKKKLADEFEYIEAQSTGTLTKYLFHLRCRFMIDNVVNMIEGLKNKIDIE ILLSNIDPLGWFPEIKNIKVLEGDDYSSLYRDVLIDTPIGVYFMKFLEETLNDIQNLFRE MKPEYIRTSLKKMWLEDFYLFCEQELMPTSQEALLELLKFEADFKTVQVIYNSIGNRDLN TAAKIITTRKQLCPTIGNLYPDCEKLYLQAMTLDALREAVKGCDNYRDLLKDAPDPLKRE EFNVQTKTLDDIMYDDECRRYALAFDGQGSYGVFYSYLKLKEQEIRNIIWLAEMISRKLA KNHPGWKKIIIPFSHLGK >CAK75873 pep:novel supercontig:GCA_000165425.1:CT868219:227468:228130:1 gene:GSPATT00011474001 transcript:CAK75873 MGSIFFKKGVDKLQPPTKSFFDFELNNIDGQKTQLSQFKGKKAYICVNVACSCGLTSSNY SELVELYKTYSAQGLEILGFPCNQFMGQESKPEPEIKEFVLSKYGVSFPLFQKIEVNGQN THEIYRYLRLNSSLKTSANEAKEVPWNFGKFLLNSQGQVVRFFNPDQKPKEMKQDIEKLL SQ >CAK75874 pep:novel supercontig:GCA_000165425.1:CT868219:228525:229271:1 gene:GSPATT00011475001 transcript:CAK75874 MNFIKFWVWSPLYVMILLITYFDIMLIYIFRDPDTTEKVWLIVHHLLMLVVIYLIFKTST TKPGTPTYFYTEDDPLVDLRPICKHCFLKKPLRCHHCDSCGKCILRMDHHEYWLNNCIGQ NNYKYFFCLIFYMTVTLEFYICIYLIKIIESPPNGAIDTYFIIFTVPLSISLNLLLIPTL AIHIRLILYNQTSVEFFEKKQEHHDKGVIANCKELLGPGCWLIPI >CAK75875 pep:novel supercontig:GCA_000165425.1:CT868219:229339:229950:1 gene:GSPATT00011476001 transcript:CAK75875 MKKLAELTNKEIINLLSRQAHVELQSSFLYLQYAYWFDNNNFEGIGKFFKAESEEERKHS VQIFDYLNVRGVQVAIDPQQAAFSNLKTHFEKPGEYFEAYLAREHLNYSLLDQIGKQAQQ TEEILTHKFIGSMLEDQASSVDEAEKLYAKAQAYSAFPGLFYHLDAQIEKGSQSFDTWRA KQS >CAK75876 pep:novel supercontig:GCA_000165425.1:CT868219:230120:231471:1 gene:GSPATT00011477001 transcript:CAK75876 MQCFRALRYFSVWSQVPMGPADPILGVAAQFKADPSTTKVNLSIGAYRDNDGKPVVLDSV KRAEQIIKEKKLDNEYLPVEGLQSFIDASIKLGYGDAYYAQNGKSIAGCQVLSGTGAVRL GFEFAKKFLPQGTKVYMPNPTWPNHHNIAKMAGLEILEYRYFDPKTRGVDFSGLVEDLNK AQNGSVILFHACAHNPTGCDLTTAQWTQLLDLTKKKNFLPFFDMAYQGFTSGDVNKDAEA VRLFTAQGVPIVLGQSFAKNMGLYGQRTGCLSFVCANQQEREKVVSQLKLLARPLWSSPP LHGARVADIILNTPELNQLWLQEVKMMANRIQLMRVSLANNLKNLGSPHDWSHISKQIGM FAFTGVGPDHVKELIAKYHIYLLSSGRISIAGLNEGNVKYVAEAFHDVTKNTKL >CAK75877 pep:novel supercontig:GCA_000165425.1:CT868219:231598:233347:1 gene:GSPATT00011478001 transcript:CAK75877 MLNKYTLEFLFKDQAEQYENYSKSSKLREVTNFTIYSVVIQSISNSQSFSIINTTKFILR DSIPYSILSGGAILLILILWKILQRKPHLINVIVTIYQIFLLCAFKIPQLFEGATNPTLD QSWYYGFQCGYLHFNVYLLSANFIYQTFLLILTIGIHLYQENIDIKDGIINIFLFVFLAM NMIAIKYNYEKTKKQQYLQSCQQEKWEQLLSKVLSSSILLISYDKKQDQLQLEKENKFSS ILFRLQDSENLRQLLRQLIISSSSDPYDSVSNLVHISMEATLRRFLIHTQEFSDSFKTYK YTVHHQQTQKFYNVKLLRCVFNNKLQCLLIIDPKKKYFYNQTQVLWSILQNITETANEQS RFLIKMLTKFNQISIKQKFPDQKFYFNHNFIQNIQIIFQNKSNRLKLQDITQTSLQTLLD PLIGLDSQKVVLAKSFTHDIAIIQQLLISCFYIIQHIFRDVKILQILIENQNTEIFFSIK IKENDKAIQTKILEILQTNWVKSPKGFFHKNTIHQSLLERISYLTQQFQIPINLLLNISI TQLILSEFGVYNHLDIIQTNNQITFNFSLVSQIQ >CAK75878 pep:novel supercontig:GCA_000165425.1:CT868219:233398:234099:1 gene:GSPATT00011479001 transcript:CAK75878 MQLIAVLIAAYNPVEKKVIILTRYYELNSVGIFYRNTVKDSMKFVCRESVIGLDKGTRHS VMHEDKYCHIQMGYGSDKVGAYAFCDQDYPKRIAFAFLNAVLQAFQKKVGDDWKKYKEDE SIEVPEIKQLFQEYQDPKNVDKVLLAQTKVDETNIILHENIKKLLERQGDLDQLVAKSND LSAGAKMFYKQSKDMNKKSCCEIF >CAK75879 pep:novel supercontig:GCA_000165425.1:CT868219:234861:235883:1 gene:GSPATT00011480001 transcript:CAK75879 MNKESQSKIYWHHFIAGLVGGFISVTVCHPLEVARSRLNLQNATKSVNKYQGFINSLYVI YKEEGFAGYYKGYRATAIANPIFHSLFFPLYKWNKKTLEISYGISGFQNHLLATIITGLV CDLITNPLWLIRTRMQTQYLHDQNNAKYTSVFRGLITLQKEEGFLALYKGLGATVLGLSH VAVQFPIYERLKQNYTDKNGQLLPTDILKASILSKSMAVLVTYPHVVIRTRLHDNKTVYK SGLRSRVRIIDICRVIYEQDSIGGFYKGLIPDLIRVLPTNSITFLVYELFSQYLGKHF >CAK75880 pep:novel supercontig:GCA_000165425.1:CT868219:235889:236641:-1 gene:GSPATT00011481001 transcript:CAK75880 MDKKNDDVTKEILQQINLNNQDLNSAVKEQFDNDGVEFSNHCNQCGQLGINKMCKITIPY VRDLIIMSFTCNECGYRDTEIKGANGITPQGKLFRLYVNSQQDLKRNVFKSETASLQIPE IELEMCTGTLGAVFTTTQGIVSKVLDHLRDKTPIYNCDDPYRDNKLQKVFDQLQAFHDGT QSFTLIIRDLIDSSFVSNVGEPDTDYNLQVIAFDRSEEDDDELGIDTMNTENYF >CAK75881 pep:novel supercontig:GCA_000165425.1:CT868219:236740:237011:-1 gene:GSPATT00011482001 transcript:CAK75881 MEQIFQKLEEFKSGKSKFTLIIRDLVENSFIQNPFYPNEDNQVKITLFNRTPEDNDELGI DTMKTENYQN >CAK75882 pep:novel supercontig:GCA_000165425.1:CT868219:237031:238239:-1 gene:GSPATT00011483001 transcript:CAK75882 MNNPEVIYANLTGDSEPFVTDSLCVNCEQQGKTTILLTKIPMFSNIIIVSFDCEHCGYKN NEVQFGGEIKEKGIKLHLKVNEPKDLQRQIIRSEFCKALIPELEFEMPSNKKSSINTLEG FLQNIIDDLSHDQPIRKFTQVEVHNRIEYILGKLKEFKDGQGLPFHWILEDPSGNSFIQN LNELQEDPSLKIQHYIRTIEELEAMGYSAENQKQEVQQQQQVIADPIHQGTEQIQAGGQN FCQSIDDSIKNESINIPTPCNVCKEMGENKMCTVTIPHFKEILIMSFNCGFCGYKDTEVK ATGEISKQGKIIELKFENENDLCRDVFKSDTAKLIIPDIELELGTGTLGGVYSNVEGLLE QILTRFKGQQSICWRQR >CAK75883 pep:novel supercontig:GCA_000165425.1:CT868219:238349:239881:-1 gene:GSPATT00011484001 transcript:CAK75883 MNHLLIQKQYPSWHMNHTTKIKKLPVTVLCGFLGSGKTTLMNYILKQRHDCRIAVIVNDM GEINVDSNLIKEGKFSVKKTKEKLVEMSNGCICCTLREDLIKHISKIAKSGQFDYMLIES TGIAEPLPIAQAFYFENEEMRKKGQMLKKFARLDTMVTMIDALNFLDQLQSDKLGEEMNV DNKQMDQIPLSQLLLDQVEFANVIILNKTDLVNQERKEFILNLLKKLNPNAKIIDSVQGQ IDLKEIINTKLFNFEEASTTGKWITELQKPIHKSEIEEYGIQSCAFKSRRAFHPDRLFQL IERNNTEELSFWNNIIRCKGYMWIASWERLNIHIHKAGTQLTYHPGSFWWISIPKKVWAD TKEEVDAIWDGLKQNWLPEPIGDRRQELVFIGQNLPKQEILDAFESCLLSEEEMVQFLQN GWDNTNDPFPKDWGKLVKEFEDLGELRGNEGEWEDVWDEEGEMQEEHQEDDVSMQIEVQQ QQLVD >CAK75884 pep:novel supercontig:GCA_000165425.1:CT868219:240453:242139:1 gene:GSPATT00011485001 transcript:CAK75884 MSLQFQPNANSVLGAFQRVGLNQGQAYQPDQIYQALDQLTGQAYDRDVATQIYEQCTPNQ RGQVQIEEFSQILISADAMLKKKINDTEKQIQQLVEESKDCQRQVQEIQYTQQQNQYGID IDSSLNLIIKSASGITTQDFIQSYVSISVDNNEQIAQQSGGDKFHPIFNEQLGFQIKTGR ENVTLSLLIQDRNQKKSLVGQARIDLSQLQDQQVHSLQLQLQNDKQQYASPTIQFDVQWI YNKLKYYQEMISKFEADIQQHYNDIEDYKRDLFVVQQPFMDKSQRQNLQSSLKPQTNSKV QTNSALLYQQQQNQPQIYKPTTPQQSQRQNQDNIQPQFQGEASEELSDEIYYGFILYLLM IVLSMFQCFARPAYVDILLGTLYLLIICRDCFTPEYLKIVGAITVFTIVLDIFWIAIYKN WWSGTDKTLPTWGEAGDPIVRITIVFCIFNMILKVKSYLNHLQTALCYIIFHYYKAAQTN QVLKFKAWQLEFQIGILKQNLFTSDKRLLS >CAK75885 pep:novel supercontig:GCA_000165425.1:CT868219:242168:243194:1 gene:GSPATT00011486001 transcript:CAK75885 MQTLDQIVEDLFNKFTFQKPIPCPTPIKPQRAYSAFRRKPQNPQQILQQATQIVERKQAL LNSIQSMKLQRSYTVCKTEESNKPVKFERYQILSKLAVGAFATVFKAYDRMTDSQVKITN TIVQVAIKKYNSNLLKSEQKKLLSKETEILKKLDHENIVKFLGTYKNTIVLEFIDGHTLT NYLKNQPNRRLQEKEAKQIYSQIRDGIKYLHSKNIFHRDLKADNIMIINQQVKLIDFGLA SENQLCSDFCGTPAYMAPEIFQKKPYDGRKADIWALGVLLYQILCGKVPFPGKNDEEIML AQLPKFSLPKKISKEFQYQLLHLLDKDHTKRKL >CAK75886 pep:novel supercontig:GCA_000165425.1:CT868219:243777:244505:1 gene:GSPATT00011487001 transcript:CAK75886 MQKSKQTSDLKSCQEDMLLQLVYPNFKGRANQNLSLSILKLSIQQFQCLKINQHQNGKSG IAKSAKGGKGGKGGKGGKFGQGKNKKAPQSRSLKAGLQFPVGRIHRYLKQRVSAKNRVGT TSAVYTSAILEYLTAEVLELAGNASKDFKVRRITPRHLQLAIRGDEELDILIRATIAGGG VIPHIHKALIGKQTPEGGLPKE >CAK75887 pep:novel supercontig:GCA_000165425.1:CT868219:245479:246298:-1 gene:GSPATT00011488001 transcript:CAK75887 MNQDQSSSRRRKTYDKLSDDERCITMDLKSQGVSCQEIARRLNKNLKTIQSVHGYERKSE YKGLKEAVTRYGIDQLLQNTSIQSMNEKKLRKLIISIVKEVIPPQNNSMFAHLIPTQKID SNKRRIIDQIVNNILDIIQNYLKTGELMNVSDTRSDSKSDSLCFESSDHSYSTNNIIQDN SDAFHSHNTSDNCNQEVFSYQYNYEGEAEMNVEFEISSIIFSNHDMFEKSIEELSASYFS F >CAK75888 pep:novel supercontig:GCA_000165425.1:CT868219:246458:247157:-1 gene:GSPATT00011489001 transcript:CAK75888 MDLYHYLFKFIIVGDASVGKSCILLRYTEKQFRDEHDTTIGVEFGSQVLKKNDKTLKIQI WDTAGQESFKSITRSYYKGSIGVLLVFDITNPDSFHNVQKWYNDVNEQAASNCTIVLVGN KTDLESQRMITTNEGKALADQYKMQYIETSAKTNQNIDLLFETTAQQILDKIDKHLIDFA NEDSGIKLGTFYKQAKQGGKDKDDDCKC >CAK75889 pep:novel supercontig:GCA_000165425.1:CT868219:247339:247967:-1 gene:GSPATT00011490001 transcript:CAK75889 MVKYSRKIRQRSRKYDKITQEEREQIVKMKVNGSTCREISELMKKNIKTIQSIKSIEKKS EYADLKKALIDYGFQQIMEMQSLDKTNLKIIGKQANKLIKEQLEEQTSKFWNLIPEQKKF SNKRRIIQQIILNIVEKVQNTNQKMNDSQQTTIITKEEFEEKKAEVPSNIYEQPIPLHLT KAYYYLMSLLISHKNNYAFLQ >CAK75890 pep:novel supercontig:GCA_000165425.1:CT868219:249292:250047:1 gene:GSPATT00011491001 transcript:CAK75890 MVIFKEFFVLEFFKQKKQFNKLLKTPLHNYHCTNTMKPQIQRSIKKKIRKHIIHMIILYE KNLSSPHNTNQYLLTKHPSLETSPETEVKEPGSMLSFLLNEERPQINSSYSIISKSEQIV TN >CAK75891 pep:novel supercontig:GCA_000165425.1:CT868219:250214:250562:-1 gene:GSPATT00011492001 transcript:CAK75891 MQLALYQQYLTLSQDSTLLNIQGMNTMMFAFIQEGFHTIMEQYQFCQDSLFYWWYVPVDV ILAQIKSILAICLGLLQVLNSHNKQYFQLL >CAK75892 pep:novel supercontig:GCA_000165425.1:CT868219:251116:252918:-1 gene:GSPATT00011493001 transcript:CAK75892 MILLLLTQIQLSFGVSTSRVRSQQSHTLQAMLPDISLQTIHNKQNIFALNISEAYDIDLI DEDGKIIETPYVSLDNLDLDHFIVENQCVAFPKYPQLTELMDYHIWNSSNDTFYSEIITA TDYSNMYVVTQDLVLLQFKLSTKYWVVSQQLKQIDLKQYINLEDQSIRTNAYFSCPKGHL NCLVISQYGAFWIPKFVDFDDPKTEILPEQDKNFIIRKEIIKIFTFESIVAIAAGEDGVD IYRCGYKISSNFEKKIYYLTTIGNVQMEMNSNQQIYIIGVKINDTLLYVLDEDLGLFIFD IQNISKSVLKMRIPIPRTIAFDFYGNTLMVVAETVNHIQYILEIFLDFNANTYYVNRVYV DDFTFVDIQMTDEYAFFIAEDTHMIIKHSIFNGFVKNNKELVRTFFEDQLIKFQHFTQVV EQSQRYSKTIYYVGLSKKSIHAWKFRNYNSFIACSFDSRVEKQYTLKSNASYCYQDQEKS PYIQCQMIQKISVTSDGTLLESDSLTLIITVSCISSAIFILLIVLLCTKWRKFVKSIKEK TDKLRNKQDYNRIEQEKNP >CAK75893 pep:novel supercontig:GCA_000165425.1:CT868219:253370:254807:-1 gene:GSPATT00011494001 transcript:CAK75893 MKVFAIMALLLAAAYCQSNEIDVVLKMLGDLKNETSKQLQQLESDWETTRYQKQDIVNDL MRSASTQRGECNRRDQEWANKERDIRITLSYINWLEKRIKENNERLSRLDVNRCESNANF INDIKNSKKTLNLIAFLRRAVKNAKETDLPTLLQSAQFIQLKSFLADDGEEPEVTSEDNE PPHVYDEPEAVDSTETVSENQVDAAPDVETTEESATEAEAPTEEVEQQSLGDAHEEPDAP VPEHPLEAEEKATNEQLAKEAAAFNKIIEKGQKAPAEAGSGKDYSQFTVAQQELLNFLDI LEDQVRGSFGKKQDNQVNSAMGYSDFKGLIQRENETFKGHLVAEDVNLEKLQNQLITILQ ATAACKDRLKKIQNSIDLANEDLASSEAHFKSVTETLQEEQNTFDDVYRIYSSQVGSQNT TYKRDVQAKITN >CAK75894 pep:novel supercontig:GCA_000165425.1:CT868219:255233:255823:-1 gene:GSPATT00011495001 transcript:CAK75894 MLDYPQFKLPEFVCQSFHYQLLDRTYLEDVICTALNFIFFHRALGPIELNHMRCERLPKI TQLRCGEPQVDQDIKKLIDNINNDPNMIKCQSLQISLKFMEEQPKSLLQFFKPKLKVFEE WLLSFQFGLSSLDKTQDDFTLMMNNIIDVANANYDHFDEQFDQSQQKPLKYQYELTFNLQ >CAK75895 pep:novel supercontig:GCA_000165425.1:CT868219:255849:256743:-1 gene:GSPATT00011496001 transcript:CAK75895 MDNRSYDQEEEIIEQKPSQSPQMIDELNFNKRETVTMKQIKQLFEETEPDWERIKNVQGT YIIMLALQNLFSLWILLLLYLMNLGNVIANYIKYPVDLVFIFIYLYCLIQIQFKQHDWGS PPFNYLLYVKMNNNSSFQMAHAVSRTLLSIFVIFRFTFIHLEIVYIMISLDFVIIFLCFQ IDRRSKDQCFLAKDYWWRQIRDCLLIFRALLIFMLQEILVNLVYAVYTWVEVFAWFFAYF LILCYVLWECQMIRYRPHMNFYFNAFYLGQIYFDSDIMFPCSLIKLFRH >CAK75896 pep:novel supercontig:GCA_000165425.1:CT868219:256771:259169:1 gene:GSPATT00011497001 transcript:CAK75896 MEVKKKPAIDLSTSENLSVLDQNEPQNGIEVICRIRPKFVGENYGTNCEFNIVNYMITDK RLDIYETNNDNEISNQKTFTFSQIYDQNSTQRNLHQEYVIPMIKDIFEKCTNQTLPIRER ITNSGKTYTIIGTQEAPGILPLTLQTLDKIKTAFLLNKTTIEIDGQVIKIGESPYDDYVL KEMNYTFQSLEIYNEEIYDLLASNKTKLQLKEIYDKKCYVKDAVEKEITNNQEFKELLNK ALTNRQMGETTLNNQSSRSHTVFKIQISFLYQQEQFTIQKKQTICIVDLAGSERAKKAET QGSQLTEACNINKSLLVLGKCLKQMRCQNESDQNSRIPFRECKLTRLLCEYFTEENKILM IVNVRLTGDDIEETLKVLHYGALSVNVNLLKSKIYDSTISYNQRLQVNQLQQVNNDSKQK KIPKRNAKEIIRRAKMLVQNTTQHMLFQQTIQDSFVKDLISTVKQQQARLKQFSGLEDEF FYPPIFQSDQRLQKSLDKIQSDKSRQASLDLLENRELGQQPVVSTFSEEIPIRKSYIFEE PVVQNKDAIVDERPQVVNKSRSSGIQEEKQNINSIIEQHFNCYDEHDDLMAGFRQLDLEQ IDEQTDDSKQQSKCHQIKQNHNQENDINISNQCFNQFKQEDLLKHSNQKLDKNHFDQATN TTPYDELKKKLFGQLFEQELQKHQEEKDKFKQEVMKKLFNDDDLQNLIEKENQEELINLE DSAKKQQQRNLEKLISSNHKSDLKVINESDQEHDSSGDKKHHQTKNHPKKKKQTKKKGKS KKR >CAK75897 pep:novel supercontig:GCA_000165425.1:CT868219:259245:260524:-1 gene:GSPATT00011498001 transcript:CAK75897 MQKRKSHNKLPTEIIHPNNCFIMPKSIRTTQTSSQNIQVQKFPSSNNIDDSDQIYRSEII MNHRQSLNLYKSNLESALHYSDINQAQLQRINQQLLQNNIDLQVLYNKSEQENKQLQNEL TNFKNRISLKEIEFKQTVENNAQYMKEIAQLKIQMQKLNQTLNKLSEENNQLKEKFEMNQ NFSNSLSQIQGLSSRREGNQQNDCNLSGSIISQNSRIKTNSFSNTKHNISDYQTQIISYQ NQVAEKNQLILGLQSQVRNLTEVQRQLMSPKKKYINDSQDFNLNNPTQTPIENSNTQNES NIGSNIKLLNLINDPKNQDSTIHRTIQSDEIDGGPSIKSSITNLKSKQELSKIYKKINQS TIYTAGLYSSLLDYQYLSNNKLLSASSSKEFILH >CAK75898 pep:novel supercontig:GCA_000165425.1:CT868219:260565:262138:-1 gene:GSPATT00011499001 transcript:CAK75898 MDSKFYIEEVCVETQNEKLEIPNADKNKIMHTLVIVFSIHKKEENLKQKNDFLNSEDYYN FQQLLVISLITINFSNDDPFFRHITMVVKAIKKAIKKRLTQETNQFSSKQEFKIQQSSLF RIYYQDINIGFITSTQQIKSTAFIFVNKNICNEFMNKKMSIEQKDQQVRLTLYFFDINIQ KEKQIQQEFKNQFLRINKFKNEFIALNEEIDQQKQTTTYHVYKPMDDQIYFVKRIQLLNI PLDVAFQDIFNTKSSTQDYYKIQEARTLQHVSHPNIIRLYEWWLEIKNYQLFLYTQQEYC IYPGYISQSKNLLSYSYFYMNPMPQEQKSKLINEILCQIISGLEYLQKKQIVHGDLKPES IMVTKSITGDIQVMLADLNHSYSCYQDLQKIQTKDYIDYKQQCLYALGVLLMHLILTFPG EPTLRNNYVTKFQNYDIDDSLSEFDKWAQKSVKNKHKEFSFFLYKNQMELAKSLLKKQQF HELHEVRTFIQNNKQFDHLLV >CAK75899 pep:novel supercontig:GCA_000165425.1:CT868219:262577:266385:-1 gene:GSPATT00011500001 transcript:CAK75899 MLQDPQNNEEIIQLQTIEKELSTDQLLQQACKQIVQQNQSKVSLIKDPRLSFFLQELFKV LVNSYIQKDALQKATKILNTILNKSKFKNKPIQNSDLSHYINQKYNEVLAHFYEKAFLKG PDDAFKHMNYIYYKKIAWDYLQSPSCVNQVLSKNILTKIDQSYQTFTGITCQEFEMAVFD SFNQQEQELFTQIVSDDQSKAPKQTNSLQEKFLQNLPPDLQDKFKSLNFSNIQAFKDHLI QEKQNKGIEKILGYIFVDKLNFYLNSYYFVNSAKKIKENKSDLQKQLHELEKDIMDSQKD YYSFIDIPSVKYFYKSLKSKRVRNLKGQCFSFKKRLIEFIKQEINSFEVPRKFNLSQSEK WNDIYFSLYTELQRATTIEESNLVFKLIQNVQQLILSFLKFRWNYLYLFCALIVEARLEQ EELEQILDIAYNMMQNTSKVNLNKTFDQILAQLNEKANTQIVSEYFNKNEHNLGYNSVSL LESLLPYINNKLIKVMLQVNLQIAQKIRLINELKACEVHLIHDSSQYLKNFGKVFEKLSD TLLEGEKDEKDSKMQFFKVYPFLYQQKLKNLINERSNKQLASQVFKQYIFNVSKLKSDFL KKELGQYQEIFQREDKISQICEQYIQKEAEKLNQQQQNDVQKELLSDNPFITFLPALYKG LAFFRWQKLQYFEKVERVRIAAVAFKDKDQNEINKFLDYMSLQKELFTFEQQFQIAKNIY NFYITLPQYGTDCKPIAEPFPLFSQMKSITDYNSTSYNAICFFTTKFFSQDQKNVIKSEE QLKGEFFKKISNLMSDKTYFETTQQDILAVYYELKNYKAQLIKEVQQTNDQNFQNKCIDV FQKYASRQYILNEIIEFKKQNKLPQNEIEQYSYVKTFWVQVANMELRQINDNTIKKKIED YFRQILTFPTCAQINEKIQKDMNTFPDAVIKDLIKKHYSKYKDDIENNPKKPILPPTENK QSILQMLVIQKKLTSLRRDTKYKEFLVEDQVLTFINEQFSLYMKQLLGKVFQVALAQKPG YELKVFQNKTISQNDAQMLKYRQNLELQGRFGPQKNSYLDISLLTSNENKNQLYYELCDY TPTQRQIEFRNFLIEIEPLQEDKLKNKIRKSKWKEEHPELEEVLKKRIKRGAELWNSVQD FLCKRESNENMMDSDEESDKYKGQQQQVVDIVEDDQLDQKLQDVQRNFERQLLTGKNYIK TRLNNMDNDNPLLTQKEINVKTVQFVLEQHPFFKKSKILYKSYIL >CAK75900 pep:novel supercontig:GCA_000165425.1:CT868219:266471:266970:-1 gene:GSPATT00011501001 transcript:CAK75900 MDQDLYIYPGITKNLTKTMCGKLKRFIKKQFKDQSFLQDDPKVKEFLDTSQYDYKVLNLT SLKQSTIGKEIVNFFFGNLIWCQDINELNKLDINYYFQFNHVWYDQTEEDSKEKKDKQDF LEKQEKQEKYEKQEKLEKLEKLENASDQDVKVKKVKID >CAK75901 pep:novel supercontig:GCA_000165425.1:CT868219:266992:268980:-1 gene:GSPATT00011502001 transcript:CAK75901 MAEKVTHLTHGALKSIVETQNGTLQYCVQILHAEEKSDLVTKAGSLSLCTCSDGFAKMTM HFLNLSPSILTNISKTKPIIRISELKMNSSFYIVTKHEIIYQDKGQVGQPLDYEEFKRLN YTNPNGNTEIQFAKAPHTLQLNSNKKQSILQQQQKQTNENVNADQQQGKSAVKLSIQQKQ QQSRPAIQKIEHKTEYAPSDQNLLKITELYPGMRGFKIKGRITSKSDKTQFKSGKGQLFS IEIIDSEKSTIQGVFFNNQCDKFYDLIDLGKVYYFENGQVKTNRYSSKNQNQSEYQIHFE EASKISEALEDKEIDAFPFQIKQIGDIDNLSQDDKCDILGVITEVKPLTQVTTKSNENKA KKNITLFDQTQRGIDIVLWGAQAEKWQFQKDEIVAFRGLKVTDYQTIRSLTVTNSTTYEK DLTKLQKINGFQDFYDFYSQNKDFIESKPKESKKKFALSYIEQIKKDFEGQRNNKLTKFY EVRAYITTIFTKLLYYEGCDICKRKVVLVQSTKQFFCQNCNQNFNKPSYKYIFNAKIADT TGNLPVSVSNDQGQSILKLSCDEFKAKEKNQQDEIIKRASFQQYRFLIIGKMETYNDESR PRFQISSIIQDDVVSDNEELYNQIKQMLNQNE >CAK75902 pep:novel supercontig:GCA_000165425.1:CT868219:269076:270441:-1 gene:GSPATT00011503001 transcript:CAK75902 MICSENCQYPWQCMICDRVSDYFIKQCPGCQTPKEQFCRSMNTNCIILCINQDELNYQEQ FQTIGIIEMECNQDRHKQEIIKLKISKISTKEIDDNEYFKKEFIIRPLTVPQIQSPQFQS VTQEQANDGVLFESTFQYLNDMDLVIFENRAKAQMFLNASKQNNLQCPIKQYIELKSVFP FQKPQLTMNDMLRWLKIPFSSQQFALTSVVIELLKRSYTFQTQMLQQLQFPIPEEQYRLQ GFTNLILFDIQVSCIEDNRQNYNQEIIEISAKVYDIDQRKIVRNFQKYIKPVDNPIISEF CTKQTGIKQFQINNGISLQQAINQLTDIFKELGRFCIITKGDFDLLILKKEAQRKNIKLV RNFTYYINIKKVFPKSLRSKTSLKDPSMTEMLECCGLLQYDNHESETAKVDDLANLVDYL IFNENVRFDEKMLQYTCKI >CAK75903 pep:novel supercontig:GCA_000165425.1:CT868219:271404:272719:-1 gene:GSPATT00011504001 transcript:CAK75903 MLKKLSQQFQKISTKSFKQGVFLSCSTNKITYGFRSNRFIAKNQESNESSKMIITHEEII SFLKNNKITYRVQRSYAQLQFCPFCSKRNFDELSNQYTMGINLKNGMYNCFRSSCQSKGQ WKDFLQQMTHNDPKMIVDGPIHAIPKQNNQEDVGTFDQKYELFQFHLHYQRMKLQQPKFI YEYLVGNNWEQVYDNQRGIKDEVLNKYLVGIGQDEVFDPQFKKIVMAPLIYFPMFRLLPL QQQNDSHIQNQYIQSKYNSQLYELVSCKLRGMGKENKYIQKIEPKNAMKGIFGLNLLTKD VKQIILTEGEFDAMAAYQMTGIPSISLPYGIAHFPNFLLEWINEYKELNNILVWVDDDDF GRVNSHKIATKIGHWKTRIIQPSSVNADQYPKDANDCLRYYPDRVQEYISKSITLK >CAK75904 pep:novel supercontig:GCA_000165425.1:CT868219:273003:273401:1 gene:GSPATT00011505001 transcript:CAK75904 MANQATAVAYAALLLAGSKTPFTVENVEKLTKKAGVNVSSQLATQFVKAFEGKDIISLLS VGGGQGSAPAAQPAQAAAKPTEAPKAAEKPKDPEPEEDVDMGGLFD >CAK75905 pep:novel supercontig:GCA_000165425.1:CT868219:274013:276156:1 gene:GSPATT00011506001 transcript:CAK75905 MLKSLNQTLRGYDNFGVPLSLNINKQQEYKSVCGGVLSVMMTVVLIYILVSGLIGVFNRD TYTIEVQQLQDMDPDLKEMNSNNFMFAIKLDNPFTDYFPNLNKTAFNISMNQVYIETTSN GKRTKRITKSYMLESCTTNHFPSINFEEFHQVENQLSHYLCLPKDYQLWLQGTYSSNIMQ FPKLSVSLCKSENCYSDSEIMELSKNASVMVSLSTIIQSAIFMANQTDYPLYQYLNSDFF LATNFDAESTADIFFESNRIVNQNSIFSFYVDDETIDYWVFPIYSYREIKTFNNVPSSLF SVNFRLSQEFQQTTKKVNTIDQFLSYFGGMLKIISSIFGIVALQYNQMGLRLSLANSLYQ FNVPQKKDGRIEFSYDKLLSYIQRQLNKVDETINKLKNHTINLVKMNKITRAITLKHQSN PTQQSEKKSSILIDQPLHHDDIQRQASSLLEVKESFLKDLFNEIFEQRNKLKLGINFFFY QLLCCTCVEKIRVTRKMLQQCENVILQDLDIVNILQKLQQIEKLKQALLEKDQIKVFNYT PKPIINVEPNYKFNHNQEGDPNFLFSQANKHKHNRLIRSKNYHNPKKMVKIYNSYSKLKD SSEVVNSRIIYLMGPAMEQIFNKYYEVQTYYEHHKIHQEAQNQVEQYPQMTEKLISDNAS PLPQTEDNDKKNNQSKGKIHLQLKPKTLNENDNQFLDQ >CAK75906 pep:novel supercontig:GCA_000165425.1:CT868219:276575:277450:1 gene:GSPATT00011507001 transcript:CAK75906 MQQTRSPLSPIDSNQNTYRSQASKTQDINYQIQFQISKQKLSTVPDSRQENNAIYMSPRN TKLKTQTILSPNCRSPLTTRKEIKPIQSPYNLSIHSAQSPVKIGNSTAHKIKQELDYFKQ KNSNLQKQILNLTSEIQRGQSNTLIKELQQKIQLLTQMNEKLTQENKELQQRGDKSHLKK TIESQLQLIKENEKKLSEIKENLQKYETSQLDLGKNSTTDYITQLILDLEERVHTLIVEN ANLNKVFNQRIKLQERFNTLELQLKQAQVQFQKVKVEEKLVKTKYNQIKKK >CAK75907 pep:novel supercontig:GCA_000165425.1:CT868219:277499:277825:1 gene:GSPATT00011508001 transcript:CAK75907 MNSEPKPQTHQAKARLKAARSIFELADVNKDGFITFDEVPKLLIETNKLITDEKYVPTKE EIDCWINMTDLNKDKKVSIHEFEVLILKALQAQGIDLDGQ >CAK75908 pep:novel supercontig:GCA_000165425.1:CT868219:277978:279027:1 gene:GSPATT00011509001 transcript:CAK75908 MMTFLSIYLGVLVFSFIFKKYIKRFSLTMPNSSKDIQGQEGLKESMQTERRIPSLLKTPK NFRIGNDVQPKRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSKTLDKNQSSKVYA LLKKYAPETKTEKKQRLVKAAESKAQNQKTDSKKVTVLKFGLNHVTTLVETKKAKLVLIA YDVDPIELVVWLPQLCRRQEVPFAFVKNKARLGALVHQKTATCVALTDVRKEDQAEFDNL ARDLRQHYNENHELLRTIGGGQVGIKSRHQQEAIKKAFELEELKKTSQ >CAK75909 pep:novel supercontig:GCA_000165425.1:CT868219:279106:279829:-1 gene:GSPATT00011510001 transcript:CAK75909 MSKQIVHHQSQSLWNYTLSPGWTMKEVEILNLALMKFGIGKWRKIINSECLPGKSIGQIY MQTQRLLGQQSLGEFMGLQVDLKKVYLHNMQKKNVFRKNNSIINTGDNMTQEERKKRIAE NKKNFGISIADIALIKLPRYHVNSQTSFLSHDEIMEGNFTTVEKINNLCALKKDILRKLS KIENGELEEAFDDEIEQKRRYKKVMTDSDSSSA >CAK75910 pep:novel supercontig:GCA_000165425.1:CT868219:279840:281319:1 gene:GSPATT00011511001 transcript:CAK75910 MQRQPTKESFSSNKRRESGNERKRSVHNDSSYNHKSDEELRDVVSKLQFRILKKNDHLAK MKEEIIELQVQWQEEKRNWQNEKCALERQADFYKENVHAERLNNQKLQIQIDKLTHMLTD QSKIKQHFDTNTTLEKVSGQLNQEIDVLQKEIGTLRTQITLLENKLLTKEETISEQQIRI QKLKKKMDQQLQVQLEEKMVDVDKQKKRSGEIKERVSELELKLKNLSLESERIKKDNKFL QQENDQLRIQYEKSSRLIPQLEDQNKRLELEINDYEQQFEKMSKQMRDLNNQYANEKQYG QSHNEELKKKNVQIDNLEQQINEQIRLLHAEQRQNTFLKEEMEKYKDQLERLQRQSQQLT DAQHKDMDVLEAKIEKISKEMIKLREENSILKSQLSNQKKEHAQYIDTIDQLQKQVRDLK GKNKILVQQHDDLDARINQLAQIKQQKTTKVRIQDSDFRKKMAKLERSDSSSSFSD >CAK75911 pep:novel supercontig:GCA_000165425.1:CT868219:281380:282818:-1 gene:GSPATT00011512001 transcript:CAK75911 MKNFCRLLNELDLFGCEIKWRIDGDQKFKSKFGSFISLCLFAFLTYSFYLFILEMNEGKN ASLNSKDALLQENQGLTFGPTDFLFSVGIVDTYGNYIQNDMNKIFSVIFYYCEQVELKTN CDFIPSVPCDTIGQSFSDEVTQRNSYCLSPEYMEKRQNITLQGSMRMRNFSILGSLIKFC ENTTDFLYCAPKEEINQQLASSNLFYTYTSYSFNKELEGNPYSKFQNTETAILYSQTTKH VKVQQKFSQATANINPFYFFPSEQQYSAIEYDKSIYDTQYNNGNSNLLAEIMIQLTHKRQ IYYIQYQTLMDVLAKVGGLYTILKMILDFIVLPIQQLLLNFYLIKTLEQFKCSMNDNTKK RQSNSISVINLIKDKKIRQQYQDERQQIQKTLSIDEILHMQLKLEKLQKLIKYKSNCPTD QIKELMEESPSPHSQTPILSIQVQKMENLPLNSSPK >CAK75912 pep:novel supercontig:GCA_000165425.1:CT868219:282953:286656:-1 gene:GSPATT00011513001 transcript:CAK75912 MIVKFHKKTERIKGLSFHPQQPWLLVGLHSGTIQMIDYRLGRTIEEFFEHEGPVRSVQFH QSLSLFVSGSDDFTVRVWNYKTKKCQFVLRGHLDFVRCVHFHPELPWYVQNLELLIKTND SNSNRTQSLCDALRISSNKRLSNYLFFGLDYQIMGQLHNQRKDLLRRMQNIQINLQVQND QQNELELISILEGHNQGVNWCTFSPTENLILSASDDKKVKVWKFYESRGFEVDSYQGHNN NVSSAMFHPFGDYCISNSEDNTIRLWDMKKKCEIDCFTNYELDRFWVSAVHQNNNYFAGG SDSALYIFTLFRNRPAIYLTENKNLYVGSKKQIKLIDLQTSQEKIIKNFQEITSLISDNL LQDNIEFIQQNIYETSKNQLLVRLKQSSHNKQRGICKYVIFECQTNISQIFLGKSAIFIG KSKILKSKENSELAIYNFEVDCHTALGLKAEKVFPYLGGKAIFYTDQIINVFDPVANQVI HQIPCSIEFNNIKQVLSNDTYVMIQTKKSIYLFTKSFQRVTQIQESINIKSVLFLSRTQN SLIYSTKVHIKYLLINGDSGIFGTVETVPYLIQLQQPIDKQSEKYKLFYMNNVGKLLNMS LDCSEMLFKQALMDKNVNYIQNFLKTRKKMGDLITSYLYQKGFSMLAYQLVDDKRAKFQL ALSSNNLELSYRTCDDLKNPICYQQLSEEAMRQGNHNIVEVCKQKLRASDELSFLYTITG QNEKLNVLSTIAKEQNEYNTRFQTLLHLGNINQRIQFLQDCKLSHLANLSKLVHGLQYDQ KSVIAEDLEWVQSLQPQTLQPPISIIKSKQHPLFSMNWPHNFVDQDQQYNLLIEEDQDTK EKNQKQQEKSSGNQDKQNMNNCKNRQNEQKVDDQQQQEKEEIFEDCQWEINEQELLEMQL NQTNLDFKSLQYGYPDYKKALSPVEQVITEQFQQCQQTLKSTKNVTNLNLCKDYMKQLCL SSIMEITQIPFLQAAPQMLSTLQDKQNFNRNSQNLLKLGYKQTTDGKFQDALNTFKTLLR QALFYEKNTDIVPICFNYIMAMNCELNKKDQSVSRQIELACYMAMCDLQPIHRSLTLRAA MSLAYKHKNHLTGAQVARYLLKLLEKAPQGSAYAKPEVIDNVKKILKNCEQQLRNEYQID FEEDYLIQGCKIIFADTLTINQEQSNHQCLFDKAIHSQKGKLCKICDLCYIN >CAK75913 pep:novel supercontig:GCA_000165425.1:CT868219:287270:287824:-1 gene:GSPATT00011514001 transcript:CAK75913 MLTLLKRSIYFVPRFSYHPNVIDHYEHPRNVGSLDKSNPKVGTGLVGAPACGDVMKLQIQ VGEDGKTIEQAVFKTFGCGSAIASSSYATEILKGMTLEDAYNIKNSDIASYLKLPPVKLH CSMLAEDAIKKAIEDLQSKNNQSKDKQEK >CAK75914 pep:novel supercontig:GCA_000165425.1:CT868219:287852:290567:1 gene:GSPATT00011515001 transcript:CAK75914 MAQNQIVGAIKIKDGLFIGDEYASQDREFINTNKVTHIINCAGTEVQNKWTLMGAKYLTF NWLEQDNEVLFDERGENVNKIFAFIEECFQQGESCLVHSVRGQSRACCVLAAYFMKKYSW TLYKTLEFLNSRRPDLEIRASFFYQLNALENRMNKLGPKRTASWNELTSDEQNPQHVQEE LIIRNTFLNSHNGPVDEIYTNLQAKQGVLGKPTNQKLKWRDQMNKENIQLATLVYSGSPD FVPVSEVNLKRDSETSILKGAQKNQSVIQLPKQPQIQTSFPKSNQNPTQGRTEGSKSQQQ DQSDITNKSNITSANLTSNPSFQNLLMQCTVKSRTPQQQQQLQQQQQFQQQQSQQQQQQQ QQQQQQQQQQQQTTFEQNNKKTQLINNFVKTSDNQIQEKKPLFGTILNSNNQVIQNSSNA IRSNSLQQNDEKKLTSDSSNQNRPNSLKQKDNQNSILTNFQEFKNQVQQSLNYFNKQTET LLNFDKQSQQSQATQLTNQSNNTIQQKSKLDQLISPTQMQTMNSTKHSEIQKTLTQSISQ LQSSYQKFQQLQQKSQINQSQSQSCIQKQNIQNTELCMINQPAMLNQSHLQDRSSSLNKN QETLESKLRPEIKDTQKSNCVQKKETLSPFSKDPQKKQSQQGPPLPQSSSQIYLRNVQCR RQAASTLRNQQKPNNSFQDKYLNQSANQAQNNSFNNNSNSAIEADQKQQAKGIVTDLNQF KKLISPQNLTKSQAQFVKNQPIRVLQELTDKTHSQKQVKAKDNVSSTSFTIVHKPSTVNT RTFSPVIKNEPKNKTTNVTSVRHKIRNSSPGISKDQDCSNSFQNIQQTLQGKNSWKML >CAK75915 pep:novel supercontig:GCA_000165425.1:CT868219:290652:292173:-1 gene:GSPATT00011516001 transcript:CAK75915 MNISKSKNSVPYDKPIKSPQPDILSMPMQPLRIDMLLNSKNKLETQQSTKALDIIKQMHQ SSTGNLRLDTSINKSKEHILKKTPTKTTASFHYDKTPDNSQNNLSYSPHTHACLKPQLKQ SEDQLNVKNVPLQQPIAIKEQCEKIDQLNILLKELKQQLTQKEQENQKLQEVNIRLNQDN QKLYSQTEEMLKDLEFMRTNIEQSNKEFNNLKQQNHQLLDDMKLLRSERNKVNNNEQIIN QKNKEISQLQNELTEHKQLLQAKDSSIQHLKQQFENLEQQQYDIMNKLEQKQEELSIIKQ QQQLNIQNQRKSNQFESLSRRSSVQNEVLFYQAQQEQLQKEKEICEQKLVEMQTVINQFL LKEKKVNQPSSNSAMQQKEFNKMKQIIQIQKRQIESQQKTIMNQHYEIQNLQTLLYCSTE QDSQFNKKTFDSNDPDKFSLQSADIYHIQDKENDRKCFGDHQGVHHITFQQQQGSKFIME DTFRNLDNELNSHNTLTD >CAK75916 pep:novel supercontig:GCA_000165425.1:CT868219:292213:294249:-1 gene:GSPATT00011517001 transcript:CAK75916 MYVIYHSSHLEIQTLKGHTTCVNSLCIYPTEENKNLLLSGAYDTSIKLWDLRSKTVVNQF KGHSMQINALSVSPNCKLLASGSNDGQVKIWDITQAKLLASFTQHDNQITCLSFNPVDKA LASGGGDRCVRYWDLDRLTQLSSTRTDTTPIQCILFEQNGKVLYSAANDSLKVWDVEHDC QLLDNVESSWRGVMDLIVVQERDQLLGLSSNVQNGFSLHGVNLKSICQETKNLDVRSSGG ASIKRGRTPDKRSDIQTANSKPSDSEAKLKSKPSLQMQAQNILNQDYQYDRQKSNEQLKE IQQQQQQPYYQQQQIVGQPYLNNNGYQQQQQYPQQQIPYQYQIQQPQQFQNQNPLLYQQQ PNQLYQQQPNQLYQQQQQNQLYLQQNLLAQQPQQLYQNYDPNQYFSNQVHTPQHNPQKPI QQVNVPQVILPNMEEEEYPNNESEILVSSDLTLSQFMMGDQNKEKFKQVDLIHEIVKDHN KVQSVLTQRMNYMKPILHWWSNNNLKSAMNAINQVQEPSILQDALSLYSQSPKFGQVPID SLPMLLEKARILIESKYTSHIRGGLDFAWTTLNQFRDVIYLILYKEILNIKLFNQLSKAD LTREERIQKYDRVIEQFKIIAQTPKLQKIIDRNKEDLSDLAKKFQIEVIGFLKKVNQNQM QN >CAK75917 pep:novel supercontig:GCA_000165425.1:CT868219:294329:294582:-1 gene:GSPATT00011518001 transcript:CAK75917 MKKRNQKLSDLCVTSKVLCARFGTYTKQLIAAGDEKNSVQIWQIGNQKPIAVLLQYIPRH QVHQTLQMRKLKLQA >CAK75918 pep:novel supercontig:GCA_000165425.1:CT868219:294582:296440:-1 gene:GSPATT00011519001 transcript:CAK75918 MISPEEKQRLLQDKRQRIQAIKQQQLQQSTTIAPVTQPQQQVTQAQANVDSNQSFQQDQP AQNQSVIAPPQQPDLINLYLQTKRKKLGFQTIDMYSNNPAPPQAEVMTIEFGVQCDFEVP QQKQENEDQVIPSMENVRRDSRKKREVLQSAEKPVEKVEKNISMEEINSIVQSQSFQRFF SRSSKTIEKAIHGAEVDVLEDLMNTQQVTQEIGKDNLTKLLSFSDKLYTDNRVVTSIQWS PNLPNTFLAAYSQNEEGSITDQVGVVLLWSLQLKSRPEFYCFASSPITSACFHPFSPNIV LGGLYNGQVVVWDLRAKHTPEKRSTLNSGGHSYPIYGLSIVGSQNANNIISASNDGRICI WNLAQFNQPQKIIDLKTKNKQQSTNPQVEVNATCMVFPQGDANNFYVGAEDGSVYKSKLH QNTNENIVIPFEEHLAPVSGISLNSSPQASPLISNLLLSSSFDWTCKLWNTRTQLESKCL STFECSEDYVYDVQWNGQHPTLFSTVDGEGYVDLWDLSYDLEAPITRYKSGNNSINKSQW SKDGTKIAIGDSFGEIQILQLSQKAQKVDHERLAKLEQWIKSDK >CAK75919 pep:novel supercontig:GCA_000165425.1:CT868219:296466:298259:-1 gene:GSPATT00011520001 transcript:CAK75919 MSLTLTLQKYIGGQELEYFENVVRESTKGRNDITKMIDSLQNRVNAEYEYSKNLEDGISE LLVNRNSADSAVNLEKIIALYCNEIRQKIKESRAYAQFIKDYIINQLNLLVKDQNIKVRS LISDGRKLSAQLKQEKTDVEKLISQLNQKNNDYFQHQIQKFSQENLSQLQPQKKNENTLK SDKLFRNLKELREKLNSQQSSYEQFLQGYELRISKIIEEFQTQDEDRVNQLKTVTNLLFQ NDRIFENLNQIQGEYSLYRFKEQYLKSLLIKFKEQKSFVQSSVLKIEDNWVDRITHFINT KCQNVQYNRQLITLNMDILKNDYEKINYLVQSQNQDLVTNISLCQERIHNQMQELWSSQQ VNLKSLINLLSNNLIGRQIWVYEFQQFRLDKKFEICQISYQNIVDLLNQLLDLCMEELDA FTVRKLMLMTFTFYRIEKAEKIFLYDGIQHHSIFDKIDLWDAIFFESLHDEMKKQQDMRM NENINDSIEREKNTIFGFLGSLIENMLSFSPSKQNVRILTSKYCKLYMLNDVQIKQLLNI IDNSKQQQN >CAK75920 pep:novel supercontig:GCA_000165425.1:CT868219:298446:300568:1 gene:GSPATT00011521001 transcript:CAK75920 MKSVALSKIKKAIKQFDLFGVELNLNINQQEKYRSACGGIASLIMIFLLGYIFTNRFISI INKEDYTVNAVVYLQIKVQNLKSANPNYNIMNSQNFMFAIKVNDPLQSYYQNETRTSYNI TIQQFLQKSYQNGTKTKELLKFYKLERCTADHFEAVNFVDYSYIFKQLSSYLCLPLDYEL YLQGGYNSEIFFFPKLIIETCKNESDHCYSKQEIVEFNQNRSSTITLSTLIKSSLFLSNE TKNTLYHYIDSDFYLQSDFTHYSTSDIFFQFNKIKIDDSLLSMVTTSTEELDYWSFSLTN YRQFQKEVTDIDVLFEINLRLDAEQQVTTKTAQRFDQFLSYLGGMLKFFSALFGFVAIQY NFLAMRISLANVLYEFNLPIKEQGRVTFSYDRLLNFIQLKVNRVDELIGKLKNYAHQVVK LSNITRAWTSFSSHTRLMKQGQHDVGEHQYSKQEINEYAEKLKEMKENFLYSLIQKILDT KKQLRLGINFFTLVFYCCQCFQRVQVTRKLINQCDLMIRRDLDIVTILSKIQQIEKLKAT ILDQDQIYVFNYIPKPVIFVDQHYQISTDEQLQQNQLIQKINSQKRARVIKKRMKYNSQK KFAKIYKAYLKLKESKNDINNRLIQLLGPTMELIFQKYHELQEIAKQKEDLALTLPMPQI SSVRSGNLHTYDSKQDTKLNIKITQKDISDDGNIEFQGE >CAK75921 pep:novel supercontig:GCA_000165425.1:CT868219:300642:302115:-1 gene:GSPATT00011522001 transcript:CAK75921 MGNYFRTVPKGPLEETLINFLKTRKLQHINDCIEMINDSYPTKSTLILDEYLDVFGGILE EWTEQVFLLLENNNSSAGQVDIYESLAVIIVFCGEEFNTKLEFIYKMFDFDQSGEIEKKE LIMTLQTSIRALCKIAKLQPPELKDLEYFAEKMFIQLDSDRSASISFHEFGLWLLNSWEL QDFMLQYALIQTYENADRRAKERRIFFQKFYEAAAGGADQQYCDADSIKTLFFAELKEQK KETIELLIDILIQSTKIHQKHDEQNQQYPNGILKEAYEDIMAAWSAFDSSDINSDNQTSI SELKFLLYAYEGDKPDLFRIKEEMKILDKDNSGYVSREEWIQYLCVEDKGKFQFRGNLKQ LFNKYDKDNSGALSILEIKQLLTDNMKDMQTKFKLKGQNENFEEMVNQLAQEVVDDLNSE GDKQSNDRTLTWVEFKNYMDQAVLKLNKLKEFLKSI >CAK75922 pep:novel supercontig:GCA_000165425.1:CT868219:302306:304417:1 gene:GSPATT00011523001 transcript:CAK75922 MYQKIVGLIQDADMFGADITLNIQNKGKFTSFFGGFMSLVVWIAMIYVFISQGVDLVNRV DQQAVTRTINDISPNARVLNISNFMIGIKLDDPLQALMNTTNQTMFNITINQNHYITYPN KTRIKTVNASLTLEQCTSQHFSSLNLISETDELESFTSYLCLPLDYSFVLEGSFNSQSFQ YTSIKVAPCKDSSNCFTTDQLNLLSERQSFKLSTLMFTTIINPFSNDEIISQQLFQDFYQ QTKKLQEQVSDIFLEPNTLEIDNSVFPFEDDSFFGEFWSFRLGNIRNFVNDYAEPTSYFT VNLRISSEYYSSFISYNKFGDFISFIGGTLKVISSLIGIIVINYNTVGFKLMLANSLYSC HIDDLSKTKKREEHNDIFKFIQKEKEKAEAMLQRFRGSTKKLLQFNKVTGIFKSQRFTQV YKSDTDLLKDVQQSSNVKEIDSVKLEPTSHEHGSIDHLKDTFIQNMVEHLLIANEKIKLG LNFVIYYLSCFRHFEKYKRIYIVLQKSTININNDLDIVLILQKLQEINKLKSLLLDQHQL QLFNYIPQPVITWHGLQVKDPQLSKDSIEKANAIGKVARYDTRNKMLKLFRAYQEVKIEE NEKEINSRLIQQLGPTVQKVFQQSYQIAEDYNKMKQEEDAHVKTSKKAPLNTMNVSQHNL VVKPTQGTNYLHSKSDAIEIEDIVFE >CAK75923 pep:novel supercontig:GCA_000165425.1:CT868219:304497:304860:-1 gene:GSPATT00011524001 transcript:CAK75923 MSFQTTDSKKEEFRKYLEKAGVIDQLTRVLVGLYEEPEKPNNAIDYVKKYLGSPVDIDVD KLKLEYEKLKDENIKLKREVAELKKELQAAQQEQN >CAK75924 pep:novel supercontig:GCA_000165425.1:CT868219:305058:305852:1 gene:GSPATT00011525001 transcript:CAK75924 MDQLSKEIVLVNKDIYNQLYDTQSYKKLEQLKKMNLQPSPINVAKDLLSARENPKEYAKQ HKLDFKFRQTQFWQPSIKDAMSNQQSQQQQQQLGQQQDQQQLIDTTNAISFIESSKNEES QIQQSSQSTRRKILVKQRLSKQPSDSSIWKKPLKQINKIMLQFKQDSKAEYLAIIKYEDA SNQLEQKSMDNTKKIQWDCQVKFEITEDQNLYIIIVQQQQGKIGQQNVLQQTINIQNVEK QFQQEVQLKYLKNTINATLLVEFN >CAK75925 pep:novel supercontig:GCA_000165425.1:CT868219:306166:306997:1 gene:GSPATT00011526001 transcript:CAK75925 MRQQISTPAYGVGYKTPFSLTQQGAVKVISQPSFQNQVQPMAQQQFQQQQQGYPQQFNRQ IYPINGNANVNRGTWEQQGAAQRFQTSQGNGPVQSPLQVVDLQQVEEPWRVKVQELQNRI KELEAQQQNKQDNEDEESELSQAYSQIQQLVNTIKILQDEIQQLQEKLEQKQNVIDGYDR QIQDKDKEIEEANQYIQELHGQLEEQTTNVEEKHKYLFDEVNTWKKKFIEQNKEFHQKQE ELMILQAELDNLKNAQIIIGKSSDYKQQK >CAK75926 pep:novel supercontig:GCA_000165425.1:CT868219:307056:307495:1 gene:GSPATT00011527001 transcript:CAK75926 MKPIRDLSKLIKAELKNLFTRYLQQKWILMLLDVWQCTHINAGHPQVYIKLDTRTEGTPQ TCKYCGLQYVKKGHGSHHH >CAK75927 pep:novel supercontig:GCA_000165425.1:CT868219:307506:311042:-1 gene:GSPATT00011528001 transcript:CAK75927 MLQEYYNKKVLFITGCTGFVGKVLLEKTLRCLSNVGYIYVLIRQKKGSSLMERFKREILD SQSFDRLRQIYGGGFEKFINEKIIPIEENDKNVIIDNVNIIINCAASVDFNARLDDAIQI NVRGPQRFIALAQQIKNLENFIHISTAYVNSDKAGYIEEKIYDANQENLEQLVSQLLKTP VNILEKNVKDIIGDFPNTYTFTKCIAEKLLVQNRAPNFPLTFVRPSIVGASWKDPTPGWI DSLVASSAIFFFVGLGLIKTLNGDACLIGDQVPVDYVADFILAAGAYQNGRKEVSVYHCC SSAKNPMTWQLAKEVNALFWTRSPSSQQFSKPNLTFYKNEKIYKIMSKVKNAPALIYYQF ANKIGNKEMKIQAKRLKKIIDRAESINDTFRPFVLNEWIFDSSNSNVLIKFLSESDKQHF NIDIEKLNWRQYLERFNWGIQKYILKDQTRELNEQSTDVLSQRNQQSYFSDIEWCLSHGQ DFKTKTTKEQISLVLNSQRVQSVIKQLVEEKSKKYQQTTLNLEKLHQNIEKQGIDICEGM FANYNMGVIRMFAWFITKVFRQIYEKVQINEPALLELQNYDQKTRGPLIFMPTHRSYIDF IMCSYVFFSYKIKCPHIAAAEDFLSMALVPTVLRASGAFFLKRKQLEDNILYKAIFYEYV QRILIEECYLEFFIEGTRSRTGKTLNPKFGLLSIVCDAVFDKKIPDATILPITINYEKVL EADTYPYELLGEEKVKESLIRVIKAIKILSSNFGRIHVGFGKMISVKEWSKIIGDRKRGV ETLGYEVAYQLIEEMVVMPTGIVSTLLLMNRRGITEDQLIKRFEWVLRQITKRGAKASIT NNGQSDVAVRNSIGFLQDLVDKKKKNVFELTLIPKQEYKSILLLSHYRNQLAHIFFSEGI VCCALNGFGHLLSHKEGVSIERLWEESDFLLKLLKREYVIRNRITTKEQMINFTQSMIEK NVLEKVQEKIRVNQQFGEQASQFTCSLIWPLVECYWATIVYLYQLKRASDTNTTFELSEL ITQIQGFAEQMYGEHIMEHYESCSIETIKNAINTYQTMRCIDISKNDDSDQVSIVFTDQE LLEVEAQVKKYLKNNYSKSISNPIDIARSLLDYTIIPKL >CAK75928 pep:novel supercontig:GCA_000165425.1:CT868219:311628:312848:-1 gene:GSPATT00011529001 transcript:CAK75928 MTEQLENLRNFNHYEFNSNVKVTLAEYIWIDGTGLSLRSKTKVFHHEIKQLSDLEWWTYD GSSTEQANTKWSEIYLKPVVFVRDPFRGAPHLLVLCETYLPDKKTPARYNFRWLAQEIME KAKEYNPWFGIEQEYYLLKRTGTTHTWPLGWPNGGFPHPQGRYYCSIGERNNFGRALAEA HQRACLNAGLKIAGINAEAAPSQFEFQIGIAQGIEAADHLWLARYILERIGEEFGIDINY DPKPIKGNWSGSGAHFNYSDSKTRSEGGYEYILKTLIPDLEKYHEQSLFLYGSNNIDRLT GAHETSEYGKFTWGDGSRGGSVRVPIITKELGKGYFEDRRPAANIDPYLVGSTIVDITLL GGTYLDKLKQELHKSAQPLQ >CAK75929 pep:novel supercontig:GCA_000165425.1:CT868219:313275:314726:-1 gene:GSPATT00011530001 transcript:CAK75929 MKKQKQISWHRTNVRWVFLGLTCLFQVGCCICIDFPSVLASQIQSTFNVGQKDINYLFSI YSMPNIVLPFFGGIIIDKIGVRSALIIFCSFLVIGQGLCVQGAQEKDFNLIKLGMLFLGL GGEVCLSVAQSAVLTKWFLGQEMSLAFGVQITFIRVGSVIGANWLPKVYIQYGNSFTACM VFCFVFILITMLTSFVQCTLDKRSDTRDKEQMSQQELSELEQQPPVNCSDVKDFRFDFYL LSISCVCSYSAFLILQSNAIRMFQIRYNLTLTQQTFLYTLPYFISASITPIIGFFIDKIG KRPIFLIISGNLLLLSTIIFSKNVDCSIEDQCFSLVLLAQLINGIFFALYAPVIWPCIPL CVNGNSQGTGFGIIGSIQNAGLTAFPIIVGRILFVESAESYQYMIYFLGFVTILANISNI NIYFYDIYHGNKLMSPTIKLPEYEVVAEQEEQSINTRIE >CAK75930 pep:novel supercontig:GCA_000165425.1:CT868219:315174:316608:-1 gene:GSPATT00011531001 transcript:CAK75930 MKQELYTSWHDTKIRWLLLALLCLFQAGCSLCIDFPFVLATEIQNYYNVHQQDINYLYAI YSMPNIILPFFGGIIIDKIGIRSALLIFCAFLIIGQSSCVYSAQVKDFNLLKVGMFLLGL GAEVSLLVTQGALLTKWFFGKEISLAFGIQLTFIRVGSIIAVNQLPQIYVNYGNSFVACM TYCLATILIITLSCIIETVIDYVSDRRDSNHYQSQAQLQLEQQKPVSCKDLKEFNYGFYL ISISCMCGYCIFFILQLNALRMFQIRYNLTFFYQTLLYSLPYIICIILTPIIGHIVDKVG KRPYFLILSGFLTTLSMLVYSLNNDCSVQNECFNYALIGQILNGMFFSLFAPLIWPCIPI CVSTNTQGTGFGVVCSMQNFGLTVFPIIVGKILIEETAIGYLHMIYFLGFIALIANISNI NIYFYDRYNGNKLMSPTVKLPDYQIILEQEDEQN >CAK75931 pep:novel supercontig:GCA_000165425.1:CT868219:317159:317443:-1 gene:GSPATT00011532001 transcript:CAK75931 MPLKLNPSACTCNTKVMVLTNMWTDLEISIRLLLWNRKKRLKVIHVIISLLIDECKNTKK ANGMGRQKNEGMQSWCLSNGKQLNKQIQLKNRKF >CAK75932 pep:novel supercontig:GCA_000165425.1:CT868219:318350:319288:1 gene:GSPATT00011533001 transcript:CAK75932 MINPDPIFYAEMDDLIINQLTQQNAQVQRVNLKDISFTVGNEFKFYINNELIDFDAFLAY GYMNPKHFLDYLYINQAVHASGRITLHKPETEHILYNKLLQYINFSKYKIPFPKIGAAFS INSFKSVIQQFDEEAIMKDVHGYSGIGVHLTHCRNNSVEMYSRALWKQEQQISQVYVDDC PGKSVRVLVIGGKAASVAEFKFSKNFRSVGLSEEASVESLMNSEKKNIYCDLAEKACLAI DDNLTVGGVDILDSKRFGLQVLEVNSCPEICDSIDATNLPLLEYFGQAFLKKIKI >CAK75933 pep:novel supercontig:GCA_000165425.1:CT868219:319396:320492:1 gene:GSPATT00011534001 transcript:CAK75933 MINRILLQTWSKLAFQTRYFNVQAPATQSEKLLQFTDALTKQQLKDTKQQVSQGRSWKVE ELRLKSTEDLTKLWYVMLKEKNLLLSDGIFFKKVVGVKGRMGKLVQLKISMARLKTVVQE RDRIRQKYRKQLEDEYVKQKTEQYLAQQQEISKSEIEVPEITPNLLRAKVRDLKLGKDDV SYIEQALRIKHKKEDYKQYLREKYDYKNKPIVRLGESLPEGKTEDQVIRQFKSSVQEQIE TAKPIPQDEILRSHVKNWFMLGPKQKRVIVNFLQARRARESKSLFLRELDLLGQKIRHDL QQYQQQKQAQQQ >CAK75934 pep:novel supercontig:GCA_000165425.1:CT868219:320515:321381:1 gene:GSPATT00011535001 transcript:CAK75934 MKKGKKQKDSLKKQEEQIENFWKAQKLDLNFLDGLNLMNQQYPQNKQIFVNELMDIQKRN SKVRCVKKRNNNAPLIDCRTKDIPQKISEPINNQQIEIEKISQVNEPIAIEVSNNNAVIV ISSDESVICLNDVEDQLLDDYLSCHDDQQSKTQAQPQIYQRARQPPQIPRYLQYEPEKSS SSSINLSQPLSASLVFQFMNDEYDFQRNFDEWSLSSLESKTPPIKNNQHYNTFLELQKYF STITDYQYEALLLAYNNDYKLVMRILEVDQKQIQRLLDKNKNRKRVKK >CAK75935 pep:novel supercontig:GCA_000165425.1:CT868219:321723:322467:-1 gene:GSPATT00011536001 transcript:CAK75935 MGQQQSQQNNFFWPAKGEVQLWTHSQIKIISEGESKFSEQNNESVITCPIEYSLSWVTKS NQIHFTSTKISNGTKVKFVVDQSNETEYTIVEKLEKQVDEELQPQIMWKCWVEIWLSQTK KNDIVLSKQDSLENVQLESGLGSISNPLVKVYRERRGQLQLLTRHLCYSNQNDNKKSTKY QINMQFCKSQFWIFNWDLKQKIVPDSYFLELQNKSSTESLSFYQLTLN >CAK75936 pep:novel supercontig:GCA_000165425.1:CT868219:323272:324048:-1 gene:GSPATT00011537001 transcript:CAK75936 MHNEFQSHKGKKQLKIESFLQGKIIKAKKMNNSFLYHNSRMISLQNNGMLVYYSKCFNWP SKEFDQHKKKPKCGIYFNNVYHSCVQNSEREIELIVKFPKFQLYYFENVFESYVKEPRCS DIVLWIFNIPKNNLELNQKFLSVRDIDNFHSFYTIKVANQDEKTQKSISQQVRTEKTTIE QRINNKDFKNKKQVQWLDLQPEKLNHLDNQFPDLVTKSKQFSYDDAWLINEECGDLQMKS MQIQRSSLPCLIYSKKRN >CAK75937 pep:novel supercontig:GCA_000165425.1:CT868219:324981:333154:1 gene:GSPATT00011538001 transcript:CAK75937 MLIKVVLYLIVVSNSSCMVCNYFPMDPIACISKTEGVMCQFSVFDNACLPIRSTNVGCIP QLNKMACLNQLTNSDGNEARCMMFEVIQGYFGQRCMDAQQTHLMNLGCSKQLSRNSCTNV IGKDCLWNGNECMETSERALQIAYCSEVYKAPVTASLCSKIKGINCKSSQFEGDYACINV KDEQIQYLKCSTPGLNEDACVRITGQKCIFKNMMCQESNEMSGCNDSVNKELCLSVEDPN LTCQWYKNRCQQAILKETMKCQDYTEVNPTVCAKQKGQCQYQQGRCIVPKNSDQSCSDLG LSKETCLQVKDDYCQFINNQCKRLENDELNHILCTNLNQDSCVNVTTQFQFCKWTGSECV SIFINQELDCPILDVDKNVKFNGNVCRAISKPGVKCKYNSKKSLCEKSIDSDDCTTSYLN LNGCTAILGMACKWTDSGCTVAQITQEITSCLDLGFANKVACSQVIKSDEDGCYFDINAQ QCRLVNDQLLKQIECTGMGLSRIACAQVITVGQICRWYNNSCQQIKTKQDVSQVFCLQMQ YVNPAACALVDAGKEVCRYEQVAKGCVNSLDTETMTCTYPGLNAYACAQIQLKSCYYDKV QWQCKQIQVISANYLTRKLTEQLLLTSDCINSSPTPDVCRSITKVGQKCTWLFRESRCST QFITFNESCLDYNSAYTGKNILINPNVCASIEMELPEYDAVKGPLIDKMKGYCIYEGGNC NVFKAICATPCCTEFLGINSHVCGRFSTGKYCYFSNELKCTELTMDVVDITVEKQVKDFY NSQQLKCSSMNKNSCHMIEWSTQQHCYFNGNLCVNVNFNLFPNLNIFTDPTQILNKYACL SIEAMITTQNAVKYFGYQDKHCIADPPIVDLLNCESTELNSNACLVKYPNILCRWNKQEL KCKNIDTEYVSTLNYCDQYLNEFGCVNIQKASCFFSYIIDKCVDAPRAVSCGYFGSTTGK VSKLACMNINLDGQICGYDEVNHVCVDILTSSDNCDLADGNSIACYSKTKGDCRWNDKEM YCYENDQPIDKLGCEDNVSKELCLKIDSEPCEWNALKMRCIKVRSVMASMISPDNLYNAE ACVSVFGAPFKFDKNLNKCIQIDPSETNIFCDGTKILNVDACLFSTINQKCYFDKSQTAQ KRCQTFLGVQTSCESPYLISLQMCSEVPQSCYFSKSTYECKYIRIDDSMKCSQLRQQSAD NLYNKIACASINYDFQEYIGELQCQKSGDPLKKPDDQFMQQCRVEKYCKWNSDINTCSIM ALKDLEWMQEYRWVTDKNGVTSQQLFQWCEDTTIVYQADDCRNIFSKGVCLQLSSQCVFD LTMGGCYNIEDNEQQILSCENILGSNCLKSRNPNAPCIIDNDNSAEFPKISSCSGFPNKK EQICKQTAPSKCKDAQDLGSVSPIVCSRVSDNCYYDGTKCSSDTKKKKCNETFSYNACLS NGCDFTLGYCQQKFTLPSFNQDSPFYLYQCQYINKLTIKPIVKRNICAQMNFPCAFQNEL CVSAAQVTCSSLTNIYVTLQTCIYCLNDAYAYDSATQQCNPLLVAQQGCDNTNKYGCLSM TTGKNCAWSGVNCVEQSDIVVQSLTDCSLTNSQGCPKVQNACWVSPSTKLCSIVDVYSDC SLIRKQNGNQQACIISNQQSCHWLNGQCEDYPLDSMDCTKANKFGCLNLTKLSCGWSEDD QKCYEIDPKLSVSKCSDFFNSSKNLVKFNPRSCQQIPGFACFRDRTLRCNSIGVKDKPSC EATGLNYLGCIVYSTGYCQFTNGQCTSIKDLQQVSCTSIINKVACFSLSKTCKFDNNQCS DYDVSSFTTIAQITTKMSYPYSPYVCKVYKELEGQTLQLFYDEINGCCVNANQDKPFIYS CKTQGLNEITCLKQTKSLCQYSQNQCMDLSTSDLKKAQNCEPTFNWLACASVKSKCKFYQ NQCQSVRDSETCISLAGIIASPLTCSSRSSSAIACIYDSETHSCVTTTNTNLMCTQNGLN KYGCQMNTQQEFCRFNDLSSTCMPTYETNLNCQHLTNKNKCLFIKTPKQYCKFDNGCVPL EPTQVIDCYNLTPTNPMTCTAAESVACKYDKVSQTCVSVPNAMNADEPWSNVVSFNQAAC MKYQTGNTQVIYSTEGCVVVDPQSLSDLTCDQPVNQFTCSYISNPTQYCIYSNYKCQYII PSNIPVKSCTSIGYVNRQEFCEQANDVPCKFNSYTKQCEQIDIVADPLAGCIRGINKVAC DSLPTCQFDQFCLDITASCIGGFDCGNVKVQPCKIVNGNCILATDLDTLQCDQVANKLAC ISITTPQQYCQFSNSQCSLEILDDFIQQNCETIVNINSPYFCEQPTDIPCRYNQFENRCI ESQSTDNLDCIRGLNEYACLNNTKQDLRCRFADFCYGPTQPMFDCDQTIFDCCNKAPNKN TCLLQDLMKCQWNGSQCVKYDTPITSCNLTNTSKLTCVQSSDQNCVFDLQTSSCHQILSP TSCDQLQSKNQCQSAQSLPCVWQNDSCKYHQSNAYELCQYITNLNGSSRACLNIIRSGQM CQFKDGICQSYDHTTDNCLNNINKVACISQTKTLCMWNTQTQQIKIIRSSDPIEVEFGSC QPYIPNQSQICNDKLSYLSCLSITTLKQFCKWYNGQCVQISETNQIVTPTTHLLVNVNAC SLVNSVPVYYSPLQALCIPLQEGDQISCLPPTPGLNMKACLSITKQTCKWNFDKRQCEYS QSQKFRHL >CAK75938 pep:novel supercontig:GCA_000165425.1:CT868219:333658:334370:1 gene:GSPATT00011539001 transcript:CAK75938 MYLITPKNVHLIGLHFGYKQEIIDLFHSLKFIDQDSALWFAFAEKSICIEKSQLQIDISL QSIFNQYQTLQHSLEVFPQLFSIQDNIKLNNIKMMARFDRNEREDWSYEILSLNYTGNCN SDLLLCEQFYQEPTNVQLRVNGKLNIMEEIGFEIIGNKDIKQIIIEEMVLPNLIFDQLIK QFFGERFIEQIEYDLDRQYKVEIKESLKEDKLELELIMEED >CAK75939 pep:novel supercontig:GCA_000165425.1:CT868219:334555:341462:1 gene:GSPATT00011540001 transcript:CAK75939 MLIQQRLPIIQYNDYKSFLNSQWLMNNYLTEIQFIDCLKEVSNQVGMLSKEKAQFNQEQL AQINTINQKVILLTYFLFGYHIIYVEKQYFVYALYKQFLLIIIKISNKNCDQLLSITSSS HPICKSIFFECVIMLLNQSTYLLNQADQIQVDNDIDDDVQNLLNSKLFYKMAYITLMDLI KDTVLQNHFDGQSLIAICINQMLLTDQLQSKLSLDKIKNIRQHDTEYLINLMRLFIDNKD DQLHITKQILRYIFTLNEERNCQISKLVFSNLKQLSHSTSLILQSIRIWLESKNQIVIQQ IRLYLEEYLKTSFNQIHDDSDYIPMLFQILSSIQSQGFIEESNFSESVFEELIQIFHFLW HQLEQETNDRMFAEKIEFWQNYTKKLRKQSNFTNHNQKSFPSTVRDCAALYLILHDQQNT KKYINPADSLIPYIDALYPLEQQQVKDMQLMQNLIKSSVEFLVDPILKDDLVVLQTLEKV LTQVQLLNSSMKQKVFQLIWSRWSPENPFYVKILQQIVSIFLENEDQQLGTRNNFFLSIL AMESEKKIVHPQKFHTLLQICQYLCLENAPVNMEHIFSQSQDHNSCLKLKKYKIKKSFQE FFQVNNYYSMIVKLISGIQNTTNLVYILKEFIKLEWLLTEGLKLSQVEFADEFVQFLEQK LQVFSEDQMKELIELLQDCSVSMVSRFLKLKNTIDNLEQYGEIIIQNEFCLKVLVEVFIF NSHPSENLKQKCLQFLGVLLSFNEYNQLVFRECIRLSNFLQCMRYQRNKKLQQSMEDVLK RSLSFIRNDQIQKMIQNAPKDHQKKQVFRQSNFSQYVGATLETFSAISKEVKGKKQFQLL GKDSGIVIKNYQDLCTKKWRSFTILIEFKKESFYFINSNAKHKDEVFKEEQVIFNMSGMF ETQMGNNFSGQKYSLQQVDLIKVALLKPPFAQENQKINPLENLIKISILNNEQKPNDMYI NFTQKTKDELILLAIIFEDKPKHTFFVKIQDEPKKTYHIKSFLTEYVKKHSDKYHITLNI GTYYINQQFQNTFQGVINNFIIIEKVLYLKQIEAVFQRNQNNLIEIIEKEVLQAGNEEIE SREMQYLDIEKFKTCFSLVEINDAIKVTKYQTIQEQNFLMNMIKKQVSHKMVHESNQVVK VFYQGVNIIEDAGLADVFLSLDNIEIILFIIQISTQTYFNLENFERRSSRLKTLQVTLPN EAGRNQGVFRRINYFDNLFRQYFLVKDMRKCRDDYLNCQQFHLNLVKSNSSQFSQGESPI EKKQNCLLDTSGSIVNSIIGGWNNPSVSQFVLDHSEQNQQHQIQPLQQHSTYGIDELTQN KQNDPEIKNGAFMDIISVEKTTFKSQTEKLSSNKYIEDTRVKSQYVGRRTSRNYTCNTSP KKHTSLNQFNQNIIEIQSDKNIEFTLEEKSPTHNDTNIFQCEWIRVKLQVYGELRVLEKG KVLQFQSDAKERPEQDFYTYGTISFNLRKVKLTKRLNTASIIEIQTRRYSHKEIAVEIFC KNKKSYFFVLYDTEKRNQFLNCFKQHYNISLVIDRRAEFQARNYTKKWLKGKITNFEYLM LINKYSGRSFNDLNQYPIFPWVISDYTSKKIDLNNREQYRDLDKLISSQNKERLENIKTR AESLKQTQMEYFLFGSHYSVAAQIINTLVRIEPFTSLQCDLQDGKLDQADRIFFSIPNTW VSCQNDHQDFRELIPEYFYFPEFLKNINKIQFGIRQNSEVVDDVVLPPWAESCEEFIEIN RKALESYFVSEKLHNWINLIFGPYSQGEEARKKDNLYHWLTYESCWQSLDKLPYQDKMGY LTQIQSFGQVPFQLFTKPHPQKQRLEQNLYFPSNLVKILTDKKLINSKRIMKFDKKLIVK TYKENDNLYVLMNNCSVYKLKYNASLEKKESEEKLLSAQLFSIQEVEKLHMEKCDILKDQ QQVHETYKNAKPIHITGQQFQFDDHFLFVAGYLSGSVYIYDIHQDKAQSPNVCHKIKLHR RRVTCLCYSPKLKVLCLGAKDNRVTVWKVQQSNEKTISFGASPKYILYGHDKSIKCLTID EDMEIVISLDKVGKLQIHSVISGLFLNEIKIQLSVGEKIWNVISNGNGLIALLTTNSEII VTRVNGFVVRRYVNNNIGQITQIMFYQESHLLISTLKGEILLIQDVSSLTEQYPLIFHIY QNTQKIGIINFSYCNIDIQIEFENEGVTFLISLIDGSLYRLILSAGQNNDFQKYLGKLGM >CAK75940 pep:novel supercontig:GCA_000165425.1:CT868219:341525:344894:1 gene:GSPATT00011541001 transcript:CAK75940 MGNKLQGREAISYKLKSGKVFEIQVNDLQGGKKFPKPPKREDIILKYNQWLSEVILEESD IKLLQTVESKYKFRICYLHEQIMEKINIQKKEEFQTNQIFIDQLKKSINRLYEDYNDVDI SSISKSLSNSSCIDQKVELIKNMNFISYLADRLILLEETSRITNNFRDQIRILELYQVIL NTYNINHKSLFHESKCFKSVIQNFHPVEPKVTGLVFKIIAGQNGLSWKENNFQNIQDALQ NLQDLYAFQNKFQIFLRTMYYTKNLIMIYQIIKFLFRYLYSLDDKNQLQVATELYTSKVN DKQLEDVFKQIKLRIKLDLYKKEDCTYESVRNILTTFEHPLISYNQDDPRLTKQFASFVE TIVDDKMNYESDYDSSQNIQDINFLQDQYFYYEEGQEHVFKAIKQSVIEWIDAIQNISLL SLKEDEVDQFFLENEKTQHYAFIGEKDINSSNQALSKTPKNDRSEQEDQNVEQKNYKSKY KEAKEIINELRNQLDQQTNQHYLKLQELSVQNQKLNEENGQTIKKLQEQIKQLQKEIQDY KTLVAQEDQKSIQVQLNQSNIPMKQQKDSQTQNTQDSQKQQTPPPPPPPPPPPPPVQQTG TSLPPPPPPPPIQTTGGPPPPPPPMRSNNQQPQQLLRKQQPAPSKPMKPLFWTAIPDSKA FKSIFEKIQNDDITLDTQFLEMNFCKPQEIQKQTDNSVEVNVKKQKIKLLQPERSQNIEI ILSKLRLNINSFSDSLLQINLEVLTENVINSLIAICPSQEEIELLNEFTGDKSLLGQSEL FIDALRKINGFQFRIKALHFMYNYHDNKSTFLKEANKLTEAFINLKNSQELQTVILIVLR LGNFLNAKTPKGNIAAFKLEAIEKCGDLKSVDNQQNLLYYVIEKSEQILNKDFINQQIIT QFEIIEKISLSQLQQSLNDIKKGQNLIVQSIESASDNPNDLVAIQFQPILTDIQQDFVKF QQEFSTVDKHYKECCEFYCENQSEQSDKFGEKIMKILRCLYKNKQEKLIKIEKQRKAEEQ KLKSQQSQQNEKPIARNDRVNKTWMPQNQQNQGQTGIKKGRESMVDKEIKEMQKFKNQSK FLK >CAK75941 pep:novel supercontig:GCA_000165425.1:CT868219:344976:345086:1 gene:GSPATT00011542001 transcript:CAK75941 MKGLKEKQNFSQKLEKKWKKIGLLSNQENFNKISEK >CAK75942 pep:novel supercontig:GCA_000165425.1:CT868219:345410:345703:-1 gene:GSPATT00011543001 transcript:CAK75942 MIRIQKCTGDDEIEQEQDINLWFERMCTEISAKQQETKQRTDKYNVEIKAKTKNHKISFR DEINPEAGLADIYEVVNWKTFNVKERDPVDDCLCQIS >CAK75943 pep:novel supercontig:GCA_000165425.1:CT868219:346350:348647:1 gene:GSPATT00011544001 transcript:CAK75943 MQTQNSEIQPNNYFDLISVPPSMRKKSARVDQRPIQTSKMYFESDSNIDNTQSLHSLLQQ KEAQLDSLQRKYMQIRQVKSRKPQGQNFMHGYLDDLINSFKDKVDNNIISEFINYSNSMN DIYRIQQTHLQLCQQRLQQADALVDQLKILKEADLFNLKKIIQSLQESLQQAQVTELDSN KLYRDLQNMKLKYAKLQNQFEIVQRQNEYTEKNMQSIKQHLQHTNEETQIYKKTLRKETS QNQLNQRRVFTLEQRLEVLSGSDYKKDKDSLRNIIEDMLKENEANKRKAFQKCQEVLKLE QVVQDLKEKNQKLQKRNMLLEKQLKLLGYSAYDLNGNTFGQKTIVESNDQDDEIQDVFQQ ANLPNKLEFKLNNLKSRQKNLVQDLLDCGVKQAIDQLFQMDQQHQVDQISIFMDLFVQYK NLGESVNSLINLIKQLLKIDQIEIIMQRLSSDSQLFNCELIQLWLIDQQTATFYTYNQKN ELVNAYIDTDEFLNVVKSSLPIYKQQAFVYRNINTAKFAKDCYLIPLLTDSRLIGIISLE NLNNKKQDAKYLGLLISQLLLPIIDKVLQFKLINYQLRYKNLLFEAFTELSKQKSKFKLQ KSIESQAETLFGVASSRFFFYSQGIIYTYKDDLHTTEYSSDIGVIGYVAKSQEALLLGNI KQHHSFHQSVDMISGLPIFTLPLAFGVLQIVLNQSAQLQQNSNASNIFQLDKSIEVRKLL QPGETLQSMALMFTQICNFAYEILKIELS >CAK75944 pep:novel supercontig:GCA_000165425.1:CT868219:348696:349899:-1 gene:GSPATT00011545001 transcript:CAK75944 MSQVSQRQRSPSPQSPEKVAQREKAAQAMKRRNQTQPSFFDKYAYHLVIGAFGFVCVYAL FSILTRSSKKLTTAPVIDEEEIAAHNSLGSYLQGPNDFFKDWKFSDAKFIFNNHLTFKGK IQQCPESGVIIPESYNFREAQPECAQPIYFQGNCSSSYSIAAVSATSDRLCKSKNGEFQD QLSPQSPISCDDKNYKCGGGSVTRVLEVGKKQGFVSTSCLPYSGTEDAKNNCDALFSNCE KYKIHDYCVVSGEENIKREILNNGPIVAVIQVFKDFLVYKGGVYEVVEGSSKFQYGHAVK VIGWGKQDGVNYWVIENSWGDSWGLKGLAYVAVGQNQLQLEAYSVAPIVAASTEKSAE >CAK75945 pep:novel supercontig:GCA_000165425.1:CT868219:349974:351073:-1 gene:GSPATT00011546001 transcript:CAK75945 MILFFLLMPLQIFAFTYQQQQQLNSDEVNQILDYNSGNIFDYELKQVIGEGAFSKVYLAQ RIQDQELVVLKHINDTRESDINQEIQILQALIGLPNIVQMIDAIKGHQVEQADYYQQLLN KETQAIQAKQDPPHHNDSLDTTIIFTYQNTTRNLYHILKKRRYTLKQVKKYFKQIFSALV QAHDLHIMHRDIKVENVLVDQNDNIILIDWGLSQFYDSGKILSVRMGTRYYKAPELLLKY RKYDYSIDVWAVGCMLADFIFKTDPLFKGKDLKDQLRQIIQKLGKKDLYEYLKKYHIKLR LQDIPQVEERIDFKQLVNKKNKRWATKDAIDLLEKIFVYDHKLRINARQALEHAFFL >CAK75946 pep:novel supercontig:GCA_000165425.1:CT868219:351432:352560:1 gene:GSPATT00011547001 transcript:CAK75946 MKNSENLQSYAVEKRIGEGAFAIVYLAQVQESGEKVAIKKAPIDKKYKNRELANLKLLGE HPNIVALKDAFYVVGQNEEVYINYVMEYMPDNLSDYLRNMKKQKQQLTQIQLQIITYQLL RGLAFIHGKGMAHRDIKPQNILIDGTIVKYCDFGSAKIISGGQINTSYLCSRHYRAPELI FGATDYSTSIDIWSLGCVFAELILLEPLFPGESSVDQLVEIMKVLGTPTATDIAEFNASN TDFKFPQVKGHPWSKVFLKYKPDPQFIDLIKKMVTYQPQQRIKPFMALMHPFFNDLRELK NEEVPNKLWQFTPEEENIFGKQPLKHLMPSWWKGGR >CAK75947 pep:novel supercontig:GCA_000165425.1:CT868219:352605:353244:1 gene:GSPATT00011548001 transcript:CAK75947 MDQQIKKVFHTALVLVPPKQCIDQIQLLRKQYDKAYERWMPHVNLCFPFADPAQFQQVFQ ALQNHLKDFPAFQVRLREFNHFQHGKNCVMWLNPESENDGIQKLYQEILKVYPQLDDLNK KSEHGFQPHITIGQFGTNQIEQRKKAFQPQFQEVQFQCQEIHMISRNGQDDPFQIIHTIK FKTENPQAYEYPGQK >CAK75948 pep:novel supercontig:GCA_000165425.1:CT868219:353267:353965:1 gene:GSPATT00011549001 transcript:CAK75948 MQGSEILIAQAQHKTELVHQLQEQAYETEQKQLDNNLVTKAIEYILENPHFGFFLIYTEN HHVFGQILMTKEYNIYSGLTCWFQSVYVKKDHRMKGIFKQMYKEFMKYAAKEKAGTKLYV EVENKNAIKVYEKLGMIKTEETIFEDDFVFHPVKQVKLLIKLELLILVKIVQQGLQATKR NQRTYQRKEKVSQGMTQLNNCKLRIVQIITKMHLQQQRVQQTI >CAK75949 pep:novel supercontig:GCA_000165425.1:CT868219:354350:356294:1 gene:GSPATT00011550001 transcript:CAK75949 MSEQQKNLEPPQEQPDQEQSLLQQALENRQVFDSEIQQQIQKDAFDFKMEVNNKEEDLGM MKRDIIKKRKKREKKEKLISARRLKRLLKKKPVDKIRLVYQAYFFIVSITVLTLSILSYR DQTVYEGDYQQFVDNVNSYVIDDIQFTYTNKNCKTQFGSQYSSLYEYHWPGTKIGCDCSK GFNFSSLTEFNIDAFFSESFMLGRICSQDLLSKNCNTVNEQQPKIFNSWNDGSYGRPFVL CARRQLGIDLQSNQTNCQSDHKVCGTGDNSFCVPSDISCPISEIGFTTNSNYQNLSLKND TNIGNIFDLGSGFYFYYIKNTSSLPIVEFRVTEGDKVCRRNLDQNISPNRIDYPLMVSMR KQCENTDQLFQVIHSIDEEQFYLSNNVIYLSEKLPYFNIDTKYNWTLHAKTFIPWAPQCR GELFDQVLQEGANLHHVYISLRVQLGVTIAYFIVIGLIFNVVGTMTACNFAWSCMATRPD SQYNYIFLIEVGFKILLQITEIIVIIVSFAIIDGKRKIIKQINDDGCVSDPVSGYFFTNL ESDLTKFAWSYNLANLVIFTVTLILDLIIIKHSINQGHHHGAKKHHEKGEHQELDVGSNG ESGNQQEIKSDVKLQAKSEPQV >CAK75950 pep:novel supercontig:GCA_000165425.1:CT868219:357297:358030:1 gene:GSPATT00011551001 transcript:CAK75950 MSDLGQLNLKLDLKLIKIQSMPAKKRSVRIGNWYKSDDEKVHFVRKRKAPRPATLRKIVP GQVLILLSGRFQGKRVVFLKQLKSGLLLVTGPFKINGVPLKRVNSAYVIPTSTKVDVTGV NANQINDDYFKRTQAQRRKNEQGFWAKRGELTVEQQTAEKTRLDGKRNTQKSVDAALITA IKKTQLLKQYLGARFTIGKTTRPHELVF >CAK75951 pep:novel supercontig:GCA_000165425.1:CT868219:358861:359860:-1 gene:GSPATT00011552001 transcript:CAK75951 MKEIIILNLGWNGGIIGDHLQEQLATENLIQDLNLLNKESDFLAKSFYYETQNGQFVPKT VFVDSDSQFQKKKIYAKQNFSSFRQMVEKCENLQGVLVINTTNQNYDDYIKEQQQLIPTV PFYFVNLIEDQISYSQQLSLLKCYEMLKEFAEVCLQINGSVFLDNKQYTSYPPRNISQFL SFCLATINQSYKKELDLTTFSQHLFLDYDQKFLSCGFDYTYVNAFSNNFGGINYQEGKFN RTGLIIRGEPNLEQVKWVQEITQVPSYMGILEKAYPGIAQISNHSSNVNILAKTINKFQQ VPKQFFNIDYEVNESLNQIVAAYQ >CAK75952 pep:novel supercontig:GCA_000165425.1:CT868219:359930:360533:1 gene:GSPATT00011553001 transcript:CAK75952 MGKFLKPGRLVVMLAGRQAGKKAILIKANEESTKDAKFPNGLVVGIQRYPRKVTKRMGQK QIRKRTTLKVFIKQLNLNHIMPTRYRLEESTLKEVRDRIERVKEAELKNVEKRKELRKNL RKYLAEKYRTLPAGSLADKKAQSRFLFSKLRF >CAK75953 pep:novel supercontig:GCA_000165425.1:CT868219:360930:361166:1 gene:GSPATT00011554001 transcript:CAK75953 MNQKSKKVHADSQIELKSKNPQRQIRVDTFGQQILKGQKNHKIAFKEHIKTIHIVENWKL YNLDHVDKEDDSCPCQLV >CAK75954 pep:novel supercontig:GCA_000165425.1:CT868219:361324:362168:1 gene:GSPATT00011555001 transcript:CAK75954 MYSQGTQYPNSKYKTQLCRHFTQNGVCALAIRCQFAHGPQELRQNAQQPQSFPEQTIQTN AFNKVQGINPMIVNYKTQLCKHFNPQTGQCKNGPTCTFAHGENELNTMPYLQNQYLLMQQ QMKQMNQQQMQAELTQQILVMILTNMEHIFPGQQQILALLKQGQEKAKSGDTQGASEIIK LIIHDQERSKEEKQQYQQIYNNAQRHYDQKLKEIQSSQQQQQQLY >CAK75955 pep:novel supercontig:GCA_000165425.1:CT868219:362215:362869:-1 gene:GSPATT00011556001 transcript:CAK75955 MEIENNRQKPNILVTGTPGVGKSTLGKLLSEHIEGLQYVDIGLLINQKKLYKEWNQEFNV PEFDQDMVCDELEDAMSAGGMIIDFHTSSFFPERWFDLVVLLRTNNTVLYDRLKARGYED KKITENIECEILDVSKDEVESSYKQNIIMELNNEEVPQLEQNILQIIEYLKQWKQAQLQK QQQQQQIQ >CAK75956 pep:novel supercontig:GCA_000165425.1:CT868219:363186:364019:1 gene:GSPATT00011557001 transcript:CAK75956 MKVPSLEGEMFMTAVYAPSARASCRSCQAKIAKDELRLSNIVDEDHYHQQLHYHADCFQL KPDFKNATYKDIFHVENLTKADQEKVKAILEKLQKMEYKKKPQQKKANSKSKNNKQKKSE LEDGGDSGDDNKKTKKQRKEVAKKDKKLETTKKAESYESQSDEDEPGILVLYDKPQKEEF RKIQQDLEKKTAGQLKSMLKANDQTQMGNKAELIERIADCMIKGCLEKCPNCSGGRPKFN PTQKVFKCPGYMDDTEFRFCNKVYGPKDLKRIPWVDV >CAK75957 pep:novel supercontig:GCA_000165425.1:CT868219:364145:364375:1 gene:GSPATT00011558001 transcript:CAK75957 MKLNSVEIQGAYNSYTRVYTLPQTQIYSIQGVEAPSTMKLIKVCEYSLKVLGESIFITNC STIFTLSIIKHAKRKT >CAK75958 pep:novel supercontig:GCA_000165425.1:CT868219:364743:366680:1 gene:GSPATT00011559001 transcript:CAK75958 MKNSLTKFYTLNSFSQDKSYTFVKLRKEQLQEEIIRINDETEFEDFAEFKSLNQNETIIS IKYTVDQFYDPFQQQEIKVEHYVQELIYNVRRKYPYITVLEKRISQSAQLQCQIQLYNQK HKIVLFNKPFTNTQHILELLKLYIERGTINIKFICHNQDIIALGGQLNNLFEDAFITISQ KDKLQINDKSHIPAKLITINKKLTSNFINFKDLPLNNDYVVDVQGKHFKYVHQEVNFKEN VIELERNDIIQAKYKLIHQGKLVEADKFLVNGMPIIFNGEYRFWTKPQMEYIVNIEKLDF YPKQQMLITKGLGEYRQEIEMIKIQKTILRITSKNIIDSSILDSVDISIDGKFVGQTNKN GTIVIQNLDLRSFVIKASKKGFLTMPLQFDISANNIGQSLLIEMFPDYFSLMNQFHILVV KPKSAKNLSLQLIDLDEQNKSNIQQKIVPYSNGNIVNYGIHIGNFDVFDKQKNIYQLFIK DSKEVLPRCRQSSQNLNKKSQHVNSSPVNQMKTRINNQNSDLKTERGLNQNKKSTPNLEV EHLHIYLSFGMDIVCSQIFEVEKFASKVYATINLHRRVVELDGKAYSCKKQTSQQKTNKR SNTGNILQLLTNQI >CAK75959 pep:novel supercontig:GCA_000165425.1:CT868219:366753:369003:1 gene:GSPATT00011560001 transcript:CAK75959 MNNSSLNDDTHYCCIINSRRNSDSFFANHVIDDEGDVLSTNAVLLQLYKGGLDIEVIKAN LEKVRLNPNWLSQKRDDLEYYIPQFINYLVFKENHKELINFLINVCENHYFFAHLTYFQL KSLSQVVNKKVINLKEVQEFLIEYINIMKAQYGDEYLINGHEYVSHNINKEAIQIYGTAD YDYSTPKLNASEINIKQYTSINGDDQMTDSSIGFSSTINFWNDIIRISERLYLAYPQIIS LKADLQRINENLPSSVYIPFVKDQIRNYVILNIVASESKVFSTKERSPFYICLEIYRPDV EKDQRNDYKQSIAMTLHKSILPQKMSITGSINIDQAKCIVFHIQQDTKVHNISVSQPLQI IEEDVIDETPTVQFYSPQNDDEKSVYQSFLKEQNDDFVSVASSFVQDVQQKSILLGEDGQ HLFGESAKDQEQRIRDQSLFGNLKSWRLVHLIVKSGDNLKQEQFAMQLLSTIDQIFIAED LPMRLSIYEVLSLGPNYGLIEMVKDAITIDSLKRQLWNRQQTLTQFFDNNFSDRHRTNFL HSLVGYSLACYILQLKDRHNGNILLKRDGHLVHIDFGFFLGNAPGKGIEIENKVPFKLLS EYIEILGGVQSDLFKKFRELFYKGFMALRKHSDRILLLVKMMYSGHKNSMPCFKRGDKSI TQLEERFYLQEENQRLNVICQNIINSSIDNWRAKWYDKYQYYFQGIFY >CAK75960 pep:novel supercontig:GCA_000165425.1:CT868219:369524:370082:1 gene:GSPATT00011561001 transcript:CAK75960 MLFILDTMVSAAADTTEISASSFFGFMGVTMALVLANLGAGYGTFKAGAGIAAIGIWKPE IIMKSLIPVVMAGILGIYGMIVAVLLSQKVKNPLEYSYKSGFAHMASGLCCGCSCIAAGF AIGIVGDVGVRGNAQQERLFVGLILILIFAEALALYGLIVSLILSQS >CAK75961 pep:novel supercontig:GCA_000165425.1:CT868219:370084:370531:-1 gene:GSPATT00011562001 transcript:CAK75961 MARTKEGKEMSKKSIAKNSGKKMKSKRKERKPHRFRPGTVALKEIRKFQESSRLLIRKIP FQRLIREIAGVNEKEMRFQSSAIFALQEATEAFIVNLLEDSVLCAHHAKRMTVMQRDINL ARRIRGDEF >CAK75962 pep:novel supercontig:GCA_000165425.1:CT868219:371174:371626:1 gene:GSPATT00011563001 transcript:CAK75962 MSTQQTQRPQKQKLDPADNQLHVSSKKSAKEFIFIAKIFLKKFNSVELHALGEATKISVR VAENLQRQGLITISKINSFTTDIDGRKRVKLVIILQLTQDGKARIDQELQA >CAK75963 pep:novel supercontig:GCA_000165425.1:CT868219:372549:374025:1 gene:GSPATT00011564001 transcript:CAK75963 MDPFYEDYTPTTNNKDIKIYRNGDSNLCCTVTIRDNTKFHEIRKTLQQKWDTYFNRLRLF NQEGVEITEDDIDYIKNGTVLFASKGEEFDESFQLAEYEQLQDIGEGGFGKVVLGRHKQT GEKVAIKMVKQTLTNAQDVDMIFREARALKSLKHDNIVKIYNAFFLQNLQTVYIMEYLEG GELLQYLQTKGKFEENEARHYFKQLVSAISFCHQKKIIHRDLKLENLLLTSKDSGVIKCI DFGISGFASNDNPENADAGSLRYMAPELLKGLDKAVSPLVDVWSMGIILYGMLFGTLPFT GNTNKEIIAQISEGRVMIPTDLINKLSQNCQDCLYRALEPDPKKRITSIELLNHPFVTNE NTLSTNSNSTNKPKLQNQLQQIDEVEIPVQKQMQSATNKKQNLQFSKQHPQSSRQLTQAQ KKTPQQSPLLQKQSQSVGQTTKMTKFSKK >CAK75964 pep:novel supercontig:GCA_000165425.1:CT868219:374067:375614:-1 gene:GSPATT00011565001 transcript:CAK75964 MIQADSDSSRRVAYFYNRLIGKFHYGKEHPMKPKRIAMAHSLIVNFGQLYRSLDVYLIRE AQLEELKKFHDPEYVTYLSQYMSDNKVNFVKEYCSTNNDGVIPENLLEEYRLITKWSQNK NTKNLNAEYKVGDSADNPTFSGLFSYCQFSAGASIDCAHTILTGQADIAINWSGGLHHAK KKEAAGFCYINDIVLCILELLRIYVRVLYVDIDCHHGDGVEEAFYLTNRVMTLSFHQYGD DFFPGTGQLNSVGLGVGRYYAVNVPLKPGISDGPYLDLFKKVTSRVMETFRPDCVVVQCG ADSLSLDRLGALNLSIKGHGQCITYMKQFGVPLILLGGGGYTIQNVSRCWAYETGLCLGQ NIDEPIPTNDVYYKNYSGDYHLHFPIQEHVENKNKAEDLNKIVSQVYDHLKNLENAPGIH FHDVPYSFYPNMDLENEDDNKINMDMKQEISLSELEQEIGVSDEANAISVNSGSRKIHSK EV >CAK75965 pep:novel supercontig:GCA_000165425.1:CT868219:375957:377268:-1 gene:GSPATT00011566001 transcript:CAK75965 MKSLKKDIEKLYPQFNVVEVLRCNKFKKTIILKRLNKPYILRLYSLEDINKNTVTSLLQF IDKLSKTCNPHLVKFYEASHDADNTYLGVISDYHDTQYQCPLKEQEILNVLIQICSALEL FHPKNPHGKISLSNIFCSQQTTILGEMNILQYLYQENYQDIYLLAPEFVKVRKYDCRSDI WMLGVLVFQLMFKEQPFKANNISVLHKQILKGIKFTYHPQYSLNLNNLLRILLCYDPEIR PSIGSVKLFAEQALISPEKCDVLQILSKFKIDQIVLQQKKKEPRRNVMENQIYLNNKVLK YPSFKPSKILKSEKKIKFTNPSPQRQGDKFSNNDSCLDIKQQLVTLQLELGESKKNLSFT EKHSQSSKKLLNQTQISQKQYYRNYDYVYPVQLPKVVQYSFNKITFDNESSPMKQKEQQY L >CAK75966 pep:novel supercontig:GCA_000165425.1:CT868219:377595:378688:1 gene:GSPATT00011567001 transcript:CAK75966 MQIITGDETGLLKLIDLEKKEVVRKYGEQGKEFKIIQILTLKISDISFFLVLREESLVLL DNELNEITQISIDASPLKAFCDSNHDIYIIYTNRKINHVKYDQDQNIFLQQANINSQDFL PLTNCKDKYVTSAAISNDQALLLVTYFGAPPSIFNLKQKKLQWQSRNVKNDELDLQVKMH DYDGLFLDDYSVGVITTHLTLRIYSILEQRSQPVAEHSLKHSKTKIKIIRPYNEKYYMIN ERGEILIYKKNFTFERMIKGTFGAVRDVAFNKEYIYTVSIDRFLRVYHNENVRIPLSINL SQRLQAINFYCAEYKDIEIEKKQEKIWTIQNVKRGQGQICWNEKTHHQIAIFGQDV >CAK75967 pep:novel supercontig:GCA_000165425.1:CT868219:378750:378905:1 gene:GSPATT00011568001 transcript:CAK75967 MEIKQEYNEEDQQPKFRSIENKKISKQQQKKKILGLKKQKLLDNRPNRKMK >CAK75968 pep:novel supercontig:GCA_000165425.1:CT868219:379173:379861:-1 gene:GSPATT00011569001 transcript:CAK75968 MLYSIRESEAEGNISTDSYTANKSLNQDTIFTKKSSSKSLPKRQKDLDEEIQNLNNVITQ LRKVEQLLLNQVKDLKNQLEIKYKSSNTDQECIDELNKELNQLSNKLHHEIMHNSQQQKT NIELQKTILKLEQDLITSNQKNQDISEELQIIKSKYSHEHKFVESLLHMVISCHPENSFR EQPSLKQAWKWLKSILSDYLQLKQKTRNYQEGSSQSSSSTNK >CAK75969 pep:novel supercontig:GCA_000165425.1:CT868219:379867:381092:-1 gene:GSPATT00011570001 transcript:CAK75969 MKVFITLTLLYLTIANQGILSTVGDQVHPFQSVIVALSEVASKDFNFQELFVALDELAES FKERKVEENAFYEQEYQQYQADVQYYQNQITDFKNKIAQLEVDVKDLTDERARLQQLLTE AKQDLYDATKLFNAKEAQINSDKSIFTRQFNEYADTIAVLDQAIALLKEVKDETSLLQKS DNIKEISSKMHTHLKQLSSKRVFYQPLVKALTQIAQNNYVDQENLNKVINYMNQLRQSLI DGQTSLQNQFDAQSKLQQDILSEIQAKITGIRDVLIPLLQTEIETKDGEIKALNAILNDA RLNLSEAEDNLTATQNRWIERTASHNSLIQQYDNELLAIKDAENALKKGGIFRQ >CAK75970 pep:novel supercontig:GCA_000165425.1:CT868219:381156:381409:-1 gene:GSPATT00011571001 transcript:CAK75970 MGMPMAQGEPNINDGLSASEDFIANMVSEIYSTLSYSLYLMNSFFIGMFYTDLKNVNLIM INDQEITLGKNEKSV >CAK75971 pep:novel supercontig:GCA_000165425.1:CT868219:381548:382041:1 gene:GSPATT00011572001 transcript:CAK75971 MIKNYEFYLQHIQQLVKRKFIIKEKVNKILSSVSKIQRKLIWFFYSIGSNAKAKNAKWAK TPLKKIQKEKGLREPCLEPNLFLFGIFQFAQQTKQQIKIPIKVINAFPIQNEQYQRAYSE QLIFLEWQFQEQREGLCPWILELAQNCSNENNE >CAK75972 pep:novel supercontig:GCA_000165425.1:CT868219:382218:383319:-1 gene:GSPATT00011573001 transcript:CAK75972 MSNRNKKSKSPQLQQPKNIDKDLMKYLIYQKIVKPQAPLELITKTQPDEDSEVEDFKLEI EMKQSQIQFKLRYKSCKINHTFKFPKENYESSSDTSLQSLKDVTTYNLNCDNLDRLTDAT QPHFMKALNERLMKLTDLIVDESQIKTKQHNYAPNLKSSRMQKLRGTNISNTSITKPTEL SLNAASQSQGRESVITKPPSNLGFRATPTLSSEKKNRSKQIQQILKITQQKYTNSQNIGG TFYKKTPQVNNNYININSNINNSIVVTGKRNIQDIRIKTEQDEPEPNLNQYQVVPNSRPK MRESTLKGKKLDISIGYKNLTLDSQYLENYSRNAKSQNKSYKV >CAK75973 pep:novel supercontig:GCA_000165425.1:CT868219:383510:384680:1 gene:GSPATT00011574001 transcript:CAK75973 MISGLLYSPNWTFVDKILRDPQLVDLEYFVGGGPGHDWLAKVVRVDQQKFLGPRAKFERQ FKEDPKTWEVFSDNFYRLTVLAGVERLLTLRQAYGGLKTRFTFGDSNTACLQQIFNQGLF KGYFRGALLNAFQFLTVWAHPLVYSRGNGYASHYLYSSLFELITYPIDTIKTIIYSDVQG RYKGAFDVIEQVYARNGFSHFYRGIVFKLAFNGTLIYHLRNVYEQDYIQQLVSTPLLAIG YGFLTIKTRLQLASTDLSFQQTNQKGRIAANLFAQKTPFSIYRGVIPFLLLTDFFHYKLF ALYSSTAQSRTLDEFLNQYKHQIGSPKDENLWQ >CAK75974 pep:novel supercontig:GCA_000165425.1:CT868219:384795:386341:1 gene:GSPATT00011575001 transcript:CAK75974 MQNRQELQSNPIFQQVCQQLNFQPDQLEPQGFEEFYQANQKKYNMDEEAWIVLWEGQEEK KQNKLQQVLKIVEQEERKAEEEKKKSYAHLLNQLSQSQSTKVQQKQLEKLRAGNLKDLRF YVEQQISELTNKKSFIPRYKEIDIYHERRKIEMDQERDKVLETKILKFTPPLETKKKIDS NLVFVGLDKLAPRQIKLSSYEFDVENYDPEDDVNYELEQQLLDQIEEELRQGKRTDKNVT ENVRRPQVKKKKGSQIQEVQKRNQEQMEEKRNQLIEKQEKEDERVNQRQLQKQRELKQKI ISNFMRQQDKKENQNRIQLVDELNNELLQKKIAEKKKKVDKFQKNKSNYHKQKFQINRQL DDYDMNLNQLLEDYQDGMISANALEQAISDVPVKISAQKAQSQKPQLSQRKKAPKALSQK NQQELVDLEQKHNLELRMLIEREELAELNRQKSINNGVTQDILELFEKQREASKKRIQNT LTKQKMEIQNKLRLHSKSR >CAK75975 pep:novel supercontig:GCA_000165425.1:CT868219:386823:388050:1 gene:GSPATT00011576001 transcript:CAK75975 MDSHTNLRPKIFIQIQNDFKKQFYSDDDAEVTPKRPLITKPSKFSKGEGQQFQTDDSDNT PQKLTSPSSPKGQNKLSKFKQKESHIEKDSDIQFDSNEEDKKEQPQIIQNNQKTEPQYDI QQMSRQMEQKYQNPKSAFQHPPIQKSNSSKQVMIKHINIKEHPFGHLVYGKNINQSDYLR YLQIVQSGLLYAHHSLKGPSEKFLRSKFIRLRESNQRKPKFLILDLDETLIHSCTFRDSP QVTITLQDDEDKVDLFFNVRPFCKEFLREMSNYYNIYIFTASSELYANAIVNHLDPNRQY INDVLCRNNCFETKNGFFIKDLRIITNRHLKDIVIVDNLPHSFGLQLENGIPILEYLCNP KDEELKYLQKYLIKLSKEEDVRLFNKQNLKLLSLIDFKLNI >CAK75976 pep:novel supercontig:GCA_000165425.1:CT868219:388373:390988:1 gene:GSPATT00011577001 transcript:CAK75976 MLSLDQQELIIQRIKSKKPIFKTICEIEQFPKLIKSREAIQKSILSQIQRSVSNTDMDPL AYGQKELKDVMTYLEEQLKTVFLPSLSLDERKKSKFLMKQESKQLDYEESNHPKKQRVDK NKCRKCKQSTIPMILNLLQQTENQDTNPHVTMKSIRQQIVQKSQKKEEQSESKVPYIAFD YSDRIIICKRCRFKFHAECVQVLDNINDWICDYCLDRIQELKNSNQWDYINNKQLKQQIC PTSEQKKINLSLIERIQKKQKIFIIEEMEITPFKPIDQISDFLRKYPLYRGQNGKIKYPV LEKLALDYPEVLEIKQKPQPYMINSNLVEEILKIQTSYQILFPQCQTPQNVNQDYIEKNF YEILMNLLTEYFNEFMQSDVVKFDKYCTQANVQANAFLEIMNYLYDHQEALMKDLIKKTW VECVIQIDQIINYDCESNINKIDFSNLEFSDQIYILSLLTDGLYDLDKMKEQIKQKDSSN NYRQSNLKILFQQNQSAFINCGTYLGQDADEQQYFYFTHVPSSIFVETMAGWGQYTLSDL SELMNALNLQGVNESNLFENLELISQVKLFDNNTTPIKSQNRLIQKQQYHLEIIEVIEQF QITEETYTKYLLSKNLIWIENNKKQNFYQLLKNEKQIQPLLQALKLFFNGLLLLKSNDGY FVKPFKIFKQNFELVNQLAIYIDNAQSQYNVYISLITLNLLLEEYQQYQSQKELKNKKNQ AQRKITQKVNQKEVKNIKKKSKLKHIEDESLEQEQSLQYANSLANKREKRENAGKKQQRF INHSPNINFDQQVKNQKKISCTSCKKQIPQTTQSVQCSRCSKPFHQECLSLRKDYCKDCA RNSSKKQK >CAK75977 pep:novel supercontig:GCA_000165425.1:CT868219:391200:393452:-1 gene:GSPATT00011578001 transcript:CAK75977 MSLFSKKEQKKQPTQFLEFDSLAVKKYNYDSKKANEQSVKQEQYINDLRKAYDQQRVEIE PIFQKIEILQVERKQLMDEVEQYKMSQMEILKKYEVEYQEKERLNKECQKYKQQILALQK MQMPQEFQEKKCQELKESLFNTIKDIMNDFLENYNDQMGYDKDDEVSDTLFHDLLQQIDD SILMFVENLPAQSSRQYQTEEVQHLKDQIEKTLQIVANLQSENQDLVMSLESEKQLKDKI NNQKNTQQQLVGQLQNQIEQLVNEKKTVDQQLLEIQNKYQELQKECQNLNQIKEDEKEKL KGEYIALVNELQKEIQKVQQENSKVLQQIEEKSTELSTLTEQFNNKVRDLETKLNHEELK QEQKCKELLRNERELNQYKAEATKLFQSNNLLQQQLDSFKEKRKQEKEIFDDQKDKFKQM KLQLSQQEQEYSAKITQLEEQIQLLQQKTESTVSSEISINPEIVMEKELQMSKLEQAIQE LTQKNSELKQKIAENKIEINDLNHELEQQKLTNHQHLEKRGIALNELNRLEQQNSLLKQK INDLNSASKQLQLENQQISQMINHSKQQPASTMQKQMLNQSISKTPMVEPHNLDSSMISD GESQISKNSKTPSILMKGLNYFKPKSLPIQFQENSDMKIAVTMIHKQTEDLKYNLEQLYK CFLSTVLLTQNSEDTKFLKFEREINECLKKTQDLNDNIEDFLIL >CAK75978 pep:novel supercontig:GCA_000165425.1:CT868219:394093:397150:1 gene:GSPATT00011579001 transcript:CAK75978 MSMKLQLFPKKLPLLLSEFERCNYALDEQNPRFPSITEDIKKVCLLRKRRSHEEEKLHLE QKLLSKIYIVDQDEMFEKFCLQGSLEDNINLLIECSNGLAFSSQPKVSYNCITKQYIVND AIWFNPKTYQSCTGWITNEFEKAIALLYYQHQQDCIEENNLNKDEDLKRFWIQDLDLRTK ILNQIPQICKEFKNAPKKKNTKQEDILWTQLILKQSSPQNDKQVNQDQNLQMMLQSINES DVSTIIMNNELESINYMPLEKILTIQILCQRRILRLIRDHYKEKLMKDIQNIEGKEKKKN VEQKKKSKKHKKQKNKNEQKKINMNQDKSNSFELADKQDTTQDDVHCNEYEIDKPADSSY DITTNAHVSAKSQLNKNAILEMNLVEETNQILDAQTIIELNNNNQNDDDDNWVVITAPKK QKNKSKQKLATSQEDQFKKQKSQQKSIPSKIKEQSVKSNAHDQDLFSSQKSQKRNAQAIE TIKQDILMVNREKPVKQQSQEIANPSSQDFAKDNQISISKQEETKNISLSPKMIEIVDLS LKIPQEVEKLVQTNPNINTQMDIQNTPQKQPIKEDQIDYAILNQARALMIKKLDMDMREF SDVILGQNQQILKFRRIIYDRLQFVINYLYRGFIHHIYQLDFNSQVCLFGSCATGLALPE SDIDIGITGFEMCSPSQLNLPIQKLTEFLQKMRWVNNIVYFKSIYFFRAITSSAMPLIKL QVDPTISFVQSSLPIGLPYIDLVLNPDEDIPKQIFSVDVSFFQYSGPKQNQHLGLISTDL TLQWLSFYSELRPIVLLFKSLLKKRGLNDQYKGGISSFCIIQMVLAFLECFYHQNQVSSI GYTTYNFLKFYGTEFDPKTTGISYKGFNENPFYELNEYDDQSEITIVSPITNEIISSATS FVLTILQDLKALYQATENEVTFFYEKLKFNKKKKGKKEERNLFQKELNRLRPLFSTTVYN KT >CAK75979 pep:novel supercontig:GCA_000165425.1:CT868219:397221:399094:-1 gene:GSPATT00011580001 transcript:CAK75979 MISKNEDNFTDNVQSERKYYESEEDVQPLKVGDIIQNRFLISQQIGEGSFGSVFKVLDRN NNNATWAMKVEIDEDDENSLLEREIKVLIELRKQQGFPQIKFYGQERGYTYCIMTLLGKN LEQIFRKLGCVMNQTTVLRLAIQMIDRISVMHDNRFLHRDIKPDNFVIESGPNAKMLYLI DFGLSKHYINSKGEHISYVKKAGLIGTARYASVSAHEEMEQGRKDDLESIGYVLIYLATG NLPWMNLQVDSKDAKYTKIYQIKKQTKTEDLCANLPKCFYFYMNDVKSLEFQETPKYDKL KSYFEREIEILNKQTSMNSSQFTYDWERLPEYSKQKKHQTVHVMQNQEKRQQVEIAFNPH KLNQVNKQNQFINQQTKDSQQKSTQNQQLTFEQIMNEKRKGTKKTVYSPTGKSKKPPLLI NIVENSDLNKQNVLSPIKPDDSRLFRMQNHSSTLLQIPQLNSSYQLDSYISPSVATSRLN NYFESEDVVSEGGGLPIWDLCDGSKPEFQKVTGILEGIKKPSLIILRKERKAHTYTVKLM QELFVPPIKLIGDQESNVEGLE >CAK75980 pep:novel supercontig:GCA_000165425.1:CT868219:399128:399683:-1 gene:GSPATT00011581001 transcript:CAK75980 MSQNTNHTISGRMILHQSQKQQKSYPYFKDTRVDSCSYIHMKKGNPYAVPYYELANKGQI DHHNKSVYVTSTYSDDYRPKPNLHVGSDKKTLEPYTMGSFRSRLPEPEAPLLAKNASQIE IGDRHFNVKRHFLSTAHNVYGNFGKFGNVSNPGILAEKTKWHHHLQQL >CAK75981 pep:novel supercontig:GCA_000165425.1:CT868219:399701:401194:1 gene:GSPATT00011582001 transcript:CAK75981 MSSFYNHQPRRKHSQTSFYLKTLHCQPSPIKDYNKDNTQQEYLQNMLRMQQKEIERLEHQ NRNLQILTEHQSKIIYQERQNRTHFIPKIQDKDTMGSQQIINKQRVENPLDKPIRLQRKN TINRKLTVLFQQDDTFKLNSLLDLNITDEELINNYQTDGSLSLIEETLNDEDCFLDVIHN YPPQKVSIIYDKLKKLYHEHNLMFHIILKLKLLIQKGFMIQNSKLLDESFQILRESILTI MNSQEVKIYLIDEEKKELYSRQDNHKYQIGEGLVGYVAETKQILNLKKAKYDTRFAPIDS NVILAAPILDNQKCYGVIVCQEKKNAGIYSEEDESLLQLLSEQGKMILCNVMNHNQLMTA VNKFRHALKSSIQFIQLKSQTLLIQQAQKRLKEMMNSEIATIKLVEHIDGQGIMGEAILN KSITYHHNSYNSQSFNPNIDLNTSLPIFTLPVICNKQVVACAQFTQVRGLVQQSSLSSIE QEVLELFLQSFGYLISK >CAK75982 pep:novel supercontig:GCA_000165425.1:CT868219:401231:402214:1 gene:GSPATT00011583001 transcript:CAK75982 MFIKEAYERRQKQSDGEQEILQTEQRIHKIGSIKNNKMNYDKDEQFFSKEDIPQRALQIK ETVQTFVDPDILGLRKKEWNSSVAFPKNPLSEETHERKLVKIRLGLFDHPIPKEKANKIY EGVETRDNYILAKQGSSKWNVSTETNNLEFQKQLMNQTKDALKNTKTKEEEIIKNYLKPI EHQTKLQLDLRSQKINEKDMRSKIRLDYQMANPAASQQAIDGAVFRLAYENKLSKNQEQI QDKNYTFKPDMARTLKQDLDYKYCHNGVWQKMPDGSEGWSCCMNSTLESKGCITIKIDRN KWDYSSFTH >CAK75983 pep:novel supercontig:GCA_000165425.1:CT868219:402254:404397:1 gene:GSPATT00011584001 transcript:CAK75983 MGNSDSNASIKALHANYMVVRNIKDDPRYGEGRIVKQKQTKQEAFQKEINLTDEDKFKKL KVMLEKKESNTYDNLINVTKLYYHEDSQFCGQFFKIYILLEFIPFCLYDIIEQRLAKKQQ FREQELMQILKGCIEALFILQYQNITHQAIRPDTISYLEQYPFVKLTDPTVSGVLSSFQL VVQDELKQINQNYLSPQLMQSLNDEMQPQHNPYKSDVYSLGMTMLHLSTLNNCDDCYDIP RSKVLHQQVQRRLQQIEPSFSSQYIQILREMLLLNEDQRPDFIQLKQELQGVSTIQSPQQ PYYQEEYVIQSKKAPIVQVQAYEQAVESFSIQQPSQTPQMIMHSEQSAKFNIQQSTQSIR NSQVQKSQQLKQSQQVNQSIYVDRNGVQELRPDICDHIKILPLSEQVSEPSEIDHNIGNQ IGMDQFLDVTHEPDQQENLMPITSFETSQQYQHYQNMQQSKQNQPASYESYKMDYNMQAN HLSNNNKYDYLYDRNLSNQEKLMNYQNDLKRTFEHLSVQAEKVIEVYANGSKYDGEKLNG MRHGNGTFFYQDNGGVYEGQWFENKMHGSGNIVHSTIGTLFYASGKPAYEGQWVNDKFQG KGTLYNEEPQMLFSDFDYGDFDQVGEYWTKYVGQFHEDNKEGQGTLYLSNGDKFEGNFLQ DLVSGPGKYIKVNGQVFSGRWWRNKLQS >CAK75984 pep:novel supercontig:GCA_000165425.1:CT868219:404784:405863:-1 gene:GSPATT00011585001 transcript:CAK75984 MNHQNNRSYYQLNTTNILSTKRVPSLTPPKNYHNSNSRDKITKQVKDKAANNIIKAEGLN FPIQNALFLQQQNTILSARKATINLSESSDKLRKTSPIQKSPNNPRLSTMQKNKQISISQ SQQAIAQVLAKMQENDNISYINKQLYRIPEIESTQKSTINSREYTNSSKHKTEDYQKLLK ENLILQKKVCKLEQKILELEQLNQQLLTLSKPCQCHLSQLKELEQGIGNRNQIQDIVNHL QLQDVDHLNIQSREEQQKKRSSYCQEYSDVKPQNLYDNQNSNQIIDQLIEQYQKNTQELA VFKGLTKVMDQNRLPIPSVLKTLSLVIKI >CAK75985 pep:novel supercontig:GCA_000165425.1:CT868219:406317:407189:-1 gene:GSPATT00011586001 transcript:CAK75985 MLQKVQLWKKVKTNLQSRFSNLKATFDSIDQNKNGSIDLEELTIELKANHGITSNSQITS IFNLLNSSKSQEITREEFEQLWMSDETQQCNDDKELQPIKTYLQPHQSSILQKSGSSQQF SNLFDSYKSNNSPTKYINIQGDFTINQFNTPPLLDRTASPPKVISPPKPDQYPKSKLEVK DDKFREMQRQISNLFTCKTEQPNTTPFLKQNFDGFLHTVKLGGSKKSSVNTSAKTKSPFL SNHISLQNYAFQFNDMKMQFNSKYNGERTNNNMKNFIYNKK >CAK75986 pep:novel supercontig:GCA_000165425.1:CT868219:407271:407747:1 gene:GSPATT00011587001 transcript:CAK75986 MENQTQVQQQFICRKLELEDYQKGFLLCLSYLTKTPDLPFEKFKEIYNNYPGCVYVVEDV QAKLIASTISLVIEQNIFETKYYIENVVTHPDYRGKGFVRELMEKIKSDVKDLVKKENGE NGNENNQISLELYCKQETKGLYEKLGFQEDGFIASKYV >CAK75987 pep:novel supercontig:GCA_000165425.1:CT868219:407764:408847:1 gene:GSPATT00011588001 transcript:CAK75987 MIINISDLFLSFIGGIMIGVACSIHYITKGRITGISGIYYGVITFNWDEFYWKLSILCSL VFSTGLMYQIFGDESIMASSSLAFNEIRQITSLSYTIAGISGLLIGCGAKLCNGCTTGHG FCGIARISMRSFVAFGLFILFGVLSCFITMSEHKEDGEEKYNYNHVSIIMIGLSLVLLSA CLLGAYVNKEQFIDTIVAIPVGLLLGVGVVFSGMIKRTTVLSFLSLQQWNPQFLFAVLGA IVVCYFGFRLLNKPLFDVEFQYPPTKKVTNILLVGASLFGFGWGMTGICPLVGLALFPQF TWQVGLMYLGSVSMGMRIAQCYLNKYQKVDDQVLEFNSNNYIIKNDNEQNDRF >CAK75988 pep:novel supercontig:GCA_000165425.1:CT868219:408852:409928:-1 gene:GSPATT00011589001 transcript:CAK75988 MMEIEDWEKRSENTPLKVHMIAGCLAGLIEHVSMLPLDNVKTHLQVLPDSKFSQTVSSLR KQGLKTFFNGYGAVTAGCMPAHAFYFSSYEILKTLLNVNDEDIHPQAFAFIGAVSTLWHD LIMVPFDVIKQRQQIQEKSFKRTVRTVLKQEGLIAFYRSFPITYLMSAPYQAIFFAANET TKTLMFKKSEHNFVTHFCCAALAGCAAVCVMNPLDVVKTKLQTQSWHLNSSQVKYNSFFG TIKTILKEEGYLGFYKGLLPRLCMQTMSGATAWASYEFIKRKLLPLSHVN >CAK75989 pep:novel supercontig:GCA_000165425.1:CT868219:410002:410885:-1 gene:GSPATT00011590001 transcript:CAK75989 MQIPLLYTIMFISSVYVWKQIKRYFNLSANAKLKTLSVATSVLLMILNQRFLIGNCSSIV ECIHIKVDLNSILFPLICNTFLFLGPIYNQIMDKVLIKENSVNRFNQNQVFDDRMLIRIV LAPLLEEITFRALFYQNIEDQSDFRLITSILFSLAHSHKFFSFFKKERKYRSIYNNEANK FRDFKACFMKALLTTLFILMFTFIFGFYAATVFLKTRSLISVILLHSYCNYLGFPKLKQI FSNTKINIIKSINQLYNLVVLVVYFVGIVFFYYFITM >CAK75990 pep:novel supercontig:GCA_000165425.1:CT868219:410920:412007:-1 gene:GSPATT00011591001 transcript:CAK75990 MLDIQAKTNKEIWQAKKLLYESDGNTKKSLILNLVALGLLLILLFYSIIGSDDKWTCEIE SANNRGHYICVEMRRTMNCKTISGPIRSEIFLKVKQDLQASAIIECPWEIAVSEVRLCFI LLSISSVLIGIYALRKSNKKYGELSFQIGIAFSVLLLISAYFDYISIKASQINNYNLCNL QEEFSIEEKMKGQMECSFSFYNFTVFLELACSIALIINSIFINQWRYNQITELNDQL >CAK75991 pep:novel supercontig:GCA_000165425.1:CT868219:412212:413653:1 gene:GSPATT00011592001 transcript:CAK75991 MFKSLIPIKLFYTSEFYRIYNKISRNKSLSLFLILISQSQIYYLICHPFSKLFQIYAKPL FLFNKFFQNINLDFQFDNTEIWSELFLILMLALQLLYFLCFIHLYYSQRIKVRNQLLRKQ FSSLQQRDSENESNSAINLIQVSEIYISFGTRIYKQLFHYPIIQLAFRQIFTLSNQNSDL NSYQILVLIITYLVFSLNILFYILNETHNIQYSFKKVDYLSRFYSFGTLLQSFTIETMII VVSILDNLGMDEMVTLMIQITLLTLILILSYKNPQYQEELVNKIQIQSFSLYLSIILCIL IFAEGLHQDFSELIMIILPFVFEISKLTLRKQENKTQNLSYENKNFDCLMMNIYNQCQET LGRNGILLNESKQELPKNLNLYSFSTNHLINCENVIDCFCCCYKKQDDRFTSRSIIQTIC KGINKIPLRIKIIEFKQKQFVTICQKLLLLYPIHIKR >CAK75992 pep:novel supercontig:GCA_000165425.1:CT868219:413704:417461:1 gene:GSPATT00011593001 transcript:CAK75992 MYTGMNLLNQMFTNSIENLVKQDFLMMIENKNITNQKYDCFKVFNYIQSINQWKEKFGQI LQMQKQWYMTLLNKNLTQLITDGIHLQNLISAQEQQLKLLFSQNPLSQQCHVLIHLFYKY INFNKRKIEIPALDSSLAYKFSNSINGILFHKEASLVYLTLLDTKGIIKNYTKTFRDALC TIDSEIMNNSINNFIPDIIAEVHDQYLDNFVERGRINIMKSDRRFLLVKNKLGFIFPIIA KVRLETDLGSDFGSSALILPTYQNYHYIMLNKHGLMEEISNKLYNEVILPILCVDLKGLK SLDCLKLIPKLIKSWKSLNQTNVLDQELKEPTQSYIVIPKKRKKQQILLSSQVKERKQTI YDFLKGQDLVNEKYFDNFKEMYMFRITFKIVEFHTFQETIYCIEIQTIKPVRESQRIEIF EKLIEKSEYVNLSRKFIKINQEKMDFKKLKTKKLEVTNQKESLGFISFQPEIYNLDTSKL IEDDYMIRQKEMQIHLTNPMYNLEIDECQNLISQPNSQEKKIQDQQTFRMKSSIGPSFGA LNHFGSNFSNGVSQVFGSDQNKLIVHSSAILEALNENKESIKSKISDEVMGSIDNFLFDA DQMNSISSSQISDIKVKKTQLKHNLFDEQTKQHWTIRIINLMTFTLLIIFNILYYYVVNQ ENKIIDSALQTYRLSNTFQEQLNNFILTFNYSNTNRFNHSQYMKFCASRFQNDISKLQYY SPNIENNSIQYLQISEFENITNLSLFYSLGYFSQYLLSQSNCSNQEYFLEFIARNFITIS TSNSVLNATVIKDGIDQFRNAQQQTKLSFYFTLISLCIAFLFYLIFLIIINKAKQKIIKL FNTISKIQLNCLIDQISIVLHQLDKIDFINEETTEHQFEQIKSKIASTPRIKIKVPQKRQ SLKVKQNKAKENTALIYLFYSALLLLGYFIISSQYIGSQIYQNSFEEDTIYAFRQLLLYK SSQSYLLQQKSFQKLLIKYVQIGKEEMLFLNQWDEALKFMEGQLDVLQSFIQRLNDQKSF SNEIFTNTIKKNACQAFQFTIVNTTEDSQFFNEEICKNLDSLASGLTIQLVAMQQTLQQF YSMNKLNNKQFKSYLSNMETNLTLENDIVSVLYTSHVLTLLHEFVAQQGQQELYINYVVH TIRFIFGLLLYLVLIIISNKNIRLYLHKELIRTKQLFLLIPLEILCENANVLQQLIRNQK >CAK75993 pep:novel supercontig:GCA_000165425.1:CT868219:417511:418251:1 gene:GSPATT00011594001 transcript:CAK75993 MSNQVSISVKELRVIKTPTVNDFSSFRDLQRLPITKSNFKRTYLKGASHRVKSLKEENQF AEAHKRQGNEKLFKFNRQDQLLNIRNMHLHKLAPISTEPYVDENNKIQNKQKIRNADPNN LNLKLITNSINQTMELVYNPQPIIKKSIRFPLQNATQKTEFQNSLLVTQVTHSGLNSPKE TQKKKVELSILKPSHRKCLKDIFIDSIQTTSYGSIRMDTIETKYISPKPNITTSLDSTKK ILRQYL >CAK75994 pep:novel supercontig:GCA_000165425.1:CT868219:418286:419263:1 gene:GSPATT00011595001 transcript:CAK75994 MFSKTNQVSPIRTQSPAIAHKHSNPNNSTQAQSYYTSSKTTPIKMQEQKFETIQQGATIP TQPQTSPEKIKILNHDFELQVLKNEITFKEQKIVSLEKALELANEDRTRLRSVLEQKSII CVNKEREIAKLLATIHQLEFKRNENQIIKELQTQIDTLKQFIQENALNRQSIKQEDTTSL KNKLTTTQDQLAQSIRQNESLQQYVSDLMKQNKELSQKYQEKFLEWQQLQMQFNQTSNYQ SEIKESEQPDIQKNTLNYNYNSSVKQQSQFDQQNEILKFLSQIKQQYLEESPKRDIDDQE QINVYRPIYSFQSTEDINKTERLNK >CAK75995 pep:novel supercontig:GCA_000165425.1:CT868219:419292:419831:-1 gene:GSPATT00011596001 transcript:CAK75995 MFQVLRRVFEGRNHFENYWCHLCKMEIIQRTYNQVEDQQEYCILCESPLEQMEQDTNDTE LRSFEIYISPEAQQSRVLSSWAQNLSEISDFLNILAHFTENLIFLEEQQQGATESQISSL REHVAMIEDQQQTCYICQEDFKQDETELEMSCSHNFHKDCLTQWLKINNSCPVCRTKIN >CAK75996 pep:novel supercontig:GCA_000165425.1:CT868219:420117:422033:1 gene:GSPATT00011597001 transcript:CAK75996 MSIFYQSFIFAYTITILVLSIFAWNQYSDLTKPYVDRIQNWELDPIYEVYKQEVCESDSD DFFKLQQGQTYYTKWTNSNQIFSLCIRRLKDYNFVQKYQIYPKCLDTEELCGGVYVQNKY CAPKGQCPINSMLFVTGDELKKVDKTKYTTQLQINDNFYLVTSTLAESLPINQLEIYGTS PCVISQYTNYDTIFSNAFCENDTAYTLLNQIDEMTFATSNGFTSNTADKVGIYSRSYTQF NIECRQQNLITWGIRTLKDSDAIYPVLSILMITSIIHFGLVGFVQSLYYFMQVQYQAPTN KGVHQGLLLVKIFIQYFHSAMITLAFGLWIDIKLFLQISHDMNCSDTQTDTFISNELDNI DKQWKLCFVMFLLFVAFALLECTAFSICLDKKLKKKIEPKYRQITFSTVNQSQLGTKSDN SNLYMYFKPQFNLQQELNLQQELGAKQIDQLEFPNQILDNQQQFNNNEASISMKQSNSID PSLQQSIQSPIKQQSLEKQQSLDQQKNNQAPYMQQMQQKQSQRLQQQGYIIPQQQIDLAN FQSQQVPIQKPSMKYDIDDQIDSEEQNNRTYQVHK >CAK75997 pep:novel supercontig:GCA_000165425.1:CT868219:422211:422656:-1 gene:GSPATT00011598001 transcript:CAK75997 MQSYKEALQQFQKNSMNLPMTEHEEKLNIMLEYLLHELEKDKKELQMLRQEIIHESYLQS SINDDTSTSFIEEIADFTRNFRKLAEEANAEVHELSNQVDTLKNEKARIKKQSNLLDHRV FEMEKVLGVGLNQAQSQEANN >CAK75998 pep:novel supercontig:GCA_000165425.1:CT868219:423145:424252:1 gene:GSPATT00011599001 transcript:CAK75998 MRIAQHSKSCQVLQHKKIFTFNDEELINTSESTPNSSGNTEKPQLLVFRNQIMPDSQIAR ELHEASIQSHWYVETPKEYVDKRVVKLKKQKLEKVVVLDLDETLIYYKPNQTIVRPFCQE FLERLSRICILVLFTAAKKEHAINMLKIIDPNKKYFKAICTSDHNDWQYIVIVENSPKNF VAQINNGIPIIPFEGQQNDNQLELLLSFLEIVLLVDDVRVQLANIFKLQYFYKELNGKQA INKLYNLKKNQ >CAK75999 pep:novel supercontig:GCA_000165425.1:CT868219:424293:425287:1 gene:GSPATT00011600001 transcript:CAK75999 MSDYYFYKQEEKQKSHRKPTNFLSDSEDTNSHPQLRNAQRFFRKPKIIQELIKLPQPQVQ YITPYVPEPIPIPEAIKQIDKKIQAYRRVMEITKKQKELQEQQRNVENGFIQKQSVDFLT NPNIQLQNQQDDENEEKQTQNQNNQQDIDKNTLQLENQGKPRQQYYSESVKNRDYIYDNM IERLYAKKQNPALFAKLQKKAPALNITSKNKIQSGSGRMDMEEHKLRVYNRENVPTIPEL IIPTFMIKKDSMAKNILAYLKDYSPIYRQQNHNEYPKCVQNILEDENDVINNHDKK >CAK76000 pep:novel supercontig:GCA_000165425.1:CT868219:425366:426394:-1 gene:GSPATT00011601001 transcript:CAK76000 MITSSREHKIINGFTRPKHPFQVFTMIFFIQLMCCVSIAIVPITDLIIQIILASFFYFLA LMIFFYAFKTSYIDPTDDLIIQQRNGLNIALDQELYDYFCQFCDSYVSGTTKHCKVCERC VSDFDHHCKWLNNCVGKKNYQEFFKLLVFVSLFGITFTIFAIFSYIFQSPRMMIWIWINV GLVGLLFLLNFNLMIFHFWLKFKGITTYSWIMQNRQKKFQQQVQIETPQRFCCSSKKVRN AQVNPNVEQEEDENQRQNIEMKKNDEQSQIKQQNVEIEGDSDSIQDKNSKRKCSSHTINP QINSQIQD >CAK76001 pep:novel supercontig:GCA_000165425.1:CT868219:426395:427690:-1 gene:GSPATT00011602001 transcript:CAK76001 MGRQWGYILFIFIVFNESTFLINNYMKFIIVVLFALAATTYASKTQDQILALLQTGTKAS DAIDTVFGLLNDLKQSNIDAQFAADQKNETDEWVGAQTIEQFTKIKSLNQKLFSQAIENR ANYEEVLKQTKNYLAWNEARRDEIARKIDALQDNQCFSNQLFVKSIKHNQEALEVIRLLK QDVAGYIINGDSFEFTQVKAQSVAEKLKQYSNLFQDHQIKSFLALANGQEEGASSGHGAT LAEKVLGVLEGLESELSASLENLKQNEINASWELAGWVSLSEAEISSLEVEYERKQVFAD RTATQIQAALAQQAKSKIILQESQDALDQAQADLESRRADYAEAKAKRQEENAILDEVII MFKKQVASWSGR >CAK76002 pep:novel supercontig:GCA_000165425.1:CT868219:427860:428066:-1 gene:GSPATT00011603001 transcript:CAK76002 MILDKQCDIEWFLLRSLIESKKNENQKEKLKEQSDISQKIIESFQKKDDIKEFDSEKKEK LLKIYFKK >CAK76003 pep:novel supercontig:GCA_000165425.1:CT868219:428950:432304:1 gene:GSPATT00011604001 transcript:CAK76003 MRDNFTLNKQNDFHSNNQNEKRKDYITFQIQKESKRLIMQHRQNGDIRQKQDSNISLLKK NFQKTTTDDQKSNDVFLNRLPTDQFKNLQISKEKQTGQAGNNKNTEIQTAKYQLNNHISD QFAQQQQSIKPINKPTIIQTNNEPKKVGFTSDCVFWDSSKKKKNTDNQDQIKRNKLPNRE AKKSFFLMEQELERKKLDSLDNKYLQEQGQKQVDELLNEGQQYSSIAIQKKKINEYKGSV QIKSSFEEHIDSFQQPENQVFEYNKLLKYLFMKDYFENRKQDFEFNQIPTNFSSWESYSK IFQQFFLNEACAQIKQSFIEFMFKLKKNNKYRKLQIKLDESEANKDGTIFQIRKLYEEQN IEQNQQQIDEDNKQTQCLKGSSFEETKDGYCELTTLKNYLVIISNKFQIKLGQLNQVNEK TMLFFGILIEPQKATVLQQIKVQTFVQRQKFASSRWYNVFLIPFMKITTIIREYQTLSQL RYMMTPLFNIIYDPNRQQHLLGTEIGGSWTAQFRNQVSNSEYLTKFFKLVDQKFNKSQAN SIKEILQQEKGISLLQGPPGTGKTHTLIGILSGAYEYMKMTDKFPRKKILICAPSNAAID EIILRIMRPDSLFDSDGKPREVKVIRIGLIDEESEFSDTVKKVSLEYLAQNMLLKSQIIK QEADQKTTADLRIDICKIQNQIKKLQKIKKQDLTDQSTYSEQLSNLKSDLSIKQQYLERM RAKKIQYKESYNLFCEKILNEAEIICSTLNSSGSEKLSKYMDQIELLIVDEAAQCTEPSN IIPLRLGVEKMILIGDPKQLAATTFSPSSTTGFYNRSLFERILDNNFQPHFLNIQYRMDS EIRKFPSFEFYQNKLIDHESVIQRKLPENYFKKQMLFLDIIDGQEKRDNTSYINEKEANL VIQLINSIKEQFKTQTIGVISSYKAQVKLIQTLIKQSNTRLKDIDNKILSVNTVDSFQGQ EKDIIIFSCVRSSECKGIGFLNDGRRINVALTRAKFALFVIGNGLTLSKGQLWRNLLQNM QERQLYRKIQITEEFSFEKILNDEWEDSDRQMSEKLIASISSQKLSKF >CAK76004 pep:novel supercontig:GCA_000165425.1:CT868219:432370:433526:-1 gene:GSPATT00011605001 transcript:CAK76004 MSLTKIFYVPEPLKPTPNYREIVKKLIDSRQEDKQKLDEYLDHFQPTIDETREKQDSYLG KRYRSILQALERAKNNLIKYNLSLLELQDKIEKSVEIRKVLEDVNNYKTYSEALTENINK LQNQISEHTTRCKNLKRVIEYKHAALNKQNSKNLVLLREINAARHQQKKSKKTSDTQRTQ YQTTFYPQKPLSQSSQKQEQLIDPQIDQIKYENKQMRYQLTSYRNNKYLKMDLFNECMEA YKKYFSKSQKVVKNSGLQHSLLFYIQKAQFSLQDNVANNRYNHKNLKSVMAGRQIRNLVH DTLKSMAEVKQNKQNPSFDNLQLEWEIYKDYSAMQIVALMCLKPKIFTELAQMFQQEVLH >CAK76005 pep:novel supercontig:GCA_000165425.1:CT868219:433912:435069:-1 gene:GSPATT00011606001 transcript:CAK76005 MPIEPFNHKICLMSILVRYHQVRQQLSSNALIVLNLLCSIIGFGGALNALDYTKLFQKSL QMANPSSLNPFMSNQLICQMGLGNQKKTNTFNNPITVEDDEPQQVQKCHNQKCHNKGDRK AKSRKGEALQFCEKCLRLYNRGNYCDFCEQVYSNGANDQDEQEWIQCDACEKWNHLNCEA KFRNQNIKEETENKVYHCLNCSKTIKKQQQNSQPQKKQEKSTEEYQPKQRQIVECDDNRM REKNINFVATRDNKVLYSKFINVMKLQAFRFNLYEDEIKQDLDSLRNAGKKMVKKQNLAD SPIMKINSTPQQQQQQQQQQQQQQQQQQEDKNSEFQVNSRLRTRPNNKNKVNYRYLGGE >CAK76006 pep:novel supercontig:GCA_000165425.1:CT868219:435914:436207:-1 gene:GSPATT00011607001 transcript:CAK76006 MGCTKQKQSSPARNRQDDSLTNRQPETNYTQNVIGLQQWNEKQIRSKLKSGKCQKYVLHR VTLENSIFNSTIMIRRRNQENLPSKMSI >CAK76007 pep:novel supercontig:GCA_000165425.1:CT868219:436948:438845:-1 gene:GSPATT00011608001 transcript:CAK76007 MSGHQIITQPQSRSQYQSNNNILTAQPIQQQSQNPLNYPSNAIPAPFMPPQMGQQSYVQS QVYQPRPMENTVRIQQQPEVHCPFCLTCQQHKNDLLARQEAQKAKPQQGNSNFPEYEYGV CPDCEGVTGDGDPEDDIGTENQKLRDDIAAKAKANRKLRNDVARLQTELDRIKHLPEENE KLKAMLGPLEDQLRDARHKNQDLLDDNNKLGNQVKDLRNNIDKANNAAKDNDSMKKEIED LKKKNKDNDKVLEENNDLKNKLNRLKGDRDKMLADLAALEKQNGSIKDKLGKLAKENNDL QKDLGDLKKTNKDLEKKCNDLQLDNDNLHLNLGDVKGDRDKTKDQLNDLHKNRNQLLDEL GKVRDKLKDAEKDKDDLGKKLNNVQNDAKKAGDVPKLKRQLDNALLELDDLKAEREELDQ HLKQVHDDYNKALKVLKDNGLLGLLDPSEQQPGQKPNPNGQFGPTAQNQPYNPNQQPLYG PNGQPLYGPNGQPLYGPQNGQPAYGPNGQPIYGPNGQPVYGPGGQYGPPGQQVNSAYLGT PNQVSQNKMGVSPMNQSNQWGGASGNVGRPSYEELMLDNIRMKKCIDQLNYDLKSSKK >CAK76008 pep:novel supercontig:GCA_000165425.1:CT868219:438994:439877:-1 gene:GSPATT00011609001 transcript:CAK76008 MEIGVQKQTDEEKQVQKGQFNKLNDSGDKRQTGYCGFLTVEYYTPYFNVTENDVIQRVKA TFLPFRPDFLNITKDNPDLWGPIWINATLIFMITAIANLRQVDSEKENQSFDVGYVPQAT ALLYIIAFGTPAILAVVMKVLGVDLSFFQTICLYGYSMSTLLPITILCYFQNELFLWLII TYGVGNSILFLIFNLKEELDKLQIQKKYIIIAIVVTMQLSLYLFYKLVFFSYVSEGNVET TTTSASSEPKEPAN >CAK76009 pep:novel supercontig:GCA_000165425.1:CT868219:440038:440896:1 gene:GSPATT00011610001 transcript:CAK76009 MQDDFVYHPEIMKSQLSMLPPIEQLTLQQLANKRKINFNMMLSLPFLLKDKALEDRKDTI AIYRKHKFEPLKPQKHKVTLINSGRIHCPEFWSDKYQSTALRGSHIDRNLDKYRQIIKNN IQDYLVKFEMQAKEQLEESKKIKYQEIFKKQCSISDEECAPSNNELNLSQCTQDEIINYY RDVKRQDSAKKTSLRNLDKITCSSVKQSFIEKFDKVITTCDRNSIPSNRNSLDSINTCGY RNIKQRNRHVVGSKTCRSHYN >CAK76010 pep:novel supercontig:GCA_000165425.1:CT868219:440936:441830:-1 gene:GSPATT00011611001 transcript:CAK76010 MRILITNDQDKNCKQYPRLIAKDSSENQQVKFQKIEFYNKLHKQVKPSVVLKSLHKTNNA IHSYQLIKISDDFPRQRRKSFNEGMNSQKILNIDLKYPRTIIQQHQFLDRVKDLRRRAIQ GRMKTKFQKSFKKIDTQNISQFNPSNQLNSNRDSHFASMQDIKVLRTFTKDRTYYQRPLE KKCSDLISDSPSEPSIQLQQTRKNIVFVPKQKKYVLLQLNKYKHKPYEQIKRDSIIKFLS ETKETRIHTAPQTAPLFQHRLQPYFANQKKVNLKKSSFTSSSQRVKTIM >CAK76011 pep:novel supercontig:GCA_000165425.1:CT868219:441843:442629:1 gene:GSPATT00011612001 transcript:CAK76011 MQTSIKGNNEYTIINNFKFQFTINELTASTFSSSLKSYPTQYHNLITFQSISIPLSDSIH SSCVICPIVELQESCIQQLDNEPRQISRERENNQIPYQQVCPKGFQSLRLLSQPDGNSSK SPVAPVMQSQPNIRPNQNSNSLQQYIDNALRTQREEMLKLLEQQNKQIDYMHQQQQLIIQ QQQSQQEKKKEPVNIESQLNQVKEQLNFQITQTCQGYDPQNTETGTNRLQGFASANREVE ISTLSNQPINEQIV >CAK76012 pep:novel supercontig:GCA_000165425.1:CT868219:442694:443342:1 gene:GSPATT00011613001 transcript:CAK76012 MPQRNSLDNYDQYIKKYEQFNKQVNDYLINRKIPDHLSSILNSSKDSNSEIHEIEYISSD HPLTDITNTHNIKQNKSYQSQPLQQIAQPSLTQQFMTQKTTTQSHNLPNEDSPIKALNEQ MMIDSLDNINVHDPNNLQITKEEFDQLKSQRMSTIHENDDEDEELMYQVDENGFILSQDG HPLIDDTGKRIQLTKQELQFYKNKINS >CAK76013 pep:novel supercontig:GCA_000165425.1:CT868219:443364:443681:-1 gene:GSPATT00011614001 transcript:CAK76013 MNYQKFRQNVDFYLKPHKEKLESPKEKSFVSPIRIKTQNSNQESGNKQKVIPISNMMDRI NRVILDQRVKSPITTQRKVGQQNKENHMECFLKRQGCLSNYYKQK >CAK76014 pep:novel supercontig:GCA_000165425.1:CT868219:443824:444517:-1 gene:GSPATT00011615001 transcript:CAK76014 MEKQKRKKHGAHNESKSLWNYTLSPGWSQEEVKILKLALQKFGIGKWRSIIQSECLPDKS IGQIYIQTQRMLGQQSLGDFMGLQIDLEKVWIDNNQKKGVMRKNGCVINTGDNPNKEERK QRIEENRQKYSISQEEINKIKLPRFKNDCVAKYFTIQEIENDQFTTIEKLQHFVNLEVEI EKKLKKILFIKSNANGNGNGNHIANNHLDEQK >CAK76015 pep:novel supercontig:GCA_000165425.1:CT868219:444592:446248:1 gene:GSPATT00011616001 transcript:CAK76015 MSTVTNESKKKESIDKALLQQQREQLKQTVLERFIKDFGKNNKNKIQIITNIVNEYFSKT RVTDVTLKNLKQQVQQAIQNAGSTTQSQAEQSIKESQVTQQQQLQQIPQQKPPSSQSRKS NQQMQTAAQQHHDVYSETSSKAPKSVYMMEGDEDDEWATLVKFDTELYKKEKELELIRKQ EFKKKIKSELDRQINEKQGKKHEEVQDEDAYVKLHHYQLNVYDQREKDKQDNLKNKIYNE KLQRDKQVRDEQQRKKVEQKREKELDSLLVKKIREELELEQREQLNRRNKERERFLRMMK ENEEYRKKALEDAKLEKEAETQMQQQYISLQNQLEEQRELERKQREDKMKKVMGMFAEGV VRDQKELIKQEDDKMLRNIIQQNDREKIEEEKKKLKQLDQRQQLRSFLNSQIEEKKRRQE EEEELNKRQAEIWKQDLDNYNDHERKKFDYIKEVNLRHADILKSQIQEKQGKIKQKSTKM NTAELLQNKDKLKVIAQEVPDLGDKVKKIEI >CAK76016 pep:novel supercontig:GCA_000165425.1:CT868219:446302:446964:1 gene:GSPATT00011617001 transcript:CAK76016 MIPNREQQLNQKELEALNQKNKIASTLIEDASFNTMINKKRAELSDKEFLKWLDQIKKSD QQKLISIKVAGQDTDKLLVNKPKQGNMKQTQKQEQLKSFGQLKQQLELENIQAQIKKNAQ KAQNQIDRQRLGEFATKDNSNKKRVEDNQKILEEMLKEKQKEQERQDYFINEEKYKNSKF HSHDQAKFESNPDKLKYQSKNESKAKLLTKPFIPSYKKEL >CAK76017 pep:novel supercontig:GCA_000165425.1:CT868219:447544:450739:1 gene:GSPATT00011618001 transcript:CAK76017 MQQEADYNQDEKQVYQLRQQIICYKQVQRNHHIENEKLMFPYSKDQWEAEREKIFQNSIQ YFHDKIDKNEELKSIFRDRYPKLKLDQTCDDMSLFSERMQGYLEKRRSDIEQELKKTHQN DPKYVSLKIELLFINSKEFYLKVKESILNPLLQEENQAVLQSRMLERLLLDRNYFKRDKP QRRAESKLSDKFELSMIRHEQARRKKIKQKEFMSAIFAHQIEFMEFHRKKYKHARKRSVQ FKVVLEQREQQRDKQMRMEHIRRGNLETYIQVLEKLDEAKKERVVSILRQTDQFLKDIGA RVKIQKGEEIMEEDEVIENMNSSNGLGYELSQANKVYYNITHKIKEVITQQPTLLEGGQL KQYQLQGLDWLVSLYNNNLNGILADEMGLGKTIQTISLLCYLIEIKKNFGPYFIIVPLST LSNWSNEFEKWAPSIKKITYKGSPQIRKEISKQMRTTKWNICLTTYEYVLKDKLTLSKYE WKYIIVDEGHRMKNSRSKFAMILGQQYQSERRLLLTGTPLQNNIAELWALLNFLLPKVFS SCEDFEKWFQTPLNIMGASEKDIQLDEEEQLLIINRLHQVLRPFLLRRVKKDVEKELPRK TEYVIKIKLSAWQKKIYDQINQRGVMTFDQQSGKSGSQALQNLMMQLRKICNHPYLFMLN LDMNRVTDEIWRSSGKFELLDRIIPKLLYFKHRLLIFSQMTQLMDIMEAFFEYRGWRYLR LDGSTKSEDRESRIQLFNQENSIYNIFLLSTRAGGLGLNLQSADTVVLFDSDWNPMMDLQ AQDRAYRIGQKNEVRVLRLITATQIEGNILSKAEHKMGLDAVIIQAGLYNQRSTDQERRE RLQDFFRQKNKVDLFEAEEIPDDTQINEWIARSEEEFEMFNELDRQRYEQEKLIYKNFNE NKDDQYYNYRLIQDDEVPEWITSKQNEVQEVKEYGRGQRERKQVVYYLPEASPILEEQQD DMNELDFKMDDQIDIEQNQVFQDDLDLPKIQRKTKKLRQVDNDEGNSNNFDENEPKLKSK RKLN >CAK76018 pep:novel supercontig:GCA_000165425.1:CT868219:451365:451893:1 gene:GSPATT00011619001 transcript:CAK76018 MVQVKRQDRRKVYEYLLLEGVIVIKKDMALPLHAETGVKNLEVWMLLRSLRDKKLVDLVF SWQYYYYYLKAEGVKYVRDKLGIVEDVIPATFKKADKKFDEDVPEQRRGPRGNRPFGRGG NRGPRRAEEGTETAQQ >CAK76019 pep:novel supercontig:GCA_000165425.1:CT868219:451894:453454:-1 gene:GSPATT00011620001 transcript:CAK76019 MQRGQDEIVDELTQLKIERAKRRQRKEWELKMMGKCISWLIYAYVAGIIITGLNYILLEK GFKYEGIVEDSCQDFQTLKSVNDQIFPLLDELTYKKYFKIFRVNLENDCPFSIGEYICTS RKCVICTCNTSEIPSNWLAPVSSPISQPKDDFAFWDSERYLSPSEWIWHVEDIENDKGVY VDLKLNPEAYTGYQGQHIWDVIYKENCYQGSLNEMCREKRALNKLVQGLHTSISTQLSEF YVDLSTNRTYPNYSLYFERVGNHPERIRNLFFIYSVLLRAVILASPGIQKHDINSMSFEE DTRSKYLLNTILSLGNSQCSKPFDEQQFFNQITFDQKKDYQRYIHNISKIMDCVECQKCR VFGKMQTYGLGTALKILFSESPSEFSGKLKRNELVALINTFGKVSSSVNSIDLMFERRAR YYQNLILTITIMGGVFILFMVAMRKIYSEMDKKIQNMFKGMPSDNPQKANKNTKSKRD >CAK76020 pep:novel supercontig:GCA_000165425.1:CT868219:453554:455769:1 gene:GSPATT00011621001 transcript:CAK76020 MKYLRFLMLLNFDNINLHYYQQFRYLLYQKREENYKEIQYYGNQFEGFQSFSYIRFNLLI TKDYSQQQLILLIVITYKQLQFNMLASRSDSQLRSLENPDKQTMRGESSKRSDKLLEIPR KKLNMRLYTEQEQKIQNLINSKTVTISIIALVGIYAILIFVIVALEELMDETQFNNVSVI LSWIELGILIVFILEIAVGLYAWGVMKYYKDKWLILDTLIILLSLFFVIFELADQKTSNV VKVIQAVFRFLRIFLLIRKAQTFRRLSTMSTISTPAEKIVRFLSELKEVIELESMKLDID YCIDKIGNNQLYQMGKLDDDNAEAMGWLNQSQQGKSSVRKIVTVEQQQQQKKVLANFQRL NIPKRVLELLDKQHDDLYIDPFECDKLSGGEGLVYLMLFLFENYNLYDVFLIKPKVVRSY FEEVMRGYQDNPYHNRVHAQDVAQTCNFLLNRCKFIEIGQLDEQDIACVLIAGAIHDYGH PGLTNAFLINSKNELALTYNDQSVLEMFHPSQCFKIAWTNQKANIFESLTFQKYRRIRES IISMVLSTDMAHHASELAITNSRVAAPDFEPYGKDKQRLMDLVVHSSDVSNPTKSFEIYK QWTERVLTEFWLQGDKERELGLPISYLCNRYTTNMAEAQLGFIDYVVKPTFSCVQGFLPA FEPYLENLDKNKAKWQELVGYYKQQLASIQTQ >CAK76021 pep:novel supercontig:GCA_000165425.1:CT868219:456106:457941:1 gene:GSPATT00011622001 transcript:CAK76021 MNFEDKQNDRLYKNRFYIKHKISAGSFGVVFLCQDLQTKEYVAMKVEKEDTNSMSLEREI QMLDELKKLPGQKFAKIGIPKIIWAGNEHNHNCLVMQLLGRDLSYHLKALKRFSLKCVVN ISLQIIQIIEGVHKKGIIHRDMKPENVMTGKDQESNQIFLADFGIAKFYREPDGTHVPFK DNKSFVGTTRYASIGAHRGFELSRKDDLESIGYMIVYMYKGTLPWQTYHNVAEKEKTKMV GQIKQQTSLEELCKDCPQEFYQQLSFIFICRYFHYVKQLQYKSTPDYRYLHSLFEQISIN NGFKHDKRLDWTEFSQGTTKISGEQTQQETKQPVRSSRQDDKFKNVDYIPSKRSDINNLQ KAINSNRNRSRHQSEQCVNKSNNSGNSFSSSYINSQQSSILLNYQNSQFSQNNIRIGSSK LLSKLQERGIISLHQDSKGSLIQQQSSKSFHERHMSSHLQQQLIPQQQQSFHNNSQIMQL QQEDFQDLEELEERLKNDKKSNQRNQAHKSNKPLKVKEIQNHFKQPDNQTDLQGMTQLEE ASIENKLQNYTSYAPKLKQNLAKY >CAK76022 pep:novel supercontig:GCA_000165425.1:CT868219:458474:459762:-1 gene:GSPATT00011623001 transcript:CAK76022 MIHSLKPKKRCQQICYEHQFILIPKYSECWIKSQITLDYCPVYNEFTGQFENIVPVLNCD RQPGLPTFILEYDRTQYQFNLERYQQEHQLKIEEQRIKKTKMLVPKPGKKHRYCGVCKKN YEEYLDHIQSQEHINTFNTYRSVNLIRTLIQGFQNVINHEFSDQKQSSILFCDSNTKIPM NKENFVAYFDQERIGVRFQKQQIKDIPMLEYKIPSSKRGRPPKTKQKKETIKKAKVQEDI PIIPHFQPNTYLDFYYLQQQYLQAQQQMQNQQQQYLCYPQPQQQYFRDQMQYFNQNSQLD LQFYQSLMQQQQHFLQLKEIEERREYSDRSSLWQKLIQLTNYQQPTQNEIVQLLHTIIEQ YECNPRNNQNQNLNHNNNDQQFQ >CAK76023 pep:novel supercontig:GCA_000165425.1:CT868219:459795:460368:-1 gene:GSPATT00011624001 transcript:CAK76023 MQQGYINAEMSDFIIQHSTFINIQPNFDLDKLQFISGYFGPFKINQIVEVPLWVAIELKK KNKCRVIPPEWLTIERLQLKLDEETINELELARMEQYYFEISSILFSYCRDDIKDDDRIK LLLEDIKTRRESKIQKKVEEFITRGSDAIKINNLNQHERNKIYSNFYGDLKKLRQLKLLA ES >CAK76024 pep:novel supercontig:GCA_000165425.1:CT868219:460415:461711:-1 gene:GSPATT00011625001 transcript:CAK76024 MGYFKNRPPFQKRIANSSLLSQSDEILLDDLLFLISCFENNHSEFITKAPSYYIDQYFQL TCEQEFANSYIIRNKVLLTCHLMLHEFQLGIDFADHFLNQKFAILMNRKSPSVVKTNQQK EEWLSANIQLSYFQYLQKLAVNIQVFHACKVQQYPLFQEGQKFIDIQTKLLWLFKISNLS QVNSGLGQMDTLKEALLMVPEDMLLKEIVLVYWSDIIVFYNFLSKEILQLLDYYRHLATN ICLQFYELYLQLFQIRNSISDLYKYRKHFDRDNVIKQPKWFDVNKPVHKQIEEFMLKQKL NMGNHTDRAFQKPQMSSRGSKSQIALDTRIDLSKVPRKGGAMKTHRAGRQGDSDGDDEDF EELKMILQNSNMQSKLAKKKQQQQQQQQDSQVSFQKQSQEEGTDLPKGD >CAK76025 pep:novel supercontig:GCA_000165425.1:CT868219:462648:464615:1 gene:GSPATT00011626001 transcript:CAK76025 MKTISLDQSQTKIKILQQVITIPDVPCQRHAKEQLVSVCTQGQCREKGAICPLCQVNYHH MHLEDTWNLNYFVQQIHKQIESLQPDYKAINELLISFKLKISESIKSLILQLEIIDKQVN MILQKSKQKIDFDIDEIKTTLKNLGKTSQIDNFGKKIVKLTQYFGIDPKTHEVYRTKDYE DLQIQKMTDLIEENQQYFEKVKERITRLLTKTLDKFCNFEIPQMEMVVSKQFEPKQLRCQ QVIHRAHQNWIRSVSMFKLSEREIQKVKETAQGQLSEFDQSLRYGQMAIVTTSDDMDIKV WLDSPDDHKFHLLSTITGAHQREFFGLRIHYCDINLITRLSNDISCNNLIFSAQHGLIKV WDWISKKCVNEIKTQSFFTYDFEIVKMKDLGICFAHGSKNSIQIVDFTSPFGMLMIQEIN PDEQNKDISTFRKISQVKEKFDYLAVGFINGVIKVFKNDGKLLYKIQRQDTELAFCVEFF KDCVKENPKILKGSIWSFLVIGSDSGIKVRFKYYKKLVLMTYKQENLFGLTEIPILVLQE DSSSTKTILLSQSEKEGSINILDGANGQLLHSMQDALDSGLRFRGLSSYQGVLDPITPDS SIIVAGTCKGTLHIFTFQEQKQT >CAK76026 pep:novel supercontig:GCA_000165425.1:CT868219:464875:467450:-1 gene:GSPATT00011627001 transcript:CAK76026 MAENQMQNWGDMTSDEDNDKPKNASDEEDNRNKKRSNYKGNNNQRNNNKRGYRDNKDYPR QENDQRDNKDNQRNYKNRDNYRGDRNERGDRNDNNQRRNYKDNNRFIEQKKEQLANFNGD NVNILIHLQNLKLNEDQIKEVLKDIVMNNFSIHEDQARFDVDKDNAQKLLDVHKLQIEVD GKTERIFMKIDFDRDRNYGNKGKQYHKQPRQFNEENQNDFMHKRRDQQYRGGDYQNRRGN DYQQQHQKVQEPPKILRNTSSRAEENNAQQSQVAQNIEQTPTDDGEKKVEQVEKVQKQQE SQIPTDEQLQQMQQQQQQQQQQQQSQSQYQESNQKRYNNYRGNDYQNKNYHNNNYHNNYK NNYRNRDQNNQDDNAEQQKDNYPDNQFRNNKQYRGNKYQNRDQKNFQNDNVQGGDQNDEN NRAQPRGGYKHYENRKDNYNRDNRDYKRDNRDYDKDKRDQRENPDKRETKELIENREQGE NKEQKDNRDQGDNKDQRENRDQRDNRDYRNKWENKDQRYQRDNRDYGDNRDQRYQRDNRD NRDNRDNRDNRENRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRD NRDNRDNRDNRDNRDNRDNRDHRDNRDNRDHRDNRDNRDNRDNRDNRDNRDNRDNRDNKD NRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRDNRNKWDKRDQQDNNDNRERRD NNYREKREKWENKDNKDYQDNRGNRDNVRDNKGYRDNQPQRDNDREQKDGQNNDQEDQKR VINRDRKPHNELQDFAIAHHHNKQKKESKKQQIKSQNPFEILKN >CAK76027 pep:novel supercontig:GCA_000165425.1:CT868219:467640:468663:1 gene:GSPATT00011628001 transcript:CAK76027 MFYILLLISYVYSQDEYLCGKGERQSPISITDTFDAKYYKLELRFKEDVLVGLKEENTES KRYIFTDDPSRQIPEFRMLGHDPHGLMVGYRPTEFQLIAPAEHQIFEQSFDLELVIWGDL LNIYKNPNVKGGLSFFFKVTNQDESNIVNETSTTFPQIFNLTEDGDQLSINMINLAAHLK LYDKYITYRGSLTWGDCDEIVDRFLIPQVFPITQDQYDQIVSKKQISNPNPLQEIRKRRI VRGSLIIEDETSANYNPIYRFLSLTFIAFLFFLIFALFIKEQREKRRMDQAKKFKSGN >CAK76028 pep:novel supercontig:GCA_000165425.1:CT868219:469228:470581:-1 gene:GSPATT00011629001 transcript:CAK76028 MKMPILVKYIANLMIYLMKQSVSDSDELILNYIRDPTSIVIDKQQWTLICDIVSKKLVYL GTKDNQCTNDSQIAIISQSLLFSNIISEVIFKKLHITQDSTQQIVLKTLNDLLSDDNRSA EFLYQFLCQPEQSILTLEQCQQSFSKMFYKMGQYFHQSNLIEQIIENQKQFNTNGNFECH AEKYLKIIRIYQNNDQKQISEQLIKGLFGELTQIDCKEFNFNSTRLDDKLKSFLFMFVEL VRIENQIIQIVIENKISEEPQRNPLEYYAKLIEKIKNKCKSKEDEFCNCKRCQCVKRNRD SAREAQKRKREALEKIGPLQKEYEKIEKKVSNLEFSNSKLKSVLFQALKNPVIENIIKQD YSDTLLNLNLTNYQSQENQQQQSQSSNLIEQEQSL >CAK76029 pep:novel supercontig:GCA_000165425.1:CT868219:470781:471023:-1 gene:GSPATT00011630001 transcript:CAK76029 MGNNYKQIISLTELACLKFTKVRLQIPNQYTLTNQYVVQYFQNFCDQYKDHMKPLIPSIG TQNIPTDKIDSYISYMVLTA >CAK76030 pep:novel supercontig:GCA_000165425.1:CT868219:471087:471381:1 gene:GSPATT00011631001 transcript:CAK76030 MINNEKTEIKIERSQIPSAQPKKVLVKKPSTNTDKQEKTSEELAKHQEMLDDRLKQKQQQ YEEAKAKILQNQKIIRNQQKYNLEFPELPK >CAK76031 pep:novel supercontig:GCA_000165425.1:CT868219:471475:472754:1 gene:GSPATT00011632001 transcript:CAK76031 MSNDDNFLIESDDEHEADDNDLTQQQSPLVRTSFTNPLQFKINQHFKGEINDDDEQQVIL TRSQNYCGDASAHSQNSEDLKGITHTNNSSDDEQEPTRITQTFNNPLHKIKEEVDESYEI NINNSDEEIIVNEFAKAHDLVIKNCSIKMIYIFINIQFIHSKRLAFQILQTKLISEKQHQ IQQKKQLASHLTKWIFNHREKKIKATYLKKWKLQNAKKKLYDEAKKRNIDKAKDLRQAQG SASTSNDTTLQNKSQTQAGAINSTKLATSTQPTSLNQQSSTLPQQQSPPPPPPPPPPPLP NSTSNVTAPPPPPPPPPPPLPNSQAPPPPPPPPPPPPIPGQQNPPPPPPPPLPGQQAPPP PPPLPGGARPPPPPPPPFGNAPPPPPPPPGSKIPGPPPPPGGPRPPGPPPPPGQAGG >CAK76032 pep:novel supercontig:GCA_000165425.1:CT868219:472816:474394:1 gene:GSPATT00011633001 transcript:CAK76032 MAPKRVHVNVLSKFKLKTTFWSQQVEPQGIKIPLLDFDLLESKFCEKIDPNANKKETKAL PKPTLIYIEEQKKVNNTAIVMMKFPVKPNLIIEWLNVLSEKLDIELIEKLISIAPLDEDA KLLREFEGDWTKVNDAEKFLAEFIKIYRYRQRLDSLLFKRTFDSEFNDTFKKIGYLQEPI LLVKTDPRLKIFLQLTLNIANFLNHGTPKANAGGIGLDSLNVVDSIKAIDKKTNLLLYLT KIVKQQEIKLKVFFDDVLLLEDAQKVELADIENKMNEYNKGLKKINDEIAALQKAIDNSL LTEEQNVASLKFIELFQEFLKEGDWKMQAYQKKFEQIKLDIKQVGQMYGENDNYSIKDFL GQLFTFANKFRAAYIKMEVDEALENKPKPQQQDTKGRSKTLVQSTPETQGNKNQLDPKSN FYKATTPSSGMRMSTSAKMNAKNVMSAVAKQRESKMHENISIVQKQQQQQIIPQAPTNVI QNARTGVISFKQ >CAK76033 pep:novel supercontig:GCA_000165425.1:CT868219:474565:475620:1 gene:GSPATT00011634001 transcript:CAK76033 MRQLQKIFNEIDEIDIDELSSRIVLVSKQIQKKKEETFVSIQQQIQKSLNYLQPLKTDDQ QLFNFENHEQCLQRVCDVCIFSLFEDIFVNIQEQLEIQNSLNELIAIMQEQQLQINQFPQ SKNLQLISEYNNNKIIKERLEGALKINQSHKEILKEILIKIGQDNSNQKINYQISIKQSQ LDLIYLIQQNSVLNEKIIELESQLKEKNSQLLKFSYYIQQIENSQQNQNIQYVVSQKISQ QDQNTQSQQDHNNAQDCQVNQSQSDEFYSIIDQSLNNFYENQCRQSQAKPQQSMTVLKPI NQNIIVQQSFQKQKATLNSERKVNFSFDYGNPLETNNSFTQQEDENYQFNF >CAK76034 pep:novel supercontig:GCA_000165425.1:CT868219:475706:476152:-1 gene:GSPATT00011635001 transcript:CAK76034 MGTCESDIYNPNESKQDSDEEYIETDFMQYVVLANWKPDENDGSNSINQLNFGKKNPDYV KGHNQFNLHQGSIQQSRISQINNLENSHSPNIHNANPKPFHQSEQKFSASTLAFSRYTEI NKSNVQFLEQSPFKQPFNNQFEIQSHHK >CAK76035 pep:novel supercontig:GCA_000165425.1:CT868219:476210:478154:-1 gene:GSPATT00011636001 transcript:CAK76035 MKQILSQSFTQEVIPTLFREQSERPNLGQKQQVQKEKQGKDLYALIVDQQMIKQIEIIEI FKDEDHESIYKKHIVNYVIQIKTDYFDYTIPKRYSEFEKLHEQLHSYLKSMPKFPQKTLI QTNSRKIIAQRQDMLQCKEYKYSKSFYHSLYIFLEFLNIKEQFQKGGFDQNVKLHQPSRD ETALKDLLDASPKKIQPKNEPEQMIIHYLKKLNEKIDERGKLFQKMEKYCFGKIQQVSPT MLKVLFIGDEERELEGIMQQLSIQNNDIQSHISCINGFQFFRKILEYDYNPNAEQALKQF GEISIKQFKKVKIQSHVCGQQKHCKQDALILLHKYINQNQLKDQMIKYLIDDEECLQEYE YFVQTHINKMIDEIKVNKDSNENLQEFLPTKSNSTEHAILEQEASIEQLYEISQMNLNWK FVQEFETHLMYHLSGQFVKTIHPLNCSLEKAIVIFTDLKIQWFHGMTQKDVLEKYDEFRS LIVEYYVWEDKSTFKKMAFISEQEISKIDDLLYQITIVPSSKALPNHYKIKCDQKGQKMS LIILKKKTDDSCEVIVYQNIMDNTFRTALTPVILKEIPWFNHTITKLKALL >CAK76036 pep:novel supercontig:GCA_000165425.1:CT868219:478686:480360:1 gene:GSPATT00011637001 transcript:CAK76036 MSHNAILKWVGYLVNVPEGTTLKDLSDGRILTNLLNKLNPEAYPQKVQSSPQKNFQMDML CVTNLVQNLKQSIPNLPEINSLLIAKHQDTTEIIKLISIIIKIMIESQLAQEAILKLNEE QVQNILQFINQYQEEEIGHQQQSDRKLLDKIDELEDENQQLKQLIEQQDKHQTQHFDKIK QQEQLLVQKENEISEIKICMIFNSYNTQNFKKIFIQRRIYQELQQKNQKYKKELSEQNEM LQHKLQNYSNLENINLQLQQELAQRCKQDKVVEELKTNIEILKQQMDLKDQKISKLQDQI KQTSQNQQKECSKVVELEMQNEQLKQEIEDIQRGKNKEIHQLHQKINELKIQVDTMQMEK QETQRSSVHYQQSPRCLDSEFKSLLNDNIGGYYSEQTIQEFQQQLQQKEQQLQQKEILFD QKIKTLEAKINKEKDTNIQHIQITSSLQEENKKLKLELEKLIDTNYQVSRIHAEKQQNML LISVIECLNEKVNQLHSQSKEHLKSDKKTQPQILSRNSISLWSAKQ >CAK76037 pep:novel supercontig:GCA_000165425.1:CT868219:480361:481012:-1 gene:GSPATT00011638001 transcript:CAK76037 MIIKVGHLNGEVRLYDLADVCTANELMMMIQSEMQCEVSLVSNGEAIAASALLGSESIYY VNVDAEGGKKKKKKKKNFAKPKKKKHRHRKVKLATLKLYTVDNKGSVQRSHKQCPQCPQG VYMAKHFDRHYCGTCHQTFRMDEATIKANLEAIKKQQAAKAAAAAAAAPAGGAAAGGAAG GKKGKKK >CAK76038 pep:novel supercontig:GCA_000165425.1:CT868219:481065:483467:-1 gene:GSPATT00011639001 transcript:CAK76038 MDQFQLLKLLQGSIDQLDCVRNESLQLLDQTFRNLDDMNPLFNLIIEKNQLAEIYFNYWL IQHKDSLDLNQYSYLRAIITQIYSDKNNKGIQIRKALRFILLKQQQDLELIQWIINQKDI ELLYYLLKELFKEEGDSQRVQYLCQQSQTMISDNALEISLKLVVLAQKIIKNPAILEFEK YLGELEKQIYNNNFRVVYLIQKIILSKLTYNKDFSLESLSQLLFVLNKIYFTNQHLKQIQ LEFVSEQQFKNDIQNLKDLPNQQNKLVLQVLKILKLLLQNHTYDPLLALEQINQNGLEFE LVLFEGYFSNILKFLIQYALILSPAQVYDIYFDQEEAYAENNNSSYEIRDTAIDFLIASL QSHKADDVIQIMNDQFQQTPELKTFQNVIQKEAIYYLIRNVMLDMQFDMDQYIVQFQQEL QSSDALFDPLKIQILQIFIKYLKYNEQIPEDISQIIINLVLTLQTTNKCIILLQIECLNQ LIEIIDQPSKFWPNIQQLYSNFQNQESKICIFNFYSQLTKKDQFSDHSWIIKQFYQEWQG NNLNIQEKIIETLIEIIFRSDQLAQHIEVCIFIIQQIFSSKQQILIEMGTELLLMFLSQC QVQKIQISNEFAILVQNILKSQLISQSEFWIQGVLIQTFLLYLKTYDDQLMGETLLMQVQ QYSEIKDEPYEHIFIPLNIYIQVNQKSHKEFGLMILNYMMSQSLSAILLIKLGNFVSLIN DIVLEDQINSQILQAHLLQKEFQLVYFQNFHVLLQRSNSHFTQHCQQILEEQSAKHLESN KHYIISELRPLLGNRRLRLN >CAK76039 pep:novel supercontig:GCA_000165425.1:CT868219:484324:484830:1 gene:GSPATT00011640001 transcript:CAK76039 MQRHNQLLTTIANILDEIIKETDALEIEQDSISCFHATKAPSITLFNYLQRIAKYTHCSE ECFVIALIYLDKLQEKHPYLVLNSKCIHRFLLTSLVIAIKVQDDDYYKNEYYAKVGGVSV KEIFVLEQAFLELMDYELFIPEQHYFMYEKKLLEYTEIEMP >CAK76040 pep:novel supercontig:GCA_000165425.1:CT868219:485192:486832:-1 gene:GSPATT00011641001 transcript:CAK76040 MSKFKYAIKPLYIETFEYNYMDGKTEKSFTIKKKLEAKSGKGMMQQSEPLAQFKCDSIKQ SNNRAQTSRWLRQSSFVKNSTINPNTPQNIGIRKIESRMEDEILIQNSRNNSEKRNLKVP KLSYIDFNISVMNGEGSDSVSKALKLINKDNLLMRKKKKSVQNSKTVSTQENEITQFEQL ENQHPKDMIEKIGQMLAINQRNPSVRKQSKVREAFTRNLKFLDQSFQESTSPDKKLKSVI QIYYDKKKAYQEEQSKQQEITLTQLSEQTKSCNNNKRMRISTTFLKQFTFQVEEEQKQKQ SKSQNFMQQSIKSSQIKDRFKKKQNTIFSIQVRSIFKKLFNQIVNCVRKMKLMKLTIKEI FQNGVIQKKAYEREGSFQFFEGVEDNNLQLINFMLLKCRYYAFDINEEGQTPLHLSSKKG YQSITERLLHYGAYVDSIDQDGKTPLYYAIQSEQKHIVYQLLYYKANPWSIKNCQHKSTN PDIMHLIKVSRKIHLVLLLTRHRDREMKWQESRNTLLL >CAK76041 pep:novel supercontig:GCA_000165425.1:CT868219:486870:488326:-1 gene:GSPATT00011642001 transcript:CAK76041 MNYQPIQIETQQYKYYVGNQEKSFQIKKKAEFFQYQRFKTVSNSFHETNNCQEKKQKQRR MTLDRCQECSNEDVQKYIDFNIKEKNSGNELNEKVKQFIIQDKKLMRKQIKVTNFEDLLL QYQKSFRQRQMKKQVLEKLEQEPLYFKGSSTNNNQMKNSQQNHIQQKNKKSQNINKDQQL QDKIQNYLSRKSVNLQRLSQKMDDFNLQRKLTKQSDSIERQIKTQTNFFEDFADSDLSFK NQEKDDYSELQKQSYKYWDFAIKTKKDPTKVRKTECNISISTQTNLEKMKSLLLYCIRKL KFMKLHPEIILKNNEIIKLKPYQREGSYVFFKAIGKNDLDLVKLMLEKCRFYAFDVNEYF QTALHICSRKGYMAIAEILLSYGTYPDAKDVNYKTPLYYALVNKQRDIVKLLLSQQCNPW SSKGCIYETTDPSLMKMLKIARRIDLLLMMIPYKKRQQTWRNCGRVIQDL >CAK76042 pep:novel supercontig:GCA_000165425.1:CT868219:489056:490232:-1 gene:GSPATT00011643001 transcript:CAK76042 MYGGHITDDWDRRTNATYLKVLIKPELLQPNFSLGPGFKSPDPSRFEYEQYKEYIEKKLP IESPQMFGMHPNAEIGYLTQQCETLFSTILDVQGGSSSGGGGKKDDGVMTQLTLLKSTTP ADTNLMDVTAKASEKTPDQIVCLQECERMNILLGEIRRSLEDLRLGMTGALNITDQMEAL SLSLQFNKVPASWEKFAYFSRKGLAAWFNDLIERTNQLAVWTQEMVTPISLCISYLFNPM SFLTAIMQKTAREQGLPLDDMVLQTNVTAIKGHEEVTVSAETGAYIHGLYLEGAAWELGG QGQEGYLIEQKQKELHPKLPVVNVIAVTAEKKKKIGQYQCPVYVTSMRGPTFVFTANLNM ENEDSDPSKWILSGTCLLMSDD >CAK76043 pep:novel supercontig:GCA_000165425.1:CT868219:490330:496648:-1 gene:GSPATT00011644001 transcript:CAK76043 MAFEGIIEQVTAENRLDPDPDYILKIVQASELLEIRHCIFVMGPPGAGKSTTWKMLAKAQ DKAGKKTTVVDLDPKVVSTRDLYGYNLPTKEWKDGLVSKVLRSLSEIQDVNPKWILLDGD LDANWIESMNSVMDDNKILTLANNERIPLKPHMRMLFEIRDLRFATPATVSRAGILYISD DKGYQWRAYVKSWVKNNFNDDKFKQDLQKLFDRYIEGTLLFLKKHCKTLIPVNPISMIIS LCKALLPLLQGEVKNMEYHFVYCCVWAIGGVLSEKDSIDYRKDFSNWWKGEWKTSVKFPS KGTVFDYFVEQNSENVKFDEWAKRLSNIDFDPQSMVMGNITVPTKETLATSELVKQFIYV QQPVLMIGQSGCGKTQLAKGILRDIVKAQPDNFTYQLINFNYYTDSTYLQAQLEQQLEKK AGRQFGPQGKGKLIYFIDDLNMPQLDPYDTQTAIALLRQHADYGHWYDLSKLSLKDIINT QTIAAMNPSAGSFFVNPRYQRHFWTVSIPIPDNESLFLIYNTFLSGHLKRFKPAVTENGP AIIKAALQLHTSVIQNFRKTAINFHYEFNLRHISNVIQGLLLADPAKFIDSDKLIRLWVH ESERTYGDRLVSMDNLNTYKALMFDLLKKQFTKFNFSRFFAKDNPENLIFCNFLAGIGGD RFYDQMPNDKLEPVITEALKEYNDNFAYMGLVLFEDALKHVCRITRIVLPPGGHSLLVGV GGSGKQSLTKLAAFIMTYTLFMITISSNYGMNDLRTDLQLLYQKSGVKDEPIMFLFNEGQ ITNERFLTYINDLLSSGEVAELYNSDEKEVLINQIRPKVKADGRPDTRDSCWGWFIDKVR QNLHMTLCFSPVGESLRKRARQFPALVNSTVIDWFQPWPQDALYNVAQQFLKDIDVPTDQ VREAIVKFMPFSFKLVNDLSVKLLEQERRYVYTTPKSFLELIKLYIFMLKTKKGMLEKNK ERYENGLIKLRSTQALVAEIEIQVKEKQQEAEQIKNEANQVAEVVGKEKAKAEVENAKAA DEEAKCSTIKQDVEQKKTSTQADLDAAIPLVEQAKAALNGLSEKDFQVAKNFATPPSGVP DVFSATIFLLAGFYNEQIEVDPKSKKPKAYDWKSAQKMMQKPKELLNKLMGFKDIVDANQ VPTTNVDFVKKNYLNLEHFNAQTMANKSSAARGLCDWVINIVKYYDVIQIVEPKRQALKE AIQQLDDANAKLAKVQEQVKELNDRLAVLTADYNKAMAQLQAALDQAAKCEKRLNSANRL VKALGSENERWDQAIKMLEGQIQLLSGDVLVSAAFVSYAGPFNKRFRDVMIKDYFLKFII DNKVPLSNNADPVKLLTDESTIAKWNQQLLPSDAVSTENGTILTNSEKISIDHRSLIIRN QMDQRKGIFKQYEDLTQIEFSIQAGNPCLIENMDERIDAVLMPVIARQFIIKSSGQKKIK FAGQELDVHPKFQLFLHTQLSNPHYPPEIQAEATLINFTVTEDGLSDQLLALVVGRERPD LAQKKVELIQQQNSFKIKLKELEDELLYKLANAEGDILEDIALIENLEYSKKISTEIAEK VEIAKATEAKINETSEQYRNAAARGALIYFLLTDLSKIHSFYKYSLESYLVVVHRAIDLI SEHKHVQTGVMLDEKAAAQIDLGKKKEGEDEEAAEGEEGEQQEEQQEEQQQEQQQEEQQQ GEQQEGEQGEGQQGEGEEGGEAKEGEEGEQKKEEQAEEQKRAADDDQLTPQSLRKRVNQL IESITYTSFQYARRGLFERHKLIVSTMLTLRINLKAGKLPKEQVDHLIIGKIELNPPPMP ESLKSFLNDTIWACCKALESIPEFNGLGQSLEVDNLQWKKWYNEEKAEISDLPKAFSHLK KFHRLLLLRTMRPDRLTSAMANYVAEEMGDKYVEQPPFSIFETFSEMAPTTPIFFVLFPG VDPTPEVERVAAQYDITSFNGKFINISMGQGQEEIARKALLDCAVQGHWIMLQNVHLMQN WLTGLNGLEGYLETVYAKHHPNFRVFISSEPPPLPEMKIIPESILQASVKVANEAPQDLK ANLRRAYAHFDQEFLNKCQKKPQEFKACLFALCHFHSLVLGRKEVWCLGMVQNLQLQ >CAK76044 pep:novel supercontig:GCA_000165425.1:CT868219:496762:502283:-1 gene:GSPATT00011645001 transcript:CAK76044 MDKRYQDLVEKKFILENELKNLEKSIFDEETRYLEETGHIGNVIKGWEGFLSMKNSKLGG NLQKKGKINPNDRIFSQSSKTSPFVQEISQPIQTTGTQKSVLNEGGGEEKKEYHFRRTKK NSKYVRFQKGEGGYHSPMTSSDEYNEKKGKIYDIYLLYNSYLSDMNRNGMILLIFFFKLQ MPQPLVWVQVKQSGQIPAPRSGHTFVTVGKTHILFGGLDSEKKPDAEKKNTKIAPNNQVY SLRVAPNVCEWKLVQCSGDPPLPRTNHAACAITPEKMLIFGGFYTSNLRFNDTFILRTTN FQWSQPPNQKVTGEPKNAESKIGAPEPRGNHSATFHKNKVYVFGGHGGVGYATKSFNDLY VLDCESFEWSQLEPSGTPPDPRGGHNSQIMGQNDLLMIFGGWNQISQFQNIQKYHMKYLS GIWQVLWCHLFHHGNISFLVDKLVTSKEGGNRTASRLVDDTFVLDVDAKKWSPVQLEEEK PVKPKTRESTTLIYDPSDSRIMMFGGWSNAWMNDIYALNVSSIVGPPYAIYSIKPCLGPL TGKTKVSITGDGFKDSQNIIVRFFSGKASEDVQAVYVSPTELTCETPSYEKHGARKSEVK VSIDRLDFTIMSQFYSYFLNSKAEKSLMFGPGVLRENAIKTDTMFYIQTRNLNNQNRESG ADEFQIEITRPDVLSELEEERQREAIRLQQLEQMDPEERERIEQESHKKRTVKRKKVKQQ QEGEEGENQESEYEEVEEEVPQEKSRLQLLEEKANIKYSIHDNDDGSYIVKYQIEEPCEV IVNVKLKNERGEFNEIRGAPKKAQFLDGVGIKNNQYTGQQLVNYVTNKNEEINKLIDTSR DNINIKDKNIQEDVNSLLEVMENLKKISDEKENILLLLDENEQILRTLEKHDMKKETEIK KVNKMQEEWKNLLKISQTVEKDISGPVKQEADKTKEKIKKFEEQLKEYLQGLKKETFYQY KTGIKDSQERFTEVQAQIDKFTKTLQNYEYYSKMFNFPDEVVGCQKNLDAIKQEVAAVQL LWEHIKKCEQKFSDYKRYKWATIDPNDMEDEVKKLRKFLIDMKGIDKRSNVFTGINEDLR KWGTFIPLLTELKDPAMNTTDSRHWKEVKVVVNQDFAIGDDMELDVIWNLKLFDFREKIE DISEQAKQELKMEKGIIKVDTFWKDVQFELLKHKDTDIRTLKMLDEHFETLEEHQLQVNN MLLSKYVKFFEKDVEKWKQDLGAIYDVIQLLSEVQKTWSFLENLFIQSEEVKKELPKESE QFVGIDKNMKEIMESGCQIKIILKFCTQPNMLKSLEKIQADLKVCEKALNEFLDSKRRAF PRFYFVSVNDLLDILSNGNSPAKINRHMSKIFQAIDKLELQENDNERPFAKKMITCVGQE EVSLVKPLQLLNKVETYLQAMIDSMIDTLRELAKKSFGCYDSKTCQLQMDRKTWIDQDPA QIALLVNNIMWSVQVEEAFGKIANGDMNALKDYYKRSVEALTELIRFVRGDLTKSLRQKL MCLITMDAHSRDTIGKLIDEHVRKPDEFQWQSQLKFYWVNNDALIRIADASFNYSYEYLG NGPRLVITPLTDRIYVTATQALHLKMGCAPAGPAGTGKTETTKDLANALAKACYVFNCSS EMNYESMGNIYKGLASSGCWGCFDEFNRLLPEVLSVCSVQFKAVTDAIKQQKKTFLFPGG GEISLDPTCGVFITMNPGYLGRAELPEGLKALFRPITVVVPDLELICENMLMAEGFEEAK TLAHKFVTLYMLCRDLLSKQLHYDWGLRAIKSVLVVAGGLREQKQILQNRHF >CAK76045 pep:novel supercontig:GCA_000165425.1:CT868219:503136:503549:-1 gene:GSPATT00011646001 transcript:CAK76045 MNKNQILNKKYIICYGGQLKYYRKKTKRQVHKTEDYSDQISQPLPPILQAARINTQLENI NLKYRTKPHSNYTTTTPNNKNTSLPLLKISPNDNEEQVKQKINSCFLSSNQTKVIIKNDF LKQNMIGYSKVSTIMII >CAK76046 pep:novel supercontig:GCA_000165425.1:CT868219:503568:504422:1 gene:GSPATT00011647001 transcript:CAK76046 MYEDYDFSKDEKWNLYIKSADNSISKERMEYLKQIWYKENVNRKHQIDNNKISNVKEESK LPYYIYSTENIFKMSFMLLLFMQGVAQIFGYLFNFLALQRQIRNTQIQQRKQYVKLLINN EFFHNLVFLFLFHFFERMHNIFIYSPILMHSWVGISEFLFLHHKSIYERMQQYIDKTKQN KELIMIQKQKIEIILFPALLFAKFFFDIGNWILVIFYLIFLKMKYHTNKRSKVAWIQIDY FIESHLPIIIVSAYREFRQIAVHFFVD >CAK76047 pep:novel supercontig:GCA_000165425.1:CT868219:504597:504947:1 gene:GSPATT00011648001 transcript:CAK76047 MRFRVILVVFIALVFGYNKKDSCQNIQKFTYDEWDAKLMCPNPLAPILETQNIPKAKCSR FKPIFDSSNRKLNTQDVEELRQKMKQRQEGNLKFDHDQQYKQYKEQQNKQQRKDDL >CAK76048 pep:novel supercontig:GCA_000165425.1:CT868219:504971:506446:-1 gene:GSPATT00011649001 transcript:CAK76048 MIQFQLDILLPPYQPKGVIIKQNIVQVDYLDPNKNEFVIHVGQRKFQLKAESKEIKEKWL DILKVPFNQMSKTKSELSTMNKVKWHEIPIQTIIQFYLRREEILSNIIQQKTQDTQSSLI KSSYIQQTIEAMGDKNKEFILRLLVEKKSVKNPLVKKKRWMLLFTSISIESLDQIHQFIK FIPQQYTLDTINLLKYENNLATLTKTTIELYQIQNLRFSVTNEYQINFMFNGRVFELIFP YLSDAKKLHDYIIKCQQINNSMPVQVSRTNQIPVYKMQDSKRRIINLKGKTILENQVEKK INATQWKNVILTLKEHALFWELVDTEEYSNIELKSISTIEQCNRMFTIIVNDQNTVQQQF RVSTIQEADEWTGQLSYMTDIEITFKVLEKSQTLQNYQQNALTNQSQTQQLTKQKTQQQQ LEQKKPSFFQQFFCWGGERKEQ >CAK76049 pep:novel supercontig:GCA_000165425.1:CT868219:506752:508037:-1 gene:GSPATT00011650001 transcript:CAK76049 MIISDEVKRILSNNDFSRTNNSSLSTNKQMNSKELSRNQSPIKRKVLKIKNNLIIRDDHN DVKQSYFPQENQFQLSLNNSILEDKKLNTIQFPLINSSDQQLVDNNSKTLYQAVIEIFPL EHEIWKDLVEQNQTIEEVVNNNAEYFQSILKVCQCKLELAQQDLLNKNINFNEIKYYQPK KQTTQYSRDYSGSKHLPDQPLLNQSKAYEQYYASNLAYLQKKSVYNSQFVPQNSEKVDNF KPEYNPNLNQSTFLSTYNSNFMNWKGQYPGKVTQQLSPKQQSTLPFIAETNYSKNFKQIK TDKVELQKHIKLGPFCENAQSLIKETTSQHFFQSHYSVPSKLIRPVSPRLFSTKQSFEGQ YRSSFNKYRFFPIRSYVSKNLNTESQQSSFAEKFYDSNAVKGLIDKKIKTRIF >CAK76050 pep:novel supercontig:GCA_000165425.1:CT868219:508244:511060:1 gene:GSPATT00011651001 transcript:CAK76050 MGVGGNGALATQVIKTKKREFQYQQIDQLQQKKNQILQPNQQNKKEIAATKIFRFLYKIY KQYYFNNHYLRKEKQHRYRNFVIEELINTERNYLNDLKLLIVIQSQVKQWLNKQQIEIIF NNLQQLYELNSSFLQDLEGFLPYNRSKLLGPIIKGLAPFFKVYFPYYEGFNKSMATLKQC INDKEDFRKFLKNMSSIKDYNNQDIDSFLIKPVQRIPKYNLLLEDLIKHTDKIHPDYKNL CESLELFKNINDDNNKNMDKFLSSKLFDMEKWFGNKLSQKLVDTKRKYITELLTSILDQT NKVIIVTAFILSDLIIIGERQENQYQYIQSVFLDEQSGCLDVENQQHLQNIYILQGMRGQ SITFIEQTPNDKIEKMAKLQKIIQECKEKSKYTFQRQTIRAGSEIKVQLNKIKVTVLGLD ERHENLSSYKVYIIEVGIEEVFQKLFLRFSQCIKIQDYIKKKYPTTQLNFLKQNTTLSLL NDQKEIESRMIAIPLFVQSVLISDITRQNPQILKDLGLPENFYDLPSEADNFRNQRTGNQ KAPDRFNYVTPTRTLIKTFDYGNDQQSLQSNQCNRRLLSASSMMSRNITLVEEKKKQKEK DAISIIVNHAIPKEKPWEFNVKNNTKVLHVLDQIQKVMQLQQIYDFKLYIYDNKSRVKIL QNDENICDLFGDSFIFAKKKKELWFKKLLYQDIEYEKTLLQADSARMKLLYYQLVKDIQI STLPFPTQNQYIKLAAIHLYLQNCNLSYNVIQQIIPSSILQLKQREYWNCVVTQEFKEFK SGIQNTQSTLIQINETEDIAKAKSKGIFSSIIQSNQNQFSQIENVNVDSTKVMIQFIQEC LKNEFCIQQLFSIVCKETLTLFGEQNLFLGIGYHGIRLYSSSKDRIWEKIDKPQSFNVFP GSIEIGFKGRKLKFETNQGFQIQSLFDEYQAIKSQNQE >CAK76051 pep:novel supercontig:GCA_000165425.1:CT868219:511112:512567:1 gene:GSPATT00011652001 transcript:CAK76051 MIIFDSQMKQNENIEDESEDKQLYVPLFPMRKKPSSGSSQISSQSTSQSTQMLTQYRSMQ DSNEKRELEATIIKELMKDKRSSEFYAVQENWISQYKQYLYQNGERPGILDNNNCTQYII VNEAVWIFFKQEYKAHPEIICRQKHSRTNDKRSKTVEPTINRQSCSNSQSNYELPLIGMK NDSYYCYMHSGLQSLLSIADLNYFIIKYIQRINKQQLIDKKKVNGVSFTWAYFELIEQIK ASTIPIKINTIKTLIISQFHPKNQHDCHEFLLYLLGHIEDEILNFNKETKSTYINFVEQI FKGKLQTNIICQNCKNKVKQIEPFLTLSLAISQSKSLEQCLEEFLKEETLKDYKCTKCQS TCIKSLQIVSIPSILVLHLKRFQFLPQCHKNNKTITYPIDILNFGNKTYRLRAVIVHTGN LQQGHYFTFAKRYHQWFLFNDETVKAVTKKQVLMQAAYILFYQINENP >CAK76052 pep:novel supercontig:GCA_000165425.1:CT868219:515230:517604:-1 gene:GSPATT00011653001 transcript:CAK76052 MQQDQTLLIKVHIIIEALFIFILGILLDELGKIEDALKSFNKTIELNAKDAKLFKCRADL MEKMGQTEKAFNDYQQAIDLDPQFINAYFNRALLYQKQKKYQEALNDLDKIIELDPKNAQ AFNSRGNIYHDLEKDKEAMIDFNTSLLLDPNNSNTYNNRGQFTVITLANLFSDQGLDQEA LNDYNKSILLDPQSSNKYCNRGVLFRKQERNQEALNDFNKAIELNNQNVEAYNSRGILFK ELNKMDEAFKDYNKATDLNPKYVNSLYNRGNLYYNLGQKEKALKDYMLVLERNQAHSDTY NSRGNLYMNDGKIELAIKDYNKAIEINPLNPLYLTNLGSLYYHQKDYIKAKNFFSLAQGC LEQIIDSKFKCRNLSPANIRFIQSKLKLISEIDSQFQIAKNNVSQLAKNNSINQQQEQAF LLEINKIQEQAASGMKPTNEQINKDQQQQILDFLFKIEQDVKALYDKLEKESKVSKEKIL ELESKVQKLQEQDSYQLEEELNILKKPENFQQFLYYKSLFWRLYNYLHAMQLMSTNLFTI NRDAMIESNSEKVADFLKTMSSTGSFIMGSVPIIGTTFNAINAALDYVVEKAKENKFQIR MQALNKIQQKFFVSPSEQEREIQFAAIALAKMQNPHNNDDFEQGALSPYIVKLSILESNY ETNSESEYWKRGIQDSLRILRYLESNSDAILTQQNTKKFRIVIQDAIQPQKKQVIDIRKN NSHNTERVDSSCCQLI >CAK76053 pep:novel supercontig:GCA_000165425.1:CT868219:517646:518924:-1 gene:GSPATT00011654001 transcript:CAK76053 MPKLASAYLYRGIIYQNAINRMVSQRIKFKLGGVTRFYKAIELDSNLIQSYQNRANLLLD LNKNEEAIKDYQKVIQIDSNYVNAYYNKSIALYKLGKNEEAVKDLNKVIELDSTYINAYY QRGLQLRRYKQVSLIQIWAIMKNLQKITITQSSSIQIMKTATLIEVNLYLLLAMTLNKLG KLEDAVKDYDTVLSLNPNDANAYNNLAIILNTLGRDEDAINSYQKIIEIKPQEQDAYSNQ AILLKKLGRNDEAINCYNKLIDLNPNNANPYFRRGFLLMEKNKIQSLQDFTKSIELNPSN KDAHYNKALLLTELGQEENALLSYQQVIQLDPENKNAYFNQAIILRKLGRKEEALNSYNK MLQIHPNVEAYYNRGQFHQSYQSYFT >CAK76054 pep:novel supercontig:GCA_000165425.1:CT868219:519323:520416:1 gene:GSPATT00011655001 transcript:CAK76054 MDSTNVEEISLDYLSNTSVEKDRGISQTVQNQQEFDNLNEDFQNLEIVLEINDIMNDIWN IKLAEKYEKSLQYYRDYKIIINKLDKYFKNNIEKTKIFMYLTIDKQIKYTEEIANFFYYL LMKDVKRIQAKHIIKYLEYSAEKPIKVDAFQIFADKCNISLSGYNLSDYKKKPEKTRQIQ ISYKQQDIVLFQKNTITLLDILYRNQYNELGIAIDLLMIGDFSIEEVVNAKGQQVESFHQ SYIFRIYQQTFLNMHKTLELRSKWFHKGTQLQLDTFHGQNVHLVSLPEGTERRSRLTQRL NIAKGQILSKGYYQNNNESLSFYSYLKNLKVDQIK >CAK76055 pep:novel supercontig:GCA_000165425.1:CT868219:520930:522539:-1 gene:GSPATT00011656001 transcript:CAK76055 MSTDFKSQMTDAPVDLVCQQHKNKPEKRLACNLCLQEQQNINVQDYEAKEKKKRCENTDI IVGGESLEYAKNLEKNIDSVMEKINDYFESMKEIVQKLTDSLRSEREKNLKYSFFEELEI YIQTKDQSTEENEKVKQIRSKIINQFGKLKRKLETFPSNLEKMSENVLKKTQEFYRRNYD ELNKPLNLDLITTVKQRDPCYTLTFNSEFLFTGCGKQIKVWNFNQGNMQEHAKLNGHALS VTRIVTSSKVKNSFISAAECGSLIIWKQKNEKEWEFLKKPAQWTNCIVLNKNETELILAS ANCEIDFWSVDFNQNQLIYNYSLENTHQNTIFDLTLNKKENKMVTCGADNKILIWTKDDQ AQKWKFVQEINQITYGTKVAFISDDQFVWMGCDQKTNDQIFFFKSQRDGKFENNQQKAFQ LDIDEEQYVDYHLNPITYNQLQKVFLVRFKRHIYIIKITDADLQIVTKQKFDTNLIFATL SNDGKYLIAYVEDLKRYQIREIKW >CAK76056 pep:novel supercontig:GCA_000165425.1:CT868219:522754:523618:-1 gene:GSPATT00011657001 transcript:CAK76056 MFKNLTKLACCLGLVNGAFVVCSQNVHSQDRLQHYNFLSENELHNLLKKHENLSILNRNQ TEHILSLMREANTDIVSFRKNADRLIRILMEQALAQLQKKNSVKQSPLGFYTANEVKFSD EEICIVSILRSGNAFLNEGLRVIQGASIGQILIQRNEETSMPKYFFEKLPENIHEQQIIL VDPMLGTGGSASMALKILQNYGVKEENIMFLTLVSCEQGLSKVFKEHPNIKIITAQVDPI LIKEINYLAPGIGDFGDRYFGTVKKHTRGLQV >CAK76057 pep:novel supercontig:GCA_000165425.1:CT868219:523955:525262:1 gene:GSPATT00011658001 transcript:CAK76057 MGNNQASNSKKRKSGISASQFGDILIKTDKSYYFSGEVVIGNIYLNVIKDGFPGCVVYLR VSGKEKCQWTETTTNTSSDSDGNTTESTSTSTYTGKSYIYQHKLVIHNFESPSLPLGQFV FPFQFQLLSHLPSSFYQNDVAQISYKVKAAIQSKKSSLNCIMSIQRLIIREPLRQNTQPL TGNMQIHSQDCCFPSDGVCLINCSIDKHNYLPGDVAHLEINIDNSSLDEQITSISIIFLN TLTLTDDSGRQKLQNWIVQKNEIQGVGPRRKSQKNVRFLLQNNKSPQEIQPSANGRLVNS VYSILIKVNLESDSCCFKEDPLITFQIQIVAAVPQSTDPVLIEPPDWNPQVFSIQKVYLT DRNKQTGKILNQDDQNGQHKKNDCIKGNSVLDIQQQMQE >CAK76058 pep:novel supercontig:GCA_000165425.1:CT868219:526198:527711:-1 gene:GSPATT00011659001 transcript:CAK76058 MELISKQLRKLDIFGQEVRLQADSQSAFRTKTGAIMTLILFTILAYSSNSFILEMNKGKN AVLNSKDAVINPEDGYTFHSTELLFAAGLVDSMGQPIPNDNNRIFSISFYFCKKQYGENN CVNIPSIICGNRTSNISLLDVPQKYLDITYCIDENYLINNPEIRIQGSSKLENFTQFGGL VQRCQNNTLNSNCASTDEIDRYITNSNLFYSYSFHYFNKEQEDSPYEKAQSIDSTPIFNK VGKYIRIYHKYSQSLIEYNPFYSFPKLKQIEGIEYENTSIDSTLNYEDHNNIALFELNLD AKKKIHFITYQTLMDVAAKIGGLFTILRVIFDVVLFPFQRISYRLHLANCLSKQQNNFMN NDKNKVQDELNIYKLITSSVSRPLHLSQSILIDNFLDITEILINPIRITRQMEDLQGSIK RYDILNSRNKNIVSESPEECVYDKNDGFICMKSMSPLSINEEFRVGSQMNIKVPQLRVN >CAK74884 pep:novel supercontig:GCA_000165425.1:CT868201:707:1936:-1 gene:GSPATT00039045001 transcript:CAK74884 MHQLNANYVNSYAKREKAKRFIMTKIKRSCSIDLISILYSLPSKTANTPSFRENLNSRKR SLSNLVSKHFQDSNRLQEFNMTQMDGPIQTNDKQQKQLNDSSSKSMPSQNQSNTNRQQDD LNNNYEYRKGDVNFRQFHKNFYQDVLQLEALYYQLSQLGFQRSAPLQLPPILSYFLYSLI MHRADQQKLSRQFLDIYEDDEYYSKLRMFYSEYFKQASLDTSMLFYKVSFYSNPQDYMAF ENILFKYITNMIPYLKLDYKMLLDDFLLYFSLSGLQQKSIECYVTDDSFFRKNNIAIGNI RFVFKQKDGLLLDFKQPIGKFENKQFLDYSQFQAEFAQQLSSVEPIKLFNSYLSEPHSER TIILLILADIYLNKSIFKNLNFDLIDSKQKNNIISLSQIYLEIQINSNQ >CAK74885 pep:novel supercontig:GCA_000165425.1:CT868201:3038:3583:-1 gene:GSPATT00039046001 transcript:CAK74885 MAIDNNTLKILLQLEARFHEKIYNLDHVNEMLEIYSDFVQIFDNQKCAMREYFLEKIQFL ISRPETIQLLKKQNLIDFPKEGSKIQGRMRFSVYKRAQVNEMKIQQELQNNELNQTQNMN ELLQEYDKVQENIEKQIIESLNNQDELLEKRKMNRKMKTQVMAQSTSTENIIQQKQQNEL Q >CAK74886 pep:novel supercontig:GCA_000165425.1:CT868201:4195:4761:1 gene:GSPATT00039047001 transcript:CAK74886 MKEEASQYSIVGSKKLPQFRSLSCDQPPIIYEKNNKVEKVCDEYLIQKLERLQINNEPKY DNENDCFKYSVAKSLLLNTQTRYFQGDLFSFCYLCKKIGHVQRQCTSQNQEFCIYCLKED HYSHHCKQVACFKCHLKGHRKAECKTKIQINYRPILVTLKHFDQIQCLNCLQLGHITCEL NLDNDFVY >CAK74887 pep:novel supercontig:GCA_000165425.1:CT868201:4882:6882:1 gene:GSPATT00039048001 transcript:CAK74887 MKGIQLLKDAQLQFIILCNRQFRFDRDVCRQTHAMTFHVSKPREQSLSVESQESDQEFLQ THRRATTNIINPQKNYKALQQISYITQIMMPDYEKNLNTISVIESKAYQIQLANQDDQRQ QQILNDGEIWIDYKFEIHPIELKQDFVNVQKLRQLEFYYYKIRCQGEAVPLTFTMNQSEQ TKFKVFLSTTNPFPSKFSCEQIYQVKTWKYKSKDYKQFRQKFIFISLVCDLDTTIKISFT FGSTQGTQTIYKSPISKQQIKQNLINCDLTASQIIKKRKKQMLELSKGRNLIQENILNVT IQNDECIKSQKQLYKTLQQKKFHDVVKKRKQLEINTQGQKQINYFSKEITHLLKKLKQQK EMDQNRYLKSEIQFEMFRLIAYLQLINIIYERLKHQHKIIHHVQIIQIKMKTIYFRAKRN MCRLKGNTIFGRVHLDVKFCLSMIANQIKKKVRIQNINKIQPILTQRSLLWNFKEKIHQT SKNLQIIKEAIYSFVLRYRVYKQFLRSIFEKNFDQSCQKYISNQDLKIQQQFDLWHQNSK YMMYFQFLSKTFASLYGRQKLKAFFTILRKQKQSNKIYRKDSLLLVFSKKQENNQIISLF KVPLQDDFQQMLPILYEQTKGGAPQYCKDQIRRPFNKLQL >CAK74888 pep:novel supercontig:GCA_000165425.1:CT868201:6933:9374:-1 gene:GSPATT00039049001 transcript:CAK74888 MEKGYRKQLRDTLKQMQAQLKQDKNENTNRGSANIKQSQNLSQLQMISDDSMSLQGNFSE KVDQDDQISLYQFLSHPSKQDEYCFSEQNILTDQFIITSAKDEQNKLLQTNRKSLQLYEF GGKSNGTENQFEQQSRKNPFDHLKVSEKEAASKIVLKLSQKNKQQKFYNELEQKVIQSNQ DKDREKVENEDSYLIQLKNFDENYKAINQKISEFQYPNSYNFQNYILFATLLLVIAYILL VSIAVWNQYDYGDCMDLIQILLKFQKTYSLITHGLFRIQYSYDFNISNFDQLHLFYDKQI FQEVDQLINFSNAQKNTINDIGIQLSIFYDIDNSTKLNLSITETIQKSLAQFYKVVENQF ATNYELQTQLIQSSVANLKEIGQLPQLAFDSCYDNKIDKERYYQQLLIIFMVIIFLLVLI LSSSQIPMISTLGKYKKKLYMALLDINQLQFAQEQESFEALASTLKKSVYDWMMIDFIVE GKIFDSEKYFLNGGYSENASTNYIENQNRAKQEKNKLIKKLKSSNIQQIRYIILLIIDLV VIMSYFLVIFLIIFILTDQLLSGLELLFKYQTLQSSFINVLNNADMVAYKTLSNSSLYYN ILTQSEFQNYSQVLQGTEQDFFLQYDNNIIGSLADDKINYKIEFMNQQAICNDLYALDCQ STLVGMYNEQILAYYQQGLKSLVVQVYKIIQQYPQFYQEGYVNNSLADFINFAQTSEHIA YLDYGTDLIITAYSSIVDMAQEQFDIQISNIGFVGLIIKSFLGRMLMRMQKQSIDTCQAS LLLLSPRRYTQKSIAKLITQII >CAK58256 pep:novel supercontig:GCA_000165425.1:CT867997:540:1469:-1 gene:GSPATT00004628001 transcript:CAK58256 MNSYEEALKYYDLAIKKKTELQDYYNNKEMTLQHLNRFEEALKYYDLAIQKRPEELSDLF NNKAITLQNMNRFEEALNYYDLAIEKNPELSNYYLNKGNIKLAYCCMNRQEEALRFYDLA IQKDPGESDYYNSKAFMLDKMNKFEEALEYYDFAIEKNPEESGFYNNKAITLQHLNRFAR SIEIS >CAK58257 pep:novel supercontig:GCA_000165425.1:CT867997:1560:5324:-1 gene:GSPATT00004629001 transcript:CAK58257 MKQFCENETKQQMDVSQQREDEQLNCSIYNLTQLKDANNLQRIILDEQSINFEDRHAKDS VTSHPQNIQNKANLQKYKKFWKKSQLNQKKKKCFEMSSKNQMKILEQDGIQIESYNNFQI CFKEEILDHSLQLKNLTKLSDQGMQFQEEDVINFNKIQVNDSQKSLSKIQIANILEKQEQ NISSLELQKFPKESVNEKIKSKILVKMNSFQEAQKQFDQGIQKNIEESDHYNNKAIALDK INRFAEALELYNLAISKNPHYSEYYFNKANTLDKMNRFIEALENFDLAIQKNPEIPAYYN NKAMSLDKMKKYKQALKWFDLAIKKNPEESEYYCNKAMTLDKLARHKEALKYYDLAIKKN PNESDYYNNKAMTLDKMNRFKEALECYDLAIQKNPDDADLYNNKGDTLDRLGRYEEALEN YDLAIQKNPEDSVYYLNKGIVLNMLDRHQEALKYYDLAIKINPEDPVFYNSKGIIYHHNL LANTLSEMNRFEEAFQYYDLAIQKNPEESSFYNYKAIALQNAQKFVEALKYIELAIQKSS EQSDYYYNKAIILKEMDRIEESLEFSDLAISKNPEESHYYYTKALALNKMNRLVEALQYY DLAIQKNSQISVYYMSKSGTLELLNRYEEALEQIDQAISKNPEDSECYSRKALILSTLNR FEDALEYCNLAIENQPDESANKIAQFIAKILKLLKRYEEALKYFDLAISINPEDSENYDN KAMILIKLNRFVEALEFSDLAIEKKPEISNYYNNKAIILNKINRYEEALKFYDLSIEKNP NESNYYNNKAMTLNNMNRFEEALQFYDLAIQKNPEISKYFESKGITLNKMNRLVEALEQY DLAIQKDPDESDYYNNKALTLNKMERFIEALEQYDIAIQKSPEEAEYYNNKGRIQYIQLQ ADTLSNINRQQEALKYYDLAIQKNPAESDYYNKKAFMLNQMNRQLEALEYYNFAIQQNPE NPQYYKNKGIIEQRNVLADTLELLGRNEEALEYIDLAIQKSPEVSTFYNNKALILDKINE FEEALKNYNLAIQLNPEDSDYYNNKAIALYNMRRFARSNCYL >CAK58258 pep:novel supercontig:GCA_000165425.1:CT867997:6395:7556:-1 gene:GSPATT00004630001 transcript:CAK58258 MGNQQEKEQSREFLERKLDPNYGEVAVYLDKNNNLTFTEIKHVLSSDIDAKALEQSITKR YIENNIDRQQLNHRCLIKIKKHEKGEIDDLCSSFMVLSITVEYYSESLSNDFKQRKIHRT TYTEQELLYIIFEISNVCQYMKDQKNEIQDIHPQKVLIDENRNIKYFDQFLETQKINNYF KILFGLRDLEYIAPEQLILLKGEIRTDSTDQELVNVFCLGLMIVSLMSGIRCGEYYNQDT LEYKRDFVSQQIDKFCLKHQFSNSFKQLLSSMLKFHPQDRLNYLLIQDQLQPQSDNISHF LNPPGRQKESQFSSFHTQGSAIKSQVYSATAFDFNEIDQLIKSARQRAQTTLDEVGLDLK LNAYKGSQITIIDQTD >CAK58259 pep:novel supercontig:GCA_000165425.1:CT867997:7798:9149:1 gene:GSPATT00004631001 transcript:CAK58259 MDQKKKKKDKIGKKIIETIFPFMSNQKANQQTIGQGEQVQKKVDPYMDLCQFEYIYSSMK SVSEGSISIFSEWLKIMQADLIDLNKLSQLIINGINDECKALRGVTWRILLGYLTPSKKQ WINRLETNKKNYIALVDEHILSTLRNSKQPAQNDHPLNRSKDSSYNNRFEDFNLWQTIEN DTKRTRQREGFFHIENQEASLFEDVKKLYIQDQVAILRLKRVDIEYNYDVLTRILFIYTK LNGQYIQGMNELVAILYYCFVNDDSTLLRSQAEVDTFFCFTILLSQFRYNFFSKDEASIC NGFIQNKIRVVQEIIKKHDFRLYDHMLKIKIDPKLFMSKWFMTVFTKEFKLYDTIILWDH ILCELDDKNELLNYIALAIIHWLREDLLKGEFGEVITILQNLENQKLKVIQLIETTLMYK KQFKLK >CAK58260 pep:novel supercontig:GCA_000165425.1:CT867997:9332:10219:1 gene:GSPATT00004632001 transcript:CAK58260 MQQFCTIQELINFQGQKQNNNNHRQKLLLFEKPDVDQGLQTQEKPLENKLKKVPSYQIQK LLPQEEIESQHKMGLKQNYSFRRKLVPQGFQQLISPRVQSPYDQRDWIEFLSKQNEKLLE ENEIKQKIINQLLESQTQPQKISNLNSPRLQQFPIQQPPKSVETKKVTSQISRLPQIKTP QPNLKHRIEVKETDQTQDESTKLFQCFSPHVMNQQNWSFGKQLNFDDEKIEEKQNQQQQI APSNTNQLKSTFQNKFNQKQYSHPVIQIKTNFSKPLLKLPQEFHLQTWNTKQQFI >CAK58261 pep:novel supercontig:GCA_000165425.1:CT867997:10290:11675:-1 gene:GSPATT00004633001 transcript:CAK58261 MREIISFHFGQSGAQLASPLWELYCLEHGINLDGSVSNNSEIQENDTNREILFSETQNNR YVPLAYIADDDDYSIDQIKNGQLKKLFSTKSLQEFKGDSGSIWISSYKSAQASENFRNQI HQLLDKCDSLQGIMIYHSVSGGFGGSYASYLLNEFEDDFSKVIKSTVSMLSSDQNSTSTI VEPYNSVFTINQLKQYSNFNIFIDNSALSQVCERQLEIDWANFGILNKMIAQMIASITST QRLNSENFVDLQDMYQNLVLLPELQFLHTSYAPFIQRDQQNSSSVNLLQISQALFNEAGS FIKFTPNPSQYFGVNLFYRGDCPYSDLQSTINELKNSKCINFADWVPINYQVAMSSKSTV QFHNAELGRGNKTACLVANSTRILNPLKNIQSRFKNLYQKKCFLHWFLKNSQIDESQFVN GLDFFSSLLSNYEQSISQDINQEKQNVDDEASIEEIDEINK >CAK58262 pep:novel supercontig:GCA_000165425.1:CT867997:12773:14895:1 gene:GSPATT00004634001 transcript:CAK58262 MFKQELNPSKIEYQQFNFLQHVSWAFEQNEGTYVFDSHQLNQTQTKLFTTVIQDDQIKHL TWLFRKCHNESELQKQNAAFIDLQRMIIHIFKFLLLFVSNKMDDTKLRTLMNSISILNEN NILQIYLPDPDPDILHQKYSRDSILRQGDDQEKNFEVILNIWFELKDETIKDIILPQSDF QFLQDLQRLNACLNMNQSSKIAAKNKDREKEKKRKMELEKEKQAQKMFQSQLRKEQLHSM KMVKIAYKEGSQSLFLQPNGNIVKGKVWFPYNSPHLCPLWLSSKFEILSSYFNNNLNADL RKYCGLVSYSGPVNDYFEPHTYDFDNETEGIMKTLSDETTYKGGFKNGLIDGTNRVYNNA STLLYYGGYKIGQKHGEGYSLEYYSQQNQPTYMKGQYESNLKQGLFVNHILVDGSKREKQ FQKQGSIQEYYKNGIKHDRLPADIQQYKASQNPSCYLLNFNEYGINNFQLASLRPGGWIN SSVIDILLKQLLFLVNNSNLPSQNTGIQNMKTCFINCSQFQDIFGSMVTKGEKINHKIFD EMNDLHQSYLMKDKLNGENQFVQNFRIVFYFNLDRSHFLSAVFENERLYLIDSLRDNRKA VVHQITLFLQYFGFKVQEQNENFRISQQQNSFDCGAYTIFYVSQIIKHQHLTIEQMINKN CFEISQSQINYLRYLIYLNLIGDGASIIQL >CAK58263 pep:novel supercontig:GCA_000165425.1:CT867997:15094:15986:1 gene:GSPATT00004635001 transcript:CAK58263 MLQQNNPSFQVNTKKIYYFLLAFPFILVGSLICCIYYISGVQLLFLSLFDQCKQISFLGG LNLTMLGVGMILLMMPFALFEFAIAYTCTDYITPILIVTAAKMIGEYASFFIGKELSFFL KELLKEFKFYNAIEKLTQRKPYKTQFLMRMSGVTPQIIMNYGMGVLRTVSFKSFAIVQSV VGFPSTILAVFIGKQFHKIKDIFDSNRSINNEGVLYVEIGIITFAGLSISLLIYYVVREY TKVLKEEVLVDKNENLNKEIDKELSNDMEKTWEDIELQSAI >CAK58264 pep:novel supercontig:GCA_000165425.1:CT867997:16199:17739:-1 gene:GSPATT00004636001 transcript:CAK58264 MGSDNSKAKAQKKQNPPQNSAPKPPPKRKPQEENNWMYDLILQYLASPVWRNPISDFLDE HCIIFDDEEENKIVYQEIHKKFKNMIEAMMEQLMQDIGVDDEEYLRKVIEIGLKNKKHKK YFEQLLVVENFLVFKKLMLKRNKELEYEALKELQKIDGGKNLEMDLKVKQAELEKERMEI EHAVAMSIAVEQEKSKLQIQEEEELQEAIKMSQKLFEQQQSEILKSNVEQQNQLHQQPQA SQQQQPIVPDQKVESILIFEDDGLPDKNPPIVVEQQQQQVEVKNEPKQQRVRDPLPQIVQ EKKEVLSLAQEREALMNQGNQLKENLKEIEETFKQNMKNQQDQETLEQRKLRLQQQRELI KQKKMKERKDEIEQYKAIGDQDKPAQDLHDKEKGPLVVDMNDFVADQKRAQELELKKQEL RKRAELLRKIKQDAQQQEQS >CAK58265 pep:novel supercontig:GCA_000165425.1:CT867997:17931:18950:1 gene:GSPATT00004637001 transcript:CAK58265 MILICRGQDQRFQFLDHEQSGLQILVNLNTIEQQLEDGQQEYLLKIFEQVTNSDEYKEVD SGVKNQIDWANSMIQNYQNNNNDQKQSELYELNAELINQRYPEVHQTNKLLDDNIQKIRA RVQLLQLTEQRNILKTKSLEKNTQKVIICKQNKFEKETQKDRYARIQREQIEKRKIEIQK QRELHLQIIDQSKGRQGKIVQQRILQTREFKTRIQQDFHRTIRQVEAENQRSYQRIKKME QIQTEKIQKFLLDKQVFAQKNYQKKVEDEFQATMSKQDELEQLEKLEQQLLERIKVTQQM YQESSLRLETIKQQSYRLIGQQQM >CAK58266 pep:novel supercontig:GCA_000165425.1:CT867997:18956:20278:-1 gene:GSPATT00004638001 transcript:CAK58266 MNQSDEAEITLLVCDPKIIPGINKYIVYTIKGMDKNGQFDIQRRFSDFKTIRQFLLTKWP GCYIPPVPPKKAFGNMEQQFIDERRAMLEDFLKRIAALKFLWYSEEFQIFLKTPGEIEKA LLSIPKITNDEIINKYQDTFQDLSGREINREIIQKINDFKAFIKKVQPMVQNFKQIAKNI AEAKQSHQIILIDFIIRVIPQYENTLLLEYVEQQEKLPFTNILQIEEVKGLIDQYCELLK DPKLYQLYQEVRKEGKQLKAFLETFSEREKYEYQKANAESRQKELELQLQEVLAGKTTIR TLFSKGKKDENIAKLQFQITEVGKEIESYQFICDILTVLVGYVEIDKFKVEKQYQYYSMI KSIISYQSRLSEIEEKLWSKVLESEYLQQQQQ >CAK58267 pep:novel supercontig:GCA_000165425.1:CT867997:21195:21596:-1 gene:GSPATT00004639001 transcript:CAK58267 MSQQQDQPNAKKRIRRCTKQDQNPRPYHCGCGRGYYSYPALYTHLKIKHEGQPPTGTQIP SDKHYGSRGRPREKNTKCDEESKHQVFIDEEKREDNKKDEKITPNQ >CAK58268 pep:novel supercontig:GCA_000165425.1:CT867997:21634:22457:-1 gene:GSPATT00004640001 transcript:CAK58268 MLNKHQVYLGDKQEVNKEIQKLSQSSKAQQLVSSNLIEDPSLYDYDGFVSKKNKSEKALV IQKQTEDKKSKQPQFLNSILEQSKRREFEREATKSRMNAKEAIKSGKEVYISESFKQQHE QIKRFEQIEQIQEKINDESRKRSNLGIYKSLYDNETKKGQHSKSQEAQVDSYKIIENILI EKEKQKNTSQVTANQQEDMQEKSEKEEIQENKEDINNKLKNAENSKSRSRSRSKSTKEQK QQENEALTKEDKLKQYKERYLQRKQL >CAK58269 pep:novel supercontig:GCA_000165425.1:CT867997:22473:23401:-1 gene:GSPATT00004641001 transcript:CAK58269 MGKNIEQEELENNKTKEQVLTIKKNRITKIAKSIRKLVVLDQKQIKKAINALLKYRESTT TDNILDIKDDFIYLEIVLNKMPINYSLRPVQIKLPNAIYNQEMNSKFCVISTNPQRQFKD QICEFDIPLIQKVIGYGKLNKKYPTYTDKRKLFYEYDQFFCDQRIYGNLAKGLGKIFYYR KKIPFPINCENITQETLNDLSNYTYFIQGNGPVYTLKIGRVAQTPDQITQNVLAAAYEVL PQILQEKGMSLSCLRQLNVKLSSSISLPFFSRLSVREIEAWKNQ >CAK58270 pep:novel supercontig:GCA_000165425.1:CT867997:23527:24528:1 gene:GSPATT00004642001 transcript:CAK58270 MGLNDVNNMSETTQWIYKGKEILKPVFETQLVGMSRAVIGLPMDHIFDRFKTLIQAQQNN SFRQLFLESYRRNGIFRGIFAGFSSQMTIQIFKQYYRWPMMIFIPKFFKEQLPQPIMDRV PTLHKALTGVTIALFESFITCPFERVKCQLMTQTESKSVLKYMWQHDGGLKGFTRDLFTG MEIMMLKQVVSWTNYLYWDHKVRYYFKQHPQSQLRIEQIVFCSVLTAIPNILIVQPFDSI KTQFQMENNQQFKNLTIWNAFKKVYQEKGISGFYAGWQMRFCQFLFQALLTTPIMDYLER QHGLPLETL >CAK58271 pep:novel supercontig:GCA_000165425.1:CT867997:24561:26070:-1 gene:GSPATT00004643001 transcript:CAK58271 MLPKETIIEQAKIIILQKKPNLNVEKFMKLDFSLLCWQTVVIKLTIHQFEDGLRQYIGSY ITLVEGKAKLQRIQIENQQLIQELELLTDQPFYYGLDYLANDKEFYQILALSHCTLTIIK DVRIQQIIKNYYSLCEYQVLESLSKVYFLQQEPSVLISMLIHESSRIKLQKAQSVYKIGD SVKGFYLVLEGEICIKKLVELQTQSQDDISNTKKSRKKLVIQNILSKDQQFGEMELFTRV THRNELAECYSLECDLLFVPTHSLVEAYQTKLQDPHFSLEKLFEQFQEYITLKNSKQSEA ITKYIQVNKPRAPPKPEMKPTYPLFMNLQPIQNFKNPAEKAQPSLQIIRTSSMYTQSQTQ LKKVNKQPESVFVNYSLAKLNRQDGLMTVSQYIENIKLQQSGKSGISAYQQKKKLKQNAL SVVTEDQQKLIDYVRQLTPLYISKKRNSVGLRKSLPTSIYQSAGKSSYMMLKPDFSKM >CAK58272 pep:novel supercontig:GCA_000165425.1:CT867997:26270:27199:-1 gene:GSPATT00004644001 transcript:CAK58272 MDFAQSEERYQKLEKIGEGTYGLVYKARDNQTGEIVALKKIRMDHEDEGVPSTAIREISL LKEVQHPNIVPLKDVVYDESRLYLIFDFVDLDLKKYMESVPQLDRMQVKKFIYQMLQALN YCHQNRVIHRDLKPQNILVDIKQQNTQIADFGLARAFGLPLKTYTHEVITLWYRAPEILL GQRQYSTPVDIWSLGCIFAEMAQKRPLFCGDSEIDQLFKIFKIMGTPKESTWPGVSTLPD FKSTFPRWPTPTNSAATLGKDINNLCPLGLDLLSKMIVYDPYARITAEEALKHAYFDDLN N >CAK58273 pep:novel supercontig:GCA_000165425.1:CT867997:27625:28926:-1 gene:GSPATT00004645001 transcript:CAK58273 MFKSVRRYLFSTGSLFTWGQSNGSLGYAVGSQQQVKGVQMPQLVAGFNNNVAQVQMGQNH SALITSDGELYTWGTGNSGQLGHNNDKDYNTPQLVEFFTKHNLKVKQVALGDYHTVALTH DGDVWTWGYGGKEQNFMMDLLFLQVGALGHGDSKNRYTPTPVKALRTLKKIQHVQSGLRF TNAINENNELYVWGKGDYGVFGDGNNKSYNIPKRNEFFEDYLKKIQNLSIVKLKSCNNYS MALMSDGHLYGWGSNDFGQMGTKNEIGVEIYETVNFPTQGLRDKFGDNKIVDFFVAEDLV AVLLDNNEVYWSGSKAEYSPARFPLPEGIAKITNIGCCYRCIVVATEDGKLYYRKKFFGG GIEDLSTGIITNDVASVFQNQNAKVLELGGAYRNRYAIVQI >CAK58274 pep:novel supercontig:GCA_000165425.1:CT867997:29028:30590:1 gene:GSPATT00004646001 transcript:CAK58274 MILKDINQQLDIFKNMIKILQKFNRTLFHFSKEVQLKQSETLGKGFYQQQIFTGCLAEYA YYIESNKEAIIIDPLRDIQPYLNIAKERGAKIKYVLLTHFHADFIAGHLSLQRETDAQIV MGPRATAPFINKVLNDNEFLKLGKVKIQALHTPGHTQESTCFLLYDEEGKQHSIYTGDTL FLGEVGRPDLAVKSDVTQYDLASMLYASLRQKILGLPDEVVVFPGHGAGSACGKNISAGY SCSIGNQKKNNYALQEMDQETFVQLVASDLPKPPQYFFYNAGLNKTNQFQDLNLILKKAN TKLTPAKVVSKQGIQIIDSRNSISDGFIPGSINVPLQIPFAHWVGTLLPHDREIVIVCEP GFEEQTIMRLSRIGYDNIIGYLHFEDWKAAGNSISKPTELEVQDFLKRSKLAQIVDVRTK EEWGRGILKHAQLITLGELPQNLEKLDKLRDISIYCGTGQRAKIAHTLLVANGFTRVQYC KVGYDDIIK >CAK58275 pep:novel supercontig:GCA_000165425.1:CT867997:30628:30873:-1 gene:GSPATT00004647001 transcript:CAK58275 MSSEDEVIKIQYLCQRMKQLQSLPIRNYLDQTVVPILLQAMTEVAKVRPSNPIDFIAQYL ISNNPDKPLARQQ >CAK58276 pep:novel supercontig:GCA_000165425.1:CT867997:30902:32142:-1 gene:GSPATT00004648001 transcript:CAK58276 MIKFLILILGLVFAGTPNNQKKVLVLSDNPAIYVSHSQFFNLLNKSFRVDYKNIQSNTFN LQLFGEWQYDHLVLFSTTNDLKVSTQELLDFYDSGRNILLLGSTDQSKYFRKFLNSFGLD MHEYGSSVVDYFNNIKGNQNIVTTSNVNILKVDKPVAHQGAGLAMTPYETFQVYGLVRGS ETAFSGPNLSHNIIYVGATQGRNNARFVATGSWEILSDEFLNNSNLGNFELAQEIILWGF GQSKILKAENLIHRRLDSDDIKPYNYRLREDCYFSIDIFEWDHQKDKWVPYVSTDNDVIL EFVMLDPYYRLPLKKGDGAKYELQFKIPDVYGVFQFKINYLKPGYTFLKIAEKITVRPFR HDEYGRYLVQAFPYYFSSFGTMAGFVVFLIAFLLNK >CAK58277 pep:novel supercontig:GCA_000165425.1:CT867997:32160:32492:-1 gene:GSPATT00004649001 transcript:CAK58277 MLSKRKVSQQNQEESSNSIENLCNKIRKVIVSEVGEKYIQNTSDKGLKKKRTKQKSKIQD NQQHVEQMENEAVEVEEQLSSIKAISESQSWVFEELFDEINKLNVLQDIE >CAK58278 pep:novel supercontig:GCA_000165425.1:CT867997:33561:34171:1 gene:GSPATT00004650001 transcript:CAK58278 MNSSITLSEMFRSYEQDYKAHMESVMHYLLKISNDRKDDNNKSFSKVCDRLQQAEQCIKQ MELEASTLSVSYKKELMETVKQYKRDMAKVEKDFKQLQLGQQEESSREKLFNDRQINQTI LKQDDRLIRQTLELEAAKRTAYLTEQQVNQISINLHSQSINLSNSIRKTQYIREDLGESN RLMKIMKNKSWFF >CAK58279 pep:novel supercontig:GCA_000165425.1:CT867997:34221:35740:1 gene:GSPATT00004651001 transcript:CAK58279 MAELLEDLLKQKRDNFRVEIRRKATEELFRQKRRMLTNNDQTELTDEKVHLWYKNLVNQN YEETLDEIINYVKTSNAEFSLGLINKHEIYPLLLNIWYKQELDYSIIQKLLFIFADLHFY NVFDDLKFLQNESIMRKLFDLLQMASQKIQSLIFFLLANLVGMDNGIKARQLADYRFCSF IRKLWIQKLIHFEVENIKDFCWFLSNFFKYDPSNVAGFTLSEVYCLQFIKVKEIVPIVLE CIDQNDKEIRSYSLMTLKYMCQAKEEVYSMILKELKVFNNLMNLQLVSADEDVQLLTTEI IASFALADDEITAQLVNEFKILDFFLQIYIQQGRNKQREFKKIILWGLTNLCSNKNVEVL QTIIKHNLIRVMEMDENETEIIKDILEVIRSLSQLQNTDLLEYVLMSRNFVDIVMNQLKL KTSKAIVLTCLDIVYNFAYRIGQNTQSDVNRAISTFISKGFDTILNQFDLDSDPDINLQA QRIAEAFLQ >CAK58280 pep:novel supercontig:GCA_000165425.1:CT867997:36275:37826:1 gene:GSPATT00004652001 transcript:CAK58280 MMKIEEVKSTTKTQRIAHHSHIKGLGLAEDGTALENSSGMVGQQIAREAAGIFVDLVKSK KLAGRALLMAGPPGTGKTAIALAVAQELGSKVPFYPMVGSEVYSAEVKKTEILMENFRRA IGLRIKETKEVWEGEVTDLKTEEKDDQTGYGKVVSAVVITLKTSKGSKTLKLDPSIHENL TREKVTIGDVIYIEANSGNVKRVGRCDIYNSEYDLEAEEYVPLPKGDVHKKKEIVQDVTL HDLDIANAKPQGGHDFVSLMNQLNKKKKTDITDKLRGEINKVVSKYIDQGVAELVPGVLF IDECHMLDIEAFTFLNRALESTLAPIVILATNRGQSQIRGTDIVSPHGLPVDLLDRLLII RTTPYNLEDIIKILAIRAQTEGIKISEEALQDLSQIGNEASLRFAILLLTPANILAQTSG REEIGRQDIQEVHELFLHAKQSSKVLEQQADKYIS >CAK58281 pep:novel supercontig:GCA_000165425.1:CT867997:37846:39422:-1 gene:GSPATT00004653001 transcript:CAK58281 MAANNERVNSIQVEDILQALNYNESQIRSIVSQIISEIGEMIYYIDINQFQQLLQPVEQE IPIEKLTSEQLYVIHGEFKQALHVQHIEKVKQILNKYQAEVDLVNRIDPQTRQISTFIAI QGSDEEVSLQLLRLLCELGANVNFKDNLKQSILFYVCRDGKTKLFDYLISQEINISDPDS YGQTPLFYASRENRVDIIQKFIRLGVDVAHLDTLSCQTALFYAASKGHFEACKLLIEAGC PVNHQDNKKKTALYFAKQSQKKEVIDLITASMTKQKEDVHQKKEEVVKVNDQQKQNRKKQ KDVPKQQYKILHTDDKGQQRELTNDDFTRFQRQYPEIAQLILNADDVIDDNMINQSKEDE TWEKLAKRLIAQIWKSKGAYFFHKPVDQKEYHISDYFEIVKRPMDFGTIKNKLNVNAYKS CREFHADMLLVFDNCALYNGTQSAIGQIGGKYKK >CAK58282 pep:novel supercontig:GCA_000165425.1:CT867997:39464:40145:-1 gene:GSPATT00004654001 transcript:CAK58282 MAQPTPRIIKETQNLAKDKVQGIDVTPDPQNFKHFFVIISGPPNTPYEGGVFDVELLLPD DYPMYIHPNVFIIQILIIWEDICLDVLKDKWSPALQIRSILLQIQVLLSYTNPSMDDPLN IEILCLWKANEGLKLCLWLSRMSHQNMRGVVDQYNLLKKQAYIQFLFLIYQLEWQNIFHI VQHQILIIQVDFSENFIYYLIQQL >CAK58283 pep:novel supercontig:GCA_000165425.1:CT867997:41446:42097:1 gene:GSPATT00004655001 transcript:CAK58283 MNQNSYPLKFKNAQLHQRDIDSLNNKQWLTAEAIYFGTQYLIKEIPNGISVLDPSIATFL IFENDFEDLMSVQQELVRGEGIITAINDCQNPNGTHWGVLLFYESQFYLFDSGSNSDLQS SAEMIAKKMLAIIKNDETLIQKDISVIKFNGVPKQTNSYDCGVYSIAIIQRLAECIMKKQ EWNFQNITPDYVTNIRLELKNSIQQMLQ >CAK58284 pep:novel supercontig:GCA_000165425.1:CT867997:42110:42446:-1 gene:GSPATT00004656001 transcript:CAK58284 MNQQSRLAGDFINQRTNLLAQKLRESGEDSRLEEYLRQKLIECRWRDDLKDYCKEVIRQK GLEKITIEELTDMLYVRGQATIPNKVKEDLLSRLRQFFDENQI >CAK58285 pep:novel supercontig:GCA_000165425.1:CT867997:42473:43075:1 gene:GSPATT00004657001 transcript:CAK58285 MNTVLKGEYPYLEQQRKSTISPETGKQIQNILHRRINYSQADLITPIAVKQSHKKQAISQ GLQQLLKSSPYSRLVSQKSQQQLSYQDSFSQQYLNGYTPNQQQSQLLFKPDVRTPSTTSS SIKPRMRNQAKDAVQKKSFDLYNAMSFREKDKQTISQLQNIIQRTSILLLSYKEQLQRAI LEKQDLITQIENLEQNRTKK >CAK58286 pep:novel supercontig:GCA_000165425.1:CT867997:43248:43938:-1 gene:GSPATT00004658001 transcript:CAK58286 MENSALIIVDVQNDFCEGGSLPVQKSSEIIPKINQVRKYFKHVIFTKDWHPENHVSFKIN HSNLDELKKEEVDNLWPVHCVQNTYGAELHKDIQTEEGDIIVLKGTNYKYDSYSGFGCKE DQTNLHQILQSLNVDTIYVGGLALDYCVLFTVIDALKLGYKVKVLKDCTQAIDPENAKSK INQYFAEIRRQDNTILENSLRIFTSYEVEE >CAK58287 pep:novel supercontig:GCA_000165425.1:CT867997:44588:44999:1 gene:GSPATT00004659001 transcript:CAK58287 MQIPNYDRDSVCSFFKKLTGDILFYLDEMKSDFAKNPPQTNIKDRVLLWKDKYQQSLNQC IMTYIMKTEQVDVSQFQFKEFLDKVTDEYQNDQEFMDLLKQCNQSIENLKDFIRTNLAKD PSIYVIKDI >CAK58288 pep:novel supercontig:GCA_000165425.1:CT867997:45045:46975:-1 gene:GSPATT00004660001 transcript:CAK58288 MPKIENTTGMPINLIIDELKSDDIRKRIHSVKHLDLIASTIGPDRTKNELIPFIQELLDD DDEVLIELVDSLSRNFVELVGGQAQVLLPTFEALCRVEDASVREKAANQIKKCLTQLPDQ KKVEELSIGIIKRLNDSDYYMAKNAVAILIPAIFNQVSQNNQNDLINIILKLSQDQIPQV RKFTSMYFQDLVKHFPLINETFIQNILNSFIKDEQDFIRMYIVDALIQSSKTPFFQKQQN FILNMFKQLAEDQSWRVRFYFCDKLAEIGEAVTKDGYRKNFQNYHLKFLQDAEPEMKSIA ALKIERVSGLMDPEDILNKLIPLLKTIQSDTNAFVRNALASSVLALCPIIGKKNTSEQIL PIFLTLLKDQDSEVRITLFKKLSLITNVLGVDSLSQSVIPALTELAQDKNWRIRASTIEV LSFFARAIGPEFLSDKVLKLLLDWMGDKVSSVRQTAIQQTAQLISILGLAWADKNLLTKI WAFQSIQNYLHRLTVLFTINQITSQLTNDYILKTIVPILQAMAKDSVANIRSNVCRTSIQ LAKEKGGNIADPMKKILQTLSDDQDAEVKYQAKSALESL >CAK58289 pep:novel supercontig:GCA_000165425.1:CT867997:47043:48624:1 gene:GSPATT00004661001 transcript:CAK58289 MQQGQVKVIGNYSYNLKHCLGEGAYGKVYQGLDTTTNLKVAIKKLDLRNFERDNYLKQSI IQEIEILKKFNHKNIVKFIDLISTQRSLYIITEFCKDGDLREIMSRKKYNEQDAWKIMKQ IIQGFRELVSNAIIHRDLKPANILSHEGVFKIADFGFAKYVDNFTNQLLRSCVGSPLYMA PQILARKPYSTKCDIWSLGVIFYEMVFTDVPWKGRDERDLLKNILSVPVSIKKGFLTSKS EEFLRKTLTIEENDRISWEKVFEMFEVVNLPSDQRIQTSPNLNIRANNHQNSSNNEKERK KSIFQGPSQPPVQQTSAPVSPISIQPQTPSIKSLRNEIIFRNFVLTTELFPRYTSNKNSI VEKVMICLSKTNMAMQNALYTLANQIMVPAIKKDHDQYVQFHSDLIETLQRNGQLQHCDR ELDINSKDQNCTDTECDKLNITLTTLIKQLCQEMLEEHKRAPSRPIMLLLDNLVDIIIIH KKVQRCVEIDYDQLNAEKAEEEDPRKIMDAVIEKLRQA >CAK58290 pep:novel supercontig:GCA_000165425.1:CT867997:48937:49704:1 gene:GSPATT00004662001 transcript:CAK58290 MGSNCSHNSQASERSTFNKSDSFGENNQIFKPQMDSILGASQMTQMDIPNAQLPSPIKPL ISKYNEIKSVVRIPTEESIFQKEFYEIKECKPQNPSKTQKILQPKIQINLQYQNLRKNQQ NKQQSSKFFTASPKKKQQEKSNSQSPLQKQCPTFQKSSKKRESPNYIHVQKDKIVTNRKY SDIPQKKDKHQIIRRKISDICVDHTYIIRLESPTKFRSLTPNSILKRKDLEIQTNSSQKK QVRFKNSRQKNSPCT >CAK58291 pep:novel supercontig:GCA_000165425.1:CT867997:50297:51161:-1 gene:GSPATT00004663001 transcript:CAK58291 MGRRPARCYRVSNGKPFPKSRYNRGVPDAKIRIYDVGRKKANVDEFPAAIHIVSDEKEQI SSEALEACRVAINKYMIKKVGKDAFHLRCRVHPWHVLRINKMLSCAGADRLQTGMRGAFG KPNGLCARVKIGSILYSLRCKDASINHAMEALRRGKNKFPGRQKIVVSAKWGFTNYFRSD YLKYKANDELQYYGTHVQKLRQHGKLDKLKIFQALRK >CAK58292 pep:novel supercontig:GCA_000165425.1:CT867997:51191:54123:1 gene:GSPATT00004664001 transcript:CAK58292 MLNQLINFFHLRSMNDKIYIQLQMKNMIVQNYDNSYQQYYLQYLILIQFQINNYINPISL LSSFIQFCFTQELCNLNIYQQKSCHDAKDLKQLFQFQVMNAVVIELTFYQEALRIQKTQI WQVIIFKQLIFQFLIEYLFSILKRLACEYILFSFGEKLKYSCSSFSYSQLQPVYSIDTVF QINSQMILQILSIPIQNDQLKLKPNKSIIFIGFSSKIRLNQFNPSFQSTIQNKHFHFLIF LIFQSFIQRQIVTLNQKFSEEDFIQINLKVSLIDQIIELSLIWYLINIKNMFQNSTYLPQ YLHSQGPHRQTQSILSRGGFEKRKAIDHYSYAIDQEIGKGFSSRVYKGRDERTLENVAVK VIDMKKLKQTIHKQLLKNEINALKSFNHQSILKLIDVCQTSNNTYIITEFCDSGDLDKYL RKNLKIKEPEAIRILQALVNAINEINLKGFIHRDIKPANILLKGNEPKLADFGFAVPVWQ ARIQQRNINVGTPLYMSPQALKHQDYSEKGDVWAVGIVYFEMLFGRTPFNAQSEAALLSN ILNKSLIIPQTPSVSELSKDFIKKCLQIDDHERLNVKAMYEHQIIQSKNFYCYLQDDCFS QLKKINTLINNSQAKKSKRSLTQNLKRNCNQDQGNLENDVNEGNKKCYAQQDVPQRSSSQ YQFQLPQYKIKHPLNIQAENTNSINLQQTLSLQQGDGYKTNNQILIFQINYCRYLFKFSQ YLINSQAVQTEIKDKILFVLSKCIAVKISQLSKILDKENKGDNQNQLYDYDKQKQSQSFN GICLAVSEYQIKYMNHFQKILKLALKNNFSNDPIVGSLCNNYLYENNTVYEIALHYLNLS LNKLTQNLNQTTVDRYSDKTNAEVNIHQCQSQQLLFILEGLKNCKELIMMIWKCQYDLIT FQKGYQIDRIIALIPGQVNHNQSENKIQYYS >CAK58293 pep:novel supercontig:GCA_000165425.1:CT867997:54712:55316:-1 gene:GSPATT00004665001 transcript:CAK58293 MSLVLEKADSFRHIIRILNTNVDGKQRLAYGIRSIKGIGRRFAIQICKVLRLDLTKRAGE LTDDEAHKITEVIKSPEAYNIPRWFLNRQRDFKDGKNYQVTTNELETKLREDLERMKKIK CNRGLRHHWGLRVRGQHTKTTGRGGQTLGVERKKK >CAK58294 pep:novel supercontig:GCA_000165425.1:CT867997:55679:56134:-1 gene:GSPATT00004666001 transcript:CAK58294 MQIKHPVLILKKKVKERIEYKIKWNTGTITYEPMVELTPEMLRLVNEWELQEHYSKNGKS IEKNNTKITKIIEEQLKYRMEVEKPKLTPHKERSSEKGYVKSLRKNDGRIEFWIYIHDEG IERWVTVEEVKNRMPIALCDYLLQKVKFGGK >CAK58295 pep:novel supercontig:GCA_000165425.1:CT867997:56236:57532:1 gene:GSPATT00004667001 transcript:CAK58295 MEIEKLKLHEQIDYLQEHIQQLTNEYSDKILEKDEELVTAFIKMQQAERLNNELIQKLQS TKKNEEQLNNANYNFITLQKKLDHQIQENQKLEQKVKLLTEQSMQFQQKWQRVSMQLKQF TTQKVSARTTEQQLSLKQEECQSLKEKLVQYQLELTIIKQSDQEYKQSIHQKMQALYQEL RDAQSKLQQFQYISQQHKHECQQCEYLRNENEQLKSMINQDQISQEQNRINSQINTQQQQ QMKEFQTRLMELEYENQNLKQQIQLPESISNLKSNDCLNIKSILNHPLYIKMSKLIDQLS NQTQQELFRKSLNELGSEIEQRENKEQQLQECRTMYDRLLIKYYALQKSINKIEENSNAS YYATQQITSSKQQTLQKKTNQLDSFLQEQETTKQMKQSIFSNTPKQRVNNKLNLSLDSCQ FKK >CAK58296 pep:novel supercontig:GCA_000165425.1:CT867997:57954:61434:-1 gene:GSPATT00004668001 transcript:CAK58296 MQYRSNKPPQTVKNQAVSFFPKQTFSPAKSHIEHFVSENPTPHYKKQSQPYPPRQPSFNI SSGESLEHNLKKKQNSNFSQEKKAQKQLFNILFNSTKRNGSFCKEMKKQTRQSNPIQNWY LPNKQKLSQTMQKKQSKINNSMEGQQTVDMSFENHLIKGLQEHSGFQSDTTKPLDDYNPR AIKFLEKGKQLLREKQIEEAIEQLKKCLESDIRILEGHYFLGIGYLGKQLYSKAIAEFQY VIQQDAAHRKNIYLLLAISHKKMNEIDSAIQILNKVILMFPRYYDAFIYRGKLYTKLNQI DKAEQDFNMAIQLSPQKGLGYLGKADCFRMQSQYKEAIKCYQKAINCEQAVGNAALLKKA ITLFESQEYDECAIDLSKLLETDPTNSEAYYFKGLCRLKTKNPTEALLCFEQAIKHNNSK KAVTKSLYEIAKMKIEQRDFYAAFHTFNRSALLDTDKTYLEKFRLFTEAVIHLMKRKFQE SLNNFQEIQSHHQLNDFLKPLFYAYRAYGHFCLSKHQKALEDYKYLLEIQPAESSVHYNK FLCEGILKIQAGQFNSGMEFFQKAQKIFQKKMEPSFYQGATLINQAFKKKSDQYKDIVKG LELLDKAQSLNDQNANIYYVRSIVKCFLGQTNEALADIESAIQKSEDNVAKYFYFRGMVY GILKQYHHSLNDFSICLTLDETLPDAYLNRAKCHFLSGDSNSAFQDLQQYDPRMHVWAGN FLFANAAIEDAIKAYSNNKDFKNNPKLLQLRSECYMVLSDLRSCQEDINRLHKLTKDQTA EFDKDILQALRLVFKDDQQIYFNEESESEQHELKNNLNRAVNSLKQLTRGKGRLFQQFHA FIFRGVFLFHLEKYEEALKDFQMAKSQKELSITEKRLRQRGSQTDLFSDEEFFELTEDEE DADLLEILEIKFNELICLIILKQFKKAKEKCKQLIENINEAKQEGLQILLMHIEQILLKD QQSIDYQRVICLYDEPKENIICFQVPIVYVDGFKIRLSFSLPKLSPPSLSIVFDKQLIQN IGPLSVENKPEAPWIRREQQDDMIIFTDNVQIVDDIRLETEIEDDEITQIKNNLMLDKDI EEKLQRFFQNKKQK >CAK58297 pep:novel supercontig:GCA_000165425.1:CT867997:61489:61977:1 gene:GSPATT00004669001 transcript:CAK58297 MIFSGSLRTTTPEQKSQIRTKKHFDLKHDLDDQLLSLKYLDNQNIIQSIKTKKHIQPIIT DQNSNMRKLRRSISPLQNSQLDRSLVPKVDNAPKTPQKSRLYANLFNNTCSFENICLTQC NHCKSNNKENLRIQTSPIKMTQSILKIEPQSCLTSRGNYFKK >CAK58298 pep:novel supercontig:GCA_000165425.1:CT867997:62212:63188:-1 gene:GSPATT00004670001 transcript:CAK58298 MDEYITSNRDLIKAIDCIPVPDITVSSLSQQSARLTKKESSITKDQVMKIFKKTQPQEQT AIQTKANLYLNAFNQHEPQDFLNKEKISKHTQQKNLLHQISQLQQQVDHQRREIESQINQ IALLNKQTDNLTNEIQEKNQKLQMNAQTIQQLQTINIQQQQIIENLSLENEYKKKFNKMC NQKESESITELKSKIQILESEINKTRATFTLFSPKNETFQSETYSLKNKTFDPELLSEDE TLTMLLSLLNRVSKSSRMTAILQKNADFKKLIKFKRNQPSVNRLSIVQNNKSVERQSSCN QEYFNKLIKSQAIKFN >CAK58299 pep:novel supercontig:GCA_000165425.1:CT867997:63262:64001:1 gene:GSPATT00004671001 transcript:CAK58299 MQSWSWLIQPLLQTRSQEDKKEVVIKQIHKKQLQPFFNRCSETKSQYLSSVIISTFTHII KQQTNIIQLLNFANRQCANQQSVDILQIIKQQGSLLENTVIIYVLQIGEALKYRLFTEIS NLPISQSIKESQTSRFFLTLQSIKTDFELKKDNSRLDLHLYIYKETLLKNEYNHKTNFGP LVYCFLKQFLVCTHMYSKRILPIYLMEMDDLVRKLQKISKGLYLDVQTSVLRSFN >CAK58300 pep:novel supercontig:GCA_000165425.1:CT867997:64594:64923:1 gene:GSPATT00004672001 transcript:CAK58300 MNCEKEQDEDLYGFLALFKDVDEIIFPIISKQLKRKKIQDCFEFLQQQENQCIVGIESQK IKNLSLPDKEFIAEKKDIKKIIEVLKKIKDHQFNNKISLLTNMKKLRRI >CAK58301 pep:novel supercontig:GCA_000165425.1:CT867997:64936:66307:1 gene:GSPATT00004673001 transcript:CAK58301 MGQENYRISKIFNERYIQCGSNALHLLVLMKISFKEQCFENIRIRNTSLIGANMIRCDLS GSEFENVIISGINLNQAKLLNCINEGMQLNGHSGRLKQIFFSLDGKSLASCSVGKALYLW DVKTRKIKFNKKVTNVDSFCLSPNGTTLASSCNNLAYLWNLKTGKKICNLIGHKDTISQV WFSPNGTLLASGSWNGSVRLWDVKKSQSKANLDGDSECDKSICFSPDDTSLAFHSSDNSI VLWDVKTQSKLNYMDILVRLNHSVSLLIVLDQHLVVMISLSVYGMLKQDNKKPNQMVIHQ QFIQSISLLMEPNQHQAAVKITSSFYIHQMYRQEIKNFYMLIMLKIRIFRKVFQHTLNL >CAK58302 pep:novel supercontig:GCA_000165425.1:CT867997:67296:69149:-1 gene:GSPATT00004674001 transcript:CAK58302 MDEREEEKVFIQQLQKFWEQRGVTIKIPQIGGRELEVFKLYKAVTKRGGLKVVSANKLWK EIVDQFSFPATCTSASFTLRNHYQKLLLAYEQKYFFGKEDGYIYDEEMSSNRKKTKIGFE EENQQASYAVEAEDRQHQMEFSGQPLSTLLNQNYSKVEKAETILFIKKSKIQAQASEVKR IMLAFESRIDDELTFAINNLLMYSCNYSQSFLIDQYPQLLDTITSYIEDTIKQISYLNKS YSYKTCLQTVGQQQLENLGQQQRKSMQVQTDLIKNQNVLENYNSHISVIMNDQTTELAKP SELIYQKKYIDLIQGNYEKKAECKHLEKLRTLFVALRNLAMIRANEACFMKQDKLLSFFY QILLSGADQELSKSALEIFSVLSKHIYLKQSVYMDNFMQKLIEILNGESYEDIGLVTETL RNMISISDNEAIIEGAIGEYIDQLARLLIYQNQELREIVLEFFCYLSDLKMATRLSIAKH PKILQRLVAILSIGQIKNNSLKQQDQRTNQDKINEKHVKLAAITLNNISQAPAAKQYLLI FEKELFLVAASDETVTPLLSQILFELSLVE >CAK58303 pep:novel supercontig:GCA_000165425.1:CT867997:69548:72171:1 gene:GSPATT00004675001 transcript:CAK58303 MLLFNPPPTKIREFLLIQLNTKTLSQNCDVLKPHNSQELVESQNELKSHYETCKYEYQKT YAGNVQSDSNKDTASERRSNTLRKDGTAQESKENYGFSTKTSITDYHITVGNSRLQSQNN VFLQQKPKISIEISKISGQLRDLMSSVQRFVTDGRLPDNINDKMIDILATFRKVEELSIA DPNENDSKTLNMAEDYNKLKAILEQLQSKMTALVQENQKLNKNLVEKERRKREQAEDKSN QTNKEFNRVFECLQINQEENEELKMKLQQADSFKGAKTHQNKINNNEIQDYKLQNIQLQK ELDVLESKYKQLLSEKATQKFIPKCPLILKSIHSSTSNQSEQLELKLLQLQIENDNLKAQ MAHNQVDSKAIDNKNEMIQRLDDKIKSLLKEKAISEEQSVQVCNNLESQMNIKDKEVVIL QKQRNEVERESKGEINSYIQQLKVLEDKLQTSKQENQNLFDEFKPMDIRKNKVQEELKMK QKKPEQLSQELQFQKQESKKVMDKRQTLQQKCDQMNQQLQQQTSIQQQLLQQIDGLKQTE NAFKLECDRLNNMYKATKQEMKYQVTELNEMIQLQGRRTQEKERQLNELIEEMGDMKDQY EVQKKVCQEIINDLERKNSKLQNEEFLQIKEQEIIGFKLIMEQQLKMLDKRNALSSYDFD QREQQLQVEIQSKNEQINDLKLEIEKIRSHNFNTQEELLRNGILQNQNQAIITNLKNELN QSKQEQQDLNEMLNKRKEETDQLHLSLEGLRKDQQNKKLQQEDSRKSVLRQQDLQISLEN LQRDNLNLQQKVNALQIENTRKHRDLNKKNDEYLNIKRKYDETVQNLDRLERRQGEKIDQ HRRT >CAK58304 pep:novel supercontig:GCA_000165425.1:CT867997:72395:72878:1 gene:GSPATT00004676001 transcript:CAK58304 MEKEQTFVLIKPDGVQRRIIGRIIQRFEDKGFSMVAMKMLIPNQELLQKHYEELMFKPFF PCLICYMLSGPVIAMIWQGKDVVRQIRSMLGEGRNPIEQKPGTIRADYALELQRNLIHGS DSCESAQKEINLWFNQKEIVDWKPSDLNWVCE >CAK58305 pep:novel supercontig:GCA_000165425.1:CT867997:72916:73645:1 gene:GSPATT00004677001 transcript:CAK58305 MQKSQLIRVLSDSNKSPNPFSMFRQLSQETPTNQGNSQSMTTDREIARLTRLVGSLKKEN ESLQIQIKHLEQMDYKGIIQTLEQKNKQLESQVETFKKLPIEKIETLIDDNERLTSIVET QSTKIKSLLTQIDDLKDSSFQNEGKNEIIISMIQELENQKLYVQDEHKKYQILNKEKQTL YIELQMAKQQIKELNQTIEKIKMINLESSEPSDLLHQDYLKSNETVQTFLKNQY >CAK58306 pep:novel supercontig:GCA_000165425.1:CT867997:74068:76037:-1 gene:GSPATT00004678001 transcript:CAK58306 MNSPQNLDQTREEKKEILFENLDVKSFEWRPYKCFIMLYLFNATIALPVFTFKLFYLYVG QIVKSIRFLRIIQVFIEYNLFQCLKAIIFFVCDLAMMLSILMMYLLVGLRYKYTINYEDW AISCLIFLQSQILMSSYVLTISIDRKELDCDFLVSEANMSKLGLGRLYQKLLHQNLSPQK KEELKQENLYKQIRVAMETENIDSTLYYIALESDPGICTIDDIISLNDHSIIQLIEKASK ILSNQHDIPLEKKQFEQPINKLKILKELRALGFRGYRHAIDNFLWPHYDYIKVKQEKDPA SILLIYLIVLMAKGSFPLFLLLSAENVLDIEFAFFVCFLGMYLNMIILLIDLIKCNDIKG KRYILNVLQTMIIPNKAQDQLEDENDSGKDEIPDDLPYNSDFKLDFSCNLSLESWDNMRR LTLLIDNDWMDYNEAQIAFVFLYFIYLYMNLSSVFLDFDLIPILHQFFQDPILQWNMIVD FTCLAILFLNRVYQGTFYNKTFENIIESIKKLETVYDDKKALFDFYFSSNLMENIQNETY RKITQKIYCSSCDKVIYALASKGVQQTPLQEFLMLAKSQAKQRVFQLCATMKKVKDQIEF DNDNYSHKMLGIYRSNIWTVLSTFGFLGYIFFRGKIKGYLNKHKAKQL >CAK58307 pep:novel supercontig:GCA_000165425.1:CT867997:77496:77939:1 gene:GSPATT00004679001 transcript:CAK58307 MLKSIWELKGDEKQCQANYSIEFLFQNPLFQHASSLFLKDIVNSTSNAFEQRAYKVFQEQ QKNYSHSDNNEGNNTMVDTEKITNSDPLNRLISKKLIDEQQLNMIIRNQEILNLIKQMQN LYQDQNQADQKCVEFIKEYLLLQQFKI >CAK58308 pep:novel supercontig:GCA_000165425.1:CT867997:77971:79714:-1 gene:GSPATT00004680001 transcript:CAK58308 MYLSARTQGRLANLIITIAEGEKKTEMVRQVLAEQKMFEPYTAFRRLDQLRTGELTVSDI VEFLSDNKIYPTKAQSDYLFRRLDLNRDGRITYPDFVKAVLPKEDSRLRQIATLRDSYYI EVNMLLPTEIEWGLSRVFQQEIQNFNSITASQEILTASADFTSLDAFRCIDQVFLGYITI DTLQDFLRQNGAKLSFDELTAFFRVVDSDEDGRITYSELLEALTFVPDFFQQERQIANEL RRSRERIIQIEREREVLEDLKKSRERVEDLRRSRERLEQMQDLKRSRERIEQLDELRRSR ERLEDLRKSRERLEDLKKSRERLNQLEELKRSRQRMEELEELKKSRERQAQIDELRKSRE RLEDLRKSRERLEQLEQERKNQEKIEELRKSRSRIEELQNQRKKIEELRTSQERLEQLKR QKEEIELKKSQERLEDLRKSRERLEQIEALRQSREQQRALEELRQSRERVEQLRKSQLRI EQLENERQVLERSRQLERVERELEYERQRSKERLERLELEAELERRARDRALEYDLATQS NFVQSRIYDTPKRYK >CAK58309 pep:novel supercontig:GCA_000165425.1:CT867997:80811:82041:1 gene:GSPATT00004681001 transcript:CAK58309 MKSQPLQQISLNTKHQKKKSINGRNIYEIGGTQFVVDDRYECQKQIGHGAYGVVCSGVDL VKNKKVAIKKIQNAFEDLIDAKRIVREIKLLQFFQHENIIGLVDILKPETKTGYNDIYIV TELMETDLHRVIYSRQDLTEEHIQYFMYQTLRGLLYIHSANVMHRDLKPSNILVNKNCDL KICDLGLARGFEIEDENKTEYVVTRWYRAPEVILQASEYTKAIDIWSVGCIFAELLGRTP LFPGKDYLEQIQRIIAVLGTPSNEEMKYITNEGAIKYIKSLPKRTKQNFNQLFQKVNPTC LDLLSKMLTFSPFQRYTVEQCLSHPYFEGLHSKEDEPRCNSYFDWAWDKMELKKEILQQT VYDEANQWQQKNKANYKKF >CAK58310 pep:novel supercontig:GCA_000165425.1:CT867997:82171:84128:1 gene:GSPATT00004682001 transcript:CAK58310 MRAQQKNKRFLHSSDLSLETITPEGHENIYLPMDIQTPTQADGLSYEDEQALRMHGAQIC FQACNHLKLPLTTAITSLVIFHRFFAKNSFVDFDYREISMASLYLAGKVEETLLKTWYIA GAFSSVFQKQKQAPLDIIIKQEKLILKELGFELFKVSDHPHKFIESFYHFIKVDKQVAQK AWYYLNDSYMTDLCVHFPPQVIAAGALYLALRVCNHPMPTQPWWILLEATLAQIEQVAAT IYNIYEFEKMDFRQARRILAKANRVAYVIQHSEIYGMPEKIERPLETKKQNSIQPSQQQS QQPQLQIQQEQTQNNKDKQEPSPNNKEKKSIKKKSKDKKNKSEKKKNKDKDKEKEKKKED KKKKKSRFQKQIQLIKNYQKSKKRKKKEKEKERERERERERERDKEREKENEKEKDKEIK ENNKEKDIQKNDQEKPSVDQNSKKDQDQQLDRGMIKSNMEIENEIEQTKINNNNQQQNQK GNEQIRDDNIHQNSLANQESQFQAQQTNQDDQQQNIVPIDDQSKVQLPLQSKQDFIAQMK ALLKTQQLQKIDDVEKKDKEVEINQIEKQSPNEQDSEEDQQLNAIKLKSKFIFQ >CAK58311 pep:novel supercontig:GCA_000165425.1:CT867997:84139:84930:-1 gene:GSPATT00004683001 transcript:CAK58311 MGKPLTAELIVQKTKNDQLFQIKNLNLWGNDIDDLKALRQLPNLEVLSLSVNKISTLKDI GCCQKLQELYLRKNCVSDIKELRYLVHLPHLRVLWLQDNPCADHPNYREIVVKYLPNLVK LDNTTITNEDRQNAQSVNILEDDFEEQTQNSNILNRPKSSLKESPKKQPFIFNQQEQQRP ITPDISSNQQNIQNNNKNIERNENILCAVLSLIKELDDSSLEVVKKDIDRKLQKNNLKRI L >CAK58312 pep:novel supercontig:GCA_000165425.1:CT867997:84968:86243:-1 gene:GSPATT00004684001 transcript:CAK58312 MSITQQEGSTLLKDLERIRKKTKISNKVLNGQLDQIITEIEEAKTNNDYSQLKDKLQVQY LLRKNEKPLTKIKQTNNDCYAYISKLGKNMDKVYKKNLQYGQEHIELDQNVLTELIKTHL LRDGEFEAYETLVKESGSVDSNFHQFFTEAQSIVKDLKEKKLDSAIQWAENRGKKSVNNL LYELLKQRVIQLVQTEGINVAINFMRNSASFQEQSQGRLYEICLITYSLLIWPNVENTKY FYLYDNERNWPRVLNLFLEVAAKSQNILTKSEIRTVFSAGCLAMPKLIKYNQITRNRSSE VLTNDIPIDIEIGKEYKYHSFFVCPVSREVTNSDNPPVLLKCGHVISKLSAHKMIANKQK FKCPTCPVETKGVDLPELIFI >CAK58313 pep:novel supercontig:GCA_000165425.1:CT867997:86279:87483:-1 gene:GSPATT00004685001 transcript:CAK58313 MDQYWGNANTQTNYENQETSQDMRPLKGYKNQNQNMQNQNGNYDQQVGVAPLKGYKQMNQ ASGSYFNQQNDYIPKRGYQQHNKKHQSEKTYYNQQMQVQARQTDQGCYSDQQQQFGQYPE RQNREQYNRKDNQSRGYYNTQNNAGYQQNKNYQMYQLPQQSNNIFYQFQTQFLRANDYYD MNELRANFNPLKQLNKNHMPSQDSHFVLIRPKNGFQNIHKAIKYGIWCSSSLVNQELSQL YADKNKSVYLIFFPLGQNSKLIGIAQMISDYDPNQTYKYWDNDGIYNGSFELIWHSIKYV DPESIPILTWEFRRGDIRQYYIQQLRDGNQIGYHDAMTIFEIFNEAPENPSVFEHFNVLD IQEEKDQKRSNKLKNQEEQEFQLKQKGLKKKYQY >CAK58314 pep:novel supercontig:GCA_000165425.1:CT867997:87932:88745:1 gene:GSPATT00004686001 transcript:CAK58314 MNRVLQLIYKLKFHTYIMRSSLALRLRNSIDNTHIQYDNKYSVHVNTQHPVMSPRKSVDK TTINQIYKVTQPHQIKHKTTKLGRDSCGYNVRYNNSVDVSLEELKKIKTLEKSLYCIQDN RQRGDNKIINDKVLKENPFQISNNLDKQPKRYSLPVLNKKQSPQHSNQDKIKIPVLPVIS ENINAKQNNFSIEKCLEDLNKIKSGGHKEYPQSTERILDLLLLNTCDLKKIFKNQNQKNK RKIIVQSKVPQDFFNILKNY >CAK58315 pep:novel supercontig:GCA_000165425.1:CT867997:89598:89846:-1 gene:GSPATT00004687001 transcript:CAK58315 MSNKIEEEKPAQQPQQIQKIFDEDDDDFEEFEQEDLEVNHDLKIDIKQWREDWDDEDLTD EFSIQLKQELAQK >CAK58316 pep:novel supercontig:GCA_000165425.1:CT867997:90252:92956:-1 gene:GSPATT00004688001 transcript:CAK58316 MDINQKRKIKVKTISQQVFEFEVPQDVFKLIQTQITIADLKKEIFEKSQVPIDRQRLIFL GKALLDNQKLNEIIKEDDQTIHLMAITHPQQTQQPQQNQNQNQQQQQRFQFMPGIQTTTQ IHIGGGGGNSFYISALDGQTFMPPELANILSMFGSPIIRTQQQQQPQQQQAQTTQQGQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRQQQQQNLPNQSTPTR QQQPIPQQQNQPQLQQVTLLPNDQSGVGVLLPTEHIQSLSRVLGNFYQSSLLGLQFQLPQ MTEHRNNITVLGHYIHQLCYVLQRSLPILQRVSDLLMREPFLTSETQRKETMQLSRDSAS VVQSINRMTSDLYSALLRFLDLGSAPGQFSLQVPNQLIAHNHHHRHHHGIINVQHQHIAR NPQQQQQNQQAQQQRANQQQQPQSTQQSQSTQQSQSQSTQQQQQQPQQNLFNSLGQILNQ FSQNQNLQQNNQPQMQVEQQGDQIIIDAQVLEIDAGSESESNQAGNQQQNNNPLNAINNL VNNIINLEQQQNQFQPQQQFGSEQQSQPQGQNQQPQQQQSTQNVPQQAQSIMSGLLGNLG LGGQQGGANLLTMTLHELMMQNYSNQMEDGEIEILQIFSQVKIGDIMAAQFSGNYSFLDG QQGSIKENLHNLISKNEGRIELIEKIANSFLSTVVPKGSDQHLLIEGFEPRITASEALVK HIKQFFLIIEKDYTNSVEKFSDAIVSQFFKMIGETAYEVSEGLVHGLDDYKLVIQANLQE LLRKSVGQEMAMMFSGMLFMMLWGMIERSYNQHKKSLEQQQSKIQQEEELNEEQLLKEIN EASQACKQNSQINFSNEYKKGDPDYKDFD >CAK58317 pep:novel supercontig:GCA_000165425.1:CT867997:93099:93832:-1 gene:GSPATT00004689001 transcript:CAK58317 MLRLIEYMTNNPTIKRQIFESFNQEDLEKILKNLNEKKTFFFEKSLAYFYENCQNFMRSF DLIPINSKIVLNGIPEKLSQHMTSDLSQKLNQIQPSENSLYWYYPLQLTREKLPNLSYFQ LNSKELKKQVNDFVDPSECMIGTTYRKLPIRTPQSDIQNWNNRHDHHHKMSIHPVNKQMA SLILPLQEINNPRYYQRYVAKFFNQQQYKNLLSNTQREDISFEKQQPRSQIPKNKFL >CAK58318 pep:novel supercontig:GCA_000165425.1:CT867997:93993:95841:-1 gene:GSPATT00004690001 transcript:CAK58318 MSIIQNTSQEIRINNLQTDLKQLSTNTNLYMLQSQDEIAALNERINQIINQQNQHASSLN VIQEKLNQRVEKIFLEHEASLINKIKEIEEQKLTQHEEEIKKREEMFNKFVQLDQQVDKL DKKFELKANDKFIVSTIQNNLMNNQLLQQTLIDKAIKLIDDLLKQKVEEMQKWTDEKIQQ QYYQIQNFSTYLRKSERVVEELQSAITLTKNSTIEFEKEFTQQINDISQKNQIFKETITI QTKGMLNQSSQIKQQFDQLEQKIQLEFQNIIEKQSIITNQIQTKVDQMNHYIQFCLDSSE QKVYSLCKQEDQELMEKLTNQFETKFKTLQEKTISLDQELNKQSEICNQTKEKLNNFLKS QQVHQRLYQKKDGMPQYNSKQSVKDQLLVIENLFRYISQIYSQIHKSIRTDENEQLQTIQ DSTRHSQSCSQVVLLVEQCQSTKKIHVSPNKSPERVEKVASDKMDLKDQRTLVSSVERNK GEIHKNQDKSPLITLEKPIQAAKIGEEIFSDQKLQETNHHPLLVVGKTQLLDIRPQQDLF SLQQKVKKIQGILGVDLLGQRQNNNIYQAQRCNSASKRLPKINSRNLNFSID >CAK58319 pep:novel supercontig:GCA_000165425.1:CT867997:96263:96778:-1 gene:GSPATT00004691001 transcript:CAK58319 MGSSLCFQDSQKSTNELKLRCSKQYKLNGPPHLFKPTPIVSVLMFDEQIEKEESPQTEIL ESLNNIQNVQQFSYMAQQSEEIDSTQSKPWIKKTYAIQTINVSNFKNDENNNKNNNYQLR NSSREQNISSGKYKNLKEDSNVSIQLGQSGSISINTFDKKQLKTNSQYKNK >CAK58320 pep:novel supercontig:GCA_000165425.1:CT867997:97113:98226:-1 gene:GSPATT00004692001 transcript:CAK58320 MDSVSEIRFGNNDIFATGAWDGYIRFYQILSQTGIQTQIALDFKSVIDCQEPVLSISWKR DMSMIFAALADNTVRAYDIKTGQNIVLGYHDDCPARQVFWNDELQVLVSLGFDKKLRLWS LKQNTMGMKPSPVYELNLTSIPTVGEQDQNERMFAYADVDCKIKWFSWDKIRGNLNSTVA RNIFEIEDSKLGNRSQISTLSISHNSKQMGYCSVDGRGAVMEMNDRLEGQNRIVYKCHKR EEDSKNSFKSEKINTYYVVNSLQFNCRSYDWVSTSSSDSTIIFWDLKKKNKITTIPFDAP VIASQVSPDGYFLAYATGYDWGQGLDGIDNRFSNNVGAVFIDKQQLFYV >CAK58321 pep:novel supercontig:GCA_000165425.1:CT867997:98393:100117:-1 gene:GSPATT00004693001 transcript:CAK58321 MNQLHQFEYEKSIFKMTCIRKHLLKDITYFLFLFKDYLILSQVKDKFIFKEQNSQQPKYI FKINLDTKVYWQQEKQIYHQIGLEYKGEVKYFNGDCESLKQLKMLLRNKVMYRDVSDYYI PIKQLGRGGSSRVYLVTEKCTNLQYASKNVEKRYLKEDGGFEALFNEINLMATLNHENIV KLEDVYEGENTFYIILEYLKGASLHEMINKGILQLGWSEIKSIMRSLLTAVVHMHSQNIM HRDLKPENIMFKNLNDISGLRIVDFGLATSTTVASYPFPKCGTPGYVAPEIANLKDLSKK YDKICDIFSVGCIFYKLITSKDLFPGNDYHEILKLNKKCVINLDSLSIYQTSQSATDLIF QMLQIDPKNRITAQEALEHPFFTEPYQDRKIKFQSQKKTLSNNSKPWQTLTFKQQSSDRL QLPEINQDVKNQDEDDIGIEDEKAAIQILPINSPRFVQQAKIKNLALAEGSPKEMPKKSA LKKFSTQEFEHLTPDTQSPDSGGMNFCNSPKVIQDAAIKKTFTFSKYNQQQQAIDEVDDE QKL >CAK58322 pep:novel supercontig:GCA_000165425.1:CT867997:100976:102730:-1 gene:GSPATT00004694001 transcript:CAK58322 MIFFLLQTVLAKQLTNLTTHVLFNKISESEQVDIVVTEQLNIRDYPQAKYVIDANLESKQ KGSICYVKQGSIQFGDYQVYSQKIFDLPNLETNDPELLLNIPVLLNMVHIKKAFAIVTSN NQILLYRVNNNSSNIIGHNIQLETNYSYHHLRQEAKIIEPPQIIYADQTQYIYLIYANQI LGGKVNYDNLSSFALRNITNERFDYETYYLGQIKVFGWHIFIPVGVDGLDIYQYQENGDW SFIGNMNSKTFYNNSRKIKIVDLVFGGTENEKYMYILDEQRGIIRFIVSSNSSSFYAQLD PQLGIITISNGKTLAEHNNSYILVLQEIGVINTLVEVGLHQNGWFKVKVHYLTGKYSEID ILPEFTILRGKDEHRIIRTGIYEKFEPNFVFTQKDNLNQERQNSFYEEYVFIPSLITMDF YDVDKGALNSSNNFNYNITNSFLVGITSQSIVYMPYIVENPYIRCNPRSNRTVGRTFDYK LTINATHCPQKEKLTGIPPQLILCQYVDEFNIKITNGDGIIYDTESLASIVMGFIVLLII LSISLAYLYTRYKLKQNGLRNDIQGFGESGNREGYDMDQQYTQQ >CAK58323 pep:novel supercontig:GCA_000165425.1:CT867997:103156:104169:1 gene:GSPATT00004695001 transcript:CAK58323 MKLKSAIVFLDYSLKPRVFQLERYAIKKLEKPDGYKLIYFKEFEKAQQFAIQAQQLLELK HVENLQQMMISDISLIRERSQKDGQIREISVQQQNVFDKTYNVENTKIQNSQFKYKQENK IDKQFKIDDTNQKDFYGILDEIEDKMKKISISRQRLVKLEQLDQQFATLLHYYPKNLEII PIQTDSLYTLYFDGASKSNPGPAGAGIALFDKMKLIKEITQPLGKQTNNVAEFLALFFGI RYTLNLGINFLECFGDSKLVIDGMNSKINFKQQHLEDIRVAICDYAQLFKKVKYTHISRD QNQIADKLASTAAQIQKKNEL >CAK58324 pep:novel supercontig:GCA_000165425.1:CT867997:104455:106475:1 gene:GSPATT00004696001 transcript:CAK58324 MDMYTQLIQYNVDAFIDLQSFRFLLKGDIKSGRTHCLIGAPQDPGLLLIFTGHVFYRLEE LKKLEKNNTFENRFNYKMFLKCYLRENNQYFDVLTNCKEPMNDFESVISFDSQLAFLKLL RIILKNIDQRKFETAKEYHTYQQNQGSFRLGQVTFDFILEKTSTTWYGVQEIKCAHFKFE TIDLQTPTVAYDQDRVIYFLNPALKYQNSTKTILRDSKFAKISKQTDKIDIQTIKNKFNF ILRSIKIIKNRDILIQLQLLFKQFRKEIEKLNLILELKSDVIEQVQQQLRLDIQAIGKVI TSVDVREFLLSKFLLYQVNESFDICLPFQKKIDLILAKIRKIKKQKFTLNADYNDNCLET RVFKDIKRNLQDILNFIKDEVYSEQHRAYNYQQIKNHIQEDFVQQLPDVQIEDLIQAEVT QQSSNSQLPTLEQKKHMRSKQNSLLIEKFKNGENVLSIDYTLKLIKQTARSHQGITTTRS HIPRLKTDKCSLQSSKKAQLKLIKIDTTPRGVTLQSPMFINLENQSTSLTSQKQKEFKQP EDYKKLNSVINNSSKILNTMSQLSNDQLQNSVMSSDEIIKGFQSKVKLKKYQSLYKMPNL STNYLNCLERMVNLEIKSKQ >CAK58325 pep:novel supercontig:GCA_000165425.1:CT867997:106528:107248:-1 gene:GSPATT00004697001 transcript:CAK58325 MRILITLLFILESISGVEQCQCSNFTQPQYCTSFQGCYWNGKNCYQPNCTEITQQSKCIA PLCAWYLEKCHDGQQCNFYTDKQICNQIFGCVANDGYCLPYEDCNFQTQDKCNGITPTGI QCFWNSQTQSCLKFQTCNRLSLDNNDCNSIPYCIQKKKQCESFSTLKCSDMESQYDCSRM ASYECSWQNNQCESVACSTYTTPSSCSVNSCTWNGSTCLYCPVSIMYTYFLG >CAK58326 pep:novel supercontig:GCA_000165425.1:CT867997:107279:108452:-1 gene:GSPATT00004698001 transcript:CAK58326 MYQSQEILSDEEDFETQQYLIRQRQIAELQQQYQSKRQELDKYLKTEESIISPRIFNYET NYSTNQTLKCQISPEMNQISDIAQKQQNQYLTSFSSLNKKSQITPTSERRYEAQMNSNFN TNKTSKSPIQNPILQHNKKIIIKRVNHETQTQLTVSCKIPTNSKFTIQLQQTMDPNLNKA TQTTQILKQKNLTQNTKPKAQEIPSINKYTQRFKTEYNCTKEQTESSKIQQNGRKVPMNK KQFDQWYKSQQSWVNKTEMKVFQQRMEKEQMNQDIDLQQQSFSPKILKHSQDIVNQKFSG CQFMERQQQYDNYLKMKQQNREHEEILERQSQKFRMSPISKLIVRSVTPKSYRDYSKNHT ANTSWFGNHNTQCYQ >CAK58327 pep:novel supercontig:GCA_000165425.1:CT867997:108926:110965:1 gene:GSPATT00004699001 transcript:CAK58327 MSNNNHSDDEEMFLVGKIQAQKVEIEALQKQLRVTEFQFKQQILELTNELERERKRTGEL ENEINTQISSIGQGEIQSFATQLEQKLSEAENKLLEYKANELKLQKEIQSLKRKPENEEI DELKQQLVKMEETAIALIVEKEKVIQDLKSQLECSTQIQETFHDQIHHSDVDDEKDIKIQ NLKQLVQELNQKIEQDQLHFDERLMKLEHEITERVLDSISHQINESKSVEQDLLHQINLY EEKIKNQDKLLVSQEKLLQQVKDEKYKEVSFYEGRNAEMQKKLSSALQQVLKSDRKSEDA FKQYTNLQREKTILQKQRDEQVKLNVKLENNIRKQIQDMDELRQYNNSSQQQIDDLEQQV NHLEQQLQETKFYYEEQLQMQKIHLPSERLPSQQEEQGQGGNLLSELLTEEISIEKPHNT QQSEDSFHQQIPQTPQSKNGGGHLFASSRISNASVNTKRRYQEMEQLKIELHSVKHENEQ LAEEIENYEHLVGVLQQEIKAQLLEIQNLRKGKLDYSQERDLRIDQEQLKQSLHKLETHF ITAKLSWAEEVNFLKSEVKRAEKQAQESTLLYNQILTEKEYFQSKLKKLETQIAKKEKKN QNSQNTPLSPIKEDDQTIKKKGFLSYFGK >CAK58328 pep:novel supercontig:GCA_000165425.1:CT867997:110984:112072:1 gene:GSPATT00004700001 transcript:CAK58328 MDQNVQEQEEELDEKQLQEKMRYEKIQKEIQESQDRSTETLQKMKLTFQTIDDVQEQARS TVDNIDNFQKTFSVTMDKLLENIGKSLISNSIKSSEHNPKTAIELTINEAKQLITKNTVI LCGAGLSKASGIPTSKEQNGEWIKGECNYPLSKLKTRDFLVDNPKVYWEWHKQYKQLVQD KIPNAGHLAIKNFKEKNKDALIVNLSIDNLLASVFESEEIKYGYNKQIYEINGNIKYMRC LYECTFQEAELMTVYDIPDLELNEIPKCPICGSKGRPHLLLLDDEILDENCRITEIQELS QKYETIIVIGTTLQTHFAKTMVCEFIKKKATIIEINPEPVIEVGNTFWLIGKSEEILPEL LD >CAK58329 pep:novel supercontig:GCA_000165425.1:CT867997:112320:112972:1 gene:GSPATT00004701001 transcript:CAK58329 MNRELQPPYKLDTDSTERIIKDLLIYKDAQLKVILKKYIDITAPQETLSEEKLQQLVTEF QKEIAVYEFQIAKAARQLEAQNFDREQNQELNKSIIEGIKQTDLEIENLKSVYQIEEQIK INRQHYENLSKQISSYENVDTVMKNIEAATINIEQLKIQHKQAAELIKIKEKQLFMMLHL MQDLIEVKYEL >CAK58330 pep:novel supercontig:GCA_000165425.1:CT867997:113128:113304:1 gene:GSPATT00004702001 transcript:CAK58330 MDKLSSKLDKFYTDFYEFETHPRNNSTQNQIDLNTSLDLHFKDLESLQSKDQSSDYED >CAK58331 pep:novel supercontig:GCA_000165425.1:CT867997:113479:116599:-1 gene:GSPATT00004703001 transcript:CAK58331 MNEKKKSVFFDKRDENYIHLTSPIYFLEMFTKKKIKCDVIIPQTIIFSKGTATLWLFNSK TSLTPLILKKNSNKLNFVEICRVLCRVKQNEDFRIDTLTTLLDIIKAGKNEISAFARISK AKKAITKVDLVKMFLDGNVSGLQILQEHLENYSKADELFYVEYRQDVINLPVSYRFFKKS TQKQVILQPLQKKLSQLHIQQQTQHSDSYDESNKNDQVEYVDCMFKFFDNQMNQTLSKIV KKIVDFLEKAYKVRVKKLVAKFMQNDQQMIYFLGLKELLVDFQENAPYEIQSMKNELKDN SSFENFLILIKMFTRLRDKKPMKIPQTIYEDDKEISQKLYKNLIVQRCVGDFCDYIMEEK NRGPLQIRKNIVTDYKKYFGNKKMNEEKFSVTLPHEISFQLILRTRECSQEVIEVLMKNK IFTRNSKHHFQEFDKINTDEEYPFKFNKTEVYNLPNLYKTVKICKNCFVVYSLASKYFDQ RLSNDLQQKQWIKRDSSSLAQQKTSLNASNQQLSQLERSNIKRNDSRIRQDQNQSLQKIK TQASQNNAEKDQSENRVRKIQSAKQFRVIQSAHSQAPKTFKLFMPSQQPQLENTKRNSSS SKTNNIDINRLTMGFLNYTKPPKPNKIQSAKQQSGRLQSQRSQMESQHLKRSDSQSDQFQ RNRINKSQRQLNSNRQSFFQGKLRVIEVPQTQYSLLDVCQLYDLEYPPESVPDIFLESVN YFCFEQCAIPYLILQHQEEDQEFNKDNQSGLDLTEKEHQIVIFLGDFFDNSFEYLDIMQQ NIKPNTTILLMNLPGQSYTVFDPNIIQDNLDISLLLDSLLFELCQKDIIKNNDIYKFIGY GYGGFQVLSYMAHVQSNLPRIGGLLIINAFCEIDDMLTDTLKKSKEVFQTCPNDMPELGF HFWNSLIQSHEEKQDPRYNPISLNGRLHIINGLIKSQNVWNSCGQEIPIKLYHGLKNCVV NIKQAHLIKNSSSSSNSCEMNLINFGHKLQNLEELLFEFL >CAK58332 pep:novel supercontig:GCA_000165425.1:CT867997:116767:118880:-1 gene:GSPATT00004704001 transcript:CAK58332 MSEQAPNQSNSDQNEGDIDEYDSEKVLRQVKRDLLENVNLIRRQKKLNQLYIELITDFVA ERYASHLLENDHTEAELKKLIGFYKYNEKILDDIKLIYIVSKFEEDTIPDAQVIYDYFME IGQLFFEDEEDRKILLSNEMNHISVGVSCDDNQVAIVYLVSHKDLCVTKIEDSNDGSILV YGKMLEDEVGVYALQILPFTSDSSNVSDKNENNKIGPEHIEFDRQTKCFIAKIGNQGQPC TYDIRQYIDLYTRKSPESIPYMKSTREKLNLKHVDLKLRIPVIYYPDPRYAPVESEAQQS QQQDEQDEQNLQDPPIQKLDDQYKDNLSQDENQKEDELVQKNYMFKDISNQDIKDELEIA IAEAQKQHDELYDHNVNLQQKIKQIRNKQEVYVDKIVDMTMSEHKYLNTLAYVHQIRLDL QQTQDRYNQMAQELQSKLNEKSQKCNEIRQAFLDLRRQVALKAAYSRTNKQIPEARIVEW EVQESARNKTLQELRLDALRQRNILTKQQQQLKKKEELAEGLHLIDFEQLKIENQTLNEK IEERNEDLQKQKNKNMKSVLMFSHTREKLGHVGQKFQDQEKINYKKKDTLDGLRKSLGEQ KVYKDKLRTENLKLKQQTGIVNRPELMQDYSNRKSNQDQLRDELGHKEVLLLCQYVQQKL KHMQRIIEEYQNHLNQREQQ >CAK58333 pep:novel supercontig:GCA_000165425.1:CT867997:118903:119884:1 gene:GSPATT00004705001 transcript:CAK58333 MSSTGNLNDKFDTSFVYLFKYIIIGDMAVGKSCLLMQFIDKRFRSKHDVTIGVEFGARII RIQNVALKLSIWDTAGQESFRSITRSYYRSSAAAIIVYDITKRNSFENVVKWLDDARENG NKQITFLLVGNKNDLEQERQVPFEEAKQFAQDNEIGFMETSAKTNYNVDQMFTKLAEIIL FKIQKGIIDPKNESFGVRVGSEYQKYLASQQNDSKQEEKNQKIDPQLEGKYVQLNDPAVE TTKQKNKACC >CAK58334 pep:novel supercontig:GCA_000165425.1:CT867997:120160:122872:1 gene:GSPATT00004706001 transcript:CAK58334 MNQKEIAKEVLAVSLHIADAEKFDFEDSKKRMQLLTESVLKTNLLRQKPNKNCNQTVDLE FQQIDNLKDLLPHLAECSNLRELILHGNRLHTLPEDLSTLSTVETLDISNNLFDNILPII KALKTMPKLIHLQIGLRNVNEEKYVIQQLPNLITLNNRRLKFFDQLDSERLSEKQSARSE KSCQSEVTFNQEDVDNVENLFNQICNLENTSNQKKTHSPQLADHVKDVMTDFQTKVQQTH SKHFLDTHILKAKFDLYQICFRELIDYFRNNNNKLEQVLKALQQEHSNIFNDLTNVIYNL RDYKKISKETHEIEQLIQESEIVKSQNKEIQLFKEEHDRLLSENNKILQQKDQLYELLQP LQLQVNTLQKEIQKLEQEKENLNNIISQLEYQFAQQQQQLQQSNRDSSKQIQSANQSFQD IQSFSNLQTQQVVMLVKATQTENQQLLEKESQTFNEEENAILTKSIDMQSFQQESEDKSQ NDQQQENKKYLETIVRSSKVEKSSNIMSEISYKQTESPSFVERRRQKALSDFHLLVQPQS FRPLTLKQLKEIIQDIYESKQKHDIRQYENRLPLETIEQHMYTYLYYKYGLRNLALEWVA CIINAIQRYVTEDNDVAVFGLMLKNNLDEDFRLLQSTRKQKIEHILYIILQAKYKTKPVA EIKEMVKQKVAGYITHQEVVDILKSFLEEEEKDTILNLLKMHQIKTAISVSPIRKVNQIE QQSRPSAQKEPIKYEFSVFIKILLEFFMNLYQQYLANLYVVFESVDHDKDGIINQQQFFK LTESIKPNLKNEECTQIYETLDPFQNDQITFSQIVRVFKNLQLQNKNVDLIQQISVV >CAK58335 pep:novel supercontig:GCA_000165425.1:CT867997:123093:124053:-1 gene:GSPATT00004707001 transcript:CAK58335 MLTLRELQELRQVNDDRKALTDRYGVGFNNPLSARNEYQGKQTLGAWDPITNKPQTLIVN NGSDPIVLDHNTPRQEITKNIVFQKGFPFQTNQPQIRNPQAQTQNIPTTYSKVQSVQHIP QNYMHENHHRQRPYQLKSVEEILRPWKAKEIMYNRELKALQDKLNKGNYSMTDKRTLKVD NVAKKLELQNEVEKLEAILKNKDEEITRLKIRSQEIEEKLIEISEESSTEFNKYQKELEE WKKKFKELNNLYHECEEECTMKETEIESLKKRKLSVIKTQTVQKVGTKPQKNMDSPRAII STVSDKDSDYY >CAK58336 pep:novel supercontig:GCA_000165425.1:CT867997:124105:125601:-1 gene:GSPATT00004708001 transcript:CAK58336 MNQKNRDTPSFLNKYLRKDTNPKRPFEFQAKIQEKQDVSPMEKQARRKTIEQNFSSLVDQ SVRKCTILPNYEPSKCSSGRNGIIKAYAANTNQGLIRDYNEDRVSIILNIVKPSDRASEN WPKCSFFGVYDGHGGSTCADFLRDNLHQFVIKESEFPWNPVAAIKKGFEAAETHFLAYAL NSFQKGIPERSGSCAIVCLVVGEVCYVANVGDSRAVMSSQKGKKVTNLSIDHKPETEIER IQKGGGKIYQTHGVNEDGEQIIGPIRVMPGRLSVSRTFGDIEAKLEQFGGNSRVVISEPE IKILKLNSEHDFVALGCDGIFDKLSSEEVIDIIWQDLRNNDKLNLHSLLSQSVDSVLKEA IYKKSSDNITLLIVAFQVNQIKEELKEFRYTISNSVDRIEDSSHNNNRPRLSQQMQTRGD ENYSGFSNQNSNNQSTSYFNNNHNIKLNMSINLQSRLFRQLQSKKNIQEEAKSKTKHSYI L >CAK58337 pep:novel supercontig:GCA_000165425.1:CT867997:125784:125939:1 gene:GSPATT00004709001 transcript:CAK58337 MRMQSGRNGLNDKKQSQQKDLNLEDKEDQLKQQKKSSKVIQNALQNEKQDK >CAK58338 pep:novel supercontig:GCA_000165425.1:CT867997:126242:127344:-1 gene:GSPATT00004710001 transcript:CAK58338 MFRNYSVQSVPLVSTTPMYQSLIQVQQPQPQIVRQRPIHVVDLEQYDDIWRKKVEDLEKQ LRELQKQKPQPVVQAPEPVLELRAGADTDELEKQIQQLQLELYKTKEDYEDLLQKYNDNL SELEQLKCNIQNNIQEQLKNHVCTDNTAELKKKIEQQDKEIQRLKALLASQVPVANDDSE QLKLYQQRISELERQLQESILQVERYRQENSQLLSQLNFFKDQVNEKDEKIKDLENQIEE LNNELDGMQDEQEEIIEQRVETIRKELKSWKDKFLALNAQFHDQQEKLMLTEAELDHIKK QGGAGTKGSQVITTTTTTKQSK >CAK58339 pep:novel supercontig:GCA_000165425.1:CT867997:127831:128274:1 gene:GSPATT00004711001 transcript:CAK58339 MKQEQKSIIWTQIQDIAIQIVDPQRLRNQNSQVSSIEFFIRVAGAYGSLLSHLINCVSFS LEQSKFQVIKVLVKQIQTKISIINKEIKYSTFGMLEDKILVDPSDFEEKNSSVLTVISFL NDEQVIFKKYDGKPLNYNQIQQIIQSF >CAK58340 pep:novel supercontig:GCA_000165425.1:CT867997:128395:129168:1 gene:GSPATT00004712001 transcript:CAK58340 MGNECKTCTQDARQISIPTQQNIITQSHQYSFGMNCIDNDVNFEFSSVDISTVPQFGFEQ QKLKADLFPPQALRAASEDSITSLLDQEETIEQQIKEDIRITKQIKRFQSQEEYYNTQQS IRMRYIDGSYLTASSEAQLQQQQQSQRSIQKQRQLIWDCRTVNKELKQKKKESSNQKTNP NSKTILLQSDQQGLSEKEKPKRKTHTSHQLNQAREDDNQIKPNEKRKFHPKIKKDEFVQY KINYQSQSQRSDFLKYD >CAK58341 pep:novel supercontig:GCA_000165425.1:CT867997:129583:131007:1 gene:GSPATT00004713001 transcript:CAK58341 MQQQEKQQQSSDEEIEVQDQGQQQQQQQQQQQQQQQQLTVVQKQTQYNQQLLDKLNKPHQ FWETQPMPNINELDSLKPGPIQEGILADVRKDPFNLISKFEWCNVDLRNDEQAQQVYTLL KENYVEDDDNMFRFDYSIDFLRWALLPPGQHPDWIVGVKVNQKLVGFITGIPVTLHIENQ QTKVKMTEINFLCVHKKIRANRLAPVLIKEITRRVHIKNMWQAVYTAGIVVPTPISQTRY YHRSLNAKKLIEVGFSSLSARQTIARQQKLYKLPEEPKTQGLRAMKKKDVAQVTKLLNEY LKQFKLYFKYTEDEVKHWFLPRKDVISTYVVEKEQGVVTDFLSFYNLPSQVIKNPKHTHL RAAYSYYNVATQTPIVQLMYDALILAKNEGYDVFNALDIMENEKFLKELMFCPGDGQLNY YLYNWKLESNMLKPEEIGIVLV >CAK58342 pep:novel supercontig:GCA_000165425.1:CT867997:131038:131778:1 gene:GSPATT00004714001 transcript:CAK58342 MSDTYRTDIERIQRLIQQLRSDIQKREQKINANQPVGMIDGEIRGGISKLEREIELLKSL IERQNQNQEILQKEGETRKNKLLELQNVATELKQTFDKSLRSQQDQLFNNRSNGGKQYKD TETLQQMDNKQLYSNQKQLQKEQDQKLDVCIDQLDTLKAQGKNIGNTVDEQNRLLEQIDK DMDKTNKEMINVNGKLKKFLNSSSYCCLYLFIAFELVGLVMIILFV >CAK58343 pep:novel supercontig:GCA_000165425.1:CT867997:131931:133281:1 gene:GSPATT00004715001 transcript:CAK58343 MIKSTLFLCLIIFLSDAVNIQNEQKFLEPEKKKVIHIQLQERETKVQDKQNFYKLIAINQ DKLSDSSFAQTQQSGPQYMRMHNYKNIQYTADLGIGQPGNTFKVVLDTGSANLWIDSTRC QEEGCMRHKQYNHEDSQSFLPLNQELSVEFGSGDLKGVVNADTVYFGDVTLPRQNLAEIT SENGAIFRDLDFDGILGLAYPKMAPKNFNPVFDNLMDQRVLERNQFSFYFAKDANDIAHS EFTLGGYNPAHVDGDIHYHDVIDKYYWMIKADNILVDNKDIGLCNGSCRLIVDTGTSIMS GPFDDLGTLLRTLNVKSHCHEINTLPTITFKIDDVDYTLDPEEYVKPTNFDAAQLTEVNE GDDLQALIEVNNWDCIAAFMPLDIQQPQGPAWILGDIFLRKYYSIYDRDNNQVGFAKAKK >CAK58344 pep:novel supercontig:GCA_000165425.1:CT867997:133300:135729:1 gene:GSPATT00004716001 transcript:CAK58344 MSSPVTNPLFEFQWLRNKNTYKYRTVCQELQAQLDPSQLIKYATSILKRDPSDRFEEELL LIVKATENLQFFKEMNSKQKNIDNRLHTQCCQKMTYKSFQQGETVFKQGEKGENAFIILS GSVNIYSQLDQYVVNDLSYKSRKKTHPISVINQAKREKMKNRFTTSVGMMKKLKRQNSKQ VEDEQFHSIKLLQTQHIIEEVKIEEEKDKKILEIQYQMGLEMTAGIPPAKLDIDYFFIDG VFKHKFVIELMEGQMFGELALIWNQPRSATVVAKSNLELAVLTSQDYKSLLKDAELNKIE QRIKFFQNYFFRECPRFVTLRYCYNFKKIELRKGNYLIRKGDKVTQIYLIKKGELEVVHE QEIVNQVSEEQQSELASSEQQLLLAIKQTQMKPLKKMIQQRRVIILSEGEIISDVEIMLK IPVSQQTIIVKSEKAKIYGLQMSHFNRILSDQTTLKKYFYDQANMKYQHNQNLIKQVDKF HQSQYEKVKLNQQLYLLKLKNESDKQQPSNLNNIIEESEESQIGISKQKNMSVHDYVQEY PIKIDKPLLHLKQSSAFPSLKLNLSNSPLIGSMTSRIDSKTKDIEEDYELQVASLKGVLN KIKFQVNHKSSQKILRQKKASEQDFRKQVQIMQQNNDQIKKYDRRYVDLVPENEKIKIKR QHEATEMIRQEMGSQSQHLKKFIEFQLMKTEMSQRISKSIEQSERIIGNNTPISKGQFFT YFSNSCKQTDNRILTESIQSPSPITQSEQKTQNFSTSIVVPKQPQQQKPVFIKSEHNLFR MKPQSRLLQARYSNHSVGN >CAK58345 pep:novel supercontig:GCA_000165425.1:CT867997:136410:138263:1 gene:GSPATT00004717001 transcript:CAK58345 MSNEEKNQENEQSQKRQRRPRQHTNQEESDQFWKNNQYFAQGQIDDDDDDESEEYYPQQS SSASEEVSQENDACDFIDSLEQWEDCSESKVQQQQYQESPSKNFLKLMRKNLEQFSTMRG VHTQVDIATLPRLGETSYVYFNEEQKFKNEFQDVLNRFYKSIPCDKQRRGGDDQSKCKTE FHGDLFDFEDKRYFIDIEILQLQRILKLYKTQKFDSYLAYRKLTRDQNLDFEQSLYKQLD ILRQKKKQLEAQMEEEKRCYKLSIDQQYILKLKEYANCFTPRYIQSQSNTKYDQMQLSEK QTFQIYPYEQQPQWLVQYQETFHIFKQKISLLQAPLYFYSFQDANALKISSHLILKSLKQ LEEVSKNKQIAKIFIDLMNSLFNIDIPEADLLFNSELLNEEIISILTSQEKQTLLDQSEQ YKPFPTPDDPGAETQTKLKFYPNFKTEDYSLYVRPVFEFSMRFLYEIYLRIQKITQMQTK IAYKNKEYSIGNLIIECCYKYLEDEISYAAWLTICKSILNEKDSIELSILIQLVQMFRRL FYQYDEWTVELLINWISKVLGEDSKILKGDVQLSNLSIQDIILKLKNHVELSNAKMARIQ TVDGLMIIHVFEFSQLY >CAK58346 pep:novel supercontig:GCA_000165425.1:CT867997:138335:139323:1 gene:GSPATT00004718001 transcript:CAK58346 MLNQSRYSPVNSSRYQKSSDNIGFSAFQVTTQMNKRQLAEFRKEVRDNILHREPFVEHQQ KSNYYLGNWGKRDRPTLDQLSQRRTPTSKIPGGLNYDEILQHSFIFHSSTRRNEAQSKAD ALTKLYQADKGPHIQVKVDSFFDNPFTIPTRMNTLGSGYKDSPQKSQQRLERFKSWDSKL DVQLKLQNKAISNKVLGEQPGFYKYINEAKQGLLDQNYTPTLSVRNKNSFRDQNQIKDLF SVTPRQNNSRHVSPQFTNKNAEKIPLTDIVRMTSHFSSLSTQEVKRVSSGYFQELINLQQ SLQRMISLGTKNY >CAK58347 pep:novel supercontig:GCA_000165425.1:CT867997:139365:140676:-1 gene:GSPATT00004719001 transcript:CAK58347 MDQAQSLEPNNQASDNQIHSNICDNDDIQKNGSQTRRAWSLKEDNQLKQAIKIYSTNWLL VAQALPNRNPSQCAQRWKRIKPYNVQKPWTSKEDQLLLRLVQVHNKNWVQIAKCIPNRTS KQVRERFVNKLNPEINKEPFTKEEDMIIVEGYKNYGSKWCKISKLLQGRPENIIKNRYYS YIRKRYFNIENPYYVVPQQNNEIQQSIQKDNLNHQQSKTFKRTTIGKLKIRKRLSRSSNN GSTRNKEKKQNNIIDKQLDQLDQENVKLQTAIIQENNKLNIHFNFSQQQEQEQIQQSKQK QAIKEELSNQQSQIKQEVEFDQVNKLDQLQESLNISQSCYAPYPLIYNTPQTYKMMYYYP QQLPMQFIHQYFINGPYIQYGVQSQETLLSRSQEIQQSSQKLL >CAK58348 pep:novel supercontig:GCA_000165425.1:CT867997:143896:144382:-1 gene:GSPATT00004720001 transcript:CAK58348 MKKIIILLSLCIALTSASTQLQNFINKFFGIEVEGKIETVLASCFTDKIGYRDNDLYAGI YYAELSKDYKVKDFSALGNLAYKYKLKITYNGKSIIAEKGDVGAGGPSHPKLDIHIKALQ ALGVTNCNNFLTNVQIEYLG >CAK58349 pep:novel supercontig:GCA_000165425.1:CT867997:145130:146145:-1 gene:GSPATT00004721001 transcript:CAK58349 MSRSSSRIVVSILTLNSLLQIYETQPFEFNDSNLMMQHPQLTSIIPTNKVINLSIGISIL FLIDWKNKIDILTSLFFGISIIMQNFNILLPKTLNIIQIYFQLLFIIQQIFFQTKQLYLY IKSLESNKNANNITKINYSNQRKLPKVSKKAQKIQLKLANKEINENQTTITQDKVCVEPA PVKNEQKEEQINQLIPEQHISEADAETENQCEISHSDFSQISSFKEDQMLQIQLKINGQN QLFCCTFETFDTITQMLSSTFTNNQIIQIKIHIILNLYDENQDCSSKLWCIKKLLALQL >CAK58350 pep:novel supercontig:GCA_000165425.1:CT867997:147284:147593:-1 gene:GSPATT00004722001 transcript:CAK58350 MDSTKDRVEGTRATVIEIIGRTGSRGGITQVKVQLVGQQRTLIRNVMGPVRKGDTLELME CEREARRLR >CAK58351 pep:novel supercontig:GCA_000165425.1:CT867997:147792:148688:1 gene:GSPATT00004723001 transcript:CAK58351 MSECSLSPIDFCDLKHVRTIQVNRQEERFQKKRTLLYQNKEMLEKEYLKLNQSCDCSECG KGSKYFEMIKKQYPFNESRWQKRRRVLRRFKAVINAIIFILTYKMEAIKRFRKRMHILKA VRNLTTIRKPQAIVPPHLQPQPVLLIPQSHQSHRIKTAPENDDAFQHQIQSHGKEPRKSQ VSQYITKMFKDANPKQEIYLKPLCGINRKSFQSTNAKSITQTSFSPHTHSHYQSFQTSLL PYSHFHKDSIKKNNKDPSKQNNKDIVKIIDSLKVKHRIIQCKK >CAK58352 pep:novel supercontig:GCA_000165425.1:CT867997:149479:150318:1 gene:GSPATT00004724001 transcript:CAK58352 MDQVCQQQGNQSNNQPKIIENEELFSYRRINVPGLPISSLRTNCKGDKKRLEIGLISDRK LSDVPNFDSMFSAVNSKFHQQKNSIGDIPQPQSQAPKMEPQAKRNLRMIEKLESDKLCLK IYMSEEDSNQIIFTGISKEQLQSPTQNKKELIQKLQKLFENNITQTNESKSFTERSLSIS KIQEYEQNAPANITKDLEILENELNCQVINEQQYSNSNIYKPYPLSQQNLTLNLRQKQKS SKISSQTINGPSYQQRNSEYKCMNKIKTNAKSLHVTCHS >CAK58353 pep:novel supercontig:GCA_000165425.1:CT867997:150434:151474:-1 gene:GSPATT00004725001 transcript:CAK58353 MQTRLTFTIGQMNKEIGNRHIIPAFQVEEESIKEETRLPSQGESPLKKPATNLAKQAQIL APVYQTISERFQICKFLGKGKFSDVYQAQDKQTKVIVALKVIPKTTLQKYGMEKQLSNEI KLQGYMDHPNILKLYGYFQEWSKVVLILEYATDGELFKLLKKQPKKKFSEQVVSGYIRQI IEGIGYMHSKNIIHRDIKPENILITHSLLKIADMGLSTYNPTNQVRQSFCGTVDYMSPEI AAGRDYDHTVDIWAIGILAYELCTGETPFYEKKKEDTMNKIIYSSFEFPNYLSEDFKAFV KILVQKDPKKRPSIYQIMQHQWIQKYEKESTIFNRELLNSMVKLLK >CAK58354 pep:novel supercontig:GCA_000165425.1:CT867997:152086:152610:1 gene:GSPATT00004726001 transcript:CAK58354 MGLIRIRGIPKKGEYQEYFAKSLTIEFATNIFYMIALFAIDNVVFQLPIALHFLVGAAEL WTQLSQDEGFTLRFAYYIVKNRVEILITKQKIEIYLFFYSVIGVFLRKTSLFLGVFIFQN ILLKTKCNQKMMIAQSNIKDWYRSNLLENSKVPQPLQKLFGIIWIGYEKLITIF >CAK58355 pep:novel supercontig:GCA_000165425.1:CT867997:152640:152997:-1 gene:GSPATT00004727001 transcript:CAK58355 MLNLKIHFKIRFYEDEFNDYEYLEIDRYPKIEDYYTYGSVVVINIKGYENFTRNSYDNEM EIKVIEELYKDMKNYKSVQPAGLVDYQIIKKIKEENVEVKTTRKEKP >CAK58356 pep:novel supercontig:GCA_000165425.1:CT867997:153713:155380:1 gene:GSPATT00004728001 transcript:CAK58356 MGNCQCRKYYDSEQESSNNNLQEIILDINQKQEKVQELFIKIKTQRQESFSLFDYENQNH QKESILKIREGGYLIKNNNELQWIDEDIDWNKCVIKQEQILFRIEKINGVFTIINQKGEC KQHLEEDKQELTTKNIGDNREFQQSENLDQFEGKEFEQSQELIKKMSYQYQKSFXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXELDRSNKVQEYWNKIKIRVKFKI SEIQLNNRELLYIFILFITLRRDSDSGGLSQSDSILCRICCSSSDSLQNPLINPCKCTGS IKYIHLNCLKKWLKLKFQTKHSNHCMIYMWKDLECEICKFNYPPIFKSDEHHFDLIELSK PIDQNYVLMEIIQNHRQVNTQDIIKDARNNQTIQDQNWTQCNGVYIITFNNNGDDKTIKT NQLQIGRANEMEIKINDISVSRNHGILKLYNGKLYLMDNRSKFGSLILIKQKVIPLIQEL NGIEIQLGRSVVQFNFGKDELNAQSSKQVLDSENLEQLYYLKSRKIIGPDPEDEDLLYFQ >CAK58357 pep:novel supercontig:GCA_000165425.1:CT867997:155593:156281:-1 gene:GSPATT00004729001 transcript:CAK58357 MDNLQLTSFKEQTTIVLMPYHLKNISKSIQNVIPKMIFTCRIHNSIPSIVIAVKKVNIVS EYLETFDDQPQMFIDIEYTVTLLQLHSGSLVKGRLVQQFKSHMIVQIFNIFNVLIPEDEI NEKSFIWNENFNAFMNKQNEILENQIELQIKITEVILNEADQKEFQIKGSLKERKQTGQI EYLENQIGDQTKIEGIIAKSLDCIN >CAK58358 pep:novel supercontig:GCA_000165425.1:CT867997:156475:157404:1 gene:GSPATT00004730001 transcript:CAK58358 MKCSTQPQIIITPNPKYSVTEEGDELPNPMLDSILSQKSQASYSGLGKCLKLKLNSNRSD QRNSPNKLLQIRRVPSESKKESYKKQYKQMDSLLPLLKLVQLNQKQNKTECYNGEEVTID QKIYFIKTNEILNIFQKAADGFTISYEEVENCQEEQMKQSVSVSEKMIKSMKAIKTFQKA KNKIRSFILVSDVSKYTDEEIKRKQQADKMNLFFSDNTFIQKSDHQLGEQIIKTKSPSPQ KYQIGESQYFQEEKIIHQNNKAPRRLFHFIQFSKTILINTSFVVGIFLLILLLAYIKTIN NYPTQMEDL >CAK58359 pep:novel supercontig:GCA_000165425.1:CT867997:157501:158229:1 gene:GSPATT00004731001 transcript:CAK58359 MNTLNSMTNPYNMPSTPYGGILDADGCRMLARKIFSTYDLSNKGYIDVVDIGSMFTDAYR SQNIVTKPKVNETNGYMKAINKTAQNRLTLQDIEQYCLRKFCGTSYQFKQQQSVNKQPNY IEERLQVARTLFKKLDADGSGYITEDEVFEIIRETYRQMGMKYEPTADDVRSWIHMTDSD GDGKVTLEDYENLVLKSLQQQAISYNLS >CAK58360 pep:novel supercontig:GCA_000165425.1:CT867997:158262:159404:1 gene:GSPATT00004732001 transcript:CAK58360 MDNYEQVLFLNPTQLQSVQSQFENINKQVIQLYEKKKYKKVYTLLSKFLDRYDHTNQIHL NDRIHFLNILLMALNKIFKKSILKDMSRKNLIDIKVWKQKLTKYKEIYPILISKINSNLE LATADPKQRIREQLRNSIFKDQLEQQIQIINTSGQLKLDQITVPFILTKEFEIYLKNVMI AYRLQSYYAEIKPNEKLKFAILSYDITKIILQSKGRRVSKLCQYILSATNLFNRYFESKD DQCSQLIEEINNSEALRYLIFLVQQVEAHGDFKSKLKCKWKFTKLLKPLIWFWIQSIYYY QSKFKFERCQEIMGVLKWLVYHYLTSTDELSIYVQNISAITYAKNKENIIFNQILENWTA QNQKII >CAK58361 pep:novel supercontig:GCA_000165425.1:CT867997:159975:160717:1 gene:GSPATT00004733001 transcript:CAK58361 MISSKLSRLYLRCKEAQLFQLLSKEKLEQKESDFYKKLLRFKTQFSKFVLPVKLKSKQEV ITKQDLQMRVINKQIHVDSLNAKPNQILTKQNDLDNSLLSFEKPGAKLGPLQKLKKIIFQ HPEVFSLQKFRNKLKSSKKWYNRSNSARMTHARRSVMIMKTKEQHIQELNSLRERSMSQL KKNISETQLNIQGVDKELRSKSVRFRQFRQNTEQPFQIENRYQNLSKSITKLDQTKKNI >CAK58362 pep:novel supercontig:GCA_000165425.1:CT867997:161019:162725:1 gene:GSPATT00004734001 transcript:CAK58362 MRRQLNISQNQQTTDRMQQIGAIIRQKQAFELLSYTLLSNSIYVITVLILCFELAYEGNM LSVIYCIDSKLLLQILHQLYYYRAFAKINVKYNHALIRLFQLFIEVCYVLSLNIYAVTGK NKLMLLSNTFPLINISLSLIVKLNEGNQLLKESVQQINLFNVIRNSCILLMSIFFSLKIE GYLDIDWLYALWVFWLLFSLSASFIIYYIFVVLALSIQVILDQGNYERNQVIKNMWILLF LISCSSMCFVIPISILNFTTEGNYEGPVQAGRIILIANQIVFSYFNAKFKSELILASKRF LSLNDSVNPLHTMITQQGEAQIQQFNKQEYLESQTSEAQFQIPRMVKRISKTYFGFDDLN LQKKSDSKIQGLNKKPTHHKALSSHIQKPIEQNDERIKLASMMNLKFNEQENIEELKGTS ILQHQQNENQQNSISLSSINLCCICYDSNPDALFMQCGHGGVCYHCALDMWKNKDECYLC RKKIDRVLQIQICENSKNLYQVVGATQMNSSSQKKHIISQQHDQ >CAK58363 pep:novel supercontig:GCA_000165425.1:CT867997:162758:164516:-1 gene:GSPATT00004735001 transcript:CAK58363 MNKVAYLKRTQTAGNAFRSSQEKDLKSQTFTKITNQQEEEANLNKQLQTLHAKRDELKEQ IQIKENEIEKKRRQIYEQERGSRWGENRNQKQNQQNSQMDKKLKMRRQPQNIQFNLAYLY QKENEMLNETVQLKNDINKMRREKEMFKKILKQLQEDWIAHHEKMLNRSQEQEKAFQSLH QEMNTIKSLRWNEAQEQRKFGKEFKSIYDKFKESKSPEHQSKSREKSRGKKETDNYKKQK HRSDSYERYSGSEEDADAEDLKYEILHINEMADKKKKKILEREQKAQQNQQEQQALVDLQ SEVQTYDQTLKQLYNQTKSEQIDEIVNIFVEQEEQNYGAFKYIYEQSDELEMLQKQNKLL LMEKQELENRDQKIMADQKYKQKLQFEEKLVKIKAKQEKLQEKLYDISKILKELMISIPI MFERIGCNLKEYSQLLGPKFSFKEMDEDKLLKVLGVIEIRTNDILQMQDIAQNPRHNFMK KDAKQSNFIKIDDGNNQGDNPNDELLQRILDKFKDTELSEQQFSKAQLRDIAEKHIRAGT GKKKRN >CAK58364 pep:novel supercontig:GCA_000165425.1:CT867997:164849:166307:-1 gene:GSPATT00004736001 transcript:CAK58364 MNNVNQSPCKTSQEVSDLSKASANQKLQTQKITDLENTIRHLEQTNKKLTDQINDQNNEM NKEKQKFKNQQSASAQIQNQKDQQIQKLIDENQKLVKTIKKIETDINKLDLLKEENMNLK QVIQGQSQEIEDLKQKIPKNETKLTSDTALGVLASKRSQEILVLKNENQEIKQKNQDLQA KLNNILKDNQQLQQIIQFDNPSQRLNSQASSSKQYNSSDKKQDQTNYILNEQVSKLQSEL KEAKNAIEKLKKQNPISITPNNKPTTSINSINKNNSNDKIQKTQSFNNADFDKDKKFRDL EDQVKKLEFEKQNIAQEIRLEFAQDIKQLQDKLREEYEAKAQLDREQDKPTQEQVKKLTQ ENQKLQIIVQRQNKEIQNMEKKLTDLLITQEDIQQSLQKEKQFQDYLKQEKIKLQEQLKE KSKEISEHKEDIVKLAKERQQLKDLLEKSKSESKINQSQASNRPISQKSEISKKQK >CAK58365 pep:novel supercontig:GCA_000165425.1:CT867997:166344:166574:-1 gene:GSPATT00004737001 transcript:CAK58365 MRRVNPYQIKTFDIDQTIKKKTKYPSNQLMICKKRIDKVKLSFNFTTQQPQNVIDPQINQ IIEENQKLKQIIHQLL >CAK58366 pep:novel supercontig:GCA_000165425.1:CT867997:167520:168950:-1 gene:GSPATT00004738001 transcript:CAK58366 MSITVEELAANIDTVLASLGDEKMVNWEVVEQTETNLMKHFDQLFERLYTLKDSLQRVFL INIKNLRQYDAKDLAQKVKDKKLEPVVLKSLTQYAFKNDKEEILLNPSLIKGQVNSIVLP WVGNNLSVTDKIKETNEWLDSKLAELEVFKPKESQEQVKTQEIQQKSEGNDKSNYTFKSD KLNEIASQIQIMHIPSTNNMISIKTYTQIVEGSVDLAEPEFIRITLENRKARREVLYQDG AKYVQLLLDYVNDIENLLMKAQEEICKKIDISQQTLEQSEMLLMERGLGQHVFMLQASAR QRIKDKLPKQKQVQMNATKEIIRYQIKLLNEKQDFLKNMIDKLPTTYESGQLVPMVLNLV MGDMIFEEHSYEEEDYISNLENPQLFQDPDMMELLKSIETGVVNLLGKTAFAQQPQGMMG NIPPQMLQKQQQ >CAK58367 pep:novel supercontig:GCA_000165425.1:CT867997:169427:170196:1 gene:GSPATT00004739001 transcript:CAK58367 MSNYSLMFKYIIIGDTGVGKSCIVLQLIENQIRQEHDATIGVEFGAKIIKVNSMNIKLQI WDTAGQENFRSIIRSYYRSAIGALLVYDITKRDSFHNLQRWMEEIKNNGNANMVITLCGN KVDLESERAVTYEEGWQFAQQHQLIFLETSAKQGINVQSAFYQSTQRILNEIDDGSIVLG QDPGIKIGGQYKKKEKDLKGINNYEAAVQLKPVETPNVQNNCC >CAK58368 pep:novel supercontig:GCA_000165425.1:CT867997:171091:174185:-1 gene:GSPATT00004740001 transcript:CAK58368 MFAEVKPVENTNYDRRVRENQKNEFKKILEEDQISSQIAEQLATSLEQEAYVLSGGDHTR DYRTRVNTVKMRLKGTKGQLIRTALVEQIMTPKELMSLDMSKLSEDSVQAFLQKEQRGLP QPQANIKKNVSQRGPPTRPPAAGVPTTRSQVIPPPVHISNEQTSQQIVNQQQTSDQTRSE NQNIQMQAEPQPHKQEYGNNLQNQAKDENPQIQKQVPKQQIPPHFQSKQVTPAQLSNNQT GAVDLSSQQQQQQQQQQQQLLQPQKQQQQQQDVIHKQQKIESQKNGNATHPITQQQATQQ NNYQQEENENLEEEDLINFDSLKQNQEKLNQLAEQLRSDESGESNQQNKEYSNSNQNCNF SQNDDQVEETAFQPQNNIIKESNQDSSDNLQKIEQQRQQNYENQHQDQQLEEFQQEIIKQ EVHNSEEQKQEQFIQQQIPSQHHSSEQQKGIFHQQSNEKESIQQNNEDQQVKQQNFLIQS NQHQQQQQQQVKQFQKDTFNFQSEQIFNKQEEQIENLGNQTQTPKFTIQLQQQQTVSVDV ALFQQMIKQRDHYYENQISQLIKQNNDLQHSIAQFQQDHFKKIQEFQQKNVNYQTQIQQL QNENQLLRTSLQNQELKFNEITQSTQRNKFRVKEKQFQKLQEIQQIEKQNERHFIEKLHS NTQQRKDFIHKYRLQQTQSNMDDQISILQGLLSKLQPPQLPRVQQLQQPIQIQSNNQQPV VQTLVAEVPVTHQHHVQQQNQQYWANEDEVNLQGQIVNADSGSTQLKTGNNQIQVQDVQD ALDEQGAQDEQQQFEQQDQQYQVDQEDEQLNKNNEQDEEIVYEQQQVDNQVYFQQQQQQQ HQQSQYLSQQHQEQHQQQYQKILTDPQTHLNLSQQQLQEQIQNKEIQQKAEKNEKQKNNQ FHIDEQNQDLNVIQNEVQENTYHPPPPKRKQNPQQQENQTKFAHPRLAHKQENNNSQQNQ QQNLQQNNPFFDYQGAEEFFQTNNQTNFQQFFEKQVEMPKQKATEQRTKQINRRANVPGS LFD >CAK58369 pep:novel supercontig:GCA_000165425.1:CT867997:174234:175740:1 gene:GSPATT00004741001 transcript:CAK58369 MLPFFPVPGLQDLDIPQPTQQDFYPKSQIQEPQEAKKLFIKNLPQELTNDNVEKLLKECG QLVSWKRSKGTPFGYAEFENMESVLKCLRLLNGMILNQKELLVKGGEKAQMMIDGWMYKK ETEWEERRAKLEEKDKQKEEHRALFKFTSFQEYITRDDDKIKKKLEKIISELEGTVTKTK NDKKREEEKKEHPRERERDAKKNNTRTELERKYKEKMAEWKKKEDERERERKKEKEREID REKNFQKYLEKELAYDSEQERKQIGKIKMNERKKFRQREFEEDEIFKKKELLKTQKPPEP EPPQMVVIVQEPPEPEPLVNLIAIVIAISNNSILYLIQHQQQMEQAEQMQQEKLQKEIDL KEELKQIFATIPTEKEELFNTQINWQLFAQSNLLEKKIRPWLRERCIEYLSQEERVFIDA IIKRLFNREKPQTIINKVVKKVLDDDSEQFVIRMWKMIIFELRKLERGLIS >CAK58370 pep:novel supercontig:GCA_000165425.1:CT867997:175788:176982:-1 gene:GSPATT00004742001 transcript:CAK58370 MQFNIFYTTNLVQFLYSIQNKFGSLNIGEQKMVYSACESYQYFIQHLKPNYVCPQFYYLS SLNLSLYSIKHFLNIQILFIKRISELLESFNENQLKSIYYSIGKEGFYNNKIKDFLLRKL GENYEIKSDDQFISQVNLFLCVTNILNQTDIQGQLITKIQNILKVQDQFLETKQQYLVSF YQQILRCNIYDDFIWNHYFKIEPKIQDTYRKSFISYNLIQSKSKLSKSCQTYVEQNFESN KQIYLQKLINNKSNSSKSMEKRIQQLFDDLKLVYQNNIYIDQFEVDFYFPKYNLILEICG PIHYAFRYGCNRRSSTQIHRVQNKNYFYINLQIRLHYLKKNILRVQDIKFII >CAK58371 pep:novel supercontig:GCA_000165425.1:CT867997:177514:179092:-1 gene:GSPATT00004743001 transcript:CAK58371 MEVIPFAITLCPDLCTCQVCIKKQPEQEEPQEQKKYQKIIKQPRHRRLSICGFKDDFLTI CQMLQKQDEVVRPININIIDTVILKDREFVFYAFYDNGFRCIKNKSFFHTEMEKYLIERK IEFEVEYQVKQIKAGIHPTVKLSDYYENSTQVMAKLKQQISELLNGQSILMARYQTGETT LIGKFELHQLAVLSKTNVIFDNLLHLQLYLYNEKLALDCIETNKRNSKDYFIHRYKYVKD NQLFGDIVCEQSYLTDPSLRGQRQWNKEKEYSDNLSLKEYFKYTCHKIAVYIQSFHKQCI NEMEVHFIVNHPKNISIINIIGLKMSKAEETQWVIKTGRVLSTAEKIQINDIDLNENNKP QTQRSLVISQTLIPHTQKVIEKIKPITSQVIENNMQGTRTQEAFKILNPGINESINEILE NQQYQKMIRNFPKQLKRYQSMQRDSSIRTRIEDQPLTTSRDVQKDDYLMKIRQKKKKQTI NSPFLRSISRRFFTRRNSVDFC >CAK58372 pep:novel supercontig:GCA_000165425.1:CT867997:180321:180749:1 gene:GSPATT00004744001 transcript:CAK58372 MGCVTTKNKPITQEVKPPLSQNSQPLEADDEFNYHSAPQSPALKKIVRIGALTHDEIKDF ITERRHQNNSTRRIELMKKSGRYTPTIIYKYRQQSASFRSPSKATNISPIQPTQK >CAK58373 pep:novel supercontig:GCA_000165425.1:CT867997:181896:182691:-1 gene:GSPATT00004745001 transcript:CAK58373 MKQQRPNQAQEDIMIKDLQRVVQLNDLQAREILSLAQWNLQKAANSVLEIQKSGVKVEEQ FKKYITNGQSVIDENGIISFCKDLGIDIMDPVILYISYMFKSETMGIYTKFDFLYGFSQL KVQSTSDLKRELKRLRDDLNNNREILKAVYKYCFDFAKKKNRKDIDLPIAQGLWDTLLTN TFPIMKKFMSYTIEEKDIKPISRDTYYMVWEFCVQIGEDLAKYDYKTGAWPTFIDGFYFY MNPLHRQ >CAK58374 pep:novel supercontig:GCA_000165425.1:CT867997:182712:183718:-1 gene:GSPATT00004746001 transcript:CAK58374 MQEAERIKASNKVYLENKFTLKKSQLLDSIRLQISQVQDELEESFIKDKSEVINLKTRII ALQKQVDSNNDLILEQETIIQQLMFKLKAQEHHQLPRKQLNVDQDLLFEFEQELSQLKAL SNKLRQKANRLCSYFVCLQLYTADLGLKIQQDDYDSNLLFMPKIPDHSSLISSLQESEKT LKEKDVKVQEISGENTELKKENQNLKRCLKRFNATQSLDIQKQQTLIQQLQDQLNDQQLL ISDYFHKIKVCISLQDKQYEHQNQCKQLNDFILRKRKVKNQQPVFNVELFHRKHTLDVCK SREEIVHTSIEPRIHSKTIYQKY >CAK58375 pep:novel supercontig:GCA_000165425.1:CT867997:183738:184902:1 gene:GSPATT00004747001 transcript:CAK58375 MSKKAEKKKQEKIIADRTFGLKNKNKSKQVQNFCKGVAQQVKHSGVSLSKLQTEEFEKKK LEKQLEEDERLIQSLYKTVEQVKEDESEEEVDPKSILCEYYKQGLCQKGKKCMYSHDMSL EQKTAILDLYTDQRQQLTEEWDTCQTWDEKTLKDVIEANEKTYKSQIPSAKVCDFFLDAL EKGKYGWRWVCPNGMTCHYKHCLPQGYVFRRKEEVKQKYDGDIEEEIDEEIQKLQKGGTK ITKDVFEKWKIERAEKKKQEAEKQKLEEQKKKGAKQTGGSAQMTGRALFVYDPSLFVDDD EAENKYEREEQIDEDEQEEEDEEDNENKQKLYEGDDNQQQDEDEEDQQFKQQQS >CAK58376 pep:novel supercontig:GCA_000165425.1:CT867997:184981:186488:-1 gene:GSPATT00004748001 transcript:CAK58376 MGACTSTKIKRYEINRTLMPYKVHIVAVIWPKNQHNLFDFDSNSYFVKEIEVDFSCILFR ITSLAQIAIVDKELLALTQTEWARNQIELVNITLNEQEAYINCISQQQDHKLWAVLKLES NQFSSIDHRDLKIGDIIKLGRVRMQLLEYAFMAPCDEATQYQDEQEDEVQTINDVFNCRI CFSSRATETNPLIRPCKCGGSVKYIHLECLQRWVGIQLKIKQGEHSIQYLWKRLDCEICK ATFRNTYKFQDKTYSVLKLPKPKSSYITFKITNDDKTKEAMIYVVEIGEKTELKIGRIPD CDIKLRDISVSRAHAILKLIPTSKHIQDNKSKFGTLVLAQDQDILRIPDEGTQPNVLFQT GRVLLQCTKKKKQNQKSSYKHPDNITLTLNQTKQQFEQQEVQESFVTHDELMASDIILNN SQPLQQQQCIGFDVKEDSICDER >CAK58377 pep:novel supercontig:GCA_000165425.1:CT867997:187122:187493:1 gene:GSPATT00004749001 transcript:CAK58377 MSLERMRKSIQIIFGIDKNKTTREPLIQERIQQEFLFDSKMNEQHQNKFSMMNQQRKRSN QYYYALDRLRTFYQNKAQNNEYEQIPVITYLGDNTEVIGLFQVIEKTKFEYESCQMELVR SIS >CAK58378 pep:novel supercontig:GCA_000165425.1:CT867997:187558:188639:1 gene:GSPATT00004750001 transcript:CAK58378 MASVSKQDFKPYYVQEQYRDNSNNQQQSISQQHQNNLLQSQRQQTIFGGNRSKVHFFRED KQSRSKSSKPESNFKRLGGILEDDFFIPSFLKDQDAQDKKQNQKEVQSNNKFIGIQPYNT TNLNKINAAESQIQLSGFQQDFNEFHALNQADKLRENDQISKKPITHKKSNQIKFWEKDS NNEKNPFIIQTDETPHWENRFKPPWQISNPMQSVYNEFDAFDKSENLSAKDYEQQQEIQE LKSQASFEQQVNISFGGCDINLSDDHKDESIERKPPKNSLIFDTFVNPKFNFENQTPLSK EKAINIQKLQDFSEQTPVFKQQEENKQTFNQQKNNKIQGDQQMIYLKKSGK >CAK58379 pep:novel supercontig:GCA_000165425.1:CT867997:188662:189512:-1 gene:GSPATT00004751001 transcript:CAK58379 MKKLEQVNTYLNKFDETILKLLKESNINTIKRTQPKSIINSDEPTKQQDEILNNYQYTPV SNMENSQLKKSDIIENNNSYRICRSRQQIKTQTFEKFQPQQQSIVVNSPIHSGMPSPNQG STSINIVERLKTIEQHYGNKIKILEEENNQLRKASQNDKIQIQSLESQVQKLEIDLKEQQ KLFQDILQKQLNDQKQQMQFELEEQETRLKRQFERQTSRKDQRILELEQWQQKKRSHHTD SNNTNLLFTFKTHDH >CAK58380 pep:novel supercontig:GCA_000165425.1:CT867997:189512:190184:-1 gene:GSPATT00004752001 transcript:CAK58380 MSVIFICYSNKYHITDVYIVLKINILISIIYLDEIIQRWFEQKRRTNQWKIIQRWKSNFQ RQQKQQQQIQQSTRKFQKYLVQKTIQLKFQFRLQQAKKSKQRRKTLKEEEEINKGQGFKQ QNTQRRRRFGFKQNNQNGEKQQRFIKTGRKNRINSDVYKIAKDIQRKSKMEQALDNYWKK GDQTKNDKPTQEANNEK >CAK58381 pep:novel supercontig:GCA_000165425.1:CT867997:190194:190706:-1 gene:GSPATT00004753001 transcript:CAK58381 MDQNQPKKAIKRAYCKKQKPQMVFRSESEKMRQLLQIIDENKRVANNNNQIASTSPNSQI KNDSEQQQSSQTQQGDKNTQNTTPTQTQVQPSAEQPVKQTLEIKIIKKAQPQELMNETQK KKIKPDENYEQRFCQMKCELQSFLSYWIMKLKSSVTDTQQMVEQLEKFKL >CAK58382 pep:novel supercontig:GCA_000165425.1:CT867997:190909:192245:-1 gene:GSPATT00004754001 transcript:CAK58382 MPYLYSKQKGTVWGESRQNQNERQSIVRLLFKKVSLSQRITSSLYNKYAESQNYYYTKVI NDLILNQPTKATIWFKDLEQYDQEVEQCKKLYSLQSYPKKIKILVEFYRFHKDIPRWAVN EQIVSILNEFYNQRRKLEYYKIQHLIELENQQNPNRTPKGIVGEQPQESQSTPKSSQESG QVVGNVLDELNTELKQRTQTQQSEINEIISALNANPMRKQPNLQQIIKNQQFILNEQRLQ QFINSEKLKKINLIINQQKATSQTQRNYKQKQDKSDSFKTQNFNQNCWTSRNKTSKTAHA QILSLLKQRVKVKLELKNKMKAKAITSNTSLRSLQKNIESPTTTRNVQLQKQRFNQKKSL KANTFHVNQSSKNLIVSLLANRNTTVHVDANQKNLLLAKLNILNTPRQILN >CAK58383 pep:novel supercontig:GCA_000165425.1:CT867997:193238:196753:1 gene:GSPATT00004755001 transcript:CAK58383 MNHNRQFQSLRSVPDVQETDETEDRIFYQMVGTTQEESHIITNSDRTAIEEWMKLQQSLK EEQYKMRQEDELKNKLLDELKHESKNYYQTYINVLVESNMNQEQKTLEESTSRLFRNAET NVKLIFEKLSNTKVCSAIFQHHNNTNRTTDIETLIRTFINSIYDNIACGSMWKQPILKLM SEIITTEVNRQEFPEFLWDREETLTQFIMKEFAKRPENVKYTKVIFKNSLIQITKVDKFL DLDKRKIAEKLRDIKKEQDKKQKDEKQSMTSSAFIVKQVPIMQNSSHKSIPQRQIPIILP IKNIEVPQQNMTVYYANLIKQYDQSKKANTKHSRRNQNQLTEFSLKVLQFGEEQRQAKFL TMERRSIPPIQESHSESPIRKLSEVYSHNSRSDQYMNNEINSVLIACIDKFKQLVNNIME NIFKNIYLIPQGIRIICKMIQITILKKFPDATEQQIQENIFSFLFNVWLIPQLVFPKQYS SFKYIREDHENTVQQIHTIIKKMIKNQKYNDDPQYNRLNQYMQDLQPSLKDYLQEILNLC PDSVSAIINDTNKIDGIQSNCVCIALDEIKVLCLLLQDMKTFKTESADLQKVINQLVILA TRTLEIDKHENIFRQQSNLQTQQQIYALILNFSIPNNIVYQEQVQPLKKMKDEKLRNQRK LINALMKCLIEIQDLATSTSGNQEETSLKRVLTNFVDKYTYLDDKKTVFQIKYVLEQLKL LNKEDLQSNLRNIYQILNQEFQERSMILTEHIQQTKYQLLSAKYNIKKNYEVMRESFEQL SQEKRQKQLYDFIEKTPLTLCVTSPKTREGLFSIKAMKLRNNNNNESLDENQIWVEQQSE CIHNAINLEFIKQIVGGASPNDQKKNSEFSNSHCSDIKQFIENFSSLQEVKDAVISGEDK AGVKNAYFEFIQALATFLKGKNEMKIDEIQMMDMLEKYVSKRVYDKVYPKERTYKDAGLY FRIKSLEWVNYDHLEIIKQNRVDEMWDLAVEALLNIDNCKTAVNKLEAMIQCSKIMNDVL KLTSLKEEATSADTVLPILIYILIKAAPQRLHSNLNFVSLFLDKSKTVSQQGYCLTQLQL AIQWLEEVDHKLLKMDQLNFLETITKAEIRYGIHYLKRRNRSERISMPLNKIQALIN >CAK58384 pep:novel supercontig:GCA_000165425.1:CT867997:196944:198167:-1 gene:GSPATT00004756001 transcript:CAK58384 MYYPQHFYPQAYPQYRYPPYGVLPQVPQQWRPPVQYAPQYGYSGLRFAQPYPYNPYTQPI IPQAHQPQPMMSQIMASPQRTFQSPDRLKAAQSPSRNGLMQSQQVPRSPPPQYQSPQRQY LTLEQVQERIRNSQQPQAQKPQQPNKSVNHPQPNQQKQQPPPPQKKQPVPQSQPDEDLDK RYQNALKSTEEIIKKYQIEDSGNKGRQSNKSNEPQGEDEDENIQELALQYEDGYIYRGQG YPPQTRNGFGILTDADGQQVYAGYWKDNLYEGQGRLTNLQTEELNEPIDWNNMTSIGNGW ASYEGNFLQGKMHGQGTLILTNQEQYFGEFEDGIVHGEGEFTTVDGQVIKGKWDQGYLIS LQEQD >CAK58385 pep:novel supercontig:GCA_000165425.1:CT867997:198398:204800:1 gene:GSPATT00004757001 transcript:CAK58385 MNYVSRSPQVPIYQQIKAFVQGLAQKRNQKVVLNEVQSLINQLGIPGEQYFLLQLLESIE VQKEKQSLTQKGQFLVQWLQQASSKSHFIDYFCGVVEAVCPQSQSITEYLCELCNALKVP SLVQMIMWLSITFSSNQNFSKEGIKMLKKKLQEPFQQKNNLQVPQYVIHTLLYQLRNIPE LSDLEQEIKNFNEYVQNTKQADLKCLYDDGKKYMKLDPVNIEIPKINECIKPVDILRELG PYTSNLSQIFTEYTDSDIMDCLLYLANNQGEDASKDAHKDTHKDAHKEALKEAQKDVQQL FKLTSNTQQPDWNQLSNEVNKQQEEDFIKFFINDNNKRQWSQVFEKLDRPNLQLSQQGYN NLMGFLGKIKKQQQQFQLPHRLLLDKWHNKKSQITFLNCMLKANKPEQLFWNEIQPKKLV IMEHNTNYKNQQQLQYWYNLEFVQMLIELSEYGYIAEIRDFFESPIKQNPDLVILALFQI SPTQGGALIDELFTQLFPNYVSQHANSSPVLEQMWKFNQNLFITGISELYKKEYGKKENS CLNLSRVLDIVQQLFQNSQQNNTSLLTMAKFDDYQFSVPLGILAGKREYLNFDIWLNERI KSQGIPFVNVLLQYIDENVIQQIKEYQLKAGLPNGQLGQMQIQQLDQILDKGQLKLEMIT IIFEQLMNQGDKLGNKIKQTTQQFYKEVVQVFPQLAGQPNQKTNQEVESKTDSYFESYYN EQISLENFLNQMVQWKTQGSIQEKEVYACIITNLYNEYQFHLKYPKKELELTGQLFGGVL ERGLVEGQSIQIGLRIIQVSLKNNTQRYDFAVKALEVMKNKIYEWPWFAQDVMACEQLSF KNPDLLAEIIRVCEKHGIKSPLQPAPPPIQPVFHKPLQLVAQKEKQEEEPVQLTLKKSES VPVQQVQPTPIVVPAVVQPIQIPIQQTQPIIVPQQQPVTQVQQHQQVHLQSVTPPPTLQK TSQLPVQHTPQPQKQKQEDNSTNINLTYKQILQLEFDEFQRCGADSEVKEYFTFTLNSIS QNNVEQKAAEIRNKLENQDALFYFIKTIAYLRSPMAQQQAQGPNVMCCLLAALNKSKYFS DVAKEVSKGLTRLLTFNKTSPSADDRSTIKNMGSFLGQITVSRDKPFLFKYFDYKTLLKQ NQLTIYPLCKILEQVKSSQIFTKNNKWVNRILQELDTAKETCNTMAKYEIMNLLKQIEYQ VQPINPTPTPITIPNPVVPIITQPIVQPIMDLDPLNKLNIKNLPQYVMADSKNLNDKLNE ADCKNLVATALDHAISDIIPPVISRSVTIALITTRELVFKDFALEPNEKYMLRGMHMIAS HLSGSLAMVTCREPLKVRITHYLKEGIEQIDLDNKTKETFVQTAAQENLDLGCALIRKAV IERALEDVNQDPSILEQLEKRQRCKEKGQQYRDEITQNQLKFLPEPLQPRISGLTEEEIR IYEEFGNKKKQPKQSVLQKVSTLCQLLQDPQNNEEKIQQLIQDLNEQDQSNPEQFYKDLA DKFLQVILTNNLTSEKISISLDLITILIRKINEKVGKKWSELVTEFITKNIELLEHSPLW WQAFPIMLNKRLIQMQEAEQVMTQILTKDNQMNVSSIVFILRKVIIEEDNNNNTYLNQFK PIFKELSKKKDTNPTVSKFFLELTSFVSNNPQTKVFQKKLNLIKNFYQKIDEEFSNKVSL KLKQWYQLSSEEEFNNFFRVEGESQFFVEDQLSKFCAYAIELSMTDVNKDYMDHSQIEQL GKMLTMVSKGLDPKMKPKFFEKLFDGCLMVLTKLHEATKQKFNQRQLFKLFYNLLFDLQI LDEENIRLFHNKFAEFLEKVEPSLYPGFSYAWLELLCNRYFQQIITTNENAYARLIIKLL EFVRDTITEETIQYHYVQEYLKGVTRLFMLLLTDQRKFCSKYALSFADEVPFNHVQLLNL SLAAFPNDQTDDPQSCTNIYDIADAQQFGQIVHACFEEPTQTKIKEEIQLMLNLNDDDLK IKVSKYFDYDQKSKLSCLISGFFILLPRHESLTIQQQRTLLTRIIKNSSFAIRNNVMNVI LNQIRYPERATEFYIRYILQQFIVGDNFLIQEQFTTLITKRFLSENPKTWGMLYLHEELK RTQSNNNQYKQYQIQRQ >CAK58386 pep:novel supercontig:GCA_000165425.1:CT867997:204829:206113:-1 gene:GSPATT00004758001 transcript:CAK58386 MAVNDERYVFIVEWFDTSASLIRSYNLIYFMADKTIEMFDLKNKRIFLKRCDYPSVQLKD LYVGSIVTVFSRQLKIVDYADVFTRSKFEVQRGKTFGMIKPDAYTHIGKIITAVEKNGFV IGNLKMTRMQIGDAQQFYGEHRGKPFFDELTQFICSDFIVGLELIADNSVKKWRDLIGPT KCQVARVEAPNSMRALYGTEGVRNACHGSDAPGSAQRELDFFFSDKSNLKSTAVFNNCTC AIIKPHVILEGRAGQIIDIILSEGFEISAMQMFYLDRATSEEFFEVYKGVLPEFQAMSEH LTSGPCIAMEIRQENAVKSFRDLCGPHDPEIARTLRPQTIRAKFGIDRVKNAIHCTDLPE DGILEVEYFFNILQQK >CAK58387 pep:novel supercontig:GCA_000165425.1:CT867997:206368:207042:1 gene:GSPATT00004759001 transcript:CAK58387 MADKFLQLTNNYCYQYSRRTQKFNDQAQHSQRIYTDISPLPKCNNPTFNSPRKLMKTTCA SFFDHFQKLPSPKLTAYQTYLQTILQNKNEIQAKQNYQPSFTLHNIYGQRINAQKQSKDT IKQIQNQQQNKSACKIQLKNTTNSNSQYHSPRKTRIQCINNQSRIQIINNLSKQIQKTKI DPIQEKSAQQQLYSKIQNIESKRILTFDDNFDDIEPWNTSEQKY >CAK58388 pep:novel supercontig:GCA_000165425.1:CT867997:207964:209749:1 gene:GSPATT00004760001 transcript:CAK58388 MSDSERQNLQKIIYQIEQNPEIYEQISEEQVLGIICIILNKSSYHRSFAEMDILKKATKN NEYFQNMINQPQGDLLWERCLRKMTYNFLPIGFNLFREGDAGTTFYIILQGRVSIHKKYQ IGINQFEERELTQLHKGQSFGELALENDAPRSASVKALSSTHLAVLEKEDYMIIKKTLSN QQKQMYFEEFAKLSIFQDWKFTNIKGLFDNVKFHQFGLNHQIYKEGDISNFVYFIQQGEL KKQVIKYLQLIKQNNQNQIQNSNSEKYDEINQLQELSAFHKKSLTNSEKIDELFQKKKYG HLIQVDKKQTITLKYIGPGEMFGELEILKNSDIPRQVSVITTQESNKIYSIHKKDFLRVL HFDQVLHANLVYLNENKLKQILHQIKGFQKNFFDKQDKQQLLETTQNKDFLTLDYKDYEE QQQNQKKISSSKKNISLRNFILDNKTQDIGLTLQQKESYTTKNQNLMFHKQTLSDFKLNT DRALNTNSSSKTNLKAKSNNQSPDSSSIQLLKLNLCKYQQTSPLSRTQRMLSPQDSIFSK SQGLKKFQNSQIYFNNSLPFIMQNRYIRIKSNLNKIQCNI >CAK58389 pep:novel supercontig:GCA_000165425.1:CT867997:209852:211333:-1 gene:GSPATT00004761001 transcript:CAK58389 MQSEPDSIVEKSPKGRFIRFNEQIGKGTYKTVYRGYDEESGCEIAWNVVHLDQLPQQEER KRISEELNILNNIKHPNIISLINAWISKNKCEVIFITEIVHGGSLKKHLRKIQRPRLKIL KHWCREILKGLEYLHSISPYPVIHRDIKCDNIFINTHNNQVRIGDFGLAIKLQQQDFTQS VLGTPEFMAPEIYEERYGPPVDIYAFGMTCLEMATQRRPYEECTAPNQIYQKVINRIKPK TLDLIQNQDLKEFILKCLEDQEKRPTASELLNDKFLNESEDDNQHVVILEEEQSEQILES NILKYDPVFREQLDFDSSILIQLNESDEMHIKITFTDLTKYNSSFQEFIKTSVQKYSDLQ KPEQFAAFQEEIQKQGGIDKAVWVKPSEESKKIMKTQFLQSLEQFQSTVSTLLQDVDINE WELIEQQILVQFQQQRKQAKSRLPN >CAK58390 pep:novel supercontig:GCA_000165425.1:CT867997:213044:213751:-1 gene:GSPATT00004762001 transcript:CAK58390 MKQEQSLQKQKCSNNQIVQQNKNGFFDEEFSKKKEKRNRKFFLKKSISIVQICKQTGQLD LQLGSDFLHIEIMQGGHFPLNQYNFKDIPSEIYHNDQECQSSNINTSLQQASKSLLKKVR TFQSALICKSLTQIQQQLDVNEPSQNFNITENQITQSKKQYFDQFQNKDNNQFTREIEQA KHTLWNQHQTIQLILQ >CAK58391 pep:novel supercontig:GCA_000165425.1:CT867997:214049:214584:-1 gene:GSPATT00004763001 transcript:CAK58391 MIKIQNKVDNSQQPLQRQRLKIDQEVKLNLINSVINDHLPVYQAAKLHRLKYSSAKHIFR NYQRDTNNFFSKQRKRRLMRKCQNIIIDIATGEIKLFEQNSALLTNVKERIINSLNNQIV SILSKLLYQEINIVRSDCCMNQKHNLDEQLVNIKKVLNNQYQKMLLHQN >CAK58392 pep:novel supercontig:GCA_000165425.1:CT867997:215371:217670:1 gene:GSPATT00004764001 transcript:CAK58392 MDLRWLQDEDNITTADQCDHFNASFISSPNRSQLGKTMKNQYTAVEFADTLGKIFQAIKE NNLERIQGLVNTHKSRSYNLGGDPEFKWDIINDLSLNWSPLHHSIFLGCDAIFLWFLSEG GDITSITYDGYSALTLAVLSRNELMVNLLIAQPNLNINHVSRKGSALHIAIQLNQITILQ LLLQHPTLNLNIYNDQLQQPIDVATGKAKSMLEKELQLRTTINQNQPKDQISILSSKDIP LNTLDTFIINRPQKPPVYKGYIEKLHFSHLYSYQRYIVIDPDTGVLVRYQNKEDCPLNPK ETIPLQNIFNLQIIVKERLFTDNSFQLLIEYNQKKMYFIFSSQKMAQIWYDSIKAALGYT IYVKFKLDQYSEKKEVKKVFNQVNTILLDMNNQSIEIDKQANQNQQKEEQIEFQQKQEKQ LFNNLKFSDFQIAEILQKGSLGRIYKATYLKDNKIYVLKQQNKEQLRKCSQLENAIDKVK MLREISHPFLVNIKGLFQTKENIYYQMKYYDYGDLSQYIGQGNVLSENVAKILIAQIIAA IEYLHSQNIVYGDLKPNNVMVDKNGFLKLAYLGLNNDILKDKIALFFYRFIAYLAPEIIK GESVTQSVDVYGIGVLLYEMLTGYPPHLIQNFELMLQRIQHCPINYDTIKSKMAVKILKK MLQKDQTSRPSLREIKNHVFFADINWNKLLMKNMLPPKLIKINREQLISEQQISEIIIDH DYLDEGEKPNYVENWSLL >CAK58393 pep:novel supercontig:GCA_000165425.1:CT867997:218671:221279:1 gene:GSPATT00004765001 transcript:CAK58393 MSARPSGYQQFKDEQVNVNIVKQKPEDVQAGTLCKGGNADFPIDQIPPNFELAELHSQAW NVGTTKLSKSQKRKLQRHQSSTQQNNQDDLIGKICDCCARQVPNHLLSIGCNNKDYSFLG AGMPLYFEYIKSCILMLLIMFVTSGDYNIITNIAFGTSCKALEDHKGQEIDEKTFCQRNW VTESSLANKRNGSEFIDLQQMLNLISMITLIILFQYFRKEQRAFDAEIDSQTQYASDFTV LLKNIPTDPTGLQNGDFDDDLKNFMEQHISKYKKLQEIIDYEQDRKQYQIQTKKPVTELK RVVAVNLCYNLDDQYQLEKSKQAKIVLKQKILSNLYGQGKDPLSSDVKSDPQVNQIDNEI SEIENQLEALEKRFTEGKDVKNYFLGQAFVTFQWENDVQQLLEEYKLSKIQRLFGKKSQL VYRGANLIVEEPPEPTDVFWENLHITTKSKVFRRLFGYAITALILILCAGLIYWLSAIQA ESAEEQALAVKQGDLKPNIKVKIIAQVASISIIVINALLAMFIKNISLFEKFSTQTGFNI SLASKSSMAQFINTAVITFAISTWVTKNIYGAGGLVYNQTYVFISNAFIPGIIQLIDAGT ISKWLFQYLEKKKGSKSIKTQQQLHELFEKPVFDISTAYATILKNMYVVAFYASVIPLAL VITCVALLVHYWVEKYNIARRRTIKYNYSSQMSIEMIEQLELVLPIYCMTNLWWEYVFLE SISTEAIIGICLGVGNAILPMHEINESMFSMKSEIDEHLPIQEAETGFLTDYCRENPATA ETARQQYKIRVEKHKQQKLNMDKMFGDQ >CAK58394 pep:novel supercontig:GCA_000165425.1:CT867997:221462:222141:-1 gene:GSPATT00004766001 transcript:CAK58394 MSSKQEEQNQGNYIKKSLVRKSQRQKKMTENFKEMLQEIKEQKPSGKKSDQKQEKYQQKK VQEQKKVYEYGFMTDWVNCIYPHDNGQTFDLQIQFCVLKPKKPAIKKQKKEQTEEGIMSL IQNLLTNLSQNQEQVKIQNDSQIQRVQTTNEGIIVYHPYFKKIEYLSKKPQQIDRIEPTP ELEILQQKFESIKKTFRPLILI >CAK58395 pep:novel supercontig:GCA_000165425.1:CT867997:222987:228609:1 gene:GSPATT00004767001 transcript:CAK58395 MNQLTTIYISVTILISKAHNFNKVSLIQRNQQEQEDNSQINQCQLNQIKVEEICQSRNCH FSCQQCYEIGQDKCISCNIVAKRTLWNNQCICMTAYYENDQQSACQECHKSCRSCSGSGA NDCLGCYNTFEIQNGNCVCNLGYYYDYDNMECRQCHYSCEFCSGSGIDNCLKCLIDSNRS LIDNKCMCYDGYYDIQGNPVCIKCHTNCQTCSEYEICQSCTSDRTLINNECVCQSNYFLF KSIFFSTCQACNRRCLTCFGQLEYECLSCGPHSTLQLSNSCLCDPGYYFINDQCQICDSN CLTCVYSSTQCESCNTNYVLQSNNICCHSSNIFVSNQCACNSTQYKDSNGICQQCASSCL NCRGIFCDECLNKLYYGPNCDVLTTLPSNCSLFDINGTCGQCVNNYQLNSSKICECKLPI RNQEYQDCSTYISNCTACNPTGCQSCDSTYFVQSGNCVYCGSNCLSCLISTACSTSTCTP CHQSCLHCSNSGPSNCIQCKNNAHQDTLGPTFGCVCDSHYYMDANYECQICDQNCNQCST SSTYCTECNSTFKRIFNSNNCDCDTANGFQELGTYQCYNIDCGYGCADCVSIIFGFACNS CMGSETFRINDPLSNCPCQDGYYDVQARICLQCPYQCSTCQLDPFTNLPVCIKCQINRDK DDFCRCAKGFYESGTNCLTCPPNCSSCMDQNRCIKCINTNFDHIEINGQCVCNLKNFNNI SNCSCLDGYYLNNINKKCLPCHFNCITCIYAATNCLTCNDYHAQPPNCECSPGFYLQDFE CLPCKNNCFKCKSQSECLDCSDQMQLINNKCYCQNGYFNLPNSYDCQPCATQCTTCRHQE NNCTSCKFNRILPQKCICPDGTYEVDVFTPCNDCDNKCKVCELSSNNCLQCSLYRINPPS CDCKIGYFENDQQTCQECNKRCKSCQNTSETCIECKMITATPPECTCPDGYYYGLEQGCV QCHSRCKTCIGSNEYNCLSCDESKNFELVYNKCVCQNNYYFQNDSCLYCSIEVELCQTLQ CGNGIIQKNEQCDDWNNDDRDGCSKNCKFEEGYYCESISNMKLHVSIKMTTCTKCVDNCK VCNKNKCQTCHSGYFITPNFDCQKCNFHCKECSGPQQKDCLSCIKGIDYDLGQKCAFCEE TQGLYTNKDKCVSKCGDGIKKNDEQCDDGNIINKDGCSEKCKIEEDWDCSKQLNTISSCY KLNVPIATISFDRVKDFYQSQREGSITFNKVMTIPNSSIINTWKYTILNQTTSTYSVNLT ALLDLDGYLESVLIDLKLLQSISQIVFQVDFTTFLIKDAIDQYPLKTANISIELTKYIQL GQDTLSTSKASKQFASSVLFILGGVALLGLLMGSLEFYWNVLDNLQILSYITFINVNFPY LHNKFLEIFQFARLEFTNDYFKFDVVQDLDYNQNKDYPLIVEKHVEYNLVINLSSIVVLW VTPIILLVLAKINLFIINEVLINKLKILSNYRLEKLNSLTYLSYKLVYFIHYISLKYYSN FFYNIILRIYLSSLYDLNFSIFTSAYCWLWNQNPNLVDQISFIAAMFLLFIQIAILFLLS SSLKASTYQLKSKRFLSQFSSLYEGVNFKNQIIRQIQFLQPLRKILFMGALILFYDSAIY QISLLISIQIISSLIQISQNPYLNYLQSIKGITQEVGLSLSLSLILIYYGQDKLNLLDQS VIEKLSYVHVGIYTVMLCISLIIDLYQQLKIIFIKYKLFKFCQKKDVKQENQGNPFQQSI FIDLTQFQNQQQQQKQYHSQQQQQQNQYHSQQQQQNQYHFINFRIN >CAK58396 pep:novel supercontig:GCA_000165425.1:CT867997:228843:230227:1 gene:GSPATT00004768001 transcript:CAK58396 MNFLNYYYSKQFYQVKLPMYGYQNPFQMTQNGFAANNSEHLLPLHQPIYRQNQNFCRGQT QEKKAPEQPIKQMSQPQSNLTETKPNPLIRIHEKSQVTQPNSFGKKKNSNQLQKVVTRLE KNNNNDDIAPNGINNKIYNNQNINQKIQSNRYYIDDLITAFQDETVSFSKLFRDNFVHAF NFIPTLKSVQFVQAEDKEKQEKKVKMDPYPEHLKCTIYQLIYIVKKTVIFDLDETLVHCN EDESMPSQIVLPITFPTGEKVNAGINIRPFAEKMIQLLSNVCEVMIFTASHECYANEVIN HLDPQTRVKRRIFRDSCVTDENSIYYIKNLEVIDRDLKDVVIVDNASYSFFHHLENGIPI VSFYDDKQDNQLIKLYRFLINKVLPLEDVRPLLKEYFKLDQIDQFQTIVEAVEKMYCIE >CAK58397 pep:novel supercontig:GCA_000165425.1:CT867997:230284:231093:1 gene:GSPATT00004769001 transcript:CAK58397 MQVDSENFIDSSNNPNLIGNRYSMRSRRRKIYDDGDFKEVVKNFYALISEINKIANKKIQ KTAPLKNKQQTNVQNKKPLQKKPQSQDLKKTDLYNFKKEPIYSQVAFQAQPYFNPQQYQQ FSHNYMNQFYNTTPAQLQIISRNQLNNPQLKRNSFHIAISYFIYIQSSGQEQYESRDPTM NAKRKMKETNSDVIKQLDNFVMNERQPPLQQRTHQQHNQEEQKEDNAEQNNLN >CAK58398 pep:novel supercontig:GCA_000165425.1:CT867997:231167:232863:-1 gene:GSPATT00004770001 transcript:CAK58398 MLEPKRTRIICSVSENCSNYELLRSMVEAGCNAFTINMAYIKNKGSLQILDKNRCQLEAE FKTKIPINLILRGQVIRVGQLQDPETLIEEGNIVYLTSDQHKVGNNQLIPIDSNEFLEKL NINDKLAIDYGHIILELLDIQMFDQVYEKIGIDKMCLLSGVKIYVCRCCKTGTLKSFKPI SIFIENKAQKEEEQQEQVPMTEQDILDIDYACECNFDSITFSKVNTALDIIKVKERLEQK YPHVQVFARIAEKLKEEQLEEIITLADGCIIARSHISMTQPVEDVVKYQTQIISSCRKLF KPVFVSTYILESMSVQLKPSFADMGDISNIVKQYIDGILLSGEASFGKFPVLIVQTLNNI CRKIEKKLLQELFDQPQQRDQYQVNFTGQPIATVIAKSCVEMAYILNAKAILMLTRRIQT TLKLSKLRASCKIISISDNQQICRCLNYINNVQSELVNGFANQEEIIQECISRLIDKKLL QPKDYIVVITGMVVQKKDWHINQMKVLEI >CAK58399 pep:novel supercontig:GCA_000165425.1:CT867997:233068:234858:-1 gene:GSPATT00004771001 transcript:CAK58399 MSQQDETEQQKEDLNIQNDNENDDKTGIQQNQSLNDGTIQDNSRIEAPIVELKNLNEEEN QDQAQIPKEESNVATPTRTSQHEASPVVQRDQIQSREKDRQSQDGTDLKQEEGIEKTEEE INQEQITEQQINQQDNLEEADQNQSEKDLEEQNDQNDQNDQNDQTDQNDQNEPEEFQKSF HSQQQSQKSNKSTLSEKITFLQSALQMKDELISTMQDEIAVLKQAQMDKQEHIDTMEKKH EEKLDKLMKKVEELEKLLKEKENDIEQYRNGNQTLHLQDLSVISVQKNDNLKSHVNEKKD VTIFEVLNEKQTTADLSELGNNFWLISAERKIQKNNPLYKDYFPKDPEPDQPKNKIVINH PTKKYDKSYWFKYVEIKKPPRYNHNVQLPKLPEINHQYQQNDPKILSKQYQQQQEMQQQI IQSIQQQTPLGFSQTAQNKVNPVSQSIPFSQKLLANNQRSGSQQQNNNLIKNKMYASQPT ILKAQQVSFDQEDFLADQEIEQMFAQQSPPKKQKIDWDSQPQNNYMIVQHTNSSQVLEQQ EKFPILSQKLSYVNQYSHKKEFSRLNESQNQNRTKLSKYY >CAK58400 pep:novel supercontig:GCA_000165425.1:CT867997:234941:235477:-1 gene:GSPATT00004772001 transcript:CAK58400 MGGICQKQITISEQEINKSEQARIYIKGPPKVQKPSPIENLQFQSDVVMIEKEKCISLPK MQSQEEEFTIAELEMTIKDVKIQQTEEIAFLQESDQQEQQKISNVERNSLKNETKGSNSN ISKRKSILKNKIHDGSNPQSPNTQLLESQTTGSQRSLKKVTFNKKQKVVYSSFRNIKN >CAK58401 pep:novel supercontig:GCA_000165425.1:CT867997:236714:237651:-1 gene:GSPATT00004773001 transcript:CAK58401 MDKIELHAHFSGCIRFTTLQELTIKQFGQNQEIQFQKCTTLEQCFILFAKINSLNLKLED VRRMADEIFQDFYSDGVTYLEIRATPKKGQDFDQLQYLNAISEAINKAKLEIKLIVAIDR AKGVDEAQKTLNLVKKNKIQHLVGVDLCGHPGIGHFLEYKPILQKFRDLGYKITVHTGEL KQQIEENNHVIEFQPDRIGHLIYFTEEQLQKIKSLNIPIEVCFSSNLFTTNMQPDCHPVK EFISQGIPIAICTDDTLCFNTTVTKEIELIKTTFGYSDEFISNILKQGLNYKFKIE >CAK58402 pep:novel supercontig:GCA_000165425.1:CT867997:238010:239529:1 gene:GSPATT00004774001 transcript:CAK58402 MNSISQVDFEWNPVSGVLINEENDNIQIDPKTKRKADPKEFGIEIHPHVLFKKSLNYDLE AGWSWHKSWNLPEFDYILKVNMCFSLYQDAHIQVLASQGTLFAQVFVVKALENSLSYRNL GIKGESKKEIIDLGANFRCLKFTTTSYNNNHKKFHLMIVLFYSSVKGETMVLSSIISPEI FVDSRKYARFHNFSVLQNSFTEIFPYQLIDSKIIKRETKNKILKIIKIENSIIGFINYFT ASNIRNKIKHPIFLALRFSSLIKLFVDQEMLDSSTNIVTQIQNHLNNIINKLEHQKKIKI LISLSNGDYFMQKKALELIQQLENSCYEIYTQKCYLPNTVCEIEDLQQLTLQYQEFVLQS KILINQNKHCDKINTQFSLQVEDNLQKKVKIEQFPDSFNNQLQQTFKDINLHKTEFENSI KKEEDLKIQQYSQSNIFQQYIPFSNINQYQQYFMSPYQNLMPFVNQQFQHYHQTPYPLYM NPFQNMWKLF >CAK58403 pep:novel supercontig:GCA_000165425.1:CT867997:239600:240709:-1 gene:GSPATT00004775001 transcript:CAK58403 MSSEFIQDGTPFTKLFQRLEKIHKSPVFNADCIHNQDEIYIKDQSPEQVCKKLEFTPCLK SPNQFQPYSPLNRQTTKKANIFVISPARQINFDHSKTEQRLVNISSPLSSLKVPDDLLCD EEEIFSDDQEIHSQFRSPPKSPHRKLERATKLKFINFVTLKKPLKFTSDQVNNRKRTSSK RRKPQTEQKPKIIVCNCKKSKCLKLYCDCFAAGVPCGKDCNCCSCHNNEDHVKERENIIK QIMERNPQAFRPKVESRSNSEDEIDQKPRHFKGCNCKKSNCLKKYCECYQMGVKCSELCK CDECKNCEMPVKKESRKRVKHTHSSFKNTEMY >CAK58404 pep:novel supercontig:GCA_000165425.1:CT867997:241207:242804:1 gene:GSPATT00004776001 transcript:CAK58404 MGHLFRHQEGEAAPPPAIANIPAPQASTIDYDWILISGFIIVLTQVGFAFIEAGSVRYKN SQSIVIKVILGLFLTILIWWLFGYGFSFGYDFQTNFMGGTKLGGANWEANQFGNDYTNFV FRASGAAIAVSVLSGAAAERMTFLAWSILAIIYAGFIYAGLAHWTLAQGWLTTLGYKDFS GAGVVFFAAGVAGLVVTVLLKPRRFRFDPNTTLQFQRHSPIYIAFGSILVFAGWLFYNGG VVAQGANSKYTQGLVAVNTLVAGATGGFFAFIIRYFQYETTSLVALSRGIISALVAVSAA TDDMKPWTAFIYGLLAAVFYSVLAKVIPKVHIDDPVEVVPVFLGNGFLGIFLSAFFDTKA GVFYGFGAKLLGMQLLGLLIIFVWVAFFVLITLLILKGFGVLRIDSETESVGIDKAHCLG EAIVFANQIDEVPLVPKTELVKLGNSQIGSGFRPGFR >CAK58405 pep:novel supercontig:GCA_000165425.1:CT867997:242864:244512:1 gene:GSPATT00004777001 transcript:CAK58405 MYNQRIWNKVDQYKSNHTNGLRLKLLKSSKNTHFVYVLCTEYNIVLFKDADYTKPYKYLP INFEYKFQVLRTPPLLINPSLSDKKGENVVSLGEILAIRFIREDVEKWSEFRGSVSDLDQ LRQFLGLKMNQIGFHQYFKVYKKIGKGSFASVYLAQRIEDGTKMAVKAFCKNAVYKEENG KEGLINEIQIMRILDHPNLMKLYEVYETKNSIYMCLELLEGDQLYGLFKTKRSFTAQQIH SIMKGLLEGLNYMHNKGIMHRDLKLENILFKEPDNCDSVVIADFGLATKVDQKPFLYTKC GTPGFVAPEVINLKDDQLSYGSICDVYSLGVILYILSTGQPVFKGKSYNTIVRRNREAIV DFQTEKLRQVSDNQRSLLIKMLQADPELRITSKQALKHEYFCAYYEEEFAQMYTDDDPHL GEMLVKLNAEYIRLDMEKLKRTNDEDDICKTEDLKVDQSQEKNDFLKIIMRTPVITGRTK SIESILYLYLDSPLNGFQSPNLDPNTHHIQQIQTKWMNPFVLQN >CAK58406 pep:novel supercontig:GCA_000165425.1:CT867997:244593:245336:1 gene:GSPATT00004778001 transcript:CAK58406 MQNILSQQQQFFDLLDKQVDAYKTLLDQLNSSQDKFNNLLQDYLLKFQTINNKLVQGRQD FSCSQIQIKRNDELYTHSQLHIQFNLRSVTLFFLSIQLLEKQYKYKLTLADALETPLYRD RIFQLRVELKNKSGDLVKNPNKIELVVAIYSQEQYPKEIKVNNKGEQILKGHLCVPLIKG TAFFTRVQIREVSSHYQNGSIILAILPQFKSNDEPINSRDVQPLIVENIKVKAKKFSERH IPVYVNQ >CAK58407 pep:novel supercontig:GCA_000165425.1:CT867997:245346:247916:1 gene:GSPATT00004779001 transcript:CAK58407 MFGKIIISTIIIVILSSFVFIYQSIPDYKGEWSSQHINNDVKIFRDKIFGIPHIHAKSLK EGYYGLGFVHAQDRLWQLHLRRMSSQGRLSEIFGYRQDVLNIDKYVRNLGYLESCKRIVE KLSEEARAHLQSYADGINDGVKSLQILPIEFKIVGAQFQDWQIFDTVAFIKLMSLLNVND MFHEFMRSKFDELYGRELANILGSVGPHNQFDSDVTEVQVNEWIQFMQTHNVSYNQKFQQ SFNHTNNNNSKNSNTSKYNLTDILQSIPEYYSNYIFPTLKASNAWVISGKHTKSGKPHLA NDPHLDNNIPSQWYQAEIYFEMENQEYNFIIGGTLPGLPMCMSGRSKYLGWGLTTLYSDG GDIYEEEIIIKDDDKLFYKFDNEWIPCNKTVEIIKIKGQEDQSITIFHTHHGPIMQYYIE NNKLRDHNGHFYSLQSIVHIDDYESFDGFIKFAQGKDLKQSMELLKNICYPNNGMVFISH DNHIGYLSTGRLVIKEGHPDENAYVKKNNKEWIRYVPYSEIPMIIDPEKGYIVVANNKFI PDNELSWNSQPTSRGRRINDLINEMINKGQKFSILDHIKIQSDTYDSYACNTKNELIQLL KQYDKEKELINEIVILENWTCKWDSYSSGALLYGVFEYFYSYKFHSQLDQAVIKQWNNFY IFDSFHFKNLIDMSKAQPNDQILNQPYCNGSAQDKCAQNLVDSLREARQYIHEKFGNQTK EWKWESLHNQFFPHAFSKTPFAFIFERRIPYHSNRRSVAVSQYELDGSFEGKTGSNYKQI ISMDSEESNFFVIDTGISGNPFSKLYTNQMDIWKQNKYVKMMFGNRTDYDLLYVIHPN >CAK58408 pep:novel supercontig:GCA_000165425.1:CT867997:247929:249845:-1 gene:GSPATT00004780001 transcript:CAK58408 MYEGKMLVRFDDTNPSKEKAEYQEAILEDLKTLQIEWANLSHSSDYFDLVEEKARFLIRK GLAYCDNTAKEVMKQERFDGIESVNRNNSVEENLEKFEQMLSGKTFDYCLRAKIDMKALN KCLRDPVLYRTNQIPHHRTGTKYKAYPTYDFVCPILDSIEGVTHAMRTNEYSDRIEQYQW VQKELELRPVEIYEFSRLNFVNTCLSKRKLQEFVDQKVVEGWQDPRFPTLRGIIRRGITV EALHDFMLEQGPSKNANLQTWDKLWSTNFTKIDPIAPRYTAVGKEGIAILSISNFDDQGV NVVTVPQHPKNNELGTRPLFRSSQLYIEAQDAKDIKVDEKITLMKWGNVKITDKKEVDGQ ILLTGENLPDDKDFKTTNKYTWLAKDTPIVNVDLVEYDHLIKVKTIEKYHKFDDYYNPNS KYVTEAFADAGIKTLQVGSVLQFERRGFFRIDKIVGDKYELIYIPDGKTKAASIQTKLDL KSVQTGTVDEQKKEQQQQQQQEQQQEQPKQQKQQEQPNPESKKQQKKLEAEKKKAEKKAE RQAAQQGEKKE >CAK58409 pep:novel supercontig:GCA_000165425.1:CT867997:249920:250137:-1 gene:GSPATT00004781001 transcript:CAK58409 MIVILLLVICTSTYIRQMKPDLINSHRHGFRGFFRRSAVIGDRLSPLVSVLCFIMGAYVL LLR >CAK58410 pep:novel supercontig:GCA_000165425.1:CT867997:250404:251538:-1 gene:GSPATT00004782001 transcript:CAK58410 MLIIFLLLGLSVNIELKFEEEITKLEQTQLGKTFLDTVQIAVRSKEPLDRVFQILRDQAL SYETEFLNIDEKHKAFSSKCTKDLGDFDNYAALLKARIMDMQQALDDKIPDKTKKVNTLQ NKKKEKTMLLNRIDEIQQNREKQKCIFDSRLEEHQAAISAVVAVREIFEAALYENDNGET AFIQLQEDDVDKVTNLLGYASLKAGDFKHLQGYSSLFTVMEQLKAGQISKHEETVRQLVE TCNDLENYIDIARSLLRSVDDIRESYFQRLFKNLNQDLRDVSAIIEELDSDVNVLEAQIK VLTMDRDEAVQEQDNKLKQRGDRAVECDQEDNVYQFKLQENQRERETIQRIL >CAK58411 pep:novel supercontig:GCA_000165425.1:CT867997:251564:252331:1 gene:GSPATT00004783001 transcript:CAK58411 MLIFIQLIVGVLSNEKVITQQVALQMASLSLVGTDSSPAFLEFISLPHSTSRITIDRAVK WTFNEDIVLSISPLEFYTSAEKASFHSLRIQGNFYYKNQPQWMLWWQENYWQQPVDWSDN SISTCGGISMLGGYGKFAGGEVQKTFVELPQHSKVRIVANYHFIDGWGGETGFLRASIGR MDGMEYVWTEKYDYSKVKNGISVCGSRYPEGKLTSVIDVSFPHTKDTIKLGFGSTLDNDP LENSFGISNLQIYLI >CAK58412 pep:novel supercontig:GCA_000165425.1:CT867997:252459:253086:1 gene:GSPATT00004784001 transcript:CAK58412 MSENEQCSSNSHFSTCCSNTSSEIDEIDDPLNYASFNDFQTVLPLVINGVKIQKVTWDLF SAPPNVYSQWKAHCFWTVGYTYDFTMKKMKHSNNIRYRLSVNAWCCLNSKSWVKNKWDRL LEHETGHYLIGCLCALEFKARAEKTKFTKNYRLESMRIFQDTFQEYLSLERRYDEETNHS QNISRQKEWNEFIIKELQKY >CAK58413 pep:novel supercontig:GCA_000165425.1:CT867997:253113:254372:1 gene:GSPATT00004785001 transcript:CAK58413 MYSFLIFLEKSKIEVPKNKPPGQIQPSDSNKVGTKRDYKKGELIGTGAFSEVYQALDNKT GKILAIKTVKLQGGKDQIFRTINALKAEIKLLKKLQHKNIIKYYFTEISPDHSYVDIALE YIAQGSLRKVINKVRLDETNVRIYARQILEGIQYLHENKVIHRDIKAANILVDSDGTIKL SDFGTSKVLESEENLIIQNKSLKGTPYWMAPEVCQLKAASFESDIWSFGGVVIEMIGGLP PYADKYGADIDAYELMKKIAQEEKPNYPQQASMLAKSFLDSIFVAAPLRPTASKLLQHPY VQISDPQTDSEEVGFKQSLDPVPSKRSIGGGTNGHIQDKPINSTYIQQQQLQKQRLDEQR LQKQREWEQALKEELRRKSQKN >CAK58414 pep:novel supercontig:GCA_000165425.1:CT867997:254715:255746:-1 gene:GSPATT00004786001 transcript:CAK58414 MRPNKNNEDGTYWKLQYEQLKKQKKIFYSNDTNQQLVSQLQEKCLKQEQQIEKLNQLIKQ ATPSKITDPSNEDFEIIKVQFKTQIDQKDSLILQLQRDNLNIQKIALEQLKKSDFQIEQQ RCQITQLKQQIEELNQLVSHLQQKLLQEQQETKEFKEKIIICEKMNEALEQTIETLNQKS QTSREKQNKDVQQWMQKFKKLEQEYIILKTKIEDLSKSNFGKYSIENLDLVSVIDKINEK INQLQEECKGLKLEKQQLSSEHSQLQEKLKQIEINYQQQLQQIQELQTEINYQQLKAKSS SNNLEEQQQNIPQIIIQPSEDNNEENNNEEDNQFVQEELQQET >CAK58415 pep:novel supercontig:GCA_000165425.1:CT867997:256882:257439:1 gene:GSPATT00004787001 transcript:CAK58415 MGALQTCIKQRICDQQTEYYIPSIQKRKQLIKHPHKQNTLIPPPSQLGEVYESLELLELS LHENNINLNINKTAILIRSCTNPKSTQFNPNINLSLKSNIQNQQTIQNLSFQDSFEQREQ EIMQKKQAYKDSQFKIFAHNQGEKKEAHAHNINSNSIAQRAQFSNNEDSPVSIQRDSDTN TKKVY >CAK58416 pep:novel supercontig:GCA_000165425.1:CT867997:258346:260293:-1 gene:GSPATT00004788001 transcript:CAK58416 MNYITLRFNNSEFEHRYSSQRKSYQLQSQTVYRLFLIILILFEILENVLQKLWFETILSL LGLLIMLFGYIFKQQLASVNNTLTLIAIIIYNIQHPIKYGFLNHFNNREVLESYFICLGT LGIISQQSYLVKTFITVIVLILNITSLYLVQDGWREILLFIFSSMIAIYSYYINEWQTRI QFIIMNNKDIVEDNLYQQIDIQSYIVHYNLGNNSIDLIRQNRVSQITEIKQNSFINLIRS IRVILFSQRRRKSIIPSSQMLNQQNKLNLEQFLFYLFMNQEKMQEITKNCTKDNQTYELI GLIGLDVHHIKIIRSEDTKPCLIILIKENRRESQNKSLKYKLKINNKLLSQVQESIQSRI RICLIYLKQILQYEKLKKNKQQLFDNQKIFLFLNSQITKTYTDINNIQDFANVNSTFAKI VLNHFDISNCIQECISIFNILFPKRDNNKIKLISHLTDNRIYTDQKKLKQLLINCLFFIY QNTEQIVIELKDEVSQDDLNQKFIKFDIIYNGQVFTAEKISKLPILNPQSLEELRHNSYQ QLNLEIPIALMIIRQIGPYKQIHFKQNGIEKQNKISFYLFRSLEDFHLIPIISLHPSQNL ITSQKFYKKTSNIYKTEKYNAIEEPHLETFRQISEQMILKS >CAK58417 pep:novel supercontig:GCA_000165425.1:CT867997:261301:264726:1 gene:GSPATT00004789001 transcript:CAK58417 MKQTTLQRFLTSSQKKNDTSSLNVNKTSNSNTVFEEKKVDIQYIKPSTSITKEEVQAIKD KLLEKAQERPQQFSRLKRNNVVESDEEQEKKNEFLDEFKIEKFSFVCSQEKNKERKSLAV FVKQDIPKNNIKSQQKQKKSEYDKSGKMKKQSVKLEEEEPDDFQENKQGNLDFKLPTDKI NVHEEFDDVTPQWATIGSSRDKSGKLHGTSDADPTTLFIPQNEFNKLTKCMQQFWKYKSE NFDKIIFFKLGKFYELFYEDAYIGNKYLDLNWMGRKMHTGFPEKAVHKYKALLLEYGYKV VIVDQTETPEQMNQRVAQNKKAGVGNTDKIVQRSVSEILTKGTYLYEEGESQMNLDEKVL LVIRKKILSNTIEEYGMAILERQTNTISLAFIENRDKNYESLKTLLLHMRPVETVIDSNN LPSFDPITKMISGSVIKSVISHITASKDNWDEKKALFRLEQYYQNGLPAAIKFYKNNQVV LQALNGLFTYLNQILILDRVLGCAQFKLYDEEFSLQQCMILDSQAIYHLEILQTTNQVDK KDFSLFGVLNKTVTPGGHRLLRRWVCAPLYQIDQIRERQTMVCDISNFRKERDLFRQSIK QFPDFERRCSRIYEYSIKTESKAVFYENLSEQRLKEFKNLTKSLRLAQQEIALFGQYKIN FRSERLRNMMTYEADGGLLPNVKEQLDEFEQYIIWEKEKDKEVPKPVTGVLESYDQSVAE VELVEQKLDSYLQQIKRKLFKNNSNIQYAHAKFRYEIEIPDELVQKSKPEDFEFTSSRQG YKRYLTQEIKDLVTELEQAEETKKQQLTAFGNFIFKHFHSNQHVWDSLIKILNELDALCS LSVYGDTSEGKMTVPKFTTDKIKLVIKEGKHPCLTNINFVSNSIDMGEKLTKFQLLTGPN MGGKSTTLRMVCILAVLAQIGCSVPCEEMELSPIDRIFTRIGAKDYLMEGKSTFYVELEE TLIPLKYGTKNSLFITDELGRGTSTYDGVAIASAVMHYLIKTIQCRVLFATHFRILIEEA KLISEVTNVHMACYISNGKVIFLYRLKEGACEASFGINVAKVVGIEDSIIMKAEEMANFF ENKVQKNTEQTLQKFNQIINEYGIIQGY >CAK58418 pep:novel supercontig:GCA_000165425.1:CT867997:264966:267662:1 gene:GSPATT00004790001 transcript:CAK58418 MNETKINEYLQKNRKEKEWLNRKQLKYLRDTAVTSDDSGDELEKKKEFKILLKQKRKFQW EQRSLNFMSSKHTRLYQKTCAIKFQHYLILFNSQLRKLKKHLAPQPPYTMTPDGSIKLIW DLICLGFVIYEMISIPFQISFEIEISNEISNLSLGVFAFDILLNFNTGVYIDGSLQMNRR QIFKNYLSFWFWIDLVSTFPYEIIIDESQQLIQSAKLLRLFKFLKFVRVLKLLRLAKLKK IIDKIDEYIQTSRIVGVIITFIKLFVFVLFFAHVLGCIFHYTALQEEHSWLGDQEESDWQ TRYIFSLYWGVATMTTVGYGDISPLTAPERLLGIFLLLVACGGFAFTMNSIGFALSSLEE KSNVRKQKVSLLNKYMKKAQIPDLLQNKVRKYLEFVWDSHQILLKDITKLLSDELASDIQ ELVNGKLFGHADILWSLHTKRFLIQGIVPILEDKLFFPDEIIFLEAPAISYDLYLIQKGE VDIYFMKTNIVIDNKIKNDYFGEISFFSNQMRSASAISRNFSHIFVLNQQKFLEIAKIYP RDLQQYFKVRDAIIFESDYSYLQIRCYVCQMDDHLAKECPVLHFQVNASHFLSFLHQYRK IEQTVYIRKDRQDFNARSSAYQIQQTQKRFLQINNKRISFLNSKGIVDTIFTNYYNIEED NNSVDDDTPSRIITSLQVSIFNNKNKKKFDQLKFDYEEASNYSKSKVGLLTQRIMIEEQY IDQIASDRFNDFLDQINTLKYKKQKYSHIHFSEISNFDKVSSYQNFCQRDNVEFVLERYH KIQKKGSISQIPPKYSSFGVEEFQSYLQYYCFHLKQEDLKRYFTKSQLQKKDTMFEFENT RVFQLTRIEKKRNKFITKNSKFRSVVTKILSINSLKSNSRISF >CAK58419 pep:novel supercontig:GCA_000165425.1:CT867997:267721:275137:-1 gene:GSPATT00004791001 transcript:CAK58419 MKAQGLHKLNNLLETVNLRNQELLSQLQSDYKSRETSPPKPKILSSSQIEMQQLAEKNKQ LVEDLNFLNLSFKELKLGTDELNSKNQSLKKHIKELLQTLKHKEDELKKAREKQKNGEQI EENKTQEKSVIDYAIYMEKQFQTLEDKVKKYKKLLEKNGYDDQLAKKVEEQKKSIQQLIQ EKETIKREKEEIQNQIQNQRSDLEPQLKILNEQLQNSEQLHIQEIQLKNSMIEKLNNQLI KLMDNQKQLNESKSHNQILQSRITEQERKYKDLDEQAKHVNGTALEYSKQIQQLNKEIQT YKQQLAQQEEQCNRIVQQKQKEINQVQSQLEQKHLEETLKKQQQNEQQYLQVIEQFNSLQ NKIALLEDENSSLKQKSFKTLKNVVQELKRMKLELQNLKMMGHYDISQLNGLEQILMDQL EQAISQPHKNQEYMQEIVQEMSQEQSLTERTQVQNQLQLQLIKHKQVFKFILEDVCNSEV IKQQILNTLGQFKQFLLNIIQQQYLKSLDLMNNQKQDYSSQIDSLIQELNNVNQQLFEMQ KQNTNLQEQIQSKQDEHQYEMQNLQGQIDNLNSILEETNQNLEKDNQNQALIDHYQNQIS QLETQLAQSQQQQKTQQQTLNTAIEQSNVKDAELNETKQKLNSLNTLLKQKEEDLKMQKQ LAQTKSTKYDQEKLLTQKLQQEIEALKTQNKQFQLDLNRQKDLNKQQQTDLGKLKADNQQ LKQQSEQFLQTQQELTKIKSEFSKTLQEKNTLQEQFDEVKKSQALLTTLNDELQEEQQIN DKLKQQLLELQLEFENSKEQMENYSQDNERLKQQLKDLNNDYELTLQENQMLQEQIISKD QNLIQLQSQQQEQINLFCSQSIEQSDLKNKDLANSNESLQSQIYDLKLSLEQAQETIGQN QTSIQELQADSLKLKDENSTLKNQIQELSIKNQQIQESLETQMSISQNQEKLIQNQTSQL EQEQVNNQRQGIQLTNLNKKFEALEQQLESKDQELNEYIQQTNYLKIKNEQSEQQLFKQS QELQSNKSQNQSSNESIVQLNELVNHLREGEKQLQESVKEIQNQKSQIQKQLEEKEQLLQ NLQQENKNLNAALLAEKSENQTQSEQIRSLQNELIQKINETTLENEKQLQLNIQQQNNKI QQYELQISKYKQQNENLNSNLQEAEKHKQLDKNQIAELNQNIQELQRNLQSQLSQIEESK QQNNQKDQQIQQLLLKIDEQKSQIESISLQLQSEKKNLLEDNDVLKNANQQLQGLEQQQQ TIIAQLKQDYEKKISEQKDLENQILKLEQNYQNESSNRQTIQNSLNQQREENEQNQQELK NRLIQNQSLIEEVDTLKQQHLLNQEENLNLQTQLKDLTQEEQNIRANARQQEQIQNQKIQ ELINENREAQNLVEILQKQIVQIQYEKEKIEEELSEKNLQLDNYSNEIEDQKTQFLQKIE ILQQNQQILEIQKKQTDNEILNYQNQIEKLNQDNLQLQNELKVLSSNIQKDIDSMKEKQI AEKDAQLQLKNQEIKELKEKNIQLDEKMKLIGELQNQIRQTNEKFQENQQKLQELEITIN QLNQGIQTKEQECQESLKKSRELEDRLLVAQQENKKLISSVENLQEEISQKNQNEQTIQD ELKQFQQEVSKIKEEKILQESEIISKNTQLNLQEQKISQLNDEKDYLKTQMNEGKDMLKD LQQKLELQQQRQQKYEALVKNEELKYLQKIENLETELSSQQVNSKLKIENAKRKLHSILI EFGKCKRQQQHSTNFIKDQLVKFNKTYQTILVKLVKKLSVINSQIAQLKLNHQELDDMLR VQVKINPQIYKHLNQLQQQQESVVKSFKEKIQQLMSQQENMLFADENRKQEIQSLSTDRS DLKNQLQETNQKLQQQQQINLELKEEIQRLESQNLLYQGQIQEKNSAIDQQNEKCKLIPN LKNEIQQLEQQRQRQQTMINEIQASFQVTIDHQQEQIQSLKEQNRDLLSGQEIKTQDIYL KLQKLEQQLIKEKQFSQQIFDKLSSKDEEIQQLQLAQRKNLSEIDNLTNINEDLNDKIEN LKDQTQRFQVYFSEKEETIRNLKETVYNQNLQLEEKGKKLNQLQNDNEVLRKKYKDQEEQ MMKNDIKFQRQNNRMEQIQKLIKDYPKISKEIGDTIKNTEEFCLEKLRFLTQLFMKKIQT LQEKIEESETANEQEIQKLKKQLQQQQQQYDEMFSQTLTINNENPDMMTHFKDVLKNAIS ERDQFQQELKQKKEQFDNASVENNYLKLQVQNLKDQLEQKWNESANQSLDLSSIDNQEIR KFEHSSINNATIIDPTVQSGHTKSSLQIKEFQNQLAQQLQQQNENNNTKFYDLQLEIDSY KQEIEKYKMNLIDYQQEEQKMVKMISDLKRENYQLSQTINQTDTKSLKQQIKQLLQSFLV SLQNQAQETEDYFQILSKMLELTDQERTKFQAIVSQMNQRKKGGLFR >CAK58420 pep:novel supercontig:GCA_000165425.1:CT867997:275409:280939:1 gene:GSPATT00004792001 transcript:CAK58420 MYKRMFLVLLLVSLSLEFKTSVKTQITPLETILGIDPSGWTCESPSYKEQLGAEHDIEQW IDIVKHKDGFDGDLQVLAMVKALVDKVLASVHHISGNTFVQVKHIHHQLSKQFKKLSEIE SLSSWYDINDILGYVEVLNKAKTDEDKIQLATMIASKISELIQQITEIQLQLNSIPVEID DLYELSTRVNQKTSACKDVWAPFLEADAKAEEEVKRAEQEQEAARLQAEAEAKQQAEQAE EEERRKQEEARELEELKNRVELTPEEAEALDKEAQHELELAEEAEIEAKKEVDEAKAAEN QAQLEAEKEEKEAEEAAQRAEAAEQALQEAQKAEEEACVDAEEAERRLKAAQEAAEEAKR KLEEAERLAEEARKTKECQDEPPLDEPVHEEFYEEEFELISEEEGCTDEALEDLIDTLID AATQPSAYQPGCGYPGCQEQPIIIVVEDDEDEPQPQPKPEPQPEPQPEPKEEEEVIVEEE IETEPTPDDNKTFEEEQQVEETVEEIVEEIVEEIFEEIVEEIVEEIPEEEDDDFGRLEYP VDPPEEIQYLIYKSDDKHVNSHTQESSKWEVEVNHGPETRIKGSTEYSYGLWTYFRYNGA TKISEKKEVLAVGGLSGDGSSRLVTLIGEGFYNFQVFDGDSVTQQQIDYGKYLDAEWIYV YFGYKEGKANGYVYWARTQVIKSVTFTVTYQKIVNTIKFSSGWWTGYKAFNGIITNIRVV LNKDSFIEDDIIMKNAIETEYPVPSETDLEYLENKYYDKVEYEGLITEPKFHWDLDRHRE YSFSTWFRYVRRTDKADQLIFRLTSNEPDSGEVAHGDNVLSLWQTDAIDYQFKTYTVENQ DKFSNIVETVKINQESQQLWTYAYFGYNNEDLEFYIEWPDSSATKSFKGWHVVAKYWALY LGQDFKYSFHGKTAYSTLRFGKGSWGVPTEFEEYKVGKSKLWPGVQMKTYESKWLTHTKE SEYDSLLHEEPEWVTDGVYEYGFGMWTKFFITNPSRIYEKPDRILIARLGFSDNDQAEFA VYIGRGDYEFWAGSMRPVSYTKNLDGYWNYIYFSYSKLQQIAVGYVNFNTLQQIQRTKFE PFDADPANNYARFYVGNWKNNQYGFNGRMASAVIRMGRGSFIYEIEQYQTWMEPYSVPVD EELGTKDYEIQGADNKDAESYDTFLYDHQATETQQYSVYGWFKYYGDLSNSEAQTIIRLT NNEVGHLSDASILGDRTLTAIQQGGNMIFGTYDIGFIDKDYEVNRQTEVDLGEYRGIWMY IWVGYSRFDQQTGWFLGFPDISKGGVIKKTLHFSPKYLAVYFGKDGINKNFIGKSRHVHA CYGTTQCWHFVNKVEAEENLPAWIPYKLNNKFEFFVEKDQDALIVAKDGNAAIDVEFTET NFPGSDIEAIYEYGIGLWTRWLMNYPFILLEKADSHSIFRFTTNAQYEDAQQNGDRTVSA FVGRGEYKFSTYDAVLDKNDISIGSKFDKELEGYWNFVYFCYKRIPTNPKAIGYVFLSNA NVVKRVEIDNAKHWLLRNYARLVVGKKEFGHSAFQGKLFDPRVFLGKGSYIETSEDLING LIPKFRAFPPYKEKQDNEPVQVEKTKITARVFKQYEEKYSGVFEYSVYGFAKGNKLRNVT DWTTLVRVTQNTPDIQKDNENAGDRTLSIFADKGKWIYSTYDYGDIEVADDDDGAIIHQF DMNKQWGKWTYFYFGYSFKLKQAYAYIRFIDQTENSFLFEEVYHFVPNYLSVFFAEDGYG KMFDGEAFDWYLGIGDGAFTTTPDPRQWPVDPAPPPDRILSALLANQGFNSGRIVKAQTF LQLEGTINLVEIKQDE >CAK58421 pep:novel supercontig:GCA_000165425.1:CT867997:280971:282575:-1 gene:GSPATT00004793001 transcript:CAK58421 MSSILSCQNDQYSLFQGRGTINCQSSTCGQFSACNSLLVSGKPTGQVGCCREGVLYPNAV SIIVYILIIPIIGIGSLGALGGGVVKRPFLEAILNFNSSTSGDITACLMFGAQLVNQVII FFQSHPDTPERPLVNFEIGLVYALGIPISMQVGMELANYLPLLPLLTLQMLFFLIICPVL LYFAKSEQKVEHDKEVNNEMQQSSIITIEQQIKGNIIEEQRMAELFKQLQEESCSRFPLV PILLAFGNFAVNELIILLRTTSYQTSPYFYPNDQDADSKYSACEPWNFYMMILLFGANLI ITVWVLFYMRKKELLKNTVNFNMNERYFTPISRFFMIYGAGWATGFIAGFLGMAAGLTMF VTMMEFGLVAGAAGATANYGYFIICLQVFISFVVGEYQNLTLPVGYQFFFYGIGAIGVLI FTNLGYYVIKKYNVGHVLFYIDFALVLLNMIANIAQGIEQSERFSYHSLVYNPQSCTAQV LSKSSVQ >CAK58422 pep:novel supercontig:GCA_000165425.1:CT867997:282809:284372:-1 gene:GSPATT00004794001 transcript:CAK58422 MSRPLSCSDPKFNLFNGGLGCTVNGCGQYNKCLTVLNDKFIETTGCCRIKILEPNFVTVF VYMLIVPVIGIASLGALGGTSLQIIFLKGGIVKRPFLQTILNFDASISGDITACLMISAQ IVNMVFIFLQNHPDVQERPVINYDIAIIYTLAIPVSLCLGSDLANFLPLLPLLSFQILFF IAISPVLIYYARRQNELEDLKDQNSDLAKETALLTMSQVQIQNQNEYTESQAKIYKQLYA EQCQRFPLVPILITLGNFVVNELLLLLRSSPYQYSPYFFPDGDINNKNRDKGPCEPWNFY MVLLLAGVNFLITSCVYFFMRKKELLKNTVNFYPNERYFTPIRRFFFVYLAGFLTGFVAG FVGMAAGLTMVVTMVQFKLIAAVAGATANYCYFLICIQVFTNFLVGASQSQGLGVGDQFF FYALGVIAVLVFTNYGYRLLQRYNIGHIIFYIDFAIVVLNIIGNIVWGIENGYRDGFRSL EQQPSTCGNYVLDN >CAK58423 pep:novel supercontig:GCA_000165425.1:CT867997:285001:285621:1 gene:GSPATT00004795001 transcript:CAK58423 MIVPEGIPRYRVFKSRWLVLFAFCFFTFTNAFGFVQYSPILTLASEYYGVQDEDIVWFSN CYYLSYFAVCPFTIKPLEKRLDLSLIFASLITMIDRLMDDIYLQIVVLSCSDWIYIDWSG LNIYFSSTCMQQIYSKYQLSLTVGFPLKNVLYQRVWGASLTQLDQISQLFMLQFHLKVLM IMTEQKIRLNK >CAK58424 pep:novel supercontig:GCA_000165425.1:CT867997:285647:286423:1 gene:GSPATT00004796001 transcript:CAK58424 MLIDFLCFDVKKQTLISTIEVSGISICNEKIFDKMQIIPSFKSAFSSFESTMDLLAFSIF IGVSWAYMVVIAILLVPFNYTIEQIGYVSIVYAATGTIGGTVASIYMDHQAKNHQQPNYD YLIKTFLTIGVFGILIKSLIINYVSDIVIVILSGIIGLGLNSFLPLALQCYIEKLFPSFE LVLTTIIMQMSNLLGFVLNYILILDVFLDVGLYVILIGLSPFYIYLIFFYKTKFKRLQIE QELELHTM >CAK58425 pep:novel supercontig:GCA_000165425.1:CT867997:286472:291081:1 gene:GSPATT00004797001 transcript:CAK58425 MAEDQDIWECLFDYLNQAQDKAQNDDSEIVSYSKGIPNVAIDPLSIGEQYPRVEIATRML DGIIPSSYKMQSQKMVKFQEFRDELIDEIDEFYQEDQLEDIRQIYLQSNLKQRDYEFTNI LPFMQDELALNPGIETVLYTNHDMFSGEIESVSSAYLHTDLKSFSTTNIINRGQLIKDKR GPEKVELGDKLLSLEKLEQQIQGQADTIFAQSQQIQQERDIRRQERVVEYQQRIEYIDDK FVKDQMQSILHTFPSQSDPKFNTVWSLFIDEQKEEQQPIQQQKPQQIETLEDLEFQENAI VSESDIQMLSTLTELQRQARETAKINNIANDADDEEIKEEQNTIVKAKQVVDFNQIDNEP TFIDQMYYAEKAEPEIQEQDPEIRKQIQDALQVKSKFRIIKVQDVINNSSKLIEDRDYKF AVEDETDMSNFYDQLPKAKMAKQFQFELDDFQKRSILHLEKKESVFVCAHTSAGKTVIAE YAIALAFKHNRRALYTSPIKALSNQKFREFDQKFGNTGVVTGDVSINPGAPCLILTTEIL RNMLYRGAELIRDIEWVIFDEVHYVNDQERGMVWEETIIMLPQHIGIIMLSATVPNYMDF ANWVGRTRKQKVFVMKTFTRPVPLEHHIFLFDKFHTIKERDGDFLAQEYNGLKKKIKEIE DEKKGLKERIKKNMDEKKDDELYKNTNKSMRQKLTQKQIKSKFIQNISAANMKQKDEKRA MTQLIRLCEKKDLLPCVIFVFSRKKINELAESITKQNSLKLIDHKTEARIIGFFDQALLK LKSQDRQSPQLIRLRELLRFGIAIHHGHLLPIAKEIVEILFSEGLIKVLFATETFAMGIN MPTKTVIFHSVEKFDGSNTKRMLHSSEYTQMSGRAGRRGIDEKGNVIIYIKDAQNLPDEL RMKQMVDSKGLQLDSKFKITYSIILNLLTSKDIDATEMMKRSFHENYRFVQLPKQMLSLE RLKKEYINTSLIQCPYQKGLRSGVSKSLIEEYVEIQKNYRFSQIGFIEQAITQSKTNITF PRFVLFSDHFGEINLGVALSQDYLNDDIYDQNYVLRPSEITQFNFSILTIHSGNEDEKQQ RRYKKIYLQYQTQFLEKKKEKQRNNQLKSNREFYYIINNVTEESIIDFLDDQIKTSNKTN FLNIEVYYEDYATQLLEKQQQFQDMKQMLQYIYTPQQQNNNKKRQAVLTKFKYKFKSNKQ QNDEENIEQFKFREEQLNELINQQCQFCDLKDKHLQQLQLKEKLKNDMLDIKKKIQGNDN QSQSDFNNKLNALKLLGYVDQAGLPLIKARIARELMDQSSIYICEVLVDNIMETLKPSEI AALMAAFVCQDRRKFDEEFDESNIEVMLHKKFDEISLELSGAIIATYVLIKKTIEEEMKM DAVDSKDSTEHIKNVLNFNLTQVIYLWAQGQSFVDVCLQTDIEEGSIVRTIQRLENMLRG VINAFRVMGNLKMVDKVEKACLLIKKDIVFAESLYFDSNAKIKEKN >CAK58426 pep:novel supercontig:GCA_000165425.1:CT867997:291117:292218:-1 gene:GSPATT00004798001 transcript:CAK58426 MNDSDSQINNPLSNSHVSNFEQEQLIRLEESECDFECSQNPMLTEEENNEKSVVNSKLIQ NSCKNNNISESSNQINKKSQFGSFLTSQQLESQQYKISIQQVDSRIGNHSGEEEIHENLR IVKEKLKELDLKVNCQEKEIQEIQNTYNQTKEELQQLKSDNENYKKDNMEIRQELQSYDS QIKKVQHLLSLLIQEKQLNGYDPPIQIQNKRSKTTIELGKEIMNNSHTQQKKKQTDSNGS PIKQCETPLSQQQAALLISSSKSYYHKPYIPQVQLFNANQLAALLKIPLNRRDEERKDIH QKSAGKDFNYLFHKPAKYNSINLNQVKGQGIQSSYSFTSTSMTK >CAK58427 pep:novel supercontig:GCA_000165425.1:CT867997:292600:292983:-1 gene:GSPATT00004799001 transcript:CAK58427 MKFQFKTQNSFKQRQEQSFRLIERYEKMIPIILEIYIQDAEKKQIPKADLQIKKILVHEQ ISVQQIFDKFNQRFSQYIGKKESLFLFYGGNKLITNSFSQTIQKLYQQEKDIDDWLYLEL RIQETSG >CAK58428 pep:novel supercontig:GCA_000165425.1:CT867997:293613:295290:-1 gene:GSPATT00004800001 transcript:CAK58428 MQNFETVEIVNPTVDYLIIKCGQNLYEHYLCEKEPGYNCEVIANWQCGVVQQEFLPFDHG ESKYAILNKWIDEDEPHFKTIDNMTAKGVKIVKPQPPSTGQDTLDDARSQRSQSSSRFSR NSIAKFQNSVSKMKLINKLGGSSQQMTTLQSTIQEEYKPNLIKMPETKKPPTPDAIIERL REQREYQELDKQKENERMRKIKEEQIEQDEKKKEQLKQLKNKQYTYDYDGQVVFLTTKPI DLQINEILQPETKTNQPQKVMREVNSQPEIKPVKEAFAKGDDENRKLEKAAAVEYPKQPP LIEAMKSKQGTNIIFDNGYVKEGGEYKILDRMSKRDYQTMVDGLQQKNKKQYDDGEDGVV EEMDTYEQKIYDAINREGDRNIKISNEQHFKWMMEDEDIKKSYRSSSAESANAKSIYESK KQSKKHTKEKEKTSPKKLKSQFDRINAYKNFDDVKKPQNLKASKLHKALNEFDTKLQSPK WGYTEDKEIPLPNSTIYKLKHKSESQPKLPLLKQKGRI >CAK58429 pep:novel supercontig:GCA_000165425.1:CT867997:295974:296806:1 gene:GSPATT00004801001 transcript:CAK58429 MIIQVYKDLVATKYLINFISNLKLILECQYRKNKRLHPPPISRKNKSPKKGSANYQIYQE EKIIDQLQEFYKLITNQGQVIFLCFRTNYQKLKYENQIYHVGQNLCIRGDNRSIYVAKLI KIVKLYDDEDNCLPFIKVRWFRRKTELTGLSKDCLDCISENEVFKTNEFDYIEIESIVGL ATILSFEEYDQIEELYDNVFFTRAQYVNEKLLPPFQQWKKVCICKKSANPDLKYIFCDLC QRWFHLKCVGLSQDQAEKINKYICPECKN >CAK58430 pep:novel supercontig:GCA_000165425.1:CT867997:297787:298613:-1 gene:GSPATT00004802001 transcript:CAK58430 MICDIQEIAFNSTPQKGRASQANTTASSGSSKDSSFESKYMPKLQIPRPLLSQDEKFEAS LCAFDFKLLMPDEGSLYNQKLLIQELIDMKFLFDCDQNLLYQKMNTLYYNLDIQEENVII NLLVEILCDDRTTLMLKNIPKYMRPSDLRNLLNKDFKLQFDFLYLPSDNNNEGNLGYAFV NFISPEIVLKFFKKYNNNKWSINDKVDQDINYKSKSVN >CAK58431 pep:novel supercontig:GCA_000165425.1:CT867997:299539:300327:-1 gene:GSPATT00004803001 transcript:CAK58431 MLDNPFLTIVGAFVGSSGAILSQIMCNFMNRNLTIIQDTKVEGIHTEINIEYAVEMMVNS KSIIILPGYGLAQYPVADMCKTLIDQGIKVRFGIHPVPGRMPGQLNVLLAEAGIPYDIVF QMEEIQ >CAK58432 pep:novel supercontig:GCA_000165425.1:CT867997:300327:301284:-1 gene:GSPATT00004804001 transcript:CAK58432 MTQVNLNDDVVRQSLVTLNGELKQPPLSIIISKSELQQLIQLKLHLLIKNKKSKYSVVSQ SHKECQYFRRPTDLAEYNYLMALAGATGVLGYYEPLTNLSYLVSSVTCILAIGGLAQQQT AQIDNILVVLELDWVLLALLDLKDSPQNYQLNGHQLLFQDLLLVVQLLPELQSLIYLNQL PASIRCWFSSSLNFYWQLFTCIPHLAEDPAALFHKLSIFFGIFTSGITFTGSLIALAKLQ IYCLQIQQLFLITIKQTLHWQPYLCIFINPQSNRQFFCWNDSFVNSNSYQQNFRNYIN >CAK58433 pep:novel supercontig:GCA_000165425.1:CT867997:301575:303114:1 gene:GSPATT00004805001 transcript:CAK58433 MRTANSNQGQSSLPDANEINEYIKEYLRYSSYSNTLECFEAEIKSKQVSNKMLNKQQVIK QTGDDVPRIFQMLKSDNVKSKREINMEKESKQFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNENLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLFKNYQDKH VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATEKFDFVVHLLDINNQSLRH AITSLISVISSTLRGVEYLTYNGNMIIIEKIIKILKEQESGSVTQRFCLAILQKASIKDT VIPTYVHNEMIQWIINLIQKSVNSKIHVFCLDFASATLANIIHTPYTLQYLQNQARFAYQ VMEQLLKFTKDQIQVSVLMHVLICLSYLSKENFAKQMEECRFVDRISEFVEYYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETLELNELKTEDRIREYENEQGELIFECFQDE VS >CAK58434 pep:novel supercontig:GCA_000165425.1:CT867997:303326:303912:1 gene:GSPATT00004806001 transcript:CAK58434 MQFAQSDKNSKSECPQSLKDANFRKRKPIDSDTKTNLILSVVKDHLPIYQAAIIHKVKYS SAKHILRNYYSDTANYFSLQKKRRRKIICCGVSALIEISSGNIVLATSQCQMTPYIKNGV NAQIKQKVIDNLSVSIHKQICNFNFKRLNKNFRIDPIKQMNKIEKIENKVNFLMQTLEKQ HIEMTQ >CAK58435 pep:novel supercontig:GCA_000165425.1:CT867997:304375:306184:-1 gene:GSPATT00004807001 transcript:CAK58435 MKNSQNVHQLKEDEIKELKQYILQMEQEPSIYENLSQDQIFGIVVIILTKSSYHRTQYEI EILKKATKHIVYFQKLLEKDQGVLLWERCLRKMSYTSLKKGETLFREGDVGTTFYIILQG RVSIHKRMFVGDCYQEKELIQMSDGQAFGELALENNEPRSATITAVGPTHLAVLDAEDYM VIKKTVINQQRQMYFEEFAKLSIFKDWKFMSVKSLFDVMKLNKFRLNHTVYKEGDPSNEV YFIQTGEFKVIKCLRMNKDKTNNYQDVDDDVQFLKQQFAYNKPSLTNQEKIDKLYQKKKY GNLIASDKKLIMTLKFLGAGEMFGELEILKAPDLCRQFSLVSTFESNNVYSVSKRDFMRV LYCDPALNNSLTALNDRKLKQIIQQIKVYEKNFIDQSEKINQLERTQNKTFLKPENLIQI QEDKQKLLFNSTSQILKISSRTKLDDNKLREQTLTLDNPAESEQAYLNKLLKSSQSAQHS RQKTEELIIQQSLKYKNKITKQTKKHRSPPGILGTALENISYHIRRYPTQTSTNQRFQTS PSSKFDMDNTQKSKFYFSTCLPLVLQNNYYRLKTKQSQ >CAK58436 pep:novel supercontig:GCA_000165425.1:CT867997:306631:307958:1 gene:GSPATT00004808001 transcript:CAK58436 MKTLVTIAIVFCLLSHPVVCKKHYNDEEHSAFEKSHKKLSLQDDPSYSKLEEIQDHPLGS KILQTIALQLRGNESLSAVSKLLNDLKGDLEGKQIDADNERAQIGSQCKKDLQNYSQRIS LSINEIKDAEFKAKRLNEAIAVYQAEINEKARQIKVFQAKDDTLRDIRRQDNLDFSTRTT QMKEMVQAFEVILPKLHQVWDVAAQHKAGSFIEEEAINEALVQLAKIGEENPITAMVALT STLEPTAVQTLIEKMEAIRDSIKESIKAEEEAEAKNARDTDTILAAVFNAMESLTREKSS DEEALQETIRNRDIQDKRSRDAHAEFNAAKNGNQQRNQQCQEYELQYQQNTIERDKQIAI IKDVQNIIATKIEVVTCFVEENNLF >CAK58437 pep:novel supercontig:GCA_000165425.1:CT867997:307959:308462:-1 gene:GSPATT00004809001 transcript:CAK58437 MENLQNSPIARYQLFVKRSLTRQVNNQKVLPVFGYKVNIKSPRLQHNHICLQLSPNPNQS TQSHSINHELTTVRNLPKKDSSYQYYINQIRRRKSLKKFNFENSETNTNLFKKQSQPYQT KYNIVLPQLYTPMKIKKQFLPKNIFTEPSLQEELQPWESSHKSIPYF >CAK58438 pep:novel supercontig:GCA_000165425.1:CT867997:309532:310490:1 gene:GSPATT00004810001 transcript:CAK58438 MQNKQIKTNTSQNLRQKLGLKDSLTNINQIYSQPHSSKGAETRKKENVQDCNTMKKKLFN MLGQNYNSNKAFSISPTNSLKQNYFVSTSTQQNSKQLLQKQNNTLDCHTSVAQNLFGNSA KNVQSQPSLNQFEKYTSFLENQNFENRNPPINPAKKVGIAKPNSTQSRNHKTDRSSLIAL FEKLHEKECHNKHRKQTSQNSNYVVNNQKQQPQECFYTELKFRQKMKGSLSNNSSGQLCS HLNPNSSSTQIQQNQQNSIDDNLQQLAERMQYILNSYHQKLKQVDFEKEVLIQEIQYWKS KYQKCQQKSSIS >CAK58439 pep:novel supercontig:GCA_000165425.1:CT867997:311039:313335:-1 gene:GSPATT00004811001 transcript:CAK58439 MDQDLDLQTSISSIDIDQSGRNLVVGGREILKIIDLDQVNKKMNIKQNMRRQGTKLAIGY VEFNRKKPTLLLAASVQGQFQVWDIDKQKETVYRNHQAAIHRMNWHNENCFISGGQDYTI NYYDYRQTTAQPIFTLQRNEAIRDIKFNITRDNYFMAASDTGQLEYFDIRKQQDIGRKQD PLTNLIICLDWTKDNVLASGSNDKEIKILQVENHTDIREKGIIQHIDGSSHIKWHVQNNS LLSVSSLNRDISVTTYDIRTPFRPMHIVKGHNDIITSFVFSQDEQYVFTGSKDKFLRMQS VRQAYQVYNNCPIFPVAFNQYNDLLMKLESPFQNQYESEKSNPYYMNMSSIQKQSSNDEI FFQKAKTQNTSQNNLIHNSQQDIQKATSVSPQNSQIQKSNVRSIFYMEAIDQIFDPKIPF DEELKYFQKNYNSKKDFSYNSNVALQINKPEIAHYWKALEHLINDFKKLSKDCASNISMQ DCPVQLPNKGNDQSDDDQKSPLEQTTQITKNNKTHEKKQLDSQDLQKLYKYFEKYPAAFR QELDSKNIIIEDQNHLKVHIERIPYYKNLLRTMTPIGYLLTDKQHMMQVISNSILQLIQH VIDQGDLPSGFWMAACAKNYVQFPDLNAKKWTQSYIELLVAFGKHTLAMKAALESPVEQF RASNKYVTNYKCLGPCKNNQTTGAFCNVCKIDFICSICFKPVRGLYLWCQQCMHGGHMKE MKNWFSSNSQCPSGCGHNCFDK >CAK58440 pep:novel supercontig:GCA_000165425.1:CT867997:313508:316412:1 gene:GSPATT00004812001 transcript:CAK58440 MKTQQIAYFSCQGISEDNMIEIGFQFAQLLNIDDGDFLQIATVSNCNQSSAITIYVQKWS DYMIVQHQKDVFETGLLNSIQIVYNELLFPIFYQEGQFVTFTFKAQDYKGPIAISPNCEI NILIEEEQKPDQKLDLIELNVLLKDKDSFESYIEINTDLYHNNRLDKLYQITYSSSFPKS YRNYVKYAKHLKKNKQKQNIPKTAIVQFRQDNEIKNNKQCLISKFYAKYLGIREGQVILI NTQEQDISKEATIRTFKRNQFIFQKIYFNFFTDQPLKKESIIQQILEKIEKNQQTVLQSR VHYQEQLYFLRIVQLIGNQPEHFLQTLSIVNEHNEPKVIGEREKGLLTKYLEEHVRVIGF TTPIQKEIKLAQYYGLKSKKVLLQDYKLFLQLFDGPIKQIESILIQESKSQCLISCKETQ AMQILVSYLKTKFEIIKLNIDKLNQQGQNEKLSNVKKFIKHIFWQSRQASLNPILIVVKG VESIKSIDLIDFQQSFQILISNVLSKIIRNSIMEYQSVKLIVISPSKDYLNGNLQKIFSK ETIIEFNKPKQELRQRIFEQCYHSHQIKKSAELLASKSENFNYSQFHALQKREKYQEELN QEISEEWILQQINDLQDQQVQLKQKIPTFKEIGGLKEQKQIILDLFELPMKYEHLFAKSK IKLPKSVLIYGMPGCGKTYFSLSICNELKINVITVKGPELLDKYIGSSEQNVRDLFQKAQ SQSPCIIFLDEIDSVVPVRTSSHSGVTDRVVNQFLCYLDGVEEGMKGVYIVAASSRPDLI DPAILRPGRIDKHVRIDLPNKEEILQILEIYKGPLEFDGISEQEIADSLFGLSQADVVSF FKEARIYLTDRIVQLEDFSLKRKVQKIEDFKLTKELFQEIMKSMKPSLSQKQIVFYKDVY SRFEKGGLKDMSNQKQALQ >CAK58441 pep:novel supercontig:GCA_000165425.1:CT867997:316873:318644:1 gene:GSPATT00004813001 transcript:CAK58441 MHQLTELGKELTEYQQPEYLADILDLQTQIKLKEKLDEQDKEHELSQKKETNYSQDMKSG KKENIYNSKQQSNSQGQEKQWTIKQSMFVRINSKKNVSEFYSINEMIGQGGFGKVYKVVH RQTGMVRAMKLILKSKLKKEDQEKLLEETKILMDIDHPNIVKLYEMYQDDNSYYLISEYC DGGELFEKIKLVQILTEQEIANYMKQILTAVAYCHSKGIVHRDLKPENILFDSKNQGATL KIIDFGASAKLVNDEKLNKRIGTPFYVAPEVLNGSYDEKCDIWSLGVILYVLLCGYPPFF GHSEGEVLAKVRKGTYQFNSNDWSRVSMQAKDLIRRMLFYDPSARISASDAQQHSWIASN RAKGVVNNISLRRLQDFDSKNKLKYAILQFITVQVVQSQEKDDLLKTFQHIDKNGDGTVS KEELLAAYMKIYKGDSIAARQVVDELFPQLDANKSGKVDFSEFVTASMNRDKSLSKKKIE QSFKLFDLDGNGFITKQEINELFGNEIDENMWQDILKDCDINKDGMISMNEFVNLLETKI NQNSQLQ >CAK58442 pep:novel supercontig:GCA_000165425.1:CT867997:319572:320066:1 gene:GSPATT00004814001 transcript:CAK58442 MSLEVKNAHRQAFKEIFSMKAVEGKLDKKGLADLFIMIDYKIPQEQFDEMVQRIFGKKEQ IGFEEFLKIFNLKLTDYTFNDVRNAFKLLAKDDDRYIPLEKIKKVLLKNNVPAEEVEFLC HQLDPFTDTMKRVNYAEFLKSLSNV >CAK58443 pep:novel supercontig:GCA_000165425.1:CT867997:320122:321770:1 gene:GSPATT00004815001 transcript:CAK58443 MNFSPQLGFLAQKFQEKQQNNRITKSDLEQILETANYCQSEIQSLIQQINEDEITYNQFL SIVGVSENSQNVQTYQQNNGYEMVSEQQQKLTSEQLQSIYDDYKIALKNQDFEKTKLILN QYRDIDLVNKIDPSQRQISTYIAVQGPDDNIAQQTLELLFDFGANFNYKDQLGQSILFYI CRDGRLKLLDFVLSTNSVNVNDQDRYGQTPLFYAARDNRYDIVIRLIQYGIDVNIVDKLS SQTALFYAANGGHVDICKVLIENGSNPGHIDSSKKNALFFARKYNRKEVIDLFNNYFNKN KDDMSKNSGGNDNSKGEAPRSQQQKRKFNNLPKQSYKLIFTDNQGNQRELNSVEFQKFQQ EYPEVANLIVNADELIDDTIINQIKDDDTWEKIAKKVLGIIWKAKGAHLFHQPVDQKKYG ISDYYEIVSKPMDFGTIKNKLNSNVYSSCQEFYDDVLQVFENCILYNGETSEVGQIGLSI KQEFQNQLELTLLKKYL >CAK58444 pep:novel supercontig:GCA_000165425.1:CT867997:321798:323044:1 gene:GSPATT00004816001 transcript:CAK58444 MNFKKNTTYAMMALAGVLGIGYLAYDYKKTQKEIIQFEADLKQFQEVQALKKEKQTIFLD PKDSSFNKTLNISFTGTRQIHCICVTGGPGCGKTSVVTFLQERLKDFQYNVIVVPLLDDF ILGQDQEIMQELGNEERNQMIIKKMMLMQTLLDYSKDLAQQVKDKDSIILCQGGILDLLS VLDEKEKAKMFEDLEEQFKPLATLRDKNYDAVIHLVTNAEGLQQNFYFGNSFINRDQEIN KAIEADRRIQTLWMGHQNHFLIDNRGLTFDKKIQRAYKTVQKILGMVQTNIRWTKIGLKQ INFPSDLPRTQIKITDIFLLDEKSQAQKEINRVRMRQVEGGIKQYYLITTKKSQQKDDIQ FLRRMISFSQFHHIVNQNQNKIKILERLRYCFTFQNQQLYLINYQE >CAK58445 pep:novel supercontig:GCA_000165425.1:CT867997:323301:325043:-1 gene:GSPATT00004817001 transcript:CAK58445 MGSVSSQTTQDENGNLSSLPMHLRKMELKFDISRDVDPALKQLLYWSLTEIRAVYEQFQR RTSIPFIDKSLFCKIVPFSRPNAGYIFDNFCRKSKLLSIYELICVLTICSYTQHIHKIHF LYIVFDLDCNGNISLNELLIIIKSIIIGYCKLTNAELPPYVQLEKFAKLMFLKSDIQVDN QLELSEIIEWLEKENDIGKDLFTLYEPKQKVQEPFEVFKSFKPYSEQEAADMLEMITKAN KNEYFMKSLNDKLTQRQQESYKATTKSVRQLQIRSKQFGSNGSLPKISQMKPSILDDEVD ELQLLEKAIGDHSRKIQRYDSPTIRFVTQRDGTSRRVIVPTKIMKNIIITKGCTLTRNEI FKLKSYFDSLSDKNQVIQVKEFTKSFQNKPHMKRVTASLYNYLDSKQKGFVTFDQLMLKL YPSLTQEQLKIMNQWIQQYNEVFAKSSKESLEMEFLRVEKQPNKRKRILPKQSMIRVKQL FNLIDQDGKGYISIEDMKKTFTYGFTEREVENLFQQYDIDRDGKLNMEDLVRIILPPDYE IEQETEENS >CAK58446 pep:novel supercontig:GCA_000165425.1:CT867997:326193:326630:1 gene:GSPATT00004818001 transcript:CAK58446 MLNLQKGYKIKILKIIQLIIILNMTFLYCYQIKQLKELYVIRDKKQKQTIKEEQMMKSND QKIQLDEIIKDFQIIKFEYKLKTKNKNLPSTQIYRIKIVLFQTTMKLNSKSHIKSQTYKV GLLDFLSKQLNHNHQQLNHIFKNNQ >CAK58447 pep:novel supercontig:GCA_000165425.1:CT867997:327318:329251:1 gene:GSPATT00004819001 transcript:CAK58447 MVFILTLEQAQISIKTDKPMYYPGEVIRGVIYLKVKTKDINAGTLYLKLCGQEKVNQLDK HNQFFSFKQFIFKKQKKITDFGKKMPLIACRKYFEMEVPNLSPSFTINYYKMVQCRILYF LSVTIQSEDEKYLYKPPKKHRVVIHILQKPQIHAHLSLEHSGESKVSNRCCFSTGSTKVK VQLNKPYYTFGENIDIILQVDNSQSSSAIQNFKFQITSQLVILYKQQKRKLSNYFDLFDQ ELNFAAGEQKEVKTILSLPNGKPTKASAIFPQSSLKTKRIIFQYILTLKVIFAKRLLLSQ QDLTVPIPLYLIQNQKREKDNVFQSMDNISIAGSIFDTNQKINASVIKKFIYGDHNCSGY GEQDGYSGPERKYNPFESLNENALKDVKGALEQLMFQKQYENEDSDDDDKLIEEIDGVNL NNVSINVEQSEKQEKPKTIFQLGKKNDISLPNHNIEEAKLDNGIQDQLQTIKEEVDSKGT QYNLNNALSSSCVRKNHHEEENDDQQNKQFQQQSYPIDTFGSFQKVIINKEECDQIKIGS DEQENQIKPINLKQNRSLSNSIDQTDQKEQKQFKVQFNDVTSVDTLDGKTGERQSTQCRK NENQSKQF >CAK58448 pep:novel supercontig:GCA_000165425.1:CT867997:329361:330071:-1 gene:GSPATT00004820001 transcript:CAK58448 MGISCSSQKSARYQKSKQTQQVQITNKGKCLQKVKGSKQKDLFIADDLCVKLSRLDQLHQ SPNKLKKNSSISSLFDKQQQFLEWKLECDIAFIIDLTMKENKKRLRLLKELLSEKERHQQ RFGIVYFGHNKTQFDLIDDCIETTYDLQRQLQTLKLDEWINIIKSLRNQIVTSKDRQLSQ IYILSEQNQENIDQSILDIVDNLLEDTCSRNSLSFQCHSFKIEEQDDIQYMDISFQ >CAK58449 pep:novel supercontig:GCA_000165425.1:CT867997:330832:331908:-1 gene:GSPATT00004821001 transcript:CAK58449 MSDQTRDQLFYENQSKELREKYQKAKEGSSTSEHNPKRDKAQKVLMLIMVLYSIILVTFV LLLYWVRDFRYFCFNVLNDFNDQGHYIGAFYIGVIGLWFVLTGFNVVLYDILAGFLIHPF WFALFTVSLAKFLAKYIGFLIGRYAIRSFIYTAMNENIYFITCYLATERKPTKVMYLLQF LAIPTIFKTYACGLFRITHWEFIHPTFFGTVIWAGFWVYMGSNLDSLADVLQSGDTSNYV PIWLKGLMFIIVTLIIYYFIRLTNSIYTEIQNDASLADLSHILEKEDEEQEKLLICNEVN DETQIQDENEPIDA >CAK58450 pep:novel supercontig:GCA_000165425.1:CT867997:332884:333932:1 gene:GSPATT00004822001 transcript:CAK58450 MSIEVAKAIGFETDEVKALCTDRDAILYSLGIGYSSDPMNAEELSYSYELHEDFKVFPTY TTCLHRTDIFKALTSCPGIPNFNPMMLLHGEQRIQVLRPLKTGVEYVTKGKIANVSDKGK GALIQFDLLSSEIDDQGKKTLAFVNTLSLFIRGLGGFGLKGNPVENIPALPKRPACKEVK QVTTPNQAIIYRLSGDINPLHIDPNMAALGGFDKPILHGLCTYGICAKAAIQTFTQGNGD ALKNMAARFTSHVFPGETLLISLWKEGTRVQFSARTQERGLEVIVGFVEFNEKAKL >CAK58451 pep:novel supercontig:GCA_000165425.1:CT867997:334056:334736:1 gene:GSPATT00004823001 transcript:CAK58451 MLNQKEGHSKVEEDDFTLNTSSAEPLELDDHYTKQKSICWGRCHPMMFRKGSPAVVIGPH WPLFICAFLSFFIMGGLFIFLRIDEDPLIFYTTLIISINQCISYLIVALINPGVANIERS KNMVNYFVMGRYCKVCKLIQSKETQHCQDCDICIQEFDHHCPWTGKCIGKGNIKQFYYFI VSSIVFMIFNLVLVLLKIKEQDSRSHQQQ >CAK58452 pep:novel supercontig:GCA_000165425.1:CT867997:334755:336102:-1 gene:GSPATT00004824001 transcript:CAK58452 MGNQVLCQSDRTESMLRAEFQIRQRKVTQVNEAVKLDLEQDHKESLIPTQYQNEQQKTSC LLNWLESLHESVLITQINLNCDASTKFLSEIDEEEEQDQTKQSLIESQEIFEYKKSYDYC NSNLDMVIINFYILKKNEFKIKLIMGPPKQRIRWSCWQLMAYTPKFQICSDGLSFLEEDQ KYAKAIRKDIHRTVTSSDIKYFETGDGQKDLERVLLKLSKLFPKLGYCQGMNFLVGFTLI INNKQIDQSLQFLAQMMVNPKFMLYYIYQDEMPLVKLLEFICINEIKFKVPDLFNHIYHK LEVQNAIWITKLIMTLFLYNFHINNVCRFWDYLLATSIFDISDIICSLIDLNRNLILSME DLHQFIEWFDSFQKKEIDKPQIDKLIKNCNQFKQKKNKINQYALHFCMQHPMRHPLLQQI AENYENQSKMKEFIKKDIFSC >CAK58453 pep:novel supercontig:GCA_000165425.1:CT867997:336203:336571:1 gene:GSPATT00004825001 transcript:CAK58453 MSRKFTWQEVGDNAKNGWIVIGDSVYGPQGFLDSHPGGPAVIRNKAGKDVTRFFYEMGTK QYMKQGHSQNAQDILKSLKIGEIDKNSQPAKWQVDSDQRLVAITSVLLILAIAFLYFYVL RK >CAK58454 pep:novel supercontig:GCA_000165425.1:CT867997:337742:341006:-1 gene:GSPATT00004826001 transcript:CAK58454 MNFLQPFNYCLYPYRHPYYYNLYADNIHEQNSSTATYKSEVISWLATLEQSELYKLFQIK GQIKTFPIMKMYIYDKLNNPSIYALLQKKTINLESKIDDQFMMNFKAVHPKAEELYSKLN IVDDENFMDTIFVAEPHLENLNSFLDLLQDLSDKYFLSTFPKTSLEKAEDPIWFHQNSFH SCTVWIIKEFEKNISFHYNLHREKKKKQRLFREPIYKNTSSELKEFFLQNLANNKERLTY YFQEIYSEIKQQPDRLENRFYENIFSGTAIKLLKSQVELLFNFQLKCHTDTNIINTMLIS SMNDLVDQKTYILKKFYKIVQQLFQEHLEQELFKTENLDKKQKKDKKKKKKKFQKMLTFK GLDPIELDKRLSSKNLQESNILRFQRSYSQSNLTYTYVTPPNTPSAQEISDDLNESNKSS NTQQQSYKVQCFKNLKTNLKQTEQQSISQQFITDSDIPIHDDYIDVGQTITIHILEIANI SLNDENSFKEQFLKEQKRMKKKQKGYQESIPESRDEQLQLQSPQSEITRFSIETQAQTIK SHCSNRTSSTSEEEETKQKKNQMKNSIKIKKNNNEKQKNITKLISSFKRNQFEDSQCQQD INSISNESEQISQNTLEDEITHSIQKKNQQIDKKKNLSIKPQSSEKSLKEQLENVQQKGK QKLIEQINLDILDFTDNIMSEYENMLPFRLLAFDRVKSVIQKVFLGIPDGMIMLFGSCAT GLALIDSDIDIGINGLEVYNRNMLKSHFDNLFFEFTRKKWVVKANPIFNSAVPIIKLEID PQINIFEYEGRNLDDQQIQLWKKLKQKLKSGIKVDISFNFNGNGNYPTHIGSITTDLVKK WMEEYPSLQQIVLILKSMIKKLKLSESYTGGLSSYSLIIMVYSYMREQRITSNLISEQFI DLLNFYIKFFDSSSTGIGLLANINDPNSSYFFNLQDYCLPALPITIFNPYNRKLLTHSCV QINKIFDFFKLILKELDAKQDFYCNYVVLGKKKQQKLNKSLENFIVSILEQIK >CAK58455 pep:novel supercontig:GCA_000165425.1:CT867997:341397:343780:-1 gene:GSPATT00004827001 transcript:CAK58455 MQYYCQLHSLPYTKVCLQHDCTRKGPLCDMCIDTHDSHMIKNLDAYINEQFKYLNTEYQT NILQKLEQLKAFFHSKISQLLIDVSSIDEKRKKELVKQLKQDKMSVQQFQSKLIELIQRD QLRDKEYLESFYEQIVDIEKQVKQTFSQLPIRQAQTAQTSQQPSQSQSILNYKSNLSEVK DISPELSNRSNRSKEPIFQQKQASISPIRKQLLQRDLMPVGSFNNSKLKNYFTEQDSQQS GRSRQDTQNSFKITKPFDLDKSSDRAQNQESNRSKQNTYNSSFEIQRPVEPFKVNNMQTQ SIDILAKSPESKIFDRSPKSLKSPQQQSVFKYIQQEQTHQTGYFASQKPLTQNDNKGFLK DNNSYPSQATSKDEKYQNINFIPATSEILNQQQENYTNENNNYPYTIFQDNKQSNEQPYS ISNQLLFEPKVQNIHQQQNNIKQSTSSLSNLQGQYNNDNKSQLDLSYISSKSIGPPLVKI TPNLLNPLSIECDQTLKGHDKSVKYLALMDTDKIISCSKDNSIKIWDNHRLSRKLKITLK EHEDQVLCIAFSKKRIIASGSVDKTVRIWKPCPLWKQVHICEGHADRIRCLEFVGNYIAS GSDDTYVKFWDFEGKLHNSFKTNARVSALAAERHNLVIASGKNIILYDTQKNSKILDVSG HNNLILCLALSNSQNSGILISGSKDNHIKIWQYPKLQELRNLEEDYPVHSISFDTESQYL FAGLMGFEQEGKITVWKLDTQPQKVQEITMNPYGCNKVLSDGKYLYSAHENKRIEFYTIN >CAK58456 pep:novel supercontig:GCA_000165425.1:CT867997:344028:344691:1 gene:GSPATT00004828001 transcript:CAK58456 MFSERQVRVTLTLPKFQGNPKFESGAQTTTSSSRIISITSRHQPLGNRFHKHAMTSLSNP KHPQLYTPQQESKRAKRLKNIPYELQYFTAKLPNKNFTSSHLMCNELLKPQSNSIENKDK WQIKSYIPKTNNVFSLCKLKKIDQQEKRKENFQDLSYFRNLNRKDIEIEKIKRYNKQRLV TQKLISEFIRQFKSHAKIENFSFNL >CAK58457 pep:novel supercontig:GCA_000165425.1:CT867997:344743:345654:1 gene:GSPATT00004829001 transcript:CAK58457 MLFIIKNFLYQNKNNFNKIECFKILLSFNHPQVKLISNKQENRDKLLKFLQNWQLLQSYR LIGYNNEESEMKFSIYCTQYVQLYIEQLYICRRLFRLGKSLQTILNIFEKKNLQSPKKAY NKIPHAVNQMTTYSVYIFYFFFHLIENIMVIHQLGFFEKKFNHKLLKITSHTFWALGLLT QLIYYLNRLRSAFRRELELKSQIQNGMTNGDFLEQLKSFSKERYQYGLLILRIIGDLACA MQKAQIPEKILHTRFNRGLVACGGMLSSIIQIYLQASKINKKQNVIEV >CAK58458 pep:novel supercontig:GCA_000165425.1:CT867997:346669:347371:-1 gene:GSPATT00004830001 transcript:CAK58458 MINNENNSQHLKSKPLFSVMKLINNNTFRGQFSEPNQLSSSILQQTNPQLSFPRAERFQS HHAPQLPSPKYLCLTDTKTKRTTSFGFGNKQLRPLDLEKRDQLNPAPGTYDLKQSSCRSC SFGMKLQSTRTLDVPGPGSYDLKLLNKTKAFSIYGKINSDIKLPKTASPTIYLPTDNIIQ NNRFKQITFGFGDRPLPGLKNESPGPGTYALKSVFEIKPKKKTQL >CAK58459 pep:novel supercontig:GCA_000165425.1:CT867997:347853:348764:1 gene:GSPATT00004831001 transcript:CAK58459 MYRARRHPDLDNMPEFLFENTLILSDPREENCYSTIKDYCCPNFTLMSFTAIVSLIQLSL FIVMCFFGDFNLQNTILQFSDETLDSFGRCSAYNVKYQLEFYRLLTCLFIFNNVKDLCGE LLLQVIVVSMAEKFIDKKTTLCLYLLTGVAGSITFIVFYDQSIQGNSFCVFGMVGLMFGF IIQNAQQDEARQVIMQVTMFIFIIGLIGFFYKNSLIFGIYGSFLIGIIIGLIQPTQNRIF QRTKLKFVGLGVIFMYFTGFIYIFFSFRIPSRPIQQQ >CAK58460 pep:novel supercontig:GCA_000165425.1:CT867997:348847:350391:1 gene:GSPATT00004832001 transcript:CAK58460 MDKKGNFEKLRQKLQSDLFKNENHQKQQTDEIINQCPQILDYVHCSSQSELKEVPTKLID VLSNQKQLEQMKQSFQNQYQVGKTLGEGAHAVVRQCWQITNPDETYAVKITRNPDPEITE IMKQTFLNTVSLNHPYICQTNMLYIDPNMECSYLVMEYLPYPSLQQILKERQKLQFEEVR LITRQLFEAVSYLHQVGLCHRDIKPDNIVFDSDSNSIKLIDFGVSKRFLVTEKGCKDIKN NLMWTVTGTMPYQAPELWKGTGYSNKIDIWAIGVVCYQMLCAKLPLDQANQMESFSTQTE YTGHFQDEQFAKLPPLIIDFVKRILKWDPEKRITSQEALLHPWLYQSKLIPTHFKGNSKD DNFSSSNDKPLLALLRSMQANAKIFSQSVSNANENCIDKTDFNKNHGQIIIGLKETSQQI RDKSIHFQQSIHSMNLNKCFSKPNTDDVKDLFDVISYCDSNSSFEDLEEKPYIQTQKQNK QYSYNNKKWHFPKESALLKKNMDSFE >CAK58461 pep:novel supercontig:GCA_000165425.1:CT867997:351199:351636:1 gene:GSPATT00004833001 transcript:CAK58461 MQFVAAYALLVLGGKAQPTEADIKGLLAEVGAQGADDQIKAIVDALKGKTLADVISEGLK KVGTLQLGGGAASNAPAKAQAPAAAKQEAPKPVEKAPEPEEDVDMGGLFD >CAK58462 pep:novel supercontig:GCA_000165425.1:CT867997:351636:353038:-1 gene:GSPATT00004834001 transcript:CAK58462 MNNSFQQIQLVNLLLQSLINKNGKLHTKVNRVRRLNSNFYLQFHTEECYRQGRLWKGNQV WMVENKKSKSLYAMKIMSKAKIIAKKSVQSVMNELQLLSQLKHNFIINMQGAFQDRDNLY LVMDLLTGGDLRYHLCKQRKFTEEQTKFFVVCIIVSLEYLHVNGILHRDVKPENLVFDDK GYLKLTDMGIARQWKPENAQDTSGTPGYMAPEVMCRQNHGVGVDYYALGVIVYECIMGKR PYVGKSRQEIRDQVIAKQVQIKNTDIPAGWSLEAVDFANKLIQRKPANRLGVNGPEEVKA HQWFKDYCWDKFLDRQITAPYIPREDEMIQIANENRRDSAHEIDPESILSLRRNSVQAQF GGYSFNGSNSNNNNAKPQSTNVSVPAILSTKAN >CAK58463 pep:novel supercontig:GCA_000165425.1:CT867997:355731:356908:-1 gene:GSPATT00004836001 transcript:CAK58463 MEKSLQLFSQIKDIESYLTYIQSINNHLGFLVVNFDDNEIETGLAILAKAERLGEYLIAK GDFEKRPLKIEGGFERAQEFQTINDLDYLSIWQTQNKDKVGFYFGQSMLRQIKSKTYELK DFFFSCISLSDHYSGLQNFTYAFYLLLVGESVIPEGKRKKLRANLQKAQGRVIGSMMSYC IDCIKSNQQNDPNLPKLFNIYSITFEDVNVKQLVLLTLITLGILTKHANTLYKKALSIFV FDGFVTEHIQILSDISTMYRVIIFREPDPNNIISYIEKRLDLLLPVFNALNQKDNQTIYE MLLVDVAEINNELYEFIVKINGIDDIFGGKSKKLTEKMNKDCLNAIQYYEKIVEQLKLSE GDKIE >CAK58464 pep:novel supercontig:GCA_000165425.1:CT867997:357101:357841:-1 gene:GSPATT00004837001 transcript:CAK58464 MKHNQQLPNAHLRKHWTRFVKTFYNQPAAKRRRQQRRQANALRASPRPVELLRPVVRGQT IKYNGVQKLGRGFSLIELKEAGLNAQFARTVGIAVDHRRRNNNQEELAVNVKRIKAYLSK LVLFPRVAGKPKNGVVKDSGNDVVAQPVTQNTNPEVITFQRTPKREKATVISKELRAKSV YRRLRQDWYNAKFVGVKEKRKQAKETKK >CAK58465 pep:novel supercontig:GCA_000165425.1:CT867997:358276:359128:-1 gene:GSPATT00004838001 transcript:CAK58465 MNPNTPFNERFAKISEKLNSIQLQHDSSKAHRIDTICGRITGVEERMQDTITSYNRKLHA LKDEIVRLQKQIEEENNAFETQFEQRVREIAAFESRITTKLEQEIALRKDGNLKLAGYLD EKVVYLKSDIQTEGKIRQEQIENITTSLENDLPKLYDMVKTEGQDREDSDNGTLRRAGDE IKRLNEGLGNQKKLREESEAAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDACN KIYRAAKD >CAK58466 pep:novel supercontig:GCA_000165425.1:CT867997:359687:360232:1 gene:GSPATT00004839001 transcript:CAK58466 MNNSRKNNRPSHYQKVDENIRQQIIDQACNMGQPLRQIAQNHGIKYSTAKAIVQVYQNEG RIGKKRTRDKRIFQEIETFMIVVHKQSGKIEKLKHKSECSDLKTNFQTAKINLEEAHYSQ LAQYFGKYTLYQEDEAQSSQNETLLNIVKTLNEQYQQFQNDPSQ >CAK58467 pep:novel supercontig:GCA_000165425.1:CT867997:361027:362002:-1 gene:GSPATT00004840001 transcript:CAK58467 MRQCFFYSSNQIFSFIIALYGTFDTNLQKYTATQLLYYASAFFVCNHLYSFYSRNIIRRN DVHQLPPCDRRLFLSYEYLFYFQTLIIFVCFYYSIKFDNLQREIKPFIKFLINQLMFHVF EITKIYLVINLFVLIQFLQVIIDGIQLVIDYEQISYLIAGVTVASIVIAFIYNLHILGQY YLQLRNCRDGRFFNDNPQVQVTNLREEDLEKLEIKSFNSQLEVQQKRNQAVDLAQLEEQN NNTIQCPICGDDIQKKQKIILLECQHIFHSDCLIRWLKIKNSCPYCRRSAVKCQQ >CAK58468 pep:novel supercontig:GCA_000165425.1:CT867997:362094:362294:1 gene:GSPATT00004841001 transcript:CAK58468 MPNQGNPNINNSQMIQYQISQRYFQCIGCLNFMQYYTSDLSVQIWSYKQQELIEIGQLIN IIAMVV >CAK58469 pep:novel supercontig:GCA_000165425.1:CT867997:362622:363008:1 gene:GSPATT00004842001 transcript:CAK58469 MKFKYKIQNSYEQRTQQSFNLIEKHGQMIPVIIELVLKSRWLKEIFNKHKQFQKMIVRET ISVQDLFNTLKYKYYPQMTPTFGIFIYVGGNKLLLHNSFPKSLKEFYEQNKDSDGWLYLA LTFQECYG >CAK58470 pep:novel supercontig:GCA_000165425.1:CT867997:363235:363856:-1 gene:GSPATT00004843001 transcript:CAK58470 MNQDSQLRSRFTFWLSVSKDQNIDNFSDQLKQIGSFGTAREFWSYYSYMVKPEKLPFGAQ FFLFQEQIQPVWEDPQNMNGGRLILRVKRGFENRVWEELILHYLK >CAK58471 pep:novel supercontig:GCA_000165425.1:CT867997:364007:365480:-1 gene:GSPATT00004844001 transcript:CAK58471 MSEIEELTKQKQVLQTQQANLENWVILKKNLQQKFKNPSEAYKGLVKENAQELQIQDFAD YAKGLNLNELFKDQHLNEENFIKIWESWEFNYKANQHKLQMIDEKLEVLVILEDKDAKMF KGDPQKKKLIVSQIQGCENLEDLYKKLGEMVQTSTDQKQKDEVVPNESDIFSQFLAKKSQ IQFNELSISKMQTKLAEIPQQADASDGAQKQKLEQPLVESQIVVIGKVTLPKKSYLDSQH SIIHKRGNYSTNQQSKTFIYDSNNIKILSPKLARPKDQFRSPKNFQQPSNFDENDHIRRS QKTKRLKGDLQNYIGELFHCDTHQINPYEVQQKGLQGFNCKKSLKTVQHRIDLKPAESIE SQNIQKRVQNIRHKLDEYSNKRTRRYSPQKPYISGVLIKNDATPERRYSQRRSSPYTPGN VHRLNVDRLKQEKEIIQQQNSDKRPSGNEEDEVPQKGLDRQKAFISD >CAK58472 pep:novel supercontig:GCA_000165425.1:CT867997:365512:367746:-1 gene:GSPATT00004845001 transcript:CAK58472 MQQSPRAIQLIAIEPQTKILCQEAVQIIKSFNDKCKNFAVIVVVGKYRTGKSYLINQLLL QQSRGFEVGSTINACTKGLWMWSELIYFESSRSKEPIPAILIDTEGIGSVEEDMNHDVKV FLLAMLMSSYFIYNSVGTIDDMALQNLGLIVNLTKMLQKADQNTQKDLFETFPSFLWILR DFTLRLQDEYGNKILPKDYLEAALKPLKGISETIENKNKIRRHISQFFAERDCITLVRPI EDEKALQELSSINFEELRVEFQEQVLALRKKLSFKVQFKQYKGKAITPFTFIEMSKYFVD AINEGTLPEIATQWQMIQEQEFEYHINTQIEYYKQAIEEFFQDQDLGDLVELNQILLKKI KNHLEGNSEKEIFMKRWKSVKKDLERLFNDSQRRYQDIQLIQLETIKNNFIDEIHHYDKE DFWGIVDIANNAVSEYLSKNINVNELQNYITQIWESTVQRIQHEFHIIKNLSNLHIQIQS KQELEIRRLQTELQSYQEFQLEDNRQKDKLIEKLKAENEQMKKRNSVSETLIADIKLQLE QLQQDHNQQLKSIDNQNKQELNKLRSIISKFEQRVIDSNVNIDKFSNLYQQQEKEHQQEL NEFKIEIFKLNSQISDYQIQIKENRGEVEGDKKNENYYSARKQQKHNTNDCAFINNMQKQ SENTLQEWKYQKEYIRKQLQEAYYKIQEEKKQNDALINSLKQY >CAK58473 pep:novel supercontig:GCA_000165425.1:CT867997:368549:371020:1 gene:GSPATT00004846001 transcript:CAK58473 MNVQSTHQSTLQDFQIIQELGSGSFSNVYRVKRIADGQEYALKKVKIANLKPKEKQNALN EVRFLYSINHKHIVAYKEAFIDEPSQCLCIVMELLSGGDVYKKISQARGSTPFTEIDIWR ALIHITLGLKALHDQKVVHRDLKSANVFLSSDGTFKLGDLNVSKVAKAGFVYTQTGTPYY ASPEVWRDQPYDMKSDIWSLGCVIYEMCSLQTPFRAKDMDLLFQKVQRGTYDQIQSHFSK DLSQMISSLLQIQPHLRPTCDQILANPIVQKHMKNLETNIETKPINKTLMETIQFPNNFK QLKNKLPKSNYDEVNPNVSADRVQDNKSTDKSTSPFRYPQQQYLPITESGISQSQSQSQV NVIQAQQSSPQQIKNNSILDKNSIGVQQPQPQIRQQSYNNKTEKPQSAQLNSRQNPYERA HELKEQKEREIRQQREKDQLLREKRERDAREAKLAKEAKEKQIQEAKERQIQEAKERQLQ EAKLRESREQQRLLMEKQQREQQEQQQFRRVSPISAQPRDYQNANYMNQQQPRSQHSYSP ITRQAEQQQGYNGQRVNYGNQLQQRPINSQSINVSQNYPSQYSRPYNQEIPQHRISQTPQ SAAPRQQYSPFQKPVTERYPAHPQSALPSSNIRESQKSERPQVFPSSAKVVKQRQSDTNL KKEFSSPAQQQAIRPAGKASRQQSNNIFQQIDRIKSDPKPQNNFIAGNYNHFYPIDNYQR HASDQNLIKYQLRQQDRQVLLRPQINNRKY >CAK58474 pep:novel supercontig:GCA_000165425.1:CT867997:371248:371831:-1 gene:GSPATT00004847001 transcript:CAK58474 MRNKNFQSFEPFKTYQSIKLSTLIQDIHQAGRNMYTESEHTSNFDSALPCLKKGDQRKFV NRIFQSYEMEKKVVQQGLLTPQHLNKYLQPRKKHFDLKKQINFLKKTKFQTCIQKLRAAN IILNGQKSQQGKNEIIQETIESKKGQLHDIEFIQKMNECQLQQRMGKLIKLKSAPFLFSN QITEG >CAK58475 pep:novel supercontig:GCA_000165425.1:CT867997:372288:374342:-1 gene:GSPATT00004848001 transcript:CAK58475 MNNLLFNRWTLRFRNQDFEDLYEIEMSKYRLHYFRIIEIVVMLLSFYFFIIYLIDGQHLV LIISLAVLGAFILGVLIITKIFDKKIRYYYFFMYLMSIIISVYIATETKQKALYLYGFYS GSISVIQYLFSSFRLRLLAFVIVPTVQLYILDALTNQDFSYIILMFASQFLVVIYSHYNE FMFRLAFSYTHLNAKYRELLEEYVPHSFFAISLNEHNNSFQLEFLNQQAKNQLNIEDTKS MIEVLRNIQVSHKNQIPTFVDLSSIPMQKSADLSAKKVQQKRQTLEEYSFYKIKQNLSIK HDDLKLIENLDGVYFENEKEKNKILSIDIRQLTYGKNYLLFVLKEEKPQQVMTKNEEQIK FLNKIITSVSNQILALLSNLSSAILNLNSVGNDKVWSIKCLNLSIMNQFQNFYYFVNACR INNDSVSYKVVNLKNFIKNLEPYFQYMSDALKKKFVIQLCLEDCNVKINSKFLSQIVMNI FEQCLAQADVNTIITLTIRTELNLNPLKQENIPLENSCIKEFDFIKKVVLESEESPTKTD FQKLIKFEFSFLTERFIELQPQTQIILNPKSFEDFQSNNNQDFILTYPITNFLLKKIGPY NSVQQSQNVYYENNSVQKDFMNVFPSMMDLIQTHNVYQNKLSFYIYTDQTQLTQSFIKFL HQKSFLDS >CAK58476 pep:novel supercontig:GCA_000165425.1:CT867997:374946:375867:1 gene:GSPATT00004849001 transcript:CAK58476 MSDILIDDRIRDWVFLPIIIVMFMIQMFRGLLTKYMDNKKTSQKVTSKAQVSEMIDKNIT QQSQRLARLYGLLPDHSFKMKRAHLCDNKSGILTKASEKPAKDPMQSMSMMNPAAMADML KQNLSGIVFMALQYQWVSYFFSGFVIGKVPFPLTQKFRTMLQRGVDVQNLDVRYISSISI YFVLLFGGLQKIQQLMFGDDTDEFVDDTQMQMQMQMMGMPTMPSFGQQNDPGKLFIAERT RLEGVKHSFELNKSQEKAIKSLKRFLIQ >CAK58477 pep:novel supercontig:GCA_000165425.1:CT867997:375928:376494:1 gene:GSPATT00004850001 transcript:CAK58477 MNIKHPIELIKKKVNSDGITYKFRWSNNSVSIEPMTQLTQEMLVLVNDFELRNLGFDPQE PKPQKHIKQDSQVVRQPEEQKRERRQSEKRDKSLDKAPEKQKQAKDTDSVQTNNTIGNTA HKPRKSKACQVKSVKHDCGRIEFFVCFDESTESKWVSLEEMKEKAPLAVCEFLLGKVKYG GGGASNKK >CAK58478 pep:novel supercontig:GCA_000165425.1:CT867997:376528:377266:1 gene:GSPATT00004851001 transcript:CAK58478 MGYRLSDPKRFGLARTSKQRWHLWRTQMTQLVTHERIHTTWAKARALQFVAHKTFKMGQD ASKNRGNAINRLMGILTTKFAIKKLINEIVPKFKDPKEQVFKVVETKRRRSDFARMGYIE FTKNEIAKYEESQQKQLQETGKIVDISKYNKTWLIEERDFIKDKLDQAQSRFDALQADPN TPSVEIKRADQDVKFFKRKLETVEKDLWIENKNPLDMRRYFRIY >CAK58479 pep:novel supercontig:GCA_000165425.1:CT867997:377351:377859:-1 gene:GSPATT00004852001 transcript:CAK58479 MIEKSKIRQMLLDKSLGQILKVDGPVECIQNEDQLVNLQAFHQHFHTTAKREHSATSRFR NKVDKIQDEFWKCIRPRTPSVSINCRQRVTIFEEQKDFQLQQATNFVIPWEPTRKHIPLD KQTKRKSWHTQVVMPDLNHLLCQLFQRIVQQIETNIIISYR >CAK58480 pep:novel supercontig:GCA_000165425.1:CT867997:378043:378505:-1 gene:GSPATT00004853001 transcript:CAK58480 MELIKPEELFSVYMAGEQGATIIQVNYKEKLLRKVNTNMLPCSLTMIPLSIDEWLIAPSI GIKVCNTNRDYILYGNDIDVVLMEFTPSQVTYALCIPVENCQCLQYRIEIMVSIKFNKKI WQLMEQSNFHLNQNLESNQLKTKTK >CAK58481 pep:novel supercontig:GCA_000165425.1:CT867997:378570:379063:-1 gene:GSPATT00004854001 transcript:CAK58481 MNPKTIVSEMNGLSDSIIIGQSGTYCKFSRALDLTKKEWSHLKLNRKGVVIGLSNSTFVM NAQNDSKWRVFDTQKRNLYWHLMGILNGQTGQNHNHRTLLQQKQMMVKFITGFIKDKLRK YRINVHFQINPFYQVQICKIIHLHFQMLMEDWNIKR >CAK58482 pep:novel supercontig:GCA_000165425.1:CT867997:380252:380963:1 gene:GSPATT00004855001 transcript:CAK58482 MNYYIESQLQKMSQLLESKQSEINKLKAQVEKYQNYDQQLEELNQYIMQLNYQFEQQEQT HKDQIYFMQRELAFAQDEIKNLQRTRGQTEQQQIASLLKELSEIKQENNQLKVELSRQQQ KPRTNSIQENSFQEVKRLSPHLRVHNCSFTSPSQNLVKERRVPSLPDVNSNRLSINKTSF HNLSQNQPYSKKPPLQQIHNISPNKQVVIVRQ >CAK58483 pep:novel supercontig:GCA_000165425.1:CT867997:381017:382359:1 gene:GSPATT00004856001 transcript:CAK58483 MSSFNTKLNFLQQEIKLLQDENTELRHLLQLNKQIIKIQGGQASTNFSLPSGPQLSIEVC KSDANDYHDTQFVIANLMDENNKLLSINEELRKQRDQLRAQNLLLQQIEIENSQRILDIQ AEKHQKLIDFQNQILGKDNQIQELSDQLQNILSRKRLKTKIQIPLQSEYLIFQNQLETMS KALNYYYQENKLFRQENKKMSLLLDLLTTDKNAENKLNSEQSPDINDSSYFLESLPMKVK QPPNPNQLITVPKLDLNKARKIQQLNVEKQQESEEQHLPLEQFLTIDKKIYPQTNAQTPS QGRGGQFISPNKLLIQLTSLADSNKTLNKQLNQYKQKLQDELLLTKSLETKLDELYRYVQ DLEKTNEILIASQIKLTNNLQKLKIFVITGKKEQTITDLYQKTKDRSNSIY >CAK58484 pep:novel supercontig:GCA_000165425.1:CT867997:382563:382923:1 gene:GSPATT00004857001 transcript:CAK58484 MFAKLKTLQLVLFSSFAILDKYLFIHLCAMVSVKVHKIQNDILLGDLISDKAKSKIENIN NKNKQYFQIKSRIKQINLQFVKLLQDLSKSMNKLTEDHQLSINNYMEQISLF >CAK58485 pep:novel supercontig:GCA_000165425.1:CT867997:383406:386787:1 gene:GSPATT00004858001 transcript:CAK58485 MASFRPQSSQAISVRSPSRIIPIASNMGHSYVIPAAQNLPTTVIVKEKNPVSYDKSKEHD DEYKDELELWKKKYFTLEAQLAKYDIENEIKDELQSRILGSQEDAKKFQIDISKVQADMD KQRGEMNDLVIENQKLLNQQVEVERLKKLVGEREDTIGQLSQKCREYQEQLQELEAFQQA LQDMHNQNEGKERMIEQWKLKYIENDQKKQKEIDELKQKLAEYNPTEVQFLKAQLDREKQ EWQNSQKKLQQDLTDALKQLDQCKIKYNNLDMQHQQLSSAQKESVARIDALNQEQNKLTV QVHNLNDDKQKLQIELEATKGQLKLHQGLIGELDRLKRLLTDKSAELQQLQQQSQQKDID LNNADLTIQEQNQKIKSLSLLQQENQRLQQELDQQHRQNEQLHQQNAELLKNLDKFKLLE EEKMQLENKVSMLAAEIERLKVQLKQKNDKILQEQEELKRLQEQLNEIDELQKQNEDLIR QLEQANSTINELEKKLQAITELEQKLQDANNKIYDLENKVAMLSAESQRLRYLNDQKTEQ LKAAEQQLSDLNLLKEQLHQLQSKYDAQQQMNQNYQAELEKLRGQSTQQDTNIAELRRQL DEQKAQNIVHKQANSEQVISDLQQQLSSLQQSYKKVSESNLANEEDPTLDLQNRLTLLKQ ENQRLNQTIVQKNQEVLNHQQQNQQLQKDLLSSDTLKVQIQQLHESNSVLQEQLQNEKQG RKDLEEKIQQSEQEKYDLQSKCAMLSTHIEGMKYKLEKMENVDELKKIIQDQQAQLAEMD ALKLEIESLNDRIAELEKELKLWKQKHEQLDQQYQQLLHVKEQMENKLAMLSSEIERLKG KLINKTKKLMKMDQELNDLHNQLEDINELKTQLSSLENQLQQLTDENQNKSAEITQLKQQ IAEIEGLLVNQEDLQNQIKKLEKESQSKDEIIEQFKQKITQLESKIAELEDIKYKYEDKM ALLSSEVKRYEFKAKKLEDKSNELQISVEQLTHDLNEADSKIVELEKDLGQWKFEYSKLN SLQYKIDEYSFLLVVSFAEIEALRSQNSSLNEQLDNHQKTKVALALAQ >CAK58486 pep:novel supercontig:GCA_000165425.1:CT867997:386940:390783:-1 gene:GSPATT00004859001 transcript:CAK58486 MIFWIDNTSIYDCRTYSLIKPQQYDVAIILDYIKQEQQQNRIDVKEKLPKLLKTLALLLI IHNKNDIFRLLQILASKDFNQTKLFNDFMNNPAALWYIEQMKVEPVQMIMHQYILGEFKF LEGLFQKIISSYDQYLLKLQNGQVTQEFNLQGELQSNQNQTSQNKKKGRFHKNQKQENQY QINRNQVSEVQLDQKSPEEVKSNQDSINPMINTEQAQKQNLEVIQQQQINKEKTLISSIE IEDKTLQQQQQNESNRQQQAQQQVIQNQKHQNNIDNPKGSYFSEQQKISLESVFKDDLPD QKLPGMATMQSDKVQQQQNLPSSKSKSNPKQKEQITEMSEKINNTEQILANNNFNNESDK INQLSDTLSDKEQQEFDFSQDTELIKLYDLLKEKCQQNYWWSKFQDRCHKAMSDKELELI LFEKLPILMKRWAIKTRKNVTEIETTLTRLHRAPDQEKTNILVDNQNSDGQKIIHISSSP EKFDYTKLIDDNNLNQNQKNSIKNPQDFQQPQIHKQQDHLDYINKESNHFTSRADDDTFI NQNQLLQNEIQEVTPYQIIDIDNEIFKSSQGDINKKGQSSKIIKTASNRLNSEITKSKEI QPENVDKQLKLQETQQQQSHSNKSNIICIESSNDEIISKPQQPTFQQQKGEGIKIQNQNN VCSTFQQGNNQMNDDIDNLFQDNNDIDSPDSIVSSSSILISDQSSIQFGETQANKKQKES REQINQQKNKDIQKEPEKNVEKPNTKEQREEEQKSRDKKLSESDIDVKDKQKNKNNKRQH QKERSENSKTRSKKDSKEKKRAKSSQQSKRKEKSKDNKRRKSSERKSGQDILKQMQAEKE AKERLKEEQKKKEKERIHQENIKKAEIRLQKLRSEEIEFQKEQEYLQQQAIQKEIKEREL KEQQQYQHQHQHHHHHHQHKKYQQNYQQSYQNYKNDNQIQEQNQKTKPFDRQVQDQQYGN TDAKFKHHNQNHNQNYNQQNQQKIQESQSFGNRSQQYNQGHEKSMMPHSQQNKQWDSQNN QQFHQQNQKQNDRHQRNQNSNEQVNAQQNQQQQRQNSYAFPLEQYGIQEFLTKKDQTIQK NIQKSIVNPVQYDLEQVQSPSIKQNNYRKQQQQQQQQQYPQQFQQQQYESQQQQQPFQQQ QQYQHPQQQQFYNNQKPRDNKFRNQSPHRQQGFYRQKQNYQNHQEPHIKKQRKFNPYHEQ YSNNQSFNHNQGPSNMHAQPYPYQDQRNNNQMPYKNSSSYPTSPIHSSNQPSKQQMFEFF CSMFYDKFQQTE >CAK58487 pep:novel supercontig:GCA_000165425.1:CT867997:391255:392845:1 gene:GSPATT00004860001 transcript:CAK58487 MGNKVYIIKSADKSFFNQNQSLEDQFESVGGQSFPHIDRVELYRLKNENTKYATIFEKRH SNTATDDEGPLLELHEQLMLLKHHHLVKYYGLCIDPNLTTNQQISRWFYEAVYKTLKQVC GHHFSNKSYIPERQLWKSLHEILLALHFLDARQKWHTHIQPDSIYLDKNDTIKLIPKGVL RLMSGYQIVLTGKGQALLSPQQMEGLKQKLEIPIHDIQKSDVFSLGMTFLELMTLKDSFE CYNYDLHNPYIKEQVLQERQLEIQNIGYSTDLVRIVLTMLQYEEIDRPTFMELLNSHEVT QNLANFKNNPLQPTTHTPKQDLKIFQNRFPSDSSSLNIVQPSIPITNNQQQIQSSPIRIQ SQVVPPQYLPVNNPKQQEADRQRQEQILREQQIQQHLQLQLRQQQQLLQQQEQQQQQQQL LIQQQQQQILLYQQQLDKLKNLAPQPKIKEQKAPNLQDYMIHPLPTPPIVLDPNARNSTI DEFQESQELNNRINSALALSRQAINKYK >CAK58488 pep:novel supercontig:GCA_000165425.1:CT867997:393158:393932:-1 gene:GSPATT00004861001 transcript:CAK58488 MNQCRIKHHDCQRIKYICLDKHCDQEQKIGCADCFLEFHVPNDFGSHHRRLISEFEVELK GKIEKLASVSIQPICNNSEKVDQQFDNCMNNLIQKLTDYKEQIKQEINNEKLDFLTYVNE FNQKVDQKIDLQNTQISQLSTIEINKSISFYQNTNHIINDLRKDQQELEMHKKKLNQKNQ KLAQKLRNTFEQIMKEFHDHNNNNNHNNDTSSTPEKQICPSQFSSTTQSTPTKNIVDVAR SKKQKKGKN >CAK58489 pep:novel supercontig:GCA_000165425.1:CT867997:393969:397355:-1 gene:GSPATT00004862001 transcript:CAK58489 MQQQRSNFGQQIFQNEMTNQNINPNDVMMQSMMKMFQQQMMQMMQTQSSGFDLEKFYHNK VNDMNQFYNQMKPEECQLQQSSQFIHNYNPSKYQEVIISIKQETNVNTNRQLHFDDIQVT SNYRNEFHDYTLPDNELFNQYKNKQDQKQRQHRLNEYGDQRSTKQQIQNFDQSDNEDMYN KQQKQPQKKTPAFDDIPIKQATQDEQQIRSNFNKNNLYQQDEYDFKQQSNPFDEMPIKPI KSNNFDDIPIRTMKQDDFTKQQEETQWQNQQNHKQSKQFEDQPIKRTNYSNPFDEVPIPS TKQNQNNFDEIAIKPVKKGYEDQTIKTKKNSFDEVPIKPAKNQAFDDIPIKTNKNQGFDD IPIKTNKNQGFDDIPIKTNKNQGFDDMPIKTNKNQTFDEIPVKTNKNTAFDDMPIKTNKN SAFDEIPIRNNKNSAFDDMPIKSNKNQEFDEMPPRNHFKKPLEEANFEDQGRAKKKAFLK KGTRQFLSNAQQRSDIAKKEHAEILKENNASGVLPIQNQSNQFKPQKIQQQQKVEFKQEQ KEVQQRQKKQDLSKEQPKQQQPPKQQQQQQQQQQQQQQQQIQQKPLKQKEESENHQDSYQ EYQFDDNEDWNDEPPKQSKIATSYFGLKKEKDGKEKKQEKPQPQESEEEIVRKYVQDKID NLNAEIAKFKSENEKVKKAKVKCDDQLKQLQREREEWEKQKEIEKHELEEWKEEEKKKML REKRVQERQQKTIQNLPNRKEREEIELLKQQVQKLTEEQKQKDQKNKLSYDRLKKQNEEL SQRNQELQAEVKALELRLLEQKRPNSQATIQQSKSQQIQLKPSVSGLSQKKEVPQQKNRR QSPNSFVEEQQIKHKQSIQEYRKQESDDENDYDNDNDEEQQNDDLSDDSQEENDYRQEKQ KRNLQQQNTKKYDLVEMQDEGIPFTIKNINSLISEQEFNYDQNRFYQGYRKNKDVPSKII NQNVGPDGKISRQYSNGKKEVVFHNGVKREVFPDGYVVVHFTNKDVKQTLPNGTVIYYFA DASTTQITIANGPNIYRFSNQQIEIHFNDGTKEIRFGDGTEKYINASGEEQTFFSDGIIQ KINSQKVKQIEYPNGNVDIVYPDGQIQRQYRN >CAK58490 pep:novel supercontig:GCA_000165425.1:CT867997:397392:398903:-1 gene:GSPATT00004863001 transcript:CAK58490 MMHGQSKSRTEILSEEEIKQRAEKGQQILDSLDYFFKVRKNQVNQPEDQLAFSELMAKLC PEIATIYNYRREVLQTKFDHLGGLLTESKSIDAYKQLVKLIQSEFMLIAILLKQHPKSYT LWTHRQWMVLRSQEIDQLISSINQDNQLKLIEAIKQEYELCSKMLDRDERNFHVWNYRNW LSSICAFGREDEFTKKKIEQNFSNFSAYHFRSKFFMKNYNQPENIIERIKSDKILEETEL IQQAIYIQPKEHGVFLYHRWLVGVVQPFGVTKVEKISNNSVTLQFNRAITNVENSFELFN NENALKIINVKVEGINVIITFEEQQLNNLKIRILNQIYQNGALDTMISEDEFSKFLIPSE IDVTFDNEGFKQTNTIQQEFQGAIHEINKYLDENIDFIKQVIEEEKENRFPYIQILYLFQ FKLRTQKLIDASKSSEIVKEALQHCDKLKKIQNDHQAQFLFEFWSQF >CAK58491 pep:novel supercontig:GCA_000165425.1:CT867997:398949:399863:-1 gene:GSPATT00004864001 transcript:CAK58491 MSRPESQLPPNLYYNQQESVKYTNCNRIINIQTQLSERAIQLLDLNLDECSLVLDIGCGS GISGFYLTQEGVNWVGLDISESMLNVAQQEKTEGELLLCDIGQGFKFRPGVFDAAISISV IQWLCVSFKKSENPYRRCTVFFESLRNCLKNNGRGVFQFYPENNEQINMITSAALRAGFS GDIVVDYPNSAKAKKLYLVVQLGGQSSKKTMDVIQGLVEESDDEKVKVIGRQQKKIRKQK KQKNVNEKSKLWIIKRKEKQARLGRKIKKTTKYTGRKRNNLGLFK >CAK58492 pep:novel supercontig:GCA_000165425.1:CT867997:399905:401475:-1 gene:GSPATT00004865001 transcript:CAK58492 MGACQCKQQQKEIECDEHRQPYKDIYEGTQGSLSDYELIQPPLGEGAFGVVWKAKHKASG QFRAIKQINSKHSEEYQNIINEVNILKSLDHPNIIKIYDFFETNQKLFIITELCTGGELY DKLMEIHNFSEIDAAKIMKQILQALAYCHNQRIVHRDIKPENLLYESDKKDSQLKVIDFG TSKRTTGKNLREIIGTAYYMAPEMFTEQYNEKCDIWSAGVVLYILLCGKPPFDGNTDDEI FEAIKKGTYSLSSTQWLSVSPEAKQLIRKMLEYQQSKRYSAQECLNDPWILQYTKEEVKA PVLESVLTNMKTFRTTQRIQEAAYMYIIKQFTTKEEKEELLKTFQSLDKNSDGVLTKKEL LEGYMKIMPESEAILQVEQIMQSVDKNQSGIIDYSEFVMATINRKTALTQERLEQAFKVI DKDNSGTITIEELKQMFQSGNKLPQETWESLMAEVDKNGDGLLSLKEFKDMMLRLI >CAK58493 pep:novel supercontig:GCA_000165425.1:CT867997:401784:403975:-1 gene:GSPATT00004866001 transcript:CAK58493 MKLGTCTEWLFFHIWKKNPKTGHSCDGIFVADSIIYRWAQPYFRYFTVSDGQIIRKTKER VFIDQVESSFNQENTVAQLMTSQMQSKQQEIITFEYLDYENFIIFLHQREKDLNLVLQKF IYPKNDQNSLIKVTWSPQFCLVMRKTNINKMNDTRKTQVERVATFDGPEYLIQADSINSP LLSADLEQLCVNIVKHILEVSGGNIQIIRMVLYFKVDYENRIWLLFCTNIKVKDKFNDIM QQQRVFSPIFRVLRKDQEPVSFAKEQAQTVIKINNQGEMQSLLYQFKNICSNCDRFSHQL YQLKLQFIIESFKQNLVKKDFTRLPEELEKMKQKQDQQIPKVTFSRNIRVRQKQNLKEEY EVQNEFQNDNNSNNILIEKKKICQNKLTENELYTQIKELVQLLNEEQEDQKFNFNHIPPL ILKVWGKINEEKYQQLQQNQSWKDLTTQVCLDCFLQYTQQCEQTQFERKADLMSQKLRKL VNAKEENEKEKLLLSNTSLNVNRITFNQLPNLPSISELPIEKQKRLSRKSQNLTHQEIGT KQIEQTPQKASQPSIYTAKKQQQTQQSQQTNQSNQQPPQTVIPSTTLSNKYFQLQRQSTG QINQLKLKIPSTQRIYLNKQGLNISTNKSMQSKSSRSTLDQSKISFDFMMNTVTQLKRKL EELEQDEKQQEQQNL >CAK58494 pep:novel supercontig:GCA_000165425.1:CT867997:404277:407371:-1 gene:GSPATT00004867001 transcript:CAK58494 MSNQSPEPEKQSDEISRLKLSLMMKDNEIRALKLKVNPSDEIERLQQEAEKMKETYESEI NLLKEENYEYSELLKETQDQAEFLKSVVIGKDKEIAELKSQNANDEIVKSRSRNGELQLA LAENANLKNELQILQTKLDDAYLSKQVMDEQLQSLGQMLEVEQRNTLNQANQISTLQKEA QYLRNSVNDRERFIVGKEKIIKLKDGEINRQQEIINDTLAKLAEMQHDNQVIVTQNDQYY KLLQEKQAEIDSLKDQLQFFAEDLQRVQNYEGQYNDAQAKIKQLAQYIQELEKQLQDQMN QYEKQIKELLNNAKATEDEKDHNIDQLEKDNSNKANQLEAQNKQISQLQKELKDADNKRD REVKDVQRKLDAELKKTATLDKNNKTLKDKNDEQAKQINAANEELDQLDQKIADLEQKVK DQQNQIKDLEKEIKDLNKEKQNLIQDNNNLHQKFNQAEEKALQQQKDLVKAQKELNDKHN NAEQLNKDLDEYEQENKELQKEINSLNDQINQLNKEINQKQKQIDQQAKDIQKLQENLEK QKQDNQSKQQENKQLQQNNNDLNKQLNESKKQNQKLQDQINNTEQKQNKTQDQLKNQLQD AQNEIKQLKDQIKEQEKEKKNLQNEVNNLNKECDDLDAKLQQKIKEQQENSEINRLNDEL NKAQQQLKQKEDQLTKVQNELNKLKEQKQKEQKEQKDKDQQRKDLEKQVKDLDAECDHLD QQRQAAINEAEKLKQELQNLNDLKKQLKDTQNKLAQAEKQIAQLDPEAVKNKLQKAEQDA KNAIQAQNQAKKDLDKANSQLKQKEKENKDLDDECNALDTQVQNLKEQAKQQEDEIKEKQ KQIDQLQKENQQLKKDDIKGEIDKLRKFIQEQKPILDNLEKESTQSDKRRSDLEKQIAKS QDDLNKLKKKKGGNGDDDQQIQGLVQKLDELDNKLQQEVDKYIESVSNIEKLLTDITEKL LKLKLQINEVQEEDGQQFNDVFQLVKNLCNDYKQGLATHNQAMEDLLKQINKQF >CAK58495 pep:novel supercontig:GCA_000165425.1:CT867997:407397:408997:1 gene:GSPATT00004868001 transcript:CAK58495 MTESQCQICIQFEAEDICILSEMLSQITTPISDHKIPIQMFPYIFKSLKFRKCLAQIFDT KYLEICEKCSHNRLQQVFLPLFSSTVTEYNETQLTQQIEEGLDKLYESIQEKQQKLKQSK EQFDKLASQLFNCEDDVEESNSLGEESSSNEEIKKNEDDDQQPIMQFNKNSNKNKFQKRS IDILKKWFLDHLDNPYPDNTEKQRLSKITGMHVRQIQNWFTNSRKRYLEPLKKKFEHGLM KDSDSQEEDSSKEQKKPLKITQQQQQQQQQIIQPQQLNIASQQLQQQQFQQQQQQQQFQQ QQQQQLQQQQLLQQQFQMQIPLQKKVKMEEGSQNKSSDPNNIKQEAKIGQFPSDLLQFQQ PNQFQQFQQMQQIAQLQQLQWLNPLNQINPPLQFQIPPQFLSQYYNFQDQSKTPPLNGIP QMHQVPLFQILPSLQQAGFQQFLQQGPGQPIQQQVQLPNMQAQLPQVSNIQALQAGFQQL NGQMQNPQNGLLYPMYQQQMLNEQKK >CAK58496 pep:novel supercontig:GCA_000165425.1:CT867997:409055:410470:-1 gene:GSPATT00004869001 transcript:CAK58496 MDNLLSVYMRKNDIKFNVIPPPPTQFYKNLPIQQQTDQKNLQFQGRAPHHHNSFHETRQQ AFSFTLLTLEMLHNNLDHIQIQQIKYKVIKKIGQGSFGQVFLLNKENSNLYFALKVQKQI NEQEKKILLDLKGIKFKNLVNTIDCLQNCQTKEYFVLMEYCEKSLQDVINQEKLNHQDAR YIIKQIANGIRELHEKQIIHRDLKPENILVFSYVDGENTQITYKICDFGLSSTKEISQTY KCGTSHYMAPEGIQNLSNKFIGYTASVDIWALGAVIYELFSGEVLFMGNSNQEIFDLILS TKQESLEEKIKNKIGNLEHVNLVLQMMQIDPNKRITINEVINKLTKGGTKKINKNKSVND LQVSQFQPNKIVQTMTQQPITDAQREENHDRAMKVKEALEQCNESIIRGNIYTQAFKQVV EQQDNHSKSMIRGNIHTQTFQQVVEQQDNHAKSMIRGKINTQALKKKPQLL >CAK58497 pep:novel supercontig:GCA_000165425.1:CT867997:410661:411667:-1 gene:GSPATT00004870001 transcript:CAK58497 MRKKIEKTKKKPWTDYEDTQVMQLVEQYGPHKWTFIASKLPGRIGKQCRERWHNHLNPLI KKTPWDLSEEWLLFLYHKAISNKWAEIAKHLEGRTDNAIKNHWNSGMKKRIPEFTHKLLG IKQQFLQKGLPSYFEQFEIEFERKALEIIFLNKTYVSLLTDSEDEEEEQPKQQPIQQSRE STAIRIQQYNDIRNRTIIKHPRRNRMHKKYLLFKKQQKENNNTNKENFLQWTHTPQKYEN DDYYYTPAAFNKQRRMSHSSFKYSQHQSYVNERIQQQYNDSYLEREELKQNLFL >CAK58498 pep:novel supercontig:GCA_000165425.1:CT867997:411839:413481:-1 gene:GSPATT00004871001 transcript:CAK58498 MSCDSSFFFSDSNLEQKCEIANSLCAQNQIFINYYSIIYCQLDGHWILFILMAIFVVLIV FRFMSTLVMFYLTPAIEFITEKLNISQSLSAVTLLAFANGAGDVVTAIVATETLDAISYN IGSLYGAGFFVATLVVGITIINSQSYIVMKSYFIWRDILFYIVATIIVIIYGIVGEFSLI DSAFLLLIYIILVIIVLFQDKFSSRSKKQFDEFLIENVMDETQVTEEIQPELQGVNLNED NKKTCADKTFCVVDIPFRFILKYSIPPSFNVAKSTLLITIFPSLSFVYFILGSKNYDIFS YLYILSVSIVLAIAIYSSYPQDNELPSYYIYIQIYITIVSLVWIYCLSGILIDTLTFFGM LTNLSNTYLGMTIIAMGNALPDGIVTMTLAKQGYAVMGITGAYFGQIFGLLVGLGISLLK TNLKTGASVEFDLFNKDLIQQNLIMIIIIFSTLFVLIITFLYGIVKKYHFARDLSVLLIL IYVLVLSTITIIAIYEAV >CAK58499 pep:novel supercontig:GCA_000165425.1:CT867997:413534:414792:-1 gene:GSPATT00004872001 transcript:CAK58499 MNQQNPNQNYQSQLQRNGYEIVKPQNLSMDYYDPMLHNKPQYNRYDPNTFKDYGNLSQRV TPDIPVRQLKPQTIYTSANNKYVQQPYYEAVELQKKPIDLQKYDRQKDFMEQKDRAQDKI QSLVLKSNLAQKTPEQIINEFKAYTLPKLRLKRLIMLQAAMKGYHVRRFRIPRIKQKIKV CQLYSEDYLRKVLYDKFIPDIVLEVITYNKYNQDLSLYSDKHQAYLRIIDDLLNRVVRRM ANEVVKDQTDYLVTSILNQRFKDKPEQEKDPMKLVAFQTIQNFMDKEVYVIAKEAIQESS ANYYIDLQYARVIKDHIIPNTLRTIIFEALDDLAIEKYLNDLCSEMVREISQPLSTQMTQ LLQAEHESASLDQALQNYVHRMMGDVLIEHLLLMDNQDLGKDHVPSDNSEL >CAK58500 pep:novel supercontig:GCA_000165425.1:CT867997:415681:416072:1 gene:GSPATT00004873001 transcript:CAK58500 MNFMNTHSQFNIQRKTIPRPTISRQFTREKSQTNFNPATPSTKFRITNNIQQINRDKIQK ACGNYFNQNDDTTGSTKMSKGMTQHLLKKHEIEFKQSWFEQEDREEEIQFALLLQTLDKI L >CAK58501 pep:novel supercontig:GCA_000165425.1:CT867997:416262:417676:-1 gene:GSPATT00004874001 transcript:CAK58501 MQDAQKLQKLSQLPKNFSDWQVGDDYEIIRQIGSGSYGQVVEAMQKSINRKVAIKRLTAI FDDEIDCKRILREICILRELKHQNLIQIIEILEPQDPKSFDTIYVVMEYAQSDLKKLFKS PIHLQFLHIQTIVYNICVGLKYLHSAKVLHRDLKPANVLLNEDCTVKICDFGLARSVQGI DATDQALEEELARQQEEPKKKDEKKGPRMLQKQNKLNAKAVKRELTGHVVTRWYRAPEVI LLEKDYTAAIDVWSVGCIFAELLNMMKENAPTFLDRAPLFPGTSCFPLSPERSAIAKKGG FPYSNTDQLTVIFSVLGTPGDKDMDFVTDKKAIEYLKSFPKKPKVAFVEIFPGAPPEALD FLDKCLQLSPKIRITLDQAIEHPMLQKVRDKKKEIVAPGPIYLDFEQEGDLQIPRLRELF LREISKYKR >CAK58502 pep:novel supercontig:GCA_000165425.1:CT867997:418656:419290:-1 gene:GSPATT00004875001 transcript:CAK58502 MQQCCNVSTQDENDNLSQGLSCESIQDECERQIKKQQKNKNKTSFIVKVKTEICKYWSIE GYCPYGKQCAFAHGKHEVRQKVHVPHNYKTQICKNYTKDGYCCYGERCQFKHPEKKGNKL PPLTYQNLLNNIENLFLKQNLIKNSKRSKGLPYKL >CAK58503 pep:novel supercontig:GCA_000165425.1:CT867997:421143:423291:1 gene:GSPATT00004876001 transcript:CAK58503 MSKEVQGLLKTYLQVEKEAQQIKDKQIKLAKRIPEIGPHPQKQSQNKVKEQVSALKQKRI QLEKESHKISQEHDYMQQILQNELKKQLAPPQKHNDILLNLEKVAQETQFTYLKFRTEKF VQKLGKIFSIFSIKQEFLHKLKKHQQKSQEDLHQYIEFRNFQLQKKAFNCWTLYFKQIKE ERRLKEEQQQKKYEMGQNQLALEFNNFCLTNKCFKALKQYVKMNKLTKQFETDQNQIKVK VNNFLNQMQRQISDALEKEKEEIQLIKQSNLINDEELTISNSQQSIQSEREEQIDLNQEE ILQSYENEFLQQSNEEQMQLTIKPIQQPEIQQINQKKQNEKQIIEQQEVINGKQKTPIIK ESYQPPLPINPWKQKQTTSIQNMVEDNEQSIQKQNSPQNMSQQSFSRQRSVDKKSTYNKE LEEKAKKRKEMNEVIKKKHEDKKKQKEIEKQQQEQQRQLEIKKQKEEEYNRIQEKKKREL EQKQKMEQEKFELEYKTEIAIRHYEKSLQKYQIFIPILKLHQQYQNNQQRAENHYENNLK QSLFLILKKIKQICEDQREYEEQIRINISNNHYERNLKLKAIFSLKSLQKNQKDKLKDAL QIREKYIKRHIMTAWYIQLPELRAENVKLERASERLVNDFRRAILQKQFFNQWKTVAREN IAQRIREQNKQEMWQKVNQWLQEFDDEKIE >CAK58504 pep:novel supercontig:GCA_000165425.1:CT867997:423390:425126:1 gene:GSPATT00004877001 transcript:CAK58504 MELEKPIKQDVHNYPPKIEQAQEQQTVQIEFKQILQGLEDQIAQAFQNSAYFEKENFKDH HSIQMVIFKELRATEQWQQLQNETPMGIYNSIFPKKLIRYLIDQINVKMKTSFANQDEKQ IRKKLVKIDQIFDFFGIKIIMGYIKMPHLEDYFNEKQLFQCKIFECFKEGRFKCLEQWSN FTDECLLKNINKAKPINEFQTIRVCKKIGKTLRNIKTPGKDLILQVNSGFIIEQSINSQQ IMICDPKSKLIVWQYFCNNIQEEKVGLQIVHVLQQFKDNNHTLYIYDNILNLEQIAYLKI KLKIQCVQKLDSIQASQVPQIPLYLDYMSIIKEQNEVFAVTSGEQIQQLICTYNQYKETM LLYCIHKFRPNFSYIHYSSAIMTELQEITIWNSYQIVMKLKASQHQQTYEQFRLGLAQEL LKNKITQIKNVPTLLHKQKVVNVALGTEIFGDYGQGTKINTKSLFHIPTIMATPKTYAGS MNCLVCKRNTQLITLCKPCSEISGKLVILCACDCFYLFHQNTLEYIINGEDVTQTQYKSI EQSSQQLYHQAFQQKPGLMYENVDKGKSVQYAKQVEIE >CAK58505 pep:novel supercontig:GCA_000165425.1:CT867997:425517:428740:1 gene:GSPATT00004878001 transcript:CAK58505 MDQKQSFARRDKLRAIEISIQEQWAANQSFYSNPDERKKFFLTFPFPYANGRLHLGHCFS FSKCDFIARFKRLQGQNVLLPFAFHCTGMPISAAAKRLQRDLDTLKSGQELPKQCQYSSL LQMNIKEDEIHKFTDPYYWIRFFPSLGKEDLISFGSSVDWRRSFITTDENPYFNSFIEWH LTKLKEAGYVKFGQRPSIFSTQDGQLCADHDRREGEGVNPQEYTLIKQRVLKPELVDAAL AGKNLFLVCATLRPETMYGQTNTFVLPEGEYGVFEMKNDELFVCSERSALNMAYQGLTKE EKKVNKVATVLGTQLIGLEIKAPLTNYEKVYVLPMPGIKMNKATGVVTSVPSDAPDDWAT LRDLQKKPDFYKIKPEWADFKPIPIIDVPKYGDLAALTACDQLQIKSLKDKDLLQRAKEE VYKAGFYEGKMIIGKYKGMKVCDAKPLVRKDMIESGEALPYFEPENTVISRNGDECVVSY CDQWYITYENQEWKDRVKEHVKNNFETYNVKVKQELLDAIDWIREWGLSRSFGLGTKVPW DKQYLIESLSDSTIYFAYYTVAHYIQGDLNGTVPGLAGFTANQMTIPVYDYLYLGKDSEQ VPPEMKPQLDVMRKEFLYYYPMNLRSSGKDLIKNHLIFALFNHAAVWKDQPQLWPKGYFC NGYILVDGQKMSKQLGNFKTVQDMIKIYGADATRLGCAEAGDLLDDANYELKLADSGILK LTTLEMYLEKIFPVIQNYRTQAQSKNIEFFDKVFESQINHQAIQVIQNYEEMKFRDVAKN GFHVLQGYKDDYLLAVQTEGPNKNLILKYIEIQLTLMNPIVPHITEYCYQKYFYPFVKDQ GYPETLTKFVLPNVGTYVYDANVLRQYNYLQILMSSLRVAIAKYKETLKKQKKPEVINKV TLVVAKDFADWQKEVLIFMNRQLIDNEPGFDFNAQIKANKGKNMAAQLQFSNYIMGEYKQ RGVDAINPNPTLDEEAFLNNFTQYIISDLKVKEFAIIDSIVAQKSDAKPVQQAGNNAQPG KPCPLFE >CAK58506 pep:novel supercontig:GCA_000165425.1:CT867997:429614:432178:1 gene:GSPATT00004879001 transcript:CAK58506 MQESVSAYMKTEPDSLREHPPSKFKQNMIQNNQRILNGKKVTYLNIKKQNPSNQKDAWKQ MFGNFLHDINLKQKKKHFSPEAKQHLQDPLVNEIPILLPCTNKQFKLEFYKYLEGEQSIQ QLMKAINFPHVHAVRLFDIFQNRNKLRIQKVFRENCRLCRRSKSLRIMDQLKLLLTKLLY QISIVQPDNSKHIKYVWLIKGDLLKLENYWTFLKPKQTKRFRFQETSFNKEYLQIVILQI DLRKSLNKEQREEEIRNIHFIKTFFDITNDYNQHIQQQQDELKDDAQYYKKLVPCQRKLK QLLVNYDNVQPIIKHQEKHFDKFDYPSLGMLKNQMNQYSKDYLMELEQQKQIQFKLALNQ VRKLLEQQNIKFQSELRKSKIKSNQNSKSQSPLLIKQQQDQIFQTNSKMSADCALDVVVA SPKHHRNQYQSVCQLKDSISDPRKRKQYVITKKPFYLFTQQVEGNKNSKNSKGLITNFDV KLYDYIHNRNIYTLNKQVKEMTQKFHTMVESEHEQQLNEQLDTHLNQLKNRKLKFRQHGS LEAQKRVQTERQADEISDDQSLQSIYEVNLDVLYDQSNQLTKKLREKNDAGFAKRIKQLI RLEEVNSQASIIYFQVMPENKFVEAEQILEFSKQLIIGFCVLYLLQNQLVAQQILNYIKS KMTHRDMDAPPLNEDELNEIYNWVDTIPLSRPKRHIGRDFADGVLMAEIVQHYIPRIVDI HNYSLAHSVQQKQYNWNTLNTKVFRKMGFQITQKDIDAVIAVVPEAVERILKVVQVKISM FLDNKEQNQIHQQEKTIEVQQNQNKVNNKQAPNNKQNEKDLIILGLEGNN >CAK58507 pep:novel supercontig:GCA_000165425.1:CT867997:432301:432984:-1 gene:GSPATT00004880001 transcript:CAK58507 MKQGEFQQSETTQNTQECTNFTNKNDSSQQLNFGDSQNLYTWDQQSDESFELVILSNQHP ENEWIKKEQVIVVYLKQLGIQMKTDPLEKQEKFYKKPKRRFNKSSTMTFDTIPNNNEESD NKEDTQLCIRQMSIEMNSIKQRRTPNSTPQSSPLITKIQEKQVPLKQQKMSIFQKMKGQQ QAFSSDEEEIKENMKRNQHQISNEYCDGKSDSSQNNIEQHYFQNLCE >CAK58508 pep:novel supercontig:GCA_000165425.1:CT867997:433710:435142:-1 gene:GSPATT00004881001 transcript:CAK58508 MFKFFVLFICSYSGNIVIDIDVDVNFSEDGNLLELVREEYLITQKISNATFYLTVSEEDI AKYIFLEINFQYPKNGDVNFITTAGNNKLTSLQNSTIMADYADYNAYYLHKNYHSIVIPA HHFKLNDTLYITNLIRNDRRIYQYILKIRKLVEQPCPKNCSSPFGTCINGICQCNFNKID LDCSIDAIALNLDQPLDNFTLQGSSYFYFQQETKLEKIQFSFGFQGNFYQDNVKVSLSYM FENFIYGVPIQINETCTLSKEAMKISRIIDISNLTYNANLQRFNRLLLKITTNQESLLQF EISEKPSDNSYNDAIQILITILISLACAFLTLIVGCAIQKCRAKRHRIISVIPQILSESQ PYLTLEILQQCLQQAQKGNNQCSICQDQNNNMIQTPCFHTYHAQCLLNWFNNNQSYSCPN CRQVVDFEKIKLEQTKSIIFSRNINPSINQSSINLNQEQAQN >CAK58509 pep:novel supercontig:GCA_000165425.1:CT867997:435387:436627:1 gene:GSPATT00004882001 transcript:CAK58509 MEIKYNRSLSGIPENQLQQPFRKVTKTQIENKDPVRRNLTQLYNEDTQQINKSMLNSNYD LRKKAFNQERVKRSLNQDVLDDKENMPQHKGLMTKLYTLPQELSTITIKHKFDHTIEENK NLQKQYTDEIFFYLKEQERKSTPLEWLKNHSIPSNLRAKMIDWMVEVLCSYKCTDQTFFV AVRTLDYYFSKCEKQLEISDLHLCGVTSMFIAAKYEEIHPMKLSVVHDKIAHKKLSTDQI KKKESDMLQTIGFDLGGGTLYDMYNLILTNCIVENRLQEKHYKYLKKLCLYLSKMVLYDY EICGKTNYTLLAAALIFVAFKIIEQLDSSFNADSQIKDVAQIIQVDQDQLIETAAKVLNL AKNFEKHFPNLENLKKFNGFQLEDDEQ >CAK58510 pep:novel supercontig:GCA_000165425.1:CT867997:436657:437180:-1 gene:GSPATT00004883001 transcript:CAK58510 MKTHVEVSSQRRKGRRAQLGAPSNLRYKLMSAHLSKDLRKKYNVRALPVRKDDEVTVVRG THKGTKGKVSSVYRKRWTIQIEKLTRTKANGMPYQIPIRASQCIITKPYLNEDRKQLLAR KASAKVSTKGKGEKHTTESTKKAD >CAK58511 pep:novel supercontig:GCA_000165425.1:CT867997:437947:438305:-1 gene:GSPATT00004884001 transcript:CAK58511 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK58512 pep:novel supercontig:GCA_000165425.1:CT867997:439274:440435:-1 gene:GSPATT00004885001 transcript:CAK58512 MIGKQIFRAFSSTTAPYVWVNKHTKVICQGITGNQGTFQTEQALNYFTKMVGGVSPKKAG STHLGLPVFKNCQEAKQNTGCDASVIYVPPPTAASAIIEAIEAQIGLVVVITDGIPQHDM IKVKHALRSQSVTRVIGPNCPGIIKPNECKIGIMPGYIHQNGKIGIVSRSGTLTYEAVDQ TTRAGLGQSTVVGIGGDPFNGTNFIDVLERFMVDPETEGIVMIGEIGGENEEMAAEWIKQ NNPKNKPVVGFIAGITAPPERRMGHAGAIVSKGKGSAPEKIRALEQAGIRVVKSPAQIGK TMLEVMKERGLA >CAK58513 pep:novel supercontig:GCA_000165425.1:CT867997:440607:441959:1 gene:GSPATT00004886001 transcript:CAK58513 MKQYQSQPQKSPSNREKSNTVFTQMESAEHFYSNEHNQHDQYFNQIIQMLQKRQVFQEQE YKIKVTSLEKRIKYLIQISSQLTQQNTQLKLQYSDLAKEYEILKGRIINYESTVQNKNQD YSLSLDDLNKLKIEREKLIGLANKYHERSKIRKKQIIDQENTITILQKQVNDLHKDNIFL GSEKQNLLLQFQQNQQFVNQQQNILEEDIINKTQQIDLSNKNEIQTIITRFLNDYCAHLT YINNETNQLVHLIKEYHDINLDVNNQQKYNRLIKQFDSLITKYNQMLEQQIKFQYQFNIS TQDNLLLSNNSQNQYYHNNNVNNTIQCSKKNSQNNFYQNNLKQDILRTSKFIKIQSINIF LNQIVESFIIYIFRIRNLNHQILQSDPSHNEQKTTLKKIVILIIAIIRMQKKKKYHDYKQ LVVELPIEETMYQLLEIISTQQQLIEKYQE >CAK58514 pep:novel supercontig:GCA_000165425.1:CT867997:441968:442854:-1 gene:GSPATT00004887001 transcript:CAK58514 MQFLSTVKLNQETDSNSKITTLENNINKIITEQDGLITQIKLFEGVQELINSLEQRIDDS ENCGKVKSYNKNNSQLSHQIKLLESRMIEMDNQILNSKTQPRRPEILQIENSFKKNLNSV KQEVQQEYKNIYKEMNGLRCDLDYVINSQVKQKITNKIKTQNINLENNKLLLINLLELET FIEELDLYENQNTKRFLEELIACDSEKARSLNYQLAGTKRKYLSEIKKIEHKFKILADLV QVQSPQEKYTIQLNNQMNLIVQRLHKNIDMLLLKISEQLR >CAK58515 pep:novel supercontig:GCA_000165425.1:CT867997:443030:445146:-1 gene:GSPATT00004888001 transcript:CAK58515 MKQLDSRLPSAIHSRRILTQFTSALDICRINLKSRSEVRCKTDATILKTEGTILKTEHGT CTTEDEFLPIFGSVDLWSILGQKDFYFTQSPKEIKLLQQAETEINLTYMDIQTMIAEIES GHITTKGQICFKQTQFFRQTKLLYITSKQSQQCIYICLIQGMQSNMEVQLNFKNFLLNIW RCETKKLCAGCYFENIHILVWDYLRQQTWILSGQDLDLQHTRSCQNFNNTTTQYRGKKVK RILFHNNENQMKMKSTSLKQSFHQPKLVIEDKIDFVMDFIKPIIQEKDRINEVVTKIMCR QSQTEELKFDNKNLHSKGKLNQYQKQYLQMISLPSFIKHQFGIPEKLLKQRSKMIIDTDS GGDDIHALLTAFDLATKKNIEIIGITCINGNSYIDDGIKNISIVQKIAGVTIPIYKGCDR NLKQQITLSSKFFGDDGLSGHQERYLKELNISQYPIQPEHAVDFLIESAVKYKEELVVIC LGALTNVACAMMKTADFEENVGQIISLCGNILGLGFMNDGVAEYNVHTDPEAAHLVFKVL AKKLIVIPYEGVISVSEFTITKVFEQDTTIKGKFIKEIYEGMKNANNRYDIQDPLCILVA TMPDIITEYVERPCNVILEGEGRGMVSVKWLEKDPKANQVTFILKVNENQLIQTLSNVVN >CAK58516 pep:novel supercontig:GCA_000165425.1:CT867997:445403:446175:1 gene:GSPATT00004889001 transcript:CAK58516 MSGIEIIVNDQNMAIGSLSLDLRELQSNNLNEWYSFSDKKQITGTLRLTFQFNQNNKIQK CQEQLQYLFSQLEVKLKQQRIASPTVGSVQLMQQFLTKQKHNNSNIINSEYQIGNEFEVH TIKQQMNEIKQLINKFQQLQAQKSRVYQQQKIISKQKEISDLQQQIAQTKESVQSQMALL KIINEEGNYGITKKQLILQKYKKKQQVLISLKQKLNEHKFDKRQSSLVVQAYKYEGRPRQ NNSEFQVK >CAK58517 pep:novel supercontig:GCA_000165425.1:CT867997:446221:447203:1 gene:GSPATT00004890001 transcript:CAK58517 MEKFRAYADSHTGINLFVPAFVNQKISPLILLIHIILGSLLILIRIPLLAILILLLKTLN ALKVDSLNQLIGKLMLFTCGFVNIENQIPIQDKGGQLILSNHSSPIDWIYFLAQSSPNFA TFVENGDEIRYQMLSLNQVLKNLFSITTVQTGTGVYLNQVIYQDKPTLLFFEGCQTNQLG VLSPPRQMITELQKLGQPINIYVLTYPDKSIFTPINTTRNGLWHFVLLLTNIWNDLRVVS QEFDTSKDYQKLISNIYECEGLKIIHQRYTVQTEFLNYYWRTSSGSYIKLD >CAK58518 pep:novel supercontig:GCA_000165425.1:CT867997:447240:448297:1 gene:GSPATT00004891001 transcript:CAK58518 MNSNFLKQIEEENRILEQELQNLLNQKRQRVEKELEDPDEDNNPNFRSEKGDLSKIDEET DEEQPNRIEPHRLMESPQKPESVIDNIQDSINNYLEKNSSSIVDIQSNRQSQKNVDQKNK QINSLEMRLLGTREALKCLEKEVKDKNVIIQNLEIDLAKKQKQIDSLQKTTHKSNTPPNK EVYNEGFQEIKKQCKEWERRYIECNSQLKENQKYASKLQELNQQLLYKLKQFESDKEFSK TELDQQKNINNEMSETNTNILIKYEQIIKLKDKYILLEDKYDELKQNSEQFLLSSRDLKY QYEHMLNTLQSIANSY >CAK58519 pep:novel supercontig:GCA_000165425.1:CT867997:448494:449391:1 gene:GSPATT00004892001 transcript:CAK58519 MNNHYEPYVRNKRDLKVPYISCQDYTNLEQASKLIPALKQINQSERHLADATNAYSYILR SNNDDDIHKSIKYGIWTSSKENNEKLNAKYLEAQQDEIPVYLFFSVVRSGQFVGVAKLTS GYKEESFQYWWEIKKWKGHFNVQWLYVKDVPNKHFEHLRNRLELIITVNSDNVEVTRSRD GVCLSWETGKEMMKIFEEFSDKKSILNDFTVIDEREQALRQYKYQMQQQRNQQQYYPYYQ YQYYDYQQQYQQYPQKYQQQQYQNYYWQQPQK >CAK58520 pep:novel supercontig:GCA_000165425.1:CT867997:449406:451492:1 gene:GSPATT00004893001 transcript:CAK58520 MKMERSLYLNGKPLINPPLTIEEQLNERLDRTGYKIDDLNRLNEKPVLQIVLIQFMDQID LDGLTSGYLNNNPVFQMLKQQLMNWNEKVTLHRAEQIKLQDPLVSDNLYRQLKNSLLEVV STRNKIQQQDFLKKVSNWFFSQLPKSQTASLKQSLLEKKDSTLHFIPNIYNENLYASVIE DYTLKFRSVHPEFDPPEDRVKSYQRKNLLSDTSTRPGTTPGVLGISQFTRPQTSQTSYKM IDQVEKLSRPKTNQQHSLPKQQQSWMYDPTFPVFQTNEDQQSKSTRRQIQREESPLKADK PTDRDKLDQIEGIEQEPDEDEDQSPDKLEESNKNLASDQEIQPAKKKKKERPDKYISIAP TNKTYKTDLETQNAYQIGQNSRLGEFQGTFHQYDPTQIEDKMVAARLNQYYTEQRVKDVR EQREDIEMVQSMKNWSTNKSRIDEMVLQSEYLTAMGSQYPNVGIKISELYESKKMDLAEE KAYANYLNKSSLPKARMVQSAVPMAKIVSNTVIPTQVEEEAEDFQSKQADLEKQINSQRL HLLKMSRGACLPGGLINNPNQETIQSNRVMSSSCFKSAQPKRQFSSVLKTHSIKQELDSQ IGEIKQIKNRLASANKFVPINILQKSILIPQGHTNPYKVPLPKPGILLANLPVDTKQRKG KKKKTQK >CAK58521 pep:novel supercontig:GCA_000165425.1:CT867997:451716:452123:1 gene:GSPATT00004894001 transcript:CAK58521 MADPNLKLINFSIQHEEQILKKTIYLNSKIKLIDAVYEVLQEVGKVHPLDNNPNNYAIYI SKKNGLPKLDFPSYQKDIILEETGNSNFSLVHITLQNTNSPENSTHNSQENEGKQNDGKQ KKKLKNWFLNLLGCS >CAK58522 pep:novel supercontig:GCA_000165425.1:CT867997:452614:453930:1 gene:GSPATT00004895001 transcript:CAK58522 MYSKFLYTRRLEQKTYVDHYLKYLIPISNYKVVCFFQSNTEEAGEYEVYEIRKTAPIVKK QMKEIVIMSEPFEYSDREIGFFNTETLSLWILDFMDSKITNTEVTLPSQRLNNFFTPEFL NINKVVYVDQCLRYKYFQGIQSFITLEQFYVFASEDATCNENNFISWNVIENKKIDECQI NESNYLVFEELQKQKIILICKQVYLDEDFTFSLWIPGSNLYKFINVKQALNATILKPFDN QNKIIIKEEQPDASFISKKIMITANPNHQAHPIFINIECDQDLNLKDFNYAPYQIDSKGC ILIESPWLVGNELIVSYYTHTNITIAVCDINKQLEPCKLINFKQEQRVSNFYFSQSPKHF IELFDDATKNKVEEEIILPNQTQEVTQYYHHSFVPNQIHFFHYLIQKGIYNQIGDLCMEV MQFAFSSPIKKEKVEHQN >CAK58523 pep:novel supercontig:GCA_000165425.1:CT867997:454352:454729:1 gene:GSPATT00004896001 transcript:CAK58523 MGNHCIAEVIIEEDDEVFAKSSIQASNLNHFFSQKYKMEADEDYLQNLIDQIEPGFSVQF EMIKRKKRIRGGSNFESVIYNKDNTLKKLKPSLKQISHNSIFMREHSNKTVRWESQCYSS DSFKQ >CAK58524 pep:novel supercontig:GCA_000165425.1:CT867997:454992:456539:-1 gene:GSPATT00004897001 transcript:CAK58524 MNPLNDHQQTSPRSPLHASNVNSWFKSRHCNKVKKSYLLFPEQIKQEQEIQKIFQNFDRD NSNNLDITEMYDMFQKYGFKVTEQQLQHFFKVVDKDKDNALNWNEFKNSVFDEQASKLFY NIIKQIREQDQNESQSNHGYIPFQFNEMISYLNYLISRDELQKSIQEAQQTTFEKFQKYL TLLELNQIQMQNFNNQTQTNINSQEEQNFTKKEIDFTKTYQNKYKSSKSSYLNGKDLPQL QKLKSEKKKQTNTLLQLNKSIESSKINLQNSISFQPTFKIDKFSTQLNSDFKSLHNMKSL QLKLNQSPSNQKNFIHKPYLNLNLNKINQNYREQLFSQQQMNDKNKYGNNPDRLPISIIQ ELQKFKLLSGQFKKRSQSIEKNSPECETRCNNLKSEYSIFRTSTEVLSQSKQVSPTNSKL CTPRLWKDEKQLSRKKSPFQQVLINLSQQNQKERRLDINLKGRSILYK >CAK58525 pep:novel supercontig:GCA_000165425.1:CT867997:456551:456940:1 gene:GSPATT00004898001 transcript:CAK58525 MIFIILIILFLIITFLVVFVKRHRRRQQIPQSQMPIQLEENKEDIKEKAEIEAITITKQS SSKTDGNEQNNHREHLIDEQAFQFGEDSDNEKDEERNSSGNQSSDHKQNHVFQFQEGEQK LDELIEPEN >CAK58526 pep:novel supercontig:GCA_000165425.1:CT867997:457030:459354:-1 gene:GSPATT00004899001 transcript:CAK58526 MSLFSKRAYRAIKTILVSPQAEILTLLIRIISILILLYLDTLNDLSNNIQYQIISQDQQK SSLDRLNQKLISHQFYKDKLQNASLHVLKENFWELFVDPNEFEILLSGFRLVQYQIAYSV DCHYDVSKLETDISEQQKFIDSLSSNCNPADSDTLSSLQPSLFICNSTKLCLYSTDCIEF SLRDVFKNSYEGILKKYSENQIKTRQFGTYPNSAFIADIKFNDRSEMEEMIDKLIRNDWI TNNTIAIVVQFNRINKYNNVIYQNTFVQQFHETKEQTFEYQINQINTNSMNSSTWYSFSI ILIVFNFFFIMKIIFEGSIDPRATQLLVFLVSILESAFSVICTLESAVLNSDIQEALNDQ NCLQNKAVSIWNNGFPMLSTCHNYILLDATYQIQQIRIIFLAFVLLFIPFNVFWILSYLN GTELIVKFINLIYRTTIHFLKMFFIRVAQYVTWSLAFYIAFRKNFESYDSYASSLYCMII WSIPTQENEWERGAMNTGQITNALLVVLFMVCRIYVFLMILSFTSISIQSASDFEFDIRS PSAIQNLENIHQNIKKLDKFQKNYLSDHEQNKLENGKLVAWLNLNIKDLIQYQQIIQECI QKQVKLQQFQNISDLSQFVSFLFQIKPQLLFKSQVYFRIILDLEPMDQTQNNQPLYLRKE ERQNEYINLVRFFQRLKDKGSRVPLLINYSQKNRPADNIIITFFKIYPYIHLNSGNYDLF KEFILSKDVRQWQKRVYIRDEENQDFDEEESDANQIN >CAK58527 pep:novel supercontig:GCA_000165425.1:CT867997:459407:463071:-1 gene:GSPATT00004900001 transcript:CAK58527 MRPKVNTELVQGSQKNSNPKYENSEEFRQNFFRFNTTFQRHDNYQQLIQIIDFSNKEFGE KGCYIKDTQTKQDKIINYIIEYQQQYNISQKQIYQLRRSYVDLEKTEVNKEAKDDDKKNK KVVSGAKQVDFGTKEVQIEQNGVDRTKVDKTNLLVYNNFKNCLTEIFNSFYEGDNSQIIL QHISNEPNLLRQLISQAIKEFNETKKKDEQSETNEDLKKNEEVKKKINNLKITYIGITQE YHIPDVFQDFETNSLQNFSIMLQDSQSFNYKLKQDLKSLVLQDQYYMKLNLGNKKITNEI LHLYRYFLKMKIRKYQPKLIVLLIEINDSFDFETYFFQKLISQLEKISQNCLIIIPILTS YTSESFEKYLKQTLLISNSYFDYKFKRKNKTHYQCEDISAQKYKEYSTYFSQTQKEEQFF LRQYLALKVRQNFFQQNEQMEIEYQKQMLPTELSTQVIEAQDSNQTQDLEYNLFGILNSR NQIELTKLYERYSKQPIRIFEVEHFIYYNQDNKSFIICIVKDDKLFYQYKAYKIDQEKQI EDSIYLEEYKYFEKFNKGLKSSYLLQGDYFCQFNVSFQKVVSNLKERKRTSGFKYYVQYK KYNLRYEKAPDFYHNIENQKDGLDQLTNFTISPIKDNQFILIGGTYESYIPQKEHEQQSR QSEYEFNLGAYAVTVEIDENQKNKLKVTENIGKLKCYENCLTQKINDQSFLYFEGQTKYQ AGQFQSQIQRMSIFGYEQNLQFDNCKIQNQNQFDFYQKKKKLFRSNQLNKKIIEQSKKKI DFIVVVQELIYDKVKIVDEEDSINLKQLSKQVQLQAHKFRFTYDPAKQVIEDNKTKQILD IQEESFYFVIEQVEKSNSLRNACLYANWLEDRNNQYIFHYNGVQNLYLLYFQEKPKPKTR KFIFEDQQDKEDNLDFDEVILNDLENEQLWIVRKINHQDKIYLDLYERQKLNPYNSAWIN LQDVKDSTEDAITKVPMNQIFRIAELKEDFCLIGLEVKWQNEQGQRCPYLVVCTSTTIYE ISIKQIRKTKWDYILRYKFNSWEKEPLQFQQSPITRSKQVSFQVNMQIPTIVANLQNGDL LLFYSYCNVKSESNNKYQLEIKYLILKDCNQKSDEMEAEESNREFQFKSIEYNSRKQVNL AKVNTVIINNDEFQFSIIVEESDGCLYKVFSGDGEKKQYITLIRESKILSNAAFLKNQSI IIGKNKETVVHLE >CAK58528 pep:novel supercontig:GCA_000165425.1:CT867997:463888:466148:-1 gene:GSPATT00004901001 transcript:CAK58528 MYLSKLIRLFRIKEPFLSQQEMDQEQEALVQAQKKINFAMEVFKSVLELLLGYRLLPNLY AITFFLLSLSSLSSIVLIHLKTKINPLIRVLHSLLFSALYLYKFQTSDFLFIYLIYVSSA IELDAMKVFYYLGFQVIYETLKPENFVLNNGEQIFSWLILCTIYLNLFVTNKKKLSLTFP QFSIAKDAESYRDANNYLISMKSSSSLFQMQFFIDIQQKVRNHEVLYVNKPFLKKFGAQT NILRKLEQFILQTDHEYNNEGNKSTDHYFTKSTNILESLNHQDLFLQAPFIAQAQLDDQT YKINMQQCTWKGQQSYAITIINITDDQLVMELKKLDSYKDNILATVSHDLKNPIGAIYQT LLFVQDDLQKLIQQQQDLQQSVQFIDICINNIIFLQSFVKDLSDFQMIKMQKLKVFITEF DILNLCQQIQQAFQLQLQIKQIEFHIKNKAEDTLIKNDEVRLKQVLFNLISNSSKFTSNG HISLNITDNNQDIQEFYVNVKNQMKQNKSKQSLCQLDEQLNNLILITVSDTGVGISDEVK GQLFKSYSTFDGEKKQNRNGVGLGLMISKQLCGYIGPLKYIYINSRIGVGTNFQFVIYRV HQDDVDNLDDQPIQIHQVRYQKIPKQTPQNKQRSVLLVDDELFNNATNKQLFQQCGVNQI QIAYSASEAIQMVKQKQFDMILLDVNLPDKSGIQCIRDFKKFNKNAKIYILSAFDDQETR QQCIKEGADRLFQKPLTQKQILNIL >CAK58529 pep:novel supercontig:GCA_000165425.1:CT867997:467025:468995:1 gene:GSPATT00004902001 transcript:CAK58529 MINSQSVPNLQNSSAQPISEPTLEQINKKQYGDTLRQQIEQKKIRETTYGYQFQVISQEL QLQNQLNGQARGGGGAPLRDFYGNITTTRRNPMDPNSSSAQIFGQSNSSVQFNQPDYLSA SQLPIQTQRLVTTNQSMINLPTQNNSMNQSQLSQIGEQIAQAEKKQKQFVLQRELLTQIE IAEKKKQEEKQRIKRDKELDDLRILREREEIERRMKDEFGIDKLEKRNLQQSNFDVDGLK ALKRLEMLRYQNELIEQQNRKNAKTGVRQRTPVQEAEKAYRDQKNDILQSRIEQRIIKEL PIEVEKTVRDTVNVELHRLRQEMNLQTNQVSEQVVSLKSQLMKANEQRHYNEEQIRKLKE ELRSTQIIDEIRQRELYQAFQNQERTRQIIETAQRQKTPDAIKYAFPRRQKLNSNPYDPF LGSNEDVNKDLYEIITDNTQIGPASQDFQKGYNLQTKEKNQDQRLQTEEDHTIINHRMGS EIRHNEFDLPEDYLNRQQLYIAKSYLDSKPVNNYDYTKIENNSIIPKSDYVSLISNTHPY YMQEQDEVKDVIQRYSNKLDRLEDIDLLQQKRDFNQMDYALQDLLDQQSNKINKHEYIND PIEYNDYYSTDYQETNFYNKMNKLS >CAK58530 pep:novel supercontig:GCA_000165425.1:CT867997:469718:474091:1 gene:GSPATT00004903001 transcript:CAK58530 MDIQTQQLNVQVAVRVRPLSNKELNAKDECCLKTEDNRIILPQSGKIFTFDHVFNQDSNQ EEIFECCVTNLILRCFEGYNSTILAYGQTGSGKTFTMGTSGLDQYSDQNEWGMIPRAVYF LFDEVEKRKQEQEIIITCSYVELYNEQIIDLLNESTMKSNLQPTIREEKDHTISIQNLTT IAVINPQDMLQILNKGGTHRTTAATQMNLNSSRSHAIFTIYFEINRESEEGSLSAKFHFV DLAGSERLKKTMAIGKQMEEGININQSLLVLGNVIKTLSDQKKSAHVPYRESKLTRILQD SLGGNSNTYMIACISPSASNYEETINTLKYASRAREIKNKPTQNRDPHAAQILQLKQQIF GLQDQIKQYSQILQDNNINTDSVKSMAIQIYESISPSSGPQQNCSEHGETILKLKNQNYQ LEKQLNSVQKELQNSQKGLSESMLESYKAKKQRDQIMKQFQDAKKILQKYNIQYNFNEDQ QMDTYYNEIASLKKVVQEQEQRIFLLQSNNDQLLKEARRDQKLLRQKQHELMRIEKQKQD VDQIDDDFELNDSELIEKDLQIEEQEQQLQELDKIKIETIQLLQDDKQNYLKQISILEEE KAKLQKQLNNKQDSAQMNSLKFKIQEYETKILDMRQKEMTSKQLQKKLEDQECQVKELRT NIEQMKKQKVDLIKKMKQENEKYIKDKEQKHKELIYAKKQKIQQDTLVSKLKNENTKKDV QLKRKEDELQKQKNEKLIVKQHNRYNPNKSLQYDTFEKQIDDLFTELVLGEQAEEQITKE YSKLEELQEELRQIEVKICSLQIKIDQLQFDMTQNSAVCGIENVQQYELELNDFQQKREN ISETIEFQIKKIDDYRVISQKANDYYTIVLTNQYFKDLPNWCTRSFRYVIDNWVKDHYQM TLYAQQIEELNQTNQELINAKPSPIKKSIRQNTPIDDLKRQINEYKRKLQALQNQYRTTS IELDYYKNFYSEQINKQQKDKSIGISQQLQHSQSFHSIRNSITKEQKQEQLPLALTHVKS FGQLGSSMRIRNSVSQHWITEQLNSSQEIINYDIVKTLQGHDQPVLSLYTKDNILCSGQY KQLKVWDIESQQLISTIDQSNHCRSIYYWADRDAFAVSHGSQISLYDPLTLMCKGLLKSS IEEIKSITSINGLLVAAGKSINSNALNIWDSRQNTILYEFEKGSDILSLYGSDENSELIY GTLKHYTKRIPFNKNKYNQIQQLQPPQLDKVTGVASFGDYIVSCSLSKRMLLWNQQTGLE ITTNDSIHKDLITALTIDKSLKLIYTASKDGNVRAIKVNEDGKFQLLHDITASNQQINAL HVIQDNHLVISGGQDKLIKIWKPSKNLLQMQNAIGEFIVD >CAK58531 pep:novel supercontig:GCA_000165425.1:CT867997:474409:478417:-1 gene:GSPATT00004904001 transcript:CAK58531 MRSNNQRENQEKRVRKPSRYKVNLRFQLKQFQDEEEIDQIIKSCEKPSKSRKLRKLSQES SMEVEEEEDEQSDSQYESDYNEDYCWKCRQKNRPLLCCDSCYRSFHMACVGIKKMPAGSW YCPQCCQYEQSYCPYCDEQSTNEKIICSKCNTFIHLECILKDIPFEAVLRSPVNRKHISE ELFQQLLPAEKPTKNCPLIFHCCLRCLQSFGVGQILTHLKFKDKQCYLILLEQSSYLHCH FFSQAQVQTVNQRKLKNYIDKNEGKQDAIENDNEAELQTSLNYIEEDILEYLEPERIIGC KRGANDQKKTWREIYNQEMPKITQYGTKNNYKFLIKWSRMFYEESSWEDAYFIMEKYRDE FKRFLKSKILEETYGTPEYDQFIKQFLKVPPNKAKKNQPVWITGGQLHQFQLQGLQWLQK SYETNNNVILADEMGLGKTIQTISFLNFLQYEYKKSGPFLIIGPATILYNWLKELKKWAE TFNVIVYTGNQESRDIIKAKEFYYNNNICKFNVLITSYDIAIIDQAIIKKINWECLIVDE AHRLKNNDSKFFKVCSQFSSQHIILLTGTPLQNNLQELINLIEFIAPQKVKQLKKEQLNV LFNNQDLEDFQEVKKSTLTELNSLLKPHILRRTKADVKLQVPEMEEIIIKLCLTDKQKFL YKNVMLRNYEKLKVLDQKKGASKANLLNILMSLRLVCNHPYLFTYKREFPNEDIEEMINQ SNKLKFVDRIIPRLLEMQHKMLIFSQFTMMLDLMQHYLQLRGYSYERLDGTTSIMDRQRI IDSFNNSTGKSKIFLLSTRAGGLGINLTSADTIIFTDSDFNPYRDLQAISRAHRMGQTNK VKVFRLVSKYTAEERIIQIATKKLLLEEIIINPINKFTKDDFQSIFKESTWELFNKNLEE KDQEFTDEQLNILLQRDIEQQSDQQYVNLKKNDINDYYLSGFKFTSFNLEQVEKEGDESH QNVNLDKYWETFLDEEAKEFAEKEKDEFGKGKRLKKQNIPNYHEDYHQFSSSQYSPSDQS QGTGSEQENDENKKDQKVVSERMQKLIDKDLQIMRFFQQTVLEHSELAQLSVDNDIVIYG FTAYHRLEFLDFVMSFGMDFDSIDQFYQLLQREKPQCFSAEYKPTNDEFKKYVKEFYSLL LDYEQFKEKRNIAFCNINPKDVFYRICGLMYLKKKYQFYQNRQVKFTIKHENYQKNKVQK DQEQIIYKNEEWTNFDDFTLCKYIAEKGFNTIDQIVEDQGYFQFASELQEYPFWFKLFRK IDQVPFEQIQNEESAKKYLKYWVQQRCLMLMSLIIDSEE >CAK58532 pep:novel supercontig:GCA_000165425.1:CT867997:478462:479744:-1 gene:GSPATT00004905001 transcript:CAK58532 MQSEDNEWQKVVQTTTKLDQQIKNIKMRLQSALTQLIIQSEENNKINNPDPVLPKTNVPL IIQHNSRSIETREKPALRQCASKSPIRTPETTDCPSPISSIVHNSTLRMQLKAAEILSPK YQNISDRFHIGKFLGKGKFSDVFQAQEKTSKVLVALKVIQKSVISKYKMEAQLAHEIKIQ SYLNHPNILKLFGVFQEQTKIVLILEYAPDGELYKLLKKQPNRRFSENKAGNYIAQIVEG ISYMHKMKVIHRDIKPENILISLQFLKIADFGLATYSPESKPRQSFCGTIDYMSPEIASG QDYDHSVDLWSIGILAYELTTGITPFYQSSKEDTMRKIIEGRVDFPKYVSNELQDFSKCC LRKDPSQRLRLEQMAVHKWIQMNHQAGGQYDRMLVQQLVTILK >CAK58533 pep:novel supercontig:GCA_000165425.1:CT867997:479777:481467:1 gene:GSPATT00004906001 transcript:CAK58533 MGSGTSNNQLNNYRMLSLLQEPERLKTDPSDFNLRWDDTTLFSHKNKLKHQTNLLEIVRN VPSKIVLNQNPSNLQAFNSKSPGIKTPFLDYVTTNLIDVVRKSNKMEVRMSILYEKVEIS MEKYLSIKESQMLSSQRQKQPRKSLQVQHTLEVVQTSHLEKSVNEEGMAQINQYTILESL GQGAFGKVKKASNFKGEIFAIKIANKKKLKKKLLSKSNAYTMLEREIAIMKKISHENVVQ LFEVIDDPNKDKLYLVMEYMGKGSILSKGFFKKQKTSSNILDEIDDKNPVSRLTEEQCRH YFSDFIKGLYYLHECVNVIHRDIKPENLLVNIENQLKIADFGVSHIMEDGGDGRISNQTG TQAYLAPEVFKGMNPLNHLGQNFDGKPVDIWAGGVTLYQMVYGKLPFTSQKSMELRQQIL EENPPFSQPQGFSSSVIKLLQGLLQKVPEKRLKIDQIIMDDWVTDFGKQPIINQYIEYVD VTEMDIRFALTSLNIQMALKIVVKLLYQAKKARRKIAERKNMKK >CAK58534 pep:novel supercontig:GCA_000165425.1:CT867997:481562:482225:1 gene:GSPATT00004907001 transcript:CAK58534 MTYRYSQELALNIYEKPPQNPDWLQKQENIDLILNKIKQQNLLKRIQYTINEQIQEIDPL LLRSHRLNKKLKEFDHYHEEQIETYRKILQSDREQITNAMSQRRRMGSFVKHVRSISTTV LKPSRHIQINNIVNPQNNDKKVEHQVITRQKIKSIQENRKTIDNCSKRLQQLFKEDSSNQ VSRVQSHLEQRSKIKKKSIELIRNLY >CAK58535 pep:novel supercontig:GCA_000165425.1:CT867997:482330:483053:1 gene:GSPATT00004908001 transcript:CAK58535 MNTSTSITNKHQSFKRVKERLVCEAIEKVERWRQMFTEGLQTNNGEIIKVTLNQAAEIVG IPKKTLEDYTQLFKKVKLLTADIKQFSNEKMGFLRSYLRKNQNKLKKKLKDIRLKELEAQ RKTQEMILEQNLLLKQKLQEDPETTDSCGSQQESSNLIICDDQNYIDTEIQFIQEENLNF EDYESHQYYFNRVSNFQQEEDIDNYFQSTQ >CAK58536 pep:novel supercontig:GCA_000165425.1:CT867997:483603:485423:1 gene:GSPATT00004909001 transcript:CAK58536 MSKLFFQDFLSSRTRSMQERKKIVKTQQEIERISERKISETLKSNEHMVDELRLYKMKLE KQVQEYQQKNLELVEKVSYLEVQNTTLQYELETSRLKLETIANTQQSSQTQQINILKEQV DSLIKYKTENESYKVQVGTLKNENQNFQKEIKELIETNASMKSQYQSIMKENKRCQQQLG TSQNEFYKLSEKFNQFESMYHSKIKYYEELQKQFELEQQREKFQYQELVRELHLLENENQ QLYKQLQITQFEKEEKDKKIQDEQNQLKLELNKIQDENKILEKSHRTLKIEKKVIYDNQN HIKQKINRLEQELNQKEQIIKQMEQELQEKILIINQLENIAYGLKQSAEEGKENTNQTLL QYKDLMKECDQLEQQIARLEQDNDIVKKEYEQILYELKDLQQENRQLQERNALLIKDNQQ IQIENTNLQMEIQNLNQRVVELQQYAVEFQRELKDHIEQQSKINQQRSFQNSQYLQNSAT LSNQRNSYNSIQRGTDDSQAMGNQSPLSNQTTTVNQLKKLVKQQCVEGNPNIGQQSIENT KRHQARTDHFSNSSKLQTDRQIDDHSKENCLQILQDKYYALRNRIYEQKK >CAK58537 pep:novel supercontig:GCA_000165425.1:CT867997:485467:486525:-1 gene:GSPATT00004910001 transcript:CAK58537 MLNCQHKPKKNICKLCGIAVYKDGSVAYKHNNFKSHQQAKNLFEDLSNINPNYERREELI DFLFQTKQRLQLTDQTFHLAIYYLDRCQHLLQFQNQKLISLLCLMVSSKMIEDDTHIPGM SKLSKASDLNLNKNEYKQAECLVLKTLNWKLKVSTLYEQVQSLLCIGVVFSTDTNDGILD NNIINFVCCKMLKDHSKIAYQVTKIPQLMQINTKILAMAIVLYMRKQCRLHPLPHQLQAQ LEENQNQLLIDTYGQLNNLLQNNSLSNTKSVSRALSPNTLTTCKSMPPVTRVTQNARMPL NIITNHQICVKPKLIRSKHQEQDLNPLRVKHKNC >CAK58538 pep:novel supercontig:GCA_000165425.1:CT867997:486555:487373:-1 gene:GSPATT00004911001 transcript:CAK58538 MDNQLGIGAFKFQSLVSREMRSSKDIINSRSQIRIDHRLSLIEKSKQQLKPPRKTKINQA SRSSNRQNAQILGDRQAQQFEFNVSSSSSSTSSEGIGEKDDQNRAIRSIKKLGMNIFTPQ PSPQKHVPTQRSQPRPQKKEIQFLRSLCQNSELTNNSLVKLDEYKIDKEIYVDIDCKDIT TFLRLQHEVEEMRRKQTDFHLQKQRHFEVLVKKDPKKAQNSQHEDFWTIMMAKRTAKKLI KNMNRKHNIPNEEKRVLSKSIHAL >CAK58539 pep:novel supercontig:GCA_000165425.1:CT867997:487377:488226:1 gene:GSPATT00004912001 transcript:CAK58539 MNQQAEKLRLYAQENVVFLLQKLMEDILAQQPKNVIDWSIAWLDKKGRDIVKSRQKQNAH SSSSDDEVIELPKRPVAARKQRASISAEAYGQYNRKESFQPRVIVKTQQQKEKIGKRLEQ SFMFASLDQKEKDIVIDAMEERSYNAEDWVIKQGDNGDNLYVVDQGELNCYKRFTKDGEN KFLKVYYPGESFGELALLYNAPRAASIPGQNQFCLVCIRQINIQSHCQGCSNEKEGEICK CPQVD >CAK58540 pep:novel supercontig:GCA_000165425.1:CT867997:488226:488669:1 gene:GSPATT00004913001 transcript:CAK58540 MMDPYERSHVADAIRSAVFQKGDYVIREGEQGDIFYMIEEGELIATKTLIQGQEPTKVFQ YKAGDYFGELALLKDIPRQANVVAETEVKLIYLDRHSFKRMLGPLEDILKRNTEKYEKYE QYWINQGK >CAK58541 pep:novel supercontig:GCA_000165425.1:CT867997:489190:489888:1 gene:GSPATT00004914001 transcript:CAK58541 MSQSLPIHAEQRKLFVLQQVSALETLFQKWINSNNLNEEQNLSSQIEEQVTQSQTATHIS HFQGFLTYLRSLNNNELECHTIKSLQQHFDYMQQVLENEVKLYSQRQILKDQNQQDNKKG HKFSKKSNIILKGWLYKHFSYPYPTKEQTIQLAEKCDLTCKQIQIWFINARGRIANKTYE EKKFKNIVKYKYLSINKVQEKSRE >CAK58542 pep:novel supercontig:GCA_000165425.1:CT867997:490049:491578:-1 gene:GSPATT00004915001 transcript:CAK58542 MDNDTSTCSIRSSSVKVQKIFDQYMAQIAPLPHLQNSTNKENSFSISNDQRSNYHTRIQT PDKTTQRSNSQIQALQALQRKNLELKTDNDSLKEQLKNYQNQQSTINEINTIYQNLQQQY IALDLDHKQLLQSYELQLSQLKLEKDQCIDSINRQYKLQLEEMKQQMQLQNERYKNIEVC RNDQDSLLQNTLDELRKERQMLNKLKLDQRLSIEDNEQQYEKQLHYQQKLYQEMKNQNAI LVKEKQDQQEQIKELETTYKELVSHQKQEIQKLQKQYTDIKGFFEAQMQQVQTEVSCAMQ ELRSKNSTYHQQLQEQELIIEDLEDLNRQLKQQLLFKDSELINLKSQLEQLQIQHEQLKQ MNDELINQFNLQIQDLSQKIEVEKQNFDEESELNDDDKKEIYNALHNDKTLQLTTSHSQN DLLYQQQPQTSTRDLEYKLLLSQIIEVEKEAFRLNNNYQTQFQQFSKNTQLSEQQKQHLK QEMTDLMQKIRINNSKLYELKAKEFTYLS >CAK58543 pep:novel supercontig:GCA_000165425.1:CT867997:491851:493810:1 gene:GSPATT00004916001 transcript:CAK58543 MRIDEPQNEQQLKKTKNSNDTQWKKYEIILEKFHRDAAAAEETLIQFAEPSSTTTNIQTF IITFYSLYTAMTLGWNEERIKSEIDRLAKNERIPKDITDFIEKNTQYFNRAYFYLEKDSY YIDIEMKLLQELKHRKFGQMHNLTSIENYERQDSLLNDNKTFVKFKIKANEHFSVNRELV EDFNVPVKQEFDYLKDLENTKQDIQKKLQQFRMKAIYQLYSHQNRALKKIFQNDKAHSGV IILPCGAGKTLLGINVALKIKRKTLIICDQVNDVYQWQKSFIKFTEMDKNNLAIILRTQQ EVPMAVLGREHIIVITNKDMISSNRKDIKHVTTLEWPLLIMDEVHGLPAEQINAEISKLK ANMKIGLTATPYRQDNKIKEIFYKVGPKLHESMIVDLKQMGYVSKIYCIQVYVGMQDLYK QKYEEYRRVNNQFVTNTLYQMNPKKFEVLQSLINIHRTRKDKILVFCEKVNKLADTGTLE KFAKLNNCPIISQKVEQTERSAIYKLYQEDKLDVIIFGQIADQGLDLPSANVGIQISFNF KSVRQEFQRMGRIQRKKENQIGEYDCFFYSIVTKGTREVEIQFERQIAVINQGYPYEIIS ADELKPPQSEADKKLQLDKIVDEMQRKVLETCTQKGDDDREEDGY >CAK58544 pep:novel supercontig:GCA_000165425.1:CT867997:493939:494419:-1 gene:GSPATT00004917001 transcript:CAK58544 MNCDKSILKELIQTNIILIEEAKESNLQNFNNSKMWIKKSFNNLFNLLPKETLEMDINQL LTVSEQEELIKDPQLSIDFFKNKFQRDIDDQDWEDEVETHLTPPKKLLPQTRTLQSSNMG FRKKPATNLQSSQKKLLSSAIHNKYKRKLI >CAK58545 pep:novel supercontig:GCA_000165425.1:CT867997:494469:494768:1 gene:GSPATT00004918001 transcript:CAK58545 MNDMIDNELCFQEMKEIEKRILKYLCEQLKLEQLTDPHLKQLNYEDSKKKQIFSFRSLDS DNETSDNISNTPSHRCSLLIARSPLILKRLSASISEQLQ >CAK58546 pep:novel supercontig:GCA_000165425.1:CT867997:495447:496982:1 gene:GSPATT00004919001 transcript:CAK58546 MDVEQEFDLFVIGGGSGGLACAKQAAKLGAKVALADFVKPSPLGTKWGLGGTCVNVGCIP KKLMHFASTLGELRHDQVEAGWIDTQVDSKHNWEKMVENVNNHIRKLNFGYKNQLMRGDV KYYNKLAELIDANTIKLTDNKGKIETVRAKTIVIAVGGRPSYPENIPNIQKLVITSDDLF WLQENPGKTLVVGASYVALECGGFLHGIGNEVAIMVRSILLRGFDQEIAEKIGLYMEEKG IRFIRGCVPDMIEATEDNKRKVTWILNGQKYEEIFDTVLVATGRISDTQKLNLEKVGVNL NKNGKILCSADDKTSVPNIFAIGDCVEGRPELTPTAIKCGQLLANRLFNKANELMSYDYV ATTVFTPLEYGCIGYSEEDAIKKFGEDKITVYHSIFKPLEWNYFEMHSGESCFAKLIVLN NNRRVIGFHYLGPHAGEVTQGYAVAMKMGVTKEQFDSTVGIHPTCSEELVQVTAIKGIDE AQKEGC >CAK58547 pep:novel supercontig:GCA_000165425.1:CT867997:497252:498370:-1 gene:GSPATT00004920001 transcript:CAK58547 MIRKLFRTPIFRSLKFHAPAIYMFSQQNQDPKFSDEIMNKLKNQAQNFYDNIVVDNKLQH VDVIDADHFNQLVQESNQKIIIVYCFAQWSLECKEFKEVLIKNMEKYSDVSTIINIDIDK HPNLITQLQINSVPFAGVVYNNQFVDGYFKNGKFDRFMTIVEQISRILRGENVEQMILEQ MAQLFDSKNYQGLMTLTTEALARDNVKKEHPKYLLFKALAYVLMEDLNKIQETLDLFDKQ YKDDLEDMNTKQLYQLVQDQYKLVKQIKSMTPEIENILVRINDNPENYDLYFDLALEALS IKNNELAIKSLLTIVKKDKNWADKKAQKKLIEIFGELGNQHNLVIQGRKELGKLLN >CAK58548 pep:novel supercontig:GCA_000165425.1:CT867997:498547:500327:1 gene:GSPATT00004921001 transcript:CAK58548 MNQQENAIKGVLKQQLIVDSESGPYKTIQEAIDRAEPNTVIKIAPGLYSSNIVINKPGLR LEPKEKVGDIIIVVSSKPTILVDLKKDERCTLIGLKMSHSGTSEEVEELEKLIEGQEMAK HLFGSHEDGAGGLEQNPDEEFVSKVTIDSSLNCVVLLNGGKLFMEDCIIALNFIVKSFKG ILPGIAVNQNAEALFIRCEIKGTSSKNQDAKTIGIIVKLGDLIIKDSKIHNHTYGGILIQ QAPTNKNVRVMNSKIIQNKKVGIHVVGSDAIPQIEQCRIENNEGPGIKVGIGNRARIYGN EIKTNVVGIEVLSADPQIFNNKIDKNFTDGILTKVFEQLRCDGKIKTNQTISGNKENGIH CTGQNNYTRIEQNAFIGYNKKAGIKADTESRISIYKNKITKNLGQGVLLVETSSAVIEKN EITDNIKANIALGGANSVDTFIVENKILGGRCEGIFLIECGRCWIFRNKIAENNDGIVCI TAVPIISGNEIQKNKSNGIMIIKDSRPEIIENKINHNDGIGLFIRDKSHGKILKNEINAN EIELVVERRNPSLESIVQDNQVSGDIRIPQNYDCTIQ >CAK58549 pep:novel supercontig:GCA_000165425.1:CT867997:500637:501029:1 gene:GSPATT00004922001 transcript:CAK58549 MNFFQTQPFLLNKMWLLIIYQEIQVELRGFNANQMADNIFIWARIVIGLKAVQDKTNLFK HYLKTFQSWIKFLRAKSISKPFTSIQNYEEMKFRLVAKIIIYFMFCSRTSQNIHIKITLM FYAYDASVLR >CAK58550 pep:novel supercontig:GCA_000165425.1:CT867997:501304:506026:-1 gene:GSPATT00004923001 transcript:CAK58550 MINQEESNNHISEPNEQNSRYKIVFSSEQESNQPQCIIKLKDQNIKFFIPESEDAQELSA LLENKENAKTPQQPLYVEQFFKKYNQFEDRFNAFFTCSPRQYRISFDLNQLIITIVYWSA YFSVLSESIAIENNQIIKNDKIRQQIAHQLPVYAFFYTISSQICQQIKNYLIWILLFIGL IIIPIFVLLGYHLNIFGNQDGFSQDYIGIGYCIVSIAIFINSLINRGLLEYIRRVSSAVI ATIFNCGFCVLVSYFILFKIIAFVLLIQSTKVEYIIDNISKIIAPSTFLVIFLFSIFLIK GLFYLPKKTIKYDLYQLSLLKYADNDIKNEYLNYNMNKRAKQIFKKLKLQKFVPWMITIS YVIIEIFCYANLIIGLQSHDFEQIVTFGFLILALPIFIIFGICISNSRFKRQNLYLYTPL LFGAALSVICIKVWSSTIENEYIKSISRLIGICPLIISLMWLTVGYFRSEKRNQKLFVML FSCFSFAIPFGILLTFSEYFDDKGLQIGAFVLASAGLIPIIFLIIYYIIIVIIYLLKLPK QAENLQFVAYEYVNLNSYAVWYNSICYIISFYLICYFAWNEPKTATGTKKGTIIGLLSIH TILFILTSHALSLEVKEQTQKDIDQKENQTYTQKLLNRMQDFTTVGIILPLIVLLPIALT IQSEVTKNALLANTVGVPLSFLYYKFQISLKQESVIYQQFIQPLVVIIGWTFIIGPIGTI FPIFADYFENSSTEFALFAQLAVAYSILIIIISVTVLSIFYSVLLNKEQLEMKKKEVLRA VMKEFSENGVYATEEISSLLFVRYVQHRNSSKLEADLIKGDPVNMYEHPDPAENNNIKYI LVTKQDFENKKKLEQIQERKRLKIRKSLSDNEVEMASLTSADTIKQRNQEEEQGFLYKVQ DCLFSCLLCKFGFYDLEDMELNEHNKQKDLQELEKQKQSFEQRIEIKKRIRQRHSTILSK DTKYLDQFQPMMSDSRRAERFWITVYHYFINKGDLSNILEVLKLEKDYEILKQYQSFEER NEGFILSEEDFALLIYDNFKYEDRSYQETVQELAIASYYHFVNDYPNFYEQITKFKGVSS VIQEHNFIKIEKFKDERRCLNKIQVPARIETDKLNDLQSAEQKDLNDKYQQKILEIENNY KKKIPHKWEKKIWEICKEIHIAIWITPKKYTQKFMNQLADLYSKIAPIEPKAIPINEDWT KVAQQVCRVLVEEFNKFDRKANEQEFHFKLTITNLLAVFLRVYDLYGLITLSFDSQVGWF GEKSEFKPIKSVDYSAIWSEYNFFFFMALTMSIAYIVLGLQASKQIADNSFGFDEDGSIA GIKSFRFWVSKTIQIISGQFIFVMKTYIDAFICDYSEYPYTLVRQPSVECMSDIHFLYIS FAIIGCGIYYPLSTYLQPTFQFMDRSLDLKYKSNFVVLYIQAKLLILGSSSVFSNLQESA YQYQMLFSFAVMAGLIYFHFRLNPSYIKWFNIVERSLLLILCYFYFGAFVILTSKSVLVG WIITLIFTLGTLIYLTYYLIQEFYQNKVNQQNQIRPIQSQEQS >CAK58551 pep:novel supercontig:GCA_000165425.1:CT867997:506765:507962:-1 gene:GSPATT00004924001 transcript:CAK58551 MRKTNTNQKTNDNNLNYLNGGGFAVRPKSLLCTICGREFGTASLEIHQKTCIKKYQNDLI NMDPGHRQQMPTTQQVLQKLNQEKQVRQQGGTKVGQKSQQVLYEQPQQVMALVGCRKCGR RFNPDRIRKHESVCIGPEPDIQKIKEQQQEQNKRAAKYLKPKKTGKWKQEHLEFQQAMRE MRKVRQQEIAEGRGNPFGHQQYGQSYGVSNKQQPTKYGKGNQYQQNYNNQPQSRQYQQQK QPQYQQQQQQYSQKGYSQSVKATHKQPTYEQQQTKMVQKSPISQMPQKQYQQPTKSSYND VGYGRPAYNAGGGASYQIAHSNVSTFSLFTQDGKPKNYDNYQQNNPYVKKNGLY >CAK58552 pep:novel supercontig:GCA_000165425.1:CT867997:508415:509857:-1 gene:GSPATT00004925001 transcript:CAK58552 MQKIVGKYSFNMNHLIGKGAYGTVYKGISNDGLPVAIKVIDRRMINQTNTQQLLNEIRSM KQLNHKNIVKFLDFYETQNNFYIISEFCNGGDLRDIIKRGKLDSKSVVNILKQILNGYHQ LYQNSLIHRDLKPANILLHQGITKLADFGFAKKIDFENDLMTSIAGTPLYMAPQVILRQP YTSKCDIWSLGMVLYELLFQKPPINAENIIQLQERICKPIIVPQLDNSQLQQLIQGCLQI HEENRINWDEIYQNPLIQEQQQQENTKRQYAGILEEVEPDQTDYRKFLITNYLQIEFEAN QRIYLNQLNFARSAFNFSFFIQQFEQSFQDLAQNLRWFSFNWLQQLENSKFLKEQQKFFN DERGLYCITQLKQMKQAFIDNCPENYQSLAFDMMKLLSILEEHQTNLSANLGTLNRHQLL ATYMIKYLNKTLRTARLNECFYVADLQDIQKFPDKEIMQRILEF >CAK58553 pep:novel supercontig:GCA_000165425.1:CT867997:511172:513997:-1 gene:GSPATT00004926001 transcript:CAK58553 MSGLQKQMQNSESKTLMSRKSFCGSNSNMNQLFRPEFGSTQSLANHERLWHLMQNYLPSD KKSIQEQIVNHVEFSLARTRFDFHQVHCYQAVSHSIRDRLIESFNDTQLYFHEQDCKRVY YLSIEFLIGRCLQNAVGNLGLQDSYTEAVQELGYKLEDLYDEEVDPALGNGGLGRLAACF LDSLATLNYPAFGYGIRYSYGIFKQLIQNGQQVEAPDYWLEKGNPWEIERLDVQYPVKFY GRVVKRHENGQEKSLWEAGETIVARAYDTPIPGYMTFNTIALRLWRSVPANEFDFTSFNE GDYFKSLEAREKAEYITSVLYPNDSSYAGKELRLKQEYLLVSATLQDITRRFKKVRRDWS LLPEKVAIQLNDTHPSLAILELLRILIDQEGMTHANAWEIISKTFGYTNHTVLPEALEKW GVDLLGSLLPRHLEIIYYVNMIFLNKVSAKFPGDAHKLSALSLIEEGPVKKIRMANLSII GSHMVNGVARIHSDLLKTDLFKDHYEMRPKKFINITNGVAPRRWLRSCNQQLAKLYDEWL GTDEWVLNMDMLKTLEDKCEDQLALIQFMKVKRNNKLRLIKWVRQYCNVEVNADTLFDIQ VKRIHEYKRQFMNILYVIYRYLLLKDTPTEGRRKFAPRTVFFGGKAAPGYLNAKRIIKLI NAVAEVVNHDLDTNHYLKVVFLPNYNVSSAEIIIPASDISQHISTAGTEASGTSNMKFVM NGGIILGTWDGANIEIAEEVGLDNIFIFGARVEEVGKLIDNMKNSDPYQYVQKPLWNVIQ AIRSGIFGHDHHGLLDSITNRNDFYLVGHDFYHYAQAQIKIDQLYQDKIQWAKKAFYNSI RSGKFSSDRTIHEYAQKIWNIKPIVVPQPTANKQDRFKIQK >CAK58554 pep:novel supercontig:GCA_000165425.1:CT867997:514051:515119:-1 gene:GSPATT00004927001 transcript:CAK58554 MLLKERGYYWSNGSFYYGQWMDNKISGVGLFINNDNIIVGQFNNGLLHGYCQLIYSNKFK YCKYENGLQVGLIKYEYFNGETIVDNTQTQDQEELDIINKLNNSYFLILLKTQLKNWKLM NETQLYFSTLINQNQNENRRIGVLYDTKQNKFEYGYFLNQQLDGKGGIIFESGDKYIGDF KNGQLNGIGIFYNKSENQYTQSRFNNNEIVEIIKQSKDPISLRNQWHQIPIPELISKNHL TPIKQSNYYSSKNFSTKLSRSISPMRPTVSVKKQAMYSQIKNYPNIEYKFGSIQSHFGKD DLNIIKPYRSKQINSYIKQSTRIDDQSILQLHQQLFDDDQNKFKLFHN >CAK58555 pep:novel supercontig:GCA_000165425.1:CT867997:516311:516796:1 gene:GSPATT00004928001 transcript:CAK58555 MFGQNVDTQDLKILKCVHDYKIMSKKLKIRAFAQFHQCQYNCVKDINSKTVETCFKQCEL ELDNYFEEKQKRKIGNKVFYALPIYNNSDPSKQYLIPQDQQGEYQKKTIQFEIESQRKLN ELKNILDERGKIYKL >CAK58556 pep:novel supercontig:GCA_000165425.1:CT867997:516811:518174:1 gene:GSPATT00004929001 transcript:CAK58556 MATHYRLDEQHHLLSTHKTKFQLPKGHFLFTSESVSAGHPDKLCDFISDSILDACLDQDP NSKVACESACKNSLVMVFGEITTNAQVPYETIVREAIKNVGYDNISKGLDYKNASIIVSL DQQSREINQAVVGAKHEDEIGAGDQGLMIGYASDETPELMPLTHHLCNKLIARLQECREK EICPWMRPDAKVQVTVEYKRDGATFFPVRIHNVLISQQHDEKITHSEIQAELHSHVLKHV LPQQYVDEHTQYHLNPSKAFTVGGPYGDAGLTGRKIIVDTYGGWGGHGGGAFSGKDPTKV DRSAAYAARWVAKSLVASKLCKRVMIQVAYGIGISEPLSISVNSYGTHAEGYDDDDLSEI VQESFDLRPGVIIRELQLRRPIYAKTASGGHFGRTESEFLWEKPRIIDIVAWKANKEQQQ QQK >CAK58557 pep:novel supercontig:GCA_000165425.1:CT867997:518175:523498:-1 gene:GSPATT00004930001 transcript:CAK58557 MDNQAEELISVENDQNNHQTEVIYQNQDETNNNLQDLNNGQDEESKAHQINEDQILKNAN EKNQQFEQLQQNFENVKLELEGQIKKYASLEIQYSNLQSSQQKQIQELENDFNHKNEEYQ RQIQEYQSKLDNMQMEYDKVQNTLKKSEQKYNQLQEVQLEQNNQHRIDIESLIEKLQKSE SSKDKLQQEMQKHQSNLDMISKLKKEIDSYKIQEKEQNNLIDIIKQDNKIIVQNLAEQLR KEQQKTHDVNNEKVKLEQSVQRQLNEKNEQIKKIQNEIHQLNQQLSSSEQKNQKTIKSFE EQIEKANAEIKDLKQKSQSINNNNEQQKMKIKQQEDIITQIIDENTLFKKQIEESQKQII KLKEQCQKEIEQKSRFDIKCLNLLEEKDMAEMKISQLESKLLEQQQTYKSFENDSLQDIE NFQNTIKSLQQQLMDEKQISEDKIKELNNQLQQQIQNNQVITIQQQDLNSQIEKLNNQIA DCEKQDLQIQNLEIMHQTQKEQIEKLNQEIHQNNEKLILEIDSKENLQQKLNEYERTVQE LQQKNQDYLVQFDKLKESSSLEKAQIQQELQNAQEEITNSKQQIKIELQEKEEILINLRQ NIEQQSIDVSKLQEENNNYNLKLQQQEETLLELKNQVETLTSQINEKENTIKQLEEIKQS FQSQLVLWTESINLKVNDLEQLSQQNDQNTKILMQEISLLSNKTKDQNFGSEIEINIQNE SQKDKKIDQLQQDLLELSTKLESDRQILEAKCSSLREEITKQYEETLQSNLNAQRLQIIN QYDQQISELSNEIQIQKQLLLDCQQESQQFKEQQKHTIEKYIKEIEDLTQICQNEESQKQ ILIKDLESAQLLLQEIENIKEENKILENSVQTEQEQKNLMVQQFQKEFDIQKSQILQEQK NNEVSLEKINQLQQQVQELVEKQDKMHEDLELMQASLKQEQEKEIKLLSEFNTQGVLLES TQSNLHAATQQMKEQEQQYLSEIQQLKDHIVVFNNKIQEQEEQIVLLKNSHQVELESNSN NLEVKINQENQNSLLQLRNEFETAAQIFNEQKQRMQEEIEGLQLQIEQIEITNLEQKQLY QEQFEKKSKEQQQEVDLLKKQRMEQDEMIQSLQISQVQLKEKDTQLEQLNLQLSAFQEEL YQMEQKYENKLLSIKQKHESQIDDLHRLIQELKQQLNTVESEGRMMQNSLNEMEVLDFKC KELKTENQELQLKIQELQKGPDQEKSDIQNNAQNNELKDQIEELNSQILKQQREHLQIQQ QLIQEKEQQNNLIEELKEKIQEQKIQILQSQEQVQTITQQLQTKQSQDSTNLTNPTPFFK NHSYEQAQLSEIQQKIPQKDYQILENKSKEQQNQIRQLQSELASLKQLQEDQARMLHQKS SRNSTSTSMEAITEKCAALQSIIEEQSIINSKLNVEIGLFKQQNEQLKDDLKLCHQELRE LRVISEDRFRLESELQQALALVAEQENKANIFTHNTQLTKELQEKNQKQSEELESLRKQL KQFERQKQKVQEKLTETVEELEKLKKQLEISQEELDRRNTKIIELEKLLQSQLQDFKILE SQYNESLKDCQILQKQLDDFNMLSTREIEELNKDNQQKQQRISQLQGEIQSLIKDVHAMK DFQARQKQVSEAPLTKKDKIVVESLVNRIKELEMQNKTIKDQFSGKIVKLTNDLEEKVSY IQLLAYHLSKAYPNLTEADLIEQEDVKLIQQLKKKQNVQEIIEKTMIENLQLREQLKLIG AELNKKKS >CAK58558 pep:novel supercontig:GCA_000165425.1:CT867997:523885:524592:1 gene:GSPATT00004931001 transcript:CAK58558 MFLFEKKSQRNSTEQQSLKRLQNDMLDEKLQEFDDDTCEINFKMVSSILQIVMIPKIGPY GFKKFFFFLDFRINYPYSPPKIQAESDIPHPNIDRRTQTFYLQLLEPQNWRPIYGLTDII KAMKQTLIYVDFTHIPNEATCLLMAQKILQQNQTLQEIDFELIHFEISDNFKINFELNAN EFSNEEYNYSRISQEPATINLLKTQRHTQNDRIIFNNIKS >CAK58559 pep:novel supercontig:GCA_000165425.1:CT867997:525066:525613:1 gene:GSPATT00004932001 transcript:CAK58559 MLSLYPSSHSQIQILKCSFELIIYLRINSSIFNNLNSSFQQHFFFIFIQSATNQPQCILT INLFHLHLFYSKTLLNYYKQLFSLYLIASGQNFITFKLTLSQINILSNVSIQYQERLQQT RKYSCYICIKYELNIKSKQLNPIFSIILIKYQWWNQDLIFQSKDLIGQDLCQI >CAK58560 pep:novel supercontig:GCA_000165425.1:CT867997:525761:527463:1 gene:GSPATT00004933001 transcript:CAK58560 MGACGGKSGGDKQQAMSKEQKQLAVNKFEVGPNIFVNLKQGDITDTYIINKILGEGSYGQ VRLVQHKKTGQQRAMKQIQKKKILKEQEDAMFSEVALLKDMDHQNIVKLFELYQDSQNYY LVTEYLNGGELLDKLTKLSTFNERTAADYMKQVLSALSYCHAQNIIHRDMKPSNIMLASP DPKSPIKVIDFGTAKKQLSGESQTQVIGTPLYIAPEVIDKNYTEKCDIWSCGVILYQILT GKFPFDVKVQSLQQLFNNIKSGKYNFNSKEFTSLSFEAQNLIKSMLQLDPKKRPSASEIL NDPWIKEKAKEDKISLDVMNDLGKFHNESNMRAAILQLIAGEMMTNEEKDQLNQTFQSMD KNKDGQLSKEELVQAYSQVFNDELKAKHLVEDIFTQIDQNNSGKISYTEFLVASSKQNTI LSKTKIDQAFKMFDKDGNGQITKQELQDIMCGVDIDNAQWGQIIAQCDKNGDGIIQYDEF ANMLLQTAKK >CAK58561 pep:novel supercontig:GCA_000165425.1:CT867997:527518:528537:-1 gene:GSPATT00004934001 transcript:CAK58561 MILFKNGDKFEGIRDQNSTKLNGSGTLKFNEDNSFFKGKFFNGKFHGEGQLSINKLSLTG NWINGQPDLKSEWQIDGPNYKYKGSINEEFKKDGFGTLIFDGNCFFKGDFVNDKYQGQGH FKTNELEYKGGFYNNKFHGKGLLLWQNSKYEGNFEMGQFNGKGKLENIEGTYVGDFQNNL KHGEGILQYKNGDQYEGQWLEDEPEGKGKLTQWNKKFGCQLIYSGFFEKGCPEGKGELQI ITEGQDAKKYIGGFNEGLFNGEGIILLDSNVKAEGQFFDNHISGECNIYFLKESQKLECQ MKRNQKDGHCSMKIKDLCYQGSFRSNIEKVDSIRFYSNY >CAK58562 pep:novel supercontig:GCA_000165425.1:CT867997:529059:530451:1 gene:GSPATT00004935001 transcript:CAK58562 MDLIDTKVIELSSKTGIPDDQLKIFISLILCTLFGFFFKLSIRGRQARLIAGSVFGVLIT YYIYRSQIVNVLFQTVVVYIMVKVLGKKSPWPVFVESMIFVAAHHIYRQYTDYGGWKMDV TTILMMDTPKWTAFAFCVSDGLKTQLSKEQEIRKIAKLPSFFEYFSFIFFFAGSVLGPCF DYYDFDQFINEDNEYKNIPSTIKETLRLLKNAIICMGIFLFTEKYFPLHFVATDEFGTYN VLYQTLWFNIMVAIQRTKYYGGWQLGEMSMASCGITYQGDGKFEKIKAIDVDWDLTYNMK DKVEKWNISIQTWLRRYVYTRIYTEEEMKKSSSKQNFAYQMTYVVSSLWHGFYIGYYFSF FQWAIMNNVNKAIFRVSCNTNYLKIFETNPLAKIVRWYVANTVFNVFGITFLLLSVDPIL KFYSNIRYVPHILLYGTYAFFLITNFGQKSKKQKE >CAK58563 pep:novel supercontig:GCA_000165425.1:CT867997:530586:530831:-1 gene:GSPATT00004936001 transcript:CAK58563 MGCNIQKHQYTKNDIIISSPPPTFPSSHEIIMMPYALDEQHPASRMPVQIHWNIKDQKSK EQSSEITTSFSPNTASKPRQY >CAK58564 pep:novel supercontig:GCA_000165425.1:CT867997:531449:532590:-1 gene:GSPATT00004937001 transcript:CAK58564 MYQQQFAKPPKQIKSKAFKDRLKGHKDAIITLISPYGNNGNYLYSASRDGNVKSWDLLNR QIASKFLISKISDDTTVQDQDENQQSMKGNIICAATFSEFNIYVGYDDGFIKSNNIKTGE PVYAYQGHKAQITSIHFLNIRQLASSSLDGTVRLWDTTSNECEVIFNIGYPINMMNVVFD KEINLLYGKNTIVQVDPYKQSIVRSVQFPNFTIMSYLVHKNLLILGTIDNFIHIYELSQL DQPNPQPIKVIVGLHGWALCMLVHDPYLYVGTDDKKIRVYEMKNWEMKEDFSGHIDGVTT LALANGLLYSGSYDHLIRSWDLEEMYQRIRERAIMEKEDLNSRRYDVYLKSLPKKKKGMA KKKK >CAK58565 pep:novel supercontig:GCA_000165425.1:CT867997:532626:534056:-1 gene:GSPATT00004938001 transcript:CAK58565 MQQHYLDSPNTANPPPVSNPPAGAPGASHLDEDWLLIAGIVLAFSQLGFGFLEAGSVRYK NAQSIVIKVFLGMCLTVLIYWLFGYGFSYGDDFGTFFLGGTKLGAYNWAATEAQNDYSNF VFKATLSCIGVSIVSGGAAERLTFLAWGVLALFYSGFVSPAIVHWTNSNGWLTKLGYKDM AGSGFIFYSAGVAALVVTVVSKPRKYRFDPNSSLNFQPFTSIYVAFGTVILFATWMFING GQIQSGQATPYVQGLVAVNTLVAGAAGGFWSFLTRYFTKETTSLFSISRGILAGLVASSA AAHESQIWATTIVASIAGIVYTLTATALPKVKLDDPVQVVPIFLHGGFIGVLFTGLLDVN GGLFYGKGMKLLGCQLLGLLIITVWVAFFVFFILIVLKGFAVLRIDSDAEVAGIDKEKCN YEAISFSNEDQQQVNIIKSVSY >CAK58566 pep:novel supercontig:GCA_000165425.1:CT867997:534087:535092:-1 gene:GSPATT00004939001 transcript:CAK58566 MVNIKKKNLRARQGTQRWRKNIIVEMGEFENIDFTQKDAKPVDFQVDAQPINIYENKDRF KKKPADPLKQSKQEKIKIQNMAEQTKKQQQPEKKNEIQDVWAEEGRFRKIQTPGVKAIVT PHPGQSYNPQLKDYNELLDDVVKKEIRSHQRLQNDETETEKAQKLIQREREKKKKRKMSM IQKVHGKEVVDRLIEKKKNHELLLVKKIKKELNAEAKLRQEKRKQQRKEKKLLERARKLS GRNLVPIKVGNKKVEVTQDEFVLDDQLKSHLRHVTQGDLLVKSEFDGFVRRGLIEPKSKS NKLSKAKVPLFKIKEK >CAK58567 pep:novel supercontig:GCA_000165425.1:CT867997:535619:536834:-1 gene:GSPATT00004940001 transcript:CAK58567 MKQLDGNGSHSIIILFIMGQLQEQKLPKFLNTRILTRAINLTQSYGNPFHLIRILDGIGT NLGTSPNQSVNQKQYHHTITSRSIMIWIIIGKYLYCQNIIMRKWKWRAQDAKAVLKVSSG MASQQQDNQSSIQLHTRMNIQKFQIVVVVSQINSGQQSVIDAKCSPYIFVDSRKHARQQA LNNNIQLIIDPFLPENLEKQFVKKERKNQPKCLLKVDNTIQGLINYFTAQNIRHKIKHPL FLALKFEKCVKLYLKKDLFVKNSKDAILRVQSILMQSSQERRDSNKEMALLFQVDQTNEQ EFKKVYEISQIFENDIFMLFLKEDQIPEGYIPIEDISRINVSQFNKLKKLNQLLIQKLRG IIDSQINQIAGIEQ >CAK58568 pep:novel supercontig:GCA_000165425.1:CT867997:537343:537952:-1 gene:GSPATT00004941001 transcript:CAK58568 MEQKPKLAFGEINYKNLEQFKVITQKTLPVSYSENFYIKILTYSDFSTLGYYNDIAVGAI TARIEDKDGKKTAYIMTFGVLDAYRRLGFGTQLLNELINRVKTHEEIRTIYLHMWVSNEI GFQFYSRHGFEKTVYKRNYYTDIEPPHCYILTKRLYPDVDPPIPYTEEDTEKESEIAQQ >CAK58569 pep:novel supercontig:GCA_000165425.1:CT867997:537974:538793:1 gene:GSPATT00004942001 transcript:CAK58569 MDSRTEFDGRIHFFEYFSLEKFEESLRNALQYSIGIITQQDRFIQYYQYSKEIAYLIEFC INLPYALKYNASYAEYFYGFFLSEQKQNNKVKRVLTLMLRIMIPYVLQKLHEYSNNPNQD RKKKIIQWLYRGFKLIDISMKFQYLLNDEQKSYAVFYYFIRQDLIRFKMESPYKYGMLFV YLGLKFLDFYFSKKNNNVQQKNQVIQAPKQVDAKNVQKYCPKCKRIPQIPSVLKTTGYVY CLECLQQMVIKNEITNHHIIKLF >CAK58570 pep:novel supercontig:GCA_000165425.1:CT867997:538795:540804:-1 gene:GSPATT00004943001 transcript:CAK58570 MDITAELRSLKNGEILLAEGFSLFEAMSATELFDKKIDVKLGLADADTPQKLLQSQQIKA GSQLTNEELVGLFDLVIQKITCWLNGNALAQTIYTLVYQYDDSLWDNPFVKALFDTLNTV GSQIFKIIKEAPFLREEDYNPSVFSFRNKRIPTIQELLTTTLNPLDTELSGKVKKSKQLT IADALSSRIKLLKGFCLIVQSLQQPFNAESQKQITDHIEFIRKRFDAVVQTKAMGKDMSN YQSVTILKHLKSMISMRKIPQMENPYEYFSTMLIQLEAVLDLSGERNYFSIQQKICALIH DYPKNILIRAYLEQNLFKYDQFLYFHQTPWINIVIGTLNKMFSQNFDQLYQNVFKEYQDC LVPVTYEYIIKQLRSKFRKSRDFHKNYSHLSILVHHSNQIDQDIFKNKNPLMIFSYEIAV QSMIQQLQYGFDLELYSDVDYAGIYFYLEYLTTILDRNRTNYVNQLTNSQREQLQNQNKK KPKVSPQIDQMYFEIQYWKGLNCLFRGLSRLYYTLMENGFIKKVQDMDHRYSNRMKIFDA CYYIKAIRFEEYTQKMKSYGSFQETLKFVSSSLSEAQQLFAQVKDNKMVSQQMQDQSKDL VMICVVTSVNAMKLIQNQKYQVSFKKTTISFVPQIELIALQQ >CAK58571 pep:novel supercontig:GCA_000165425.1:CT867997:540816:541424:1 gene:GSPATT00004944001 transcript:CAK58571 MSKSKMQLYQDYEYVQPQANVNLINTHKCIKAKKIRKFKVPQISKNNQMTPNGQLICSQE TTTNYSSKPSQYRRETIFSKNKEKYHCFHGFYNVNLGALQQNANKELLDVESHFVEMQNS SHKQIFLPLPPIKEVEDNKSKLKEVKINEQLYSQDNLELILLKIDKQHKIKIEYYIQISQ TKPN >CAK58572 pep:novel supercontig:GCA_000165425.1:CT867997:541897:542530:1 gene:GSPATT00004945001 transcript:CAK58572 MNFQILGLLSQLPSKKYVRKLFEYAYLFRNKLNSFQEFMETPVSASLPQDLQMTEKQAHT LIIGLVKLLENAELLQWNEQAILQLFPSTFDQQLAKLLTDSILQISELCDKITNREICQA DSLIDIDWRVDIQIQTHNQQKVNMPVLFLQLETLNTQKNTKENVTFQLNQNEVNNFHNNL CKIKEQLQTLAQ >CAK58573 pep:novel supercontig:GCA_000165425.1:CT867997:542559:543239:1 gene:GSPATT00004946001 transcript:CAK58573 MTEKVKILMLGEGAVGKSSLLSRYVDEKFSENIQATLGVEYRQKILIQGESQVTVQVWDT AGNQNSRGLGQERFRVITPIFYRNAQGVSLVYSVIDKNSFQQVQTWIDNLKEQIDCEQIS IVLVANKCDIAQREVTTLEGQQLAQKYQIKYFECSARTGAQVKEMYTELVQQILVRRGQN QNSQNGEKIPKNIQIDGGETQPQKCC >CAK58574 pep:novel supercontig:GCA_000165425.1:CT867997:543522:544172:-1 gene:GSPATT00004947001 transcript:CAK58574 MGNICIHKQEDQRAKIIKIIGYPRLSCPNKLILVPSIQNLTQNLDTFEEDFYKSEFILDF QPDIDRNQSTRKVKLGSTIEEINRLNLIQCPSLCHIDDNYEIHQNHQQQQMSKHHQNNND VILRGQKHNQLKKRNKKVSLQKFEQPKSILKSHAKLQIPSYNSFYKNKSSRTVTFSFASS KSRNSRSLSPMINALRVEKQPDVSRSMSQLLQFPYL >CAK58575 pep:novel supercontig:GCA_000165425.1:CT867997:544639:546802:1 gene:GSPATT00004948001 transcript:CAK58575 MEAKNKTKPKSTKQKAKLQQIMAISFENLQKKSSPSDNQKPVIQEEQEESAQQFQKISED EYQNQLDAYYQEQNKRFSFSQPMPDLYQVQLQQQQQQYQQLLNKQQDVTPVSPKYKQSQN QNQSQQVYRQQQQQEQNINESHLTNITQQSPVFIPQLPINQVFQQQLDRSTKKSSHQHEE LFQINSQLDDSQDIPQMKKMSIKSEHIKKQSRQNNSNTNNISNILDNFLSHRQKITDQSG LYQSELFEQPQLQHFGGGQNEQSVIQQQSLLQSIHNDTMEIVKEQIQQCHFDDIYQNARK DSSMQKSLVNDKDEEDLDNLLQDLYECTTKQIPKQVIESNPKLVNQQAQEVMSNVFEKVP QEKQPQNTKPVPYLTGSKIISEQKNDNSSPAYKNKLQDEVAKFSTQEQKKVSIEDYFNLK QQSSQNQQSNQTVKTQQNTNNQVFHYQSIQDKLKNSIQVKEAEIQNQNQQQSQMSFNTKP FQIILDMNQHFDNPKKHLLTISQYLFKYSKLIQEPNLKRLSEIKVQAVTDNNKSHFIQCE QYDAKQFKDLLKEKDSEFFQLCSTNFILFQQGDANENNSAWTKCYMLSENLKSYLFSLGF IVDNVNLENILKETYLYQEKNSKDKYQLDLDAAYFSVYLSFKLIYPDCDKLMEVVKFFSD SETNLIEVSTAKLVLNKMMDEKQINNVFKWPDSSIKYDQLFKVLTLLPY >CAK58576 pep:novel supercontig:GCA_000165425.1:CT867997:546973:547128:1 gene:GSPATT00004949001 transcript:CAK58576 MARIGEILDQEERSEVQIPEEEENGDLFNDKATQKFIETLAKIKYKHPEIY >CAK58577 pep:novel supercontig:GCA_000165425.1:CT867997:547160:547532:1 gene:GSPATT00004950001 transcript:CAK58577 MSLKSEMKSQRRQGVKDEQEESEEEEEPNIGMTPNEEQIQLKKRIYRCREEYYQQKKDFL KVKQKTVQEIEDENKEFEKLLKNRSLKIKKYYKGLGKERESDEIDKFLRKYILTKD >CAK58578 pep:novel supercontig:GCA_000165425.1:CT867997:547585:547950:1 gene:GSPATT00004951001 transcript:CAK58578 MDAQSFQMGLPCLRRLYTLSGLQSITLLTQIEKALVPKTCKPPKTEEGQQLISPYKYCRA CQINTTQENDYYESKQDYLCVDCFEKIKINRDMSLQKQRFQTLINYLKETLNDQKKNCLL I >CAK58579 pep:novel supercontig:GCA_000165425.1:CT867997:548031:548267:1 gene:GSPATT00004952001 transcript:CAK58579 MLKKQDFGLKNDDLLYADVKLLNQYVSIRKLAPYLLRWVNQIKKNNAEKPFAQIRKSTKR NTKLILKGIDPREFQKHK >CAK58580 pep:novel supercontig:GCA_000165425.1:CT867997:548675:548923:-1 gene:GSPATT00004953001 transcript:CAK58580 MRPTATECLSHEFFTFHDKPTSAQKKTFFAQTRAATLTVDFSSPEEKSEYKGSFVTNDIV PQEYGMQKTNTKFNTTEFDQYN >CAK58581 pep:novel supercontig:GCA_000165425.1:CT867997:548962:550032:-1 gene:GSPATT00004954001 transcript:CAK58581 MFLTCCPNLYSMLLKTQINLIDNEILLSLDLQPPYKAILKKDFLHIYKDEEPLFSLPLSY PNLISWQFQRGLVGFMISNTIYFTDQAYKLKEFLNGKLFFSRIQDFYTPLQVLGQGSSAK VLLVKSKQADQYFAAKCVEKNELMYQEIEINNELDHPAFVKVKEVFQGDTSYYIIMDLLS GKNLQQLMKNQHVDSENNACKLIVKQALLSGIEHMHQKNIMHRDIKPENIVLQKNNNLTT LKIVDFGLATYTTLKKFKYPKCGTPGYVAPEIANLTDKDATYSSKCDIFSAGALFFKLLT GRDVFPGTGFNFVLQLNKKCHIDFSILTLKKVPPNVIVINFQY >CAK58582 pep:novel supercontig:GCA_000165425.1:CT867997:550196:550666:-1 gene:GSPATT00004955001 transcript:CAK58582 MIILFLLNYVVAQILYCDVKVDGIEKGYLSFQLQCDSTPKSCHNIQEIQDFRMSHISESK MEITSPIVNKKWKSEINQLHTQFGAIGQKRNIESGEVDQTYTIFLNKQEFDGPKSQWLVI GNIIRQEDKELLNYLKWTSQSTNQIPNRDIRFKCSL >CAK58583 pep:novel supercontig:GCA_000165425.1:CT867997:550700:551910:1 gene:GSPATT00004956001 transcript:CAK58583 MQDIRQMRQKYETLKQSNPNTPKKCLTPSISAQYVKTSPGLQMTPPSNPKLKKVCNNCLN RSLMRQKECRVQQERQEDQKLYQQVKQSIDQENQYRDQLAEENRRKFFTYHKINGDLIQQ HQQRVKTEQSNEKAEVANFFKQLERDEYLHKIKKQEKKELLQQYYLRDLKNQISFKEEEK FQQKLQNNKSDIIESQYWTQLESEQIHSQQIKAQQQRQMINYWKYTLGEKQKLKQEQEEM NKMEQEQLKYVQKSNEKLNKAAELAKKQQMEQFKQEIQYQVKINEQKRKDEENKKQQEYY TMIQYKQQEEQREKQKQLDKSMEKQALIKEIEQQIIFKQKVQKEQKEKELSQSEKKLLIQ EVPIKLVCCDECKHTCPSKVITNILQ >CAK58584 pep:novel supercontig:GCA_000165425.1:CT867997:551927:556378:1 gene:GSPATT00004957001 transcript:CAK58584 MQLDESNKTKKNEQSILKETSKNRNIVSKLQGNPARLQGLKDSFKYFTLLKKNQYLERKQ YRVSRDETLVCSCIMCPEDQIQSRPQGPQYSYNCGERCLNRFTCTECDVELCPCAEQCKN RRFQKHDDACVYPLRCGGKGMGLFAGERILKGQFIMQYVGEIFQINSAFGRRRVQEYSKS TCTYLMKLNNQEVIDPTSKGNLARFINHSCEPNCITEKWNVLGEVCIGIFAIRDINEDEE LTFDYQFDVFHTPLTKCLCGANKCKGYLGLKPTDVTQEEWEEHLENMVCKICQTKTPQDD EQLLLCDKCNCGFHLLCLVPPLSSVPKDAWYCQECQDEKRILAESEKEKEKIEGLLQQKV KQLNNEKKNKKKMDSSSSSSDSDSEYDNRYKMMKSLEKQTVREYLQQKQHQQQQINKLEE DVNYNKKEDLDTNTSDLPLTSKGIKSTGTSLNNLDQFVKQSFEFQSQNSGKLQQMQSIVD VAQKKFEEQYNDNNELQQQIEQIQVQNIQNIDTKKECFKINILEQKVVKKNAPLIYKIGN KISFEQIDQQYADFFKKENNLTVIGSVQQISIFRSILIMIEQIIKELKKELGIIEGQIKV PIIYLKRLIMKFNSLDKKQEVNIIYNKSLAHSEEIFPMDKATPIKIKGSKQNIESTVQEI SKILKTLCVQRLYISRSETKTVQQNMYHLKQHAEIRISRDSVYNAKGEQTQRDINHPFFY IQYREKEVCLIGTLQQIQDTSNAIKLLLEQETNNEKEMTYFTVLISPQYKEQCKQVKQRI EKDSSSTKVLLFEASHPRKNMTILILCLRNQIKQTKQMFFEMIQEDCQLKLEQFQDQMSM QMCRYVFKYLQNTMMTNDMAFMKNWDLITPYFYQFNIMKYREQKFDNWFVKRCYPSLLRD YETQLYIQYCLGKEIQKNLTDQEQMLRKRNLILLTRKILNAILGFKRHQPEQAQIDGNFQ QDSFRRYNSFQEDDTPKFQNLSLISLISHTSQFSKKSDRQKESSDNSSSDSSNNQHQKRQ SSSESMKSRDLSTKQKHKSHHHRYNYNQESSIDRYDKYKYEKSHNYDKSKPRTSRRYYED NDHSRNRGYNKRSNNLNQFKDLAVKAHKNHKITEEKDRNLQTLINIKIVSIIVDFNLKED IPRVTNMIMKSLQKCKKAKKDLDQDKETNQIVAMVIEEDLNQLINPRNTIGSIIKPKVFF VLGGPGAGKGTQSAKMVERYHFVHLSAGDLLREERSKKTQNAELIEEIIKSGQIVPSHIT ISLLESAMQAKGLDKMFLIDGFPRNQENFDVWIKLMGDKVEFKKLFYFECDEETLKNRIK IRAQESGRSDDNDETLVKRLKTYNESTRPIIQHFDSLSQCIHIKADKPIEEVFQEITKKL DEIL >CAK58585 pep:novel supercontig:GCA_000165425.1:CT867997:557120:558528:-1 gene:GSPATT00004958001 transcript:CAK58585 MNQQEQEFLQTLKQCQKMHWIQSKQLPNLKIFYTGTNSFYQRGDIVFDFLLPLNSSLDER VQYFRIGKEQLSDGSLIERCKFKNQIYSLKYKYHYFKINESTQGIHRKYDDWIMVQQLVF YKVEKSRTTLTILQHLKSQPNYSFLKFLRECIINYSSLQVAELFEKQSIQSELRLDEDEE ELNKYVCNYNDTNEFQPKETDEKYINEHYRYDIFLLNDRVTQLDQEDQDIYYKLFQIVSE YKLSNGSLQEGVIIAICNFRFDINASYAAIMNWIEWRRQHRINRLSAKQFPEFKGILDIV GESKCGRQVVYTKQSKLQPDKIDLERYKWYFIGFLEDVCRSCKGFVDSYITILDVDGFGF SNFDLQMTKSLLNMVLQFFPERQNKVFIINMSGFVMGFYKMLKPFLPTRTNDKLIFLGKD KQEIQKTLIEHLGFSIDFE >CAK58586 pep:novel supercontig:GCA_000165425.1:CT867997:559535:561277:1 gene:GSPATT00004959001 transcript:CAK58586 MEVEHNTGIDRLKQQAEDHKNRGNDYFKRSQYSNAAEEYEKAIELCPNEPNYYGNRAACF LQMKKYKKCLKDCEKALSLDPNNAKFLRRKALSLQHLGLLTEAKPIFGQIVSLDNSEQSI KEHKQINELIYNLQQTQQKLDAKQYKEALYYMEKVAKEIPDAVDIQILNCECLARTGNAN QAQEQLRLIQEKFGTRAESSYLKGLIELYGGNPDKAKSILQEGVRQDYNNKKCLLAFQMA KDSDNYKSKGNDCLNSNKFNEAIDYYTKALEVDSNNFKFNSIIYANRGLAYQKLKDHRKA VDDFDKSIELNDRYFKAYLRRGDSRQELGDLDGAQGDYQKVMELDQGSIQQMRQKINDIT RKQKQLSKKDYYKILEVDKNASDTDIKKAYRKLALQWHPDKNKESEEQKKLADKKFREIA EAYSVLSDKQKRQQYDMGVDPNDPMGGAGGFETNIDPSQIFKMFFGSEGGADFGFGNMAG GEFPGGFKTVFTTNLGGMGQNMRGGQGFPFQFGDFSQQGGAGFSGFSFPGMQFTQQQQRR K >CAK58587 pep:novel supercontig:GCA_000165425.1:CT867997:561412:561899:1 gene:GSPATT00004960001 transcript:CAK58587 MNFYPIDFEEQHSQQLIHEPLIEQTNESQYYEYEQSAQQEPEQLNTFDGYLQEEPVLLFN YVEEAPKKKTKHVIRKSKKIRKVDSETDVPSKKSLKSHVTNNDVLKLQQCQRLKTIISQM EAFLQQTRASILKQYINNHTK >CAK58588 pep:novel supercontig:GCA_000165425.1:CT867997:562780:569155:-1 gene:GSPATT00004961001 transcript:CAK58588 MSNNKERFYEQKSQHQESQESQPSREIKKDKFLNKTKINDSPFDQKVEIKQFKKAVSQKS TVGKARHKSQIIQTNQTMKESMKQSNQSKSIQKSIHKNNSNQQMKSPQTEEHLVSKKPIK EEQSESEMNSVFNIEQEDSIIKLNDQFQRQASKQAFAQLYELDDCLEEMQEKNYIRNQIE NTKIRKIDNIDQILRKEEWAEEKEKVAQLDFNPELEYQQLIDQKNLNHSINLRVSHNFQW LKKEVSYYQDGLRFLFFRKIIVTIIISIEILCYKLLQSTIFDLYEMLVTLANIILFLNRS PQESPKISEYQYLFCVLYFIDAFIHLAGQGFKKYIQSFWNIYDLLILVLYLLYILQNHYI PFDVSTFRLIRIPIYVGRISNKLNIILLSIKEAVKQIVENLLILILFTILIAIFMLYAFN GVLKYRCMHEELGIFSLNSYEIAVCGYKECEIGFVCARQLQSIDVPTNFDNILFAYFQTI RTSIVNDWTITMYMLMKQFNPFVCILYVFIIFAINKFYFQLLIAVLKVYYHKTQEYYINN PVKPEFSEDQIKINMSQLLETDIWKTVKMEIFNYQNYKFNTQYRLDPKSRQQKLSMQPIQ EHNNVKYLSARQQIDQQNERELILKQNTHQSWIISFYFPYHQLQSQIQNLINNNESKFSH LETFYTLRSLDYLSIYTEVRYNVPYDFASIQDIQIQEDPKKKQKEGKIMKKQHLHQQYFI VQKVQNNFKTNDRPKPRFPYMKKTVHMHNDEEFFESLGNSSMNESSMQSSSVKQNHEHFQ QQKMGNNIFVIYSQQESKQQNPFQKKNKNQKLLQMNTQSRTSINPNQFNLNYDDVVAMIN LKLKNETISITNYEEVYEQERNQSQEGIYLQQWSGSDLIERFHFESVVQYLNKYWNYYFL KGIRFYLIKIQYQLYYFITNQIIQILLDGFVCLNILILTFSDFYDQIIADYFDLIVTILL FLETILKVLTIHKYLQNFTNVLSVLIITFILIERILFFSLFDEQEPTFEIFIYIKILKSL FFFRVIKYNTFAQNMIMISYQTFPNYAVMALLLLFLMLNYAIFSLQIFDFPEFDELKMYH YFGNIYQSWIAVYDISTGDDWYGVVILSTTYGIYYIGFLFCISLVFIVNYFGFGLSFVII LDGFANYLDSAKESETQSIEEKEQSVVLNFNHYDSEIRKESLVKKDNNNINLKSLLETLI EPENKEKYYNIQNEYSLFIIQKSNSLRKTCFMISEIYPIKLLLCFVLWSSLINLILITYY DETDQNFWNPFEIIQCLFNLLILIDAIIQIITYGAIAQDGYFSSIWVSIDFAYVVTYFLY LASNHSALKWILYLGYLRPLKVTSTYGFFVNERGAIMKSFSDILRIFYVILLFWFIFAIF GMTIYKDKLGYCDSYFNYGVGRQDCQGEWKVYPHNFNNIIEAMQSLFIISTLDGWGITFF VCINSASEQTGPIRFNNEWISYLFFFSFTFIGALFFLQFFAGVIFVNFQQNKQQLLNPDL TLDQELFLKLTEIIMLDTPNYSKPPKKGLRLKAKILLENSSYILITKLSLILNLFTLMLF YEQGSTRFLQTLNWLNHFFSFILLIDCILKLFTYHIKRYFDDIWRQMQLIFVLLSLLDFY IDIYRDDPYFIWLRIFILNRCLRMSLIIQQFTRIRKLLNVIYFNKMAILRILGLFITVLS CYSYVGCALFGEANSGSILNDQLNFNNFFFGLLASFKCTTENGWRFIFVDSQNYMKQQNK PWVLASVFYYSLIFIGARVLMNLIVCELVQEFEKFYDTSSSCLETYVETIDKFRTLWCKY TEEFQGTKMQTKYLGHFLLDLEEPLGALKGDNIWDAAKKASSFELKKDFAGCLTFRNLLY EVFKQAFKESVFKITTEAGKTIMKENDKKIKYRLYNQRSHHFRRERVNEKVDIQSNFNIL QEYLYLKMALKAWRSFSEHFFIVAGEVQNTIDFTESDVDAQISSENSQIEGFDVDEPQKQ IKRLPSKEHLRRPTDVYILPYYDEIKFKDKNLKSILKATDQQQQKVFKSISIVKKKEQLI QYKEYNKRRDAIDKPTASRNRYQYGYHEKITRQQLEEKSDNRLLSRFDPTKMPSAYNITK IASKLK >CAK58589 pep:novel supercontig:GCA_000165425.1:CT867997:569681:570812:-1 gene:GSPATT00004962001 transcript:CAK58589 MNNTSIPQQDHLPFPLFNQRFFGEFSQDQKLIGHLNQLMLHLNHKCTYKWMNQLKSEWTL DNDIRILVITWNMHGMIPGYSLKRLFNIESIHHDLIVIGTQECSRSIAVSLLCESKGSWE SKLKDELGKNYVKVQSATLNAMHLIVFAHVLLVPKIKNGRPYTLSQGFMGIVGNKGGIAI SVNINEKIFLFANSHLESGQNAEAKRQTQFSKLESHFENEVYSSHKNVPYDYLIWTGDFN SRIDQKITTQTIRNHSDFFGWLSKDQMHLSRNNSLNYQTQFLEGMIFFPPTYKLLEYQNQ WAVGNDFRIPGWCDRILFKENKQSKTLSSTQGFNENQPSQIKLQNYDANFDILGSDHRPV FAQFTVSFQ >CAK58590 pep:novel supercontig:GCA_000165425.1:CT867997:571458:573051:-1 gene:GSPATT00004963001 transcript:CAK58590 MQVNSRSAVQMFYRSVYNNTHGLLKKRMRELVPVKQALLRDVRKRYGAKEVCKVTVDQAI GGMRNVFGLFYDASLLDAKTGITMRDYNIPELQEYLQKAENGHEPLPEALFWLLCTGDFP SEQEFADVQQEWKQRGQLDSETQKFILSLPKAAHPMTMLSQTLLFLQKDSQFQQVYDQGK VSKPQYWEYFYEDAMDLLAKIPRVAALIYRHKYKNGEIISADDNLDWAGNYAHMLGYNTF EVRECLRGYLSIHADHEGGNVSAHTTHLVDPYLSYSAGVNGLAGPLHGLANQEVLKWLLE MREELGENISNEKIQDYVLTTIREGKVIPGYGHAVLRYTDPRFIHQKDFAARHIKDDPLV DLVRQCYHVIPPVLKTIGKIQNPWPNVDAHSGVLLYHYGMREFQYYTVVFAVSRALGCMA NLIWSRAFGLPIERPGSITMRWIEEKFGENQNIK >CAK58591 pep:novel supercontig:GCA_000165425.1:CT867997:574349:575360:1 gene:GSPATT00004964001 transcript:CAK58591 MDQCKTYSHIRSISSYKSKHRISYESRDQIDPKKRSITFSGDIDLVQSISCQENLLDLLG KVQRQKQQQRLSLQTSQMKLLPPLKVGQDENKIQKQQARKQVSNHYNEFTIPMDPYKKQL YQKLNIYGKFIEPTNYKKVDYEEIQAFKSTISKIQFQQYSQNQQTIKIQEQDISQNMDKL FQQDYDTQKFEQQYNSTFDQDYDLFSEQQKMNKIINEALKFKQQKRDPINASKSVKQKRT QMRIYLENQYQNALNTVMKEINVNKDEDDLLLKKRFQKKIKLNASSHPSKITSQYTKKAI SSSVIHPDRQKFVDKTLEIYDRCNTSLS >CAK58592 pep:novel supercontig:GCA_000165425.1:CT867997:575390:576139:1 gene:GSPATT00004965001 transcript:CAK58592 MQQSLIDSNIIQSTTVKTIKELKKLGDSRTNLEADENEVNDSTLSGKNTPEDETSKTQNT KITLNKKPKLTFKLQTQNRITSMKQTVVKTTDDVAEQSNGFRKASLRQGSLRLQRPQPQL QLESNDPVDMNNALNLILTKCKTIKEEFEQLQLTNTLINKYIKNDKHFHFSFQAFLDNLV SKGKLAQTLTNIQKPVLQRIELFTINHVKRCIQKNQISQQFEEKTKHVDLLFNRYYKELT NIEQNIKYF >CAK58593 pep:novel supercontig:GCA_000165425.1:CT867997:576703:576900:-1 gene:GSPATT00004966001 transcript:CAK58593 MGCINSKLPSKSQPTQSVRSQIQIQIQSQDESPYVIKKNPIFQRRASQKSIISPLQTTSY VKRNK >CAK58594 pep:novel supercontig:GCA_000165425.1:CT867997:577062:577274:-1 gene:GSPATT00004967001 transcript:CAK58594 MNQSANYSKSKFEFDEIMQQIENDSPLQTVYRKNSFAIKNLNLYDSPEDEMEHTDYSAKS LSESQQNTQI >CAK58595 pep:novel supercontig:GCA_000165425.1:CT867997:577445:578948:-1 gene:GSPATT00004968001 transcript:CAK58595 MQDFQPGDVIAQEYQIVTMLAQGSFGKVYLGKSQKKNMNVAIKVEKAEVSYFNSLIREVE ILKTLEGVPCVPKVIWSGSEKGMRIMIQNLLGKDLIHYQKKMKKFSYECVCNIAYQMIGI LEQIHKKNIIHRDLKPENILGASQSDKLHLIDFGIAKNLESNKKGKEKISFIGTTRYASI AAHLGKEQNKKDDLESLGYIILYFLNGSLPWMSVDKDDNERLEKIGLLKKDMSPEELCEN LPNSIFKYMKYVKGLSAKVKPSYSQLKELFTITNVQPNMPFDWNQRAKANRKMNSNKSIK TSKSAKVFSKSKSQFRKTQNNIPQESSSKLILQSQTPIKKLYKTIVFPESQDKTVYHSSD DNQQQSSLSDEKKSKESVKVGYSISYEPSKFSRYSQHNKSPIQQQQKERARVSTLDANPQ DLLIMPPKSEKQLQEFEEQDLELKYNLLYYKSILYNYKNPIQDFKAIHYNFLD >CAK58596 pep:novel supercontig:GCA_000165425.1:CT867997:579443:580459:1 gene:GSPATT00004969001 transcript:CAK58596 MAKNKSKAVHAIKIKHNAPFSQKIKKKEQKGQKQRRVGGFRSLANFDVSRIKNKEKRVQI LERKQNEQKKTKKMERKEKEANPDREKLGPLTIDDKRELDENFVFDEDDQEELQNEELID EFQNYFQEGQDPKIIITTSERPSRQLFDFLKDVKDVFGPETHYWPRKQFSIKEIQEYSIN KGYTELLVFREHRKEVSQLIYVHLPAGPTYKFKLTNYTPCDEIHHHGRFTDHNPELILNH FNTKVGRRVGRGLAALFPSKPQFRGRRVVTFHNQRDFIFFRHHRYMFQEEGQKVALQELG PRFTLQLKQLKLGLFNDDGEIEFEARDDYYIKRTAAFL >CAK58597 pep:novel supercontig:GCA_000165425.1:CT867997:581006:581319:1 gene:GSPATT00004970001 transcript:CAK58597 MYFQRATNSVQRNVPSVQTQIAISNQQFLSPVGKHSSAQSQGKSIQETPNGNFVKEQLDS LFINLKKENQVLKEKLSLISSQVEDAEQKLQLYKKV >CAK58598 pep:novel supercontig:GCA_000165425.1:CT867997:581363:583687:1 gene:GSPATT00004971001 transcript:CAK58598 MKKNCEGQNQQLNPELYSAPQKLKEEVINPIIKDKKEKRGLKIKINEMAMKNEDYSELSI QLEDKRTNIKNKYNEFQTRMKEVLQRLLYYKNKNFMRLNQNYYGKNKKIIKSLEQLVKDL GLNIKEVGLLEKDIVIYKKEDIENNFSIYLSQKRNADHEREKNNQRITKEDVQQEENQQE DNQKENNNKIEEVQNQIQQNNRPPLPPDVINQVIIEREGDKELTISIIFEKPEDYGYEII KYAIYQLDMNDTSLSLQPSKRVILEFDNNNEKVQRKSLVFKNNPNGKGELAFNDMIYLSV EALNCNGWSSDLELSPVKIFLKSFQASSLFVNGVINTKLFNEDQSFIEVIDIPKKIEIDQ QSYYMIEDFSLVLIEENRIKMVSTKYGTVGMVNTSFEVSQFGNILNFEDTSITSSEMVDP KRDFDISTPYQIYTLRAVSKIACGLYHSLAVTVDGSLLSWGYNNFGQLGNGTNISTMDAQ EVMFFRKNHLYVVDISAGEGISICRTDLGDVFTWGCKQNYEGNGMIKVLNSYKETINLQC SSPTNCQLIPRKINLKAKAISTGYSCFGALSLEDKIYMWGSNDFGVFGFDQCPELDDQYI FHLLEPMRINIENYLIKDFQIGAYHCIARVQGKDKNYEEYISWGNNAYKQCGQSQQFEFS TVKGDKYCKKNIMDLLPNQKYKSYSCGYNNSVFLSNENMIISFQNGVMTQKKCNVFGHQL FTGDLMTILLGSE >CAK58599 pep:novel supercontig:GCA_000165425.1:CT867997:583762:584089:1 gene:GSPATT00004972001 transcript:CAK58599 MSSSSQAQYRVFLGARVLWPPDCPDDILEGAINETQSCLKTFEAKDGQKMAEHIKKYLDT NFEPHWHVIFGKNFGCHSIHEKRRFIYFYIDKTAYLFYKTQ >CAK58600 pep:novel supercontig:GCA_000165425.1:CT867997:584140:584692:-1 gene:GSPATT00004973001 transcript:CAK58600 MKCDVHCATKILTIVCGGLIIALAISRFTNLSIEHPQQFILTIHYIWMGAVLILAEFRFA YIHQKFKVLTSYFGRGLYCFFLGTLMISSFSPLQITCAVVLFVVGLIYILMQLFSKKFGW SAEEAKNPYQIPSQNQPNAYQPPYQSNVNFQQGGPALK >CAK58601 pep:novel supercontig:GCA_000165425.1:CT867997:584912:586344:-1 gene:GSPATT00004974001 transcript:CAK58601 MDQVDLSQTLKKLIEWKNSSPTTLYNLLDQIGTQNFNSNRIVHQKFLQAVQKLAQLHEIP PNTIYKSYDYEKMIDKAKSILKILRQPLQMEVEKDKPEPMKKYNLFSGYQQQFTGYVDRI QNLNLISNLILHIFKNWNQSNKEQLISIDKVKEVTIGLEKEIYLRNVFKDSKKLAYEMDI KTLVQFMKRDKSGDVLIRVFNKSLSYQQAAQLKSEDWIDEKTKLNQKAAQKEELEINQIG FYKEVSKREMDGVEGKQCKGCHQKKVYLVEEKQTRCADEPTTKFFECFNCGDKFLLKQGN QVTNQLRDELVNLFSMTDTEDPYLLSKRAQTIKSEIEQRFKYRWNIILFKNDAQFESSLV FSEEFTLELKSEDYGLLAYIIPDQPLTQYEPRRDFGVRKPYYKDVIDKQQYETNNSVISY PGIRYYPTYYP >CAK58602 pep:novel supercontig:GCA_000165425.1:CT867997:586418:587681:-1 gene:GSPATT00004975001 transcript:CAK58602 MQQQKCYLAPGLLKTGLLNGNQPIYVLILNQIKDMKEVSQQMNSYLQTIHAFSPFTWVIC NQQVLPLEFSDLKQMLNPFYKNIPLQQFQRIDKLIVISEKIQLQQLVNAIPSGWSSVLAN KVHLVMKLNELASHNIELTQYQIEFLSRINYNYQEEIPFYGRDLSEYPIANTGVPECLEV ILKFYKDHDDYLKTQGVFRISGSVQQEQKLIQQFTKRNYTTINEYEPDVVSTVFKNLLCQ LKKPIFPFEMYDILKETQINTSKEKLLEMFEVFFAYMPEVNRKTTKRIAELLFRVAGYES ENLMGLNNLSIVFAPCFLRPQTIDISDLQGAKVVVHHFNLLVQNVEYFFQDFKVNRNSSS QQKLSI >CAK58603 pep:novel supercontig:GCA_000165425.1:CT867997:587944:589673:1 gene:GSPATT00004976001 transcript:CAK58603 MNKIDNYKYDNKDYLGKGSFGKVYKGIMIDKNQEVAIKIMNMSQFQGKSMLISLETEITV MKQFRHDNIVELIDVFGDDKYTYMIIELCDGDLRNYIKSKGGVLEEAEALGVLRQFMLGF QEMIKKGYIHRDIKPENVLFKGNCFKIADFGFATKAEIKNNVKIFKQSVGTPLYMAPQLL EKHAYTAKSDIWSIGIMAYEMVYGKQPWPCRDIPSYAFNIKNQPLKFPVDIRVSDEYQDF IKQCLKKDEKDRISWDQLFQHVILNEIERNYKMENPLDQECQRILAQIQKIVQSRGLDVF VQFQKYDKDEGGSLDFSEFFTFLIQLDPKLTGKEIKLLFEKMDINKDETIGFEEFKRIFF DYDYVKEDFAERIIIDLREIIRANNLQVEDIFAKYDKDQMGDLDYEEFSFMIKEIAKDIK EDDIQAIFDKFDTNNDRTINIDEFREQLIVKQDTQRFQIKENLIQNFIKDLRRIVTENKI DIELIFKNFAKSMREEMNQTEFSKLCLVIDRRLKPYEREQIFKYLNLNDRDGITLDEFSQ IFK >CAK58604 pep:novel supercontig:GCA_000165425.1:CT867997:589702:590807:1 gene:GSPATT00004977001 transcript:CAK58604 MLDYAGIISLKREQFHVNIRKQRNEKQFKESRKNYIQSLFSQQTEMQEMSNTIRDKLEKG ENLQGQVLQMMVDTIFEVLHKNNNMNLLDTIDAAVWMLKSVEELTYEDELSDNLKMLRIV DKMILYSQGYDIENQPLNSQIIIYAAKFLKYWTQMEDKSLHLKFGEIAETVYFLLNKQEQ MFVKRGADILYNLALIDNGNILNQVHELTIFGQKLVKPICTILKTSHNIKILRTLWRVVL QTFLDQDRSGQLQYVHFNIYENQENIKFFNLLVNQVIESEQQNRKLFKNQLHLIQQILDY YQSDDQQIYEEFKQLLLTSQFSIKLKDIWLFNKWNSIATTAHEIQTHLDEQQQ >CAK58605 pep:novel supercontig:GCA_000165425.1:CT867997:590810:591364:-1 gene:GSPATT00004978001 transcript:CAK58605 MQYNDPQFTLLNIEEEWNNVNNRLQNPLNLEPWNGNYDHIFKQDRKQICPKKMQIKIPLR IATQSRRRSMETNYPSHQDSCPKIEIKQYLQSFQKSSLSPRKNSTQKYRNSCIKTLFQKS LLNNSISWTEYYKVIQKNRNEDILNNNNNVIGQNSKPLQFDQKQLALNNFRMKLKQKTKN KTVY >CAK58606 pep:novel supercontig:GCA_000165425.1:CT867997:591377:592758:1 gene:GSPATT00004979001 transcript:CAK58606 MIHGPNSSFSGAFSIGKKIQAHGNQNNTPGPDHYNLATPQSSGFKFSKSVRKQLYEPKPI PEPGTYDPNLSIIQPNPPNIKFSKAQEQEKLPLDIGPGTYNWSDQKKSPAFTFQNKFENP GNDVLNTPGPGHYDVKESHSLQPINKGFTTSNRLNMLLSNGPGPGSYNLETLKLQTSIKF PKQQRTIDKLDPTPGPGAFLTVVPNKQGISFGKKLQAQSEKQFVPGPGTYQLKSFAEQIS KGTKIGTSNRSQMLLQQGPSPLNYDISQYKPPSKYSSFGNAERKTLQEKSEYTPGPGTYY LGVSLSKLGPVIGKSSKEQLVIHDSLPGPGKYNLNDTFSRGPSYHIAGKHEKQQEQSLVG PGRYQINRDITDGPKFKFSTKEKTDSKDHSQSQFYDIQASLGFIPKYLLQN >CAK58607 pep:novel supercontig:GCA_000165425.1:CT867997:592807:593637:1 gene:GSPATT00004980001 transcript:CAK58607 MRIAILALVVICAVCGHNMRHKKSTVGEVHDLSNNVGVISKSEVQVTPPLTKQQFQINKA AKSTTHAIEQALKHSPATALIEMEQSYNDDVIDNIPYVEETLDQMESAKPEVVYSNDGNS PDMGTSAESFEGSEAPMTSEDSQTTPQDEYYATETLITNEEVSLIQLGEIDALANIDTSM PLFDEDFKIDEQALQETPLNVGVNDIPEVQADSNQNEEMSADLERQTNEIVEALMNEQDI MINEVQLIQVADRGFY >CAK58608 pep:novel supercontig:GCA_000165425.1:CT867997:593730:594527:1 gene:GSPATT00004981001 transcript:CAK58608 MYRSGKQPPKPELPFYADVTYWISFMLSIYFKDEKILHGIFSCLPLFMIVHLTLNRLVRG ELGSTVMMLSLLAESIAHLFHQFAHDERFMNLYFFEHLICGMFLAMGNFIYVFEKRQKKE IFRKKKAYLKSKLPKPQSIIVFPIIASGFYLMLYETKNDNTNWILYYMKVFYSIPLTIQL IYAIQRYQATSKKSYFCGLAASIIYVLSDLSYQHFPLYHKLFHRFSLYLQTRQIFYHIKW FQKNRYKFE >CAK58609 pep:novel supercontig:GCA_000165425.1:CT867997:594557:597038:-1 gene:GSPATT00004982001 transcript:CAK58609 MIPASLFQRNKKDIKKQVSESDEDETPMRRKNKLNFQNLQLVDFNEIADQEELEAAKEEV QSNRFKNNSIREEEESDQSESTSRKKSIKQLRLPRLSDRRQLNTSEQMDQVKENQQSQEE PMAEPMAEFAKTPSPNRASSRSPNQPSQKQPTIQNQEDSSSEFDIFSPDKSMEKEQAPRN IELDEKLSKLTQEANAIERILPNCIINPYGRFKSTWSGIMILLLVYVVLILPVRLAFETN TQELLGLDLTIDCIFFFDMVFTFFTAYEDERGIVIVEFKKIAINYFKGWFWIDLVSTFPL YLILDPSVLPGASSVNGLLRLARLPRIYKLVGVLRVGNLIKHQTIQKFLILKFIILTFIV IHLAGCFWYFIGTISPDPNKNWIVVYIPDGTSAVEQYIASVYFVLTILVTVGYGNILPVN NMEYVVGIIFQFLGVAFFAYVMGTLTFTFAKLSQKLTMIKEREIFFNELAHTYQLPKETH ERLLMSIQNSIFSHSNQMLEFYNEEDIIQELPPLVQGLVCEFIFKDIIEKIRFLQNKPRG FLRRLFRSMVPVFLNKGDAIFFRGEPADFVYFVIQGRLATKCEDQNGKIRTLIHVEGSYF GEVDILVSRARGESAIAESQAEVWKISKEAFLRILNDYEDVKMEILDYAVKKEKSRKSTV KIVKNAQFFELIAKSKKDQICLNKGQLILKVKEKMINSLNEIVKNKTENGKELFPNLSDL DLFLDKVSEYNKIKKKLQITDQDLTSLQHLRSTNYTDPEIEDSLLKGMRVQSHLQSIQEY L >CAK58610 pep:novel supercontig:GCA_000165425.1:CT867997:597312:597979:1 gene:GSPATT00004983001 transcript:CAK58610 MKDNGKKYAVIDHQIRVQLLKRILSKEATIKEAAKEFGVNFSTAKAILQTYRKEGRIGKK KTRDRNKKKKDDSDYHFINTRKVQSMYDLEREAPQHRRTFSPDLRPADRTINQHPLIVQQ QLNYLNSQSQIGSTPNLDEPNAQMALAICQRELAQQKMINMQLLMMLQTYQTQGQLLQVE QVKVESDKQN >CAK58611 pep:novel supercontig:GCA_000165425.1:CT867997:598315:598846:1 gene:GSPATT00004984001 transcript:CAK58611 MPLPNHNMNKNNKISELKRIMEFLQVNEQSKYNAYHQYQQNPEVKEEKQETIQLPKAQFK IKINSSNKQILQPLQEMNQVNASTDRQQNCQTNNMKHRKTHLKTDHHQEFIKSSSIHRIL NIKEVKPRMTKQLNEAQNKQKEFELLQLMIEKHKENVQQYLPKKNRYLR >CAK58612 pep:novel supercontig:GCA_000165425.1:CT867997:598857:599761:-1 gene:GSPATT00004985001 transcript:CAK58612 MDSKYKSENKFDQSNAVEIKPPDTLVFEVLPNQKKIYARVEIFNKAPQDVMFRIKTTAPD FYVISYDKERPITTFSSYAIDILLICDQSRIQHRLKDKFLIQVVEKQKYDAAANDDSRWS ILLKEQKLTVSLIRKDLYSSQQSQKTQLPPSCIKQQSVAQIVQSRFLQGSNALLNQGFSN PYNQESSVIQTDEDRNMKIKLDSLKQKYQEQAKLIEQYKFDINELQNEIDRNSFIYDLEK KKEQNDQPEENISTALRDKTGIPLWELFIAATIALILGALLNRK >CAK58613 pep:novel supercontig:GCA_000165425.1:CT867997:599789:600580:1 gene:GSPATT00004986001 transcript:CAK58613 MLIFLLQIISATYLSIISEDSKLASIQIIQPKSGNTKRLVYRLGTEDDQCIISVNGEPLF TYKINEHEFEILTGFSTSDGVKIIDPENKFYFRDLQQPMLFVLDDFEIPLDGWSDTRVSQ CQTIQNYFLGGPCYFSNNTVSKTFVGLPTHEYITISFNFHFIDQWQGEIGQLKVDKLIVW QDTYNWCDKVMPWLCYKQGINSCGGEAPDQIGQAIRLTLYHINSFVNLEFSTTLGKKDAC QASWGIDNVAIYVY >CAK58614 pep:novel supercontig:GCA_000165425.1:CT867997:600587:601649:-1 gene:GSPATT00004987001 transcript:CAK58614 MFKGLNHRQQYWHIVSKRNVQITFKDDLLVLTFPFIMGSKMFFAHQQYSFLTLQEQLQLE ESTKVEFNLDGTDLSLNSNLFNYILNDKKNKAIKLQIQDEEFTVTPAYQLSEAKMLNQSN QLKHSPQKRYIQMVNMGMDPSHANTLSWFLNSCNIQQNLTEKEIVQVMEQGLSILKQPTK DKLYELQHLINILEAEICKQREQLDVMIGQAEQTGYRWLTLLFALSLLQIGAFYYGIYMV DEWGWNVCEPFTYTLQCVTVLLGMIFYVRYRRQREIESIRWAFMFRKQIKERNWKDRWNH LNTLISLKEEQKRGLELRKTILYNRMNYHYYVQQQKK >CAK58615 pep:novel supercontig:GCA_000165425.1:CT867997:601655:603038:-1 gene:GSPATT00004988001 transcript:CAK58615 MEDIQLIIRRIKRSGEPRLNLSGKSLISIPPEIYQLKLTHLDLSFNKITSIESKIAGLTN LEEIDLSNNCIEEVPEELLSMSSLQSVNLSNNPLLPKFQALQGHFHQPQLNQILQKVFQI SPGSNQQPKQEKQPIIERPKTQNRAVRQISELQHTNHVLEIDSNEFEVHEIISQGGFSIV HRGYLRGTEIAIKKIFNPNITQQLLDEINNEIEMLSLLRHPNIVLLMACCTKPPNLVIAI EYVQGGSLYHLLHKTNHQINEQFKYSIAIQVARVLQYMHQAGVVHRDIKSHNVLLQGQTV KLCDFGLTKRSSELNQGYQQFSGTPTYMAPELFAKRAYDKSVDLFAYGTLLWEIFAREIP WDCLEMQEIVQKAMKNEQLPARNVPKNILQLVNDLRSKDETKRPSMDIVVKQLMNR >CAK58616 pep:novel supercontig:GCA_000165425.1:CT867997:603228:605909:-1 gene:GSPATT00004989001 transcript:CAK58616 MMSHRSQGPLRGQHYRQANLTQMGIKIIDQKSESIYVDSEVSIKKRKQKNPLEINRGLYK SQTYKEIYKQDSLKENDKFDSPLDQSVNQPLYVTNKFSNSLRKYNVQGLTKIFIQKLLYN LRISQKQQNLTKKFQKFLEDKASDTINEESEDKEPLNCNLFLKDSYERTLLDLCLILILI SFTILVPIFNERFELLIIFVFLYSIFKVIDYKTCSFIDLPIISINILAFYQTHFVFANII NVIKVYQLLKSINILTEKKLIRFLFSILIIIIHVNNSAQFWKYILDEDLEYKYLLCDQIT LLFNFDFQIYDQNYKIYNSVNRILSFLVLLYFSQQFINFIKLPCDLKKKEELFEQLLRKN TMSKQPFRIQHQVRQLLWSKVQNYKQVDSHPSQLELNFPNELRRSLNLHSYLDIIQKSTF IKQQFSTQFQSSLSEFVKEQTVESGEIIQQENSSVSKLIFLLEGELSIKINNRRVQILKK FSIVNQYEFFACQLSPCNIVAKSRCKIIVIDYQQFYDLVCMHNQDFQIYRMWYDRMIHYN QRCVYKVCYICMDQHETCMCPAVFYQPNYNKIVSNSTYTIPNLRLKYHRFEKKRVSSLTQ QNLISITAVNWAQQFNIISKNTDMVDQFLGKQDREEEYQLFADEDHTSKYLTQTYTHQQS IKSPKTNKTGITGRERDRVHTDSNINRTLTIRTLKASENGTSRLKPNNNVNSNNDSIVVS NSPSPLQQPNKTPLMLFTKEQMKRQSNISSSFTIDRGQDKKSSLQSQQQPVHSTSILQSK EVVQQLQSNLGSPNMLNTQTKLNGNDFSINWHRSDTQPIFSDLIGSVGQLILIRDFENMQ YYEWYFPKHNYIIVIERFRKYLSKKFNSR >CAK58617 pep:novel supercontig:GCA_000165425.1:CT867997:606143:606562:-1 gene:GSPATT00004990001 transcript:CAK58617 MLYDPTTYKGIKGGTQFNETEFFQAIANKAPKSRSIQSNLRVKNQTKVLFSNQKQSTQSA EKKYRVPKIKSPLKFKAYQQSESSCSLNCEEYISKIKEWNQNIRNKIAKTQQSSPPKKGI LDQCIDSYMKKQKFKQIMT >CAK58618 pep:novel supercontig:GCA_000165425.1:CT867997:606753:607699:-1 gene:GSPATT00004991001 transcript:CAK58618 MGSCNSCTSSNYVQSNMDSEEDSKTVQRVKSIPNKGTGTYEKSRTDLEDNLIADENSLEN SQQIPTFIQQRKITEIVVQTRMNRFMKDIEEEVRYRIKQIQLEEKEQLEKLNQSQQQLMS FQDCKLDSADFNSAHFNQSISFQNSQDFQNMQNIPSKTYLPRQYSQFAPKKDNDTVTYNS QVSQTVNSFKQRTPTRDKNKTIQTLKDEEKSLLASFGSQQNNPRNSDSQDVQFSDQFRDQ VSSNASKDHSVRSILKTSQHVQGLKRNYSIKSTYTNVKHKKVSFSVDTNYFTTRTTKRNQ TNLLNKA >CAK58619 pep:novel supercontig:GCA_000165425.1:CT867997:607946:609078:1 gene:GSPATT00004992001 transcript:CAK58619 MQNKILDSVFVCLIYAFCSMAFSFSAKFLFKIFAFHNTTILLLYETTINIVICTLYKIIK YNKEKKEKKDSQMSISQLIVTQFKERMKQNKLTIPFSITYGTGAYCGMTALSKISIPLFL ALRRTLIFFVFVVQILMGKQNQQISFKFIISVLFITSGAIIAGLDHFNDDIQGYVLLIIN NMLSALSLHMAQNLNQSQQFSPFDLVYNNSINLWPVLLIISIVTKDIQSFFEFESLYRTE FMLSFSLVALFGFFLNLATYNCTMKNSPFAIALTHNIKDIFSTVLSILVFADIQPDTFLT FGISLSFVGSFIYSLTKMQEIKKKQQQTIELTNQEIKVLIKQ >CAK58620 pep:novel supercontig:GCA_000165425.1:CT867997:609111:611422:-1 gene:GSPATT00004993001 transcript:CAK58620 MKYSIQSKYILYKDQLISGYVCIEGEYIKHILHEPNELLDQYPIKYVYDNQVLMPAAIDT NVSLSMWNHIEEFTKYELYTNRLAIVGGTSLIVNKPYQLNLEMDAQEQFQSQVLQLQSKS QTDFIQMAKIRQKEDIDKLLKLGAFCFDCHLLPSYSGTYFKDLQQFQQVLQQLPSSACLF IHTQNTVDKDLGITSPFRNKPFAERLNISQLDLVDADGVSGSCISDDENIQNDDPKYLQQ IDYLNESNSPIKDIVKKYKNSDEKRISEFDDQYDAPQSPKLQSNIYKLKSKQITEQSQIS LISRAELITYKDAPKMEQNYKFPYDDADSIQSQQQQQQQQQQQPLQYPSYKIETKPSTQD QESPLGSKKDSNQQDSNQRHSPQMSIQSSDISSPESLSLKSECRLRSRAQTTQGLLQRRQ SKTGFALVLHQVEDKSNVKHGSGDMLLLNFSESRPRQNQNNNNRFNRDYLCFLAFRPATW EKFAIQKVKKMIKQQFKCNIILHGFSSSFSLVEIKELINNQVFSDIGYPYLLLDSDDIGD GVTKYKSDPPIRQKYNKQLLQKGISQNNWISSISSSHLYVNGLYKFEEQGDFRKAVSGLC SVGCTFQALWTLLFCKKDQDPNVIKQAFYNKDNNNQKYKRMVSKLNQLQQLVSSGPAQYL NLKDRGSIEVGKVADLVVFDPLKAWKLNFEKINHIFNFSFEKHIFKNRLFLGSVDSVFIR GELILHQENQVISIQNRQGMQILK >CAK58621 pep:novel supercontig:GCA_000165425.1:CT867997:611440:612927:1 gene:GSPATT00004994001 transcript:CAK58621 MITTEINSKDPIANMSLNENQVEDRENKSPNEFIVVEVEKQQEQSALQEIYEEQLDKQQL KLKEMERLERIKKIPITLKRQLSTINELSDQSINNSYSPQIDVEHHQPKILNKDILFQIL EEKNKQAEQIQVDKQKQQKKKLKKKKKKKQLSRQQTQTSLPIPEIKYQQNINFKYYYGDK RIDCSWKLLFSYFTIIILSNITLQTIEIIRYSESVCKLKGMENVFIYANQLFYILGKIGL LSLNYQEFTNTLPNKSKIPLFNSVVFSSVFVVLSMPLYVFDFVSCQPRSKLITLQESLQI LNFFDWGMIAVLAIIIIMHSCLKISLKKKTWKKLKKFLKVIYYLLLLLVHLLQVLVTATQ NFKPFMPAMIMENFYLCFSLLAMIYLSIRKFCFHQEPFQFTHQKLSNEPVILISDEKLNN WGQEEKFSDNDSVKQSKRAKLSPSTSQFNSQQIPNTNFLPQKD >CAK58622 pep:novel supercontig:GCA_000165425.1:CT867997:612989:613663:1 gene:GSPATT00004995001 transcript:CAK58622 MKLKDLSEQTQESQPTIKHLDVSSNLLKSGKELAMFPNLETLIIDNNYFFRLDDFPVLPN LITLSANKNTFNNFDMFIQHCKEKFPRLSHLSLIKNPICPMFTQGEEENINYRAKFIQEL PQLKNLDGTPVNPLEADPNFQKLQQQAKQQQVQNYDEQKRGTIDYNAKYQKPNSKTVKTK SEGNRFVKNTHL >CAK58623 pep:novel supercontig:GCA_000165425.1:CT867997:614500:616973:1 gene:GSPATT00004996001 transcript:CAK58623 MSNTKDKELFESYTNEVCQLMRSDKNVQMSKARYLPLYNWTYQFKNDQVMGLKQIFEDWL LKYLNTQLIPELLNSQDFISTFIFEQALFKSLLRYLSILFFNYDAERGSPQLYIVELGLL NFDKLVLSNDTLKQRYKESFINRLNQIRLSNNQNQKQQLLTFLEIFDLNTLAQNQRLEIT SIDQQVIIQVRQKTPKMVNLPSPQNVCDNFLFDVIQEATKKFYSELSQTWIARGSSTEYV KQAANQILIEESLREQLYQKFSRSNDIMKVFICEMLEQNVDQIIQNPQDGLYQQFLQLRN SSDLSPILKLYQLYKNTPNYIENQLKEFQKFVQNQVTQMLTESEEPGQPGQQQQLQQKQA QQQQANRSLQIHIAQIDNLQKTYEYCLRLVQNCFEGSHRFRNNMELAFKEKLNQADRFMD KLSIYIHVSLKDKLKETNNEEDRQKFEKFNKNILAFIQFINSKGKLFQKITDNLKNRIFR GEIKNFGYEEEFLKSLRREHPEHLPSDLLTVWKDYKYYRNLDNEIQTLLSNKKLLQNAQA QFTIFTRTNSLKEFNTQNKKDNFIPPKMIKDAISQMELFYQTKQQTEKKQLVWNFRIGQT LINYKFGPSVQQVGKIIVSNLQLFVILYLKQFGSRTKSELLNDTGINYDEELTQQMENLL DLRIIVENEGKFYLQTDQTKLKVQLVPNRPITLVPKKVGENTEDQIMLKKERDLKIQSSI VRLMKTNKEMYYHQICAGVQKGLLGYYDFFSQDILAQIDELINSNYIKRDERINNKFLYT PV >CAK58624 pep:novel supercontig:GCA_000165425.1:CT867997:617941:618546:-1 gene:GSPATT00004997001 transcript:CAK58624 MSNVKNRQNAQALNNLLNTQGSLFRLQKILSKDNYLTKQKHFKPLRTEKSSTLSLVKSDS LITQDNKNFFKTSTSLNKMNQIVNVQKQTNEEQHKKVIKHLLTDQSYLKLNKISLEGNRV RTLQTVKPELQIQEDQKQKMKDLQEKIKVKLQERDQAYLQKNAQQLNNLQLKLSNLQASQ LFKNFLVQNGYRQPAFLKDMP >CAK58625 pep:novel supercontig:GCA_000165425.1:CT867997:618561:620172:1 gene:GSPATT00004998001 transcript:CAK58625 MLRRDSTLSKQSQDEENQTKSESEVLRLIQILSLESQERTLMQLNYVARKLERSFTYFKK LKSQLREDILLKLIKSLLIERFKQCEVVFNQGETGRKMYFILEGEVSILVKNQDHFDTSI NQKKSKLKKFEDVMAIRYPNFRQVAVKKQFDYFGEIAIEQRIPRTATVIAKEATTLAVLT YESYQKLLRDITQEAITIRSELITQIYPFNQLNETSLQQLLHDYEEINLNGGALLFKRMQ KADCLYLIISGEVLVQKWEDVKNKDEFEEENLIETNMMSKSNKQLVNLGVFGRGQMIGDF EQFLNLKQTIPLVRCTQGVVQNQAKIFRIGQNQFNEIIRHTLGMGWYENYLIEKYQQKRN NSIPKIKLTTRNVRTQSNVTILNGFRDQSYIKISSNKYKIIKNVEEKLPTVSKQSPKRKL QLTIDYKDKTNLKYYNVVTPLQNKPPLNSNQNFTMKFFASSMKQKILDQKNEETIQNFGS SKYLKTEPSSDYNIPTCGTILLSPRYSKFIKKETSFHRFKS >CAK58626 pep:novel supercontig:GCA_000165425.1:CT867997:620578:621060:-1 gene:GSPATT00004999001 transcript:CAK58626 MILSFFYWNSMEQNKKKNASQEKKVVPSVCSSSMIQQYEPKNQIRRQILEEEQIRQQRKR YTDKFQPKATSEDEDPQLNFLQIGNKAKKRQKSQTQRWQKYENRKSKRNRSEQRFAVTNQ IQGLTEKYYEFDDLDKISDDKADLCIRNLPQNRLMKKMTI >CAK58627 pep:novel supercontig:GCA_000165425.1:CT867997:622902:623620:-1 gene:GSPATT00005000001 transcript:CAK58627 MELYCSQFLKGQPVVEIQNDKPEKKKERDPNAPKRPLTAFFLFSQKYREKVLERNPGIDL SDYQLEVKLIQISQMAGQKWQSMSEQEKQPYVDQYNQAKSKYNDDVKEYNEKQGITIKDK KKKKTEKFDDKSVKSGQDYLFDDIDSESIQPAAKHQQQLKQQQENKQVNQSSDDEQIQQT IQSKSQKAKSTKSKNKQEVNDDLQKEIVQVINKEKSQKKQTKK >CAK58628 pep:novel supercontig:GCA_000165425.1:CT867997:623984:624232:1 gene:GSPATT00005001001 transcript:CAK58628 MGVCQSQKNSSNLKRSGAQQFIFIDFDKLKKYNEERQNLDKNNCQQQSFCQIFCQDNNNK TSNPQTYTYNNVQTQQIEIKKE >CAK58629 pep:novel supercontig:GCA_000165425.1:CT867997:624938:626190:-1 gene:GSPATT00005002001 transcript:CAK58629 MKGYQKSSPNLKFLQPQLTARQPKRTDSINLRFDVQKKFRTNQTENSMSIDKIYQITPSR VLTLKNMSRVMKSNFIHKTEPNETFDKQNIDNQRMLSRKQTAPKLIYRPIIKQNSEVFCM KDSPRIIQNTSMQESNSIYYISDVVTAFESKENYFNKLFKEHFLSSCMALKQCVNSKIIY KPKPVIIQNNPQNIKKYTLLFDLDETLVHCTLDMKLPCDKKLIIKLSQDETFQVGVSVRP GLHVMLELLEPNFEIIVFTASHGQYAKRIVEYIDPKRIISRVLSREHCCFTDQGQYVKDL SIIKNLPISRTLLVDNSAISYLCQLDNGVPIIPYYDNKQDKQLLQLAIYLNGMVGANDLR EQNQTNLKNYLFAQLQTQEQVLEMYKVLHYSKKN >CAK58630 pep:novel supercontig:GCA_000165425.1:CT867997:626981:628205:-1 gene:GSPATT00005003001 transcript:CAK58630 MNSYYTVNILSDQISINKFKSQSLKYVCLLNFENKVYWYTEQQKIHAFGIVVKKKVKFFK ANHKDLEEMRRLIACKVLFDGIVSLYKCNRQIGEGADSKVFKVEDTIQKSFWALKCLERK DDFQQEIRMHQNLEHNHIIQFKEYFQGEQYYYIIMELMGGQTLSKLLERNLITTHQQCRT IIQALLLALVYLKNEGIIHRDIKPANIMFAQSGKLDSLKLADFNFAMKQYDTNVQPILYG MYTAPEALQDIPIYSDKMDVYSCGAILYKLYSGEDIHPFRYLQESNMFYNIIRNGSIDFT VLDKANAPSKAIHLIKAMLDGDHNKRVSAQEALEFEYFQNESLSPTEKRSRFQHRYQNDN LKIGVTADFEFQLDQDAKCANVRKIEIHHHH >CAK58631 pep:novel supercontig:GCA_000165425.1:CT867997:628334:628747:-1 gene:GSPATT00005004001 transcript:CAK58631 MDNQKGKRMGQGKLAGAKRHKNKMNKEKKGGQHITNGDIRRLARRGGVKRISSDSYPTTR DVIVNFLSSLVKDAIIYTEHAQRNTVQAMDVVYALKKYGRNLLLNND >CAK58632 pep:novel supercontig:GCA_000165425.1:CT867997:629355:630335:-1 gene:GSPATT00005005001 transcript:CAK58632 MQKLYIGKVKEVNKQEIYKLLMQYGLIKSFEDKDSFCFVEYYYESDARMAQYELDGRSVC NNRIVVQFSKALMKQEDLLKEALPSSTRKIYVGNLNHKFTSQELVEIFNRFGKILDIIFK ETYALIEYPTQQCATEAILYMNEKNCKGETLKVDLQKTYDEVLSSSNRIYIGKIGNLKKM DLILNFGQFGFIEDISVKDELYAFIQYRNNLHASRAIKEMNNAEIKGNRIQVQEARSKNH LPLNFNIGQFLPQHPNLLDQLAPTQLLNKGYEESKNVVVQRTSRSRSRSKEKKNCTNGLA ILKDLELT >CAK58633 pep:novel supercontig:GCA_000165425.1:CT867997:630762:632333:1 gene:GSPATT00005006001 transcript:CAK58633 MNIYTLKFEETTLENSYRYHKCNQLQSPILIYTYVLSILLIGSQLIQQLVNHNYAYLIQK LVILLIVILLCLFFKQLKRFPNLILLLINLLLVSLETESNQKNTYHQGYLFGCNQMLTHI ILLMGSDFQVGLFTNIILAISRTAITFVNEDALTFEQYLYTIIITVGVSGLLYSSELYQR RSFLYQTKDTTWEKILPFVICKPFLIFKFDKDSFCFQQIAINKSLTESQEMQFDSVGDFL KECKYEKSTLQKYLFQKYEKFNNLVNNIDCEKLEIQYKKSRMKIKLLVYFVDTVRFMIVV ETVDQAFIDLKYRYQNNLKEVTKKYNNQIKKLAKILNKKLKKCKIQLVSEIAISILEFRI LQSIKMEKLIKINLQQLFQKFYQIFHIIYKYDVYFQAKQDYIIYTYKPELYYFIVSIIRQ SNRTQQISFIIDQNQEAQVPQLSLSGIEKLPEEYQFQYCQQTVFEKHIQVLNSHFWIFKE LHSSFNQHYTFENISNDQQNLLNQYI >CAK58634 pep:novel supercontig:GCA_000165425.1:CT867997:632492:634025:-1 gene:GSPATT00005007001 transcript:CAK58634 MQQQEKNRVYYGRFIQKEKLSAGSFGVVYICQDKVSREYVALKVEKENGNLLSLEREIQI IEELRGIQGKITQVHIGIPKLYWYGNEYNANCMAMQLLGRDLSHYLKRFRKFSLKCICNL AEQILDIIEEVHKRGVVHRDIKPENILMGRGNDTNTVYLVDFGISKKYKTNGQHMQFPFQ EQKPFMGTTRYASIPAHKGYELSRRDDLESLGYVFIYLLKGNLPWQNITSSSDKEKTKLV GKLKMELDTKDLCRGLPTEIQRYMDYVQKLKFTSTPDYKYLFSLFQKIAQQQGFQLDRKF DWNDQSTTSTKSSDGQQPRLSGKDFELGQEDAFKLSEKPEKKNKQCESNLSQQSSVMLNY VPSVIQQIGGRFGSKSTGRSRQNSRQSSFSRESSLMKQQTGQTKRKESQLQKVGQFQDFE DLEKEKEKKIPQQKQADFQLDDFGDDDDENLETKLKQFEQIQVHFKEHLSKS >CAK58635 pep:novel supercontig:GCA_000165425.1:CT867997:634034:636272:-1 gene:GSPATT00005008001 transcript:CAK58635 MSKKFECVRVVIRCRPLNDTEKKDGRVCTVNMDTKNGQVTVRNPKVPDEVPKQFTFDQIF DTQSLQENVYNQTASPIVESVLEGYNGTIFAYGQTGTGKTHTMEGKDDPPTLRGIIPRTF DHIFERIENMAKNKQFLVKVSFLELYNEEIRDLLSKNIKNKLEIRENPDTGVYIKDLSKF MIESPQEMREKLLHGRENRAVGATAMNQDSSRSHSLFQIIVETNEMVQGQSHVTVGKLNL VDLAGSERQSKTHATGDRLKEAININQSLTTLGNVISALVDNKSQHIPYRDSKLTRLLQD SLGGNTKTVMIANIGPADYNYDETISTLRYAHRAKQIKNDPKINEDPKDAQIRQFQEEIM KLKQQLELSIEGGEAVMNPGQEMLVQKVVKVKNVDKIKEAENIIEREKEELKKKIEEERR KIDQQKNLGEEEKMKLLRQLQEKEEQANNARETQQKLIKTIQKMEQKLVNGHTEVEEARR KEKELEEARKQIEKEKAEAAKRALELQKKEEMNLELQTKYNSIKEELEDKTRRIKTLQQK TRQLEFENKETDEFMAKEIEDLQIRKREILQEVKLKQFILDYFIPPKFLETMQMLAMYNE AAEAWTIQGLDYSGKLTKTQIQQAMQEQQQQMNVTDQINSEEKLQELLSHPNVYFAYTEE GLIREEQLAPQEKKKVQKRLQSAKKPQSAKKRPSSKRIESAKKSANLQEQNYPKAKGLTS K >CAK58636 pep:novel supercontig:GCA_000165425.1:CT867997:637985:639147:-1 gene:GSPATT00005009001 transcript:CAK58636 MGLVCFKEGPQAAIEDHSIKQRDHNYEDEEVISEFPQQDEDGKKVSLSQFIMLRNLGKGS YGKVMLVQHTVSLKLYAMKVLIKKAVKNLTQKTHVQTERKILEITNHNFITKLHYAFQSQ TKLYLIMEFVSGGELFYHMKLKGRMTEKKMKFYAAEILIGLDYLHQQNIIYRDLKPENIL LDSEGHIKLCDFGLSKICSWGDMYAKSICGTFEYIAPEIILGEIYTKSCDWWTYGALLYD MLTGKPPFYQLTKKQILEYAAGKDIEIPQHLSEEATDLLTKLFKRNPEERLGGQRDAQEI YEHPFFKDVDFQMMERKEIEPPFEMQNERPFKFFDQNLIRKSSVHDTPVSELGRYQEFSN FTYNGDKFSNEFNKLLITH >CAK58637 pep:novel supercontig:GCA_000165425.1:CT867997:639207:640183:1 gene:GSPATT00005010001 transcript:CAK58637 MIYSLLEQHLQNELLGSKHQLTEFQLVNVSDNIYQDTKDFKYFLNIANQTQSTLIVQSYK FEYEYNFENNTVEQLNCLIEQANDDQTSISINLLQYQHPIPTLLIKKAFIKKQTPQPALQ PHQIHTLISSNSKYQKTEVSHSNLDLVERSQNIVYKAADQEKVSKKRVKVEKSQPIDIDS IKMTKVQEIAEAKTKLEVNVTKQLFKQQQKKHDEKNQNQQLKSLVRKLDIPEKWKQVSQE FSASHKKVWDIKYYEKLVLLTESISKKYFYQHIFKECIEEEYQKKFIRYALPPDLKDPSI IKKRKVYSKDVVCALFE >CAK58638 pep:novel supercontig:GCA_000165425.1:CT867997:640230:641035:1 gene:GSPATT00005011001 transcript:CAK58638 MQDEVIDTNNIKIVVLGAEGVGKSSIINQLCDRQFDLEIKSTTCYKIDNAEYIINDQSYN LSFWDCDVKKVFYCFNQAFYRGSLFAFLVVDATCTDQLRSTIQFWDQECEDYNVFTKYVL VNKMDLVDDIEVMNCNKTISIGQMNEDEDHSHIQMINQNQNQIDQKEEIKLMFSNLELNT QCFFGSAKKKEMGYKDFLIKLIKDNNIFNLQKADSDRRIQKNRQNESSIRQSLPIESQRK SSEQIKCNVY >CAK58639 pep:novel supercontig:GCA_000165425.1:CT867997:641130:643798:1 gene:GSPATT00005012001 transcript:CAK58639 MSLKFEIKKKHVARSERVKSVELHPEYAWVLSGLYSGVITIQDYATQTIVKQIEVNQKQQ PVRCAKFITKKQWVVAVSDDLQLRVFNYNTNEKIKAFDAHTDYIRCVIVHPSQPYLITSS DDTTIKLWDIDNNFTLIRTFEDHVNYVMMVAFNPRDPNTFASASMDNTVKVWTIQNSKPN FTLTGHEGGVNCVDFHHGDKPYLISGGDDRSIKIWDYQTKQCIHTLEAHQQNISSAKFHP DLPLIISTAEDGVIRFWHSNTYKLETSLNYNMERVWSLDIGKDNTLAFGFDEGTVVVKIG QDEPIVSMQQGKVVYGKNFEFFTINLKAINNTSNDGQVVQTNSKELGISDIYPCGVRHSP NGHLFAIFSDSEYTIYRSQNFKNSGFGSGTDLIWSSNGDYAVREMYSIKIFKNNTLSYEM KTDYMVEQLFAGPLLGARSSEFIIFYDWDTAKVIRRIDVSPKKVIWNDTNTIAALATNDE VYFLQYDPEQLPIFLQQEEEPDGFEDAFQPLCDITESINSGYFIQDVFYYTTLNGKIAYS VNGKVFIVDQDKRYFIIGYIQQQNKLYLIDKNYSIISYEVNSNVVEFQTQILKKQYQKAE EILQTIPLQYYDKLSKFLDTLNLKEWAYKLVQDQDHKFDLALQLAFVDDAFQIAQQSQDT LKLRQVGDLALQQGRIKIAAQALEQADDFGGLLLIYSSLGLKNQLLQLGDKAFQQTKMNI AFSAYFLCANLDKCLEVLIKSNRLPEAAFFAKTYCPSKISPIVKLWKDSLAKTHPIISQK IADPFDYPAQFNDLKLAIKVEKFYENIRKQAIPADQFKQFQEFLNQDLFTQLQNNPNLDL SQITYGSV >CAK58640 pep:novel supercontig:GCA_000165425.1:CT867997:644400:646801:1 gene:GSPATT00005013001 transcript:CAK58640 MNEAIAQDPYNLDLLKFKVKLLVLMNNIEEAMINIQEVTKLDPEFSTSKIDNLFIQNLED QKQLQELYSIQVYGYEVYLLKAFVLHGLQKYDEVIECFNEAIAKFPNHREIYLEKGKLYL ISIATYLSQLFKFDQSNQCYDQVIDNFPADSHIYLKKGQNLISQHKYQPALVLYDEAIGK LDNNLDLLIAKAQLLGKLHMYVTAMKCFEQAIKQFPCKFESYYHQGDFLIKWNKYSEAIE SYSKAILYSPNNHEIYNKIITTLIQIKRKTEAFKLFKEASLKFPYEFNIQISLLKQLTSS VNMFEAIEQENLILRLDANNHLPNLAKGILLDFWALGDQAIEQVGRAIVQNPYFTYSYIQ RSNKFNILGHLIIQQNDIDQNIEYLDQALLIDPYNSEIYIRKADTLLEASRYTEALDCLE IALQRAKYDENIVKRQVETIRDSEIDINLVDCYERVLKMNPYQIEFYFGKGKVLFRQLDY EKALSCYDQVIKINPFQIDAFLPKALQLHYLNYYDKEISQYEIAISIDPTNCDVFEKKAF TLANLNRLEEGIQCFNEGIKLNPHNKEIYLQKAEFLNIYDRRQEAIQCYDDAILASINDP QIYQKKGALLLKEERAYEAMLCYNTVLAAFPQMTKSYRYKGICLFLQQDLRKVIQYIRLF SIFDNQQNYSVEEIKEKF >CAK58641 pep:novel supercontig:GCA_000165425.1:CT867997:646831:649600:-1 gene:GSPATT00005014001 transcript:CAK58641 MFILFCLLHLQQVESKVIYFLPHSHDDVGWLDTVWNIYEFGPGVKNIISSYSTALLKDSG RRFVQVETIYFKKWYEEQDIDIKQKIKQLIINGQLEFAAGGWSMNDEATTYYEDIIDSFT GGHTYLYEQFRIIPRVGWQIDPFGHSSTFAYISYMMGMDGQYFARIDQIDKSERLKNKTL EFIWNPKPGIELFCHINYRHYSSPPGFDFDPLRSSPEIQSNQINQKANQLLEYFGQQAAF YQGETIVHTLGDDNEWSSAESYFKNIEKVIDNINKRNSTAQIQFSTPHQYLKRLNQQQLS YSVKFDDFFPYSDNYQSYWTGYYTSRISFKGYVRQLSKEFQQIKTFLSKQISYNNIKDED ELLQLLQNHNQNMGIVQHHDAITGTAKQFVNDDYIKLLQQSSALLDQYMRKHVSILAEQV LDYKNYEFHTCYYNKTISECKQLDVWLNSNKTVLLALLMNQNKIIKLPVPNLHYTVLDEN NVSLNCTLLCDNQDCVLYFIVDEDNIEETQFYKIIPSNDKHPANTKRIEITLNDDSDLST ILNPQTITTNSFKLKYAYYKSSSEYRQPSGAYIFRPDGQLNPYGSITHSSIHYNDIVTEL IIKRSDVVTKIRKYQHLKDEYEIETFLNSVDFIDRSGKEVVMLIDTGIQNNDIFYTDSNG LDLQKRVKNFRETFNLISTEPVSQNYYPVTNMILLNQTNGQSVAVINDRSQGGTSLNQGQ IELMIQRRIGTDDRRGVGEALKEDIEDPKCQQTNSCKQKKGISQTLLHQLIFFDNTQDPN QARRIQLREDMIGLKYFAEDFSSKFNIQKNKVIPESYLDEIVVLDEDSISKVRIEPKSQY FIVRIHNLQEVGDIDFEFPKSVQYYQTTMNGLMTIEEWQSSKLNWYKLNKTKSQTQVNQF QVQPQFISTFIILR >CAK58642 pep:novel supercontig:GCA_000165425.1:CT867997:649986:650489:1 gene:GSPATT00005015001 transcript:CAK58642 MKNNTQQTPISPKMAMYMNAMKNKHNQHPLLSEQLQKNQSLAQFHEQQQSQRSQEQGKQD NLRANSSFRSLKFQDLNVTHNPIDVIKLKDILDGNRKEIKVKQQQSQVQHKNQQQKSFTS QKHCQDEVFAEQQKGEKKLNLPIQDCKQKFKENVNLDLETPRFFGMP >CAK58643 pep:novel supercontig:GCA_000165425.1:CT867997:650565:651287:1 gene:GSPATT00005016001 transcript:CAK58643 MAIKHQQIDEPQYILKGFRIPLLNTYFQEPFISPQNAKQQMSSRSNHQPFFESPTIKAVA TSREFYRFEPFKVEKPQKNKLIEERSLSPIKPKIVEDNKLKGLLNIVSDRNKQIHLKQYD FNVCGSTSNTKKIKFNFKKINIKIPSKIQFSKDDPENNKKFLINTLRKNSVIQNQIDIQR PVRSKSDIERVRSKSNLKQISPSKDQLIEEQQKKKTVRFNELVEIRMFDRIKSHLIKQIM >CAK58644 pep:novel supercontig:GCA_000165425.1:CT867997:651347:651874:1 gene:GSPATT00005017001 transcript:CAK58644 MTESFRTRKSSYVKTCQHLLLNKVNFPSQNLIITRLNCIKPQELPKAQQKLYPKNLKQDK IGSDSEPSCFITSLAKLCNLENTQTQNVLQKRAQQRYQYGYVQGSMDQGNLIRVKSNSIR PRYFSNDFLTDRTMRSSVSPNKKKVRFYEKIEYWVLNKDRKFECDFRYFETMKLQ >CAK58645 pep:novel supercontig:GCA_000165425.1:CT867997:652116:652715:1 gene:GSPATT00005018001 transcript:CAK58645 MSNQTRQLSKELNSSHQIHRRYSTNGVNHQDVCKIQDKYSKQLNHLLHIKYQNMLQLFKK KQYIRSNIKQNDKTFEHVVTNQFTKTQSQFAPLKKKFNGKEWHKYNHERCRFLSRQGSIE KLETNRNQNIQPCMLISQANRQQRSVSQMNNNRAELNKILNNNKWKIVRSNSQYKENLII ILIDESQHNQKQNRIVQVV >CAK58646 pep:novel supercontig:GCA_000165425.1:CT867997:652756:653754:1 gene:GSPATT00005019001 transcript:CAK58646 MSQLISKIFFQKTVLVLRIQGSINSQLVSKISHQLENYNKGSVIALGLIINSQGGCPYQV EVLSKKIKSYLNGLPLYTFATEQSLGNAYQLLQLGNKSYAQNTSKIGFTQMYKNKLNFAD FLQNYGINTFQYQSSQIVNHNFVVNSSIRGNSQQDMDYLDQYYGLKTKQVTDQCWTNRKE QIKINKDQLENNVFLGKEAKDLGLVDELGTYEKVLMQQYPKAKIIPINTEGKLTEYENWF NLFIKISQSRGFMGLVLLFGVKVMLKWGLIIYVWRQSVKQKKLNKQLEQQQQ >CAK58647 pep:novel supercontig:GCA_000165425.1:CT867997:654894:656501:1 gene:GSPATT00005020001 transcript:CAK58647 MQKIQDDQQYIKNTKSMQKMVEIADRLASTTPDLTKFINSEAILKPTKMQIDQQGKKGDP NDPKNKKKQIQQSTVITKNEMTAQDWILKCQELQAILEKEKMRTNQLELELKNRQERFVN REIEYRKTIEELQSELRAKTALDQGDRKIMENIYKDHNKIIEGINNIQLRTSKILVDQER DIIRFFNNKINEIKKQFEEERIKKGKNDQEYIEKENQLISELEWIKNIAQKIDNENHSLM QKYKELKIQYQTQENDREMLLKELIMKKKKNAILKSQIQQYEKLLNEVQKDEVEQDDQSF DQPSYQDIVRPGSKAKVRIRSVKNLAQSQNRQNKDEQLSKNPSQIQQQQLQGSQSIQQTL QRYEKTIKSLQSTLKKEQKRVRELKQLYIKEMQSKSELEVILRKCIDDIKEEIIQIKGEA RIFNKNNKNKLDQLEKEDRDKLIQTLLDNEKIVTLIHDQIFYANKKKVDIDELNTSKQQQ PQQQKLFQFPNKQILQQQSQQREQEEEGFDDGENPEDEF >CAK58648 pep:novel supercontig:GCA_000165425.1:CT867997:656538:657140:-1 gene:GSPATT00005021001 transcript:CAK58648 MSMSQQRFQTLMGSDDISQLCSGFIRFIEEILLPIAKQDTKQFQNWTKFKEIIDPFNKKI ELQRVEQFFQQFNNSKINQENKPPTPILKDQTKNTVNIQDRSYSIPQTTIVQTIKEDYEN KLKEITEQINKTFHQNNTHLDILLKMNNSTQEARNKLELNNTNQRNTSITRRVEYKRRNT DYYSFKPINIQ >CAK58649 pep:novel supercontig:GCA_000165425.1:CT867997:657212:657776:-1 gene:GSPATT00005022001 transcript:CAK58649 MDSKKLSKIIELIFLSIAWLSVLACLIRTDFNFPFAFFCYYLWISRDDKANSLMVLIELL FDQLMVLNGILILVDLIWLLSVGSIWTATEKNNPVWGRLHGLHVFVIFISIVNALLKVGA IVAINSYRGNQQQVAGPIGTQNPQQSGFNDMSQNRQQPYAQF >CAK58650 pep:novel supercontig:GCA_000165425.1:CT867997:658466:659035:-1 gene:GSPATT00005023001 transcript:CAK58650 MNRIANGVIGLALKNQACFSAAKKAKMELTIRTPYRTILDKFEGFSRIVAKTNEAALIIQ NRTPAAVYILPPGPLKIKFTQDVKGVTGDFLHLGGYVFVNPDNTCEINLMDVVDRKEAKV DQFDKSDVKDADTVAGRYAGKIRRSAQRTFIKKATA >CAK58651 pep:novel supercontig:GCA_000165425.1:CT867997:659509:660417:1 gene:GSPATT00005024001 transcript:CAK58651 MGNQEGMCCLSRERENHIMNNELVIFREYMNVKITVKLGDIQKESGESVSMFLVINKVCL YTNPDKIPLQQNIIQQIYDNLSRSINDANPLVQYEQVYSQNLEMNNYRCITYYRVRVFES EKDLFQYYNGFREWQINIKKLISLQQLNDEEFKDILICDCPIKQSRAFSSEVLVRSVIDF IDESKNRLNIKSITMMNCDKKSSRFLKYELIKQIEESKEPTLRKERQNKFMKFITSTKSV TSDMGRQNKCDFSLKDFDLGDESKLIQALKQNETEEQ >CAK58652 pep:novel supercontig:GCA_000165425.1:CT867997:660542:661184:1 gene:GSPATT00005025001 transcript:CAK58652 MNRKKNTTSVPTLPQSKVNPQYLAPPPQQLSPNQSYSTFIPPEPKFDPNDYITGSTTKRD IILYKEIFDFLDSNNNGVIQPMDLRKAFASAGKYQPKKQIIYQMIADFDQDQSGIIEFRE FVRMMSMNPGEKDTDEDFENIFYQFDIDYKGYISIDDLREMASECNENLKDEDLQNIIQS CDPEGNGTIRKQAFIRYMKSAQKKR >CAK58653 pep:novel supercontig:GCA_000165425.1:CT867997:661233:661582:1 gene:GSPATT00005026001 transcript:CAK58653 MGCVCGSKEQTNDPYQQKSKKTQAKMKGKGIQIGGGEQQTQNANQNELIAQAAEKRLQNQ ERRGMNHTAQIEYEFKKKKLEEAEQYEKERRGENYNLRWN >CAK58654 pep:novel supercontig:GCA_000165425.1:CT867997:661965:663191:-1 gene:GSPATT00005027001 transcript:CAK58654 MRPFHVRGTDRAIMTVKINQDGDLFFTASQDGGINCWLTETGERLGNYKASGAVKTLDLT DNSELLVSGSLEGSVDFFQINGGKSLGKLTADMRKAKWVEFSFGDENLIIVYQPMTSTIN YETRILKVQEILDKIKLRQTQDVLEITDTLVTPDKFKTTQASWGYLNQSIVMASTEGELL LLSYPQKEEIRRVQVHDGEIKQFTFAKDFSILATAANDGCKIFDPTTLQQLRYFKYEVPM NAVAISPLFNLEQKPKPHLIVAGGIPARETARTKFQGFDIHICNVIYEEEVGKLLNPNQI GPINALAFFPDGKGFITGEEGRIFKSLQI >CAK58655 pep:novel supercontig:GCA_000165425.1:CT867997:663192:664215:1 gene:GSPATT00005028001 transcript:CAK58655 MEEQENRQHKKNEKLEKRRKKEKEIKKNKKKQKKEQLLLRGEKRSKFFAQKDKKDKNFID RQKVLKTFKLFLKIYQDDLEDFFQLFKQLDDGNVIETADIENPNIRTTLQKFMKQLQLKN RGTEQTPIFKKLSDIKLEPYVRGLYDQVIQEVNQQKLQSDLSDQESSRSQSPQKETNKEK HENLKQQVNEKDQNLKKQQLKVKELKEEVYQDKKYNRIQVRNSMYADFEIKPKKQLENNE QQLDSFLEDQFSKVEQKKQPIKDENIRKKIKIESNTLVNQSNQIQTNTNEKKQNNFICKK DFNRQTNLTQENQTKNSLFMVQIQNQFI >CAK58656 pep:novel supercontig:GCA_000165425.1:CT867997:664260:667375:-1 gene:GSPATT00005029001 transcript:CAK58656 MLNQAFLFTVLEERFHKIYQGNVLVLESIQYFKLKNIRTIIVVGQQSHTKYTDYVTYHRV DDNIGEAIKTFEKTCLLIQNEINRSSVLVCCQNGLNWSAAITIAYLMNTKKWQYEKAFYH IKSLKSLVNPSLALKKQLILFNNKVHNTKTFNQENKLNQSNLIIQSKFQQRIIEQSEKLQ YLNDSIQSRDERNSTNSNQCDKTPNFCSPKNTNINKKTIAQSETKIMKKQSIPKLARHLR NYTFQIEDGNNNINLNTNANNFINQPIICNSPKFKEEEEPVVLRHRKRRFAHRTQKCNQQ CLIFKVFKFIHFYYYFLNGSNNHYIHNYQCPSKQHHLQKNLQKKYQDESINDSNSIKLTP NVRTQMLGVSKISDIKVYNDYSVDSQIFDKSSILEQSLEQELRIWKEQYKVDPQVVQKIE LCIMMIVTFQKQEILIIKQLNWQHNLKSASIYNKKPNLIFKNFNKLSKEPLLLSFQGLTV RKLPLEQSSIVHSWMQYAGSPNLLNFLPPNKLFQQTRQFRYTLIIPSIFFHHSHRYKLFK KNFAIPKQYNEEFFFAIEGRNGYRLELIAESKQEQELYIRILNQLIENNQKSFNSSFFIE QYSQNINQIKKNLDTSKLCFIEAIEKLQYNFIQNTTSILQHEKEKNILLKKRSCHIINQN KQQIDELGQQNHQQKKMIQELQLQKEYYEQRIVQLQEFYNVRTMQFKTSQSPQLSQINQI LKDIIGLDGENSVYNESLIDVQVQQVDQYQDSLKNLRKVQDILVNQQNLEINLRTKMEKL QIENSNLQQQIKKLQTDINECEGINQMLQKKLIDFNLNMESKEEQFNTVIKEIQSLKQHL PCRMSTSYSQSEYSIKLNEQDQTLLNFYIQIIAFVFFILVPEELSNEFEQIMQGFFVKIS TKYGDLLQISLLIKQINKVYQSLEQQYKSKVEQLFKEQIYHYFNYLQNPNKKGFPSPAST QNSNKLLLVDKTFSSPNNKIFVKNSAKFYKREAFQSLDPQQIKYLSQLVK >CAK58657 pep:novel supercontig:GCA_000165425.1:CT867997:667430:668724:1 gene:GSPATT00005030001 transcript:CAK58657 MQIIRNRISYHIPRFGFKYLCDTTFLNEEHLQIQKVALDFAKEKMLPYASDWDKNNYLPS QVLKELSEMGFATIYVKQELGGVGLDHLSASVIFEALSYGDITTTATLAVQNLCAYLLAN YGTEEQRKVWIPRINSFDALVAYCLTEPNSGSDNKNMETYAKKDGKDYIINGIKCFISGG SVSDLYLIVCKTSEKDVSSILVEKDTPGLSFGKVEDKMGWHASPTTRVILENVRVPQSNL VGKEGQGFKMGMSALDGGRINISSCSLGGASLALDLAKDYLQDRKQFGQQLSNFQGLQFK FADMAASLVTSRLIVRQAAMKLDENQIDKSLYVSMAKTYATDSCFDVANDALQLHGGYGY LREYQIERIVRDLRVHKILEGTNEIMRMIIARNLLK >CAK58658 pep:novel supercontig:GCA_000165425.1:CT867997:668762:669770:1 gene:GSPATT00005031001 transcript:CAK58658 MNINEQLLQMVTDVSVQKVMQICSALGQEPVVTREQSTQEILNTLKLHIYILLELVSQNK SLEYDELEKAVQKAEAEIRSHVRVSKLAQLQLEQQMKLYADNLQEKIEQLELEKQQIEQD RKATTLQLNFLSSKEIEKKPSNPSQQREGSPNIIKKSYIMGNQIYHRQHQSNLITYQDQQ IPNKNTSQEPINRERRSANTQHISYIPGKGLPLKNNVSHQNNTIHNPPSNRKDDRNKSQQ SQERVIDKSQSLLKSQNSYSYIKMYNIQKLIINKNQNDNTKQATQLTQQTKSKTIHGVCN NILDESHYKKK >CAK58659 pep:novel supercontig:GCA_000165425.1:CT867997:669772:671056:-1 gene:GSPATT00005032001 transcript:CAK58659 MGANCSNCNQCQKDKMELNNEVEVTKKSDSHKASSFSQQSSNSLIDGNDLKQTKCTPKEQ KNTKFSVFSDDQEKEKNQQNKLNDKAIVIQKNWKAHKARESYKEVKKSLSESQKGNDKQQ QQQQQNDQATVASKDKKKYFNSLEFGNINNANNIQSREKRPLFVFKSGATYEGEWVGNKR DGKGVQIWPDGARYDGEWVDNKACGKGSFYHVDGDSYIGEWADDRANGYGVYRQNNGAVY EGYWRNDQQHGKGEEKWSDLSSYKGDYFEGKKQGKGKYMWPDGSYFEGDWFENKINGYGE YYWSDGRIYKGSWQNNKMHGEGVYQWADGRIYHGSYVDDKKQGVGKYKWPDGRQYVGEWH DGKQHGKGKYILPDGKTKFGVWDQGRRLRWTEE >CAK58660 pep:novel supercontig:GCA_000165425.1:CT867997:671129:672884:-1 gene:GSPATT00005033001 transcript:CAK58660 MQPSSQSLEGYQEVRMKFSSSWTFMLTSLGFAAGFGSVWRFPYLVFKNGGGTFLIPYFIL VFTLAIPLFFLEVGLGQCKGIGMAHLLDLEKPKLRGFGYVGIVICAYISTYYNLIMAYSL RYLWESFKYPIPWLESIVEQNTPFSRDYFYDSIVQMSTSITDINHIIWSLFIAYIVSLII VYFCIKEGVETSGKVAIVTATSPYFLLVILLIRGLMLEGSSEGIYYLFKPDFVKLFNPSV WVDAANQVIFQMSVGQAILCLYGSYRKKEDNLPNFSFAIPLLTALCGMLAGLVVFSYIGH VSLKFNVPISQLPLSGPDLAFVLYPAILAQMPMPNLWCILFFLVLLLLGIDTQFGFVDGI AGTIEDIYLGEVIVLGYKLTVQQVRLCVCSILGAIGLIYCTDVGFYLLSFVDTFGTNVSF MLGVLFEVFYFGSKERFEQLKKDLEQYGNHVPWLIEFSLTKLCHYTVIVLLIISVISQIK NSLDYSFYVILIGWFISFSPFIAAIKIYLENRNRIDMSKTDLDIELLTKQVQQ >CAK58661 pep:novel supercontig:GCA_000165425.1:CT867997:673627:673842:-1 gene:GSPATT00005034001 transcript:CAK58661 MGICFIRKEQNKNNSNNFDSITQPIQVISEQEKYANDFLESLEELNNLFQNLAQDFHKQQ QSE >CAK58662 pep:novel supercontig:GCA_000165425.1:CT867997:674048:674285:-1 gene:GSPATT00005035001 transcript:CAK58662 MRYQIKQGEINKNTNNMITQRINKHKFKRQQDNLDQETEITIYNIYLMIKFRDWGLIKPN PVTKCFAQQFQY >CAK58663 pep:novel supercontig:GCA_000165425.1:CT867997:674441:675823:1 gene:GSPATT00005036001 transcript:CAK58663 MQSSKAKETHNIIMQQYDGIDEIIQLNSQTQEKIQNQSEAENFSKIKDGLDEFLELVCDN ILQNEEEINDYLNDNILINLNAQDKRKAIQYLFGFKQGNTKLDFLNNILDQNCEEQFNKS PELNENQYYLDARKNKEYSNILNKLTNDKFILVNKIPSQIASSKDFLERILNTQLQKVHL LFDYNEYQQGLKQLTQIELINILSQFIDDTDEKLQQIIGSISIRQCSQFQTYNDWIQEQE QNLFTTQQNQKFLNELLCLDLIKIQKDLITFISQQIGKLNHSDLNKKKNQQQGVLRIFQE TLKMQICCNLSLDQIYFAITFYFWIKHHNKIVKFHQEISKPLGQVTDKKKENVLQTKLKQ YKKENKEQDSIHNFLMDKIIFYKSDQQQFLKPNQQERNSNDYQVQNISNYFSKIFNQCFN MDSKMADGMDQQSNFIQFLNQLQEFHFYQTQI >CAK58664 pep:novel supercontig:GCA_000165425.1:CT867997:676025:682462:1 gene:GSPATT00005037001 transcript:CAK58664 MKDTTKCQNVKIFRDQFEDLQSFLCIENCIKLKSIIFKNSLFNLDECTNILKEIFNEVYI KFKEGSSEKQRNFQFLVTLGGKDYGNQFLMLFHCFKNKDIQNQIINIVIRIQFFKKNRNL DMFKQCCNDLFMLDEGIYDKCYEQFNDITEFQITPQFFQHKKIHGSYPTKINISIEQFIL SRTEQKKNNQIIKWVSNLNNCEYFLSLLPFLFDIIKRGESIEPLLDQVNKNTGIENIEFM NFKVIFQILYNNSDKQLQILLLKNLSYNYPIPFVYQNPNLDNIQVEADLYLINPNIYFLL QKQYSIINVSFSKLQTQIGKTQLINSVFYRKDKFNIQDTNNLNRNTIDLMLDKEFNGSRN LLIADAHGYIPQSLLLKILPLFSFWIIQMDTEEELKENLEQIKNLNKKFKIINHKILFIV RNSKSKQIDQSLQEELNKNYIQLYQIQNLSSKGINNQLIYQQIKLLQEQIFNQIANHEEF KPFNQENFIQILRTEDQEELQKLNILLQEIKDEAEIYFNDTKGFYNSKAFPLRYFDKIIK ELQEEESQLFEVSQFCLTQEIHQLQQKIQNYQNFLKQQNPSKLLKLFKQLICFENYSSYI TLIQVISDLTRESTQKLQIINSDLLDKLQVQKLENREDKEGLKKLKQYFEECEKELQSKS LNIDIFWREHIKHCFQINQCQEVADQIVKLLMKGEAFELLDGEQLIMNIGFFELIVKCFE KISKNNKILVIGILGPQSSGKSTILNKIFGCHFFSSVGKSTKGIYFQMIQVQKNSIFENQ FDFILILDTEGLQSPNQKDPLFDKRISLFIFAICDIILINVKGEINSQFKNLVEICIYSL AQIQNALSNTKQISWCFNQNIDTSNKSPFIEQLTQLTKQLFDDNGQFGKNKDKQQDILEL IEIKKENIQILSTTTTMETWNIEGLQQFWTQLIPNESYSKDAYKYGIQLIHNYFEKCQKK QQSFCGQLLENFFLNSYKMWETISKLPDLLEFSELISLQQNQLISKFYYQLWNENKLTFK SQISTLIKQKIEQLNFDLNFKHLQEIQQELELKLSIECKIINKKIEEKLNQFKEEKNIQK NIYQKFIIKLQNEIHNLQTECNFFINEQIKNQEIEYQIRRGFGEINKYINQLSPEIRTQL QNDETLLQNQFNIIWEKIKIQQLQQRKVKFDEIQTASLKQIKSYFQEYQLTFHKDSNFQS FFHEKINKIDQKEEEKDKIFLQYQEILMQNQFTKLPKEDFYHINFEILGFDQKIEKSQGS FLSLDKLFQVNYNINYVLNRELKQYFQNYFQEDLQKAKKLEKIQDLLQILDLFQVGYDQI SKNKILQLFNKDQKEYQNSCSQFTQTFKFNDLDRQRKFQKLDLNLLEKLKKSKLKFYQRK IQDTEINDFRKENIIISQACDNVVSKEFSSKYCVYISNPQKYRFAESFQVEFNQMVNKNR IWNKIYSEIYDKIKTSILELAENTEIISKDIIQGVMQQICDFSENRYKPQFSLYGLQLSD MGQRCIYYYSLHIIWRFYCLKIWKKFEENQKLVENSKNDQWESFKLEIHQHKFKLSMQKG KLLANYLIEKSQQIFYLDKRIEIEDFLKRELKKNENLVKYLDDKIITKRQKIELLNEMTD QEQVMEYLTNQLNFIQSYVEKYIKHITKQIQLQYQESFNQELRIIFHRVETNAKKLLKSL PYYPSVENYFENSIKSKFYKIKDEDQKKKQENILEIKLFNLVFQYLLEYEQKQEEIEEID DQYKDCFIHNYKRKQNILINQRNEMDNQINQIQPFLQSLLDNLQLVSSINFDLTQLLIQE SLNSLKLAMIGCTHCCPMCNRKCDSEPEFLPHSCQNGHYLRGMNGILLGNKPQLQSCEEI KDDQIIDILETKKQMKWKEIKKIYQKWNFASVSVNTKILKENKLKLIKIWNDGIGNLICT KLSQTFNTKIYYNTREQQEIFYLFILDDSFSMEGKKGDEMMESLRQQLKFLKSNKYAKVS VISFNYKASLQIEFKKPKAKLIKQITLVGGITNFDPPLKLCLDQILKYEKKIDQAYILLY SDGEGSYPQQSLAEYITLSQELRNKISFLICTAGSKPQTLLKMIQQLTLAFHKVELKKNI QSSDLSKCWNEILIKTCQMTTTE >CAK75752 pep:novel supercontig:GCA_000165425.1:CT868217:960:3001:1 gene:GSPATT00039090001 transcript:CAK75752 MKQLIIYIKLSGFLFKQLLQICNDLLRIIFSYLLQNEDRYMQDEIQKNFLEIIEEVKSQM QIEAANIWMNGANFELQMMNICISHCRTNSQKGKQFIISFVGGLFSSISQLKPSEKLIDS LIEAGKFLLLNFYNKQIQNPLKVYEINYYFENLKWSIVNQLKLGYSIQNVIKQIQDGYSK YIKLSKDWRVHYFWVNLISDIMCYRPIFLKTQIKQYLQSQTFDEETWNQLVVQLPYNKFA GKLKLLSNTNFTLNKYLIQNQCDIQLLPNYINFNFNAQQGKQFQDEELFIQSLTNQQNLE ILKILIKQLRYQIDQALRNFQLVKNQVSWLDSQMNSKIHIEIEIFRQELKVLMKKIKQSS QLLLYLINEINLIVNKELQINLMIQKILQEQFQTIGRESKKIEEQILAIIREIENKHGSE FLGILQNVSQFQILIARYTSLSHLELIEGNLEIEKSLQLQLDQAIISINNIYNYFDKFRF QISNIKRNFLKLLEQQEFKGVLKESVSQINPVQIVIQLFNPQLILKLIKESLEYYEKQLQ ENIQIENVKDVQQILRIIHSNINIYKGFEIILKQHQKILLSTSTLIQGVFEKLSFGHIQN KQQCEIDIKQYIKAFILKIREQLDLLFQKYQNQELTKEEQTDLSIIQNQLSNEMNKIKVM DWRDQMKIEDT >CAK75753 pep:novel supercontig:GCA_000165425.1:CT868217:3328:8989:1 gene:GSPATT00039091001 transcript:CAK75753 MVSMQFQLFSHDLQNFSLKFNTDMRSRFKQIEQLENQVLLSENQDEILNSLLQEYDDFEI YLDYITDMAQRLDISLIFLKEISKDLINIRSSINSILKSVKSVVDDIRRLRGKNFMELLT IRKQKVLYQKLENEQDQIHIEIKTQEYDPISGNKKQNKNGESVTFLMKLEYNNYDGEVNE FLWSEDEKQKDVMLIKGKAGSGKSRASCNIEEFIWISDSISPNWIPIYVSLPSIKDPNHN LIEQALEIQRCSHQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXNLIRSASNSIYILGSLREHLDNIDLRNPNIADTKLNGISFSQCNLSNSQLNNVSID SCNLNYATIENATWKNLICKEKPSIKAHSETIQQVVFSDDGNFLISGSRDGVIIKQELSA DSEIKKAMLPNDDYLQKFSIGKNLLACLSQQFLYLYNLIDLRELKKFPKTSEYFQGVDIH ISSNDKYLAVSLRNENLLQQSAQQPKYLSRQKNQNIIECIAISPNYQLLATGDTQIKIWN STKISDVQLILELPQQNHSILAIAFSKDNQVLAVARENEKLEFWNIENLNKVLLQYTFYS QQSIQQIVYSNNGSLFASRSQNFLKVHDVQKLPDQQDYFKINSNLQVDLMEISQDGAILA ISHTQTSESHNPKITIWDIKNLQQIKRKSVFEKHQKNIKTLKFRNDNLVLGSGGLDNTIC LWDLLRLQLIVKLTSHSDQVLDLAFSQDGDQMVSSSLDGTIIFWSITNLDKPQIQYTLQQ QLGTKKLIFCPNSSLLVSLYGYSQDEIIQWNSTEFKKISTQKQQTQFIDINFSENGDIMI DFLEFGKEMNCVLMLKNKSRLREKNNCIKPIVQIVKILLLILDSSYINYKQMMKKFKKKH RFRFSEKADGISVSQNNKIITMKDSQDLNRIQIIIIENHNSQNNIYFEEQDKINDFQFSI DTSLLAVATTKGAFIKDIQTNQILQNFQQNTYCFLICFIWKEILAIVLEGSLVLYDIYDI DSTKTIFIIQLCDIPLKLVFLEQRKEIAIYSKNSLILISLLELSQIKLIQFDEKEQSRNL IFNSEQLFMGRGAGQQIQFISLSNAITIEKIVKLQDTKGQHDQKYTNFSQDCNVFSVLYK DLKYFQFEISSNRIQKQVNLKMFDPSPCALNNQETLLIVSQSKYENETLVMGSSLPGEVV N >CAK86538 pep:novel supercontig:GCA_000165425.1:CT868577:3:2079:-1 gene:GSPATT00039764001 transcript:CAK86538 MEQQFCKIYVFFKQEIVFRKVLKAQDAQVLMLQIVSQMFRLKMLNFRRFKIGLSSAIITI NPSLLKNNLIFQNMSIIDCVSLKNQIVKVQFISQGNKQNFISFVDLIIYQSQESWVNLFQ NIGILSESEIQEITGKDNAIIYSENCDVTIENVIFEGMLFSSVINLNNINTLNMVNCQFQ NVQNIYTQNLIVISQLVQQKYQVSLRSLNFKNGSMYSANKLPNQEYLQIQINYFFSGCSI QSFQVQYFQKNYFYSNILQLLQQNQQQSSILYIKGQSNLGVFIFDRIQFTNNNYSASQQG IIHIDDINFKKFQIIRFDCHSNIINKYGCLNVIGNQNASNVFKIENSNFISNLGTSGVAI KSSDILLKLIQCNIMFNIALSQGGALYLQINTKRFFISKTIIIYNKAQEGGGIYYDLDDD LSIKTQVQTFINFNQAEVYGNNLVENPSYLSLYINSKEMAALEYTINNISTSILRINPYI VLEQGIKRQTEMLMIPSSQVIYIQRENYSIIFIIQLVQYADYTVIKGRDEKQNSSSKQLL QFQTENNNFELNTLSFRLDPYQKDSRHLQIQIFCKTQKSQNGINYIINAKSFKCQLGEFY IEDGCQTCKSSQGFYSVTYDTIKCSVFDKTKFQNGYWRPNYLSDATEECYKNTEFCLEGW QVVDSTCSQGHIV >CAK73193 pep:novel supercontig:GCA_000165425.1:CT868143:1497:2335:-1 gene:GSPATT00038849001 transcript:CAK73193 MVFYNQKFRILSLIKSLMPKLQNFQTDNLRLSKRLDGEVKAKFFEAEVKEWKKKFPNKVA IKKQHMLNQKEKNIIEAIMKYQEQHELKESNKQPSTQLVKIYDIIQMNSESAFVMELGEN NLLFYLKNNKPSLKKILQINQLSQSIRFIHQDLKSMHRDIKPENFIYIGDQFKLIDFGTV RYNTNIDKTIQIGTTLYQAPEMVNGQSNHTEAIDIWSLGCVFYEFFGPEPLFYGKIQTAV KNDIQSIITNQKDLKEKINSNKGG >CAK73194 pep:novel supercontig:GCA_000165425.1:CT868143:3042:3623:1 gene:GSPATT00038850001 transcript:CAK73194 MLNKSAININDTSKIYKQSNQLGILSTAISKIGNADHSFHKGVKANALIQRITRTKQKQS FQDSPSRTKQKIDRFKNWDSKLDIELQLQNTGITRMGNDAIYQSGLELNYTKLIQFNERL NQNNPSISQTDIVSLTAKLNAIPRNELTNFTRGHAHELSTLQQSLQRILKSSKAC >CAK73195 pep:novel supercontig:GCA_000165425.1:CT868143:3872:5003:-1 gene:GSPATT00038851001 transcript:CAK73195 MNIKPRLYGEANLKKRPSYFEFENIDINYGQLDNYEIVRKIGRGKYAEVFEGINVNMLQK VVIKALKPIRQKKIKREIKILQNLNGQNNVLKLLDVVYDPASKTTSLILEFINNTDYRVL YPQLQDQDVRFYMYEILKSLDHCHSMGIIHRDIKPHNIMIDHEKKLLKLIDFGLAEFYFP NKNYNCRVASRYFKSPELLLDYQYYDYSLDIWSLGSLFAGIIFQQEPFFHGQDNNDQLDK IARVLGTDDLMNYLQKYNISLGPNFENVLGQHPKKQFNKFVNEDNEHLAKADAIELLQSM LIYDHNLRITAKEAMLHPYFQSIRKNSKRQ >CAK73196 pep:novel supercontig:GCA_000165425.1:CT868143:5778:6019:1 gene:GSPATT00038852001 transcript:CAK73196 MKDASDNTIHINLLKAILIWNTFRANHPKTRYSLNQANDFHEDCLAIETKQQVDNKLLII NNVAERQATQ >CAK73197 pep:novel supercontig:GCA_000165425.1:CT868143:6638:8986:-1 gene:GSPATT00038853001 transcript:CAK73197 MKYHQLSNCYVEAKTKEYQNRRFLLQQHLGSGSEGAAYLANAENWGNNPQQVVIKIQKNM KANERDFLIKLIQYQNQYENGNNLQYQPSQLIKIYDFFEWKENQCIIMEVGQENLYEYIG NKMDLSMEQRVKICFQICQPIYFLHSQKLVHRDIKPENYSKVGDIFKLIDFGLIRGSISD NKTQQVSSAIFQAPEILENSSSYTEKVDIWSLGCVFYEILSTQPLFDVSSDQYKESQKLA IYYQQQNQKLKNFIRCQGNFNQNDLVSRSCSTYNRMGLFTIPTILQKQRPEFSSQSITNR YTWFPQIQCNPQPSNQILPSSQISIQNSLPNQPPQDNQKITLEQIFSKLNLLENQVKEKD NQIQALSQNLENIVYLQQVEKDNQKQQLQRNLDEQNKQNLNQIDSLKQQVNQEKLNQNII LEEKLKQFEQQITAQQTKIQEIDKRSLSKSDLNFQNQKVVTEQQNLKSKQEDKYKLELTK AISEQETKYKVELKTVIQEQEDKYKEALKKEISEQNFQIQKIFNIQHVEIKQNFDEQLNS LNQKQLCQIEPYSKIEQGKLIMEIKTIVDEREYYKIKVNSLSQKIQLIEQEFNKEKLQLT ESNNVIENSNKDVTEQLFDLQNKLEKQYAIFGLQTIQIKQYEESSIKENKSLKQKLENLQ KQTQEVKLRQSKEDDELHKTINDLKEKHKEQNLKISTQNQTLQEFQQQIQSLQNQLNQAK LPLNQVKALTYFFRKTQNIKEFSNNNSKEISELQTSIKDSC >CAK73198 pep:novel supercontig:GCA_000165425.1:CT868143:10074:12897:-1 gene:GSPATT00038854001 transcript:CAK73198 MKYHQLSNCYVEAKTKEYQNRRFLLQQHLGSGSEGAAYLANAENWGNNPQQVVIKIQKNM KANERDFLIKLIQYQNQYENGNNLQYQPSQLIKIYDFFEWKENQCIIMEVGQENLYEYIG NKMDLSMEQRVKICFQICQPIYFLHSQKLVHRDIKPENYSKVGDIFKLIDFGLIRGSISD NKTQQVSSAIFQAPEILENSSSYTEKVDIWSLGCVFYEILSTQPLFDGQTIQQVLTSIRN HKNQPFITNNKIKNLKISSDVKEILIKMIQYQDHARPTIEWVQQKLKQQINTPPFQKYKQ INELNFNSVISEKIQDLQPSQIPFYLDQQAITECNTVFEKLIKDGQKQFIDILNNQNQGY KSTIAKLQTDYQAQNQVNQNKINQQNDEINEMIKQLENLKYNIEELRKKRTYETNKFEQD YQLLRSEFEQFQQKSKQEEEKFNTENNQLKSNIQEFVENLNKANQYSQRQKKENENIQQE FQKLQIQNQNYQITIQELQVNLKEASNPINQSKSLMEFIQNLYQHQKIPIQEQIFSQNNE DKSRDCLNLSQNVNLFDSERQINSHMKQKQFSLQQIKQYTSIILYDLINLLSYQVSANYH NINNDSSNQEQTQDEQKLNQPITQSQDYPKQQQLKGQEGNIANIEQQIIESSEDGISQNL ESIQEYKDHIENLKNELLKQVNQYNQQHKDQINVLNKEISDLKNQIDILKLNFIKEQQQS NEQNIRIENRNKDLSKQLQDLTKQLQESKEANQKIEDNNKDLTKQLQNKSNELQVSYENN IKIENSNKDFTKQLQDSQQQLKEFKQISIKENQSLKQELENLQKKTQEDKVRQGKEVDEL KRTIKELQEKDKKQNLEISTQNKTLQEFEQQIKSVQIKLDQEMIPLNKVKALTDFFRNPQ NLKEFSDKYFKEYMQLVENINSCC >CAK70410 pep:novel supercontig:GCA_000165425.1:CT868081:246:4294:-1 gene:GSPATT00038512001 transcript:CAK70410 MRSIQQANQGQAPIGIKQIDTQIQKVKSELKIEQSQAFERTMREAKINKDTAQVLSQRVE KLQEEKDKYKKQADEILKRTEGPGIHRSSIHSNRSQKIDNDKFTQDQIKQREILALELEM NQKEKQFLAEIENLKMDIKQLTHDLNEAWSENDQKDKQIEQLQTQNSLLQKEKLELQKKL MEEKSIQKKQQSPQKIIEPQNNLINENSAIIEKLKKQIQELQEEISEYDETINSHQQEIL EWKNKFSQLQKNKDEVDNYYAIAYNEIQNLLASKEEMENQRKDDKNNINQLEATIIVLKN NLNEFENNNKRLQDQIDIIQKNNQDLRAENLKQFELLASKPLEVNSNLQNDLIELHNAPS NSNGGEEFISQFNQLSDDFQNLKSKYTEVLEQKQTMENENNKKAKENSLLNDAIKKLENR IKSQEGEIQDWINKYNSKNTELNAILEQENNDKLKIEELELHIKDQEININDLEIALKHS QKDSTPRPPPHPNTLKQRELEDKLKQANVQINDLKKETQSLQQQQNKQDEQNEQDLNQLT SDLEKQNNKLKLDNDKLISQLNDAEKKISDQDQIIKQQKKQQEELEQKQKQIPKSNPSES NQEQEALIERLNQSNEQLEVNNSQLMKELNLIKNKNSKNLRSKFILVGKLMQIEKLKSDQ EEKIKKQKAIINELLGNQKNQKTEKENSSDPIPQVNEPQIEQQAENELDTHQNIQEELVK QKQRNQKLLGKIFRILTKQKQKDAIITQLEERIEKQKAINQELIENQKNSEQQPKLNEEV KQQNEVEHGHEQPQIQDNQNQQEQKNELLKLKTQNQKLLSKILRIMTKLKIKEDELQNLN ERLKKQQAINDAIDIEPKEKEEVQPEQEQLIVDDQQNNKQINMEVENKDVDHDQMKIKNQ NQRLQGKLFRTMFLLNKKNNEIESLLQRSQKQKAQIEVLNNQIHENNINSNQIKDQEKEP NFNLQNSQQEQPQQDLNSNEIEKQNDVLRNQKINKMAAKLFMIMSKYNKQNKLIENLNER LSKYKAIIESQSQENQQSQAENINITDVKLENDKTSTQQQMELQNANEQDNVRLRNQNNK LLVKLFRVMSGLTRKNNQIQLLEQRLTKQKAIIDSLTQEQATNQEVQEQSNHPNKDNQNI QPNSESQEQQINHQNEISIWKYKYNKLLAKLFRILSQQKKKDKELIQLNERLNIQKAIND QLMVETNQDAQIKLEPELHHQEISLQAASDINPEKQSEIFNNNEFLKIKNQNAKALSKLF RLSFLLQKQNKENKQLNDRLVKQNAIIEEFSKIQNSSHQLKVKNGKLLAKLFILTSNLNI VKQSQ >CAK70411 pep:novel supercontig:GCA_000165425.1:CT868081:5036:7514:1 gene:GSPATT00038513001 transcript:CAK70411 MSVSSNQQVYEELMDKIKNNSEVREKFDSRTQSGLLDKDYAHEVLIDWSDLLNYDLSDAI TYFDLDNPDIPPEEIRQHLDFDSFINLVNKWLQKQLLQTSPKQKQSTNQDQYWFKSEPGT LNTEQSDLKHQEQLIKAIFETQEAKTKKVFNEYAMMGDQNDTISKQDFPLLLKNLLYQHN ASIYEKSGQEDYFMRSNSYGNLNNFLRDDQINYQQFKEAAIQFIKGNQDLILLDHEQISK KKEKQPPQHPPNLEEELLQQIEKYQQILQTTEPEIEKQVLRNMITTLVRQLDLIRDNTMK FDETQINSTIKPKANLQVSFSGIVRPEKRAKTKEEIEIGKRDNQILEIFLFFAKQQYVNG VAYEFDRYTKEAQSLSLGMFLYFCKCFDLIETHVRKPNEENLLERKKRGEEVNITRLNQT IVNKSLLEEEKQVEQASTIMSPKQKPPTVPVDIQKVENLPRRNKYSHVPSKFEWWNDELK FDKYYNPNQNPLPQQILDDMKYLTKEEIKSLFKRSAFGKDLDFDEFKCLLGFMANLMFKQ NGERHPHAYQLILRLMYIDYPEEYRKRLIPIKIPFNCKEKIGFRQLPGYEHHEYKGRQLS QQEKQQLQKLKQERENQLRERLTENPNQSIRSIGSIGSQSIANLPYNKQQGRFLNGRIDL DREKVSWAKLENLNPNDLSGHGRNFKPQDLIDEFEDEEDRFFLKEFSLEEEKRNQQKPEE VQKNLEKYGAYKPPKRPTQKHQMSQPQLLNQRYEQKSLDMKNQYLQRANQIQQLQNKKEQ QLLQKINKKYKI >CAK70412 pep:novel supercontig:GCA_000165425.1:CT868081:7570:8608:-1 gene:GSPATT00038514001 transcript:CAK70412 MASKSYIILGTVLLLSAMVLQYESATPLANDHNSWMLKYNKQYYSLLEQEYRRQVFERNV KLVEETNKKQTDFVLEINEFADLTQEEFSIKYLQYDHQISNQQTQQLFKDGQDLNQEIDW SKYAGSVRNQGQCAGYIFNVLDLLDANNKIIKNNQNPLSQQDLIDCSGSYGNQGCQGGFI SGTLNYVKDKGLAYEKDYPTTQTSGVCKNVQRTFRISSYITVNNCDDLIKALSNSTVTVA VDATSWQFYKGGVLTQCGSSLNHNALLTGVTNDGVWTLKNTWGTSWGEKGFIRLGAGNTC GVCKAGSQQVF >CAK70413 pep:novel supercontig:GCA_000165425.1:CT868081:9438:10646:1 gene:GSPATT00038515001 transcript:CAK70413 MNYPFNPSPSYSFSVAKKIQSHFLLPQISMGPGQYHLKTEKKGGTLNFGSYSQRKMILAQ DALTPGPLHYTINTYRSQGVSFANSIKPINKPSLSPGPGQYLPMDEPHSRLGGSISRTSR KETFDNQIPGAGQYPIKSTLNTLGFKFKQLHKRARTDQMSPGPGSYSISQDSLNHGVKMH NSTRTWSYILKTETPGPGTYQKESFIQNRSSSKHSKVSSKEQSKILKKCFSQHEPKQSNR IGKISSSKGNIDSHGNLTTRSKFKSNHLSQQQNISDSYNRMREYGKLKVTFTKQVRKEEF LDEFSTPIARKVSRSPGPAMYADTKFKPNSKLGFMPTSRKGETFKPKEGPGVGEYSKIIP FGKIYSKHITPKRQFFKFKDLNQYDFDQ >CAK70414 pep:novel supercontig:GCA_000165425.1:CT868081:10682:11237:1 gene:GSPATT00038516001 transcript:CAK70414 MQAQAQLKWSDLQKDEKENILRNNDEQPKLAYLEDNVKKVNRIRFTQGPNKLQKFMIGLC DKRIRQERGMIFQDKSFVGHGTYLLYSVDSYNSCKTIINEKSNYNEGGFVLHPNEEEEVS FDLEQRILKIVDVKKPERKVEFKTEHHNLDDLHLCVVILEGELTFQI >CAK70415 pep:novel supercontig:GCA_000165425.1:CT868081:11249:12686:-1 gene:GSPATT00038517001 transcript:CAK70415 MNVCSSRYCQYNLAGTQMVPIPKYRVYCPFCKHAYYCSQRCRDIDWTSGHKNQCLPQNML NSQEIAYSDKVSTLRQMKRSPEEFELIYDYPQLGKGSFGAVRLVKDRTNGQLHAMKIMNK KEIFEYCSIENLKREIRIQRKLNHPNITQLFHYFEDKDKVYLILEYAEHGSLFQLLKRRG KLNEIEALKFFKQTCLGIDYLHQQNIIHRDLKPENILLDITDNVKICDFGWSAENLGSKK RSTFCGTIDYMAPEMIEDRLHDHTLDIWCLGILLYELLHGDAPFKGKNDIEKCNNIVKIN YQILDNSLSSDVKDLITSLIKYHQKDRLTMKQILNHRWLNRNEFELEKIPNQQNRVRVNG NTMHTENQYFSKSTASSQQSFIRQNVAFQSQTENSVQDYKQQYRRSRHSESQRKEIRSQT VKQRNETFMQKLLVALGCVKRESYADTSCLDTQKQ >CAK70416 pep:novel supercontig:GCA_000165425.1:CT868081:12706:13728:1 gene:GSPATT00038518001 transcript:CAK70416 MQYSQSKQKYRKSTSSPILRSQSLPAINELKFAMRTRAGSDQQHSVKINQDSFIACRFNG YQFFAICDGHGQNGHLVSQYLKKNIPIILRNYLKDMSLNSEGINQAIIRSFLKINKELHQ SNIDTTLAGSTIVSILIKDQQIFCANVGDSRAIICQKVNTWMAIQISIDHKPNNAKERAR IINADGRISHRKTLEGHPAGPERVYLAFSDTPGLAMTRSFGDKIAAKVGVIAEPEILEFR RTKAHKFIVLASDGVWDQLSNDEVMDLILPYYRDKQVELASERIVREAFNRWKQFSILRD DITCIVIFL >CAK70417 pep:novel supercontig:GCA_000165425.1:CT868081:13771:16762:1 gene:GSPATT00038519001 transcript:CAK70417 MFYLLSIILIMNLAKSQIIVDLSENEYWTTFFNTSSMITFKVTSLQKEFCYVKIELSSKD PTFQLMADYKIRPNISLISSYKQGEKIDRISQLENRETRFLKLKGKIGTIYITTTADYKY NQFQITITGNNEVQCDNECNNNGICKSDGCHCQSSQIGNDCHQLATELQGGFQRDVDFLI DETIKFISIDLSYFIGQQLKLEFEADCDDCLTIIIYKTKALLGPEDSLNSNYTQLFYMTD GSTIIQTQIPQTLPTSQQILYLAVSKQYSIYESPHLKVKCNYFSEPIDDSTDYSNKLLYI IIPTVMGSILCFIIVGCLLRRKRTYISQTAQVAQDPFSNQNRNANAQDPFSQQIRMVAFH NPIQQQYPQQQFPASQFLWQKEENNNDENDKCIICLMSLNNYNNRTIRIRCGYIQLLKLV IYSIEIASKNGVKNRYNQKRITKAIAPTVDNLWIQMKFFDASIFNNLQSFYILLLLLIPL RIAQKLILLQLQVKMIIYLSLLSYCVSQIIEVKFNRSKWEGEFQSSPNNTYRITQSEQQE VLYTIEVRFDEMNQDFMLLANNLEPISNPFSPNLKDGENIDLINLYENRLERFLSISSSA GDIYITTISNIEGRTYRIIIKNNLSWQIFDKGWYFDRCQNGCNNQGYCAYKECKCSKEYI GVDCKYFATQMQNNYIFKPNFTRGNDFALMSFPMDGFLLADKFILKFQTSDDYGYQIIVY KTNAIINPSNKLIHNTDLCDLYEVSKNKELEFNILTIYRPQGYKLNFGIKSINTNFDSQD LEILLVDSFKLVVKNRSKDVLLSIMIYSCVPCICISFCEFILLLMQRIQTQIQTQTQTQT QTQTQTQTQTQTQTQTQTQTQNSSINSFLPQQINSEEECSICLESLNSAQLKQTICNHLF HIQCINLWLQKGNHKCPLCRSKLKFKIQNITN >CAK70418 pep:novel supercontig:GCA_000165425.1:CT868081:16846:18464:-1 gene:GSPATT00038520001 transcript:CAK70418 MFIDLDNFEQHKQTIMDQIKHCDFLGFDLELTGIKGDYQDTFEELPFERYLKARKVSMKY NIIQFGLSIFIKSQKGYQAYPYTFYLFPQDLDDFVGLQVGAIFFNSEHGMDWNRWINKGL GYINRAQYQKLQEDYNKDFQQLDQEELKTQFEKILDDVKAADKKTIQDLIDKLEKFNKSD DKQTTIETTNGYQRKVIYTYLEAYQKNMIGESLLKGEMKITKLTNAEKQAKDDERQKFKA DRFQTKTGFYQIWECILNSKKYLVGHNCFADVMFCYSHFVQCPPISWEEFKEDIARNWPP IYDTKYIIQSAQPLEKIRGKLETSTSIENLAEFIKSNMNYIQIDVELEQNLYHTAGFDAY MTGFIFINLTKDFTQQELQNQKNKLNQFKSNYSINLNSAEDIKLNQKYVIYIKLPEEDRR NLQIQKNQRQQFQKSAFDNIVNTLKQQYDLSASRTIHYKYIYIEFNQEYNLKQIQNKLSK VPEFQECQLFNEQQYEKDRREFKEKEAKLERFDKRQ >CAK70419 pep:novel supercontig:GCA_000165425.1:CT868081:18497:23666:-1 gene:GSPATT00038521001 transcript:CAK70419 MNQFQELLVEKDNEIMNLKQQIEEQSDIIQNHLKKVKSLENESKLLKLQFSKAVENQKQL QKQKTEVNSEYKNYKDDSSQKLKSLSQEIVDLKQKQNIHSSRDYTSEVEQLNQQLSKLKA EKEEKERENGQNQLKLQEIKQKYKDLKQSYQELSDQYQRDELMNKQIVQSLQTQKEDYEN RNSEQQILVQLDLASQQELETQQQLIKQLENDLQQAQIAYKQQEVKLLNYEDEINNLKNQ IKRLEQEHTEQLHILDNTIQSKDQIIQQYESNSRILNDTPEQLRSKSVEQTTIQGHLKSQ IDSLNKSLSNFEQQIRDLTKANNDQLDQITSKDKMLKNKSQEITQLQQQVKDFQGLNQKI QVQERAIHHHEKQVSTLNQTISKLQESLNFKDQIIQGKQHEIEMLQNQKKDHIQQQKQQS LLFEQQHQKLRLENSELSNKFKKLEEQMMLKQKDEIAITDQQGIYQNQIQQLELSIRKMK QDFEDEKKQQVDKIQRLTLELEETEKLQILLNQKQILLENSQNRVNDVESELSSAQDLIQ QLESRISELQREIQTERSNFLKENQLKIEELNSQHQENEELMTINQDLTQKNIQLSEQIE KLSQNQSDNQLRLQQEIEVLKTTENHNRENLKRQEVQIQELNSQIQQQIQSQDLDNKEKI KLSQLLNEQLNSITDLNKQLAITNIKNDQQLEETKAQFSSELVVRETELIKNVIQQSSET QKLLTQIGNLIEQSDKFKQTIEKLELKLGEQELKYQILSEQQKAQDTQSLQQFQNQIEEL QQLTQQQEFKISNLSNELNEKSLDLQTQQLHNQIKEQDLNNQQLQINNLNKSIANLENLN NELKQQIQSLDQRVQNDQCQIQNLEQIINEQEQKKQIQETKINEMQSQLNKEQERCSKLR DDLIERSNQIDQQVNEIKQMKIENNRLNDLKLELEKNLEAKDVIITNNTDIINDLDQTIK KKNLELSEKENKLLQQNKEMEKINNKIQQIQSQNTMLESQIQEKTQSVQQISQELSTLQH SYHELEQNNKKLQLQINQDAQNYSQLNQKNHEQQERITTLNNEILELKKNNKELVENQVQ ITNKNEADQAQNKLIASLQEQINHLEQKIQQLESDLKVKEDQITHSIQESQAQEQKLLQQ NNQLSQDHDELMALQKTDYEKIKEQNNQLSLQANSQQLEIQQLKLELQQEIESKSQLNTQ KIELDNRVVLLNENLAQKEQQQQQLDQQLKDSEALLQKEQKRLNSQIENLNSQISALRKQ LEQQKEALSQKEIDIAGYSKRENEAMKLFADKDGEILALQNEIEALKDQENSVQSRKDNE GIKQLSQKDLEIQNLKSELENMRKNSIRENEQLKLIEEKNEEIQQLKSQNSVLTNAVETK QVEITKLQTDSNQSQSILNEIKALFNQDNILDYLRQFKSQHEKQCERKFTYYQCLQSLMD EYLRNQQAVFYAQNYLKLSQQEQNSLLAYQKDIQLMKAKLPIKTALSNIKEEKNEDLSFS SNEHQLEINENDISGFIGDIDISAIVNNDKNKKENSTSNKQQLSHTEEVNILKSKLEAAN DENVKIIAKFQTLLERIAAKEEQMLQLNNLARDYKHKMMAMQKTSVDPQAVHAKAHLRDC LKKFLTACGPKNLNYDLAEAILNTLFQFLEFSEKEKEEILDELAVKPADKKKGIVQILFK K >CAK70420 pep:novel supercontig:GCA_000165425.1:CT868081:23704:24717:-1 gene:GSPATT00038522001 transcript:CAK70420 MQKQSRQNTQTAFYSPQPGISSPQPLRQIISPGIYGPRNSQNFLIDSYTTKHQDQDSDRL LGSSLRFNMLKDSHQQIEKEISVLKSNLLQSRMSGDNFQFSTQPLDKLLKRMDDKGTRKT EQIRYDPPLTYRADDLLTEILQELSIISINAKKLINQRSSQKLQMQVLKDIREIIMGWNN MHNIINQICQAAQISIHQLNNTNINQLFKKDDLNKLYSLVEKHQNSYPQSFEQLYQIIAS SLQSREQDHNKIIEINTQLRQQEIQNKDLNIKNKNLFAVIAKLEAKVNKLQNKKQQLEFS NKQFSNQLQNSQRPQDIYSDNDRFQAKESYGGVTIKS >CAK70421 pep:novel supercontig:GCA_000165425.1:CT868081:24991:25208:-1 gene:GSPATT00038523001 transcript:CAK70421 MTLHCMICYVPLFEKSLTLYYYPYKIGFQSLEYLHLQFWLMKIRNAKLLEERKKIQ >CAK70422 pep:novel supercontig:GCA_000165425.1:CT868081:25229:26417:-1 gene:GSPATT00038524001 transcript:CAK70422 MKKELQIKCLNKVLADYLILFFINGIDRFDIPEGLPAVITTCLALGARRMAKQEAIEMCV KELVLLSEQEASSIEGTSYHPEGKIDVLDAKLVKGNGLAENLTRLCQSMALCNESKLYFD KGRFQRNGLPAEIVLKAMINLLMENQSQTLDNNIIIRLLANSQREPPQNLLGKEMKGFIR YMISSNIGEVVSIFTSSALGIPDGFNSIQLLWVNLVTDGLPATALSFNPPDPDVMQKPPR KHDEPIITEYEFVRQCVVGTYVGLATVFVFAYGHPVVTFHQLRNLAECHHREGFKVSNFE KYDFSKDSCLYFSWGIQKASTLSLSVLVVIEMFNALNALSEIYFLYQTLSRMDHYQKFDY LQIHN >CAK70423 pep:novel supercontig:GCA_000165425.1:CT868081:26592:28310:-1 gene:GSPATT00038525001 transcript:CAK70423 MDYIEYKDAKLQFVGIRKHFFRDKQYYVTVFQEYFTIGSSKDTQSPKYKIYLKLSTKINW NLKKLKDGVILLSFVFPYKNKFKTLCADPLDLLRFKDILNLKVTYEGIGDIYIPLLQIGK GSSAKVYSAQNVINSKVYAIKAIEKSFLNQTDKGSGLEAYNMEVSILKIISAYSSNFLIL REIYEGDHTYYLVTEYLEGQSLSEEIERAKGLPDKRLPVQNIKIIIAKLLQSVALLHSHK IIHRDLKPDNMMFAKRNDYFTLVLVDFGLATIETLDKYLFPKCGTPGYVAPEVLTTNVGS KYTTKVDVFSCGCILYKLLTGRSIFNGNSFDEVLRANKKCEIDLKLPMDHHYITEDSINL LNQLLRKDPKLRTSARKALQHPFFDQISEYSTSLQASLLSTIKQHNLNLQIQCDEIMSQY NLDEEVINSEILDQELHQIQLPKLKKCNQMCELQSPQNAMFQFFQKEPLSIVRSNRLVDL ASPRGDFFEGILE >CAK70424 pep:novel supercontig:GCA_000165425.1:CT868081:28358:29512:-1 gene:GSPATT00038526001 transcript:CAK70424 MYQYPFYPLPPQPSTIAPQKKLLKDKYEVLQIELGSGTYGKVKLVLDHVDKKKFAIKIIS KSYMKQIFATQHILNELNYLQGCKHKNIVEYIEHFEDRDNIYIVLEYCGQGTLEELIKKK QKFTEEEAFHYFYQIAQAILYLHEKDIVHRDIKADNILLQNSNVKVCDFNWSIFLPNGGK AKPCSCGTTQYMPPEVIKKEKHDKGVDIWALGILLYYMLHGELLFRAKQKEELNEKICNK QSIKFNEKVSKECLQLFQQMLAHQRRINIQQVLNSDWVIKMLKGKQSQNSLELTPIKCKS IGQESTRVSIVSDSSRFSNYKFTAKSLYTESPFKQNNLNQGVQHPMIVRSSALDQNNPAF RVEKRYFY >CAK70425 pep:novel supercontig:GCA_000165425.1:CT868081:29733:30830:1 gene:GSPATT00038527001 transcript:CAK70425 MKIIIKTLSGQTFELEVQPTDSVLNIKEKIEKVKQFEIAQQKLLRKGTLLVDDQTVGDLG IQEKDFLVVMVNVKKGPPQQQPVQQQAQQPPQQPQPVQPQQPIEVPKPLNNPTTSNMVTG SEYDQAIQNLIQMGFAKSECEAAMKAAFNNQNRAIEYLLNGLPVIDQPPPQPALNANQVD QNILQLLREQFMQNPQAVLQSIQQLQQTNPQLYQQIQQNPETLIQLLMGAGQGEGDEIET EITQEEEQQLNQLMMMGFSKEDALEGFLACDKNVETAASYLFEKQARGDLLSQHYDREEN NPENYNDEGNEGEENDQDDDDQYY >CAK70426 pep:novel supercontig:GCA_000165425.1:CT868081:31431:31610:-1 gene:GSPATT00038529001 transcript:CAK70426 MQEKIQEEIEELKKDIQRLGTKNAEGKYVVKFGVLFNDEKTQQYYEVFYINILWHSLVL >CAK70427 pep:novel supercontig:GCA_000165425.1:CT868081:31718:32544:-1 gene:GSPATT00038530001 transcript:CAK70427 MEPTVNQFQANPEDSKEKEAAQISNIVVFETAGTLLVIYGALAAENNFGLSLVYFISLTL FGRLSGGYFNPICTLVGFIDGAISKKKTLYYIGSQILASLVAGMLFMPLFANSDHLPYYE SLPTHQVFGTLMSEIAGSVIFFTFIQIQTAENTKITTTQIQSTAFITRQQQFDNYFIGIL PQWAIVYLILQLHLGKLLNKHRLQLFYGIYYGRWSQMINLLMFVMGPWIGALLAITFYWK IYTPTLISKSSS >CAK70428 pep:novel supercontig:GCA_000165425.1:CT868081:32574:33238:-1 gene:GSPATT00038531001 transcript:CAK70428 MRCKTYDNYDSFKTLQSPRLQPFRQHKSSKSEDIDMLQFQNMNYSSVHEVSLNNDQNELI KKQEEQIQKLQHKVEMLEKMIGNFANIQRLQTFVSQIFNEIGLINKENIFEPKLHSLCLI KINEQKQKVKEQNKFISCLKDLVVSCSPPDYFPADQLPNLKQIWRCIKVMLAECIENKRI NQEQQQLISQLTKGMMSQSQKQMMQTLTRNKLN >CAK70429 pep:novel supercontig:GCA_000165425.1:CT868081:33434:33845:1 gene:GSPATT00038532001 transcript:CAK70429 MSIPNLITESQVKTTSEQKGEVLILKLLLKTIRIECSYESDAIRVKERFNKTFIMMSKIS VDFANIVDGPALPKVWSRHTPGSSAFQEIHNIQEPPFAKKMKEIKNMNEKQNKKPLNMMK NRRNFKSSYNQ >CAK70430 pep:novel supercontig:GCA_000165425.1:CT868081:34057:34399:1 gene:GSPATT00038534001 transcript:CAK70430 MELFLVQKYRNKEEDHLQVFVLLNSQLDNKIIFGRMFHVRPAQKREINTEEKSSYKKLKK QQMHERHNDNTSWNTLILNPNTIIEGMEFVKVLFGQKEIFLKK >CAK70431 pep:novel supercontig:GCA_000165425.1:CT868081:34569:35051:1 gene:GSPATT00038535001 transcript:CAK70431 MQDDMQTTKAFTNLQNYKFKGSILNLEWATTTLIGEVNEVNQQIEEQENELTRMLYVKNI NVQYNCKNLLKFQSLKVNDIQKSHYHQQRWSLLRLLIY >CAK70432 pep:novel supercontig:GCA_000165425.1:CT868081:35764:37133:1 gene:GSPATT00038536001 transcript:CAK70432 MKIQRSEDDLSSKLQEDGVNQSADNLSDEGELEKSTLHYTWFVRTFGPMKKGSLRQSVIA LMCAAMGSGMLSFPSNPVQSGLINCILLMIICALLSRFSMQILMKCAFKYDQRSYAELVK FALGPSIQKFYSVDVICYTFGAIVCYQILFVQLVWQVLLKFNFDESYKDQVRYIGGSIFM IINFPISMMKDLYSLRYFTHLQILIIAYILVTIVIYFFINVSNTFDSDKIVYFDFNIQLT STFAATFFGYICHQLIFPIRSELKRSTLKRMSKIFNRAIASEAIIYLTLMVFGYLSFFDK TEKIIIDNYDDIPFTLAKALFAFIMFFAVPINLNPSRLTVLQLIDKEKSWKAYVICTVVL QYTTGVIAMIYPNVKAIFGLLGGIFGMIMVIVLPCALYITLELKEGKKWSDSNIIVTLLI GIVAGISGFTGAIVSQIYS >CAK70433 pep:novel supercontig:GCA_000165425.1:CT868081:38536:41318:1 gene:GSPATT00038537001 transcript:CAK70433 MAEYVTRIPPNHYIHVLDQNTNTARLEVGPHTFIRKEHESIIEGPSKMISLPPKTYCNIQ NPILRDNKNSPVKNAYGEVEIQRGEIEYRTADDYPDPFPLFPGEKLEGRVETLLIVQQNT ALRLVAIRDFVADGKQKQAGEEWQRNGPFTYYPRAEEQIVEVVKAEVIKPNQALKLKATQ TFIDKNGKERRAGQEWLIRTTGSYLPDTFERVSEVVRGVVLTDKVCVHLRALNEFTDVYG IKRKPGNEWIVTNKQAQVHITDVYEQLVAVEQAITLGSRNYCIILNPYNPKLSQNDWGIQ VLIKGETTFFLQPGEELLGGKIEDIVILGEEEALLVQAIYDHSEDDGQFRKAGQRWIIRG PREYIPGVKIKVIEKRRAIPLDANEGIYVRDNRTGEVKEIKGKTYLLEAHESLWEKHLPE NVEILVQRASTGQPYVPPSVQASGKIAYNFESEQLKPRDKTKIISFKAPHNSAIQLYDYK LKKSRVVFGPDLVMLGPDEQFTVIKLSGGKPKVENLIQTLTLSLGPDFMTDQLIVETSDH AKLKLTLSYNWHFKVNKDDPNDAQKLFGVKDFVGDACKSIASRVRGAVSSITFEDFHNNS SVKIREAVFGKEGNEIRTSYTFEANNLVITSVDIQGQEITDEATRRQLSQSINLAIEISS KSQEMQSRHQASKLEQEAKGQLGRQKLLDQAKAEETKIELLKQQAQSAKVRAEGEAVARA IAETMEKKIKAEAEVNQAELRSKALQIEQEALLEQQRQEQEAELNYQRQLIELEIKKAKE LSDIEIKKFKQQIQAIGKETIIAMAKAGPETKAKLLGGLGLKGFLVTDGKNPINLFNTAN GFIGENLK >CAK63412 pep:novel supercontig:GCA_000165425.1:CT868026:1025:2762:-1 gene:GSPATT00033265001 transcript:CAK63412 MIGKVTKIQKEKKKKKWWQYLGMFAIGLGQFLFGCAVTALTCGAALPIGKTFITGGISDM VYSVTAAWKGLDIDWGAWGQNKMINIASALVLAGPSGIKEALEIGCKGFQSLQKIGITEF LKQIPRVTVDGLKKAGFWLSDLDKADIKNQYQTLQQIQEAAFKTGNVNQLLNLATEVLND QVQNGVISKDTSKELFDFINRCFQESKGTVGGFQNRFCEEAKKYLKLQITKKKTKKSENE LKQEAKEICSIQGNKKYHGLQSRIEEYKQYEQRLNNEIKQFKGNYQICYNEDFELQKNIL LLDIYFEKQSNQKEIDQIIKTKVIQNNLISTFDINDEDIQHECDELKIPNKQSMNNFYQK VIRPQFMKICELFKEICKNIEPMAIIFKYQYGIHMKEQEQSINNKYFQNQRNQFNRNSHA LNQLNQSIDNFNHQSKQIEEKINQMNQINQVDQHLLTQNIINELKNKQLIKNDGITISNT FMTEFQNYLNHQNYNNLMIQILQQTMESTLQELAILQQNSLKKLFSTVLFKVVEIEILDE IEKYIFKSRIKKQDSIITSQYD >CAK63413 pep:novel supercontig:GCA_000165425.1:CT868026:3105:6170:-1 gene:GSPATT00033266001 transcript:CAK63413 MEFEQDWTPQELQNLKQNLSKQIKSEQEITDILQFVEKNKMDRGLVEKVTQHEFQTADQF KHLACIIFESSSNPVVQNPMPAQPNKEQAQTNNQQIINDLFKEFDFLNKRSNQELLNLLQ QVYSQLENQQQLNVNYINFTPSPYLLYEDLLFICIKVKEHFQYYPRPAQLLSVIELYNHN HDKGRLAQIYTGEGKSLIVAMLAILLNKKKNANVDIVTSSPVLATRDAQQLESFYKSFSV SVSHNINETQKSTIGMLPCYNCSVIYGDPHSFEADILRHEYSERDTMGNRKQEYIIVDEV DSMLIDGNSHKTLLSAPIPGMLDLTKVLRLIWDEICKTESNLSTENKVMIVDKDNYYSVD LNEYIESTLNLQLKEALYQFIPKYRLKYIDFMKKTWIENAIHAKYQLHKDCHYQIENDKL RIIDYQNTGVIHGDNMHWEKGLHQFVQLKHNLPMTSLTINTNYLSNITFFKRYKNKILGL TGTLGSQVTQNLLAKEYNVDFVFIPPFKKRLLKEETGYAASNEEEWKNAIFQAVQQQINN KRAVLIINRTIQDVNTIQMYLKQKNYNSIAYFDDSQKIDHEVKPGTLIIATNLAGRGTDL ITNQELEDNGGLHVIMSFLPRNIRIQQQGFGRTGRQGRKGTAQLIVNKQENFYLGNLQTD ALQDIIAFESLKVNNNNPTSLDILVILRNVNEQFYSDEIEKEMKKLENEDRCFEKFCKIA KAMVNFKEQRSAFQELEERWGLYLEENQETGLNENDIEKILNSNDAQNPKYLILDGLQKK CLERFKKAVSISENDPAAQYYKGLYQIQGNKFQDGIDSLKKAKQLFQQKIDDEKGFSTAL KLNRFQIDQFSNNPKEQSNLLPKLEFDINPKQLNPQYLTQEEGFSQQMEHDSQIKQEKQR FKKQRQQKQNHENSNIENHNNENENHGNYGRENENTDINNQKNNKKLDSNDEKVKNHIIV YKGFMKNIDNILKTFKQIQSRTKKILQQIQSLLLIKKKRKMVMKINHLQINKKQSMMDPC L >CAK63414 pep:novel supercontig:GCA_000165425.1:CT868026:6915:10919:-1 gene:GSPATT00033267001 transcript:CAK63414 MLLILTLLRITSSQTLVYSAFSDTILDDTDNWSFLNIDPATVITKCGSQEIIGGHLRFAN TQAATKLLQLPPHYRMKISMTLYIIDSWDVDEYFQVYVDQVLVYKVRYSGLAGTNFLCGQ ETRKDSIHQISMELDHTGLSTFIYLTSTLNGDAWDESWGFKNFELFIFECPPECLTCTNK DTEADCNTWRLAHSYYTEVNFDHFVTDGWSIQDGNQNKQNCLEIPTICGKEVCGKDTILK LTLLKLPVHTQMKIKLKYLRIGSWEWADHFQTFAAGELIWESPLTSFPNYLYGICSLNSQ DVFINIDMTFPHYEQNTKILIINNLDESYSNESFGVRDIQTFIKTTICGDKLVEMDEECD DGNLFPFDGCFGCMFSCVDGCSVCQNMICLGCFDGWTYLEYEFSCEKQINQKSSIITFQE EYTEPQSYPPIDNCQVSLLEVCIVCQNGFILNQLTSKCDSICNYQIVTSQEQCQYSYLSS YCYSCQIQCSDHCLQCISGICQVCENDYYLEDNYCFQVEKKNLCQAQCQICIDDVCYKCQ IGQILILGKCLDICGDQIAPYSLEECVCDQNCQECVNTICYSCKNNLKLLDNECIGTCGD LVVQDSEDCDDGNDIEFDGCFNCRYSCSIGCINCEFGICLDLCLPGFYFMNNACSTICGD SIIAGSEQCEDNNTSEYDGCYLCKFSCPLNCFECIDGTCNICNFGFQLIENQCSNVCGDG TLQNGEECDDGNLDSGDGCSKTCQVEINWICNQGTDCTFVKYPQLICEFIQQKNQYQYAR IKFSQEVQLLSDINFQNSIELSIIDLNETYYNITIMEVQSAQYQVILQVEYIIQVEIFTN QLKYPILTVKLTEQLYNDNLAPLVNMMDYLQLNQPNYLTDEQVQIAQAIQLVSKVSFLSI YALSIILIILGNTLSLWGMLDALQQQSYLKFINVLYPQTLIIYFQSSELISMQSLLDSIV NFSQKASLLKFPYLESYEKFQFYQVNADITEGFRSEIFLFLTLLFCYMSSLLLERVISIV EMSSPLQGFPKVVRFLQRYQRKLNKQIKKIDRSFIHSTLLACSWDLIFMAMLEISSYHDF SFYRTYVRLAITFIILIFVFALILYQMNGVLTWKRQNLDQYWFQKQAFFLLIKKILIVSV LVFYQREQILQTLLMTLINGFYLIYVINTKTTEEFEIQMKNIIMEASLTLFTASTIVNWD ILQQYFDYNFIIIVSWIQMFLLVSVLISYMLFDLYDFINLIKSKIRKILEKRISKLKSNE EQQSQNKGNELQKANFQLQKSVFTRVEFVYHIQIKQ >CAK63415 pep:novel supercontig:GCA_000165425.1:CT868026:12121:14460:-1 gene:GSPATT00033268001 transcript:CAK63415 MSIIENGNKNCQTHQLEILAVDLKAAREQKQKYLCVKCLIERIQGNNIVLLSEAIEMIKE LKNKYKDEEVKKKQETLNSVQNLQCSVSKVNDHFTQVFDKLQNKINESISSNEQEIEQKK TSFDELSLDKDIETLSQIYNGNSNCEPIKQEFNLEGFTQMLDKIQSDLSMMSNTQQFSQI FESIYQIKSQFQIGQLIPKRRFEKHETPTLKYLCSQHGKKIMMINLSQNESEQCGLACEK CIQQFPKREYITVEDANTKWKELKGQQKLMISKYNNGRYSKFNSAIKSIQQLKDIYNQTL SDIIQQLERQRNMNQSTKKDNSNVVEDIYELDEQEVLKIVEFLSQKDKNQKVKLEQQEQD QVDLIFYQNLKQNLENLVKYDLLTKHNLIRIHNQDQCDEFSITGIISENEYDRNSDSKAF IKKFSQLEQYCSIFEDSCNFYKNLQKEIDDLQEKGQLSYLFIEDQQQKQYQSFELYSKKM KSLIMVEEHQKQISTLEQELNQYKQSQQTVQAQLDESNQKIETLNSKIDQLNQQITQLQK DKSQLNESNQSLNKQIEELKQQITKAQKESSEQLNQKNQEINSLQQFQQLLRDQPKLITP QFYVEVSKLIEEKSKMKIQCSFLTYLGTRDGLNHTKCWSKINGKKNLLIIYKSKSGNIFG AYSPCQWIEKQNGYVPDDTLSSFLFSQTHNQFYPIKEANKAHAIYRHQSYGPSFGQGYDI YIGSDFTSGSSALGTAYQIDQYQIQDTTTHLFGQTTPNLEECEILELILK >CAK63416 pep:novel supercontig:GCA_000165425.1:CT868026:15195:17182:1 gene:GSPATT00033269001 transcript:CAK63416 MHESVILSNSELDKLLQNLKSIWLPKKEKEVEIRVYKLINLKLEVQSLIKKITEKVNESL LHIDNMINQTKIEVQSIRGNIDRIQIKELKDLNSLKQSINVLLCQQSASLGQQLNNLQMI WKVNILENMERKLKQLSEGDSKCSFDQYFNLSFRLKNNNNWICDKHFEQIFYVNLSENVN IQNRLACKKCLSQNQEYKQLDELQQLWEQNVQQTLGKFNQHQNSLIVYWNKLIDELQRFN KIVYSSSNLKNDILQQQFQLMQKDWPSLSKVELHDIACNQNRQQNQFIFSQIEEESLIKE SQLLHFINVFKQFVNQLDSTDGSNQNEISLQNSQLQSNRIELVNPNMIRQMFFLRFFFGF LCYGGWIEPYDQSLRVQGWKSVRKAIIDRSQGLCEMSVFHEKTMQFISGSNDNLIMIWTW DDKRNWYCEQRLEGHTDYIRGGLIMNIKEDLIISGSDDSTIKFWTKNNNNWSLHQTLNEH KKEVKSLSLNDSQNQLISCSFWNEILVTEFKSTQNLWVTIQRISVDMNGYSLCFISDTLF TFQPHELKVMQIYELDKNTKQFVMTNLVKIKSDDNRCDFFPQQFNKEKQVLLHKNGRTIN IIKTIDSRQFIPVQYIENCDNYLYGAMTHDAQYLVTWDNEEKEIKIRKYKE >CAK63417 pep:novel supercontig:GCA_000165425.1:CT868026:17774:21790:1 gene:GSPATT00033270001 transcript:CAK63417 MTRIHLILSCLIILSQQCSNLKDNTLFITSTPDEMMRIPLSQIFTSSSYDQITFSPVVPF FTITAPLEEVYKMGIPGIIGLTVSAKMLKSTSSAQPQHRMANLRSENGKYYSEYDLNYYS TIPRLDTLYPSTLRANSQCFDIALMSTIVVTECSNDDGDYFSILKIKQSPPAYLPIEKPL DSFRKLDMIDQYLLRGTANKLELYQEQEEAIVLLNNLDQAALRVLLNKDTFELKIKDFQT HTNGWISILNGSGNLITVQFKNDQWQLISDIDTQIPDVYSYDYNVYTNTYVILSKTQLYY KAITKQVFTAKVTANPTDKVHLLRSSIILLQDKTLVQYSQQLYKLYSKTLSGSNNSINSN PHSDGFLVIDNENFSEYATKNEYSLQFQAGTLPVARDYRMTKMIQSNSCEIQIYYTSIDI EWKNIYSSQIPQALIATSVFQDKVDVKLNPIFQGSNLKYEFLNTDILNIKVDQYQEFEIS NIDDTPDVIYRKALSHQNNPQIQIIQQHSDQQISGFTCEVESNLKLNCKSIFAKRQFTQL QDSDKQLWWFNQNSIFLAILQDLTVTIYNVNYEESTFDTLTTINLVSNAKQIVTDGIHLF ISMEKLIQAYAVTVENKATLIYNQDVIVDMDIYATPAQKNLVFLEQDGELQIISFEFEKM SLIWFTPVDTNYDQKNLIILKNHIVRIIKKKGSEEYIATVYYLKNLNNISLEKKIAIGKF SAVKLTQIQVNAQQNLFYLVGQQNEQYKLLVHKVDEPSINSMFLSLSYTSTAQFSIANQY CLVTDQSANKQVLYNHYITGNYFVQSHLKESYQQAQYSKLITLTVQVKSDSQGINTEVVP ALIVNRGVTIFQNQSSLNLTYKADGAQKHYIDLGQSWYNGQAFDISQSEASKNITYVQTL SKKAETLEFSPYIQQLNPDSLVQLIGNKIVLVKKADLTKTEITLDATYNINKLLLVQDQY LYVETQKESQIYLKVVECKDSKCKFLESELAFATNIDKIFLHKNNFIIYSKPILYVYDTK GDPINVSAFEQYNKIPTFSSTFFVEFQYLQDDVYQIISVDVRGNINFNNIVLSRTSSENQ VFPQDVLGILKTNQLYVQENSVCVGIVLRKDEILIFYNNIATVSFKFEFDCTNKKLCNLK QFTLNGVYQQYGEWVLFNIYPIIYSNENILSLIYWAQSNYEVLIYDLETPSSKEHPKSAI AHLTAPISEEDPGEMYHVQSFVYTQNGQLHLLASAEDPTKLQHYTLQRSPQVCTTSAEEQ VNEVIKLNLHNSISNVNLNLNITITATQDPDDDDDEKKFPIWAIILIASGVLIIGVVIFI YCKKKSQTQVDDEKVLLG >CAK63418 pep:novel supercontig:GCA_000165425.1:CT868026:22009:23147:1 gene:GSPATT00033271001 transcript:CAK63418 MIEYPLQCQVDGHEFSPVLGCCKCRTCKNLKPYCQYCIIDFHAEHLKQLQPLSKIPEWIQ QKILIQKSVGKYIREISMLAQNLDDMLYPLYIQSETNLAYLNLKNLNLLTIKLLKIDTIE TKIIPKLNDLMTYIEALNKEIKTLDSTVDFENQTSSFTVTSEQRELTQRPQENLQQESNL SGNSQQKMDFRYTAEKLNINFTKQNLEKVKKISIDNGGKIIKSGPDFSRLIICEPEIPNN LISRFALQILKHKWVCVGACHKSIIQKQKNDLNLCKIGHGAYLIENSGSTYSHLDKEVNN TEHPFKFFKNDIIIIEINMKEGKIIWRKQSNLILWKMTIDTTLDLHPCVHIFNSKVQIID EY >CAK63419 pep:novel supercontig:GCA_000165425.1:CT868026:23215:24501:-1 gene:GSPATT00033272001 transcript:CAK63419 MKQQTYCQSHQGFAHQFIILNQGQAKLACGECIYQLQDNSNKVDFGQIISIQKALTSPDS LIQKLTSQEKFSNIFQNLANLTGDELKQELANVESSLRQLQVFIDQVIAKAKMQVDLIIE TRVNIKNDLVQKIKYPQFVKLLEELGNSQQIGQIDIYQQIEQKLSQHLNEVNQGDTNGLN GYLDETISKYKKLLQEQLKNNFQMDNLKVQIDDCLQQYLNLFSKMSKSYMLGLLDTTKST VVSEEQFLDLVKVITDKNPKMISQAKLLYQGTKDGFNSNSFWSKINTKSNLLMIFKTKKD VIFGGYSPCKWESHLNNYVEDPTNSSFIFSYKDQQIQLYPLKEQKKRFAIYCSQNCGPTF GSGFDLQIGPTFQSGLCKLGQTYNVELQGFNPNTFFGSPQPLIAECEIYELSG >CAK63420 pep:novel supercontig:GCA_000165425.1:CT868026:26423:26769:1 gene:GSPATT00033273001 transcript:CAK63420 MKKDSSTKRPYEKVSKIKRQNLVKLVFHQGIKIKHAAKQLKINYAAAKTVISQHRCNVIL HNIQYKSNQRCGFQKINNTNRSFGLISKLAGEIVKATSHTIPEQKNV >CAK63421 pep:novel supercontig:GCA_000165425.1:CT868026:27666:28730:1 gene:GSPATT00033274001 transcript:CAK63421 MGPYLTTPNTQKETYQGENEKFIFAATHMQGWRNNMEDAHISQLDIEPGVSLFAVFDGHG GKEVAIYAEKHFQEELLKNPNYKQKNYKQALIETFLKIDELLFQPQGQEELIKIKGSGDE LQAGATANVALIVNKTIYLANAGDSRAMLCRDNNPLDLSKDHKPDDEKEKQRIETAGGFV QNGRTNGSLSLSRAIGDLEYKKDQKFRQDEQVIIAVPEVRVEEIQANDKFLLMGCDGVFE IWSHKQIIDYVNSQMRQVVTKEDIRIAAEGLLDSVIAKDTSNGTGCDNMTCIIVYFKQ >CAK63422 pep:novel supercontig:GCA_000165425.1:CT868026:28741:29773:-1 gene:GSPATT00033275001 transcript:CAK63422 MATKRKYHRIVVEDQKPNPNVIRVATKAQLSSQVRKALWLLQGPRREDQPPVEDTIFITA IENAISKAVIIADVVRKRIAGLYQINKIQAVQINEEYEPLEEGLVKVSVTKNLASLQIKL TKTPTEQDRKEPGFLEPLPADQVQPTRERNDRQRSGSRKPRNDSQRNKGERRDNRDNRDN RDHRDNRDNRDNRDNRDHRDNRDNRDNRDNRDRRDNRDRRDNRDNRDNRDNRDNRDRRDN RDNRDNRDRRDYRDNRDNRDNRDNRDNRDNRNQRDNREERDAKRDDRRNNNTSGNNNQSN GANEKPAGQKTRGGPRPAQQA >CAK63423 pep:novel supercontig:GCA_000165425.1:CT868026:29792:31770:-1 gene:GSPATT00033276001 transcript:CAK63423 MPESLDSIYQGEWKNNQRHGKGIQIYPNGIIFEGYWEDHKMLGKVIKIDGENIDCVIENN QIVQSSKTIPQEDLEHLLNQSLDKIYTSEKITQQIQTKFSFQRIISEEIEYDGQMLDDMK HGLGIGKFQDGSVFEGQWKNNKQHGFGKKTYQNGDIYCGYWHEGDIFGFGEYFYQQGATY VGYWKNSVKHIYGKESWIDSASYEGEYYEGKKNGKGKLVFQDGSYYEGEFEMDDIHGYGS HHWTDGRSYVGEWVYNKMWGMGKTIWADGRSYEGGYMDDKKQGLGTFIWNDGRKYIGEWK LGKQHGIGLYQAPSGEYRYGEWAEGKRVKWLDLQEDKAIIDNFLHKNTEIEHRNGSIYNS HITDTSMNGSDRSHGKKVYQLTLSDKSIYIGELFQQKREGQGKLIWKDGSIYDGEWKDDE CNGFGRYISCEGDVYEGEWKHDKANGHGVFTNSDGVIYEGNWKNDKQNGYGKQKWPDGLY YEGQFVEGKKQGFGKLIQPDGSYYQGTFVNNQIDGEGLQVNIDGSRYEGSFKKGMKHGRG TLISPDGNIFIGNFELDKQVGEGRVEYHNGKLFFGEWLNGERHGKGKYIYKDGRVINCMW YKGMKIEK >CAK63424 pep:novel supercontig:GCA_000165425.1:CT868026:31919:33377:-1 gene:GSPATT00033277001 transcript:CAK63424 MLKLKREYFRNSIQKSAREEIFKKKRIITNSNHNYESNPFNEKLNQLFESGLTISEIAQK LEELYEEYKLFPERNTLVLSQIKILSMKVASMKVQEYINDFYNSIKNNLMPELIKYCSPT YADDVNTVELTNNSLWILINLTLADDCVNYLLDYGNGHFLNQINLLIKSKYEVILHRVFE LIYNMTVEEQACDRILLDSNFYDAFLYRLKRQNSEMIMNLAASIIKNFCSNSRNENFQKI LDFIFNCIRFESVNGLCEMIKDYNCAQFLTIYRAFCMKLFSNPNHSINFINYLSGHQSDE VLDLLLGYPIVDELFRIKNSNHQVKVYSTFSNLATHRGFHNELRVLFQGIYEQYEKADLK MKLELGYFVSTYIVNCDPTFYGDLINNGVINLLVDFFREIYDPNAIYLALKAVLHLFIDE NSKQIFEKLGGFKHLEKLRQNDHIIQLASTLQ >CAK63425 pep:novel supercontig:GCA_000165425.1:CT868026:33389:34307:1 gene:GSPATT00033278001 transcript:CAK63425 MNISFNDLKFVQQIGQTLNLEERMRIQLAILKIQEHYVFDEVLFWGRVEGVEKDYYIALG IQYKGQYDFPLKKFFWSSNNYHFAELPKYNEEFAQRAETLREPFTGQHEHIVFRTDEEIN FEDSLEIPAQLPAKNFSELERLSYVVQSIEFQCASIPVGSYRLTPTHELIKTTFKGVTAE LKNYQHFRQPIRKDKQDLIARDEALYRPDFLDSLVDDTPYNQWSVQTDSTKRNITIRNLI WPGYLSYNNDYTFGYAYFGDGIKNSDFEFLL >CAK63426 pep:novel supercontig:GCA_000165425.1:CT868026:34315:34691:-1 gene:GSPATT00033279001 transcript:CAK63426 MSAEKDFQYDIHDVQNIKTAFLDLQQEESTGFKFGLGTAVLSYFVLRRFTYLRTGPRFVG SMIFGSQVYGFYTHRSRAYYDYVAQQVNLHASEAINQCLGH >CAK63427 pep:novel supercontig:GCA_000165425.1:CT868026:35252:37740:-1 gene:GSPATT00033280001 transcript:CAK63427 MQHSQPSTQDKDKPHQTPPGLSKSKVQHNDDVDKIIADLKRVILIANMQKLEFEQERNLL LEKDNTQLKDLINRLLSQPVVQTQSTHTQTEFYKKELEQLNTKEDSQLNIGSILNTDYDP ITSQDSPTKLDNTQPKKPDLRIEVSPDHQQLHGAPNSNVKKSSTYLQGLTNIQIKDAITD GIPQKTNRIGQKIGEGDNSLRKSMQLNFLSNKSSITDTDHVEGQDLKINSVGNQSCIESI EDIQDVQQPALKLYEQFYILGCEKKEFGEFEQDPNIKEGILPTSILYKSDQLNISIQEEI IKGFVYPFGNQIKRIETNDSVERLKQIIYSCNKYELLDKFSVFAIKNHDTTQDFVEYTNQ NLMYQANPEKLLYGIYLTVDDYVETTPDHIVSNFENREKRIFWKYKKTYCFLTYFPFYEL FQDLLISIIYYLELIKFNRSNRWMMNIQEENEIPKEIDGQQIILEFRDELTKFLDKIQAI TPMQGHQMLEIPTLASLLKYKIPNQLNLDIDLRLWSANVTLQVLNYNEILTIFLAMMSEV SIIFVCQNSSILTSIVHFFHHLIRPLEWTQAIIYNVPEQLLEMIQSPVPIIIGVNLPEID FQSLELTNYCINHLFVFLDGDQENKFLRKASNILEGTAIPSFRGLLQQIQGTLENYNQMR NQSPSKRQFNKFDDKKVIKFYFDEIDQRTSQEVLQGFKKIINDYIISRLPAYNVDGVIAE NGLEFEYIEFLLSYNNDEQDRKFIQNLMKTQYFNYFMQQHYEAQM >CAK63428 pep:novel supercontig:GCA_000165425.1:CT868026:37766:42294:1 gene:GSPATT00033281001 transcript:CAK63428 MDQQLSGVLIKRDKWKAESKVTKCEHCDRQFYYLFRTKHHCRKCGLVFCSDCSSNFIDGI HFAQNTEKKVRLCGVCYDQVLKLLKAQGYKLENAFETRVVSVSIDKGQLNLSRRESIHKL DDQSYSISRSQTTQVTEEQEDNMNNDQEFNPEIIQVSIQSYFPPEEQNKNKESELLKSQL SLLKEKCYSQMENICDHSLKIFIQPQRNHDNHFEGLKSTTFEFVKRAVEDIQFSSLNSDP LDITCYVKTKLLPYKDYSLTCYFPGIVIRKNIALKRMQTELYKPRILIIHGNLDFIEETQ QFDDFILKEKRVLQDYIEKIKENFKPTIIIVEKSVSKVALDICCKFNITVVQNVKIHQLR KIAKCTGSKFVRLDKLDGYIQKETQVTGNCEKIFFRNFPRPTLDKQDVSGKDNTLMFIET KDGKNGVTIMLSGPQEDLLQKWKQCIVGCMRLGKHFDVERYIIVCEQKLRQNKQFNFCVG DFTKFLHEKVNLKEVIKPDLAYVKINYARADIHNFNDIKDMNALLAYQKEFPPQKDKVVD FFADMCNMPTEKPKAYYQSNDDMSIGAFIILKVANLQFRCEYCKLPRNSHVSIYYNAGRY VKFSVDGSMNQIKQIVLQKEQSQVQQSDENRTFLLKDLPSIIKQNHSNEKIQIETYFECN LCMQQLTDRVILSQKYLEYSFLRFLQQLFLTQNNSTNQFQNATSCNHTQVQRVYSYYGYQ MKVMVGEFDVYQTTLLNFSDSSLSDHLKIWEQNYIRQHRDELMNKLNTFGITLINLIPKS LAKGEIDLLIKSIKQLKEECEKILAETQYTSIFQVLKQSQDFGLQYKNIMQSIQEHKKKK ELNPDFKNIIQNAAVNLKNQDSILTNNTNTIKTTYELEKVDSGNNLNEVKQYHSNQELEE HHLQSGQKHSLQEIEETNHRESSGKNDLSDLIIPPNFSSDADIQQSPLFVRNHRRNGSEI QNNYVINLVDANQGLQQSIQKTHHDSNKDLDNVNINQSNNFIPAVDVNQNNNFQKEWNIS SFLAEQQKLIKSQMIYVNVYSLLQEFVPIYENQPLSYLSFTLNHPKYINEIYQKENFGMI ESVQKSSEQAKLFFQQLLSPKEQKQEVENIRYMSVDLGNNNLNQQQIYQKQDNRDIFVLK INYDVEKKNEKSNTLIMQQQQIQNNLSSQFDDAQTLQEKSLPLNNPQQFVPTAQARKNKW IEVLIYFPTQFEALRLLAGITLAQFIKSISSTNIWSASGGKSQSKFYKSNDELFVFKKLE QDKEFMMFKQFALDYFKHMYRHFYESKPSLLSKIFGMFEIRDRGQTEYFLVMENLYFGMG DPSNLLVYDLKGSETNRLEKKKKGVLLDTNFRIDRNSEPIPILKENYRYNDRAFQIDCKF LNKQNVIDYSLLLIIDQKQKKLRMGIIDYLRFYTWDKETEHYLKYLLKGGMVPTIVNPGD YKKRFINAILKYFIPV >CAK63429 pep:novel supercontig:GCA_000165425.1:CT868026:42691:42987:-1 gene:GSPATT00033282001 transcript:CAK63429 MSDQKITDKGEQDMIQIMIEYPEKSKNYVINCESTVEIQTIIENLSSLLEMPQEAITLVY DRIRLNPNQLLNEYCQMNNQSAIVLTLEIKKDGGFRNL >CAK63430 pep:novel supercontig:GCA_000165425.1:CT868026:43760:43964:-1 gene:GSPATT00033283001 transcript:CAK63430 MAGFQSSKTVEEIEIKSRIINYEISKANSIKKNCKNIFLYIKRAEQFLLVNVQTEEHDH >CAK63431 pep:novel supercontig:GCA_000165425.1:CT868026:44599:44967:1 gene:GSPATT00033284001 transcript:CAK63431 MNKPLKYPSNDASVCWPSFQSCNFSSLPLNNSAESNPHMPLSKFSDSESENNRVPKKLEK LCSNHCYSKEENRKSLLIQYEKFWYSKIILSNIINLTLLGFAFYLPYLYFENSMQLDYDN FQ >CAK63432 pep:novel supercontig:GCA_000165425.1:CT868026:45687:46743:1 gene:GSPATT00033285001 transcript:CAK63432 MKLVSQELGQFNFLSQAALNEISVKGVFGQNLKKQNQQAEINGLYIILVGIVNIEFMGIK LQAERRKIINLCLIEMMNDQKQGRVQFEQADNNDILYFFIDQEQFNGCLQQQFEKEKYQM IKEDIIFNNNTKQLNFQCHFCKQFHPTFNCVCLNIKGRFTYDKTNQERGPMFKRKNNNRT KAAIYQQGTSNYNVFEMNSDSFEAFSDFSSDKLSLSNQHMHHELIGKQTSISQIQKDMLQ IDIISDKITDPIISSTFIKHFQVHESTQKHHAEMIQAGFQELDVLMDVDKFWEYEHYNIN FNLNNVIQKLNKQKFFENFLQQQ >CAK63433 pep:novel supercontig:GCA_000165425.1:CT868026:46784:48259:1 gene:GSPATT00033286001 transcript:CAK63433 MSKKKKGLYFIEQILDYQQYNGQKYYLVKWQGYNNRDCTWEKPEKIPNLPYFLSEYEQNV KNLGSNFYHVENDEPPMLEDFIGVPSQQKKSKLQDQVSILKQELNQVKKQQEDILQLVQT LPSEKKPLQPNQQQDSENIQDSISELSQKNTEVKQPNEGGFEYGDQLDKIGQAAQIKQTG IKMYYLLWQKRPNGIIPKNRWVNSEYLQKYDIKSLCYYLQKKLL >CAK63434 pep:novel supercontig:GCA_000165425.1:CT868026:49283:50244:-1 gene:GSPATT00033287001 transcript:CAK63434 MGNKLYHSRQQLISCPQKSAFQFSNQDQNLQQSLTHQLVEINSAQQEPAMSQDQDNIQNN TASGLIHQQNFKLQKRCLLKVLLTFIIWSLFQFFVLYALQKGEMPTFIDLISPYLFHISV ILMYGMAKFGTVKFSRQNCVILLFQVYFTTQTYLTFCRSFSNERIHVSNGIQLTTADLVT QFALQQFLFNILITLTLFIYIAIEKQRILPLVAIALCCVFSLLPLVFDYWLFVNSIVAFL YGTTLVMVTLQILKGRFQLETYSVITASNIMFYGLISPIELK >CAK63435 pep:novel supercontig:GCA_000165425.1:CT868026:50668:50919:1 gene:GSPATT00033288001 transcript:CAK63435 MNQAITVIITFAQKNKVYNIEIDPLTKVQELINEFHEMLHPAGNEKIQLKYQGTQLRPNQ TFEEQGVRKGTQIELLVETQGGL >CAK63436 pep:novel supercontig:GCA_000165425.1:CT868026:52081:52717:-1 gene:GSPATT00033289001 transcript:CAK63436 MSNKTVYPWQKFSANPLIVKDDVGKAKPSTYPIPDCAFGSRTVAVKEESLGEVLCHMQHF ASMDIRPEKDFKKTNIMSIKHNLCTAKEFQEFRQSHDIRQKVVQGKPKMTEIPKQQVFGR KNRTPSPIKNVLAFDYGAVAEKKQAEAYSNRPSTAKSRISVETKSSKLLKETIKKNQLMQ SQPEQLKKLSQFANIQAKTKSRY >CAK63437 pep:novel supercontig:GCA_000165425.1:CT868026:53983:54503:-1 gene:GSPATT00033290001 transcript:CAK63437 MQIYLQGHSTALDWSQEQKLGSGGKDCKIVLWDINDYQSFIHKQENQAAYIRRTVLKGNQ AEFEDMSQSEQLESYCQNRQIILGLENRRREMLEFRQSIDRELHKKDIHCVSWGQYDENN IARGSLAGSVHIIDKHKQIGIQEYVKEVANLQKVQSLQLDLIDIF >CAK63438 pep:novel supercontig:GCA_000165425.1:CT868026:54733:55017:1 gene:GSPATT00033291001 transcript:CAK63438 MSGYSFQITYSSHDQLTKRLFPYIGKFIINSICFNGKIYFLSSVFFGFNHNQSPFPRSNW PVYGVLYVRSVMQALQISIVLFRSQIIHVGYQQY >CAK63439 pep:novel supercontig:GCA_000165425.1:CT868026:55246:55601:1 gene:GSPATT00033292001 transcript:CAK63439 MDQTYKRSKRTYIKSSLQTRKLLAELVLIQGLKIKNAAKKLQIKYATAKSIILYYRQNVI KKQQNYKPTKQCSYASIKSAITYTIVSKLAGQHVNSRCIHFLNVTESKK >CAK63440 pep:novel supercontig:GCA_000165425.1:CT868026:56011:59061:1 gene:GSPATT00033293001 transcript:CAK63440 MGHCTSQIQHNKSPQKQNPKTLSMGTMKFNSELFNIRLTHPSKSCYLKIDIGGYFKCDTP KHFDLEGKHGWKRKITLQLEASELQLKEKYLVFFLYEDDKELSQLRIALYDIIQGPQFFD YQIGKGRLSFNMIMAQILQLEINPQDMICTMNTCIQEKQYVFNLRLVTRKMQFLSENSEK FNNPAFIRGRSASYNSIQDELFRIQWLSTEMPRLIVELPMNEISSSSLQVCIWSINKSLE DHSPTRKSVKSLNFHVESIEQVLFAESNIALNYLLQNQEEQMDDHSIHRCNITKGLWLRG NKIGQMQSDFRIKIPVYLKQQMIGLRTEKGCTIGTGILANQSIKEISEVVNHFEKLSQVM FKLSSNNQDSQKQQLMTELQNSAQQLLVVVSKSDKDSQLRQFYYKSYDDLMKGQEIFIKI AENLLNFVDKIEGNIREIYYDILLIVCTRGELSLGSMGYFDDCKQLNKKQLKFKSQICCH FQQFLYNTLNIALQKICLKAMSPKEKAFVEKFLVSSFFKVPEFREAFVNALQNPNDPELS EWRGTDYQLDDPENFRTEQITVLFDWQSYFYNYLPSNLNINAVTLTSDEEWRRIIAKRNT TFFFLVQALCLHIQQKFQKDIIPWKDIPGYRKILKTLLCELKIRESYPDAMINAITQVVT NGGPLNVIIMILYNKTNIFSSDRVVHVMDLISQCIQQCQAIPTNFDYPFFLNGLRVAVTQ SENAYVIAKSLHLIYNNYLVFPLEFKKAIVDFLFEGQCYELFLHWSKTVRSVYISLLIYR IYHLHRNNKIQITDENQFDKQYFQITKPKRLQSYYENRKEETQLMSDYIYLKYSRFMMNI ESAKAKFFSKSLNKELPLVQRMKMKLEQKLKVEGSSFRDLVICEEQSKNPITEMDKRIIY ERKIEFRNPNKKKTIILNDSHIRYLSTALTEYNEMQKQYTKWRQQNIASVQASIQGMTDE EKAAKLTQFPVPQIKLMQTYDMKEGKQD >CAK63441 pep:novel supercontig:GCA_000165425.1:CT868026:59145:60471:-1 gene:GSPATT00033294001 transcript:CAK63441 MITSEISAASNQMKSRVNSEGIYECNYVWTAEEVEKLNEQYDCQQGNWKSISKYLNGPNP LECMMKWQSLHPENTLQRQLWSQEEDEQLKELVQKYGKKWSKICTVMNWRTGKQVRERYL NQLQGHINNEKWTDEEDKIILRLYKKFGTKWSYISTFLNGRPENMVKNRFYANLKRKYQS DLEESDEDDQQEDSQDSLNITKYKKKKKNKQYKFINSSIKIKKSQLKTLKLDAADRMTRS KVKKCTSINVKQENIDMDEKKNQVSEEVFVKEEPNKNENQYNPQELLHQQYQYQSEAFQK FVMEYGQLGINQQQQLLLGVNEQQEQLGTIQQQEQQFICNSNQPGVQFNPQLCPRCLGCS NNMGQLYTLADISHLFKMFQYQMFIQCQPKLETTSTVNMMQNQSQPNKQYNNIILQ >CAK63442 pep:novel supercontig:GCA_000165425.1:CT868026:62895:63225:1 gene:GSPATT00033295001 transcript:CAK63442 MKQSTQSILKLRPYKKVPQDKKKQLVELVFQKDWKIKKQQASLYLHINYATAKNVVLKYR KFSIQKKTSKLPESKRCQYKLIGLSKSRIQVISSKGGIQQGDV >CAK63443 pep:novel supercontig:GCA_000165425.1:CT868026:64049:64701:1 gene:GSPATT00033296001 transcript:CAK63443 MQNCFPEELFALLEGEISLWRFLPSIYLFHPIILSKYYIVGQQESSSEIAVSQTSAQFLP MSLLLLTRNVITAMISEKSERQKETQKIMGLKPNSYSFGWILTNYVRLFAVSFFFLILVV PTGVMKTNEINQNSLTMSETIIGFGMYGLAQLQLCYLIAAIFETPKTGADLAVVINVFGS IGSQLLTIEYIQQYEFIQIPDIRMWFIS >CAK63444 pep:novel supercontig:GCA_000165425.1:CT868026:64726:68134:1 gene:GSPATT00033297001 transcript:CAK63444 MYIHMYQLLVLLSLILEKESILEYFYLISYSMEYQLVPNEYGTNKHPLFFLGVKNENQMK KKNHVEHTYVEPLNEEMQDGQDESSAIFHEQLKNRIQKAVQIEDLKRYFGDTKAVDGLTL SIYESQILCLLGHNGAGKTTAISLLTGLIKKDYGQIKYYGISTDEDLDGIRSFLGICPQK DVLYNKMTVVEHLTYYGRIKGLDGQTLENQIEEVIEKCALASEKTKQASQLSGGNKRKLC LAMALIGGSKVIFLDEPTSGMDPVTRRKIWNILLQMKNEGKCLILTTHHLDEAEVLSERI AIMAKGKLLTVGSVDFVKKNFGIGYHLNIYNLKNSLEIWEDKVEKIKSLIMNQIKDARLE PQTANLCVSFSVPFDSKSSLLNIFEILENDQSIQINLLMNTLEEAFINIGMDEEAFLKKA QMNGSIQINNQFEQEVNLNDEFAKIIPPNCLKNDPVYSFALQFKACFLRKLFGMKGKRLA VQLFFSSIFCVLGPLLGSLTKSTVKPIDDEDDLKSYYLREYIISFSIYLCAFIELVMAMS ISSTSIGSVPVQERQQKQKYALNVMGCRIEPYWIANFAFDSGVATILFLIYSLTTLALQV EQLNYFVVYALFFFCFLTYVALSYLLSWLFTEFLSSLKYMMLLMIFIFYMPGMICFFLVK QTIFKWTLCFLLPSVSLLSGLTAVLDLNYNPSIIEVPSFFIEYPWVFVCILIFQTLLYLA LAILIDHRQLLSVNQQPIIVESKDGDVIQEAKRVQNESCQDRVIARRISKVYANGFQALK GTSFGVESGQIFGLLGPNGAGKSTTFNMITCRLKPTTGEIKLMGQLIEKGKGELFQNVGI CPQFDSLFELVTVKQHLIIWAYLKGLNGTDAIQSVEYFMKVMQLDAYENVHSDKLSGGNK RKLCVALALMGGTNMQFFDEPSSGVDPIARRFLWNAIQNGVKLRNGAVILTTHTMDEAEN LCSKIAIQINGQFSCLGTPQHLKQKFGDGYRVSLELEENFQSQQLISLIKQEYPMCDILE ENNYKVVVQLQKQGFSFFKAFSFFLEVIQNQHKYIKDFQLNQATLESVFMYFAGQQEQIE QDELKKKKCVIACCGNDEDD >CAK63445 pep:novel supercontig:GCA_000165425.1:CT868026:69068:70399:1 gene:GSPATT00033298001 transcript:CAK63445 MKEMNIFRPRIPSGHQLRPINESKQLKNQFYNQRTTVYSTKNKEDVYIQSNKGKQKQEYN QGSQTKQQILNRLCDLEQLTFRNIKEQEKLKQQKYDSLILSKNQLFYCKSESYEKIDDKR IKIQGKRYLTELDINFNPRYLIQEGKFSANLNTRDFKFEEGNEYLGKSNQIKPQEKIENQ LQQQSEQNKTKTSQFRAQSLAPSRIIIREQGIIVNNYRNLQIKRVNKKVWCKYNQQINER DETILKSKNWLTSSIIDSFVFYLNLESENQYFKTSLDKQEDIKRILFLPTTLTTCFGKSY DFKKAQDLFQQELLQFQEMNFEIKNFYTKVGFPINKNNYHWQFILFDYEKNTVELFDSSK YLFDQNLIKTLQKLLNLENAQVIENTDFGEQNNGYACGYYVCTFMLYFYQLQFPSQFQCP QKIYDEENITKKLKEIIKNMEPQ >CAK63446 pep:novel supercontig:GCA_000165425.1:CT868026:71309:71662:-1 gene:GSPATT00033299001 transcript:CAK63446 MKQNSQQTRTYQKVSNIKKKTLMNMVFLMGYKIKHVISNLIPYRQAAKQLNIKYAAAKTI IVCHRNNVMKQKLEFKSPQECKIVSINFKKCKITIITRVGGDDVSQNSFEYSQTEGV >CAK63447 pep:novel supercontig:GCA_000165425.1:CT868026:72483:75835:-1 gene:GSPATT00033300001 transcript:CAK63447 MKYEAISLAFWESTKLILGNTSKIISRIADFTNLIYRLAENNQVSQSTIQECFNIFDENK DGKLDWNEYLKLFVEYLKKVQCLKYLKSFNGLQLISRYLQQLQIAFLASPFKQLFTTQNL QQVEQTLASFENRSSNKQIKKYYFICRDSFEPSLNQLHQDIILFQDFNILTAQIIQSLKS VLLQRLPHVKINKIDYVNSLIVQIINNGYCNHLFKLLLVDDEKDQGSIDEKDQNQIMGMQ ALTLIAATIHIYDIQILIPIQSSLLVDTILNIISETQNDLIIEQGYILLGHLILYDKSFQ QILFTKRQAILEIQQTDDEKIFYHSWFVGCLFSRYENNQASKFMTQMNALPDNLLWLQSI LNYYQQYGLIQSIEKNQIRIQIQINMLIIIQPLLATQIHQDVLIALLQQLQNQTTDNRFK LQILSTLKKIFKLPYQLNYQNFTAQNILLSNNLLNILMQLITDPNPQISYKAKKLFFKTL CLENSGLLLKYLQESNNLQSFLFVLESQFVQNVDANFQKYFKYKILTILRLMSQDSNTFQ ILSTDQWLFKLLIKALNDNNQVFTSNNQVMVNCQYDYLVINEITEYCITFNLHFQPMLRQ KPQLSFQALETIYQILPNQQAINEIKQYFVKILENIDKYQPLILKKYLKPLYQSVCEIVT QLTHLTLSLNDLIKNNQVFHNNFISFLQYMVNDLALFQEYLTSKKELIDDTLLIIGTEAT YDGCVVTTVYLNTYQNSSRCKIVLKTQLTLLEFEKHVEQGLQTSGPLLYLVINQNHPNKI PYDDFSIIDEMCFRKFKELADQFIETQFGSVKVSQEVIAVELFRLKMEDYSMKKIYEILN KGSTYIQFKQIQDNQMLWFLENNSDTKDKGQQLQQIFQLTKLPYTYIENLFDSINQFFDK NNLQQQYRQILQDNFMDYLQDMKIPPLLGHKIATFLARNGLKNPIDFQDFICFVSIFYQQ SETIIMKFTYLLYANKEGKFGKDQLCEYLQTIFDIQNQIIYEQQINEWGSNLFVKIDQNQ DGYISFYEYHALLKEEQYRAKLLDPICALFTNLPFQNTTNRFFFGTPQKLFRS >CAK63448 pep:novel supercontig:GCA_000165425.1:CT868026:76380:77871:-1 gene:GSPATT00033301001 transcript:CAK63448 MNQQQSQGSTPRRNNSEISIEALPVESESINYLQIAYSWLTTALLIMILFNCFLTLLLSS FTFNLSLRIPILFLSIGHLLYLIKICISFHQIPDFCFELLWGVGLISYYSCLIYFVDNND FQMQYLSMFLTLYTTIWLMQSMISLYRNKDQANQQKLIVVLIRFCFVTQLMFINMKLINW LSIRWIYTFSILWIFLLIVILLKLFSLFRLSNLINQYFNSRADQRQKLMINIIGMIWVNL FLFGFCGMPAYTLCKMCIFLDQNMEHNYYLSILTSVFYTLVFSVYTLYRKKILSAFILNE LKPQTQTYPEGELEIISFPSSRNQKKFVHQCRDNILNDFPKQLIRISSTYYLPEDQRNQT EINSAALNIKSPTLTEVVQHDETMKQCFNCFQQQSCTVNIPCGHGGVCSNCAVDWFKQRK ECLICRSQIQAILKVAKAENHRVKVVDIIVSN >CAK63449 pep:novel supercontig:GCA_000165425.1:CT868026:78009:78308:1 gene:GSPATT00033302001 transcript:CAK63449 MNSSPYIVKRKQRVGDWICGVCKNLNFSFRNQCNSNEQYPGNRCNKFPHRKSSKQQFRGF RTLVLIHYEEPIESVDELLENQIDPIVKSSLLVLDLDQF >CAK63450 pep:novel supercontig:GCA_000165425.1:CT868026:78380:79096:1 gene:GSPATT00033303001 transcript:CAK63450 MSDLRPYLLSQNKSVHHFQNAFFKQEPSPSKSHRKQLEQHGSPNHLSTSLSFSKSFANKP QQSQSDTSYVYYLIQQIKQMEQTIEELKQENQLLKSQQKIGFLDLQFQHNQMNKYHSILK SVQQVKLDNIKLKKSIEQMNLMMKIHMAPVNEMLSQLIHPESTVIQQKELKNHQQSDSIN ESTLNVKIHANISKYQSNYNKYSKCKTETQFKDQK >CAK63451 pep:novel supercontig:GCA_000165425.1:CT868026:79354:80671:1 gene:GSPATT00033304001 transcript:CAK63451 MIMFTLIFYGFTAFKDPGFVTISDYQYTDSSNPYQKKRQSFHPLPGNSQLRTKEQVPEER VDLKVVEQAKEKPKNKDEFEMECEASVDEQNFPDNDIHNMDNNAKSYEKTPEKSRTPSKM VSQMQSPHQNNARTSQVLSQGSTKPDINNNNNPNTQTEPQFNYQQEINVEQVEHQQSPKS ANNDKNIDQSVHHGNSMFIEKRYCPICNQDQIIRSKHCRKCNRCIALYDHHCPWTSNCIG ERNRCVFYWFLFFQIQEIIYVMRAAFPHLDFSQYSGWFSLMVIISIIVSILMGLMVLSLF LFHSLLTCKNMTTWEYKSWKRISYLKDFPQQLGSPFSSGWKQNLRQYCRFSVPKLTNWEY NTQNLNFIK >CAK63452 pep:novel supercontig:GCA_000165425.1:CT868026:81245:81641:1 gene:GSPATT00033305001 transcript:CAK63452 MEQHKHILNEQDDHLNEIADIANRLHNAAVNINVEIDHQGKQITQLDVEMDKTQKKMNFV QKKLGDLLKTNDQSQICTILILFGTLCALIFLLIYT >CAK63453 pep:novel supercontig:GCA_000165425.1:CT868026:81760:83120:-1 gene:GSPATT00033306001 transcript:CAK63453 MGLCLSKQEAEQHTTLSQEKYQQEDELDGLQVEQIRLSDEEKVSLKDFEFLKVLGRGGYG KVVLVNHKSQSKLYAMKILRKDLIQQMNSRIYMETERNILALVKCPFIVNLYYAFQTKQK LYFVIDFMIGGELFYHLKRIGKMEESWAKFYCAEIILALEYLHSQNIIYRDLKPENILLD QDGHVKITDFGLCKVDIKEGDFTTTICGTYDYMAPEIYLKKGHNQSADWYSLGILLYVML QGIPPFYSQNKRQMIRSRLERQIEIKTPISEEASDLIKQLLKNNPKDRLGSDGSNEVKSH PFFNGVNWNDVMDKKVAPPFKPKLFGDRDLRNFDVTFTQEVVQDTPMQSMAQEDNYDRFS YQEPELFVTMNEGFSLNK >CAK63454 pep:novel supercontig:GCA_000165425.1:CT868026:83228:83834:-1 gene:GSPATT00033307001 transcript:CAK63454 MITTSQSSSDSEVKKRVKEYICGDSLCRKKYGTNAALYTHIKNKHLGVTPNGTKRPSSES IKGRKGRPQQLKAENKQQDSVTEEKIKVVKIMSTQQFQDFIYLIGQIGDHQLIRDQDEQY RYIQSEEIEKIKNDCIGDQLQLQDQHKQFMIQSSPFWKPEYFYSKGTFKVFINKY >CAK63455 pep:novel supercontig:GCA_000165425.1:CT868026:83901:84899:-1 gene:GSPATT00033308001 transcript:CAK63455 MTELAKSSYWTDLEQKITNEQVLQTMRKFGQFEHRVNDVIQQIIDSHELTYKSDILMDNG SLYTGEMRNDLRCGRGTQIWKDGSIYEGTWLDGQAFGFGRLIHADGDLYQGDWNGDKSSG YGEYFHLDGAVYKGEWNEDKQDGKGIEKWPDGAIYEGQYKQGKKDGKGKFSWTDGSVYEG DFSQNDIHGEGVYKWADGRIYEGQWKHNKMDGYGNFVWSDGRQYTGNYKEDKKQGFGKFL WPDGRSYEGLWENGKQHGEGVYITANGQRKKGHWVEGKRTKWLENE >CAK63456 pep:novel supercontig:GCA_000165425.1:CT868026:85148:86179:1 gene:GSPATT00033309001 transcript:CAK63456 MYKLNTQQGHSFFNLQTPINYFSKKNLFQSATEIKPKRMDSYGRSNLAPRSLPKEFWFRD HPKSSRKKKKELLKIFRYLNPMYYERMEQYQHLQDYQNQVCTPSPSRKWKKCRNITLTKP SQTRMTSGIIKQKFQETLHLLIPQKYSSPKIARSQVQSPNKNNNKPSNETKNIKQFQQFD ILESNHTNYVPSLLSIDRYNKENSINQSNTNNISYIQHKDISSSQITPRNKFIKDCCRLY GLDSHKIPTINKLVFQDQIPLTESYETKSDKIKMLAKSIEKSNYQQNNLLNLRRKQKELQ LNQKQRLHSNSLKRIQCNVYNNSVNRVMSTYFPSLKQISKRNL >CAK63457 pep:novel supercontig:GCA_000165425.1:CT868026:86242:89093:-1 gene:GSPATT00033310001 transcript:CAK63457 MDDDIFLGLETIQQFDEKIKQFSQQHQFRRQLQRYPVQKILKRSNSFAQSQVSTAINSSA HASRKQTISQLEINPPKRRHCEIYNDEFCMNLFAEKVEYLCTQCFDKNEVVIKELNRVAQ ELGISLHRIQQFETVQEREQYILSQLQNQVLDSLKQWKQRIDQREIQRRKSDNITIITNG QDKMLDIFDWIEIDTKFRQLKKRLKFALVEMINDKNNSSKIQFQLSNRTGDTIQDLLYKK PDTRQIIDELTNLLKTIKNKRNVPNGQLNSPKSVRIEKWNQSKEEQFLAKRLSAKQRLSK LINSSSWNSIAIGEFVSNLDKGQQNKQKRQELESKFESYQSMNEDDLLYLINTSVLLNRC SDKKFIQSAINHLDQDIISDIELQIAENILKSHFDIQKQVNQTRHDFLKERNEVQFIKNR QAKNIQEQQQKQNSIKKLQIKMCTILREMKDKTKTTMKQDSEKYNLYYQEYKQGNPIANP YVWKFKEIMMNVIKRKKNERRDQKLGVKSEVHKPIFPSKEEPLTLMNVIRKTHPSSKLRL TPQQQLEYFPTPAKVFSEYKLKVQKKEFEKNQSNFLNFYTGGPKKPRKYASPQLQGIEGG EVKSDWITPEIELIAINKIKRALKAYVSRKRYIRERERKRQKEYQEKDKNPKFFRILNRM KSKNYNGLDIEECYKSLKQAIAQSAGNSKQQYQKGVQMDYSKVKVPHSMIQLKLKQRKLF LALKVGNTNWAEYSGFQFEPQDVNCYDTNMFCPLYHAAQLQSITFCNFLINAGADVNMPC QGGLTPTFAAFITNNIVLINLFISNGADIDILNDEGKNPLCYCSISILKELNLQYNPVNS KSQTHRQKSRRTQSNLEQNYSPYAEMKMEPMLNVNDEIKWQQDQITSLRAFYTKKERDNF FYLKQKAQSQKESENNKDNQEQK >CAK63458 pep:novel supercontig:GCA_000165425.1:CT868026:89613:90893:1 gene:GSPATT00033311001 transcript:CAK63458 MKKNYNQARQHSFQIPKITSLQPLFTTYNENHTEPTTPIKIDLKAKQEQMKLELEQKNKY LEQILCLKQERYHHNRKELLYHKLQNIEQQLHKWNQTRKNIELQTDFPILAQKRQNNNIC VNITIHNNPLSLSKLDKLNTRIPVFKISNKPKPTSEILNLNLESNPSSTSNIKRKLTKVH VMQRKLKLGNLDSQIIPNDDATLTYISQIFIFKQNCKSFLPNTMMQAHLHVFSTINKHHQ VGQSQPHTSEAPVLQFLGKSKKRKRRSLDTNDWISLHDHKIKGNSHTQYLIRLKVDNVVW SFWTRYSMLSELHQTLDEVIKSQLPTFPEKRLFGNLNPNFIQTRKSQLDIYLQAIFNDPC VRDSKVFRDFIDNSKQKAFKKADLDSLNRFKLDV >CAK63459 pep:novel supercontig:GCA_000165425.1:CT868026:90920:91351:1 gene:GSPATT00033312001 transcript:CAK63459 MFLLLLLAFVHPIPISDQIQPTFLNNLDTSEASKPIQTKEVFLLEIADYFQGTPFYNFLD LENKDNQTKRIVIATVLSIFLIVVLCVIIQFILEIAKQSNIQLSIEKKSNLSD >CAK63460 pep:novel supercontig:GCA_000165425.1:CT868026:91935:93222:-1 gene:GSPATT00033313001 transcript:CAK63460 MKQIPCFKHEGSFILFIKVEKDKTEFVCDECCLDLQTKSVNVNYQELIHVKNALKSPEFF LSKLKISTPVQEYLIKLDKCNKQAMDNILEEFETKISQIQNILLEVQQELKNQVNCILEK KNKIRDELQKITQFDKFKQFAINFQQLGDNIKHEDIIQNEKILHQYLEDLTKNDCNELNQ KLIDVLDRIKREPEDPNQEFYPQYRELERQFKSLNFSQAEFVQQFNLIYFVQVAIIYDGR LMSYYQQQKIMSILQSRLNKKVINFQQIYLGTRDGLNGQSFWSKVQGNTNLLMIFKSKSG YIFGGFSPCQWQQYVNAYVQDNTMSSFIFSQTHDQIYHLKEANKTQAIYCHQSYGPTFGA YDIYFGADFQSGSSNLGTSYQCDQYQIATKNTHLFGQTTPNIVECEIYQVKLC >CAK63461 pep:novel supercontig:GCA_000165425.1:CT868026:93423:95801:-1 gene:GSPATT00033314001 transcript:CAK63461 MSDQEFGKRICQNHANFEIIAVQEIQSDSQDIKYLCVKCLIQMIGIQNIILYEDFIKAKE KRNTCEMEIKQQTQQRVNYMKELQQSVDKLDQLMKGVIGQLQNNIGEKIAQSQKEIEQGD SQGESKSLDENNSIQEGTSGNIDEQEKSKIISNFFRSIKEQVKQMQGSQEYLQIILSIKK IEQSFQIKSEPFQMEINKTLSLKQNCKIHNQVIIMVDLNLYESEPQNRFACVQCIQEFHN QYVSLSDVIQRFRKYNEEKESINESYHTQRKGKFKNTSQMLSQLKNKYNQEISDIVQSLD KQFKEQEYLDQKDNDLSILDIQELDEKEVMDIVDILCKKDQHAELKEKQKTQDENDSKVY KMLKKNLECLMQYDILTIHNLMNILQGDQLNLLNIEDFIENNESIIKLDDNQFIKKFQRL QQYLSIIEYSFSFYNDLKKEVDERNQSQPQKFEQEFQQFQSNSTKMITLITAEDNEKQLK LLQEETLQQKQRLLDDEKQIEQFTLQIKQMNDELVQLKEKQIELQAKIQEDISQIEIQQK KLKEEENANKILQQTVEAQANTINQQKQRIEKNEADLTDATSKLQKNDEGLKELQCVYQF VNISWKIGTNTILKSDFCTKILTNIEVKMKKKIKNQYLIFSGQNQELNSQAFWKAVEKTS NLLMIFKSKSGNIFGGFSPCQWVKMGGAFVQDNATASFLFSQTHDLIYPLKEENKLYAIY CHQSFGPTFGNGHDLQIGSDFQSGYSNLGYHYNWDGYQYANSTHLFGQLTPNIEVCEIIM LTFL >CAK63462 pep:novel supercontig:GCA_000165425.1:CT868026:96514:98986:-1 gene:GSPATT00033315001 transcript:CAK63462 MSSIELEIKICETHQLEIVAVDLKAAKEKKEKFLCVKCLIKRVDENYIVLLKEGLKMIQD MKQKCKIQVMNDTQKTLENIKNLQTSVSEINKLFSDTFRKLQDNFDDNIKNSNKSEIQFK EQSVEELKFDQDFETLSIHYKGNLNYDIPKEKVNIDEFKELMENVQSQLSYMANAQQFSQ IFGSITKIKSQYQIEQPIPQKEVDKHKTLSLSQRCINNDHGLEIIMIHLNEKKNESNQSR LACSRCIQQYPKRKYITIEDANIKWKEFKRQQNQMITNYNNNRFNKFTSAIKSIQQLKDI YNQTLTDIIQQLERKRIINKDMLSANQTVVGELYELNDQEIQKAVEIICLKDNHKKLKKE QVEQDQIDAIFFQNLKQNLENLMKYDLLTKHNLLRIQNQNQQQQLVDEIKQVKEIEKAPD MNLFFLKFELQVEYISILDDVFNFYKTLQQEIDDLQKKGKLSELLNSKQETQSQIIKQQY ETFKQNSDKIKLLMMAEANYKQLSTLTEQLTQNKQQQQTIQTQLNNQNKQVETMNLQIEQ AKQENKKNLEEKEKFTQKVEILNQQIEQLKQEKKEEILKKDEYFKQIEPLKQEIQQLKQE NTRIQQDAQGKLTIKDQDIARIQQQYNQSSQDLKQLKEQSKLLSTYFSGQVFNQIETKVK KTIKCQLLIYQATKDGLNQTSFWNKINGKSNLLIIFKSKNGRIFGGFSPCQWLQTINGYV QDDTLSSFIFSQTHNQFYPLKEANKANAIYCHSNQGPVFGSGHDLQIGTDFQSGTSILGT SYQIDQHKITTQNIHLFGQATPNLDECEVFELILK >CAK63463 pep:novel supercontig:GCA_000165425.1:CT868026:99880:100925:-1 gene:GSPATT00033316001 transcript:CAK63463 MQLNKLFFPSSKSTYTKVSISLLPNVELLQIQSGNSEQCFTCSVPQNRQVPVLLVKHENF LDDLNNKYIMFFHSNSEDIYNCYEYLYPIISTFNVNLILVEYPSYGIYQNQSASADIISE DAIAVYQYFINKRRIKKDNIILMGRSMGCGPACLLASLFNPAALITISGYTSLKEVAQSF IGSVLAKLIDQKFDNLKIISNVTCPMLVIHGQKDQFITCDHSKRLISQTKSKIKQYHLSE NMTHNDFSIQDDIILPLRNLFEKINFISIPQPLPKEQNSLGKIVLRKQHSKKQTNLIL >CAK63464 pep:novel supercontig:GCA_000165425.1:CT868026:100971:104644:1 gene:GSPATT00033317001 transcript:CAK63464 MHSKFIHQAQSTKTSEPAARIFRFEKQSSLRQKLKSNETDNDAVSRRDRAIKSVTILQEI TITANNSSNSKKLFKLISSFMSQEQQMQQITEQLDKTQIVTLFLNIHLFQIPQLVHFLHL LYDCLLQLDTQFQDVFKYILLLNYELYQGQAGQLTRILEILSEMQMLNPDFSAFENEFQF DIEDVSNSFNRVQLRILFLASSIDILHALKGSVSLFEQLESFVFSSIKKNEIPNINDLQT LVIAYILLSEQLDISNKLGYAKQALEIIGKNPDYSIFELKKRFIMNATKLSQKYLGQQVY DQLLSINRSFSPGFTRQSLTNLIPKDNQFFKFLVSQLKITYAIDNDSNFQIDSKCNSLLT EPMINQLLDRVIPQAIKKEKVNSTFTEQSQQDKKPIIIKKQFSIKQLKQENIISSQVQVQ NQVQTPSQKTQPQQSSQFKQTTTNKLDSNEFEILQNKLVHQQQELQDLKQLYSNQMLQKK SDQTAQQSQQNEQLKKKIESLEGNLVQLKNENVIYKKEKDQKQSEVQELRSFIQDLQDKQ QKLEKLVQQQYQQQQQQQQIQQQQQTLLQQQLSNPALQYLKTQQSSYSTKANTNMNIQIP ISNSEIKNRLLVRGQSQMSHSPGEEFKNEMDDTSPRIIRQNQTYLLQLLDLLDINTGFTK IYNKLHSSNENNPWESEVTVIHNFKVDAMIAESSEGKVLMLKAFNSQNNQICSEQIQFDL LKSLLGYVDFQDSLPTNLPNISTTHQFFKFLILPYTAVVQDENTQQYKIQLWPKPYGLLN GLNLKLDFLDFNCLVYVHHLETDQFRVIIFDPANYDCFKLDLELDYSTMDIFFQDAKSIK DEFNYYCKSKLLRLTEKSPKFGEDDVAEALVERHFSKEKVKAVIEEAKPNQSFSQEQITL KSPQEFLKYIKSIVQAFEQQLKAQQITFANSIFGMKYFRCKTWNAGTKSQIQIIKEQIDQ QQISIHLANCFESFGPSKTKLKVKGNTLINFSAIQREFAVSYDKLQNEERATILQTILYS YNLNIFEKQCEQDEQQFDKNPIIQSIYDCGSYKRVIAFDNGKITQVSIQVIGSNRRMCGI KFSVFNIDETIEHGVFLPVSQIEWDTRAMEKQKIKASVQNVPFAEYLLTQILKCPTTSTI LFKKILNSDFRSNQINSNEIKNRKTFIERIDNILTWQEVVANL >CAK63465 pep:novel supercontig:GCA_000165425.1:CT868026:106807:111411:1 gene:GSPATT00033318001 transcript:CAK63465 MAENIIPQKTEGDERPSLCFQQELDGDKIIYKDVRKANIPNTADIAYCEIRITELQAELD AQREQVFKLEQQQDLYVTYEQTINQLQERNQILNDENKQLTLLLRSRTKELDLAKFKILE YEQQLERLRDVEQDYKNTYDQLQVRDKELRELTEKYGQLELRHSQQKVLELENFELQNKL SNLESDLNVYKDRNIKLEAERKKLTDLKTEVSTISKIEATKSEVNISQVAISQPAQDYAK FKAQIQEQSDLINQMKNDIQEKDHRLGLLNHELINLQEILSNKNELISQLQSQLDLSDSK LKELEGMADKYKSKPTTIIKTIEKIVKVTPTDADEEKVRLNNELERANFEIERYKFEFNQ LQQKLETQEKIISDLTRDIRQIISLNEEIELLKIDKANQINALNQKEQDYEFTLNLLNQK TLELQNLDKLRFNLAFAEDQIQTKQREIISLKRRVGDVENSNNQLKQLQPELREAKRLIE ELRSENEKQDQQIKQLKSNLMDADVVINQLKKLEQRIKALQNTNSLLRQEITQTLTESEQ WRQKYNQGCSEITRLQRLLEVNQQRQQLLNELNKNFKNDFEVPDIKVDPLQSPQRLTKQI IQDDSQIQKMKNNLVAVELQLESVFRNKILEQQTYQSQIDEINSKYQLKKGESESWKQKY LKSEQENVKLMNINEKYTVLLQRYNSQEVIITSLNEKVKLLTLEMDKSRTEVFNLHLQLS QLDVYIQERDQFKQRADAYYSEIQNQYKQIPLLKAQVLDLTRQLKLTEIPVIHVAQKTDA LEKLRQQNVELEQKIDDTLEDQIDELYQTNQVLRQELTKQIDINTQLMKDLSNADRKKQD ILIELQLLQAVEIDYQQLKIAYLNKQKENDKIKMLSDQVEFNQRDLENAIHSSNQLGLEQ KNEILKLRERTNNQNDQVNQLLVKLNSLQQLNNDYKFKLKMFPELQEKVNTQAKQIDDLK KALQSSNEIRDELTAKCTLQQVKLQSLGPLQSKIESLQLILDERSRQLEIQQTKALELED SKSKLNQEWRKKFDAVEVQLKNQKELEQLLKQQEHDINDMINLNNKLNVQNKELNVANQL LNKDVDQRDIEIKRLSAIETDFRKLNENLLIELQRIADLTDKLQISQNQYDAAKRDQERL ENKCAMMSSEIERLSVMVKNKNIEIQGNKAILHQNQSQIDQVQPIFVENKRLFDELNNVL KSNEELKIQSIQLQKQIDELKSTISNGSSYENQLKYELDQLEQVLRNKENEISEIKQLLR QSENQVKETKRDDQQWIDQQTEKEKLTNQFNYVNELLNSKNVENEQLAKQNHLLQSKLLD NQKLDFEHLELALNTRDKVIEEWKGKFQRLQQDLQKVIEDKVELENRFSTVDLEIERWKR KANSNSAEIEMLRVTIDQLNDEIERLNKNTQDLLNENDSWRHKYGNLQQLIPQMVHHQPN PETQAYQVTPGNSFRIPPGTERNQGSFRNQPPPLDSRRSFRRATTIGLTKP >CAK63466 pep:novel supercontig:GCA_000165425.1:CT868026:111598:112404:-1 gene:GSPATT00033319001 transcript:CAK63466 MSQIQNIDENLSEFSFAYNNETTQLNISNQFRFNKIEEEESLQGRYLLRKYMHNLDFLPT QSTIISYSRPFSSKIYADQGIIEGHNNKFNCSLGIPKLTLNPFIKVWMSHQLWIPLYEHR NRIKKIKKLIANSSIQLSFNYGLAFSQTHLDLNDTFHNLQFRGFNQMIEMSKLYNRKYDT FAKFDFKYNIHNLSGMKEKNLSYQLFWYGSLLTFGHPKSLLQNFRSSLGIGVSMPIGGMQ LEALVPIYTQKQQFDKDPIFQIRIATDD >CAK63467 pep:novel supercontig:GCA_000165425.1:CT868026:112419:112921:1 gene:GSPATT00033320001 transcript:CAK63467 MQTSNQNLYNLCRTNLTTNLIYQYLNFNHIRRLINRGFQKNFYFQTSSLINSLEYLFHSM QIENRMIPCGYFFQDGRMSNLIWEYNFVLSRASINEDEIAMKFSKDKDLSLMCFLCSIQQ KQNNFLKQFYQVLVDEKVAPKQLSFISDAGIPCFYQK >CAK63468 pep:novel supercontig:GCA_000165425.1:CT868026:113003:113284:1 gene:GSPATT00033321001 transcript:CAK63468 MTTILPLGLLDKAISSRVWILMKDDREFEGTLRGFDDFFNMVLENVIELDGGKKNKLESI LLNGTQICLIVPGGEIS >CAK63469 pep:novel supercontig:GCA_000165425.1:CT868026:113299:116946:1 gene:GSPATT00033322001 transcript:CAK63469 MLIIILLFQSCRTQCYNNSLINVDQQTYYYSIDCQPPTSLELNCSSLSSYVEINVDSSIS WNLSSFITNCGGVKINTSQIEIGNIHSNQKKAGFIENKYIIIVASQDYSFFANAQINMKN YLLAFFLNSTQNSTIHKLNATSNKMLFVFNNSVTFEDSNFLMTTQTCTNNVNILPGMISI FNVNFESIEDYLLHNQFINLQQPTRFTAFFQVSNYTFFVFSNSSLTLINSQVIASQIGVL NNGTIILENSNLNTSFSGCYINQGNARGPEFINYNQKCFANGGSNSGYGGIGLNIGDSYL NDCIFLTNSTRFMFDLYPYGYLLTNLYQGSGGSSVNLIELVKEFGTVSKNLLYGFGGGSI FIYANQSVSMSHSQLLANGSPGYVDSETNYSIGGGAGGSIKIYSTQIISDLTSNISIQGG DSDSKGLVGEGGGGVFQFKCLTTPLNINQAQNLSSYCSSSSYNGNILNGPGLRYKQLGAG LIGQQGNLIEPLCPPGYRISNFQCVLCNQQQYSIWWSSQCVPCPSTYGKFSQDNTFCNQA PSCQTADCCIEDFKILRNTGIQLVVFMLLIIIGYALRRQYKKKDSTTEKNFNFENATKEI IADKIITESLQETDQFVLGDLMYHAHRIPVIGNNTFLNPWFLHSEPPVEIDKAVNKEEYE KFAKNFNEIAAWSKTKYIILNFLAILYYPLYWGWQTKIKKDKYKSLAALLNKNEIPKFWK QSSDQTYGRFKMTKSADYTLLYIDIFNYKNCDLKYIYLTCPFIIYLSGEGNYLRPFYLCE SDQFLVSLFFAVNQTKNSIQNRQNILTNLVCKDEREENSKEIRRFLKKFNKVAMTLDLGA LDSNFISNFKRLFDLLEKWNNQFFNNHDVKLQLLVAQFPFDSQHQSSTITLIEMKQEAQF KEFMRELHKNLIFQKLQDVKFGVFIFNTEQDPAEVDQQLKNQKLSFNDIEDFSIRNIEYD YDDENSIDVELLREQFLSKSTDKDHLQMGHTKHQFKQITKKQSQRPNSFSKTYHLIIRIF DYIRFQSFSHKRTFLDKYTLIIMICILILIDNFLLQSILSLIIEKYHQMRNSENHSKEDI IILQLILFPYPLTIILSNLFESIWIPSQSYGILKLYLIFNAMATFQYFVSLLIFVCNPKQ QICIQDTLTFLSFLIKITLNQLSERLIPMSTKLNQLSNEKQM >CAK63470 pep:novel supercontig:GCA_000165425.1:CT868026:116980:117980:1 gene:GSPATT00033323001 transcript:CAK63470 MQITQDMFKWLKSLNVIQNGIPKQNGRIELDPETTSAFYNGFKMSELLDKLVGTYNQQIK TQTNPTARLYNWNIITERLHQIKVELDTEIKKLIIDGDLEMIVEVLKDIQSKFVKEITSK IENPKKNFDIETLNSAKPISSCETVIEYVIVALSQNLILKPKQSQQLLNNNFKLLTHVFI KGVKGQYIQLVTLLQEIYNNMPRLIELLREEEHQIGFFVSFLKLSIFSKDQEVVHWGLRL LGKLAYDLAQYDLLFHMFQWLLSSGLSSLIITLQRQPQLAEPLATALTQIAYYDYSQVFG QQKYFENPKILYRILWSIASLFEL >CAK63471 pep:novel supercontig:GCA_000165425.1:CT868026:118148:121572:1 gene:GSPATT00033324001 transcript:CAK63471 MQYQQSILAMLQRTAKDSSTILKTYSITQAFKLLDGLAQKKVVTAVSVYKKLISILIESQ NNEYIVRQFTYIIQKYPSIPIELLIDGLIKNQHLNISDCDTLLCIERTSEFKSIISNNNV GIINQNLQYALFPTIQQIIQKFIELSEFQEYMNRMAQTCLNLYVNSVRSKKTTTNQSNYQ DDSVINSQRRAQTIQLFKYIIQLRCFQMNNMLKPLFVGAHLELRSIENRDNKGIIQLLQM LGDPNTIVDEYVTKDQQMTSQLFLEKKMQQMEGSQSQALEDQYLQKNKKLFEKEEDLVKS LLLEEKNESKNPQQTKKKKRNYDFDEFQCVYEEGELAYKPQDVFLIDFSLEEDVDRESMN IAIKHFSKTFRYLFNKYASTPQEAAKNRLRSLDQNQLELRSSDIVKILQDYEIFNFSTYE EIINLIATVNHKFNLFSLSSQVRHLPLDNQTEKQSSISATTSRTVNYRLDFENFKKFLIQ FAVLIFGRPPKDFRKMPSGHVLVEFFKYFSFVARRRNDNHLIFDDPDGVTTVADKGTIQK WNAQLLQNPHIEVPAETRLKKVQIERVSLQYQMRDVMKNLNKSYLTCFEIVNELIQSKFK TNIVEPTFKINLIYEVKPIAAKGVHSELKVDPLIEKQEQLKNSLTLLPIEKQEIMNRSLD LSQLSEEKIINKSIVEKIVSKQEEALKKELKDLKWQKRKDYLDKQQDRIQQFKEKKEETR FNDSQLVGESLREQKPRHIDYMNNLKKELRKNQSEVILKNSQPQHEEKKDNKKKPQPLQQ QDNKQKQQPQAPEKKISKEEKAEIRFKARTTEMAAHYKTSLEKKEKLNEKFQNFYKDTKV QAEFKHFRSSGSVAFNHYLKYSDAWNYSGKKIVLQGWLDFANAFNLMQVFNEEQLIKVFT NTLKQKNYVAHREAVQKNLKYQDEIGLTYFEFEYMLLKIAIMGQDYFNAIQSGKKLDDNL KQLIIYKEKQSKKPKIKHKYVEMLEENMNKTTSFTLAACLAYLDIPQNKDLFAGKLRSIE GDDIIEETKPKYQSVDLQSLKKKQGLPESPQLKKSSKWSEPGTHGKAQPEKKPMSESQFK LPYLPKDAKTKELLEVTKKWEEEKKKK >CAK63472 pep:novel supercontig:GCA_000165425.1:CT868026:122210:123211:1 gene:GSPATT00033325001 transcript:CAK63472 MDIIKKRESRKERAKFEDIMYQSHKSILREENENQKMTCYESTRNCLGSCFGTLRAWLPC CFCCCPYPFFLITQGQKGLLQKFGKYQRTLEPGLHEINPFTDKVIPVSTKTFIIDLERQL VLTKDNITVNIDTIVYYRVIDVMKSAYRVKMIVEAVKEITYATLRTICGEHTLQDIIENR QKIADEIESFVFDVVSEWGIYLEHIFIKDMHMGEELQSSLSNAPKAQRLAQSKIISAQSD VAAAKLMREAADMLDSRAAMQIRYFETVQLIAKNHNPKILFLNVDQQNQKK >CAK63473 pep:novel supercontig:GCA_000165425.1:CT868026:123238:123565:1 gene:GSPATT00033326001 transcript:CAK63473 MLKQIILLLFITSILTIDCDYCKAELLDSLRVKTDSVIVKCLDSDFSKSSVGTIFGNCLS IQGVDSAKIKQLVSCVSSKCWDQWINQKNEQFLF >CAK63474 pep:novel supercontig:GCA_000165425.1:CT868026:123610:124430:1 gene:GSPATT00033327001 transcript:CAK63474 MNIQDNLELLNHQLNVSNNKLLLQVEVLSQQNNLLNKKLEEQQHITNQIQYLQNENNQLK QDILILQESLFNLKNEKYNDIEQSYSSMLLVQSKENEKELSYLKQEYKDHIFKLQQEKNQ FFEQAVSIGIQNQTAKNLELQTTKIIQIAQKLEKIICESQYNQENKIEKAKTAREIYDNL ITQLNTDLNKNQKEYEKNDKFNHTQTSTKNFSSAKYKDKPINLTRLLSLTKTNETPKSQA YLNGLSLQIVNKNN >CAK63475 pep:novel supercontig:GCA_000165425.1:CT868026:125435:128133:1 gene:GSPATT00033328001 transcript:CAK63475 MIEIGSPSCNTARNFLMSNVEIVHPEQPQDISSLRESENEFENPSHYKRQIKKKCLYICI LLQEHTRTKQSFFSLIKIQQLVNKFKKNLRKNANLCTMYPKSPYVDYLLLSAYKGNYAAQ QNSMVIRLKLKIKFIWEVLLYLINLLLILLLPFHFEASQDEIVKLNFCVFGLEMGIKFVQ SFYSEGRLIRGRYEIIKHYLKTSFIVDFIRIAILLSTILEIPNRVEVEIIKDIILYSICL FKLHLKFICTNYYIVKFGELIFLVLFVCHFFTQQLFYWEETDYIYRFGMSLDIFLSNSLI QFRQEELYQLLNIFFEIVSLIVKIYILQQIMSYCLINQEIMKKKKDLFDLHGHLKYLNVS NLISNRVISYYKTIMKQDEKIKTQDFHLFFNEKLKPNLAKELKLQIQGNFLKNFKVFNKF TVQTKKNLICNMEEVDFSPNQKIYCKEEQDDQSIFFITQGKVMIMYGNSELQIYHENSSF GEISFFTGLPRGFTAISLGYSRLYKIQRLAYLNTVSSINQDLVNNLINLEETAQMIKDQI VFQEKFNIIGRKCMCCQDQNHLIQNCPFLHYKPNKDIILSKFIFPVKMRRNKYERRRLRY QNVLINQFFNHMRAQEYQENNDLINIEDQSENYLVDPQSSSFSGEFKMKNSSLSPDPIIA ISMSHKKATLDSEEHFRKNKQAFIHPIPEYSDEIQSLASSDIQAPQFMDNKSEEPQIRII QKQVKPKGDLLKSQGSQLSRSISQQIFQQRSRSQSQNVLDISQNQNNQINKKQILRSLSQ LSYDDKTFHKQKSKRTSTSQQNVQSLIQLFQNDLNPILMDFIQIDLDRGCNFRTYLPQNN MKVVLRQNKYVTAQKRSKYTLNYEISKKLKKLTRL >CAK63476 pep:novel supercontig:GCA_000165425.1:CT868026:128184:129412:1 gene:GSPATT00033329001 transcript:CAK63476 MYNNHFELVGDDLFVNFLMDQPHNNFPHVNSNSNIHYPFWDNEGQPQQELLLANQLPPQN LSKKQQKKKKMDETMFNNKNNLKNTYINKITNLIQETKSTVTPKYERKASIYTEDSAQAK LIRNRECARNSRKRKKIYIELLETRVNTLNEELEKCKRIIKGQTSCQQQLGSNHLLQNFF LGRQQLFEKLENALKNQTDNNEINLLLDSMRFRVGGGGKERVNASNYFFQQIMEICFPIH VRYMLWAATSENQEPTWLTNLSKEINLTEAQNKSLKKQYKRIQTDKDKLEQYIKYLSLDQ LNNSKLLRIICIRKPISQRISQMNLGAFYLRLKSVLFSLVLKKYLTLISLQQNKFQKELS ISNLWKIFDEEFETEVKEEDSLQQDSQQKKVHL >CAK63477 pep:novel supercontig:GCA_000165425.1:CT868026:129455:129960:1 gene:GSPATT00033330001 transcript:CAK63477 MSTVLPILIPKSKNILLLKDDIGKPKPSTRNLPGNNFAYGKQSKRDPIQIQFANLECENQ INSSLRRNHRIQRSDITLMTHGIKYKPQTPFDKILKQQYASEAKELLDKIYQQRINSEPK KQYINNRSFSLRQQYNTKKHQKIIAKPQKNQEIKFKICL >CAK63478 pep:novel supercontig:GCA_000165425.1:CT868026:131784:132394:1 gene:GSPATT00033331001 transcript:CAK63478 MSKEVSELVITRLKAERRAWRTDHPHGFVAKPITKEDGTVDLLKWVCEIPGPQGCPWEEG TYTLHMDFSHDYPMKPPKCQFKPVLPHPNVYPSGTVCLSILNEEEDWKSSITVKQILLGI QKLLKDEPNIESPAQYEPCNQYKNNRQEYLKKAREFAASLKKQPQ >CAK63479 pep:novel supercontig:GCA_000165425.1:CT868026:132505:132909:1 gene:GSPATT00033332001 transcript:CAK63479 MGCIIDVNKTDLSHELDQVSQEIEQPLNTINSSIEKHAMEFTLKSHPDDSALFLQKQAST YPLASNYSSNSNTNSIKSCLKSSSRRQLFENEYRRKSVHFDQIIKVVTRNKVFYLRRIRR SKTKKTKIDLDEIF >CAK63480 pep:novel supercontig:GCA_000165425.1:CT868026:133082:134154:1 gene:GSPATT00033333001 transcript:CAK63480 MLTILHFNCQISSVQHIFTLYDESIEIKGPQFLMEIDINYKMKIRWDITDKRILSLQIKD LLIQANQDDLLQLKQQLDGRVIYLGIQNIYETMELVSAKENSKVCQLEDRLTKTCVCCKA LRKDAYDIEQLHQSIRILYKLQQYSYFPRIYEIYESKTHLYIVQELMFQHLSVDLMHEEI QIIIYELLKIVKILIENKIYYQKIKLSNLMLDKKGNLRLVGFCPAQQINEIDVENYLDNV GNIMMNLYGVNDNFNSLPKIADNGNEFIVGLMNPNRDYRFSFEDAMQHEYIQNIFCEPNL IIKKSPNPKLITDVAESSSSYTKKSTSYQKQY >CAK63481 pep:novel supercontig:GCA_000165425.1:CT868026:134221:135263:1 gene:GSPATT00033334001 transcript:CAK63481 MENKLKLQIMDYNLYLEGIFNKQPSFVICDQHNLKVKTKNEYFKFEISLNLHLQWIYVEG QINEVRFENHSLKGPIENLEKLKNCLDCRVIYLGAAQLYEQYLIVKEDEFKKTMVVKSKT KGGLYFCKAYKKEIRENEHKFLKELKILRMLKENKNVVRVLEVFESKHNYYMIMEYMIRN LEQDYTHEENQIIIKEILNILEDLNQKSIIHGQIRPKNFMFNKDNVMKLIGFSKTKIIQE EVQGEDIYDLHRILLHLNGLSQNVLDYENGYYPHIPSHGTNFLKSLLNPSKYRINIKQAL THPFLNCNENDHQIARKEMNLKFKHSFNSFQ >CAK63482 pep:novel supercontig:GCA_000165425.1:CT868026:135291:135611:1 gene:GSPATT00033335001 transcript:CAK63482 MYKRITANLRSKEEDEDFFEWNQMYVQQEMESDVECYSCSLCDRQFGKNEYELNAHLNSH DHKKLEKEFRKRYNDMIIEYRLSTYSQKMGRKLKKLQYFKCIYEYK >CAK63483 pep:novel supercontig:GCA_000165425.1:CT868026:135623:137221:1 gene:GSPATT00033336001 transcript:CAK63483 MKQLSKSIRYAYWNTIKDINPLFVEAQYAVRGQVPSMAATIQEEINAGKKYPFDSITELN IGNPQTFGQKPISFNRSVLSAMLDPSILKYQSGDVQRRVTFYNDKIGFQVGAYSQSPGYP IIREAVANFIQKRDATKNRPSINDIILTDGASSGITLMFNLLLKDKNDGVMIPIPQYPLY SAVIAQCGAQQIPYYLVEEKNWSAEQQQLEEQYSKAKRNGINPRILVCINPGNPTGQVFD KQSIVEMIKFAAEKKITIFADEVYQENIYDPKKKFISFRKVANELNLDVEIYSFHSISKG ITGECGLRGGYMEITSKVDSEVHFQIHKSKTIMLCSNTVGQLMTGLMVTPPTVEEGCSES TVQQYNEECKALFTSLQRRAGIVTQYLNQTKGVSCQPIEGAMYAFPRIFLSDKYVEHAKK LGYQPDVLYCLDLLKETGLVVVPGSGFLQYPGTYHFRMTILILPEEKLLAKMKVFQQWHE NYMAKFQ >CAK63484 pep:novel supercontig:GCA_000165425.1:CT868026:137263:141776:-1 gene:GSPATT00033337001 transcript:CAK63484 MILTQIITLIIYKYQYFIANIANIDSQHQSQEEQILKYIVYPIYLINVSLLIQSICLIQI PIIDQIINNVLLQSSPYVVLNINFIILFILIAFILTDNMNQNCITILRLILKNYDKICLI PFQIITLNYMSSQIEQTIVQVINTIIIYLFSLNQNYYFQPINFLPNNANRVFTKFQVIKP LVIQQILIFQQYFHINLYLQYFLLFHWLCAFIEMMMEVLQYHNGFIIYESNIHSFSISLT SIGIYQSYQPQNKTLLYSFLFIFSFTLNIAILIQNRIVSIMKSQANTMTFCQLYHFTYVQ HIHDPYELLQFKIYQQIHSQNCNELKCSCKKNKTITSDVDLQKIISICFRNLIANLKNEM LIMYYISYLHEVTHNLIQAYQLILAHKFSIQNYYYQTILQMLETTIQIQINKQLSQLDNR NNFIEEIKLLKYDGIIIQTELQKIVEQKILLNVGLKEGRIINFQQLYYKLLSLRQMTANV IKLSKNMKIYQNNKTNNIFSLRISLLLSYLTQNLREQYEIYCKINELIQSEIYQEEDFFT NISFSSNNGIYLTAAFKENGQVTQMLTTRQKKFLDLDDNFELTHISQLLHLSLGLVIGKS KFIGKQIDTTISLPNKNLMQIKLILQPYFPSQKLNYFQLIAFICKNEIGNHAIIHLDLSF NIMSVNKTFQRFLDQYQLTVNKINIFKMIPTLKESLIKFHSQTNESKSIYVQNQIIQVSN CYSNNTNSANYNIQKSLNQNQQSKLINSLTSQTEQSTINDDTKQIDYEISMCVIHYEFEE EKEYYIYYKLIIDLAQIHQDHKLDQTHCVSQDKKERREHMQQMSKSKSQGKHDLLRSLHS QTSTTSAIKQGDQLLQQISSSSLPSCIINFLLVSTLNVIISIASMIIIYLLLISKRSQQD VCFDRLFYGTEFLDYYGLILKGSRHTVFYRDFHKVVKDEQKIELMQDSASVVITDKITLS LNLYQKNCQQLINLYENYIKYLDSYQEQKSISFKYVDYFITKTKVQEVETQAKYYEMMNQ LFYLAIKTFAGDPSNYLTGSEDTFPQINTRSILFLNFNDVCDLTAQFIESCLQNSKEYNN QFDNEVQVIFITIYMILFILFVLLLCLLMSIVKKLRQILQVYLRLDNRDLDSDIEILQNI IENIKIEEFWFNTKFFNQFYEKSQETSFPIEPQLKNTNLKLEDKFNIGYYQILQILVFVF IFLFLLIFQLQYISYSNEINPIVNQALSAVQIRLNFIELINNWDVYNHKMFYQTFYNNEK ENNIMNQNIKSDYSIFSLIEINETKLNNSISHAQQEPFTNVTENLNDYQKESLLIKDICL VFDCSMKNDIFENRLLSDHLENYFSVGLIQLYQQTISVVNNLGLINNTHQNNYFLDQLYS KEYFVYIFWGLDATNYQIKLFSDFFISLAKEKLNLETRFILYLLIGLGIMIQLLIVVLSC GLGYLVINNYNNLKYCLRFIPLKTLIQKNIIKQIKLII >CAK63485 pep:novel supercontig:GCA_000165425.1:CT868026:142445:142603:-1 gene:GSPATT00033338001 transcript:CAK63485 MNTNQEMHLNLINSGLSKEKKSSQQIKMQDKMTFFKRQRCPLTGFPRQSQGW >CAK63486 pep:novel supercontig:GCA_000165425.1:CT868026:143599:144003:-1 gene:GSPATT00033339001 transcript:CAK63486 MNQTNYLKAIQITEEIESNQTQAIQHYEQGMIQLMYRKFDSSQDITNPSAFQIQKAQFGV QQNQDGQFSVVRFPSDSSFLIDNLDDEDDVEDEQEQQRNSEYIYTPKEFEPDQEFMNCLK RFLQVGTILNKIKK >CAK63487 pep:novel supercontig:GCA_000165425.1:CT868026:144414:144829:-1 gene:GSPATT00033340001 transcript:CAK63487 MQVMDLFELNNHFYNDDPDVPFWETCNNKENSSKFWMKKKEQVAIPQLRGHQNTEMTQLS TDNWIFKRYIQEEYTTIIKVEVNSQTIDYLCLKQAIISSDQTKKRSAIQSGRISFKLYAI VAEKRAVMN >CAK63488 pep:novel supercontig:GCA_000165425.1:CT868026:145323:145973:1 gene:GSPATT00033341001 transcript:CAK63488 MKSDIGEPISRSPNQINHLVLLKKDQDGFRNDEFYQTTEACEYDLEVQQKGEVFILCQQS PHNSPLLLQNVCTDVQIFLILDVPIQFCEQIWNLISRIYDSPVENGQIGNHSQKLVTDKI NTILSDYYDGKQLHLFFLNLLELLKRTISNTLKIDLCINFLQEDQHLQYLQKIYDHYQEY LKCKEQNDGFIWQNFYIELEQTILQSLESKLNKNSY >CAK63489 pep:novel supercontig:GCA_000165425.1:CT868026:146880:148435:1 gene:GSPATT00033342001 transcript:CAK63489 MFVLFLIYQCFAQFNILTTIGGKNISIYENQVQNHQFSVQEQYQCHPSELFEEDYKQDSR IFYIQNLHSNALNLSIIPISLKPRDMQLINVTNQVEQQEITIEGSSISEYKIFYECYQDW AYVELHVRYGKTILNFRYIKICEESLYYHDYPDLILGVMACILTYIGAIYGQKRFKLKQE QAQQQIDELESSDSFYKARQIYSPQYSQPEQVMKIGDFQTTSGSAQIIAAKGKETNFKLK IALYLFFVIGYLTALNLLEQLSLLEIPIYGIYFICVQIAMTDLLIFIVSSSISPYIKLPV YGRVKLVNLFTYLLQFVYTFFLIMTDNWVLRNFSSVCLLFQLIRFMKFYNLQQLLSVYCF IFGMSFFIQYQFQIEFPKLYFPNTFFLENPKLTCSSTNLLQLMFPCSLLTLTRYFSKQTR SHTILFKLGYLSYVIGLCLSLKSNETELLQTLIDNLLPAIMIFITINVYSVAKREMKQMY SGIEPGLHNRAIQQQYSYELTIDKYNKNQ >CAK63490 pep:novel supercontig:GCA_000165425.1:CT868026:148442:150382:-1 gene:GSPATT00033343001 transcript:CAK63490 MDHSNKKEVHLNSTGLEQFPIIVHTRYLNLSNNNIKMIPTRIVELQQLEILILNHNYISA FPKVQMPRLHTLDIANNQLMSTDFSSLNLVTLDVQQNPFEIYQHQPSIQQINLSWFLLIE MDSKQQNLQKSTIGQLLRNHREFNFNHFVNVFSSFTNTVLIKAIQYDERSVIPSICVYHP EYLMRMYHPQPVILAGKLNIKIFKILLDHIDPCSKLVRFVLLWACSKLEVEIVEYMIEKQ IFGVWEEKMLVISQNVGTPMKGVNGDTPLHFVLRKHCRNQSEYDNQQKLIHILMRYQNPN QKNSQKVAPIHEVLQVPSIRSFQSCSEEYFDYNKKMGKQKDSLMHQAASLGYVQALQYLS GKVDIFSVNRQNKTPKQKCSPSVLILKYARKLELQDLIANLILVQQHLSVSVRNFHADFH IQINGEDDLYQLFFKDMILSDKLIVVILLNMLQFKQLYNLKFTSQRVIKPIQYINNCQHN FKPDIKQFKSLLSDQLAANKRTIQNPIKQQEVIIKNSIKSASICLDQIEDTSDELYDIMV IYQMIGINGDLSNRTVLLDYEDFQQKQFRRTCFQQKINKNYFTGRQQSPLPVRYNYDNYI TYRKIKSNNYAKDSREYSHSANQIERRRIL >CAK63491 pep:novel supercontig:GCA_000165425.1:CT868026:150732:154180:-1 gene:GSPATT00033344001 transcript:CAK63491 MEIQQGDQLRVAAKHHIESFNYLYTHGLVEICRHLSPIEVCVPGNRDIRLPFKNMKIWIE ELQIGMPTQQGMLGDNRVLPTQCRQMKKSYTAPMLATICREIDDNPKETVRLSLGEIPIL VKSQKCHLNGLSAEELVQQQEDCNEFGGYFIINGNEKIIRMLIIQKRNYPIAFKRPGYKN KGFNFSPYAVQMKCVRSDQYARTITLHYLMDGNVYLRIILKKKELQMPVIILLRALTDMN DYQIYQQIVRSQADKSDISDRVEVMIADAKSRGINTQKDALEYIGRLLRVELNIFNPETT DLQVGRIFLREHICVHLDNDQDKASILLLCIEKLYALFIDEINPDNLDSLVNQEVLLSGH LYTAYLREKLEDLLVGVREKILKDATKEQSKLKDLAYFKRCFDLQTTVGEKLENFLATGN LRSQTGLDLMEASGFTIIADKLNNMRFLSHFRSVHRGSYFAQMKTTTVRKLLPDVWGFVC PVHTPDGGPCGLLNHITMSCVPLTHPDEQDIEELLFPLGVQSQRLIYSKNDLPVVLNGKL IGYLNSSLLPTFEHTIRVYKSNGTIKETTEVACLPRQENKSPLFPGIYINTTVARLMRPV INLKLNTIEWISPLEQINMSIACTQADIRTDTQYQEIDPAYILSILASNVPFLNYNQSPR NMYQCQMAKQTMGSPCHNYPYRFDNKMYRILFPQKPVVRCFGYDDYQFADYASGTNAIVA VISYTGYDMEDAMILCKSSYERGFGHGIIYKSSEYSLNDDKEVKYKLLSQIPVQLYNQIK SQIPVNLLPYGLPEQSQVVTKGTAVLALYDSQLQQVKVHHSKDSETARIEQVAVVNKSEN DVSVMIKYRINRNPIIGDKFSSRHGQKGVMSLLWPQIDMPFSESGMVPDIIINPHAFPSR MTIGMLIESMAAKANVLQGEMFETHPFQNYENDNVVDYFGKQLIKHGYNYHGNETLYSGI YGTPLKVDIYFGVVYYQRLRHMVSDKSQARSMGPVDVLTQQPIKGRKKGGGIRLGEMERD ALIAHGVAYCINDRLLKCSDYSETYICDKCGNMLATYQFVQLLSLENIQSVQSSHPYCVR CKQAKCSKVAIPFVLRYLVNELAAMNVKLEFSVAR >CAK63492 pep:novel supercontig:GCA_000165425.1:CT868026:154397:155547:-1 gene:GSPATT00033345001 transcript:CAK63492 MYNTQPQFQNIVQSQRVVNDNLMDTVSEIRWNKDVFVTSSWDGYIRFYQVQLQPGFRANI QVDFKTAIDCQEPVLSVSWKQDMSMVFAALADNTIRAYDVKTQSMAIVGIHDDCPARQVF WNEDMKLIISLGLDKKLKFWNLQASGGGKPQPAFSLDLQHVPTAGEQSGNEQFFAYADVD NKFRWLHWSALRGNQSSVASRSFFNMEDNYLVGQISCVAVNDNASQLAYATVDGRALVKS INSRGDLASKIQFKCYKVDEEVKVSQFRTEKVSRMYMCNSFQFNCRSSNWAGTLGSDGTL AFWDTGKKQKILGVKLDGPAIAGQVSQDGQIFAYATGYDWAQGLDKVGEFTNRVGAAYIE EKFLPSH >CAK63493 pep:novel supercontig:GCA_000165425.1:CT868026:156672:157962:1 gene:GSPATT00033346001 transcript:CAK63493 MKQIPCLKHEGSYLLFIKSDIQKSEYVCEECCMDMEKQNIKVELSKLIHIKNALKSPEFL LSKLNSSAYLSGFFAELDRYNEKTMTNILNGFKVKVLNIQSALQEVYKELESHTKCFLEM KYKIREELKKIINFDQFIQFTVNLEQLGDSINPKAIEQNEKILHQYIQELTKNECRELNK TLVDMLGKILRQPKDLKDEQYPQYLKFEEEFKTFNTSQIEFAKQINLGNFNSNFGVLSDG KLLSQHQIQKIMNIIETKLKKKIIGSFPIYRSIRDGLNQKYFWNKVNNQSNLLMIFKSKS GYIFGGFSPCQWQQNLNNYVQDNTMSSFIFSQTHDQIYYLKDANKAQAIYCHQSNGPIFG SNDMQLGADFQSGQSNLGTSYQCDQYQTAAKNTQLFGQTTPNLAECEIYQIILS >CAK63494 pep:novel supercontig:GCA_000165425.1:CT868026:160083:164905:-1 gene:GSPATT00033347001 transcript:CAK63494 MEMSKIRKTMMKVKKRTIQALLYISEDGFDLAKSPFFNCCKIVIYFLQLEGYVFSQFESK HLNLSENIYLSNYTQLSPLTFLLLQIGNDILTSFFFYFIFCLHVIVYLTLIKMSLDSDIA KPNYIKKVLNLFFINYQWIFVTPFQEISVGVMVCGKTAFIKDNQFSPNCTSEKNKLFYVF GVLGTLFVSLSGFSISYFFRNYQFYEKTFSRSFSYTNVFRIMLHQLVIILYYINFPGIDF AKHAFQQLLGMSLIYDILVNQPFGYSFEANFYSSATFTHQYFLILTSVWIFSPGLEDYFM FYTFLLIAPLLITLSNQFQKRDQIKICNTFQLAIYNNRADKYLEEIYRTAEESDTSIESK ILFIQILNHHIQLCTDNQCSCMLNVQNFFQNNSVDYKQLNLWTTYVFEKCLQLALLNRDY EFYEHLALKYVTFLAKYKNNPINAYTILQQIMNNQQSQNLQIKGRQVQSSSFYFLNIQFI LQKRNRAQFQNQNVHHSEQILQLLKGHSIIENIGTLLQQEIRSLALIKQQFWEDYYNNKI QTFQQLLEQLNKMQNQIHTVCTLVKMLRSKNVKKKQLVFDCVSILNLELLFKICSTNNIL EIYEIQHQIQQILFLEQQELESFLNFHFQNQQSIGLVSNITLKRRGELWKPNQALLEQYF NVNFQISHLNQLMPPFIADIHDGIIENFIRKGYSYKMEQTSLIFSIYGEGLIQPLQFTLG YFFPKFSSDFEFYLMAFFKKIESNQRAGSTEKSNIGYIYFDINLNVLGVNEVVYTKVFNQ QSLDLNKLELCYIIPSVIDSLIAQYHFMIERQVSELVDNDIIMQKQQESFYVPRDLRKIY QINQNCSLKNRLSQLEDIQDDLQKANPFGDLKQYQISYQLFSKIIHYQKGNVLTSKDFFF LKLQFLEEQDNKNDFKDQKDSKLHNQPQNILNTPNGSSITSQSIENAILELGSQRSKSSN QSLLQQSNQFHNQLLNNKNKNITLLFILINLIFAIFFLATFIIISFIILSKFSIGNYCIS TQTHVLREISSLGSIVNALNNEQISTTIPLSKVALQFDQQNIVISSEDNLNFQQQLISYL TNQITTVIQERNVQMETKEDLQLVYVNEMTASRNVQSLQNVLILRQIILTSIQNNDIRRN IKDLSTIIINYPKHIQYLNENIINCFIEFDNQLNSSTNTSNQVIISLYVLLFIIFVLQLL VINKLFRQRKLILKLFTRTDYKEALLESEKFSVIQNTLRNLENIWLSKNISVLLHSINQK SLKDDDDLGYQQKQSDRGKTIKSEKIDNFDNVKFKISISHQLSNLLSLLIIIGITVFTFV YIISFHVQIVNSSQNLDQYSFFSQILQQFYYDYLNSYSNCQYQMMFQMYKYQKDSQLLQQ IYEQNQSQIDNLSKDLDHLNTNEFNTILLASNQTDIQNILQMSDENLELFFQGYLCQVDE SICYQENRDLDFQSELLTYYNKSEHQLLLQQGQVFYQNSQLYNENDPDVIMDKIEKIMTS SDYFLNNIWGFDIINQRISNSIIYLTERMTNNVQQSGNSMFLFALILGVFYFFITLIALL LYGKYSKKQNQIIRHYLIQVPFATILKKNIYQQLKFID >CAK63495 pep:novel supercontig:GCA_000165425.1:CT868026:165010:167469:-1 gene:GSPATT00033348001 transcript:CAK63495 MSVIFEDIENLHYLQFQFSQALRIGKFIYYIENSLLRKVSFIDNNCSDSVIVQAEVSMIC TDGKLIYSLLFQKMETSIKSNSHLVCSKVEIIISGSRPLQQSINLTEVSYGKTNKQIYSH CKHISSYQDRLTLITIGGKIFNVVADKTVQIPQLNGLKLDTYFKKSLLFSFGGVAITESG EFYLFNQKMIRIKIKDIKDIFCTEKYIFAIQNNHTILQYSIEEIQSHQFFTNQQIFQKMH YCNDVEFKCKQRQFQNISILQSEYSFCSFALIKENKILDTSLNLTDKTNQVHNKSSSILV MKQSLFDDWDCKKKILDSRRSTTIDKQPYTEPSNEGLTLTLAQKLATIRESLNFEKPAPV SVSVDKDKKDRNRQQSLIQLHQIEVEDLPQNPTPIKLDNLLNKIHVNKPEKPIDQLLLIQ NKQELQDSLPTFQNDNQFTNNDTLNNKRKSKQNNRTSRSNKRFDSMSAQSGIDFQNNSGF IQQQDSFVDILDDDSSVKKDLPLQLQPFDKSNKYKKNTLDTIQSYDNEQDPKTTNRLSNK RDQVLQSSNQSPNKRTARDPLLTERSSAKRNIEQAKQDLETLVNMITKQKENTETSKSIA IVPAKKKSSIRMTSPPIQVGSSLTRPESKITPLKNRRASLISESSQQESFKMPQRISKQN ISSKLNTQQSFNSSSQHSQFQFDSQNFRQLNTLQDQESQLDLKSTKTLFDQSLTQQFKKL DQAKGLKKVQCSSQVNILRNNSLSKKSSIIVNTQQKQQCKLNLRKQEAILRKLFFRLEVR LKLQQLEFVNNLKCLL >CAK63496 pep:novel supercontig:GCA_000165425.1:CT868026:168408:170095:1 gene:GSPATT00033349001 transcript:CAK63496 MSTYNRYYCTSCYSVWDENQCVPKILDCGHSFCLKCLKRLLQNDQIICPEDMKCIKINSL NQLRTNEELLHPQPQRSERILPSTHSSQQDISVTKSETVTQSTTRLFINVPDNSVSIRKP QQVYKKEQIPWTREQLQQCHQQLQEYHQQFELNQMIIQQKRTLIEQIQQKFSDFQIKLKN IESQLLYELNIMTFDKSISPQTKSQLLKSNQPKKVRIEQLLLLSNEKLNAQVDLVNTLTQ QTEQLITSLSKQETLMVHDLINKEMQIQFDLTVYDYLPQFCTIKKYENNNNRNQLQNKSQ TRVKTLNDSNSNNCTNKSNQSLSFTSSSPKPKVRDNSSSKPFQYSKNAHHIEESPSQRQN PRELTFGPSVQLRRSHDSRNASPNNKLYEATGIDRLEELLFKGLPIEKLDLTNQNLNDQD VQELIKLVQQSTSQIEILKLGKNRISDIGFDKLLTLLLKRSDIHTLNLSNNDCTVKSIDM IERRIQKLYGKTIYLSNCKLNNLTQLKKKQTLFQNKGVTLFL >CAK63497 pep:novel supercontig:GCA_000165425.1:CT868026:170671:171546:-1 gene:GSPATT00033350001 transcript:CAK63497 MFTRLQMINPGCDTIFNDQETNENLNFLTQPIKKIFLSNELTIPQIVIKSNFQQELICFM LELHKPNKSHSIIQNRNHSRSFNHNQQFRISLNYPQANLNLSPSIKNKGMYYYSIHLQPH TQYYNLNLNIIFEILQPNLFYLNQEHKPCNCRKSKCLKLYCDCFAVGKLCSSKCNCCGCF NNSSNLLERNSFIQKMIERNPQAFNQKVQEVESKMTHAKGCNCRKSGCQKKYCECYQMGI ECSDNCKCDGCLNCSSNTLTKQQDTSQYSLNRKLNF >CAK63498 pep:novel supercontig:GCA_000165425.1:CT868026:171614:173173:-1 gene:GSPATT00033351001 transcript:CAK63498 MNAQKFYYGEDIIEKSKEYQFVVQTNNSIGPQSEKRTIIKQITKNSSQPNLRSQMSGLKN ITEYSSDQNGTEKLHKRRTFLIQKESQQQDSSGGLYSSQIKVIKVPFKKIHASCENIQLK NFKTHSHMNSFDHDQQFLQALFSKEPKKDERVVRSKLTRSQLLVHAETLNSEVSLEKGLK YTTQSSFRRESQKELKDKKQAESKQYSFKLVLAFDYMIQIFRKENKLENLLSNVQQFVGF MNLTYNLTNLPLNIDGLEQMFKTPKYLLKTLKPFIPQSLEKLLFEDIECQKFRNLTFPFQ LFYILFNQSDLVDIVTNSAVKFVIKQPKECQELYHQIEKILSNQNFHQSDFYLIQQLFEK HYSLNYESLLSEAKSYYKIQVEYEYVKQLYCFCIKVQEALSQKILHEGKKKQIYDKGFDF KAALKNIKCKEINHDNLFMQFMPQKHQINSQLDNFEQKFGRINTISNIQREHAKLADMKH NQLYEKILRLQKQMD >CAK63499 pep:novel supercontig:GCA_000165425.1:CT868026:173204:175157:-1 gene:GSPATT00033352001 transcript:CAK63499 MITIHGLPFDVNEEILFETFQIYGKILEITINKEYYESQSSIIEYADPISAQQIVLEQKE FKINNSILKISLPDDQTQDEFKTTYLIVNNIPSDITQEQLSIFFEQFGPVFNCKIKKQNH LNHKPQFSGFVEYKHPQTTIDLMEIYLRQPLKVNGKILIIQPFKDKTQPRASTQMIIQGF CRKLNQTELNIVGIQRLIELTWELLIVNYFNSRKLQMKNCFVKMKNDQPYIILVLPTLSD TIKFMKLADQIKGHPCFQHDFDQIPKIFHNFSIDSSLYQTFQQFYKQENGSNEQFKQRAS QGYFNGNFTQFSCRYHFNSQDYYDDRILVISNLKNSVTIEQMREYLHQFGLLKSLLFRND SDQKLKDKNKVCFALALTSYDAEVILQEHENRTQSCQLSRFNISDSPIKIQKLHYKHNEY EKILTELYEEVFRKKEVQTVVTKNTELKSKEYFKSFDQVIDELQNFQQLSLLDQKYIVQQ LIYQIVANQSHLNLVDQKRISCLIINKHQKVEEIFDLYKNPVKFTLEIEEAENIIILDLH QEVIKNYQTVDAIMNNFETFYSLKDDQKINIIKFLMKQHIIKEQQVGENVASKITKEIMK KFNYKIQDLICLLEDNKEFLNVLNKID >CAK63500 pep:novel supercontig:GCA_000165425.1:CT868026:175742:176703:1 gene:GSPATT00033353001 transcript:CAK63500 MNEAQFYAPLIQQNYEMKKKCLREIINPKQLIVCFEQNQKKIIPLRSVKYHLKIYNGLQI VQLEQIFLTDAFNQPLDLEYVFSIHENAAVTKMKVELGDQIVYGIVKEKEEAKQEFEEGI KQGKTMAYSEEDEQFPQIKRLKIGQLAPNKQLRVIFEYIQPLEVYLNKFWKIDVSPIMDE NYQKNQSDTQIWFYKIVSQYKMYQQDIEIEFDTGSPITFWKSPTHQLHSTNAKDIEGIKQ ENGKRLVLKLDDDNPENFKPLKKFTLLFSSNDINLPRAILSHTNNDALQVQKYCATLTFH SAIQLDDIR >CAK63501 pep:novel supercontig:GCA_000165425.1:CT868026:176798:178501:1 gene:GSPATT00033354001 transcript:CAK63501 MDGSRIQKAKQSLILFLKSLPQNSLFNIVSFGTMYISLWDESRKYTQNNLKEAILHVNSM KADMEGTNIYKPLQNKIYNSSYGRSKDTTLNVFLLTDGEDYADPIIELVKNNNRAETRIY TLGIGEGCSIYLIKRVAEVGNGKFHIVGDNEDINEKVIDLLEDSLTPYLQNFKLETNVKE VYSIIPNPESIVSLKKNQELTIQILFSNEQELENIEFSMHCYNPQDKQPIKYSVNLNLNQ SQDNEYFHKLAAHKFITYYDNAIKYGEQQVNFIKLNKQFLKDEDIVSLSIENQILSNKTA FVCEVCVLEDQFKQQDRSKVKIIKIHPVVNRYTEETQQQRMSLNNPPLYCKKLPFQQQKL KSIQQFNQLKLPFLKQFVRKDQKQTPQLGLMGKNYQKQEPMLECFGNQMQTPYQTSAQRA NISFPIELLGIQISQSINMSQSSLPQIALPKQNQKSLENQSNQQLLLISQDKNNDPTYEN LMNFAQVDGRFKINKDIQMKVNYLNLSNQQGLKADVWNTLLILLYLENFCRQFKKSWQLI YLKGVQYLLQNGINYKQKKQELGYPYT >CAK63502 pep:novel supercontig:GCA_000165425.1:CT868026:179323:180184:1 gene:GSPATT00033355001 transcript:CAK63502 MGNLCASVRNSELTVEDASEILSIKIDENEVIILQGDIRETQNKSILNACFDPYNNLILK QCRVKPEQLKLGVPSLIQLPRGIVKSEFMINLKINFDEFSKQDLIDAFEESFEQLYFKEI DSISYYDQCEQYSKEIQIINCECFIEALINELHNNRRSRKYCIYHPNLNICLMYKNEFKS QYSSYCRTKYSMIEPQNFIYGDVLQTIHEEFTEKNSQLALSITKLFKEYPQTYEKKESLE QFVPKMTALSQN >CAK63503 pep:novel supercontig:GCA_000165425.1:CT868026:180273:182710:-1 gene:GSPATT00033356001 transcript:CAK63503 MNNEKNNKKRDKTSNDVKSLLTQPSMLFNQKAEENIVHVIQQLQPLRDENIELKNTILAT QTYLEQQRENLSQIIRVTESRELVKRIADFPTLISILQEDMKSMQETLRKCISNSNQQSR NTNIGTINQPERNSHYEQIKIEVSKQSIELQNTVTRQEEMISQKDQTIFQLNQLIEDENK KVKKNQNTHFELVDSYNEKLEELTNQINEEIDKQAQMKIKHIQMMQKQQEEHHTQVAIKQ DQYEFALGELRNTYEKRMDKLIKDSEFRISEANKASIRANKELELLRNKYEQEIQKNEQQ IILIKNQQIQLQQQQQQTHQLRVEMQQQSLYLHQTQAMIANLKKNNNIVLNEEQQLSEQK IQQSLENFYLNNPTNHFSTQTQLQQDFEHQQLQTQTSLWPDDISQLSPNTFKFNLKYADQ QTTQISPMSNIKQNPDKVPILELDEILESKKQASLIKKLKKKRNQRSKHREDQLYYYQKK INDVYTPKEVNTGPSVKQSEETPNSKFASVNFTQAYATHHANLRRRSKIQISFSNNQVQQ QEFNQDIQTQDISCQTDEFLLEYLIINENQRTLNSHQQESQYFSPNNKNQIAATILDNSS RNQHQFSLQILQSDQDEFQIQQKEEITILKEQIASLQQQNHIQAKNITDLQEKASEMQER MKENVKQMKQQIQKSSQENETLLKQLEKPKDAVKKKIELQKHLENQISHSENLQFQLIKA TEIIKYFNNELENYKQMYNIFGQGTKSERLQQKKLPSIRQPSPKRKGGECTPRRQQSMYE EAQRLIDNLYDKKQYKRN >CAK63504 pep:novel supercontig:GCA_000165425.1:CT868026:182716:184718:1 gene:GSPATT00033357001 transcript:CAK63504 MFTKILYKFSSTPLLTISLIGRSSVGKSSLFNKLQTGENVAITSNQKNITRDRKEAISEI FPLPIRFVDTAGIENVALKKILNPLQQKMLMQTINAIEYSDIALFVVDAKAGLTNIDHQI ARWLKKTLQLTNPDPKLVNELKELEIRTNLKKAILVANKCENDSIFETDIDAQLKRLGLG NPIYVSAVDGSGFQDLLTKISENIPQNAYEEHSERKQKRKEKYKEIKDKCRQEMEEVIKQ QEIEFDMKIWEREFDQANPNPEDNSDLDDENIANPIYTKSPIQEKQGVSKENLQLRNPIM LSIMGRQNVGKSSLVNTLLGEDRVIADPTPGTTRDPISTFWVYKGQKIQLVDTAGIEPKP KIQTDLDLQIIAKTRSTLRFSNVVVLLIDSLGAFREVDLELAQEIVKQGRGLIIAVNKWD MVDNDYKAKIVKYLKGQLERNLGEVPNCKLITISAEKKINIDLLMDQVIQVYDKWNTRVS TGLLNDWLNKFKKIQSLPSEDGDKLKIRFIAQIKVRPPTFALFINQGSLFKTSYLKFLRK KLSEEFDISGVPIRLVLRDIAYAKEKKTLEKDNEMTVADLLLKRRRIARLAKQKLEKLKQ KSQANRQ >CAK63505 pep:novel supercontig:GCA_000165425.1:CT868026:184815:186406:-1 gene:GSPATT00033358001 transcript:CAK63505 MRQKSRTVHEGVQNTLQSVDYNECIYQCILTKKKFIGKGPRYIYLFKNHICIGKSPKMQI PERQLVINTDTRISWQYSKSMLKSITFQVGSHSYEYFGSNEQLREIKQKFALYAFQMKIQ DEYQAESVLGKGSYATVLELTNLYNHQQYAAKCIDQQKINEKKNGYRQLMQEVETMRILS EHKHQNILQLHELYIGNQNYYLVMELAKGGSLLSLMKKRSTLFSRSDIRIIMRQLLEGLE FIHSFNIMHRDLKPENILFMSKELESLKIADFGLAQSCDQHPYTYPKCGTPGFVAPEILE QDSEFARYSTVCDIFSAGVILYVLLVGEPLFEKKDRREQLELNRRCEINFQRFSQDQLDD VERDLMSKMLSKNPHHRWKATDLLKHKFFIQSEDQIENEIDYPKQVNMAILKKSAMPTFS KNPFMQSITRDKALTTRDQSQTLVTRPKNCTLIMQTNLHFDKSSQEQIIPLEKLTNFIGS FNLIKDEINQ >CAK63506 pep:novel supercontig:GCA_000165425.1:CT868026:186558:190767:-1 gene:GSPATT00033359001 transcript:CAK63506 MSEQYKFRILKTTQSIQPNQLGCPTQRSDSSNERLTRIQRDSLPNLKNQQQYSNISYRYS QKHKALSQGTFYGSPLLAQNQLDIIDKMKFQPRNDFYGQLKSVDPYQYPTRLSELYLSPK TLREPTVEVHIPTELKEPPLQLGKFCSNLSKHNPRDKLHRGIPQKHDIKSLAVWVDLMVQ QIVDKYNDQKQLDFYEEVQNVLTLCLKELIRQISIDCIERSVLLEKIWTQYVEINSSVID QLVNEKKEIEKQNLKQIMKTHQLYQLEIDNFQLIISNQKEEQSKLQEKLIKLKDNAKYLK KTNRNLQNTVRQLKFQLNDYTTSNKYLLSEIENLKQQIQDNETDLHDFQQRFQTNYRSQS PQNSDHSPLQFPQPIKVIQPNMHQAFDNQNDAQMMDDLKNDSDISISNIEDILKNQATDT NDLITILDLQKEQSIQTFVFNCVPEYKQYFSLNLNLRSILLPDFRHNIVQTDSSMSNAVE KETQTELPNEIQEEIKNEEEQLIQFQDQFIKVQENYKQFMEGDQLDSPDLNQMSSFVLSL GDISSKIKQTVQKQREYRTSLLLVNSAQKDENLRNATELQSLKNTNENLQQNLESAKNEI IELEAHLELQENINSKLERKYNKIKEKKQQLVEKTTNLINSLTKTQKFTNIIKKKIQEKR SSVFIQKKQPSTQSQSQLPSSVDISQQFLSANSPQNNLVVSSPGRQQMSGYSNRNQPKPE GQVNRTISQRELVQESNAPKKKWNIQITNTDEQQKESDNESEISQSQISFQQSISMAQDD VLSQRSFVSNRRSSQIQVQAKSPGNHQLIPSNGNNRSSLKSAKKSTPISTSNTLNNEGVK MISDTLRQFLNEELDNSDASSVESSISSKLEAYSKKKSDRQQKRRNITQTQAPKFQNRNN AESTSQLNFQRSKYLVTFLAERFAKNPKLAMPKMQRINILKFISQFYSDKIKQYSNKNTP LHQMCYEYFVNTYGFKSMAEQKLTNFYQSVYFYRENFRINLFGRFLQLLSPLTLEDLDIY IQSLKILDENEIALHMASIVNEKGVLVQLDKAIASLDIQHQCLGADIKDKIIREIRQNTY ERKGKVCVDVDFFISKLLKGYHQHKLIHQAHFEEVFYSADMDMDGMIEFQEFQKLYLHFE INQGTTSNKKFIRTQFMERCDTVSNESGEKAMSLDRFVAFSLENNIFSEEKFSKFSKNVD PNDPIKNLNDLKDNWRSIQSLLMGRINLSDPNEGEYYQSIIYKLDQALNGKELKESYWIS YRILDADTKALYLDKVASDLIPEDLLCIQELMGELFDDNIEID >CAK63507 pep:novel supercontig:GCA_000165425.1:CT868026:190801:191566:-1 gene:GSPATT00033360001 transcript:CAK63507 MPHFLEIGQASQSLRIFSIVSKMQADFVNDDQMVALWNQLYQQHADSIMMGVVDCRQAED LCAELGIEQTPQLLFVDKEAKALIYFDKQWNSDSITEWLVGDHEHSEEEFEIYIQVDQPK VNEDVQWVTDVEVDEHNNNNQESHNHIHDDHHSENIEKIKYEELQQLILLNEQLSYRAEK FRRDIDLVKHQANMLNTSYMIELNRQETSINFTIYLFSSGMLAFVCVLIAWKKNNILKKK SSILVQ >CAK63508 pep:novel supercontig:GCA_000165425.1:CT868026:191597:192984:-1 gene:GSPATT00033361001 transcript:CAK63508 MNQKRFHESNAIPLHLTNQISEGIHGLGYERSFSSESEGGEYASLKKEDHIGFEDARVTK LLFETHRLIEQVRLQLILVITVILIIDFDDQYFIQDWEDDTFLWLVLALNCFLLLLTYLT YNMKFNYLKATQLIPLNLGFHKSDFFNPFLLELLIYLPIPNVFSKSKLSIKLDIQIEFTL YRVEGVFYFTLNDLLFLWTCLRGITLMFMLLRLSHLYNSQVYRLSLYFGFKVKWSFTFKS LANEEPVLVISTIFIIQACFLTLALWIVERPYMREENSDTMQLLKHSFYETMLALIRYSY EEYEPYTVYGKMVLTLTQYSGFATTSLLIAAVAKRFYMKSNQFNAYVLLARLEASQQMLI LTQAIGEQFYYLMKYPINPFSNARAKQCLANLKKFFQKRRNYQRTVAELTEQLFNRKMKD FKCILQDYEDICKLIQEQQKEIYQCYSDQQQLFLL >CAK63509 pep:novel supercontig:GCA_000165425.1:CT868026:193643:195789:1 gene:GSPATT00033362001 transcript:CAK63509 MKKVTQTTASTFQPKRSLAKAKSQNQFEETDPAQRDRKIIRMRNVVDLGDAQEDNKKNQR KNEDQIDPLLEQLDQWHENIMSKKKTYAFEQVKQNDNHNKLIENEFTLEHGPQINSNIIN QIMKPIEIPNFKTEENNEDVQVQFQMLRANKESGNVLKTLDQVRIEMNKQILNTLLLQKV TKRLDEMHINRKHKTNWNIQRMDEEITQVFRDQTHTLKQRTLNNFKGLTEYFSNPAQYID YDMVLSKAKYHSNRPPTSFPTTQIPQQQQNSTSAKSLMESYQLFQTQIKEHKNVLFQMRI ENNTLVQQMNHFEEEVQDIRRKFFAKEEKARQGWIPEQQIDGLPNKKRNLMDIIEKIRNQ RDQEVKTRTKEIMSLRKQMQQNNEKQQQIQKELDEMRQKKRRCKMLLKDIFLKQFQDSNN SLVPEGLVSIIKNMRKINESAKPEYFPKFLDEISKNYLLLAAQLEIDIEETRILSQKYNH QQLLQRATSARQHISTQRQQMNVSEIKNQVKIMLKKSKVSIKKPVFVQGIDPINPTQFAH VIKWEHQDLEHQQITEPIESNFKNQNVSSERNHIMKDYNQKLVQLQQQLELITNEECQRI LKSYSNKKLLSDIQELKMVMYALFGQTLGDQQWIQFVVDWTEQKQLNPLFVLKQEEQTKQ SDTRKDQHSIPDKMKAKVSQTLKKLTEVTNVDYNFELIY >CAK63510 pep:novel supercontig:GCA_000165425.1:CT868026:195997:196650:1 gene:GSPATT00033363001 transcript:CAK63510 MEEYYCNAIIELVLESEGGKVNDPNDPGGKTNRGITQKTYNDQRKEIMGQANKQMAKKEK NPLKIPEDKDVFDLTDDEIKDFYKYQFKRFLAHEIKDPYTCYVYFDTCVLFGPNKAIKIL QLACDIESDGKLGPNTRAKVEQTIDQRGLQLTMLFFREMEHEMSKNYGIYGKGWSNRIKK ITQQIEEGVIPKWPNDNANKIVQLQQQQKVINQPKKK >CAK63511 pep:novel supercontig:GCA_000165425.1:CT868026:196821:197328:-1 gene:GSPATT00033364001 transcript:CAK63511 MSFFGKVLLLTALVGYSALLISYSSLGKQFDTKYNELLKHKLVTKYIPADTIKLLPPELS KLIIAGLISSSVLMFICRCFVYLPLLGLSLQIVITANPLMNTDSTTSIEFLKLFALVGGL LLWSSSSSSSKKPTKVKPE >CAK63512 pep:novel supercontig:GCA_000165425.1:CT868026:197407:198154:-1 gene:GSPATT00033365001 transcript:CAK63512 MDMQNRPGGRTGSGPMASAADANVERRERLKQLAMEIIDLQKDPYFMINHLGTYECKLCL TLHTNEGSYLAHTQGKKHQQNLLRRKAREGKDLNAMMHMAKQNQPKPQKHKTIKIGRPGY KVTRTVENTSKVLYFELYYEDIQPGFIPKHRVMSAFEQKIEQPDKNYQYLIFAGEPYENI SFKIPNQEIETQEGKFQPVWDKDKKIYSLRVQFREKKNTK >CAK63513 pep:novel supercontig:GCA_000165425.1:CT868026:198166:201229:1 gene:GSPATT00033366001 transcript:CAK63513 MLSNPHLSNYIRFFQIISDMEQECLITQKEKHQLKVNLTLKDMQLVNMIALNTDADDEEF KYSIINYLRKQNQSPRQRQGQKIRCKSTGIEMSPIRLMRRNSVSKESKITEEQLMQQIDD LNDSLVMILSNENKCILTHPVTEKLKSILEVIQKTTISSPSTTNTKTLQVDETENCKIVD KFTQLYSDMKKHYTDYLNCHLLLMDNNLSYETLVQSVRVFVKRLIDSDQITYLHIREDQS QQTYYSVEDQYIITNDKQIYNETQLIQPNKVLLLDECNFYPALSEQFKIKLYKRNYLIKL NNQDVFVCFHLSDQTSIISEFLALADEFDIFEDLNQLCTFLIETIKQAKIQIFTPLQLSH MIQGIGIAFIRSSKYLFIKKCIHILQSKYQVDIHINTGDAMSIISSPSFFYGTQKQVDFE FKDELNVSITINGMDLNRKADLMVYKQLTQSFNKYLKFIRQCYDRTTFYKFFVKSQDTLI FEFDKLGRLIFLSRPIPLEIKKEFNIDFDPKKTKMTYQQLFQNEELLKYIENFLQEQNIL RDSDQQYQVFMKMEERSFKGFFIIFQQGWFKYDQKKFDLNDPSLQKELQKQIIQQETIRF IDKLEQNNPQILNSVVQMFKPRSTQRQTVHYRNSILQFEPAKLGVQHKCSLRLEDLSTQC TVPNINNFNFNILSVDEMVQKQLVVVEILKHHNLIKEYDVPLDTLCSFLSEIEYKYNKKK NVFHNYDHGVSVMQNIHAILFQLHQTNNASILSTFNQFALLLSGLCHDVSHTGRTNTFEI NSLSNLAIRYHDRSVLEQHHAAKSLKLLCVPHTNILQSLKGEEFRKFRRMFISNILFTDI TEHFNLIKNFETKVLEQNFGSQDEDIKLLSGMIIHTSDFTGGAKQFELSKQWSFKVNKEF EQQYELEGQLGYPQLPYMKDLQKLPVMAKQEAGFFKFIVRPLWQSMSKYLDNRLQEQVDY LDETIQKWEEIANSE >CAK63514 pep:novel supercontig:GCA_000165425.1:CT868026:203097:203306:-1 gene:GSPATT00033367001 transcript:CAK63514 MDNIQQRETLGLFLRFTSICQVRCGVLSSKDDVLTKENHQCLGNSYTLSIEKCTNHLIDS FRKVQDAYK >CAK63515 pep:novel supercontig:GCA_000165425.1:CT868026:203569:204841:1 gene:GSPATT00033368001 transcript:CAK63515 MKSICRGSLLLIKNDIAFKRETQVEFGMSLMVTASMIIQLFAAAKLTDVDQNRRRISNFL RSIDVIENIITLGEAFVYVPSRDVWRFGQIGNIQCYLDNNLSSGDHYCQVAIQGLWNIYF WNFPRHNNQYLRKHHVEGILTILLTELELEYEQAILGQYHCLSIKPYQRLFMKPYQFAVL RFGVHDCKLFPRRPNFLSCQSVLHFQDTNNSLNSISFKSLLPFTNSLQKFYWQFHHQITG SLVIIGKWIYCSHRVISLLSILDPINKVLYTAFIHGICAVLSKTWIDVSGSSPNEVAKQL KEQDMKIVGYRDSSMKDVLYDIFQSLLPSVECALEAIGSGTNILLQVTIIYGYIETLKKR KGTRYT >CAK63516 pep:novel supercontig:GCA_000165425.1:CT868026:204891:205301:-1 gene:GSPATT00033369001 transcript:CAK63516 MQNQIKMNILEEIKKQVEVEMKKIKKTSPSVVKKPLNLEQKKELIGLTNQGVQLKDAAKQ LQVGYHEAKISLNEYKRKALSTQSETESAVYNVRLAGVSKLKQYPRHFVLQSSVNNKLVS VRRLYNIVVQSPQQQS >CAK63517 pep:novel supercontig:GCA_000165425.1:CT868026:206056:207343:1 gene:GSPATT00033370001 transcript:CAK63517 MILNSFLGCSEPEIDEEFYLYYKKQEEIQVKKDEEQEKKEQDQGMNENTHHETQLINHME QQTEVQNQEFEENNSNILQSNSKSQEKIQTSENTNQSNNIEHQNLKKYILVEQEGLESVR RIFPKIMNLININDFRTFFHSITPASIKSAYPKYFFILSKLWIREPWINKMLDYKFDNKD KNWVDNCDFHQPIKNQKYGIYSHENLMKVNGLDQCTKDDVLQSKLGEFHILCKKYKIVDQ IDRFMTFQKFRSAIDQIKQQCKEEGIADSDFLTHIKALQEFAIIIEQLKQNTVRQTKQQP KMKKTIDILVQDIQELFQIPEIHLQQYIIQAHIKDVINEDNIRQDDKNKWTDFQKLVDTI FQKDSKRETKKQQKQVKSDQKFSQADSYLNEQFVNACLDGNSVSHSINSIDY >CAK63518 pep:novel supercontig:GCA_000165425.1:CT868026:207513:209295:1 gene:GSPATT00033371001 transcript:CAK63518 MDELLSAVVQRKSKINEVLETILKLKVKNVISQSERSTIYAIIRKLKEEEKNRTEKENWI VSIMRNTKQYNEEQMNHIFVKTNDIMKLINESFIELPYQPPNSLVQFLEQYYNLHQGFFQ QMNQEYQLKIQYKQAIKQGNINLITDLIKALLASSRKILKDNIDDLAYQVNKDLSPEKQK IMKKLIPDPLVEHLRTRSSTQNGKKDGTVISMTNSVIQNEEPIRYNDKREYQQIKEKLKQ QQRRFILQPKIPNQEIMFLDSPVYQLKLKYQQYNNSNIEKNQFASLFNNINLDDLDDQNI CVLDYQTIKRQQQNFEEFKLNFDSLYDKIKMRNSYIQQSVMDYNQYISKKCTNSTMMLSS QCNNNLENEFFNVIKDKYEELTSLNAEFQQNMCHYHFCRIYLKPHINSICACYNTNSSKY ELNSNPIKNKIVIRKSVQSIKKSTPIQQNQNTFTSKNLIEQLKKERTFNQSIILEDSSYQ DIYKYNNSSGISPLNNSILQIRKLDQSQNLNGSTQYKLYSHKLSSDIQSFKQTSLHHRAQ SANGDQLIIPPLNLRNCAE >CAK63519 pep:novel supercontig:GCA_000165425.1:CT868026:210020:210595:-1 gene:GSPATT00033372001 transcript:CAK63519 MELWLNEYLYNAFFNSLFYQQSLEDSIESQVLHSLQYNGAQNASKVAMRSAKNVKQLIII QTVIVHNILLLIYKLKQMIFETYKMILWILLIKYLTIQTPAETLQPLNKNQGKILAKMKP TINKLKIAQQSFKLKIYQTKNLLSSFQLQDSKYVGFPIGISFGISLLSIIVTERKSLFEL NCDSEWVFFSA >CAK63520 pep:novel supercontig:GCA_000165425.1:CT868026:211276:212225:1 gene:GSPATT00033373001 transcript:CAK63520 MDNIYKFKASSSVGHLKNLNNDKQFYSEEETSKKEEEEKQLKKVSKPPSKMSQFSKNATG YQTDDSDKETPRNNKVGPSNQKVSKFRNLVNAKKIEGDNDDPNYDDDDDKPTTIQQQIQH VQQHHEDQYDIEQQAKQDAVKICKGRQTQGIQNETLQHTVTNGCEF >CAK63521 pep:novel supercontig:GCA_000165425.1:CT868026:212236:213019:1 gene:GSPATT00033374001 transcript:CAK63521 MKNKQHQEGKLKNHPFRHLIYGPTIGENSFNKFLQLTQRGLVYAKKCLKGPSDKFIKSKM VQLPECVIVKPKTLLLDLDETLIHSCSLRENPQVTVTAFGDYGEEAKIHFNIRPFCAWFL QQMSQLYTIYVFTASSSAYANAIVNYLDPKRQWILGILSRGNCMETKNGFFIKDLRIVGN KQLKDMVIVDNLAHSFGFQIENGIPILEWHNDQNDQELKYLATYLMEAADQEDIRVFNTQ RLKLDQLIEYSLE >CAK63522 pep:novel supercontig:GCA_000165425.1:CT868026:213070:213682:1 gene:GSPATT00033375001 transcript:CAK63522 MGSCCSTNKVVKETYDYPDGGVYVGDLENNLPHGRGTIKWDNGSLFEGEFIEGRKNGKGL YRWNDGQYYDGNFKDEKFDGYGEYFWTDGKVYKGNWTDGEMNGQGEMSYPDGRVYSGSFK ADKKDGDGEMTWPNGNKYIGAWKKGKQHGKGVMVDRQGNKSEGTWNKGKKQ >CAK63523 pep:novel supercontig:GCA_000165425.1:CT868026:214122:215948:-1 gene:GSPATT00033376001 transcript:CAK63523 MDGSRIQKAKQSLILFLKSLPQTSLFNIISFGTQYVSLWEESRQYTQDNLQEAIQHVKDM QADMGGTNIYNPLKNKIYNSSYGCSKDTTLNVFLLTDGEDNADPIIELVKNNNRAETRIY TLGIGERCSFYLIKRVAEVGNGKFHIVGDNEDINEKVIDLLEDSLTPYLEDFKLETNVDK ISSIIPNPESIVSLKKNQELTIQILFSNEQELENIEFSIHCYNPQDKQPIKYSVNLNLNQ SQDNEYFHKLATHKFITYYDNAIKYGEQQVNFIKLNKQNIEDKDIVNLSIENQILSNKTA FVCEVCELEDQLKQQARSKIKITHTKKTFPNQFGLSSCQFLSQGCSMTSGKYPSQQLKCM NASPPSLTMSCGMKAQILPMCGSMQSTAPQMFGMQSNATPPMFGGMQSTAPQMFGMQSTT PQMYGMQSTAPLPPPPPFCNMQFPLPMQSKATPPPPPCNMQFPKPMQMQTPLSPKQPIVQ QMAQKCSVEDTFSMAQQCKNEDICSTSFAISKQPEINNLTYENLMNFAQADGRFQINNDI QLKVNYLNLSNSQGLIDDVWNTLLILLYLEKFCSQFKKSWQLIFSKGVQYLLQNGIDYKQ KKQELNQP >CAK63524 pep:novel supercontig:GCA_000165425.1:CT868026:216043:217011:-1 gene:GSPATT00033377001 transcript:CAK63524 MSQVQISCPKIQQANQKYEMRKERERQMVNPLQLFVCFEQNQKKVIPLRSVKYHLKIYNG LQIVQIEQIFLTEAFNQPLDLEYVFSINENAAVTKMKVELGEQIVYGIVKEKEEAKQEFE EGIKQGKTMAYSEEDEQFPQIKRLKIGQLAPNKQLRVIFEYIQPLEVYLNKFWKIDISPI IDENYQKNQSDTQIGFNKIVRYLNGMFQLKDFTFEYQQDIEIEFDTGSPITFWKSPTHQL HSTNAKDIEGIKQENGKRLVLKLDDDNPESFKPLKKFTLLFSSNDINLPRAILSHTNNDA LQVQKYCATLTFISAIQLDVIR >CAK63525 pep:novel supercontig:GCA_000165425.1:CT868026:217608:218668:1 gene:GSPATT00033378001 transcript:CAK63525 MNKTQIIINKNIALAFKKGDQKFLKFNQSILISDQISTKTRERSKSGLILLCKQLKLKSM TIARFQTDAGKPHRFQICALAHSATIINDFERFTKFQIQTIIIEYFCRTKNYYYLIKTVH QFILFNQFQINFTIIINKHFSTLVAIYLKNPTFNTIKQLFLVKIVNSIDLYLIQVFQKFP FIQRRVEHSRYIPSILYVRSLSQLVFTNIVFSKDIQYTTFQLRVIIFLALLTQSYFIRKS QNNKLSSSQRGFQLLKQNKG >CAK63526 pep:novel supercontig:GCA_000165425.1:CT868026:218823:219545:1 gene:GSPATT00033379001 transcript:CAK63526 MQRKSNTLKYLCKLLYSTTPFCQHKNSCVVNMSRGLVEAFTKAFLAKLCLNAIMLVMSSK KIIKSSNKIKLVFQILINRYCIKCNYVQNQFSFGVIYGHIDIFNQMHLMSFESNKKQGGW LECCNFWFYRRRVEFYNLEAACSKYPQSLFVCKSDRVLISDWYLEVKYLKMKRKYYNHQK ANTAIAFVAMTAVIAYGFFFEPDILPMDTFKMYENFSQQTLVDQIWHMCNVQQYRNRCNV >CAK63527 pep:novel supercontig:GCA_000165425.1:CT868026:219581:223061:-1 gene:GSPATT00033380001 transcript:CAK63527 MNKGEQSQYLLEQSNHNTKSFAENSSPNKSLVQYSIIQAMLEFKIKEHPIQPGELINSSI VEEAPRFLERGADYSSKMHDNENILFSKQKPSQRMSHVYPSYMSENSIQIDQDQIEMIEH TYSVEQIQKILKTNITDGLNDQQVQEKIAKFGLNKITSKHANVQLREVFNLFTLALVIVI VLSIVGYLYDEKEHISFLIEIISLLAILVFTHIITFTSYQKKKKLFQQFNQIITNDEVIV IRNGQKQKISSEQLVKGDLIELNSNYKVPADCRIILSQQLSVDNFIFTGDCQPILLESEE SNHKSIFEARNVAFLGVGCVFGEGRAIVINTGNQTILGKLAKKIDVPMSISHIDYQVKKI IQITTLIIFSFIIITIIGWIFEEYQNGHYDFTFLINTIIIIILSKYQIIQPSLPFTLMLL ISYSKRKIVSIMQDQSVFLKDVDVIESLTQMSLLLINKEAVLVQGQQPKKIILDNQIYHI NKIKNYQSIQILDLIDLLNCIHYCIYQENYSLEESYNKQDIQQIMRQFIRDYRIDDYRIV QQRQFTAIERYCLTIVQNMEFKSQYIVYLMGSPEVISQKLFRSGSQEEIEYLNTHRNLAK KGYSLISFAEKLLILDENYRFDIANTNEFNFNLNHATNLGTIALKLSITPIIRTVLQKLN LSGIRVVLMTGDFPETAEYIAQESQLVCNPKIVTGNDLEDHEQLDENQQQKEQADEESIN TKLCTQLNTIYARINPAQKLMVISAFQKQGYKVGMIGFDTIDSPALRKCDVSFALNRSDE LAKQSSKVILMSNEQQELEQILKCIYHGRRFTDNLIKQIKLCLSNKFGQFIPILLMLFFG IPLPLTSFGILYITFVLEILVLGVLNENEEVDIIIREPSNVKIFIKLQHFLRWILTHGVL AASSGMMSYLVTMHYFGFQSKSLPGIIYKTGYSSQIFNSTDFYNFNTSDQYLGNLNLINP QPLSQNPFITFQFYKHFNKESEFDFRNVIVKLNETDHSWITNFVTSNSDICKLNDSELCY SYLSLDIAQLGFIYGFIMWGWLHVFLGRTRILSFRYQKLNKKLVYSYLISLFLVLLMYIP YMIYYFPDRDVNFIGVIGLPCTPILILYFCFNEITSQRKLRKLKKIL >CAK63528 pep:novel supercontig:GCA_000165425.1:CT868026:223535:223996:-1 gene:GSPATT00033381001 transcript:CAK63528 MLSQKQQQQYKQSNKTILERPQLIKGAWGSERKSLIQKQKQPDDFQKQMENIFLQSIKII NLAIIMVLPKVNMFVGICALSFQAFVLYPWHNVILEQVESLDKNVRILEVMQQQLVKEAE QLQKLNPI >CAK63529 pep:novel supercontig:GCA_000165425.1:CT868026:223996:224259:-1 gene:GSPATT00033382001 transcript:CAK63529 MGIIKMNFAIGFTALCFQALVLYPCHETISQQVDKLEKNIVRLEQMEVELKTHMERNQQL IKQKIIGNGKSA >CAK63530 pep:novel supercontig:GCA_000165425.1:CT868026:224962:227092:-1 gene:GSPATT00033383001 transcript:CAK63530 MSKLKRGLTKDFEKEQEDQALAKEELFQPVVQIYQDYQYLSEEQIDIIAKILQVIDFFKE NCPDTQTLMEFTKLCCQNLIYEFIPKDSPVFHIDDVGDKFYIVLSGRAGIYIRRQPASIE ADEAAAQPRILKMLEKLHLESVSQLQGDDLMKFYGQVIKKQAKPQKVIDSELILFRSGNF EKYFTNQGICKFMLVAQKQSGTIFGEMALTNDKPRTASIFGLTDLKLLSLSKANFKQVGE KGMKALQQKIDYFLKMFPHMTKHKMSKLILYFTSAKFPANFFIWKQGDETDGFLLLKDGE MQLLQNVEYPKPPTQNNVDFTLMSIFQSSKPEIKDIKETIILANLTGGCFVGETEVVQKK ERRDYSVKTLTICNCFCLSLEVKYHFHYFENYHIVRKTFPEFFQALNSLQQRNSQLFRRR IEDIVKTKSCNFYLTKKEEALAVERRYANEFGKEEAKPFLSQPILRSTFQSKLSKQQMVE QNKSIIDQHTKLDEQFGEKQEEFNMLKLAGDNFQKCLLIRVEKQFEQFQPAKPKAKTPYF SKQNSSVKDLLQSVRLKQFPSFATNRDQFSIRQSYEEDSQQLPQIKVAKQHIRVVNPNIS SKVDIIKSNYQSFMKSKSMSSRLDRLSENQVQGFMLTDSINTYHPLHSIQSKDDQNKHKK RVKTQSKVEADYYKSIL >CAK63531 pep:novel supercontig:GCA_000165425.1:CT868026:227112:229989:-1 gene:GSPATT00033384001 transcript:CAK63531 MTQVKVDRMCSVYVIPEDAPMSSQEIAKAFDTGKFDDKLKALKSLVIQIIHDEQMPRMVM NVINSLVPLSDETHSLKKILLFYWEVIEKTHPNGSLKEEMILVCNSLRKDLLHPNEYIRG RTLKLLSRIQLRGILEPLQTAIVENVTHKHVYVRRNAVVCLYEIFLNFGDDLISDLDELM EKLLLNETDLSTKRNAFLLLFHANQQKALDYLNINYSDDSNQFGDILQLSILELFRKVCK KDPLQKPKLLKSIYQFSKSKSASVQYECANTLFAVSPSLASLKIAVQIYMSLINSQTDNN IKLVILDRLEAVNQLNPRVLEDRTQDLSYLLNQQSIDIRRKVLKLFQLKHENVVLLAQSL QKEFQKCQNLNDQSEYKILMLQLINPMIHKFPQVHEVVAQTFIDSIICNNKMDDQCAQLA GQTLVKLVQLSDQPIQHKIVTALSNRFLDIHHMELYKATFTVLGDYSVNPIRSFNQIKKA IGNLPLEHDKAVVKQEDESKQVVKTVILPDGTYGTQVIEKQDTEEHVSKLRELLMQNSFL ASSLSRAFMKLLSKIQEFNKYSSQMLLIFCSLLRYYQKNTAKIDQDTLETITSSIRILTG KNPQDKLLEQYKKSEVIPEQNAHFGAHQNYDKILKQPDDPIIIRQLKGVSEFNEIDLADD ETSVATKQEENTYVSRLGHIVQLTGYCDPIYAEAFVNVHKYDIQFEVLMVNRWSKMVQNV QVEFRTQGESKVIEKAQGVILQPNQSARVRTTIKFSSQDIGVVYGAIHYENNAGIEQAYL VTKEINVDLIDFIIPATVSIEQFRKFWAKYEWENRIVINTNQNDPFKYVQFLEKQLKVRP IEENHPKGNIICVNMYAQTKFDDDFVLNVSAEVVNDKLQGFVRIRSKVREIVINLGDKIK VLQTKIE >CAK63532 pep:novel supercontig:GCA_000165425.1:CT868026:230004:230956:-1 gene:GSPATT00033385001 transcript:CAK63532 MLGKLNQKLTWMVGITIAAIGGSLLFWKRQPKLNQETDLSEFSEEFKEAARKGRTLVGLS QPDQLWLYSLYKQATVGDCNIPEPMRLHLQDHAKWTAWSKCKGVKKGDAEKLYIKQIQQY LESGVECDTGSAQNSQFGMKVSTMKNAEHDTNEAFIEKINKTGQTIEQEFQLNEKEQQIF QEILDSLENTDKLGELLQTHNDVKYLQSENQQKIKLIHAVVDIENVEALKLLIPYYKQHN LMNVQESVSGMTALHYACLSGNQEILELLLKNGADPKIKDFSGMDSYETIDAENKQWLEQ L >CAK63533 pep:novel supercontig:GCA_000165425.1:CT868026:230989:233589:-1 gene:GSPATT00033386001 transcript:CAK63533 MNKIKQTEQNLQTIKKCLFVSETKEQPKLAPEEMLKQTQAILNQSKHKVQLHKDQKFIDS KIIVQQQLKERQQSFNQKQQQLQAIMQSANDTGFVEFHLINSMMQKLNIKYDQINQTKVA LSVSNKINFYRRFSKKPSQLQVTKINGQLLDENQQQIYKIFNTKEQIHFIFKNEMELNIN IIPYQINRQIYREDKNIYEVLLVENQIEEEQNEQQFVEQQVKIDQHFKFSFLNRIQQFNL MHNQNIPSALTRQYNIYLILRELILDALDYNYIKSINIIQDQIIVHNLPSQAYKIQPISN ESEFTEQSSENKIKKIEKKVKAQAKKQERSKKLEHLQFITTEQLKDYNVQMIPHNQGYQA QRAIKDHLKETTNVNLQAGSKMGKGGIDKLQKGEYFLKQKGKSQEFHICWLEQRGFHLVW YHLKTDKVARGIGQLDDALIKEEGSTYIVKLLDRSLTIKLEKPYVGQIWRRSISNQIAYK AYLNNLWMICRLFDKSPSISMVDYFFNENLTTFNISNNALQIEGIQNALPLCYITKYTQI IFDSLMNHKIYQLILSNSQLGPIATIQLLQYFKKNKNRLKLIDLSNCQLTTLVIKEFGSF LMNQNSFALNHIYLNDNQGIGNEGIEIIANCIQQRYLQFWDNSTNNLESISPGYRRHQIS RKSDSMELELLTLMNFKNIGATNFNDLFNAIDVMFCKALEIPALENELFYNKQKTLSKMI KKLKNKTGQYNRYNLQLLKDFYENSMDPIIEIIKIQLDISENSIQNIEQLGELIIKHDCF RSVHLSHLELDELLQFTACLKDSISLELLDVNCNRINDIQVILESLDKNTSIKTLIISQQ FIDLQSRFEDVEMNNQEFFIADVQLL >CAK63534 pep:novel supercontig:GCA_000165425.1:CT868026:234016:234471:-1 gene:GSPATT00033387001 transcript:CAK63534 MNAQLGLSLLSVQRTYLQSQQPTLNKHLFQNLVEHTKMDYTTLLQLEPTIPIYLKQLKRW SPRKEMYKPKRSLRSTSNDGSRTKRANSVCENKSLDQKQEPIVTHIQPLPCLIQKKQNMN SKVKMSNQKPILVPKCKSKQQYCDQMTLTPW >CAK63535 pep:novel supercontig:GCA_000165425.1:CT868026:234834:236779:1 gene:GSPATT00033388001 transcript:CAK63535 MSLIYQGQKTFVKKVEICKINNLEIVLGSGGQATVYKAMMTIQQSDQTERQIDIALKRIL LPKSNNFRQRMSELIKREQSVYRSIKSPYIIKLYGTNLNYQKKRLEDLEYLDFYFELCDG CLAELIRDRDKGIDVPLTEESALEIFESVVEVELLLEKSSFMRSTTVYQGLFHRDINVKN ILFKKKKHKIEVKVCDFGVANYEYDQLNPNNRIDLTQKVGTLSFTSPENILQQIYNSQKN EAWSLGVLLFCLLTGLSYYKNVLHLFNNNNDHHKLIEKMQIQRYTKELLINLLQIDPEKR WAYDEIVSSKWYTLFGQKKCKILERIEPTLKFRQKCFNELSLKLIEYNQFIDQNKQQSLE FQIPQDLLLITIKNYELWSWKQLEKYSGSYCYEFQSQEEIAEQTACKDEIKSYEKNLIRI TQELRDFFLQITSNQNQKHENQEKTKYEVRKEEAMKIQQFWSKIICNSTTTSHYKPDALY YYMHLFEEMEQKLLSRLSIFLIQNHYNPINFKPSSLNKIPVQISKLQNISEAFSQTLVQK EILIKQNLEQLVAQNSKDNQDKVTIEKKLSQLKEEVDELKIQVDECTATIKQKQKILQIL EQQKQLQTILKMLKEIKQKFNRYYYWKKEVEYLQIFQNDN >CAK63536 pep:novel supercontig:GCA_000165425.1:CT868026:237501:238932:-1 gene:GSPATT00033389001 transcript:CAK63536 MVKALSWHETNLRWFVLCLIGVYPLAQFLIAEFPALLGEQIKDYFQVTQEDVNYLLLFES LPNMVMTLVGGLIIDAFGVRRSYVLFALVVILGQFLCLFSVFLHSFKLMIIGRFVFGIFE SSGCVAESYYINKWFKDKENSLAYGIDTALCRIGSITASILYPFLYSASDSDLSKCLLMC FKIAIFSFITIIILTQIDRFSDMRDKVEDQKLESIDLRQIKNFSLEFYITLISCATCYSV FFIFSYNSVEMFKENFKLDQNTANILFSIPYYLSALLSPIVGYYLQRIGRDIEILIIACS CQLLTLIMYQILPEFESPCLIFPLIGSILNGLFFGVYFAVMWPYIPNIVPSHMVATGFGL IYSCINLELTCFSYIVANILSVESYENYQKVNSLLLLLSIIGFISLIYLYFTNKSKQRNS THSQSNETDSTIQIELMVVSGQT >CAK63537 pep:novel supercontig:GCA_000165425.1:CT868026:239303:247986:-1 gene:GSPATT00033390001 transcript:CAK63537 MHNFLVTFLLGLYHKNFVLSFYFEALNEQQQYYAFTINTGGVDFAKLDYFNYGIWSKYLP LSIISQVGLIGMFDSHCYLLSSISEYTNLQINLLYYDCVDQSTNTVYKKVQFLGSDNQIY TYKFEIDPLIYENYWYFFCLNLIPSEGIIDVYIFQRETTIAIQQHVIKGIFKDSDLKFVI GGGLVVEESQQLWNQDISTLSYFPGKLSILHPNVGNQLVNEIGFETFLSFSNDYHPECTQ VDNSEQSLQDFDIFKLNQEVFASENRNCDGFSLEAWIRISDFRQEDQQFIYQLIKISANF ENNYAKNENLSAFQLFYEFSNSQIKLLVTTYSYTLPLVDYDFEKSGFLLSKDWSINNIKL WHFLYVSLDQNILKVSITFFQGLEQTLHETQFQVNHFHEVQFKLQYGNLLQSATNYLTGA LKNLRFSNAASDDNIITGCHYSCQECEGPTYKDCLSCSEESKRVYLEQYKVCVCPNNYID EQECKGMESYNLFLNSGFEQDSTSNCRQGYFNQDQFCLKCPSLIKDSLMTCLECIQNPKG WHNDPYCQTNLYIDDLGSPAQYKVDKFKTYYIFDGMEIKPSQKDFIEDQDQIERIYQDFE ITNKNFLILYSYYSSDLDFYPCTLQNCLICQMLLTKQICIKCSRVAKLKDGVCVITPRGI RQINDCNAPYYITSEKGCNLCQIENCQFCFEYESNDLTKCTLYNDFQAFKIDEFHKIGCA LCLDGFIFDFTQSKCIYKEPTLTNCLRSFINQSGQELCTLSAIQDFKVAPEIINCQKYIS KCKQCIQTPQSIVKCIVCEDGYSSSLTTGFCTICELQYSKLCIEGDYTNLDAWMQLIQSF LMQFLPNKYLYPQSTDILYISPIAIKCIDQYSLNQNFCRKYCDSNCATCQKETNAADGFT CGKCTQSYYMEPLRTIEKGLCITCSLLCQVCQERTIEEIKNLNPAFVINDSNKKYTYKCV QQTKEKNIMIDPYQQVAKYCFDGICDNIISYQIKYNCLEIFDELERFLEQFNFEYFNQIG AQRFNLIILIQDLCPIRFTYLLKILNQLQARVISLQWTEMILQGDDLPTTFPSGILFQDF DSINLNHLLYFVMESLEIYIHNTYYPTNIKLTDSTFFASNQDSIYMTVQTEKCQHFEIRN ITLSDLNILNSIAFQIRFQDEVSFIKIQDLTIRNCNFSQTIIFQFYSLPKNSFIQNLTIE NCQFINSTIFDFKQDSNTQSIININDFKIRNSLIQNSQLINGTDTYYIDLAEVTFISNKF ENSKFLVFQNSLIIKQLKLEDCNLNQTFLFYKLISTATLLIDQFDIQNNVLVNTSLIFTT QQTTLNQGEVKLYNINFLENGISNAQNSSAYLFHINCFSLEIKKFEIINSFHLQYFILQS VTQISVEDLSYANKIQRYQVPASIECLQVTLKNSQLFYISGYSSLSLTNIEIINQYSVDQ SFIQILSNSSVTNQRENIKLKGLKFIGNILVKRNLGLVLSQLTIYSEKIQIIEMENIQFQ DNSFNQLFDDPSQTTSSLLLINSQSSSLYINHLTSQNNALTNSTNPFIYINTNSIKINNI GINNHNQLNSSFWNKNYNLDLKEKFNQDIINHLINKAFTIQNKGGALVIVTEQFIINNCV FKEILAQSSSILDVVTQGLGKVTITNCQIESSQNIFSQIGDNDGAISIYSKNSLLELEFK NVNFKDIQNRLASSILSLIPSLKSNLITFQNIAIQNCFSLINQFMKIEFQLLTLKTNIVT LKNISIFQDENQLVQYFQKMESLSIYDIQKISNDNAIINIQGCSLNIQSLKFSGILLSSL LKVLDSQTLHVSNLLVLNAKSFYPINMVHIGQTISISYQVVLQDIKILNMASFDFSKLNT NIIEYSKISLDYNNCNINLQLLNQVFTAPTQVAWIFEQILHQSSETGALIHIQTQLLISN NQCLQCWNGIIQFDLTQYYSIKIVEAYCLKNNIRNYGCIFAKSSTQQETKLVIKNSLFMM NNGTIGSAIATENVRITLVNSKFLKNIATQQGGALYLSLNNNEFKIAQTIIYNNQASQGG GIYLHGNSNLNSENFINSWMKLNSASQIPNNLQEKPTHLTLSINNFEMNTIEKKINNIIV NSLYLQPYRVMEQGRVQQTRILMIPSNQEIIRYNIYNPSLLKFDQYIDEFSIAYKNSLNE ILPNFSNASCKISRQTLQNNVLIDSTNITTVEYNSEFKSFDLSFLSITADPYQLNNYTNQ IQISCHLNDQTDDLLYNIEIKGFKCQLGEFYVQSGCQICQPSQGYYSVTYNTTKCSIFDQ NKFKSVTSNQIELKQGYWRPDYQSDYIEYCFKFVNFCEGGWVVSDHLCVVGHIGGLCEEC DIYDIRGHGNYFKNLINMTCQDCTDAVNSVLPFIATTIWALISTLLTLRSIDTSNKLFSS LKVRQKYVKIIFKLNQDHESILLKLFLNYQYLLLICEFSFSFTFINQTNNTSFFMANNLD CYLSEIYNIHLIYSRMITMIVLMIAQLLIIYMGFKLYSTIKKRKFNSSLISTALLYLYVQ NYAALIKQFFSLLAKRVISNIEYISGDVSLLFNSTNHKNWMFGFALPGLGLIGCLIPSAL FLLLYIQREKLDKINFRKHICYLFNEYNNETYFWEWIKLWKKTVIILIMTYFETNIFVKA SLLGLCLLLYQLYAVKQKPYIIKNLNQLDVSTGQICSIAIFLASIKYISEQQENKIASVM IEMLLIILCLKLTYPFVIDLLRVYYKKYKIPFISNLHKALQLLNCNQNLTLYVNRKLIQM KQREKKLKTNLIKLRIHLIQISKFQLESQKSLLNLLNSQSTSRQTLLGLDQNVQKIIRLE AN >CAK63538 pep:novel supercontig:GCA_000165425.1:CT868026:249276:250465:-1 gene:GSPATT00033391001 transcript:CAK63538 MKQAQGQFVALKQESISHALAINQDNKLLLVSDEFNFKLFYFKDGGLKFLQNIRCPILKQ EYTNTFNYINTLNFYKKKQIFISGSDTLINKWSYNLISNPKYIQRLEGQEYISSLVIHPL KENIIISGSKLGSIVFWFDQPNYKRKPFLQVISEHIKCVVGLSINNDGSKVISAGKDGII LVMEPISSSEFIWIVKQKIQVQKHGYRICFINNDSFTFQPYGESQIHYYILVNESFIKDK EFQIQLNLEDCMYYFPQIYNTQKKILFSKCGNTLNILKHFEFQTEEQYKKSEQQFQLTQV IVFNEAYNPWIFGTVSEDGEYLIIFGWCIKEHSSKKIYNKELNVIFKDEKLIFSNFLHLG QSLYCNKTIFIQTNQLNNKKMQAITFK >CAK63539 pep:novel supercontig:GCA_000165425.1:CT868026:251815:257441:1 gene:GSPATT00033392001 transcript:CAK63539 MSTFFLILIASVRGEWQVYYNGFHQSNYLNCASNTCPYSFKFSQTQTSALFSNCTNPIGT ALILKSSQMMASISEQLNTLKANAINHILNLDVYFLTQWNGDYLKIAYKTQNYQFQFTTQ NPLQFSLGGCNSSQHEVKTIQIALSEFTSMNDLKFSIVNESNLALIKNVHLSYYLCHPTC RNCTGDNYNQCTSCFPGVSLVDGICRCPIRTILTGGPPSDYRCLSFCLQTQTDRRERYCK AYETQNLLWLSLTTYSYAQLIQWNIIYDPANLSKNSKKLGQFFGVFKNNEGAYVTINTTQ FLYPMSLQLQVLFCNATPINSGISIYINQTYYSSFYYNGANFEGDNMYIFGFSGATYTGC QKATKYILQTNLYVEQGNFNFSIKGNFTNSNSGWYIIYCFITSAQCPAFCLKCEKEYECS ICQNGYKKVSDGKCVLSCPKDSLFLNNVCIKYDQTTKCISLSIFLDSQYYMRLFIDFTVP YNIHETFILESSTSKDLQKGELVYWSYISSKAVFGGQYVWATAKFSQVYTIDKPHHSLTI YFDAIFGCNFQSSGGYLKYSFNNIVSQVNSNQTVTITISQYSPTLSISFECFGQNNNVKD KYCAFSDYQIVVHYCSPFCLQCSDENTCTQMDTFDNQVIKLDPSQCGPQQYLDYQYFKCE SCPQECEACFNEYECSQCIYPYKLYITKCILMCLTNQFFNIQTQLCEDCSFRCKQCRNQR DLCIHCEEWHYRYLYLNQCICYDGYYDDNHGGKCQVCNKLCKKCYGSSNDHCTQCIQLDK VEKKGDICDCQEGYYFDDSSFKCNLCHEKCQTCFSSFDNSCLSCNPNEYRRLQGLTCICL LGYYENNDSCQVCPSSEDANISQCYKKCGNQIIKWHNQLCDQITCQAGFQNVENQCTSIC GDLLLSGDEECEDGNQKINDGCTNCKFQCPKQCLTCNLLTVFPCSDICGDGIISDLEECD DGNNIQFDGCYQCKLECQTSCTRCIRGLCYECLTYGWIINIDTLQCIENCGDSIVIGSEE CDDGNNLDENDNCYQCKRLCRNDCKTCSPDGKICLDCQVIGFKPQSYYCVNTCGDGYLAV DPYGRNSEECDDFNIVANDGCSTTCKYQCQTTICKVCQNGKCLECIDHYYLNTNNNKCLE ICNDNVKVGYEKCEDMNTLLYDGCYNCQLSCQPSCLNCQTTGCLQCQVGFRLIENKCQNI CGDELIVSGEDCDDGNISPYDGCHFCQFHCGFNCQVCQSGRCLGCQLGFKLFNGVCVIQQ SKKTLITQQEYLLQQNQMSQSYIQYCHYQINDICIICDDYYYLNANRSKCESKCGDNYIN DLEQCEHNLIKNDIICSNCQFQCKDNCIECLFGLCQNCEQDYLLIEQTNECLLKSKCDPE YGLYYNILINQCYDICGDGVKSIYEECEDDNVDPYDGCFQCKYSCNPLCPLCIAGVCTDD GTVCQKGYYFDKSIGSCFSACGDEMKATDEECDLLNTRKCLNCKLIIDKNCKTLDENNQC LVCQDGFELLDELCEPKTTKKCDIRNCIACENNICIQYLTDATNNTNTNNNNNTLENLSQ AKCGDGDINQNELCDDGNLINGDGCDSDCQPSKNSLCQLNECIQIFHPVPQLKFVKQIEN CQVLTLFYDQKVKLSPGSTLEQYLNSLSGSIVNTKLNVTIEAKSKLSQDLDYFEILIKIQ YLEKVVDPVFFLIFQNLSIIINEQELEQECQQLSLQLASPNFLSTEEQQTTVSLIQFSEY QIQIIVGLVIISSLSGKFQIIENQIEMMQMLYYYKYINIVKGQNLIKFFDTFKIIQLANL YKFIGFQPQSFSIFNVSQENSPSIFEDDGRTSNFLCTFLEILSIFFVAYFSHLFSQIIIR >CAK74235 pep:novel supercontig:GCA_000165425.1:CT868176:65:659:1 gene:GSPATT00038968001 transcript:CAK74235 MLSNLIQADNKVQPAAQQAKGKKGKKNQGEDQPQQAVATLGPNVAGNELVFGVAHILSTW NDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKL RARGGVDTRQPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK74236 pep:novel supercontig:GCA_000165425.1:CT868176:660:1745:-1 gene:GSPATT00038969001 transcript:CAK74236 MQQPKYQQFPLQDIQTPQQIEEYDELDDQHAYIIETTEKPVVVHNPKAEQMKNYFYAIRR NLLDFKELVDTMNKKTQIVCKPMISNIKERANDDQAKSYLCKLRTLSKIKKSYGLSEYLN KKREEEKIIEESLQKISNQFSFSSSLQLRMYARAFKLSSKDNFIYANLYHDRLSDDAPYL LLSKKDSQMEILHDQLDIKNQQLIIDLKGPHQRWISLSYNTNSIYEKYIYDYLKRNDYLQ KEILQFSLEFNYIQEGTAYREEINDQMQKNKLIVDNYLFSKLLHELIIKQDGSMETYFQE VKIFILEHQAQDLTDPIRYQNLLRHCQFPENIHALKK >CAK74237 pep:novel supercontig:GCA_000165425.1:CT868176:1767:2021:1 gene:GSPATT00038970001 transcript:CAK74237 MSNQNTQQQSQTNMKQKLLKILQEKNNTPNAEQKQSSNLDYTSLQRKLSQPSHKLPIEMN KSAREIWLFEMLNNQNLTQKVSVD >CAK74238 pep:novel supercontig:GCA_000165425.1:CT868176:2294:3233:-1 gene:GSPATT00038971001 transcript:CAK74238 MELIQEITLLKESFLEFITKVTPVFTEPILEQFNSKWNPLKKLLSDPSKSASDVFILVSS MSSLKRSNTSSTSATSPNSDCSIQKTQTTDNSVKKSNELPEQKEITKVKEQANPLLYKEL KIEINKNFFLNTPRSRPTSQQDIGSNGEKLYEEDIKAKILRDDIKFLNQLRVVTPEKKIS VTIRCNLGLKLSEAISQFRKDNFTLKTVHERSCEVTTPQSPKKDRSESPLLLVQRPKNQY FQQPVFIQQQQAGALKGYSIYSKKGYIQPIQFDVQFQMMMQQYQNNMIYKKLYF >CAK74239 pep:novel supercontig:GCA_000165425.1:CT868176:3462:4270:-1 gene:GSPATT00038972001 transcript:CAK74239 MRIILSFLTLFFVYAQNQYCNVHNKGVNSGLANQLAHSLNQIRNQVATGQISFEGSSSAS NMNVMHWSNGYAKMAQTCVEKCPLKASTCGQFQNYGVLFFKRHLNQQLRTNQVMEKWFKE SENAKQLLTARSSAFGCGKAEDKDSEYIVCYFDEKYTGAKQAFLAGPVGASCKLGRSKMY SGLCATASSQTLIHQSSHIKNKKQKKQKKQKAHKKNKKNVEREVALIAMTDFNEFV >CAK74240 pep:novel supercontig:GCA_000165425.1:CT868176:4283:5060:1 gene:GSPATT00038973001 transcript:CAK74240 MKIANFISKTQKSVYQFCDIKKKFASIIFTNVAIDNFDTKFPGASQIFYFNEQQKEALVK TTENKKEIIQFNDSNYLGQYVNKVFNNLKSKATRFDYAHVEFSNKDYWQHMYEIVGTMDG ESSTLVIRIKENVLKECLECKLDNLEQYKSLFIQRILNIGMISVYQLSLISQKTLKGGIS EFEIRCLNTKEDFFASHQRKLLYKSGGRIYYQMGLRSMGHKPDAVVGEVYPQLE >CAK74241 pep:novel supercontig:GCA_000165425.1:CT868176:5084:5458:1 gene:GSPATT00038974001 transcript:CAK74241 MGSILNKQEVSSRKLSEMPLEQKSQHYTQEAQENEQARVVIQTYRDTKISRKVHNLDPEV KQTFSKQFPKKQDLSNAQGQTSSIQQKETFQLSPRSPDKESDCDWQDIYGDQCFTLSDGH AAQH >CAK74242 pep:novel supercontig:GCA_000165425.1:CT868176:5769:5957:-1 gene:GSPATT00038975001 transcript:CAK74242 MTIFIYLTYPVLFVFSQITLGFGIATYLLLFKSIELESLKIKFKIFYHDSHSLVITVFEF QF >CAK74243 pep:novel supercontig:GCA_000165425.1:CT868176:6103:7301:-1 gene:GSPATT00038976001 transcript:CAK74243 MYVLLFQLVILVYGYPILENGQLLIGAGGLACEKDTITHIKFLNVYKAYVPQVIFVLSNH TNEMQFSDFALDIHVELMKVNTTGFTIQVVCSKSCIKSVLYTWYAFGGDTYDSGCFPLQE KAQVTLEQIYTSPMQHSVFISGFAGFFKYGGKKPMLKLYSSFDNQNLTIQVESTFQYVYI CYILAQDMSSTTLNDTEIATLVQKGTYLDDTLIMGMESFQLFGNNLQIQMLNDKSFFPKQ IELTVKVAKLYDPTYRSCPKVYTECMFQGDPIYVCDETVKLTNIWKEFKSLRVYDQKMKF KLNIVSNDNILWLSEDIDCSYDMYDQLMEFKIKNQPIERQIIRKRKMMGMLYDEQTDEDQ EYSEDTILIGQA >CAK74244 pep:novel supercontig:GCA_000165425.1:CT868176:7539:8479:1 gene:GSPATT00038977001 transcript:CAK74244 MKEPPKVQKGKKILPQYAFGNSLESPSFFRNPNTLICRESYDNPFLKVRAKPHSKWQTLR SSTLNNFEFYNVSEQKFANLPNKGYKNVKIVNTVTNQVHYVQTPQIRGDEQTFQLAYKTL QQKSGLFGRKNELFTDFIEKNDNQNVYGHLNDRQRPKGRLRDVKNYSLTPQEFQIYKKRS SHYLNSSPSKGLQKTEVPIFNQNQQQKDQQVEKYYSKDQSYLNSLNNSIIITQIDKQLKR KKQDRYNADLKILDYRENDIELIAKFEEHLEFEKLYGN >CAK74245 pep:novel supercontig:GCA_000165425.1:CT868176:8906:10063:1 gene:GSPATT00038978001 transcript:CAK74245 MIGGVQSRLRKLDIYRKLPADLTEPTTAGALISVIIILFITELQAYIEVDNSSEMFVDIN RGGEQIRVNLDIEFHKFPCDILSLDVQDYYGVSRCECRGEQRMERQFLKKFIQIMKEHEH HNQPSIDFARIEQAFKEKEGCQIAGYIIVNKVPGNFHVSAHAFGGILHQVFQRSQIQTLD LSHTINHISFGEEDDLMKIKKQFQKGVLNPLDNTKKVAQPQGGTGMMFQYYISVVPTTYV DVSGNEYYVHQFTANSNEVLTDHLPAAYFRYDLSPVTVKFLQYRESFLHFLVQICAILGG VFTIASIVDGMIHKSVVALLKKYEMGKLS >CAK77384 pep:novel supercontig:GCA_000165425.1:CT868271:5997:6986:1 gene:GSPATT00039212001 transcript:CAK77384 MVRDFEVFYTKPKIMFKNLIEGCLEKIDDNCLICQEGWIQDEFLENCHPIFGDLIIQGQE QCDNLIPNHSCYQCKHPCVENCYICQFAICLQCIEGFVINSNLSSDPFFGDGNLIPYSAE QCELSVNGVRDGCHDCKFIPIGNCKTIQFSIFWNVNQDIKCQIISAFLIAEIKQLYNNKR IAMEIFNLKMVVINVSLSALQIAKYVIEGMSLLITIVYLFMVIKLQLKKKIVTMPTLSNL MVVFNVNILVQKIALIVIRVLVQTAMINNNHEFQINAINHQILETDQEQEVAMMVIIKQS RFGFGFGFGFGFGFGFGFGFGFGFGFGFGQ >CAK71729 pep:novel supercontig:GCA_000165425.1:CT868102:3:624:1 gene:GSPATT00038654001 transcript:CAK71729 ETLQEIPKLLSLEKSIQFYSLQGIKSTIENGNIITITSQDQQVVIVSQNTFSYPNKYQFT IQVEQYDTSQNQQLQVGFAHHSKLMNYNYFYRQNCSAYFGLSNTGRINFSVQKPEGKIIG ESVRLALKEKRPAHITISLDIQNKQCLLQYEDIKIEYSKKKCSKLGFGGETGAEQFFQKN KKFVLFFIGNLADMKCKVI >CAK71730 pep:novel supercontig:GCA_000165425.1:CT868102:642:2378:-1 gene:GSPATT00038655001 transcript:CAK71730 MASAFPKLPGFVPTQEIDKPNFRKVSSTKQEQNREVNHLANKEYPVPRKQPIQIPPQSGM FNPDYMSTTHAMHLPKNANNDSEELYQPSWVKMDRHVLRFSGYFKEAVVESALENYRIRK ITLFYYLEDHSLSITEPKQENSGVPQGAFLKRQKVLRADDSKTFILPEDFRINRDIIIFG KTIRLFDCDQYTREFYELQGIPQEPSFVPQSDSFETKTMTKFIPQKDTVMKDYLEHKLGG GKVTSQKQFLENDRKVLKFYVFSDIEYILHYYLADDTIEIKEINSANSGRVPFPMMLRRQ KLPRKFSLNQPGQTYAEDFIRPQDIQYGQPLIIYNRKFLINGCDQFTRQYYFDKFNVDFP LGGQEEYVQQERSNIIIPPHNGIGDEQDSLGYIYRLQPIPPKKDFFKWVDNQVNLRFLAM FNTTKPEDKDRVFVITFFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNVNNNNEFFTPG DLIVGNEVQINGWRFQLLDCDEFTKKWYSENFK >CAK71731 pep:novel supercontig:GCA_000165425.1:CT868102:2398:4430:-1 gene:GSPATT00038656001 transcript:CAK71731 MLRIKINDYFEFPQKINMFKWTRDHIVENMEVEDQSDSMYILKGVLVHVGSAEGGHYYSY IRDVDKWYEFNDKVICPFQIENLKTECFGGANNNLSEWGMSNSKNAYILFYEKVKHNIPE QFYLKGSNEEILIQHVISENTEYLKSQLFCVQDYLKFIQTFASTMQIKTPYQVTHQLSKE SNLSELDNLPSLRMIKLLTFFTYEVLLRNKDQQMFQYNMQVLSDLYKQEPAANFWFLDLL RNHKLLIIDLLIESSYSDVRNAFAQLIIQSITIIVEYEQHYLFEDSCIGRFLQFYIQSLL KVVKSTLRRGTEYFTVIKNILTNNQLLVKHFYQQEYFKQVYQLLQETVTEAHVYTSFKLQ SLQTNNTDQPLMVICDIITKVIISCRTQSMIELNEDAPTYQFKGQKELDIDQFWLSRLLD TDDFKKYILAMIQFQPNLIDMIKHVCWKNSKISSQIMTLIVTQFLDFLIEWQYLEPLSQT VEALLKMDDNLVELRFQCLLCEPFKILSCVKGSSIMNAIVSNFDKDKNYGFCMIAILANL ASQVNYVGEYFKKNKQQFEILLWKAREYKNINYGLYFPVMKIQKSIQQLSAIFEEPEKPI IQFSDEKCNQMVQEEPEPEDSNLQVIHLIQQNEINYTQTKDDSMDNNNPSDRENPSDREN PTDQLSE >CAK71732 pep:novel supercontig:GCA_000165425.1:CT868102:4558:5211:-1 gene:GSPATT00038657001 transcript:CAK71732 MLPLIQNHHQLKFDVNEVDMDLGVKGSHGFVGLRNLGATCYINSLLQQFYMNIPLRKGIL NGQIMITEMKAPLIFDNINAVDSPILQRKMADHTLHQLQLVFIQLQESVKQYINPHQLIK TLKGYDGEVINVVIQQDCNEFFNLITDKLEQDQKFTNQSNLIHQILGGTLVNEIKSLEPE YDFRRENEEPFLTVSVDIKHKKCLEEALDFVCQGGCS >CAK71733 pep:novel supercontig:GCA_000165425.1:CT868102:5228:8583:-1 gene:GSPATT00038658001 transcript:CAK71733 MSVYLQCFRCTALEKRIYGLQQFCDKINTAQSNEFMSQQQYYINDEWYKNDNVLKYIVDN QVFQELFGEKAHFELMKRSFPIIQFLYLHQKLSKDEILSILRLGKGKHETWDNMISKLLT DLAEILSLEDVETLIQNIKQSQIDQNGLNFIKSLGRNKYLRQDLENQNNRMGNNNNNDNF IKQNERSSGKRKYEQFDTDYKEIEQNKNETIEQFDNDRAIQLKSQIVEFLLNIVHEQPST EIGQSAFQIAINLICHQFKSLRQQYLLHGFSNLIAQEQPLPVCNYITVLQKIISSSYPLA EFNNSRDVLKWIQDKYDIKLNFLKVMGREKLKLIKRESKDYLQTIEQFVKFYEFLHQDSK ITRAQLMILWKLLVENARCIEEKDLFFNWVGDVNKKFLDQEAIELLFISTIKSSSLSQQM LQCLTNLILYFNVQYKVMKLQYDQYTIVDADIIGLQVLWKIFKQQVQLGPQLQQFFIKLL RFKQQQTILNQLKQQYLVQLFNQINNPNSLSLIVKLLEEFEGYQLIEQGEKVFVTIDNKC MDAMPPKRQDIQLLSGLPVLQAKQIIGQKLNPSLKPDEFDIFCRGTLFDDNKTLKDYKVN QKLTFVISKRENLADEINAYNNTTNQISNEYVPNDSDERVQEIINIVQIQDRDFIISVLK EKNWQVDNAICDILDRGEQLLQEYQQKNPQVKKQQKAVMKQQTDEISFASLISNNYINQL FILLNEGNHEQSTKVWSILQMIPRNKEVYELIEQSQTDWCNLLMVDNKYKLQYHLQILKE QLQCDYIEDQDEYEKRKALRENFLFQGGLKLLLIQLDNPIEILTIILDIFQIYFLAYSMS RLRMMNQNEFLQLLRLKQAVQQKDEDPKLCSLLSIQLQKQEKPNIQGYDEAQLLQQVFFN SELEVEWNVLLESLTQRIGVEQIYTNILCILYMKPELLKLELPINRLVELLNSANEEQRK LTAYFILTLQDIGKRNGVNLSNDILKALIKGETQYDELYLVIAGLIGQVNDLTFFDTHQL AQQIISLILNRQIMEQRFTENEDKLLQGNLLLLTSLLQVDKNIKVDIQFTKFLYKCLFEM NNDYYIYPVYKRKLTRKRVFQFVIGIVQR >CAK71734 pep:novel supercontig:GCA_000165425.1:CT868102:8654:9650:-1 gene:GSPATT00038659001 transcript:CAK71734 MNQQYQSGDVEVHGFQQQQPKKYVQVGSYCDVYYDVQKIRQFEDGIEMIELNDTLHQGKA SQMRSYYTMKWMEYLSELEIELKAILLDRALFVPDLNQYFNKRLQPMIEVALDKSINDTS KLKIQCYINEILLLVQSVSIKIWKEHPQISLSILKRLHSILSNSFYDSKIRDLSAYIDTF NDFYGERLHHGVRSELEGSDNIQFTELYNSKTFYPLKGEILDSYRMNQDVYSFSPFQRVQ IHYFYKNGGFALLDDAIRNFNLNNLDVFSIFQYLNTFLDRQKFLNYFHHFRLSVFDIQID RGRNQEFQSRHNQKVD >CAK71735 pep:novel supercontig:GCA_000165425.1:CT868102:9888:10235:1 gene:GSPATT00038660001 transcript:CAK71735 MGMCTSRRKTQDHKNTMPTSELHISYKSSVQQIKSIYFDADQVFIDEISNDANQIAYEKQ KRSYVQREVPIQLLSEKKRNAHQMGLEPQFHTHRKVPILSSKENSIIQKRKSIQK >CAK71736 pep:novel supercontig:GCA_000165425.1:CT868102:10335:11386:1 gene:GSPATT00038661001 transcript:CAK71736 MSEKRDNLCSLTCTCMITVCYLGAIGLCRTVLFSNILKLDDKVINSVSLGIFSLIFLIGP FSLPFFQRMILKFTYKAVFFITSVANIFSMTLYIIVIEQQPKDVYLITTILIFEALVQPP LWQSSIAHSITMFVACPIRTMLAFTLEQPTPYSACKTLQEIFMIIFEGKIYDYNEYFYYP MLGVSLLITFLYWFIKEPDSLSKSVTKQSIDLQQKLNEHLYGSLMDDDNQKNQANNNEYI TQFKLIWKIPKQYPQFVYLIPTIISIGMFTAFSVVYSQDMIEPNYTNVSYLKPAIVTNLS IHGVGQFLGGILIGFLSYSYGYLNLLIVLQLFGAATYLLSVN >CAK71737 pep:novel supercontig:GCA_000165425.1:CT868102:11465:11752:1 gene:GSPATT00038662001 transcript:CAK71737 MSGLLISAVQVLTFSYCGSEYVENKNVLQICNWIYCWSFYFFTVVMSIPNIPGTANQYLI ISVNVFGSFILAYCVLNFICLWIHKRKYLQLRENE >CAK71738 pep:novel supercontig:GCA_000165425.1:CT868102:11800:12877:1 gene:GSPATT00038663001 transcript:CAK71738 MYKYNFEQNSQHRIEILELSDEKCKFSFEGDLSIANAIRRVMIAEVPVMAIHFVDIIENT SPLSDEFLAQRLAKCMIGKLMQIPLDDQKTKVVFTLRQKNLNDAPLEITSQHLEPEFGQV GEMAIRPVRMFSPINGKEVGIPITRLGKNQSVHVRCHALKGFGKMHAKWTPINIATFRHE AELNFDHSQTQSLSLFEKQSIRDSCPMNVFAMDANQDLIVNAIEKCVFCEECIRCAESMK KPRLIKMQHKKNKYIFTVESAGQLKAADIVQKALIVLRKKVDEISQDLSFAQQQQQI >CAK71739 pep:novel supercontig:GCA_000165425.1:CT868102:12918:13336:1 gene:GSPATT00038664001 transcript:CAK71739 MLSYVSLNSKDSLEEFLQLYQCKDSQKKNVLKKEREIVQYLKGLGLQMKTDPLLKLQRFA NFAIQSDISPDSNEEITLERKQQVIENKEFNLSNNPFLNRESRQIKRSFSFENNNRFLND QRDNVELHQFD >CAK71740 pep:novel supercontig:GCA_000165425.1:CT868102:14455:15291:-1 gene:GSPATT00038665001 transcript:CAK71740 MNNKKNQQFFLGQQQLSCILKPEIPNYIFGHLDQNLLTKTKEQINFSYDQFYQNFESKFS KIFSDQVIDVLNYCTELDFNSQRTNQYIINLEKELEMQKQKVEEQEIIIQSNTLNHSKIK QQLNTQNDTITNFLQKLEKEISNLQSLNLVKSDLENKKVQDLQNSFQASIDNFQSLTFKN SEQQNTQINNLQNSLQSSIDKLQSITQKNSEQQNQNFKDISQQKTSQINSVSKIQIWFFI IVICFLYYLRNELDSIVKLNQEQLHDLNVLRFECYKRP >CAK71741 pep:novel supercontig:GCA_000165425.1:CT868102:15321:16208:-1 gene:GSPATT00038666001 transcript:CAK71741 MNKSYCSSHEQEEQKYICVHPTCLQKADNKLCCSCCFQQNHREKKAEQHKIITLSELEKT AHANYTSLKEHLLNEVKKNSELHAQIEEQLSAILLSLTNWQNNQKKMFNDITENSSQVLA QNIQKAENLIENPSLDTFIYFYKFNLQDKQNEMQDIRDQSTRQLNYQLNQIEMKRDILIN QKNFIEREHFLKFAQMDYQIEVDNLNNFQFEQCELHLSQKELLCTHLKCLEKDPLKLECS LCILQDHKEHQQDNYIKTLAIVMKEQTEKRELIEQLTKKIQQRYQQINQDEFIKN >CAK71742 pep:novel supercontig:GCA_000165425.1:CT868102:16444:18622:1 gene:GSPATT00038667001 transcript:CAK71742 MGQFLGHLQNAQPPQSNPLLNNSISKLREYHGSFQSVCDTFSIDLTEFEQIFGSNETMFQ IWDTDNNGLINALELFSGLIIFAESNFEEKARFLFDLFDFNELNSLSLIDLDFMLLSCAN ATFKIMQINNEVNEEEISDFLSNFFSDNQRVNISQFLKWCVKTDEIRQFLQLIKKEAPEL KVTAQTEQLSQKIDVLRQVFSKEFGKKLLLPDSKRPYFDQRGLGSILSSKAYHSKIQWIS SLAKKVYAPQPQVFQKDVYAKMNWVYGFRGKDMQSNTDTALNEKMIFFTACIIIVYYPKI NEQRHYLEHESEVISVAVANNLSLMASGEYAEQPAIHIWDNNTLHNIGVIKGVHQKGVHL LTFFGNDELLASCGIRVASPILIYNIKDFTLVLSTQVNEFAVDLLTIKNFIGSFGGAQYR QQQINQQSLLNPFKKYENSFVVCTIYQIIQFQYYDGHFLTKEIQLEEYNLTSPLTCATAL RIYSRDPYLKAYQAEEGEAIVIISGHQNGAVILWENFERMDLMTTYKDQIVCITSYQFGI IIGTDASTIHLWDFKFKNNIKNIDLTAFSFKLFSYVISDIVVAGDKLLVASTEGDVVEIF LQQKQEHSSNSFVNKLRANRINYIIQLSGTLQALCILERPDSDDKLVFCAGSQSTVYGFS LETHEIVDVWTIGDQISSMDCINFEDGGAVFAL >CAK86298 pep:novel supercontig:GCA_000165425.1:CT868569:2:893:1 gene:GSPATT00039754001 transcript:CAK86298 FHLLMVLHQHLFVEITLSVYGMLRQDNRKPNQMVIKMEFYQSISLLMVLHQHLVVMISLS VYGMLRQDNKKPNQMVIQMELYQSISLLMVLHQHLVVMITLSVYGMLRQDNKKPNQMVIQ MELYQSISLLMVLHQHLVVEITLSVYGMLRQDNKKPNQMVIKNGILSVNFSPDGTTLASG SYDKSIRLWDVKTGQQILPLDNRYQIFITQFSHQILNNNLLPESVNSPVNYPYHIKIATI LIIRCSNFERRIYQSIRH >CAK86299 pep:novel supercontig:GCA_000165425.1:CT868569:1130:1489:-1 gene:GSPATT00039755001 transcript:CAK86299 MLEKILDLITFIVLIQYNFQDVEFLPHVTDFLDSLTTRNLEITFSPRGYQNYQLIKDFYN IQLIPLFRYFIIIINLVSYVYSFQQQTSFGFEQGLQILILINYKYSFKNQSFKVSKIGF >CAK86300 pep:novel supercontig:GCA_000165425.1:CT868569:1577:2197:1 gene:GSPATT00039756001 transcript:CAK86300 MLSRKQYPLKLCQKSKSIILKAPFSFRFWKLPNSPITVRTQTRQLDFPSQRGRNMNGFPK LPKAGIRMSIRQCDVKTGQQKPNQRVIQVKFIQSISLLMVLHQHLVVQITLSVYGMQNHQ RRYSNQIEATKIYLPNLNYHFRIAPYWQMLILLHNSQNPVFEASGTLIFQGQFIIHQGKN FNILFLSEGSC >CAK81134 pep:novel supercontig:GCA_000165425.1:CT868393:3:698:1 gene:GSPATT00039441001 transcript:CAK81134 QAFGENQWNCQYCLNGHSSAIFCVLLNNTDDMIISGSYDKKIKFWMKQNQWLCQQTISDH SETIYSLSLNEQQNKLISCSKDSQIFIISKLDKLWSVTQKIKVDQYGQRLCFINDNLFAF QPFCKEELYIYEMDTNSKQFRKFKEYKVKCSFERCCCLFQQQYLKSKSLLVNKNGNFVNV MRIKDNGDFVTQQSIEFNSIIIYGQLSEDGEYLITYDEGSKEIQIRKYQEF >CAK81135 pep:novel supercontig:GCA_000165425.1:CT868393:1226:2650:-1 gene:GSPATT00039442001 transcript:CAK81135 MFIVFAAAFQLSTRITQDFKMPILHSDQINPWFLDDQNQNEAFVDWEGNQVRQFHSFNEN QFPINITLRIQTQSEFYPFIQESLQLRFPEVDEITYTLLPKQSYQLAMEYNCTQHNEGIQ QIDLIFMEQSFKNNPLHLDELNQISIRYYKYCQNPHTALIDFKNMVAVILIYITTTCSSL FCIRKVFTQFEFTPTKQLLTLLLFPAIGSFFVYPKTISFILAFIVLCDFIYYASKSLIIS IIVSLIILYFQHYIIESTQIEQVFFNDLFHQILPCIVTVLLLIQVNRRIIIANKFQLFLF FCIIWLTEIYSLVHRTQVDKHLLIQNSQEMSGSIKTKFSFLIANDHTQWQQKNIDIHLYQ LIGLSLIQSFIFRHGLFNKEHIVNIIIIGITQFTGLILFDLLYRYKHNPPFLTLITGFIL LGMMLNYLRTGSGLRNPYLEFEQIEEKIKI >CAK82341 pep:novel supercontig:GCA_000165425.1:CT868430:2429:3971:-1 gene:GSPATT00016727001 transcript:CAK82341 MQVVPLQTKESENLNSESNQMLQLSTSVVLQSQQGTEEQIKSFFHLSVIMIAIQSGNFAL GYSLAYLSISFTTLFSQITLKSSEIEEQGLFSAVLSIGQIVGAVMTSPLLKHTTRNQSLF ISDVFGVLSILQIIPNREVILAFRFSFGVCLGMSTIIMPLYLKELCPQKYYESFSVMAGF LVGGGYLFVTFLGLGYIDESLNGPESNYWKFIFAFPSLLHFCRSFILTFIYKMDSPITLI QKNKDESAKQILRTIYQEQFIDQAFLECKLGVQQNIQLGEGILSIFTKKHRTTVTIGCVL VFVYTWSGLFALFSYSSQIFSEMSKDDITLNAIFNLIIGIVQFAPAFVSKYVYGRWGKRS LLLFGLAVLILCQILIIGLSYSQELSTVIISFIIICVFSFQYVLTLEPITWSMIPEINSS EGTYFCFVTLYAWQLLVLYIFPLMLDTLAMSGSFIVFLILTLLSTVFFYVFVKETKGLTH KEVNKLYGKD >CAK82342 pep:novel supercontig:GCA_000165425.1:CT868430:4401:5981:-1 gene:GSPATT00016728001 transcript:CAK82342 MMNSNPLFAVYDYKINFDIMLGKGCQGQIYQCQKISTQEEIVAKVTKVNFLNANTIEREI AIVNKIKQQQNVQNLVKMYDIVLDEYNGEKILVEFMEKCDSDLGKLIKEYQQNNRSFTFQ QVIDFAGQIIRGYTHLNKSNIIHRDIKPENILITKIGDNLELKITDFGVGKVLTNDYAIT NTGTPIYSAPELTCSDQAYTSKADIFSLGVILYQLTYNTLPFKVSGKSVQIVKQSLKTQP IICQNKEGFEAQFLDLIDRMLRFSPHDRINWDQLENHEYFRNYFPENCSQNNLKQIKQLH EYMIAVYYQTSKIIQIVGNLPKEFKWQNLAINLTKYILINFQNELIQIILSIKNNKSEFN KSIVTVSQQQVDQKEIQQALDKNNILDCQTNNDELIKQLKQEIEDSIPNLEDIFFQDFYK SLINIPVDESIFDFWHLKFQIVYKTQIRQWLSKYLVQKQVTITYAYLLEKFSYLLVEYPK TSYNNFQYNQIHSKIIQQDTALEYLKQKQLI >CAK82343 pep:novel supercontig:GCA_000165425.1:CT868430:6225:8746:-1 gene:GSPATT00016729001 transcript:CAK82343 MQISKEKRMGAMLTILSVVDFGYCFDNITYITLILSCVLIICSIVSVLFANKKILSLIII TLYYVKELTLYGPTLTWPVLYLFKLIGSQNYIFLLLFSNQIVFNYIDSIWVYNVKRRDHY FIQLTFILLLEGYSQYAKKKTYYQCRRLLRKKFKQEFVLQIFDINQRNITENANFLPVTN KLQTENTLIGSPRGDKINLIQDDKDIHYKLFLNNEQGFSSEFNQQPIQQQIIFKSTKELL QFLLKNNHYFILAIQNDPLLNVKFSYQVRYFEYKNRQILAFSRIDNCLFARQNQKILKYK QNLINIFNHKLKTPLNSAIGHLITAQEDDQIIDQVKKLYLQPALLNCRLQLYLVQDILDY LSIEIEQLPLMNNKTNLKTLLLEVYDLIEIQCKLKNINILFKINNENFKKIEMKSLFIYT DSNKLIRVLLNILNNSYRYTDEGGSIVLEVRLDQMNKITYFSITDNGQGMDEEQINKLNY QLKSFDSTTFNKLNKQQDDNRTIKLGLSLFLANKLIKLLSGDSSFLQLRVTNNQLLFTFS INDIHEIQSASSLGKSKHNSFVKQKSLRVLNNIQFNSQSSPRISKFKQCSQRQIDLKNYN SLRLIYQSEIHNEPQPQVPNRIAIHQNNPKFHHQRQRMKTKKRSLEYQFKSRATSFRTHQ IEQIILPEQMQQQQRLIQNEEYILIVDDEPFNHETLCMMLKNMGFKNFLKAFNGQQCLDI VLENHNKIYMIMMDIDMPIMNGIDTTKQLESLISNNKICYIPIIGCTAHEDYDSHLQCFD AGMIHVVVKPVFIKSIKEAINKISELKSNETIKEDTSSDVINRIQSQSFSSQ >CAK82344 pep:novel supercontig:GCA_000165425.1:CT868430:10102:10394:-1 gene:GSPATT00016730001 transcript:CAK82344 MDTLDEPLDVLKFSIAQQIYIKMRNNIELKGTLVSYDNHLNMIISKAEETSFQEGIKTKR KLDALYLRGDGIILISPLKKGITSL >CAK82345 pep:novel supercontig:GCA_000165425.1:CT868430:10433:11593:1 gene:GSPATT00016731001 transcript:CAK82345 MKQVTFLFILFTLTIQTQLQSKVDQVMAQMDKMALKNDFSKQLAGLIELKMLQSSYVEEV LKEIKGIRDQLIADQSVEDQEYAKKIGQLNVEIEILEIQTEKLAKELQRLNQQIAELNED ISKLIGTQQSQEKQLSTLNSKEEEIRNQYKSEIETLKQRTTNNIKSIDGLNEMIAKLQQA VFAEQSKTTVLSQQHTKQYVDDLRNQLGPNHPLTALVAVTTKFDVPTVTRIIQLLENIRD ERIQENAGADEYEAKVNSSYQVTLKEVTEVRERLSADYSRTVVTLKRRNEENALSTKSRN QIQKDLPIAQDLLQQYRNEREIVQSNYNLRSAKRENEVKIITQAYTIVAQQVRV >CAK82346 pep:novel supercontig:GCA_000165425.1:CT868430:11655:12102:1 gene:GSPATT00016732001 transcript:CAK82346 MNQSMAKLYSQDGTGRDSYIFCDNGGFYPGNFKLQKTPQHQSWAYGTHSPQKTHFKPEKR QFYISDGTGRDTYVIRNVQDKAFFSPDFSFQLRKYDSQIMNPQYPYKLPPLKQAQQSIKS DKQKSLIQRLAKPKLRTQSD >CAK82347 pep:novel supercontig:GCA_000165425.1:CT868430:12600:13050:1 gene:GSPATT00016733001 transcript:CAK82347 MKEKMKEKYKKLNQDFASFKYQYKDILFDNPYVNNKFKKNFFNLKFSDEQRETYAIRLGR LAVKHNEQIKQMQRIVDDIHKKYEAHNEQLNCYMPKTIENLVTESDSYIKSSKIYNPDIL AKYQQLKYQHFWNKIPKTLAN >CAK82348 pep:novel supercontig:GCA_000165425.1:CT868430:13254:13991:-1 gene:GSPATT00016734001 transcript:CAK82348 MDILVGKSKLIKVGSMVLVAVFIIICYQLDVGAAKGNSKMLSTYFTYSGKFRVEVEVLDI KETSSIEDCNGIYFCQVVKQAPVYGGIDLAIAIIIILIELLEKPVLKDKIQPDLLKNIIF ISLLIGFGFALATMLQFWFAAGSDYNLCAGSQILIVLYNLLFGFSSFVQFKVKSSQGQDT LLS >CAK82349 pep:novel supercontig:GCA_000165425.1:CT868430:14871:15086:-1 gene:GSPATT00016735001 transcript:CAK82349 MNSKQLKFEEEQENPNKENEGPQEINQHNLLHSNRPPLTDITEVLYPKKKSKKPQQQQQQ QNVFMSTVSLR >CAK82350 pep:novel supercontig:GCA_000165425.1:CT868430:15548:18651:-1 gene:GSPATT00016736001 transcript:CAK82350 MASEIYDQLVTVFTNTDNKIRNETEKQLVTNLIDNIQNFQQIAKVAMQQDKMQEQAASLL NSVVLKMLTNNIQLTIHHANSILGVLISQYTPLKCKQSLVKCMSLIVRKDKSVKTEIENK MKEFLRQDQHWQFQTGIIFFKILLDSLELQTYSSIVQTGYEWVSEFFNQAAYIMTKLTEQ PKELPDDFITTIRIYTQVVSDLCEKVFDKNNSQKEQTQPIQNILFQLNSFSGVLMILLSY SPQTGKQIQNCSIINTGNDHFDAQLNEIKCQVFKIYFLCLQVLLNSRNKNEVKKGAFGPY LTTITQLLIYSLLAYTNSESIHQIYNKPYLTSIMTYIMKLLANLGSQTEQYQIFSDSKIA LITDAIYPFLITSQKEYQQMKEQPEEFVNLALDSVDKQESDVPKTAAASLLETLCDHIDG STTFLANLAVIISQHSINMISSNPVQLKEQQLTFVMALQDKKLFKEYTPIDRIESSLVIL TIMSYLIQKRLDIVQLMEQLLTDNLLYFQNVQEQIIKLRLSLFFGYYCDNLFKKETQSQN MNAYLQIMISFVQPQEPVVLYQSIDALKDIFEDDDLKNKTKDLVLLLFPSLCNGLQYSTY ERHFETITNLLKKYPNQFLQNDNLLVGLIQVLNQRVITEQQKINSGDQQRHIYLNRCWNV LRQLAEQDEFTGILGKLEQHLAQLYSMLAFCDKIDYDEDLVLFISGCISKLSVVTELQMQ ILPYFQNIIKKQQGRLCNLFETLNQYMHFGRNYFLNEAQQSIYFQLAFQNLQNEDLHGDI EQGEGALLIQLGIQELHDDLKTNILSQILFQTIQLLQKQDINEHLKSRITGIILSSLYKI PEKTYEVLTEFFQAVYNRILETHYSPGYDIKLFIITMSSLIMKQPNLLTPNLLTIMVNNL IAQEKYEKDYKYKQNDYMDDELDDEDDSDFNDEEEIQQAQQQTEQFLSSIVKLDEYSIFK QTLLSIKNQYIYYTHSLDSHKQLIN >CAK82351 pep:novel supercontig:GCA_000165425.1:CT868430:18812:20199:-1 gene:GSPATT00016737001 transcript:CAK82351 MKKTYNPNREYLSIYKQICQEQHVHLSKTITQGLKDQSLRLNAILIRIEDIIPLQLLLSL CHFDSIVVYGRLRKKMNKNDLVSLEELQQIINGILTAINLNLTQTQFNLMHIHITDLILS PKDCECISFGLKSTKTLKELKITNCSLTSQHLQILSEPIQQCVSLNLLDLSNNLLKENAG MIIGKIISSHAGRRDEMKWAEEIRGDEPSQQLALQGLCEIFLHQNMFNDRCVKDLCNFLM YDSWTKNIGLRENQIGEEGVKLFSQILDTNESLISLDLRENPGFVEPYSKDIFDKLVRNI QIFKEQKNYYEDVEENQEDEYPIIQEQQQEESEELECPNCKDLLRQNKQLQKRIQQLQQR PRYDSQLMNSDINKEEQQSGDLLIQIENKMNELTTLMDMLEQQKQQYQQQQQSGSKGKKK KKKILKSPI >CAK82352 pep:novel supercontig:GCA_000165425.1:CT868430:20239:21202:1 gene:GSPATT00016738001 transcript:CAK82352 MKSLSASKYNHNNTSFTTPEKKSNKSRQNTQSTMKLSGEMMSVQSYLYEQAHLKEEASKK EIIRLIRLVIDSLEKNRNIKELNEQHTKLIIGLRTCINQQEVSSYIIQLIQIIREITNNQ QFKKEIQTENDKAILIKRIHELELQAQETQWQFEMKIRDHQATQKIKQYQDELEQIKKYK KETQISKPQCNSPQNIELKNQIKLMQQKIQSLSEKEKKLIQLVKAVKSRGIDVEHIYKNI NQISSRNSRITKDETITDFVDSSHSDFADISQLDAGQTLIKRNHKFLFD >CAK82353 pep:novel supercontig:GCA_000165425.1:CT868430:22550:24275:-1 gene:GSPATT00016739001 transcript:CAK82353 MLQHMKDQSNLIEQEFLVGSKQRIKKIFLLMSEGMLFKVSELQLKRAPLLTMHVQFIDPS SDHFVQLNSDETLYGFRLSYQGKSLEIFTSDKANYEIWKTHFRLNCIMNNFHDAFSVSKM IGKGSFAKVYSATKKENNNQYAIKAFSKSYMSQQSKGIESLLNEIKVMRRLNHPNIVKLH EVHETTNSIYFVLDMIQGGELLQRVRETGFLPAQTMQKLAFNLISALRHMHENNLIHRDL KPENLLLKSTENHYEIVLADFGLATSLNEEPLFKRCGTPGFVAPEILEYVDGMDFYCDKC DVFSAGVILYLLITGNAPFAGADQKSILKSNKQCEVDFKDQQFKLAPTQMQDLVQSMLIR KPSFRLSSEECLKHPYFKELAKEYNRQIELFQNNLQGYSEFKNAIKIGTQEIEQRSPLNF NSSESISSNISLTKQKQRKSSIVVGASKFSQYSAKLSKQNSREIAGKFYSYLDIPQKQEP KKQQDLHRLALTNSQMKNMANCKQDSFDDPTAENCNIGAMVRQYNSTRQIRIPDSSLKIK ECNTPTLQKQ >CAK82354 pep:novel supercontig:GCA_000165425.1:CT868430:24789:25545:1 gene:GSPATT00016740001 transcript:CAK82354 MNNSFSAEGQTYQVRTVTTSQNVQRTSNGEFQDAVQRYELRRSSRPRQTSNNQQTTVITS KYVQMENEGRNSNYDLEASRQSQRVVEKYQGNSQNSHYEVNRQSVKEVMDKYRRGRGTTT SQLQTTEVKYSAVPQPQYEQLQQKETIFEGRNSRYVEEQDYSQEKQVTINYNTIQTKQQV YEVDYEQIKEDCQISDIYRRPEREEDEQVDLLDSDYVSCNIF >CAK82355 pep:novel supercontig:GCA_000165425.1:CT868430:26399:26787:-1 gene:GSPATT00016741001 transcript:CAK82355 MTNLLNPIKVEEDLEQQIYNPREQPIQSISTICSVKQLTEDLKFKIPTKKIRRKTASKMY KNGHWTQKEHNLYLQFIETNKAIMMKSHQKKQEKIFKQMSLVIKTRSPSQCRSHHQKFNP F >CAK82356 pep:novel supercontig:GCA_000165425.1:CT868430:27561:27947:-1 gene:GSPATT00016742001 transcript:CAK82356 MFKQYSFSKIIPLLDKTSHKGQNGKIASIGGSFKYTGAPYYAAISSLSSIQIMHSLVFGP GLGRQKINRKVLEQLFKQNNSIKILDIDALWHISQKQNKLIIMQKMEKWDMQFKWKNLKR DVEVRLIF >CAK82357 pep:novel supercontig:GCA_000165425.1:CT868430:28010:28478:-1 gene:GSPATT00016743001 transcript:CAK82357 MPKIKTIRTKKAPEGWDLIEPTITEIGNQIRDVENQAYSDKKKPEQFWEIYKLHHQRSRY IYEMYYYKKEITRELYEFCLQEQYGDATLIAKWKKTGYEKLCCLHCISKSQHNFGGACIC RVPKAKLEEGKLVQCKQCGCRGCASGD >CAK82358 pep:novel supercontig:GCA_000165425.1:CT868430:28515:29511:-1 gene:GSPATT00016744001 transcript:CAK82358 MDLRNRNTRKVLLQMLEKQLKSIMTENTTKVRYQEQLTRFQIYEDQKKARQKQHSPSPQL MRVHSQSDHIQFYERQQSQLKLKNERLQQSKEKSIQKKLYEEIQEATFHPKILKPKSQNT QKNDLYQQGMKWMQQKADHIDKLKESSMIQISQENLFKPMVNKVSEKIIKTTNQTDFFQR MNKNEDKKKEKIKRLKTDATPTFKPKINDRSKRVQSTLNKDILQVSVNLELLEKFRNYNQ RDLNLSCHEYSRQRNCSSALSQSIDIDKQTSDYPSFRNSTTIKKQPKLQIDFNSPSQNMS EMLYEALGDQNYDYF >CAK82359 pep:novel supercontig:GCA_000165425.1:CT868430:29629:29796:-1 gene:GSPATT00016745001 transcript:CAK82359 MNNCLLTPKVKQQKCPEAPRKQLNFTRIDDQSIRSVCRILFVEETKPEIILTQTA >CAK82360 pep:novel supercontig:GCA_000165425.1:CT868430:31064:33101:1 gene:GSPATT00016746001 transcript:CAK82360 MKPFQTPNSCHSRKGSAKVNRQPFAIISNCPEFQQCANYLQSKVQGLEMRVKNLNSHHAS ENRSTTASKTTSNLSPFNTHKQCKKYGSNKQIDTQSYYETIINKRLENISKIEQSPIKTE QSINQDKYSVHSVANHQTRLNYNCIQKIQTLTKRLRQFKLVKDQNQDECAPSLAKIKCFC QEFSNECFDFYRCTDLITLKYFIYLLLQELNNNSETFRGNDNYHYEQQIEYLKSQLDNQN MDQMTFKGQIQLQKMVYDTQNQVQEIINQLKSQSNQNVLTNQVDKLNKQIKTLKDNMNQQ QFLKSSFGVNLSNYETNENNSSLINQSNYKTNDDRFMTQMSSKSKSPYCRNIRKTQIDSD SQNNLRLLEEQTFINRQLMEKIFELQSQDKQNFAIQEFQDQLNEKNKQINELQKNIQNQG CYQELNSRILEISKNLDNVIQQNSTLLQENDVLKTKIEQLKQVEQKYFDLLQENNKQCQQ LNSIINDNCQFKKIQQQYEAECKGYQQQILKLQEKCEVLQASLQNQNDSKLLQQQINELL QQQQEQNKIISLITDEALYLGQMTLYTNDLLQKQQGDIPISIKVLQKDLNNKKATIQQKM KILQQFGNNMKIKQCVSHNSSIKTNSDVDLLENLESEVMPMRCQQQTNQHSDLMAMLVVQ CHTLEKMIDF >CAK82361 pep:novel supercontig:GCA_000165425.1:CT868430:33276:35512:1 gene:GSPATT00016747001 transcript:CAK82361 MKPNSEILLCQMYQLGPDKWNYVDIGYTHINSKNELILLQKDTSQEVIVVPIKHENKFCF DEEEAIQFSFNEEYALSFQSREGAIGVWTRIQNILVENEAEDQDSIALTPVSEANLESIL ETMNTMIAYGSQSKQMLSNYLINKKDYFEKLIKLFQQLEKDNNGKLLLKMCQIVKNIVTV AEHELFQIILNDQNYLFIFGALEYDTEMNKKNFVPHRQYLEKHAPNQEQRATQNHSFHIS AAVLARLWFGLLHRRVPVDVHKDSFNSCYVDLFKYIENSKDFLIEVIDQLRNFNFLALRF LSEICSVFKEFPDLNKVVIYQKLSEYGLYEIIEDYIYDSLKGFEKYKAKLKKLKFKISED VFTKIPNMILELLIVCLQHCPTNFRQYVISEHQQVLKYPLFNIIVDNAFQNELYMEVLKL LIDNNSEEQNETMDLFLLQFYPKITSQISQKSTREFKYQFLEITLGLVRAMKPQVKEAVM QNLVTLKVGSIIEENQKLLQTKCLQILKLVCLSRDEDINNDIIMTIPTLIHVILSYNGLR ENLIFSQYLEIIKIIYEGISQKLISSLEDELKKREKEKNYHRIHDIFKNIKNNCMKQQFS SQTQSSSQMQSRYNVVDDEMDLFKSVQGHSSTHLVKQQKKPADQEDDVELITKKIKKD >CAK82362 pep:novel supercontig:GCA_000165425.1:CT868430:35533:37496:-1 gene:GSPATT00016748001 transcript:CAK82362 MDYQKLFNVIPKEPRRWTQCDVESWLQFIGLQDLSDVFQRNAIDGACLEVLNDDDLNELG INSNVKKKKILQWIQNGFIEYKQFVRDNVGQSEWSIASKQIINKENLDYTPIQRLNDFKP RRDSFQDNHRVELIESMKVVEAPQILQQPKQNLEATKIPSYPNSIKQIESKHIIEIVCIG DNQKICVTEKGLSIGRNPENTLILSEDYVSRNHCLIEFDQKTNSFYLKDTGSTSGTFVLL MQPSLMRLGLILHMGSMQYKLEQMNCNNQQCDVVLRVVEGLQKNQKFQFQLIKNQNCLKF GRQLDQFRMDTHLSGIHAQFSYTDDGLILEDMGSRNGVWVRLSEQGQCSERVKLTQDRQF RLGYEKIYLSNSAISIKLVIVGDGSVGKTCILIRYTQDKFPTDYVPTIFENYCTQIAYEN KMVNLNLWDTAGQEEYKQLRSISYPQSDVFVITFSVDEPSSFQNAVKKWYPELQADQPNV PKIFVGNKIDVRPTENADENKFVTFNIAQKVVSDLGCKYIECSALNGTNLKQIFLEAIRQ AIKKKFPPQQPQASQPTKNQSGAQRKNNNSDNDDASGKCLIQ >CAK82363 pep:novel supercontig:GCA_000165425.1:CT868430:37544:38397:-1 gene:GSPATT00016749001 transcript:CAK82363 MSRQKEREDLFEDPFDSPLRYNHPQFGPSNGLCSPCHYIRSSIRSKSIFLKKSPQNQQNN TTKKTKVYKSYINEFDGQIQIQQYIFSNNSKSKPIHESLSSLFKEHKIEVRYEEPKEEST LVNYLHAIQQLMQELESNVGNLERKLNLNQQRIQEPINQSNNHQLVYINQNVQGLVGRIQ DILLQKNIFPGQSQPNPDENQIQMNFNKDLKRYKCHFCPLRFIKACSLGGHISRVHKEES KQSKSKIPPKKNKHIKKEKNNQSKMK >CAK82364 pep:novel supercontig:GCA_000165425.1:CT868430:39810:41638:1 gene:GSPATT00016750001 transcript:CAK82364 MSFFQNYSMKTVLLTYSAIFSALFSSLSFLTGYFGGRVIINEFLAQSNIIYERDNANKIS YASHYQKYLNSYFQINANPLITLNQLYLAYFTKINQNSSLNQNNFGGLESYPQIIANKNN SKFSDSVFCYTFKEKFNDAERDQIQQVNFGDFIGSIGQIIHPHFDTNEAFLYGYIVEQPR LLYSYPCYSLGQEIQQYQPETRPWYLLAQNISEQIINKREYFYSISDPYLFNQDQKVGIT ITLPLIDKSFQFKGAWGLDIFSDYIIQKTQKMLQDFKDIYIMILTKDGILVNQQGHEHAY FYDQNITGFNKNDWDEIRKNSQQYYKLMNQKTNSQQSIIKFYLPKQQLYFLLQSITLIQI SIIDNASYQDYLDECKTILQTKIDEKLHQAIILLLSVYFSLLICSYLMISKLIISPLQEI IQCVKVKRTKTLREKYEDLLKWRFQIKRKLYISPALKNLQNAVYFLNNFHFQNTKQKNSS CQLMQKFQFPKKEWSKRGVQHMLQKNSKAIRIQDFNTQIDDFSLNRNQQNSNNRNKLRFI HQFNLNSKDKQQNQIQNKDDLNFNLSNQIQLIALSFVKSQLTTKSEVFNDLN >CAK82365 pep:novel supercontig:GCA_000165425.1:CT868430:41788:42378:1 gene:GSPATT00016751001 transcript:CAK82365 MIFSIFKFRFGTIQTNFPKLSFKVLDFQTMKERNPTLLSDSDIQTLSKMHSLNSTWKITP QKLYREIKFNNFKEAFSFMNQVAIFSEQIDHHPDWENVYNLVKINLNTHDIGGISIKDIF LAYAIDTIAMNVRVKSAESTNDTRILEVAKIAESWNLNFDQFHRMIETDSRQI >CAK82366 pep:novel supercontig:GCA_000165425.1:CT868430:42403:43573:-1 gene:GSPATT00016752001 transcript:CAK82366 MKSALLVVVLIACIQATTVSELKERLSGYGDHPFGSSMINLVSVNMKTGGSLNELKQLLQ QIKDELIALTQLQDQESATFTRRSQVDLAKLQATLEQAQQDLDNQRQEQSSLTNELSTLQ TRVKEDQAALDRNGRGSGDAQGRLDAENTDFAAKFQDYSDAILACKEAQRLLLNLRGEGA SLIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNN VLSLVARLITALQEGQDQLEANHKTQVENLTRLGDDLRNEKQTLQVSLATANNRLKEIQS RLNELDGLINISNAIVEVTQLNIQDATKINELEDQEYSNQKVSRQTEIDIVDRLIEYINQ KLSE >CAK82367 pep:novel supercontig:GCA_000165425.1:CT868430:43788:47086:-1 gene:GSPATT00016753001 transcript:CAK82367 MNYDVRTIGDYEVHFVNCIGQGSYGKVYEGRIISQNRKICAKVLHMTEEKREMFQREVKT LEEIKKVTHPNILKIYHIAEQNQKIYIFMEKCIETLEQKMKSLKDQNQSFSADQVLLIAK QICRGYNELRKKNIIHRDIKPDNILIGEDGQYKISDYGLSKTQNDIESILKQTQLGTPLF VSPQVFKGEYSNKADLFSLGLTIYYITFQEPIFKIKTLGELSNELNKIKKGLKLPQIKNQ GDLNSKKYLEDFLKKTIAYEEDERVSWDELSRYLDQININSSISKAPPEQDGNKNIDTLG INLQRVRQRDTDSVIYSIKPAQQEKTQTQEQQPLEPVRFMKNTNQDSRNINQGFQQDSQI KQNQDYNNRQISNYNQTIDNRQVPQYLDTQLIHQQINLIKVQDTPKDFINSDVCDSQFDQ SKQNNSQKQNNNPNIIKQTTSNSQYSQEIIFRRDFQENQNSRVAPQNNNDEGLKIGCDND SQNSANQVQKQFIFNNPDQSQNQIHQVIQLERKSDQKDFYIKNDEDASESIINFNNIDPY QDENQFQIQNELLVSKQNNQKFDEYNCHAINYNTNGKNNQFYDHDDQLSLNQIDSQSEVI SDSYKLSETTQREYLNTQNNLKQTSDSLLFQNQETFNQGLKVKNSIRSQFRAQQPNQITQ IKFSSTNKIQSTCASDMIPQNKINNQTTNLAQFKNVKQNQQAQYEQQVGLKKKQEIQPQD LQKDRGFQKQIQSPNKQGNNTSYQYNQSKTQEFQNQNAVQKIELQNKNVEFSTSNDTQPR NQQLNQQQMFSKQNEKIQNGNQNDTQEQGQVITRTKNKLLKKSDASQQTQPLKQVFQIIL AKIKLAENAFNSYQAFRTNCKFQEFTKQFEILNYLLHYYQYALIANVRLLLKNDKGLEEC YLQTELDQIIVANSIVDYQKQNQQQLNEINEIYQEKLQIAAQASKDFQDFLDSRANNGDI MDLIRFIEEGKFFRFFSYIDFYENDLSSIELLKFFGYSIKFIEIDIKYPINKYKVIDSKQ VDYLTDNLETLQEFIKSYMKVK >CAK82368 pep:novel supercontig:GCA_000165425.1:CT868430:47360:47710:-1 gene:GSPATT00016754001 transcript:CAK82368 MSTQQQQQQQQPKQQQQQKQQTKQQQLQQPQPQTPKYKICVICKRLSQSQCGDCLGWGVL WLIFSISDVVSTIQWELGIFGILGAIFWFILTMIFCVASCNLREVQSRNLYIEIIT >CAK82369 pep:novel supercontig:GCA_000165425.1:CT868430:48332:48829:-1 gene:GSPATT00016755001 transcript:CAK82369 MFGSQCPFSSQTAILVSVYCGFNPTGHSFLLGNFVSILNLEKLAQLDFFQPIAIVGYATI QLGYPSFKMKQSKRSDLIAIQENFYTKIGINQDARIGILQIMGQWNNHYQSRIPWFFRDG KLIDLVSIFRMISLLNKDHIFKGFNNGDDSFNCTKL >CAK82370 pep:novel supercontig:GCA_000165425.1:CT868430:48860:50233:1 gene:GSPATT00016756001 transcript:CAK82370 MLKYQFGQKIGEGTFAQVYIGACNDEQVAIKVLQKESLKTDRDKFRVNKEIELLNKVNHP NIVKMKEIVEDEESIYLITEYVSGGELFDYIVEQERQIRQYRRLTNGEARHIIRQLINAI EYLHGLGIIHRDLKPENILMRDNGDICLIDFGLSMEVTKGQLLVTACGSPCYAAPEMLEG KNYDGAKTDIWSCGVILYAMLCGYLPFDEENTQNLYDKIKLCQYKIPNSLHKDAKDLLSK ILVQENQRINIEQIKNHPFLLNDDECQNSNELSIEQIEQPIDCQENLDNENQQASLIQSQ NQEEDTIEYVQPIEEIQKRPKGHTLKRESIMNELEVNINSMSPKRKFEITVLNKERKNLK TIAQAFQIIQESSRQKDRKIPEEQQQQQIQLAKKPAMKINTNKPYTKIKNVQTIRYRN >CAK82371 pep:novel supercontig:GCA_000165425.1:CT868430:50279:51011:-1 gene:GSPATT00016757001 transcript:CAK82371 MTLMIYQIKRIRMSAIPVLAKTSLTCKIKYKFLQNKFKTASKYLITTQDILQVDNKSIIH LNLLKQQINQLKFYKKEIDIFFQACKLLEQQLQQKRKTMTANSSLKQNDPKLKPIDDLQE QFMHNYYDIESQIIREKGENVQKLALNVQMLNKVLEDVAFQVDLADKPPDLVAENQETTK INLVDANVELVKAQDSQKSANKKLIIIASLMAILVGVLIIILIYNWK >CAK82372 pep:novel supercontig:GCA_000165425.1:CT868430:51110:51806:1 gene:GSPATT00016758001 transcript:CAK82372 MNNNQSYVFQNPNSTPSFLNQVQVENAFLKSDLNKLKEQYKVAMESNQELRNKKEFLEKQ VCNLQQQLNQRDQECNNLRKFLEESKIYLEKQQELKSNCIELQMTNQTLQRQLNQLIQNK QIVEIEFKCKPESGQNINEEVIHLSWKVQIDNLQLQCKRNKKQVEIQGYGEINDNLFICY QKCLLSRIPISEQPPVIIQKESELLIKYQI >CAK82373 pep:novel supercontig:GCA_000165425.1:CT868430:51821:55208:1 gene:GSPATT00016759001 transcript:CAK82373 MNRIIRFGFATYESLVQKLSSADSPAAVLQIFQQNKDLFKQEHVVLSLRMLGRYSRLLRN DSEYSELTSKLNDIVDQLTEYDVIDVLFWMRKFRQNRIPTYFHQQAQTKLYQRIQQMSEN QMFSFRNMCNVYFDLTLLNHSNEQLAQSIAEQILTTKQLSPFLIIQLFSSIVVKVNNCSL SRYDAQILNNAVKVVEDILEELDVEQKSLLFKSLAEVQFQNIGPKYSLPNIIKQLKDLLL QKIELLQEDSVISIFKAYQSLPKYFDQDLHKELKDMIQTTIDQNPNNLSSKFLILLIEKI TNSHAQRYPLDLVKKIIGELTQRISKKEVETQHVGQLCSSLLKQKKFEDLVAVLKDSGEN NLKILNYLFVNGINMKEYVEQYIQAQDSKRINTYNALHYLIYSHRDAQEHEEKFMAICKS VIQQNPQSLLKTSLEININSQIKAQVQEEAFMQIIQNVKQKKIELSKVLKELLNSCFNHK CRQALIQLNEQSEEKLQPKTVLSKVIGDMSDFDSDRLSIVLQLIQKDPKNIPIFKFVDYL TLNVQNLYGLVRSDQIQWVCQVLLAAFLNQPTARLNALVSFVERFESAGYSSRQLTQLIK KVAEQYRTANPQTPYPDSAFVQIMINQGVMTPEDAVIQLNNEKSYYSLKVQLCGIALQLD NPPENVIQLKDKIKADCQLDSDDMKFKQIQEIVALFRLTPNETDKIKNQLKNIGSKLTQR QYFELVQNAKEPTLIKELAIMFSQIGTKIGLIKLIKIVEKFQKNNVANQMIYNILLEQYG LQFNNTFNELRVQILTILANGKLKQVDVFQKTLEKLSKSPQFYKAYYADILESLIQLGII EPDIVNLIKTMAERQNLSNQSILKLIHYYILADQPLEEIEKIANNMETFKAKETYRINTI YEILKRMYPDSKATKIHEPLINEEKIQSTSNRSQHTSEYVQTLLQAVGVQAEMNYQIDKV QIELYLPQTQQSIMVLTGYNLNYDKITLTGQGLLQKKLYNLLSKQVITINFKELVDITNY EDKVKYLQNQGIEISADPATADYSAFNQVEKKDSQKRSNQKKQQKQQKQQIDQDEILEYV EGQ >CAK82374 pep:novel supercontig:GCA_000165425.1:CT868430:55216:56832:-1 gene:GSPATT00016760001 transcript:CAK82374 MSRQNIIINDSVMRQLIEAGYTKEQSVLAIQATNLFGDCQNLNQYLAIAKSNYQDLYQMV HAKDQTNQSFNNSQYQQTIPNYYDMGNSTKRKTKIPSIPVGLVNIGNLCYFNSLLQLMLN NPIFVKTIFDYKVQYNNDKFDNFLNSLQRLFANLIISNSIYYDASEVIQFMWWDSKDIQL VGHQQDFRELCELFLSKVDQSLKEKEQSSSQNQLNLNINDCFKSQILKQQFVLTNERDRY QIFIPANLSYGNIYNTLYHEFGQQKLTSIPENLFFQISRYSYNRNTQNMVKSTQDFNLHS EIYIDFIIQDVNQVHNLFEIFNGATNGSQQMNLDPQQIQKYIASFKDIIEYYSLLQNEIM VQQLTLDQDILKIQQQSSEYQQRRGDYQWLNQQLSQCCSKKYYLHSIVIHLGNANQGHYF IYIYNFSKKQWFQYNDSIVEVISESEVMRLSKNNAYIVTYVSEQQRQLIRQQEEIVELIQ QNDLSNDLYENLELLQMIPQNLFKEIYQQNLNLTI >CAK82375 pep:novel supercontig:GCA_000165425.1:CT868430:56864:57924:1 gene:GSPATT00016761001 transcript:CAK82375 MNQSLTVMEKVEQDKMENPQAWIQNNIINSLSGREWRQNYLQKLGIKQVQEKENSTKEFQ LNYVVRTNEDVRNNYIQKLMNNKLMQQQKKHQTLTIFDWDDTLLCTTFLGGYGFVELPID VLEQLTALNESAIVRKSVLSRGCIYNYKCCLGKLYMNKVFQVIFDKKIVVISARHGYEEM FPGDCGKWKIEAFKDIRSKYENDVFTNLICLGDSNIEIDAAHVLAKEFTVALIKTIKFRE CPKPEELVRQLDLVSDKFEQIYTTFKSLTIRLEKQVSVQLVGRLLVVDEFYIIKYIYLAF QLISQYIQHGISKIN >CAK82376 pep:novel supercontig:GCA_000165425.1:CT868430:57926:59546:-1 gene:GSPATT00016762001 transcript:CAK82376 MNPLQQLYLQAIIKHQEQEKVKQEEQVSKQSHEILQQQQLLSLMQYTPNNLMEKKAWTLI DIQNRVTQYFQQQVLRKQVVTIANCIEYHLPYFLNVLANLIIHGLDKIQGEIEQISLLKA QYIAQPTRITMSSSLFQTLSLLLRSQLVSFDKGTQYLSKFLVFRDDFSDIFFNTLYQYSI SSNQQVSQQLSFTQLNSMIKKVPEDVWGTFIFKMLSQQQQKLNKDQFLVQFNQYTVNMNN FFKKSNLIFDVVENSVKQTAQMHNDSIFQEYLLIMGSFKATTQDFLKKATSVYFEDQNDE IDLNEFNIRTQKIHKQMNCSLLYILPMLLQIESHMIDMIQQDRYNDNSQVKNSSNYYLKM IEKLKNKCVYNGEEECNCKKCQCIRRNRNSAKESQRKKREALDKLGPLQDAYEELQKKVK VIENENETLRQLLTEVFKHPTVSVLSPEFIEPLTKVIQDTDPVQHSSSYEC >CAK82377 pep:novel supercontig:GCA_000165425.1:CT868430:60533:60877:-1 gene:GSPATT00016763001 transcript:CAK82377 MDKYQSLDKSTLMHNIYKYETIDKIENQGKLKLPDEFIIEIVNLYGDINCPKIEVKHQDL GKLIESKSQKKPMNQKDFIYLLHNMMINSQETSRWSYLNELKEERKQKRRQQVI >CAK82378 pep:novel supercontig:GCA_000165425.1:CT868430:61287:61992:-1 gene:GSPATT00016764001 transcript:CAK82378 MGNSCFEKRPVVPKEKRTYKELVIEALQSGPLILAQTECIINIDIDPQHSKLNEMIKQVL LEEKEKESNCDDLSEMSEKGEETLDLELGVWKVQKLRKRLFRHTNYIAHLKIDTEIAQEN MIKLYQELFSILAQKNIQYVVMPQMWMDDHLYRVTMRENSDALFDQLFTWRNQDQKYRAT FIVFHTETAQVI >CAK82379 pep:novel supercontig:GCA_000165425.1:CT868430:62538:63378:1 gene:GSPATT00016765001 transcript:CAK82379 MPICYEQEQVIIQQGSQYTICNLYSKIKLTQQILEKQRSGQISMDDNLLFSHFYQRHPFI TSLNQSAETNLLSEYIPYIKYVEIDQNTIVIQNGEYGDLFYGLIQGKINVNDLCFGIEAL NQMAYVQDVQTVEKSKFLIISRQNFQEALQKAKFKERNNLIEFMKESQIFQRMSKRTIAS FINLFTLVYFRKNQIIYREGEQADYVYMIREGEFELSKQFDIQNKHKSMPISTIGKPELF GDLDCLMNTSRQYTARCKEQAYCYKISNSVII >CAK82380 pep:novel supercontig:GCA_000165425.1:CT868430:63910:64348:-1 gene:GSPATT00016766001 transcript:CAK82380 MICLSERKISIHQNKGLIQLPEISKSSELLRLNNIALQSGKNKKKTKPQSPDKDTYYLKL PQINKKPQDKSDNFFKLSKSQLQTQKILYGDTSLDNIKKYNKQIQDIQNKHNHYINKIEE LYMITKQHS >CAK82381 pep:novel supercontig:GCA_000165425.1:CT868430:64455:65790:-1 gene:GSPATT00016767001 transcript:CAK82381 MIFAILLIVNQITSSVAYTYIGETPCSGLAIGSCTSSGISGIGYCYWESSCQTLPCYMID EVAACRTGSGLATGGASTLCDSLETFSLQYDNVCCDKSEGKLNYAFVRYTKTSDGYYDKA SDGTTTLTSLTTQNSATIFQLYTVNPWLIMPSLTSLPTAANFESQLGAILTQYKTLATAL QTMPDSHPFYLERTVYQSLQMLRDFVVLQTTSQNTMRDNLVQKIWSVALIALFRMVNFQP NYYQTNYYFINFAIIPYSRNSITINGQQHTTKIDWSGYLYTSNGYLMVYSFPPEIFGIRN AYSDVVCLRPMIGNPPVYAAAQNTFDTGFKPLTITWSWTDTSMSVVAANLKLYKFPVNTA LETDILQEAGVTFTCNLGAKYCVSSSITSTPANTGVNYFVSPGLGVVGDKNKVQCRLQGK TWSGTCA >CAK82382 pep:novel supercontig:GCA_000165425.1:CT868430:65805:67142:-1 gene:GSPATT00016768001 transcript:CAK82382 MMQFILLSLSFVEIINAAFTLQTCNTISNATLCKMAGNCEVSAYGTPITCQTITDCYRVS EVQTCIQNAISGCIPLNIDYKYENVCVKTGETSVPNGLLRFRRQANITRSPDITLSETPV SVLTTTTTPQKYSYQLFTLDILLASPTQLSAILDAFNHGINQLITDAVQPKFLEKAVIET FQNIRDDVTYTLATRSAQLSKAWDLVNILFQRYQNYKAYYVQNYDFINFGFSDLNRQKLV ITTNKYEIELTWSTYAYNGYIYVMTLAPEQFGIISVKQLSDLIWIKIVKDDGTAQLIADV PVSIKYTWVNTATQYTAVYYQTFNKLFSTAYAPGVNTVVPVTCVYDITTITTCPTFPVPG ASLDQLMIFHHDTIKDCTAISSSNQPYYRLAKCV >CAK82383 pep:novel supercontig:GCA_000165425.1:CT868430:67836:71914:1 gene:GSPATT00016769001 transcript:CAK82383 MNPQKSLLIQSIILIQHLIQNAEANIDQNSCKDVILRKNEFYCEIDNVNSFFTIKNTDNL TNTDTYQVEQDLIQVQSAPQLISKDEIFLMIKFRELTDQNELCSLIYNTQLKTYSINCIS TQPTYIIDNKFQFNVNTKFSLEIQKTQNFNCSQFDYYQEGFIIFCYSFHQIKLFSLQKEQ NIIQQTNIFESENQNLQCLLQFYLFQNTFYIVYIQCLDWRIQIYENNALKQEIDRNFLKE KHNIEGNLLDFKQCQTCFIVLLSSGGYIFKYETVEILFVKEDLKINQTLIMFDQHCNPKM IVKDLPDNKYIFSFPKKYQNLTFNTKDLPTNIIQIQSPPSLFILYGDYFTLYVNNILQQT IKRKIQNLLQHSNQKIFIGIEEGNQIIFLFIKSYIPCLPFKNENYQQSEYYYQFEQINKI HAIDTSYLNCYKTIIIDDENYEKKQENAIQINLKETYIYAERTNYEEQFEIFLSRSLIFS IKPYRLTLSSSLDNIKFKLRSNEANCQFNHYLKNYQGKFIIRTDNQQIYSIILQGSEDNL VEYNCQEKTIRRYQNVSPLDEQIILRDEDQIALFFLDQSKHCLYKTILENRNLDEMPMKI ICLDTQIERVINLNYILLKVKDQNVFYNLQFVANEIHLIKVFLPQQITQKFQDIYLIRDD YFLIVYSNELHVLFKETITQRININCKILGIFSRIKSLYLVNVILLDIIKNELQFYELQQ FEFRLTKSYNLELYVPIQPFEFKSTKLLLIISCQHKINKKLYLLIFNPVAQLSFHLLQQV IEIKNQYFWFSNRYLFYFDVKNQLTIEDVDYLVIEIENEQFTDKISQDVILQLQAELEDS EIPPVKQDIAITMLNPSIMKLINKSSHIFIEDTNQEINFRNNVLGRIDNIFLNNNENFKL RNPLEVEILMQCSYCYNKFCFQETNSGNVTFFKVGQSKNYYSAYDLEHLIQNLVFIEVIG ENQFLFIHQKDQLFFSISLMILKDQIFQVQKQIDFIFTNMLYGIRLVQNILLISNYYLEY RFLIDQNDINPLNFSILNQGSLIENIDSETYLEAMVNGMEQIMLFRLFKIINASVQILQV FAVSISNYQIKDLIYGQAPNQSSNYLRLLKQKLNFDNQQLDLSIFFIGVKKIALLFQINL QLREQKYEINIRKILRYPESVQNCKFILIDYEEMILQCDITNYYYDMKTTEYLQDPIYST KILQQVEILNSTHFLFYNFFQHNQSVVLSAGQRGGYVLQKLSQNITFPTTLEFKVIRTNQ SYAVENDYLTSETFYLQVNQQEILQNKLSQLSFFIFLLIVLMLLAAIIFYYKKKQTQKNE LGREVYKEISLSTIKLENSQKI >CAK82384 pep:novel supercontig:GCA_000165425.1:CT868430:72173:73332:1 gene:GSPATT00016770001 transcript:CAK82384 MKIYSKFCTILKSTASFNERHFVDKMKIKVKAGDGGKGCVCYYRDRIVVTGAPDGGDGGK GGDIYLKASEQIYDLSIIRKPHLFGINGKQGMKLKCNGKTGSDIKVNVPLGTLVYELKSD DTKELIADLDEQNKECLVAKGGAGGKGNARNIGIREVQLGQQGQEKDIFLEVKTLADIGL VGFPNAGKSTFLAAASRALPKIADYPFTTLNPMVGKVRFVDNMEMTIADIPGLIEEAHND KGLGHEFLRHIERCRLLLYVLDGQAGNIEEQLQILKSEIKEYNEKILEKPYLVCVNKADL IKQEFDYMMISAKHGQNVGQLLLKCKYQIEKIRNEMLMNKQKDRENEKSYQLKRQQLEKE LFGSTGRSN >CAK82385 pep:novel supercontig:GCA_000165425.1:CT868430:73577:76187:1 gene:GSPATT00016771001 transcript:CAK82385 MFSKKWVNIFSDCIAFTGDKWELLEQDTIGFLAGNCLDTLCKWNLENNSKTFVHSQRQGF QCFTVHYRKNILVVAEYGLNPLVHVYDNENEYDLVGVSPLEILQMEVSNCGRYLLVILGV PKFEICLWDLKEKCRVKGKFSQLPLKLNFIEAKFTILGERILIRYANELQLYQITPHYDN QIQKQVQLELVTSIELSAPTIMVQDYNLIDDSKSQFEQNNIYLIQGNVLIYLDGTNLNEI VRHECHSEIKHLIPTQVHLIVVYVNSKIEWLYKYITNNLEDKVAVPFKVNKKYNLHDHRE VKKIMYNQQCTKLICSQDNATLFIIPIPAEGFLGEDEAQVDQQEEDKVIDLTHEIEPVKL GPFQKGVITFIREYKQLNVIVCGSDQGVVLFMDVITKLPLRSFNIEGKIISGELIEPNLI IGTSAGVLRFYNVADIRQPVLFKMIKLYIDKAISSISINDNNLAVCSSDSPTVFFFQNEN LIGFVDLPFNCQAIAYGKGQLFCICSFLLLSLPQPKQQQSLKLEVQIMGRKIDPDQTLLI VTPQQEVITTGKDKIFKKYKFPEELLSKMDLKMRVANQPPVDEQDGHQLPATCLAIKDFL YSSAKDGTIMFRSYQQLNQDVRLLRGHNLKSGGVSTIYVSQKYKMIYSGGFEGDIFWWTG EKIRGDSDAAQLMKNNYNAPIEILDMPDQEVRYYQQVLESEFLEQQAPIREQQKKQTKDL LKQIQTKLNALLQENAEADELERLQRDEFVIDLYARDAILEDGKKQQQALRDLVKKENVK QEILYQLIKERTWDQIEIPMKGVNGLIQHIIVTNYHIRVRQSEEMRKLKLIRELRKQEIR ELKIRKQQANQRSMEY >CAK82386 pep:novel supercontig:GCA_000165425.1:CT868430:76243:78650:1 gene:GSPATT00016772001 transcript:CAK82386 MVLNDYEKKEEVAPTKVAAKPGVGGVQQKQPPGRAQAGAASIKQRQQQQQQQQQQEEEKR QEEEKQKELEKQQQQQKVTEEKKEGSEELTEWDYLYGVSELFTLNRKRNQMILINDVIFS MKRQFNAEFELIQKQRQQQLDNINERNKRIIEINGELKRDPQLQQMKKNILEDPEKILTV KPEEIGFQQYETREMREKKEQERQKEEARLKALMADDSGVRAVKDMMGGTLEEKKETPLD EKLEVEEWMKKSPDDMTEEERMKLKEFEVRKKRFVKIWKLNSKRLNNEITDICQRFDDKL LILFRRKLEYDYRILEQELSIVRLALSIIISQQAQLRVSELEKLHDEMTAQLNQLQQMKN NLDQTRDLTQQQRKTLNDQIVNYFNRGQTAGMDANKVKILWQYAFEDQKTNERAKQESDE KLLKIQKYKDVLILIDPLFENQKKIISAQLDEQFETYLFDIQEKASNLQGIDFEHVRDQL LQVLSQRFRMKLEYEKIDKDSNDLENFNKKFEQEFNQLSSQLQNSEEDIQSLHNMLEKSR SNIEVMFRFKQGYVEISQDKPVPNLQDAALIPRETIEKKNDEIKKEGDTKIELMKDIIKS KYQVEKNEYDLKKRDLIIQDLECKTREVQLLKVKKEMQIALTKDDTRLNERELANLKDQI DLLKSATDKRLQIINKKREKIEKDIDFIKKENQQLIGQGGVLSGNVKQLQDISDMQNKKN VRQGEEQQEPQEDKFTQIARNYRLFKKAKEQAEEIEILRDELTKLKAKTFANFQQVQR >CAK82387 pep:novel supercontig:GCA_000165425.1:CT868430:78703:79416:-1 gene:GSPATT00016773001 transcript:CAK82387 MIIQNLQFEEIIIKPKSIKNKNAIKEKLQSDKVEEIDINEIPPSQILELFPNHVTLSSTN ILSELMNTNLSDDCLYKILGILVQWDNQNNVDFTKLYKQLFYSPICKSILSGNLNYSSEN LIIIVNQLISVFQSAINDEPSKIENITSWISILVENNKLSMPKKETQKLLELLKQYQNYQ ESIRNIKRLINFSPVQQKKENKVTQVYF >CAK82388 pep:novel supercontig:GCA_000165425.1:CT868430:79965:82482:1 gene:GSPATT00016774001 transcript:CAK82388 MNGLFNQFDLSNFLPTAYVVEAAPLCSIIDNAVRSERVGDQDPRIYSVYNQSKDRITQQT NLVDKIARFVKDDEEKEEDPDPDQSAQVQTRYFNLDSIDVLSKGVCRRCKKPGHFEKWCV EDIAESKVTCRFCLGDHYYLKCPNSLCFKCNQAGHMAKDCDVEGFKCHRCNKKGHKSKDC NDKQRLKDLLCINCQERGHLNCFSKGYKKYDLLYCEGKEQREREKMNRVDHSQKNKHNHQ HHHQHNHDHRHHENQYRQKHQKNEYSQNMPHKTKKTIQKHYQQDSPSQYSIWSEESPNAK RMEIRKNRKSFKNNLIDYNIMFNNPQEYVAQKKDAFRSEIRRMDRERIFNQKRILIRQEN EMREKDDLELTNLIPQIQQSQLNQNIQELKQLHLFAFNCVSNYNSNQITQNQEFLITNNY LQHSLPSLMMKEVQCDVIRTLANSCNHPSFLQQQPQNLIQSLEISYLLLLSLTDNQDISD ILIYLGNIANKWPEICQYLVKCFDRLLELSASADSNLYRNICFCMHNICYIMDNHNLSIK DRITCLQILEKGVNRTDCDMLQNEILHPLTVLYAQNEEIDKYLISSKIVQYVFSALKGEF EGTTLQVLKQFSLTDSISFSNFLIEQNILDVAFEYINNRKKQIRKLSFLMVINLAYNDRQ ISNKIVQHKIINRVINGLIASALQEKQNCIQVIQNLQKTGDNAVFQTLIELGTIEIIGNL IDEVDTVVLKIFVDTLCRFLNYAKEVQSNKIIEDIKKIKPKLELIYNENKDPKFQDLFQL FLQLLQ >CAK82389 pep:novel supercontig:GCA_000165425.1:CT868430:83117:84098:1 gene:GSPATT00016775001 transcript:CAK82389 MSKNKSKEQVNNSQANISKNGSQAQLNQSKSQSSINNQSVLNQSLKDELNKSKTNEQAQQ ENQEDKLNQSQQNKNYTISAKSWDLNPHEVKFLNDLYGEIQEAQLLAEGLQEENKFENEQ IELAQKDINTVQNVIEMLKEESDKEDDIRFAIDNALLNLATTKRKTEDENDKLRDLVRQR NAQLRMLVIKVKDQDQQLKSKDEQIKRLEQQVQIQGQTLRQLQNKVEDEYRIKVVQEQLR KPRENKLFDESKFVDVNAKDDPFDFWQNNAIREYPGEPKKLNDVVQKKTLWVAQKEDEKL NKFEYSKMPKSNESNFWGK >CAK82390 pep:novel supercontig:GCA_000165425.1:CT868430:84158:85106:-1 gene:GSPATT00016776001 transcript:CAK82390 MGDHIKALIETQVIGAFRVALTMPLEHVLDRIKTYKQSKQGITYIQSYLDIKGARGLIGL YDGFYPSFLRNMVKQYYRWPMMIFIPSMLKDHIHNQSLNKIITGASIGLFESCIITPFER LKTLKMTSMATGFGYLKYITFESIYVGFRIQTTRQVVSWTNYLYWDHKVRYALKADPSQP LSILNSLIASTLSSILNILAVHPFDTIKTLVQMEENQNYRKISLYQSFKLVYQNYGLAGL YAGWQARIIAYFCQALLTTPTIDYLERNYGISKGKKQQ >CAK82391 pep:novel supercontig:GCA_000165425.1:CT868430:85287:88575:1 gene:GSPATT00016777001 transcript:CAK82391 MFLLIYIYNAFALLDCQQQYLDCFNQDNCIIKDCEAQLFVQTAWTFQNITMTNVVFNIVN HQSSVEKYSLKSQIMTIQSSTFQQNIGENLVDLAFQGQQIVFQDVVFVYFDKVQFKAENL LLQDVRMNTNILMIYSDYVELEHSLLQCHVQKCLGADCLCNQGYCNSSSIRDIKSFQRIP INLDDNFTLGIASNEVHLQNTSLYGSSIGIYANLSNITIDSKSIVYTSGLGCKEQQGYGC GFYDWMLSYTLKCGSSGGSYGGLGGKPTSEVNDFNEQCQNLVPRQAYGDPFNPLFEGSGG GGNYFGGYGGGVIYFQSVNIILDGVIEANGGASQRDDGIIYGGGSGGSIQLRGRLFGRGV VSAEGGQGSTISGTGSGGRIYIEDLMNHNLIIKAGVNSLQGSIYYKECPQGFGLDKIKSR CFQCPSGYYTYLSSVGECKRCINYDEDVHLYELSTSPICKIHSCKPGKILDKQQCVVYNF VRQSGGEIVLFSIIFCIGLLVINFILFLCLRQRTSNNRLHDSAIISLTEIQENPNLYEAA SEDPQFLPEDLPYYVKRLYVQGNNTPNTPWHLPPHTQLDQYYINKVVENINAIGKYSNFQ QVSLVFLKIWYFPFYFILLKYYQKKKSQQILTFMQKNNKFKIYCLKISYSSDYTLAYIDV LNYNNNILDWNKSTQFLISLVLQGDGDFLFPWQINLKDPLVKSMKMSFEKQKIFMPIDDE ESDELLSENEEEEIHTFDDFITKFNLLMLQIDTRKGNAEFIKNCFSLFEFIDESNSEIFN KKQILLDICFHILGIQQSSLIILTTQKLLDFQQTLRELHYIVNYKSEYQIKVSVNFEKQD FNVKRYYDNQIIINTINDINKQITTYQRYEEDKEELNNLKMQMQRKIEADGILTPLITQK FDMEEEEDNTLQKTNMCNMWWLRVKRAITITFAYFLRYRDFKNERMLTSALVILCIIQIP LFVIYLLELIVDVLDSTILEEKYIYNLQIIETIMQISLFPFSQLITELALVIWLLNPQKK YFGKNFLIFNFCSTINDMILSLFAIIEFAIIAIQNLGSEYYFFASIKLILFLIQIVQGYL GTKYLTL >CAK82392 pep:novel supercontig:GCA_000165425.1:CT868430:88674:90295:1 gene:GSPATT00016778001 transcript:CAK82392 MQVEPYINSVLKIQDNSTLSNAKLNRRKYQNVVYENDGLTVKYQPNPETHEYVCLQTDKA ITSSFYYFEVKILKKDNTKNIISIGLAFDGYQTNKPLGIIGGSIGYYCDGKVIMKKQEID LKLNQYKQDDIIGCGIHKSEVFFTHNGIRSLQTVKVDFKEPFYPTVVCGDVSWFRFNLGA SPMIFDFQKMLQKEKHEIIQEIDKQDVSPYSLHLIIQEYLWSQGYLNSLKQFERESSLQE NENMRLEKKPEEMNYEDEQQCDGDLKRKQSLQMTPMSALQRKLSGLQSPNFQQISSIERK VSGFYLDEQDNNNELNQIAEQAFLKDQLVNSERIKIQQLIREGKIADVLDILSEMMPGFL QKEGVQQTLYAQYFIELMKKDKVQEAINLGQIHFSQHLNFQVECVDQQLNPIKMKIESIV GLLCFDDIGISALRGLITQQQRERVCDYINRSLLIEMGYEDESALEICLKQLIQVCGQIQ QRGLLGGHSVQFL >CAK82393 pep:novel supercontig:GCA_000165425.1:CT868430:90473:91747:1 gene:GSPATT00016779001 transcript:CAK82393 MGANCQSCCANKDNDNTEIRLPKEKTKPKPDNQENEQKKEEQKVQVKEHERFTIDQEQQK QKKDQKKEQKRESAKEAPPKNADENSKKSQELDKQLNISANHSVSMNVASNQEGNDLIKS TMNSTERKRLPPIQLESGAVYEGEWKNGMRDGSGRQKWPDGSVYEGEWVEDKSSGRGKLT HADGDVYDGEWKNDKANGKGTYVHVNGAKYEGEWENDKQHGKGVENWPDGAKYEGQYYEG KKHGKGILNFADGSRYDGEFLQNDIHGEGTYIWPDKRVYKGSWKKNKMHGKGQIIWQDGR KYTGEYEEDKKHGKGVFEWADGRKYIGTWIQGRQHGIGIYYLQNREVKVGEWNEGKRMKW FEKNEIDQLIEEQKIKREDLHQQD >CAK82394 pep:novel supercontig:GCA_000165425.1:CT868430:91932:92690:1 gene:GSPATT00016780001 transcript:CAK82394 MANREYDYLFKLVIIGNSGVGKSALLLRFADDTFSENYITTIGVDFRFKTLKVDNKGLKL QIWDTAGQERFRTITNAYYKGADAIVIVYDTTCQQSFDDIEKFWLNEIESYAEKNAELLL LGNKSDLSTKQVQSERVQEYAQKRNMMFFETSAKTADGVEEAFKKIAIKLMAKRNQQVQD KRNKRKQQKQSSSSSLRTDDSQQYGDGKQDDEKSNVNLWANNSTEQAKQGQQCQC >CAK82395 pep:novel supercontig:GCA_000165425.1:CT868430:93136:93483:-1 gene:GSPATT00016781001 transcript:CAK82395 MIQFNSSTLIGPKSIFMQPLEIYQNHDIDDTQCADDFTNKYLSQTNNSIQETPQNKIEGG NKKKEGKKKVKFNLNIVHCQFNQKEPAIAIGKLVQKLINQKPYLDWVNPQIQKNQ >CAK82396 pep:novel supercontig:GCA_000165425.1:CT868430:95184:97317:1 gene:GSPATT00016782001 transcript:CAK82396 MGNIESAEGENLPELKKMKLISDKGVYKVFESPENNKYDFWSLKSKDYPFEEENKIALEL KNRDSIGIAKIENIMLTSVDKLFSKYYILSILTEHPLYNLREYLQKKAKDQSLDQKQITD LLTCVVNAQYLLGSKKQYLGFDNIHTNDGKSWKIKPFVQTKSFYQEIQEYKSKNLRNFDL SGFPSPEEFHQNNCDPDRVQIFGLGMLILELITRMKSKDIYSKFQINEALLAQRIENLMT YKKQFTGRFIELIIEMLDLDIVRRPNYYQLYTKLSSNESKIESEFKDPLVQPIDETITIK SNLFKPPSQKIDDHHIIDAVSNIQQSGNNKFDYHQVTASKAQLPQVSKVDDNLQYIGSSY EGQRHGKGKLFTQGNQLVYEGDFHQGDFHNTGKFYNLKAQMLKENFYYNDCTKIDQYASK YEGSFQFGKKHGQGKLFLTNGEIFIGRFANDLIDGQGKFELNNQIKFSGVWESGRLLSNN QQQQSFQNHNPSYNEQFEGISKLDTKRSQQFDTQALGDTQTHNVLSDIKQENESRLYYDD NKTQIKYVGSVNQGLKHGKGILYFKKGGPQYEGHFKNDQYDGQGILYNENPVFEDQINYS LLREVQIKGYWRTYKGEFKNGQKCGQGIWDLTNNAEFEGFFDMDLPNGEGYIKRTNHDYF TGKWENGELTMVISGKL >CAK82397 pep:novel supercontig:GCA_000165425.1:CT868430:97350:97709:-1 gene:GSPATT00016783001 transcript:CAK82397 MGNSAAKLNRKFDHWISKAHNFIFKASQGKDPQLVIDHNSQGHPVDQNIEQHQNQGDQQQ EAQSIDDNLNENNYESLILTSSITKDESNSDKRKASYQLVSESESKRVCVNQDYVIIDE >CAK82398 pep:novel supercontig:GCA_000165425.1:CT868430:97762:98507:-1 gene:GSPATT00016784001 transcript:CAK82398 MKRKQTINADYQDMIQQAKSMFNLGQDEVNEIIVEVKKFDTKGTGYVGKHEVDDVLRDLK LLDNEKLIARFNEELKIMNAKFLDFKQVCDLYCKLKQYQQQLNEEETITQEYIDAFCALG GQLDKSGFVLKSTIIETIKREFELNLELDSILGDFHGTQLDFDEFCQLFENAGDDGKSFI TNVSLSKRNQNDFVVRYKDFEKWDKLVN >CAK82399 pep:novel supercontig:GCA_000165425.1:CT868430:98544:99043:1 gene:GSPATT00016785001 transcript:CAK82399 MSLIDYIEDDQTYQFTKYIHLVKTEEDKVLFDRFAYGICNPQIKYRNDKQQKKMIILTIL QLCQRSKTVTFDQIQQACYLNSRADIESLLIDLIQKELIIGSIDDQKGCLNIQRCISRDV RKSDIPDMKNQIEIMYERVKHLKEQLKKQ >CAK82400 pep:novel supercontig:GCA_000165425.1:CT868430:99091:99446:-1 gene:GSPATT00016786001 transcript:CAK82400 MLKYMNSHFLQQQLNIVYKKQIIKQIKKKIQNGNEFHLCLSQGAHKSLRMKKRLIKANKQ NRPLPNWFRYRTDNTIRYNSKRRHWRRTKLNIN >CAK82401 pep:novel supercontig:GCA_000165425.1:CT868430:100070:100978:1 gene:GSPATT00016787001 transcript:CAK82401 MEMLENSDAIVSDDDSLKLDCRIIVPKFKKEEYDTFQTETYTSVVARVKQIRSNSQEFRF LQSNQVSPLCSLNFTYKKLIQCTQLNLDDESNISKEVSHFLPVQRQRNVRKSIRKDNILQ SPSGSVEHIGNSQTPINQEYNSIRMRTQIIQPLQKQSLIRQGKRQLTQTYKSCALEVSPI KITRFSQRQIYVTQLVNTNQEPLQEKLSLVQLENKEKLCKLPLRLFRSKTNTVKFTKQNT QPKSILKSKLCLSEDGRRRKSFLQESQNVIKKVSFHQIKIPYSVSLRNKKEALYQQQYIR IQ >CAK82402 pep:novel supercontig:GCA_000165425.1:CT868430:101055:101855:1 gene:GSPATT00016788001 transcript:CAK82402 MNLRLKNSNYMVGNNDLTRLRRARLIQPSQPESKSLATVKMNLSPELKPKKQKTIHHDSV QDENILNQKQMEKSKIYQAKLQELLSLRKSSLTPLLKQQINRQQTIIKHQPDFLKDQDLY GRIRRQSIENLQTQEKSKNFVLKSSQECTQKKRIQNSQKSANYINGAPILNRSVSQFTCL TPKKKENNSFSLQQKECIKRQKFESLINLFININIENIVIAFNSIKSKAPMLPFIKELER RKEDFQQKRFFIQLVKAQ >CAK82403 pep:novel supercontig:GCA_000165425.1:CT868430:101903:105242:-1 gene:GSPATT00016789001 transcript:CAK82403 MNEIAYPQTQFQRYSSRQINKVTYYCQSLNAPEKDVSEKKNQIYQWLRNLPTPQLEQVLS FTSYYRVHSFLKMFKQDQNLLHEYFELAQSPYEVNVKIKLHQYYYVRECNRIDMNAQWRS AQQVLFALKLQYILDHTYISDYEWLLDTITIKGNIEEIIQSFEILSKSQIFTKNWRIETN EDEEYSEINFDSQQNQKMFWTLSEHIVNEIEKAILIKFQQFEQKCTYTKQDQLFNFHQIE LNFNNINYEAETQEFYKVLELSQGEMQFIDEKVLLQSWLQHIKCESLSLKNVDNEIQHFL QEKDLIFQSPLKYTITESLLFCKYLICKQLRNQFPLKKPVEENLKEKKKPKQKLSDLKLF QNPVPVPVEDQSLKSVVSYFSNSGKSKILPETEQRLFSTHLEEKEQQIYEHSTGFMKKLI ETVLIELENFKQYKKKLKKVKNTKKQQVIEVVQPEPAVQQESQNIIKDDEWNDKPKSKKQ RKKQLEKQRKKDNLERKKLKQMNQSQQLSVQEDEKLEKSSKSSIKDDNEDIENQNENDSQ QNNNEELIFEQQQKNDIIEKVTQEIPIIINSVPDDESDYIEVQNKKQQKKQSKSKSSRHK KQSNKKDKEFQDNQSLPDEQQYIPLLKKSTSQSVQKNNRHQYDELKLERMPSQQQNRITL QKKESGMNKIQRSGLVKSKAQQKEQKLYTYDLDQAQLQKIYKTILEQKITNDVKQIYQKE IDQFNRYKAARDISIQRVQHVIKSYFKNCDTQIFGSSTTGLALKDSDVDMVVYGLQVFTK QQLFEPMRRLIEIFTELKWAVQCKHIFQASVPLIKVLVDPSIDFLSFKGEPKYIIMQCRN LDLNLKYGDPSQHIFIDITFELAPSYAIYNPYIQAFNIGFQSTQFTIDICGKIQGFSEVA IYLKKLLKIKDLNDSYTGGISSFCLTIMLAAIGQDHSIGQKLINFLHKYGCNFDPNKWAI YLDEKEQNNFYNIEDEQSNQPLTIISPINLQKIQINVTKIQTILQLFQQLYVEIMQNIDQ IESCLQKRINQKELLENYNSHQISKLVDLVSWQCSNLLESHIQ >CAK82404 pep:novel supercontig:GCA_000165425.1:CT868430:105249:106014:-1 gene:GSPATT00016790001 transcript:CAK82404 MGAYKYLQELWKKKQSDVLSFIMRIRTWEYRQLPVIHRATRPSRPDKARRLGYKAKQGYV IYRVRVRRGGRKLLIRKGLVKGKPKSQGVNQLKPTRNLRSVAEERVGRKIGALRVLNSYW VAQDGTYKYYEVITVDPFHPAIRGDSRINWITKPVHKHRELRGLTSAGRKSRGLRVKGHR NNQTRPSRRANYARRNRISLRRFR >CAK82405 pep:novel supercontig:GCA_000165425.1:CT868430:106361:107241:-1 gene:GSPATT00016791001 transcript:CAK82405 MNMNQTIDCFGPDNLIIHERPLTISYIDENSPQKFCNLSQLLASQNTKRTKKISRRSLYQ VNLKDYYYQTYIPTRSPPSLQLQLQNYQYNTSKSTNTRKNNFIKQKEAKCKTLPLNDFNY DEIQKRIELKKMMLEKMYLIKDNQKTQTIKTEKTEEVVLPQKLKTDVSISCNNKQKKNQE IQTYRPTQSRIGSSYYNNRKMNTTFQAMPLSLGLNIEKCKIVERLTQSPFYSRTSKKIGY KNCSVGDRGQKFMIMQKPILEQFSLQKKSSIPVAKWDHSDLEND >CAK82406 pep:novel supercontig:GCA_000165425.1:CT868430:107311:108936:-1 gene:GSPATT00016792001 transcript:CAK82406 MNKDSLIYGLFFGAIPFLIVVSKWRIDHLKFRKMESLYKKQLHCFTEIEEQKKEQKQKHL KNDAEQGDSIEYRLMNILMKDNRLRVRKICITGGPCAGKTTGLNYLAEKLKERGFSVYIV PEAATTLFMGGGMLDLDNYSAEGKMTFQMNLLNLQMKLEHCFNVLAMLCPPNKTPVMLCD RGLMDGKAYMDQNEWYEMIGKYNLEEVKLRDERYDAVVHMVTAADSTDCYSTLNNKARHE TQQQALEMDHKTQSAWSGHPNLIKIDNHSVKSFNDKLDWLLREVLRILGHPIPQKEIGKT RQFYLEKDMKFENIQDEHQIIEIKKTYLIPKYKNCSLVLLQRKHEGRQQLYIKEKLFEND TMVKANIIKLDKNDFELFKQIKDPNYYEISIKKVCFTYEGQYIVVNEYVGSCQVIKIKNC KENQQIKFPPYVDQQGMEESNEKITARLFAKRFKNELGFQHRSNSDKYKFRKLSFTDDIN EQAIKQDLEGFNLDQKGSDKNNQVPQPQQK >CAK82407 pep:novel supercontig:GCA_000165425.1:CT868430:109355:109868:-1 gene:GSPATT00016793001 transcript:CAK82407 MDQVQNEDMRLYPCSNCAEHYPYCFLSDHLESCLQESLQVDCRYCGESVLEKFMQNHQQN CKAYALQDTEDGVCEFCKEKIFQKFKEDHYSDCPLKQVADSYQSYKAQECPICLVEIGPA DQKGILQCCHVFHQGCLEEWQKKSLECPVCRYS >CAK82408 pep:novel supercontig:GCA_000165425.1:CT868430:109885:111044:1 gene:GSPATT00016794001 transcript:CAK82408 MSDIYSNINSQLHSNQNLSLYARHQKEARIEHSKLTPEEQKEKLLQVFNNRPPVFSDEAK VPPKQITATQGSQRQKLQMGIINPIHQFEDPRVIKNEAKQKEQEWYAQRMKQKQRPKPED PLVEPQADLVLQANKIDLKPPPMLEKEKPLMQEHLANPYIYIKKIFKKNSVESQMKHPDI WDKSTHVESLRINKVMKQEGKKRPQSAKVIQQQQVPPQDKQKEKLNKKEREDFYLKNLKM KEFLFKFFKTINHLLKARKYNKIIYKGDQQNYDLSTLFNLWAEHKKFNFKSNPEKYITKE QFVDFLLLIGLDPDRSHEAERFFDYCHDDVVKAPGIAQQEQSTQAQQDSKWFRLFKGLKN KF >CAK82409 pep:novel supercontig:GCA_000165425.1:CT868430:111051:111641:-1 gene:GSPATT00016795001 transcript:CAK82409 MADVQTQRAFQKQEGIFQNSKKLLAKKTTKGVRYWKEVGLGFKVPKEAIEGHYVDKKCPF TGNVSVRGAILKGIVISTKMTRTIIIRRDYLHYVAKYNRYEKRHRNVPVHISPAFGPVKE GDIVVCGQCRPLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK82410 pep:novel supercontig:GCA_000165425.1:CT868430:111730:114244:-1 gene:GSPATT00016796001 transcript:CAK82410 MNPQDAPQQDLIYRDFLERFLSNIDIAQIEAMRQQNKIRFPINLDLLRQESRQQYPNLQN SYIYVYFRVDDLIRNPTDFIRVFQQKLSQICKQLQDQQEDDNKKGVQTDKTYKIYFEGKL GKNYVTPRGLGAAQINQLVCTQAIVTKMSLVLLKLQKSVHFIEKKNQFKQVEYFNNMDPS ARTSSRQVRVVQKKDEEGNPMIFEFGLSDLNDMQTLVVQELPERTPTGMLPRSLEVILDQ DLVDRVKPGDRVEITGVYKCIPNSTTKANGTFRTTLIAQNIKVMNAVQETKISEVDIRHI KEIAKKPNLLEYMSKSIAPSIFGHGIVKQSILLQLLGGTEKNLETGTHLRGDINVLLIGD PSTAKSQLLRYVMGTAPLVVTTTGRGTSSVGLTAAVKRDNETGENTLEAGAMVLADGGVI LIDEFDKMNEIDRVAIHEVMEQQTVTIAKAGIHCSLNARCSVLAAANPLYGEYQLDMAPT KNIGLPDSLLSRFDLLFIILDEKKKDIDRKVAERVTKNHRYKGQYDDEENIGDIIQPMAQ MSIKQEISPFVQQSAIYHSNDQKDLLTQSFLKKYIMYAKENHSNVILDDEAAEEVTRQWT KMRQNDLLEKQIRTQPITIRSLESLIRLASAHAKLRLSNIVTKQDVKIGAKLMKISLQMD QEEEVEDNKKPSGRKSSLQRLKSEQPLSAGRQELESEQLKQEEQENQLIVKSDKKKQKQD DPKEKEAEFHLYLQQAHSQNITRDQLKAVHKVLRDFKEKMLLISISSGESCKVRVESLLL IIYNSQNAFWNWINKKRSNLMTRKEPVQLL >CAK82411 pep:novel supercontig:GCA_000165425.1:CT868430:114894:125841:1 gene:GSPATT00016797001 transcript:CAK82411 MSFPQHIPSTSLSFLDPSLLIENLDWLYLLSMKETDGLSEKSLSRGEEVSGFDAYLEQVD FTRSAYIKLRQDYFNLIQHSSRHLTESLLNSRNEYFSRASMMLNKINKSKMERPIVMAQY HECGYEVFKQCQGVTSCSALQSNPTRWPWGLEDFHKSLCKQELLSLFYEPDYSRQSIDKN LQRLVKEMDHKQLSKILIDYALRVGTFKSMLSLCDLNIREIIKLPRLQQKSRHLHYMRSL CDQGGFTITQLQQFTKLNYHQMTMTSSQCYLYILVSSPVGGMYKVGTGECGTIAGKIYLH QPLKKQDDVQWVFLQNKLYLKQQNGTLDIICPETFQTLSQMTLHCPELFQHPQMQAINKN YPLITDGEKLFIIGRKLNITKVEGAPAKVIKEPAASTSRAQKKKEAEQQPPDNTIKIMDF ILFEFDLNKPTGNVQNEEESDKKLLAELFESFQGYFSKAEISKALNLNKNDMQNAAQWLV EEGEKERNKTTVSVSKQTLLCQAEITTDISAKQVKSSADIGCKEGSILQPQIISNIIWTM DKRYVTAYFEFGVKIFSKDPADEKELDQAKDEFNLRDHYTTKKPSASNLTLKGTYITTVN KYLNEFYQNPNYIVSYEHNTKRFYVMHIQWNSTDLQFPALVTIGSDFIHRPLNLNLAQAT TFDEEVVNFLLQQQEQRYDQEWRLNDWYVAYQIMLKNLRNLTERFSEEDLKKIQNEKSKY SYRLNKLLLSIDNSRSEVEIRKVYAFCVEGTFEELKQIWSLFKKFHKIQYLQLLQYWVQY SELSILLNVGVHDLLKEIGEYLIQNWQSDLQRNIFIKGWTLFMCSNSLQLQYLKMGDNQL LQQIISTYSSIQPETESAILKLKSKNANLDGDFKSCIHKKGTQHFLIEPLPRLLIDAVQS SKLFKKVYSKGYFVDCDISQINQQFWVLAKEWATQSDLTKWQFLNMVFNMAFNDNSEWEV QHKLAFNILQVFELGITNKEVKELIIKHNFLTHFLLQLFLSPVLQCPIDCSLLSSLMQIN QNIELPTTPSRTQGIDPIGYKVFETSHPYERQKVQTFEDTYFPGALALAVEFDPRCSSDQ AHDFLTINSWYSPHSGPFGQQSKLKDPMGVSYRISGKLSTKRPILMLGNVIQADFSPSGQ VRNEHSLNRWGFKITIRPVYGDTYHVSNVLHMVILENIQSLLGFNENRTANEESNQLLLK WNILKNGRSGFQFDKHLIKVLGQLSISSMSYDDATLLHENAGANPQLKNKYQQLKGDLIT INYKAREIQKTNIDLLQLSQQQNKYLQQILDKKGEFYKVISAIVSQFTDSFQYRTAKQKS AMKAHIEEFKQIEMLVILVTLYHSGLLDEINLLNLEHHIDMLQEARNDVINYMLKEVETM IEYQQNYEGMSLQATEMMEQQKLTQINEKIENTLWDMLQKRLEGNSEMIYKICTQQNLTI IENNPNLSLRQIFNNILAKIRESKLDLVNPYQHIVQVIKKRILILFNISNVQLVGQQQMI QIKSQQSIDSGSIKQLKPLGIERSLSHQIDDKNIDSQKLLKFRQWLDSYQKWKVLHNVNL NQISAESLDIPPVKSVILFLQQNVNESLIQVMDLHNRRCIRRIASLKFLNEFQGQSGGIL CQDVMNYKIEFASSNIKEILLQEVVKRLNYLMQSIKNDYATYLNQAITQQIDGTLLEQLK VICMTLCEINQLFQVDNNILWKSREHDYNPHFNPIFKQFLNNIIITGLLSLAFVNLDQQY QSVALLSQNILNNVKETLYIITDNLMTDDILQQLIQILSQELGINLQGDEINCRLLTKLY DLIGVKRVNFLLTNILDCLMIHQNQEVPPSLVKLIFYILHHTNTPSTMRLAIRISKYFSG MKLNQIKYTPKYLWMYYENCNQQQIKVLQENKEQCFSEVLIERVGHMVCQDEVTDNQNIN TLLQNELVNVQKKMITSSNTSSDQNSSQQYRVILHLCQEEEISILLKILYWWEEQFPSLK LKLPQTYQEYLEMKEKEKKREEQKTQQQEVIAQQTEFQSQRQQQQQQYKLLERVLMTQPE IQQKDYLLGWGFNYSNLYSDMKSGPSDNKKHSSGRVKKQIKKYWRPLNFQELERLYEELY KQNEDIKSFPDEQAFLRQKNFITRIHSHIKEIKNYGSLLTVYGCIPFSEIFTIQKAQVLI ELIQSALNGTLPNIPMNDLSEEFKNKADPNKYILLGAIKEVAPPKGKSQPQKAPAQIQPQ PTSGKSQMTVSVCDVYTFQSFEFRMDYITLLPYGNTSVNFYNEINRSSSFTQQIVNGQIV GQLIYELSQLLSHQQIDINRENKYYKLGYTTILSQQLIQIAPGIPVQIKKHKSYDKQYVV SGGDYCGQKELRLLSNNDDKLNLQVVNLDEIELIQQEIPQLITQEQILDLIDKESDKFIL RNLFIIASRLEWKGYNVERIVNKILNLATLYQGDNKFNQAWERLIDSKLSPNYFFPIEIP KIIKFLQQPQQVEQEDYKIEPFVENVDNTIIVGSTYMQSLPEACIVSNELKLVQYWEKNI LPKIYDYVKGSLKPYEIEDFFEQIRQQLRKGEQNKALEIAYIVCDQRMPNGVIIPESNHD WSTLTIEEVQAGQYVLCRLIDKTSEQLYSKEYLHYKKQGQTFICGQIIGVDQRSSSIFIT HMDINNSQLYATWFPVSALKQVQLYIPPNANAYQQETILKEYNRELDLELSKQARQLYIL LSQTINVDPIIYLQLAMQFEGSCINGWLTEQLIDDNTKINQKLNQFLQNPELIFGWLSKS FEQIHQFVNKNKLFLDLFKSHKKPLELNSDLDIAGLAIVFNNDATLCTCSGINFYKDRLG INDFYTISSGKETRGRLSPIILNQKNVYCEYYFNGEALPIYQQKQCVSRLPCVVYKIPTL WNTVCYVIDQMSTIFIKNKDIPNIKSINNLLEKVYEQQPHILNQSIIKLLIRNIRKLQSI SNETLTDDLLSQLVNDAVKIYNIQKAEPNVLYSSYLQDLTELLAVAIPNKTKIQIPDWLN AYRHFIQIADFIKCGKPLYNEQIEQINEMLQSNQWDQLIYMQGLPCDIQDVLQEQLVRIL NPAVDIFRKQNQAIVLIDGFQQLNLENVEIQLPPEPVQEAVKLWQCEMCTFAHNVWDSTQ CAICENPAPANKVPYKENEDQSQQLEQQSVQVNVDEEDEKADKRERQFFDDLIERIQDKI KRYYTERQSEKEQKMKQINLAIEAQQNLLKQLNRQQEKKQKQQVYKSKLQQPKQPQIQLQ QQKIQECQQKLQQLTSELQELQNAKLENTFQIYSGKQILEKAATFLQEFLQNRLEYFRAQ INPKYLEEIGSMDAIESWKYLEKQGYDLHYQLVQNPNKIQILPIQQMKHLLKLIEFEICK ETKAVRTYNPKRIRNLIDEQAINYQDFINCLTKYQDLVNAPIYVIRQSWAIIKLFNRYLE TCGQFINMDVPIGTSQEFQQRTIFLSMGDYMQVLRQLCMTPTKVDIVQRVLNKTSISRDS PPKINVERIRLANRNGKTNQQDFLFTMAYEQMKNIPPTLLRPIKPQGTDPFLAFEVNFRG EDVQGESGPYRQFFADISKELQSSQLLLYPSTNNQAKLGNHKDKFVLNPSARSNFYLHLY EFLGILMAIANQNCHSLLLGFTINILEINSR >CAK82412 pep:novel supercontig:GCA_000165425.1:CT868430:125903:126718:1 gene:GSPATT00016798001 transcript:CAK82412 MQECPESTFEENIFENWVTLRTDKSKQFYISYIFKNGSKIPVKYEERLEYINKLIEVKCT ESELQCESLIKGLIKIIPSPLLNWVSAEDLELWVCGRPIVDVDLLKRHTRYSGDLNENSD RIKFFWEALYELSEQEKLRFIKFCWGQERLPANDEEFDRNQIRFMIKPSTVNTKQPNKAL PKADTCFFNLELPNYSSKDILKKQLLTAINLDCDSMNADPRVNLDPNARHRRNRDDDEDD SLFEEGEW >CAK82413 pep:novel supercontig:GCA_000165425.1:CT868430:126973:127467:-1 gene:GSPATT00016799001 transcript:CAK82413 MLNYTNDYFSNFSEKRVKERTVEDALYRVREWRRIYETGLQKDSNKESRITLQEAANLVK IPKKTLEDYIQIFNKVSLICPIQDFQNKKMGFLRTFIRKNKTKIKKAAQAKRQSQQESLN VKIEESLPQYLKNQFENSMTYDIKEGNQKNDLNFDIKIEKLEEE >CAK82414 pep:novel supercontig:GCA_000165425.1:CT868430:128673:130640:-1 gene:GSPATT00016800001 transcript:CAK82414 MQQQPEIKIADGSAEKKKEKKEKQPKGGCPFMSSEKKKNPPLAHLEESYDTYYISPLNYL LDTRGLWMLAFDSKEVKKGPIKDRRKVFDSYPTYLKSTLFHDDENTKKLRQCEVAQRFFV YDKFREKGNKLLQKQEYDEAIRYYERALGCFRYLEVVEPPEEESDEEEANQQQDTSNMTE KERKELEDMKKSAKQYRKEQKEFKKQYKSLMTIYTDENVKYNGVEHIQDEADKEMCNSIM YGLYLNMSVCYMKMSHFDLARKILDDAGVIQKENSQYLFRYSQALLYDKWSTYKDLLRAK ELIEKAISLSNVENIFKQGPGILKLMGLENAKEIYVEHAHRVMEALKLKKQWVQEIVEPL FLRAKEIDEIEQEMIEDGKVPYEEGVTDVVDPEDLIQQPNETDKQFLYRVCMPQLTQEHQ EYEIVKEMVNKYYRIIEFYAEQKKYDQVKIAKQELQRLLETVQTMSFYMNLDLIDYENDD ILKELVTKHQINFTDRKYVRRLIRLCRENVTEIFGQGKFNFEVFEYAMNDYFKKKREQEE REREEHLKQHPEPVKPKQESSFLKKTLFSTEFWMQMFVLLLVMGGMFYFNNNTGFFGKLF SLKK >CAK82415 pep:novel supercontig:GCA_000165425.1:CT868430:130843:132289:-1 gene:GSPATT00016802001 transcript:CAK82415 MHNPQDQLRKALKRYNNNDKPNNNRNCRSQQLQMPQVPKGMLSMNSAQKSERNAKLSDTD TTDVTHYNLNFSQPIQSSYQVEHLDLSSFKIQPCKIPGSHSHKHCPFYHNAKDRKRNNTQ YSAELCAYIESNQQCPYGDNCNKAHNRVEQLYRADNYKTKFCSYYPNNIYQCDYGKFCSF AHSEADIVIELIHNLEYDDDFFMFYYKSVWCPFNLTQHDKALCVYAHNWQDFRRKPQIYQ YHPIPCQSWNTAEYILEYYSGCQEGFNCGKCHGWKELEYHPMLFRTKQCINQQCSKTDCS FYHNNQEKRCIDQLSQFRVFKIVPRNRIVQNTFKVRDKSLLNSQRNTSNKSQDLTLSYQK HCSTSDQQWLGHNLQNSFQYDQDLDEGKQNSKGQHYQTTLISIQERTEHQDSDELKDLKD LMRKKSNSVVEDKQNNDDNEHVRTVLKMIDMDQ >CAK82416 pep:novel supercontig:GCA_000165425.1:CT868430:132670:137198:1 gene:GSPATT00016803001 transcript:CAK82416 MKKSFKEEAMPLIFYQQETQTYQVNPDAIEIIKNIPSPIGIVGVAGMYRTGKSYLLNRML LNRSDGFGVGPTVLPCTKGLWMWGKPLLGQTSDGESCSILVVDSEGLGAPDEDSTHDIRI FSLTILLTSCFIYNSVGSIDENALQNLSLVVNLTKNIQLKSGQSLQELEDLSQYFPQFYW VVRDFTLQLIDKNREQITSKDYLENALVIQKGSSEGIDQKNKIRKLLSTFFKDRDCITLV RPLTEESSLQNLENLDFDKLRPEFFEQVINLRKKILNRIRPKMLNGKTLSGQMYCDLIRS YVTAINNGAVPAIESAWTYICKNECQKAVAEAFDTYEQILKENLHNRFPISNEDLKAFNK SLKEQAFALFKKKCVGDADEYKFELQRRIKQRFAAVKQENDREGSRMCSQFIQQEFQPID RKLKLGEYKSFGEYEKDIKMFYNFFIENGPKVGTRNQIILEYLQRALIEGSNLFIRQYAQ ETDMMKNVASETQKKLEQELKEVRQDSLKDKNNLLMKLAQVESEKSEIELREQVARDNLE ELKIQKEQVERDLKLESENEKIELTRQIQELRGQVLKAEEFNKDMERNTLFGNSEFEKER ALLEQKITFFEKLVNEMNAKEVEYQNEIKNLRKEHSLQSKDQQTKSDQMIRQLQQKLSDL QEKLNEMENELIEKESNFENDFKKFEHKERSLTKQNFELNEQIQTLTRELREYKRNEEQM QQMLKNDANNQVNELLEKVQGLEDLIKAKDDQLKQTKSHSEKDKALMQQKMEFMEVQLDE YKKQIEENKKSHEAIMKAFENSSNESTYKVDAAKMNDLREQHRRDLKNIENEYESVKKRL QQQVDQLNERNSELELKVKFETGDLIKEIENLKEQLQSTEEQKNKLLEQNKTLDGQKLSI LNRIRVKSLEQAMDEADTKTAREVNLAQSKAEESLTQLKNFYEIERERLERRICEEKEKS EKKFQAAQEEFYHKLRETEQNYEEEIETLKDDLRDQVQQYTNTIQQYDHEIALKQQTIEI FEKHIKETKEQLISLQNNNNATLEQQMNSFTTERKSLISKIDVLSSQLNNLQKEFMALQQ KKDLLENEKTRKEQQFEQTRKEWQEEKRELIDRLEETKQRLQKMNDEFLEKKIEYGRETA LIQQQNEFLQKKIEDLQKQIDTQQSRFDEKIKQQKNEYSVELEQKWKEHKRKKQLLKLKS EYQYNKQASTLEREKAILNEKLGHLESRKNELESKIKDESASVAQQQAALREQIATDKKS LQQELEKYKQFNLQLEQEKSEIHTSYERDKALWEGKFQFLEQQKEQAKQDLVDALKKFEM TLMHLQRARSNEKDEQENNLNELLLSVERKYQSQIEEANQTHQRIVQDYEDKIRRLQKEV KTHKDKILIDQHGKIGNQLLSEKKFAEMLDNEKRLQQEIENIKQDRDHKIFEYQKMLEQE RENLKAKIVELETKYKEVESKRSTLIFEFEKERAKW >CAK82417 pep:novel supercontig:GCA_000165425.1:CT868430:137232:137658:1 gene:GSPATT00016804001 transcript:CAK82417 MNYQINQIKQERKRNNLLRENEKLKNEQRATRRSVAAHNMTSNNIKTGSTYRNPINNIST IGLQKLSPTHSNNTSTSSANISVLKKNNLADITNYEKTAPLTQQSFQNSKYYFYGNQQGQ NDDSMIGQSDFQK >CAK82418 pep:novel supercontig:GCA_000165425.1:CT868430:138193:139000:1 gene:GSPATT00016805001 transcript:CAK82418 MDQTNDQEVQNEIQYPFQPMLSEDQFHLFENIKVKLIGQNGKEIEFVPNSGNLLITNERL CWYCQPQNNEQSTNEQIQKEILIEKAEENTSCVSNINGKSFMFSYETLVSHGEQGDQFMC FLGSQEEEEMDLEEEQEALIELIKQENPAEIVNLGKGEYQIYFDLSKQSQEAKKKINDIF TELATANVEMEEEGDEFMDEMITADNIDQDGNIIVKKQQQQEGEEIEDNDEDYEDVDDDE EEEEEAEDNQFVNNNNNQKQN >CAK82419 pep:novel supercontig:GCA_000165425.1:CT868430:139004:140056:-1 gene:GSPATT00016806001 transcript:CAK82419 MNFRFLILLLTIAFAYDRVKAEQQIEKSITLEESKLIQEITFSETFQETPVVYITLTKLS MASQKYGFEIDVTEVNTKGFKIIYKKYIDDPITLQVQWLAIFDPRVEVAYYTSFKQQLIV PVYEDNFAYSIIGVQGSSNQVGIQVEKIDNGNAYLQVTNSVKMIKLCIISGSKHALYPKF IPFSVSNNHPWLKEQKGKAFISFSYDLNVNKPILIGGITEFLFKSQAIKIQLQNLKYDDK VLAEIGTWNDAQLVQAQVSILAYIIDEDLIMSDKNCVELFEQCYFQGKTIKICKEGDGQN LGTEFKFKSFIVPRFKQFELVNNKDVQQLMGIESCVDEMILK >CAK82420 pep:novel supercontig:GCA_000165425.1:CT868430:140105:141837:1 gene:GSPATT00016807001 transcript:CAK82420 MLADLFPFYKEKKENSYMLFGKQNNKDHADLFTMFRTQLKEKFRNIINHFLNKLQLKQDA EQLIKNNLSNFYIWKNPKQEVEVYFIDESLTSEIKYKNQISDDTYKQFYGILLSLSNLNI QDNQEEIVAANFNKQIDQLYHKIAIVIQNEQKKGNTDQQFVALKILTRKTFILRPSGDII KLPKISNDIYNFYDTNVYISTRIPFCNPLQGDLDSTVFKYTGPIDQLTYLPNGQGVLDCE GRFEFKCKFVDGLANGKGSLDMKFQKQKFEGNFQNGLKHGNSMTSNPNQTITTGYYYNGM KQGLFVLSTQNGTQKQKMYFKNDQKEKEFEYKFDENTISTRYLVNHKDISINNHFFSGLT SENWINQVLIDFYMCLLTDYYKNINKGQIYLFNTAESQDLFTSQISQLDQKNIKLPLKYE QIIKGCQKYNKIIFILNADQIHFLVLVYQNKTLYMLNSYNNQNDKQILSVVASIFPIQLN LQCVQVEQQKNTYDCSLHSIYNVMLQYKYYNVDVDKIDYRVSFKYIKKLRLHLKNIISND YAHIILHQD >CAK82421 pep:novel supercontig:GCA_000165425.1:CT868430:141841:142585:-1 gene:GSPATT00016808001 transcript:CAK82421 MNNYMNQKKLQVEQKSPRLLIFPKQKQNQVNSRAAMNYFTEMKKEHQQINQNKQVLPPEF YISLLSLEPKQSQLHNPNIELIRTLIDSYLIIIEQLNGQDGLMIYFKDKINSLLEQPQVR QCIQKSDDAQLQQMLEKSQQSYCKQSNVEEMEAYMNMNKPQVRNSLATFLTMHDRLEKEY DKAQQILQDYDDHVAQFDELMQKDLDNQKNALEDRLKKRSDIKKRYSGHEQRPNPNDF >CAK82422 pep:novel supercontig:GCA_000165425.1:CT868430:142629:143569:-1 gene:GSPATT00016809001 transcript:CAK82422 MGKRIRTQRKGKSNSVFKAHQNQRVGSPQYRHLDYAERHGYVRGVISEIIHDPGRGAPLA KVEFNDPYKYKKQTKLFIAPEGAYTGQYIYCGAKAQLATGNVLPIGSIPEGTVVCNLEEH PGDKGALGRATGCYATIIGHSDDGAQTRVRLPSGTRKTLSSLCRATVGLISGGGRTEKPI LKAGRQFHKYRRLRKVWPRVRGVAMNPVDHPHGGGNQQHIGHPSTLSRYAPPGQKVGLVA ARRSGLLRGGAQLKQMDEDLAAQQAKK >CAK82423 pep:novel supercontig:GCA_000165425.1:CT868430:143601:144440:-1 gene:GSPATT00016810001 transcript:CAK82423 MSCQRITVTKRGVELQEQLREILKKNQKILKLEPQQGYRIDGSSDLLKRQNIGKEQFGGY IELLEQRLNSPEKIKVFLNQRFNNQPKFIQKEVEKVSQKIYNYGNSQFRSHHSLPSLDFR QVQHLKTKYSDGFWDSQNPSMSGNTFYKPKDEEVKTRKINYLMFQEAKIERMITKYDKFN EKAQFIPSFEKGKQKSQNLATELLKAMETKDTETRVESIQEINQKHAKDLDLMEYNSQRR ERFLQTMTELHNKKYKRYWCKLRMAK >CAK82424 pep:novel supercontig:GCA_000165425.1:CT868430:144535:147021:1 gene:GSPATT00016811001 transcript:CAK82424 MSSNSQQNQLVPSLITPKESMFKGSLKVKTTLQTPPQQQSNPQYITQDTQKKASSPPVSI IDSAHNQQSQIMEFPLDQHNVDQNVEIKIDVAQVKKATSGFSFLQKAKQETSQSTDESTQ DQNESFIVCGMNSQQNSTQIYPQDQIVFSFQNNEMTNQQASEGYQQSQVNTNHSTLEQKD VQQITDAQNYKNLIQNMHTQIDGSHLEQYSTLIKQLHCFQQEKDQRKCEIFLQQQKIESL ISLNHQQLNNFQNQLNQIFVEQQTFASPEQVEISLQEQYVQIQNTIQKIEEEIKIYEFEF NNFQNEKLKIKSEELKYYNITDQKLDEIENFHLKYIDQYQQEGSSQIKRLLQILDEENER LKIQQIHIDIDYKHLDEEEQHLNQIMDNQTKDYRVSQDLLLQKKLSLNSEITQLQLMLSQ KILDLQLTDQELIQTKDKIQQVQQKFNQQLGKVQNKRTKLLDELKGLSVEKLSIENLENE CIKRQLDYKNKLQDLQDSLNIIKEKRSNLLENTNLIPVQCQEEIKIFHKYQVQKQVSFSL LQELQQVQRQIDGLKYQRNISQQQLHEILNQKSELQIKLPKMIDCKAQFVQNKNFKGAAQ INEQIKEVQKQIILFENNISQNQQKESQLLQQIDDIEDTLLLKQQQFKQLNQVTEILRYQ FQELKLKQIQQLGFQGLSSLIQEISSELKLLQQKNEDMLNSNKKFSQDIPIDITGEEQLG QNNQRIDKDSIHKQQLLNQENDYPEIQQQIQQLKEKVIKYAKEDQFQLAHQIQQQLNEFQ NEALRLDCGVKRKLEIDSQERLIY >CAK82425 pep:novel supercontig:GCA_000165425.1:CT868430:147171:149335:-1 gene:GSPATT00016812001 transcript:CAK82425 MAYFGNNQQRGELQELYADLNDLNFEKKKEAVKKVIAYMTVGKDVSQLFQSVIKCLEFQD IEMKKLIYLYIVNYSRQKPDDAIMVIQNFRKDVRKSENPLVRALAIRTFGCLRVPKLNEY LIEPLKDCISDDDPYVRKTAVLCVPKVFEVSPELCPPVLEQLQKLLEKESNALVLANLIQ SMREIEVVNGKQLILMNPKIIQKLLLAVDECMEWGQIFILDYLATYDPADSKQAEIIIER TLPRLSHINPTVTFCAVKLILKYLDYLDNGDLVKNLCKKISPSLISLLSWNQSEIQYTIL RNISLILQKFPILFENEVKVFFCSFNEPYYIKYEKLDIMVRICDSKNFTQVLNELTIYIN EADPHFVRKTIKSIGKIGMMYDKALNEAVSILVEFAKNVQQATEPVQELFIQMQILYRKN RNLYKTNDSLKILFNILEYANEAEAKSACAWIVGEFAEFIPKSVEKMKEYIDNFLIEDRL VQLQLLTSGVKLYIKYPSQCSALIQQLINSAKDSFNPDVRDRTYIYWRLLSTDPEVVKNL VCSAPIGSSQFQKDIRLWETKDLIIALENMGSISNLFHKLPNQLYKNIKVRNNHQQEAKI YKADEKQEAPQKDQEIKKNDQNQQQQQQQQQQQQQQSQQQKQDFDLLNFDEPVSNNSNNA NNKVDVYDLI >CAK82426 pep:novel supercontig:GCA_000165425.1:CT868430:149357:150151:-1 gene:GSPATT00016813001 transcript:CAK82426 MQKFLKECDALIITAGAGMGIDSGLPDYRGDQGFWKVYRPFQNKYGFQDCANPEFLKQNP HLFWGFYGHRLELYTNTIPHEGFQIIKKWCEQKDYFIITSNVDGQFQKAGFDQKKVYEIH GSIHTFQCTKCSKLYLANKFPKLKIDFQKFEAVDPLPRCEFNHILRPNILMFYDWDWIST IYTKQCENFEEFMNKHRNSKVCVIEIGAGTAIPSIRIKGEGILSEIENSILIRINPSENE PEENKKYYSIKKGGLEGIKSLNYL >CAK82427 pep:novel supercontig:GCA_000165425.1:CT868430:150668:152517:1 gene:GSPATT00016814001 transcript:CAK82427 MINNNESDQELMQQILTLYADREEKINKNYHIHSKFNCDCSICHHQLMTDNQLKKDLVYD DFYDQPSDRYLPDEQTHVRFMKKDGGSQLLPQIRDPIDFLGLSIKMMQPTKNGKTLNMRV MDTLFFYQREPCVLVYHDKDKGLRIIRDRHLLTNLQELGQFLVKRRTEYDIELRTATEKR ENKDFNNLEFDQKSAEIQQGIKKYVQNIGIQKDFILVKFKNGGEQIMSQLAAMQLFEKRK IDSIWDTIVMIQAYPYDFYGKLIFKAKENPEKYENNRLSKQSLCKDPKKMKEEEKPTDAK LSKTKIADDSMKLTEEQKLKLNELNKTRYQRITYIKDDIKYQDQYDKALEQICQINNPNF QTGNHIRQHELYLKELKKKSMTQYLYYHMYQIVHFFEAYHQRKIMKLRLDFARDDFDNLY IIDAGQLQFIDVRKIEWDEMILKEISLINPDYKQLVLREIEEKEKLQREGEVVVNHQRQK YLKQAFDEVVGYFREQYSKAIDKCESDLQNQPDIDKTDEIFKKINPRADLKFSEMLALHK PDEIIRQKDLLSNFPIDQARFLSQQKYYRQSKQLVESKERYKKQLRLSASKLSAVDLRSS SKYAFTTK >CAK82428 pep:novel supercontig:GCA_000165425.1:CT868430:152551:152946:-1 gene:GSPATT00016815001 transcript:CAK82428 MNSNNSTVSHLSENYETKYYLNDQEKENKNIQIPTFKQYYEHNNTTTFNTITQKLTNTIS EIQETENSLYETLQKTEITEEQRQQIKLRIIKLQMLQVIAQFKTETKSNLSALQRELREI QNYILHELLQF >CAK82429 pep:novel supercontig:GCA_000165425.1:CT868430:152992:154088:1 gene:GSPATT00016816001 transcript:CAK82429 MIHLSRGLKNQLRQVTIQTFANSTRVPNPFAHHDDHGDHDDHGDHGHGDHGHGDHGHGDH GHGHGVPHGRGRPKVEFNTITQHLDHQNLYTYFEQGPGYMHYPGVNYNEAHGKPYDWRDD PKYNPDYITPTKHVGCPDPKTYVWPFEGKLVHFNPSEALPQDHLYNPSLLLKPENKQIGQ PIQHLTAAQQDQDADVNHEFDYESEDCDFQTESFRTQHFRKRGPMWPWAAMATMPLVYFW VEFLYQRYPDEDHWRITHPPPLNFPDSEDTDDTDTYQDYHSPSGKFLRDIGIIGDLWFDI KDGKKVMNKWSGCNQPLPDI >CAK82430 pep:novel supercontig:GCA_000165425.1:CT868430:154353:155743:1 gene:GSPATT00016817001 transcript:CAK82430 MNLYCVRRTPIIENLIFTEIELCSQMSKYINVQKRMKSISGVLVILLLSQSVFCSPKKIN TDFLNLLSSKTNVLSSQDAIQSVLTLLEDLQGANVEAQDKADLTFQRFESAILQDINEFS GIVNVNSKSAAAAQQDLEAVDLKIQQTTDYLNWNNKRYKANEVKLENLAEQRCEANALFI DTLREYKNALSVLDWVRSDAQSKQSTFVEKNHIGDYAEKLSKYANLFEEQAVQDFVKLGE QETSFAQTRSHGNGEQLASLVEKDVVGIIQQLIEKLRETIKGLEEQEIQSANDFADFKTN LLAEQESLKQEYDAKAKFLNSLQNDKELASDILTKKKELQEQSMRILSLTQEEYNYKKKL YNSEKEKRHEENQLLEESLLIYREKIATVNEYLKKRVNEYVGESLVEEHAVSNQAPVQNR KGKQ >CAK82431 pep:novel supercontig:GCA_000165425.1:CT868430:156078:156611:-1 gene:GSPATT00016818001 transcript:CAK82431 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVLCKDTVYIGEFEIVDDHRSGKIVVEL LGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRHIGGKI LGFFY >CAK82432 pep:novel supercontig:GCA_000165425.1:CT868430:156617:158732:-1 gene:GSPATT00016819001 transcript:CAK82432 MKSGLWDNMRKMVTLIDDLRDCGVQDYIKLPRICVVGMQSAGKSSLLENICGLDFLPRGD GVVTRRPLKLRLVHIQERLQPWAIFKEVPEKKFTDFTKVREEIDRLTDAKAGQKKNILPD PIELTIWSPDCPDLTIIDLPGITLIPLRDSDQPDNIEEITLNMCKRYCEDERTIILCVMP ASQDITTQRSLKLARQLDPDGMRTIGVQMHPKFLLNEEVKLRLGYIGVKNRSQQDIINKV PVQKALRQEEDYFANDPRYAGIPQDILGTRALTEKLTTVLYQHIRKCLPDIIKEIDTKAD ECEDKLKLLGTELPRDQKDKVQLVFNLLQDYTDGFKNTMDGKYDKNEATITDEEKKIELT GGAKIKQMFNELYQEYADEKYHVTDCYLDKDIELADQLHQGDSIPGFPSFDSFLYLIVPQ VQALIRPAQDVLDQIFQYMDEISQQILRKVFTRFPSVLDEISEMSRKVIESQRAKAEQVV INQIDAEMNYIYTNDEEYLTTKADLVFKQNTKTVDPKKILVRELRNRVDLYYKILIRNLR DSIPKYIGYYLVKQTLDKMQLVLYDQMNKSDNVFQMLEEPVYILQERQQQQKTLKALKQA KKVLKQDPDLSKGRG >CAK82433 pep:novel supercontig:GCA_000165425.1:CT868430:158761:161527:-1 gene:GSPATT00016820001 transcript:CAK82433 MKTLLNNKKQLGQQETQTKSIQKHESAATVSVIYPQLKQQNRITSAYYSAKLIKGNVVWL LYKGFQLVHNLQQDLQKRFHNCSIPFFKNLHTMQMQSIHDEDVQQSKQTQEPEFEIRATT APEGAQRKPKPTQDFRQLIQRVPQVIKMEPTAGQFVIQNKFPEYINDFDEFTVNPHVHKH MGTIKIIELDQQIINNCLRELIEKTISNRPVQSQQVKKRKLLPENRPKTTNYKRENPLGD CWKDLAQKVVIQANTANKPRDESVHELEKSLTHLDLETNVLTQKEKEYIKKKRKQFLDDL RPYLEMKQAQAEKKRAMAKREVLLDKFDQHNSLLSELEDDGDLAKEESFEMLDDEIQIFN KPLVEQQNFFAKVDPINRSFEQQLKETQQKFEQMVKEDNKLYEDAQKNKIDKPAPFLKVG DHFSLDEINLVLRELKKSVDDRNYELLDGICKNLKFFWQFSKQTRILLLKLSSLVFYKCG EYIFYEGDVGEQMYIILNGGCHVRIKNPHVADCNPIVSTLYTGQQFGELALIDPNTKINR PDQNQLHSNSKYTLNMIRGEMQRQEQQKEKAKNWWKKDYNEEEKQEQVKIQPKRSASIEC AVDTYLLAISRDYFKSIILNVITSELEDKLKTLLTMPCFDFLSQMELIPIANLLESETYR LGQVILKEGEPQKYFYIVASGRCKCVKEEVFIRDLKVMRADDPNKKKPLKCGLIDYAQIR EDTKMRVKNTSLDLENVPGLGIPQSFQYQEIYKNKEYMAFKYHFLYKEFVRGDFFMGRVL LNEYKLFDEDIMEMKYDLSERSRLTVISDAAKTEVYKLDKGLFHLVSQPLKQHLIDGIKR KPEFDHIFDSEEAKKVKKWDAYKMNLFKAVMDQKELKN >CAK82434 pep:novel supercontig:GCA_000165425.1:CT868430:161579:161815:-1 gene:GSPATT00016821001 transcript:CAK82434 MININKVKLEKQKQLEFSDLKLKQKEDLEDVKMVAEIRTVQTQLLKGMLKENQAQLSDLI AAITQMRQILEKSKTLKL >CAK82435 pep:novel supercontig:GCA_000165425.1:CT868430:161851:162552:-1 gene:GSPATT00016822001 transcript:CAK82435 MKSGLWDNMRKMVTLIDDLRDCGVQDYIKLPRICVVGMQSAGKSSLLENICGLDFLPRGD GVVTRRPLELRLVHIQERLQPWAIFKEVPEKKFTDFTKVREEIDRLTDAKAGQKKNILPD PIELTIWSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDQ RKLTPIKTVQRFEISKWDFQCLTNKGARRVKQIIRK >CAK82436 pep:novel supercontig:GCA_000165425.1:CT868430:162581:165346:-1 gene:GSPATT00016823001 transcript:CAK82436 MKTLLNNKKQLGQQETQTKQLQKHESATTVNLVYPQLKQQNRITSAYYSAKLIKGLPLSA QPIARPIKKVPQLQYPFFQEPPQFQMQSIHDEDVQQSKQTQEPEFEIRATTAPEGAQRKP KLVQDFRQLIQQVPQVIKMEPTAGQFVIQNRFPEYINDFDEFTVNPHVHKHMERLKSSNR LVQYQQLPRNQSSKLERQRELIEKTISNRPVQSQQIKKRKLLPDNRPKTTNYKRENPLGD CWKDLAQKVVIQANEANKPREESLHEQEKSLTHLDLESNVLTQKEKEYIKKKRKQFLDDL RPYLEMKQAQAEKKRAMAKRELLLDKFDQHNSLLSELEDDGDLAKEESFEMLDDEIQIFN KPLVEQQNFFAKVDPINRSFEQQLKETQQKFEQMVKEDNKLYEDAQKNKIDKPAPFLKVG DHFSLDEINLVLRELKKSVDERNYELLDGICKNLKFFWQFSKQTRILLLKLSSLVFYKCG EYIFYEGDVGEQMYIILNGGCHVRIKNPHVADCNPIVSTLYTGQQFGELALIDPNTKINR PDQNQLHSNSKYTLNMIRGEMQRQEQQKEKAKNWWKKDYNEEEKQEQVKIQPKRSASIEC AVDTYLLAISRDYFKSIILNVITSELEDKLKTLLTMPCFDFLSQMELIPIANLLESETYR LGQVILKEGEPQKYFYIVASGRCKCVKEEVFIRDLKVMRADDPNKKKPLKCGLIDYAQIR EDTKMRVKNTSLDLENVPGLGIPPIFLILGNIQKIKKFVRGDFFMGRVLLNEYKLFDEDI MEMKYDLSERSRLTVISDAAKTEVYKLDKGLFHLVSQPLKQHLIDGIKRKPEFDHIFDSE EAKKVKKWDAYKMNLFKAVMDQKELKN >CAK82437 pep:novel supercontig:GCA_000165425.1:CT868430:165387:167695:-1 gene:GSPATT00016824001 transcript:CAK82437 MSKSQPIFIYGITIKDDRGKLKNCQLCGAKFSMSVKEHQCKRCKRAVCDKCAPNKAQVQK ADGLSKKSHRLCNFCKDESDSLKRFLEQYKISFNKDSFSQQWLQSFGTDIAKAKNDFLNA LDDAKLSKDTNAYDIFKAKLEVVINDIDGFVNYSIKDFMVAALKNVESFRQKEVVKTSIL RVAGTLLLLYPQIGYSHEVVLITYFLLCFASEASAYILLTAIYAHILPSQLYPKTNTKYD LVNENAIVVGILRDALQVDSNDMSVIKSFLSQKLRCYLVTLSINLFLFETTFFIISSVLM SGSNGYMDLLAQMAVLFQLSNQEMQKNKFNHEETELFMLRSLRTNQLSVLYKQTQNCDFE SYKKVYITTRSDSIKVDNLNLSARQSMIKPDMQKVSEINQKLQEQVDRRDLENKKLNEEI IQLRDKVKQLQKENSILLSQQQNNDSEMYKIKLHEMRIDNSDLKSQIEQLKNEISKYKLQ IEDDTKQKITKQKTETMDSDYENDLQEISQFKLKYEQQIRNLKKQADEKKQQNQPNQIQI DEIKENYENKIKLLQKQVIQLQSQDNTEEIRDLKIQNQQLQKKLEKMKDEKNKSELILQK NDEIELLTELNEELTKENQRLAKQLRDLKSQSGASSAQQIKVLEEQNKLLELKLEQYHLL IQELVNIIGKVKYEKQKQLEFSDMKFKQKEDLEDVKMVAEIRTVQTQLLKGMLKENQAQL SDLMSNITQMRQVLEKSKSLKL >CAK82438 pep:novel supercontig:GCA_000165425.1:CT868430:168044:168258:-1 gene:GSPATT00016825001 transcript:CAK82438 MITFTLESIQFSMYDSSQQGAKFIHYRNLMELQNYHFHSNRFKAKQSQTKSECRYQNDIL FC >CAK82439 pep:novel supercontig:GCA_000165425.1:CT868430:168307:169025:1 gene:GSPATT00016826001 transcript:CAK82439 MKKMSGQPILKKVSMNHNHTHLESETSDVERTTSFESSQLGRIIDISSFRKTSTSSKGDN NQSPRQQRRNSFVTFESNDVSISVSQIKSIHEQFINQTFSPSQYLKMNDLQVRVWKALSK FTKAQLESVFLIDIIVLHNDTEFEFKFDLSQLSCFMTMKELREQIHLIFSEQKNQKIQDP QLYILIGVIKTQKLDGDIRLFELLNILLNGKKTLILQSSCQNF >CAK82440 pep:novel supercontig:GCA_000165425.1:CT868430:169465:169972:1 gene:GSPATT00016827001 transcript:CAK82440 MNKCSLALQTSMLFDEQEDFQGHNFDELGPWFKLKEKKQLIVPLQPIRVPQVKIKNQQLQ KRVYQIKTERYNTERTMHMNENPFYINKIKQNQEYTDSSPVEQIIKKHFSNKQTVFFPKS QQTSFVQKSDKSNRQIRVKKLKIYHSDIPLLNQYNYFSRLL >CAK82441 pep:novel supercontig:GCA_000165425.1:CT868430:170371:172627:-1 gene:GSPATT00016828001 transcript:CAK82441 MNQTFSRIRPKTTDSKIRNSSNHQLISQLFQQINSYYEQEEKPRPKTQSRQRKIIPLNYS QSDTYDPTDNLIDRLCPTIQQDAKFIFIKTRERALRQPSSGSRRKKMIEEPKFQLNPIKK TTIIQEISEQTAPPKKTIEQKTEHSFYLVKQLHINIQFYQYALNNEKRQNEIIQLQFQLT FYFAIKYTCQILMSHPSTLLEYQPAKQPNPNIIKLLTQHQDLFLIQNQPPSTLGAPYPLK LDAKEMLIEVQPDQKFTHPKYFQKDNKVQKITKQPSQPASTIFVESGLCDPNKKAWYYLD EAQKSQGPFTSAEIDQLITKGTINMQTKVALETLDKLVRVEKIIQAVNKKKEADQKLKEA QKQKSEEQNQQKSLDSPTKIEKQEELKLQQIKQKEEQGIDFEIDYSKIKQNIQIGQWAKP LVAQPIQVKVTKAFTNNPQQSKQQQKQTNKPTERKRSDNKSMHVEGNKGNLYQKKGYERQ EEVILEAKPKEESDATINQEVQDYLKKLDSKKPEKAKEETQQQPEKQPPQQQTQVPKTQK LKTEPPKQEQKLQTKQQVEKVDSADEEWEIVGAKKNTQTKKDQQQKQTTKVEQQTTQLKK QEQQQQQQQQQQKQELKQEQKQEQQQQQDEEDDDEDEDGWQTVGASNKDKKKPVNKKIQQ KNSHIPGFAVEIKTDSITISSGSAKKPPPQKQKKPEPVQQQQPQQSQPQNQPQNNQNDDD DEGWIEVEVGQAPKKVTQSKKGK >CAK82442 pep:novel supercontig:GCA_000165425.1:CT868430:172709:176948:-1 gene:GSPATT00016829001 transcript:CAK82442 MEIFTFDNCDHSKEFQLLVQYYSVFDEDFEQSNRTKSVVDLLDAGLEIQEKFRNKAKQEK QTQKNFKTPLDFLRDSLKNKIDNTLSFQQLLIFSNPQVVKNFGKPKVVHHNGEFLIVGGS LGNILYYSQQQMDIIIQQQKIGAVTALDSLNELIAVGYESGWISLVSMNKKKHLYTCQNI TKSKIIVLKFIFQSKNFYNVITSDDVGIIRIVNFRKGMLGFDYQIQLTIPRQQFPMLNII VLYKSETKEFMGDLYEKSSRIIGLCSLSKFYLILTYKDEEKKLKSLIEIENPHISGPEKP FYCYLSYGFGVLPPPNQESEQKWKDKRLIILLCWNCHMFILVRSNDQARYMTLKPLIFKQ QIHAAYFVEQSIIEVITSNQNIYLFNTLHLELHIVPKGIGQIPYFRQKKNWPDSPFTFSL SEKELKQYPLQNQIYALNKLTRQIHILVEGSIVTGSINNLEQTIDIFIQNKDWAKGMLLI LALMDGSMKSTPIKFNIQVLKKKVLEIATHYIKVVLEEIKTTLDKHKTLIYTPISQLSHQ KDVEKVKTLLSMLVDFLLRVSSDILFNQIYCIIKKFLNGDVSILCNELSLYLKNGKVKMI DANEQTINEILLYYVKKGESQCIDTFISKIEYSKINTEELIQLCLLNKLTTSLSYVCTRS GDFLTPLAKLWGIIQQDIKKKDPQLVIEQGKSIILYLEYTLHIEELIKEKSDQISLWLFE TQTLFLLMSIDFAGCVQLCYKFFIAPYDQFLDKERLKKYYVNMTQAFQKLKTIKDQTDLK FQLTQLQIKTLPDAIGWEKMINQVGRSIQANILSKGYTEQLQNKQLTELFLDLVSEHRHL LFLPLQCLPLPNILHNDEPTLKYCLIYAYNQFIINLFKKYPMVDKEDILNSMASDGQFDW VKMYLNEELKNYSKTLDLRIKIKNQLQYFNLTVKFEIFTWISKVLQKDPPIPQLDSFRDR ILHHIGSLVSYSAIHTRSLISKYFQANDISILNKMGELPKLQLEYLEQIMQADRKQYQSN TEFLKLYIKLLCQIKPEKVLKELQEQEYPLDDIIIVLKQYPVPDALVYLHERSGAITEAI CVKLDDFIQKIQQKAFQSKSEFYCYFLEICNICFRNNKQDELDESWDMVTQCILELSQNR YTPQPYPSYLEEYIPLLLKKWAACSHLDAFLDKITTKYMRLSSSKLKMTFQSMYTHFNFS TTVYTKLVDINFIKCIKNMRNLVHLSLQGKGFLPGCYQCHHYYEYHLQLESIVLLACGHT YHYNCLILDEKAHYHCPVCLNNPRIAMVHFLYQLKSKKYLLKTENQQKQQTQQNQNPVVV NIIKQGQKKQQEIDESLKFIQHESKEEISKMKQFEKLKKFEQQKLGRMNRAWQGVMTY >CAK82443 pep:novel supercontig:GCA_000165425.1:CT868430:178140:179783:1 gene:GSPATT00016830001 transcript:CAK82443 MIQPEGGFQCNSHQQIVKYVLIKENKKFQLQCEQCFQEMEGEKKAQNIREIIDKTSKIKQ SEYEEYQMKLLPELTTLDDYQKCFSKLQDSIKEVIRKLFMDLNNWKEDLESKLDEKSKFN FIQELQHEQQHNSQMIDEFLKKLRSQYSDKLEQSFIDLEILITKNLQLNKLRSSLNGQNV IQQSPRKQFLGKNMKIEIKKSPDLKIVFKGENSEIIDVFQEMQQVQERKISYKKQNKTVS QPIQCEAISFNKDDSIIATACDREIKIWKFQDGNISDLLYNLNEHTQTINTLLFSKKKNW LFSAGKDFSIILWKPKKILFNIDITKKQIYPQCHRDQIIFLELNEKEDQLFSCSSDCKIL IWAVNYERNFILPLQQLEKHTAPVTQVRLNQRNTLMASISLDRKIIIWDKNDQQEWNFKQ QIENSDGCNVNFLNDYTIVSKQLKGQIQIFQEKDRQFSKILIKQLPEDKEKDGDYQPSIF YSQQQILIQKHLKNLYFLTIDKQNDITIQDQNIELEDENSNANLSNSGKHLVVWCKEQFR VYELFYD >CAK82444 pep:novel supercontig:GCA_000165425.1:CT868430:179931:180475:1 gene:GSPATT00016831001 transcript:CAK82444 MYINLTQNNKSWWTHTSLVPTETQNQVINLVNRQSSFQNKATLLTTYLSLEAVNRIGPAK KLAIYFKAGIVGAVFLGTKFASGSYYAKSIKPEIGKLLDGAPVWENKFDVPELDKKFFFI DDDNNFEPSLWHHGINQIDKPKQFYKFE >CAK82445 pep:novel supercontig:GCA_000165425.1:CT868430:180475:181690:1 gene:GSPATT00016832001 transcript:CAK82445 MNPIDQHKLQFVLKDCILKLQFIGEITRDNDISSELAGYEQSKLLTDQQTLEVKYAELVA KRSTLVGISNRKQLTETQKHIQEVAQRLKESTKKLCRLFKENPNIDQDSLKVYEERAGLI SDLENLKTFIQNNQLNKFAQNVTNSLEEQDSLRKFAVREKELAAEIKKLQNDKTQEIKEH EHEKTEKQKNIQSLKEKLLYKTNRADLKKKYDEKVGTSKENTQMRVFEFALKDIEQQILN FTTKIETEEKVHKQLKDYLIRKEEESKKKTDDQNKYMDEKKQELEDKIEKLTEQKNTMLE ELAKLNIRFEKEELEKQERERKEIQEQEAKKLRELQQLRMENAIKLIQNELMERKELYGL GAKKKKPKKPKK >CAK82446 pep:novel supercontig:GCA_000165425.1:CT868430:181692:182318:1 gene:GSPATT00016833001 transcript:CAK82446 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSIVDQIVNLAKKGLNGSQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDITSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK82447 pep:novel supercontig:GCA_000165425.1:CT868430:182318:183313:-1 gene:GSPATT00016834001 transcript:CAK82447 MKQNLEEIAATIPNYKMVDGKYYIKTTKLGKGNFAETHLATLKDNEKIVYACKLIAKQNI IEKLKKSNNPDSRKEYIINSLKKEVSLWKQMDHPNIVKFIDFSETPNNIYFFLEYCNGGD LDMLIKDKGKLSEQEAADIFLQIAEGCSYLYDKSVFHRDLKPENILIHNGTAKLADFGFA KVIEEDKKDVAAHGTSVGTPYYMAPQILAGEDYCIKCDVWSLGVILYQMLFGVLPWKDTN SIIQLLNAINNQKIQFPNTNPVGQEMKDLITKMLQKREEDRINIKEVCEALNKIKK >CAK82448 pep:novel supercontig:GCA_000165425.1:CT868430:184632:185408:1 gene:GSPATT00016835001 transcript:CAK82448 MSRTQNDDDRPAIPLPQATDQKRQEKLAYDRQMAKITLLKQAEQKNLHLAKLYEMAAENI ENGIQVKKELQELADEAKQIKDETMKKRKQQIEQIKKSEQDFIQKRKLAQKLGDSMKQSQ VKEYKSTMKMSLSRTRDCILQENQKIREVLYQEEKERKKKKEETKKLEEMKSQQFYKERV SYEKMIEEKLKKTREKLLKDEEDLKVRLKQTQDMLSRSQNKFMELQSWSGQKR >CAK82449 pep:novel supercontig:GCA_000165425.1:CT868430:185445:187723:1 gene:GSPATT00016836001 transcript:CAK82449 MSLNSRYVCNSLYKSHQENLNQIIEQYCDQASANSTECDQSFYEGFKFQVAHQQISDLIS QVERSSKLDEGKRQLSTQILSQAQQILKNYSSQECQIKIKITQSLFNLQRDTIRTIQEVH EAFALLESIKWPCKGPANKQYDKQLRALEKLCYFWEQVLMLENRATSTISTNEFKQRLNQ WFEQFTNEIRTKNFDNLKKSKKGYLDTQLRNAHEMYVGLGIEKNRDSALQIYKRLSEESH PIAQAIMGQVLMDGELGEKDYDQAFNYFKLSADQGHTFSVYWASRLILEEKVFDKFFEKD EQQSRKSSVTTIKTKDCDLAIKLLRKAAELNHVPSMIYLGDLYTSGLQLQNYSLEKDYQD AEYFYKQAQKRNSVEATYKLSLLYQEMSKQSLNKNRRQLIFPLLSQAKNQDYLPAFYDLG MLLLNGLGEELQANPIMADLIFEQGAFNGDFKCAKKLLNLRFQNLQREEGQLTDFLSLLD QLEDILKDQTVINYMRGKIYYKGISCEKNLQKAVEQFRIGSWRGCLKCKAQLEKIFKDKE DLPTSSPQSSIQKLNIQGGFIDQVKLSDQRKAYQIQKGKVSLNNKSIPFEQENQSTRQDR HTIMTISIVKPNEANDPDRKRGGSEYHSFKRAESGVMLSQLKLQIQSPQQQSSTRFEQQQ QSQYGSPRQPSLINISSNSSKKDLFNFSKFPSHSSINSSKYLFNQP >CAK82450 pep:novel supercontig:GCA_000165425.1:CT868430:187772:188607:1 gene:GSPATT00016837001 transcript:CAK82450 MESPQQRKENEEHQNEVQQPQTHLIQLDVEETYEPPIKRPAPKTFGDLTIIFIFETIGTG LFAYGIVASNGSDILIAAYLYAAIFLTCKFTGGHVNPAVSFSFYCDDTISSWTLRIYWTA QLLGAVGGAWIAYLILGVVTSPSIKSTNIEWMLADLCGEAFGTFMFVLFIHIQVHEQTRQ TNNDIAGIAWISLALFFSRQLSSHSGGCLNPAMGVGLELFEAFWLNDTTKLANFWVFLFA PLFGAYIASIFYNSIYLPLLKK >CAK82451 pep:novel supercontig:GCA_000165425.1:CT868430:188627:190642:1 gene:GSPATT00016838001 transcript:CAK82451 MEQYIDHELTIQQFFKQHQITSPLLSQLKREFGIIKHPTFIMNNLLQIIQHKKHLTCIEA PKQSGRTMSCLLIILDYFLKSLDHFTPDEENGYYLLITSASREQSAHCKSVVQKLLDNYL QEIALLDINSQFPLNAKNDQYNIVLPPVTQPSILFATYYSVSKLKASGKVDNKCFQFALF DDCNLATLYGGQHQLETVSKWISKNQFTIYIGDEKLIEVILGKAITVAKIEEDQEEQEQE DRVIQQTNNALPNLFYYFYSDFTQQQIVLYITLKLNLVQGKTLIIVQNLFEIYYLQLLFQ RCNIERYQIYNHENPKTLKYYTLSTFNTGLLQMYSQDLENEFFERSKQQTKKSKQPYTLK KLDNIILYNLLPNELPDQILKSVSNTVCIVSNNEQNVETVMQFVNTEYNNINCKEYPIKQ NEIEAFRYRIEDTTKNISKYQVKMAEQIDFKKKMIKSPDLVEYFQQNQKEKELLQDQIVQ LKKKLNRSAIQLPQGPVSEYLLPEFIKKQRKMQGDQQKVKVLVRVHDQITDKLIRKRRLL NDEQNNNMLPEQEEKPRTKKPENQYVTINQEDEDPELVDSISSQTHKWQENMEVETWIQI EKEKQEVGKEGSLHYLSVYKLIYLTILQNVI >CAK82452 pep:novel supercontig:GCA_000165425.1:CT868430:190665:193516:-1 gene:GSPATT00016839001 transcript:CAK82452 MSFFRSETMAYYQIIVPKESAWEVFNEMGKLSMVQVVDMSPDEPQVNRPFYQYIRRADEV ISKLNVLEVEMLKYKIKNLKCSDYQQFLERMTLYTKEINQSEDKWFDLIESTLDEKYSQL IEQIQNLEQISVRKNTLFEHKAVLIKSKEVLGPTYYTKGRNVAINPQIGGVPEQQKVAQP LYNLNYLVGVVDRVEANRFKRMVFRASKGNAWIVLSDIEYSRIDSSLETGNLDSDKSAAK NLEKQRTVFLIVYTGGGGGQDFLRAKLNKICDSFNCAKFVLPDDPQLLVQKTLELDRSLD ECDNLLRLTSGKIKELLLEYAQIQPQLKISLLEMSKLLMVKEKTLYTNLNYLYQKERIYI GFFWAPKHVEGELHHMLHQLSVSQSNTSVGQIIELEPPEKVLTPTYFKINEFNNVFQEIV NTYGIPRYKEVNPGMFAVMFFPFMFGIMFGDIGHGGVLFILAFLLVKNADTLKKLPDYAA LVQVRYLFLLMGLCALYCGIIYNDFMSLTWNIFGSCFENVPDSEETVYIQGCTYPIGFDP KWYIASNELNFFNSFKMKFAIIYGVSQMIFGILLKGVNNLYFKDYLSFICEFLPQMIFMC ITFGYMGIMIMLKWGQSWEGRTDKAPSIINAMINIPLQGGTTEGKPLFDLESQESLQQSI LFWSFLCIPWMLIPKPIIEVIQHYSGKKHEKKPSKALEPKDESKEPLLPMQTSQKSINQS ALAEELRLQLIQKEKEKELRRKQLEEQRLKEQAAEDDQLKDQPQQLLPKQPEKTGDHGHG HDEFDIGELAVHQIIETIEFVLGSISNTASYLRLWALSLAHGQLAKVFFEKCIGAGIEDG NVIILVIGWPVFLHCTIGVLMCMDLMECFLHALRLQWVEFQGKFYKADGIKFMPFSFKEV LTNQPKDQ >CAK82453 pep:novel supercontig:GCA_000165425.1:CT868430:194281:196130:1 gene:GSPATT00016840001 transcript:CAK82453 MEESKLRIAIINKDRCKPQRCALECKKNCPINKSEKLCIEVTKQSKTCTINESLCIGCAI CVKKCPFKAIMIINLPKDLSKELTHQYGANSFKLHRLPTPRPGQVLGLVGTNGIGKSTAL QILSGKVQPNLGLYKEPPSQEQILKYFRGSELQSYLQKVFEGKVKAAVKGKVGDVIKNKD KLNRADQLLKDLELNHLLDREISMLSGGELQRFAILMACISESDCLMLDEPTSYLDIKQR VVASRIIRNQVRDNNYLIVVEHDLSILDYLSDFICCLYGEQTAYGIVTMPFSVREGINIF LAGFVPTENMRFRDYELNFKISDNLEMLENQKQKKNYQYPDMKKVQGEFSLQIKAGGFNN SEIVVLLGENGTGKTTFIKMLAGKDKDAVDVPRLSVSYKPQMIAPTFDGTVQELFRSKLQ DSHRNPQFQTDVYKPLRIEDLEDNEVKKLSGGELQRVALILALGKPAEVYLLDEPSAYLD AEQRVATSKLIKRYIMNTKRAGFVVEHDFIMATYLADKVVVYDGVPGKACVANAPEDLLT GMNKFLNVLNITFRRDPTNFRPRINKMDSILDREQKQSGNYFCLDE >CAK82454 pep:novel supercontig:GCA_000165425.1:CT868430:196173:197157:1 gene:GSPATT00016841001 transcript:CAK82454 MLVGLNKFGFSFDKKIGSGSFGQIFLGTSKQTGQDVAIKLEAVTNKHPQLIFEGKIYKVL QGGMGIPQSYWVGSEGEYNILVMELLGPNLEDLFNSCKRHFSLKTVLMIAQQMLSRIEFI HSKNLIHRDIKPDNFLIGLTQKSDIIYIIDFGLSKKYRDQRTNLHIPYREGKSLTGTARY ASVNTHLGVEQSRRDDLEAIGYVLVYFLNGQLPWQGLKTDNKKDKYEKIAESKIATSIEK LCDGLPEEFSIYFNYCKSLKFEERPDYVWLKKLFKDLYTRMKYPNDNVFDWTKL >CAK82455 pep:novel supercontig:GCA_000165425.1:CT868430:197336:197550:-1 gene:GSPATT00016842001 transcript:CAK82455 MNDQQKQQQPDQYFSLFAYLQKDNSDLYEALIEGLTQIKLQKPNEEVQFLGNFLINRSQE KGK >CAK82456 pep:novel supercontig:GCA_000165425.1:CT868430:197595:198718:-1 gene:GSPATT00016843001 transcript:CAK82456 MNLTVFDLFAQIKELYTKQSLDEFHQRFRGDDEFKKISKQTKIQKSQLDSLEDKTALITK LKKIINESKTAHKEALFSAKKFEIATQDKEKGNCNNLNQVLNENSKLKNQIKTLETFRNT QTNQLNEYQEKYSKVVDEEKQKKTELVEGFQQEIKDISTKMEEVSNAKHKSQAENEALKE KMKEIQEHVEKRDKIFDEELNKLDSQRKERETKIFEQINNISQSLGTSDGSEEQLQKIKE EEALVEAHLNPHLQKAEEFQQIIEKTNQQFNLYKGETEKLAQSCRTFEQKSQACQRKCEK SDIYIVEQAKEHLTLQTQLKQKQEQVNSLLTLKQTLQSGLI >CAK82457 pep:novel supercontig:GCA_000165425.1:CT868430:198855:199717:1 gene:GSPATT00016844001 transcript:CAK82457 MQICDFPFIELRIQYPDCGFQLIQINKLNMQIADEQQDVQHSIPDLDNVNIKLGDDEKKV NQISSNTVSQVQQPQQVAIQMQPLPQKAADPSFLSQLFHCLFKGLAIFVFFIPEGLLNLT YCFIVVVILSAIDFWTVKNITGRQKLVGLRWWSEVKEDGSEEWIYESQVANFIPNPFNSN VFWFAQFGVVLTWGILILLDLIGLRWFNAVLAMTAFCLTGINFVGFYKCRGEHQKKAKEY MTKIGLKAIQQW >CAK82458 pep:novel supercontig:GCA_000165425.1:CT868430:199729:201393:-1 gene:GSPATT00016845001 transcript:CAK82458 MLPKKFGRTMHMVGENNQNQERLKQLILDNLIGEYAQNDQVITAYTTDFFIQYNSINAIR ILREEVKKAVLKPKEEKIMLIQKLNQRIRKEQQEHQMKNTLISKQENNNQEKRHHTNQLS EQKQQQAKLKTDSIINDEKRKSIYKVDGSLDEWGAIIKYNTLQFQKEVEQEKKKKIIHKQ KIKEDLDKQIAEKEKVKQKDKQDEILYYTISQQQLKDFERLQEQRNKSRRFEEMQEKLIR DQQVYFHKKQKKEQNVYVKQQEEEMLIRVKEEIKAEDQLNKRKKMEQFEKLQEILKQNEN LRIKAKEEVIKSKQQERYQQEMFILKDEMQNQRKRREKQEQMNFNINNYSQQAIRYKRNN QELNETLDDYWPNNKNDNKLSKREKQFQLLSELEKQVQEKKRRYTQQESEKRIIFETELQ EQRDVQKQEQERRNNIRLMYQKNAEELKKQILYGQNGSPLKSKLNPFEKMGEQELLQNKK VLKRIANDSSLGIQVKKTEISIPE >CAK82459 pep:novel supercontig:GCA_000165425.1:CT868430:202503:203109:-1 gene:GSPATT00016846001 transcript:CAK82459 MINKDNPSQIEDELHELYTWVDSVPLSRSKTKIGKDFADGVLMAEVVHHFLPKQVELQNY SEATSYQSKLSNWNTLNTKVFQNLGLQLTQNDIEQLISAQNGAIERVLKILKPKILNYQD IETVEPQKSSATNISINNNQSTIQDLEQKIADQQDIIAILELKINKLQQLVKLKDNKIQA FSSKLQDLGFRF >CAK82460 pep:novel supercontig:GCA_000165425.1:CT868430:203130:203591:1 gene:GSPATT00016847001 transcript:CAK82460 MNQDKTVEEPLLSQAKMNEYKEREFREYLVNQDVTLAIVKFLLALRNAPNKPDSPSQALI DYFSIHKDTRAHEDFEKLRSDVEQLEQENNELAKEINQIKEQIVQQKLEKQRKEEEERLR LEEEAKKNTKKPAKK >CAK82461 pep:novel supercontig:GCA_000165425.1:CT868430:203640:204604:1 gene:GSPATT00016848001 transcript:CAK82461 MNELKGARVFGKNLENQADKASKILKGKKDVIVDEMGGKENLINDAIWTKEGFNKSGSPQ LVSLYQQEIYEFLYEKEKNMGEPNFQMGSTKINDTVRATTVRYIVKLVRCFNLKPETLFQ TVDLMDQTISLLNPEIAELELISITCLFIASKYEEIYPPPLPALLRATDIKAKDVIEMEK EILNRLNFNVISDNTLVWIQLIGEMLGYNCKYSDQIKQRCMNLAETSLTSSLFLNHKKSA IALNIYMAVEINLGCQKTQFKWERLTQHQKPSNESKTLKLLTYILKIK >CAK82462 pep:novel supercontig:GCA_000165425.1:CT868430:204605:206088:-1 gene:GSPATT00016849001 transcript:CAK82462 MSEQLDLLLFPVEIVVFCALAFGIFKLKKKLPNLLFPCQGVTIFLPPDGEDLKESKDKKS KTLVQIKTTPSQAQHFQQVPFANDMEITMLFIYMLFAQFVIVEMLKMIGSALGYYVIDSG MLFYFDGIIVLMIIRNIYKAATIGGYDSQQTKMSIVICIISIVITIFINLGFKDFLNINM RHEFQRQAVHLEIVLRTFIQPGVWSFMGQFGDLFVIVLALMVSIIIFCILPILIRFGNCF TELKNSISTDKSQQKFFYLIAGQLIAHIIYIALYIKPLIQNLDYVFHFQFLAIIVIAILQ ILTYTLEMDKHMAKGCDWIMILKDQPEQQEYVKKRVEGLLRGFVIVAYQLLSKIAIPILL FMILLLKNSQIPETHIRYNYLPANYEQKEMYCVKDNIIMMDFLFEEKDDPVEDANLDPSK IIMKRITKYGIFTKSFIYTFIEFILFNYYFISFVLSIFYIFFLNKTQSVKQTQKKKKQ >CAK82463 pep:novel supercontig:GCA_000165425.1:CT868430:206145:207759:1 gene:GSPATT00016850001 transcript:CAK82463 MEHHKGASLLFQEGDKSNDKLYIIFRGSVGLFRAKKFDTFQQQTPQHVQPAQPMQQLLEK VSSNLKELNKQPISKPNFMKSLANSKRFANKLMSTLTQYTTKEDQLISQQEMSLLNEKYG DTVRILGQGDGFGEVAIIEGTPRGLTACAVSQDCFLLILKKEDFDIVKQQFVQSIKEKKN LIYTKFEVKDAYSTALSNSLAYSFDVESFQHNAILAVEGMQQKVFYLIASGDILLEKYIG NKNIKICILTKGQFVAEEIVVNQDSVCEYSARVISNHATLMIMNKNEFFQKFPEECKQQI KKEYQRKKLFRISFPEETLDKIADIQSPKTSPKQTIKIKVNPLSVRSQQSSYRNSSCQLD IKDILDKKKSIYCQKYQDNQKKCEDIILFTEMCYSPKICHTPSYKKLNNVLQKSFHQHQL KKIMRKKFKYNSSADIPISQLSLYERNSKYQQTPSTNLASQELEQ >CAK82464 pep:novel supercontig:GCA_000165425.1:CT868430:207780:208724:-1 gene:GSPATT00016851001 transcript:CAK82464 MSNHPQAAQQPQQAPAPVPQAQQRPAAPVYPNAYLPPTYYPASPIRQSYVAPVAPIQYAP VAQVPVAPIASVPVQQLAPVQVQPVAVAPVAQHQTIKGESRIEYIPYQKAVVEYEEQEIV SYVPRETKVTDYYAVEYQTEYIPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQPTQY VQQAVSVVQQPVIQQSVQYVQQPVQYVQQPVQYAQQPVQYVQQPVQYAQQPLIASRVVPQ FVQPQYAAAPVARTSQPQQQQSHQPQQVPSQQPRSQATQQQAQQQQ >CAK82465 pep:novel supercontig:GCA_000165425.1:CT868430:209028:210092:-1 gene:GSPATT00016852001 transcript:CAK82465 MGSLLCSKSNPNQKQDPLIINQLPLSSISYNLLFEIEYKDDCSALAFNKQGTLLATACKS DIKIWKVDVGKLIDQNIILKGHSNQVRCLVFSNKQNWLISGGNDQQILCWKEHEQDNSSN NWICSQPFKMHRNYVYNLILNQNEDELISCGADHSIKVWKANASENSIQFLYSLDQHQHY VYQISLNSSETQLVSCSMDTDIIIWERKDENQHWKFSTKIEKSIKDHGHRVGFLADSLIA WTQYEKGDLHIFKQQDGKFIQMPQMKISLKSQNTQDIYIMFPLIFNTQKKVMIVRHNRYV SLFELGSNEQFSIIGEPIDCQTGDNYGTLSRDGKYLVIWNDVTKQLKVYQIQYP >CAK82466 pep:novel supercontig:GCA_000165425.1:CT868430:210368:212126:1 gene:GSPATT00016853001 transcript:CAK82466 MSNQLQSNQQTFDRAIQSISNYLNQNDHENQQQSLAVAGQIKIDCKQLRVVTLAFNPYQN SENNNQLVVVQEKGVNFYKVTFNQGSQQSYNIEANFNFQEHLNDNDTITAIHFPITKQDN QPFFIGTKKGKLLCAILNPKKKLKNLIYLHSGQISCIQQVDAYDNKLILLTGGYDGLIKQ FEVIIHYDKEVRGNENQDGQQPQNQQANQDGQQIQQNQQANQDGQQIQLNQQANQDGQQI QLNQQANQDGQQIQLNQQANQVGQQIQLNQQANQDGQQIQLNQQANQVGQQIPQNQQANQ VGQQIPQNQQANQDGQQISNCYWIDFDIEYMPADDQGALKHGSKEGVFWLSLSDIKNGEK RKQRLISSGYENNFYIWERNIKSYDWQFILKYKRNAFGTRVSYYGSDCIVWQMFKQNYVN ILINLDTSILNNNELALNFKPENLRKVQFDEQYNDNKLERHDNDKFPLLYNREKDIMAMK YGNRIHFLICKSQLPSKEGSQNIAQLFQAKRELSFNYYQQYIQCSLDCTEGAISPGGDML AVYDGCQKKVTIHRLWFLQKII >CAK82467 pep:novel supercontig:GCA_000165425.1:CT868430:212785:213600:1 gene:GSPATT00016854001 transcript:CAK82467 MIGTMNGLLMNLLLIVDKGRSASINDLCNWSNSMQPWVSSYLSISGKFSSFIFYLMKLKT QLRIYLNSHETYPYIRDIKLRVPFGDNKLVLQCLANFLIDNEESLIFRMSAFKFNKELAE TFDQNYIHLMQKIVLPKLEKIALFQVESKDLYRGKPVIFRKSETPLSMQSTEVVKVTRSC RRQVINFLVQLQNTSQFQGGCFLQTISIIDQFFIVLLMKDYSTYLCNFLKFNILIIIQIH TIYLQLFLLSL >CAK82468 pep:novel supercontig:GCA_000165425.1:CT868430:214918:215214:-1 gene:GSPATT00016855001 transcript:CAK82468 MDNIDARKYFKTGNRKSQQGCREGIVMRTESQEEDLKDLECQLQKKMCLNENECFFIEEI LYTDPYEPEALLDENICINQDVTFNPQFYLNNENSIIG >CAK82469 pep:novel supercontig:GCA_000165425.1:CT868430:215516:217199:1 gene:GSPATT00016856001 transcript:CAK82469 MNQLLNNLKFHKPFFKLNTAQIEILKDPVDFYVNLHKGIKNAQKRIVWSTLYIGNGNMEE FLIESLSNQQRRFEQLRISLLMDYYRGTRRDKKDHTTPLDHYLYLRMQNLYAQNMKLGLM RHPFLPQLANMFEESASREIFNVHHMKWYIFDNRVILTGANLQEQYFINRQDRYMVFHEA NEIADYLDDAQSALLQHAYYVDFDSNSKFDPLRAQPFKRQQYFKEFHQTWKIFKFSYKEA AEIKSDDEEYKEQQQAKVQNKEEENDDTQQEQEEEELSQEEMLQFYEKDLIVEQKIQERK EQAKLILNKSFNKGDVQNIINRLEKALYKDEERELDEGEECTVLITLHIPYLQTDEDKLV FMRILEHLDQFTQVTWASGYMNFTKTIINFVNNCKTDIKFVTASPGANGFHKAGKAKSFI PLLYRNFELAINKPIFEYKKNGWTFHTKGFWAELQDGSTMTIIGSSNYAERSEKRDNEIQ AYIFTQCPMFKQDLKKEQNQLFNYAEKITKEEILNDPEIKINWKIKLLSKIFKSMM >CAK82470 pep:novel supercontig:GCA_000165425.1:CT868430:217238:218371:-1 gene:GSPATT00016857001 transcript:CAK82470 MMKRNSQSRVPKIEIQTELLSIKLIQHNPNINFDKYDWCQKFSEIIHADKLKSHIAISND KVSIIKGKNIYSWGVIDKSFTFKQEKSDILDTITIDSRCFILDKDKKVYKSNDKQILFNN IKAIRNFQNALILLTGDNQLLDEKQNKLKQNIEDVQSSNEFLGIQTKNNITIAGKFPFQQ IFEVKATKISCGLQHVMCLSQEGQLYIWGEGKSGQIGNGSLKQQQWPQLVMTNVQDIAAG TESSTLLKGNRIYYCGTNEQINKQHTFVPYQSDNIFIRIYSCWSQVMDVQYAHYVDFDQE LYEELNKIVKQIEDDDLLLPDENLTRQTKAMSTHESQMMKKPLPPQIFGQQTKTSTNSSI IKSKSKTPQKKLKFQLD >CAK82471 pep:novel supercontig:GCA_000165425.1:CT868430:218445:220133:-1 gene:GSPATT00016858001 transcript:CAK82471 MDITLTFKDPQEELNYGLYSHKIDFNLFRRLLYSMLLGSFSGSIYFLIIGNYLYITLGSY MFIQTIIAILLSKKSIQYLNIVALVYQIEIQTLLATLITLLDNSLHRGTIMIITTAAFQM SVCLSIGTKCTLKLLVSLYSTLIQLVLSLLIETVSLVWIGFAFFSLLFAFQVTYYQEFEK RQKYTLHQNAQKIKENLLNQIEIPILKCFSNENDLKLIYSECNKCAQNTLRITDQNSFIK FTREVIILEGKTTSILTKQSSTLQFSGKQNLEQLIHRFFKKQVGEDSILHKTFQAYRCRV KHLSQEYLCQLILIHEDQPLCVIYLQKTYDDFIIKTNEKMVVLNQLLKRCVKENSQKIST QQECISLLYRNLKQNNLTEIINKLAQELFSLNIFFANCKILFNSQHLHFTTKKQHNLIGL IQQIVFHFKHSLQFKSIQVSIRAKSDIMVEENEILIRQLLYNVIENAIQFAKDKIKIEIN SNYDSNINHQIIEVKFANTIELNLQKNNSESKQLRMGHLVVNKILKLISPYSQLQIYQDE SNYSVKFYLFHQL >CAK82472 pep:novel supercontig:GCA_000165425.1:CT868430:220277:220573:1 gene:GSPATT00016859001 transcript:CAK82472 MQKYKSIKTWERINKIKTPHPKYEYDNYKEIDQKFKQFEDNGDPEISSPTSLFGTILIQT AVQGLQMTNLLQHQSQPLNRMSQRFLFLLTLFQHFEGI >CAK82473 pep:novel supercontig:GCA_000165425.1:CT868430:220664:222959:1 gene:GSPATT00016860001 transcript:CAK82473 MNSTIDTLLKNWSDKIFLKEQKCKEVDLQMKKEKLNNQTKRHFKWLQLKTKYEQLKNKLR QIVVDKLNDYENQQLALKSQNQPLMLKNVQVITTRNIFENMEKYGHSNEFHFLKSLGQDR LKQIKEKQNKNSNLQNDETNKQDSTKHSLYEVAWDNQQEQIFTKQRSQTKQLFTNEIACS NWNDLGFLPILQQMTLNEKKKQQREHILNKYTDGEFLTAQELQFLANTSELLNACLDRDF FQRAANQVNPDLLFNMELSLAEQILNDYEEMKAATMKARLSYIRVKIQKTKEKLVKREQL LLKQSIDAYNMELNRKYIKVCKTVVKHMERTGKFEEKIPSEIPGATQLKDYLMGKITEKQ FINKLYDYVLEKERRKQYIDFSEDDNQYNYSSQQQFKSQKQLQPQSPRGASVKNKRQEYL KAMARPKSTIGGQFTQNIKRHLFHPSTKLLIDKKLEAEENELAYINPISAQYNKYEQEKF KLGLKSHPALRQRKNFKKFYSGGPPTQPELEHWKSPNYQPTQKEIRAAIVIQRKLRSLFR EKKLRQKLEERRKQIYYLFKDNCENMVHQIETGQDQQVSFFYLNKQLERCKAIAKDQINF GNIRTDENSRKNSEKRSFNLSTGTSTPTPKTFQSLRLPLQQNKIKVDYLFEAVQKNRIMM MKQSHFVYSANDVNSQNYDGITPLHVAVLKGNQDFVEWLLQNGADPYIMDQQNITPIDMA RHYNKMRIQIIRLFEQYKKL >CAK82474 pep:novel supercontig:GCA_000165425.1:CT868430:222990:223329:-1 gene:GSPATT00016861001 transcript:CAK82474 MQNYALLGITLILVVVYMLMTTIEKTTSYFGKRSSLNQIEDKYESLRKQRREMLQHYYWA QSNNERDKEKKLETQIFKIDDELEELKNDFESLKAGKKVPLKKI >CAK82475 pep:novel supercontig:GCA_000165425.1:CT868430:223354:225236:1 gene:GSPATT00016862001 transcript:CAK82475 MNRLRLLRNLTLPKLFTRISCLTLPYLIYHTYRNRSVHCSSEIRQMNQSNFVQNVLGNRK DYFFLVIYKDELDGGYGEKEAIEVAKKLQQIADKLQIDCHVYTVSRPSLKSITKLVNSVK NIKIYEEDEYQKIDIFFKTPHSDDYVYFPFKKHNFYQENNIDKILTRVSRFIDMYQTVTT KEQFESIILESYYQLDSPVFIKSNSQDSKLKLFKKTSFQFLDKKTVDQNSKFLIIENKEL CQELNLKDDDILLFRNDILQKYQNCIINEKNQIIPQLINKQQVGNLKYESFNEWKQQNSK LESNTVTDRKQQKNQFTFELQQFSLPRVFYLKNSRKCNIAAFIRKIQNHKNQNDKLVVSL QLDPKHQNRLDNHIRISLFFRLYEKYKDKLEFVISATNQLEELLPHLNKFQSRFVLFNIF NSISYSRQLFPQTAKLYEKYFLKDIQFVERFEVIDQQLNNLLQQSSEERIHNIDYLSQDL DKIQEINLDYFNFLNSNQNNRKQIILYYQNDAMTDVLLTILKDIKTNLNIYTISSLNQIP ALYSNFPNQNVLILNGTAIGFPKTTDTNLNDLRVEIEKFMKQYL >CAK82476 pep:novel supercontig:GCA_000165425.1:CT868430:225272:227210:-1 gene:GSPATT00016863001 transcript:CAK82476 MPPKTRRNEVSSHQLKVNDNTQNQQEEGNKAFLNSEYDKALTLYTKAICKNCLNSFQRLK KIQSISIIVPKHISIWMIQNWPSKTAIGLYSQILIIPRPYQTKPKFFMRWGTSKYFFDLM QDAIQCLESSNHHTSEIEKLLNQYKTQALQSSIDSGELDKQKRLLEWLKSGQALFPKIKI ECYAEDYRGVNARKAISSKEVILFVPRSHMITLEMAKDTPVAKKIIQYRLDLLSPKHSFL STFLLQEKKIQDSFWKPYLDVLPKSYSNFPIFFNDSDLEWLKGSPFLKQVKDKITDLKKD YCDICQVAPEFLQNSFDEFCWARMTASSRIFGINIKGVKTDAFVPLADMLNHKRPKLTSW CYSDERQGFIIETDENIEKGQMIFDSYGSKCNSRFLLNYGFVVDDNNANEVNVMVEPDGT ISLIQLKEGLSRETLQFPKSFRLVIDPNDVSFSDFMSFIRFILIQEEKEFANLLGKNSYI KPTKIHFISIQNELATWNLIENICIRALNQYPTTLEQDLEILKICELTTNQRNCLILRMG EKKILNFYKQFSEKMRQLFSNFDFSELKKLQQIQENYHYFNYLTRINNQLKNQTENYNYK QISIQ >CAK82477 pep:novel supercontig:GCA_000165425.1:CT868430:227249:228270:-1 gene:GSPATT00016864001 transcript:CAK82477 MQEIKQAKIVTENYNIVTTVEQNYLRVYINEETFQQLSIEYLLNCLNISVNRVVIIIKHQ AYFQFIQQNLVLEMLRKAPKLHSIFVIGNEQIDEFKDKNIFRIESVKEIDNILKGLEKKN SFENERLNKYGVPIVIQELLKYFKNNMHHEGLFRKCAVNSEIQQFMEEIKNYDFTRLENA NPHLVACVLKQYFGQLEVPLINTESIFSKDLNAIGVINELSEINARVFFMVISFFQEVAK FEEQNKMNVKTLGMLIAPSMVKFSSQGDNPLEKINKTIMFLQKYLENFHKFVPNFHYEDL LEKEETDVGEGLDGRDLKEEWAKMNKVKQHII >CAK82478 pep:novel supercontig:GCA_000165425.1:CT868430:228336:230371:-1 gene:GSPATT00016865001 transcript:CAK82478 MIIENEDSDLEIISKYFKNEADEFEVYEVLSHCNLKATRFLSYHHRQDEILELISDHYSQ YKEKIDTLMKQNVCSISSIEEDSILFDMTIDLWVKFKQKSQCLKDVLKYLDIKTPVQNYI TNILLKLIKVEVENRLQMVTLLEIKRFRQVIVTLSNQQAIEDPPLQLLDLLILLPNYQQF ERRFYEQTQIYYKEFILDTNPSQSVQIANIIFQAEKRLSSKFQNEFQLRLNELLKQLIFN QVNMTDLDSLYFNTEIMLHYVRFAQEINALPKLLSALRKHIYKEVICILQQQPTSAIENM LNLEKTCQTLFEQLPMEHTNATIITHAIEDAVNENYEKYSFTLASVINLQNQHSIKKYKH LIKYLTDKESFQNYYVKFLIKRLLKEYNQNELELLNELQPYCNSEWISESLEFIKNSKES QNLTNQFLQKLRQKKSPIQFHFHLIPKSIWPYQIENLILPSPLNQFADNFIKNQSEDLLF QKKKIIFQSKLSKLNLVVKIDHQFELELPLAQGLELLRFNAQKSINQISNYKLYNGLIVR SENNTFKINYQYKHANQIMIINEEIQIEMEKNQQIISDYLYVVDAAIVKIIKQNKKINSE SLIQATFDLIKKEYPRFIDLCEYDIIEKQIVSLLEKEMIKQRFDDFELL >CAK82479 pep:novel supercontig:GCA_000165425.1:CT868430:230468:230834:-1 gene:GSPATT00016866001 transcript:CAK82479 MTDYLINSKTPQEYENETEDDNGENNKQRVLYDLYAVSNHFGGLGGGHYTAYAKNKHTKK WYNFDDSHVAETSESQVVTKAAYVLCYQLRTDESMNVQGQHAQTIQQNEQYNK >CAK82480 pep:novel supercontig:GCA_000165425.1:CT868430:230857:233639:-1 gene:GSPATT00016867001 transcript:CAK82480 MNDEKLDCIKVTQQHADKLKRQALEFKKLIDNFQKRFQQDQNDQFYIMSLKWLNQWKEQV SYEELLANKNPNKYFGKLNLEHININLEDRVEKCFKYHPLKDHPWNTFMKENLQENVDYI IVDKEIWQFFTTYYHGTPIVRLSNGSGIEKTVAVNLLKFKTVLLYPVVIKQIAFDRFYKQ TFDQEYLQVDRNMKLKDYYSLLSKTVTTFTGNFAKDNNVRIWRFQSDQKDIFKAFFADVQ KQVGVLETNDEMSFDFNGDYIHYSIYDTIEDVGILDNHLIIIEFKDEVKPWCIRNKAVQV EGKCENCQIVKILNHPCVCRKVSYCSQDCKYKDYNYHSMRCEKFGSDDDTIRGLSQQPNS IAGLAGLSNLGNTCFMNSGTQCISNTVPLTEYFLSNQYFDEINMDNPIGTKGQLVKRVGA LLKKLWYGEKQVVTPTNYKKAVGQFQPMFKGYHQHDSSELITFVLDGIHEDLNRVKKKPY VETKDSDERTDFVVAKESWSNHLARNQSIIVDLMYGQYKSTLKCPRCERLSITFDPYLMV QLGIPSQKKRTISFKYFYSFFNSTSKIIPFDKNKNISLKEYYQVLSEELKTKPQNLLGYI ANQYTSFELLKESKSIIEIRKSAKRQQLCFRALTDEEAQTQNKFPVQFSNKYQDYQKMSY FQSGFFIFEGSTTRKQMHLKIFQYLQPLFAEYQNLDYEKNVFNKYYSLFYKSNSNYWNPC SYCQSKNCNDCEVKFDDEVLEETKSKVTASDTQCNFEVIILWKESPFKSVKISEIFNHYR NLNKIESNFLSKCNSRRTRIIKNSTPEPCVTLADCLQFSQQPEQLNSENTWYCKVCQEHV QAFKSMQIYKAPQILIFTLKRFKASNRMFKQKLEYLC >CAK82481 pep:novel supercontig:GCA_000165425.1:CT868430:234132:235197:1 gene:GSPATT00016868001 transcript:CAK82481 MICNVDTFQMFNIIKEIYENEYEKVYISNFKDKYKIIVLKVEVGNKKYLHNQYTILELLK FVQGVPKILNHGFGADEKFYYSIDYYAKSLAEILQKFGTLTLQSALSIGLSLLRILSGIH RQEIIYANICPENVVFSKEAVDHDQQLHLIGFGQAYLKQDKQKKLLNKNSLPYHIHNNRV SSKKDDLESLGYLLIKLIKGTLPWESLPQQLMGQKKIECLKSNEILQGLPYEFRFYFQQI NLFHDEPNHAYLIKLLNSIMQKYCKTTQHCIIELNQLTPITETLNETDSSMDSCYLCTVI PQNMSNFNVLPNMELDSSIEEKLKRLQLISKQYKLISNL >CAK82482 pep:novel supercontig:GCA_000165425.1:CT868430:235432:236418:1 gene:GSPATT00016869001 transcript:CAK82482 MDIDKYLETLRNIRCLSERDSHLLCELAKEILVEEPNVVQVKAPVTICGGIHGQYFELLE LFMNGGQIPEKSYVFNGDYVNRGHHSVETFQYLLCLKIKYPKHITLLRGNNESRILTQCD GLYEEVKRKYRNIHLWQYFCEVFDYLPLCALVNEKIFCVHGGLSPQIKQIDQIRMIDRRC AKEDEGPMSDLLWSDPQQDSDGWEESKRGAGHEFGKKVVEQFNHINGLSLIARSHQLVME GYKYYFDKQLVSVWSVPNYCYRCGNKACIMNLDENLEQSFLFFEESQELTYNQMNQRGLP YFI >CAK82483 pep:novel supercontig:GCA_000165425.1:CT868430:236481:238299:1 gene:GSPATT00016870001 transcript:CAK82483 MKKIAPSLQDYQSAGQGYLLKYIQTLSEAEQNSIMNKLQKYDIKQLYQVNLCLEIRLINN SRQRQFRWHHMRQSDVQSLMGKTRRLDMQQQQRANVYGNPHYLVAIVMSAQQNISLLDIQ LPSHKCLFQLYCERIWSLQNLIKQRCGKCLPILIFIMTTNINHEMITSFFQEKNHFGLQD DQIFFIQQDKLPLFSMEGQILFSNESQIFDEYIGNGNIYLNQSVLDTMKFLGITILHLCS IENVLCKFGDPLWIGAFIRNQLYLSAKCVQKRSVDENLGIVCNTKVYLTVIPYLEYDEIS YSDLVKRDKNGSLANPDGVIGQVLCSLDYALELLEIYNQTSFHIRQKKCTYFDYITSRLI KPMSQSNALKFELTYYQAIPYCPIQSFGLFRVKREDEYAPILNPSNETKDTIHTARQAYM RRDQKWMSRLGFEVNQEFEISPKLTYFGEGLEEATKKQIKNKLQIPLILHSEKQIRTVRV NSVHNQQPQSSPCKYSSQVTSTQNFQQSKMSIHQSLNHLKQPITSSLVTPTGNQSFQLSG RKSIDQKPSRQTDYYHRPNLNQLNKPLFYAPNTYYLPKK >CAK82484 pep:novel supercontig:GCA_000165425.1:CT868430:238308:239591:-1 gene:GSPATT00016871001 transcript:CAK82484 MQRLQKISVEVSDPKVQSGLNKYTTYSVRGMDKNGQFDVIRRFSDFRLIRQFLITKWPGC YIPPLPPRKAIGNMDQKFIDDRMHSLQDWMKIMAQTKYFWYSEEFQLFIKANGDIEKALT QVPKLTYDEIINKYQETFTDLSGREINRELIQKITDFHMFLKRVNPMVENFKQIAKNIAE ARQNFQEQMSTFVQTHLAVYEQQVLLEFSESQDKLVIQNIKNDEYPAQLLNNYINLLQQN EFQSLYQEIKKESKQVRAFLETMIQREKYEQQKVFYEQKQKELQIQLQEVLAGKSSIKNL FSTTKKEDQIAKLQVQIDQVCKDIENMQFICDILTVLLGYIEIDKFKLEKQQNYYRLAKS LIQYEIQLNQATEEFWERVQQNYNLL >CAK82485 pep:novel supercontig:GCA_000165425.1:CT868430:239603:240404:-1 gene:GSPATT00016872001 transcript:CAK82485 MEQITEEQKQAISKKNIFIFELIGTFFLVYISVCSQNEAYQVALGLFGCMLVFGRLSGGH FNPAITLAMALGGGIPYGTMFFYFLPQFLGAFAGAALSFLLLNMDKAPYIEDEPIKELAA SLFGELIGSTIFLIFTLICFVKETQLSENRIATLVMLSCIYYACREYTITSSNSLLNPAA ALSLTVFDCLAKSWDQMINMWIYVGGPIGAAILATMFYSQLYHSVTHRK >CAK82486 pep:novel supercontig:GCA_000165425.1:CT868430:240416:240849:1 gene:GSPATT00016873001 transcript:CAK82486 MGVRVTYRRRTSYNTRSNKIRKVKTPGGNVVAQYPNKKTSASTCADSNLSVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK82487 pep:novel supercontig:GCA_000165425.1:CT868430:241012:243206:1 gene:GSPATT00016874001 transcript:CAK82487 MISKMIMDRQKKTQNIDENELDSFNRYLQRDNQPLNLGTQLSYSKIKVNVGMPIITEQQN ETLGNTHQSTVQQSKNASPQKISIAMEDIRLNIRIVFKFYASFGNRNNTRFLKSNKFIKM LSDAQIMPQLLSNRDCDILYAQQTKNNESLTLEQFQNLIPKLAMILYPQQKVHQAFQKLY NEYLSQLSQKILNFTEFGQQIQFVLQPIGNQIKEFVEPIQQQLTNLHKFIFEDQSTNINK VQWKFMQFLTQCEILPNYINQSQAQLIFDNIQIRQPTLNLQESGNYLFSLNNFVESLIII SRTVPNLDEFTQFRLLLDKIEQSEGFSQYLRNLNRTQSDKVRLFYNIEKSGIYKQENLIQ QSQVIQNTQNNVSHTNIQVLNTNKKVDESRVNLSMMKQSKYLQDIDQSYISSLKKLFEFF AQSGEPSNIQSLKNTKFNKLLLHSGILNNLITITDSDMIYSKLCGLQSIKTQQKNKQLTN GKMTFGQFQISLGIIAEKCGMDINELIQQNILPLEKQISDDNKEQILQVLVDLLQDEQII QLYEMIQTVFDWYFQEYSKQQSSILYLSEFLKFCQDFELSNILISQTQLTSIFYSVASLN QEGQEYSDQIFLDKSQFVEAISIIAIQIYSTIPNNIQRIVYLLERIFQSQNASKIYVKQN KLQARFQNVIEEIKYRFLEKDKVRQEEEQYNFDDLVNGTIQQFQ >CAK82488 pep:novel supercontig:GCA_000165425.1:CT868430:243219:244203:-1 gene:GSPATT00016875001 transcript:CAK82488 MNYNYYRTQFDNQNVIHSNSQSVGKLKKGIVFQNYPFNPVQSYNSNMQVRPFTSQTQPIK KPSTAQSTRHVPRAATSQTQRQPNMLFSEQINNTEMETKQSKRYMKIYFLKTNYRTILHN VMNNKLKALPPGDRRRLSVLNIVQDKFKELTIQEINLLICQHAVSEKFYIYFSDSEWIPC SKCCQTPIYLVLTPQAQKTDIFCSQCSRNLTNPPRSAVQSNTVLPKYSFTQYGHSLQEQK ERQKVSRMIISGKYRKVNLKEVYNEVQEPVQPEESYNLEFPVKEKQQESTILELKDLINE LF >CAK82489 pep:novel supercontig:GCA_000165425.1:CT868430:244276:245317:-1 gene:GSPATT00016876001 transcript:CAK82489 MKAFNRHKLTTIIISHHNSRTPTNLLKSNQTFMQHVCKSMIHMHMKLKHPSELQFTIKIK LLKSRVHYFLNSVINAVLLSLQMHLWRKLQVIIVSSYQFFYYVWIFLRLITCQSAINGQN SKIQPLYVDPSVSRILRNELIQEIINQYYFRHFFVFFSFLLIYKPMNIRENLNISICLCI YNNSLIVIMCVIGGILSY >CAK82490 pep:novel supercontig:GCA_000165425.1:CT868430:245623:246591:-1 gene:GSPATT00016877001 transcript:CAK82490 MSIWQEKCALDFHIQQQIKLDALEELQQEYKNYERRISKQNQKYDQRKRYKNKKKKHRSS SSSSSSSSSSSSSPRFQSITSSSESDSLLSLPSDSKQKKKHEKFQTKILDFVFDINPPRR DYLIEYELNGQKKMTSMKNLNEKISKLNIQSKQIQNDEEKNETNDDNLKQDLKDQLELGV NRYYQYNPFEYCFRCKQSGHQERQCTEQQTIQCNYCLSQKHVGDICSNVSCFRCNQIGHR KNDCRTQLKLQQCINCGKNSHKESECGMLTQQIHKLKDQIECFVCRLYGHINCQNNII >CAK82491 pep:novel supercontig:GCA_000165425.1:CT868430:246591:248064:-1 gene:GSPATT00016878001 transcript:CAK82491 MQDDPTLFTTLKGHKDTITALSFHPGLKSIASSANDGVVSVWQLKQPRKVYRFMGHKGQV SDVQFSPNGLLIASCAKDETIRLWNNTVEGSSISIKAHSAPVRSVQFSCDGQLLVSSSDD KSVKVWSVNDRKFQYGFQHTNWVRSAVFSQDVRLIASGGDDRAVIIWDCDSKKEAQRYNE HIGVVYKVQFSPDSTILGSCSHDKKLKLFDVRSKRVIQHYDAHADSVLDLKFHPSGQFAM TSGADSKVKVWDLRMGKLAYTLYGHNGQATTCAFSNHGDYFATGGSDSMILVWNTNWVCS GQESLDEKPKQVKSKPIQQTQTQGQQQSSQQKENVQQTNSQLPKQKETVLYSNADNSLHK KSEFNQSVQLQKEDVPAEVITQLDNISGQLELITRTLQALEQRVSNNEQQICRLNLALTR DFERSEQIQNQIYDLKPLEEQVQDQDEEENINYIKFHRAANN >CAK82492 pep:novel supercontig:GCA_000165425.1:CT868430:248420:249823:1 gene:GSPATT00016879001 transcript:CAK82492 MSQKKNDCELILIDPQDDSDIKPMKILKKLVSNAGGVRYLVEYSNNEQKQETEKYMKENY QELIEDYNYFSVCGSRFDQLTLQKMRENNNKMQNFENHAKLPTIPSDENYPIFKRQKKID KQIEKNIKVNNNQILPLPQQTQQQLQQQQQIPQQQQIQQHNSLLQNEKYLIKQQQVQNQT LLSLKSDQGDKIKSITLENGLFLIQWQPRPDGNVPYQEYYHYDFLKATAPTILLSFLEQE FLNEDQKFKNLKRQSYQNSTKENDDNPQYKDKSINITQTDKSQEIIEVQKEVLKDDHPIK RAFQFEEVKEQKDNSKDILIDDFSVDDAQEVILDEKQTAEQEKKQQQQKKGIIPSFKPQG STQKSRKQMIDKAQELFTVEKAQGKLDEKLSQMEKPTPKQQVTITQPKLSNQSFPAQFAQ AQAPDEFEILGSLEKPEDKQNQNYEKELEECYSDVELPHFQFNQSEI >CAK82493 pep:novel supercontig:GCA_000165425.1:CT868430:249926:251861:-1 gene:GSPATT00016880001 transcript:CAK82493 MKTQIGVLIHLHKFTNIDLSTQGIYQIRVSVPGAQPYLIINSTRQEAISVNEVDENHICY PENIHHQYFYSQGFLIIYEDEEMLTNVGCAFRLEEIQLNSNIQIQMDLLFLDIKSLPDIH SQNFTQNVKHLHSKMKPISHASFQVPNPHYYNQMYYPVDFDTNHFCSVQTQIFTTPINIS FTKGFVEEQIKLQFNAFINQTINVLNINRNSLLDQLLKIQSDKKIIQLSYKDQEYNIQNP DLIKQINQSFYDLHHDLYVLWCELISILKDNYLNLLTLLQQDYCEQIKQRWMNCILSYSS QNSNISSHVNQELAKNKRHSLKNTEFQRIIYTEAIIPLNSHPFFFRTTYQRQGLFQMSND FRDHYVVLLHGYQGTSYDMRYWRAILKIRFQDKIRLILPTSNEFVNNKSIKQQAQDLADE ITDYINHERVFDFKLSFVGHSLGGLVIRAALPLLKQFQIQMHSYISLGTPHCGYASSKSF IIDTGLMMIQKWNKCKTLQELSQKDNKNIGSTYLYQLSTFEGLEWFNNVVILSSHQDYYV PIQSALIQSIEETNDPKNLFYNQMVSNIQSKCRRIDRFDIDFLITKKKLDKLIGRAAHIE FIDNLLFVKMFVYLFDEFFI >CAK82494 pep:novel supercontig:GCA_000165425.1:CT868430:251863:252478:-1 gene:GSPATT00016881001 transcript:CAK82494 MLNQGLLNIIRKIKKSEKELRILVLGLDNAGKTTILKALSNEDINQIAPTHGFNIKNLQH EGFKLNVWDVGGQEKLREYWSNFYENTDALVFVIDSSDQMRLEEGGKELDKLLGEAELKK VPLLVFANKQDLVQALPADEISDSLKLNKITDRQWSIVACSAKTQEGLQEGMEWLIKTVQ DK >CAK82495 pep:novel supercontig:GCA_000165425.1:CT868430:252502:253457:-1 gene:GSPATT00016882001 transcript:CAK82495 MSNDNPDGQPLDIEYYETNYPYLNVKKNLLNNTLSKWRRAIAPYNPFAMQQIPNQKRMGM GIRNGNGFYFPDPYPNRVNWSVFFPTHYDPLSEQHFSNHGWQTRKDAPMFTALAIRAQAL PRGCVRQIEQFKRCQSVNGVTKCQEEADNIISICPKWALEGLKEKKKQLDKIEAIQTLQY RSVLEVSPYNKGRTVKDVSDKTWADGHRDNLRPDTMWADERYTNITQAEINEAKKRVAAR DKSSGRVKETVYPVHHPDLSSSHLSEDKPLYP >CAK82496 pep:novel supercontig:GCA_000165425.1:CT868430:254248:254936:1 gene:GSPATT00016883001 transcript:CAK82496 MSYHYLFKFIIIGDTAVGKSCILYQFLEQKFRVKHEMTVGVEFGAKVLDLDGKQIKLQIW DTAGQETFKSITRQYYRSAAGAILVYDVTRRESFENVREWIKECQVHGTQDMVIVLVGNK VDLEKQYNIHNINGQLANEKNLLFIETSAKDNLNIIETFSQAASQVLKIVQRNQQKGELP GVRVGQAINQTQLQQQSSTSNGCC >CAK82497 pep:novel supercontig:GCA_000165425.1:CT868430:255303:255939:-1 gene:GSPATT00016884001 transcript:CAK82497 MKKAKGGDFNFASRAQKIDKLEFPQSSEERFIVKANKDGVGFQWKTYDEKLLGRNIDKQT FDNTVAEATRICRNLWREKQREEHKDPTKAYQPLLYVSVFLILLAFVFLLVLIYGSRDKL ALLYVAVAILCLAALLTLIVVAKTWSLEPQFMDLEKAQLNKVTEYLNNQNISIYQAKGYK WQVEPNLYWIELVVI >CAK82498 pep:novel supercontig:GCA_000165425.1:CT868430:255970:256092:1 gene:GSPATT00016885001 transcript:CAK82498 MYQILKSVSHFQNECILTYSKEKLIIYTKEILQHMQVLTS >CAK82499 pep:novel supercontig:GCA_000165425.1:CT868430:256120:262275:1 gene:GSPATT00016886001 transcript:CAK82499 MSDIVAVATSSKGVFVVFCQRELIAYKPLLNGWEQSYSKNCTNPIRDAFFSFDDEHIIGC SQNKLHIWKATHKQNKLLGQLDQYELIDLTEIYTLELEYQIANVKFSPDVRYFTTLHENT VIVWDFNSIKEVQEGVLSQQQRVKLDHQDEVISYKFRGTLRIAPSPFPTPNTIVTLTKSN QLTIWQEMIQCKNFCKLHTLHFDQISSFCFIYLKGLIPYPWKNVYLESDQFGVQDTEQSS VDYLLLHQECELSVVKLDFLRNYSLEESVKIIKKTKSDVFKQIKKILLVDQIEGQKIEII GFDRLWNLIKICVNLKDDTKQVFQCEKFLFQNIIHFSICKNQVAIINDWNYLCLQQLKLN SVISQEYHISDGQSKIWKINANLSKYQQMYITRDKVKENDVILLTSSNAQNCLAFTFQQN QLLEVHINLYNHLSNDYRDKITELRKINYEIDELQLLVIAAQKVALIQIQFEVYGHGQID FQVIELKTWKLDFTYERLQILQSTELSFISITGKQLKGFNEQMQQIVQISKSYNIKEVGQ LRGIQPIYFVMSHQNEIDFHSSSGEFLVRFNLQSFLVRVDKALYDVSKVIVQVVPLTNFA FLLQTDNYLTAFAIQFDKINNLTIIFRKYLNNREGIYCKRLSKQSMPQNYRFYTIKDCLI VKTGRKVKVLGNSLIRCLADKFWMPSTLSYKLINQQNNVQLQDYDFLSELIQLGKLQLVQ FILKLICLKFKHHGALGNHFMVPYKNLLELYQMTSEPEPLWVDAIRTYEMKKLQDKDSYD YTLHPVDVLKKICQEYPLKINIILDYLRYYEDNSRSLDFYAAMFMFHVHIFRNQPTHKRN RVLSSKEVVWALHSLQKETLWQECIASSDDLSWNLLKRYATVLWMDLSFIKQRLEEIALQ IYKQSKDPFQSLLYFIVLGKKSVITTLFKKEVNSGKEYKSTYEFLQQDFTQPRWKSAASK NAYALVSKKRYQDAAAFFLIGGHLNDAVNVMARYMEDIQLAYLTTKIYEPQGGPVGEQLI QDYFIKSGEEMNDIWLLHIGFYLLGRYVDSVNVLLREPEHHILEYDNFGKAVCISWPATF TPQLSYYHPSAILLVEKLKENINVKREIQQSIDANKKKSKKAEDDIFSQFYESEESEEEE VVEQVPIKKINDNQEFIKQQAVEYYYNIQMPQLGMIFAQDLNKETEQSEQLIELQIEMYI VQAIEDQEHFTNYVQLMQKLDDLANFTYHNKTKLYDIALKKLRQLKSPSRFLTFAIHYDQ TGLDEFISFCQETQSLLYRICFDYPLSEKSQEYYLTFVQSLYEIDVGLKLFQQSQYYQKK FDNKLKLNIIKLLALFMTLILALELRLWDNAFEQLRKLETFCNTVYKQHVQDCGYENLRD DFCELVKSAIKNKRLYSNKGFKAYRNVDILQEAYPEDLYNVSEQDYMQKHKPATSEQEQQ NSQRSDSLEDSQQLDLSQEKTTGEENIQNQFIYFTFQSLIVKYFLSISKSYFKIEMFNIA ITSYSNIFTMAEQYVTTRNDMVITLLKNLSDFSQEQNFIEDLRMVLKESSFAKSQYFKQL EKIFNQNQFFQICQKVGLDQYLEEISINRTKQGFKYPISNFDEQSIISFKNKIFKQGVEV FKIKTDQIKSMCINNANPIEGFVLYGKFLKHMKFYNTLQHKNRSLDGYNLVEEETIDEAI RTEASQTNKPYIIKLSSSALGIQVGLDKEKEPYLKSRAHPYEEYKDFTEKDFMEKFQNCS SKQIPEEDLQCLASHPHLPLFLQGGKGMVNVMTFKQSSQVVAEFNTQQRDQIDSLKFNNS GELFIGHDVNNSAYIWKLNRVNKLNTPLFLLNSKLRPTTDLTFINEGTVFASIYSSTNKP HFGLYDMLLPANRNIVAQENFNGTDILFSTPMNSILIGNQKKGTVNFLDIRKNQIYKTLE LPFTEIKFMKLNQYQTSLICACNDGQIKIINLETLSTIVDYKPFRQDKKQQIMALTQTEN ATYAASSDGVISLVYLNA >CAK82500 pep:novel supercontig:GCA_000165425.1:CT868430:262285:266241:1 gene:GSPATT00016887001 transcript:CAK82500 MKIQLDKDTYTLQKKIGEGNFATVYATQHQNLVAKVCYKSNPKAFKAFQIEMDILNKVQG EGIVKLEKSGITQLQGQTSGILILENCSKGSLIDLMTTYINRRPPEQLVLMVARDIVKAL IQIHQLGYVHRDVKMENVLLNSLGYFKLCDFGSVTKTKYYKIDNTNRDTIKDEIEENTTP FYRAPEYIDFYANYPITESADIFALGVLLFMFCFQKPPFESGLAAVNNHYFIPDSHEYSP KLIQLIQSLFSVNPKNRPTAQELLQRIQTNWQLPQRFIEATNQPIPVPNLNKYFASVDNV YIEKKAAPLGFFDQVKRYLFTLSKKTEAWVIQSTSNDDDPPRLEDIRSLVFKAWLKREKI PKFYLAIQQKILQPHPENQRVMMKLSILLHQYIKKGPPDVLQDQKQKNLPTIWTIMKILT DLYKQRIKINPQNEVKVIANYFAIINKKLIICHANKNLFEGSYSLSPLFLSLERGGFWKP LESKLIDDLLKYWSDCLLFLSEIFQPNSLWRIQTLIIMQMVDETYSLLSMLLHLWVAVKE TLNTSDNLDTNKLTEWILSVDQRYEENYLKTKKLFEKCSTLPEFAEIKRFMPNLQPDVIN YIQSVEYFKGKTKIELNPQRSIHGIKMPVSYQVTVQKLQQVLLSMSDFDKPQNQNQIKSN FNSNNNQDFFADFGFLNNQGSLQSSQEQVYDQPWVDFTQAFSQQQQQQQQQSQQQQQQQQ QQQKQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSLQSSLNNQFIQQAGRESIQTE IDPQMLSQIIFKINDDKQQDNNLQDYLKMQQAQQVQSQIFNQKQQEKSKIIEVTNLLDVH DDNVVPITQNNEPFDLINFDDKPHQAQQNSFLLPIDINFTQIANQDQKEGKGQTQNISQQ QQPQGFQQQMHQQNIYQQQIPQMHQQSNQQQNIVIEDDEEDLIPMSYDNFPQQKQEMKQQ VPQNLAQSINPTFNLTPIRQKIHSQILEHANEIIDGEVDISDFIIQYHELVFYEQIASGG SGVVYRGKYKNQIVAIKDIDINEKDEQKMKEYKREIVTLVKVRHHQNLVCLIGITFNQNK LYIITEFCSGGSLFDLIHRNRETNIDQLTKLKLSLFIAEGMAYIHKLGFMHRDLKSLNIL LDQPFSADSNIKIADFGLARTALEKTEWMTAVVGTFHWMAPEVFRGEMYTNKADVYSYGI VLYEIFSRQIPYMNIANPMQIMRAVTEQNQRPDLQFECQQEMKALMAQCWHPNPDQRPTF EQIINNLQSL >CAK82501 pep:novel supercontig:GCA_000165425.1:CT868430:266294:267761:1 gene:GSPATT00016888001 transcript:CAK82501 MSIKLYGPSTNPRLNQVQLVADLLQIPVEQVEVGYHECESKEHLARNPFARIPVIETTDG FLYESNAICRYLARSKLESGLYGATPFQQSQVDQWIDWTINELDPNFMTTFPQLWGHYPA NEDTFKTAKNIINDKLKQLEGHFKNSPYLVGDKLTIADVTLIVRIAPFFILLIDEKTRKS YPSLMKWFTAVSELPQFKKNFGRVRLCKVAFPLPKQEAQPKEEKAKEQKPKDEKPKEQKP KDEKPKEQKQKEQKPKEAEKPKEAQKQKAQEDDEPLPEKKKNPLDLLPPSPFNIDDYKRA FFAEKDIAKNIQQLFTSVDVQGWSLWIVTYNKSQNEGKQLILTNNLMKGFINQRLDQNFR KYSFAIHGVYGDEPNLEIRGAWIWRGTEVPQEWKDHVAYDYHVFRRIDINNEQDKKDFTE YWVNQEEDESKVGGLTARSLTYFR >CAK82502 pep:novel supercontig:GCA_000165425.1:CT868430:268608:270387:1 gene:GSPATT00016889001 transcript:CAK82502 MKKSISTSSYSGYKLNNDSTRIESFPDSTRVLQMNISHYEDTLSQEVFISTAYEHIREVR DLIASSRSNMKPLRESIGQLRYQIQTIEHQQKKEQINLEYDISLRIHKLKQKINQFEVVQ TGEFAILQDQIQKLQHDDQEIIQDIDTLELRIIGLAPQIGIVIITKKQFCQYYIFLLVNQ FIDNQSISNMIIKLSILILIVLNQLESLNNIIVFQTKMFYSWQELQFKLNQYQNPVVMRS PSLFSPSKQQRYEKQNGSFQTFSTTNQVNKTQLADFRKEIRNNISQREPFVPQQEKKPIL LTNWKKHERKNTQFFQIIYSEGNVAKYMARSSPKKSLPGGLNMEEIFNTQKKYIDQNQSQ TTFYYQQQRKVDQLFKKEQNDSFSRQDNSFSNILKPKRQSQALLSRQTGRDQSPINLERF RSWDTKLDLQLKQLNNSKDISFYKYSQQPQKENFYETSKPLQTKDIFNVNKNANNFNKFL KNSSPPKFIEKIPLTDIVKIQSYFSQLPTAEITTIPRGYLQELQNLQQTLARVVKQSSQI I >CAK82503 pep:novel supercontig:GCA_000165425.1:CT868430:270965:272339:-1 gene:GSPATT00016890001 transcript:CAK82503 MISKLFLNCSQLECCEMEDDKQSAKATSKKIQNRKIWSQKEDKLLERAIHELGTNWKEVA KYLYNRNPSQCAQRWKRIKPQRSRHSWSAIEDEQLLELVKIHKRNWGMIASIMHWRTGKQ IRERFINKLNPEIRAEPWSKEEDLIVMDAYQKYGSRWTEISKLLNGRPENMIKNRFYSFI RKEYMNIQNPYYVIPNSQQKTDTDQLKSSQQLDEILQQDPNDNSQSSKSSIIKRRKNTKV QKKKMKKQKVVKRKKEQEQKFINNIVNDQNEQVLNEIISAESSEVQVKEEDDNEIQVNKI GLSILSQSVNNNLIQINNQLNSQQLFNDSIISLYNSQVLFNQTSQSQQNQQHQYATAMLL KQEFANLMKSQSLMQSQLMKESNQIQSNPSSQETRQSSLLQLSLSSMSFLQFPCVFRQES FPQSMYNSQQ >CAK82504 pep:novel supercontig:GCA_000165425.1:CT868430:273938:275887:1 gene:GSPATT00016891001 transcript:CAK82504 MYLSFIKEHFKPSQEELDILEAKETQDLYSDVQIQGGFVQVYSRQCSIFDSEVYKNQLNV FKKYLLNYEKQNPKLNGCCLEKAFYVEQTYSLDCQVESPETWLKEQVTVWQLPTDIVNKL NSKCFSFEDIAKRHGSQIVDIRIQDPNTDTFTPTVEQNCIANQMRIDEYCKYLKNKAEFF QKHTEYSPDKVLFAVNVDMDNWDEETGQLYEYMPQSLLKYDGLVYMRQFCLGVNIPQIYI KTKGVWTGGHQENSSVNSLNFNHGPGDCLWLTVDAQYVNKVYEAMPNLYQIEGLWFKEID FFLENNIPIKYTIQKKGDLVILGAGCLHWVKSLGNTINTSWNLLVYQENTFQQIYDRQLI NDKYKISSVIPIKNLFLDIYFHRKSPQLKMYLHNFIEDDIKNYIKQSAKIKSCKKRFNSR EVLQCSTCKMEIFIFYQIKDDQTFRCIKCIEGDEAEIFMKYKPTELILVLNAEKFTCSPT LCTRYMGTTECQLEKQTEEEFVSESYESSIEQSKSAEEQSKTKFARKKEKSKTSKKSSQT KQQDRNSSAQTNHQIKQKRAYRKKATKGQDDLISEIVISQKSHKKNENSTKSKQTKKTQK NQKLESSVKSVSSSDFDSHSQIQLTKKGKKQNEL >CAK82505 pep:novel supercontig:GCA_000165425.1:CT868430:275928:277016:1 gene:GSPATT00016892001 transcript:CAK82505 MSTFGQKYRVTTFGESHSKAVGCVIDGFPSNFKIDQDALQYQLNRRRPGQTKLTTQRDEK DKAIIISGLNTQHLTLGSPIMIMVYNEDMRPQDYKQFDDIPRPGHADFTYQMKYEIRAES GGGRSSARETIGRVCGGAIAQQYLSQMNVSISAWVSSVGNIEIPKEVQQQLILNPPTQDQ IEEVNAKYQFRNPHQESALEMVKLIEQVKLDKDSIGGTVTCVIKGCPIGLGEPCFDKFQA VLAHAIMSIPATKGFEFGSGFEGTKQRGSAHNDRFKNLKPITNNAGGTLGGITNGENIYF KVAFKPVSTIGLEQETSNFKGEDIILEAHGRHDPCVVSRAVPVVESMAAIVVLDLYLLSK QK >CAK82506 pep:novel supercontig:GCA_000165425.1:CT868430:277046:280259:1 gene:GSPATT00016893001 transcript:CAK82506 MEQMEAAIKSNDTVTKLLPALKLITNSLPFPDRHTYIKDPRLIKLLLIVYPLLPEAIDMI LGQLLNVFKESVEWIKGCCKAIEEYAVFKSNTISSSKFYSLEYFQQVLHCAAEACLELDD ICYGFIIFLKHAESEKMVLHIMNKIEAVKEEYGYGIRSLSQFCELVESTVLQLYQEESNK NTEDQHFRSVAIGLQESQNRRGLHHQNTISSPSMRSPAVSRQRSESFGSLNDEIVDNLNI EEILIQEKPRILDSEQAEYFKSNERLQNLIYFITDPYLSQPSLIFDTDLLAEHSIEFDEN DYKKFKNIKIDKLFTIRSFKTLLFLTDVHNHKLLTEANNHLTQIICKFNQNMVVRSISGF LQNNQSIGNITHVVALIQFYLKNDTKDTIYYFLYCNLHFQFLDYIYNSHVIDILIRFIDP TYSRIPSQLKDEIWHFLNSQGFISYIIGRIINKEYLGVNRKEFHISKEGYNILKRIYDQK VINDENTSEQHTYNLSSNLDQHLGPLMPAKTIQQNLIKKKRVLHAAQTQIYTRRHSGTAM TGKFNKIILVENIHLSELNGNQQDIDRFKDVIQFYHNNQLENEDAITPNQVTTKLGSEKS IPKQTHRSVQSDQFFKSDFLNNQADTKPVDIKSFLSENKSVDTKLPSLRSSTKISGGSVH TSRVIRQSSKQGQELVFKKKSLKIDNIEEISNNFKKLNNPISSPTSASRCHKFYPNSDIS VVDHIMREEYQEYIQYNNEFISYQNSQLLNLIVNTFECLSYKFQAQNDCFTQIIDEKLFN FEKLIKHYTLKILEPENGSAYEVGFLIINVLKKLSNCNSLQEQCNKIYRNQIPRLCRNIS LLNKQQQFPQQLHLQSYSVNVIGTQKLMIYEILQLMVCNVRIKYSNIFIKLNDAAMHILT IQFHTSFHNGVYQRIFMTLVRHLFVYASEKQLLSLVFKVNLIESIHAAYKNTVINRVVIK EWNTEQYILYLQQLSQLIINAFDLREDLKQLRQSLIRLQSYQKLKELSSISQFKFDVNQY TRELIGAEQQLVKIKL >CAK82507 pep:novel supercontig:GCA_000165425.1:CT868430:280260:282854:-1 gene:GSPATT00016894001 transcript:CAK82507 MDSYQGSDKLALQQSIVHHVEYTLARTRFDFSKFHSYQALSHSVRDRLIEAFNDTNLHFH QMDAKRIYYLSLEFLIGRCLQNALVNLDLEEDYREALMDLGYKLEELYDEEVDPALGNGG LGRLAACFLDSLATLNYPSFGYGIRYTYGIFKQLIKDGYQVESPDFWLNHGNPWEIERLD VQYQIRFYGFVKKVWDHGVERSVWEGGETIMARAYDTPIPGYNTQNTIALRLWKSHPASE FDFSSFNTGDYFKALEQRQKAEYITSVLYPNDSTDAGKELRLKQQYLLVSASMQDIVRRF KRRKVLDWNAFPQKVAVQLNDTHPALAIVELLRILIDIEQLDNMSAWQIVTKSFNYTNHT VLPEALEKWGVPLIEKLLPRHLEIIYLINFLFLEKVQQKYPNNWGKLSALSIVEEEGTKK VRMANLSIVGSKFVNGVAKIHTELLKTTIFKEFFEMHPNKFQNKTNGVTPRRWVRCANPA LAALYDRVLGSDKWVLDMEQLKQLESHVSDPQFVREFQMIKIENKERFVHWIRKTCQVDL NVDSLFDIQVKRIHEYKRQLMNILYVIYRYLIIKESTPEERKRIVPRSVCFGGKAAPGYV NAKRVIKLINSVADVINNDHQIGDLLKVVFMPNYNVSNAQIIIPAAELSQHISTAGTEAS GTSNMKFIMNGCLILGTLDGANVEIDEAVGRENIFIFGTQVEDVDNMKEKMRNTDPHEYF PQELLKVFTEIDNGRFGHNEELKWIVDSIRYKNDNYLVGQDFKDYIKAQQQVDDLYRQPN EWAKKSIYNAIRSYKFSSDRTIYEYAEDIWQLKPIKVPEPAAQKHERVKGVALSKSQQEM ADKIKH >CAK82508 pep:novel supercontig:GCA_000165425.1:CT868430:282921:283031:-1 gene:GSPATT00016895001 transcript:CAK82508 MDQKATYRTSTRRSTVQVGGSPSNMYRPEYCNYEIL >CAK82509 pep:novel supercontig:GCA_000165425.1:CT868430:284008:284921:1 gene:GSPATT00016896001 transcript:CAK82509 MAQQDKYLFSETQWRLFSGAISGAVSRSFVAPIERTIILKQTNASNYQRKSLIRCLYVMY TQEGAKSMFKGNGANCLRIAPFQAIEFYLFDILKNTFQFNNQNAQNISMLIFGAFSGALA TMTVYPFDLVKTILAVQTNQEYKGITDCLVKIVQRKGPLALFKGLSATLIGISPYSSFKL TFFQILRQKLSSLMGFINKDTQNLIFGGLAGCMALSITYPTDVIRRRLQVQILSGKQHDS YIETMKLMYKEQGLIVFYRGLFCTYAKVMPATAIAFTINEKLKRIRDLH >CAK82510 pep:novel supercontig:GCA_000165425.1:CT868430:284950:286085:1 gene:GSPATT00016897001 transcript:CAK82510 MYLIIYCIVHISIAVQTLRQVQDQNALCLDGTRASYYYEQGYGDGADKYLIFYEGGGWIQ GFDQAELLQQAYDRSNTNMGSSKFSAATTQMDGLFNRNQNVNPYFYNWNTIFVNYCDGTG HQGYRAQPLQIKDKTIWMRGELIFKSIFSEHLSKLSQAKKVVVSGCSAGGLAAFSWIQYI RDRLPSSVQVLLAPDSGIFLDLQPYDGAQAASDRRQKQYHKLVNEEVDPINEYCVKSYPN EKWKCHFAQYLLQYINVPVFFMQSLYDTACIPNILHIYNAWDYTLTRCDNKERTCIEAMQ NQQILKLEHLVLLVLNIGIKFSIIVASLKNRYSTLQTGPFLTDPHGLLLILLDNGCKTQR >CAK82511 pep:novel supercontig:GCA_000165425.1:CT868430:286157:287571:1 gene:GSPATT00016898001 transcript:CAK82511 MSQQKNQKNIVVYNYEPHPKTLTEQVTNIQQSQIQPDDSPFNVSKILKVKQQQSNIFHLP QQDLVDYSKVTPNQNAEKILPFDMFWWNSQNLKENKKTDANNPIIPNVHMIQSRPQTAAQ QRVSTESHNQKLTEQQFLQIQQQQYQQFLQLQQQQQQKPQQQQQLQQIDQQQQNPNPNPY DIIKEHNLMIETASFAVQDNNNQSLQQQQSNQLQNPAKVYDKVNNPNTELKQKNPNFSDV FGNGLEKYYQQKPQQNSGRKQQVEYMQESELYGAKYHQRQMLKGMSSQMDTHKYYDQMLD KQNQDQIKSKQPKSKSQSPQASPGKNLEQDEKLFPKPQYTEMSIRGMGKEINWEKLSTQL NAVQMQLADITVVKDKQGKIKQHKIIIKYFDQGNLQGVKQWVLTQGGRLVEERVLESEEE FKKMIQLKEGPKQRPLRIAEEKERLKQQKKRPQSAVPVQKQKK >CAK82512 pep:novel supercontig:GCA_000165425.1:CT868430:287718:288612:1 gene:GSPATT00016899001 transcript:CAK82512 MQHMQQHYSQQHLAPSYPPQQRNVSSSPMQRQFPVQPFTPTQNLGGQNEGMKPYSTDMRE RSRQMQNTPQNNAQSMESMALRIQTLEKENQSLKRQLENANQQIQALNQKVNQNATPSLL KTTTFELVVLQKAVEQLEGLKNGLQKKKLQGSNNQTTIPDSQKEGYSELKSKVTVMEEKQ QQLERQQSQNILLFPKEQSIQNQQSNPPSKEEVKEEPRQGRRIIGNSQSDQVDNQTKVSP IKYLNVKAGTSQNALRVSPRRKPDLKQN >CAK82513 pep:novel supercontig:GCA_000165425.1:CT868430:289349:290577:-1 gene:GSPATT00016900001 transcript:CAK82513 MGCTCSNCQFCSNKDNKDNKDEIQLYGCHSYTITQQSRNQPLINEGESELDSNKDQKDQP MLETYDKANLSQAQQLHYDKQSNIVLTSQSNSDQENRINEMPQRNIEDMGENVENKCLSK DREKRERFKFKSGAYYEGEWVGQFRDGFGVQIWVDGAKYEGYWKNNMANGKGKFQYVSGD IYDGEWIDDRFCGQGKYIHTNGTQYEGLWLDDQPHGYGIETWIDYSTYEGNYYCGKKQGF GKYQSSNGSFYMGNWEKNQIEGYGIYNWSDGRKYMGMWSDNLMYGRGIYIWPDERKYDGE YFQDKKEGYGIQQWPDGRKYEGYWMNGIQSGKGRYTSSAGKKQLGLWEAGRKIQLIDDDT ENIKPEGWDEYIQPTLQQDNLTNK >CAK82514 pep:novel supercontig:GCA_000165425.1:CT868430:290855:294002:1 gene:GSPATT00016901001 transcript:CAK82514 MFADPNEISFLEECCKFTLDPSKADKLIFLPDTFKQYIQGRTNIVISQNQDILEACILER LKYPQTNPFVLLVQIKQNIEYLKGKPWYSTHEIGNLIGAFTIMVQNYLMTILQYPDQFSP QRKISDRELEQTQDLQKLIARLFDIFETIGFDSEIHSQFIQVVGESFGENKLHIQIIQYS RNCISKFHVYNDQLNLYFGLFQHYLKEPSTRDLIFHDQIAKWNVNTDRGDILEKEFILGP LFTISLLQDNRYVRERAHQSLKVKMTKRQFELLLKQYQQTNQNHVENLVSLIKLCLSRNT FQQTIQFFNHVCFCNKFKTKEGYQQNRMMNLKQNVSSDGFLLNIYDTLLLLSNKISGRTD DTYTKIDKQFWYTIPFEKEQMLLSSSIPLSKQNIQIGNVSYLFFYTLKFVQIGIIPVIQR MKDLLKLMQEKKDLLELMKDHPQEGLLKDEIEALDEEVHQLELVIFNPSRIKDTVQLFDT FIFLFKSWLNLNKMVDGQTQWQQPDILNYIPEFLINDIIDYVDFYMQNFEGFTENYFNHQ KFIALAELGMYFIHLPIATNKYLAGKFIEVILFFTKVTKKSLNLSYIFVQNELIRDNLLL GLMTQYSAVGETGANNQFYAKFQYRFYINDILFQLMLLQIYQTQLKKYVKCELGQRLIKL MISDMNYGFEEIWTNYLETYKKKQLDVPNTFEQKYNKKRELDLIKSQIQSNLQNMKSNLK LLVEFSNHIPKDLMNEFFQEMILKMINYYLDNFLNERSKEKLDSLKKIAEKDFKLAVFLQ QIGIFFTNICDEKKVVSILVKDDRSYHIENFQKLEQIFRNNIAGQQDKVEKLSRFIQSLQ LKEEKKKFLESILETTQIPETFQDPISGELMRDPVMLPQSKEIMDRKVIVTALLEKKQDP FTNTPLDAKDLIPQPQLKKEIEIWLVQIKKKRDIKVQEAQKSKIQTEIQFQQTQSFKLQE EEDDLNVFKGNRYEDD >CAK82515 pep:novel supercontig:GCA_000165425.1:CT868430:294007:295249:-1 gene:GSPATT00016902001 transcript:CAK82515 MSVNLGINGFGRIGRLVLRAAIENNKPVVVKAINDPFMDLDYLVYNLKYDSAHLRSPFTV EKYDQGIVVNGQKIRVYASKDPSQIPWGDAGVNTVCESTGAFLTDEKAGFHLKGGAKKVI MSAPSKDKGTPTFVYGVNHENYKADQHVVSNASCTTNCLAPLAKVINDNFGIVEGLMTTV HASTATQLVVDGPAKGGKDWRAGRAAAANIIPSSTGAAKAVGLVIPEIKGKLTGMAFRVP TINVSVVDLTVRIQKEATYDEVMEALSKASQAGPLKGILGFTSDEVVSQDFLHDARSSIV DSKAGIGLTKNFHKLVSWYDNEWGYSNRVLDLAVHIAKVSKL >CAK82516 pep:novel supercontig:GCA_000165425.1:CT868430:296387:297487:1 gene:GSPATT00016903001 transcript:CAK82516 MDQRQFNVYQVKKNSLKQKLCIRKFSEICLFFVDKLGNQKKNQMSLNNSDEVDEKIIQIK GITLFPDISVRLVLISPNEQFLALIVERGYNNVEAIDCLFKIYDLKTTNEIASGQWISIA NSNVFQNEFDRYSTFFFQVVSDMLTIVDLKNKTLTNISIEGIQRYLDIDNKGYIYLLRGS YVYQYSINDKEFDGYSLFDNILELTILPNLPKYALAIKNNSWIILQNILKSKLSKRLRKT GGNKIHNLENYIFVEIFEQREKDEDGYITENMKCLKLGEMRTGKIIRNLDYKFGNNIRIQ DYEFESLRPQLIMDQTQATINYDDLKFDLLSGREKKVRFLSEDCIKQTKNGFIGKEGQTL RFVRLN >CAK82517 pep:novel supercontig:GCA_000165425.1:CT868430:297650:297943:1 gene:GSPATT00016904001 transcript:CAK82517 MKDKFRFKFGNIASSDTADVTLMMDYNPLDFGKMSCRQSLVQSKVYNSHSFIGLYRCFRV SVQKIIFDIKCLFGNHEAVKDMILIHSLIGNILLNCH >CAK82518 pep:novel supercontig:GCA_000165425.1:CT868430:298593:299726:-1 gene:GSPATT00016905001 transcript:CAK82518 MNMIQMLFTKFADILLTSRAYPFLQCQPLIQNQTKEIGIIWNKEINFNVQQLLQNNWRSA QTNEMIVQFFLEKDGNSFLVEEWSLIQSQSIMLNMLTAKKNNSLLKQITIWLRSAIAMMT MTTIFQEELITKQYKVTHSLLFYNRNTKKRSDWIDDSKLQVKKISTKFLSQLNFQLTIAQ QGQLQHVLSIQKQKINQSPLFKRCRYLSEQIDIRDPQLIERKMTMDAEYQVQLRQENPPR MSLNNLNLSFVSIYSKKEEVELLFTPEEAAQKLKDKTFLEEDYEINLMTGDPTTNKLNLS NHGQQLDNKSQLLLLPVCIQNELLISQIMVSQKLSNEDKINQSIILDTLKDIQQASKNRR IRSIQINRLLSFYRQMC >CAK82519 pep:novel supercontig:GCA_000165425.1:CT868430:299791:301011:-1 gene:GSPATT00016906001 transcript:CAK82519 MIQLFIILALAFSKPLNPKKVIYAINCGAPSNYKSPSGIQYEKDIQTDPETVVADYSLNS EVSSQQIKYTREPEIYLTERHAYKSFSYQIPLQKEGTYTLILKFAEMYFDTKGKRVFHIK FGQQRVIEKLDVVAKVGKFASNDEYIEFEYVAGQVFHNNVLCVDAVQDGKLQVNLEKTKF DNPYIHGIVLYAGGITETDYEEYQYMKENWEKVINEEKIKEELERQKKSEAKVKRKERVK IRNDHLNELENEFEVDDQTQNSSAPVVKRGGLRNLITSYVGTAGLYFSFFIGMSILKKVT STISNAKIKGIEKDITETKPKQEKQPKEKSDEKKNKPKDTKEKSKAKQQ >CAK82520 pep:novel supercontig:GCA_000165425.1:CT868430:301070:301793:-1 gene:GSPATT00016907001 transcript:CAK82520 MSLNTSMPYYRNSSREVKTIKEIVQAEAIKSARLLSRDKVKVIQNTSFGDLNRSKRKVNN SFHDNRNNSQIASSNILSGYLANAFQEKKENIQRNQMPDITNKNKYDENKQREIKYLMRI AALEKESSKLTELATKLKKENQLLKQQNNQDLIIYQLQEALNISKNENQNLKKQITQLQN SNSNYFNNSVYRNQKNQSQNTNYTVNTTDNISQDNIKFQEYIPSYLVALSLAD >CAK82521 pep:novel supercontig:GCA_000165425.1:CT868430:301864:303171:-1 gene:GSPATT00016908001 transcript:CAK82521 MNSLDQSLQSEFQEFRSQFQQQEKVINNPESLSFQYKVQGQSPHSSFQLQSVEQQSLQQL SLVHPQELQQVEISETSQFETEQYLNISFQDIIQLIFNNQCSQLNKALKTIVFRSYVLIN LFTLLNIIFILNEVIALYFSLITKDKIKELFSVYFGFNLISDCLITYLTFQLRYLINDAE QINQGDTSTQIIEYLKYTIKINSPFEDIVEDEEQLTQAQQVGISISEKITTMKWLTYLLE NQNSQMFALNRVCLLTKIILFAWGNITIVQWVYLNWDNNNINMDQLESILIILTVFSMLI GYIMIILLVSFLLVICVIIPIIIGMAIWQSCNWCTYLYNEYQLHRVAQQRQRFLNNLNPQ KFEILQEQDESIHEECAICLSTYQMDDNCVKLPCNVDSGNRKINHVFHDTCILVWIQTCG SCPICRTVFIERYEQ >CAK82522 pep:novel supercontig:GCA_000165425.1:CT868430:303227:304142:1 gene:GSPATT00016909001 transcript:CAK82522 MNTTIENNKDNQIEQIEKKVKIQKPKKADFRMHAHVNPLNETLFPYPLNYNQVDWSSHYP KKFGKDPLKLYLNTLEYPITYDDQVKPEYSESIVDFLDIGCGFGGLCFALSENYPDKYTF GMEIRGKVVNFVGEKIRALRDEGQAHDVSVIRTNTMRHLPQYFRKNSLEKIFICFPDPHF KKKNFRRRIVNPGLLSEYAYLLKPSGRIYCITDVEELHNWHVQHLEKHKMFKRVQDVEQD SAAKLIWNSTEEGQKVERNKGSKFIIVCEKV >CAK82523 pep:novel supercontig:GCA_000165425.1:CT868430:304161:304593:1 gene:GSPATT00016910001 transcript:CAK82523 MPPKTQQVVSVIKDEEMFLNLISSENKKLVLLDVHPDWCGPCEMMHPTYKTLQTSIDDFE KRVDIYTLGYSKIVTYKHDKFNREPTSKPRFVFYQDGRLVDEVQGADIPAIIERVYKYLP MVY >CAK82524 pep:novel supercontig:GCA_000165425.1:CT868430:304757:306701:1 gene:GSPATT00016911001 transcript:CAK82524 MIKFQNQPLNYDVNGYPQPKYQQMPPKQQKQMSNQGCFQETFGQQQYYGRQMIPQQQDSK NYQQPQQHQQQQSQNYQNYPQKQQYPNMQQQQQYQQSQQQQQPQQKTDPRYQNVQFTAKE FNQLFYKQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQAQQTNQNKPNENQEENKY AQPQKANGNERQISTYSQNQQQLLQQKQVGAPGRMQSADPVQAQNRNAAPLTNIQNQQTT KTSQYISNGGINKTQNDYSQRQATPMKDQMKFMNQKQAPEQINQQLMYSPMPKSQLLNET TNLAKLQQQQLQQNKSPQIQKVGGSGMGPAISQPTNAQQIVEELAKEKERHSLLTAKLKK LEQEKKTYNTQFLNEMESKIKTLIQQNDQLQNLNMELLQKAQDQPQGEIQELNKQISYNS QQLDFLQKQINEAQKQLSEQMKKYKELEQQYQEKNQAEEQQIQYLVTDLEEKVHGLISEN ERLNALIAQQDSNPKKEQLQQLTNEKNKLVSMTQQSQKEVQNWKSKYEQLQQKLQSADKF LEPQGEQVEALEEKIKSLIEENDYLNTVVQQQIERENQIALLEEEMQNLVEQNDNLEMLL QNSTKK >CAK82525 pep:novel supercontig:GCA_000165425.1:CT868430:307467:307953:1 gene:GSPATT00016912001 transcript:CAK82525 MQPNVVAYNYPAQTDGYNQPQDQQAYQQQQPLNQGQGVPLGYPVNQQYAPVQQQQPFING PVIIQPIIVQQVRRANADGCQFPADINCPYCQKPVQTFIKHKSGCHTWLACWLLFLFFLP LFFLPFCFEECQDIIHFCPNCGKKVGKKKYKMCC >CAK82526 pep:novel supercontig:GCA_000165425.1:CT868430:308175:308575:-1 gene:GSPATT00016913001 transcript:CAK82526 MSWYKQLGNSVKEMRFVFCQTCGRSEGIRNLVSKNYWQWKDANPHFPFVVRECESIDPYI LIRYKYGVEKKALIGNLNESELEQVIGQLVAQSNKVNSTI >CAK82527 pep:novel supercontig:GCA_000165425.1:CT868430:308597:311501:1 gene:GSPATT00016914001 transcript:CAK82527 MGGVCGHQHQHHQFAKSPEGLHRYGCSFINPEPMKFNILFENLRLQYPTKSCHLSIKLAG DILIETPNHIDLDGKHAWKKIVETQIQASIIELHEKKLEFYLFEEKNVIANLEIPIFDII SGPIHFDYSIGKGRLTFDMQMAQILQIDINPIEIDCSYVESIKDKAYVFNLRLVTRKMYF TSPNSDSFYNPAYLKGFNSSLNNFLQEMMFRTTWNSSSELPIFSIELPSNELYNSALQVC IWSINKENEFQSPEICSIKSIKEKCEKEVIIEQNLFAETFIALHKLTQEDDQEDFKMHQQ FKTTVTKSLWCKGNKVGTIKCKFNVKLPRFLKQKLVGIRTENGCTLGVNICSSKSVAQIA EITNIFQKLYESMFKMQSLSHNDQQRTILQRQALTISQQLLDEVQKADKDTNSKLFYYKN QEDLFKAQEYFTKIAEQITKYIDKLDDNLREVCYEILLVIITRGEFRLHSLGYFEECKQL SKKQLELKNQVNLHYSEFMLQTLNWVLLKVPLKTQQQNERKFTMRFLVLAFIRIPHFKDQ LLKCITKSNDPQLVEWRGNEFQLEEQDVFVNEQVAMIFDWQTYFYNYLSYQSQYQFSQST SDDQWMQTFSKRSLIYQYFIMDFCAYIQYILQKNNIQWQHVPGYKLLLKSFLCELKLKEQ YTISFFDCVMAVSRNNNIINIIVMILFNKTKLYQSDQVIQVFDLLSLIINQCPETLTVFD YPFFLNGIRIVLTQSEHAIAIATVLELIYTNFLKFPIEFRKAVIDLLFEPLSFELFLHWS KSVRTVFMSFLLYRICHQYRNNKISVMDEELFEQQYLIASKPRKNFSFYENRKEEKQLIA DYIYLKYTRFMMNIEQVKIQLKQFQIHQDLSVLQNLKEKLAQKNQYHQGSQNIIIQEQKQ DDKEEIPSEKQMVFERRNEYRNPTRKRTIILSDNKYKYFESGLK >CAK82528 pep:novel supercontig:GCA_000165425.1:CT868430:311718:313522:1 gene:GSPATT00016915001 transcript:CAK82528 MIRGYTSVLRKSLWYLPSMGFSKKLGIGEASSVLEDKIKNISQLNDIKEYGTVISIGDGI ARVFGLTQVQAGEMVEFSSGVRGMALNLETDNVGIVVLGNDREIQEGDIVKRTGAIVDVP IGMEMLGRVFDALGNPIDGHGPVKTNTRRRVELKAPGIIPRKSVHEPMQTGLKAVDCLVP IGRGQRELIIGDRQTGKTAIAIDTIINQKPNFDSGDKNKQLYCIYVAIGQKRSTVANLLG AMKYTIVVAATASEAAPLQYLAPYSGCAIGEYFRDNGMHALIIYDDLSKQAVAYRQMSLL LRRPPGREAYPGDVFYLHSRLLERAAKLNAENGNGSLTALPVIETQAGDVSAYIPTNVIS ITDGQIFLETELFFKGIRPAINVGLSVSRVGSAAQIKAMKTVAGRLKLELAQYREVAAFA QFGSDLDAATQQLLNRGAQLTELLKQKQYVPMCAEEQVCVIYAGVRGFLDKVQTSEIAKF EEKFLTHLRTNYPAMLERIRSTGELSKQDDAELKSILELVLL >CAK82529 pep:novel supercontig:GCA_000165425.1:CT868430:313536:316488:-1 gene:GSPATT00016916001 transcript:CAK82529 MPKQNLSNYIKFFNQINDLEQRGFITSKQKHLLKVNLTTKEYQLNNLICAIYDEQDYQSA ILQYLQTIEPQPKIRYQSSGENDSPIRQRRRGNSLSRVSQFNESKLIAQLDELNDQLMTL WQSDSQFYLNQEINEKLRKVGEFITKTATSTPSNSQKQVTNITDSNQVIENLSQLYKDMK QHFNDYLRCHLLLMDDKLSTEHLNNVLRAFMKNLVDADEISFIFNNEVYSSEDGQFNEIN LTDQQKLEIQSILEGNVIEISETYPEIASLFQTNKFKRNYVLKIQNSTCLFCFHSKGEHK IIIESFLSLCNEYQFFEEVNQLAQFLLETLKQARVQCFNPLQLSHMIQDIGIAFIRCSKY FFIHKSISILSERYKVCKDNTSFISMHPFVEFDFRDSLNLSIHICFDLNKKEDMNVYKQL NLSFNKYLKFIKQCYDRTTFYKFFVKSQDSLIFEFDKQGQITFVSQPITSKLAEEFNINF NPQFYNASYTSIFKNKSLIQHIENQLQDQNKWKLTDSNATYEVFMKMEEKYFKGFFVIFQ QGWFRYNQKSLDANQWQKLRKSFLQQETRQYLDKLEQKHPQLKNSVVQMFKPKATQKQSQ FKNFSPSFSPRHLDQRNSLQESESIRLIPKTKGINHFYPDTITETCIENIDNFEFNILPY SADWLEKQKVVFSILRRNNFIEDYKISEDALCSFLCALEYKYNKRGNQFHNYDHGVTVMQ CTHAISLEIMKTQYAHLLNQFTRFALILSGLCHDVSHTGRTNIFEINSLSNLAIRYHDRS VLEQHHAATSIKLLCAPATNIIPNFTSSEFREFRKLFISNILYTDITEHFNLMKNFEARM KELNFGTEDDIKLMTGMIIHTSDFTGGAKPFKLSREWSTRVNMEFQEQYSLEGKFGYPQL PYMKDLDQLPIMAKSEVGFFKFIVRPLWSIMSKFAEDRLQQSVDNLEQTIVEWEKLMIN >CAK82530 pep:novel supercontig:GCA_000165425.1:CT868430:316507:316903:-1 gene:GSPATT00016917001 transcript:CAK82530 MIKKYFSFDFQVFGKVQGVYFRKFTKEQGTNLGLVGWVENQQDGSVKGVAQGDHKKCEEM IHWLTNVGSPKSKIEKMIKTNEREIDKLQYKDFQVRDDKKQK >CAK82531 pep:novel supercontig:GCA_000165425.1:CT868430:316922:318160:1 gene:GSPATT00016918001 transcript:CAK82531 MNKTGTGFKTLKLRSSHSFRPQSAYQEQIVSIIPFEMSEQAYSTSLKMDLLNIEDEISKL NKLGIQQSRLSSTHTQKIKQWISNIKMNDKCLDPQRVIQICNCSDANEAVVKLYNMIQNF DFYVNKITELQCIHYLQYEQCFSNFQSNYFQKIASQGILPNRFLMSLLNLEIIEYLVQKK GDLSQSNGESILQKKLYDLKDENIKLLTEIKSLQNQCKKLQDQLSNSTTLSQSQLSHITV ELPPNPASYYNKVIDEVKDSYQNLVTMLRDDNLQLGLKLSEVQQKFTNASNEIIELNKKI DNMKSQKDDLYKRFVAKYKTTEEFEQSYEAALKEEYSSMEMSFKQKIQQLQYRIDQKLTE IIKRNYLNKSKLSINRVIEKECLLRK >CAK82532 pep:novel supercontig:GCA_000165425.1:CT868430:318190:318899:-1 gene:GSPATT00016919001 transcript:CAK82532 MQNNKQSVRHIIYGSTSYPRPRATRFLESQLTASLLLQKLLYFNAYFSLLFLYVTLGINI QRLWIVKSNLMDTLNLVLLLIWAVLEGFRLSNGYSGNIKEQFPELFSFVLITIVSLALQV MQYIIPTLNYPMQLGLVIIQTVLYFCEIMIAISSLCTQNKSQLALMSLRVPKSENDYQIK KRIEDLRIKQEEKQRLVEQEQERLTYQNNY >CAK82533 pep:novel supercontig:GCA_000165425.1:CT868430:318909:321122:1 gene:GSPATT00016920001 transcript:CAK82533 MQQEDFTPWLIQMLQPYSSIFETMYSVGFKIFDTIILKQGEPKQWFYTDDKHCIKTHDDE WLKWRVFGTYCRAKNVNRLGEYIQSDNLDQVQLQTPCKCEELKITCKCYIFHFEQKLPQG RIYRAVSQEVANELFKNPNEKSEIITNWTKIIGCGVTKSPKHIFQVTVSKEQIDDQPKFR VNRKRFAQTPLQKEEFSKQENIVKKPVYLKEEYDKSLAAQQNVKCEHFAFSLLRFLEGRL QRSIQLLQVEFFMTEGDLQIYLTGLDNIQFHSDGEPVEIATLYPVKQQVHNFDKCAGLYC HYATNNIFYDEFDEQFSLFIMAGEKETHKKITYKSIFLDMIERFKTIKMLEPYLNKPPTE QLIFKLRIYYSLGDITNSAFFKHLKISNFYKQETVCQFCFHVYNKIDSLRNQYLKNKRTI LNSQQIEAEVSKFMSQNYAQKEAKVQMRFHNKFFDKLKQFDTDQLKFFQEFTLSSVQDDK YFLKRGKTTRSLQQHQQAGFQLPTIIKVQVNDKDNMIKKTKYLIPKEQAESLGLKHYLGH AKNLPFSDQGLAISKSSLELNKLQDKIREIQMIKERMDLEKIKRVREDIQVIMCTYYGKG KEEKDRLVDFVETLKKREQQLEFLEETSQQIRSPAIQIVTDKNDFLSENQRKIKQDKEKK EQEILQTKKDFLEVNSDLAFSVFRRDYVSERNSEY >CAK82534 pep:novel supercontig:GCA_000165425.1:CT868430:321147:322196:1 gene:GSPATT00016921001 transcript:CAK82534 MASHLIRKDYETGKFFLINNVNGATQEITKTGDFIKKFSLDYTGKLGFKSRIQQPDPCHY PCGIESLSQFPQFYIPQPDKFDGYAQLPRTLQKPFYNNDRRQRSDATIESALELVKQQSF NNKYQIDKPIKHITGTLNDFNFVQNLKKIKILEHRKSTKQRNFTNHINSVKVINKEEIQP PNLTLLRRSRIKSQLLPKTLPFCSSNSREPSEIRNIVPLEDREVQSINQFQQYQSEHKES EIMYQSRTFVKSQSQSKVTRNDLHNSHLTLNHFKEKVEVAQKEENAYIIPQQKEKISISS KGRFSAIKTKSNGDQYVTDRIIMKLFQPDLFK >CAK82535 pep:novel supercontig:GCA_000165425.1:CT868430:322214:322566:-1 gene:GSPATT00016922001 transcript:CAK82535 MSDVDIEDTINRIKNHKSVQGIVIVNHEGTITRTTYLNEKKEEGDTIAKSIPILAQKARS LVRDLDPTNDLAFLRIKSKQNEILIAPDKDLLLIVIQGPKKQGEDDQ >CAK82536 pep:novel supercontig:GCA_000165425.1:CT868430:322667:326362:-1 gene:GSPATT00016923001 transcript:CAK82536 MSVIFDQLDNIQSLRFRFTQAIKLGVSLYYIENCNLKRLIVTDNQIASYNESEAINVLQN VSQICSDSKTLFCLQTNGDLYQIDQQPKLLVSGKNFMNITPTTAIDHSGRGFFWKNNKPI YSHCKHISTYQDKMTLITIGGKIFNIENNNQIPIQQLNGLGVNGYFKKSLLFQFGGIAIT ENGDAYCYNTKMIKLKTSNLEDIQASSRFIFGIQGKYILQWNLDDFQNHQFFVNQTLFKK MNYGHELQINCKKKEFQEVKFIFSNQYSQYCCASIQQISKQNQNDQSISVLERTFSRSTV QNLKQQLFDQWDPPSKKKAQEVRQSKFLRGDKTDRSDASNRQTDRMDRKDDVTQQLQEQK NRQQNNNNPIEKSTKQDNFISEQEQKSIQIDANFNFNNIQKKKCDILAPLEISKFNLKNK TQQQEILLLENKNNQPNQVESIQQKQQNLIEELLIQQQQEEKKQDLKNKMSEIQQKIEQQ VSEEEQILKQKQKSQQQKQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSLDQLV NSINSPKQCSEEIRQSSKLLDQYKKQFDLILQQRYEQNIEQQIDNNNNNCENLQKIKQID QDTIYSQQNIISQKLQSIREIYDQPKFKTQNNSLYTLDEESSVEQSICQEEEKGKYQRQK LQIYDIFDNQTDCKPQSKNYENLQQQSLSQDFNLPIASQENTIQLTELQLPPIQSSLNSF FSKLQLKPEKTIDQILKSQTQKEEINENILSSNPSELKSQDEITQTKKIRSFLKPEQMQN QKQKNAVEIMDQQSAIDYQNNSDFIDQQHDSFMQILQTEKKQQESNKIQNIMSIFDQIQI QPKKSSPQKLNIFKKQDQENKIKKIEMISDFDGQLDENLTEIKNILKEKNQQIIEKKQSP LKQNVKLYKTLVTFSQDISQEEVEDRVMQVVKIDQNLQTLSHKHSPIKQKSPVKENNFSS SKNHSLQLSEQKVLTKINQSADVRQPSFDVKISLNPPDQKQSSSRVRVQPLNCNAIRPEK IYTPLRSRRNSTARGSSVETNRFKQLQIETQVAVPETKQNQTPLINNFEALDLSNAMYHV DQTLIEDTTKRTPVAQPLDVINVNQQSNQKILKEPQLIRVASSSQIPPSLRTNSVGPSSK KTEVSPVKVQKYMKNAPSSKSDNDESLKLKKRQQDIILRKLFFRLDIQVKLIKLEFMFNL KQQNGK >CAK82537 pep:novel supercontig:GCA_000165425.1:CT868430:326391:327741:-1 gene:GSPATT00016924001 transcript:CAK82537 MSSITIPMITQAHRLQKDSTLNFRTKLQKSIRLSEKYHCNIHLKREDQQQIRVFKMRGAF NSFMSLTQEQKTKGLVTVSDGNFAEAFAFFCNHFKIKGTVFLPEVCFQWKIEIIQKYGKD YVEIRSVGESFDEAEAAAQEYIKQHDRIMIHPCDNVKAIIGNATIGIEIIEDFPGEVDYV FVPVGAGALAAGIATYFKAMSPNTKIIGVQPDGAASMKASFDAKKVVRIESMSRFCDGSA VKTVPQITFDICQHNLDDLVVVPEGRVSSTILELYNQGIAVEPAGALAVSVLDQYASKIK DKNVVCLISGGTVDLSRFDEFKEHSLLFEGLKYFFLIQIPFRPGILKSFVSLCLGPDDEI SHIQFQKKTNRERGPCLVAIEVAKKENIKKVMENMTKMHLQYEVVNDSKELFDLLV >CAK82538 pep:novel supercontig:GCA_000165425.1:CT868430:328694:330368:-1 gene:GSPATT00016925001 transcript:CAK82538 MDDPRFARIKKDPRFKSLPKKQLKTKLNKKFSSILRDPKFSTKPKFDIYGNKRKQESEFK DHYELDDQEEEQVAQNEEDEESQVEDQSNEQDQQEDSQEKSQNESDQNEEELNSEEDISI SSGVDNWEDEELDQKNAPIILQATKRLALQNYDWQQMKAKDLFVLFSSFAPTGSSIQQVQ VFVSEYGKQKLDEENEFGPRQIFKDNYVPKKQKDEVSAVNELIVKGHEEDLDVDPVKLRQ YEKDRLKYYYAVIECDSAKTADQIYQQINGQEFELTNIKIDLRFIPNDVVLPKENLKQEC NTLPSHITSNNVINRAVGHTDVKLTWEDSKPRTNFWNKNIKNFNKEDFEDIVGNYESEDE DKIKNEVKDLLNQVQEDKNEEEEDWRNSFKKKSKSNLKVTFQSGFNPNGQNSIIERMGQK QKNFHVPKEGRIDDFFVGFQGQEEDDNEGRPKEHLKYLEKKKLKKQELKQKKLEQAQLKL LVEDDKKPKVGFNPHDKRFEAIHTKPEYAIDPTNKQFKSANNEQEYRKKQKLI >CAK82539 pep:novel supercontig:GCA_000165425.1:CT868430:331170:331496:1 gene:GSPATT00016926001 transcript:CAK82539 MIQIKGPKINFSMPIKIQDLMEEKPYLQFDLESTDCFRNQKISKYVKFAPTTTIFLRYQD EDIYRFRDRLKTQVQITKEIHNLNPTLEDSPKKQQLKSCMKIPTDSDY >CAK82540 pep:novel supercontig:GCA_000165425.1:CT868430:332527:332712:-1 gene:GSPATT00016927001 transcript:CAK82540 MNQGQQSQQQQNGYHNPIEDQLNYKNYYRVMVFLFAILVILGIMQTHQFTQHRREKLLTF L >CAK82541 pep:novel supercontig:GCA_000165425.1:CT868430:332779:333369:1 gene:GSPATT00016928001 transcript:CAK82541 MQTPFRKQPKSVKQIIKELILKEMEKEAKKANFNIVVIPTRVGQSCWGTTNLRRISPTNY QRVDQGPVFSPINCTQISPITQTQGTPKNSKTKQKSKYQKLLKMTDEQPYQYQKRQERYK TEARQKSLSLNTAKNIHQRLPSLPRKINYLTEEAKSLQIKLTDTIEGYQVHEDDMSFEID EYVKMKYIKQIKYQGN >CAK82542 pep:novel supercontig:GCA_000165425.1:CT868430:333447:334165:1 gene:GSPATT00016929001 transcript:CAK82542 MNKIKRKLFSMEAILDSRTINDQLYFLVKWAGYPISQSTWEKADKVPADSNMISEYKMSV QMLGKTFYFDPLDQPPQIEDFVDIPPEKSELSTFNTKLSEFQHQINTLRDDVRFILQSQL KLIKELKMSKNAIQQYDILGSPASQEKQQSVQSDMMQQSASINYDGSFDQGDKVEKIGRA VQIKKSKTKMYYILWKRRNNGQIPKNSWVESEQMIENDPAKVCQYLWNKLI >CAK82543 pep:novel supercontig:GCA_000165425.1:CT868430:334170:335896:-1 gene:GSPATT00016930001 transcript:CAK82543 MSKQQDNLFQNNRSQRALRTEQSKQYETGHLQPTLKQVNSSHQSQSISPIRSPNELSIDN KQGINGQPNIKNVDQQIGALIQEIEKQNICIKLKTQQIQECQSKISQLELHYGLKIEEME TQHLYQIQLTQSQLREKQQENANLINEINQLKSQKNKYNLLVEQTNIENNQLREQLRKFQ MLNSTQMGDIIDDPSQKQQKLEIVQIKQQMIRLNEVIKSLEEQNKYYEEECNKHYKENQY LIDLQKDLTQQLEAYKIELIQFQQENNALLENINKMKEEFNISQEQIIQQLKKEQIEKQQ LQNQYQQKIRELQNATSQLESKIQETQSTSSQMNQYSWKISQLEQQEKDLQSLVEHQTTQ LAQQRKTISLLEQNLQNSQNTEIQYQQLLQNYNSIKEQYQQYSTSEHNAQKQYLEQQNKI EKLTVMLKNRLNEIELWKNKCYENSSQQNRQLLDQLIQENEILRQKCNILEINIKENSSP SQSQEINKLNLIIKQQQDKIIHQQQLLENHKKQMSSPKSQKTFATEVNVKDFNPKGKHN >CAK82544 pep:novel supercontig:GCA_000165425.1:CT868430:336169:338194:-1 gene:GSPATT00016931001 transcript:CAK82544 MLHLEIEVQSLQFFIEKYSEQCKIVIHDANESKILTSKILSQCSSVQDINEVVEFDFYYT ENRYLKIMVQDCKVCYGEAILNLGFYIENHLPLVIDKIPIHNRQDQEAYIQVGLAWNQSN QSEYKRVGNNRCKINQEIKKSEEWLRQKRECQHSPVQERGEILNHNREETLKKYSEQPSN FENHLKYSEVDKLNEPEVNTKRQQTVRVQKVSLLQQKSKEEKSDFDGPVALRENKFVVPG KRRTKNVQNHEEIMRGSTKPKANPKKLDQFDNFNSRPTQNEQALLLSCRQLEKGEYDENS LQIQNQMLQYQNKLMKQQNEKLLEQIKKSEAAFNLLSETYSALQSDYKKMQIQSFNSNFS NSFINELYNLPDKNSKEIQQIKKESEILKKENEILLKENDILKDEKDTQQQKYIQEISKL KEELTKIKNTIVELQKEKISLQNYVESRKDSQNNKEYCICLQKEELRANRDKFQYSTNIC VETIYQVNDEKGRTKCSKNQIDNLLKNEGKFNQLSRSELQKLVYEYSNELQISQKENQQL ILNSKKDQIRLESNQKELEITKRIVKLSDQRCSSLEHQIVQLEKAVLNGKQNIADMINAV MECGGQKLYDQVERCLAFRRSLKLE >CAK82545 pep:novel supercontig:GCA_000165425.1:CT868430:338998:340880:-1 gene:GSPATT00016932001 transcript:CAK82545 MQNIELFSNAQDEAIMSERNEREQFQSDSSKEDDNSNDDSIQNEEEQEINPKESQGSTFP EQQVIKDETKKKDIKETCLEKIKAYIGFGHSHLLLNERIGSIFFLPIPSRWPLKIQVTIA QIIIAITSFSLFVGVQQIGQNILFDTVLQWTNNNVSSLLQKQICEVTIDFVQILIKTREN EIQLMNVTINLIQSGTLRYKDVLYSIDNQIPKSSKKQISKEGIIDQYTLDVATYSLLKDQ QVSENQIRKYLSINNIMYYNYQTLGSTFFWIFDDGFMMQYPGLNVTNVKLFNEHRLKMYN SRRYLTNIPIEHTYDPILGSILQREVLPINDDNNNQIGLIFCERMPIMLFLLFNQIAINN QYNYTLFLLSSENDILHYEEREYKINIEEFQELIKTINTEDSKLKKMNISKFHFDSISVS SYFELYYGELQDQCFILAYINYKGIFLGIFQPTFLPKQEIGFQKRTYSKKVDSFNQKLIP LALSIPILYIILCVFYMVRYIKPLQRITEYADQLLKKSQNFDENQFEKQFTNSTGDDLIQ QLTSLFAKLMGNLNKSKQLKKQEIIDFYNKQTYPKNQKPRDVTPIINQVKKIKLDSILRD PGVLQFPNLDD >CAK82546 pep:novel supercontig:GCA_000165425.1:CT868430:341101:341739:-1 gene:GSPATT00016933001 transcript:CAK82546 MKCICKNTSKDLIISIKDDNVVFQACNYCIFHGQAMTNKTLSVNFKVVMILVIVVIMIIK QFDQCLRSHVRILIRILSNKSLKQILIVQMQYWFSIQHSKMFINSDTNFRLVSSTHSTSA NSPTIKETKKKKKQKPKNNESQPKSEVEKSKQISDSKNSSKQTKYCSLVNEDIRIFEEKI KQYTSEMDDSQKIVLNLPQEWIKKFGIQKKKK >CAK82547 pep:novel supercontig:GCA_000165425.1:CT868430:341899:343174:1 gene:GSPATT00016934001 transcript:CAK82547 MGNACTCQCQTKPNDENELNTNQKQQSQSKLTAKPDNAQNQDPDGKEKISNQLKDEDVAQ MAGARNNSIEDSSSPKLMKRENDDNKPEEQYIAQVQATKNKTTRTKLGAIEMKNGGVYEG EWKNATRDGSGKYVWPDRSFYEGEWVEDKANGFGKLVHVDGDIYEGQWLDDMANGRGVYI HSGGARYDGEWKNDLQHGQGVEVWPDGAKYEGRYENGKKHGQGTLTFADGSYYKGDFVEN DITGYGEYYWKDGKSYKGQWNNSKMNGKGVTQWADGKKYEGDYKDDKKHGFGIFQWENGR KYEGYWINGKQHGKGMITLPNGEKKEGIWEYGKRIKWLNADESPNG >CAK82548 pep:novel supercontig:GCA_000165425.1:CT868430:343174:343728:1 gene:GSPATT00016935001 transcript:CAK82548 MIKQTILLIFNKSFKLLTNQLIILRQRFIKRVSIVNIILSSISKFEEENQTALINLTYSY YNRLLFFFNLIRSRSLAIIQYFTYISINKQMRCFYISNNQMNRELKFSFEMLKNPQINQN SYLNMKNCSNKYQIAVQNLLIDAILSLLWITHKQKLFKSQQKQLFIYFKYWQNFITIRIY QFNR >CAK82549 pep:novel supercontig:GCA_000165425.1:CT868430:343794:345017:-1 gene:GSPATT00016936001 transcript:CAK82549 MQSYLQKQDSNRRQSFTFDMEGQNIYYYSVAKPLPNDIIDDLIVCSKIKKLLLSQLPKVW FIMAKTTAYLTIDNQQKFVTSNFILDIFVYSWLSKELQDLKQQLLNRMSKLNIEMEKFHR LIDIFSQEMTFIQKELVQTNTWEYQLNYNKNCIKPLFVFREKCPLEHLCLIKRIDELNID DDSKNSTLTSSQNSQNSYQKEISRSKTDTKEITAIVLSNKMRKFSKQMEKMSENFFQELI TFNSQEQQWQIAGQFVVIIIETTVQSDFDMKTIEKVRFIQPAVLFYKKAIQIWMLTKQSH FDKNLNQHSRIGKVSFSHFLCKAKCFLSQYWKETLTIPLHTFFQLAEQLYPQVENESVMI KKMSTFSILLKEKIVSLWEQNLSLFAKSHIQN >CAK82550 pep:novel supercontig:GCA_000165425.1:CT868430:345453:347909:-1 gene:GSPATT00016937001 transcript:CAK82550 MKLIDKAQDYDSNDRLNQRKLISVICETNKEARDYLYFLKQREQEEQQQLPKTEVFSIFI KTIMEVSNPTSTFRIIWESISMLFIFVQMIYIPMALSFSIDSSFEMEIINDIMDIFFVVD MLLNFRLAYYENGKLEYRLKYIALNYLKLWFWIDAIAVLPFDLMIGTDSNQSTQILKFVR LFKFVKIIRLLRVLKLGRILIKIEETFSIEQTLQAIIQFLKITAMILCIAHWIACIWNII EFVDEQVELTWMTQYGIHDAPWEVKYITAFYFSITTMITVGYGDISPNTNLEMIFGIIVM VLSSGVFGFSMSSLNFIIQGEDQNIAEIKEQNQKIVKYIKQKNIPKTLQIRVKNYLEWLE GSAQVAKNSQMVILNNLSSNLHTEVLTLLHGRILKQVNLISREFSSQLTNKLIYVLKEHL LGPEEYVFKENQVDSNLLYFIQNGQVDICLTRREFSLKLLNKGDYFGEISFFSKSPRTAS AKTLDFVNLMSLSRKDLWEQAQDLNSDLEKLFYIKNCVDVENSLKPLRLRCYICDRPHHI ARNCTIMHFRVRRLKVIEEYLKQKNTRIKEFKRRNGKSLISSSQIIYSKSDAVFRYRFQQ LKQIVKTSQQIENLDESAVDYSKLSTQIDDNNFCLDRHRDYSEFYTEFNPTKIISEINKY SEQKLQEMREEKEQIANRFRQNWMNSRRQKQLQIAPPRQSKLSFDAQKIFSSDIQHIIFS EDSSSSHSSYNLLTKKSVRGKRLLDIQTQKQLLQDQKINISFLVRKISTKEVKFI >CAK82551 pep:novel supercontig:GCA_000165425.1:CT868430:350065:353627:1 gene:GSPATT00016938001 transcript:CAK82551 MKLFTCQDTAHKGEAIKGFCLNLGCQESRPEFCQQCGCDSKKHLNCKKDLKEFGEIQSFI TKFNQSIHELTNQLNQSFTQVKIKYQEYLKYMEDMKISIVKISECLSQKDYKQMENSLQV IKEWHQYINNQKEFIKEDFISSQLASMKKFIQAMEFDKEQQQFSDIHYDYENSLQQGIQL LNQQKWQEANEKITQYIKLSAKQQTLATLFQIIKKIEMNQLGQAIIMRNQAEKINNNFYR DLLDYSNEELMKTPNNMVMLIAQSYALCELKQFKQAIEQCEKVLIQESQHLHALNRKSVA LQNLSEHLDAIQCIDVALQKNPEYSVGYFRKGYSLWQQGKNQDAIFIFDKAMQIDPSYAS TYNYKGNALNDLKQYNEAIVCYDKAIQIYPNDEVAYFKKGNALSDLKQYNEAIVCYDKAI QLDPNDASFYNNKGNALSDLKQYNEAIVCYDKAIQLDPNDEVNYFNKGNALNNLKQYNEA IVCYDKAIQFNKNYSVAHFSKGYALHNLKQYDEAIVCYNNAIKIDPNYTSAYFNKGTTLH NFKQYKEAIVCYSNAIELDPSDASVYTHKGNALSDLKQYNEAIVCYDKAIQLDPNDEVAY FKKGNALSDLKQYNIAIVFYDKAIQLDPNDEVAYYKKGSALNDLKQYKEAIFCYDKAIQL NPNCQVAYFNKGNTLQDLQQYNESIACYDKAIEIDPNQAEFYLQKGKVLHDLKNYKDALE CYDKGIKLDSSQTLIYNYKGRTLHNLKSYNEAIFYYNNAIKLDRNYAMAYNNKGRALHDL KQYNEAIISYDEAIKKDPSLAIAFNNKGRALHDLQKYNDSLQCYDTAIQIDQNFAIAYNN KGRALYNLKQYTDAIVCYDKAIQIDPNFTIAYNNKGKALHNLKQYKDAIACYDKAIQIDP NFTIAYNNKGQKYLIQLGNALSNLNQNNDAIDCFNKAININPNDTTNYFQKGLKYLIVQG QFLTNIKNYTQAIENYEQAILNCKSYQNQFQQLIIDLKTKK >CAK82552 pep:novel supercontig:GCA_000165425.1:CT868430:355671:356012:1 gene:GSPATT00016939001 transcript:CAK82552 MINLFITKNEIMEEKGGRRLRRKKYLKDLLEKFIEENGIYTGQKYINLNLSCRYIGTFQK ISREQSVNVKRLRAHQKGLEFWIHKLKKTIINLQTIAEIFIFILERILKMERG >CAK82553 pep:novel supercontig:GCA_000165425.1:CT868430:356456:357052:-1 gene:GSPATT00016940001 transcript:CAK82553 MDYISEDAMQHMPKKPQNAYMIYRGEVYDEVKKKNQEKSMTELTQVISQMWNDLDKKKKE KYENEKEKAQNQYKKDYEAWIKKYKLDEEKVKTCLKELKQEKKKHKKGSKKVTKASKDDE ESEDEDEQRIQQIKNKNQKKDQKETKETKEKEKEKEKEKQKQNQKEKEKDQKGNKKK >CAK82554 pep:novel supercontig:GCA_000165425.1:CT868430:357223:359118:-1 gene:GSPATT00016941001 transcript:CAK82554 MSKIKVMSVTGNPDLLHFKNLQLTERKKTYEIQEMHESEYDHQVKLLKRDASLRIQIKKL QPLCNQEPFTMDDSFMSKTVKRFIKQKYHRPLLLIQNGTPTVHASRRIKFTQTMISQAYE SQLRFFNSRKNSIYVQSLLAYVQMMLSIDDQLHPVVIELFNDFAPKACENFTKFCEGVNI EGKFYTYKNSKFTKYKPNGWFIQGGQFDKKISIYGGYFEDESYALKHDCEGIIGFANDGF QHTNHSQFYITLAPMPFFDYKRVAFGKIIRGMKQILKVLKQESHTDIVIYDCGRYDHQAQ IRLKLEKFTDDFYSNIPENTQSFNKFIEAEQLKHNEASGDFTQLVKYLVEQMRVISKFVY SLTGLKKAIQYLNESFLKTQYCQILYILDNQQTLQADNQQSKYIVAFRAVDGTDSCRASA FIIFKKQTTQFKQVTLNDFKQQAEQIASMGYCVYGQTTQLVFGTGYNLSMFTLQNNDFKL QQQELQIPKKETFYSNNIKDDFLQNKIIANLPTKVMRYTDSIFTTLHRVVRLGGLAMYKE VSIFEAIICAFTLARSWGWSFCDGTTTFNQIRIRDINQLTYLYLGQQKWIQKIENHFLSI V >CAK82555 pep:novel supercontig:GCA_000165425.1:CT868430:359129:360573:1 gene:GSPATT00016942001 transcript:CAK82555 MQQNEMIQLLQKTGDKLKNKKLERMIAVNPSNTQFTQQFLQQQLDKSNTQKKGTLLTQNE LNQAIKKFQEYITKNQDAHSLQTYQKSQESENMQDKSRFKTINFDTSSSKKVQSKHSHKL SHAIPTIANDKPQPQHFRQSSDIIRNDGIVDKLYDSLMSRNAGNSKPITPKCGTFQFPQF SDDNQELTNANLEEIYYIQKVKQAIILQNQNDYFTRLYRNHFKQLCQELSIGIKLKPANY TDIKTKGVKLDKQNKYKDSITLIFDLDETLIHCNERDHKLYDAILTVNLNKTQQVQAKIN VRPNAVEILRKLSENFELIVFTASNKIYAKSVIDYLDPNKDIFAHRLYRESCILTSGGIH VKDLRILNRNLEKVAIIDNSACNFSWQIDNGIPIIPFYDNQLDDELNHLYKYLSGMRECN DVREYNRKHLQLYRYTGNINQYQNISRQMKEQDYVIEDKN >CAK82556 pep:novel supercontig:GCA_000165425.1:CT868430:360946:362565:1 gene:GSPATT00016943001 transcript:CAK82556 MSSLNRTVKQIGQYQYNERHCLGEGAYGKVYQGMDIKTNEIVGIKKMDLVLFERDTYLRN QIVSEIEILKKFNHPNIVRFIDLITTQRSLYIITEFCKDGDLKEFLQKRRLTEKEAQGIM LQIVNGFKELVKQGVIHRDLKPANILNHEGIVKIADFGFAKYVDNYTSQLLRSCVGSPLY MAPQILQRKSYSTKCDIWSIGVIFYEMVFHDVPWKGRDEQDLLKNILIKPLVFKGNQPIT DFTRDFLTKALIVEESERISWDQVFQMFESMEKGLVSNNPTLQKLYNDQNLSWMQKQQQK MTGDQLVKQLLFLQQMKQNIAFRHFVNFELYQKSDQLKLLFRRDQSLEECIAILSRLVLA YSNLLIQLIEETCDSGEDILMKGTKWNILNFIKNEQEYYKIFFQNCKEQFCKETQYEAEL SDSDRNKLEDSLTTKIIKLIGDNIDDLKKKISEGILYQNLLIFSQDSLIAIELLLDQQIA HKNIVKTTDLDFQMLLIEKQQREDWRKILDRITNKWRQLQKL >CAK82557 pep:novel supercontig:GCA_000165425.1:CT868430:362603:363521:-1 gene:GSPATT00016944001 transcript:CAK82557 MQQQNDNVEGYDQLQEIAKTIFPGWNSKYYRDFAKQVQFVEFEGANRIPYYFLENEDKST NNYIIYFHGNGENIENSLNMLQRFTDYLKTHFILVEYPGYGNYQSTIVTTAEQIEKDALV VFDYIKQKFAVNDNQIYVFGRSIGTGPAFYLGANRNCAGLIILSAYITLYKLVNDIVPKR AHYLLNENHINNEENSQKIKCKCLFIHGQKDNLTFLKPIQDLYNNLPAHIKEQSYLSIQE NMSHNNFLIKEHIIDPILNFYTELKITKDEQNKEKDQTLQND >CAK82558 pep:novel supercontig:GCA_000165425.1:CT868430:363774:366556:-1 gene:GSPATT00016945001 transcript:CAK82558 MTDQQLQGQNNIQEQQELSEQSSDEELDVFHYQEEKEKYPMLNRLLENVISQLLVNLLTL YALYGDDIRIICFDKRADSTFDGITIFCIIIFSTEIVITSIVRQGYFNSFFFWLDIISTV SQILDITLFNMAVGLEGSVSAKTGSDLSQANKASKTSSKAVRVVRLVRLIRIVKLYKAVN YQKEVDFQKTLTKKLSTVLIKNPNHAQIHPLQNGGGDTPLQNQSREIPHFTTMNGQAVQS KDQLNNMTSVIEGFDQGNSYEQRRSLKQILPENYYFQQQQHNEDIAGKMQEKEHKEQTHK KESRVSKRLSDATTKKVILLVILLLLIMPLFSSDYYFDSSSSLEYAAQYFKVVAEIPNTK ISEINETLNFVISQHDQFDTPVGFIRNPFTEIPDYERENYEYLRESAKSYYFKYVDPILV GLNYIGDPIILFVSDNSQIEKLNSIINIVNTLFVSCVLLFGALAFANDAKNVALAPIERM IAKVNVIAKNPQEAKEMKLEVEQLQRETTQIENAIIKIGALLALGFGDAGSAIIGTNMAS SGDVNPMLPGKKKLAIYGFCDIRNFTDATEVLQKDVMVFVNSIAEIVHAMVNRYQGSANK NIGDAFLLVWKVSDHSWYEENGKIKWKNYEQINMIADCSLLSFMKIFAKINREPKILEYR NDARLTQRLPGYKVKMGFGLHIGWGIEGAIGSEFKIDASYLSPNVNMASRLEAATKQYGV SLLISSDLYLLFSPGLKKYQRQIDMVTVKGSVRPIGLYTIDIEGDNLPPSKEDYPQEERF QVMDQKRQIFVNQIEAGEFKSENHINRNKDLLLIMKNYNPEFQAQFSQGFQGYLLGNWKE AQMWFERAKLLKPNDGPIATLFSVMGESNFKAPSDWKGYRELTEK >CAK82559 pep:novel supercontig:GCA_000165425.1:CT868430:366949:367405:-1 gene:GSPATT00016946001 transcript:CAK82559 MDDEIFIKQPMNDEQNDQSEKQSQFVQNDESLEHLVNSLIQNQQPGEDDQFKQQIAKRRI NDQRFTFQEDKRILELVQQVGPNFNKIVKSFPGKTMNMIKNRYYKKLRYIKDNIQNDQEY VKKQTKHKRLN >CAK82560 pep:novel supercontig:GCA_000165425.1:CT868430:367615:368034:-1 gene:GSPATT00016947001 transcript:CAK82560 MIDSDTITLDQIYGCNFKRVPLLYAEMEGTNPFQINEADEDLDSDKQIPDQPKQISPTQQ KAQLKPLIKTRKRQQTVHKSPVNMSQFERHIGFRNSFYKNNNKINLASRQRVEEVKNQEI GMFTKYVQRFQLQKLQIWN >CAK82561 pep:novel supercontig:GCA_000165425.1:CT868430:369032:370969:-1 gene:GSPATT00016948001 transcript:CAK82561 MKTLYMLILVLGIQASFKHYWPIIGIDLGTKNSCVGIFRNGNVEIIPNEEGKRKTPSVVA FTDKGLIIGKEANNQAIINPSRTLYNIKRLMGRKFADPSVQNDRKFMPYEIVELDDKPQI MVQNIKGFSSKMFAPEEISAMVLMKMKEISETYLKREVENAVITVPAYFNNAQRQATKDA GAIAGLNVVRILNEPTAAAIAYGFSKRKLKENLVIFDLGGGTYDVSIVSIDDGDIQVIAT SGITNFGGEDFDQRLIGYLIKVIYKKINQDISGDKRAIQKLKKEVVKAKIALSVFYETKL DIQDLVDGFHFQETLKRSKFEDLNADLFNKLAQPFILSLKDSKLTEEEISEIILIGGSTR IPKVRQIIEGLFSKININSEINPEEAVCQGAAIQGAIISGESKEIEQLRSFDVTPFSLEF IKIIEKGSIPQVKSERYLFEEKLDVISVYESNGNFVKGYNELGSFCLDKSIFSAKEEKIQ HESIFSGGFFGGIISPLIFFNLGTPLTSGSPECPKIEFFFQVGDDGILYASANCKKSEKV IFFDMKHPGLSEEEIRRMQQFKMERVDKKIEDTNSIYQFIQKIYDLIKYPPENQFSDL >CAK82562 pep:novel supercontig:GCA_000165425.1:CT868430:373093:375155:1 gene:GSPATT00016949001 transcript:CAK82562 MALIANDTKSFTHFADINTSEYSHKFLDNNILYQGQLLDDQAFHGYGKAIFPNGDIYEGN WNNNQLDGYGKYTTIDEEIYEGYWEKNQLLISEMVIDLPKFETQLLKKMIEQEIYSKYKE TDIIIFDDGSSYRGQLTDNIQDGWGAQIYLSGQMYQGQWKNGKYDGYGIKYFLNGDVYIG HWKNDEATGYGEYKYFEGAFYRGYWLHSFKHYFGIEQWNETAKYIGEFQKGFKHGKGILT FNDNSTYDGEFINDEIQGYGEYRWVDGRNYVGGWYKNKMQGNGKTTWPDGRIYEGSYDQD LKQGFGLFCWQDGKKYIGNWMQGKQHGIGIMYAQNGDYKFGEWFEGKRKRWLDQVQDSDI IEAFKADHQFEIIKQIATDKTDESPLKASESIWSMPRISDKQLISRFSLTLAQKDGQFYI GETIREERSGKGKLFSQDGSLYDGYWSNDKRHGYGKQVYSNGDTYEGHWICDKQSGIGKM IYSDGSILEGFWNGQILDGYGKQTWPDGQYYEGYFQNCLRHGEGKLLFKDGSYYEGQFES NEIIGKGTFTWSDGSQYKGFMKNGLKHGQGTYINCDGDHHIGQQKQIIINFQILQRHLSW RWKIYIGSWFHGAKHGKGTLKLPNGQKQELVYIFGELKN >CAK82563 pep:novel supercontig:GCA_000165425.1:CT868430:375792:376384:1 gene:GSPATT00016950001 transcript:CAK82563 MKHCFLNLAKLTKTPSSIIQHDKFYVQQLQQQITDLKEQVNFLIQENQRLQQRQDRQLQR IKEQYQQLTKFSNVIQLVQQLKIENLALRQNVQSLKLDFTIQMNPLKQLYHHIIQIPKQQ INDIMQIRLQQQETQISQQQNKVEILNLERTQLLELCSKQEEQLISILQKQISS >CAK82564 pep:novel supercontig:GCA_000165425.1:CT868430:376932:378734:-1 gene:GSPATT00016951001 transcript:CAK82564 MQVYDNLALQEVQSISIDDSPERLKEIVRMILQELSQMGYDDACKILEDESQTKLDTTQM SELKESFLNGDYENILQQLDFSDMINRKLQYEIQKQLYLEIVQQRNYEQAVNLLRQLSEF NEQESQVLAKMIFLPEDASQLYVQLGIPMDQREQRLQLYQKTLNLLNFGDFSLKTQFDKV IDQSLEYQIKRCPYHNDSNENKNYSLLIDHNCQSYQIPKNNSILFQGFDDEVWIAKFSNS GTWIGCATKKNSIYIISKEQQQKISKAHQMDINSLVFSSDDKLLFSASNDKKINCYDVQT AQIKVTMSQHTNEVLCLAYFKNQLFSGGVDGWIGIWQDNGKLVNQIKSKVVKNILLTDQY LLLHNAAIFSITVIENDSKFNKIITHMEEQELIVSSAVSQNQQFLVLNVSKEKPKLHLWS LKTFQKLHVFFGFQVKGIEMKCCFGSKKDNYVCVGSQDGKVYFFNKNNQLQQNVIDGHNQ PINFVDWHSQTAQLLTASDDKTVKIWIQDHVEQQQIINIANEYDQPINSQQGEIVEEQDD QEDEEYQQQSEGDQSF >CAK82565 pep:novel supercontig:GCA_000165425.1:CT868430:379623:381529:1 gene:GSPATT00016952001 transcript:CAK82565 MKAAIEKVKGNLQCHKLTIREIVQEVLKKSDIDFEDDEDLKKIVALLQKDPNQRTQLDVD KIRLSFFRFKFFQELEQSMGADMVQGLYKQLGYELQKKRQVIFNIGDIGKKFYIILKGSV WVLVQKKGLQEGSGPTEEDQKQEEQLKNEKNKEMMDNMKKNKKKKNKQVKSQAFVTQVKL DDIFATMTDQEYLDTQFSTLTKVGIINAGESFGEIALTKQVPRTATIVAAEDTHFATVTR DQFNKLLSVFYEAQQKQNVGFLSKVAIFSEWNEQMLNQLYYHFKLEERKLFQVIYKEEEE ANNVYLLKQGEIELSRFVDVTQQQMSPNLTLQKFFTKSERKLERVRTTVITAGQIFGHEE VMAGTKRQYRAMSISQKVVYFVLDKQRFLQYFQKGQAIQKLQKFDAKKLNQRAQSLGIMK ELKKIPLFVEHRDVPFIEPHQTKTRAKNSVERIGNPVEHTGYEMLQGHGHINKAHYNFRR NIDVIKQNVQNSEPLSLDKALFHIEASKGSQISIINKVFPAAARPKYAIPECYISSQTII KSLKLPKILTEVDSVMLNRTNKEYLNSFKASSIYSNGFPNESQQTLN >CAK82566 pep:novel supercontig:GCA_000165425.1:CT868430:381608:383348:1 gene:GSPATT00016953001 transcript:CAK82566 MDYHPQKGGYGIPMSGNILSGYKHYYKATNHIRMPYVEETYEFKEEDKQDHVDFTKKEKS GIKIFDDNTLEFECKKLTMQDLEKLDLQQSNITEQGMSTICSSKNCCNITELDLSKNSYN VTDTFLRLIGESQYLVKLETLFLDDSAVSDNGIMFITQPFTKPVDQYTLYATHNLRRTKK HLESTRGMTGQNFNSTAAMTHTSDSQTQFSDSNKFRNFVNHLNKLSLQGLNLTDRGLQCI SFSQNVRLRYLNLSFTKITDKGIVEYFNSSNSAFLEYLDISHSSITDESIKAFAYSEFCK SLIVFIINSCPLTNESMIHLCNSPNTINMVELNIGTFTKSYMTGDAIRILSEAKYMSALK NLNLDGQPFPEITLLKFIKSPIMNNIEKLSIAKNEDITDSFCGAIYEFYQAEQYKSLKYL NLMKTNITERGVVQLQDLFKLVHSIDNLSSLVKIKEQTRAGIKCFICDRCLQQQDTERDN YRDKKPPINPHNCFICRTRQITTIEYPAKACYGCGKGFQEKTCHLCQKQTGKHQLFRCHL CAIGNNKFKCFNCDRMILAKR >CAK82567 pep:novel supercontig:GCA_000165425.1:CT868430:383402:384084:-1 gene:GSPATT00016954001 transcript:CAK82567 MTRNLNLFIRQKLNEGININHIQIYVDAFSGEEIVSDSFNMEEKFEGVIGEVQSQDIVKG ALNVDVGAGGHFGGKNEDEEDGGVDDQAQKVNNIIDAFKYAETQFTKADYVTYFKAYAKK VKAYLEANKPNRVASFQKGAGEFIKWVSANFNDLQFYCPESYDMENHIVLGYYKEGQASP AFVYILDGLKEVKM >CAK82568 pep:novel supercontig:GCA_000165425.1:CT868430:384127:385596:-1 gene:GSPATT00016955001 transcript:CAK82568 MSHKIKVDVNEDEIQVGGEMILCLADQQLLDGDQLNEVDDVLENDQIKVQDQIKKNEKLK EQIKKAHYSQKYDGEEKKEILEKYDEIKIEKEGFYIATDGKIIEEPKQNDLQAIKSKLNK NQQISLNFIDNVASDYKPSIPTTLIKKKFQKPQQANPFAEERISKVDKALLEEDDYELLQ RSILNQQRQKMNQQILKQEDNIKELMDQNKQKEEELQKQKQNEFMIPQVKIQGSEVQEIK VIEQSTDFLSNVKTDKEMEEINLRINTGYSIRDTKNGTTSVVNVRLPTERLVVQNRQQQS KMELESIREETQKNDIFVEKEEQQEEQQEKDNDGTKKDEGGIEFLEEEQHAHGLTATLEL LRKRGELNPSKYDYVGRNKDQRVFKDQEQKDGEINLVYRDHSGKLMTPKEAFRYQCWIFH GDGPSKNKIEKKKRSELVRQKQKMRAQSEGPLMQALKEEQKKKGVAHLVIGKKKQ >CAK82569 pep:novel supercontig:GCA_000165425.1:CT868430:385663:386901:-1 gene:GSPATT00016956001 transcript:CAK82569 MKAKELKNYPDIMKAAMCSLLNNTSLLNIVIVILFNKTNLFDSDALLNCLEILNSCLQYL CNHKLSMPTSLDQQFFLKGIRMILLQCEHAFSVSKCLWLIYNNYVLFPLDIRKDITDLLF ENVATKFFMHWSFNIRMIFHHILLYRIQHLHKSNKNLNEEYNFITLSYRDLIQKYQQLSE TKKATQFFRLKEFIQSHHCNCLSDVIYMKFFRFIQQIEEGKQLSTSQQLNQMIEETHFHK QMKTKLIKKRISDRKKGSSDQNSSSDAQSYRIIDEDEQDVAIPLFTGTSNELKPQVKLPE KRVAVTQNQLKYLIKACAEFEELKFKYQKWKQSVIPVNFNSLSEDEKINLNETFKVPEVK LAVPLDEKEGNIKNTDEW >CAK82570 pep:novel supercontig:GCA_000165425.1:CT868430:386993:388979:-1 gene:GSPATT00016957001 transcript:CAK82570 MGICAGKSQQRENLVTDIESIPILTQGTLDFNVKISGIKVKMDNLPAGKISMKIGEYDQF ETECSKELYGKLYFKQTHAFQMSTTEEQLKKQHLIVTLLTPNNENIASIQINLFLIATGP QHMDYEYTGLINKKSQQGKISFDFKIAQIIKVSIVPQLMEFNMNEPLIHSEYYYSLKMVT SVLCFQSEYSDAFLNPAYQKNQQSTNNSAQAKQQVIKQIVYKQSGVEMTVEVPLIEISSS SIQVCLWYNEQANNVTSGMNKTQHTLIAETYFNLNQIFTQALNSDQICENNLKVYKILYQ QTNRRLWNHGVLEGSLNCNLQVIIPTYLNQQIVGVRTDKGIQQGSSVVNSGKMPVKEIQQ LSQSGQQLLDIQYKIQNCSSNNLFLKSELKNHQTVQLNTIQTILKQSDKQSIICFEYKSQ QDLFNAQKLLIEIASKLLESADQQEETIREQYYVLIKLILNRGELSLNQVGFSEETEKTS EKLLKFKTEIGLNYQSFLYKCLGIVLQKLKQKFLAENERAFVEHFFASAYFKIPEFRTKI IQSVQRADDPQIPEWRSLTKQTDEITNKEFNDLFDWNRHFYEYLTKQPKYICQHQQTDGN YQLGRMAEENWQKRHCLLLFSQGVV >CAK82571 pep:novel supercontig:GCA_000165425.1:CT868430:389662:390414:-1 gene:GSPATT00016958001 transcript:CAK82571 MSILVRVEGASKDLRIMYPEPEEIQLNFCTLIDLEFTSFSNKEEVIVQFQLNDVVALKIQ TQEVLLQLDSTRKRFMLQCLVYPGEQMVWVSCMNNYVVTEKSLYHALMGKKPQLETITEE DDPASPNKRKPGKENKPNAQKQSHSKKISPGKTKSPKKKDQSTSTSKFRNIESTKKSTLR WSQSMIQTPKSPLKGDYVDALLAQLIQQNNRKSKSRKRRPSTSYPLRPKIDSEGMIDRQW LEEEMINEDL >CAK82572 pep:novel supercontig:GCA_000165425.1:CT868430:390809:391391:1 gene:GSPATT00016959001 transcript:CAK82572 MCKQDLPIKRVKERSIKEAKQKVDQWRSLYKNGEIDANGKKIKYSLNKAAEKVGVPKKSL EDYRYLLRKAQGLVDFNNVGDKRMGFLRLLLKQQEYIKKRMAPAHQKIFIDERSQMHEPQ HQNNFVDMIVEGDTVKQIRDNEYLDYSYVLPHVQSTIEEHEGIHEKQMNITNTDYECQNG QLVLN >CAK82573 pep:novel supercontig:GCA_000165425.1:CT868430:392254:393294:1 gene:GSPATT00016960001 transcript:CAK82573 MGNQCQCAESQQDTEQVITSAKNPQQISLNGELNDEQMQAMSNSKSKLTQFQINEQPLHT PIVTPGKQLPGSSRYFEAKKSAVVESCSEDNVNKQSFEKSQHQYEFENGAIYKGEWIGDI REGFGEQIWKDGAKYVGQWKNNKAEGKGKFYHVDGDIYEGEWKDDKAHGKGIYIHVNGAQ YEGDWFEDQQHGNGVEKWTDGSKYDGEYKNGQKEGIGKYLWPDGSSYEGQWLNNKINGFG KYNWADGRSFEGLWLANQMHGKGKYKWPDGRVYEGDYIYDKKHGYGTYIWNDGKKYVGNW FEGKQHGKGQLIFADGQIIEGEWHEGKRIK >CAK82574 pep:novel supercontig:GCA_000165425.1:CT868430:393315:393925:-1 gene:GSPATT00016961001 transcript:CAK82574 MLTDSSLFYSFSKQTAVKVQLVFLDIKIGTEKPKRVIIKLFYDEMPKTCENFRALCTGEK SNPYVKLNFKDVPFHKVYSNFMALGGDILNKDGTGQCSIYGPTFKAEPKRFKHDQRGLIS MFNDGNGNIGSQFFFTFTDCSWVDGLHSVFGKIVEDYSILDELEKISSTNGAPKKLVRIV DSGVIM >CAK82575 pep:novel supercontig:GCA_000165425.1:CT868430:394040:395271:-1 gene:GSPATT00016962001 transcript:CAK82575 MNYQQLVYWEKQGYDQLCGVHCINSLLQGPYFNEVDLATIAQELDRQEIELLGKTGHRYR SQNVAEDGNFSIQVLAEALKKLGDLYIESVDSKINQNQDLSQESGFICNSQAHWFSIRKI ENVWYNLNSTNKRGPEIISDFYLSAFLLSVKENGYQIFVVKGVYPPPQLDNIDQNDRQKV LTTQFIKQVHDRRVKKKNYKLNIGGSDEVEMEKALKQSKGEKYESTDEEFEDVQQPQKKQ STPKFQGQGVQFQNQKSAVNYPNFNIDPLDAEYRSIILMTLEQKFSMQLEEGLVILFQLP GGQNKQYTFSYDATVEDLYDFIFFESRQQPMRFILICPIQKLQLQDVSQQLLDIGIDTMT QIIVKKE >CAK82576 pep:novel supercontig:GCA_000165425.1:CT868430:395388:395903:-1 gene:GSPATT00016963001 transcript:CAK82576 MVTSHLIRIVTNKRYQPVEGANGYVIFNQDCIPLKRSEKNISYEKAVHMSALVADLWNVT KKCIQRDLRNQDVFTLLISKERFGSHQNQNQSLIRIYNFTMYQELYQLEGDYTMIGIQLC GKAIEEAKSAAAAEAQALAEAEKAKKGDKEQS >CAK82577 pep:novel supercontig:GCA_000165425.1:CT868430:395942:396994:-1 gene:GSPATT00016964001 transcript:CAK82577 MQQRRPKLKSLDNKENQHTSETPIQNRKLFRKTTPKLLQPLQMDQHISSREPLTTKVEGL HKIRLRTYVNTIENLVNDNLLKLIPPTCDGSIYSIGQSIGKGSYATVRLGTNKQGLKVAI KVYEKLFLKGERLNNLVKEISALKALNHEQIVKLLDVYHCGSTINLVLEYCGNESLFNLV KQHRALSKDYAFNIIHQLLKILVYIHEKNICHRDIKLENILITNKRIKLIDFGFSTICNS ITCHCGTPSYMSPEVVTKQKYDGRTTDIWALGVLFVGLLQGSFPFKGSTEKELFFKIRNN EYTINSQDKDVREFLRQVFVLDPHHRSTAKELLQCDVFRTM >CAK82578 pep:novel supercontig:GCA_000165425.1:CT868430:397177:398070:1 gene:GSPATT00016965001 transcript:CAK82578 MSKEQNKFKHILLVPNIVSDQAFLQRHKTFQTYVKKAHSDLYYLQLKKIGGLRKSIAEKA DYGQVLQQIKSNRLQNEYLNQQKKNQGFMYNNQLWSDKYYNQIYQMTRLSQLSEVLSKQK TKGRDQLIKDFEVYFNKHVHPINDEFTEIQKVLPLSNCTTKCSSRINSQKFLLPTERRLN SKQETQEDDIIRVKSLQTSFHKSVNDKIVNLLDEASNLHIQNLETIKQASSRYLNRKTRV FQTEQSSSRKIIYSQIQRRNERMNIQTSMRNRQIHFKNKFVQERFQKEIEKLSAQNL >CAK82579 pep:novel supercontig:GCA_000165425.1:CT868430:398206:398720:-1 gene:GSPATT00016966001 transcript:CAK82579 MQAKRDIAIEMEMMIDSTEHLTNLRGDSHKDQITDLDVENQSMNRKASQIDLEFQKLLCS LMESKLSENTISQVSASIQSQVLDLLKRLTGSLIMEIPKGPQIIQSLYLIILKFSLLSIV ECNSEDQKVNKESEQVVVEEVELVSRIEGYPNKKGISISFIQI >CAK82580 pep:novel supercontig:GCA_000165425.1:CT868430:399713:400141:-1 gene:GSPATT00016967001 transcript:CAK82580 MQSLHILWIDSQSFIKILNAFQVSPIVNILKRQNRHSSNFSSPILFVINFAFSPSLSPFF HYYFITFYFIPYFIICISSNISQTILQLIQVIQLNKHDIKQEMLLGMDSEIQLGKLKRWN VIQNIILKKSLEKIGDEIGGQI >CAK82581 pep:novel supercontig:GCA_000165425.1:CT868430:400789:401337:1 gene:GSPATT00016968001 transcript:CAK82581 MNDESPNRSKSIEINLTKMLDRMKNNSFHMQKVCVGDLINKSQTHVIAKPIRQQMTPTPE EKENKELTFKPQINVLLYSKKKYPNYLNQTSFLERNEQWQKNKLQKQQETSKTYLEEKEK IINKECSFKPKITPHQSARLPRQQKQQPQPSTNSIKQQPVIPNITMQALQYELRKQLHGL QL >CAK82582 pep:novel supercontig:GCA_000165425.1:CT868430:402354:402827:-1 gene:GSPATT00016969001 transcript:CAK82582 MKTRNSDRQKQREDLSVTCQEVFTTKFSQISLPIYILFIHYKIECIYERVPISQCSINTN QKKRTNPQETQTGIDHSNMKTMINLKKHKSQRQFICYDDKDLNIPQEYQSILQKHVNISL QQMKKSDDDRESDEEQIKYAINYLYNDLLQCLEKEKK >CAK82583 pep:novel supercontig:GCA_000165425.1:CT868430:403966:404802:1 gene:GSPATT00016970001 transcript:CAK82583 MRTSVVFIILLLALATTKRVETHKKAETKYIQKDVKQNEELSYGTSLLTPEEQKKADDAK KAEEAKKAEEAKKAEEAKKAEEAKKAEEAKKAEEAKKAEEAKKTEEAKKAEEAKKAEEAK KAEEAKKAEDAKKAEEAKKAEDAKKAEEAKKKEEELKKKQEGKTQGTNNGNNGEEDEKER NKRAEYQECIKDVCAQYFKDCNEECIDRLKGCKQKAINEGLDAYNQCVKNNEKANSLIKC IKSNCQE >CAK82584 pep:novel supercontig:GCA_000165425.1:CT868430:404928:406471:-1 gene:GSPATT00016971001 transcript:CAK82584 MPPKPKPKKAPQEPEDEFTKMTAQELTQNLQIFRDKLTELKQKRNYIQMDRDMVQNFFSN CLQEIQELNIKIVNKETEAEQLEETHRIQLKSYLQKVKHLEYEQEKANDEIEKDGKEAHN LENDHFSKRSDEQKRQKTHLKKLQEEYENSYIHAIEKEEKNNKKTLDKSKQVFDETLQNM EEKYKMRLQKLKEELELRLKVEIHELEERKNLHINELINNHETAFAELKQYYNTITRENL ELIKNQKEEIASINAKLQKNSKIIADMKAANNNIRIPLKQATEERDILKNALKQFSKHKM SLQNLQSKNTTLTEKYAELKHNSNDLNFKYDKLLREKQELEEKFERIAMEVKKHTDLQNN VLGQQLQNMQDGLEEKEVQLKTIVERTNMDPQMYQQLTIKIKESIEAKNQLVKNLRYSIH HATKAYNDSIRVYEAKLVEFGIPPEELGFQPLATITSSMPAGLVSQ >CAK82585 pep:novel supercontig:GCA_000165425.1:CT868430:406801:407559:1 gene:GSPATT00016972001 transcript:CAK82585 MNPICSNGYDYNNFKTNNGYPQILTNHISPIKNILAPSRQEILIDILQSKFNGFIKDVEL AKQKIIKVIKDCSENNIFTIQDKPINFDDYMNFIEINTKSYINEIKNHHKLTINLITQES SEITHQSSSFDMQQMCDKCQVMVEKDLVQLDCFHCYHQKCVGDYIKIQINNNCKTLRCLN CQQKIKSSILRKFVPFQQIDKMFKEQLKSIKNSFLPNQIFSCKKPGCNYFCIRLHGTKGP VRCQICESLINN >CAK82586 pep:novel supercontig:GCA_000165425.1:CT868430:407584:408522:-1 gene:GSPATT00016973001 transcript:CAK82586 MATLFQCDGANCIEAFLEVAVSTTSSIMAINGFRIIFRDRNKLLSKLNKIIYGISMSQLI LLSAYFIFWGSDFVISTIRCLRILNEILLCSLLAEIGFEKDFLDKLEIVLKILSGFVFIE WFWTAIISNEEYDYYCLKMDLVYLSGTTVILTLMASGFGFYALDQLQISKQELKPEDQNK MDEQALEIKIFLGCDLLSGLIQFGWDYWANMSAYTIADCKSYYEASSITSIFVIFIMKVL TLMISPIAIYYILYYKQRQQFNYRAANVLDINVLIDRRSELAVELTNA >CAK82587 pep:novel supercontig:GCA_000165425.1:CT868430:409355:412030:1 gene:GSPATT00016974001 transcript:CAK82587 MDPRSQNNSDNQIHGDDNDDQRSGDDGDKLHNFKHNINEGGEVIHENVKKIDMKKTLNDT TFILTCLKNHFVFYNLSEAELENIVNKMFYCEAAAQTYIFKQQDHATCFFILQRGSLEVI VNEKAKRELKTGDGFGELALLYNAPRSASVKCFENCNLWGIDRNTFRRAVEEMITKEYEE NRKFMEVVRFFHNLTNEQKDAIAAVLIVQKFYKNQIIVNEGDPGSSFYIIKEGTVSVLKG NKEVRKLYKGDSFGEQALYYNTVRQMTVRAEDDVKCLALGRDSLTKILGDQVHVVTFRNL QKWAFEKNALLSKLTKAQIDKVLDVMKISSCKAGDVILKKGTQANQKIIVIIEGSLKKSK SGITVATKAQAWGEEYFLQTNKAKILDDDIVMETDGVIAEITADNFIDCISGELEEVIKK NEKILEKKLQKSDQTKKKEAQNIKKSELIHIKTIAYGQFGPVYLVKAKYNQQLYVLKAFN KNQINEQTLEKYLQQEKQVLEIVNFPFIISFMKTFKDSMDVYFLLEYVRGMELFDVIRDI GLLSTYDSQFYVASMILITEYLHHQNIIYRDIKPENFMVDEKGFLKLIDLGTAKIIKGKQ GIIRTYTIIGTPHYMAPEIICGKGYNCLVDLWSIGICLYEFMCGMVPFGEEAEDPYEIYE EIIKKDITYPNYLKDKKAKKLMDQLLSRVPEVRLGGSYASLKGNPWFENFDWEKLLEKEI KTPYLPPADKLLPDIEIKQLEQNGRMIEDEIKQEQSVRQIDGNNQGDQGWEKDF >CAK82588 pep:novel supercontig:GCA_000165425.1:CT868430:412073:412449:-1 gene:GSPATT00016975001 transcript:CAK82588 MDQQKQPLDPKDSILNISLKKSSGQFIFLSKIFLNKFEKVELHGLGEATKTVAAVAESLS RKNYATIKKIETQTYTPDQGGKKIKLIAILEVTEEGKQMIEKDLQRKLPENKQQSDQ >CAK82589 pep:novel supercontig:GCA_000165425.1:CT868430:413021:414436:1 gene:GSPATT00016976001 transcript:CAK82589 MNRQNQKAIRASLKKALFNDRRRNACPIQSRQNSSPSIPNNQPRAINQQFRHFSDVYEYG DEQIGEGAHGIVKKCYRKDPNTNYGASDRIAYAVKVFRTGDTEVINTIRETFNLNRGLND LNCVVKALDLFINSKKEEHHLVMEYCPFPSLEQRIGSLCEEDIQQITLNLAQSLLSLHQR GVCHRDLKPDNILIGDQLSIKLIDFGVSKRFLVKGKVTKKIDMWTRTGSLFYQAPEIFMG GGYDEKIDIWSAGIILYQLLFGQLPFHQETILDTIEMIIDSKLNSTALSQLNPLIQDLLR RLLEKDPNKRLSAEGFVLHPWLHTTQQKKSNKSFDDCDIVQTRNNENILQTSQITIQRQN YRYQNQLTVPIQEEREQSSLKNSWNYWENHVHYVPQDVIKMINLDDGYINQAGTSQELVN DKKISDDLTDFQIGLVRTNSEQFDQL >CAK82590 pep:novel supercontig:GCA_000165425.1:CT868430:415236:415954:-1 gene:GSPATT00016977001 transcript:CAK82590 MQQHCNLILNDRGALWLGDYESTLNLEFLKSKGIRTIITVAAGLNEKYEGIVHHKIEILD IELTNISQYFQTAIDWIERGFNIGGVLVHCMAGVSRSAAIVIAYLIEKKKMTYYQAFTFV KSKRPQINPNKGFANQLMQFAARHSQPPIPKTSRPNHFEQTQDQFENNFYAPVHRKGSAG VIQQNTNFYKSITKSMSQSKNKNK >CAK82591 pep:novel supercontig:GCA_000165425.1:CT868430:415966:417257:-1 gene:GSPATT00016978001 transcript:CAK82591 MQQEEEAELMLEQGGIPISQLCNSSVNIDLLQCPICFNILWKPIACGQDRCFSSFCQYCI ESWLNQKNAQSTFEEEEETFANESNCPKCKRVFIKTEIPLVKVLLSQIELKCIHSDCTQV IPYTDYENHIVNCKERKKQCRGCQQYILQNKLEEHEAQCSQIPVECQKCHKRMPKCDLES THDKYNCIENLFKVQDQQLENLYTQYLICDDMVNYYEEKIARMMKGDLIALTEYNFFTIF TANYQFTTDNLYIARLVRNSYNYNKQKNDFLHFYVDYYFTTGLEGYEWKCRVIRLRGNLL IGVCSSQLSNSVDFIVNRLGEVKKKEENDNRYHIIRKVNFTFGEGDVIRFQILPFMQCLR ITNETRHLTFCFNRNELQEMGDQYFSFFSLEYQGDALQFL >CAK82592 pep:novel supercontig:GCA_000165425.1:CT868430:417415:418662:-1 gene:GSPATT00016979001 transcript:CAK82592 MKGFMNPIFHHVDDEIEVEERRTFQIPLQNFSSNIELPKEYERIFSSITDSSQQLNDSIL QPFDNSKYEQTLLIQNNNSLSTNHPVSQKNQRPIVKFDKNSLQQILQILASYHQCKQKPQ KEQIINQINNSKKIKISPETKHFQFNKMKNIIKNDSTPKNQPPSKQNENDIKSHKHRSST NYTPRAETSAITVCHYSSKNLDANLNQKQDSTNIIQLLQKRTKSQYYPKQLIKTQIDDIN GKIIQLKNIILKSIKYDPMLEPIQVSIDKIIKQNEDSNLLQKEVVHLKDITNSKSFKESK YNIQFNVQKLISVVKEKQKKIELIMIFNKDGSSNDSLQSPKANCILSRQTTSDNITEEIQ DDKKRFFQLVVNRKLELPFNHPNKDVMLNELYDKAIKMGIKKSDWQQFIVNELKF >CAK82593 pep:novel supercontig:GCA_000165425.1:CT868430:418856:420154:-1 gene:GSPATT00016980001 transcript:CAK82593 MDNKYIEEFEHLDQDQKSQMLKSMKQFISQLQLQIQELEKSAIDIIMEKDEKIQQLEAMI QANHGSRQYNKDDEIMQLKNHINELNQRIDAIQMKHFEQLQEQERKWNTYLLDQMQQSSN DGQQEKIEMLETLHYLEEKVYSLDRELEMKENQQMFDRNTINQLTNINQQLLDESQELNQ QLIKMQRQMELMKEHFQKEKNSIDIKLNKQNIQISQTSKQASALKLQNNKLTQNNKELLC QINELKDQLETHNESKDKVHSENYQLQQTAHSNDQNQREDINITAFELLEDSYEDKDLTT HLRKALDEKSELCIQYEECLCKSTKQLKEQRAQLQLLQNQLKIIRKQQFNQRNLKEYVQM LESDYLVSKQLLCEKADKYQEQVILLSQENYDLKQKMRCFQTRLHKKLN >CAK82594 pep:novel supercontig:GCA_000165425.1:CT868430:421028:421568:1 gene:GSPATT00016981001 transcript:CAK82594 MGCCINTPYVYPDGGVYDGELKNGLPHGKGKIQWKNGDYFEGVFECGKKNGRGIFKWSDS SYYDGEFKNENFHGQGEYQWYGGRLYKGQWVEGKMEGQSVLIDDGKHYQGQFKNDKKEGI GEMKWSNGQQYIGEWKNGKQDGKGKLIDANGHLTEGLWIKGKKQ >CAK82595 pep:novel supercontig:GCA_000165425.1:CT868430:421941:422446:-1 gene:GSPATT00016982001 transcript:CAK82595 MERIDSFEGKLFYKIYMLCKKNQINEEQKGKLKDLVIQKDERIEIAMNQFLEDRDEFRLL ENFHNYSGEDYSPKSFKNFSDDVSIGSHSKFKGKRPAKMLLPKKKFMINLRKDTDITTSS KGFLLSSARSSDNRIALIFNERFSQQNWSECE >CAK82596 pep:novel supercontig:GCA_000165425.1:CT868430:422939:423795:1 gene:GSPATT00016983001 transcript:CAK82596 MQITPFIDAYKLDDLVSAQKHKIIAPVFQNYKVETQDYLISPNGQFIAIMYNMEPMLKQD KQFSIVQLFNIITGEQSHSQQVDQECPVISMQFSFDSSIFVVLDFFNLILFNVEEKNKIA IIKLKSDGIVSIDSENNVILGSSNNQIIVYSTKKAFQETLQFDRLVDHLQKLGANKVIYI CGCNQYLFNLQNNKVLRRWMNHEFEIKDQIIYKKLLMIQSWYDKFRSVKNYQEIHQKFQQ KRDIQNNGGQIISFNLEILYLQNKIANIECFKECIQN >CAK82597 pep:novel supercontig:GCA_000165425.1:CT868430:423999:424586:-1 gene:GSPATT00016984001 transcript:CAK82597 MSKLQRCATAGTLEAKMTHYAPDGTGRDSYVTLQNGGIWKEIQIQCVHPELGTFSKQKQW KPPAPMMVPKFRHYQSDGSGRDNYVIADEGGLAPSSQKFQRRTNFFTSLRDYEPCPPIKQ QDCFRLAQTPSAQSFKQFLKTQQTATQQTDLTRRLNVPKKSEDPYKRIRKLSAPFYKK >CAK82598 pep:novel supercontig:GCA_000165425.1:CT868430:424835:428619:1 gene:GSPATT00016985001 transcript:CAK82598 MRYAQNSSSEFVLGIAFLVLGMIYTTIFFFGVIRYCKMGKRTKYLGKQFYLSIVLSCLAY MLNMALYLYDVFKSQPTDEYIVSIEKQLLNTIYVPDGLFWIVYQSLFWTVVCLHYDSHLH IGQQDELPYNPNMLINATKDICLVYGIAQLIVVLLYNFDQIKSGVLFYINICINLSIPVQ LIITSLYLQYKYSGSPFKSSKQENNSNRLQKLIFYWTILRVFQIISNILLMENQESIEKF FSSQLSQNQLLLYISILIIDLLLTNIFPFILALRQQSFSIFLGHKNTTMSTVSDQPIHQQ LINDNKSSVMERKQIQFDYNEIMRQNMNQQAKRQKPNGFGYIIVCQIQQKSYGMRVIEFK QLQPYLIEQIKQEIEQVNQLNHQQLYHIRGFKIVESKVVYLTKFYQYSLHSYLAQYQISI EEKIKILIQLLNGLNYLHKQGLCHGSLTSENVMVKPNGHVKIIDFGLFGIKKYQSLLQFY TNKSAFTAPELIQQRGLVVSGSQQGDIYSVGMIMYEMFEKKVPFEGLQLQNIQDCLNKAV RPKIESQSLMDDIIRWCWQQDIEKRPLVDYGTIVVGSNIYETEFLDEIFIYYGNKTNNTI GFKDGLIISPKKMELPEYKMNRSERGEIIMAIIKEAMNLMEYSKLSDMGIINMFELQYIN QLKSYPSLRFQLMDREQPSVLMDVRKSYFTMHNCYQIIKTLNTDPSTYFKNKFVLLRYPE KKMIVQIKSVVLDQEFTYKGLSLEDYFKQKYQLRSKSSVYLETYNTQNIKKQFLIAEFCE LKIDYIEQDVTVYCQQLEYWNKIINNSSSFIQFLNQYKFIIRNEQVEFKQQYLEPGNLAL NNQTKSIFQIVASRTSSEFEYYYTDFFLKNPTSFTTSLTINKLMILVTREIIELRDNFKS FLEKFDLFIKSRQYKISQPQIYDINNNLNEILEKYVTEDAYVLFVGDQNTDFIQARNTLL SKAIPNQIIILPIQDQEINRLLAMMTANLGSVPWAIREINGLITNKKSAVLGIWKSENSY SACLSINKYLNKYISSFGDLDQVLTLLLATYYATHKILANQIIVFAQDDYTQIMEQRILG VIQLIRDQGLAQQILKPEVVMVQVKDATAERYFTYFERNFESYYCKNPQVGCIIPINEKQ GRFSFHSQRGEQGCLQAQQLHISSTNTKEIAQLYYHLIFLNFDLSSTTYLPAPLHYAKKL SKHQIQSEQYKKAIEKGFLLFV >CAK82599 pep:novel supercontig:GCA_000165425.1:CT868430:428683:429046:-1 gene:GSPATT00016986001 transcript:CAK82599 MAMDNSKFEQLSKHFPKSLKFSLNPVYYGARVGIQAYLGLLVGGTLVIYLNTFNGITFPY LYKRQKVEYQAVPDFYSKQVLLYQRTVPNTVTQL >CAK82600 pep:novel supercontig:GCA_000165425.1:CT868430:429089:434175:-1 gene:GSPATT00016987001 transcript:CAK82600 MIQRIFEFLLQISIMDNDQKLTKHTAVEQFILWIYFFQLNGFLVMDQKRNSNIVQENQYM FTILSNANIITEAVNYFSLDFLLWAILLFLIVILVAVIICSILKLQVKYEQSIPSNLEQL KFIFFILIGSFCVHYKLFLVNLQASGQGQTTIIVSQIPRIILQHYFQVSQAQSQYNLIQL LQPFFLKKEKSTKLIYSNIILQNLSCQVPSQFAILLYQSYIKRKNHKQQCICFIVNLPLV QHPHQFYFIKFRYQAHFSIYYQLFGEFRLKTINLFSLLFLFLVKQHFDILQLLWYVVDSM LKRKIQNIIQEFCIGSNNCYLVQSIEYFICEQSQSIELLIQLLIHKNNCLDVLCPCKECQ VLDIDRITLLKGTRKQKTQKKFILKKWILHLFKNQLRGKQIKYYNQQEYDLIILNYLSFQ RFYLENHVLTCKTIYQCIEIKKQFQKKEKKFDVTSTILYLIWQKCRVNLMSQSLKQIKIS QQEYFIITDINILYTLGDSIIQSLQQVLVCQKKLWEDYQKNQLINFDHLLNAVEKVQKLK KVSRIQFEEYQKYRNQTKETIYSLKIKLLYYLIIYQDLKLTLKIQQQLNELESAYQYDIN INNFNDLSFLTGSALSIISNISPEHQGRLEQKITTEFKQFFGFSEEDGQPFTHIKDLMPS KLGNVHSGLVENFFFKGQSDRINNSSLAFILNKKNLIEQVKLCLSYFFPVNLRELSFYMI AHIQKTSKVQQNQQDQNLKGHLLLDKEFKIFGMTQNLYQKLNYKYYYKRNQDVELITPEE IYNNCSIFSIIPQLQSNLQNYYEKMQGGILRENEVIFVKEKGLMKINIQPNKQQKSEQKP DLKRMSLSKLTNKKLLLHVIEQAMMVQNSQADQNTKYYPIEFTLTQRILHPYSSEQNLES FLYYLIEIEFLEDFNVQIEQQIKSKQFLKQQQVTSKNIDFQRGSTILQYQFDSVNLITSD KMISHNGEEQQMIQEPPLNNNRRKSQMKFIEYQHHLQEIQSQNSSIINIPNRIHKFFEFL QDNRYPSVFIATYGIVSIHLLMTIVIIALICAVYFLKKVIQSNCIINSTEDINYFNGYSS VLSGSRHMIFNSNFQTLFDPIKLKVDNGIITITSTDRINISWDLLVQGSQGVIGKFQIYS NILQTYEDVPVNLSYPDFSNLQVKSQTLNNFATHYTTLYQLLYMTFQNDVSLNMYLQNNN ESNIGLRNRYFLYFNYQNIISVVNQSLYDCNDYNDKINGQYDQLVSIIFVLIYCIALLFG MFHGLAIFRLKKVIQIYLNQFITLEFDECEFIIDSYDRLQNSINQDNLLVKQNHFDQIKL IPTNILTLIQYQETNQMAVLIDNNKNQNNKNKSAIEAKKMFRNSPTLSIRKYIIVYLILV ILKISFSAVFQIYYDYVASGIAPATTREIEAQLMRLNFIITINLWDTYLLKQFYYENNYF NYSNQNIQNNQQLFSLLEIDKAFLLNQIKLLQAYDLTDLLYRNAKEQNQNLLISEQNKQI LIGKDVCLLIGCNMSSELLKNRVFSDVLLDYYQIGLMQLLKNTLQVIIEYEYLISDESLT PEQRTNGIFQMHNSNNYLLYIFYGLDATQYQISWFCQYFLNLTISTLNKLTESNIIYILI FGIPMLLFTLYIEIYVILSFSQKFDLGRESIRQIPLETLFQKGIPKKLCYLMRKYK >CAK82601 pep:novel supercontig:GCA_000165425.1:CT868430:434248:439405:-1 gene:GSPATT00016988001 transcript:CAK82601 MINSQFPQNLAIVINNLVNDIIVQKTNKARIQITDEIVDLTYLQILDILMKHFSKLISFF LTIGCNTERRKAQIDYGNLGMIVFYYIQLLGYLYSQFESKSIVFYENDYLAQIGQYSSIP FMMIVIHYDPFTKAIYYCVFGTMCSIYAVIIFQIAYKPFPPNNFVNRFIRFYFKNFQWYF LTPFHECMIGVLTCGRLAYLAQHGNLDPEHCFSLISPHFLVISIIGQVLVILSGFLSLYC FRNYEFVQGDLMRKFSYFNLMTIILHMSLQMASFWKEMYTNYNIVIHAIFNLIIIVIAFD TYFNIPFGFQQETIFFSKCLWCAWLFEILVAVWIFSDLSDGHIFITFCICVPLIFGINQT LYDNYIDRECKIYLKSKFCKNSEHPLEFICELCHLESIHKRDYYLFLKYLAIHCKNCNDV SCPCKQKLNKFIFGQNQLNTPQIYVWVQHQFQKMIKSILQNPNQFQYFEQLTIKFVTFLQ KYRENSVLSYKIIQDVVYTFKKMQSGSMPQFFINLTNQIQLLNKIEIENHNRAGIQIGSL EFRTLQDFNLFYNFEDEIVKRMVDLLLCQKQLWSQKMNHLISFDKIIQFSQQIRNKTEIL KNEFLKFQNQREIPAIDSILIQKIKLAVSIVCMEDINSCLRLAQQIECQVKEQTISKGQQ FNNLYFLNGQALSIVSNVQSQTLGYITQKINDQFCEFFGYQNLNVPLTKIEQLLPIKLGR IHNGLIESYLQLGKTNRLYANSEQFILNSDNLIEKVNICLTALFPYSNDQYQFWIIGHLL KMVKYEKREIDFNKRGYILVDSDFLIFGITRNIYERINHKYFYKNNTNVDLVIPEEIYEQ VAIQELIPSMSQILEEYYRVLTMQNKKRIIKQDMICQREIGVLSVPSQKHNQYRTSQSLS TKKYTNKQMMNTLKDINTSILNINSQISKLYPIEFSVVQKVLSYLVKDQTCQFLYFVIEI DFLEDPKVTQSPTQQIVRTPALSDILRRQQAVIEQTRPNQSNLILSNDGEDLNEQIELVA ELTNTATKHSSNEINEQIEKIVLFINDTLLPKAIKNLIAHFLLQIVVFLIIIILISNIFQ HKKYLQSDCISQITADLNFLDAYSQTMSGSRHVIYYRDFYPLQKDTIIPFNNDEINITRY DKIYMAWQHIAKGSVRLNDMYSSYKEIAAKSQIKLITIYFINFDLKSKISQTVQDYSTYY QIMHSSFFLSYKTFSSSPQTYLSGRNDSYPTQIARSQIYYNFFDVSESANITLEDCHVYN TEMNDYFDQIVNYQFVGFFIILILQLTALIINYLKVVHTIKIYLKLFQMCDKEDCVQIIH QCDQLISMVSYNRIFLREKDYSVILSLPKCFLDDIRKSQNKATVVVVQKKRVNQREIQES SKYSNFCSIFSIVIVSIIIISYVMAFLLYYLQITKSIGPISNRAILAQENRLNFIVAANR FDLFLIKQYFETYAQLNNSDPGHQLKTRNIQTNTQILNLLEIDEELIQEDISRLKMIDFT SLILTADQSNSGLNASQQDQLLGQDVCLLTGCDMQTQLLYDRLYQQTFIPLFQTGIVHLQ SKVLSFVEGASQLIFRQQSYLEKAIALESIFDDSEYLIYMLWGIDIIQFQISQFSQYFLD ISSQTIDKLTKDNQTLIISIGILMFIFVLAFQITFGYYQFNRYLLSKAIIKSIPLPILFQ RNIPKHLESFRRKYEK >CAK82602 pep:novel supercontig:GCA_000165425.1:CT868430:440916:443073:1 gene:GSPATT00016989001 transcript:CAK82602 MKLHKKNILIRSSMHSPSNFDSKPSTQIPRQRSVNQFEEADPISKDRKIIKMRNVVDLGD ATESVHKSSSKQRRRQSKDEQDQLLEQLDQWHEQVISKKKAFAFEQVKQNDNKFMENEFS SEGGPQINQTIINSILKPQDKQQLKNLSLEDEQNSNVQVKFQQLRSHKDSNPVLKTLDQV RIEMNKHILNSLLLQKVTKKLDDLQISRKGKSNWNHQKMDEETTQVVRDQSQALKNSTLN NFKGLTEYFSNPSQYIDYDIVLSKAKYYSNRPPNQFSVEIVQTGQQTSQTAKQLMESFSQ FQIQIKENKQVLYQMRLDNNRLVQKATAKEDEISEIRRKYYGKEEKARLGYVSEQEGKKR NMMEILEKIRQQRDVEIRNIQKFISQIKDEMHRNTEKQEIIQKDLDELRQKKRRCKMLLK DIFLKQLQEANESLMPEGLVQIIKQMKKINENAKVEQFPRYLDDQSRQYLLQAAQLEIQI EDTRSQSQKQNHNITNLKSTQSQQCLFQTQPVSVSQLKSQVKTMLKRSGVSIKKPVFVQG IDPMNPSSFAHVIKWENQELESIKLQDSEETHFKLQNMPSEGNLNFKDFNQKLVQFQQQL EQLQKEEQKRVLKLYQNKRHLSDVQELKLVLYSLFGQVIGDQVWYEFVIEWTEQKSLNPQ SILMKDSELKANDKGQSQKQINEKIKQRIANTYRKLQQSNEIEYNFNMLD >CAK82603 pep:novel supercontig:GCA_000165425.1:CT868430:443089:444021:-1 gene:GSPATT00016990001 transcript:CAK82603 MQIIKSKTVQQLVHRSQYNKIVWQSSNPYNKRWQYKFKNAYYTYPRDINQEHTYVKTPKD NWESVPLGWAWIQDVLHRHVPNFSCLIERRHRLFDKFNVYFLPAFSLFFYQFYPLAFGFK VLTVLPLAMLYVRARDKCGDPDFKETYLRDMLYKNNEINALFKEETIHVLDYDCEYDRGY PCPEKFPEFKNKFWQFFNTDTSMTTGHFKMADVETGAVMNLKFKTMPVPGKYRYQIGEPF YFYDLRAEITHNGQHKEVVLVDEKVALQKIRPFLLII >CAK82604 pep:novel supercontig:GCA_000165425.1:CT868430:444316:445029:-1 gene:GSPATT00016991001 transcript:CAK82604 MHTKHVSQMKEIENYELLIEENIKKAEELMNQSQELFKAIQKPYENYFLQIKNQIISLIN ELQQKLVQINKDQIQKMMNNEIEVVQNINSKFEAYKLMNQLIPNEIIEEYKNIINGISEK IKININFDVINKQVESFVMSIFCPKKQKENKEQNDDTELLQQFISSNRDTNKESILKPNQ SMTCCLHQSPLQTKKQVQTKLVMGSASPLNVFNRQINGRQVTKIIQTQPIIYYRTNS >CAK82605 pep:novel supercontig:GCA_000165425.1:CT868430:445197:445588:-1 gene:GSPATT00016992001 transcript:CAK82605 MIKPKKSSRELWNQLGLRNHIKQAFEEKESTWGQIVDSNKKNLSQRSISEYTITQKLKEQ HNSFIKNKEKPNTKTFLEDQIEKGIQDKDEWLQLASINERSHPFHLQPKGTLMIKPFLPQ ID >CAK82606 pep:novel supercontig:GCA_000165425.1:CT868430:445607:446704:1 gene:GSPATT00016993001 transcript:CAK82606 MLIDTTHQDIIHDIAFNFDGNRFATASSDQTMRVYNKVNGKWEKSAECKCHDGPIWKIRW ADPKFGQLIATCSQDKGVCVWEEKKFLQENPSGQKQIIIQWKQRILILESKEAVADIQFG SKSNGLLLAIAYVDGKLQIHRVYEQNQFIKEGEDIQIMTYGLRAISWNHAPLEREMLVAA GNAEQQKYLSKIKMDHFNENQKFGMKKIYEFDEEKTINDVQWANQNGKSFHLIASASAEG VKIWQIKIIKESQVEKMKVFNINRETKPNYHAYRVSWNILANLLAVSCESKKMDENQAFV TSREVRIYQNQNGSWVAKGIIQEANFIRDSISLQHFTTNGLIS >CAK82607 pep:novel supercontig:GCA_000165425.1:CT868430:446755:447713:-1 gene:GSPATT00016994001 transcript:CAK82607 MSTILPYLINKTNNILLLRGDVGKAKPSVSDLPDSSYQYGKKINRDGIGMKEITSDWQYG NTSHHKKSKTVNLPEDTFVYGLRNKPSTPFGNVMKMNYGNEAADFIENIYRMRSQRIPKK QIIQKNRSYSLRLEHNTKKKEEENKIENILIMISILRNQQLNFVSRFFKTTLLQQPAMRR RTITPIFPPPGYNLAIPDWPVEQFMLRIGKGCSDYGDKFEKLTELFEADRIQMKEKGIPP KVRKYIFSIKEQLRRGKGEHQSRIPKKKATKK >CAK82608 pep:novel supercontig:GCA_000165425.1:CT868430:448200:448718:-1 gene:GSPATT00016995001 transcript:CAK82608 MNRQRKLTNFEEFRQDHFEVIAEDRAKLFNFFFEYNRQFGFINQDDYLQCTAAYLNYRAR TSLALFGSPLVVFIANKTLFRNFQRIPVFRPAVFSFKYLGIPLLSFYFTGKYLCEDTEKL FYEMAEKYQFGFYQYNQAMDLLERAHKANRLDEFMEQGTQFDWTGIPELKKY >CAK82609 pep:novel supercontig:GCA_000165425.1:CT868430:450012:451812:1 gene:GSPATT00016996001 transcript:CAK82609 MSEYGAVPFALMIVIVIYIVIGEYFKSIHFHLIHETSFGIIIGMLVGLLLKWMDENAYAQ FFSLNATIFFYLLLPLIIFSGGYNLKKKQFLKNFKYIVSFGFLSTLINFIITLTLTIAFN SWSKNLRIINIELVRLGTNLDSHLSLSYDEMIKYSATICATDSVAALNLIPSQQYPKLFS VVFGEGMVNDAVSIIIFQAVTILQKSGKSFEWYTPFEFIGRFLENCIISLLIGLFVGLFS TWCFKKCRFLTNSTTTETVFAFLMAYMGYSICEMLNLSGVISLLMIGIMMSHYQGYNISQ LGRVTTRVTFESLSLGAEAFLYVFLGFAMWNQTTYYSPLTQDLYYVEVSWVFTLLQLGVV IVARYLSIYIVYWIFIAIKGPKVWKLTKYEMSICCFAGMVRGSVAFALIETLVASPTDGI QINQINILQSCVLYIVVVTTLIFGTAMPFFINIQINKQLEEEERKSISEIPTPVLNDTPK QKELSEAQKIIKYMDEHYFKRWFIYDYENRKERITQEKKQIKTETLQEIYEKAFSEASED ESVKQRNEDFISLPSIEEKPTSPLIVKMQELSQQI >CAK82610 pep:novel supercontig:GCA_000165425.1:CT868430:452217:453489:1 gene:GSPATT00016997001 transcript:CAK82610 MRIVFALLISLVLSKLASDPKVVLAEIDNNHMGKTFLNALQISLATGSPIHEIQSYINNI RFMLEQEQKDSDLYIQNTQASCNRLLHDFSTNLAYHQSQLKAHSKIVDDNTSNLQRSLNK IAEVSVEIEENSKKTNAGQSERDLQYAEFQSKIKDHTEAIAAIDEAYALIEHLSGGSSFI QVKGRFNKVLSRLSSQSTSSGLLFQPILTMMTQLSAKSDSDTAKKVLQLLSNLRVQIVES KSSDEDIEKQQSLNWQQFLSDLTNERNTLSDQRQNLEQAILNYQSIIEESQGKVEYHAAE VERNQSNLEGQDQWCRQQQDIYQMETQSRVQTQDLISRISDHIQDKIVTLKEYLRERLQL N >CAK82611 pep:novel supercontig:GCA_000165425.1:CT868430:454457:455106:1 gene:GSPATT00016998001 transcript:CAK82611 MSFEERKSLYKPFSIYKQQLLLEEEDFLEDEDDEQFMQFQNTSIKVIAVRDKSLKGILKQ NNKKVEILKQNEYLVMNIVQQKFSIAQETTVTEREKQQLEKKIEDHQYGMGLKFLKKYGF ECGSGLGLKKQGILEPVQAQKLNMYVKYWLCKSEKKKNRVDCSL >CAK82612 pep:novel supercontig:GCA_000165425.1:CT868430:455495:457047:-1 gene:GSPATT00016999001 transcript:CAK82612 MNNIDFLIKQQTIYTSKQKEFFKKKKLQVEIDDLPQCQVQVVTSPNQSTKYILQLQEADI KQITKSLQNQYMIEILELKECRLKTVPDEIFKMQKLRVLKLEGNFIKVAPVIDVEVLSLK NNLLLHYKAGENVKVLDLSQNKLQEFNFGHQLEELYIFANEFTILPQLPKSIKKLELDWF KYTEPPLTQIQTQPYINLLTNQSVNCIDFLKMFSKKQLQNKIIEASLAQDLGVLSSLAAI MDINILNENGDTALSLSLKTDNLFSVRHLIELGAQLELGSYAQGNILSFALENNLPTIIN LIYPKLNSSLFTQDVYGNSPLHYLNKCDYDDINAKIIMKAKQLNIQMNFNIYGQSPIHLA IQKSKNWLLSNMLKHYRIEADDLFMMAARYDNLGAVMLLINNNYPVEEFSKIAICRNLKI YRVLKSYENYNIQKQLKKQMDSKNNFNYEVKLIHKKDMQSSNFLRQKKVQEDNNIDENQS QSQKSQNYIKLLRHCQRNSRKII >CAK82613 pep:novel supercontig:GCA_000165425.1:CT868430:457516:457996:1 gene:GSPATT00017000001 transcript:CAK82613 MSNQARTWVDQVEDSVSGVANSIKENVKQPICNAINQIPFDDWKNNFYQPLRNKYDQWPE RFTQFKQNNILNLGNENQRLIFLGLSTLAIVSITKGFSSGLMPIVRNSVVTYFGLGLVVA PEIYNPLLVKKNQNK >CAK82614 pep:novel supercontig:GCA_000165425.1:CT868430:459763:460083:1 gene:GSPATT00017001001 transcript:CAK82614 MMRKYLKLQIIKQSCLLLASLFIFSYFLYDIYRVHKKKNKKCSNLSKIIISKGNDSLQCQ ECKKQLIDTFFSPCGHFNLCYDCSKPYQNCPNCGEYVEETIRTFKN >CAK82615 pep:novel supercontig:GCA_000165425.1:CT868430:460462:461171:-1 gene:GSPATT00017002001 transcript:CAK82615 MNIDYNDCESKENMKILSMMLSIQLCNPLIQSILLCQRMIFELLFWWIQLCILYKKILKT KSMQNRNCNQPSKIQLKMLQYSEGVQQEKQIAKITQQKNYQPIERGKQTVNSIKLQIIRE QFQEQYNYFDPQVLLQFYSQITQFRQR >CAK81363 pep:novel supercontig:GCA_000165425.1:CT868398:22:906:-1 gene:GSPATT00039447001 transcript:CAK81363 MLRRLTQGIKQIDRFGVIFRPSVIDLNPEYKSIFGGIATLFLYGSCLAYFCYQIIQWQNN TLLPKITSIQTSQAEKYFYMENFISSFYMRKNYRNDEIDPFDPQNIILQPILSKFSNQQL VESKSFQFNSKSSRYNNSEIILENLELNLNLENTNDNPQIDYILSFGTCIDLFLLEGQKC ANQSMVDIYMKQQGHAMLMNNYVKEYNPKSMQVENVKKQSLTMLNNDTTMYFQNQIRISK TTIDQGFLFPSEIIKEFPVDMVLISQSIDTQSFSTIFHRATYLVLAYSLNEIFLR >CAK73938 pep:novel supercontig:GCA_000165425.1:CT868172:5213:5506:1 gene:GSPATT00038956001 transcript:CAK73938 MRLEVGDIEEDDGRVERIRIQNLMNLKDEYIQWYNKAISFDFTHLTPIRLNMERQSNESV LYYYLFDIETWKRNEFCMAQRLVPINKVAPKLRELKM >CAK83123 pep:novel supercontig:GCA_000165425.1:CT868463:1:894:-1 gene:GSPATT00017467001 transcript:CAK83123 MNCTHHIQNQVSIICLAPHKCPCQRRLCVECQYEHEVEKQHTVPIKIFQEMMMKKFYKSY PQQTSELIIQRKNFKEMLSSTQNKLRQIWVGLEDSINQMYEMIEMEDKFYVNFITNNVNP TELSNTELEKLVSIILGKTQEDRNDQKKSYLMQLEMIKKYWKETQTFCDNFNTELKGIMQ FIKKKVNNPWENLKEIKSVYNRKEDLYQVLTQSKNIDGAYLNEVIEMLRNEEITNCLKFF SDKTQLKFIASMIQNISEIDFSKKNYSTENNDQIRKELIKKISYGQHIIEFLKFLVSL >CAK83124 pep:novel supercontig:GCA_000165425.1:CT868463:1261:1756:1 gene:GSPATT00017468001 transcript:CAK83124 MVVFFYMKDFFPLNFGNFQIHKSRGWYLHPEYSRGFSQAPYGWNMNLYSALEYVKTSKEI NQIVATKICLPSFKLPLQNSSLLPNVNPDPTILRICQNPLFEASGTLILQGQFINHQGID LKSLFKSKGRCILEDLKQM >CAK83125 pep:novel supercontig:GCA_000165425.1:CT868463:3061:3447:1 gene:GSPATT00017469001 transcript:CAK83125 MTRIKQKIVYVNILKDWLKIIKAYLMLSMTSDMIVYVSPYGKYRLVEIRVLDIQNLTQFY KVLRTRKGNERSYLHLQQDIVQRLGLARLSFGEKMILPLCARSFDRAEIFKHSCIASIDL ALQRGRLQ >CAK83126 pep:novel supercontig:GCA_000165425.1:CT868463:3923:4171:-1 gene:GSPATT00017470001 transcript:CAK83126 MLEGKLIFLMNLKSQYHLEIFFRGQVKIDELVTLTSTFLRYLIQKIKPKIVFATHYHILL DEFALCEKLNKVG >CAK83127 pep:novel supercontig:GCA_000165425.1:CT868463:5985:6332:1 gene:GSPATT00017471001 transcript:CAK83127 MANIKLLVRVRFNVFKQCQNQLISYDGIKQLLDFKIFKGNSKRSFVECQQHVTKQNSDSC TIDDQQDNQSNRQQYGRKHPNFQQINAQKYDTIRDVSGKTVIANISTSLLWRITS >CAK83128 pep:novel supercontig:GCA_000165425.1:CT868463:8280:9147:1 gene:GSPATT00017472001 transcript:CAK83128 MMIDFVDVALNWALPAILLDQILFFIIYKIAGDQICIVDVAYPTSHLVAGIIYCIFSDIP LPSKIIITILLVLWSMRLAGFVFIYRVLGGYKDERFENIFNEFNNERLKKNMMVMIQFLF QGIFIFVTSIPLYFLFQNNLTWKSENFQGLQIMNYIALSIIPFSICLEAIADIQLEQFKK LQQQDLIPKTEIMETGFWKKSRHPNLFFDLITWTCFGLSAIYDGISVCSLIGPIILFCAM EFVTVPITEAHMKKKRGETYDQYVLRTNKFLIF >CAK83129 pep:novel supercontig:GCA_000165425.1:CT868463:9706:11100:-1 gene:GSPATT00017473001 transcript:CAK83129 MSLAISVILLEIGCEQLLGKTIRNIFKGATEIALPFIFAAKANKKLKMQFNDLKCLFENQ KRLIDQNVTKSISEMNIAMDGVIKTFLTNIKRPNLNNQRAIAWLDNKIENHGNFQLAQRI RNCFPNIQYCHFTNDHQSLINFLNENYITFLILQGSLAKETLHMLLTYPNIHSILIYCQF PEKYEDLIQKFKQIVFITNQQDEVIATLEKNLAPSLVLREINLYQFPNYFQQNAALYYLS DEFSLSSIPQLSKDEALKIIQNTMQYVPNSVYILSEEAQAELALQIHEKFKNGHIEDIIN LYTGPSGFFKFINKLLQSLNEKAILEASVFIQSLKYSLEKYQDNIDQNIFVSNNFFLYRG VDCTIDSFKLKHKLYDLMMFPAFTSTSRDFAISKSYAKDSGIIFRISFNENNLDDSHFQM VRPKAIYTVSQFQNEQEYLFSCFSCFIITKFNEDNLIDIEFVKI >CAK83130 pep:novel supercontig:GCA_000165425.1:CT868463:11787:17015:1 gene:GSPATT00017474001 transcript:CAK83130 MCDKYFYNKIQLMLTDQSVITKRIQSVHFGYYTAKEIREMSVKEISNPKAFDKLNHPIRG GLYDPALGVQPHERGTRCVTCGQENMDCTGHVGHIELLLPVYNPYLVNQLMSLMRSKCYY CHKLRITKDRKKFYKNNFKLLRLGRLIEMKKYQKLYMTKLRLNKQTKNAENRKQSITATD TSRKGSDHRKGSDNKMQCDQETAPQEFLDIEDVKQQIQEILDILQVKKHQSEYIDGIHQT SHILQELKILTKQFFKDINKKCPHCNKVSPNVRKEGVMKKEARILKRTNNNKDRIRRKII TQIQWKFQSIYINYGVGIKIYQNIYMVAFMLMLTHQKMLKKDMSQKRFLQKIFSSKYQLF XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLNGHTVTYT RILEFNQELKVLITNMTNAPAQTQSSMEALKQSDHMKNNLKKIIRLDTIMQKWLELQDCV VGLVNSERAQNKQNRETPGVKQLLERKQGLFRMKMMGKRVNFACRSVISPDPLLSTNEVG VPTVLARKLIIPENVNSLNQQYVADLIMNGPVYPGALYFQEDGKKIALEYLTPQQRMAIV RELGSGENKVVWRHLRTGDVMLFNRQPSLHKPSIMGHIVRVLPNEQTIRFHYANCKSYNA DFDGDEMNLHFIQSMIGRAEGYNIALNDYQYISQTNGKPLRELMQDMIVSSVFLTLRDTF LTKQQYMQLIYTAIWSLFEKNSQKIELLQPAILKPKQLWTGKQLISNIIKIIVGLNGYDP DIGLNLESKCKLSSKELPGMASDDIQVLIKDNELLLGIVDKNQVGSGADYGMMHAFHELY GPKMTGQLLTGFARLFAAYLQYHGFTCGMDDLIVDELHDEKRRVLIEENLKKGVRQAAEY CGYNSQIPESINLFNRSNFVFENGQYNHPKYLQDVHYLQYDHQIYEALRQKILIEKHQEE ALDQEYKKAMTKVTSEIVGSTLGGLQKLFPLNLFSMMTMTGAKGSNVNHSQVSALLGQQE LEGRRVPLMPTGRSLPSFLPYDPNPRAGGYVADRFLTGLRPQEFFFHCMAGREGLIDTAV KTSRSGYLQRCLIKHLEGLIVQYDLTVRDNDGSLIQLFYGEDGIDTTKTKYLEKFSFLAQ NNQHYKQLYRVQEMKQHFKTLDGASVELENDTLMNAFNPSQNLGAVSMKVQSTMNEYLQK CQNELQQKKISNKQFKSLANIRYLRALMHPGEAVGCVAAQSIGEPSTQMTLNTFHLAGHG EKNVTLGIPRLREILMTATKKQKTPTMSLQFLNANIEKSQADRYAKRMSKLVFLELVNNI KVREYKRLTENGIPLHSLLRGVIYEIDVELEDLNQIKYVFGISEDQIKNKVNHVFIPLLL KIIQAELNKNSSRCVEKTSFLKTKENEAKKQRKGSDDEEEDDEDQQEEQDDLSELNQDEE VLNIINQTFEQSAQQYDIFEESHQQQESQKKQTPKKEQQQTPQTTQHKYLEKAEVQKQLI KVVIKLPLNSKKMLMTNVVKKTLSNTVINEIKGINKAKIIKNDKSGGASFMIYTEGVNLN KIRNFDFINLNNIDCNDIVAIMNAFGIEAARNAIIKEILAVFGAYGVAVDYRHLYLIADY MTFGGQIRAMNRIYMDFNTAPFGKMTFETSTQFLTNACLNRETDTFNNLSSQLVIGRVPK VGSNLCDVLYQFETEE >CAK83131 pep:novel supercontig:GCA_000165425.1:CT868463:17023:18053:-1 gene:GSPATT00017475001 transcript:CAK83131 MNFSQLYYLLSIDAVFIILLILSQFECIKQQFIKYLKVRKQRRSQTKPQLFSPAASFFHH HHSLDPDANVDEQKQVRRKSRATTLKLSQTTNTQNESNKHTNLRKSIKNHTILTIISTNS NLQTTPESSKHRNTIRKHSQFVTNLIAPETARKNINTNQSDHGSKLIPQSQTNQQTDNKK MKPFLLYFPIIKGSLQLTHKLPIIYIQCWARLTFQLIYVDLMTFYVFVFIPVFFISNRLI DKIVRRIVQNYSDRIIYGVTAIYVALSLGSQIVYMILANFNYLSIVINLVDILGIDILIY CIFRLYRVTENKQEQDQDQI >CAK83132 pep:novel supercontig:GCA_000165425.1:CT868463:18163:20851:1 gene:GSPATT00017476001 transcript:CAK83132 MFKSQFLNNFTILRLAQRIIINANEPSLGLQTVLIIDNQTGNIFEQQEAPQIEGEVCLEE IQASSILGIYQGQLQKYLIVCKKCELVAQVLKQKYYRIQSVGFIGFQFCLDKKMYQDEYG QMQSIKEYLSNHFYFSYNGNPAQPLQSYYTNNYRDFSEFLWNNHLTNKFQDYDIQPQWYC KMIQGYVGQFQSKLGNEQIKYILISRKCRYQSGTRFHHRGINDDGYVANYVATEFIVMVK GFCISHVIYRGSVPTFWKQKGITGQVKITRNEQLCVHAYLKHFNDLQECYKNISCINLMG ENTSESTLNEAFKSIVEKNQIDGVILVRIDFHKICKNEKFKQIDNYIRGSDDKSIEFDCF ALNTVNQQIMSLQQGSYRVNCLDCLDRTNAYQSRLCLRITDLLLVKYQVNHDLDLLYMIE DTKACEFLNQYRVIWAENGDAISQLYCGTNATTSEFTKKGKTTFKGFFTHNLASIGRLFN QTFIDSTKNQIIESYLHGIQNQRSSLQIYLQSQVKSYCKFQKFKLFALTWNVNGNLILNL QKDVLEFHQDYIPDFIVISLQEIQQYKAHKIIGQNQDIVNEWIKSIESSINMNGKQFCLV QQENLVGMLILIYSKVSLVQLINKISTDRIKTGLEGHSGNKGACLIRFQIKDSQIAVCNC HLASGYSKNKRRMESLEEIHEKAFKNQMKPLDSSDYTLLMGDMNFRLNSNQATTLDLIEQ QKQAEQSYEAKAKYPLQEYQEACITFLPTYKLNANHTYQTKKQEQIPSYCDRIFIKTNEQ ALIKQLFYKSIERFDSDHLPVTAMFIIDVKTIDKEKEANLIKEFTQKSNQLEEEENTIEE QQKQEKSATQKSENKKGIFQQFKDKFLK >CAK83133 pep:novel supercontig:GCA_000165425.1:CT868463:21576:23349:1 gene:GSPATT00017477001 transcript:CAK83133 MNPVRVFPIKFNESQQQSNNQQSSASSPMLKKKQGQQQPSERSNVINMSPVINGQQQNKS KMQLKRYQTISNGIQDDKVKIIQQQRLSQGQPISYSPKKRVISSNYQEVPSPTKLPNLKK AENTSSQDILQKIDLGLAQKRSKRTDASNEVTPSSRAQIIKLLQDTSPKQKVNNSRAKVE SQTIEQDTSIKPRMPQQMVMLHGRSTSQATLVNNQTNEQEMQDYVQLFFSKSQAGQNGNG QTKTNQDSVIITNNLGGIKNRYIFSVCDGHGVYGHYVSQLIKTFIGKQEQDISEVLNSSF SKMTKDLQNSGIDITFSGTTCSLVFLSGPHLWCANIGDSRSIFIEQQNFNKWHLVELSND HKPDLPSEFKRIISNRGRVEPYMTETGEKIGPARVWLQHEQIPGLAMSRSFGDHVASTVG VSSEPEIIHHKMDANCAFLVVASDGVWEFFSNEEIQKIVISYWSPNMTAKKIDEICDIIV RESTKRWQEEDEVIDDISIVIAYLHRQ >CAK83134 pep:novel supercontig:GCA_000165425.1:CT868463:23370:26849:-1 gene:GSPATT00017478001 transcript:CAK83134 MGQINPKYSAPSPDKLKLTYQIRNQAKPITLVFDPQFQANDINQDDWDLVLSSINLKIDK INKLQHLPTNFKAQLIQSFMMNKSIETAPCIIGSEEGLQELLIYLRTLNKEDLEKFIEDK QLIEQLRNLLKLECEGYHDKECLILNIILHCIQQNCNLDLNQPILNELAEILNPLHSVNF SLLLEIYIVICKNQTDEKMNQISISWMEMKRNGMWNYPFEPFIQTLQQNKSVFSVLNMVR FINYFMEAHEDIEYSNKLKTLLINFGLRFLIEDVKVKIQSGYYKIEHCTYQYMDEMLLEQ YQHYKINPSARKRKLLPPDGKPVELSICAALCADLFIDLQDLEAQKKFENATSEALAQID AFLELTEKVYAKVMKPKKLIVDKKARTKRAGSITTFLDEERSIKLRIPDLDYQKLLIQIR EATLVPQVLDSFQDYLQSAAKIGVNVISQMMSVAVDKMIELRKNDPLKLDLLACEEKCTQ LENQIRSHINEKARIQQELSQLKEKLIDANTYIKKIELKLQTQTQAIQTQNSQSNTVKSH PPPPPPPPPPPPLPGQHKQTPPPPPPPPPPPPLPGQKTGPPPPPPLPGQKAGPPPPPPLP GQKTGPPPPPPPPLPGQKAGAPPPPPPPPPPGQKGIPPPPPTFGGANAKGPGIPQQVGKK KQNPQKPMKQVPWVVIKEDKIKTTIWEKIDDSKIKINTSILEEQFCKVELVKATGNALPS QKQQIKQKVSQLQAERCKNVELVISRLKISSLTLREAFLKIDTSILTEEKIAMIINAVPE KEEQEVFLHFNPPDLSTIATPDLYFMDLSTVPQIKLRAEAIVISYEWTGLYESVDAKQNK IENGIKLQQEDKKLQIMLEYSLGYGNYLNGQSTRGGAYAFKLDIMSQLDDVKSNDNKTNL LIVIILHIEADLGYSLYDQEFLAKIDYDFLCKTSISSLSQDINELKRMERVVERAKKSHG EDSSDQAQVKFKLLQEQLQERIKKLEEKNAILDSKYKGLLQYFCEDPKIQSDEFFIKIDK IWKDYQNALTQIARIKSQEQKQQRDQRKKMSQSCNLDALQQQNENLKNQQQIKQQQQQNQ ETNTGQNKKDVMEELRRLQSKKAELAAKRQQKTIELE >CAK83135 pep:novel supercontig:GCA_000165425.1:CT868463:26865:27933:-1 gene:GSPATT00017479001 transcript:CAK83135 MIVLIIPLLFIVQSQTTTTTNTTTTTATTISYASQGSDWTSGVCSSSTSQSPINLEVSSG TCDNSMVLDIQFKKDAMQIVMERVQYTIQSKAAVSNLYATDINGNLYGYTATSFMFHSPS EHTIEGTRYDLEMQIVHDLKSEFSATITKAIVSILFEVSSTDQPFFTTYDFALVASASTN TTTTNTTNSTNTTTAASVTSTIASINFNDLLGSQLDANPAYYTYVGSLTIPDCDENVNWY ILDSILPITQTQLDAFNTYFLSNSTFASGNGNNRAIQSTNDRTIKKGGVACEEQFVYFFS FFILYIFINYFIFKLL >CAK83136 pep:novel supercontig:GCA_000165425.1:CT868463:28542:30295:-1 gene:GSPATT00017480001 transcript:CAK83136 MNSTDSRQRNYQALRDPSYNLEMFMIGLRKGELYIVICRYNDKVKKKYLYFPEEIKQQLE VKKIFQNFDQNKSSMRVEFNLDNLDMSELYEMFQKNGFKITEEQLQKFFKIVDKDRDNAL NWSEFKNSAFNEQAAQVFYEIMKELRENMEKEQKLDSSSPAGKYMPFTFNNMISYLSYLA SRDELKKAIDDTSISQLEKFKKYMEMINLNQTISVQKRNQSTPEVDIIHEEVGESQNLDQ EQEFIKRNEQRFNLYKNSCKFYPYNQFTILRALPRVPNLKNNLNMMQKEQQLKVPKKLRE IDAIVRSHQVPETQSLFKLKEKTNNSIIKLKQDVSKYIHLAKTQYNINVDELQIQSTKNK PPLTLKIRNFEEDFKNALAAHQMSYSNSEPYFKFNGSSVQDHQLPILEEKSKTITNPILP GDHLPISVLQELRKFQRTQQNSFNSNTFKSLFKTQSDFTKTAISQKSRSQGRFLNIQNHE KFQFSVPQTAKPNQLRSQLSTAPQLRVGTNYGMKNHQKAEIQPLLGKSLIKQ >CAK83137 pep:novel supercontig:GCA_000165425.1:CT868463:30524:33462:1 gene:GSPATT00017481001 transcript:CAK83137 MNFNTLLLEKDNDLRSLALREMLNQVDQNWSEISELLSQIETLSEDPKFPERQLASYLCA KIQYHLDNFDESLNYALQSGQYWQSDLNDKFNQCLIKHCIEQYRQSGKASEERIRLIKHI LQLSCGQNNHISAIGVAVECKRIDWVSEILVKWDYPGKMISVLHLFNTQRGEFKEQLLRL SVDLIYKEAKQGQIPNEEWGQFVTVLIQLGEAIKVAEILWTLVTNENHYKLQTGTPLLLA EQLCIDLAQNQKPQFIQKIIAALPIDQQFQEVRDKLIKLLSGEQQRKAYLHFLKNHRQVD IEILNKIKGANDPKQSSVLHGACMFGHALATAGTQDTSFLQANQQWATKCNYWQRFTATS TLGMIHKYNIEESQQIMATHLAQTNPFEIGGALYGLGLIHFGTQDQQLLLKFSDHMKSQK EQIIHGACLGSGLVAFASEDEKLNQDYNNLVSKNESVYGEGASIGYGLLNAGSGSIEQCK RLLVLAGQSDKDKIVRTLSLSIACILFHQEDKADVIIDQMLQSNDPLIRYGGCFTLAFAY VGTGSNKIIQKLLSISVNDVSEDVRRAAVISFGFLMFKNYEALPKIMRLLTLSYNPHIRY GTAIALGIACAGTLYADAISAIEPMLTDTVDFVRQGALIALGMILSQGNKDQEPKFEPIM KSINEIAAKKHETVLTKLGLAIFQGLQDCGGRNLTVSLQSRQGVPKLNACVGMLWFLNYW YWYPCLSMISIALQPAVFIGLNENLDIPRDFQLQCNTKQSVFDYPPPQKKSEKPIAEKKT VQLSTTQKVRARTNKKDLEKKQIDSASQGVISREQSKMSLEQQPSEIIRQPSLVEEQDKK QAAPKDEPNQYIISNPCRILDKQKKHIQLLEGSRYQPLLKDRKQGLVMLIDSEPTQPADV ISLTVKPKQPIIEQVVNQQPAPPNQVPEEFVFDEALQNEEQKP >CAK83138 pep:novel supercontig:GCA_000165425.1:CT868463:33477:34670:1 gene:GSPATT00017482001 transcript:CAK83138 MKHIDQLKEYYGQQQLIFEKDLDQMRNHLSRVDNVRLKAEKELLYLQSKAKEQKVWDIVD QTPFLPVIEGDRIFKTTKFVNSNLTEAEKRQLLLQEYYRNDKARNAIRQLYNTNNQYEDD QTVPELYTETQLILPKIHAQTTQVFDESLLRDLQSMKNREDEITKRLQANEITQIKNPSD YQRMLENQTILPILQSMKNEGQRSIVTPMENHQQVESAQKKLRSEGDSAQITFVNEYEPQ NQQMQNTQQQFELDFTGEHQRTEELLLNESEIPKAQDQMFEYEEQQSFQEPQDPIDEKID ELLTNIQQIVTHQYQPHQSIQKTNNALQTTWKLAIEKDQIAPKQRPKDFKPVNFLKKPIV QQEQILYKPLVQTQQVQKPLPDDNFERLDRLIQHLEI >CAK83139 pep:novel supercontig:GCA_000165425.1:CT868463:34727:35471:-1 gene:GSPATT00017483001 transcript:CAK83139 MKLIIMMTTQMIQIKLLQIYKVQNIQSQKLSLNNRSPLQDQSKIKKGERLDQFICNFFIK YQLHRSLEIFQEEWTELTKSAMFHINSICSIPDIYVQFEKLKDELLFVKGELERSNLMAE KASTTLQKLKQQRDFHKLNFYRAQQEKKQMSIEYDKLKQQLNFQEEKLQKSNIRIIDKKE LTQEDPLLNRKRSLFKNTLQQPKNEEEMNNCKDIQKLLDRLPQVKKPSGQSLLFKPKPNK >CAK83140 pep:novel supercontig:GCA_000165425.1:CT868463:35940:36155:-1 gene:GSPATT00017484001 transcript:CAK83140 MNEEFNLYEDEFQKYSKALMSKFHQAPTATQQDYREAMEEIQQFEKIIGWNVIVHWQSQW SPIHQTHIVQE >CAK83141 pep:novel supercontig:GCA_000165425.1:CT868463:36221:38857:-1 gene:GSPATT00017485001 transcript:CAK83141 MSQLASIGIDFGSQRSVIAAALKGGVKVLDNEGSHRETQNVVGFTVEERFIGEQGALQQK SNFKNSVAFFNRFLGLHGEPAFRAEETKWLTVPTSTNDSGKTQFEVNYLGQKTTFTPEQL TGSMLNKLKHVIAHNDINSQASNFCISVPAYYTESERKALIDACKIADIPLERLLNETTA IAINYGLFRKADLDADKPRHVAFVDFGHSKFSAFVGSFYKEKASVVAQVNERNLGARDID WVLFEKFATQFEQQSGGLNVRKNLKGKLRLLESIEKARKVLSANSEAPINVEYLVEDEDF NTLIKREDFEQMIQPVLNQIQQQLEFLFSQVQNLKLQLHSVEIVGGATRIPAVQRLIEKI FKIEQVSRTLNASESISRGCAMMAAMKSPNFKVTEYKIEDCNYYPIRVGWLYGQQLSQQD KSQGLVLFDQNNPIPSIKSFALLKTEPIEITLFYDPVPEGFQAVLSQIRVPPQNPKHQEH STKIKILLNHNGLLQLEEIVLQEEFMEEVKVPIEKPKPAEQPKPAEQPKPAADAQQQQPQ AAEPQQPAPEAAQQEQPKPEEPQFELKSKKKTIQTQINCETTSLNSLSKKDIDHLFQQEC EMQNQDKLVHETHFKKNQLEAYIYAWRENVNGKYAQHVKPDLKTQILKELDVQYEWLYGD GQKTTKREYSDRYDKLVQLCGPIVTIADEFRLVPETIQQVLQYTSNYEGFVTSQEQQYAH ITPEERQVVANEVSAFKLWCNQVLDALSKADKTLRFTTSVQQIQAKYNEFRDKCQPVVNK PKPEPPKEEKKPEEPQPQKQPQENEANNNNNNKMETE >CAK83142 pep:novel supercontig:GCA_000165425.1:CT868463:39159:39603:-1 gene:GSPATT00017486001 transcript:CAK83142 MFDDDFDLGKQDDLASEVQQMEVKANTLQEKSQQDRYQEFSEMVLNSFKMMESKFNEMES RFSKALQYKNKENTTSNIRQKSVSVGKIYAPQKTSAGKISLPKHQPPPLKKSILKPKK >CAK83143 pep:novel supercontig:GCA_000165425.1:CT868463:39640:42475:-1 gene:GSPATT00017487001 transcript:CAK83143 MTNIQHHFKLIVTQTLFFADLIETTISLSVLFILVMYWINSQISSNNETIIEIMKANITL TGIDLQQTISSIGFLFNISKLTINQQEISQQNSTLQTTIGAFTASEMSQIAQIEEITKIY IPNLPYFVIQLSSGSLCAQNTTLDQYDFKSTPNCTNHPKFCQLLEISENSKGSFNLFQYM KSQMKDQAVFYSLFPFNIQLTQICGQLVSDSLYQCFILDLAEYKYQEGILYTALFTINNS VISDVSDDCLTVDLKCSPVTELSNMDLNDQNNQDIVEFLESIEKTYWVNQIHTLTRNYES SNPIISLSMVDMKVYFYQAIKIGTYDINDEFSSEYKEDLLNQTKEEQDKLIALQQNFNRW QLLATFNDQLYTNLVETKQEDYFDFFLFFQFFILFLLLTPILVNIITQNEFDQISITLNQ VSLMMQMFFELDYDTMHKDLNAFKESQKSNLTELNELLQIFDEFCWLIEFLNQLNKKVHD LQKLEQGLHIFEKRNSIECLELILMKLVTELSRQKDYETAITYNKQLISILEQQKKDIQL IKSEEIMRINYKLFQSLNQLLDLLSKLLIRNKSRDRNAFNQAKTLFSNIMDFADTLEIKF TLQIKLFFKKCKLLFNLRKIKEAEQCIDQAQLILQQNYTLSKNASYQNKTFNQDKKLLQV VQQKIYFYRGLIYMSSGQLQPAIQQLMLALDYGNVYKAKLRVKTIKMLSSMLAKQDKFRS DSQTLKQLQYFFQNQKRQFVFLIDSTQRMAENQLEVVETIKQIFQNMNDDDLIQVSTFDE YTHVLIESQSKISILETMGKNYMEDGFFDYLSGRQTQNQRKLYQGILESLNQDLPRKYTN YLIVMTFGENYAEQNDKLVELLEKLRDGFWHFILCSSQEKKYMNQRNNFYRLTDEARDGT YIELFANFEEGLSKIISLCS >CAK83144 pep:novel supercontig:GCA_000165425.1:CT868463:43002:44330:-1 gene:GSPATT00017488001 transcript:CAK83144 MQLSANYNSINVFQMQSEQKNNLFAKETMEDHNLTQSENQANLYEKEDHQKDEMTFLHQG GIFHNVNPSCDEDSSIQVFVQTPNGRILSLGVNNNVDYLEQITDYIQQKEGIPPKRQKLY LGPKILDKINSKTIKKNSTLHLKISSSNSIIVVYNNQRHFIDINLNECVRDIKFKLLQEY EYPFHSQLLIFKNRLLKDDFKLHDYKIQKHSVILLKLKNFIYINQASKNRRFMRNLEAKM TIKEFKKKLQSKYTGLGDFHLLYRDQILKDEKELQFYNIADFSNLELVEKQYIKFSININ NIKLEMEKEQYETIIDIKKEIQSQLEYPVFKQHLFYKGIELENDVRIIKYKIEEDSKLFL FNNLKQPYEIVIVDDFDDFVVNITLNDKIYALKNKICESQSLLFPNAIHLFYHGRLLKDN KDLAYYNIQNYDFISMEYDEDF >CAK83145 pep:novel supercontig:GCA_000165425.1:CT868463:44368:45345:-1 gene:GSPATT00017489001 transcript:CAK83145 MLLEIFLLLIFLGLLMGINWLFTPYTNWKNQQKTVKKDDSIVIICDGKEYKYSSYKNTSE VQLSIIIPSYNEENRLGRTLEATFKHFNNYKYEIIIINDASKDKTLEVAKKYSMNNKNFK IITYNRNRGKGGAVRLGMLAAAGEIQLMMDADLATDLNEYEKLSKELIKITQNGLGLVAG SRNHLVKDVVVQRKWYRNFLMHCSNFIINTICGVRLKDTQCGFKLFTKNTSAILFRVLHL ERWAFDVELFMIAQKYKVPVSEVPVKWEDVEGSHLNVVEASIQMARDFLLVRILYLLNIW NFKDDIGL >CAK83146 pep:novel supercontig:GCA_000165425.1:CT868463:45550:46351:-1 gene:GSPATT00017490001 transcript:CAK83146 MILIPGYNPGQFTLKGTNTYLMGTGKARILIDTGEGKEEYKMHLKQIVDNEQCEITIVLI THHHHDHIGGISQVLELFPNSQVYKNLDHNLESDKLYPFKPLQDGQIFQVENCTITAISL PGHCVDHFGFMTSNQEWFSGDCLLGGSSCYMENVKQYLQSMEKIQNMNIKAIYPGHGYTI IEGAQEAIQNQIQHRKNREQQIYQVINGQSIEEIKNIVYPDSNDGYMRKVVKITLQAHLN KLIEDKLVICKDDLFYKC >CAK83147 pep:novel supercontig:GCA_000165425.1:CT868463:46363:48232:1 gene:GSPATT00017491001 transcript:CAK83147 MTDTIIGGGVSEFVANRQYAKIQYLQEENKQLLEQLKDMEKALILNKEVLRLSLEQNLNN SNNSTNCSEQNQTLHLQMKLHEENEMLRNQIVKLTEERNLAQNKVLLQSQISEENQAFLK DLIVELEEKVGEMRRCIQDKEYTIQDIEKSRGLIDNGQMVKIREIVTPHEQTLRLTEELE STRQLLNKVSQGSQKLQEQNRMLKDQNQNFLREQIKYHLILRSPLAYYKMKSYVYNDDLG HSVDNLEIHNENYSNQVFNTGQNDPAPQRDGQYQQQQQNNMKYLTNNERAIYQEKLQKTE SLLKGYKELFEKEKNKVGLLVQIKDDQERKIEECYSYNEVILQALKNKDQKIEQLQTEMQ YYKTQFGNYMYFLKNKRKVNLDLSLQLKEPINNVQPSPPPISNNKILINFDEEFEQPEKH ISVLDPVDDDPSPDNRKNIDLDGQMKQQNKMSNIQEPNYSEMNQQECKQFLQNTAIELFV QYNLKMNNLKKKQLMHPSMSLKTKPIWHAKSFSDPLDYFTLQYYNLQVSGQYEINQRCNL PNFQTMKQGKGLEKPKQVWDIDFNELSIIQGEKSQFFQ >CAK83148 pep:novel supercontig:GCA_000165425.1:CT868463:48283:49685:-1 gene:GSPATT00017492001 transcript:CAK83148 MQEELRLNFQDQFSDCSDEDEDVEDCRNYGIGCFHPVFIGEVFHGRYVAIQKLEFGHLSN IWLAKDFKTNNFVALKFQRSAPRFQEAALNEIEILQTIHKKSKFINIVKLLHVFLHKGPF GQHYVLVFEMLGVNLLRISHSTEDRGLNFDQCKSIIKQILIALDFLHRECGIIHADLKPE NIRVCLTNEQVKELVDKGQITQRQQFQDNIKHYQKQSSSDKKKEKRKRQKEKKKLQCIKQ KLQWMVGLDKRIFQVKIVDFSKACWINHHRNEKIQTLQYRAPEVIMGQFYTTSADIWSLA CIAFELITGDSLFEIENDDELTHLAYIQQVLGPYPIEFTCVGKHCKKYFSHNGVLRHFKV SEYYTLEQILVQKYQINKEEANQFADFLQPMLNVIPYKRATASQMLKHPWISKQQFKFYY FVEQSFVDDEHEVDEEDLFNNHLQ >CAK83149 pep:novel supercontig:GCA_000165425.1:CT868463:49814:51670:-1 gene:GSPATT00017493001 transcript:CAK83149 MINLLLPEPEKYKDSFHNHSSCLKSSQEERVNSFHLMTLFLDSEHYLMAKVMNTQKMHSI CKEHLKMSLKKLKELLQKPPNEKIIYTLQQLQYFVSALIYQILMYSNINKTSPSHLDNSS LKLKLAKQYEQHTVPPVDIQKSTLNKLYQTQSLSTLIKNKKSSVSKQVTKKDPFINANLR TNTPKPVHPHQVCQLQLQKYYFFAKSKDQNKAQPSQKISKTIQQSYNKANNSLTRDNSFG LLNKSKTDRKYNILSLDQNKLAQKLKTEKGTSIDRPQTNSKKNLDQKQTLNIHLSLDDFV TQYKVSNLSKNINSISPFRQAKSLSPKNRILSFSRKNIYYVSSMIEAVNGAYDSLSQLYR DHAFQTYNSIGFCLNQTEPILSIIQRKMVNLPQKNSKYHKTVVFDLDETLIHCNEDQKMK SEVYLPITFPSGDTVQAGINIRPWAKQILNQLSEVCEVVVFTASHQCYASQVIQFLDHKK ILTAQLFRESCIVTNDGVHIKDLRVLGRDMKDIVLIDNAAYSFGYHIENGIPIIPYYDNK DDKELKLLYDFLLSDVLPAYDCRKVIQETFKLREFQNYGSPKTAIEKLYCQ >CAK83150 pep:novel supercontig:GCA_000165425.1:CT868463:51671:52972:-1 gene:GSPATT00017494001 transcript:CAK83150 MLTRALAKQMRLGFSAAKKQAETGKTATATTGQIAQVIGAVVDVQFDGPLPPILNALQVQ GTSHKLILEVAQHLGDSRVRTIAMDSTEGLIRGQPVSDLGGPITIPVGPETLGRIMNVIG DPIDERGPIETKIRYPIHREAPSFVDQGSGAEILITGIKVVDLLAPYARGGKIGLFGGAG VGKTVLIQELINNVAKAHGGYSVFAGVGERTREGNDLYHEMVASGVINLSGGSRCALIYG QMNEPPGARARVGLTGLTVAEYFRDEEGKDVLLFIDNIFRFTQACSEVSALLGRIPSAVG YQPTLATDLGQLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRALT ELGIYPAVDPLDSSSRMMDPNIVGEKHYTVTRGVQKLLQDYKSLQDIICHFGYG >CAK83151 pep:novel supercontig:GCA_000165425.1:CT868463:53263:53720:-1 gene:GSPATT00017495001 transcript:CAK83151 MFTEQPYYEAKVFLKSYNDAITCLREAAEQKAQVEFQEHVLQSLATARTRQELDVRDGQV VPGLNFGQSKQTKLFQFSNHVFAKYLKGFEEYNGSFKGFQQIVIEGLKRMKSDVK >CAK83152 pep:novel supercontig:GCA_000165425.1:CT868463:53739:61481:-1 gene:GSPATT00017496001 transcript:CAK83152 MSNEEYIIDNLITEARFFIDHKDDNETFLSQGQDCIDKINQILKKNIRSSFLDISQEVMI KYCEACLVVPDKAHLVQQYIDLYFQRNSSQGQFYIRALFIKARLISMNGHDRLLKAEDMI KNLKLSLTFVQQGLDIISKPENKQKYGFLIYNASICVYNIIRPMLKPQWQLSFVDILDKI DRMFEEVDEADYDWRCRFTWLLFYCLYDDRKADSFKLLDRLWETTKKKGDCDFQNSLLRL RIHIGKEYKQALDSAFKESEAAPSEKAWKSLFMLQKMRSGLIPEAQVEKELINLINSISS AVLPGNEVAATNKLAPVFQERLAESGRVALQYNLVNIADSITAFLSRVRQLHQKAYILHE YNKAELFIKKAGPLIDNKTGMRLNSLQIKMQEVERRIEALKTMEKVMVTNKKLNDPDLIF EGAVLIWNISLPFLNAQYRIHVYKAFSMACQLLEQLQCVDHALRVNFHIELAKSDLQEDL AVKAEQHIKKALQLDYSVPLGKVQFKYDEGEDLGLYQRPYDKYLRNLEEKIRLKLNIYQE PKNDIERVITQLENVQAAKSENLRIDILQKCLGTIVNLQEQEYWYDTKLDLVEEEQNREK VLKKQENLYQFKQKKLLAAEIARLAFEWEFNDLAFKACEFVCNDTWDVKNASEMILAQVE CQYRMAQMIIDNLIKDNFEIPFADPIRVEENENVEELSQEKKDQVLSLKRKMCSNFVKGL KLADSIKQTWLVFNGAIYIWNNFLTIFRNPINDSKLLPEITNLLKEFFEIMKNSLKEIEK KLINDYDIDTKIQVLANIGLVYARLMEGKSQYEEVMRVCEALLLTPLSPHTRKLINSIKA RVSGTAKTNAAKPGTQQDKKGTKQPQQSSSDSVIFDVVSQLEIIQNSTNKAQTQDLIKKC FETLVSWTAKENDETELELHAELWARLARLALNEETILMYKYSLRCVQYSLQLLTADLST IPASRLRWYSLAEYIYSENLLRMLNSETQETESQESLLFSSLFHAIEAANKGLKAGINSL VLDASKQVWNLCARLEESAQNRKHLIKPVYSCLNYLKECKEKSEPDLVLLLAQLLFKSAL ENEDYKLGEMAADMVFELIPKNMSKPIWEAKMIFMSKQGKNELQAIVNMKEADSSLQAKV WIRLARVSNNLYKQYTAYNKAIELLKKDSSVEIVEVLIEFSEWLLRNGNEKQLVIENLMQ AADTLIEIEMEEDEEEEEGDEEQNSSTIFSRSTRGKKSTVSKQSKQKSKMTKKTTKNTVK QNKSKEQKSQISGQKSSNQRFSRAANVRTTTIKSNKQKSKIFKPREEEANPNRLNCAHYE RLMRIHIMLAMIADTMEQSIQYAIDAKVFLIKIIEISFQTMNEFEENAAKYTNIIDEKTS KQQQQQPKPQQQDDFSPRYLLPTCIQDWIKLQFSKEFLERVKSYEDFNFMGKYLFDKAQL TYTYINNLISIFEKYGLQIHSVPIFVFQKFFVKEILNNSYLDRLIDVRFSKCLHQVGFHN EAELMYQQSNIVKFKLTDQEKKQMLAKTESSVLQQNRSRPADIMEQNLPQANIISEIFVY TILTDLGNELIFSGEIYRAKELLAESHKHAKIVSDLELMGRILCLQGQIAFLEGEFRDSI ENHKLSHKLIKNVSQWEASSIETYKTLYKLGKYDDIRQFLKQITEVLLEVLNKPNYSPNK LQIYQTLTTLYILQSKISTKDLFKEFSYDIFKQIIENLEKSLKCIMHGGFMTHQIDLILN LVNKILIFVRNKNYTKIDQVEIYFKIIQRLQNSILKPLEQQSRSLLKYTLLIEKESESIR SPIMDLQAKIRVIMASCFIEMGLIKWLRKQMQLPDLQGSDDDNNNDQLEDKPIEQLSNYE PLQKFLTQLTIKIQRAIQPLPQRLESFEKATAVLLSTLKQLKKDSFWYTIAEIELVRAKR YLAISKQQLDDVWETPVEGQDSVVQLQQEEDNQFDYKTDALQSVLKLIKDIESKAFTVLK AKGDNTTVPLEWSIFGFKQMNFYLKMLYESALDILGRKSPEISYDYLCKFQHFAFCEQMF NMVRQSFFGKHKMIVYIRLIKMINSACGFLNQEAIKLLTEANKLWDNLMIFPLTELQAQV QQSAGFLIMQMSQNKTCLYLGYLHNTKTPVYKVQRILLDSKTHDQLTQMKANLDQIKNNL IKTPIIQQQDFIKLEEDMEKEYTKFCVDVEQFMSFVPQLIDENINTQEEVPPPVIDPKAP PPKKDQGKQTKGKPGKDELAQYESPLEQAPGKIDTIVLLIDPKFFDYPIEQLKVFQKIVA MSRDFSMPVYVRRLKSVGFQVQLNNSSRGIEKDKLKYLTYDFKTEDQDLNLDEFNLQKTL GEIQKTTPQLKFEGTFSQSRLASLGELQKYAQTGSSIFWYGSPGVLNILSSKVIVDLSEI NTSKFWVIFDKMNAKKSLIEKFSSLDPDGEKTHILEQNFKISVFLTLIDVSTILTTQWSP QIVEFLKAFENLAKQLTEDIYVAACLQRYKAPIVKYQDENGNIMDKKPQQVETKKGGKVE PVQEISNLKEIQFDKKRIYTHNFIFVGLPNLRLI >CAK83153 pep:novel supercontig:GCA_000165425.1:CT868463:61519:63976:-1 gene:GSPATT00017497001 transcript:CAK83153 MNTSFPKMSTSSLSQTTSQTNFYDSSPMSSYSAKQLTSNDNFKVVVRVRPPLQREVIDGR FISTIQVSPDHKKICLYEYYNIDLVDPEHLEEYLNNPNSYTMHTFSFDYVYDQDNTQEEV YDNTARHAVLSALQGFNATIMAYGQTGTGKTFTMEGFKYNCVDPQRGIIPRAIEEIFKHI SNGSNESTTFMVRVSYLQIYNEVISDLLRSDRQNLLIREDKKRGVFVEGLSEWAVRNPSE IYSLIQKGAQSRATASTKMNDVSSRSHAVFIIIVEQMTEIDNHKSIKVGKLNLVDLAGSE RVRVTGATGRRLEESKKINQSLSCLGNVISALIEQKTHIPYRDSKITRLLEDSLGGNCKT TMMGMISPAIDAFLETLSSVKFANRAKNIKNKATINEDVDQKALLRKYECELQRLRKELQ EKNKTIIDSTKLSQLEEDKKRAEQDKNAAMAALEARSKQFFIEREEKKKLEEKIRQINSQ MLIGGCNIENSPQFRNAIEEQQKLIRAQYEKKITELEKERSQLEEDKVQVDRYKQLTLKQ RDIMIALTTRLNERDETIVQLQDELDAYDRIHRETESMLDQKIQRVQQLEDYVNQMGGNL PNAQQIQSIRQNKIKDCVTQDGQETYNITKENLQDYESQIEQLTLINQEQDQEIQRLNNL LLNHENSSLMDYVKSSVDNIIDALSQPNDGLKLQSVAKDLISLQKILQGEQQQSSHAFSN IQNIVHSRKESPLHTNQSKKSLNNSQNYDEDEQPRQLNNFYTFSNKSQTSSMKKQKDPSL PKPQINVQDIIKMREVKRNLYS >CAK83154 pep:novel supercontig:GCA_000165425.1:CT868463:64022:64649:1 gene:GSPATT00017498001 transcript:CAK83154 MNFFHPLLQTLQCKNRYSICLVMRFNLSADRQQTTLNLLNPPIKVEENDQHLPPIYQMTQ QELLQRQMVDSATHGLQMSTLPKDLDPPKTINKKISKKANTCGHPDKEHYAKGMCNNCYH RLGRNKQPWLCSHKKLYACGLCQNCYINQYNKKRRVENQDQLESELNNQSGIPKTDN >CAK83155 pep:novel supercontig:GCA_000165425.1:CT868463:65042:66128:1 gene:GSPATT00017499001 transcript:CAK83155 MSNKKSIKQKENISIDSRLNILESNLNRVCMQQDALMPIVNEIPHVQKLEQQIKTLLKKQ EELERIRDKSRETSTNTSFSDFKTNNQNKPFEKQLNDLTLKMNYLDNQLQELQKKSQGRV EQQFKMFSDTQDIQRLEQFITEELNNFRSEVQLEYKNIYKELNGLRCDLEYIMNNTKKNK VTQKIQSMNVSPDDKLFVINLLEQETIIEELDHYENENTFRLLYELDYFEQQRESISNLE PQQTQRESLYLEEKLISLKYQLAASKRKYLFEIKKIEHKFQVINEIIEQNQKYLNYQQQI NILTQRMSKIVTRVHQNIECIFQKISSLDKR >CAK83156 pep:novel supercontig:GCA_000165425.1:CT868463:66375:69193:-1 gene:GSPATT00017500001 transcript:CAK83156 MSYKISLVGLEGSGKTTLAKVLNDQHQINNMPLEVNEDMPNSDVMIFCLDGSNMQQLHHN KAALEQINGKEQLIILQTKADIQTIEEGELRNFLGVGEVPILPISAQNGDGLSQLINLLS QQIQPTQQNFSAEPRVVPSRGKYRINEQNQISKPMQTFQNLMKDHKIKQRTPMEQEQEYI RLEKERIKVENMSAQLNNFKRKLKKEDTYDIKATANPRIQVNLEFFLTDTLAQCEQQKST LSTQTDKLQKKPEDPPFIPKKTGIDAATQVEDYELFDFDREVTPILNVICTKTLEQASLE IEQEEEFMAMARFKEAFENRRVNEKNKQQVTVEREKQLIDQKSEVLKRYSQKQERIQKVI FKAQAHAIAQAYLKPLQGQIMQQVITSGFYPNEFMNQLQTKFMDYLVGKTQEEVIKLVDL NNTMKTAFNVSNFEQIPKVRKPYDQQIQKKKNRQALRMINYSNQRAIRVFYQDLVPLQSV IAMTLPKYLDGSFEEWKKTYDQRVAELEQKAESNEINEEDFANQKRTEYPDLQEGYFGTS INNFTRFAFSMAHDQYYLTSDKRLQIVAYVLRKDGTYEIVNQESKNYGKVFKWKQFRLPI KQTDDEALILRLQEIDSDIIAIVFGLLLPNLQNNIKALEWVQNARFGLYDAQYCIPFAQT NVNKAFKLEELVKQIDDPELAESPQTVFMSCFTLMKRFKQFGGWYIENTQAATKGMLTQE IEPFYEKLSQFLIEMHEFTLERDRDIIQTIQDKEKEKDAILTNQELQMIITKSKIPQSKK MPTEPNATDSALPSSRPLEEPSDYTKSQFNSTIIEPVILDMSDSFETVESQLINHLTNVA QRLVNSCTWGFELKARGNSFLREKQLIRLRNVGDMTIVRKPEPPKEEVPVQQEENKDNDD NDNDS >CAK83157 pep:novel supercontig:GCA_000165425.1:CT868463:70364:70693:1 gene:GSPATT00017501001 transcript:CAK83157 MNQLMNQDNQRQINNDQPNTYTVNISVNTPQSTFTISVAIDPNIHFNQFIEGIKEQIFAQ KMQAQYEDTIEYQMGNGSLLLSNETRTLQNLGFTNNCLLHVRLKLKGGR >CAK83158 pep:novel supercontig:GCA_000165425.1:CT868463:71354:72002:1 gene:GSPATT00017502001 transcript:CAK83158 MYYFVKQVIKIIVLIMGGAFGKRKVQVLVVGLDNSGKTSIINALKNEKNATVAPTVGFNK DSVEKFNLNFQFSDMSGQNQYRGMWEQYATKIDGLIFVIDSSDKIRFGIALDEFKLLLET PGFDKDLPILVYANKQDSPEAQSPQFFIDYLELKSYKNPSQCFGGSAKTGVGLDQGLQWL SAKIQQVKPK >CAK83159 pep:novel supercontig:GCA_000165425.1:CT868463:72093:74552:1 gene:GSPATT00017503001 transcript:CAK83159 MIDSETFFAKYLLSPRIQQTESDQRLTALNAVQTRFSPDRKIKSSFLQDEISFAPKNSQL LSQTIRSLLKNSWDLVEAIKEKNLIDPHLQYHLRKFKNDHESVSDLINRKTFDNMEHLNI YKDQINQLQTEIVNLNEKCKHRKVCLQKLNDILNRKEEELLHLTHSLNDFQLENQALQNQ QEELNCQNIQLIEELQNVISTSQQTISQLNLKIREGENKFIQLKSGKEQENHTQLNQTSK QVEEIDSIRLKLKKQESQIGLLTEQNQEKHFEIESLNQSVLQCKEQIKQNEFEIRRFKDL CASQEDKLNQQCNQETSTLLLFTQRENELTQEFNNIQKELQQQIAQYQKDQVELKGKITS LIQKELHLNQQIKQLEDSQDIQEKVSNIQKQEKELESLKSLSQKQNSQLEIYQEQNYQLK QDKELLSTELITLKDQIRLYEAEIKRLTDLQVSQEDQLKAYSNQTSFRSNEQEQQQNSKQ IEQKSIQQKDYQDLQAKLSQIMQKEILSHQKIKILEDQVSELEELNKNLLETNSKQKKQL SNLNQQCQQHDIQFELQFKERQTLEELIAQNKQKLIFLEEKLNQSIFTIQQYEQQIQNQQ LQLSILNQTQQELQFYQQQFQALSTNFDESKLQIERVLKQKEDLVVQLENTINTNSQLQT SNKTLEQKYKLIENNHSSLTQENKRLQNWLNDSIIISKQKELENQELQKLNETCHKQITQ LQHQYTQLEQSYHQIESDKQAINLQQNENSNILEQSILENESKLTKLQKYNQDLKEEINQ LKTNQNEKEHLYAQTVKQFYQKNQGIIYNLYFRTKYKNK >CAK83160 pep:novel supercontig:GCA_000165425.1:CT868463:74922:75171:1 gene:GSPATT00017504001 transcript:CAK83160 MKDETYRRLLNRIIKSIDPFYETKKYNDVDDLLETAVQKVQSLVKQKKKNKENDEFSLNS QKEPFNLELSQIVQN >CAK83161 pep:novel supercontig:GCA_000165425.1:CT868463:76589:76971:-1 gene:GSPATT00017505001 transcript:CAK83161 MNQLLGQNSAPNRNDQPHAISVNVVVNLQQANLNLQTSIDPEIPFNVFINGIKEQIFAQR MQAQYEETIEYQLGNGSNLQQNESRTLQLLGFTNNCTLYVRLKLKGGQ >CAK83162 pep:novel supercontig:GCA_000165425.1:CT868463:77361:77807:1 gene:GSPATT00017506001 transcript:CAK83162 MNQLLSGKQIEDSPPYAIDVNVVVNLNLGNISLQTNVDPGIPFNIFIEGILEQIFDQKLQ AIQDTNQIEYCLQDGKVLQRKDSNPLYSLGFDNNSTLNIRIFQGIQETPQPSFDKYGKKQ Y >CAK83163 pep:novel supercontig:GCA_000165425.1:CT868463:77897:80196:-1 gene:GSPATT00017507001 transcript:CAK83163 MQHREEMIKQLQNIQDPYVLQQQRQIDAKRKYEQLLAQVNLIYDEDAKDHQYTVDFQRFI HFYKFLARRPDSEQSNQSIVNRLQLWVPDTIVLNDREMPNYWLYSDSRGYVFRTDAFTSK NVVSKLANYTSPDELVAVVKKQQFRNMELVGNEIKLVCCRDLQTQINANFSNRMDLTVIQ KFVKSNGPKAFICRTIWRKDRNPFCYIVTNKDDYFSQTTNPKAEFTKYITNVSQQNSCTI VNTCRGKYVDETVPYVKNILNYINIHLHITFKEFAADFIKDESGIWWFVNVKGFIIDKSP EKILWKSITHYGEDINEEGQPTIQSQQQISIISPKNKTKVADMCQKQKICKYCEQSYPEQ YLQYKMTLKMIIQTDKHLYWRGKTFNWIERSDVTNLEVSNLYHEHKVCKQCYTLYKETEQ LIELQLQFNKQLGLPSDYDTINQMLTLKANQVNNESIKTGLDESKQIFNISATKQLILNN QQIPMIHNTVIKTLNRFRFMILIHSIRDVPQNVDLSKHYYIECNIFDQKFKIKLDLLTGQ LFDKGYFLTLNRMRLYYFFSDQRKGWVEYVNQLKVLPMYLYQENTKIGTLEIELQDLLSE RVIRREFLKVFSVKDCYPILSWSLNLTLGLVDSGPANVTRIKLQEHFGVQLPNPDYCTCE PLPAEWMTILNQKKDLDIHRSERQITNHTLKRVSTAHAKLQKSRFEASSLHQDMESFNDS TKELYSQLNLKKEIQLYEIDEDERKNKKRRLFQQYDL >CAK83164 pep:novel supercontig:GCA_000165425.1:CT868463:80207:81399:1 gene:GSPATT00017508001 transcript:CAK83164 MNDELAKETFIVQHQAQPFTYLQILKALQPLTIKTFRFNIPEISIFNQGEVELFISQRRT NLYGQYKQLRNLPLYRLKILMPKNGCICKYVDQNLQIMTDAELTILLNKRRVEPIWKDIL YLQSQHLVDQRVLYIAEQDDSTTLYKRAYTEVGILTKDIVIASSQYIADEEEDQYERSLP QEQLCQYYTYKIIHFLEKVRTIKITHGIFKWSVENFRSYYFVDSQNVSYKNIVVNDLKQN TKQQNSLQLIDSVNDEVVKQYTTILNNEYQKKKQQFGFNENQFNITKDRETEKVFKEIHK GSNIQYNQLFQDLDSFTKYEGILIKQIKQKHRIKIIKPRERVMTIQNSSPPQKTLPVRPM TQQRQRTPTYKHYKSKLKYNTLPYLLQY >CAK83165 pep:novel supercontig:GCA_000165425.1:CT868463:81566:82719:-1 gene:GSPATT00017509001 transcript:CAK83165 MKTLSENKTFVQHIKQRQEDFKVHFHSQSRKPFNFLPRKIPLSSNRIISIHSPLPTNVEN KRPTREKPKSKVLHPFQIGENSCSPTLFRTPQISLHYEYNKKGAHQRQPSNNRSRNENIS TVKERREHNTDSVTRDYSKVLEEVMKKYRQLKTELLTKDKEVQKTQFYKEEWLKEKKRNE LLQERNKNLKMKLLKIIELVQKDQLQTNDESEGIIASLQTENKYLRQMLHLYDVTDVSEQ LEQLESEQDHEVDQIDNILNVFLGDLRTIQKNRKEKKDNQQLGQFNSLSLTVLNKESSFV DLSEDKLLMEQQ >CAK83166 pep:novel supercontig:GCA_000165425.1:CT868463:82784:84411:1 gene:GSPATT00017510001 transcript:CAK83166 MLCLFLLQLVAGLSERPQNISELSKMLYGYEYKGKWTSQKMEQQFHYLTVEQGDAYMIFL SNNTQQNSNDVIDRFEFQLLNPKYQELKQVIGMFKLTNYSDSDISFENTSVLNYTTAYKF RRNYYSKENCEIAYTVNVEFQGDNYDKEHAKIKVHMQTHDNSLDSHCDVDILMDLMLDTT NYLLVIIMYCAMSVMICFTQFLCVTKLCKALLENEEDPNKISLFAVGYLTIQDSYICLQN LYEALINYQYFQYFILPAFFYFLLATTCDMKLIWIVWRSRHLEDLFDQQRMRRAITYFFA QFYFSLILYFLLMYFFFTYNWFICLTGMCLLPQIIHNIRLGNNPRFISYFVFGILVPSML YQLYNRGCPSNLRGLEPSLAFCMIYLSEYLLQIIILYIQYKLGPRSFIPKCFLPKQYNYY RTLNIQEDYEECAICLTSLMDDPFDTEAPTQKLVIKQAMQTPCRHWFHPSCLRSWIDIKM QCPTCRSELPPLLE >CAK83167 pep:novel supercontig:GCA_000165425.1:CT868463:84434:85890:1 gene:GSPATT00017511001 transcript:CAK83167 MQRVAPNTIKEGFVKVVIPKQSSQPNINPESNTFFHIAPKFQQQRLTIKQTVPTSKSIEE KRCKQHKNNLSENNNSTNSNSKSRVIATLQIEDDSLQTSQNGLKLRKIVADSHLFSTNVL QQLSKQNLNTNLTNDSQSPGQRKPIQINQELYSLNTQIQSFIIKKKYHTKWEIYKELLLK QCNLLNVYKHQFIQSTSFNDISVRIINNLFEMMNNISIEILCEQESKFNQIIEKINQEKE LCLNNCQIIEKERDLLIQTVNQLKSPKQINNSNQIDSLDTGQLQEMQNAMQFKLQEISEK EAKLIKLVLAIKRSGIDIEKIYNEEVLNDDSALEPNDILHGVKHYNFEKNEHDADNSIVN DSDESSFCFLNKLENDSILDSIRQYQYKSNKNLDTKTNNVKLKLDLSSLQQKFQQTQKKQ QHNKSQSSQIIQNKQQKHKIPENQSFTGFHQEFIQKLNEFSESWRIQAAKDEKRTKS >CAK83168 pep:novel supercontig:GCA_000165425.1:CT868463:86617:88319:1 gene:GSPATT00017512001 transcript:CAK83168 MNLILICILFINISTKEFRIQIQKTQTQRRSRNHLTYSNVDKNKLKATPDDSKQYLDDYT LTIPLTLGSEQVQQSIDTTSYITWVFSKNPDDKKCDKCPVDAKLIKCETSCSYQTSKDDD KNIPLTSENSYGGRVKVNGLYAIQDSLELLGMTVNKVGLGLVDKYNTEYSTTSNDGALGL AFGQDYIDYKKKKNAQVGEFQFDSALEPQNSILQQIRAQLPNVPQTTFAIKLEDTLQLLV LGEKQGYFASSKFVKFSNVGKKVWALKVNKLYFGDESVFLSNKQIKLLAGGTAIFDSTTR FIWAEKTVIEKFEEELKKFHLDCAVSEDLLKCSCNDEQYEKFNDINFTFNIDNNKYALSK SDYIHREDQKCYLLVRSLQASNPLIQQSSDKPVIIIPYTFFKNNYVLFDQEENSINISPA YQVKADSIHLSNNTIITTSIIGFVFLILSLFVLTNIMQYASYENTTQQPQQPQAYQKQQI YQTPQMQQQQFKQSPYQFSPNQINQGRVNPVANIPGQFRF >CAK83169 pep:novel supercontig:GCA_000165425.1:CT868463:88359:90744:-1 gene:GSPATT00017513001 transcript:CAK83169 MLLENIKVVIRIKPINYRDLEIQDKSTLKVLDQETLAAIAPVEADVKQGKRTYKFNWIFD QIASQKDIFEKALEYQVLSLLDGINVTLFSYGASGTGKTHTQIFPIIKTYYGLLIRALNQ LYQTIHNKKRKVQMKFSYLELQQEQLYDLLNNMNSNLDIKDDVEKGIVISGMREIDVAST QEVINLIQYGKRQKSTKQHEILIFTNYIQDIVGSNNEITVSKFIIADLAGFEKGGQKSAS LQVLNDCISLLSEAQSKNIQPFIPYRNSKLTKILKDSFCGNSKTLIIGCVSPQVTNYEET IQTLEYCSMAAGIFNQGSIKNLQQSNHQEDFKSIYDQLINENVELKKQLNHKPNQKSGVN NNEKEQTKLYEENIIEHFNNEQDINQSIFKYQWEIEQIKFTVNESQENLQRIDGIDRNAA DSLRYQIEQDQKQLHNYQEQLIKFQMQASNFPIQRRALEENVNQSNLSNSYKLYLTNLIE KHILRLEVYEIKLKEQINELHRQQHERIMAIQRSQISLRDRIIADQRKHIIQHGKNQSVQ HYSQIDTTTEVIDGTKAKLKHYPLPKIKDHYFNREAYLQRKESPKSIFSPRLQTPLQLKE VKPYVPRTPRTKILDNLSTPILPKPNALAKAPPQKIIDSKSMVALPLITTNRNLSKFVYD WKGGGYKFIEEQKKIPSQRELKQIISSKQHTQQSLEKSFFTTSSRSTSAKKVSFKQNILL PGKVHESPYVKEFINKETQRKMKLKQLNQKMMKATQKK >CAK83170 pep:novel supercontig:GCA_000165425.1:CT868463:91280:91626:1 gene:GSPATT00017514001 transcript:CAK83170 MKSCQIEEEDMHPTTQPGFITVVVKVSCNIFTNVTSIKIDPQIPFNLFCNLVKEMIFSSI DQKQADTNFEYIKQNQVIEEKEIKSLLELGFTNQDVLEIKFKLRGG >CAK83171 pep:novel supercontig:GCA_000165425.1:CT868463:92688:94589:1 gene:GSPATT00017515001 transcript:CAK83171 MNQDTTNVILVVITNKANKTLGHDKYFKVFSQFGTIQRMLIFERSLTWKTFVEFDNPESA IKARQVMNDKPFCDDSQLMMNVYASKLTYITFQENNTGGVDYTLLRKQQQPAQKPPQQPI PIQFLQSQMQFQHQMSQQIQQINSFMGQLQQVSAEGFSTTMISSSDLQSQIEKQQSLLNQ IYECQANFQHLTDQYQNFLQDVNKQEETQATTVQQKQSNRKKLTLPADQKKKTDQIEFIQ SYRDNNTESLFNSQDQKIEDDDLNFQGLGLRESDDEIVGSEHCDDEDEEDEEQNEFLKYF DQNSDNKQINNFQNAFQNNDKPQQQFQSQQLQQSNLSQTSSQPTSSSNLDQFKNKIIRMP VSKSQTLQQVEKQQLDKMQQSADKIEEYINPKFLQSVRKSRVIYARWFDKKVVTSQMLYN LFSIYGNIDKMIYLKERSSALIQYVTQDHAAIAKESLNDIMFYGQSIKIFFSNYEEISLK TQPTKPGEFTQDVKTQEEYFQGGEETHRIKPDSTYTLAPPCDTIQVSNLTKNSCQVAVLQ QYLQDYGQIKQYKLVTNATKYMAILKYPSTEVAITVLANNNGLELDGKQIQINFSKQKLI >CAK83172 pep:novel supercontig:GCA_000165425.1:CT868463:94765:95342:1 gene:GSPATT00017516001 transcript:CAK83172 MATPDLITQQLYIEHDDYLNDNNVEYEQVYRLVQMLYDHQQQKSGTRRSVIKNLDQVESY IESDQDELNKSIMMEQDDNWQTPPNRRTETIYPKDNSKFKQVPSSESMNLSISGMQNFMQ GQQKKPNNLTYLPIHNFDEQINKNRRFVEDQIQQNQQSYFKNKFIELPQPLLNRNNQKIN NYY >CAK83173 pep:novel supercontig:GCA_000165425.1:CT868463:95955:96997:1 gene:GSPATT00017517001 transcript:CAK83173 MSIEDVMLEAHKLGYHIDEKTVKHNFEYFKHNLNMCVCANCQCGNCQCRNPRFQLATSYR KMSLTPKVSVKDTPITISPSFYQTFENSYRQMQGLPNQFVTSNQRQYRGFSLADTPVRSA KSGVANSISFEGNTSYKQFFQDKPQQKYLQFKRPYEKHTGPLKIKLKGLLKAQDRVLKSQ CGLKLEGSNFQSQFIDSNEYDLLIFFQRDWSFKLIIRQRRVQKEEIRESLMHKSNYVAFL SEISFKLIVQKQPSVFLSGQIIKILMNTYNLQSRVNQEIQRALCNVLQGQIRNHFKNEIC NLMKECGEILHLNIEENDLKKQMLMEMEMWIF >CAK83174 pep:novel supercontig:GCA_000165425.1:CT868463:97324:98206:-1 gene:GSPATT00017518001 transcript:CAK83174 MSDSVQFGGIMTKEECQALAKKIMSIYDGDGNGTLDSFEVGYIQSDCYRAMNKGFNPTPT DIAAFSRIIDRRQCGMVTDKDIEALCIKYFGGNFDRSERSERAVPEQNTNQQRSYETSNI NRQVSSNQNSKVITVQKQTVVTNQASSIPNSPPVKTTYSSVVQERLEVARRIFRMLDTEK NGFITEKHVPSLLQETYKLMGMSIEPTQEDVELWMEMADEDRDGKVFLKDYEALVIRSLK QQGIVLE >CAK83175 pep:novel supercontig:GCA_000165425.1:CT868463:98584:99036:1 gene:GSPATT00017519001 transcript:CAK83175 MTLTILILGGLLFLALIGIMLIKHFCNKKQQVESKSPEAIQNNQHIDLGDQEVIPDSHQS QIHKQQIQVKSIKQSNTPSRKGKQKKEKQLMGEIAFQFNDDSDNENDKNSSGTDQQQSLN MREQAEFNFQQSEHNLEELVTPTQVNSQEI >CAK83176 pep:novel supercontig:GCA_000165425.1:CT868463:99505:100915:1 gene:GSPATT00017520001 transcript:CAK83176 MIAFDQNHSEEITKICDKDKLNELDYSLIHINWRQHILREFNRYINSKPNSEDKYYYCYL RNDTINTLLKIILKITYFQKIVFQFVIKIMEFRIMGKNLQDFGFLSYTMKQVNFKYNLFN KWNDQAGELVSQHQPLFISNLKYNYHKLFSGILFVFLDILFGVLSLLILYYNVTQLRDFL HKYGSSIHIEVLSKEVEWLMGFPAGLKTNKPLNYVLGQMIMYIIVLWNHITTFITPYENI LLRAVMIFGLFGLNFELSLAIDIISLCTIHVYYIYKMLMVIYRQLWVMIICFYRITQNKF INKFRNKLEDHPFLWDQKIISMFLGFFLLMMIPTVSLYYFWFLLIVIFIYLVKLTLISFQ RIFSKFPLFLLCSYLYLHNIPQTLEMNIQQIQNNTVIVKMIYKKVKITQVIKLNKLVTLK QQFNIAQIIKSIFVGQDIMIKSKTQKTAIKQIKHSVDHLVQL >CAK83177 pep:novel supercontig:GCA_000165425.1:CT868463:101068:102600:-1 gene:GSPATT00017521001 transcript:CAK83177 MLAKQIFLSRAQNKPQQTSKIEFPTLLDLAIEQVALNFDLYSQLPGIPEKIKHQVFPLHQ DQIISKAPKTLPVTITYNSIEDENYWKQACETKWKGTHRTINICRHSYSYKIAYMENFLE EYIKSIKSLESQQEKDELQAILKAVNNWVYSLNISQTQCNIDIGFLCQYLPCLQNLTVIY GVKTTGIDQTRKEILGMKLSQAAELGEAISKHCRNLQSLSLPSNLIDDDLLRLLMTGINL DISIIELNLSHNKIGDQGVIRIAKYLMRSEILLKLDLSNNAIGLVGSKNLAYALLFNKSL EHLNLSLNSFNDIAGANFFSKLSQNKSIQHLNLSANQLGSLTAEMLEVYLSDSSCTLQSL NISNNNFSENNDNKDKNIYEKLKTGLTKNTSLIHFDISHSKFKRNNAEKELQDIIVQSRL KQRKIPFVSKEEFEQQQAQNKLKKEKLEKTKTKQVEEVISPQ >CAK83178 pep:novel supercontig:GCA_000165425.1:CT868463:102621:104933:-1 gene:GSPATT00017522001 transcript:CAK83178 MNTCIKSIGSSKVLSIMLIADSLIVHTQSYLISNYNYLIIALSLTCFHGIVLTSEHFLQG RNKLINVFFNASKGVAQIIVHYLLEQNWLIFAGIQIICIIKQDVQHFKYVLIIFFITQIF CVAFYKTDFVIVGVIRTVFNYILISQIFRFESQNNLIQLENILKHIQHDEFCLLDNDFTP VFNQQVFNQLKDEQLLGAQDFYIQTRIITPRDNTTNLIREIQLNEEDAKQALSLQEILFH LKKSKIPLSKKLCYQLSNQQNYHIQIENFILDENNSMVMIQKRKKYDSIQQGNQINFMIK SVRKVSHDMRNPLNAIINMQMCLKEQIDKELVLKYLKPSLNSCHLLLNLLNDILDSAQVE NNNIKLVYKKFNLQNLIKKTISIFDSLKDKKDIIITFNYDSKLPISVNSDKFRIRQIIMN LLSNAIKYTKPNGKVYIDCSESPQRKNFIKISIQDTGYGIKQENLKYLFKEFSKIEDGEN QNLNPFGIGLGLMISNELAKLLSNNGIQVQSEVGIGSQFYFEIENKQLALEDISESQLLI IHQNGLPSFDAHFLPGPNSNKSPVISLALPQVESSKKIILKRSQKTINVMTNSVNNQTKL NVKNDEKSIARKQSLQAKQIQALRDKWYDYTNQKPPILIVDDDEVNIMVLQYLMEQLNIS SDSAMNGMACLQKFVERQKEGNSYQLVFLDINMPVMNGFELAKKLIQIDPLIILIACSGD VPNSSYLEQCKQSGILGTILKPIPKSKLKELLVKLSDGIKYDSQQFSYYC >CAK83179 pep:novel supercontig:GCA_000165425.1:CT868463:105259:105865:-1 gene:GSPATT00017523001 transcript:CAK83179 MENKVKLSTQDGVIIEVDKEVACKSHLINTIIDDTGSEEEIPLPNVKSSILNKVIEYCNM HRNDSPPEIEKPLRSNNLADCVEQKDADFINIPNLEELFDIILAANYLDIKSLLDLSCAK VATYIKGKTPEEIRKTFNIQNDLTQEEEQQIREENKWAEETS >CAK83180 pep:novel supercontig:GCA_000165425.1:CT868463:106054:107518:-1 gene:GSPATT00017524001 transcript:CAK83180 MSDELMIPKSPLQFRKNSEYEPQRILVGVTGGSAAGKTTLCETIKREIQYDSDVDMTILS CDSFYKEVDKTKIDITQYNFDHPDSIDWDYAFQVISTLLSGKPSKIPNYSFVTYKREDED QIVLPAQVIVFEGILSLYDERIRNCMSYKIFIHCDDDIRLCRRILRDVQERGRSVQSVLF QYSQFVKRSFENFISPFMSQADLIIPGHRSNHVSVAFIVNHLKNMAKQNGLLREKLNTQL YFGDILYSVDGFMRNRTSMDFTKYYSNYKQLFFPEEQLKNELLYITQNFLGGKLTTKKSI YKMVKLCFKQTYIMLEKCLVQNKQTFDQVKCYHLNEILAKEFIPDKNINTIVVAVPYILR NGTQKLHQVEEKLKQQQQIQLIVVNVFSDIKAVTDINWTFKRLKILVNAFLVGRLDKFRS LLLLQQDQEPDIMFQQDRFYKKLNEFIKKKKLGNIKNEDDEFC >CAK83181 pep:novel supercontig:GCA_000165425.1:CT868463:107543:109011:-1 gene:GSPATT00017525001 transcript:CAK83181 MNKSSSSSPKHSQSNNARSNKYRNFIKNANLQQTHTQTEIDELLIASPLQSFTAARGHKF KASFSKFLAGNIDTKQLIDHSNFPTKIDKMNDKYEYVKSHKESVCSFKDQIINKEHLQSN LLEEKLAKLKIASNKMEVENKRERIYELSLQVKKDKRKLSKQENALLRWKKIKDVIQNNI QLRRMIIEEKRKQTKFLDNLEIAFHKSSEFYIQNLRFHQLRTMTVLKEKKLFHSNCQNLS PRHYHFMLSLQFKENSDNFLFTRFTTIPEHVQNQMKNNDQIYDRILNLYLNKKDIYLQWV QEQKYRKVIKWKQSKLQVSNDLHSNTASSSGLNKHKPMQRVQNPFQQTQRVKDYKSKLIE QVNDVEHIDQDSRSQLKQLLQKSNNKKKNSEGVIKHNRFLSYPSNSNNDQIDDFQDNISN ASITEALLDQLYSGANNLQQKINNNKGLTFQRKVRDYLRLEEIKLQTIRANNLKLIPKQN Y >CAK83182 pep:novel supercontig:GCA_000165425.1:CT868463:110034:110195:-1 gene:GSPATT00017526001 transcript:CAK83182 MQSNTPLNNSRQTQIKLPTQLEEQNLGHTSQVPYAITKNPIVQRRESKRKPSA >CAK83183 pep:novel supercontig:GCA_000165425.1:CT868463:110421:111281:-1 gene:GSPATT00017527001 transcript:CAK83183 MSDDDNQLDVSSISIIKKFLKSELLDESEFEVEQANELNKKPQQFNFHLMLEQKNDKNCQ KKQSDPQENKDLNYLISELRLEQQNFQAELEHLQSQFIQEINFLMQKVFDQHKLFYKQQE YQLSLIQQKKQRLQLQSPQQSYALLYQTNPNIQTYQKFNKDLKNSLVKLLQNIKTTKICQ DIFNVQEQSYQSQTSRSPLAQLNKLFSRQGSMEKKQPFANKVNNTNMKLNLVMPMKRDTQ SFWNQPSERKCSITSKINSSPFEKREKCLVQQALFKQSSDFLKFKC >CAK83184 pep:novel supercontig:GCA_000165425.1:CT868463:112217:113212:1 gene:GSPATT00017528001 transcript:CAK83184 MRKKVDKIKKKPWSDYEDAQVMQLVEQYGPHKWTFIASKLQGRIGKQCRERWHNHLNPLI KKSPWEYEEEWILFLYHEAISNEWAEIAKHLEGRTDNAIKNHWNSGMKKRMNEFREKLLK IRQQFQQKGQAYYFRLICEPF >CAK83185 pep:novel supercontig:GCA_000165425.1:CT868463:114081:114635:1 gene:GSPATT00017529001 transcript:CAK83185 MNDLKKPLYERFSTFDGPEYLSVSDSISSPQLSSDLEQLCVNIVICYEFTRLPEDLQKIK LKNDKKSELLKINQNIKTRSKQFIHKDYQLSNYFEQQSNQQISGTQANQKYWLRNINLQI IKKLMIEFMLKIQELCRYLDEEQEDCKIEFQYCSCLNFESMGVI >CAK83186 pep:novel supercontig:GCA_000165425.1:CT868463:115703:116394:1 gene:GSPATT00017530001 transcript:CAK83186 MDSLFALFDQFFRINLTQYPQNQQAYLSESWEQFLCLLQTQPLDYGKIYTLINRINSEDL KVEKNERQSSSQRYIARNITAASSPRIVSSSVSKNSTNVLSKIAQLDSVASPKITVSNLA SQQSLTNKTSLQQNVKQQQMTDEFNRHLLLSKIKELQFNFSVSTDKLYAIARITHTRAEN QPTSKSPIKYPLSQYINKSRGSNHSAEHYKIVV >CAK83187 pep:novel supercontig:GCA_000165425.1:CT868463:116600:118544:1 gene:GSPATT00017531001 transcript:CAK83187 MKALVEEFQASSKEQPISFQEFLQNIMDEYDNEQANDTQQYDPKNYFKDSYKHMISLVQP FNDLEQGEINYNNPQKQQEIQDLSKNYQLKIKSNRDPKQKQLQSFGLQDELDFQRINEEQ QDQSYFSIEDNRPIQRLTNQEFYQIRDEESLEKKQKMHAEYLKKKGNFLLACQRDNVKQA GQRVPLVQVNINQQVKQLKKFVDTNLYNKQIETLEEIEEPQEEEYENAKKYFKKQIEQLQ NSIEYYQNENERLRKEKLDINHQFQVLKYEKDMFEYNKFNQIQEFEELKKKELEKIKKKQ TVLDMIQKTHSNEPNHMLIKEIEELKKQIEDLKVESDKKQEKLKEKKQKYQKYYKDKIEQ TKYLEEQINSYQQKIEQLTQIINEYESNTEVQELIENQKKQKLKIQEQENEKKAQEQKNQ QEQVQEIVKPVPLFELIQDKEFKFSIDDINKKIYIEEFSFDYNSFYKDYLKQSKKKEKLV EKQQRSDGKILKIFKSGKKVVEGMKGFIKEIFPNDYVIVHFPNQDIKQELPNGIKIYYHS KNNSTQSTLPQGISVTYFSNKQLEIIFVDGSKQILFQDGTKKFVNYKGEEEIFYPDGVKQ TINYDRIKKTEYPNGNVKVVNLNK >CAK83188 pep:novel supercontig:GCA_000165425.1:CT868463:118612:119196:1 gene:GSPATT00017532001 transcript:CAK83188 MNLIIKGKELQLYFSKEQLNNMVAKTANQISADYQEKHPIFIGVLNGAFMFMADLIRAVD PQLKFQIDFIKLCSYDGLGKQSTGIVKALSGLKNDIKGQHVIIIEDIIETGLTITAVMEE MKKLEPASIKLCACFLKTGRQKINIQPDYLCTEVEDKFIIGYGLDWDEYGRGLDQLYYIQ >CAK83189 pep:novel supercontig:GCA_000165425.1:CT868463:120187:124066:-1 gene:GSPATT00017533001 transcript:CAK83189 MLALLLLTIYQVKTLTVQSQAVIKEFNERDDLFSIKFIITSSDKIKTISIDLKELKCLQT STITPIILNADQQSPYIFTMNFETNTVSVTLDKGQVVYSQLDVLFEITDFYYPTFNQILN YDVRVNNQDINTFQLSRGKRFLNSLQISSGSSITQEYTDLTIQFQSRYNNHLYDVIVIAN LILLMHLIKQFHHVKELTTSERIQIVGEDDFVEKSKDKLIIFILKGFLNPYYVGTFVIEV VIQPIQFLDTTIVSSNNFFNYQVKNQKKSQIILTQQNNYINSLSQIDFTIHTQRFNQYLQ LEIYIPNKSSEDLIITSKDTNMLVEVITIINKSNQLLKLTINQPQTNYDIKLVIENYLNS DIPSQEQCFYFLTEIVDLTDDQLQIQYGKGILNFIPNNIKLLSIDTTNNYITKSAKTIVK FGIDIVLQKDSLLLVKLNENLNTSKISTLNQVLNGNTLSLYGVFAQQQSEYIIEFENIIN PIMPSKFSYLDLQIIQLNEVYASNQNQIEIEIVHEIITMSNFNLGYLITNSYTNMNLDIN FNLQHPYLTNVEIIFDVSNINFNQLTKQIECYFDTASYTCQIHQNKLTIFGILFTKSSAH LEIQYFPYPRSLQSLIIQSIRQFNNSITLTQSTQAQSTQPVYQREFNQFQISLQSQTRKL QTVPMNIILIPSQDILNQEYLIFKFDNYLEIEGDAICQINNNLVSLQCEFTDGQIKILIT SGSLHHSMSYSILITKIKIMREYLTLQMSAFTQNNYLSQQSSCAFKIKQQSQSSEFLEFT FDPINTDFSSQSNYHLFFENAITIPANGYLQLSSTELSIDFLQGQVIQVSGDEDISNIKI FKQNQKYSLLFYFNKEVQSGMHLLKMQNVSNPVYQQRKEYYTFSLQSYDQNNNPLEFKEY DLYSIFVCPQSKCQICNQQEFCDKCEAPYILFQGQCLKQCPNKTVLIGNQCQRCNAGLSC LECSNIDTVLCSRCSDGYDLIDGFCIWTIISNSTQNQNSTNNTSNHNNNTNSNNSTTNLT NTTIKQQQIYDIQIFAIIVLSFAIVFILRNIFRKQTDFNNAFLAFSGIFDLILQFSLFAI ILINYSISQSIISLALCFVAIVQQLYAYQIIKLPLQVDIAYQKCQERSRVAKVLKVIILF IDWKTIFIHKSGITNSKIFSVHFQGESKLKRIFSFLFLVKIAVNIANLVMLGIILLSQNQ IAQLIYESICYNLAMIIIQLNKFYLIKNSLKNDIPIDIMTDQLNQDNENENQNQFKSTSK VTPEL >CAK83190 pep:novel supercontig:GCA_000165425.1:CT868463:124611:125823:1 gene:GSPATT00017534001 transcript:CAK83190 MNQDKEWSIDGWKKHSALQLPIYADAQLYQDTLQKIKNLPGILNFEDINAFKNQMIRVSK GEKFVLQLGDCAEVFDECTEKHWKEKFSFYDRMGQILDAIVIGRTCGQFAKPRSQLLEKD GTLNYRGDLINSLSRDERDPDPSRLLLGAHYTKKGIETLKQYEGSQIWVSHECLHLGYES AFVKQNQDKQYYLSNTHFPWIGDRTRLHDHAHSNFIKGIYNPVGIKIGQTINKTEFVNVF KLINPNNEDGRAFAIIRLGKNKLDKLKDIIQWKQEEKLNISFFLDPMHGNNLDKGGRKIR KIEDIMYEIQQFFAILEQENESPAGLHLECTPYDVTECIENDEDINPIKYTTACDPRLNS RQTRKIIIFVNTLLKKLRNKQ >CAK83191 pep:novel supercontig:GCA_000165425.1:CT868463:126720:127282:1 gene:GSPATT00017535001 transcript:CAK83191 MNKKERLGSKLNQDVMINVIVNFIVVLQYNNFLTNAGCISQGFSDFGLFGLYLKGMNTHK QEIYNLAIEEIQKLRVISDNEILRAKNIVITKFYSNLQRQHDQLIYQLENVKTLFMQIIL KGKLIVMEDFKEQINGITRKDIENRIEQLIGSKELTIIYIGKGCNLMADEKLTRMRMQC >CAK83192 pep:novel supercontig:GCA_000165425.1:CT868463:127357:128943:-1 gene:GSPATT00017536001 transcript:CAK83192 MASQSEEKDLIGSILSGTSNQLFTDDEIKLVPSLCKRRKNLSLKSKEFNPEQHVNQQDIH TSNASIISTGQVSQQKQRIFFHTQTSIEIQSQLSSALIQTSEQEVCGSAENFQSLDSIEK PQINYKGKKHLTVQPLEYQHTQCQFKSDQSLDGKMQLSQMDLNCQEQICGNQFINRKLQN ALDGNDSNQKRLIFCQVEKICVKAAKDMFGNYTVQKLFDVADCDQKFKLYSLLISHILEL SKNQYACRVVQKMIEFVKGSPEHIESFFKTLYPHIHQLLNDVNGNYVLLSCFEILDKSTL LFIIPYIEECIAILSKQTYGCRLIQKVLELYPLEITQRILDILISFAYQLCYQEFGNYII QYLLKSGPQKERLIISQIIKENFEKLSINKFGSNTVEKYIDLMGPSQIIKNLCTKSNDQF VFYNLSIHPFGNYVIKKVLISADPSVHYLKQLLKQHPDLIQQIKNSEFGQRVGLILDTV >CAK83193 pep:novel supercontig:GCA_000165425.1:CT868463:129556:130459:-1 gene:GSPATT00017537001 transcript:CAK83193 MGSCSLKQTQNQQKIKVKKQCSCWEQNEETQNMCQPPLQPNYENIRLNTGSEECDIQEKI YQLQITKTEPLTFQSKNSFAMHSKDGLEININQQMSGYGMVSFKDGSQYEGYFQEGLIHG FGRFTDIDNNMYIGEWFQNKKQGFGKEVVINQYIYQGQFFNNFKHGNGYAQYEDGTSYEG QFQYNLLHGFGIYKGLNGLSYGGNWVDNSMDGQGKLTLSNKSVYEGSFRQNKKHGYGVYT WADGKQYKGFWKDDAQDGEGELIKQDGQPIQRKYQNGKRIASNLKILSPICFD >CAK83194 pep:novel supercontig:GCA_000165425.1:CT868463:130808:131551:1 gene:GSPATT00017538001 transcript:CAK83194 MLHQYLSDFESFDNFSSNLDENNKIQYQKLNLFKNDSFQEFDIDFEQKSTNIVNQPQQSH QEVSKKESSQTVTKKIKKSSKKDRNQKDYKKNICRNILRHAIKSMNNDQDCVSYISELVD DGKLFSIYYNRQLEQITGFRVLREHLVQMKEDSQIVRNRKQAFRQYLLWYLKSKATAMIL RGETQNPQEYIRYKNEVLMYYIHRPHEWISNQPEWLNVATTGNEQQFQLNAEGQCI >CAK83195 pep:novel supercontig:GCA_000165425.1:CT868463:131625:133315:1 gene:GSPATT00017539001 transcript:CAK83195 MKQQIDLKLLQQQREERFQKSLFGANQMSQPVEDKPASSKVPMLSNENFVQIYVKCTITP EFVAQKELSQDNVDNEIDIVFDDVIGKHFDQENIENEELGMKKEYNVFVERQLVQQIKLL KQNYIEKQDLIKMPVCGSNGIRLPEEQDLLLYFEYFKIRDNSTECQLLLQSQDLKSLYGL LICGCLFSDENAQDLTFKFLTKKPTLEIIMKLLLVTVHYESKYQMYMYKLVKQILYFYNG ILKEITDPLLNDAIQNLKQLSIEKPNIIRVNTKGFSLKINTTFLYPLYKQYEKERAAVFK PINEYTQFQVLRNADIPDQTEDSEYPHIYIIKKQSDQQQYLYGVQLEAHAEILIYDNKCE KYQKYNDSYLGCVERNIWGTSMVVYDDGYPESAQLQFPDWIGQKRRRLMKIEYETNIMAN EPRYFDTVFLNLDTKQWEELITLKPHYNQEKDCYQLNFFGQSKIASARNFQLIKAGDDKT IQLLHGKMEANSFNLDFRPPLSILQAFAISMVSISSKALVS >CAK83196 pep:novel supercontig:GCA_000165425.1:CT868463:133325:133804:-1 gene:GSPATT00017540001 transcript:CAK83196 MGTKRKRVIMQAGSLLNPIFRYILKMNFVANPTDIHGTRLLRHLQYQTMNMNKKLHQPNF ESTYRESVECISSKWEIKFVNGWERTFYMKYADMSTLHQTIRQYNHFIEGQRALQGEDDE PDDTLLQY >CAK83197 pep:novel supercontig:GCA_000165425.1:CT868463:133843:136165:1 gene:GSPATT00017541001 transcript:CAK83197 MKLKNRNSTSVNKLQNLKQQQDNIIQSINELNDKNQQIIKDYMNKQQQLTDQLQKQHHQI TIQEVKQALQNNVWSQKVGFFLNPLSLQHGQKITIQQQQILLKHSESKLILLERIFQTEF KIVQFQEQKKMIQSDIEGRILQMDTLLIEYEELEQIIDSQQNKSQCNNVKTIFEINKSLS QLKHTYIQLANQRQEKEIVQTDFQNIINTLELQTKSIQIEKQMKGLQSSWFNVDQLYNLI VSNAKAEQQLELLCNVVLFINDKIVQGLNSKTNKNAIIDNIRQIENDNNFRVFNSTIFQE YLDQAQIAEYSSFLKTELPKRICKFKTESQLKQHKALLVDVQRQIHQIMYEQSMIQTQYN RLESNLPVLEQNQTAQKLQQILDRMNHIECLKSQNKTLFEITFPAQIKQIQDNLMSMKQQ LNSQIKDLQYSIGIEQDYYYKIFSSQSQKLPILILDSNVVNDNIEYQIEENRKMYQQQQI LYNQNVENQRQKLKQLEEQENQMQTRYLIELNESILKTKKENIPPSDSRDMSMLGTIQID MSQERTTHKYSVFRSKKQLFMNEPTDRSLSTYSFLGHRSSDPLCSKTKLALKQQTSLSSQ ASQKNPLLSPQSNNHHISIEQSLSLHSSARRFPDFQMEKSPPQQILGDKIYKVLKRTFRQ QSTISKSGCSAFSSKFVKYNQKMKCLEFYNSNKMIHDLGQKESALCLRQIKEVKQCQQSL VLFISKHQSIELVFSKHEQLNEFMKMITQ >CAK83198 pep:novel supercontig:GCA_000165425.1:CT868463:136195:137583:1 gene:GSPATT00017542001 transcript:CAK83198 MQVFTKNVFRRIAFTPFFSFSSKRDLYELLGVPKNASQNDIKKAYYGLAKKYHPDANPSK DAKEKFAEVNNAYETLSDENKRRVYDQVGMTGDEQDQAGAQDPFAAYSSFFRQGQRGGRG QEYEFDESIFGDFASFFNMGGEAERTIKGADIHVQMEISFMDSVQGSQQTIQFEKVGTCT TCNGTKCKPGTAPGRCTNCGGRGSINYRQGAMTIQMACTKCRGTGISIKNPCTTCRGMGI QKQPTNETINIPKGIADGQNLRITGKGNVGENGGKSGDLIVKIQVKPDPYFKRDGYDLIT NAYISVAQAVLGDTIKIKTLNGDKQVSVKPGCQDGEKMRLSGLGINKLAPNQNQKGDQVI NFKIQIPTKLNEKQKEIFQELAKIEKTESQNQEGIFDQVKNAFHK >CAK83199 pep:novel supercontig:GCA_000165425.1:CT868463:138370:139715:1 gene:GSPATT00017543001 transcript:CAK83199 MQGYDQRNGKMVAIKIERPENEHLHSLDREVEILSRLQNIKGIPKLLYYGTQENYNTIVM ELLQKDMSSLIKQRKKISLKSILQITIQLLSILEEIHKQGVLHRDLKPENIMLDEQNAIY LIDFGISKIFQRKNGDLMQIFSYKTSPFKEKVPFVGTARYASIAAHKGQELSRKDDIESL FYVMIFCLKGTLPWQNLRHIPDDQRTQKIGELKETIDPKVLFKDLPNEFIKIYEYLRKLT FNVEPDYKTIIKLLQQAAKHLNILLDYRYEWDTQNNYQELIVNRFGSLQPEETQIKNYEK FQSNINNNQNNHVKPSQLQLPMMKLESNQTSNSNYGSGNYNNSIYNSVGIIYSLSNEEIS EELQEPIPDEQESQIFINVQAFPDHLKKPHQQRSNIQSEEEFQIIEDNLLSDKYQKLNAQ VTCNMQIHKK >CAK83200 pep:novel supercontig:GCA_000165425.1:CT868463:139808:140492:-1 gene:GSPATT00017544001 transcript:CAK83200 MNKQQCNYHYSFKFIIVGNSGVGKSCLLQQFIEGQFKNNIDSTVGIGFGQKDIIYKDSVI RINIWDTAGQESFRSITRAYYRGSIACLLVYDVTKKKTFHHLINWLNEVKQDSSAEIMIV GNKIDQWGREVSQDEGQQLAQQIGCLYLETSARTGENVIQAFETLVQRIYMKIKNKEIDL DDPQNGIKLGQMSEVQPVIKQSNGNQKSSCC >CAK83201 pep:novel supercontig:GCA_000165425.1:CT868463:140531:141352:1 gene:GSPATT00017545001 transcript:CAK83201 MNPNTPFNERFAKISEKLSSIQLHHDSSKAHRIDVVCGRVSGVEERIQDTITSYNRKLHS LKDEIVRLQKQIEEENNAFETQFEQRVREVAAFESRITTKLEQEISIRKDGNLKLQGYLD EKVVYLKSDIQTESKIRQEQIENITTSLENDLPKLYDLVKTEGQDREDSDNGTLRRAGDE IKRLNEGLSNQKKLREESEAAIFEMLKDLVSRVKSEIEEEKKLREESQENLLGLLEDACN KIYRAAKD >CAK83202 pep:novel supercontig:GCA_000165425.1:CT868463:141520:142493:-1 gene:GSPATT00017546001 transcript:CAK83202 MGGCPAKCEVKCGNGLRYEGSTLELNFEHQKTERNINRNRSASSSRQGESSTFPATHFHR EQDYSDNILHTQSDPKNEFIASNIEIELLPVFVTTKYINESTVKQRQNRFMEDLEIEVKA RIQEIKQEEQEERQRFIINDIVRKQLEFQKIKSELISKSQNNYLENSQKFNQKQQISPNK DASKESKDSQFASLQSSSLMLSSEKQSNIQSTVNKTDPLKYSTQNQHHQKKAFEKIISNY YIEDNSCSCNSKKKGILKQHKIPLSLTSKSLPNKTQAKSKLFKKKRVHFSQETNFNFEKK SEDRKHSRTWWKSIF >CAK83203 pep:novel supercontig:GCA_000165425.1:CT868463:144434:146114:-1 gene:GSPATT00017547001 transcript:CAK83203 MKKVLVLDGVNLSINDLKDILSGNLDVVVEIQDHVKNHILQSRNTVDLIVQKKIPIYGIS TGFGKFKEIYIPHQEMVDLQKNLIVSHASCVGEPLQPNIVLVMMILRLNCLIRGFSGVRM SLIESLQQAINKKLIPKVPCQGSVGASGDLAPLSHLALGLMGIGEIWNDGQYIPAEQALK MHNFEPLEFMEKEGLAMNNGTQMMAAINLETLMRSQYVMNAADLALGLTSYALQTNYRFL RNYSKSDNKSKNIVFSLIGEEWADQIKTNPKSIQTAFTTHQIIRQSIEENLRIVEREINS TSDNPIIDNERQSARSGGNFHGEYMGMLADNMCIALQRLCIFSERRQERLVNSEIGDCGL PMFLTSKGGLLNGYMIPQYTSAALVSENKVLSHPSCIDTVPTSANIEDHVSMGAYSARKG LQIVQNAEYIIAIELMCAAQGLEFAKIQLPPKIQSCLKFIRNIIPDVKTQDIFMNQYIEI MAKNLRDGHINHLMEELQLL >CAK83204 pep:novel supercontig:GCA_000165425.1:CT868463:146492:146938:1 gene:GSPATT00017548001 transcript:CAK83204 MSIILPIVSAVGFLASIVAFGISVDLKIQLGSMSLDISRTAFFRTREIYASQIPYLEAVA FSIFLFIVIVRVIEYFKIDYKMVTSMNDDEPEIYYLTRAKLQQALEWSNIAVTQAFIILT LV >CAK83205 pep:novel supercontig:GCA_000165425.1:CT868463:147407:148097:1 gene:GSPATT00017549001 transcript:CAK83205 MSTSGEYPPVRQCFNQYCQSSFDLKVAKFSDSTILLCGTCYELYKRRKCCYFCAQVYKDD EQNFLDGKKWVQCDNQRCGKWTHIDCEVSDIESQLQHKSFRYKCPWCRIEKDKKKKQSQP TKSLINQDQADQSDQDPEYVHSLTKKASLEEAIVQQNYEPWLKKNTFLEELLKKNGEFTQ CINQEELQSDLVKMRTLLKK >CAK83206 pep:novel supercontig:GCA_000165425.1:CT868463:148342:150450:-1 gene:GSPATT00017550001 transcript:CAK83206 MSHISFFALIYCIILKSIIEYESVILKQIQILIHQLMENIQDLKTLIGHNFNAVNFSKYM INNDYSDVDQLIKDCQLESSGQRFNIWRLFLRIYSKEDSFTQKIEKLTKLRDNYKALENR HLKTSGASTQNQVEEPTKKGPLGGPLGGPLGGGKQKQQSSNAIDNAELRNEIRKDVERTY QEFEFFSSKRVQQILTTILFIWCKENSEISYRQGMNEIAASLIYIYTKEALHKEEVEKYE EEINILLQFNSWEYAEPDIYSLFTALMNDAQHMEMFRPNYTDQQKIKLQSKKPSAILTRV AKLQDILLKQVDLPLFRHLKLLQVEFQIFLLKWMRCMFTRELSLIESFHAWDAIFQDFLV QQCDSLFFVDCIAIAMIIYLKNQLMESEESSQCYQRFLKFPKITNLSSLLDTATQIRSIL ISQRSSNNLEDNIEGIEKQERLINPAFFVPDKVDTDPKAQQDKNKSKEQPKQTQQQQQVT KNEEEQSQSPQSQIVEKQPVKQQEQQYVFFDDKIMQSNTKAQKSQFVVEEKVQQREQNQN KEEKQMKIKLSIQQVQDICITIDEAFNAIKKSNDSQNHQELIISLAEIKQYLSKQISEAE ANSGNSQEEGQKSRNSGFVIKKSITGQQLPQEDNGIKSKMTDFFKKKW >CAK83207 pep:novel supercontig:GCA_000165425.1:CT868463:150480:150896:1 gene:GSPATT00017551001 transcript:CAK83207 MKSQYEKLFPNFKPPSKLDSDIILKAKQYIQQRQKKMCLMPRKACNESKYTLLSPKQTIH IPSIITVSLSNQLQYQRPLKSYEKSRVSTARSKKSVPKLDIKSLNFGKAKMFFEQKLELR SQNNSKNDFSLISPWSNR >CAK83208 pep:novel supercontig:GCA_000165425.1:CT868463:150940:151824:-1 gene:GSPATT00017552001 transcript:CAK83208 MKVKIFLGEYTYIYRGDIDLTEMNEFVKTLTNRAFVIKWKDQEGDLITITKKQDLAYFYQ LWTENSATNKQSFRLFVHEIPQIIQNLQITQLQSQSQIYSKMFSPSQRQSISKQSGISQF TFGLQLNEQAKSVDIQKERHSQQTNLQSIIEVQGSSKNISNQNLDKVQQQYQWNEDGKKQ RLGLDFNNIHAQDLSFFMNMDMKQSINRKEFTEIQLAVDDDYEDCDDFGNINYNDREKFD IANIYCDYCSDKIEEESTMLYTCQFCEDFHACQLCFDQYQEQVHVHQLQENILQ >CAK83209 pep:novel supercontig:GCA_000165425.1:CT868463:152021:152294:-1 gene:GSPATT00017553001 transcript:CAK83209 MVQFRVIIQNNEDEMEKQARLMAEKYKDGPKKKGALVKKTQERTQFDSATHEMQKQAQQH PPK >CAK83210 pep:novel supercontig:GCA_000165425.1:CT868463:152676:154443:-1 gene:GSPATT00017554001 transcript:CAK83210 MQPYYDKDTDDEFSDNTSVEGMNYRPQTAPPSPITELEEFKRFLIQQYYRKPEYDILEKA QRYQNYLIQRDLHKYDLDNPEGQRSCKKYLKTLEKMCIIYQVKTLSREYRNTFSKAYKIL YKEDRLCYLTEILDSAQEGFPYLWVNSEKFVFSPDVLSKGSKLIELFYKVQHVIRVSFSR TLKESPDFSSKLLKQDIVRILEDFDQIWVDFERLYVKELMEIEAKARRFILLAIEIDKEM TSIEIREKLRGKILVTSENYIQKKEQFCKVIAQINSVANVEGKGRDDLGINILLEAEGIT RRVTKEQSSAVRNLADSIKSNFQKFREQMRKYEGNIEMVDPQLKNNQELVDLLVEYESQW EKGLYYLLDPTKCQQLMYFSHIIETTAEKYKQFEEQLECRDSDIFVTIPCLIALKYLENE DRNICTYFLPKLKEETSMLYQQYAQLKNEFIEWRNLHTKQYEYYNILEKQLLGIPLSEKE QIALKNFKLDNIMQKIRQMSIELQRYNAIEWNYFIDAAINNN >CAK83211 pep:novel supercontig:GCA_000165425.1:CT868463:155270:155704:1 gene:GSPATT00017555001 transcript:CAK83211 MEVQNKILILNKSQEMEILRRQVYSLNKILESYLYRNVVLLFVISKTKQFLQQWYDLIRS YFKYFTQIKKMIQFYLYELLKYTQKYQHKVQQRRIILFLLQRVKQVLEQLNGFKCKVFFL QLHHLDCQHPSREVWSDIIIGNKE >CAK83212 pep:novel supercontig:GCA_000165425.1:CT868463:155770:158256:-1 gene:GSPATT00017556001 transcript:CAK83212 MDLRKLSQYFKNEKSIVEYFNDNSIEIKEASQFNFGQSNPTYQLSFKNSDKKLVLRKKPD GQLLPGAHQIEREFEITLKLSQVSFPVAKPLVFCNDSSIIGTPFYVMEFIEGRIFKDIKL QELSNQEKQEILKEQARVLAQLHSIDIHKLKLNHLGKSENYYQRQIATWSRQYKLAETQN IQSMENLLYWLPLNIPQKDEHDIVCLCHGDYALNNMIFHPTQPKILAVIDWELATIGQSY ADIAYMTQFYFTSQFQSTAHQGGVKGIYQYIGLPEYQDLINVYFKSRNIDKSIDLRYHTA FSMFRLSSIAQGVYKRALQGNASQKEQGLELLKLGKHLSSDAWELINKLTDNDPFRLKEL LKNDIPRWGSWPVSDRAKHLYYRVRDFLKTEFFPYEKQLIHEAESRPPETRWKPLQKLHE IKLKAREQGLWNLFCTYPVVGKGLTNLEYSFICELMGTSIFAPEVFNCSAPDTGNMELLV LYANKEQKMKYLLPLLQGEIRSCFAMTEPQVASSDATNIQTSITKTENGYKINGKKWYIS GAGDERCKFAIVMGKTSQDTSKPHQQQSMILVDLPNPNVKITRPMHVFFSDEAPHGHMEM VFDNVEVPKSNLIWQEGKGFEMAQGRLGGGRLHHCMRLIGITERVLDLMMQRGERRMIFK SKMKDIGSFQQKLGELATQLSACRLVVLNAAMQIDILGNRSRYIFKILSECKAFVPKVTQ NIIDEVIQIFGAEGVSQDQVLSMAFIQARTLRIADGPDEVHYRQVARLSYSLQSKNELLQ ADGYGLPTLPKL >CAK83213 pep:novel supercontig:GCA_000165425.1:CT868463:159798:161271:-1 gene:GSPATT00017557001 transcript:CAK83213 MHQDKGRIYYGRFVQREKLSQGSFGVVYICHDKVTREYIAMKVEKENKDLMSLEREIQII EELRGIAGVPKLYWYGNEYNQNCMAMQLLGRDLAHYQKKFKKLSLKTVCNLAEQLLTILE EIHKRGVIHRDIKPENILMGRGNDQQQVYFVDFGISKKFRNNNQHMQNYLLCSSFQEKKS FIGTTRYASISAHKGYELSRRDDLESLGYVFIYLLRGNLPWQNIISQSDKEKTKLVGKFK MELELKDLCKGLPNEFQRYLDYVYKLKFTSTPDYQYLLGLIQKAAQQNNISFDRKFDWMD NQTSSTKSSDQAQQSFNDIDVSNIQSDYLKLPDLKKSERRKSYQMDQSSQQSSVVLNYAP SIVSKGNSRNFQRSRQNSKQSYSRESSLIKQPLESKFQGPLFQNREFRDFDEIENKEKVN PIKFSDFDDLDDEMNDDRQIPLGFGNIQVHFKEHLSKQ >CAK83214 pep:novel supercontig:GCA_000165425.1:CT868463:161619:163137:-1 gene:GSPATT00017558001 transcript:CAK83214 MYKPSRSLRQSQEKPYAHNKPSPQTRAISFANTMEDPLRQINRELDRDLQRQKLKVYEAE LLEAKDQQSQICVELNEQKLKNKQQQQVIENLEFKLQIEKKDSLIYQQQVQRLEEQFNYL QDNYNTQKQQIIVLQESIEQIQKKHNLEKEMRNEKEYQLEKEIKFQQNEIKRYQEENIKQ REHILQNNQQQNANEFIQILENKFELVQKEFIFYKKEKEQEIENNQQLLDSYQNQIQQLD QENKSLQDKNLIVSSTEEQQTQIKSLKIELESQLQFVKQLNQQIANLQQQLNQTNIICQN LKEEKQGAIKEKQAIETYYLDQLQKQDVQLSQMIKSSVQNEQKAQIHKLQIIIEQKDLMI RELSMQKDCNCNKQIKNEQKLIIRDLEKKNATLVMEVERLNKILKQKLTELENQTSRSCE ENHKKYLEEIEIWKSKFLSINKQYHISQEQLMVVKTELDGLKRAKQKENIDPILTNKLV >CAK83215 pep:novel supercontig:GCA_000165425.1:CT868463:163504:164377:-1 gene:GSPATT00017559001 transcript:CAK83215 MNLSRFYSRHNKLYPIKSKHKSLQKSTSYTPQKNRSVTYNNNEDLLKLIINNENLLGIVE NIQKQRQVQRLVQETNSNSNLPTIPKVEKQNQNLYLKQDRKRRSESIPYTFQLNPKNIPY YQENRIYGKFIEPTEYKKIDYESMKTIEIALSKQFQQEFELKNQLIQIKERSLLEDLIQQ QSLELQDNKNKNCHSQETLASDDQDKIFKKKAKINQIVSNTLKLRKYNQEQNNQQKLSLE FQIKDEFQKYLNGQFCNESIYNLATNQNQYIKKPKYTKIIRK >CAK83216 pep:novel supercontig:GCA_000165425.1:CT868463:164487:165833:-1 gene:GSPATT00017560001 transcript:CAK83216 MNYDYIGAIKIKDGLFLGDQFAAQDLEFIVTNKVSRIINCASKQLPNHWESIGIVYLSFP WMDNDQQVIFQQDEIINNVMKFVDDALNNGESVIVLSVKGHNRSVATLCVYFMKKYRWTL YKTLQYMHNRRPDLEIRAHFFNQLLSVETRLQKQGYGAKTYNWDEIHTQGENDEIVLRNT YLNSQAQGVAEFKDHDPKPKESKLRFAEKVSMYIPPYDKVMQNQSQSYQVHQNKQIHHTS NKLKNNNLSPFRINPINNLKKIPKNKVIIKSILLNPKDLHLKALNNKDQLKVTVLNLDLC LTIILFVYLSQYSIEPQKVQLNNFMDSREQFLQKSQQQNGTRRPQTAPNQLKAPRVQTTP YKRNTSSGQRQEAGSQPNSQFKPMRQRAQSPNSAYNSSNPYVQRQFKAKAWKK >CAK83217 pep:novel supercontig:GCA_000165425.1:CT868463:165899:167042:-1 gene:GSPATT00017561001 transcript:CAK83217 MQKLREDDEFKQLLKKDQQTSLKQIQGDNYMPEPKRGDSRLPRVKDNLKETNTHLTEQKR INSAIKSLEVKDESSKAVIKNALKEEFFLMKKLSEENAKMEEEIKVKKRKKELKDIFKQI EEMDIQPDDQNAEVHIPLLFYQQIPYFNKLSMEEKYERIADWNKRYEVLGKVDPYLLQRA RTSQNIENFIYTNLEDGQKYFDQVKIKGGVSIGEILTNKACTVVWIYINFLENLDKKEQN EYLDKLNKNSKQLSWKMCQDLGLKYGPDIRFSLSKREEKENEVKKELDENAKELVKQDLI EKYQAGEIPRKIKKSKFNEIVQEFKEKKIYLTGKISNSEIPSTKSKADEKEIHGKKGNLN QKTAAEKFWDQL >CAK83218 pep:novel supercontig:GCA_000165425.1:CT868463:167273:168095:1 gene:GSPATT00017562001 transcript:CAK83218 MNKFSREEFLFLARIAQQTERFNDMIEFIKHFLDQELNKEERSILSAAYKNVVGNKRAEL RVLTAIEQKESRKQTDQYTLNYIRNYKHKIEGELKNSCSEILNLIDSTLYPNAKQVDSKV FYLKMKGDYNRYLAEFLLDNEYHAAVEQATQSYKEADVLAKSNLSTTSPIRLGLHLNQSV FYYEILQNATEAIRIANDAFEQAIAQVDTVNEENYKDCTLIMQLLRDNLTLWNNPEEEAN EDQ >CAK83219 pep:novel supercontig:GCA_000165425.1:CT868463:168770:169774:-1 gene:GSPATT00017563001 transcript:CAK83219 MSLIIRNISSLREEWKQLVKKKVKKNKRKNQYTLPYSFDNSKNNNNNKTDLLLIVKKIPN TIPNNNVFRLKTNIQFSMNINNDHSMGSLSIDPHSNNYKVVRTDRRKNLTILTLDQINRP ITPSDDLYQIFKTRNEQLADAFLMFDQRNLDSSKFKLNQIQEMIEFESHIKGSPYACYVQ IFENGMWRTFQKIFNEKMLQLLAISEEMLINYCNETQLIPISAFFDASDEQLHVFCKIFC GIVGQVESKRDYINYNGQRFSAALKVKSFFIMDEDKGQLFEYTYFITECDQKWMSEERVR LNELDYFNIKPNNSSTEESSFIEQQKRCGFKQLH >CAK83220 pep:novel supercontig:GCA_000165425.1:CT868463:170050:174037:-1 gene:GSPATT00017564001 transcript:CAK83220 MNTYEDEKNRIALKNIIDERNKKIVKTPLPQFYLDQVCGIADRPISNQLTFIQGNLIVFY ASNMIIVQNVETGQQQFIPGRYKNVTAIAAAMRKDGLMIAVGENLEQSSNLVIIIKDKIR TISLQCKGAISLIQLNVEKQYCGLQISNQIQIWNYEKERLLASAVVKAPFEKFSFHPLKH KHILLSGHNYLRLWEMQFQEKQIKETHETLIPLKIEKENKFLDHGWVMLSANQALLVLLA AGNKILILINDHFKKPIDIDPQNLNFIDAPVQDFNAEDNDDVMGINSALEDAIKDKVGQI VMNNQTKLTQNTTIMTQQQLEFQCLSTTKKGFILGGTKGAVCIYEFDKNYNIVSAMSFNM KSNRGEHKVIQISNSGDQLISIISLFGNQLYYSILNTAQMDTEVSPIQPFFAAGFHNKRV NSISHAKIKQVFVTCSEDNSVKIWNYYQNENNDKKGVLSKYFKEEPVCASMHPFGLFVAV GFTNGFKVFAILNEGFFPLKDVTLNNCKIVKYSHGGHMLITNEKTNVYIYDAIYYELIHQ FEFHNSPIKDIAISLNDQYIVSTCTSGYVYCYNLAELNNNVIREQKHQEQGIFNSIQYDE YFIGCTNEKLMVIFDQHFKLITEFHVTDCYLTKLLMTDQHIIAGTSKGTVRIYPIRDEDN LELELINQKNETMYKLPECYEISVHATAITCIDYDGQYIFTGCEDGSVCLLKSKSEISEE RQRMAAVSNDLYLEVIFKIQKENDRIQSKNFEVIKSEQTVRIETAKLETQFKQKIDQMTK KFQQTMSDDKNLKDKFVEKSEQDYDQLYSQYMEEKVKYENEINKLKQQHIEKIEYEESRN QEIEDEIQKVQEQHKNDLQSLLEQHKQELKQMKLQFYEKCRKVQVKYSSVVDNAKNYGTA FIQRLEKEEAEYEKEIDEQIKDLENQIAKVIQDNEKLEKENRELASQFEQLKKDDDDLQI QFNELFDEHNKIRLENLKNDDDILKMQHQLLERLQVIDSKEETCKAAKDEQINLENFRYM LDQKIKSLQNDKQLLLDKITDKEYKLRVMFKELIDESNKNEMKYQQLVQLRGQLDVIETQ IKKTEIQIFLNANKLKNYESTLLSIMKSNDPPSLIAQKLRQIINDKGEENEEIEQLSQKK SISNKDIKDIIKLKQDKPNELNEELLRQGQWMTKKLYLIKVTSDKLKKIRDDNINTIFNQ NTKLIEECNMLRSENDRYSKKIKHVEKLVKDADRLLQRLKPKLNKQPKLNQIEKQIEEQK QKVQNQKTKLSNLKDSMSQILKKSVVD >CAK83221 pep:novel supercontig:GCA_000165425.1:CT868463:174249:174638:1 gene:GSPATT00017565001 transcript:CAK83221 MGAAIAVRNRHWWFRGLYDDYIGRETRLSFGLAAVIWIPHYVYGVYLNRTIETNTSHKIY SMEVGPSRNRLTHSMIFEQFEMVLENWEELNKEYAEKGKKMLEE >CAK83222 pep:novel supercontig:GCA_000165425.1:CT868463:174861:175181:1 gene:GSPATT00017566001 transcript:CAK83222 MKHKKQMTLQSANHSRQSSISSQGSESSKNKYNGSYIQISPRFDANNGLAFKNELKEVKI TSPKHECLSPHQEIIPHHRKSQLSLREDPLKKSQFSKVIHTIDELR >CAK83223 pep:novel supercontig:GCA_000165425.1:CT868463:175217:176320:-1 gene:GSPATT00017567001 transcript:CAK83223 MDYQQNQCQHLDLIPFNNKFFCRLCGIHMPEDGSIGIKALNFSFPGYLNPIQNLKKQWNR AVPIGSQPGEYQKQRLSLIDYMIEWSEKLKLSMNSLFLAVQFLDYFVSQKKVDPVQYRLY GATCLMLAAKSIELDERIPFISKLRRYTYLPYATLDFRRCECQIIKQLNWNLQCTTLIDW AEAVISLGIVYESDELAQSENVLKEKSTNILQQQNNQQIKQDIFKEHLDQVLKQPIVAPK QVNEKVQEQLIKLCVSVLKDGTFLDKDPAELTVSVIGCARKASGLKHSIPKCLFELLENV EPKFQESLTDQFLKQVKQPTSVLGRAFTTDLDFFSLQNHKHRIV >CAK83224 pep:novel supercontig:GCA_000165425.1:CT868463:176740:179397:-1 gene:GSPATT00017568001 transcript:CAK83224 MECSSNNQMFVYFQYINSSIKQLRYLIFKLLNQLRIAQKKQYLLLLLSHSSHILQMNTSN DEYPWNQHQQDELPRNQFFTPSIPPEKDIRNKNCKLHIGNLPLQITEETLHRVFSKYGQI KEVKIIRKNSQGQPLKDYCYGFVLMCDGDGAQNAVTELKQNSPLGTTWTVAFSKDKNDDS AGAGHQKSDKKKDDKVKKKEKSKERLKKDKSKPKKKVVFFNQQKKKKSESSSSSDSSRAR SAKKQKKQKKRKHSSSSESSSSKLPPRSALVKVENKDIAEIVFSGGDLQSHLMYQQQPQQ QYQITHHVYVRELFISGIPQSKISADIQRIFSAYGIVERIDIVPKQLVNYAYVKFKRLES VLQAMQNSSLIAELLESAGQVKIYQSDPFRRVQIVGNAEDSEREEEMWPVMFIGFPPNQN YVLDEKFLKKMAEKFGGEVKGIQHFQPDSPQLRSYVLIEFSFLKDCKKARRKFCRYKIQI LGDKKCDVAILSNYPTNVQNKRQNNMFMQQPYAMDYMQVNQQIPMHQQMVSRQIPQQVQM LAPFQNQPIPQQHIAPPNLQNIYDYNQMPILYPQQQSNQQQMMFMKNQQQQLQIPAQTPV QPQFRPQPQPVPQTQQPPPQLQYYQQLNPQAQAQSYLPQQYSMDMIIPPPTMKQEEINSP FWCGYMNRGKQHRVGIDARMHKTNMDTKKINLPSNLEMSYKCTYQDAYQRAGQDQSAILI FSPAQDTDFPKFAEYVQYLRNKQRAGVIQSEAFTIYVIPPGVSEAQLICNINQQEMIAIY CQKELK >CAK83225 pep:novel supercontig:GCA_000165425.1:CT868463:180022:180665:1 gene:GSPATT00017569001 transcript:CAK83225 MNHQQSTQLIQKYAKNPWHHVHFGSDSPKIVNAIIEITKGSKAKYELDKDSGLLKLDRVL FSAVHYPANYGFIPQTYCDDKDPLDILVLCSVEIEPMCLVEARVIGVMHMVDQGEIDDKI IAVAKNDASYAGVNDLKDIPSHTTQEIQRFFEDYKKLEHKHVVVEEFKGKEDAYRIVEES IKLYEEKFLKNL >CAK83226 pep:novel supercontig:GCA_000165425.1:CT868463:181161:181418:1 gene:GSPATT00017570001 transcript:CAK83226 MQNLTYNTLNLPSTPQTPSTPTFEYSDQDQSSKHTSRRTLFDLEEEYIIHKNPIFRRRLK SKQYTQMDSTTFLGRNQLENDLESL >CAK83227 pep:novel supercontig:GCA_000165425.1:CT868463:181606:183007:-1 gene:GSPATT00017571001 transcript:CAK83227 MIRIPNNQVHETQQIQEANQDPLIKQQHQVLHLKLYLFLLSFSRLRSILLCLILQGDQFP YQFALHPRMGHSIEYLFLKQLFRQCSQYLCLQKMLFAKSTFASPHKEQVLNVIQISNSPY YTSPEQLKNPYYQNSLTIQTISLDTFPSSFKQQFFLQFIFTNLIPDFTIDVLFLSCLQAN ANPSKHIDVFLQNIICFQFKNQSSQLMYCMSKIINFQCFFFQIFINLSQHQCLLPDCQLF NQEQKLCSLWIFEYSQIAFIDNLVTGTIEYTLSTILFLLLFQLMSKKQTNDNPDRYKGDA GNFQSLESDDGPGPMKSIEGWIVIVKGIHEEAQEDDVFDAFSKYGPIKNLHLNLDRRTGF VKGYALVEFNEYTHASDAINGINKSEGICGKKVQVDWAFKKPSQERSIKINQKIMIQNLY GFYQINKKQKHYDKNLVFVKY >CAK83228 pep:novel supercontig:GCA_000165425.1:CT868463:183245:185699:-1 gene:GSPATT00017572001 transcript:CAK83228 MSEDNQLNVDKNQNKNNLEKIIKLDKKNGNFDIYDKYSKRKLKIRTSQNNSHINSSYQNE YILCYFWRHINQVYFKKIDEPEYERLDYLKAINEDIIHTQFPVKYADYKFYQAKQILNKQ YFQLENATYKENIQKHIRLSGLIHKAKDLKIPQIDKLYYMKQKSMVKFNQKSISSLIQEN AQLDKILDKLNEQIELRTGQKDEIFLQLQEYTAELQQLNKSNKLDLQNLNQKIKSEQPLN VFGTQVHIYKQARINNGLSKNQIIYNNEALLNFLRKSLEQLDYRQTAESIVKVVSTTHTS NGYTFCVAFEQALNSNYVNIQEELMYELDKLRDTSLFKFYNIPQKTKYSHISQNFIGINQ VQHNRQKPNPLINKNLTKESKIASQNENDSNLSRYEACHHCKMLFREEYLISCNYRSSTM GLPIITSQMTDSYLFSQLDEEGITSRRQVPNRKKTAYSIYSKKSKLLPNPKDGELICQRK FCRMCLKQNYEIKIEEVVQKTDWVCPFCQAICFCSRCQRNDIMIKLKDLFTICGGDLDQL SKDSIFEKYVRPLTEEQLYKKKPTQLQRTGFSIVKQQLSNFKDMQTIRLDFENLRLLCSQ IMRREKLKWKLLEQDILMWNEDVKSQQLKNHKSTQKSKKLQQKTNQITKKLQKQEIEKVR EYQPSSSSSSSEYLLSSEFESEENQNEIQKNKQKTQKEINPKGKNQKQQKLVNQIAQNQK SLPAKNLKREVAQLLQYQDTDTYSQILKKIKKDKTKNSSKR >CAK83229 pep:novel supercontig:GCA_000165425.1:CT868463:186308:186936:1 gene:GSPATT00017573001 transcript:CAK83229 MAKTTCAKALQNWEQDHPGEQPSEAEDIRLIFQNPPLDKLDPPVLNTLAKVKRLSLSSNA IEKMVNLPGLRQIEILSLSRNNIKKIAGLEEIGQTLKELWLSYNYIERLDGLQPCVKLHT LYIGNNRIKIWDEVDKLKDLPEIANVLFVGNPIYENQKDDPKLLVLKRITTLKNVDGTVV DDSLMEKVKALGDGVPTTTVK >CAK83230 pep:novel supercontig:GCA_000165425.1:CT868463:187072:188070:1 gene:GSPATT00017574001 transcript:CAK83230 MQGTLIIRPFKANLKKDTEIFGKMDPFVIVKMGNQNQNTSVANEAGKTPVWNNVLTFRKT YENLIEFEVWDYDQGSKNDLIGQASFPTAQVEQTRQFQGPIPLMYKGKSSGELHVIIEFH PDGQGQGFPQQPQQIGYPQQPGFPHQPGYPPQQGHPPQPGYPPQGHPPQPGYPPQPGYPP QPGYPPQPGYPPQQGYPPQPGYPPQPGYPPQPGYPPQPGQPHLQPGYPGYPPQQIAVVQI KFNPNCHDCHGTGKIMKKGKQKFCKDCYKHAGICHKCGGTGYNQKKGKVCKCKK >CAK83231 pep:novel supercontig:GCA_000165425.1:CT868463:189805:190693:-1 gene:GSPATT00017575001 transcript:CAK83231 MKVIQNPTQYFFEQSNNPSDQYLDKINVFIGKLINQKQCLLCKQDYNIKDRLPRILIHCG HTICTQCLSNFYRNRRVRCPLCLKLVKHLDSIERLPINHTIFTKMAEEINKKSRSHGGTD VIDPQQYLFTQFQQSAVQAQKIRQQQQNQYPQVDPDSGLEFCEFHNDRVKHFFCMKHKVT CCRVCSEMIHQKKDCIVVDLYEIEDVPAFLNEAYKLNQENNCQNQNIEFLPDDDNNFNDD DLEGEFAQDESLKSL >CAK83232 pep:novel supercontig:GCA_000165425.1:CT868463:190749:193042:1 gene:GSPATT00017576001 transcript:CAK83232 MNINSNSKPTLQEYLDKLKQIQDANNRRLSQAKSQSNTSKTMTPKPVSQLKQQTKSSTDR SHSTHKLLPFFQNRKVSGSKRQTSQADEPKIPISSQLITKTVEALSPRTTELEDIKKQLN QWFIKESKNNNTGGCLQLLEPTLVHNILKLPPRQKINPELRASLTSKDISDNTALHYAAK NGNAQLTSALIFKQIPIDMPNKDIKTPLILAAIHGQEEVFMILMNAGADINYQDSVGNTC LHYACKNEQKSIVQLLLKRQSIKFKYNKENKTPDSYAVNEEIKQLFRNYNEDFKKQCMIF CIILEQKMNMVQIQNTQNDVILKMFQNKRGQLNSQLQQQQQLTQASAQTPLQIASYSCKN LTNVNKQSSSPNNRTQQDIKQERFNKINSTHSSKQQLTTTISTNSDSIKNKEDDKIGPQQ FQVIGLIGKGSFGEVYLVQKSNQLYAMKVLHKNRIMKHNLTRYALTERNVLSITSHPFIV KLRFAFQTQDKLFMILDYCPGGDLGEVLQKQKRLPENIVKNYLCEIVLALEDLHKRDIIF RDLKPDNIVLDSEGHALLTDFGLSKEGILEPSTGARSFCGSVAYLAPEMLKRSGHGKAVD WYLLGVVMYELLVGLPPYYANNREELFYNIENASLKIPSYISLEARNLLKALLQRNPAKR LGSGKGDSEEIKAHQYFYDVDWDIVYNRELPLPKPNRKIRINTHIDGNVFDMQSIVDDSK AHLGGWTFVSNDEL >CAK83233 pep:novel supercontig:GCA_000165425.1:CT868463:194637:196076:-1 gene:GSPATT00017577001 transcript:CAK83233 MYFYILFIFIHSQGFELFIEKDKQYLIANEKKVDTLFKMESLDQTQCHPAKLYLEKSNEK HSQFVFLNNQQEQISITMNPLVFDADVLNISQNSKKKAFVIEPNQQEQFIITYECKPQKD QISWSLIILNFTVFVDQNNSQSYSIQFYKQCQQTEQYLHPLIMLLFLAVSLIIIGTNYGL QEVKIIESMKNEEFNAKTSVSFIFSASILLFCLYKFPSIGQIVLSIVIFFMAILSIQIII EDQLLKFLGKYLLLKIISYSISLIIVVSYFYTKHWIINNIVAFLITLLMFKIIEIDSFKT STILLSLSFFYDIFWVFISPVLFGTSVMAQVATSIDLPMKFICPPLMKSYNSPLMKCSIL GLGDILLPGIVIKYILKFENMLNKGHCMYITSIIGYCIGLLVCMLSLVIYQQAQPALLYL VPFILIPVLIVSAIRKQFYSLWIGQIFRSQKSAGVYELQQSEQV >CAK83234 pep:novel supercontig:GCA_000165425.1:CT868463:196554:198692:-1 gene:GSPATT00017578001 transcript:CAK83234 MNYEALLEELGLDGTPQKQTSKSPQNNTRASDNTYFTKTPEAQQRRIKLILNVNLSSNQV EQLMIYQDDDPKIKVSEFCHLHQLDKNAQNVLIKQINSNTNNENYQSQHKLQQQNYYSKQ LQSYSTKESEQPGERLYQLAQKKKEQRENQIKQYQTEKQEQEQQQLQQTPKLSKQTKIYL EQAGYRKNGYMREKGTLKDKQIQQVFLDEQGKEYNFVPQINELQQIDIQIRSKQMSHYIR EKQHYENVFDELYEQARNRMYSQPEYDVPECTFKPSVNQNIKIDEDFLTRMEKKQEEQKE RRELIKMKYENVDLKTRQLLYRPKICRSPYAQTSSEKPVHEKLYLQSKLQSEKLQQMTEQ KIMEQNQSLNVRLKNELSEDIVFLQRKKIIRQLFAEMDSNQDGLIQCSYEEINNLSPNII QTLWPIFKLLQEQKIQLDFDDFFENVYQYCVNLPQIQKDIIFKKSKNNNPTIKDQDHTFT PKTNKNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKQF >CAK83235 pep:novel supercontig:GCA_000165425.1:CT868463:199395:200088:-1 gene:GSPATT00017579001 transcript:CAK83235 MKIIVLIFLLGAFASNIRHKKDRHVDSQTLSHQQQNTKELLQVTDIDNESQTLVEETLDQ QQNLEEPKPLYNNDGRSPDMGLNEVENNDVAEAPMMAEDVYDYSAQEEVIKGVSLIQVGE IDALADIDTSMPLLDEDFKYDEQALQETPLNVDIIEEVPQQQEQEINEIADQSEQEVQVE DQQDQLINEVQLLQIGGFYY >CAK83236 pep:novel supercontig:GCA_000165425.1:CT868463:200172:202279:-1 gene:GSPATT00017580001 transcript:CAK83236 MLPDLEHKGFLKKMEKQINNKQKLVPVFAKNPFKPAARLMYGSKRYTNVIKFNSCQKYDN LIDKHIDSQARNKNKLLQYEIKNPHQDAIKKILQKLEQRTFKNQEYEPGLFTEIIQNRRI KNVIINEDQTIDYSTIDYSKQSLKLPRLNQSFDLVKCHNETSDSKISKLNRHYQTQIQYQ LTIIKNKEDIQEHQILIFDYFYCIEHLLLVNDMNLPFTKLIHTQGNVCYSHSPNHYSINP IEKPIGFRFSKSNRKPLYSSSIAPDPGASSQIQLNQTITQNAPSAVFTTAQDHQKKGLEI GPGSYNWTDQEKAPAFTFQSKFDTIGNQILQNPGPGTYDLKHYHTHQPQNKGLTTSKRAN NLTQSTPGPGQYDVEIPKFSTNIKYTIISNRFPKSQRSFELSQNGPGPGAFDLSLPKQQG ITFGVKGNLHIEKSNVPGPGSYKLKSFVESEEGDIKLKKGKGVKIGTSKRSSQNQLKFGP SPLDYDVQKYKYPTRHASFGSAIRQSIIPNEKTPGPGAYMVDSKLRRNGPIISKALKDYS SLDNTPGPGKYNPNISISSNKGPSYHIAGKYDKPQEGSLVGPGRYNISRNIDNGPKYTFP ALEKSMDNKSLDVNQQFARIYSIQVPLL >CAK83237 pep:novel supercontig:GCA_000165425.1:CT868463:202309:204204:1 gene:GSPATT00017581001 transcript:CAK83237 MMIEQQSPQYWDDLILSSSKKELKIQSQTLELTAQKLQQQLEKNKEFNHEYMMRIQRSQS DHRDQYISEPHEEECDEAEQQKTYLNKLMDEFNSTYSPKIKRPCCQPKSFDMPKQQQKNV LEYSKEEVWHRLLEDKRNQLEQRENEKRKYIDELVDQHCTFKPIISDIASRRSTDQPVAD RLYQDGIEQKKRKEQLKFDHINSEQFSFKPDISQISKVLKGEKQFMKPLYERIDEVMKKK QEELLLKQQELQQQSEATYQPKISQRSIFIADQKQTSKSVVERLMEDAATKLQRKINQNN EIPEQDECTFNPQINPTTKPVQQINQICQTQYLMADFMREKKEKLIQEFIKNSDVTFKPQ INKTSELIMESNEERLLENMSDKINRLGARDYEKNQILKDQISQAYYQQYTFKPQINPIS SIIAQKRSLDDLAYNPERQEKLNRLKEDQEQKQSFSYYPQTKKSQQYQHVKSKYDKKLIK QNMEIEKEIKDRKIQDLKKQMQYQELKECTFKPITKQFQKDEEPLSQKVKGVENFFQNKE NIMKKFNQQKEREKELFHYELKYDFKNHLEKTKPEPFNITQQNTMNKRQLEEEAIQRDRE QCTFHPKINQKYVFS >CAK83238 pep:novel supercontig:GCA_000165425.1:CT868463:204514:207966:1 gene:GSPATT00017582001 transcript:CAK83238 MMDSDRMSERYQNNGHNNNIFRDTEQNFLPLQVVDNFNKQLAEVKQVHLQFYQEPNEQQV ADQQINSDLQRGNMAQLFTDKPICLPIVQKKLSSLDQENDEVDEVSQKKNRQMSSQNMES GSDGKSSQNKSGQQRQFMAKKTIRRMNSKIKARQSVILPRALTTSITTRISHFVESLPFS ILMAIVTLYALFGDDIRVLTVNKDGDDAFFVLTIICMVCFILEIVFTCVCKNEYICNFYF WLDVISTATMFLDIGWITDEWYSGDVTNASSIKTIGSASKVARKAARVIRVIRLVRLVKL YKHARQQIQKQQQKALLRQLLRQAQLSQEEKMKQQQQQQQMIQESQQQQSQLQIQQRILQ QSQQQLSQSQNHPQPNNEEEQALQIQSQQNMIGSGKIKNNQLNQSQMSGNSVPPNQQIQK PISSQDGSEGSNNQFSKSPGKSTPGKSGQQTNSQGESSINGEIDQKESNVGQQLSDLVMR RVITIILAVLISIPLINFDTYQEKINSYDSGIFRISQFKDKQNVKEILIKQYAEFHQGQI YPIQAVHILVNGSWINYNYQKYPDYFEYTSLSPEQYRFTDLQYYIQTSQNGTLLAYSAAD LVSYNQTNSILSIFQTIFVCIVLALSALLFNKDVEDLVIDPIETMMKQIELIAANPLEAV NIEEQEDLVIEELEKSQDHKKLKEKEIERSMETYVLQRLIMKVGALLAVGFGEAGSEIIA ENIKKGGSVDPMIPGKKIMAIFGFCDIRNFTDATEVLQQEVMVFVNEIAEIVHSAVNSFS GSANKNIGDAFLLVWKYDQFDYHPDPSNPQKLLLRDTKLIKQKGDMAVLAFLKIITSVSI SKKLEKYKKHPGLNARMKDYSVKMGFGLHMGWGIEGAIGSSFKIDASYLSPNVNMASRLE AATKQFGSIILISGIFREHLTESCQKQLRLIDIVTVKGSIEPMKIYTIDLSIKSLLKGIK EPIDKYDISKLTQREAKKYRVVQRYQRNQLIKKVENDSIQFLQELLLNQSSMKLGIRASN IILMDNGNKALPIFTKTLSMIPEHKDGPSNTLLEVLHSNGNKAPNYWKGFRELTEK >CAK83239 pep:novel supercontig:GCA_000165425.1:CT868463:208945:210459:1 gene:GSPATT00017583001 transcript:CAK83239 MLGSMGFLLFCIYFVVDGFQGQVFPLDNFQFRFIQWIDCKTKEQVGLVNVQGELQISLLE GQGICLDQNYQLPLDEDSAYKYTFIFNRSLNNDSLFIFIDYKMYDLSKQLTSSPVIQIQH YHFLTCKNSIEFWLNSTASLNLILFFITKVQTTTKDQILLAGSLPQLENENKFYFDFSST FELILQNQTLQYKAKLQKSYNEQSALYWFRLYQNKYLLPLQISQIDTNNILIQGSRQIVD SSDIKLNNLPFLIKTQYQITQINLISQHLQNLEFEAIIQIDNTFSQLNRNDILLKQKFKP INQVRMQVDVNQNINNTLAVIEVLPKGYECVQNINISEAKITIKNEQQEIVLECYLIESD KNKSCVGYDERYCIFYVEWKFENNIQYSYELSATLEKNNQQDPFVYFQTDLEYFPMINDE GEDFGGYWVGYILLINLLLPILMFLFCVFCVLSLNVYHPYIMYIIELDEIYFKSKKRQQQ ESQQSMQQDQSSYEGGKLIQQNSS >CAK83240 pep:novel supercontig:GCA_000165425.1:CT868463:210491:212292:-1 gene:GSPATT00017584001 transcript:CAK83240 MDIVGNVLPQVLKDEATEDKGEMARLQSFVGAIAVADLVKTTLGPKGMDKILKPTGPGQE MTHITVTNDGATILKSMYVENPAAKILIEISKTQDEEVGDGTTTVAVLAGELLREGEKLI QKRIHPQHVIAGWRIARDVALKRLRDISSENDINSQEFHDDLIKIARTTLSSKLITTDRD YFADLCVKAVLRLKGSSNLDYIQIIKLPGGTIRDSYLDDGFILKKQITIGCKRRIENAKI LVANTAMDYDKIKIYGTKVKVNSMDKVAEIEAAEKEKMKHKVDKILKYQPTVFVNRQLIY NYPEQLLADSGITVIEHADFEGMERVAAATGAEILSTFDAPERAAQVLGHCDLIEEIMIG EEKMIKFSGCKKNEACTIVLRGASTHILDEVDRSIHDALCVLITTVKNRRVVWGGGNSEM QMAAACEEEAKKVQGKQAFAIEAFARALRQIPTIICDNGGYDSAELIQNFKVELQKGNQT CGLNMTDGTVGNMSELGVKECLRVKEQALMAASEAAELIMRVDDIVKCAPRKRERA >CAK83241 pep:novel supercontig:GCA_000165425.1:CT868463:212306:214012:1 gene:GSPATT00017585001 transcript:CAK83241 MNIKEEKIKLILQFLRQIKYDQTFQKLQEQSQIQLDPQNLELITQSIRNQDIIQLEQLLN QYLDEETKQKCILKVLEQKYIKLLKLHNIQEAIQLLRNQITIYCSDEELKHQYASMIYLP ELKVKQEQELIDEIISLCYKQIGLIEPNRLVTLIQQQQANQVLDCQFHVKLEQNYNVFKQ HTCNPQLNIIKQKKNILFCLFSINGQYKALVHGLQIYLYQYDNTKNEYQQTPRKISTGHT SAITSIIFSPCSKYIGTSSQDFTAFVYNIQTEKKYRIEGHNAVVQCLNFVLCDQSKKKQK NEYDIYTISIDGWLYEWNENERKGGLKIEEKLLKLHSHQQKELMLLTSQNKISLYQLYTK QQISQTSTSNNNQNSVVDKNFNFALVFISDTISQLYLYAIPELQLIKVFQTTSSLNPLTS QYDLGWLNCNLIAAGTNKGDLLIWHIQKSSRPIESYQISDNANELSCIKFHPTKNQLWVY SPKDSRKQPSQQQQTTEDLRAQLLQSRHQYQRQSGGMQQILTLLQIIARQDQNNSIRQSM QQEEKESSDEDM >CAK83242 pep:novel supercontig:GCA_000165425.1:CT868463:215443:216029:-1 gene:GSPATT00017586001 transcript:CAK83242 MSAFKTLIKMPQNKLASKCIALEELLQRYYQFEEVHYDLQSKYQILQEKFEKLSQQNLQL KQSISNDKDSISQKSYKKLDSYLNCTEKIELCAIEDLETQKLIEELKQQNQKMKEEVSQS KKEYQRLQSMFEQTQTELVRSASLKTASDSKYNSLIDDKSNDSVQSYKNEIARLNKIVIS LVHSRQHL >CAK83243 pep:novel supercontig:GCA_000165425.1:CT868463:216102:219337:-1 gene:GSPATT00017587001 transcript:CAK83243 MKVEEEGISLRGTVLDPLIEKKEIKLREIILNQTISQIKACNEINNSKYSIFTFLPFVLF YQFQHFFNLYFLGLTITQFIPALKVGFIINYVGPLSIVVCLSMCKEAYDDILRHSRDRQI NNQLYQILTIEGLMNKKSGNLQVGQIVQVNKDERIPADLLILRTDDKDGNAFIRTDQLDG ETDWKLRKSVKLTQNMDDNLLTSVSGLIQFEEPHQNINSFKGLVQINEMDGKQLRESTSL ENVVWANSVLAVGQIYGIVIYNGNEAKMMMNQKRAQTKKGIFDVEVDKLSKLSFFIMIVL AVIITLFSSPPRDFKYVLQMYMRYLILVSNIIPISMRVNLEFAKIVYSIRINRDTKIEGT ITRNSNIPESLGRISYLLSDKTGTLTQNEMIFKRFSLENEKYTIEQEDMSKIKQILYDQF KNPNKKSGKMRDFFTCLSVCHHVTPVDDEYGNRTYQAQSPDEIALIKFAAQQGFYISSRD DKEIIIHIEQKELKIMERYQILNSFPFSSENKRMGIILKNNQNQIHFYVKGADVVLKQLL PEQYQSYIDEETENLARVGLRTLVLAHKKLTQKEYEEWNEEYIKAAQLIENREQKMQKLI EQLEKQLDFIGITGVEDKLQDDVSHTIENLKNAGINVWMLTGDKMETAICIAILSGLKHA TQNFIIMKDVIDEIQMSLILQNLEANSNNVLVIDGTSLQEALHGFEQQFMKAAMNCPSVI CCRCSPTQKAIVTELLKKYSGLVVAAVGDGGNDVGMIQSSDVGIGVEGKEGKQAALASDF SILKFNSLNLLLLWHGRLSYKRSSLLSQFILHRGLLIATVQTIFMFLFYFLSISLFSGFL MFGYSTIFTMFPVFCIIYDEDVKQEIALRFPPLYKSIQQGRLLNTKTFLIWIWKAVFQGF IIMICSILLIKQAFLRLETTVFTCLIFTQYAMTLTELESLHIYMILSNLLSVLIYLMTLL LFPEQLLVQDTIDVPFFGWVILILLMSWVPLYILRRILKSVDPSDYEKLMDQVQRETINT N >CAK83244 pep:novel supercontig:GCA_000165425.1:CT868463:220189:222183:1 gene:GSPATT00017588001 transcript:CAK83244 MFKYQKRNTQMFKNSLQGLLSGLDVFGQPPVLRILKKSKFTTSTGFFCTSVITTICILYL IYQIIQLYDRKNPLVVFSEYQMTDTAPLPLLMNNFTMAISVANLSLNTLTTLNTHFTITV KNCIRVRLIDEQTGKTRIQQNCTEYPTEACDDKHFVTNVQKDYFQSINLGYTQCLNSDQW NNSPPNLQGQIAGKTYQYISIMVQQCKNSTSYNQCAPIESIQEELKTGYYVVHLSDNLVQ MKQPGNPFQEYISLQYTTMSIANSKTIIQNLKVTNVITDKGLITEDKSESSSLIQYTNRE SQEEYNDEFLVLHYITLDQRQGEYSRSYAKVQAILSTIGGLYQVLFLTIYSILSPLIQKF VNLQMANKLFRFENSNYSFDDDQIAQDNFIIQSPKQQSSQRVLDFDIKKSSFSKSLGRSL KSKNFEKIQDSKSMSEYLKSKKQSLNLTLRDIIIMSLGCKKKEKRQIQYATDKFMSKLDV AYIISKIYEIDKLKLVLLNEDQLQLFNYLPKPVIPSALFGDDAESKIKEIEAKKAYQFIL QDEKSDLLKLQEAFVSFAKLKKKKELTQIDKNIIEILDEDIIELFYTLNKDQSKLDQIFN QKLSLNLQVSEPDFKKSHSIADVDYSDDQPNSIPYLRSRS >CAK83245 pep:novel supercontig:GCA_000165425.1:CT868463:222827:223370:1 gene:GSPATT00017589001 transcript:CAK83245 MIYVVYDLINIESYSNFLKFQKKMIRMLMKRCFTVQIKELEEAGKVIKLNKQHKKAEVEQ FTPIPTSPVLGPIKIEDPKVSNKTYRWCSCGLSQKQPLCDGSHQGTSFKPFRFTLEQETD SLQLCGCKLTKNVPFCDGQTCVNLRKQSGQP >CAK83246 pep:novel supercontig:GCA_000165425.1:CT868463:224311:224727:1 gene:GSPATT00017590001 transcript:CAK83246 MIIYEKTFHNIEYIKMNIEFQDQALQRSESKQKFILIDKQLMNSEAVCLKLASKHFDEQI FQELLSEGDKNGLEYVKQVWEPTEASTSVSMESSESCGYVKKIRLLNHINKYLDSSLHSS TIKRLRELQ >CAK83247 pep:novel supercontig:GCA_000165425.1:CT868463:224796:225918:1 gene:GSPATT00017591001 transcript:CAK83247 MDTTNAPQIIEHLNKSLNFTPFVTKWIPGTAKFVLCGQPPKANGIIEILQLNKTELKTIS SIEQPKGIKCGQFLDNLFAFGDFDGKLKVIDLETRKTTFEVKAHDQIINSIDTCYNIGAP EIVTGSRDGCVRVWDPRQAAPVVSLEPIEKDVIPDAWSVSFGNSYNDERVIACGYDNGDI KLFDLKQNQLVWDTNVKNGICGIEFDRKDIQMNKLIATTLESKINVFDLRTFNNGYASLT HEGQKSTIWGVKHLPQNRDLFATQGGDGALNIYKYSYPSQRQIQDAEGKPKGVIGKLELL NKQDVCQQPISSLDWNTDKLGLACLCGLDQTCKVIIVTKLNLY >CAK83248 pep:novel supercontig:GCA_000165425.1:CT868463:226121:226780:1 gene:GSPATT00017592001 transcript:CAK83248 MGQMCSTGKKIKIKIYNSQEIMIILDKEQTRKNIETISIQLRNSIFNLFQLIDTKMSQNK QKLLFETDRSNAHNSIHKFLRILINQYYIAFQQKFMNVAYPILEICVVKILIESYLAMKT LNSKEEIWWNPQYFQIRKRVLVQEFKMDIKQQKPSIEASFIEYLQLLIDIIESLLHQAGL INQQEKKNVHQITESHTDTNLFYSELRRNIDL >CAK83249 pep:novel supercontig:GCA_000165425.1:CT868463:227451:229118:-1 gene:GSPATT00017593001 transcript:CAK83249 MSGRDFHIHRRRDKYPRQDSYHEQRTNPNFIEDDSLVGTLERKIQHQNQTINNLIKAFED SRLEQQRQIQYLEKLKQTEEQKYSIQILEELKTLKQKIKRIEHSPPIIQSSSQMYQQPLF PPLLPQYQQGYPPQQYNYAQIPYAQNPFHQQSQPIQPQFLNNQQSLRPKKPKEMDTLHKF LLQMLHEKEEKKKIDKKPKENSDARYVTDMESSQNYSSKRQTLQTPLKSRSQRRSQKQQD RIDSGASDNSQFNNTKQSQISQQQSLGARSQKSYHQQSQGVGSLKSSQQQSLGVGSQKSS QQKFLINQQRLKYLMRKFRAIARYFWLALTYLNYCKKIWNSKMISFNEYSQEYIGQYDTQ FNYLSIISQFYRECTLKKQLDRSWIFTQTLDIQTRCQNMLTALEIFFRYLIVQPLDFTKD HIEFMRKFSLPNGYLLLGHSKYVASRINLRPNNTLNIETPEQSQMLLMEYSFIQILLPQI VEADFWKKLINYKEALKVFVSVLHYLFIDRFYNIPLSKQQLHFNVHLQNTIQERVCSFI >CAK83250 pep:novel supercontig:GCA_000165425.1:CT868463:229339:230454:-1 gene:GSPATT00017594001 transcript:CAK83250 MTEITPKHNNSCKINSNFQFSSNKKQLLNSSQNLNNDVISSKKKEVYDRLMSSQTNRDNQ TQVSQYFDHLGGKCLCALCNCGKHKCNGKNCIHKPQLHGNYTIYQKEYQKKTPENGSRYN QNIFTQPKAEGDLENVTTYKHDYPGYNSTGELHKNSQKPTVSGVPFSGLSTYNNMYLNWG MGDTPQLLPQNNPTVIKEMPFMGRSIYKDSYQGTQAPPVQSCKNMNKALKSPLSPPDLKF SAESIAKSSYKPFRTDRVPTAKSNQNATLNPSYNGQYNSEYRKEFDPKGLNQCPAKEVLD EVARTTKF >CAK83251 pep:novel supercontig:GCA_000165425.1:CT868463:230525:231333:-1 gene:GSPATT00017595001 transcript:CAK83251 MNLCQAKMLYEMHMNVILKVQSIINNKNTNQGKSKIFLMDQIHLILVEESIENDHLVVRF MDNNQDYIPKIEIKLTENYSEVFSSVLYDNLDNKKDDECHYLYFNEPLKSLECMRFNLIT QLSADVILKLLNLKYIPIIRTNYSNYKIEDIIQVGNKSVIEKLNQSWQTQCKLKSKITKD FISIVNDFKYYYRIDILLVSTLQQIKKEKYSSYDVLYVPTDELIQLKTGKRQQQISLSLQ NLKKLNKLI >CAK83252 pep:novel supercontig:GCA_000165425.1:CT868463:232925:234936:1 gene:GSPATT00017596001 transcript:CAK83252 MPPKKPKQIDPQLQQEQFEKWKESDEFRVWNELMIISKSLDNISETSPDITGNWQVYHNK VFELCQVFKCKPKFKFIDHVHIRSAFFAKEDIEINKQIQKQYIDGIYCSVEKLDKDRGNH VKQAYSKIFRTLEDHKFQDMNAENYQAERKNLQTLLNELTKKLPILIKISHKLIEERLMQ VTAPLRALLEINKKLMFFDLRNTAHRDRMIRDFIIKADIEQYCICLQECERILLESQAIK ANPNVKLIFNKLGYENWQTNKIESFYLRPLLEAFEKMRRNLFCLMLKGINFYKAPLMENQ VILITNYQQFVIDINEVIKAELISEHLLGSPLKRDQINFVYNVLSVIFHANPQAREFLQK KDENCIKGSIPKLIAYHTILYMRAWKDRKKEDDLKQMKQEQQDQLKQTQLQHSQLQSTQD ENLANAQTNIYVSPERKRQMDEEQKKKEEEQRLAEETAREKEQLSLMQKYGRYWLWDFYC SQEHRQIFEDCVERVRHINKAVQQDIEDEIIKEGMIPKIRNRQMQQNDPSLLFNELRKKD YDNQYVLMRRPPELWNYPKVIDEEHQFRAIADPKKCYIDQRIENLEERINLLANHLQTYK PQTWKELIERVVDALKETYIQEPTQIDEQ >CAK83253 pep:novel supercontig:GCA_000165425.1:CT868463:234943:236582:-1 gene:GSPATT00017597001 transcript:CAK83253 MVYHCYYSFLEQQTQWEDQKATCRRGNIKTEEQIQSVVKNVRNGDASHPEVVLIGEAELQ RMKNNAIITTKEEQLYQKKLLEEQKEKQMAAAKAKKQKMLQMEEEKKKQVPLSDQQEEDK VVKDSLLARASEIMNEQMDDVKEMNKMVMYAKCVTVRDKQLKEKKELHEQYKVQEKRKDL MMEIERLKSIKYHEEKDKQRKVEQKHGHDIIIEQIKERELIRLKDKEEQEREGQVMLKQI KQLQIEEQQKAMQKKVTQQKVQEEILEANDRAILVKEKRKLEEREEEEMIVKYNLQKAQK EAELLEEQRRIKEEKEREVQRLREMQEKAQDRQAELDALRAKRAMEQNERQAREKERKEA EWKMKLNHEVQEARKLQQSEKQERLEEQKEMNFRELYQKQKQERENELKLHHEKEALVKK HADELRKQISLNEEKRKQEERDKLEEGKKIRDKMLNEKKLLENIKETKLKTLNENSIPDK YKAELARKKINILI >CAK83254 pep:novel supercontig:GCA_000165425.1:CT868463:236631:239832:-1 gene:GSPATT00017598001 transcript:CAK83254 MNKVEDSTTKLLQTEVLQIEQGKESIMIVEEKDSNSWKERMKNLFIVPIQKCSRVICLDG QCTPINQQSNVIKNSKYNVFTFLPKVLYQQFHQFINIFYLGLTISQFIQPFKVGFLIGYL GPLALVVTLSVLKELYDDIKRHKRDRQINSTIYKRYINGQWQHISSGQLEVGMIIEINSN QRIPADILVLEASDDQVFIRTDQLDGETDWKLRKPVQYIQKKGIQNLQLSTCQVKCDRPQ ENIYDFYAVFECEQSKEPLSLENVMWASTVLANGKVTGVVIYNGFETRMAMNSRQPQTKF GKIDTEINYMSIMLFVAMGFLSIIITILSQPPLNALAICVSLVRYLILLSNIIPISMRVN VEFAKLIYCYKISIDKGIEGTIPRNSNIPESLGRIEYLLTDKTGTLTQNDMIFKKLSLKQ NVYTYENYDDMKRSLQTGLEIGNAEEDAILRDLLLAIALCHNVTPIEENGERQYQASSPD EVALVKIAESLGITLMNRDQNSMTIEYNGKTKKYEILYNFPFSSESKRMGILLKLDNLYI FYLKGADAIMKQFLPETQRGFVDEECENLAREGLRTLVLTQKIITREFFEDWKVKYEQAQ CIENVTQRQNKLSRVRKELENHMQFLGITGVEDKLQEDVCSTLENIRNAGINVWMLTGDK IETAICIAISSGIKSATQEIFLLKEITDEETLQQRLQQFETKTNQLLVIDGTSLSTAFKK EEFFFRIATQAQSVVCCRCSPTQKALVTECIKKYTQKITACIGDGGNDVGMIQSADVGIG IEGKEGKQAALASDFSILKFKYLNSLLLWHGRLSYKRSALLSQFVTHRGLIISMIQTVFM CIYFYLSISIFSSTLILGYATIYTMFPVFSIIFDEDVVEKVALEFPPLYKSLQKSRDLNP KTFMIWCWKATYQGTLIMILAQFLYKNVFLYIETAAFTSLIITEYCMIFSELNSLHIVMF ISTIGSTVFYVLTMVLIPETLVVGEVLNGTFWINTMIIVLFSWCPIFLFQWIVRIKQPTD YEKIMKQVKGKKKIKTNLFT >CAK83255 pep:novel supercontig:GCA_000165425.1:CT868463:240037:240761:1 gene:GSPATT00017599001 transcript:CAK83255 MHLYVSHIINSLWDINNSSKKYPTHIISCEDIPFKNQKSHHSLFLPLFLDGKHFSLYWLT KYIDKIFIFQLFNGTNLKETLTQNQFFYENDVEYSLQLLLLTNSSIKDLKNLSKILIIGT FLKQKVKSHISSLLVTKNTCIIFQKIFQKRKKSIKHLFNKIICLMYHNINDQSENQNFLE LFLNLSFLVVEMSSSKKWESSQQFLRTCLFSYTKNYQWKILQLIKQTRSR >CAK83256 pep:novel supercontig:GCA_000165425.1:CT868463:241308:243296:1 gene:GSPATT00017600001 transcript:CAK83256 MIIFRTLLKWRFSTHTNQINFAFRDRFENQSDSDIDIFDFPKEDVRIVQNESELMTLISQ HVDPKVLIDIFNKNRSIFGIQHCQLTIRIIAHFLSSVKIYENEPTFHQGMGEISNFLNSQ LDEFSPMDLIELLCFKSKYQLKGQQQLLENIDDQKLANNLNQMLQDSSDFSIRHYINIWY DSQVLNMNLPLINSLVEDRLNTEPLSPMEVVLLIRCEMMKSQKRKFVNSALIEFCISHYD GNSLFYDFQQVTQFYIMLLKVKYQYLNPYLESHPILIKMRQSLIDNLTVMDEHTILSIIA NYQLLPVDVPPVLENKIKEFLFKQLSLRPQKISVYLIQQFLINLQSICTPLEVEKLIDEI ISKLQSETHLPSLTIANKIAQIILGLCKLNLTINFRSKVTVFSDYVSQVCKLEYSENGLL YLRFICGQEVDCFLTKENAFHHPLHSFAVTTNEKLKQSLNEFLIKKIQENPLKVIHDILN FQYQYQQMFKDLLPTIIMEFMKKAHYQERHLSSLFKLLNDVQSLNLLKDCLSYKKSDLYR LALRQHNLTELQAQIFGSRLIQQVEKFHRHGVLNVMLNSNLAILVGRKNPEVLTTIISII KQQNDGLETYSFCKYLKDHKVDYRKVLQHSQRRARLEKIEDLYNSQKCVEKRLMLLYILF FN >CAK83257 pep:novel supercontig:GCA_000165425.1:CT868463:243305:244080:1 gene:GSPATT00017601001 transcript:CAK83257 MYSQLKKKKSPDAHPSIPKGQFSNDQKFYQELTQQLWESHPTDENLEISVDVPQMQTKRA QPYLTEENNLVLENRKLKETIIQMKLEMKSKLEADLLKQKNEFQSILQEYVTFIDKILQD KKDLQLQLSQFQKQEPQENQNELIEQLHFLQDENCKSLQQSEEFSNKLIQIETLLINLEE EKKIAYEKIELLKLQNQQLKQHYEERLQNLKQQHYTDITKFESIVQEALDKKDFKIQKLK EQLSYYTDQF >CAK83258 pep:novel supercontig:GCA_000165425.1:CT868463:244108:245596:-1 gene:GSPATT00017602001 transcript:CAK83258 MYHNISKFVFLFSSLRYRPRLLFQNDKRYILQTQGSQNWMHSFPIFVRTSWISYQNGNYK SDARLEEIRVQDGMGVMMTNEVKQELITVVTKIVTENQQIRVLVTDKIVQKFMENKTLKQ LSTQKQQLRYYKIKILLLKCSLVKKNLINQIQNGKGNAESEELPTYLRSSYSKTYQLLEP TKILKGKKVFPQFAYGNSHEAPTYFKLSNSLICRESYDNPYIKSKVPPLSKIDSLKGNTL NNYEFYNVSEQRFTNLKPTGYVTNKITDTITNQVKIVQKPLNDDKDQIFTFESAYKSIQD QLFNRKRGIFQFKIKELFTDFVEKNDLLNVYGPLNMRTRPKEFREVKNYALDPDQLKQLK EQRSRQQITPTNRSGFTDLIKTVRLPTISKMKGLDTDKYYNKDQHYLNKLTNSIIVQKID ENIKLKNKTLASFNKNKRSIKHNTEDTENMQGIIDVFEKHLEFEKQYNC >CAK83259 pep:novel supercontig:GCA_000165425.1:CT868463:246419:247627:1 gene:GSPATT00017603001 transcript:CAK83259 MKAHQIPRLFCVADYDKENFYCAPKHQRVQSSVAIREKSSNSFHSMMPPQKPQLKLNEEQ SNRTREVIQRVKTDSNMPIPLRNGRNLSITSGVTTILSNKTFQIPSKIENPQLVNAYKNE IVTYMRDRSCKSNFKMTAFQLQTEITEKMRSILLDWLVDVHHKFKLDPETLFLTISIVDR VLELHQISKSKFQLYGVAALFIASKYEEVYSVPHVRDLVYVCDNAYPKEEILEAEGKIIS LLSFDLLTTSPYRMLNVYQETAKLEQKNFMLCRYLIELSLLEYPMIQYSNNVLASAAIYL VHKIRRIHPSWSQDQMVSITGLNEIDIRTCAKEMCNLLQGQDKKQFNSIRKKFSQPKYLE VSKIRIEKRPSQNLQTLQY >CAK83260 pep:novel supercontig:GCA_000165425.1:CT868463:247808:250001:-1 gene:GSPATT00017604001 transcript:CAK83260 MRHLFLMDLYHSTIGMEEGQTSILPLGDISPFFYWALAIKRLTLVGIYRSEFIYFIFGFL NGIYSSKLDVKDKKKYYQKFKTAFQVILALGLIIFNFLTNTIDYQIVLIVINIGLLVLLG IYDNIEINPRGSKQDGYSTDQRNTQIELKKCQTIVQQNQSTKSIWEQFNNLTDDWICKVD ITKTVLNRSWESKEQSCVLKKFLKDNKINLQQFFNHLLIVNSQSNTSQSFVTQFELDESN TFLAWIEKNYLQDNVNQKQNIEKQKQYLDGQDCNQNQEEQPSIISPQNDRKYIFQKDRSQ DISGLLAIPINMDQPGPTNKQYLQCQLTLNQIIYNLSLSVLLVDDDRSNQQKQSIIIQIK NINILIKNELLEQKSAIIYRFVGKMANLSSQILKRVYQLKQSLQLHIKEFEKIKQSSYNS LSFCDDNAFIKSDKVIGDFKQTLLNIRTQSPGQNAYIKVTSSNSHNHENQDENLQKLSIL YLQQLKDLLNSVESLSFDLLITEQNNFNFYELFSTPQLKIEQFNLIQTFNIVIDIFKYHI FLKQHNIIITQELDNESQLIIQSDKRKLKQILINIINNSIQNFNTNLNLAQQEKCENLQI HQHSTIYQQEIKHQNAIIIKAQSDADKITIEISDNGGGINEEQLKNRLNEGKFGLAACHK QLKYLGYDSRVPFEIINYVKSTTGIKGSVIKFVLPKSRDNFQLNEDSKYSESLRVSRRIE KN >CAK83261 pep:novel supercontig:GCA_000165425.1:CT868463:250159:251626:-1 gene:GSPATT00017605001 transcript:CAK83261 MSKEVVMMEQVISNQIKPSFQRWLVLFSYSIIAMANVMQLVSYSPIWESAAIYYGIPSQD LQWIGNMYYITFFVLAPFCIKPLLVRLDISMHVIGVISAIGQFFHGINKRAWIIWIGGQS YLMTMVGLFVIGISDAMILIVPVFLSEKWFTVYERLLATSLGSFFQYVGMAYAYAFASFY FDILDDQSVINMKIDEMNLMIAVFNTAGAVLLLVFFRNRPPHPASNSDNIVKDPIWKSTV KMLTMEESIIDGLSLGIFIGLGWVYTTIISFELYPFGYTQAEVAINGTVYQISGVVVGLW ASIKLDSQAKQGKQPDYDRYIKTFTSIGMITLILEAFIIEYVDYWMLIFLNFAMGVGLNS FYPIAIQSYVEKLYPASELVLVTGLLCLANMVGFVLNYLIVLPEFESFGLWMGCVIITPG YLHILLNYKTKYRRFEQEI >CAK83262 pep:novel supercontig:GCA_000165425.1:CT868463:252167:253428:1 gene:GSPATT00017606001 transcript:CAK83262 MSEEDSQELDSEQEEEEEEEEEEEEVQQPPKDEFNEFRKYNAFSSYAQLERGQQNQQNYH NPLEYYYQNNIPIPYQPQVNYYDKIQRHQCQIDNAKHALDLLQNPKSRMQNYYDNYYPPD PQQQYYNPNFYQKANPHYQPNNQYLPPPSPQQYNYQRKRSYDEIIPDPYLEQYNNQRRRN SFKEQNFIPASHSHLPSPQYPIQQQYQQQQQQYYPSPYQYPNQFPPQQQQHYQQPNQYNY QQPPYDQYDYYQRQNYPQQAQDSLNNPYQQQQKPSRPPLPQQNSLKEDRKANLQKNDDQS IDQEYLEFIRFKEAAKKQQKIIDDNEYQDFIEFKKSKLQQQTNNGGQATQQRQVKELYKR TKQISTEDELRPKFNETKFERKPGENYRQKYVAPQLDANIEIQKAMEVLMKK >CAK83263 pep:novel supercontig:GCA_000165425.1:CT868463:253451:253954:-1 gene:GSPATT00017607001 transcript:CAK83263 MRQKNIQDLELDEIDLNYQITYSINENRDVLIKHSSNGITTELKSYIDFQTITKFKHINQ QTKMNYNIHFDIMSEISNYINNQDSSLRMCTVQLEQQRFEQIQIQFQLIYAITFEYGYQI KEKEIDDAIVQISSRIDQICVQTYNHGDANLMKQIDELFEQYQEIFN >CAK83264 pep:novel supercontig:GCA_000165425.1:CT868463:254139:257039:-1 gene:GSPATT00017608001 transcript:CAK83264 MQQQQDQLKIAFLHPDLGIGGAEQLVVNLALALQKNHYVKIYTPHHDPNHSFPETNGQIP VEVRGNIIPAHFFGYCTAMCAYIRMILATLYIIFFSGRWDVIIIDQVSVCLPLLWLFRRK TIFYCHFPDKLLCVERKSFIKKIYRFFLDSFEEISMLFANLVLVNSQFTREIVKQAFPLY NKYGRQPEVLYPAIEFSKFEMAPELNRLDSRLESNNYFLSLNRYERKKNIALAIHAFAAF RQQLNSDENIESVRLVIAGGFEQRVEENAQHFEELNQIAQRQNVAEYVSFKKNISDSERT QLMSNALAVLYTPEREHFGIVPVEAMYNQVPVIACNSGGPKESIQNGVTGYLCESKTNEW QQREKQRNGRKRERTSYSIVWIRIISNANGQLCKDGYQNRKIKIIMNIKGYFGIILLSYN ISKCVIFENQIQLTENDFIFKIKHLFFLKTLNNHHRLARSLNQTSKYAFRLYFLRGCDNR QLTQSQQREFLNYARIDPYGHSFMIYNSNELKNKVNSWKQTLPWITPYYAIKSNPIEPLI QDIINGPFGTFDCASKGEIQMVMKYGVPASKLVYSNPVKEEKDIYYAKNKGVQITSADSI DELIKIQKIAPEMKILWRLSIVEENPEQMATLFSGKFGDDVPNLDAAHKRFKQIQQMGIQ LHGIHFHCGSAVQGSSSFGKAIDLAQECMRIGRLYGHKMELLDVGGGFPTGNIHENAINA LKKTQNDPLGYQVIAEPGRHFSANTCSLLFRIMTKRIKHGRLCYHVNESLYHSFNCILMD GISFENQNDQFYGVLNSDESQNSQISEQSNVSIFGMTCDGADIIAKNMVVPNDLQVGDWL CMQGMGSYTVGPKSTFNGMKSTAKIYQWSGQIEEQNQVSPQIAISQIC >CAK83265 pep:novel supercontig:GCA_000165425.1:CT868463:257143:257953:1 gene:GSPATT00017609001 transcript:CAK83265 MITPVPDCPHYQRNCDKKAPCCGKFYPCRLCHDANYQGPNSDGCKTEIMDRYNVTVIRCR QCLCEQPPTNQCISCKIQFATYFCSICNLYEDDPKKDVYHCDECKMCRRGIKEQNFHCKV CGICLNKKNQDSHKCLDQIADTNCPICLKNLKISTTYIAQLPNCAHFIHTLCLNQLVKSN IRNCPICSIPIIEIEDYDLLAEAAKIIIPTHMQNLKVSYLCLDCRQTTNDICFNYYLKCS KCGSYNTKQ >CAK83266 pep:novel supercontig:GCA_000165425.1:CT868463:258102:260516:-1 gene:GSPATT00017610001 transcript:CAK83266 MQGLNNQNYNPVVRPKTLICYICGREYGSKSLEIHLKTCQEKFLMEEAKKPKNQRRPLPQ PPKALGGGGNYDAESYNDQAFNAYKEQSLEKCAYCGRTFNRESYPIHIKICKPDKPFKPL PGFVQNPKEVQQQMNRDNGGTQQGKSNSNGYSGEQKVPSRPKTLVCYICGREYGSKSLGI HIPQCKEMFMVQEMKKPKGERRNPPTTPRGLWDLLNKEEITMEDIMAYNNGAFNKYNKEA LVACKNCGRTFKPEALEHHIKACTAENPFKALDSVDEGGQVELVPCKKCGRKFLPSRVEK HESNCKDIKGATQTQTNGFQNQKQEQLQKQQQIQNSENPQQKQKQQTNQIQKQQSFSKTQ VNYDDKSLKCYKCFQDCNSIQDLKQHVQNCKNQGTKPQIYDDLVQLPNPSQALQTIYMSS VFENFKKKDNNSNAIEQQKQQPKQQSQSQKQQQNDNHDFDEDQEDQQDGIDLITCDKCDR RFAQDRIKKHQKVCKGKQYFEKKEHKVEVQKAPQTGWRQSHQEFINTLKYNRQLKKIQEE GGDIRQLGPPPVSSNNNYIQCPYCQRKFDPSKADKHIAICQNVVNKPKTIQEKKQNPQAT QQPILQLQQQQLPQQNYRQQQKVQQTNQQPQNNANPKYGRVFQQNDESQQPKVQLQQMNA QQSKTSFQRQSISLQKTPQVPQKTINQQQQLLSPNQSTNQFRIRTPQTTQKSQQLKQSQN STKIENNIFRPPISGRTQEFTKSNSQVQLKPINQNMLRKF >CAK83267 pep:novel supercontig:GCA_000165425.1:CT868463:261238:261744:1 gene:GSPATT00017611001 transcript:CAK83267 MGSYPSCFCCPQSYSKGKENIITDNEPHLTQFKQAVFNQAVQISPEDDSSLLQIMATYEQ LVNRKSISTAQNGSSKIRSRSISVDNKYKGIMISTKQQKKKKIQYGRSLSASQYISDSSK LSTTQKLNSILKKRSNTQKSQFQKKSKNKVSFLPFILQCNSKKLIDIF >CAK83268 pep:novel supercontig:GCA_000165425.1:CT868463:261849:262373:1 gene:GSPATT00017612001 transcript:CAK83268 MGQIQQICAKNIQRCDSSMTDLKQLVIKRECHKEGSSRVTLEQSTMITETLEELKLKLSQ DEDKLNQEVETTISMVESFQKLETKHLSQRELDKITDFNVINNPDGTKNRSKSVIIRNIF SEITPKSILKNKELLQDQVKRNLQQQKRVRFSIKGLQKNKQQQKYNGYMKISYL >CAK83269 pep:novel supercontig:GCA_000165425.1:CT868463:263083:263637:1 gene:GSPATT00017613001 transcript:CAK83269 MGSKPCCCKNTSDPIQEMKLKIEPMNSIHEILVADLKKPIPLEQIMPLQQEDDFALFLVM DQYDRLIVKSRTKAKSYSPAGDIYTNSRENFTTYYMRRKNHHKQCKQTRSLTTKLSTSFQ SIGIRSILKKKDLTNNKSSYFQQISDVQKSIKSVHFDMVQSPKNCINRQTDVLKKKKMFL KSYI >CAK83270 pep:novel supercontig:GCA_000165425.1:CT868463:263973:264358:-1 gene:GSPATT00017614001 transcript:CAK83270 MFEENISQRNPLEVSNHANAVKRFENLEKLKLIERLETIQRIKKSNDRLEIKKFMFQLQQ RMFDEPDQFSIIKFSIGLRSVEKLKRDSKLSRSPDSRQTTAAEKQTEIKEIEVKPFKM >CAK83271 pep:novel supercontig:GCA_000165425.1:CT868463:264564:269486:1 gene:GSPATT00017615001 transcript:CAK83271 MSEQQTPNRGRFLSPQPQNQQSRVNSESHIVIPNDKMKRSSAGGSSVRVINSPPVAMTQS AVVNQLEYFQMPNGSIQPMITSKIVPTLVRPQQTIIIKQDGDGSDLDQLNQLRIEVEQWK ARFFALQITSGGSGLEELTGMYEMRLKLLSDENGKLLALIKQLINENEQKDLAIAQRDNK IYQLELNQHEHDALQELLKKKQDENLELRGKLQGLEELLIDARKQEIENFELQSKIKNLE NELAMWKDKFKLSEEDRNRLLQELRELLERMNQLNLDSVKRGQLSTMDLLEDENKKLRDQ INQILNDLDQWRKKALTLELQVFDLQVQVTDQDNNNKLLVKEIERLNQQLASKQQEIERL KTNLQQAEDHIKELQGLKQQIQEKQRVIDELKRKNDEQAKQLLENEKLKKQLEQIRGYNT DLQKQNGVLNYQIDQLNRTLENKQQAYDKLNNKLKFVENDNNTLRQQVLEINELQIEKQS LLSELSSLDKELKLKSEQLANVEKELQNLQEKLQQLRIIELELNRAKSQIDMKDRDIAEL RKQIKDLTVKNFELEQRLKELISKETEYLKIFQQCETYKSLNDQLNLQLAQLEAENQQLQ SQVDGLAELQSQLKVLQQKYEQTLKINSDLSSRNQQLQQQLQNIQQQKNVNNLRDNQINI ELQRNNTLLNQKSQQIEDLRLKLAKAEQQIDTLNNQLQNKQQELDNQIVLNAKLQDRIKE LESELLNLKKTLQSLQDQNTSLKNDHHLQLQELREEILRKQEEIKALQKLADQYKEKYLE NDRQLADLKGLERKLNDITIQKNQLADLNTAYQDQISKLQSENRSLQIKIQETHLFEDQN KQYEAIIEKLKAENTLKNKEITELNIKLPTYMQQVSDLEKKLLRTDQQFQIYKNEVERLR QEKQNLIKEIEELKRKNKNLEQKVNELNHLQELIPQLEQKANRLQNQVDKLSKQNLDYND QINLQNEQLSQQELQIRTLFQVKSNLQQLESNYSLVVQQLNDQRLQSAKLEQAFLNEQEQ HQKTADELKKATKELDQLKNKIEQLEKYIKELEGKLIKDRILEQELKEAIGFNLTLDTKL NQVRQENESLKNEITQLKEELEQWKAKYLQKDNEVKTLQVYKAEAIQMRIINDELTDNFN KLTKQLKAITLEKQIMEEDLQQQIADQKQRIAELEQLVAQIEPLQTQVNDLQQLVNKLQN ENKLLAKKLGEAQTKVKELESKIEAYEVMEKDYQKLQANYAEKAQDLRMTKEDLDKTTLQ LDKVTKEKENLDMRIAMLAAEIERQKHLNKNKQEKIDELTNQNTDLSNVVAQLEPLDPEN TRLSEQIQEMKKKTDQDQLDLMKKDDEINKLNNEVYGLQNKVALLGPEIERAKLKYNGAQ NTIKELEEKLQDYEHLQQELGNLDNALNQAEEKVQNLEKENNTLHQTLTAKSDELNQAKA NVNDLQNQLNLLNEQLINQQQLENELQQKDHENQQLKEKIGQLQQQIEQLEQIKYDNEGK IAMLATQIEALKYKYQVAENKLKEQENIIGQLNDDLDNFDKHIQELEGENQDLKDKMQQQ SIRAY >CAK83272 pep:novel supercontig:GCA_000165425.1:CT868463:269528:270309:1 gene:GSPATT00017616001 transcript:CAK83272 MCSNLTITILVFLVGIAQCQQCTWTDESGYTYNLKSLDKPGGWQLKDETSGMGMFSMVYI FNFCDFKPIKCHDRQVGAIEALAVMGQITENCDVAGLVETQAFEHLDQRDLNKGIVIKYT QGDLCMDPKQQPTGVMQPRQAHFFIECGDDEATFTVLPDNECIDQFKIRHHAACRNASSH WFLKFIFVICLYFGGRFIYNRKKLQIEGEDAIPHIHQIKTLIPQVRALFHFGVDRLQTQV QRFRHGGYDSI >CAK83273 pep:novel supercontig:GCA_000165425.1:CT868463:270334:271590:1 gene:GSPATT00017617001 transcript:CAK83273 MYRFRQLWKFSTLQFHKGVSEHQNVSQNVVLRGVTLKGRYNDLPNMLFFPEACDPVENWI PFFSDPANKILDYRNVHILSPRNFGTSDKHFSFDVEDLANDVVRYMYYNKITMATLAGHG FGAKVALAAGCYHPERTTGVFCIDYSPMDQRYHEAFTEFRGFIKKLSEINTKEMTKSQIE AFLKENIECVKWRSIFSDNLVKLPSGQWDWKFSLKFLNDNIQFNKADSLAFWPIKAGLFT GRTHFAFPEFSRWVHLGTNTLPMLKVCPQVRGFGHDVHSVQGDNNTLNHWIYEFKDQSFV FASRFTKFLSMYDGVHLLLKDRTEVGKEFVPSIIYSKKDPNHVYSDYSPAHYYHNWRFNN VYKNLDIQNK >CAK83274 pep:novel supercontig:GCA_000165425.1:CT868463:272403:273578:1 gene:GSPATT00017618001 transcript:CAK83274 MSQSTRARGSSQQSQISKKSIRKYSSDYYDSDASDSEPMTKKRVVKIWTPEEDEMLQNFY EKFNGNWTQVAQAIPGRNQSQCSQRWKRINPNRIKMRKQWTEEEDRQVLRLIQKFGKNWK RIENEMNGRTGKQIRERFINKLDKTINHDPFDEKEDEDIYNLYMKLGPRWSEISKNLIGR PENSVKNRFYSHIKKHYNVQTKESDSDGKEITPTQQYNKSDLTGKEYLRSQNEDKQLDTI QSQFEQENSINNLQQHNHYMQTSYNGLGKGDSLNSNGDFLQFSHQMSLEQKQHYDIGIAH PESNIFQDVLLPYQDLLDEQADQISIQKKQSGTQYLRTDSEIVHLDYKFNKMSMEQE >CAK83275 pep:novel supercontig:GCA_000165425.1:CT868463:273596:275405:-1 gene:GSPATT00017619001 transcript:CAK83275 MYNNNDLEKLVIKGSTRFQEVNFNQPRLFQPKLNIIKLKGFQQIVDLIKQQESFSKHNQI PQINTQKNLPSILVYPPKAELITSSKKEISIRKYSETRVYGRNSFTESAEPQSLERRKID TKRNKFAIKKALPNKQEEQNLFENPSDFATIISYSNLLMIIPQEKIVYKAFITKGNNGQL VRQLIKSRSWWVLLDAPQNDMNLYWTQLRKQGFYKDLITITGNQSTFTKTQKKLVFEKFK LRLEEEKYTNFVSSNILRVHNHLEGNFQISNKKALYYNMKSYYESIGQDPFKFIPLTFHI QDGIKDPVYQQFEEYAKRNNINVWIVKPGEQSNRGNGIEVANSISQVKRLVSYRELHSNG VKKTFIVQQYLNRPLLYNKRKFDIRCFMLITCINHQFKAYWYQEGYIRTSCKEFNLDDTD CKYTHLTNDAVQKYSKNYGKYETGNKVSFNDFSKYVQEIYNMNFNNTIEQLKSLCVDIVK ASNQHLDPNRHFYTFELFGLDFMIDSDFKPWLIEVNTNPCLETCCPLLSRLINHLVENTI RIAIDPMYPPPTKKKPLPEFKNNFELIFNSPLIEQCDKLPEIQQDDDDLDVDDDN >CAK83276 pep:novel supercontig:GCA_000165425.1:CT868463:275546:276930:1 gene:GSPATT00017620001 transcript:CAK83276 MQDNYCFSLGSSSAISEIKSEVQDNYSEISRSSRNFESISSISGKKTNSINNLSLSDLDN QHRDKICFLSPLQEYIQINPKESYDLYYASSTNIQGKFGIIIKNQNKDLVTISQAYPDKT INELEFLATIQGMRYCIDLGIKILNVKGNSQLVTKHRSFNLQGQLAILKEVILKYTQFFY QINFVQIPKEVNNQAKQLSREEKNFVKVLQDQSKIQDLSVSIDQNKFQLSSQRSYSQQNI NNLSAILPQKPHLDQILLEYPDIFSEYNKQQIKPINLQQNRDYALIFGKVNDNTNKGANF MIKQDLNEIIVGTFYFPQQTNALQEISLLYGMRFLLECQITYLNCFTNDETFCKLFDNEN NKLMQSQIKEQKCLYSMKQLFQRTSCRTIESGQLKALKEPYQNKQSRFQILDESIQIQNG QIPKQQSITFSKFSQIYGFNNQFRI >CAK83277 pep:novel supercontig:GCA_000165425.1:CT868463:277180:278484:1 gene:GSPATT00017621001 transcript:CAK83277 MSFDDSGIDYNYFKIPPDLDKAYLHRQAFTVHKIPLPNSQQCLCCNFSKDHIKYNFFEGR KYYHHHSSLGEYFQLIQYCIYQLLIMGIFLVPYSSMIYSDGNQCEQVSGCDPNSSKVYSV WNLIPKYYSLNNPNYSYFVHLTYLLLQIVHFLFFYNRKTTKRMQTSATFEYLKQTCLYFP KTIHQDIVEFMKCKQRNLFVYIAFDLEKYEDALFEKLKFEYSKQYDKDDKWKNKILIKSF YDNALLDEFVTGKIVLMNHLSLFKTEVYQICQMYNQQVQEYKNQDTQKKYVEALWFFFLN LILSYYLPRVLITMTMFFRLKLLTTYFQGDDLKILQIIIKASFGISQILILKLSDYLCRN GSSMVHQSMQLFVLIDIAFSGHFTEWTDCFDPFDYSQNQMLPD >CAK83278 pep:novel supercontig:GCA_000165425.1:CT868463:278513:278791:-1 gene:GSPATT00017622001 transcript:CAK83278 MYINNKEIIEDKTINGQNNPIDRHKQQILQIVNLLELIQNSLFSNNLFASIYVIFLKLVS FSFLYFSNLHKQDISKIEVNAGINIFKQEIVI >CAK83279 pep:novel supercontig:GCA_000165425.1:CT868463:279422:280689:1 gene:GSPATT00017623001 transcript:CAK83279 MTDLIKQLDGMFTREHLEKNKYLLKNLDPNLNLPIVALQKEYSLAKHSIEEILGALKQCK NCKLHEGYVTLLIPPHTKNIIINEISQTNADFKAYLIEKYLKNIECEITFEGGCCRVTFK DDEVGLKFLDQAVTDPKDPLKAYVEPENVYQSLTKSLGFNNQGQYLYQYNNQMFQNLYIR KASDDAQVQNQHEKTAKPYYPPVQQQQSNPRKQSGQERKGSEQVEHQDKKTKTPTSGPKE IYVEKQSLEKQEKNSEKPPKVQQSPYVEKKSTSRKTSEQIQPAKHKGSKDIQGSPIRKAT VQYKKENLIEIFKSIQGNLKANPKLSKLSEQDRALLLRQNGELTLEAIHPTPCIRKESYA SPQQHIKQSPAQIPQRKPQQ >CAK83280 pep:novel supercontig:GCA_000165425.1:CT868463:280720:281824:1 gene:GSPATT00017624001 transcript:CAK83280 MSQKSLLVLLLVTIAIATESPALQQLRHKLESNEYNSQLVDMLELSVAGGQLDRVFELLQ KMIDDLTGQTNSANLEHASRMAAFQSSIEQLETNLASLQNEVQTTNRKIGEITQAISTLT STSVSVKKQLEAINQREEQIRDNRAKEIQSVETKQTAAQKVLGALEEIHDRLVKAVLSNQ GSFLEETEKQEIIKQVKQELGLNHPIALLLDLSVKFDEVTARKAIELIEQIIASIKDGQQ FREQNQTAAEQNFNSLINEVSILREKLGQDNQKTSSTLKNRQNDLKIAQRRNKQLSLNQE NTQQLLETTRVQKDLYDSNFRSNASKREGQLNSLKTAFQILRDNEQALKK >CAK83281 pep:novel supercontig:GCA_000165425.1:CT868463:281846:282449:-1 gene:GSPATT00017625001 transcript:CAK83281 MSMSDQSKTENRIFVTGYSTKDKNEEDIKTLFSKYGEISEFSWKGRFCFIAYSKAEEATE AVNEMNQKDLDGNTFVVEIARAKKKDGECYQCGRIGHFARNCRQKRRSSSSSSRRHKRKS RKYKKRSPSSSSSSSSSSSSRDRKKKQKTKKRKQSSSSSD >CAK83282 pep:novel supercontig:GCA_000165425.1:CT868463:282484:282735:1 gene:GSPATT00017626001 transcript:CAK83282 MGQCQVPQMQQIEDDFAAIQRNAVTLKAVPEKLNQLEAQINGIKSTAEQLTTSVNHITQT LENIRKSLP >CAK83283 pep:novel supercontig:GCA_000165425.1:CT868463:282778:283519:-1 gene:GSPATT00017627001 transcript:CAK83283 MSGFKAHKTRNKPIVLNLLNGLKQGADGKLYDVMDVNTYIQMMNDQQQSQNIPKSLKCKC NKSMCLKQYCDCFANGNMCTTQCQCQGCHNTEDYLEEREEAINKLKMQNQSIEKEVPVGI SCKCKKSKCLKRYCDCFQNNQKCSESCQCNNCSNQVEKVEQGDQRLMMNSISQFDENSRL AKSPIVYNRQGFFRRADSMNYSNSFGYSRRMLIQHEGPYFLKQDSQGFN >CAK83284 pep:novel supercontig:GCA_000165425.1:CT868463:284887:285356:-1 gene:GSPATT00017628001 transcript:CAK83284 MDLFDQFQKFKTNLKTQIEHRPHSQQRNTVLKSNFIQQTLQTQQQQISDVQRKKTLQHHR SSTLFSAAKENQDKLQKQNQQQQRFTMVHKQLPEVLSMQQIQQISDVLIDCSEGQINSLS TQYVEELVKLSSIIMYKVKNSKFYS >CAK83285 pep:novel supercontig:GCA_000165425.1:CT868463:285466:286144:-1 gene:GSPATT00017629001 transcript:CAK83285 MWYKNFSKQSWNLRVWRKANILFNQDDIGMFKTKGVLRWKDTVFRMARSEACLRGFNFFF FAGMIGSFIWVKSNYYDPKYVAPKKVESEKELERLDAEADKILFKNRLEAYSRPHRSLED LIAFLSGSKTFDQFADFISYEEAMNNSMDQQNGLDSWMDDQDQRMLKYYQRSIGRTPKFD >CAK83286 pep:novel supercontig:GCA_000165425.1:CT868463:286709:288326:1 gene:GSPATT00017630001 transcript:CAK83286 MGLCQSKSRQDKQENLKQPTTKKQETFEVGPDNFATLKQGQVTSYYRVEKSLGQGAYGEV RLVIHKQTGQRRAMKQIKKDKIFKEDEENLLNEVTILKQLDHPNIVKLYELFQDKSSYYL ITEYLEGGELLQRISEYKTFTEKIAAEFLKQILSAVMYCHERKIVHRDLKPENILLESIK QGANLKIIDFGTSRRIQENQFLTKKLGTPYYIAPEVLKKKYNEKCDVWSCGVILYQMLSG KLPFDGQQDEILSKIDIGYYDFPSEHWSGISDQAISLIKKMMEKEPNKRITAKQAYEDPW IQHNVHIAKIDARQLKNLQSFYSKNKVRTALMQFITTQVMTNQEKEELITLFKSIDKNGD GLLSKEELLAVYSQQYDPLKAQQMVEEVFEKVDINKTGAVDFTAFVSAACQQEKMLNKIK LEQTFKIFDINGDGQISKDELQEIMGGIDDQLWQEILQTCDGNGDGEIQFEEFITYLVQK Y >CAK83287 pep:novel supercontig:GCA_000165425.1:CT868463:288356:290392:-1 gene:GSPATT00017631001 transcript:CAK83287 MEQNISIKCPIHRRELIVTGCINPNCNRSPLICQMCPDSHSDHYSNIVQISEWLQKVAKT IQEGSKTLKQIRDINQIDSVLKWCEDNEMHLKKVDEHCKAQKALINEDFNYLKDLFVEKC NQAQAQLEQDIEDFYTKYKEQFKICKLIMDDSYILQKQCNPYSNVHNLILKLQQSNPKEA QELITQIRKLINKPYDPIENIKQCADSITEMTYNLPVFAFQDGIDSFVNQLADQIHNHFK TKLNITLPRKIVSPIKYQPKNLHKQYSLPTENMTNNYNSNQNTTKSPRLNQKLTFSPQNS IALHSKTIVGQCSDFTLKPLKKYQLDFCVSAIEVVTPQVIAMTCSNDPHLRVFDTIQKRI HTLSTHTTPIIQIHKSIDTVAPLQQHNNQKSQTYLFTLSNELLVVWAFDFTNTQMIVPHI YHKYLFNNQITQSCYLQDNSCIVVGDSVGTVEVYNFQQSKLQQNGIKGKHQKQISSILLL KKHDKFICSSYDQTLSIWKMLYNQQSFENTYCESIIQCGNMLGQIQIINQFQSNPNLLLV GNLEGSLKIVNLMNQCVILESDIQKNTNSICDFIIAEDANKETISVITYLQSSKSLRFWR LQNNDMGWAQNEQKIEVALSLSENMIRSKLQLVQMPSSSQNTVVLIANEINKELLVYEII NKQ >CAK83288 pep:novel supercontig:GCA_000165425.1:CT868463:291060:292802:1 gene:GSPATT00017632001 transcript:CAK83288 MQKNNLQQLKNLNSSKINKPPIDSRSTRNVYELSAEKSSINKSFNEKKKSVPKSNAILQE LFFKRQNSQEQLFNRTTSPKSQHENTSINKSQRSISPQILYQMPVEIKKIYQQINHRLDQ QKLQQNKKNKDTQKYKTEESKSHKNESTSSTINDSDEQIQNFINQEEIVFTIMAVISRKK KVINQCQNYLNRISHFLIEEKDKITQQILSKQIHLERIGILAILYKALSDTFEEDQQNLK NLLFYIHNSMTLHLQFLNQSNTISQSQRAAIQARLNKIRPQKNCQNLDINLIRKNNNVVY SLLVLFIENSTDQKLNSLEKNLTNIDRLSLNQGTEFIKQEYHKILVHIQQLRLTMDSQDF QFDYEEVIDCQQIPYLSKTNNYTLIIDLDETLVHYQELVDEGQFLVRPFAQQFLKDMSKF YQIVIFTAAQQDYADFILDLIDEDKVISHRLYRQHTTLVKNTYVKDIQKIGRDIKKTIII DNLAENFQLQPDNGIQIQSWYGDSDDQALQLLSPLLSQIVQKNFPDVRDALRKFRDQMQR NIEAGISDPHLHLSLN >CAK83289 pep:novel supercontig:GCA_000165425.1:CT868463:292835:294857:-1 gene:GSPATT00017633001 transcript:CAK83289 MDQPNYQSVAEYKEWLYQKNVAYQIEQFLCQKLGQEAELNLQCTFSRMPVTIPIRHQNVA SIKCIFDLEQWLEFFESKLNNQNNFSCPGCKQYVYFSDFGIDFTLYHILAEKKKLEQGGQ KLIGDRIIYRFNNGNKAYYAISKVDKQTKIKIPGSGPVIRLTVQNTNINNQNLSQRQQDI QEQINYTISQVKDCISSKFYQGKQKGKQINQMKQALKGKIDQLKSTFKQSLNLIELGVQS LETQFVFGFKKLQNDGKNAGSVLIVYYPHLGIWADYEIKTTPEKHFQFEYQLYVKEQSLE QENIIYVIGGRVQNLVPTDLFVRIRFPKDPFAQNQQASIEYLPKLPNAGFNYLGGCYNNN VYIFCGQKRTPDQSQVIIDTIFDAGYVFKNNQWSKLNQKVERRYDGSCTIINHQKYDKCL LLYGGAEQLPDGRIGYNIKEQQHIVQVFQFKQEKFLGNGFKLQFSDKNEDQYQKYMLSSP IFSVPFGTHSELLISGDFLKKNWKENREVFIFDWQDGTIKKNQLQSQALDYQLLSHIKRN HNYQGAEFLQPVQDVEGAFMFGNYYTIHQDETQKDQKTKIQNFQLFEYKVANGQVSTRPF VQKDISIQIAVDALQKLPNQENKIL >CAK83290 pep:novel supercontig:GCA_000165425.1:CT868463:296141:296896:1 gene:GSPATT00017634001 transcript:CAK83290 MKVNKNLQDAIINLNSLSIPRTEIVIGRIFSKPGQLYKDLFTEDEIKKFQNNLNISEQQL ANIVNAFTFVLMHVVAERNLTQVEEVLAQNGMSQDHIEVFRQQWIQYGNEYSIRIREKPI AVQDVLHSFNWKISLQVDESRLPQKNVANFMNVDGKIEDANDLYSYDARNPATTFVFETK PSGETNKVDKFSIKFQKAQIQELFESLESIQESLDKLI >CAK83291 pep:novel supercontig:GCA_000165425.1:CT868463:296907:298128:-1 gene:GSPATT00017635001 transcript:CAK83291 MNSRDPPSKILLLIISYLPPSFPLTNDYLFDTFKSYGEINKILIFERGKTNKAFVEYLNV KHAILARRNLMGKSISPEGGKLLIHFSRLKELNLEVVDQTRGTSYQNNEVETQLLLNHVS KIKIKNNKDNIESQKVQSPLVDQIHQNQMSVTQDLGESPNKTIMDSQLKQLIQILDDDFN SEIEKSQQIQFNHQQIIIDQLLYQKPSKYLIVSNIDVKITSKMLYNLFNRFGHLDSLILK KKQQTAILQFTNSDQATIAKELLNNVQFFNKELRILFHQQSDFRTTNVDEELYIGSKTKF KTVPISKVLIFQGIKDIIEIQDMVKLVGMIQDIRLEYNQVQMTMVDIYEALKVISVFSEY EYKGNKVNIFFK >CAK83292 pep:novel supercontig:GCA_000165425.1:CT868463:299273:299467:-1 gene:GSPATT00017636001 transcript:CAK83292 MNKLTGAKTYQNNQGQKSRKSLQQKLPEKVRLEHHGLVTARLKDKKRNKEDIRKYLSGNK CDYI >CAK83293 pep:novel supercontig:GCA_000165425.1:CT868463:299557:302985:-1 gene:GSPATT00017637001 transcript:CAK83293 MSGDSHQNGLDNYLNELNNKNLMQVRRPNEEQILAFIDFEGIKADPSIQHKTYPENQQDN KSKGSKSAKQIRRNSLDLSEASPLKQSLRDELILCDSPNLELKKLGEPNDNRKSKSIISP AIQSAKSPKRKSKHFSKIFSKLTNRMSLAKKFISKIKILSPFAKKISKSQLQLIQDLSSD ISNSNEKYNNKSFIKIHDKSKQKMVLKRMESMKAFIVLKTYFSVCKIQVILNKFKQETID PQGNFLFFWELLKFFVTLASLFQLSIQICFNLNVLNWFFTSEKQNSQNILILMFLFYSFD ILLGFRTGYYENGEVVINQKRVARRYLRKYFVVDLISIIPIFINIILIQSFETDNTIIRI VNCLSFIRSNALNRVYHSLEGRLLSNPRFVIGYRFLSVIGTVFLYAHVFGCLWYVVAQNN QNNWINKAGIVEDSWFALYSYSIYWSVMTMTTVGYGDLTPANHEEALFCVCTMFIASVVF AYSINTIGRIITEMNKFDEKINENMAIINRYMQRKNFEQSLQFRVRQYLQNLWTQEEKFR IVDENKIINCLSPNLKEEIQICLYGQFIANIHIFYRYFSQECLLDLTKNVQEYRVAPNSY VIENGTHDGIALYQLVSGEAEMLVDLQDRKYYLGKLKQGDIFGHGPFFMNNAHPYSIKTE TACSFAYLSKQMFLDILQTHPKDYQTYRMIIDQWTFQNQKLDLGVKCLGCGDADHDIDLC HRLHLIINKEILLAKHLFSVPNGRILFKRNCRRTTNAKFGQRLFEDAVSLFQENNFSFSS EEEDPNPSQMERTHQTKLTQEIRYQEPTHNTHRSQQQQHQQSKNVRFRKRSRSLNSISVH SDEESKFQKSMSGYKSNDSQVDKISAIPVKVQQLPSSQLSQSSFNVFLKEYPKRKISKQQ DSDKYSNHSIGQRQQQQQQHHQQQQQQQQQYYHKSSSQSSSGNIPQSSIGIPPLPNGSPP MLYQDEKQTFQKGGVEDSLYVAQERKKKSLIGTSYSNTKQIKNPLQAIPSVSEMNETDKL NNLKEASYTSKQLSMQSRSRSQRYTISIRTESQKIREDTKHDTAQKHEKHDFKLKTDTFK QYHENSPLNEEQFHNTFEQACNLYSYYPQYNKDRIIEKYKKQQYNKSTKQYQFKF >CAK83294 pep:novel supercontig:GCA_000165425.1:CT868463:303033:303806:1 gene:GSPATT00017638001 transcript:CAK83294 MQTWDSELPHTMQKKLSLLLEQRQNLQQKENQLNGKDLLFAHKKSLQKLQNQNQQTVETL LENEKTELIDIFDQELINQNSRRYSSRRMPTLEQSGISFQEQSAVFQKSSKTNRSASTNL SYFNTQSPQRLSKFKVDNNTSRIQDQDQTIKQLQSIVAEQQEKSLIQNQEIQVLKDKNQS LIRQLEISEKNFIKFQKQTDLFKENLVEKLKTYALKLETLNENEEKIIIMQKENARLRQE KEELLVLMRNHNTGVRK >CAK83295 pep:novel supercontig:GCA_000165425.1:CT868463:303831:304557:1 gene:GSPATT00017639001 transcript:CAK83295 MDTSTTHNQPQIETFESKLITIQGTSSLPNEHVYRFRKSFHPQNTANQFNLNKTLNSSVN SLNHFCHLCNKNTSTQILKFCACQDRQYHLLCLITRITLEYKQGNGIMQCPYCSLYYPTV IETNFSYNNLTRQQKFSYFLAFLLLQFLIVVEVALMIAIQLDLPFFILLANLILIELLLL LMLIRRAILVARVVRFSLEEYKICDQIIQSAYAKNSFKIISALKNDKAKQLFNE >CAK83296 pep:novel supercontig:GCA_000165425.1:CT868463:305548:308899:1 gene:GSPATT00017640001 transcript:CAK83296 MAEREESMKYYHSRESENEEQKRPSYAMMSIVNNQPHPVYFNGGSQRKSIENQQKHGPLL IDKPNCLPIIKKELSLEELDDQEGKVRKINSGSSVAEINDQKSNRSQSSAKSAKSARHSS NQIINGEPKKKQIRRMNSKIKARLSKMQNLKQTSAIQKIVSQFIEGWAFSILMAIVTLYA LFGDDIRILSVNKDQDDIFFILTIISMSFFTLEIILTSIANPNYILNFYFCLDVISTATM ILDIGWVTDLWYGDEGDIGNAATIKALGRASRVARKAARVIRIIRLVRLVKLYKHARQQY EKEQQRKILQDILKQNRLMSDENQKQQQQQQQQQQQQQQQINSQSQKQIYIVNNPSFPSP LPSDGNQFQNNQDKQEQIELQKDSAKPFLGQIQQQQNSEVSALPAQQGQSYDQSAQGSSN DQFRQSQQSLQQTQNLQESNVGSRLSDLVMRRVITIILAILISIPVLTLDTYQETLNSYD SGIFRIGQFRNDQKILDILTMQYVEFHQDELFPILAVFVIRNNVTNNFPKLNETNFQIFN YSQNPDWFEPTNLNIDQHRFSDKQYYAAVDINNKLITCSVGDLIDYNQTNAILSIFQTLF VCIVLASSAILFNKNVNELVIEPIEKMMEKIELIAQNPLEAVNIEEQEDLVMEQLEQNED HEKIKEKYIEKQMETYILQRLIMKVGALLAVGFGEAGSEIIAENIKKGGSVDPMLPGKKI MAIFGFCDIRNFTDATEVLQEDVMVFVNEIAEIVHQTVNQYGGSANKNIGDAFLLVWKYL PMEYHPNPQNPSKLIVKTDHHIKQKGDMAVLAFLKIITSISISKKLERYKKHAGLNARMK DYSVKMGFGLHMGWGIEGAIGSSFKIDASYLSPNVNMASRLEAATKQFGSIILISGVLKQ YLTEECQKQLRQIDIVTVKGSVEPVEIHTVDMSIKNLIQKSKEMKDKFDTSKMNQKQQKQ FRVLNRFKREQLQKDVSKNKVNVADQFQTDEELLLSREPFTKEFYQTWNEGFQFYIKGAW DKAQLVFQKTLIMIPEHKDGPSNTLLDVIHSNGGKAPHDWKGYRELTEK >CAK83297 pep:novel supercontig:GCA_000165425.1:CT868463:309031:309732:1 gene:GSPATT00017641001 transcript:CAK83297 MNSQAQFRKDNKMQIHFSRPSSRNNSFLPEIAQTPSYNFIQKQQTNSIFNSSKGMDQFNL FKISQAEQNIDQITSRTELQRKELIREIFRQDKKPAALKPLIKQQELTTHRNRGRKEDQI EDQQTQLKSILKRKSSNSREHGDIFDFFELDNQDLKYQQQKPNFNRLKHNKQVSLQPIEK EDHSPPLYNPGRKIVSFNKQIQIKVIDPNEEKPKSNQKTFRRMYTVADLLDKS >CAK83298 pep:novel supercontig:GCA_000165425.1:CT868463:310052:311074:1 gene:GSPATT00017642001 transcript:CAK83298 MNTNDIIYQQQQEQQERKPNFIEKLCSCIYYFRKKSNKTNDKYQLGIDTPKSHSRKVCVL DLDETLVHSQFKAENDHDFSLDIIVQSQLFKVYVTVRPGVENFIDTLSEYFEVIMWTASL KEYADPVMDIIDPSRRALTRLYRESCTPIQGGLTKNLSKLGRNLKDVIIIDNSQMSFIFQ QENGYLIKDFIADKNDNELETLLPFLIWLSQQNDVRPVSQLFKQYFMNESIQRKHSKRQI LSQSMILNNQNSNKKLDTKAQRTHTVNHCDIEEIELRAEANINSPNIEMKLNDQTDDESR ETFEISNC >CAK83299 pep:novel supercontig:GCA_000165425.1:CT868463:311541:312949:1 gene:GSPATT00017643001 transcript:CAK83299 MSSFTGFLVKKTIQDYPIRQQLGYYQDFQRQNLTLYINKKLADMRLSNGTNSVNAKSLVN LNIQTNIDTPNKVGNFRRRQISQQECQSRPKTSYHKKQHKVQPKPEQKVASLINDELMKQ NSTSSLYQEYKMANLKANLQKLQAQKKCNLIELNHVELADDEQINYYLTELCKQHPEVDI GKLLDVDVEKVSLIHHQDKLNELIEKRINQEEFDQLLSKDEKTNLVKVYKESRYDQFEPE YSKKNKLKMTTFKKQKEIQDKLFAQIFEDEVQNKTQKVDMNKFFRAPPQQISGKIKRRSN YAINSKPLSHRQSPGVSHFESRPQTALGTHTQSQTFLTTKQKWDGKGVDPDTQQQMQDII GYCIELEDEQKKEKKQFKRKMRRMDQEIQRSVRTVSEQFHNQDKQTIQHEEFKKFQSETQ FKRKLIGFLMNQVADKNEILSQKARKESTSKFIHKVSKLSNE >CAK83300 pep:novel supercontig:GCA_000165425.1:CT868463:313044:313762:1 gene:GSPATT00017644001 transcript:CAK83300 MIPSDNEEQFSPHKLKSIHFDQKPKDLSKKYAVIDQSKRVLLLKRILSREATIKEAAKEF GVNFSTAKAILSTYRKEGRIGKKKTREKQKKEKEQKQYSLSNERKVQSMYDLERDVSQKQ RSFSPDLKSIDQHITNSLLHQHQLLISKTTQNIISTQILEEKKVSIALANCKRELEKQKF LNLQLVMMISSLQQKILQYQMPLIKEELS >CAK83301 pep:novel supercontig:GCA_000165425.1:CT868463:313827:314781:1 gene:GSPATT00017645001 transcript:CAK83301 MKNHLLLVNVNEMDLIIENNNQQGALWLGNLRAAQNITKLIENNIKTVITVANNLFISFK QNLNIRHKIFKVEDSEKAQIINHFEEINNEILNGLNHGSVLVHCVAGISRSSACVIAFIM KTHKWTYEKTFYYVKEKRLVINPNRGFKKQLIQYHNILQSEIKPQNKPFIECDGYQLGKI NSWSKLHKKNVQNPQELIKQLSQNLIKTPVKKIDQLNRTSTPEERQIYRQQLAKKLFVNT FANKSTASISHYSNDNSVLKQKSSDQQTVKIKAFLSMYKKVDMSDMQSDRINFENYSQ >CAK83302 pep:novel supercontig:GCA_000165425.1:CT868463:315162:317997:1 gene:GSPATT00017646001 transcript:CAK83302 MKKWLQKKLNQGLSDDQNKHRLTRKERYMRKYLNSEKCYNYWEIMTSNNLVQVNQNRESQ GFIKQIKEIFQNPEQIFDVELQQKLMELYTLQEDQTPVLAIFAVGFHHKRGSEIEYSYPE LSQLTNDMENIINTVTTCALPDAVHNANEDYLYFNFDAQINGQTKPLFGVTCFKQIKVTE ELKKQNPELTRSHIQKAICLLSFVPLFGYIKSRLEPTIQAYFQLQDFKDMSILNYAFDSI QQTFDLKNVEISALYTDTNLIGLFWIFKEKIFKILKAVQYQLKIIIYSQSSSVCSRFIIS VLSLIPGLLNFNMQSKQSLIQESYLSNFGLPFKIFTENYKLFMHFSVTDLNMLQSSNLKG YLIGTTSKFIKGLNQLNPEIIIDVDTGELNILKEQYKKLLNLKLTEKQLYSAINTDCLKT LDQNQQDQLRKLIPIQNQKITFQGSEDWIRKIIHDHYIKVLSEISSFVINLQTFDERINK LTAIRTRKSMIIKQEISPQDSSDDDDNESQDPHKLEIKKHKENLVEELKIKEYRNMLYDL MRVIRKHNRKGIIYWITKTENGLKWLKSFDYSIITNSECFDKTTTVPFYKVFENADIFIG NMQYGGLIELFQKRVYVGQIQDFLKHGQGRYEKLIDKRFQYKGQFKQNKFHGDGILIVVN EFQYNGHFENNQFNGYGNLQKENQIYEGWFKNGQYCGTGKLILPNKDVYVGQFSGGLFQG EGQYVWANGDIYKGIYKAGKRHGMGIYQTKQYTYEGEWVDDLKDGFGVITLQENNCKYQG QFQKDEFVINQEVIIKFPDDSIYKGQIKNYQPHGKGYLQFIDGKIQDGNFKDGNFIDQEK VEDKNEQVTLHQENTVQEQNIQTDSQSQSKQDLNQVINQNELQQQQIDDSYNKSI >CAK83303 pep:novel supercontig:GCA_000165425.1:CT868463:318207:319401:1 gene:GSPATT00017647001 transcript:CAK83303 MQLTQKVKQTIKANYELQACNLNSRPNTDCIITAKGDKFAVGSYGNSAKIYQTNNCKLLR TLKGHNNVVYSIAFNSPFDNKIVTGSFDRKSMIWDVETGKCQQVLNKHTQEIVCNKFDPT SKYVATASMDHTAKLWNVETGQLVHDLVDHTQEVIYLDFNSDGKLLLSTSFDQTANLYDT INGKLIANFKNHRGELSKALFNNTGTLIATVSTDKTCCIWDIRAEKCLIQLKNHSDEILD CDFSADGKKFVTASADHTAIVYDVNTFEILGTLNQHKEEVLKVLFLPDNQRVVTSSQDKT AQIYSIYGQCLQSLQYHRGDIYGLAKSDDGKIIVTTGQENQSAVWREQI >CAK83304 pep:novel supercontig:GCA_000165425.1:CT868463:319458:320208:-1 gene:GSPATT00017648001 transcript:CAK83304 MQKTIAPIVKWAQRKDNVFLTVEVRDLKGEKVELTSNSLKFSATAEGVNYVFEINFFGEV VVEESKWTNYGLNVRFILSKKDKATSYWTRLIKESHKLQYLQVDWTKYIDEDDEAEEGGK GLDDWDQNKFQNFDHGHDHGHDHCHDHGHDHEHGHHHEEDDDEEDQEEPNAGNIDDLDQE EEVQQQEQVPKEGDQQKQEQQ >CAK83305 pep:novel supercontig:GCA_000165425.1:CT868463:320264:321508:-1 gene:GSPATT00017649001 transcript:CAK83305 MNQIECEKCQTVPEKFVCLDCEHSFCLSCLAKLFKQHESQIECATCFEITLLDDETINAL MEAKLQLIQPPFIMPYTTSKKQNNQKDQMQIKGHPFIERLNVSIEKCLDNIRVIQFDRQK IREISNKIKQDLESEFKSLHLYLDDKQEAFMNEISRFETLNSTNLQKQEDGFQIDIKEMQ IMKDEIKSILQQQTGIQNDIEQFKIILKQIDEITIKTNQQYQSHLKQFQDEIYKLPLQWT DFSSAYKQFFSSFDTGILQSIISQKQKIDDVESELTKESSRLFKQAQFITVNRLEKIHQS LASQISNTNVKPIKASHKSHISLCSPPRNSFLQDEYQIPRKSSRVELSSKLQSTAETRVN SMNRKNTRTMIPNLNLGGISFIK >CAK83306 pep:novel supercontig:GCA_000165425.1:CT868463:321965:323490:-1 gene:GSPATT00017650001 transcript:CAK83306 MIGKKTSSNSVNLIDFINPALLHPPSRKIQLIEQTKTIKLLKSYTKIPQIATEFQSQMIV NNQRIKNDSLYSLPFQQQYLEKDRQITKTERETSNKTRQNNPLSSLPQIPLAIINTQAKL IDLSRTNNMIQSPQNTDTKRSLISPKLKSNSNISNKNYTCNSTTTGSSKDSSSQIANTQQ SNLTKNIMDSYYGKARKRKLNVTAKSFIVYDCIEHKTVLKRKSNKRVEVASITKIMTFYV TLLTIELYNLDVKQIKVKVTKKSSETIGTTAELKYNDILSMEDLLYGLMLPSGNDAAVLI AQAIGTIILFNQQNKRLDLKLIDIEQLSAEGYFYNHEFRFQYCPIEVFIKHMNKYSSQIG QQNTQFACVHGLANEDNYSCCNDIITLSIECLKYELFQTVISSKEYVTKSLYKQYEWKNT NKLLDKGFFGIKTGITESAGPCLASAYRSNEMDYYIIIVLNCKHMNMRFDDTIILLQHAK LKKMMTSIPY >CAK83307 pep:novel supercontig:GCA_000165425.1:CT868463:324074:326062:-1 gene:GSPATT00017651001 transcript:CAK83307 MCAYVITFPIWKGQQWSAFRGHIRLSNEQVLIARFNDSASIEQELRFLEQHQRVKSKIEG KFVKPVYNMLPMIVQVIRTPTFTDVLFDNCVDWQLTGGNIQHLLRIYFAYDIYKGQPPRD CTLENIMRNGDSIVVLDFGLRKRTENYCAYWNPMILKGKQCLSQYQWSLGIMYLVMTNGS FIINEINKRISIWVNGGKLDLVSLVTTKKQSIISLLQQLLNPENPIAWDQIPHHSAFRDD PKCKAILKLYEVRSMSDLKIRPASRLSSKQSSRKTQRNSLLLPESTSNSNQSTSNQSLLS KISSLYKNKFIEEHKQRCNPSVKNAKPINNFFSNSKMRLKFATKQSDHTFQLSCDLRSLR TGSNLSGNGLTQRIDDSEDKKLSRRLSQQEIEYDKMRSRVSSIRMAIKQYKARSLRRLSQ DSNSPAQHSDEVAQPDNYIQLIKRPQVEIEILTQNYLRSLECINIIGQTVAKAIEFLDAL QNFWIIPLFLVFKRMLQLRMEVEKFLEAQINSFNIAQWKEVCNSREYLKFLTRIKSDNCL VRNEMILIMNAAQTKANQLDKTKREKVMWFLNDNLDSNIQPICLSYMKDQLLLAVVEKRG VSREPIEWLKLQLSLEASIIITQLPVLVCENKEFSYERYLEYQDSQNYAQIQKYCEQLKI II >CAK83308 pep:novel supercontig:GCA_000165425.1:CT868463:326274:326585:1 gene:GSPATT00017652001 transcript:CAK83308 MAQIKETYASLNDAKLQKQQISLSQKKTQKIDKANQKLKRISRHTRKPITREQKVKVESQ ELQENVEKLKSKFNQIFKKFIENVKEEILKTEQELLKISHQNI >CAK83309 pep:novel supercontig:GCA_000165425.1:CT868463:327058:327180:-1 gene:GSPATT00017653001 transcript:CAK83309 MDNSFKQEIQKKEANLVIVYAQWWSPLGIEYFFLIKQQID >CAK83310 pep:novel supercontig:GCA_000165425.1:CT868463:327423:329100:-1 gene:GSPATT00017654001 transcript:CAK83310 MNRRLSSEQDNLRVKRALMRQQINQSHRVDREFEIDERFHSVSMYIKHDELEIDDCEYFD QQTWERLDRFDQVVPNQRNNCSWVTYEDFLYIFGGFTFNGRLDDVHRYSFSSNQWQRLNT SGQKPSARENNGAIQYKGHMYVFGGCDGLLWLNDFYSLNLKTLQWKKIEPTGQCPSERFG IACGAYQTKMLIFGGCDGSHYLNDAYVWDFEEQVWNKLQLIGDIPSARSCPSFSTFNNQI YIFGGFDGVNRLNDFYKINIFTGKVKRISQHGTIPCPRYFHTSEVYQNKLLLFGGFNGQA RLNDLYEFEFGSKTWKKLEVHEPPKGRSSMVFQLYNDSLYVFGGYDGDELLSDIYKLEFK NAQVPRSSFIQDLRSLINNPQMSDVVFIVEDHQIYANRCILGARSEHFQTLFFEEFRDKE QIYIEITECCHQTLMDMLQYIYTDQLDSCLNTTRLLSLIILSDQYLMQRLKYLCEEQLIR KINCNNVIELILFSKKYNCRLLRIQTMKQLVDNISTIKRRKDFIKLAQEPEILLEIIQKK C >CAK83311 pep:novel supercontig:GCA_000165425.1:CT868463:331036:332512:1 gene:GSPATT00017655001 transcript:CAK83311 MSQEIFKSDNQKVQLLNEGPQSMRLVTSETKQIITQQPVIEISQQKTLIQTQNEAPLKQI QQLPPPRIQSQNVLRQNMQIPQVSYPGTYSVQQNCQQAPPLCMNLVVVSKEEIETPWRLE CEYLQSIIADLERRKEGKVVEKVVVEKVTDNTRVEQLESQLRSLRSENENLKSQMMQMRN NYESQIQSLRGDISLHSANAADANSMQAEFFALRTQLEDQIAGLRRQLADLELLYAQSQS DNERLKQLNQNKDAEIQQLRLQLSQLQTSAQDTSQLRDLENQLRLARDEISRLERQLQQA NQDILNWKNKYTTIEQESYSKNNELIMQLRDLENKLAMVTVELDRLQAQLQSKDSELEDW RYRYTQLESQGTTVIQEKVTYLSQEVEVWKQKFIKTNHEYNKCQEELTMCQAELESLKKS QQKKEVVVTSRTVTSRTGNTLSVTGGTTGSQSQIRRTGQQE >CAK83312 pep:novel supercontig:GCA_000165425.1:CT868463:332542:333272:1 gene:GSPATT00017656001 transcript:CAK83312 MIQSEIYDIEAYMQIGQDGYQFLKKIAPLTQENQWQPFSEEGGFKIHIRPDPETGLCLTR GEGFLPYTVDEIFAIIEDIPIRPKYDGICESGQIIRRFSDELMLFYQKFKSMFFVISSRD FVVISRKIVEGDFTYAIGKSLEHPNHPPIKGVVRGDLKIGGWILEKREGGTFCIFVTWAD PKGMLPKKAVNIVAGAQGKVVQQIKLLLDERKKNVNK >CAK83313 pep:novel supercontig:GCA_000165425.1:CT868463:333578:333939:-1 gene:GSPATT00017657001 transcript:CAK83313 MGPIKKLQAFVCAQTFWPQFMVRWFQAEKGPFTIFFYCPLAKCGISVANIYDIIKKPVDT INPVQQSVIAMSGTLFARWCWILKPRQFMLVFANSVMASTGIIQLWRKLQVF >CAK83314 pep:novel supercontig:GCA_000165425.1:CT868463:334547:335129:1 gene:GSPATT00017658001 transcript:CAK83314 MKILFVFVTLIFLLEAAKKKKKTDDRRPTTVEALLYCNSCQAIVRETLKKVKTSTRESDI TDALSEMCQMKNFSVYEYPPPDMKKGCEAFMSGWSEEVTEALMNRKGNDSIEDEICYRLT NSCKDIEKGQRKQNDDYVTINGKKVKMGDDGKVDINMNKPAPDDDDL >CAK83315 pep:novel supercontig:GCA_000165425.1:CT868463:335242:336176:-1 gene:GSPATT00017659001 transcript:CAK83315 MFSNTAKDSIFQDEIVSQENILSPQSIEMEPFSDFESNYHLSFNTKECLQFQDKEDQEMN YKIKEDDTSLQQEQNKRKQKIWNDEEDQRLRYLFVQFQGKWNEIAKHMPQRNASQCQQRW RRINPPKDTRHIWTQEEDDKLKQLVQDLGKQWMKIAKCFGNITGKQARDRFINKLDQSIK KQPWTYEEDMFILDQYIINGPRWTKISNHLNGRPENHVKNRFYSFIKRKYLGEQNRYQII YS >CAK83316 pep:novel supercontig:GCA_000165425.1:CT868463:336752:338015:-1 gene:GSPATT00017660001 transcript:CAK83316 MRSAHQLITQFELNGFTLPQMEKNMCIAFLKDLLQQNPIDMDFILANTSPSKSQRGLLTT KSNILHLLNEDKDPSITKPIFDYSQLEDVIPKKNRNKINEIKTKVETLLNSNNIDPPLTI KKRWWTEEEDQQLKELVTQHGAKNWKKIASYFEERTDVQCLHRWQKVLNPDLVKGPWTQE EDELLIKLVTNCGPKNWSQIAKHLPGRIGKQCRERFHNHLDPKINKERWTDEEDQTIIEA HKKLGNRWSLIAGLLKGRTDNSIKNHWNSTLKRRLKMQNRWEDLQVLPRQDETLVKGVPR RQVQRRIMYYKTPEKLIKRDPVSRQLNFQTPYSNTTPKSEQTPKNLSIVYPNLLVKDAQM IDSCQVLFKQLSELTNMDLDFNKQYSYK >CAK83317 pep:novel supercontig:GCA_000165425.1:CT868463:338829:340976:-1 gene:GSPATT00017661001 transcript:CAK83317 MSYINKLLVANRGEIACRVMRTAKKMGIKTVAVYSDIDKNTLFVEMADEAYNIGPPQALQ SYLRSDKIIDVALSTKSQAIHPGFGFLSENAQFSEDCQKNDLIFVGPSADAIRKMGSKSE SKIIMTDAKVPVVPGYHGETQDPNFLLQEAEKIGFPVLIKAVMGGGGKGMRIVRQKSEFL EALEGAKREALKSFKDERVLVEKYIEKPRHIEVQIFGIAQYKEDIKRVIEEAPSALDEKI RYDIGEKAKAAARAVKYSNAGTVEFIFDLDSSKFYFMEMNTRLQVEHPITEMITGSCKWR TTTINSRINQKKRSFPFEARIYSESPNNNFLPGSGKLDHYSEPKASQSVRIETGVREGDT ISIFYDPMIAKLVVYGENRQLAIQTLLNALQNYQIHGLPNNISFLKTVLQHPEYVNQQYD TSFIGKNQDTLLKLKDHYNPIDIALAIAGRHFLNSVQLPKSLLNFRNGAQIHNKLSLHIQ SASYAHQKEIKITHNINGNTHELEIKGQKIVVNKVTKSQEHNNLLIFETNQGIFIRTIRN NENLLIFDAEGDPISITVSSDEVKKVKSDQHGHGNNKEIVAPMPCTLTKVNVKVGQKVKR GDILIIMEAMKMEHTIKAAIDGEVKEIRYKEGQFIEAGAMIVKLE >CAK83318 pep:novel supercontig:GCA_000165425.1:CT868463:342006:343584:-1 gene:GSPATT00017662001 transcript:CAK83318 MNNGKFWNNQNIPEFDQNEMRNGLISNFYKFGKRLGQKCLRKYILIRQYLLYSNIGNCQH FKGSVRLDGVYASFQISNSECQIYLKKNGLQIVLYTDDVNQFETWAKLLSNCCILSTFHE DITLGNLIGNGSFSTVLLYSYKVYEGRNKEGDVFAIKAIHKKKQKSFAINQQYEEQLLSE ISSLREMDHASILKLHRVYETAEKLYLVTEFIQGYELIAKATSKLVFQGNELKCFIRQML LAIKEIHQHNIMHRDIKPQNILLKNGQLSQPILIDFGLAVSTEKKELPFPSCGSPGYSAP EIIRFDETKKQYSGKCDIFSFGITLFVIIYGYNPFKTQDQKQTLKRNADAYFEFPNSNYP QEQHLILLMTKKYPKDRITVAQALAHPFFQTELYQTIKLPKAILSKQQYEMSKNFNKINV HASLEMDRDLELNYAIHLFSSSPQNLKKLTLVGNSNNLKQIDEFKLDYIVDSVDITHIEK LKMGQSYFSNQKLKISIQF >CAK83319 pep:novel supercontig:GCA_000165425.1:CT868463:343993:344763:1 gene:GSPATT00017663001 transcript:CAK83319 MDDEQQEKQHLANDGRQMTLIDQIIRNKVFNCRYWKEDCFGLTAVTLVDKACKLDCVGAT YSGTGKPVPFLCLLMKLLQINPDKEIIIEFLKSKDYKYISALAMFYIRLTSKPKEAYPTI EQFYADFRKIRIRNLDGTFAIWHMDELAEKLLSEEIIFGISLPRFQKRWILEELGQLEPR KSVLEEELQNQEQEQVQVAEQQNQEKDQEQGQEQKEEGEQKRSRSRSRSRSHKKHKKHHK HHSHHRK >CAK83320 pep:novel supercontig:GCA_000165425.1:CT868463:344814:346105:1 gene:GSPATT00017664001 transcript:CAK83320 MSYRELRNFCEQMRALGYHRIISMENFRRPNFELVADILYWLALKYDPNTDISDNIDEER HRVEFIKQITTLFVSKARLKINPKRLYMADVYAVQEILKISTFLYKAQVSSPAEEDEVHD FSLPSKLSNIKSHKALAQEITDLATRLYDQLGKEDEVRVAREKALQFLQNVSRGGNSQSE QSYIKTCIDSILKQQEKNIQNMSMYVSGLERDQKQIDEKIKRKTKELEQAEKRLKGMTSV KPAYQEEYDRQEYELEKLYQIYVEKFRNLVYLEHVLDAQNEQAEIEQRKKKQQLEGFQIE IQKQQEDEIKGGDDEDNQDQLVQLGGDSRLPSSNQEKRKNEFMRQQQGGFNKQQMDEDID VDDIDNLSGCEDEEDEEEEDEQDIDNLEDDGEDADF >CAK83321 pep:novel supercontig:GCA_000165425.1:CT868463:346143:346948:1 gene:GSPATT00017665001 transcript:CAK83321 MGACSNKSQNLNQKEEQITQLLDINNPGNVNHRYAYNFTLIPNKSVGTGIKQTNQYSTSL SRIEWDMMQNQFWDSHKDSSEWQLIRKALSQKDESAALQILSQANFKLIRNSIQLLVRNK QTFQVPIFVINEPTFYSNQNFELNFETSILKVIKKAIQVRVRSSKLPQDYEISTQNTSTI QEIKQILLEVTKEKACRLFLNGRELIDQNQLGNYSISSGTVIQAFL >CAK83322 pep:novel supercontig:GCA_000165425.1:CT868463:347016:348774:-1 gene:GSPATT00017666001 transcript:CAK83322 MQNHNPNDELNLENDKFSKQLDQDERILLSCNLFKFNDYKKRQERSLLVSTKNVINLKGT SIKRKIPYNKIKAITLSSIGTEFVIHVPDEYDYRYSSYDKRNKIVSKILEGYCLFTKAKL AIYFKEDVSLYNYATTKSDKKKHVSKIPSEQPQYMDNEIFAQILEGETKEKDDARKKTQT LWAREKGKEVTLDDFSILKVLGRGAFGQVMLVEKKDTGEWFAMKTIRKEDIIEKDQLEHT KTEKMILEHVNHPFLVNLAYAFQTPQKLFFIMQFMKGGELFQHLRMARKFDEKRAKFYVA ELLLGLGHLHTKDIVYRDLKLENILMDDVGNVFLTDFGMAKIVRKNELAMTFCGTPEYLC PEVILGYGCDKTADWWSLGILTYEMMYGLPPFYNKNQTIMFKLIKEAELRFPERPEVSKE AKDFISRLLVRDRFARLGAKGDFQELIAHPWFKDINWEQLIQKKVPTPYKPRVQGEQWLD GFDKDFTSEDPNKVIDDDHSKPQKDYDQQFKDF >CAK83323 pep:novel supercontig:GCA_000165425.1:CT868463:348791:349821:-1 gene:GSPATT00017667001 transcript:CAK83323 MGNCTKMCYVSEGVQQLTKKEEFAIETGIKSDDLIMHSTQGKSKENKEDQYSQCSNKSAL PQIISIEDELLIQSTLNSSVPAKLRKILMESDAYYEGEWMQGKRWGQGEQRWPDGSTYKG EWQNNKANGYGILTHSDGDVYKGEWVNDQAHGKGVYINFNQAKYEGDWVEDRQDGYGVES WPDGSIFEGHYKQGKKEGFGKLTYPDGSKYEGNFQMNNLHGQGKYVWPDGRIYEGDWVNN QMNGKGMMKWEDGRQYEGEYREGQKHGFGTLIWEDGHKYVGQWVMGKQDGAGEYFFTNGT SRKGVWKEGKRIQWD >CAK83324 pep:novel supercontig:GCA_000165425.1:CT868463:349852:351328:-1 gene:GSPATT00017668001 transcript:CAK83324 MPREIITLQVGQCGNQIGIEFWKQLIKEHGINNEGILEEFALQGDDRKDVFFYQADDEHY IPRALLIDMEPRVINYIQTSQFSTLFNPENIFISKDGGGAGNNWACGYSQGERYQEELME MIDREADGSDSLEGFLMLHSIAGGTGSGSGSYILERLNDRFPKKIIQTYSVFPNQNETSD VVVQPYNSLLTLRRLAQNADAVVVLDNTALNRIAVDRLKIPIPTVSQTNSLVAMVMAAST TTLRYPGYMNNDLVSILAGLVPTPRCHFLMTGYTPLTIDRHISSVRKTTVLDVMRRLLQT KNIMVSTSTKNGNYISILNIIQGDVDATQIHKSLQRIRERKLASFIEWGPTSIQVALSKK SPYIETSHKVSGLMLANHTSIHSLFDRILKQYHKLRNRNAFLDQYKKEAMFKDSLDEFDE SRECLEQLISEYKAAESKNYLDWGNDDNMRIEEQQ >CAK83325 pep:novel supercontig:GCA_000165425.1:CT868463:351356:355049:1 gene:GSPATT00017669001 transcript:CAK83325 MRNSIITQLEFKDGIYQGQTLQSRYKQGIGVYLWENGSAYFGEWKSDQIDGNGILFLPPK TTIQGQFNTAKLHGNCLIQTRQAKYYGKWLNGLPNGNMTAHFKQGEVTIAFQDGKPTRGL SQREQSCEIPNLKSVQCYNSTALQTIDWLDGTFYGVTGKNAANKVAPNGLGVFVPKNGLF QCGQFKDGILNGLGRLQQPTGEVYQGYFENGKQHGRGIYLFKEDELQWAKGMWRFGELIE IFQSGLVKNCTSPKDLMFPYSDHKNPIQIRQPITLNNIKEIEDLFEIQFQRQEPIQRQTS ASPSPERKSKLSNYKYFQNSMTNSYQRISDALHGSQQHSSPGRNSIQLIKYYKGQLRDID QNEQSQPKRSIQSQKNSRKSNQKNSRKSHSNSKQYLIQSTKKKDSINNKSSSNLNQSAYV EEIKKLQTQFTKPFDHTKSVQSQQSQVIFDCEDHSSQRSELFQEKQQSSPKDHIDQIIQK SKQYQKEQSMEQSKKSMLDQSRSSYQSKQIKTPEQIQQSKSSQQSRCKSSEGLKKFQQQH EIEDQNKLKSEGNKHQKLDSKKTEQHPLDSSQQSQQLWESRQIKEFSQQRDSKRSYQSSQ PVSQRISIKSINQVASKQITPQQSHRDHQEQSKFQQLEESSQQSQQFNQSQQSHPSQQQK QKQQQQQQQQQQQQQQQQQQQDIDHNKKLSFCQQDFALDMRNTAIFNQVESNQSSQIKFH RHKRSQSQTDFDKFDIGDDTQMKLIKQQQLYMQQMIELQQRELEQLKMQQQQLEEENQIS QSRPGYKTNASIDSQESYPQQQSESCHQDFIKTVSDVLKSELKKKQEDSFNRDNQNNSPH FEQSQITNNQQSMFFNDIQSLDNVNVNDRLNNRRSSQQQDEANKSRADSIIKKQPVSHSE LDNLQIDKMQDAQSRQSRQSRQSRYSGENKQQRSQSHQSSQRNYSNQQHSFYQQKSQEQF YPQKQPSVIQEKEQPQNLQQQVKGEFINIQNKSDQLSNKDNYQDQSFSSQQMSIISNQFQ HQQNSFNKQTPQESILSKQIPNQKDNNVLKQNQQNTQQKRKQQNQSKNEESIDQLMKKQT QQQFSKAELKFVACPSQHSLPGQVSFSDKQLQIKPDQMSENIQVTILDDQSDTKQNIQEI KLQLAAQKEILSQLYHSIQESKQMKVSQFDSDKRISEQRTVKRGMVSAVVDPCSSPIKKV IITKGVYPAFTIIKSLSPIRL >CAK83326 pep:novel supercontig:GCA_000165425.1:CT868463:355115:357197:-1 gene:GSPATT00017670001 transcript:CAK83326 MSITRLGVCTLNQWAMDFTQNVQNIIESIEICKRKQCLYRLGPELEICGYMCEDHFLESD TVTHCWEALAEILPHTANIVCDIGMPVIHKSVFYNCRVILLNKKIHLVRPKMYLADDGNY RESRYFTPWSKEIEDLELPPIIQIATGQKCVPIGVAILQTHDTEIGIEICEEMWTPIPTS ANQALDGAEIILNSSGSHYEVGKIKERTELFKDITKRNGACYAFCNLRGCDGNRLYFDGC SCIVLNGKVFAKSDAFSLKDVEVTTCDIDLQEVRNIRINIKSRSLMASKQKHFPRVKLDI NLTQQQNYIYYHDIPIQYESEIEDSTACYLWDYMRRSGACGFMLPLSGGLDSSATALTVF FMANKIFKTINNVDNDYQTHIKVLQQLRKIVEDDTFTPKSPQEIVNKLFFTVYLGSENST QDSRARSKLLAEQIGSRHYEIEIDQVCKACTSCIKPILKKEPQFVSNGGSLSEDLALQNI QARSRMILTYLLAQLTPWNNGKKGFLIVLGSSNLDESIRGFFTKYDCSSADINPIGSLSK NDLRELLLFCYKTFNFSAIQLILEAKPSPELRPQTAEGHISENDMELTFNELETFAKLRK VQKLGPVSMFKKLRYLWSNLTPQQVAEKVKKFFMFYALNRHKVVTITASFHAQAFSQDDN RFDFRQFLYNWRWPWQFKKIDENLQ >CAK83327 pep:novel supercontig:GCA_000165425.1:CT868463:357214:358484:1 gene:GSPATT00017671001 transcript:CAK83327 MEFAFFLFVNPTSGGNRAGVYLQLDAETIKFNLNQKPINVYFTSLLQRDQVEMNLKRIKE FLQRNMQVRVIVCGGDGTVMWVVDEMHKHNVDFASCPIGIIPFGTGNDFSRVLGWGGDTD GDLGACLRNFKQQISQWLNAKIHDFDLWEISVTVDAQTGSFKRIKKQGDLFQKEVLQKDK DILKQLDKRMSNYFSIGVDARIGFGFDKKRTQSACCNKCVYFCEGIKKMCLKNPTTNQVI SGMQVLKEQNLTIEQITNAPTDVLFKTKGQNQPEDQQLLNESKFILSGDPVTLLCLNIQS YSGGAGAIWDNCRGKVAVKEGTQKLQDKFVNQDFGDGKIEFVGFNSMIGMANERFIHGNA VRIAQGEGPFLISFKKNVSPYFQVDGEFYQAVNPIMALLKRSSIRIKVLTKSQN >CAK83328 pep:novel supercontig:GCA_000165425.1:CT868463:358906:360549:-1 gene:GSPATT00017672001 transcript:CAK83328 MSKFKGEYSVEEDPLGEGQFGKVHKAKSLKNQQLSVCVKIMKKKKQFGEHLLQTVQVPEF DICKMMVGKKYENLVQIYAVYDSDVEDDHAYIFMERCDQSLRQLIKRKKNEENKLFTKDE IFYIVSKITQGYLQLISANIIHRDLKPENILYNMIDNQLQIKIADFGLSKVMDPSSSGQM TKKVGTQYYCAPEISNEQDKNAYNYECDIFSLGVIIFELAILERQFKHRQIEKLKDTNFS KLYEDVLQKKEIDKEIIELLDKMITWDPKNRLTWQDLSSYFDQKQKQQKGQFPPSQQFKQ QFNNPQQKQPQANAQPQLQNQYNGNLQQQVFPFPIGQQNQANQNNQKNKFQANQVFPQQP SVFPNNFPNLQQNQNTNPRFQSVTSGQQYQQQQYNNNFNNNNNFNNNNFNNNNNNNIIII VIILMQQQQYQPQQQSQLGQLPQFAQQVQPPQQFQFKMAYNPAVTIQGQPNPPQPIQLQP NSQQINPQTQKQRFTTVNQGQGWKK >CAK83329 pep:novel supercontig:GCA_000165425.1:CT868463:361507:363169:1 gene:GSPATT00017673001 transcript:CAK83329 MRTLLYLIFYCSLNFAKAEQQSNLSNQNMTNLQWYPLRIYFQIIKQVEYNDKLVELLKQV SLYFEKTLLVRRNPEKIDVESIASKMALDQLDEEVFKEQTNEFDTLVFVGTKNMTDYKAT CDSIHFDSITKRPNVCQLYLKNGLQFATTVFNHQKMLKTLIHETIHCLGLDKNVFQLFYN SSTGEVYKSVVSSNTTTEFLILSRSKEYLKFYFDCGDIQGIQLENQGQEATAGKHFENEI FLNDLMQGTHFDDIMITTLTLMFLQDTGFYQLAEHKTDQIYYGKHQGCDFLQRRCDNHQF TEFCQIENQKGCSFTNSGVGSCEKSKLSGECKNFLVIEDYNCKDPSQMKPGQKIIQHFGD DSICVQGSLSKQKYISNFSCQKFHCDVDNNMIITVGELSVNCSQFDKLYDNAYYGNITCP SNSQTICQNDNYCPNHCNEKGVCVNKECICTNGYSGKDCNIKCDGYRYQGECYEKCPSNL YTYESIKYCVGCPGVCYFVIVRIAKNVVPSITVHYVMITINQYQAFVILHTVISLCQ >CAK83330 pep:novel supercontig:GCA_000165425.1:CT868463:363293:364740:-1 gene:GSPATT00017674001 transcript:CAK83330 MVFISPLFLKLDTPELLDSSIWLFPPVINLLIYPFIRYYSESKSHQLKNAMIYFIIVSIM GIGLLADTKSLYVDSINKKTSVLIISIISFTVMDVGLEMLGFACSALLEDYVNPKQQQKV QSYRTFVDSFGKFTGFLVSSVLAFNFIYLNFDNFIENLSFAYLVGLIMILIGFGMVLISF PRKGLDLVQSQHKMRFSINSFLPGITFLFQLPQNMKIFLLSHFFTCGSQLFVSVYATLWS GITMLEEGPQQYNEAIRNIVFDIGISWGIVSILYRGALALCMSAILHLLTTLFLKQLGNR YTNTIYMIVNALAGASLLYTWSVNEFYSIFITLPFWGVQTAVLSELPYKLANQLEDDQVR DTIKGLLPQMLNLTTFFSQAFMFFIIPLGFLLFSSVDDISVSMFMSGIFSLIGSFLVCFL >CAK83331 pep:novel supercontig:GCA_000165425.1:CT868463:365601:365904:-1 gene:GSPATT00017675001 transcript:CAK83331 MINETFLNHEPEHFYDYFPTSVSCPQSELSDYESPIRQHYISSLCFQIKKKIKRQQNRPS FLKIEDSGRNVKLYSCSLSSNNTEKPENDTK >CAK83332 pep:novel supercontig:GCA_000165425.1:CT868463:366165:367321:1 gene:GSPATT00017676001 transcript:CAK83332 MNQIVQAKPKLYADYNKNQPKEYWDYDNFENEWGDNDQYEIIRKIGRGKYSDVYEGIRYP QNQRVVIKVLKPVKKRKIKRETKILLSLKGHPNIIELSDIVRDPASKTPCLVFDYIDHVD FRSIFPKLTDIEIRFYLYELMKALDFCHSRGIMHRDVKPQNIIVNPQKKLLKLLDFGLAE YYHMGQDYNVRVASRYFKGPELLVDNVYYDYSLDIWSSGAMLASMIFKKEPFFQGNDNYD QLVVIAKVLGTEDLLAYVKKYRLKLDPVFDNKLGNYPKREWSKFINQENKHLCTEEAIDL LSKMLLYDHALRITPKEALDHPYFLPVRDQKK >CAK83333 pep:novel supercontig:GCA_000165425.1:CT868463:367354:367971:1 gene:GSPATT00017677001 transcript:CAK83333 MNPELIQLEYNDRKIPTKFISEENMDEDTSIQIKISLNVIIVVIQQSIEQKSNDLMEQLR YFQLLFKIYYHSKTLFHSYRCIDSENLSYQFKFGYVLDINQIVTQFLISLQQQFVQTIAI YNTQQTYLILCFGNINLLEQQYYWILQVIIAQKIIRSIKSAKELRKQFRLMRFYIVKQYQ VKKKFQKLRTIKKEFIIDFILKVIS >CAK83334 pep:novel supercontig:GCA_000165425.1:CT868463:368235:369472:1 gene:GSPATT00017678001 transcript:CAK83334 MADQLNASFIKQSEDIIQQKKEEILQFFDQKGYKADSAFDDQLWFFPEINQDQEHILWKV ISDTIGQDQVYDENFASEATIDTFVQKLLDQAKMIHLKILDFQKNIDYFKDELTNFDKFS KVIEANKPEYNQENALVISFVDQVNQISEMQKFYKLKYKVGTIHQNYQPLYPENSNRSTC VSLDNTIDSITLVVMGKRLDKNLGDARTLGEDFKELAQIKIPILDIYQQIRPRHFALPTI PQCYLIVNYQDQSLDAPYIDNVTVNLRFDVRLSYDDRINIIKQQKEFKSGLIEKFQEKLK QRLTQMEQLLCPFKQNPKLQYVTAKGIGQKQDFKDEPIKPKNDRNKEDIKPPKERETCCI IQ >CAK83335 pep:novel supercontig:GCA_000165425.1:CT868463:369533:370291:-1 gene:GSPATT00017679001 transcript:CAK83335 MNSSKTSRTIKALHLIHLEQKNSDLLHYHIPTFRGPPIPIILKPSKLQPTKQQCPASLQN QENGQTKLSVEKKIVQLRKEILNVKYTKQKELLQINQLQPKESAVVQAVEKPIDQQSKPI KYCDKKPNSNLKFFENLIKVQERQPQQSIIPAQINKTPKFKLSEKQALTIEDRIDDNQML PQCLSSIKLRSEPNSPQIFKKFQSYQFTQRKVSQESIQKPKKIELNPWSQNLEGWHAPQA DEDLPLYYKQCF >CAK83336 pep:novel supercontig:GCA_000165425.1:CT868463:370307:371907:-1 gene:GSPATT00017680001 transcript:CAK83336 MIQSLGMQTKFHRQMEKMKGFLVSARTISPINDDEPIRKTQTPLIGQQAQSISFSDEEME DEDFKQIGVKSTNFEDEFTLGKKLGEGTNGIVRICWKKDNPSLLFAVKIVQTPDEEQLDI VRQTFINSTIIKSPYIAKCYKLYIDINVIYMVMEYVPFQNLQSILQQRIKLKEQEVQKIA CSLLKSVRCLHSCGICHRDIKPDNVLVNPKYTVKLIDFGVSRRFVSFNNSTFRYMRNQML TVTGNLHYRAPEIMGSHIYGYNQQVDIWAIGVIMYQSLTGVLPFTSDNTADIVALLSNTQ SINEAFQKPQFLSLSSSCRDLIKRLMMWNPLKRLTASEALKHIWIPNMQTPRKPLTKKIT KDDMDTSKISQNSDLLNKSLINSATILNFELQNTLIKSKQIDSVLENSDITRSQKSLGFS IKIKRDKEIQDFKAKLKQFKLETSTKIYKRNNSNQNNAIQLVGALVDDKMNSSIKLDDDD DIFGIKVCGSHHSLTQIDEPMQIDQQAQHNKLDPTLLQKLSEFNL >CAK83337 pep:novel supercontig:GCA_000165425.1:CT868463:371920:372736:1 gene:GSPATT00017681001 transcript:CAK83337 MDSILGKDLTNNTIARQFKLIKKIGSGAFGEIYLVQSQSKSEYAMKLERSDNKHPQIFFE AKLYNYLQGQDHLLCNSKEGIPKIHDQGVDGDYNYIVMDLLGPSLEELFNKNHRKLSLKS VLMLADQLIQRIEYIHSKQFLHRDIKPDNFLVGVGSKSSRLYVVDFGLAKRYITKEGHIP YKEGKSLTGTARYASINTHVGLEQSRRDDLESLGYVLMYLLRGQLPWQNMKATNQKEKYQ KIMEKKQETTPEQLCKGFPSELTQFL >CAK83338 pep:novel supercontig:GCA_000165425.1:CT868463:372774:373148:1 gene:GSPATT00017682001 transcript:CAK83338 MNYIRNLFRVLKIFDCFRKPLENQGFEWDYKFEWIKDEIIKEEKFIGVAIPQKTADIPAT TKQSNFQTIRAPLLMQYQSRLSQQASQEKKRNSSYSKNNNNLNKQMTGFLAPKINTNKER TRKY >CAK83339 pep:novel supercontig:GCA_000165425.1:CT868463:373413:374104:-1 gene:GSPATT00017683001 transcript:CAK83339 MKYFFLVNLKLSYMELSRSQIYLIYWLVLKGIISQDNYIGRMDIRINLSSIYICSIYIVI SLLIHIFQDYIRECNYQIQLCLYQVLIKLRGSVFKKQNQKSCIQTAINDGREYFPKTLYI MLISMSVKFTPVDFYYKRGFQFFHRISRYNVIILIYMNHSATFQFFIQKEYQQMCQMKQT KSLSNFIYEVSIQQDQFKRTINQLITKNNLQQSFSLRQQYL >CAK83340 pep:novel supercontig:GCA_000165425.1:CT868463:374393:375396:-1 gene:GSPATT00017684001 transcript:CAK83340 MFLCLLFQLIRTQIFDCTITSAECSDNYQPVCGLTINMVSIQTFMNECYACKANLVVKYK NGECTNYQDEKTPSNHNDDKNQYTNSTFNPSGNYTQLFSCSDPRPSICGTNQKRTCGFLD SLTDCYGKYCQAQFINECHACRNTSIHNYFYGECSDYKQRQATKIQCISEQKNQCDIMEQ IDVCGFLEETAVCQNRPCLQPFKNTCEPCNQSNIKSYFIGNCNDYDNLFFSTDQIEEVSE EQQKYKYCQPERPSSCNQEFSQTCGVLKSCNGTGCERIFDNPCSACQNTQIEGYYKGQCQ TNFGYVFSIFVIQLLI >CAK83341 pep:novel supercontig:GCA_000165425.1:CT868463:375650:376106:-1 gene:GSPATT00017685001 transcript:CAK83341 MFIQQPPSRQPYLQPKLPFQQQFHQNNFIQQHFAPQIKQQFVGQNQYKPDLMSSIPFAKS IQYEVPRQNEQAHNNNQDQKKHSSEMVQLIKESQELKKNINEKDQEIQKCKQNIDRLEQL IDYLEKQQKEAQKEQ >CAK83342 pep:novel supercontig:GCA_000165425.1:CT868463:376172:376926:1 gene:GSPATT00017686001 transcript:CAK83342 MEVLCDKKFQQFIMNLDDTDFKTPQKQQLSKSPITCNKQSSPKKLKEEFKKYKIIRKLEF NDDQIVIRQDGIQGRSKRNIPKENKVNSSYTLGLLNSIELNQNNVIINKLAKPSDDDLIN LSRDDNIVCRCRKSKCIKNYCVCSANNQECTFKCECYNCSNKQPKSAVSKQSGSEYLGCN CRRQDCSKGYCECQKRKTKCTARCNCCDECKNCDIQPLPFRLDGLF >CAK83343 pep:novel supercontig:GCA_000165425.1:CT868463:377088:377384:-1 gene:GSPATT00017687001 transcript:CAK83343 MSLTKPIKEYKLILLQNSLYKKKFEYKNKDKFLKILRISISDENIIKITNFEIDNLNTLE IPAECKYGRDQLLFRIDNNSHHNIGIQEFECVYEVHTI >CAK83344 pep:novel supercontig:GCA_000165425.1:CT868463:378251:378966:-1 gene:GSPATT00017688001 transcript:CAK83344 MGNNHEKFFSKEKRKLLILGLTGVGKSTLIKTLNQGNYQDKKEDLQIIDIKLKNITLCVF DMGGSERQRIFWRQNFYGSQGVIYVMDGNIDIQKALLELEKIVIDTDLKDTPIAVFVNQN GKENPFDTTKEFLKYIYLIFHLKKQTRKGKCVQRKYIRIKGYYELYRVAWQRNETYLNYL FLLMFEDDNNINFDQLNYRETQRKHHRKNILNQESRIRKI >CAK83345 pep:novel supercontig:GCA_000165425.1:CT868463:379496:380308:-1 gene:GSPATT00017689001 transcript:CAK83345 MIIYLIFIPFQFTLANWDISIQYSTLCNCQQISDPTMCSSSQFCQLDVDFECIDVLDYNC SYFNEQTVLYLCSQLDRCYLKDGQCVELDNCTELKGSTNQECYSQSHRCGTAINNICQLT QCDSYLDESECNSSIFNIRFDNVGYGNVCYWNNHISSCQNLLCENVSIDQCQQYTNLCQI VNNLCTWATCQNSSPEDCTFVWEGSQRNVIQPCVLSDGICQNANSANQLNQQDCTQNTLY TYIYTQDNCQQCSYSNYFEFQLLLIIILFN >CAK83346 pep:novel supercontig:GCA_000165425.1:CT868463:380797:381825:-1 gene:GSPATT00017690001 transcript:CAK83346 MKKLGDTQTNPFKGTIDYINDTLDLNITIHYTDPQFADAANKGITEGENAASCLSLNLYK YPNKNYDTQDLSSYTINYINDNLSVRAYQFSIPSKDFVKELQLSEDGTSYFFNGYFSLNF NIESNLQRVIFFDFFVGIERTSTGKRDTTFGSLSSSQNLPCQSECTTSVTSSLQFCPDQM CESTIDKPDLYLNDQIWLKHTLTKQGTENYYLINPEIYFTGDKLFKKADIKEQVISQKGY AMYLINIEIAWTPITISAKAMVSSIAGSRILHEQSRLLELAQTSTIGITPEIGCVKDKET NECINCDQSQNVNNYDLPQLDGCPLNANILIVGTLILVCFFI >CAK83347 pep:novel supercontig:GCA_000165425.1:CT868463:382330:383056:1 gene:GSPATT00017691001 transcript:CAK83347 MSHQLGVIQETYQDDLQELILKLQTQNQLIDKRGPVLRKRQDLLLGALKDCREGELKLCD SKISCSTALFTSMYDDTDQIQKLQDELAQKDQQIQELKDQVETLQQKIHRIQMCNDEMQF ELQKQHQQQQAFQRGSKLSQSMIVPPLISSTEKAQATINKFVQPMSTNSFKSTDSNGPNS KEDMRKMIRRISQQASASAYILAAKGDYTTLAAAQEEIQSQKSAGSQKSLSNK >CAK83348 pep:novel supercontig:GCA_000165425.1:CT868463:383086:384767:-1 gene:GSPATT00017692001 transcript:CAK83348 MDSIDYKEARFKFQGIRKHFFKDVTYHITVFDDIVTIGATPDCPNPKYRITLNLDTKINW EINKNRQELEYFEIDYQTGKKIFHAQAKDLLKFKEILTGKVMFEGIGDLFKPIFQVGKGS SAKVYSARNVLDKRVYAVKAIQKSFLQNQEHGDGMAFQSEVQILKTLSQYEQNFLVLKEI YAGDSTFYLVTSYLEGLSLTGELEKAKTLPEKRLPIHSIKMIMWKLLTNLKILHSHRIIH RDLKPDNLMFARKNDYSSLILVDFGLATSELQEKYLFRKCGTPGYVAPEVLSSRNGQKYN CRVDIFSAGCILYRLLTGQSLFQGQNFEEVLRSNRHCHMNLELHQDGNYITEQSLDLLRK MLNKNGKNRINAIQALQHPFVDQNSEFSTQAIQNSSQQLIKNVIHQLNLAELESKYNSQI EIGDEKNSRINDLKKYSSITEVQYYQLSLSAKRSSSQFSGTFFQKEPLSVMHTLKLQSEQ PLKNSNSQHSASQL >CAK83349 pep:novel supercontig:GCA_000165425.1:CT868463:387303:388121:1 gene:GSPATT00017693001 transcript:CAK83349 MYQKFETTPFSSFRQQYFNNLRDQSCLLPALEELCGGWTQETLKSILQKLTKKNQAPLPL FFDSSQAMDSISNKKQALATVFQQFDSRGIGRIDATELFSVMLLLSTGEISQIFYNIAVI FGSDKTNHITSDEFFFFIDCLFRGISKVLICKGENKPIGLNKRLNDQDINKFMQQIFKGQ QKVNKDELYASVKQSQQLFEFIEYISTSMQASMEYTRQQSLLMMKITMEVKKLMAQMLAQ IDGTAKK >CAK83350 pep:novel supercontig:GCA_000165425.1:CT868463:388206:395635:-1 gene:GSPATT00017694001 transcript:CAK83350 MQRSYQEQVNQKDYQHKLYFKNGYPQNQQFKRDPNQMLRGLIVKTCLLHFIDWLKQGFNL WLFVVIILSASFRADQQLFICIIQLAGNLFMSSYKLYQQICKALRQVNEYFNQTVYVIGW DPKCHISKCPKRNVTVGNLIFLKRHQPSPMSILILNSQEEKFMVQTSEKEGMTHMTQKQS IKLTSTSDQQKNQTLIYYKRIMTGKIEFDHLDTNDNQFRGFIKLQKDPKGEYLDYRNIVN QSSMIINTDWVVGIVISHDDEDVIKMWHNCFKKSTTHFDRYLMKFSRIFSLLYFIQLAFC ITIIIRFQKIPQDFTIYNTLCRIFQSITFVHPCIITGLCELTFQFFNNIFEKNKNIIISH SSELLTLTKIDHCIYDKTGTLTNTNTMLCGVICGVYFYNLINGVGIRNSTKRYRQLKTSQ PQHNNMQEILEEQDEQEENNIGIGSLQKSERMIQNPGSHHSVKSRLQSQHDEAISFNQDD AVFNEEEPESRNMVFNFNPHFIRQPEQIRPQNEQSMDEELSSPEKQIQTNRNNRRTFNNN SRLITINRREPRILQRNLEQAQEVANGSFLSPSPLTSSNDIRKEVKLCESQINEDEQQQQ SDSDSGDQDQEQRKDLLEIIRLGEKQADNFMRSLILCQDVKTKYSKPQNKPNRDKNSQMM GISGIMNEHPSMNPQNFLNENTEEYQESVIKFAKQYQFEFNCAGLLNKRICYEISAKGLS ERYLVYKKVSDNDTFAIFMKPIQQRLRNQGGQYDRQGTERSQKGGSLKLYVKSENLGLLD KCKLSQKKRVNLEKQITHQAQSGYRFIVYAGKDVPEESVKNKNKYQEVSFDTMEMTHHDK ELIKDLDYYGLICLKEDQNPGAYAQVKTFKNLGISQWILSGDKKMQTLSAANHVGIVNNS NTLLRIDEEDEEKLKLQIKSQLLFIRKQLLKEDESQQNESTSKSFNSQKSFSEMKRSGIS KKATFDENMILKSKKGEEPLQKVFLLVNGKSIKPIFSDDYTKSHFLFIALILKTVIAYDC SPADKELITKAVSKIMEPSNTLLTISDSVDDFNMNSHANATIQFSKEKRSLKIAYQNIQV NDLQHIKQLVLYFAKILPMHLSQLTVMCTKISLYQLIIFTLFVCVRRTLYIEEHVVYLLA LQIHIIINGLLPSFEDRSSHEQIELMLPQYQPQAYKCFKSNFRWLQELFFEVVISAALTG FMNTYIFAEFMMFFTSDPNNLAHLMFLFQLFCVFAFGTSQMIHLNVPFGKIIWNFIITLG LLILSFAIVVESDQRVQIIRNIFKWQFIIGILTGVVIIITIDQIIKQTRDSIFTPRIIIN FVKYMENAMKNQSGKIAQESVTQFSMLNHIKINRQFFRKIKKVFENVSIDPFIRSLFESE KTQSFNGEYSKLSLVFKNHNYEKQFRQFKVQYYYQQRREVVLVLFIVLRVSSVIAWSPFL TVEDTILNILWAIFMVIWILIFIVTHIVEKKQTDLAAFQIKWGTIEAYFFIPIVLILDHF LSVTLPEDKSQYYFSTFGQLLYQQFLAWDIFPPPLYYPIILTLIFFINYTIRVANFYDIQ VISDSETSTFRVEFITLYVLLFFLNLLSNYTHYNFQRFQRLVFLFDQALQTQQEQTNQIL KSLLPAFIYEKIEQSEETEIEENQGSVSIIFLEICEFDKILQSKQRQVVTFLDDIFRVLD KICLQYGVQKIETVGKTYMACSGLKSTEIENNKDGLNQQKKNETAIALELSLDFLKAVVK LFKLKIKIGIHYGQVIAGVIGYHKPQFSLIGDTVNTASRMCSTGQVGRITISNEAYERVK DTEFLFTTREVEAKGKGMLTVHQVTQRKLQTKKHLSQVKFQEGQKNGRLLTFKTHITNNT RATQLKQTYKDISPPSSVNDHLTTKEYGRRSKIMNHPMQGSQYLKIPRKKKGAEPTRGIK KGTIKEEVTSMSETVWKDRSKSQVIQKTDYNTNDWEETKEDEIQMKQVEKDPENRIIGVS DNDVDGPEQEVKSERVKKVMDLEVLKYKKLTLEYEKCNEAIIVMQFEQYYQEVRSALKDI FYPMTIVYTLFKAQIQLIDSGQDGLTIYIVRMFLSLYLIILYFITSRLKKYNMHNLDLAL TGLYYVSAFLTMFFVTFNNQQRFLISDNAEAYFFAFSAVNQQSLKFKYMFFYILLQQAAW FVQLLLHEHNNLLVFIVLSTIILVIVSHNNFELTIKNFNSAANENLKKEQRDSLLTNLLP SHILTRFYQNSKIKLELSDVLIDATLLFADISGFTAYSAKVSAEQVVKMLRELMTSFDKE CLQQNVYKVYTIGDCYVVLGINDMEQRHPGQEAKNVLEMGLEMVEIIKRVRKEVNFKDLN MRIGIHTGQFYGGIIGTDIVRYDIFGIDAVIANKMESQGEAGKVMVSEDTRRLINIHFPG EYIFTPSKQVDIPVAKKKVQPYFATKADILNRPDNSRNI >CAK83351 pep:novel supercontig:GCA_000165425.1:CT868463:397261:399660:1 gene:GSPATT00017695001 transcript:CAK83351 MNNYYIEILHYFGKVKSIPIDFIRNLNKDVSNLYELFQMFAQMFEEHPESPDLIILTISL SQDVPHFYCVQSLNNLTVLLFQSHMIDLAKNVAKFNLKYFEQDIPQLHIISHLNLARIYY FLQDFKKCLSVTEKALIHYEPYIFKEIKNSCKPKDIVLLLNGYIFYAKTVQNLQVLGFCQ DLDFQQFFINGAKLGRKYLGPSHHITKLFLFSERGSNMKKSMNNFIPMKKTIGKILNTQK ICIQVHQLLKSLKNGKAPLFKNPRHIERSISEAQGFKTFKRPDSVKPQSQRHEQIQLQKI QQTNIQKGSFRIQSPKLIQSQQVQPQNDLESIIQRKIDTFMKSQSQKKQEPKIVELEKKI DELTKENKRVQNQRKEREVEIEELKDKITSLSAEASKIKFRLQEQETAQEKMKQLQQQQQ QQQQQQQQQQQQQQLQQQQQQQQQQQQYSQISTQQQQSSDSQAAIMQERMSFGVASNQSQ KIQNLQIKKQERQSTIDIHNLINGLVDPDEPKINSISMINDTDFTLDLNLTILDQMDQSK QYSINLTTDQTIVKKALIENVLYEISCGVVQKNKELSIKISLNTKKEHSTAKIEYVELSI IKDLIQFIDYKNVLPYTQQLKCITTFKQFIQYLIIPFISIKDDEGEQKISIYAQPQSLLN DCEKIQLCDEQCIPLLYPLEDGIFRLILNTVAIDLQFDQSSLDQLFDIYGQDDYEREEVQ EMIRIQDNLEKSNDTVKPKHKVYNIRSYIVKNQQKLTSFLQKIGK >CAK83352 pep:novel supercontig:GCA_000165425.1:CT868463:399743:400393:1 gene:GSPATT00017696001 transcript:CAK83352 MPNKSQAKVQMCLLKIWDKNTFQRIIFIADNSREQCFELFLSNTFETYGPRQSKVKAMGH TQIQYDNVCQKLGLNVSSLDQVDYPLICNILCNFYTVLTFTKELDADDYERFKENAIMPV DLSCECNCRMFLICIKYHSQCLIWESKINQQEQEFRFMMHIRILSKECFYRFQRKHGTNL KYKLRANTQGNMHFRIK >CAK83353 pep:novel supercontig:GCA_000165425.1:CT868463:400579:401377:-1 gene:GSPATT00017697001 transcript:CAK83353 MDQIFISGIAGGSAGIITDFIFFPIETIKTRIQASNNKIDYFKTAAKVNKYRGLLSQITV SFPSAFIFFSTYDTSKNYGCSHMLAGALGEFVTNIFRNPFEVVKNQMQVGLDGNVRDTLR SIYNGQGFRGFYAGFTTIIMREIPFSAIQFPIYENMKMHFGNDGFADHALNGAVAGGTAA FLTTPCDVVKSKLMTQRNQFYDSLTGCIKSIYETEGILGFFRAAHIRTMQISVSGIVFFS AYERCKFYISSFNNRS >CAK83354 pep:novel supercontig:GCA_000165425.1:CT868463:401395:405908:-1 gene:GSPATT00017698001 transcript:CAK83354 MNETYQSLVQQYIEKLKKVLPQKGNYYKETLSKFYGQDKSYFGDHIIAFKQGMQIKQSKI KELTLTTLINIVSKGYIDGQLIDNTQNFQYFETIEITDATGKKKEQSINVIESLILLVQQ CLVEKDEIISLALKLLISLVTNPFCNMQQHELTKIIKTVVYIYAQSKSQNIDRLCKSIFL QIILITFQGTNCESIVKITMDNIINKVSDKKLCQYCGKQGKFECRQQKVTVCSYGCKKNI QETLELQQKNYENAQNVFDLLIRLLSPKQNQIILLEGIYFICDNYDFSVESKSLNNLSSD LLKFCLQNEYQLYSLSFKIFQRLAFSKHKEMINQINIFINQIYLSVLENKNTTDQHKQTT LESLLNIFQRKHASLEFYLNYDCSIKHEFLMENIINALHTIFQQNEQFRPLITQIYQAII VGIEQTFNEKAISNSQQEQQQPQDIDETVFINQLEMQRQQKQEIQKGVELFKKNPEKGVS FFLKANIIQDDPTSIAKFLLENKSLPKESVGQYLGGHHPINIQVLRDYTNFLTFHNLSVE QALRQYLDLFTLPPESQQIDRVVQKFADKFYEDNQNNQNFHFKSSSSIYTFTYLLVMLQT DLHNPKVVEKMKLTDFTKLARQINDGEDLPLEYLTITYNSIQKNPLAVRESNTSMNPLTP NQYQNQMEELLKKIKDLIKRQSDSNYIQIDQETILLSKGLLESFSGKFLEILLVTFETTP NGDALIKSILQLIRLSSKLQMKIENLVQDVVKVGLNSLKKGNGMLMIGLLSIIPIIGNSL HEQGWKCVLEAVSLMDELRQLDSDHTDKVFMSSKDLDNLSIEEFIIQLCQLSKQEIFNKH RIYSLQKLVEVSDYNMDRVKVIWNRLWSIVGQHIQEAVAFRVKSITIFAVDSLKQLNIKF LQKEELYNIQFQREVLKPFEIIYLNSDADEKEFVLLCVKQILQNSKTYIKSGYKVIFNLI NLGLKEENDTISKLAFDLLKFIEIQEMILIDLIQTYQILGKKDNEYMAINSIEFVKQCQR FMVTQEQQTLQVPLLGILSNLAGDKRIQIQTQAVETLFYILEEKGSLFNEEYWIMIFSSV LRPIFDEIQFTLSTSPELNQYWFKDSCQKKHYTKLKGQLADFLKLFQNCIQNQNEKLAQL SIWAFKTMIIDKGLQFDQKDWEQILLFIQQMLRYTIPTKLKDIDQTTIKQEQQFNGILKK QKSFQSITNDIINECYSQCASQLLLIQTSKDICELYHQNWNLNQLDNLEKTFYESYQFAK DFNQQIELRYNIWRSGFMQEMSVLPGLLRQEREAFSCMIMIIQFKMKMLKQEQQQQQKQE QNEQQSQEQQIKEQQLREQYQIDLNKYLQILLDSIKQFNSKHIQFVNQQQEVVDDNPLIK IKQQETEREMTNLRTLLDVSILPKILLLCDEEIKIKLSEFYEQLLNACSYVSEYCILQMS CQECKKCGRCLSQQKNNLFFQIKKCLLKLFELK >CAK83355 pep:novel supercontig:GCA_000165425.1:CT868463:406118:407709:-1 gene:GSPATT00017699001 transcript:CAK83355 MMNRYSLFFKDNHLEDSYQKYLLEINRKPLLKRLIISTTIVEISDLLQIIASGEYEVLTL NYAFVHYLFEIILNLILILIFRYYPKYIGKSLLFYNHFFVTAFVVIEYQDAMYSINYQKI IQIGIIGSQFIIILQSDFIEAAYQASIFPSIYLFIWSYKQEQIYYAGIVAVVLSVLVFLQ CLYEHQVALRSQFQLGFIDNQWEKIFTQLIGEQYLIFYFDNGTFSFQLSNSKNYIYKIDT TQQLKFYLRAIKLSKTQNFEQYCFEQIQSHKNFSQDDLKQNLTILFQGKTQIIQYSIFYS VRPLILLQVISKDKHINETQIQIDKKINLDSNYQFKYKKFIYLLYQPLKKKNNDISASLN CLSKIRKICLYANLQEYITPHSILSVQIFKLDYLFQKINFIYSDYDIQIDNKINLITNNK ELLLMLFIEIIEKTISKHLKISTIFLENQQVLIKFTCYYSKKSLDKLMQRIRLYSNFLNS IEFDVQFIGLYIRNISLDIGNNFSFLPSNKNQLFQNLLNTDR >CAK83356 pep:novel supercontig:GCA_000165425.1:CT868463:408262:409871:-1 gene:GSPATT00017700001 transcript:CAK83356 MSTKIHQRILTFIKKYKDELSSSTGIDEFADLLNECFTSFEQDSSRRQKVNLMLENLVLD DDSKLVEGDLQKYVERLIDFVEGHSDDPLEKNNLKQRSSSGSSDEDDNRGHNRQQNKHKR DRSRDREKNKKSKKNQKNTNILSDLQKALGKEMNIKDLLSSLTNNNNRKQQHNNNNKNVV FLQNVPREMRSYTEIESLLQGRGDLIRIQLLGRVPSVQFKNHSDAQALIDKFIVHKGVKI EFTQSLNKQQHQDDNKNNKIIVLDKEGKKVSNQSSQPAAPLQQNQQQQQQQQQQVQQKSD TKMEEENDQKKTKEEQELNNQIEQIKGQAKTLVVDKLRLAFLLNKRKDNYPPQLSAEIEE LKKLLTQKKEEISKMNSLEQLKTELQWLNENYDYTLLISQIPEQLFKEKTVTKVLNEEFQ KYGKIDNLQIKIKDKAAHLKFFSFDSAEKAYYQANENSNFKVEFLNQGIKKVLIAQS >CAK83357 pep:novel supercontig:GCA_000165425.1:CT868463:410356:412217:-1 gene:GSPATT00017701001 transcript:CAK83357 MLSTAAGFQSMLKEGARHYQGLEEAILKNIQACKEISNMTKTSLGPNGMKKMVVNHIDKI FVTSDAATILKEMEIQHPAAKMILMAAKMQETEQGDATNFVITLAGELLQQAESLIKLGL HPSQIVVGYETALKKALDLLDEQKVWEITDVADEQQVFQAIRTSLSSKLSDYSNLIAGLK SLTLILNMLESPKSWEDLFWTVINVEGQINRLENPKICVFNAPLDPQQSETKGTVLIKNA TELKNYTKTEEDLAEKIVKSIADAGVNLIVAGGSISEIVLHFVEKYKMMIVKVQSKFELK RLCKAVGASALSTLSAPMPDELGTCDRVHVQEIGSQKVTIFEKQSDTCKLATIVLRGATQ NLLEDIERAIDDGVSCYRSLIKDSRFVYGGGATEIKLAQQLEQEANKIKSIDQYAYRQYA QAFEIIPRILIDNAGLAQNEMMAQLHKLNSEKPHSLNISNGTLTASSELKVFDHLKTKWW AIKLATDAAITILRVDQIIIAKPAGGPKMPDRGHWDDQD >CAK83358 pep:novel supercontig:GCA_000165425.1:CT868463:412242:414294:1 gene:GSPATT00017702001 transcript:CAK83358 MPILKALIVFSYLLPASISVLYIIGMAARFFDLRSNIQAQPLPYNYSIILRITLLTLDIT AEFVEFILMIFKGDEKYYYISGVLFVNISAIIMQIILMTYEYKKQVPMFFAHKIYWVLNW LFLSITLTLLIFYVHGKIFEYTLLVFRVLDYTILIIFTLFIRRQDIAEFETLGFWRSELP MIDLSESQRHRTSTVNNSQSSFLLKIDVKKQWNIVDDDIEVKLDIIIVGADKQYKLKKRT SEIFYHHQQYIFENNDYFEQHSNDLLQVNFLMKQMQESQDLLLINQIQKYFNFIVSKLEL ITPSFVEFIQLQHTEKMLIEEMKLANHSQNLHKSSMIVRKNQPNKKYKWDIQSQYLPYLD VRITEFQTIKNLTETYVQYTLMTQFEDETLIVQKRFKEFYEFSELMKNIIDIKNFPPFPQ KSLIKMSNEEIEERKQDLEIFMKVLLNDRQYHVSALFKFIGMYQSIEDQVKNLQAKVIKE SELIIKLHIKNTGFEEVVGKDGEKFIRYSFVIGSGDSKHLIWKRFSQFDELHSILKQRYP LLPQLPYKTTAALQQINPNVRCVNLQQYLLDLIKVPTIGENAHLRQFLEVRRLEINVNDS GFEGSYSQFDNILQKLDNNNKDIEQLENMHNRLWQ >CAK83359 pep:novel supercontig:GCA_000165425.1:CT868463:414322:415700:1 gene:GSPATT00017703001 transcript:CAK83359 MFRFHRIPKYFLSLHEYQTAEFFKSFQLPIPPGKICKTPDEAYKAAIKIIQEGSERNSFT DLVVKAQCHTGGRGKGYFKENGFNSGIHIVSNPEDVKEYASKMLGNTLITKQTGSLGKKC EMVYVVERNFLRKELYLSILLDRNTGGLGIVASEKGGIHIEESDPNYIKKFSIEMPNNVD EIDFSIYENVSKVYKLNPIQHNQMKDILKKMFDIFLQTDATLLEINPLGIDLQGNLIICD QKLNIDDNSQFRQHAIFHMEDVRQKDWKEVEAQKHGLNYIALDGNIGCMVNGAGLAMATM DLIKQYEGSPANFLDVGGKATDQEIVSALKIMDKDPNVEAILVNIFAGIARCDQIVLGLL KGLTVLGMKKPMVLRMKGTKVEEAKKLIDESGFNMIFTEDLDEAAKKAVRMAAILRLAKE ANINVNLTS >CAK83360 pep:novel supercontig:GCA_000165425.1:CT868463:415711:416586:-1 gene:GSPATT00017704001 transcript:CAK83360 MLTEGVQINSGHQSMIHDIKYDYYGDKLASCGSDGYINVYDVSKKQQVAQIKTRDSPLFS ISWSHPRFGNVIATSSYDGEISIYREQKEWSKVASYQNEGSVNCVQFMPRELLLACGTSD GFVILLDNNKNWDVENKWQAHESTIHGLCWNQDGSLLATCSADKLIKIWEFTNNNKPQLK YTIQSHLDVVKDVQFHPLENILVSGGDDGKLIVNRLDNNKVVEIQDIQYNMTIWRVSFNM LGNLLTVNGESNGQSQIQTLKQESNFQYGTIDCY >CAK83361 pep:novel supercontig:GCA_000165425.1:CT868463:416673:419231:-1 gene:GSPATT00017705001 transcript:CAK83361 MQGQQGKVYKKKKSLKSTQKFQEIAPISTQRTLKTTDQILSQRSPITGTTKSTKNTIETK RTMSPEELKSSRKSNKPQKNQEGTKNPNQSSPLFTEKQQRHKKQSLQQFIKEKKQSEVLQ ERLLQQKIQNDQQKKLLNLQKLKLKTKKIFGNAKKAKQKMVMKNKLKKYKINEIIERFQE ISKRYEKIQIDQISQFQSEVNKHQQSYKTQTENQIQMKIMEEVQNEFYQNQAATLIQHRW KDLKKNKEKQNYSQTKLQQISLDWEPKQQVSQQVSPTNFEDGFLFSQGGDKQTLISNIRS STLSEESSPIVNQLNQEFENWNLFIQRIVQNTDISNINKQMQQQIISIIQNHKLLSENIS ERKSENQINQEKSTTSHQKKLSEDLTKNNVLQLQQRIQQEENLISKYREEAIYLRYDVEL KQVAEKHHYILQEWLNKELEDLKNTRKAFEIGHMREITTLKKIQRDILVASAELSSDQQY SLQIQQIQEYIDEQFNGLKDKQQNYQSQQEIQKNVDLVTTEILNEMMIDISEEMLNNRED LYLIISNLIANQEQTPCVPTSMSDISQYIDNLFKYILENYKQSLIENLNTPFGFTPKQRL KIIHGNEDCDFDIDNDHEDFQTSIAFPIDEGIFVEQENKRIPETDNNNDEVECAILELQH IHNKAIFDACNEALNSYRPYYYNSGIKYPWERSSLNLQRISNENLVQILEKVKSVVLKQS KVLCGFYEPEEFENVKQEKQEIIDEKNLLMLMQQLNLVENPLLVDTNIQFDNLTLIRDEQ LYKLLVEDLKQQEYKWNLIEDDRTEYLMEVSDQILEYLIEEFQKEM >CAK83362 pep:novel supercontig:GCA_000165425.1:CT868463:419317:422572:1 gene:GSPATT00017706001 transcript:CAK83362 MSKVQFMDQLLRDFREVSAVQNKSSVNESFLKEESTGPQIILTNNKNRLKLKEYSKKLSH YKQEMVNISNQIDLENARFKESKISMATIITREINKLQKQDEDQIKEMLAFVRKLIEFNQ KITLEIENVFENQQTVSSQEVEAAAQVFQEVEQQVLALKDKRLDLGSMDFKLLSMSEYNR IILQLQEQKLNNQEYQNSLFKNEQKINLMLSQQLEEKNQVIQQLQKNSLWEQWRDLEQLI FNQLERFRIPQPKSDGNLVNYVFDYLDQLVYNQECEIKDLQDQIFKYQKEIDEVKQENEI IIRENKQIQNQVKLRIDEKHQEKLQLQDEMKNILNNSIEVEKENQVYNNQLQMYTHQIKQ LQKSNTNLYKELQKYKNDLNELNDIRVKLEDQCEKHLAIIERLNNQNNELESILYQSKND ESDEVKQYIQLSKSQSNRIQQLESELNHTSQQNQNLSGKQKEQDEQLQQLRNQIQDLQKS IHNQENEIKKQMKLNDALQKQLKESYELNNTQNSDFKELDEEIKYQIKYNEIQKEYKEYK LQSENRLNKALTQSQEFQQKFQIQEQEKLLESYKLIEKETTLKSENQILNQKLNMLQEQL KQQEINQLNEIKVTKLALEQTQVQYQQQSQLFDKLKIQASQLERAVLEKQTLIQQLQDEL DSNSAQYEQQVNEIQQEYNCIVEENQSQITSLQQTISNQKHEIMLQQRQIDQLEFQKQQD LLQYKIENDKKSLQFAKELEQVKDVLNSSVSFQQIKETEKSFQKKMSQVQESSNKSIVQT QQKYEQIIQDLVKQYDKQVNYLQDQLQLQNRLDFQQNRNSQKPPRGQHKSPNCYTNSPAS SIQQSFYREVAKFSKDLDDSQESNSQKRTTGVIKQNKHIFIEDDNNTSQEQNSTVQNYSS SGRVQIHDHAVQTDQQNEDIFPPFDHRICLRCKKNDMIAPNFCKFKEVNQFTDLSNSQGS ASRLKSSRVLKEHIYGSNLSWRQRETNSITKSNYFSIGEKTSQGINTILSLINIENTEFK RSASQTRNKRNSESLSISFSSKMDTKRSNQ >CAK83363 pep:novel supercontig:GCA_000165425.1:CT868463:422752:423552:-1 gene:GSPATT00017707001 transcript:CAK83363 MIFNRRIWKFAFHPTPRYCFFQPPQFDVNKDYYKILNSSPTDPEQKIKQEYYKLAKQYHP DINKGNEEKFKQINEAWDVLSDKHKKQQYDSARSYSNNTNETNSRNANEHGQQYNYEEFI RKQGPNGFYQQYSYRSSSNSQQFSKEQMEEMQKQAQEFMNMFQNGQFSQFSQAFRQATKG DPKFNRVHTFMNFAEMAGKFYQDRQRQQVENEVKRQQNVQSFANKEENLKESLQNIKSGI KGLWDQFTKK >CAK83364 pep:novel supercontig:GCA_000165425.1:CT868463:423563:424148:1 gene:GSPATT00017708001 transcript:CAK83364 MKRLAYRRREPTQTTTCFSDHKTEQDEPKQKDDRLKNWNIMFGNFLGEGLVRQKKRNKHI DPAFLREAPIFCGSNVYNSPSVRDNFEKFIKGEISACEFLTRKNQSELLVRQRPNSSKKF QLFRIDKDKIHGNDNPKQSGFLKLLKDKSDEISKKSRIESRLLFQEIQLNIRQNQKKRKI LQKEVGN >CAK83365 pep:novel supercontig:GCA_000165425.1:CT868463:424172:425034:1 gene:GSPATT00017709001 transcript:CAK83365 MYDISDEYIKLISRRYTEKKITPTIQKSIVNGNQIKLIQHQNPYQNQKTAAYENEAKNKY VPPTQVSAIKLQINQKAKEYIDELQNRDFIQNEKAKKKIFQNSQYKNIVHQQSQSLHSLR NVKQIVPFIKQNYLKNQYINNDLELIKYITDFQVEDPFHDKEIQSKVRKSLLISAGIKIH KQSYLNLNDGISQKSSRSINDSLNSMYEFNVDSLYNQSITLQNKLLEKQSEGFSKTIRSI QRNESLKRDIIQRNVQKLEGLKKLKIGG >CAK83366 pep:novel supercontig:GCA_000165425.1:CT868463:425180:427014:1 gene:GSPATT00017710001 transcript:CAK83366 MNPSEESTTNVEIRKSRNKTQTKCKSQSPQVKPQFIQQQLQHKKSKTKERLQQNQESLPN VTKKLNPKSTSFSKKRNQFKPAPQEFCLKVNKQVQIKQYFVGWGNNEALVKRVMSKRTQW KETTDSSSMFVNLKWQQSERGYRYERLIVSQNYKQLVNHFEHHKEISNKSDLIKNLSQYC EKHKLNVFDYTPLTFVIDFSDENCDFNITQFLKTYEQFAPKKPTAKQMLDVKRRLRGNFT NAYQRDSSSQFQKIQMNNTFLSEDSPYMWLLKPTFLNRGRGIQIFDNLETLVKLVSDFQE GLKEKTLNQKDGSSGEEDPPKQVQSAQGTTKKDPNQYIRQQPSGPCIIKSHSFVIQKYIE RPALINKRKFDIRVWGLVTHELDAYFFQEGYIRTSSEDFTYNIENTFVHLTNNAIQKYSK NYGEFEDGNQLSFKNYQDYLKSQNIACNVQDIINKMKERIWMVFNSVRSKINFEDRKYCF EIFGFDFILDSDQEVWLIEVNTNPCIEESSPLLKMYIPRMLDDAFKLTLDVLFPPQQPHK QSLPQISQLPQIKEESHSEYPVIGYPNDENMWMLLGSLNDRKVKKKK >CAK83367 pep:novel supercontig:GCA_000165425.1:CT868463:427054:427633:1 gene:GSPATT00017711001 transcript:CAK83367 MFNPSGPKDKPLCMEFQKDICMKLLCTLEHKYWPCPDYDKGFCYLGSSCDKLKLKHIVRK LCMDYVYGFCKDGPECKMQHVKLFDLNDRTQELRFTERFYSKLKQEQATFKQSEINVKPV ICKSCQEVGHKSNVCKKTLRLSPNRKILCGICETEHTIYDQGKKQDCQYKISQSQQQLLF QQQSSEQ >CAK83368 pep:novel supercontig:GCA_000165425.1:CT868463:427759:428908:-1 gene:GSPATT00017712001 transcript:CAK83368 MIDKQQYDIQKQVKGNKVLLIKLYCECYLKTYKLEDNLNLLEVVMALKKKKFSNIVEIYK VKVDEKQGLIFVYEECCKSDLREMMRDNPKQFDVKEIIKCLLHVIQGLLDLKNLNIFHRD IKPDNIVYNGENYKIIDFDRAKVFGNGEIRVHTLNIGTQGYQAPEIISKQPYSYQCDVWS LGCVIHFLLFQQEIEIINNEIVIPKQNQYDDKIIKLLLKMLESNPRKRILLENLQEETKN LYKNLNDSNQSTDVEQNIISIQKIVNQPDEKTKFNTIMEELIQKEQNLQNKIYLYQFWVQ RLERKFSNEIEFRQKVSLQLLYTIKQGQEGRIKYNEFNSLDQIKSQLKCFKELSKFYDF >CAK83369 pep:novel supercontig:GCA_000165425.1:CT868463:429073:430386:-1 gene:GSPATT00017713001 transcript:CAK83369 MQALGSQTNLLCAQFIFWDSVIDFKRLNLQYSHQYKQYSCQQSVEASNIQKDIDRTFSQH QYFKQIHNRQRLQRILIALSKIQEQIGYIQGFNQIAGCFLINGLNEQQTFWIMYYILKKM KYATIFQDQFNELKFLNFTVAVFLRKYVPSLSNEFLQNKMDIGIITTRWFLVIFGYDLPQ QLLIQVWNMFLLKGIKVLIRASIAIFKLVSDFEGINDLYDMIKENLYDLLETNVQLQQNF IEYFSKIKITNRLIQELREKFETGDESLNLSFDIIQKKHYWRKGGDSARSLISSCNEIIS EIQEEKDACFQRSQLLMNAFFPKLINKNQLVASEGKKHLAIKIEKKPQLIKRQIISQQFK MSIPQSANGDQESNIYADELQSRMSPKEDESIELECTPKKIFKFSNKKR >CAK83370 pep:novel supercontig:GCA_000165425.1:CT868463:430610:432969:-1 gene:GSPATT00017714001 transcript:CAK83370 MQRTQSNVSILNAWFNLEKALIDAQVPENEQVTIISRPPTSKMMRDYSNYHSQQRTQPLR PLTGLTRPSTAITMKKPIEYQEKTATIQQSQRKMFRKKVNQRPFKKYGFDNIHIQKEEQE GEISEEELNQSTVIHTLDVDQIIDLYHSKCRDRELEYQPEHAVLFIEKFKKLCVDEQFVC EDNQLGLESAKTVRDILLYNNHFSTLRLARNAIGDEGLVELLEMLKVNKNIVHLDFSSNN ITADGAFYLFNELIPLHSIISIELSSKDGLNRNKVSVKGCEPIETILKYNHPLQFLGLRG TSIQNAGFECILSGLQTNNTLAYLNVSNNDLNEEACNYMVQYISNCNLVELDLSYNPLGN NGMDYLSRIFDKGSFMLRKLNLAGCEIKSLGILKFFQSLAVNRFLERLILDENHFSGNGF NEIENVLTSRIPLTYLSMAKCSINATGADFIGNGVERNRTLKTLILRDNRLTDAGAECVF KGLFFEKLDLKRCNLTDKCVLGLCSMIKQSTQLTTLLLPDNGFHDSSGIVICEAIRVNSS ILNLDICENPLSYKYIDQINKVIESNRLKYELDRVPMYKREIVKLNNIIQQKPTLEKDQN ENQQKKARSLQTLEKTIEEKNRVKIEQIQKTTDMDARLQQIQQQSRQMDIDKIKFDNESN MHLIKMDDEINDYQKKMSECHQVITRLEKEIRGLKDQRHVRKGQSDSRLEMLRQLNRAEE RALQRAEMEKKQLEERIKAMSGKESITSRRKTKQLSQIIQQKQ >CAK83371 pep:novel supercontig:GCA_000165425.1:CT868463:433068:434396:-1 gene:GSPATT00017715001 transcript:CAK83371 MQQIKKIDLVRNSSKNKSFQEIYEFNKCDKLGQGSYGMVYKAVHRATGLSRAVKIIQKTS VNQQERLNNELRTVELLDHPHIIRVFETYEDMEYIYVVMEICKGGDIFDKVLEYGNFDEY GALTIFIQIIRSVVYYQSLNIVHRDLKPENFLFQKNNDLDSLYIIDFGLVRVFSPGIQYQ WTKAGTAYYVAPEVLQGTYDNKCDIWSIGVILYVLLCGYPPFYGENEHEILQSIQKGKFD FDGPEWKNVSIEVKQLICQILQPKLQRIDLKTILKHPWVQKYVQKGEILLTKYHLDRWKQ YHILMQIGLLYLATQLDQSEILDIKNGFLFMNRSQSGILSKEEIGFLDDELQTLEYYQYI SLCLEPTIYKNEKQSDNYLQFKEYSDLFRV >CAK83372 pep:novel supercontig:GCA_000165425.1:CT868463:434406:434870:1 gene:GSPATT00017716001 transcript:CAK83372 MQINPIISPIYFDDISAVQTYARQNHLLLYVYKNTIYDLSEFAYTHPGGLKEICTYANTN ISKIIFDPRIHKHSPLALFKMSKFTFGYIQPQKQKTLLKSKSSQSLLQQTRKNLLSATDP DYLAVPEDCCIEQVRSIKTHRRVYHSDEQMPQIK >CAK83373 pep:novel supercontig:GCA_000165425.1:CT868463:435076:436568:1 gene:GSPATT00017717001 transcript:CAK83373 MHPQLPKIHDRSLQYGDNPFYVPDEYEIYKLKEKSKQARSEERLKFSKLKIHEKSKKQRG FFTVRTIDRLDSEESLLDQEEQEKKNIVDIANDALKNRVRQREPMYQFLEKKKEMLLFSM LIDQKRGMIDEYEKLARLHRKGLEQSEQLIDEDIEMFNKFLEANNNSSRDAIKEAENETR LKQEKTNEIKLLQEHRTELMTKNQQKVDNLEDLIKYKNFLDKITPKEFQKKNQKKQQIKN KNKKNPQDNLISSDLQKILDDSDDEFDSYFKDPQQLFDIFQQLEERNLFLIANTKEREQM VEELKSKVDLKKRQLEDKKQNAIQNKLDLERQIISVNDQIKILKSIKSDNEGFESLRNLE MMISRMYRIDLNPEPRKDMSGLEMLKETERKLEQYILEIKKYRQIAPDLVLDKEKGCIRM KKDKMKQEKQEQDLIEMQKKQQEQQKEVIIQKRFGRPIMIRSWPPKEEKEEQVVTEISEE EKERLKYFT >CAK83374 pep:novel supercontig:GCA_000165425.1:CT868463:436607:440207:1 gene:GSPATT00017718001 transcript:CAK83374 MICPQYLLDSINNCNERVIKRAYERLEGKQNLVEVCQQLKQSLRTQLSLHNVQEKKTDSK ISIYYLTDISQLALLLLALCSYKLNDFNLSYLISYFGCSQETPSQDSLEFDQVEWRKLEL EWISIQSLQKMNQLQECIDKIEIVQKLIQTSKNKKTWAQLDIILDQKKSYLQDYIDNKQK TFQTYNDEEKTALAKKLQQLLLKQEQDRYFEQEGYPAYLISSQWIARFSLYTKYYELTRE NPDSLKQFIAKKNNSMDDETFVEIFKQYCNQQTYEELERISNSTQNNTDIHICPIYNDDL IDTQTSFEKDPLKAKSYSNYALNKSIRENQNFIFVSSQIWRFLYGLFGGVEIKRLVLCRS RHAVETHLFQVRCQLFPQQQQRIINLQFNGNERIEDLVNKVNRITSQKVRNVWKIPKEVN QEELMKSQQVVGTKISRDNILEQAEIGPEEVLLLELEQEQYRLEKKENLQTISIPNKCMS CGNQDSQLYLCECRSVKYCNETCQANDLKNHQDVCKKIMEKDSLINQLSKKYKVKPPAKI VKNSAKGICGLSNLGNTCFMNSSLQCLSNVTELTEYMIYNTYLEDLNEKNPLGTGGRLAC NYAELLKELYESTSTSVAPWNVKKVIGQQAPQFNGYSQQDSQELLSYLLDGLHEDMNRIK KKPYIPEVEYKGQSDQEFADIYWDNHKKRNDSKITELFTGQFKSRVECPECNFVSITFDP FVTISLPIPSKEYVQFQFYLIFRDSNQTPLKIQQTLQSTQTAGEIIEIISKIKNIKAEYL KFYSLQDSAIIDNGISSEQTIKQIKESQATTFLYEEYDEKIDKPNSKYDSNIKTPYHQVL CNVKKLEESKLNQQNYRISFTRVCYVDSQTTYQGLHLIIYQIFRTHLIQISEITSNLNLN KEFEQFLKNIKKFVYKDYFEEYQDLLKLKELIYQLQDDNCKPLPFTDKQIEVSGKSINLN AQFKLRAELLKLNRCVDAEFTLQGPNQSLQQTISLNDCLNQFCQEEVLGAGNEWYCSKCK KHQKAKKQMQIYKAPQIMILHLKRFRSTRVTQFYGTYSVGGVTKIVQFVDFPLENFDIQP FILEKESQQPYVYDLFAISNHYGGMGGGHYTAYAKNPMYNAWFDFNDSQVKELRSNPVTD AAYVLFYRRRKVK >CAK83375 pep:novel supercontig:GCA_000165425.1:CT868463:440234:441092:-1 gene:GSPATT00017719001 transcript:CAK83375 MFIHIPAKNLYVSEPDPRHFGNPKNDDPKVNWLKSRFHFSFAEYYDPSNQSFGALTVMND DLVQPNKGFGEHPHQNMEIVTYIVQGELTHEDSKGNKESLGRGSAQYMSAGSGVFHSEYN LSKKEVCRFIQIWIKPRQTNTKVQYKSYRGENGQANNKWFHLVGDLQGNSQAPAKINQDT NIWVSEFSTEQRFEIKEGRQAYLLCVEGQFEMTIDSKQPLQELKSQLINQYDGVKLFKSI VLKPIDKAHVLLVEMNK >CAK83376 pep:novel supercontig:GCA_000165425.1:CT868463:441494:445571:1 gene:GSPATT00017720001 transcript:CAK83376 MFDNMFTYFGGLASKAKSKIIEKTLQPIIDYNFENIFEQKIAIKDLNKGITDVKLNTKYI NGMLKTNSLKEFHIKFIKLEKLQLQMSDVEITLDLNTYIQQEEVLESLEVENLVNQSVHM QMEQLKKEFLCEGSDSYFQNEVKSQTQQSGTNKTSSKTVMFLRIIDSIFGNIKIDIKNLR IKFVGKLNSNKISSFTLSINKINCTMQKPQNSNQILFLCFVDQLKLYLDNKKQIGQMDNA FKLDVYLKQDAEPKITIQASQFQVVASLNQLDQIMQLFAQSAQVLEQRENTIKEAIRQSH ITQQIINSNKQSSEERSKIMNLNKYKLEEYFKKSYHEKNFENEEYLESTFIIQNVPDSKI ADLYTSIKQMSEQKEFLVASFQGLSLYLTSNETEQEFNYQQKKFKVDLDNYFLVSLCDIK IVKHDKLNIFVNSVLIYELLKIEPQFISTREFVHKQEFEFVMIGPQKFLCKIILRTGRDQ FFSQDLNKDGYQIEIQEPTIFASQAFFVTPIKLQIRDNNIDMDITQFNLIVDKNQLQFIM KIVNIYTQNSVKPNNQVKSETQFKISKFKFSVFYHVGNTSSTNNQNNSSIDEDYIQFDFV DFFLATPDANEQNSSLCLYRSQFKFGCQSIWGLDKQIAIYNLKGNLGSQLRPSTQNIKKF NEENKSQITQYVKDCKSKQEQHLELQIDSVILNHNSFDQFYKMISQLNSVFNDNSKQTQN NQKKQQNFYFSAKIQIIEVTLYQTIFLSFNQFKYYFTIDYQFILIEDLNGFYKNNSQLLL MNQVQDKWIFSLIMKQNNINLEFSALKLNLTNFNFNKLISCITQLVKSVQYVNPPQQQSK SQNEKSQVNIVFKSIMIDILPFYNEEIILQKKGQAKLNYSKDQHQSWTRTILVFNNTIVK QDLIQIDEVQYYFQNCNVANRNDQLINLEEFQNIGQIQKIELLKNKLLKINSIQFFRISK QLIVDLIDHYEHISKDFQKSNNNVNEMKNYQKLDKIEMKQKSFEIRCDQISLTDESQTFE VVIYYTQLDLGEKCFQLKLARAQILDILQQSKFKYILDEDDQNELDFFQSEQIKERLFLD LIIQFDQNSLALWLQLKPIRICLSGYQFQELLKSFSTKNKEVITQVKNDDDDECFEIIHQ KKPFNLQVELFPIQFIVSFDSEGLDVDGLKNQVLKFGSFNNLILATNKVLYFHQFNQTSR QDFLQFLKSQQLSTFGILLQAYSSLEITKAASSFTDAIMNMISKPFISNNGFVKYNSYFQ LYGLMEGSYDFTCGITSSLLQLTALPASALNNMANYIGLGVITVPFSQLEEFCKKLFYKI NPEKGIPLRFFKDQQ >CAK83377 pep:novel supercontig:GCA_000165425.1:CT868463:446507:446937:-1 gene:GSPATT00017721001 transcript:CAK83377 MQERFKEELLSPQFKDLEQELSTQECRKFSSISAAELNTPETKYRSTIGPNKMDMSKDAN SLHFRNRTAKFEDEQNQLYMEIKNLYQKRQFDVPTLLEPKILFKTETVPTRFINSFIASR ENKTKHNNNHNLMIRC >CAK83378 pep:novel supercontig:GCA_000165425.1:CT868463:447551:449226:1 gene:GSPATT00017722001 transcript:CAK83378 MSAVELPDIRLYSNKSSTRQSKKSDYEKYRKLAVIKSVDKLIKKNDLQSVLGFDDDYYPK QIEDQKKVNEAHEVHVNLTELKKSCDSMKINGIRKEKDIENMRKEIDSMTIQVDQYNQTQ HNLQTKEKELNQKLEDQHKLLSESQYDRKIFEHMLNRMKKDQLGYQLRANQYERHLQLAL QQYHSSTYKTQQIQEIYSKTLMALKDVTEGIQQQNKNREKNLQRFKDDLRQRQDIEQKRD DRIKRQQEIAEVAAKDIKDSALKKWRKLLLVHKFLNSFLKNKMQRGIAQYQDLEMTFQKI KASTGITDANEIVQKFLGREQTYAHLLISISDYEKKISALKNENQDLQTQFSNLKQQYTD MDKEFQVETYRQKEDLFEQDKLVLEVEEKATVAGLLENKLRNWMSRGLKKLGLQKNQGFQ AIIDAVRDKLLKLSTKDQEELLNKSILNAVSDIKDQEFLKRNVRVKPKKQLTQSHTNHSM DQSSIKDDEDMFDELPIKEDEEDELEEERLIEQLREEIKVKNHKK >CAK83379 pep:novel supercontig:GCA_000165425.1:CT868463:449483:450700:-1 gene:GSPATT00017723001 transcript:CAK83379 MLFQIDCKNIKQSKQLTLINAAKYPILVRIIEDSSYTVIPSFSLLKFNERKNFQIMPKLT SRQESRLKIEAIEFDETKLETLSVPPFWNERNKGSLQSQSQSLLLSTDAQDSNGFAHYNK SPTMNQIFHDQNQDSSNTNERYVQQIKKKINDHDNQNNSISSITSQQSIQRMGSAKIADD NKFQQSYSQNRKHSLSDFESYGYMSTTQTQQQILKMPNDFNNFLDQIDSSNDPFLIQLPS QHESQTQSQHNFPDNQQQDMSISETTSIQRFHTSKQQFPQLKRSKFNEKSKLRVSYPFVH HPKSPINNQHHLNKNKLIEDQLLKQINELKHNKEVFLQELKKLEFKMLFNCKNANTINQQ RFYIWHILITSVLCLLIGSFMKRFIPQF >CAK83380 pep:novel supercontig:GCA_000165425.1:CT868463:450741:451981:-1 gene:GSPATT00017724001 transcript:CAK83380 MHKQYDLQLFSGATIQLKHLSTNDYLFSNRIKLTSGSKETMLGCTKNQYQEGTKFQMHLA YTDFGDMLYQPIKYGDLVYLKQLDQIVTINVNSKSQTSNQKEAILMEKQNEILGSQIFVI TPSELWQGSLLKIKESTINQASIIRLINITSNLSLHSNSIYFKQKDLTGCIEVTGCSERD QNDDWFINIHDINLKDGMIHVLPRFSKPIFHGSLVIIRNAFLGTALNSHSVLLKSKNQEV TTNPVIPRIQNELWEVVLMKIEGERKRSIENQLLYGEKFSLLHSKTNQFLKYNQGQTNSD KTFQVCCSDENLFLEEWSIIPLIPQQTPAVFCNDFFLIQNNTCQQYLTSIAEPSYTTKQQ YKTFLTQLPTNASVWTIEAVLNNKQNYS >CAK83381 pep:novel supercontig:GCA_000165425.1:CT868463:452409:452687:-1 gene:GSPATT00017725001 transcript:CAK83381 MSSTKLRRLSQQEGDGSKLRRFNVLYPKTIIQQHVLDIKREKERKKQKRLELQEQQQILF GLLGRTPFCRPAKRASRQPVNLNRRKEDQQVQ >CAK83382 pep:novel supercontig:GCA_000165425.1:CT868463:452866:454352:1 gene:GSPATT00017726001 transcript:CAK83382 MQSVIAINMSLLYISNIHCENITQLIFLTPFAMGSLKKRIQIHLLISKYEYQTIVKFELN KISYSKILKLAINISQTYQLSLLHIKIKLLMINQPNSIIELPFQVSTSHILDLFISCTKK KQYQILEKDSNQIIAIKENVAVIKNTVKRLVCMQENTFEQICLVKIQIDTNQVKCIRVVS IRGITGHYEENQELINYFLSKIDKLRNKKTQDQNMMNEASEFVGIVNVSEEMENPANQNP YYLETSAYYMFHKLLCASNYGLGKKVAEYIQKLQGNISNDQSNIKQNVQQLNAFINQIVE TLFQSFNFGKQETTQIMPYCKISIEKYFYLKLSHCILPQYQNMFKQQNELFRSKKIDRNN TQTKSDLHKDLQIPEFNAQQSIRIAEALNELDKIEYMSYPREKLRCLQLMNAILKAINSG LIDQLVKYCLVLSNVDHPFSEIQLLIDSTSSQDRQFLNKINHQLEEIISS >CAK83383 pep:novel supercontig:GCA_000165425.1:CT868463:454401:454715:1 gene:GSPATT00017727001 transcript:CAK83383 MNNFDEDSNPNSAGLINKLASGTKNVVTGTVNSVGYGIWWAGSGLVNASGNLLHLGKSGF SKPPKAQENNELKGQQLVNINK >CAK83384 pep:novel supercontig:GCA_000165425.1:CT868463:454862:455824:1 gene:GSPATT00017728001 transcript:CAK83384 MEVLDEVYQNLKILHSTSIDGSVICDVNLNVNKIAIIIGTQKSQNSRSQNPVLHLYSAST AEKERELVLPVDYLKSLKFSQTGQFLIAYGLASDIIVISINDRDQKLQILKGHSYFVDSI HIQKQEKLFVSAASFDYLCLWSLEEFKLLKKISTQEQQISEIYSLALSQSNHFLAVATSD ERLIIWNYQGGSITKIIQFKDGLNYLEYPHQSNNVLFAYRKASNSLILLNLKAATIIRQL ELFSVRLVNIDFIQDSNLITIAQNNLFQKQGCVSLWDWQKGNLLIKQNLDDSVIILKALE KKKVLITKDNYSIKFWMNKQ >CAK83385 pep:novel supercontig:GCA_000165425.1:CT868463:456672:458011:1 gene:GSPATT00017729001 transcript:CAK83385 MLNSKFEPDIVQITEQLSKRLNEKKKSIQEFLNILEQRAEIEDVYSKSLEKIGNELSNIV ENTQQDSFTDIMQYVRNYLLISSEQSKELYQQLKNNVVLELQNTISLDQGWINEVNRIQS KHSREVRRNIEELHALQDDYNQALYEEKFYLEGKITSKKRNHEKSETNLKQFILYYNQYL DTYFQDIAYIQDIFLNIEIDRRKLVQDAGMKLFMYEISLIRNLQYDISGITQKIEQYKSN NKQSELLIIQTNDRPLLSKLNVENYILSLKQQYNLDENQRKKKQLAEGLNGSDQKKVDFI QANSSDYLKYNKMFEQALRFSEINDDIIKQLINLLQKTKAQQFYDAFISTIQKLSNQKND YSVTPLGYQTCLKLSDVLLDYCNNQNTNAQIVINLLKFSKNIYKMLSVQDQENVEIQETI KFSLFGNYEVHYRGFKES >CAK83386 pep:novel supercontig:GCA_000165425.1:CT868463:458179:459617:1 gene:GSPATT00017730001 transcript:CAK83386 MNESEFKKYKIDLKVREKSSATTLWLVIYICFIYLLFGSFNMCGKIFLTCAYIYSFSESI SQLDYTSINLNIARWFLFQLVGPLSLPFIPYLIGKMKFRYILVAASLGPIIFLTPAPYAC LCKDSQSPGCDKAFIYLCAILVSIIAGLMQSILLFTMLFYISNLSKSQEKVIYYGSFFFI HSLQWLLGSLIGEVFLTYDMNQDNSISKVFGLLILLQFVVSLLYLSIPEKSDGRYDKFHR TIFEYFNNKSQKQSKLEQTGGIRELLLKSTYINSDNDDESDTFKNAEETSRSQSKSAVDL HLFKSQMFQNSNTKSIMTLQCFSIEEQSLVELLESTNQQTKNSISIDEYQNRNYFQNIQL TFEKLCQEGFLSVLLLLCFIACIQAFLFIYFFPFFAYPGIKKLTFQARLVLQGYLYVGVG ELFGSFGIGWLGDSKDQIKYPFIYNIQAPLSTFFKYSNSTTG >CAK70835 pep:novel supercontig:GCA_000165425.1:CT868090:1:644:-1 gene:GSPATT00038617001 transcript:CAK70835 MAQFQKLLSFHYMIQSTCHLNFGCNYNYEDSTSSYQYKFYLYVDNNLQLLILTSQLSLTN NCPPFGSYQISQNIVHSSSSVQITMVSNENYWGFSEFNLNVENTTQNLWELVYQSFNKKV FSSISLDDGWMTNNIVSQQIQQCTDFNYLTSQGDNLIKDFALKHHSMISFNLKVLIFNYP TSTAKIKIDNELVATQFLNQQVLSSII >CAK70836 pep:novel supercontig:GCA_000165425.1:CT868090:1235:1974:-1 gene:GSPATT00038618001 transcript:CAK70836 MNIQVIELIQFLNQRLNEDQNLQILLQTKVKSVLSKIQKQRNNFTFRLFWQQLQVVNLDS IIKSRRFAINKLRKHSNRSKMMKKKTHFDTIYKIQLIYKYILKSYVYQVLINNSHQFNSI KVYIQTNINDCRMIIKLIIVFNINQKLEGLQDHFELKGPKRKKSFKFSKLNNIKPFQQKN SQNSFRMIDNLNQTVLIKPPEINQDSSLIQLFIFFERMILTIDYIQI >CAK70837 pep:novel supercontig:GCA_000165425.1:CT868090:2092:3883:-1 gene:GSPATT00038619001 transcript:CAK70837 MNQNNLNAIMIAQFVMGINCLEYKPQEIFENNQFFFCGDGIITQDEECDDGNRINSDGCS NQCKIEENWNCIDSLSFLSQCFPMAKIQIELLNSTFNIQYVKLSYTNKVKLNQQDINFLD FNLNSININPTYYNISIFSVIEIVSNETRNIDYELKIKINQQLSQNPILEVKVDLILLDE NDLLVPASSQQIELNAPLVLNQAQVDASHNLQQIGYSLILTLGGFAIFSFLLGSLTQFIE IVDLLQFYAYLKFINVEYPENLYIYFQSNQFCNLQELKIILKIIQELIQFNYQINADLIT NIYSQLIQVILYFLSLVLLKIYLKFCLKFCFTTYFIYFIRKQKSKTFERLAIKLYQFNQY IQNYQNFDNLEFIINCYYANAWDLSFKVLLYLTFNQQSGIRNFFSQIVCSIYFILGIFIV VRNFRSQNTKIDIKELRDQQHQLIIVLKKFTFVLILIAMQDCSIAQSIMLSFSTCMYIGF IMIIKFTNTKLELMNILSVEIPVILFTLINLSFCKDFNNHFTHDQIIRIGFLQIGCLILG LLGPLINCVYHFYNKFKLFYNIIKPKKSEKIRAVRNILFEVTD >CAK70838 pep:novel supercontig:GCA_000165425.1:CT868090:4013:6156:-1 gene:GSPATT00038620001 transcript:CAK70838 MILYKLISIQLNKLKYQKQKISPMKMHIFFLNFYLLLITISRSQLIYRNFYGSFTSTNFD WNIYQLDNNGYSVASCGLKNMLIMNECTQSFNSLTSKTFELPLHDLINLSFKLWMQLQSY EDRINSVSYSFYLYIDNELYLIIQNSLYTFTNDCPPYGSYEINENIAHSSTSIQITMVSD QKSWGFSEFTLNVVSNTQNIWELVYQSLDQRFLSMITLNDGWMTNNIVSQQIEQCTDFYF LRSTGNNFIKDFVLKVHTKISLNLRVLIFNLASPIIYFKIDNQLVATQTSNSYVQSALPL CSKFIVMQVRITDFIHNNENLRIEVTTSADGSISWFGIRDFSLFIDYIKEEYQCKDFNIQ PFDGCFSNQYDCNFGCSNCVNGICINCLDGWYLDPQDICIPLCGDNMLMLNEICDDGNSI PYDDCHHCQFSCPLNCILCVFGTCKECEKSYFLIENKCTPVQQNCENFVDQIETGFFNNW TNYFEKNGFQCQPNSQQLSMLQQSKQYNLLQNNYCGLAIISSFSICQFPDFLNIQHYQQD RETGCEEDYKFSPNKRQCVQTCNCQDLVSQQTNDCYICIQNCQLECLICLQDKCYACFEG WQLVDNKCQQICGDNQIALTSNEQCDDGNQIIEDGCNECQFECGSFCQLCDKELNCIICE SNSQTVKQFKCKPICGDKIVINGLEECDDGNDIRYDGCI >CAK70839 pep:novel supercontig:GCA_000165425.1:CT868090:7208:7978:-1 gene:GSPATT00038621001 transcript:CAK70839 MQRQQQQQQLQQIYIPTFEEKQQILQRLILLLINKQRKPKDSFDQKNDEEETNFDTILDG IENNSHISKISSDNCSSYIQINISNYEAQILKCLLDSFQKDIQSQISCYEKNAKGQSDIQ NQQISYVIEPRENFKSDVIKPNQFQNSDEEINTQKKKKKKNPKAKIEKFLNEQQILLSLK NMRHEYNKRLEYLKEIKQANKSLENIMRLKDKTPKYRIYEKENKDNLIILLECTKIINEI QQTFQQQDYEMEGLGK >CAK70840 pep:novel supercontig:GCA_000165425.1:CT868090:8079:13022:-1 gene:GSPATT00038622001 transcript:CAK70840 MKSPISFVYFSLLLITISRSQLIYSNFHGTFTSASFGMLLLYQDWNIYQXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXQRNIHSGIRSTSWFGIRDFSLFTNIFENFQCKDFNIQPFD GCFSNQYDCNLGCSNCVNGICINCLDDWYLDTKNNCIPICGDQKFMLNEVCDDGNSIPYD GCHDCQFSCPLNCILCVYGTCKECEKSYFLVKNRCKHGFQFDQNLFEQLETGFFSDWTNY LEKNGLLCYQNLVEKLRTGFFNNWTNYLETNDFQCENLIKQLETGFSNNWTKRFDKNNFQ IENLVEQLETGIFNNWTNYLEKNDFKCYQIFVKQLAAGFFNYWTNYLEKNDFQCENLIIQ IEPYFYNNWTNQLEKKTFNVITLQKNQKLVSSVIGLIILKKNGFQCQPNSQQQSILQFSQ QYNLLQNNYHGLNIIQSFSVCYFPDSLIIQDYQSNSQTECREGYKFSPNTRQCVEMCNCK DLVSLQNNDCYNCIQNCSSECLVCILDKCYACLEGWQLIEHKCQQICGDNQVALTSNEQC DDGNHIIEDGCNECLFQCRPYCQFCNKELNCLICESNFKLVHHLCAPICGDKIVISGLEE CDDGNDIKYDGCFECQFQCNFGCKICESGKCQDVCKVEEEFINGKCIPIVLIESEEIDPN QSECKNHCLVCDGANCLRCKPDYILENNKCFSCGNGIITKDEECDDGNRINSDGCSNQCK IEEDWNCIDSFSFLSQCFPIAKISIVFLNSTYNTQYVKLSYTKKVKLNQQDIHFLDFNAN SINIDPTYYNISITPVIEIVSNVTRNINYELKIQINQQLSQNPILEVKVDLILLDENDLL VPPSSKQIVLYAPIILNEAQVEASKNFQTFGYNIMLALGGFAIFAFLLGSPQQFLEILDI LQFYSYLKFINVEYPENLYIYFQSSELISVDPILQFLGIKDNFEDQLGINIIEGFGKFDQ YKTNADLITNIYSQFMQVIVFFSLLVILKMYLKFCLKFCFTSYFMYFIRKRKSKAVEWLA IKLYQFNYYIKNYQNFDTVNLIIDCYYANAWDLNFKVLLYLTFNKQSGIRTLVSYLVCLI YFIIGICIVLRNFGIPNNNIEFKKLRNQQHQSIILLKKFIFVLILIRMQESYIAQCIMLS FSTCAYVVFLLILKIANTGLDLMNILCVEVPVILFTLINLSFCKDFNNHFTPDQIIRMGF LQIGCLMLGLLGPLFNCVYQFLLQTQNRSINQSNQKNLNSKEQLGILCLKQRVEIRYLIH YLYIIDKYDFINIIFLCLKFTNLLYKLGIFKLYYFIFIKLLIYIYKIIQFKIQSNDLNIT EIYIYILQKESNYIVIKLPISLYYLIL >CAK70841 pep:novel supercontig:GCA_000165425.1:CT868090:14099:14860:-1 gene:GSPATT00038623001 transcript:CAK70841 MQKQQQQQQKESFIATSDEKNHILQRLIFILKNKERKPKDQFQQKNFQDEVHFDTILDGI DKDSHISKISSDTCLSYIEIKISDYEALLLKSLLENLQREVKEAQSQLSIYEKNGKGQSD LQNQQVSYVIEQKRNVKSDVIKPNIPEDSEEDIIFQDQIPNKKSQKFINKQQILESLKNM RNTYNKRLQDLKDTKQTNNSFAKIKKLKDKTPKFIMNQQENHKDLIKLLEFRQIINEIQS MFQQYDQEIEGII >CAK70842 pep:novel supercontig:GCA_000165425.1:CT868090:18141:19121:-1 gene:GSPATT00038624001 transcript:CAK70842 MQNMEIEFQQSPVLNNGDEKKKNNYIHIPVYDVKPTQNYPNSEQKVESDEQLVQIDERWY AKNENYKPLSADQRPGFIRKVYSIMILQLILTVVACCFSYFCIPYRDFQNDHSGWVYLAI AIAIIIELILLWIPKYSWRVPHNYLFLFVFTLAESYVISQLCSYVFNKYRFIVLMAAALT LAAVIGLTLYACKTKKDFTTKGAFLFMASTSLFLFAILSGVYYDQAMSLLYSLISSLLFG VYLIYDTQLIIGGSTHKLSIDDYIIGAMFIYIDIVYLFAHIVLIIVACFR >CAK70843 pep:novel supercontig:GCA_000165425.1:CT868090:20534:21031:-1 gene:GSPATT00038625001 transcript:CAK70843 MNKLLLLSLIISISLASLDDQDLIQKYSIKIHQRGSHANYPEKGDQVKVHYTGKLLDGTK FDSSKDRNQPFDFRVGVGQVIKCWDDVVLNLTLGDKVTVICPSDTAYGNRGAGRVIPPNS DLQFDIEMLGFRDQEL >CAK81920 pep:novel supercontig:GCA_000165425.1:CT868421:1791:2138:1 gene:GSPATT00039482001 transcript:CAK81920 MVTKVSFLNKYNKSYNSFFWTNVAANKNRQTSLEGWTLDEVENAEANYVQECNSWGFWQI KRKNQFLEVFINLLTQKEQIFVTNILLQNKEQDKLKGQLILKLTFLLNFQKLRSL >CAK81921 pep:novel supercontig:GCA_000165425.1:CT868421:2164:2589:1 gene:GSPATT00039483001 transcript:CAK81921 MNYVSLNETQFTGPGQSNPTSCGQESNFVNCQCKEGYNAFLVMISQMDDFEEIFSKKRLE FLRIALIYYLQKSQTTFNFCQKRSCFMIIKFLAKTKDQKIKISLSLSTETLIMKSSKFQL NAKLSFKDPSTISTSEQGKIC >CAK69547 pep:novel supercontig:GCA_000165425.1:CT868070:406:1713:1 gene:GSPATT00037986001 transcript:CAK69547 MQIIISASKIARIVLLIKICNPIVEQMQTVFLFQFYQIKFFINQCFLSNFIQDCSIELHS YIFTTLTQNIYLSLHVNYFNWQWLHIIPQLQSRNTEFQIYFNIKYSNLALIKCEHSFIIF KCFKSIIQFSSFKILQPFIILSINLQELQIQQLSLQNFNLQSVNSLFYFEHTVQQQYIDI NIDIFTIINSMIHNSNIILLDNQFQKTTNCYFNNILISNSNLYSSSFMKIKNNQYLVMQG SISQISIVFSLLSNIQPLFQTFCYKSLIISRISLTNSTFQNFILFQTTNEQINKDYQIQF CSLKNSSIINFVQDYQFQSIIFENIEVNQIQHDDKTILINLVSNDLTSTVKMSNIILDTI TLYDNIQLVSNLQSISSIILIQSNIIEISDIKIIRSIGITEFLLSSAINLVLSNFTISLN SKYFFKSIIADEQCS >CAK69548 pep:novel supercontig:GCA_000165425.1:CT868070:2115:2803:1 gene:GSPATT00037987001 transcript:CAK69548 MSLKVKIVYYIQVLNKLIQLIHLFLLKHINWKYQPFYLTLEQIKSQYQVLSKSGNGQLIS SSVQFRKINIEDSFSTSAGCFKITLHNEGQLSVRNSVFKNIYTKISEEQSFCGCLYIIDK SINIQIDILDSIYNTIIGTAEGGVIYLKTIAQQVNFNFTNVTFQDVFSNDCNIAKLNFIS FNQLLYIQNCRILQTIQGYSKFRSLFSPLQKHETTMISIQ >CAK69549 pep:novel supercontig:GCA_000165425.1:CT868070:3178:4452:1 gene:GSPATT00037988001 transcript:CAK69549 MQKIQIQYQLNQANFQIISAYCVNKYLFQLNQLSCNRTILFYQNIWLMDNQCGQNGCLCI VQEFQSQDQKQMSKLTLDTMFCYRNQAKKGGCIVSQQIGLQITNSILSEKLTEQGESIYY DGEITNLLFQNNLIVSNTAQEGGAIYLGSQSLPELNKTVNYLSNNTAHRYGNISSSHSTQ LTIYTKICSFKLQYINAYLTNQSNPSELLVLKLPSGQQIQTYQYIDIDKQKYQHQQIKFR VLALNAYHEQQFHLNNSECLIQSGLQQGSQETVFTNNIQIIIEKCLMKKLKIIIQMIQLF FTELRIMKSCNSVQIPKYEDTFPYQIMDYNSKYQLILYIQTYPCELGEYKNLTDNACYLC DINKKQYSVTINATTCQFMDEDTIVSITPAQLYLKLDIGIVKLLSIVKIEQRTVQVDGNK ERDL >CAK69550 pep:novel supercontig:GCA_000165425.1:CT868070:4595:6070:1 gene:GSPATT00037989001 transcript:CAK69550 MVFYTYLILRIFEKLILELQKNKVGSRQLIINNNNQTGPLMKMLTNYLQILANIIHFNVE IPQAFKDYNNITGNSQTMLLVTTDYFLAENFHEGIIYVKMIYSIICPLFYGWIFLFISDQ INNLQTLYFLLRVLNKIIYNEAVPTSCDLYLFCNYRTLSGIKWIQCDLAYQFDTPLHQQW IPYLTLLIIITGSIVPGIFIIYLTKFRNTLQKYDVRRQWGYLYLEYQPKAYYWEIIRIVN RELIMIAITFYQDNIVIKCTLLFIVQFTYLFINQIVLPFQTKNLNQLEQNSTLLCIFTLF AVLSLSLTSSFSTIVILSIIITNIYLLITFIQSLINGYLQSTEELMDKIKDIIREKLRTK LQQYPSLNSWLVNKGQRRKIVIERYKKIRKHLFGVIQRLSRIERQKFSQISPNCTNSDLQ KPRDFTLILLRPQQILFTSSEYNTINDPFTNLIKKIKSKG >CAK69551 pep:novel supercontig:GCA_000165425.1:CT868070:6288:7211:1 gene:GSPATT00037990001 transcript:CAK69551 MKLYMLIITINHEVRQFSIRMQNHADVNQPTRQLQIYRQQLSKEFFGGRFRIGLKVIKLQ IFCAALNLRQTTHRIYQITGEKAINYLFYQSQGLIIQKCILTYTFYFIFFSTISFTFEFG EQIYLAIKVLITSLVLRLMISQIQKYIFGNQERRQIDIEIKWLK >CAK69552 pep:novel supercontig:GCA_000165425.1:CT868070:8276:10070:1 gene:GSPATT00037991001 transcript:CAK69552 MRLSNQILIILNQLKSFFLLSQMLWIFYILTLAQSTCQYTDFDQFQLRSDNFYLEKSYVR GNTYAFGVWTQYAALRKNYHNQNSNEDFERTLPSSFFLLLSFPSFCRIPSFLLRQPLRTT LFPSPLKGQNSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XLLGSILWLQEDTIIMRDIGVFTYFSFNYDNKYNFASYNTMDGRFYNLLVTNRRLIKQTT NAKLQYGGQGLYVIGAYKFNLNSFVGRISIITTFESSITFFQNTDKAKFISFVSQCSVPP TCLNPVELHFFNQPYNQHNLTIRNYQVDIKGVICMFDFWIKRDSYKIKSQEEIILTFGGL FSNLDIYYQLQLFWTFLNHDAILNQRVEIYNLVPEDMKTTNIYYAIIQVVKPIQNFEQWS YLKYQFWKSMEKNTVCDQKLTICIIKVWINLIVVQKYTPFPKPLLHAQRRQYYKSTIFYK RVPKVGRACISITKTLVVMSRFYDKIMLHIHNQSQPTILVKLIQNDIYNLVIKEESQEDV QQNGFGINYYSTNILNSSTVLKIILFELKLNSTFENLPDQQPNGFT >CAK69553 pep:novel supercontig:GCA_000165425.1:CT868070:10293:10652:-1 gene:GSPATT00037992001 transcript:CAK69553 MINFYIWAANILLIGLIRPTIQCNTTTLQGCLLDGITETTKMSLLDYPAYGYGFWFQYLS IQTTIFLNSKTSAKVEGLLILREINPDTSKYRVFFIKIGKTEMLIRKNIYISNINQKTN >CAK69554 pep:novel supercontig:GCA_000165425.1:CT868070:10818:12013:-1 gene:GSPATT00037993001 transcript:CAK69554 MQKCCQFKICVPTFLQQLFVEKRLQFIRLNINQFKVPYRQGIFKLGVALIGQSIKIILFY FQIISYTQELSSVFSDQVQQLIHFLGDPFTFLEIFNICQLLVLEVSVLYLQILQNLICMI ILMAIFYLFLFCQDFYLQTKNHYDGIIQFHISNIYHFWKFYKIYEIFKICIGFTKIFQIT TKIQIILDQYFSYFYPLLLAFGQFYLIYYYKKSKSTLFLSKPIHFKDFGFWFTSYSYNYQ HWEFYKFLLVNGLICIIIMIDANAVIKGVFSYSLLIIHTKVCQDNNIYYHKQMNRFEIQL NIISIIILISHSLFSIQDQDSIYKNLILIFINSIFILGGVFMILHLWKLNSKYIRRMCCK VFETLGQFNQIFISYNMFQAHSSGSIKLRKK >CAK69555 pep:novel supercontig:GCA_000165425.1:CT868070:12054:13268:-1 gene:GSPATT00037994001 transcript:CAK69555 MQCINNQAQYGGCLFIQNQIISIIRSLIVGNKAVYGGAIFTKGNNSTLISENVVITNNSA QFGSGIYSENNLNRNIKGIELIANYGLNQIDEQPQQLYLQIFQDEIIKPTIVQNSKNSQK SQIISKSGQISIIHIPTGIPLSKYMKFEKEKNRYNQKTMQMRLRAYNSQLEMVRNLTNTY CELQINNMNSRQEQNLSLNKNKIIFNQSTFSYNLDDLIFYIPSDSNQTFELTIKCNSIYI PIINNISHLIEGYHQNYVLSLLIKPNECQMGEYSQSKEDYCHQCIVDRNNTLCQIVDGQK IQEITQAQIFLKQGYWRMKVTTSTIDLCLNNQQNCIGGWGVGNDLCQQGYIGALCEQCDY YNERGGGNYQREGFFQLNMLK >CAK69556 pep:novel supercontig:GCA_000165425.1:CT868070:13508:17100:-1 gene:GSPATT00037995001 transcript:CAK69556 MVTELCSGCEHLTETKNSQSIIKNVNGLNTYYQCKQYLYPKDNTYTEICIECNQGFYLKN NHCQQCPQGCLSCTLDKQLEQVRCLDCQQGHSLFNESCQKCGELCQICKKILNKYTGKEY MKCLKCNHNAYISLDGESCYLNNIDLCIYPFQFSIRSTSINTLIYDFQPQELKNILTGCI YCLAASILETENINILVLLQQLSKDQVDAIKQFIYKISFIALLVSIMQCRLDLDSAINLF LTVLFVTERIGKFIVAAFYVKMLLQACNSECKTCIQVDSSRMQKHSLMPIYTILSDFYIE NYSYLTQNLNNNEIEMICTECQDGYIKYYDKCIQKCPSNCKECKIIDDQQVCLSCIQFND SHYHVYNGRCIQCPQNCNICHPRTDNEKLIINPFFNNKDLEHFSHKCIAPLSSDLFYDQD IHQFIKCDNIQELQKEIVIQIQIYCSQIDLENYLNQLNEEQKVIQRKFHILLENLDLSLY EYYDYFLYLNQNFVKIVTYQLTIADNQLCSFNKELKIQSNLQTNTFSLIELNLILKGSGN TLNLNNRLSLQILHTQELKTLIFKCRLDMYQWIMSIVILQIFNPLKSIKIKLIYSIKSIQ SKLVNRPKLIFRNYRYITLMFNLNMAYLNSKLIALDLIINHVKILNTSIINYNTSQKVET KILNLYIQNSQFINTALIEQFLQTEILRIDNFIFENSILLNTTSFLNFEQIQKAELNGFP ILDSTLQNSNFISSSKILFLIEFKIQNSHFQDNPHYQSIKAKDLLNQYKLKMNVYSNKHA LILLLNSDSVYLNNIEFINNAINIDDQKDSLDKNIELSIINIESNTVIFDTLMIQRGIGY SEFTIKNANTFKFLNSVIILHEKYYFKSLFNNQECNIQLFNQFMYNTLMNLQNSQFTYVS NTNITDIILYDSVLFEIQMLSQISSQFVFINCNFSSNILHQVNKYEKVSLTSINPNYYLN LNLTKVSFSQNLLNLLKESNPKKQSLILIVEASQAKSKINNCIIQKNMILNGQGYVSNNE LTTLTQEYSLIHCYDCQFQIRHLHVTNYPPIPLFQLYGSANLKNISIQNFIFSNQLMLFS PVRQSDQINLDNIEFYNCTRIHITENSIPSKRNAKILNISVKTSCNNNLIDAPSTFLNLM RVSLILIKQHFQKLYSLRYNHQQQL >CAK69557 pep:novel supercontig:GCA_000165425.1:CT868070:17323:17796:-1 gene:GSPATT00037996001 transcript:CAK69557 MNINNYQQFSNYHATITLFTDQQSVQILPFEGLISNLRFKQCQNIEYHFGKDSNMRRYNY LIDCNARCKICTGPTQYDCASCYDEQIDICKLIFINVSVNLTTRKQVVQYAKKPFQTLFP SKKSFIKSSISLKQKTIKSTVMATLKYGRT >CAK69558 pep:novel supercontig:GCA_000165425.1:CT868070:18215:18823:-1 gene:GSPATT00037997001 transcript:CAK69558 MIWIFYILRLVQSTCSYTDFDQFQLRSDNFYQEKSYVRGNEYAFGVWTQYAPQRKNYYLD KSNPNFDSSLIVDGFHILNLQIDGKIQTLFFQFPIYYTQQPVLAIMFNTMHGVAYYEIQY GDFIYEGCWIFTYFSFNSNKKYNYAQFNTANSAYYNFLQTNFGLKTLNTNSKLQHGGQGY YVIGPYGFHLKLFLGNFDNYYI >CAK69559 pep:novel supercontig:GCA_000165425.1:CT868070:20595:20930:1 gene:GSPATT00037998001 transcript:CAK69559 MIANICKQFLNIFIIGPVCLLLLIINCLAPTLFFYNSKINFSTPFIDKQIENKFLKLNKY KIPQDYQYDINNLFIQILAFLTLIQFLKAILNISSSIVLSQASQQAPNQPI >CAK69560 pep:novel supercontig:GCA_000165425.1:CT868070:21570:21906:-1 gene:GSPATT00037999001 transcript:CAK69560 MLSAQAFAYPEHFLRKNNVYNSRNKEAITKSSANVLKGQGLNYDIPKNSKQKKLEHINRK TIKVIQFRECITKRNIEQILGQYQFRRISMVEHQRQLEIYYIR >CAK69561 pep:novel supercontig:GCA_000165425.1:CT868070:26966:27430:1 gene:GSPATT00038001001 transcript:CAK69561 MPQQNYYIIQIKIRNSGKLNSNNRIQFRINIKSRQLRNLWKHYMKNSKGIIYIIDCSDKE RMDETKSELYRMLLNPLMLGQPHLIYSNKQDLVKMKSEELEVELNINKICKNWHIQPSSS ITGEGLQEGLNWIQEQLQLQLK >CAK69562 pep:novel supercontig:GCA_000165425.1:CT868070:30797:31264:1 gene:GSPATT00038002001 transcript:CAK69562 MSKFEIGSLRSFNVEKRISQNQVIDLRQLFKSKGSCILESLQSEMYYNLNTFQISPKIVN QSGLSFLSFSAFSFLQSKVMSQFKDMPEFVIFSLSNYLCKLSILKSINSEILFQNMCDNL FSKLFSFIKFVQLKQRQLSTTAIKIQYLIFFIFTK >CAK69563 pep:novel supercontig:GCA_000165425.1:CT868070:31459:31823:1 gene:GSPATT00038003001 transcript:CAK69563 MQQSFKILIHLIKNQQIYKDLVRRILCQQKQFFFTIEESRIKDETNFQASSQKYLRTYEK SYKCHEVEEGQLKVRKNEDNILNILKDKVKNHQEAAIVLHGLRVERERCPNI >CAK69564 pep:novel supercontig:GCA_000165425.1:CT868070:32643:34050:-1 gene:GSPATT00038004001 transcript:CAK69564 MKVDLKEQCFENIRIKNISLIGANLVRCDLSGSELDNVIISGVNLNQAKLFNCRWGNIGL HEGINLLFPDGKTIVSCSNDKSIRLWDVKKGKIKSIRRVKREGNPYVSHLRILHQHSAEE NFWKQISKLISHNNDVNSVCFSPKGTTIVSGSDDASIRLLDVMTRQQQGKLDGHSNYVIS VCFSPDGATIASGNVDESIRLWDVMTGQQKAKLDGHEDCVYTVCFSPDGKTIASGSNDAS IRLWDVKTGQQQAKLNDHSEAVYSIYFSPDGTTLASGSSDKSILLWDVKTGQYILSSDNR YKDVLAQFQTSTILNQVLQKRYFFQPYYSNFQYYNFGNFLKSQFRSLRSFNLERRNLPII RVLICDNYLNLKAAAFWNLYKEGMYYNLNTFQISPKIVNQSGLSFLNFSACSFPQSKIMN QLKGLSEFVIFSLSTNYEKYQY >CAK69565 pep:novel supercontig:GCA_000165425.1:CT868070:34062:34469:-1 gene:GSPATT00038005001 transcript:CAK69565 MNCKNEYDEDLSGVLALSKDVDKQVIPILIKIFKREKIQDCLVFLSQYQNLSQVELEIQQ LENSLLFEKEQLLNAERMILIGLQMFLNIKDQEFNEQNFSIDEYEEIKKRSVHKNILSQE DYIITKIFSSPYSLR >CAK69566 pep:novel supercontig:GCA_000165425.1:CT868070:34503:35045:1 gene:GSPATT00038006001 transcript:CAK69566 MLFNITQKSQYEVVKQLKKKQYLVPFQKHSPLKTSQLRQLNRHFQILHQELQKKNKIKFY INNHLQTFQFLKLRMSYLQLSFFLFFLRKCQISSTDTIIDMHVKSI >CAK69567 pep:novel supercontig:GCA_000165425.1:CT868070:35706:36289:-1 gene:GSPATT00038007001 transcript:CAK69567 MVLPFKTIILNQLEQKSTLLCTFTLFAVLSLSQTSSFYTLVVLSIIITNIYLLMTFIQSL IKGYLESQEELIDNIKDHIREKLKQKVQYHRFLESWLVNKGQRRKIVIERFKQIKKYLFE VRQKCSRIERQKFSQISTYRSSSGFLSPRDFNLVLLKPQQILSTNSENNPINDPLTNLIK KKSQE >CAK69568 pep:novel supercontig:GCA_000165425.1:CT868070:36605:44762:-1 gene:GSPATT00038008001 transcript:CAK69568 MINFYIWTASIILIGFIRPTIQCDSNTLQGCLLDDTTETTKMSLLDYSAYGYGFWFQYLS TQTTLHKSKTTFPKIEGLLILGEINPDNFKYRVFFYQDWTNNNANQEKYLYLQYESDGQM IQLAKFVFDAFDFDGKWIHIYVSYQFPYQRQIILYDIWNDNCQLINYKSSANLELKETII TQGGELKLQLNQNDEVKQYAYYPGRITKLLFNLNKNNVIFNTAPEFKVFVEQQFIPQPFC QTSTYDQTLISSYIYQFGLIQLESWIKVDYNLNLNYSASVFGVEILFLPYVNVYQKLVDL QYCLLQAWLDNLANGVYFQTYNQKDPDFSENTAQFLGQADVAFHTLTQDLRQWHYVSIMY GFDKAEVPVSNLKMWFNDGDIYEAQYHNRTYHFNGANLRIRSGFLFIGASDGLYVKSESS KITVCLPESFVLLKKCHFSCLDFVIRTLIDIQKIAIVNQQWKLYMQYQYLKLEFLDFYPT SIQDYNAKNQTLSQFGYFPIKEVDNEVIYILCPQFNEIQLEKISCIECLTQPETFATKLK CESDYVFNSYGQYELVQREDKDIELYVLDTSTSSLTLCVGCKTLCNQNIDSNCHFHTLLK VYIQCQSQFYYKNGECVVCNSNCKTCEDYSICTSCYNQMILNPNNNVCLECPKECLECEY NSGVQCKQCIGKYSVFQGQCYPCSKYCLECLYVQNPKDGSYYNRCINCIDNQKYYLSINA VDCLENLDVHCKSEIQFHKSWFMRNKVNTIFHMYEKGDYNSNQLLNYCALCAEGYASLLS GSCVKIDSIPDNIQNKEYCIQMYEMEVFQSLQLNLLQYQCLVYTNEFQQTFSASNGCDFI LLNCAYCFNNICLQCYPGYYAELASGQCIACPSEMHCYKCQQRSKQWKNGWKIWYGIIHF MMKRGTFYDDIFGNETQDKLEVICKTCTVDFEFYQDKCIKKCPENCALCIKSNGQNKCVK CKMYEGRRLSLYDGDCIDCPRYCQICKPKTNSQLLSANPYFSNSNVQSSTHACLMLQSQL SFQDYYYDTKFQQFFKLSETGTDPNSIILNFNLYCNTELFNQHHELALDKQHFLQQNVKI DELLTNNKSQSNFGRIENLNLYTYLNIQQIQEVELQFSFIQDCSIDFHSYIFTTLIQNIY FVTSAKITLIGNGYTLFLNSNLEILNFESISIQNLIIQLQSTVNIHLQSLSALKVLFNSV VIQQYQEDLNPITFNILSTNLQELQIQNLSLQNINLQSVASLFYFEYTVNQQYININIDQ FTIQNSKMHNSNIILLDNYFQKTTNCYINNILMINSNLYSSSFMKIKNNQYLVMQGSISQ ISIVFSLLSNIQPLFQTFCYKSLIISRISLTNSTFQNFILFQTTNEQINKDYQIKFCSLK NSSIINFVQDYQFQSIIFENIEVNQIQHDDKTILINLVSNDLTSTVKMSNIILDTITLYD NIQLVSNLQSISSIILIQSNIIEISDIKIIRSIGITEFLLSSAINLVLSNFTISLNSKYF FKSIIADEQCSQLNPYSKYTSTLIIQKAQNIKIQNFYVSNLILLDFPMIYIISIATQIIR RSESIVIDSMNLDNCIIQKSQTINQISGILIQSEQQQDIQILNSKFNNNIYYSYYKESES DSALIFYIIAQNSKIQLINCQFNQNYVSQSENSLLYINAEQVNLIDSSFASNNQIGDSFL KHINWKYQPYTLTLEQIKNQFQVLSHKWKWLTNIFLSSFSTSAGCFKITLENEGYLLVRN SVFKNIYTKISEEQSFGGCLYVIDGSINIQIDILDSIYDTIIGTAEGGVIYLKPIAQQIN FNFTNVTFQDVFSNDGNIAKLNFLNYNQQLYMQNCRILQTIEGYSKFRSLFSLFQNYETT LISMQQGYLVLRHLFVQLYLNIFLQLNYQSKVSLTSIEIDYSFYYQNSIMQISFSEDQKC KIDITDLKIKNVKWYKDDPKLQAIQIKQVIDDQLKLSQDCQIEQILILKNSIYELINLQD HLAVPQKQIESLLQITNVKHKDSISIKSSQFSNNQCILCQSGLLFIKLIELQSENIILSN IWLMDNQCGQNGCLCFVQEFQSQEQKIMSKLSLDNMFCYRNQAEKGGCIVSKQVGLKITN SILSENLAIRQGGSIYYDGEITNLLFQNNLIMSNTAQEGGAIYLGNQSLPELNRTFNYFN NNTAYIYGKISSSHSTQLTVMYKNLQFSTLNSLRNGQINAYLTNQSNSSELQFLKLPSGQ QIKNYQYFDIHKQEYQHLHLKLRVLALNAYNEQQFHLSNSECLIESGYQYGSQEIVFTNN YTNYNRKDFDQETQDYNLDDLIIYYGTENDQSNLILQVSCNSVQIPIYEDIFPYQIMDYN SKYQLILYIHTYPCQYGEYKNLTDNACHLCDINKKQYSVTINATTCQFMDEDTIASITPA QLQLKSGYWRPYINSEIIEYCQNRIENCLGGWRQGDETCEIGQLGACCEACDQYNIRGYG QYSLKKLYQCQKCEDLDKQIIYIILITLWNLLSIYLSTSGVEKLIQELQKNKVGTRQLII NNNKQTGPLMKMLTNYLQILAIIINFNVEIPQAFKDYYNITGNSQTILLVTTDCFLAQNF QEGLIYVKIIYSIICPLFYGWIYLSIYFFLRVLNKIVYNEVVPKSCVLYLFCYYQIQNII RHKMDTG >CAK69569 pep:novel supercontig:GCA_000165425.1:CT868070:44825:53733:-1 gene:GSPATT00038009001 transcript:CAK69569 MFWIFYIFRLVQSTCSYTNFDSFQLSSDSFYQEKSYVRGNPYAFGVWTQFAPIRKNFFAD KSKPYFDSAPIVLMGFISLIIKPLYVTGYPTLMILQYTQSGVFSYKIQEGLYYYEGYWVF TYFGFNYDNKYNFASYSTGDDRFFNFLVTNRRLKTQTTNAKLEYGGQGLYFIGINYFNLN IFMGRISIITTFESSITFFSNTDKAKFISFISSCSVPPACQNPVELYFFHETYNQRNYTA RNYQVDMKGLIYMFDFWIKRDSYKVNTQKEILLSFGGIFSTLERFYQLAVYWRVLSQDVI LLHNLDVYYLVPGEQITQYNDDYLIQLFKPKQSFEQWSYLKYQFQKVGIYQYVYLSLYQS CCDTWEQFYVLNFPYYQQYSNYQATITLFTEQKDATILPFEGLISNLRFKYCYNLEYQFG KDSNINCNALCKTCIGPAQYDCASCYDEQNRYLQIDLHQCQCKSNYEETGSLICQKTFLS SISQKKELYKEFNIIEVQNNNKCSYGYFEAWKGLKFFGCIKCPYFDQGHNSVNCINCILN SHNWYLNPICNIDYRTEDSKFYYNQQLDYQSKEIFIINFDLMAAELCPGCEYITETKNSQ SIIKNVNGLNTYYQCKQYLYPKYNTCDLCELNCIKCKDTETCIECNQGFYIKNNHCQQCP QGCLSCTLDKQLEQVKCLDCQQGYSLVNDSCQKCGEHCQICNMKLNKNTGKEYMKCLKCN YNAYISLDGESCYFNNIDLCIYPFQFSIVSSAINTIFYDFQPQESQNIRTGCIYCSPVSY LNTDNNLFNCGAQGSDQIQSGCYQAILYSSTINCIIGTNHLMVFGFGFCTQFIPHCLVCF RLNWEYNSICYLCEDGYYNDYYTSFCKPCNPECATCNQVDSSQVVKSSIEFIFIILWSIK GNAEYQLFTQNPNKNEIEIICTNCSDGYIKYYDKCIQKCPSDCKECKIIDDQQICISCIQ FNDSHYHVYNGRCIQCPQNCNICHPRTDNEKLMMNPYFNNKDLEYFSHKCIASLSNDLYY DQDIHQFIKCDYSNECQKEIVIEILIYCSKIDLENYLNQLNEEQKVIQRKFHILLENLDL SLYEYYDYFLYLNQNFVKIVTYQLTIADNQPCSLNKELKIQSNLQTNTFNLIELNLILNG SGNTFNLNNHLSLSNFTHIRFENLDLRMQTGYVSMDNVNRYTVDFQSIKIYQDQTDIFSQ EYLIQISESSKINISKLQIHNINVQFKYGLFLITNKKSNCKLVALDLIINHVKIMNTSII NYNTSQKVETKILNLYIQNSQFINTALIEQFYQTEILRMDNFIFENSILLNITSFLNFEQ IQKAELNGFSILDSTLQNSNFISSSKILFLIEFNLQKLSFLGQSTLLINQENLSLELIQI KNGKIIDNIYSNKNALILLLNSDSVYLNNIEFINNAINIDNQKDSLVKNIELSIINIESN TVIFDTLIIQRGIGYTEFTIKNANTFKFMNSVITLHQKYYFTSLFNNQECNFQPFNQFMY NTLMNLQNSSFIYFSNTNITDIILYDSVLFEIQMLSQISSQFFFINCNFSSNILHQVNKY EKVSLISINSNYYLNLNITEVTFSQNLLNLLKESSPQKQSLILLVEAYQATSTISSCLIQ KNMILNGQGSLMYIDADLIILNNTKFFQNSAFVYDTLKQFLRWGLTGEIYLEELQKLYIS SSSGGNGNFQARQIILNMIILKDSISVQGAAFSLKMIENGNLQIKNSHFENLQAELLESS QGGAFHIQSQSSYLRIEIMNTIFMDISSRKSGSIIYIIPSTKLFNISLLNSTFENIFSIQ GGLIYIEKSIHQLQIVQMEKCKLSQNLDKFNTFINSLQDISNNELTTLTQDYSFIYCYDC QFQISDLCVINYPPTPLFQLYGSTILKNISIQNIIFSNLIMLFSPVRQSDQIILHNIEFY NSTQIQITENNIPSKRNADILNSSIRTSCNQNLIDAPSTILSFNESFSNINQITLLETFF SQIQSSTVTMISFQFTDQYQQQKIQLSDIILQNNKCSKCSNGLLNFENRKKPSIIIQKIS ISNSYCGKNGCISFISQDSRILQSQDYNSSIANSAIRIENLQCINNQAQYGGCLFIQNQI ISIIRSLIVGNKAVYGGAIFTKGINATLISENLVITNNSAQFGSGIYSEENLIRNVKGIE LIDNYGLNQIDEQPQQLYIQIFQDQIIKPTIVQNSKVGQTSQIIGKDRQKSIIHIPTGIP LSEYRKFELENNRYNQKTMQMKLYAVNSQLEIVRNLTNTYCELQINNVNSRQEQNLSLNK NKIYFNQSTFSYNLDDLIFYIPSDSNQTFELSIKCNSIYIPIINNISHLIEGFHQNYILS LLIKPNDCQVGEYSQTKEDYCHQCRVDQKQYSVIVGATSCQIVDDQKIQEITQAQIFLKK GYWRMKVTTSTIDLCLNNQQNCIGGWGIGNDLCQQGYIGALCEQCDYFNVRGGGNYQREG FFMCQICQNDSLKQLFNFLITILIFVCLLLIINYLSKKRLQFIRLNVNQFKIPFRQGFFK SEEALMGQSIKIILFYFQIISYTQELSSVFSDQVQQFIHFLGDPFTLLGIYKECQLINLE VSVLYLQILQNFICMLILMTTFVCFCFAKIFISQFKITQMELFNFIYLIYVFVVGSFLRS MIQLIAFRDIQNVYWIHKNVSYDYTNTNHLRLVFNQFLPIGNRPGDSFTLFITTKRIPFK DFGFWFTSYSNDHQHWEFYKFLLVNGLICIIIMIDANATIKGVLSYSLLIIYTKVCQDNK IYYHKQMNRFETQLNIISIIILISCTLFSIQENQGSILKTVILIFINSLFIFGGLFMFLH LWKLTSQYIKRVFCKVFELLGKFHQLFIKHNIFQSHSKSSIKLRKKRFELLRAFLKQQSK TQLLIKKEYQSSEEKNQTLRF >CAK69570 pep:novel supercontig:GCA_000165425.1:CT868070:54634:55317:-1 gene:GSPATT00038010001 transcript:CAK69570 MLSSSQKSQVYKRTSYLTESVSILNSARPQQSFVNTFEKKELRRYIQSLIRYPTEWAEQV DNKTDNRFHHVILNQSKAEHNPNLASPSKIFGLTESRRQNTQNDDLLSSFRRKNQSLGNL GSWQFNSTPVANQSQNTQSKVSATQKPQPMLTRKLDQSVPLRDLLELSRQLDSAKPEEIQ QLSKGYVNELFQLSQTITRSLKYIKR >CAK69571 pep:novel supercontig:GCA_000165425.1:CT868070:55544:56318:-1 gene:GSPATT00038011001 transcript:CAK69571 MKSHNQGRQDFLQWINELTECDYPKIELLSDGIGYCQIIDALHPGAIYLSKLNFMARFPD EYTKNLKVLDDAFSKLKIDKVVPIDKLSKCKFQDNMAFLQWMYNYASKVNPFVKNYRGYS RRLEAFEKQHHGRYTQMSAHLIPNTEFLKFKQTDIDGRTFLKVESTKAQQAEDAIKELEI DIKTKMDYNWKLIYALDDLQYQRDVLYGLLTKIDQCVQNSNDPAAVKMHNVIMEEPIDFA EK >CAK69572 pep:novel supercontig:GCA_000165425.1:CT868070:56834:57632:1 gene:GSPATT00038012001 transcript:CAK69572 MHLQTFLEEPQKLSSYKQLQHQGNVCLTTLSVNEQKEQKVKSDIQSLEHIWVEWLGQQQM SQKQNDENQKKNESIDVECTQETNIGEKSAQLKTFFEILKSRIINEKLYSTMTQTQMTQF FEEASTQIQNKIKLYEDIQKLKLLQNYPTNTKRTYSKSANMILKKWLMENYNNPYPKPNQ VEQLVQQTNLTNKQVLNWFINARNSLKNKSNQEKKFKHIVECKFKEFAIMKKKKLEQSI >CAK69573 pep:novel supercontig:GCA_000165425.1:CT868070:59033:60381:1 gene:GSPATT00038013001 transcript:CAK69573 MKSMLHILQKRGSMVLMEPINDGMDKVQEKHQVVQTYVEQVNPKTGIAIEKEEERLNQRY FHLMNQLERLKFQIIKLEEKKKSQEDLENHPSVKEVVGELHKISKYEKALSENHSKMVDE IKLNKMTINQLKQTVYQKRIEIRDIVRDSFRIQEEMIKIKQEKRTVSIDRNSVDMFLTIP ETSIPKPQILPSIPLKQKSKSTLLHIMKNVQSENQQEKINDIVFIYRQVQELRSKTQQST RFIQKVTNRYCQIKSLLQECANISIQSFKNKQKITNKNGYANSIYFDVSTLNNSKNESSI IKERKIQNILYDTLQQIMIDLIDSQQKTDLNGSSIADSIIRNSVSQEQFMQFTSVQILGL MALQPRLLTELLGIFDLKQKQVGLLCNKTRQLQKQLDIP >CAK69574 pep:novel supercontig:GCA_000165425.1:CT868070:61016:62572:1 gene:GSPATT00038014001 transcript:CAK69574 MKKKMLKMHRHKLLYEDVLKQVEKEDVAFFSKCTKFRDQAPHPITLDRALQFLWLKEKKI DRKVALQFGQRRVCKIDSTLKHDGPSSARIIKTSRINQGVINGWHNGSGDSKFDLGAQYN PKKLERNDEEIEYEELLKQDQQSPSEYKMKLNHLDLYNNEINEKYAFSNLETVQLFQKLN ELKQINRQRSEQPQEYVELEGQRFYHVLSDLQDYLDKKVDKKTLIHEMRAFQAFYPIRRK LQVKQLNPGDSLCQGTESQTDITQKATYRLFDRARTLANMKCEVFNTNNYVFNVKEVPNF GQQLRRNHFSQLIEELRENGLKTPREINEVSPENSIKASQVESSENDVKPIRKFYLKLPK QKGELSQTTSCTKSLRIGTLQSQISHQYQQQPLKAFISNLHGSSTEIRLDNGGKFKNRLD SRIDKYVKQYLKKQNVIQQNQNVDMTILREKYKSLKSEREKEITRMYKSQQIDGINNKTV HLIVN >CAK69575 pep:novel supercontig:GCA_000165425.1:CT868070:62602:63492:1 gene:GSPATT00038015001 transcript:CAK69575 MKYFVVLLALAAAFEYDEQLANQLTAFSFGAYCEINDINNWNVGAISQKYPNLTKVQVFE NVEMKTRGYIAYNSQTQAITVVFRGSDNIKNFIADIDTKKTSFNTACRCQVHEGFLAAYS SLKIHLDGLLGEYRVKYPYAKFHVTGHSLGGAMATLFASELSLTGVKVTLVTVGAPRVGD SDFYDWFSKLPVTHTRLTNKKDIAPHLPPFRFGFEHVNNEVWYFDGLNYIVCKEVKGEDQ QCSVSATRTNLNDHHEYLGWSVEACNAAQVE >CAK69576 pep:novel supercontig:GCA_000165425.1:CT868070:63672:64579:1 gene:GSPATT00038016001 transcript:CAK69576 MRPSSVILVVLAATVSATFIYNEDLAKEEAALSFAAYCPNSAITNWKLGYVSGNYPNIQN PQVFENIIQGTKGYIAFNPTYNAITVVFRGSSNIQNWLDNIQFDKVNYNEACKCQVHKGF LEAFNSLEPQLDTLFAKYRKMYPKAIIHVTGHSLGAAMATLYATQLAIAGNSLQLTTFGL PRVGDTAYYNYFSSFTKVTHFRVVHEKDVVPHVPPQNFGFNHVDREIWYHRASYTVCQLD EDPNCSDSVLIPSVADHSFYMGWSSSVDC >CAK69577 pep:novel supercontig:GCA_000165425.1:CT868070:64648:65475:1 gene:GSPATT00038017001 transcript:CAK69577 MDLNEENIIQILGEFLVSEGFQYYGKIQEIFSCLSDEDCDHLFFDFILGFLKSEPPYKSL QLIHAFLTAISSPQTYICELIEQQHYEILALHLQLNKFTDNQLKQIVYDKLKGQSNDYKQ IFLLQRLYFLLDPNKKESAEQIFTNNLKIIQQIFSTIQEETLVQYLKVQSLLVPFCKEVQ QQKLMKLLILIKQQNQQVGIQLQWAIYEVYEQMGCTMNPPELESMENTQTKVSFRLYYVK QKFMQNIKQLSTLIREVEEEQRDNFKLYTQWQLQL >CAK69578 pep:novel supercontig:GCA_000165425.1:CT868070:65604:68581:1 gene:GSPATT00038018001 transcript:CAK69578 MTFLFNELIFRINMIIIDFLYILGICCATVAECLFGLQTDYELYTMFSSLPILSLWCLLR IKNRRIKNNQLYMLVLLLIANTLRTVANTHISEMLLYIIPLQNHQHPKKVFLCLPFAGVI ASVKLEFSYDHTLIACCLVILVWLSSSSTTTEKTAKISKNRSYSKTWNNPSDSLIIGHNE QYHLVVTHQGKVISSNEDIKKLLGSDDPIIVQQILNEIIIRKVDKQIKERKMLNLLETIN SQQPKCKILDCSAAFGDHYMIELIHYNDNVGMIFVDLYECREYWECKITKQLMNQLFRSF SHEFSTSLNCIRILAESAIEDIDDDYVVNNILSPILNSCYILNSIVQDVRDFSLILSKNF IFTIQIQNVYLLINEVADLYRQQLTMKGVELNIKMNEIYIHTDGQRFKQVLNNLLSNAQK FTFTGNITITLTEQIARDQVYVKVSVSDTGSGMNSDTQSKLKEFLNQPHKRQSNFNYGLG LMISNSICNGLSPNYESGIHFESNNQNGSIFWFYLEDLKMLETPDLISKRTIKYNKIVSS GRSVLEQSFLISPSDKKRQSSFTFSLKGKQKLIEKNSENFSEPDENICAPYIFRETKKPK KCKHSPIDQTAIEIHEDRVKILIVDDEFVNIYALTTMLSRLNIKCDQAHNGKEGLDKFKQ HSYQVILMDIEMPIMNGIQATSLIIDYCHSVDLDPPIIIAQTAYTDMQTKQMCKEAGMDY FLQKPISTIVIMNKKILVITDISRTLLLAERLGKQLYLDRRRELDNIKPNDKYENYSLIW RPGREYFLDSLMIKHRDKFEVAVWSSLDRDKTAAFAKSFFGKHFRNLLFVSTCNREQYEG TQKEYSTEPIRIDRDLSLINQKFPTYDLPNIVMVNVFPNLLEQHSFNDIIIPKFDPQYVD VQSDGSLDLLVKYLNGLSMLINKQRVQDIRTAIRAKPIENMDKRMDNLRNKMHEYL >CAK69579 pep:novel supercontig:GCA_000165425.1:CT868070:68607:69015:1 gene:GSPATT00038019001 transcript:CAK69579 MFIFALFTLVLSLNESLIIQSPQGLANNTELQQIPFIIADFSYVPYGQSITGVLEVANPY HFCNSKFNHSVDRSSDQSNVKILLLRRGGCTFGEKTIQAQLLGYQIVLMQDNVDENINKL GIPRDHLQ >CAK69580 pep:novel supercontig:GCA_000165425.1:CT868070:69044:70093:1 gene:GSPATT00038020001 transcript:CAK69580 MISKKQGDLIKKFMDANDTSKDNVQIVVKFPDIPKTDVVSYNYFFEVMGKQEYLFLQQFY PFHLEMKNHLEFKPYYSILTCYSCEMHNYSIQYNDCLSGGRYCQFFLNGVVPIDGSDSVR ETIRQLCIFKQDKSKWWEYVYKFGNQCLSNAYLPSCSFDIMAKVGIDAEEVKACYVKSFI NGIEDQGENHLLNEQIDFKRKHLILWAPSLHINDIRYKGRLTFHNETNMIEKEDSSLFNT TFFGPLQTICRAFKDESLPDICKEKIGGLLKAKSGYRLLSQQQIENTTNSSTEAINIVIV FGMALIMICATLLYQKIMKKPAKEKSNGQDNVCSTQYSTINIQEKITQS >CAK69581 pep:novel supercontig:GCA_000165425.1:CT868070:70979:76667:-1 gene:GSPATT00038021001 transcript:CAK69581 MLNSNNEALLKQVNKNQTLLIQKLQDDIELLNEEIEASNQQIDTLKSQHHISLSQQQSII EDLRSECQNYQQQNMELQSKFTQSVLQNQLLQSKYDKKNKQYKDQIVELKQQIKLSHLKN QDYQRDILELRKSLDNNKLEICKLIDNSTLLNEQNKQLKANLDLQQVDYENKIEQYQNLI QDKDEEITLIINENGVLNRNLEQMEKSANLSESLHSQIDTLQKQNQNLLIQLSDLQNHNT LLRQQLQLQSSEIQVSSSSLQLQLQSAPQQQTQDAMNRNVQQEMVVLQKDSDIQALQQTT EVQNVQLTQSQSFNNDLQLSYQQETQNTEKDYNQNQINTLKQQIAKLTSDLKECELKHVQ VKQVQESTISKLNHDVRLLEQKNEDLILHNNKLINEIKQNELIQQKESTIICQKISEFEI QLLESHHQIEDLNQEKQKQERVVEKLNLQMQASKQIQSKLEEDITKYQNFLISHHKQLEA VTSNESSDSSDSSEETITEKPQQLNFDALCTKLTEQIRLVQLRLEIYEKQIKQYKQELHS KTVEIQKLFSENSDSNLKVQRLSQLQPKFDNQEAIIKQKDLTIENLSQQITKQQAQLHEN ENKLQQLQIDKETLNRKNVELSNQIQIHHTIKNDLQISSNKEDPIQHIELMSSEQTSTSI FDQTFSNINYQILLFTEENTTQIKSILSNKIDNLENNLLRTINKYQKLQEIIQKNYHNIQ NLYEQSQQNLIQKENLYQIENQKQQMQRQTLLEEVHQQTILISQLENQQQILSLQLKNLN QQNQDQKQVITQLQQLDEKQKIDDLQMGSNQTKLEELQQRTINQEADLKKASEQNTQLEI QVKDLNNQVQSKLNTINELRQELDSLKNNQTGSQFDSIKLQQQQKQILELISNNTNLNQQ SQDAQLRLREMEALNLELQDRVNQLSKQGVSQKETINQLQQQNQMEVKQNLTFLQQMDPL QKQIDHLTRENRKLQQSNNDFEKAYGKLPTYGSPRKVQNQDQNQIKKLEDEILQIQYKFQ QEIQEKNIELNNIQKQYEQQLEEVRELKLDEISKLEQNCVILSNQLKQQQVVNNELLQNN SQIERDNSQLSEEIEKLKKQQKLQSQNQESLKQQDQINHECKYIELNQTIGNLQIIINDQ NLQLLNFKNHTLDLEEQIKHFEVQIQNLIKEKEELCQIKDSNKSDETFTKNQQNEELDSQ NQLMEQLKNEQFILDQVNQDKLKLQQQQIEQGQLIHQQQQVIECQQVEIKRLTLIESGSV EQKKESDQNISKLQSNQAEQLLPVQQEEFQVELKQKQKQIEAKDIEIHELKQKLYQNLQL NNELEQQLKDKQAISIALENEIKQLQNVNQTQLQKITELNQDFQRSFEQVEEQKQTLTNQ SEQNALLESKCNQMQQNVMKIQTQNNSLIQINQQLESTLEQQQHVNAQIIQKQEQSFQIQ LDKLNIQLEDQQNQLKLLQQENGEYKQEIEKIMNDQKQWEQNKLNELVQVKKESQGQIQK LMDQISRAEGLNVEHQNEILEMDNVIKKLIQEKDKNINNDMIEQLQIKIKMNEEQIGRLL MTNKALMEENAHLQEQIKDDLNSSFCSNASQNCATKNQENEVSLPSNPRLQRAYQQYSQI IEITHPLNVNQKYNSYFTLIPDQFIGTGIKKTNQYESRLSKEEWQQKRVEFWDSRIEGQK ENWATIRAALEADEGTCYSKLGTAKTLLQAADLKLIKNSMQIVFDNHGQKYDLPVFVMHN PVSFPQKQSFDQNLYSSFDRKIVKFKVRSTKWNIDKELSYNTGDKVELLIKELQSKEEPQ KMKLFVNGREMKGHNMFGNYGVQDNSVIIQSILFQVVQAFMF >CAK69582 pep:novel supercontig:GCA_000165425.1:CT868070:76682:77143:1 gene:GSPATT00038022001 transcript:CAK69582 MIQQQGQPEEVQKQDKKDDGRNASSHREEGRKGQSKRRDSSNESYSNQSSSFENKIGKRY YPHSEFKIHFYVRHVHMNGMSTNEFLSFIKRKGNVWQYLVNLAGSCDIVQIFNTQGSYCD VAIGMSNDDNAKISIHWQEALQFRKEWYDEINL >CAK69583 pep:novel supercontig:GCA_000165425.1:CT868070:77250:78255:1 gene:GSPATT00038023001 transcript:CAK69583 MQQLTIYFSNNQRAGVTAVSHREDTRVEVQARIGIRQGTESSPRGKDRKGDHHLLLASPE EPSKSKRSDNHQGVLLWSQIRDQLNVQPIKPQQVFTDKNSVYIFSIPQEVNENEVIQEIV THHKQNAPINHTWNTSDKMQYLELQFQDENTAQFLVNLRPCLQVKGIPLLVVAKKQRPVQ DLLRNYEVQVELDRDVPPFNVYLEFKKYGDLIGIYVFQQNRNYLLLYSSSSQLQEALRPP VHLQLVINDQAVNANAKIIDKPIDIQRPYIKETLAYQLSTQQDNNRKRKDKK >CAK69584 pep:novel supercontig:GCA_000165425.1:CT868070:79071:79373:-1 gene:GSPATT00038024001 transcript:CAK69584 MIVIPPLILRNQYEWQDTMIMAIHTLLMKFPFIFLLNILYVGLVLYYNISLYFRYKHHSL SSPMKEKWVNRFMGKFFPFIYYGFVVLLVRDCNNALPFLY >CAK69585 pep:novel supercontig:GCA_000165425.1:CT868070:79903:81216:1 gene:GSPATT00038025001 transcript:CAK69585 MKQQSNRKLLELRQSVKQSLFKSVKTERSQYPTETKRSKSITQWTQFTFFKQNQQSKITK FHDVYRMTKKIGTGAHGVVKICNKIQDQLKINYAVKKLSCKNDPELIRTIIQTFNINRTL NNHPKIIRSYDLYIDENEQVAYWVMEYCNYKSLQSYMYKSIPDVVIQQIMKQLAQTITEI HKKGICHRDLKPDNILVKLHGQIDIKIIDFGVSKKFMVKKKNDRIYHEMWTRTGSLLYQA PETFLGGGYDEKLDVWSVGVILYQLLCGKFPFICDSKLDTIEMITDPNLTINQNKELQLL QPLQIDLLKRLLNKNPEKRLTAEEFSLHPWINQVSTTKSISSDDLQINKGSEIITTSLNL DETQIRIDFSNHIHYTQKQFQELLIHIKDHVIEDSQSRKSSYQIISAHTSYEASPINQTD ETQQPNYKK >CAK69586 pep:novel supercontig:GCA_000165425.1:CT868070:82154:82858:-1 gene:GSPATT00038026001 transcript:CAK69586 MELREAFITFLNYIKNQQQVTQILKNTIDKIVTQLQSKATDSEIKQLIFQSTSHHQRVNS QPTKPPLVVKQNALIGLTAFNFKPQRKISEQQKVAIPQETRQLYSNLEIQINKQKQQEIQ SGKFEPKTLLLNDLMLCVRQFQYNTETLAQIYSHTSEIQTAYGIRVSQDIHGSGQINRKG SNKLFAGYNSKLMSDDQLVAIQNNFLMKKQIVKGQPQDYVNQSPLFMKKQIFLI >CAK69587 pep:novel supercontig:GCA_000165425.1:CT868070:82870:84130:1 gene:GSPATT00038027001 transcript:CAK69587 MKSVEQLFPQFEVVNILKQNQYKKSFKVKRSGYYYMLRIFALDGIPKERVQSIIKILSKL SNQKNPYIVKFYEASLDQDMTYLGIVSDYLDKQYDYPMQERAIWKVIQDISQALQLFHPK RVHGKLQLSNLFQSQTNSILGEMNILFYLHKQNYTDSYLLAPEFIRTQTYDYKADIWMMG YMLYQMMFKDPLLVANNVDALQKKVLKGIHITYNPNYSLNLNNLLKLMLCYDPELRPNIE QISNYCQQAQGSQEEINLNRILPKFKTDKLVLYKKRIEKRYKPIQNIVYLSQDHYKQPYF PPSKVKKQNQQSKSKQLTSPSDLQYAQQTMYSLQLPKVLNYQISRLNIKDNLDMLQTKTH IQSKSIENLIKIQKSIGQTTQRKTHNQLVLYNRIN >CAK69588 pep:novel supercontig:GCA_000165425.1:CT868070:84192:84905:1 gene:GSPATT00038028001 transcript:CAK69588 MKQRYLNENCHSNIQTHRSERSSSSNILQDLSNNSIMFQLTQAGQLLFTKKPIRGTRQAK PDVLSNDHDVQLQQNRQYKQKKIQQLKIQLQTLKQNYDKQATSQFNLHKTIDNTVKRLLE LKAQININHIEEPWQDIIKMEKDIFGNEDNIMKQMPIQNFNEVISGHQLTILELVSQQQN LQQQNYWIAQMKLLHETFIQKNLTIGQILKPKDVPDNLEQSIEISYEEFTQSFGKKW >CAK69589 pep:novel supercontig:GCA_000165425.1:CT868070:84939:86385:1 gene:GSPATT00038029001 transcript:CAK69589 MDSEFDEVMKIFRTNLPYEEVQALVTSHLEKWQIDYIKNVEAEEYKKAYKIAKKVCVILQ QNLKCLCLKIQNQLPNIKDIKDMIITLLDDYRQFSDAEISQMFDVKLKLKKNEDVLPFEL KRLQEFLEDHLFILISFVNNIGAIEILRKKTQIGLNYICRALSIRSYLMTKNLFIYQQVQ LQLNVCFALNQSNQPQQAIQLITVPMQILEALNQDSKTHDFLVKNRITFSLIEYSINNNQ KAMIPYPKEIPPFQIHYHLLLGYQFFAKVFELLGKTKSQQECQQYYNRMYDEYKRMNPKA FEQLKQKQELLAQLQQKSSRQSTQQKQEKQKTETIQVEKDEIESERLGEDNSIVFFDSWE DESFNVAMNLREEQDCLEIIIYSVEIGFTKKLYVSLLDLRGDYKDIQTYQNLFNQIQVID LERGQFDLVNQKWYETISGCMHRIAN >CAK69590 pep:novel supercontig:GCA_000165425.1:CT868070:86404:86868:1 gene:GSPATT00038030001 transcript:CAK69590 MFKNLPRHIVLDILQYLSIQDILRMRRVSRQLWMIIQAHYQMCLFQCGSQIDLSKYKVKG VMLQIVAKDSGVSKHKPMQNYLMKLCSGRIELSYYDSAYQKVGSDEIVYVVQKGKEKEII RNLEIVGVSPSSISVYPNSSSCKILSILCMILYV >CAK69591 pep:novel supercontig:GCA_000165425.1:CT868070:86982:88008:1 gene:GSPATT00038031001 transcript:CAK69591 MSINSSHISVQVGPFYEGEGAWSLGLKALINKQAATQQLEQLQKQTGGNLDTEWGFVLAL KTIDGKAQELQKFLNEKFNPILEEENIIMKTHSEGTALWLSFQLKPEEMEEIKEGFNMVF AQGLEEFAEKNENSIQMLLSSNTDFTFASELLKKGDRLMSVVMKSLKFVLKLDLAQDLAQ TIKNVLENLEPDAANEPPMSLFLKFKNFKVDLEFKSSDDCPEFIRQNGFFGKKIQKWIQD GDAAIQDEQGIAILKQIQENLPNMEIDFYFTIPNFLAVSGNAKLPGVAQFLLEYGNIVDK L >CAK69592 pep:novel supercontig:GCA_000165425.1:CT868070:88028:88519:1 gene:GSPATT00038032001 transcript:CAK69592 MFTLLFIPFVDALFSNFEYVYVTSQLEYSAYTFKGVITVIPQTNNILLWKCHDLEEAIPN ISFTLLENGTILKLNDFNLQYEYEVKPNPQFIFTYQDSTQGNLVIDYITYKNPEYALQLK EIVDRVIIDEYTVDWDTVHYNLNNLQDNLVQFCKKHQDYKTEL >CAK69593 pep:novel supercontig:GCA_000165425.1:CT868070:88519:89704:-1 gene:GSPATT00038033001 transcript:CAK69593 MKVIAVTLVLLLAGKALAGDLTNRYPIIGKSKPTLVSILTELESKLSSGGSIDTAVNFLS NLRASIDSEQIRHDQLYTDQRNQCNAELELRTKDVKDAEQVLNRATEQYENCSTSKKKAD AELDNNLDSQKATDTDIKILDSIRQAGATNYNAKKQDHIDALRSIQESLKILDSFQSGGA SLAQMSEISLRMIQDAVKLKTTNIMSQISSIFAQMLTQNGGYIEVFERLKQLLQNLEQNL YTNLQKISEEEDQSIQEYDDRRVHLVEYYNNLKRTENKLRDHITTMDICQDQQSAIIDSA RGKKVRNGEIFDSATRMCNDFASEYEKATQVRKQELELVDKVKAKIIERGS >CAK69594 pep:novel supercontig:GCA_000165425.1:CT868070:89830:91203:-1 gene:GSPATT00038034001 transcript:CAK69594 MQQFKDTDNNSENLNEEDKQCNKQYKTSSSINQHVPQFPPKKAPSFSMEAPQILPLLLQF RCAQGNNKRELECQLIKRLVNVKKNQEPVCYRLNETWALKYTNYLYENSNTLPGPIDNTP LLQIKEPIRNRDYFVLTDTVWRFLLEEYGGGPEILEDKVPPSPVSAISSTTERAKSADIS MMSSASQQELPSEIPIKGLKNELFFCYMHSILQCLMCIQELNHFILNSINRHNGQKMLYC QCYKEMLLQIRDAQSDYIKINNLRTMISKKFNPKFQHDAQEFLLYLIMMIEDEIIEVNKE YEKKQQPKLENVVRKYLKGQIVSELICKKCKHKSVITEEFLTLSLALTKVSTVNQSLEEF LKDELIQDYKCDNCHKKNIAIKKTRITNLPRYLILHLKRFKFFPKQTKITQQIKFSIESN FCNTEYKLIGVIVHSGSLEQGHYYSFGKKITKMVVVQ >CAK69595 pep:novel supercontig:GCA_000165425.1:CT868070:92501:94032:-1 gene:GSPATT00038035001 transcript:CAK69595 MLFLKIFDSVLPTRDVIDACSRTKVDDNIENKEIINFTQQPDLSMDQFMKSEYNSKFVSI QEMSVGLKIVDPVNRLILVFQFWNNSILMDWNNFLQECNAGHLGNLFFIIENGESKFYIY GSAEDLYVIYKFCSGKYIFRKGTSNKTLQIIKERHDYQLKSIFDSSKLKQYYEHVILGQM NDSLDISFYSQATLDGEKVLPQEIQIIKDLHINSTPHLIYVDALYHDGENYSYIYSKKDL IKLSQYYNHTQLDNQCFISHVSNQLVLLLLTLDEKGVIHPSLDLKNLYINPNTQDIVLVS YYQAYYQQNNEERRICVNIGYSPPEYFKINYKLTTKSNVYQAGISLFQLYYVQFSIRLLG HNPFGKTQQEMSINHTNNKLDLTRLNIFDSVLCDFIRQLLEQDPHKRPSPQELLKHKLFS ITYKENLSKWTMTKEYHKDIQEFQNFSQTSNIQSVKKSLQCKKRL >CAK69596 pep:novel supercontig:GCA_000165425.1:CT868070:95799:96815:-1 gene:GSPATT00038036001 transcript:CAK69596 MDWTGLLAWSIDQKKGEKFDTSIKPMDEETKKWLTEALQSYSVDEFQMIKDLLDKIAKPE QENEEEQRLEWFDQLMELLDALDRANDFCKIGGLNLMFNYYQTTKFDSIKLQTLKIIANC NQNNAFVQEYCGQHNYLKIVQEIEKIVNLKVKEHLISAISSMIRGECLNNKRKFIDMNGI QILLNHLDSNRCIEKIANMFRDLLYYDDELHKIYHDLSKFSNTAGQTLKQQDKKNYNLTT DINDELLKCNELPENTKYKGIVKQILVSLNFFGYAKKFIFDTSIKTSDLRIQYLSCSVIL LKVQNDQEFKQFIQTHLDHLSKEQDQDEGEISLCKQIL >CAK69597 pep:novel supercontig:GCA_000165425.1:CT868070:96993:98733:-1 gene:GSPATT00038037001 transcript:CAK69597 MSKNDKNQKLTAYESYKKIESEIKFQTNLLEKSNPTIRCPIHQYNYSKTCRQCKKIVVEE VPTYQEKSINMDKYHYDVGDRGDNIIPIRGENAISNMNSLVRQNILTCPYYKELLQIRDI NDIVTETDKIVTSVGTWAGPGVPSSFFCILHKLMSMNLNVKQLQILCDWKLNPYVRCLGL LYLRYSLDPNFLWGWMKRYILDEQEFKPSKDENITIGDFCERLLTDLNYYNTRLPRIPQQ IDTIIQAKILLSQEKRQRRNINQRIMALLQPGVSVRAISQKDDEWHVGKIESVDGTYLNI QFEEVMERVSLGEIEFIPKNDEQRLYIAQMITEGMQEGQIQLPQEVIQQKSPSRSKSKKK KRSTSSSSKSSSSRSKSRRKSHKKKKNKHKSQKEHKRKKDKKKDKEKEKEKEKQKKEKQK EKERQRSNSPELGQYETNKERQERLEKEIRRKQMDKAVAHSKQDVAKIPASYKTSLAAAL PTSTKPVRQSSPDPIKKKEQFIELGNSDVNNPKLEKESKTDVIFSYHLQQNNPFLKELIK KYGDDDV >CAK69598 pep:novel supercontig:GCA_000165425.1:CT868070:98745:99636:-1 gene:GSPATT00038038001 transcript:CAK69598 MIFNGNQLANSILQTLRVTSKIKPKLGICLVGDSPASKSYVNRKLKACQEINVDAEVFNF SENVEQEQLIKHIQQMNHDGILVQLPLPPHLNKLDIIHSIPYEKDVDCLHMHNLQRILVY GELCEMLPCTPAAVLQILDYYDVNVIGKRVTMIGRSFLVGMPLSLLLQKRNATVTVCHRE TLNLDQHIMNADIVISAAGHPKLVRNVKQGAIVIDVGISQGEKKGKIVGDVDFDVVKNQA SLITPVPGGVGPMTVCMLMRNLCNIWKKKQGQPVYINPKLSDAYLND >CAK69599 pep:novel supercontig:GCA_000165425.1:CT868070:99659:100171:1 gene:GSPATT00038039001 transcript:CAK69599 MEKSRKVSFFQKYFCCGTQSDIQEPKTATNTYIKTTTISEYLNSNEKDPSLYSLYFVDGC YQDKVRKIKTEHPLKDLLISETLTEITHLSCPICFRYFNYILTSCCCINQICHICALEFQ RSKCHFCLNEICTYNDADSTTQKIYTDSQGMQLIALKLQKH >CAK69600 pep:novel supercontig:GCA_000165425.1:CT868070:100216:100722:1 gene:GSPATT00038040001 transcript:CAK69600 MLFEYPQQPAFIQKYELIKHFFVQLQQVRQCEQGSNPSSKVDRQQEFDKQNSKAQITEES LKYDDSTTSRQVPKQRRAVYITKKSEQRKSKEIVTLPYRPSDIMMIEQQKEKIDQFCQDN KILYENKKECMYIIKRVAKMIKKLKQKTCQDHLNSIEHFIIEIKNQGC >CAK69601 pep:novel supercontig:GCA_000165425.1:CT868070:100775:101768:1 gene:GSPATT00038041001 transcript:CAK69601 MMKVIEEHTIQEEQQWQEIQAQQIELETQNLFLKKLLSVNFSDEQIKQLEQQQNDVELQF FDNFCISRKDLDKQAILEYEKMLQNRKSQIEQQYVDWNMAQINSHYQNQQPMHQPLQDLY AEEKEMMMKKQSNQLMSQEDKQRIMEDLMKPINSFQLDILSGSSSNSSLQKKQQSDQSQQ QPKKLAIDIDTKESIPQSIVNDTQAENKLSSKEQLLSSLNQGWEKLIQKTSNQKRYQDYD EEGDQVITYNPKNHMQDIEFKNRFTNSQTIMYEGDDYMEDDDEDDVQVYQNIFNQQSKQL QKPTLTKTQKNQELQYQ >CAK69602 pep:novel supercontig:GCA_000165425.1:CT868070:101773:102907:-1 gene:GSPATT00038042001 transcript:CAK69602 MKGIIAIILALAVVSNATSTKDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWVGAQTIEQFSKIKALNQKLFQQAVENRAEYENVLQQTKNHLAWNEARRDSIA AKIETLQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIINGDSFEFTQVQAQSVAE KLKQYSNLFQEHQIKTFMALAQEGQASESSGHGQTLAERVLGVLEGLESELSASLENLKQ NEINASWELAGWVSLSEAEIASLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQD ALDQAQSDLESKRADYAEAKAKRQEENAILDEVIIMFKKQVASWSGR >CAK69603 pep:novel supercontig:GCA_000165425.1:CT868070:102935:103460:-1 gene:GSPATT00038043001 transcript:CAK69603 MFKFSNKRMEYEEQMLEENSDALPPNVQYKRIDRYNYVLQIRGEKGSVHDDVSLNGFPVE APQVNFRNSFQHVNIFPMGRLCLYLVNKETWISSTSLIDVFSGINQVIHYPTFNDPANSI YKDPEVYDKDMKEQAKKFRDEKYKII >CAK69604 pep:novel supercontig:GCA_000165425.1:CT868070:103482:104397:1 gene:GSPATT00038044001 transcript:CAK69604 MLTRSINTLRLGLKNLYQLNHIKNFHNATVAHKAQVMNDESSYVVKTDRIYKPTYTIEFD RIGEVLLYSCDPHKHLTIFLKYPYVLYETAIPLSVYLWFKNPLDIAWYWNNLFIYAPSFL WIPRMWYWRSLQYKIQRLSLLRGGKILKIETNTLANDKNTYWVETYQFHPLTADFTQFDD RDNADYLTEEGQLKYELACQLDHIQELGTTVQDEVIYFMKEGIVHHPELFEAATKGYVVD TSNFVINTAHNIRAFEGHHNQ >CAK69605 pep:novel supercontig:GCA_000165425.1:CT868070:104402:106607:-1 gene:GSPATT00038045001 transcript:CAK69605 MIESNALRFEAQMQSSQGFKNEKPTNEQIEELMDHLDQEHDSEDEGMEDYKIGGYHPVHI GEVLLNRYVVIQKLGWGHFSTVWLAKDFKYETYVALKIQKSASHYLEAAYDEVEILQKVA QNVQNPVWIQSLKEYYADQGRTSFNRDDTHTVQLLNSFVYKGPYGHHFCMVFEILGVNLL EIIKRFEYKGCPMDIARRMAKQILIGLDYLHRICGVIHTDLKPENVLLCLSDEEIKDIVE NGQLTSNQLFSDRIHIYRQMLGIVEDKPVVEEKKVVQKQEEDDVDSQSTNLTKTQKRKLL RKKKQQQQQETQQNEFIIGRDEQTLQERPKSIKELFQQQNKISFTQQKKLPDNFRLKIAD LGNACWIHHHFSTLIQTRQYRSPEVLLGVKYNPTADIWSFACMIFEMLTGDYLFEPRQGP NFSKNEDHLAQIQELLGKFPYEYCTRGAKAKRYFTSNGQMKRIPQLHFWSLFNVLTEKYR FKQEEALSFASFMMPMLHQLPEYRTTAQEVLQRELCSKNLDDLQRRAQLKKYIDQIFAFQ NFRNNIPNSGWLQSKTDSQIGHKMNEDEFKKYNKQKKANEEVEQFDQNIEGIQPTLLSNY RRASTRSYDGSNSQTPQQDKAVRKEGKYVDHRVIDRSFTDLGYIGYGDGIDLEQLDSTGN WQFS >CAK69606 pep:novel supercontig:GCA_000165425.1:CT868070:106904:107627:1 gene:GSPATT00038046001 transcript:CAK69606 MTNYFEYICQIHEQIEVIITLILIFFIKFQHYPQFQLYIVEYLINHLFFLSSIRTHSLRR QRNLIKQFISKKLSYSTLYYSFNNLPTKHPQELDPPFRKSQYIITLQYKSFDDFAQNTSK FIELPQRLCVNIIEMVKQFILLLSSSLIRIQKLHYFSNQSQTQSFFNDSARIQFSVDKTI NIRV >CAK69607 pep:novel supercontig:GCA_000165425.1:CT868070:109879:110669:1 gene:GSPATT00038047001 transcript:CAK69607 MSKQEGVYEQQKQVRKYQKINKEERRVVLELLIKDKLSLQEVSNLQKISTSPPNIYDILN IIQYRQQINQNQNTVQFAQFKKHTKKMVKKLKVQNILKISIVNPFTFQLSHPIVSSEVSQ IYMDKQPTDEDQVMLAKEQHCLLQSQCQKLYLILTQQFQKAVENSNPLYKTTLQNLLQAS QLVIRQLLEVKQQINVKQEHEPPSPNQTQYPFPIQHNLFQSQFGFVLPRINT >CAK69608 pep:novel supercontig:GCA_000165425.1:CT868070:112472:112921:1 gene:GSPATT00038048001 transcript:CAK69608 MHTFAGFANYLYYLLLNFIVITLLGLWMNEYSLTEFLIEALMYVWGRKNEQRPLLFLFFI QIQAQYMVWFLLFLNLISGKSIQSNLVGVMIGHTFYYFAFIVPNLPRFKGLQLLSTPKFL INICKYFERQNQLQ >CAK69609 pep:novel supercontig:GCA_000165425.1:CT868070:112960:114505:1 gene:GSPATT00038049001 transcript:CAK69609 MKNIKFSQDYTTDSLGISRTTLSKTQISLNKALNLKELRQPQTDRTESNHQTPLAHLPEL KMKQTKSQRKIGQRLTKKCTRQSDFFNVYKAPETLQTNSDTLKKQSRIYKMTQYIIQQYN EDLKAIRDSQDYDEATADLKVSRYLTEAWLSIEQLIQNLTGLLAYKFNRQECEQQMKEIL LDCFKQKNICVHQRILYIFGKIEQLFYNPIQGAIYFKICKRLSDTDAHYSNKMKAYRGLG ECLLRIYPKLSQLYFTKYLMAAWKLKQKNDELLAYELLGKYYFYVGQIEKAKLFHERMIN GNCEDENSRVRLLALSRLEQGSLSNKINKDHLIVDIEQVSSDDECYEIVFPQKSDNIQQK YYVEKYFQKKIPDIKIIKDEANLNIRQTERKYNSQFLVNENFEPFRVIVSNPHQSIGSIK DKVLMSHMTPNRKLEMYQYIALASDKTGFNNSPRYEGLYNKYEGIKFNKIINRTIEQFNN IQQWIVSQEKTPTLPQPPIRRKGYFLV >CAK69610 pep:novel supercontig:GCA_000165425.1:CT868070:114716:115559:-1 gene:GSPATT00038050001 transcript:CAK69610 MDQPKNKIILTGDQPQKLISLQERVKVEVPKLVREDLVQKYSNEDHLIRLLIAREWKVND SFDQWKRWVEWRKQYRADDIKIEEIQQEINLNKAFWNGSDKLGNPCLVVKAKRHFPGQSN PETLIRFFLYMIDQGIQKADQAGTGKISVIWDREGVTSKNFDSSMFTIMKKMVTLVQDNY AERLHQLFILYPNFLVKSIMTVVRPFLSEKTKSKIALCNEIKDLKVYFPENYQISDGQIG ENVEKPQDEDTEEERKKIEQMIAEQQ >CAK69611 pep:novel supercontig:GCA_000165425.1:CT868070:115642:116979:-1 gene:GSPATT00038051001 transcript:CAK69611 MIPSKIIKRQEPLISTTIQDASQVKKVQSNLMLVSKASSLPKQFQKNEKLKTSGNKIESI KHSKNNSMNHLSTTENTKIIDSIQNISSIYVTSKSEEIQLKNEIQLKTQIIADLNKNISQ LQFQNANQQILISQLEKDVQQLNQTIEKMCEQLSNSNSDYNNELHELKNQNVKNDKLIMF YKSENQQLLSIIKQGSYTKTKVDKENQPNAPQTNQQDQINCLKDQIDLQKKQFQQTENSL QKQISELNQFVNELFYQQSTERVKTNISNRVDKDIRNRKLSLQNEVMDSLQNFDQQQRLD HQFDNIQCNSNQLNEKQLKTAYSDNLNTKEITKLKQVVQIQKKQIESQQKTILNQHFEIQ NLQTLLYKIDQDSVPKQSKKKEVQEEIITNEFTNSPKDDIYHLNDSQSEIKPKYYMDSIQ NTFQKFQVITEESTFRS >CAK69612 pep:novel supercontig:GCA_000165425.1:CT868070:117224:124426:1 gene:GSPATT00038052001 transcript:CAK69612 MDNEENEQQDISVGVLQIMHLFAIYTIIYQFQFLFYLQFITNQIRKILDSDPKSQQFQEI YNQACDICAVGFESRFQQQERGMTIISCYGCQLSCHLYCYGISTEIELNPNSNNQGYFAC DKCKYSQKQDQICVVCNQKGGLKKRINNTNEFVHPLCGLLSKQLELTSFMEMKFKKPNNI IQVAKSICSYCKSQGATIKCQQSGCNTYAHIYCIVNYIANYGGYNLTYSENLQRSTGWEI QFNYKQLFCPGNLVQAGNDKTQLKIKSQSIFQQFMEIQKVDKQINLDQLYDMYQQQEKIE EEKEQLMEFFCSPHQNQQIYCCCQQQLANDSEEMVQCETCMEWYHDKCLRQYQDDYEEQK NKDHYFCPFCNQWSNNRFDHILKYVPVNNLKSLLPSFLKMTFNTLIILGIYCERSLTTQI SSYSESQYTIIETILSNLPFYQPLQQQLSQIKQKSNVTDFMNFLFKSQIAYKDDNQWFIL SQLNESNQPIQQNIIYNNELKDKLNSVINLFKDFDECKFYIELLDKLNNLQLAFQIITSG TKITKNTIQNQFKSNEFQEYIFLQQQVKEYKRVKKRFISKLQEAEDKFCDFEQIMNEEVQ EQDSSEEEDDVEDELEDYEKLQSYLGFKIFQIKNYQQFYNLTSETRIKLNQIKLSKEFVE KTIQELNSLLITVPWVEILKQELQEGYLIVQQLENAKSSDEIQLLLNKLEELPFHYKDFP EFYEFISKYQLYQRYMQDLELQEKDEDDSEIQVTKDIQTKITCDHLQMLKQLSIEIKAPE KDKQVLEQVEKQLNEFRQRLRGMIEQKSLNEQMRKKFQSELQINKIYDVPEIYELQKRLE QFQEVEKIRMAKSQTLQQLEHALEKSKEFNFDEKIINQFDQDITKCLLKKKEIKALLENE IFETEQLDQAKQLLQDISQSKVIYEEKQLLQSLNKACQFIQQLYEFYQKYKSPDQEMEIE DDKNPDLQFKINQVCNPFQILFKDDNETIILELENILSKQSIILDKRINTVLNNYQHFLW EKQTKLLLSFCESKQEMQIQLIEAVLSKSFTLDENEQAKLIDFSEMFYNQQKIVISLSTQ IGNYLFQVPLPQELEGWFYLYGQVTLNIKGGIWDQMKIYNVWVTIVKKYFEITTTPEFTY ESLKLLQDVIVMSHIPKQALICANLVQKIACFNALVDKMKDYNIRKQIFLEKKKSKQDLQ KFKQCFTIAEARLLDQEIKTCNIAHDFISKDFYQDFQNLHQIQEEFSNCSSEDISALTTL YQRLIQSFIQDQQLMEDLKINIYLLKLKEILKGNKLVELYKAKKQYNSLMQLLQSRNLQI PNFIIELNTLLNIAERIQNIANKIKNNQPYTFEELQQSIQDIDKIQNINIERSQDVEIRY HECLQIQNEIQEIVQSQIKTKEVVVQNILEKLQNLPLPEEKQLVQSWLNSFEQLKQSYST LRQTISKQKTNPNSKELTDLMNNFQKKYKDIMIIYSDADHFIREYQEFNKKLEYIENNLQ TEQSFNDFHDLLSIFRWGDQYERVKIAIWIKQVNQFKENPQQKWGFASFRNMLNQGYDII DQALMNNQKLSIEKMKVPLTYLESIMTKLIDSVASGSYLDPSGEGKVDAFQLQIELQHIT QHDKLERLRELQPKKPFEDINSYFDKKQKQQYMRKKNQQREEKEEQNNQVDSIKKVKKQQ TNETVTQQLRDAKKKELLQVIWRNPTLSNLKNQEFYQGKIKIFENQEFGTFNTNKSMYLE RMAILIQTFKELQQLPNFSKRIVEKGFNIEQVNYAVRKYETKALLQIEEKLMQRPNPSSS QQQDYNPLAPNKNKIVAIQPVNQKNAQPVVKQVQSNQTQSKLTKEDVLNQILNDSYPNNK LQQQQLQQQQQIQSQSKSLQLQQQQPQTFYKQQQLQPQIIQLQQKSLQQQQQPPQQQQQL QSSIFDNTYSDQIKQTFQYQSQQSLQSSQSQLQQQSSKSLLSPSRSISKGVNESISSDLP ELEVLKMENPNKPILYNPDDDEPDQKVIVQKIEVTPLNFGDKILKIGKRYRFNIKDQQVS CEFYTNSLDNKLKEFPKFSSEFKHQKFQQQDTTLQQMERLANKNMQIILAGWVVPEKYTE FGDILKFSEMLRKDQSFISFPLSDSFYTVVYLMHYDQLQNSQLFANFKLTEFVSESHYLK RDLGIIKFWGNLIPKLCFVITIKQQTAAMMLSSFNPLQYDTAKNVFKSKEFCKWVEGKIS EEKASKKLQQSQQLLQQQQQQQQQQQQQQQQQQQQQQKQQSQQQQSQQQQQIQTELEPVT SEEEDNNQINSQNGQSHLFGNPNSEENGYEQLLALLQSDKQIMGELFKNNNIG >CAK69613 pep:novel supercontig:GCA_000165425.1:CT868070:124480:126976:1 gene:GSPATT00038053001 transcript:CAK69613 MCVGDILGMCLGPIATCTKYLCGALGLGLVFTAGAVGIDLTADWPIILIILASILALIWC IMAYFGGLQEREDEPQHLAKQFELICETQEIVRQYEVRHNYASELADGCIQISNTIIGMF FTQLIYALILLILFLLATIWFIPVGTKAGFIVGLFFGFVSITIIAWTAYTFAGRSICKVI TTAIQNKWELYDFMYTVGFGVVLMCIASIYFIFLILFFLFRSLQGLSNDNKPQDKLQLMG VCCCGYALGIIVCAFIYRESTSILGRSIFNAIDGLVKCDRNLSFNNPYISSPTKLLYVIS NIISTQVVNLLDSAICIAVLTVGGFLIFSNSEEVAKENITWKSLLAPTLYFCVNVFCAVI VQTLKSMIWDNEVVRFTKLNIRQQVLITHLMTLLIFFFMPMVTILKQFSLKGVEAPATLA KEDITIRKITYCCILGQLSNLVLLTIGEWMTSHGCQPVRNLALSSSERILTQSYNYATFL SASGQIIIVLTLGIIATAAHALAGYAGILAAAAGFLINVLIIVPLYYIGSISQDGAKLCV ASHVTQVVSERFTKMAWAARNYMIYLKVTNAGSAILLALTFLGGALYLFKVDNNPLIDFN GIFGILFGIGLAFLVKGMTVGSVISISRIFDLNIYESGIAAEIAKRNTITLNRYFDSKHL LAIIWVFIITITDTILLFIFAYFFGKRGTPGFLLGHTIIILFLMCYSIINGTVMKQSRYY NEVDDKLSKRGKIYQSCVQGDMLATVVEESTAIPLIVYLLYNTILVTCAYPFFGGEGDLF VEKATETKFF >CAK69614 pep:novel supercontig:GCA_000165425.1:CT868070:129059:129711:1 gene:GSPATT00038055001 transcript:CAK69614 MLQKALKAFSRVSAKIDYTKDYYKILKLPPQADQSQIRIHYYQLAKKYHPDSQTPNSEKY QNVQEAFRILSDLEYRQKYDQKRVVNSDTARQTDQKEKNDNNTHVGYFDQMSQQQFEKKD DDIWKLKITVKQGQIQHNYYFSETELKEKSINCSEFEKVTSDLITNLKLNQASIEEYKNS SFSSTSVLKKVAEIAFLIMAIFVSKKK >CAK69615 pep:novel supercontig:GCA_000165425.1:CT868070:131060:131581:1 gene:GSPATT00038056001 transcript:CAK69615 MLAYLTCVKGQCNEITDQRQCNENEQCEWDYWSPSKCQNICNQLKNQNECNFAANCKWVA SSSSCFIRKCTEELQQDRCCQIVACSWRNNQCWDSSCVTLQASEAGKCANSYCIWDQKRK LCYDKKCSDYSNQYDCDQISFCSWFKYKCIDDGNNKIDYQNGEEQC >CAK69616 pep:novel supercontig:GCA_000165425.1:CT868070:132207:132794:-1 gene:GSPATT00038057001 transcript:CAK69616 MRQTILIISTLHFINLMLRILKCLNLIIRPFLGESRITFQFYFQLSNLSFFQLQQEQAWN TQIQLQMKSNPFISSDHLLELQFILKRSLILHYFMKQLKSLIFQQMIILTHIQPILYYSG KEPKIQLQVSPKIIKLTFQQIILSQLPFIIRIELQFNISILNYRILILKSSLFRGNKPKS INIAILFQFSNIYVN >CAK69617 pep:novel supercontig:GCA_000165425.1:CT868070:133039:135260:1 gene:GSPATT00038058001 transcript:CAK69617 MIIYLKECIVFLILSFHATANWRLMDYSFTDTVIVDNNWKMFKDYSIGWQISFNTNICTQ NPQTYVSLKRNQQFIKKSFNYKGFAVQVVFDVFFIQEDNSNSYFELNTQLHLLPQQFLVE IIRNLIWNKIVKSFVILLLTNLNFLQQQVQFLIPTLKTNFQQVLTSILIQCPWKQELETC QFMQILVIQLAQVVMVLQKQIVQRVSMVKGYQAGNANVFLNNNLLKLLQVVVRNVIEIIR LLGMIKFVCKTTELNHIQHYLKMVSSLYQIIIGILLLFLKQTYFILRTLIQFIKGCLAID FIGKLQFNEGMFYQMNLENSVKFLRIRLTFSLSNFQDSSKIEILIDNQLQSRIIKTATNF QYVNLNTIFQDSSICGSSATLLRIEMIFKVFNSHPTIKIQGQLQQASEFWGFKNVTIDTG LCQQNCKICQTFSKCAQCETGYQLYRNQCIDKCPIHSDNCVDYEDRIPYSRYLAKGFYNL NMTLEEIESFYDTFPDPTHNIATTQKFSFLNNKIVLGGLLVWNNGQYTKEWSISQPHHGV QIYFNLTYGDNYSGFFYYKVGTTSSTQKGPFINLGGGSNLIGQVTSESTRYFNINLNDFS YDRLYIQLLCQTIAPNINMGFCAISDYFIVVNYCPPFCQTCTSSSGCTQWQAGYSGSNCQ SNQYINFDQQTETYSCKDCDEVCRTCLSSEDCQQCISDEFQLINGICLCKPIQIQIWTTC L >CAK69618 pep:novel supercontig:GCA_000165425.1:CT868070:135499:138521:1 gene:GSPATT00038059001 transcript:CAK69618 MNSVMMVMIMPLMDVIIVKYNVMIIVQNVIKGFVQNVMKLMAGIQQVLIVNLYVEMELLL RDKNFVMIQISILSTYAIIYCMQCQNGDCLKCEIGFEQDSYTRQCIQICGDNLIVENEQC EDQMIPSYITYCENCQFKCHPNCIVCKFGKCQECQIGYMLIHNECGEICGDGLIIGNEIC DLFIQDVNSNCYNCNYNCLSGCLVCNQGICQICQDGYSLDEFQCKPICGDELIVELELCD DGNQIPYDGCDSCNFSCEVTCQQCEFGKCTYTDDDDDPTIDCTPDCKICAQQNICSGCED NFQLINNQCVPICGDGIIIEGLEECDDGNNLPDDGCYQCQFQCSNGCVDCQQSQCKKCDD SQYTLDIQTAKCLEKIQNQTDTNVDPSILEQQQQTVLRCGENQLLIDSKCVNQCGNGILI NQYEECDDGNSFGGDGCSSLCNIENSYKCVNQEGQLSSCTFNRSPEFVLNILSDKTNSTQ MLELIFTQEVKLQTELRFDELAFFTIATQTQYLLTISFIQNISTQLSNPKYQISIQFLEP IIDPILQVEVERSIIQNQFEQDLQDYQKSIILGTPFVLPETAKKQLTSIVQINDVMMYSM VSVSSLALLTGNAIMFFNLLDLLQSLSYIKFMQYQFPPHLKEFLNTYTKVSLQPIMNYFQ VDQLLAKLNGGTLPYQVSNKSQQKSTANALNQFYLLNAKSCYFSVLASILTYLIYCIIVS ESVQEFLFKFYNERDPNSKILKLIDFFQQKIQKKCLQLKFEYFSLGIFKLYQAILHQLIF STLLQFPNYQFNSAFEIFNSINAIVGLLFVSFVTFNLFTITSAQIKDQRKWKYFYFESKT SFWQVHCKSFQIYRVLFYIMIIVQLMNYPEAQSILLSMLSFFYLIYLIKFKPLQSQFELL KLIFRELLVMLITGTFLIYSFDFSQDNYMLFGWIHIGMFCSILASNLFIDIYVQIQKIYD NYLQKKIKDQNEQERKYYYNQLQSFILNDRDFNPQNKCNR >CAK74898 pep:novel supercontig:GCA_000165425.1:CT868204:754:1278:-1 gene:GSPATT00039059001 transcript:CAK74898 MDDVSILNHHVLLYKSQIDNMKAYYSEKIKELFQLVQQGIQAMQEEGRNSQWEEHKQNMD SSAESSKFREVFEQLRILKEKNLKVYQIVEKTISNAESVQSQQGILKRLSSFLKSGIKKE LKEIEEKEKVLALLQINLKQTDKKFSLMPINAFQNGLKSFVVEYDCESFLKLFF >CAK81365 pep:novel supercontig:GCA_000165425.1:CT868400:1981:2304:-1 gene:GSPATT00039449001 transcript:CAK81365 MKSHKSQIFPRMILFNGYCQNSNDLHMIDVKFSFNKETYLVMKYKRTIALKISLFLHNKR DKFVQYKGINLDLKRKIIVFFEINKPNLQLNKSFKNEYRGLVWINKI >CAK76736 pep:novel supercontig:GCA_000165425.1:CT868243:3:3180:-1 gene:GSPATT00039151001 transcript:CAK76736 MICQIGFVLMFVQAMHTLHLAINLLIQIIYQQHLVPLHAYIVNTNIRTNYGTYCKQKTNY NLCDKCTAVYKTCFDSYSTTCYECNPGSYLYNTTCSTECPVDIPYKDTINNMCVVTCTSY QQDGYCVESCSDNYYIYDADKQCYQFGCPEGTYNQVTTFYCYGCSLGCATCTDGIINSCI TCIQGYFLQGVSTCTDVCNVNPDIVQDWTNGRCAKSCPTGTYMQTLPSGNLACRNTCPGY YYSNICVPACPAQTFPDGIVCTSYAGPCSVCYGEQVNQCTQCDSGYYLADTTFVEVCPDT KPYANLANQTCVSTCPDYLYLAKKICFSPCPTFLSIYKLNGKKECVDSCYSKSYISSGIC YSCDSNCKECYGPINGNCLQCESPNYLYQQKCSPTCPSQLYTDLTDRTCKQSCPSITVIQ GQYCKSNCDVNNFLYGQLCVATCPTYTYKSTSEKKCLLCNSLCRSCNGPLVSQCYSCIEN YLLNGNTCTKTCPDLYDYEAQKCVSNCGTKYELTDFKSCVKTCPTGYLKCIKKCLITPPD GYYSNGDSCIQCTSKCSKCTSQNVCQACIKNYYLTLQTCSNTCINKYLYMDSTTQTCVTK CPPQLYHQESYDKRSCVEDCVIGYKFNDQCVSSCPKGMYIKNKFCTNCPQACEECTSATN CTACVQDYFLENGLCQLSCLIGKTDYKNHACVSQCDPPLFEYQNQCLENCPTNPVFYYHS NICMDTCPNNTFQNNQECLDCDVSCSSCIGPSNNDCLVCEETYYLHDQQCTLTCPYLYND VDRSCVISCPRNLLLDGNKCVLICSLYMYSNTCLSSCPLGTYDSNFICYDCSQNCLECDS FGCNKCANGSFLNDGSCSNYCPYYYNVVLNKCEQLCPEGTFLHIDQCSASCPANTYIYLQ TCIADCPFKTILIDSICYQCPERCLVCKNQYECLNCEEPYYQYKGECVVACPTALPYQNK IYYACQSECSPNTYERGYDCVKECDLIIYQNKCLKQCPYGYYGSIICKPCKLECKACNDF NICTECSENFYLERNQCDTQCTRIKDLKQKNAL >CAK76737 pep:novel supercontig:GCA_000165425.1:CT868243:3234:6388:-1 gene:GSPATT00039152001 transcript:CAK76737 MFFLIKTILLLLPLLNQAERLKLQGAKPLYMNCMQINERDNGFVGSQYFYESLSQSENLV NLDKITYTLWINIYQKPKLTGRQILFAFVDGNTNNPYLNLMLYYQSSAENYNLTLSNSKY TSDILNLTRYTDLQINSWYHIVVSIDQSTSNTFINLKFFSTYNWKMNSIQDTLVNQKLKY NFGVHSRITNEQLYKSSNDYKACVNIANFYYINGWTTMDSDIYLDYDLELKFFLKPYQLK GLNVSDQYMNVNLRKQSNPIFYSDSIGLLLFKNTQIVYTFMEDLVSLTMMFWIKPQNIVS LFQFISLTDDVLKQVSLGFGVNFDYKLQFHQNYGNSALGLLTDSTWAHVTAGVLELSYDA NFIPTNQKKLLTVYIDDNQVQQKTINNVIAFKRLILGPTATGNFGAEMIDIQDIRIFKGY GIQTGRGDCLLFVGAFCAFCQPNTHYCKEQDPNDDIIIYNCTAGFKETQSGCFPIAIQNC LRQQGSGCINCADNYQLQNGQCQQINPLFSPYNCSDSNAIFCKRNITNPINNKIEQSKLC KIDFNIQNSAAYCQKDSQNNCQQAQFYKKCYKCKTDNYLTEQNTCQSTCTLNNRFQQNQV CLKKCPGKYFYNYSCFNTNSNPKYQCNSANSCNNSEKNIGYYCLNEQEQSGQYQICVWHN NRQWYSRTYNDCDQSCKYCFGGKDTQCLGCYDNKFFSPYDTKCVSDCNTLSLFKYNNRDT MVCELECPPPYLTQGLECVKSCTNGYAIYNNTQCLQQTQVTDNFIETQYNSSQPKTIFAN CPQVCQTCTSYTYCTSCLNHYILNQNKCPTTCYPQYLYIDEYGVSVCLINCDSSDYVYDN ANIDGYQIRQCFKIKCGSIQINKKQQTYLHQTKPLICVYPCEDQYYAQQNTNQCAKCDPI CQNCQNSATFCTKCWPDKFLQDNSCFVSCDSKFKNYINNQCEGSCSSGFTINDKVAGNII QACVKFCGHIFSQFTYVLDTQCYQSPPLIGAYCIGFQCYNCYYKCKTCSGFLKQLMFILL >CAK72516 pep:novel supercontig:GCA_000165425.1:CT868127:2072:2245:1 gene:GSPATT00038785001 transcript:CAK72516 MITSIHKLKNVVFWRIYILLKSLLITAIDIQSVNVIINFDFPLTVEIYLRSIVISGR >CAK72517 pep:novel supercontig:GCA_000165425.1:CT868127:2968:3200:1 gene:GSPATT00038786001 transcript:CAK72517 MNYTANKGVAYTRITSYDKPGYRSSSIFRLWEYHNNPNDSKLLPKELSWLVTNLSIVTFE QKFTWA >CAK72518 pep:novel supercontig:GCA_000165425.1:CT868127:5542:5829:1 gene:GSPATT00038787001 transcript:CAK72518 MQEKCKQRKQFLIHQTSFEIYLTYSKKTNLGIIEILNSTTSQLLFIEGKYDNFKDKDQLS YFDVVQETWTSFGQNSCIFESDVQKSK >CAK72519 pep:novel supercontig:GCA_000165425.1:CT868127:5868:6196:-1 gene:GSPATT00038788001 transcript:CAK72519 MIVVESFRDLISNCQNNLYVSSFLMFPPCHYLDRMGSQDVLELTQLLHVLQLKVTKIVIL VFQKQMSETKALMFIGIFLYQRDLRSKKNYKLIIKFSTSSAN >CAK72520 pep:novel supercontig:GCA_000165425.1:CT868127:6223:6507:1 gene:GSPATT00038789001 transcript:CAK72520 MHHNEYQLFLWLIMKIIQQTEQVCQLSLQQWEYILARKWTRDYLKNRLDDSLLFQMISIS YACCSKVEEKIGQLGKTSIAVYNLKKALPDVNLN >CAK72521 pep:novel supercontig:GCA_000165425.1:CT868127:6555:7199:1 gene:GSPATT00038790001 transcript:CAK72521 MLSGNLLIYNWLRIHRLTCRIRWVTVSGYSQIKMKLGLINLKAQINIQHLIFNFEFDSKL NTSSIQRGQSFLTQNRQVVHKQYNLLKRSEVSVINWQWRRILTITVNVSTYKQYFYDHPI YKVQVNIIINTIRECSFVQPGQILVESQLDYGSALLLEFFIFLVAIIMRLEITVKQMHLF NNSSEYNKCQTTTTWNLTTLQEQKQHFI >CAK72522 pep:novel supercontig:GCA_000165425.1:CT868127:7488:9178:1 gene:GSPATT00038791001 transcript:CAK72522 MKELRIVSFVKVVRKLLLNISSAQSCKIHLTFEIICFILKVINAILHVCRIEQAIMLSEK GQFWIPRVFHIRGSGFNGSLYFLQVLTGQQPINVRECYLATNGRAFQFQFEYLIYNLSKD LLFNRPILTNCQLHFNTKVLYHRSNEGVKFIIQSQYYFEFGNHSSCLEHQHGYQFDNNNC LCQASSISCWIKTQIVIIHISHVLTCNQVLLLLIANQLGLEYVQLLFKDFDAFCRMHILH DHLYLTYPVNLALFKEWPANFIVGLTKNQRRFIISFLKELLQLNSSFYHSCKFCHLQIIR DQMSRNLRSMLQVMFRYYQIKFSFFQDYTQIELYQNLHKIYLTSIIGVIGLIIFQPILKL QIALLMSSISPYFSQFSVPLQLHQECYASYSFQEKLSSFLIYIIYIKNYFPCLSKCSSYC PRYFDSIIYSLVFQSRICQLYSLERMFQQNNIFNRATDLLIAVLTSLIMVAINVNHMQPF FILTVQDTAQCLQYMYLQILYAKNILKFYHPFTQQKIILIIQYYNCAKRIFLEYFR >CAK72523 pep:novel supercontig:GCA_000165425.1:CT868127:9245:9454:1 gene:GSPATT00038792001 transcript:CAK72523 MHQLSINANSIFSTSQARFLDIKFIANCCAINQLAMFPYNCYKQQVGKQAQHVQFLNFVM CTKDAQNQK >CAK72524 pep:novel supercontig:GCA_000165425.1:CT868127:9542:9811:-1 gene:GSPATT00038793001 transcript:CAK72524 MSNSTHSQWKLPLMHFIAQLVLLWVKRIFYFSQFSIYQQISKSAWKNKFKFFKQRMNVLK QSHMLYQQTQWTLISFHISQCIFYFQLMI >CAK72525 pep:novel supercontig:GCA_000165425.1:CT868127:9904:10542:1 gene:GSPATT00038794001 transcript:CAK72525 MSHLHLKNNRHKFQPAQSLLPPLIQKSLLQQAHLDDKILPLFYEQIIFLVYTSKDSSYFE YSIEHYSHRIEFIAKSIQLQLNKYVITQKEQQHQNYQSDYSSPFVQAARVFSIQALVQLH ELKFTLLDAEQTQIKLKFGYVVLEEDYKYMFRIQELVKEAFEHLIQQQKMVDFNQILKKR KLVLSSIKYSLIKCMQC >CAK80489 pep:novel supercontig:GCA_000165425.1:CT868364:3:763:1 gene:GSPATT00039385001 transcript:CAK80489 FFPKDKKYQILFVLQFKSHQRKHSNEKPFKCEEFKITNDHNSKHQQDRKQYKCESGCGKT YFYMCSLKKHEKEMHQKKEKPIFTTESYNQQIKNLKDFFTCNHPQVIHKNHVDVLFNGCL YYYNEENQKIEYHELVDGGKLECDPVKDHQHFQKNQQFAQIDCSQCPQEVNCCLATNQGN IQESEYPQSYVLHYHGPNCGHPIVLHNGHVDYLVNEMLHYPHDGHCDNHGILNRITVQ >CAK80490 pep:novel supercontig:GCA_000165425.1:CT868364:791:3171:1 gene:GSPATT00039386001 transcript:CAK80490 MSLRSVVEIVTHVESFRNVDLYYQGVYFLRITIHNDAPQDVHPSIHIQANKIYAHPHDPC ESYKTYPQEAQQNVNPPKYYETHIFRPASIVTSNSAFYTKAFFIKFCEEEIELNDICNFR IEFDAGPKKEQSLIMQVDLMFFDCLNSQKDQPKQEPLYTKQDKEDYAIPDGKIQATAKFK IKNVLLPNHQFVPIIFEDQNFCQANMVVHTITLDYRFRTHPIQLFQFARLKFEERNQLLE GKVPSTLDKNKNQSKPLINSDQISMYEAIQKQFKEMKGLDYYSMQQEFVESLKGYYEQLY QHYNLIYSKCILEKQRKHFKKYLYPPLKLIVPEYITSETYQNMKGQLTRKELNQRIEEKF HTSDPETIIHSILNETNLISCQLFQMWIKVLDLYRISPRFCVALLQFDYQKILKNRWQQF CIKQPPQQLLDKNLGVEHRQKSEKMRLETKVQELGVEDLNSFPKTDTQPIIFEEFTPRED KDKNEENIVDADITNSEYDIMSYRGIHLIVLVHGFQGNSYDMKLFKNYISLAHPEAMFLC SSINEENTEGNIQEMGEKLATEVINFISENCPENTLGRLSFIGHSLGGVIIRASLPYLDK YQDKMYTYISLSSPQLGYYYNASKIVDAGMWVLKQWRKSKCLEQLQMTDNRNIEETCLQK LALAKGFAWFKNVCFFSCIQDSYAPYDSARVQLSKEALEDQKNKPYVQMVKALLRHLENT NVYRIDVNFEIQEKNLDTLIGRTAHIQFLECQPLLRMIVSLYDQFFC >CAK80491 pep:novel supercontig:GCA_000165425.1:CT868364:3238:4204:1 gene:GSPATT00039387001 transcript:CAK80491 MQRIQALSNQFNPTIAPPAGNVTYPWVMKYPDFFPFEQVGNLKGKTVIISGGSRGIGLAI GIRAAKDGANVVILAKTVDPHPKLPGTIASACAEIEKAGGKALGIQCDIRFEKDIQAAVQ KTVETFGGIDIVVNSASAISLTDTESTDMKKYDLMHQINTRGTYLMSKTCIPYLKKSSNP HILNLSPPLSMQSKWFAPHIAYTMAKYGMSMCVLGMSEEFRNQIGVNALWPRTSIATAAV QNVLGGDSMMQASRNVEIMADSAHVILTSCHKKTTGNFFIDDEVLASVGVKDFSIYRCDP KFSDSQLAPDFFI >CAK73569 pep:novel supercontig:GCA_000165425.1:CT868160:2:154:-1 gene:GSPATT00038920001 transcript:CAK73569 MHNAVITIPQHGNGRLYKTSICRHFELGNCSIGEKCQFAHGQKELRNPNGI >CAK73570 pep:novel supercontig:GCA_000165425.1:CT868160:475:4928:-1 gene:GSPATT00038921001 transcript:CAK73570 MKFLYCDSNVTPEMKDKIKKLLFDNSDKLTHQNPALFLQKLLHNNFEKHWFVYVVYSPME LKYQFRSNISILIDIEIEKTRYILAQFEEVSVGTFAKDKFIKTCQQIEKHIAQQQYDLNT ALHESQKILESQFGSSSHMIMVKSNEQETQLQFIAPYQIQYIFKIPLNAYESIQGGIFYI PLGAEEDNGMQGFPHRNISGEEEFDNNQIRDENYIQDEYEEPMDLQFQVMADAKEFKQST DQVKVSFDELEIQPLKVHSFSILFQINISPFPKEIKDDLNVLSTGSSLMSKNMTFGINRD QLFFFNFQLMTGKMETLYSQMKIKRNQLYQVALVYSETETYKEVSMYINGAIENQINLSQ QLEPPRGFFWIGSEQALKLFKGILQDIVFIAQSLHQKHIKSIYAELQSALSHKFERKTIE IVEEILQQFKEEIPIDQDESKFNQTQTQSLLKQQSKTLSKASNKKLQIPPHLQKSYHIFE EIDKKKTQQQEQPHQKTIQEFANRLKDVFSQNEIIYHKCHELSLNFYWVFTTVQQLAPPL EENASPKLPFEYIHQELFKERFETYPYYAIEFDRFCKVVQHSGIQLSHDDIYQLAEITDS LRENKRFGYYLIYDRLLLSIRQAALTQEEIEEVKGQYNTDEKEADQDLIIYTRGISRQKQ EMEHNFSFFEQLTVLVGSENEAFKYNINYLLAYFVNNDEFVQKLTAVYVHKETGQEVEIE GVDLFSYDQDKIFQQKLNISEETQPKYFRVHYNGENMAMISFKTHEAEETFRIDKTFSYR EGQDATGQLETYAFEQLRLAGFRGRIVKKDEYSQIIMLAAEYLQKDPKVEEQKRNQPETL PQLPENWNQGKFQLIINRCSDCEKHKTTTWHNEADFANKFNEIGQILKDLFPNIEVIGNW DKTQQLEHFDVYIRGVGQLSQMDKEGRKERKVGQILGLLLEENVKGLWRYKLFWQTNRKQ FLRTNNYSQRSKIAHDHPCNIPDKQEKSEKGEAQYAGSDFICKNWGCGQPYKFDSTPNGI KTCKHHPGRYEFGSKHGLWPECWTCCGKKWEAEGCKLEYHKGVPEKDLYNICINVGPLDP RTGYPEGTCGMRFQDGDSSECKYNSGTHQAAKWPDPAAKVYFVQKLHINPAQKEKETQPN KNIQIRPDVFRETKPYNEFINKDRQRQMKLIETEKELRICTNWACGKQYREIENRKKNMC RCHPGVFDFGHTATKIQEAIEEYKQEKGSKILWKPHWTCCRKSWNEPGCLLSKHSGPLVI EYKANKYQWPDPKAQIYFKKKVSDNWKKMLEQSHSLTPETASLKYDLLCKTLGSGGQISV YKLPELCDKFELNLWVCSEDLAFQFKFTDIMEGRAQEYLADTSGNIDKHKFLDWWFADVN RMLEISSK >CAK73571 pep:novel supercontig:GCA_000165425.1:CT868160:4998:8055:-1 gene:GSPATT00038922001 transcript:CAK73571 MADFSIENVCQAIHAFNNGQVEKADAFLRNFSQSKEAWGTCIQILQSNPDSSLVFQVLRI LQSKILYDFISLNPQEIQQIYQNCIQIIIHYSVQNQKSTRIQCVLMFIYLYLYTYNPQTK SILEVISQLVDCQNNSAHQNFLFDILETFPEEIISNKKIIIEDEKRKYIAQDIKNKQMLD IIQFLQQRWNNSTDENVRFYVLRAYKKWLEFMKKYINEDEAIQFMQLTTQTPLFKGALDS IIIEELQNKAVDVICTFFGIIPRNISDSPSLDPQIIKIIFDEVYKTFALCKKALDEDLSD EIHNLTRLYSKLGKKFICKILLNSQLDAFLTTMIWIFCHENSYNESETMTDFWIKMIKTI RIMNDVQLQSKFALTFEQLINGCVKNSKVNLTLLSEYGLQSKIEDEFEQLLDTRSDMKEI IEELATIIQPNQILQHLGLILKQEFIGKMDDSGWITFEACMYLISGIVKQITLKNDQIGV QYLMEIIKLYLDVYSQQSLASNNFILKTVFKTISQGCAQLISSNELLPLLFNFITIGIHH KVPSVQKKATKAFQLICKQNQNFVLMHLSQFFDLIVKLQQVQNYDNLIKGVANAICSSKE TMQNYYLKLCSIFAQNLLQLQKQIEEQILSNMSIDSLEDNIVQFSKNISCLAYANSQIPA NENEDFLEVRILIVNVYKELWPMLQFGMERIVLYESSIAEKIVRYTKHTFRKAFNAFSVD LLTQVFQCFLNVYRQMPITACIYIAEVSATVFYKYPEYRNILSEAFENLCNITFQHLPQL SSFEENPDLTEDLFGILVRYGRYTPVLLLQSPALTTILQLTLMAIGLKHVSAAKTFYSWL EVAFKILKPQDDIFKTQVPQEYKEKFQQIFVPFVPQYTSKLFEALRKGTADEEVEEYIQD CILALSQLQFLDYGKLLEGVFEEGPQNILTASERKSWLEQSKDSQTQKHYLKLYSRRCVQ NALRG >CAK73572 pep:novel supercontig:GCA_000165425.1:CT868160:8576:10506:1 gene:GSPATT00038923001 transcript:CAK73572 MIQHLNNSNFIYSQNTEYIKYKQISTSTIKENCSSITIPVFISNDVNFAYLTQSIYVLYV CQFYLLMNQNYEKYDDNFQMIQKLEERKIKQIFSDNLSLSTPAFMNTQRKQTDIQYIHKE RAIMDVRLTNVIQLDLCQFHYIEVPLFGHKKPLVIYFQFTDQAKMKFYISSHTITPNRKQ ILRYTEQGEHILFAQRCLYLAIFSQQATTIYAKASFGIKPQQQQKMETESKLRPSTGYQF TRSSQFFFTRKPSKDMISLNKNIEQYQPDKIKLQQNLKRVQSARKLSETLHKKKQIFEEK KETLKERFEFQEKKKILKEIILYRNNIDIFLKVQQKLWLQNLYFIQLVSIIKKRYYDNII FQFKSSIKKRRQYRVRKVLQKMLQKSGRDIFQRTLFQGLLILRMLSKVKEKGAKKKAKRI VHTFMMSYGQIGEIFQKTYQFKRRMRKIIEVYRNYRKRVQAYANRIIMLWNKYWNQIYME IKKEDQEKVKQIKMQMQKTIINYHIEEEIVKSPYLDIKVQGVIVNNYYKEIKNLYVRKWR VLKIKVKSSKFQTLMATQAFDKKNELLLFTIVDKSVLKQLIYKYMQEKGMIQSLLLKKN >CAK73573 pep:novel supercontig:GCA_000165425.1:CT868160:10507:11257:-1 gene:GSPATT00038924001 transcript:CAK73573 DRCQRQLINLHRMIQPNGINIFKLTHKLKELGIQLEGRTIAYYSYDCEMYINCGMDPVHC SYVIPFEEIKLNNQLRIKCLQTAISLIHLVMSEEMNEKVNKMKEQDGNDQQNLQQQGQEN QKQCRRTKERRIGYIIEKVSKWREYYSGIMIDGESKRFTLEEAAQKVNISKKSLDDYLLQ IRYGRKFGFNFNEHKNEKVGVLRAFVKKNNCTKKKKIKTE >CAK80218 pep:novel supercontig:GCA_000165425.1:CT868356:18:359:1 gene:GSPATT00039375001 transcript:CAK80218 MTGMIIHTSDFTGGAKPFNLSREWSTRVNMEFQEQYNLEGKFGYPQLPYMKDLDQQPIMA KSEVGFFKFIVRPLWSIMSKFAEDRLQKSVENLEQTILEWEKLMNN >CAK80219 pep:novel supercontig:GCA_000165425.1:CT868356:381:2175:-1 gene:GSPATT00039376001 transcript:CAK80219 MIRGYTSVLRKSLWYLPSMGFSKKLGIGEASSVLEDKIKNISQLNDIKEYGTVISIGDGI ARVFGLTQVQAGEMVEFSSGVRGMALNLETDNVGIVVLGNDREIQEGDIVKRTGAIVDVP IGMEMLGRVFDALGNPIDGHGPVKTNTRRRVELKAPGIIPRKSVHEPMQTGLKAVDCLVP IGRGQRELIIGDRQTGKTAIAIDTIINQKPNFDSGDKNKQLYCIYVAIGQKRSTVANLVK ILTQAGAMKYTIVVAATASEAAPLQYLAPYSGCAIGEYFRDNGMHALIIYDDLSKQAVAY RQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNAENGNGSLTALPVIETQAGDVSAYI PTNVISITDGQIFLETELFFKGIRPAINVGLSVSRVGSAAQIKAMKTVAGRLKLELAQYR EVAAFAQFGSDLDAATQQLLNRGAQLTELLKQKQYVPMCAEEQVCVIYAGVRGFLDKVQT SEIAKFEEKFLTHLRTNYPAMLERIRSTGELSKQDDAELKSILEVFIPEAGLAMKQ >CAK80220 pep:novel supercontig:GCA_000165425.1:CT868356:2229:4456:-1 gene:GSPATT00039377001 transcript:CAK80220 NLFAETFIALHKLIQEDDEEDFQMYQQFKTTVTKSLWCKGNKVGTIQCKFNVKLPRFLKQ KLVGIRTENGCTLGVNICSSKSVAQIAEITTIFQKLYESMFKMQSLSHNDPNRAILQHQA LTISQQLLVEVQKADKDTNSKLFYYKNQEDLFKAQEYFIKIAEQITKYIDKLDDNLREVC YEILLVLIDRGEFRLHSLGYFEECKQLSKKQLELKNSVNLHYSEFMLQTLNWVLLKVPLK TQQQNERKFMMRFLVLAFIRIYNFKDQLLKCINKPNDPQLVEWRGNEFQLEEQDVFINEQ VAMIFDWQTYFYNYLSQNQQYQLNQTMQDEQWKSTFQKRSLIYQYFIMDFCTYIQYILQK NNIQWQHVPGYKQLLKSFLCELKLKESYTTSFFDCVMAISRNTNIINIIVMILFNKTNLY QSDQVIQVFDLLSLIINQCPQTLTVFDYPFFLNGIRIVLTQSEHAIAIATVLELIYTNFL KFPIEFRKAVIDLLFEPICFELFLHWSKTVRTVFMSFLLYRICHQYRNNKISVMDEELFE QQYLIASKPRKNFSFYENRKEEKQLIADYIYLKYTRFMMNIEQVKIQLKQFPVHRDLTGL ESLKEKLAQKNQYHQESQNIIIQEQRQDDKEEIPSEKQIIFERRNEYRNPTKKRTIILSD NKYKYLKVALNEFSDLTKQYTRWRYQSMNMSGEELSPEEKSQAYLNFQVPQIKILVHYDQ KEGKQD >CAK59793 pep:novel supercontig:GCA_000165425.1:CT868005:4955:7175:1 gene:GSPATT00030429001 transcript:CAK59793 MVIEGQLIVNQLALGLSLVCLKQYNEAIKCYDEAISINPKYASAWQGKGQALANLKQYQE AIKCYDQAISIDPKYASAWQGKGQALANLKQYQEAIKCYDQAISIDPKYYPTYYYKGLSL AELKEYQEAIKCYNQTISINPKVFNAQKNKGNALAKLKLYQEAIKCYDEAISIDPQNQSA RNKKGNALICLKQYQEAIKCYDEAISINPKFSNVWKNKGNTLAELHQHQEALKSYEESIL INPQQIDAWYGKGLVLTQLKQYKHAIQSFDEAISINPKYNDAWNGKGNALAKLNQYQEAI QSFNKAISIDPKCVYAFYNKGLALARLQHYREAIKCYNEAISINPKYGYAFYNKGNSLAR LQHYQEAIKCYDEAIFINPKFDTAWNIKGKVLVLLTYYQHAIKSFDEAISINPQYNDAWF SKGQALARLKQYQEAIKSFDEAISINPEQNDAWFSKGQALASLKQYQEAIKSYDEAIFIN PNLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPNPHEW PAIKLSNTIIVNLMQKKGIKKLNRMIIKVKYQSIQQNPQMQQNLSFMLKYVLIINQIQFV LGVQLKTQRI >CAK59794 pep:novel supercontig:GCA_000165425.1:CT868005:7194:7545:1 gene:GSPATT00030430001 transcript:CAK59794 MEQPYRQITEYLRSNIQKLKQLNIKVDRYYLNTFKNRGHGQHRTLYQLFLVNGNTIQLIQ QYQYLGLRVQNTILNFKLKSKKLSYLILHNFSTLYLSKQIHNNLPAPFM >CAK59795 pep:novel supercontig:GCA_000165425.1:CT868005:8972:9943:-1 gene:GSPATT00030431001 transcript:CAK59795 MLSVTRGILNPQRKYQLSHQQHRNNQMDSARDSSRVLDYMQQEGTKIQSSVISTLEFIFQ QKLIQIDITYTFRQQALINLLCRKYLGYGSIGNTIRSASWRCQFRNRKHQFLISHLDLGL EKKDHFSFELHHLKEGLQLKEQCHLQPQVMKICKIQYCQFSYCGYHIMAVDKDVELWSWA YGMYGETCQGDFQDNLVQKKDKFNFRINKVLFIQSQIYNKQSRKSALEDIIVCFNPIKKL SMHAGMQNMDNQVLKSQKIKKKSNQLLEYLEKLFSKLLLDFHPQFLDNHSNVHNF >CAK59796 pep:novel supercontig:GCA_000165425.1:CT868005:12298:20520:1 gene:GSPATT00030432001 transcript:CAK59796 MSDDQPEANRLSVKQLAGRFQSMMKAAPVQQQQQPPPRKSEVIARNPQQQLQNMEETNKV LSKLEVVENDEDSNQRSSETVESLQKVIENLQKDCNKKDQKIQDLQENLVIMSDQMKFRE SKIREEVQQETKETSEFGNERVKLITELRKCQVDLQESQKQNANKFSQIQQLTNKATQIQ NLSKLEIDKLKQQNQELEEKLLQSQQKVDQLAQKIEELKELNSQLNLQSQEVEDVKQKLE KEFQQRYDEVEFEIINNRQIIEDLQIQLKELKALNLQLESAAINGTFDMKQQISLLQDQT NELQNQNQELQQKLHAKQIEFDQMNKAKSREIEKLKQDKIELQQELEQTKQISEQTQAET ESNYKNQMLILQDKFQKSEEQTSKLNQKIQELSADLIQERMLYKNNESQLNGVITQQKDE LSQKSSLVLQLTEKIRILQDHSMQQETNISKNIDDYKVLLDQNNQQITQLSEQIKSLKKQ QRQQEQENKQVISQYEQQIKQYLAEITQTKIQKNESDNQRQNSESQLSQIIEKQKVQLQQ ANAIIQDLNNQIEQFQQNIQDQEEQRMSVISVKQSLLIEKESQINMLESQVIKYQNELTQ KQDQIIILQQQYEKQKSDFNLAISEKEKNAKLTNQQHQELQNKVSDLTFEVNQLRSLVDK AEVDKESNIQQYNEANQQLKDQLNTQNSLIQELQEYLKESNSKEQLALQKSTQQSLEINQ LQLEIGKLKNDLSQQEQKQSQTNLENSYKLKEQQTQNETLKNDFKQIQLVQDKLKQENFQ LNEQINDLQIKLQESQENLKQTTQINENQKEQLQKLNDQLYQEQQKESVNQTEKFYLQQL IQQHKDQVNEVHEKNKLITSISESKDKQIEALKKQGEDAQKHYEQQKQTQYEEMVQLKQK YEQQFHILDDEKKSLIAEYTNRIANLEEINNDYQKNSSIEQSQFNQEKLNLKQQLLDQQV KIEKQLKEIEQMQGQLEQKQQEIIQINLKNECEVLQLSEELVQLKQLNTKQVEEINERDL MITLHQTQINLQDDQFRLEISNLNVKIDNILKENQIQIEDIHSNHQKQLLQKQNEIQDKE YQIKKLDQQLFDYQAQMEQRLEYIQQKEQEVEKLSQHNDVLENDAQQKEQEIIQLKNHSQ NLSVELEKFKQYSQLEQEKQQQVILELTENLKQSEQLFKQQNKSMEDQIKSLEQQITNQN QKIVQLQDSINQLNQKYQELKNEKQLKEAEYEKQLQELQNQSDIQNEAIDSQIQTNVEQS DQISKLEQNKSQLLEELQNVVEEKKQVELTYKQAIEDLKTVQDQRIAEINKKNQDLVQLK NMILIQKDEELEELRQQLQQSQEDFIKQQNLNDSLQIHSRELKNKFDEYIETKFSEEKRL NNELDLTEQKINELQEQVDQHAETIQNLQGDIQRKDLEYLQLQSQLQTKIQQHTLELSDL GGKMNEEQLKHQIEINQKQQEISDLNFQIQEGKEKIEELSNIIIDKETMIKSLEETIEGN TNQVQQQSIKIQEHQKSIEGLTLENQNKQKQLEQSAKIIKDTQIELEELTTQLTDQIEKF NQIEGSYLKLQQQNKSLEELYNNTLKLLETKEEDLTTTVIQKNEEIASIHLSLEKLKQES EKEYKQLEDYSYEQLLKKMDDIAQKDWEIDQLNQVVQDLNQKLQAGMECVEQYDKEFDNQ IKEIDELKSKIKQKDKEIKECNEIIEKQKLEIEAVNKQMNEELQLVTQSLQENQSNYDLE LQAKLAILNKKEAQILNLDFQIAEFQQNLNQQKDQIEELVQERNVLIERQKLIEDEKNQS DKEFKQQIQSLKESLSEFEENYNYLKQQHEEVQNQFASQKELYNDLQQKYEEDQESSQQL IQDLQSQKDKQNIEFQKYIKESDLNIQKANNKINQKEEKIAQQQHLIETLQSNLEDKNQQ HDEQGQRLFEKQNELNQVILEKQTNEKKLSQQIQECNNKIASYNLEVAQLSQLITLKESE KSQLSKQFEQTSDQLKQQLFQQTQFIQELQDYINESQENESKAGQKINTLNLQISTLEFE ISNLNCEILKLQNTIKQSKEEKLRLEEDHQQDIIQIQQQLQAAISANQLLKNEAQKKQIN LFENEQNLNSKIEQQVYEIKILKEEIQRLQLEMQRQVKESDSNLNNKNEMIDLLKKQLID IQNSAANAEEMKDLIQRQLQDQSQSQAQQLNQQIKTRDDQITNLKQQIQQLSQSKQQQEQ LLTEQISVLNQQIRSKNESMNQLDESIKYFKSQIDQSNLTITQLQQEIQSLNSKLQSSKN DQNQINEENKELQNKIEIVQQISNTAQSELEKLKQQILKLEEEKQRQSEQIKQLSSQIND QNSQNLQITQKLLSQKEEKELIDLQQKNIQEQYQQHREQSEKQIYQLTNNVSQLEQTLSE IQNNLLLVNKQKSESEEKLNKLGQQLQNVNSQLSDSRDKYESENQQQLQQINNLSQENSE LQQTLNEKLEELSKLQLDNTKLVQNQKKVDKLESQVQELSALKEQNGKQIEQQELRLKSQ QQELEQLRENYNLQKNQLNSLNQQIAQYEIDKDKLSKEIKQLQSQNQNYLSQVQKYQDYI NQQQQELEKNTISNRSIVINDAQGQQLEAELKILQVKLVQQLNNYKQLIQDKKNQEIKAK INEDLDQIKKDYVMALEKIGIFIRGIEDLEKVNGPKNQQYHVFNFQKRIKQINSRTQRFY FKVCKHHFERYQMSHCVFSIQQCSFLNINLFE >CAK59797 pep:novel supercontig:GCA_000165425.1:CT868005:20624:21394:1 gene:GSPATT00030433001 transcript:CAK59797 MRRRIRMLFRSGYFQVQKEICIQKDFIKFISRKLFQSLKNLQSARQWNLYQFCIFDPFIN TFAIINCYLRQFYFTVFVKLALDQLLKIIEIQNYYLNGQILYVEVQGQRLLKPFKEYRYN HILDYWNLGVLLYHLQNLELPFKVKTPYQMYQAILNSKVEFLQCIKIDILIILRPEKSLI KQLLNINKSIESNQNKGENFLIHTRRMGDGFSIVLKQFIYLKLLGSILYLNEFKSKFEKK YTKIAI >CAK59798 pep:novel supercontig:GCA_000165425.1:CT868005:21402:22133:-1 gene:GSPATT00030434001 transcript:CAK59798 MICDLVDYTLRTTPNKGRFSQTNTTASPNSSSNSSFEASQLKIPRPLLSFDEKFEASLCA FEFKLLVPEEDGLINFEQFSQELIQDKLIFLNDEKYLQQQQASLEIVEENILKDERTTLM LKNIPRSMKPNDLRNILNKEFRNLYDFFYLPLDNNVFQILQFKNEGHLGYAFVNFINQDV VLKFYRTFNNQKWTNTEKQICQLKYAKLQGRRLYEFAR >CAK59799 pep:novel supercontig:GCA_000165425.1:CT868005:22165:25034:-1 gene:GSPATT00030435001 transcript:CAK59799 MASEEEVNIEDEIIEESQVEDNPKKKKDKKDKKMAMKLDQKKPIDGKQQFGDKSICRLCK VTTQSTPTIICIRCHFKYHKECIRTQNKEPQFQEGAKWYCLSCIERMAKRKLKDSEPQKK KKTSQIPEFFQKTLQPQEDKLKKHTEFQQKYPTYVQQGRVIFPIFDEYLTAYQQLFTIEI KKKPQLQLDPTIPQNLFEDILKIWDSYNYMDKIVSDILYAGEQQTEQQSQVNNIGTLIHF KDNHTPYSQKTRQEIYNLIQTKPDELILFFCYFYLKQIIEDLDQEQMQKQSYKIPYWHLL GYMWFTNRIRYYELLRDDIKSLPTNIYKQGILPLQQEMIDFCDYTDTKKVCKLLIALSDG LTGLKKTQFLYQFRTENLLSNNRIKQQLGTQIKQQRSQQIDIKKEIIEAEGNISIAKSQL KQDGLTRVESQKFTKQMEQAQKQAQKLKQQYSKLEKEIASLTERYNQQDKELAITQMPAL LLNPSMCLGQDAKHSSYYFFLYEPDKIFVCMRHSILDDDRSQQWGFYDQTEIQNLLNSLC PKGVRENTLKNNIIELQRAKLLQINEQNQEQNDQIEQENHKNGKGVENDIEQEGHNQSDE QIERLNSLINLDVDKLVNEFVEIEQSLTLYLNEKNSRWCSTEQRVEFLKSFQNVIEKKSS QEYDEIDLKPLGKAIEYFVDNTMMQEKLELRLDEDMDERQLNENDDDSYQQPNRRRKVVE DDSSVDEQPQTMLQQLEQMDIGKVRVRKLPMKLFGTYYETLRQNLIEQLKYDCNLAKMKI CLEVLGQIVKDYIDRKKIQIQQQILPVGEKKKVEEVVVVAKKVVEPPPQFVQEAQMYVTQ NLRKRNQVKPQYAETETKWEDRCKKCNKGGKVICCDTCPKVFHPKCINLKEVPQGKWNCL NCLTNFERQIKTRATIRKLENQ >CAK59800 pep:novel supercontig:GCA_000165425.1:CT868005:25485:26165:1 gene:GSPATT00030436001 transcript:CAK59800 MLEKSQFTMNFNTQLKNGKKQKYMKQCKSSETNRNYQSSLKKSKQYLDKESAIIGSSNSQ QRPRRLKKKVSKQNIQMSSLQSKLSLKQELLKHINHKQVEKRKVDITNPEDLSTMQQQLL SQQIQQQLSLEDTQLSLMMQQQHEITMQFMPDIVRIVNHYMNSQNNNNKLKILSQKQKKE QDRIKSEIKEMRDKESSTVINLELKYYQILIINEEQMQYFQCYKKI >CAK59801 pep:novel supercontig:GCA_000165425.1:CT868005:26896:28402:1 gene:GSPATT00030437001 transcript:CAK59801 MKSANSNLPNSIQPDQNEINEYIKEYLRYSSFTNTLECFEAEIKSKQVSNKMLNKQQQSK QIGDEAPRLFQLFKSDNTKTKREINLEKEQKAFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNENLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLFKNFQDKH VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATEKFDFVVHLLDINNQSLRH AITSLISVISSTLRGVEYLTYNGNMIIIEKIIKILKEQENGSVTQRFCLAILQKASIKDT VIPTYVHNEIIQWIILLIQKSINTKIHIFCLDFASATLANVIHTPYTLQYLEKQGKFAHQ VMEQLLKFMKEQIQVSVLMHVLICLSYLSKENFAKQMEECRFVERISEFVEYYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETVELNELKTEDRIREYENEQGELIFECFQDE VS >CAK59802 pep:novel supercontig:GCA_000165425.1:CT868005:28662:29253:1 gene:GSPATT00030438001 transcript:CAK59802 MEENPVPIQEQTKDKTKQRKAIDCEIKTRLIFSVVNDRLPIYQVMKNFNDLLFQAAILHK VKYSSAKHILRNYFNDSANFFSTQRKRKRKILCTNVFILIEACSGKITIKKQQLNSMSSA NNGQISGLQQKTLSQLSYAICQEVQPLQKKIVKKAIRRIGKIQQDLDKLYSIIKRQHNEM TNLKELY >CAK59803 pep:novel supercontig:GCA_000165425.1:CT868005:29575:30440:-1 gene:GSPATT00030439001 transcript:CAK59803 MNYNMNQIEEVSLNQKNIRDKTGLPIKRFYSVKMQCQICFDDLTTNEDEIFRTNCGDTFH KNCISKLIENCLKERYQQLTCPSQGCKEKLSASLLPKLGFNFQQINIYFSAQLDELVIKH QNKFSCCPTLGCQNIFIINQSGDPAFYCEFCTKKYCLRCKSESHPQFTCEQFQLTKNKEN NEREFKKLVENMNCKQCTNCGAWILKEKGCNHMKCKCFYEFCYRCGRKYRHPDCKCPLFD RDNLPQP >CAK59804 pep:novel supercontig:GCA_000165425.1:CT868005:30592:31922:1 gene:GSPATT00030440001 transcript:CAK59804 MFLDLVFNFCCSKRQRDEKDTKSQNSNKSQEEQFLEQRRIELDIGKTAMNELIKSKPASS IGSPTDNYLQLNDEKQQQKKSSFEEDNVQENQFDIEDGNKGNHYQMKDHSGMRSKSQEKV EKGLKKKISKAKEKQDGDKRVVKIWQPEEDQRLRKLYQEYQGNWSKIIQFMPERNISQCS QRWRRINPIQNKQKWNQEEDAKLVQLVAQEGKNWTKLARHFQGRSGKQIRERYLNKLDPA LNFVPWTEQEDQEIVKYYNQYGAKWSVVASHLKGRSENMVKNRFYSHIQKHLLGRQNKYQ IIFNSGHNQQNGNQQQQEGNQNMDVEYSDTSNSEQKLVHSENYSSSIGSSTFTFSYYEDE DFSGNGQDLLYDNQFEQDFDEKARVY >CAK59805 pep:novel supercontig:GCA_000165425.1:CT868005:32238:33512:1 gene:GSPATT00030441001 transcript:CAK59805 MGADHSDTNEMKTQQQYQMFLLQYSKEREYDHNQFGQVKLYNHNQNNSMICIKKLQSKSD TELTQITNHLRKRKIFVHPNLVQLLAVRTCNEQSICSDSSLVMVVSEYFPDTLESELKKR KQPLKKYPESHIWLLIQQIVDPMAFLEEQKQAHGDIQPQNIYLDENGSVKLAEYNYFPGG QHGFQKMLLSKDRAYLSPILLNNYRNMNFKAQHNEYKSDVFSFGMTMLEVLLLEESYDCM DFQNGCISQNVVDQKLQKVKRSGYSQLLTNFVRELLQIEENARPSWNDLKQVIDQFRDKI CNLIPFFQEKRQMSPNKLVYSQSYVPQQQILSSPQSKILSSPRQPQVLYQTYHQPQPLYQ TKQMQPIPMQQSIYYESGQKQVPIKKITKLDETVSKQVQQPESNLEKTTIKQAETK >CAK59806 pep:novel supercontig:GCA_000165425.1:CT868005:33590:34503:-1 gene:GSPATT00030442001 transcript:CAK59806 MQQSKAALEIGGTFIQVGIGTKTDNKWTLNNKKTFDTRDPEDTLLDLVTYLQQFEFDSIQ IASFGPLCLNKDDPQFGSITSTPKLKWQNFPIATRLSQALKKPFAIDTDVNACAMAEFML GNHNVRQSLAYITIGTGVGVGIIVNGQCVHGMLHPEGGHILVAKQQEDKDFKGVCAFHGD CLEGLCTNVAIAKRLNCPITELPNISDDHPIWELVGFYLAEACQNILYLLSIEKIVLGGG VMNRKLLYPIIDKHLRRLVNKYVEIPENYIVEPQVEDVGLIGALLLQ >CAK59807 pep:novel supercontig:GCA_000165425.1:CT868005:34514:38791:1 gene:GSPATT00030443001 transcript:CAK59807 MNYNHHQIVEIWLRRFRECLNFCYGSDSQMITMELHKGSVQLLSVPQNKNIFNTRKYRLY NFLPKTLFAALERFGNIYLLGISLIMLIDPTLSPFYRWITIFPVGLSVIFYVFMEFILDI RRQTHDHKINMQTTSRGAKDGALETIKWSDIQIGDVLYLIKGDIVPADIILLDTGQVRDR EAICMVDTQYYDGKSSLAKKKSSYLTQLIVLRTRLKNQFPEYRKMLTGKLEYEAPNGNTE RFHGRLKLKKDPKNEELTIDNFIPKGTKIKQTSWLFGLVVYVGENTKTMQSSHYNAQRRS FEEKQCNFYSFLMACLSLFFTLISIIVLLARSDEGYYALLIDNNTTNGMKVFQLAILYAQ LIPSTLYLLLDFVNFVSLFKYEINQIEDNITKYVKINTANNLSDLGHVDYMLIDKTGTLT TSYYKLDNLLFGSLSFTLNYDQLQTTLNQKSVKPEDNEDPVKFASIHDGNEYLIPFEYEK NTSHADVKPKCVKTSNNNPVFSQITAQPQNKRMTMLENSVQQPLQYYMQTRIFLPQPKTR NSPGNNNDEFIQLVNQLKSSSPQKEYQQTEDINTLYYDAFLKCLMLCHEARPVFGADSIT YESFSKSEEIALTFARSCGYSLENFNKFDSPDMYLCKVRGNPIWYQVLGLNLFTYTRNLN SVVIQAPMTMDLDLKQKFEAINQLCGEGSKNNSLLICKGDYEAIKAKLQLNHKEREELDS YIQHYKQRGIRMIIYATRVLSEKETENYKQQFNLLHSSLTNQDTLLEKLALEYEKELNIL GMTGFKEELKNDALDFIRTVKDCNIDIWLLSGDQEVQTISCAQSLEMAATSKYLRIVATD KEQIWLQINTAIGQIQSEMQKIQEKQQEKHQNENMLSRSMIKSCVTFFEGAQYQQVLQFA LVVNGHSLSLISESPDLMSHFRFLSCVCKNVIGFNMNPQQKELACTIIRNYFPNNPTVLG VGDGYNDALMMQAANVSIEIINSKRNHIYPQVNAGDISVNTLSEIKVLLLQKCKLHSERV SSMIIYLFYCAGFLGMTLFFFNWFCQFTATSLHDSMTVFLYIFMYTTPNALVIGLADKQT QPLVNTRFPAFYIDGQIRTRRFWLYYLLEGFLESFICAAYTFYSCIYMVNYAWTNDSHQS DLQMVATSIIYLLITVSTLKVMFRLICNSVSVVIIAFLFTFGLLVGFVFLNYRGDFSNFD YQELTYQLFTRFNSIVAMVFSLIGCYFINYFLHDVIKLIYFPSAYQQFAFQNKSGIEQEV ITNKEILYQCLDQHVNVSSIIQKVFIDCSATSPYIQEILNPGDTKVTEMKLKPLTLEMKE LVLEQKFLAHKQAQSLKHLRVFLCILLLYYIAYCLTDLLFDRSKSVHRSVLLSCIWYHPY YNPLFFQQCNGIIIIIHTHIQQC >CAK59808 pep:novel supercontig:GCA_000165425.1:CT868005:38890:41544:1 gene:GSPATT00030444001 transcript:CAK59808 MNMSVIPIMLYNICYLIQLIVRILIVVISTDLSTSNGTYSQSRVSVYAASTQILLVVSIT IRFLFSYYKSIKHRRNDYLAKYSIEQDNVAAQDILSILVPRFVRQQIQTGIFAMQQAQDD VSILFAYICDFDTIMKEEGKNVVLMLDSLFRLYDNLCIQHGVQKIETVGYTYMAATGIKA CEQNMTAHVTRIEKTMRLVNMAFDMMQQVQGRKYGKGNQIEMKIGIPCRSCALQGVIGHH KPQFSLIGRIHVNQTSRVGSTGDTGAITLSEQAFKQARHGIKYYQKKQKEAKGLGIIDTY QVFKTKPIGYQIPKAFQLWQNCTKLVVKELRQQGSSKTKKQRQFLSQLHNSIYQDNLKQQ SRLEISPKGPYPVQGQQGSVHEDNRSRLTLPAQPAEQVPTEDSVLITNEQDERELDLELI KPNLILDIPENEIKSNFNQIVKEQNVDESRVGMIFLWITYFVITLLSIIVRKLFDHDLLI FVLRAIFLIVSLVLFPILSKAYRNRVVNTMYYILLIYAIFTVLFQAYLTDNREVAIICLL EILYIMIVSCQMKMFSFLQVILYMMIMFGLFLGFYISSDLITHYAIFYICCCMLILLLGY YLAMSEQIQMFNNLQINEEKKVKRINLVSQLLPMHSYLKMKNSNIYDKSDFIDEFDDVTL LFADIKGFTEYSHTQTPEGVVVMLRNLFTEFDKLCQRYNVYKMYTIGDCYVVMGFTNSAK RNPIQEAINTVKMGFQMVDIIMSVRQKIKFDKLNMRIGIHTGQVTGGIIGTDIVRYDIYG KDVSVANKMESSGVEGRVQVSETTKLMIERAEKHAFNFKFHHDVELNKFNMNIKGFLVDW DKTREEASLDPYRSPSHHI >CAK59809 pep:novel supercontig:GCA_000165425.1:CT868005:41551:42095:-1 gene:GSPATT00030445001 transcript:CAK59809 MKTHTEVSSQRRKGRRAQLGAPSNLRYKLMSAHLSKDLRKKYNVRALPVRKDDEVTVVRG THKGTKGKVSSVYRKRWTIQIEKLTRTKANGMPYQIPIRASQCIITKPYLNEDRKQLLAR KASAKVSTKGKGEKHTTESTKKAD >CAK59810 pep:novel supercontig:GCA_000165425.1:CT868005:42174:43631:-1 gene:GSPATT00030446001 transcript:CAK59810 MKEETQIFRVKKQIHYFAWHCFAPYAFLGYFMTIPSAAEPSWLKINQTKHKYKIDFNWMN VAFLIGYLLASGIEMKGNLRQIMIKCETMLIVALVLQWFLPFREGTLIVRVFMGALINLD IKYSNVYFKTMMKPIEVYIKKKFPEQLNVKNLGQFLCQYQYMAFGIGWTIQGLMAYSYPK IEMLNKLKIYDDCVLWNLLISLPTVFLAIRLINLHLSFNYDDLKTCTPNEAEYFLANMFK KANVEEVIDMYHEKRLVDNDEYELDEQKTLKIIQIIMYSSSTMMLVFFINRLNVDSNGVI YQSMPFHYFIFGLTMVLANYLPCRLFYKVPQLLLYRLSLFMSIIINLALVISIQIQSYYF ILISCILTIFSNGVGLFSFTSSYNYYVDDFMPIQLSQGLSLAVLVGLQFFIREQVFGLSL MIITILGIFIWRRVQNLIEQDEEDEDNAKLIM >CAK59811 pep:novel supercontig:GCA_000165425.1:CT868005:43705:44054:-1 gene:GSPATT00030447001 transcript:CAK59811 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK59812 pep:novel supercontig:GCA_000165425.1:CT868005:44137:46230:-1 gene:GSPATT00030448001 transcript:CAK59812 MNSQIERNSDPPRTNVILVVITNKANKTLSHDKYFKVFSPFGTIQRMLIFERSLTWKTFV EFDNPDSALKARSQMNDKFFCDDNTLLMNVYASKLTYITFQENNTGGVDYTQLRKKESSP PNEVTQSSSSIKTNPISPQQNFQFLQSQMQFQHQMSRQIQQINSLMGQLQQVCAEGFVAP LQQNSDLQNQIEKQQSILKDIYDFQLKFSNLTDHYQTFLQEYNQLDDQKSTSVQSSSNGK QNRKKLTLPNDKKVTNGDQIEFIQSYHESDVTKDVMFHSSDKLIDQMQVQKMYQSEGKSV VKAEELNFNGLGLRDSEGEDDNLQEYEEELFQRVNGIENEDDNELFQLVDQNQESNEEKQ EIFNKWNQEIRSEKSDNLVAHQVEKQTQKNTIEQSSRSVDNIDQLISKGKNQSLNPQITS KSQTLQQQQEKIEEYVNPLFFKALRKSKVIYARWFDKKVVTSAMLYNIFSIYGNIDKMIY LKERSSCLIQYVLQDHAAIAKDALNDIMFYGQQIKIFFSNYEEISLKTQPSKPGEIASDL KTQEEYFQGGEETHRIKPDSTYTLAPPCDTIQVSNLTRNSCQNHIMQQYMQDFGQIKVLK YQELFLLYRILSTGNKYMCILKYATIEVALTVLAKMNGLELDGKPIQINFSKQKL >CAK59813 pep:novel supercontig:GCA_000165425.1:CT868005:46379:48109:-1 gene:GSPATT00030449001 transcript:CAK59813 MFCCKQLRLYRFQQFSTFRWCSQVFDQIEKESRRKIKISLFQDALKQAQEFKVKEEFIRF TLCDVNSQSQLIEAAVPANVLYSAIAQTYNLEFSLVERLYGEINSMNNFEDVFNAHKKST SIQFSSLFQKIQEIFELSGGGCEEQKEVLVKDLLSLCSSAQEVKYLIRFLKKRMRIGLST QSVSQLLDESERKRLLGYQSGSGDLQKGIPIQPQLAKSFQKENKEEEITMEKIKKKFLND DLGGCYFEYKYDGERLQVHYTNGEVKLFGRSLEEKTNQFKELSNQLKGHFDNNKFDDVIL DCEMICYHNGEILPFQEMQNKTYENSVYLSLVCFDILYHNKQILLNKTYSQRLQIYNSIL KPITKQRQVISHIISHKFANENEMDRFYKQALLDGLEGIMIKRDTFYTPGSRNDWLKIKK EKDIDLVVLGGYYGQGKRQQWLGSFLLGIYENGRLHPIAKIGTGFSDQKLEELTKRYMSK PSGSCPSHLIGFKDQPHVWFSQNDVWEVTYDTVSASPLYPAQNSQLNTGISVRFPRFKRE RPDKTIEQSGPIQDLVEEYFKVQKKEFL >CAK59814 pep:novel supercontig:GCA_000165425.1:CT868005:48424:49751:1 gene:GSPATT00030450001 transcript:CAK59814 MSEQQTQKSIYPFYQFCLGGASQNFLKCTNCFILGVIIFILLSILVLQLLRRYQTGTKKL SKKCIILYMIMTNFLILLVESFIISNKYVTQLEPYFRMMVFIFFYHYISLKLSKLSTDRK RAQAKFRYITLFLFVLVLVGLIFVLIELIQDDDIFYECYCKKQLILVKYSKISRIVGGCV TAILVFFSVKLQKKMDDKVQPLYKDLPLLQMKTFQNNETQREDLRILIVMYSASQFLTIF QMIYFIAKEQVNSEQQFPNCTPCQMIPCLKMSVNNTIAFELFLRLCFLTIIIILPYLALI SFFWVNSNQLHNDYHRTISNEEDIWINFFKKMGYERSKMALSDITEQQEKSSSLDFSK >CAK59815 pep:novel supercontig:GCA_000165425.1:CT868005:50123:50861:1 gene:GSPATT00030451001 transcript:CAK59815 MNSESGNDDKGKFFKNIQALWDSQLKDSKEKWYSLGDQYWKKIEPTLNGVLGGLDFLNKI DIEESNKLLTMLYKNPLQNLRVLDCGAGIGRVSKELLIQWFKKVDLVEQNPIYVEKAKEE LGDKISEYYCAGLQSFEFQHKYDCIWVQWVASHLTDDDLILFLKKCKLNLNGNGYIILKE NITKQGFSYDTEDHSVIRSDAMFKQLFLKAGLDLKFSGLQPNFPKDLYQVNQYVLQ >CAK59816 pep:novel supercontig:GCA_000165425.1:CT868005:51176:52778:1 gene:GSPATT00030452001 transcript:CAK59816 MQTRNWKKKKQLLEKLAQKNEAIAIAKNDQQLFDSNREFFQRFQNFYENVKDIKIPSLQL LQEQFHISELLTDQEVYKLQRDLHEQWTPKLRKNWTDDDKQILIWVVLKICSKEGINIRK IPNKAWEDVVQLISRRTVEQCKNKWTDLLKLSLQQLPWTQEQDRLLLDLIKKSKEEGKQN KWCSLANLLNLQFKESPRTGKQCRERWNNHLNPDINRYPWSLEEDIELLEIVKKHQRKWA FISKNLKTKRSENAVKNRFNCLLKKNHCTSITALLNILKSRFKYENPTIPVSSLKKLKAH HYYPKLQDHTKQLYNNQTKNQTPFTIGIFDTLNFCDLNNLQPAFYNPNSQTVIMSTKQQL QNYLNTQMVKVENDVVLNFVGEMNNQQPELLNNVSSILNINDSSNCVRYVPSIIPNLAIN SIGIDDKKSQFNIPGLQVQNIQQNGLLRNKNCSSFSYFSTQSQNDNTDKSIQNNSPKQDQ NQINKQQIEFAINQ >CAK59817 pep:novel supercontig:GCA_000165425.1:CT868005:53516:54733:-1 gene:GSPATT00030453001 transcript:CAK59817 MLLQPQKIFYKFERLIQEFFGKLKEKHQSYTDYFSNIENPSNDPNITKNGDPKIDDIKSM MLLLENIRSLFFYEGVNQSNILSQQNSLIIKSKNSNQDSILRDGKECQGCIRRQLYISCL LEKYLNGLQESKSKVEDEKQKIRTELENLQKEIKDEQLNNINMKTINIKIEGILNQQGIN ELSVLQFSCIAQKEDEESQNSVLQFLDQKIIYNERNQINQSEKCQALCFNKDDKLIATAK HNEIVLWTFQEGKMDKINGNTMRHKGEISCLLFDKDSDILISGGGDFDCQIKIWECTGQM KWKLQKETQKLDGGVKAMLLNKKRDKLFIGTQKGQIAIFEVNFETSTLGQPKIQQINQNS TSIFGLSLNEDEKYLVSCGQDCYLRLFSLDQGLIQLIKKNVHLLV >CAK59818 pep:novel supercontig:GCA_000165425.1:CT868005:54914:55356:-1 gene:GSPATT00030454001 transcript:CAK59818 MLENPFIQQVVVLFNLIKDLFGEGCQKQSNQVLELSMLKINTFLLYWDTTGQERYREILL KYQNAYIYFNHQQKATGVYLIYDITQMSTFDDVKSQLDKEEVIILICNKFDKVADNNQSR EVSLEKASTTIHGSQCIFR >CAK59819 pep:novel supercontig:GCA_000165425.1:CT868005:55488:56261:-1 gene:GSPATT00030455001 transcript:CAK59819 MIVLLLHIKHKFYYYDDQLRLLKELDNQQQDKEKQLLNQINKCKNQQGDKYNYLYKNKKD YYNKKRDNYQTNSQEQLNNKKIILIGRKIENTKSIAIGKQPKQNNIMNTSNFPSSKSRDV LGVTNTGSGKTFDFLVSALELLKKTNFIKKNQLNKYLMLLKIFYSKVRIYFSKIFGKIFI TYYGIKQLKTIYHYNTVRLLNESINRKMKLQDQILKLGYEAEIYKHTIADLLLKSTSF >CAK59820 pep:novel supercontig:GCA_000165425.1:CT868005:56395:57245:1 gene:GSPATT00030456001 transcript:CAK59820 MMSPVRSRENRKHSQANRSLNFSLPKRASSQIKNRIHHLPSISNNSTCCSNSNTSSPPQS CSKLEDDQIDMSPVSQNTFQDPLNYFAYEDFNSILPVTINGVKILKVDWSYFSAPPNILS RWKAHCFWTVGYTFDINMRKMKKSQNIKYRLVIQSWCCLNNKSWVKNKWDRLLEHETGHY LIGCLCALEFKQKADKFKYTKNYRMECTKLFQDTFQFYLQMEKQYDEETNHSQNVSKQKE WNQFIKSELLRY >CAK59821 pep:novel supercontig:GCA_000165425.1:CT868005:58607:59205:1 gene:GSPATT00030457001 transcript:CAK59821 MNFQQQPNIISGDYFLQTFFLINNLYNQQIFQYMNSLVDCAPKAEYKEENTNILGDQNTD RKIQKPHQVIRNQPVARKSLEILNQANTRSDDEDSSSRVNGHWSKQEHQLYLQFVNDHEA ILRSKYDKKSKKIFKLMSQCIQTRTATQCRSHHQKFNPLQKGKRKARNLARAIPCVITQE N >CAK59822 pep:novel supercontig:GCA_000165425.1:CT868005:60241:61291:1 gene:GSPATT00030458001 transcript:CAK59822 MIASFYNDGLDLVVLMLCQYTLPVEKERPIIQGNSKQGKPMQQGSVEEAIFEPYLFLQFL LKHILNVKVLQFNTYFRLMLLESDQQQESSNNNNHQLYKSAYSIRNRRKIYDDNDFREVV KNFYNLISELNKIRKITKPHMRKQPKQTKQRNAIANKQPTKELPTTKRDINIRPGLLQAQ QYFNSCFSQQIVSQLIPNFNQPKHAPVIYEKNKQHLHFQRNQIHIQIAYNIYIKKFGSSL VENQDPTSVAKKVMNTNFNPKSQFQEEDKQSDASDQQNKPIEDGKQKPLKDLVREFQSDQ >CAK59823 pep:novel supercontig:GCA_000165425.1:CT868005:61297:62178:-1 gene:GSPATT00030459001 transcript:CAK59823 MINLKKNSDSDIESLIESMLRLMEYMNANPSFKRKMFESFNHEDLSKIQKNLNDKKNITL RKISCLFLCCILQNEENCESFLKLSDLIPINSKISINGIPEKISKYVSHDTIFKLQSASF QENSLCWYYTYQMAKEQLPYLQFFSLNYSDIRKSITEFVDPLDSIIGIVYEKQRISKPLT PNSEISTRDKRNHLQKLSVSPIPKQQQIGNTTNNANVSQEKYQKRYVISKAQQFNQTQKL QDHSAERKSVNYDVLRNKILEQQNTRNAMINSKPNKEIQSTSMELKLRLLKNQ >CAK59824 pep:novel supercontig:GCA_000165425.1:CT868005:62700:63188:-1 gene:GSPATT00030460001 transcript:CAK59824 MGISICPKQRTLSIHEVRVFIKRPPCTVKLEKVSKIMVVDDVIEREDSPLLAQVQKTEVD EPKMQYTAQQSEEMDNNAQHPWLKKTFAQPQCNESGMKNDISFEPNSSSFDLLKPKSILK LHKNGNHSSEHISNDQQSIGSIKSNKKVSFDKQVQFSNFRKH >CAK59825 pep:novel supercontig:GCA_000165425.1:CT868005:63236:64891:1 gene:GSPATT00030461001 transcript:CAK59825 MNQYKLVGKKGEGTFSEVIKSQSFKTGNYVAIKCMKNKFTSIEQVNHLREIQALRKLSPH DHIIKLIEVLYDEPTGRLALVFELMEQNLYEHIKGRRQPLNPQKVKSFMYQLLKSIGHMH KNGIFHRDVKPENILLNADHLKLADFGSCKGIYSKHPYTEYISTRWYRAPECLLTDGYYD HKMDLWGVGCVMFEIIALFPLFPGTNELDQVNKIHNILGTPSQKVFDRFRKQATHMEINF PPKHGSGIDRLLQGQSKECIDLIKLLLIYDPEERINAQQALRHEYFRELYEADPQLYGQH TIRISNQKENDNSLEKSQRIDENKQQPQQNVKKTKNYYAKSQKQSGLPSLQFDLKVESIY KNTQHHDSDDDLEKQSSNKQMMLPQIPKSKKYDPKKIYGKQQYGSSQNQPYQFNPKGKKV THGLQGEYIVFGKKTMNQ >CAK59826 pep:novel supercontig:GCA_000165425.1:CT868005:64955:66700:-1 gene:GSPATT00030462001 transcript:CAK59826 MLNKTQINYDSATFHTICQRKHLLKDITYFLYIIDDTMILTNELESQQPKYQLQLNLETK IFWSIEKDRQLSQFGFEYKGSVKYFVAKDEELRKLKSHLRNRVMFKDVSDFYQPMKLLGK GGSSKVYLVLDKDNKQDFASKCVEKRYLKEDGGFQALFNEINLMATLDHDSVVKLEEVYE GENTFYLILEHLKGNSLHDLISKGQITQLSWDQIKSILWQILTGVARMHQLDIMHRDLKP ENIMFKEANQIAGLRIVDFGLATSTQVTTYPFPKCGTPGYVAPEIANLNDMNFRYDKICD IFSVGCIFYKLITQKDLFPGNDYHEILKLNKKCIINLDNLSIYRTPQAAMELIQSMLQID PKQRITAQQALEHPFFQGGFTDRKLKFQSQKKGQGQSKLWQTSTFRMEKSDKLQLPEIKQ KSRQRDEDEVEDEKIKIKVPVMNSPRLAQHAKRKNLALADGSPTENPKKSAFKKFSTQEF DQQSPDTCSPDSARQHPTLIINNSPKLVQNQTLKRKFTYKNYTQHQAIYEVEDEQKNQ >CAK59827 pep:novel supercontig:GCA_000165425.1:CT868005:66889:69045:-1 gene:GSPATT00030463001 transcript:CAK59827 MSQFIDQEINGYKVQKAIGEGKFSTVYKAMNKEGNVVALKKIKIFDMMDPKQREKCLKEV KLMQPLDHPNIIKYLDSFIYNNELIIATEWAERGDLKKLIKNAQSDDTPFEEVQLWNYIL QIASALDHMHEKRIMHRDLKPANIFIGGDGSLKVGDLGLGRIFSSETIEAYSKVGTPLYM SPELLHGEGYDMKSDIWSLGCIAYEMAEFKSPFKQSEKMSLMDLFNNITKGEFKPVSNRY SQQLRDVIEGMIVVDPQKRLDASTVLQKSKEIHNTFLDSKKTPQIINVLMMEDIYEKLSL VQYHEYFCIPLKKKPVSKYYFSLDENVNQNQRFYYFVELCYWLMSLPKQKKSKMAQPIKL NYHNVEETARKLLIDIKAWGIKLPEQLGSPHISQGCGDMVCFILNDLLNRELIRVNFKFE SPNFGKDMESSVIQVNKIDDEDLVEIQEEENENEEVEQDELTQSILFYHKKYNNEQTDYN QVPQDRQVIETKVAINEWTKEFNRVEKEFSKFEANLKVNKLYLKDYERTIMSISKCSKQL SLLSEHLKSNEVQQIQQQWIDYLELIPKLETKVTESIPEDIQITLKQNRNKISDLQLQIS QLNKGNSEKLEIDQQLNQQLLDVKERTPVLEDNRSKQKQLINQLRNDIRDMDIKIGIMQT QISKSYFHTNDVLSDEEF >CAK59828 pep:novel supercontig:GCA_000165425.1:CT868005:69055:71408:1 gene:GSPATT00030464001 transcript:CAK59828 MLNTKLTKLIDSKADQVSTSIKSAQNTFQNHSSQLTNQIAEANQTMKVAAPILKMVGHSE ATQIAKGLDLAQKNLVPILDQTNKVVQKSTDIANSQIQKAQNLANQQIQKVNVSVSLILK EAVGSQLEQGQQIIKQQYEKGMEAIKENQNVQTIAAKGAAIGMAINQMMDTPTDDGFGKM NQQLDNTQQKQNQEQQSQQNRNVIPTQATINADFNKQQQKLESNSFQNTIKQYIKKITGK QVNSRIEYLCLILQKLKENIDINYLQQSKEALKNEIWKAAYQERRIQSHQIFVQYMTKMV EFIFEYLTIVETVFQQIKMRYKSSNNSFEMMQTYLNNLSIQLDYFGTYSYVQPNQKLLSR LFTNQTQKQEKSKKNKNKQSHQSSNQNINGNTQDQPQEDKDIIQQQYHLDLCQNFSNLES EYQQHIQNIQILKNNLKGDIKEKLIMCQQMDKQKISDYLMQVQGQRNITFEAVEQFYKSF IQYEQIYAQNVDAAFQGQQQMKDIYDEEYKMHYYFKSLVTQQQQFGVFTTYILKEISEFE LNRIEFVQKLFTQLNTELGKYFQFPNQKSINFANPLILQQAKTAFNINQILTPEQLVVIK KYSGIPLQKEISTEIYHAFASNFTVPSPDYLLQQSPLILKKYSVQRDVAWERSLSFGKDF IPTNFIISVDGFLLFYDTDWKEQNIDEPLQCHNFMVINDLGIKESQSDETLIELKVKKHG KLFDTHKKQILKMNDVMEKESLKILLNQFK >CAK59829 pep:novel supercontig:GCA_000165425.1:CT868005:71436:72810:-1 gene:GSPATT00030465001 transcript:CAK59829 MLSPTVTENEQQRKIGEKLITIIRTILITEREVEELKITLAENIRFDISDAFRAIDSNMK GYITSQDIFRFMCKNGCSVHQKFCDFWIFTWSKGDDKLRYQLFLEFIVPRYDRDAAMQCI VRKLYSETGKYKLSFYLEEFVAKLIFKEIDYLRTIEIEKMNLAQLVEWKFTTVFKYVAGN LPVIDKRCLDQLLNKYEIQSLTQQEYNMFLKRFNRNEDQILQKDEFSDAIFPSKELIKEM QPPRDGFQEYVLNHDQQLDQLLGKDQVNDGNDFVFKPEEHKIKLNSTQKGHNIQQEFIPQ NQYPNQSTFKQRDQWTSYQQIADLKQDFTFEFLTTLNLILVLITS >CAK59830 pep:novel supercontig:GCA_000165425.1:CT868005:72960:73286:1 gene:GSPATT00030466001 transcript:CAK59830 MDKLSKKLDKFYTQVHNQKKAIRSHSKEFDDLSDTSIDIQMCVQVPLFQKSQEIRKKYND QFKRRLMIIIIHSYQYILNILQNQVKSYSPLLNLWFITLKQQKRNYHL >CAK59831 pep:novel supercontig:GCA_000165425.1:CT868005:73840:74786:-1 gene:GSPATT00030467001 transcript:CAK59831 MNSSTIFLQEKIQHNDLTVNLYILRLPTQLQEHQQLIETVKRNSIKVIFYFGTSSLVLKN QSGIKIQQVIQDEKALQSMQVELINNKSNIGLMCTETCETSLQMYFHYMVKIEKKNLSYF ENHAQWHVCPIDQLRQLYEEIHKSQNINIFDYNLLKTNSNIGSKLSNDFVQSEIITKELP RDEQCRCESYINNSQVASQKFSKILPEEDQVISELYPMKTPSLSCSKMAVNPDPNPVEKV VQPQHLLKFDDQYQLPNSITFIHFIPQDGFRCLE >CAK59832 pep:novel supercontig:GCA_000165425.1:CT868005:75092:76006:-1 gene:GSPATT00030468001 transcript:CAK59832 MSSDKFTRIQIDQKHPQSNIYITTRDFLRPKNQTQKKQKLDQLALMDIVAIINLHNEEVL YEEIPHLNPTTIEKCEKIPFLENQGAVKLIDDVLKEQQKSVAIFCDETYNKSHQLLVCYL IYDNFQIKTDINKLDSLENRICEINFEKKEIALDENRFQIIRGFDRNSLFPNYRFKAEIY QMSEAQKFQQTQMTSQKTYSQQQRNLQYYVNGDNLSVKNPPNLMKLSQADFIQKYYGHLE EIKVQACNGFCSAIVLKKK >CAK59833 pep:novel supercontig:GCA_000165425.1:CT868005:76182:76851:-1 gene:GSPATT00030469001 transcript:CAK59833 MIHQELRKQFVLQQVSNLESQLTKWVQHHCPNDDILQSHSDEAHSQSQTHTNVLHFQGLL MYLKSLSENPSQLEFHTMKSLQQYFDYMSQILQNELKLTYQRQTMKTNDKNIEKKSQKFS KKSNIILKNWLNKHYSYPYPSKEQVEQLAEKCNLTQKQIQIWFINARGRIGNKMYEERKF KNIVKSKYLSLNSSKTQKEKLEHNQNDQ >CAK59834 pep:novel supercontig:GCA_000165425.1:CT868005:77067:77430:-1 gene:GSPATT00030470001 transcript:CAK59834 MQKIKLLVFLAFAATLAAVMYQYAPLEQYYNLRRLSHETYTKGEANYEFCMELCTSKGGV NCGGKRKGCCHANACSANKIYGWDECAKGQSVRLGTDRCIGSWD >CAK59835 pep:novel supercontig:GCA_000165425.1:CT868005:77615:79548:1 gene:GSPATT00030471001 transcript:CAK59835 MLNSSFNFFEENDIAKLRKYFISKENEWKKDKAVLEQKTQLLELQLDDYKLRETSQKKLN DTITQAISDQTANQKVFVCLYGLEINYRIIKEYRQLTERPQQDQVQGVDQIVRTLSNGPF KFKVRSLSEQLQEKESQTKELELQYQKQYLLYDHRISQLEQEKLVNCQEIQRLKESLAKL EDVYKQKEQQQKILYEQELQKAKDLSMQDHKSKQQEYESKFTQLSTQYEKEKEQLQLRIS KCQNTIKKYQQHLEQNIDIVNLKQQYEDQIKQLNDQNAENQAQFTYEKQILLKQIEEMKK MNRDSNQIESMNCHHSTSKKRSIDAIKLKSSLQSYDSPVQCKSFHIACPESKVQIYNNYL KNSSTQSIILAQKQQIQSQLFQNNQSLDKSSNMNEGMPISIEQFNLMKDKKQKSQSTLSI PTSNNYNLNSYLQEQSIFQQNQESFQLDSKNNIKEDTQKPKILKQLNPNIANRQIQFDYN RSIKHELQKQKEQNCQRSMSQEGVKQQISNLNKMLGQTEISYQQSTSTQQKTNNTSLNSF KVPAFTQNQLNSLKNSSQYLCPCTTLRIKENIQKIRYNNNHSTHEETKQNKENYQPMKNH SKSDIKVIIGKLLQNKGKQSEHLENTGYIIKNNRI >CAK59836 pep:novel supercontig:GCA_000165425.1:CT868005:80608:83976:1 gene:GSPATT00030472001 transcript:CAK59836 MKFPRNDNDHEDSDDQQITGISQFQANKLKQQAKEMNQMLLQLQENFEDEDCDKYYILSK NWFDQWKQHVSYDQVVNGQAPDKKFGQTMLQNYNNELLEMRINECFKYYPLNSNPWNIWL KPNLQEDKDYIVISQQIQNYLNQNYRGPQIVRNSVGQGKDKKVVVNLFKFNAALILPNTI IQIAQDNLTQFEKEYLQADENCVLKDFYALIQKTVHTFRGNYNNQNGVRIWRYKNQNDPH KALFAEIRKQVQDLDFNDDQVFDFSGDPIENSADITLKSLKLTDNDLVVIEFQQSFKPWC IRHPSVPIEGKCESCGSISELHFPCKCKKVAYCSEKCKVNDERYHLPKCDPCGSDDEQVK NMNISEQSVKGVAGLGNLGNTCFMNSGTQCLSNTYQLSEYFITNKYFDEINEDNPLGTNG QLVRKYASLIKKLWCGDKNIVIPTSFKKAVGQFQPMFKGFQQHDSSELITFLLDGLHEDL NRVKKKPYVESKDNQGKPDFEVAKESWQNHLARNQSIIVDLMHGQYKSTLKCPTCSQISI TFDPFLTCGLSIPSKKLKSIQIKAIKSIVQIETKYINFEGSKKTMPLHEFTKEQIIPEFK IDPNQELIYYTSYSNDIQDLIDPKSEINSVRKNSKRGYLVVKPLSEEEKAIPLDDRIYLS YSQKALDGFGQQYKRTILQQFYVIIEKEHTLKQIHLAIFKALLPIFCDLVSITDLQTPEQ LKQYYEDNIYQKYYTIQFKSPSTYWQSCSFCNLKNCSDCDADYIDETYQKIKNKAQQNDL YTKIELIVFWNKSPFQNVKPVDIYQYYQNQQNKKQMEEERNSTNNNDENSNNNLNKPRYM AKSNNYSNNNAIQKVTLQECLKQSEQPEQLAEDNAWYCKICKEHVQAFKSMQIYKASDIL IFTLKRFKASSGFFKQKLETFVDFPVKGLDLTEFILNKNRPLDYDKETQQKEMIEEEFPE KRDDHKKLIYDLFAVSNHFGGMGGGHYTAFGKNHLNGKWYNFDDAQVNEVDEDQIITKSA YVLFYKRRSKEEITQDFNTQD >CAK59837 pep:novel supercontig:GCA_000165425.1:CT868005:84202:86256:1 gene:GSPATT00030473001 transcript:CAK59837 MAPKKQAQKKQTQTTKKQPTKIEKVQPAPIVPNAAQNKPKNNKPDFYFVIPQDEEEHYRR EVYQRRENRNKSCIDINEIRQDNFAPQEIPAINKVTQKIVIEQECTNDNTQLFTLNPSEQ STSFSRQLNKPKIISIKPKKLLSTAKTQDQSMDMEDDWYEELNKDINHTKHFLLGVPKPQ SDHKEKSLTTERNSTTKNIKKMDLDLQIEPSQTTAKLTKAMKTKLDSMNECYNSLLEATV PDEILCRDQEKDLITKFIEDGIKNNGQSQALYISGVPGIGKTATVMEVQKKLSSKKDNFQ FIYANAMNFGLPDNIYSFLLEKLTNIKDASKVQACILLTELFTKGCLPATYKAYEKSVVK KNRVILLDECDNLFTPDQQVLYNLVDWPQQKHAKLTIIMIANTMDFPERLKPKLQSRLGN HRVVFRPYTSAQIETILQQRMKEKKIKELFASNTLNYLGKKIATISTDIRKTLCVCRKAI EIGKEELVKTGQFKQIEVNHVKLAYDKIYNKAYHSCLHSFSRSLKLLIITIALEIHIKGY NVAYLQQVLSRYNQYLIQNKDDPIGYQEMKQILLKLSELNLVEIKEQNVLLTKTSWQQKV NDSLQKDNLRNINEVMIHLKINVDDIKNGLSNDTLFLQFSQFF >CAK59838 pep:novel supercontig:GCA_000165425.1:CT868005:86286:89883:-1 gene:GSPATT00030474001 transcript:CAK59838 MNKLTLSIKYVQTQFKICFQADKDTIIGELKQFSVDRLSKLQKVKVLVLFLQLVKVKFDN IPLNFEAKNYLLKDTQDSILDDDDLVLDLIKDNETIHLVFIPSLNNTVTQQQPQTQPQQQ PQQQQQQQQQPQPQTSLLSQFLAHPYPTSGLNFKNLAYQVKKPKAIPLQASQPVANPQVQ ITTSDTLLNNDQLKELQQKFYLDTQSIENVQQIGELELLLRFIQSKDITKTYKLKCNLED SLIKVSEMVCNGLQLQNDHVACFYSLSGLPICASLNQMQNVKVSESLNCLKNKEIFVIIT KCKVGQPSLPKIDSEVGEQQIFIQYSGSKSIKVNILTTTVLELKQKIYHKLNIPTTFQQL HYVGKVLDNTGTLQDYGIQNLSNIILTFKALDSYPFQQSYNYNFHLPWMNHLREQTQIGL QQFRSVSLVFFSKQDDPKIMAVIRKVSMNNAPLVLACKLFSQNLRSSQLQCIAFEVGMQR VMFDLIISSQTVPETFQINKTFEYSRYFYEQLLNWSLKLNQDQAKLQERYRFIDSICNIT LKKINNPAYLSQVTEEDKIDFGTCLLVDYDQMQIKIQNNEVYQKQIPYAQLKILQPDQLL EDFDLIMLQERETLIWLPSAFIDFNDNKFEITNAWIQNKVVSSHQSLKLYSGIELKNLQT YPLLTTKKSDEFLIYSGCNKDVKQPILIFNVMTGESTPMNPDKLALLQQIGSMPQDDEDD DSEQNKEKVMEPKITNPPNEAIVVLLDISGSMDELYYDSEDLTRMGVVKAFFSTFADRTM AYDLKHVISLVYFDNRIIEKCSFTELFILFKDLVNKAQPTGRTNLYRALKYAENQLLKFK QTYPKCLLRIIALTDGQDNDNHPLDPIKVAESILKNEILLDSFVVSDDCTDLKKITKATG GQCFSPQTIQEGLKLFEFETILSASIRQKQNKITLKQLRDTFKLKDSQIEFDKVPIAINL PNELNQQSMHPQQLVQEIVQDQNKLFQYASNNSLKRVIKEILNYEQHPHPHIKIFPCQGN VSFWKIILLGPESTPYEGGVYILYLQFDESYPIKPPNLRFLTPIYHCNINSQGRICHSIL DRNYTLDTTVLQIFQAIFGLLMTPEPDDPLDTTIASEYLENLQQYQIKAKAFTQEHAKKP LDEVITQIIGVKSEQNLSDAEMQAKIVEINKWIHDHNMEIEKQNNAQKQ >CAK59839 pep:novel supercontig:GCA_000165425.1:CT868005:90709:94790:1 gene:GSPATT00030475001 transcript:CAK59839 MNTMGAFPQFSFTKEQAISLYPTEGEFFEYYIDTTVLDQQLICKIDPQVPNVQIMNLCEE IYQLQGNQYISMSSNNTHFVTLSYKNEVIIYQWKDQLIQQIGESVKIDSSFNCFNINLSY DFQILIDCYQNNQLLFIQIVDAQSIIAYSIQQSLPFSTKIQSIINGTNAFIVYAQYFENH SMLSLFSSQFVNESSLTNQFVDFDIPLTISPNIYAITNQYLYQLTVSQDSQFYLKNQFSQ DNMNTFTCINVYYDLQIFSQCDQILLMYTLPYEEICIISLLGCENELVIIDQYCQFVFES ISKILQNNQFIIYQLYDTISIQEKQSNGVVSYDLNHQSNSLLYFNSDNELFVFNSQIIAY KISFPSLQVNLTNQENAGNNYTFLLKCQNKGQFTFSKVYLQVLAQNDTNVYVMFNQYLPQ NQTSLNLKITNFFVSFSGQLLQYQQNPAGIPLNFTLMAQQQAGELYQSYLIVQSLSMSLN FSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLDNKHIS FNNIKLHRVLIIQSIYINATMRIIIQLIIQIILFNNNSQIFQVTSNNIIILFPNKEIQIM TLQFTNIFTLNQQLISRFFRNIQFNPIQIVMNTELQSSLLYINNRHQVIRISINQNNIPI PISLIQANFTIQQINLINHQLILSYLCNDSQNLCFSVWNVQNLQKSYHVKNLYSLNIDNV IKISSDSIFLYVTFSNYTVYAYNPSLPYHQSLYYMLNFSSPILCSQAITSYYIYQPQYQY LYSMVLLSNNSIYQLYKFQKYETSVELQDQVFNYSISYPQYIYNYTVTSALNDKAFQQTP NQSIIIYSNFTVFLNQRNLSVSLSFDNIIPGSKNFSYPMNLILDRQVGYCGSANLTSAYD FNKYCSLTQQYFQQSSSIPNLSNFSLVTSINNQCFALQNNSHLQIVNSGLKQISNFNYSN LKQKECLKSTSNDYTLYSICENSTSQYLLNFTLNCEGNIVLVDTIQLPQRFQNIQKISTL LNQIFILGTFENSLQQLYWFNQSFNIILEIDNTNEFAEELFLCNDFSIVQITQRIQNPVP QKIIFFYAQAYQIFGRVMLIDDSEIKIQDSASVLIYYCDQQQVCYEPLITYSLVLVIQTY TQSLTMLVGNIYFSSVVEIRIKQFQKSSYQPYEGIAIRTIPNYGNSSNNGISFYQNGVLT QQFQYNKTNIIGVYYLNHLLDRNLLEPILMQGSFNTPITDYAMIVNQQYQYGTSLYFYNK SIYNYSIGTWIVKFVFANTRQNYLDISIFCLNEFQNGTYNIKFYLPPQFHFNFGPSVYVL FSLIFLLLLYFYLKIIRKTKNSGYIFSEVEL >CAK59840 pep:novel supercontig:GCA_000165425.1:CT868005:95795:96378:1 gene:GSPATT00030476001 transcript:CAK59840 MLSRGTSYDYFVQIFNINIFLFKMYMRSIFKLRQAFSVLTKYTKDHEWIKYDTQTKIAKI GITDYAQNELGDIVHVDFQKVGLLFKTHQSLGAIESVKVAADIYAPVAGEIVGINEDLKD SPNLINEKAEETWILQAKVQNESELDTLLDKQSYEKIINK >CAK59841 pep:novel supercontig:GCA_000165425.1:CT868005:96421:98071:-1 gene:GSPATT00030477001 transcript:CAK59841 MDQEIPQNNLQHEWNFNLSKAEEHALLSNQDHYFFDMNFMILPDVLDKIAIQLNINPEVI SSQQYQKERQKLLFTMLKYEIGLDILIKLVYRRDQSPLNGYYYLKTLDDVAYVIYNMQTE LLNLMIEQNLCLQQISNRIVKLFNSVLNRKKKEVKQLSLSHIVQKDLEILKQKFSRINDI NCVLRHQEYYIHNWRMIYDFLNAPQYKLLKKVILCKIELQQMLKEKSEKQLLRLMSQLTI YQQELIITYIQFSQLSQEQEIQESEMVRGKYAQLYKALFLMDTDQEKQLPNIVKLMMLYY FEIENWKLLVIEELMELHQEQNAYELLLASKINWSSLSNSDIIIKLLIASKRSLQAYVYV TELKEEQALKYFIKQMIDQNDILKLLQIEFTPEQDNIVNEIIKNLAEKEVLIEFVKKLLR AKKYFQAIEFYKKLHQTHFQLDLERIEELIKKGICQLPEIEENYYLVRMEGKQLTEDELL IEAILDNQEKINEEQHNFEPRNIQYFVEQPIVDYERVINCCGWIRQSHLGIIF >CAK59842 pep:novel supercontig:GCA_000165425.1:CT868005:98208:99434:-1 gene:GSPATT00030478001 transcript:CAK59842 MQKYSQIAALLQQCLDRKSTLKSIILKSSSNQSFVRQAYAIISKAIQYYDQLYQIVEEIK SIQYIDIFDYNLLIVLMLDIFNPQNKKAKKMGGVVARYLKTHKVLIKQLLEQNKIYEQEK KYIYIRALQQLPFESDPDEHIPNLCKVDYDIYSQYLSKNPEFLKGNTYIVQSKSSALPAY LLLRNIKDKIADIIDCCAAPGNKTIQLSHYAKQNNITGKIYAIERDEKRFEILKNRLNKY NCDNVEPLNFDFLTIKPQDYPNVKIALLDPSCSGSGMLQLRMIEASKDDSIQVPENKNEQ VTQLSQFQQKMLHHLTFFKKLKLIIYSTCSIYKEENEDVANNFLAHHQKWESVNLFPEWP YRGVDNNQHYVRVPPKESDGFFVAMFKRKE >CAK59843 pep:novel supercontig:GCA_000165425.1:CT868005:99439:100188:-1 gene:GSPATT00030479001 transcript:CAK59843 MLDNEIDILITVPEFKKNEDSVQYRIYFQNQKSNSGFENDYRYSELKRFHETLQTLKTEL PVFPKSYWWKSVNSNTQLIEQRRQLLDSYFQNLTSIKSVRESLIYKNFLLVALKESDIRV QKENKEKAKRSENQTRKKTQQLGQFITPVPNPVNLPPTPQDKRSSSMEHKPVKSQQRNER KRASKQQSPVLNFGGSKIFRGVLSNAAKQ >CAK59844 pep:novel supercontig:GCA_000165425.1:CT868005:100369:101318:-1 gene:GSPATT00030480001 transcript:CAK59844 MQITPKAITALQKLLSVNSPIHPHEIRNQEHSDSKSNQKSQQCQSSCQQDGINNRLKQKF MSPQEGIESLLRHSISKGSVNGKPQGNVKNELINVLKQNQNVKENTIKRNGDSLNQLLQP QTNAPQKEQSAKIDYKKKRPPQLGDIVQDDDNAQQKKVTTSKSLQKSPNNINKIGSGFFT STHQKQTHKNVFGSLNEVLRQYIQQQSHKRQNGTDRNQEEIKVSENKLHSAQNKRMSQSL QPNYSNLLQELYLKTTKILTSYQTKETLWKQQKNSLKEEVKFLKQLLQQQQEESNQKNQK >CAK59845 pep:novel supercontig:GCA_000165425.1:CT868005:101675:103129:-1 gene:GSPATT00030481001 transcript:CAK59845 MGNNSTQIGNGYGYRIISIEPNSFGSNLNLEIFLDYIIQIKSDSQVNAIKLLESDEPIEL SIFNIFSLETRKIYISEPRSTMNLGLLIRYESINPLILHITKVLKGSPAESSGLKSNQDY LLGVKSHRYQTIDEFSDIVTGQAYSKQSIELCIFSPLTKMSPRVVVLDPKYNWGGPGAIG CEFASGAMHSFNFSQLIKSLDSDESKEVTKNEDFQQQLSNESNQFQQIENQDILINNQEN LQAEFKQQIQLENINDPQEHSIDKSQSLSNTQISESKLNCKPDFQYQNEEISNFEQQQII NQVEIQKQQIVQDNSTVAGLDNQQQQQQHNEQIQSDIPQSETHSNLGQQQQDGDIDVKQE FEVKQINNEDSSLQQQNDQLNNSNLEENKSEIIGILKLYVKAQPQADGSQYENDSKQVVV KYANQLVFQSPKRDKKYVVDKTLLFDIQFEVPKYYVNIY >CAK59846 pep:novel supercontig:GCA_000165425.1:CT868005:103159:103503:-1 gene:GSPATT00030482001 transcript:CAK59846 MDSLAIVTSFNGPLKNDVQKFVQSTLQKELDKITYDHNDAQLKSNKICDSILKHLTTQNK NFKFIVNCLLMQKAECGLNISGSCFWDNDTDGSLTIKHETEAIIGIVNVFACAL >CAK59847 pep:novel supercontig:GCA_000165425.1:CT868005:103540:105401:-1 gene:GSPATT00030483001 transcript:CAK59847 MKSSTTLKGVTNTFQQIVLSAKQKEENERRHMSILEKAATLWQRDSSHTKTIQLQRTIYI LKQYSQNRTDEQLEAVKTYFEENFPYYSKFKEKLDHESCKSLFREMTLEWYDSTKIIFRH GDPGRRMYFVLQGELVILIPKTKNTSENPPQKKQKFKYNSFYSSSFEEWVKYVFVDYAQV ATKIEGDQFGEIAIEQKVTRTATVAAKTEVILAVITYDLYQRILGAFQHELTNQKIAFIS KIPIFSVWQRQNQLVLLQSLEVQNKKVGQFVFQKGKPDEYIYLVINGEIEVVQWSTPNGD QVSNHHNFVPKPTIMAIINSGQFFGDYEHFKQLNYRITTAKAKTDSTYYRIKYRQLLDYF QLYSSIDDFLKYENVKFQIQSHLGQRTNQNPDLTERTGDDTYQFDLDQIKSSYYKKIKVE QQIDKLRKKMEKTVEQAQKAIYYHEIENLKQSIENIESIQADKYSFLVPFRSDPYVNEMN LIRSETRNQRNRLQQQSESDLRSKDLQFSLRSSIKTQGQTRSQSQSNLNSLSYAKIMQSK LKKLSINVQPTIEINKPQFTQSKTTKPEHHPTNVENIHNVKMDFTPISNSQLFTKKKHQG SVYKFQIKSSY >CAK59848 pep:novel supercontig:GCA_000165425.1:CT868005:105415:106308:1 gene:GSPATT00030484001 transcript:CAK59848 MFLTRLRNLYKFSSAIDPYQVLGVDKFTPFPDIKKAYYKMAAQYHPDINKTPSAQKQFIL IKESFEKIKVMKGEAVQMNSEGSAKKENEYESVRKNYKDYDENFGESNEQKDYKEFYHQA EDLKGQEYDHLKKSFQTIHEVKDIKFKPIDMKMPDPSKRFHLGQVMHLKVFSDGSNLYII TICVGMFILFFVINSVFQIQTKRKTNSQLYNLLNQQVIKEDLDEEQLLQQVTTQIEKTEE FKQFRTEKLEKQEKSTQRRRQIVPEIQTFVSATDLKDSVL >CAK59849 pep:novel supercontig:GCA_000165425.1:CT868005:106336:108291:1 gene:GSPATT00030485001 transcript:CAK59849 MSSRNNSCDTAVGLQSKLIALKAELKKAQSVIVQQQHMLQVQKQLMDRKQSIDKVKQKLM NNLNLENQSLRNQVSKFEKLFQDKNQELEKLTLINKNLTAANNQLLAEMAKQLSGPTSEE KEIRIQQIKRLSIIDTKPPPPPPLPLPQKQGNLKQEQQRKKPKRQLKQLHWEAVLMQKIE QSFWNDADDLTIKVDFEQLEELFYQQVNAPQQQQIPAQVSIPTLNQHIQILNQERSRSVE LVIAKYRLTSQLVIQAVSNIDLKFLDGEKIEALMKCLPQGKEIEQLEKVKSLRDSQQIKL NIPEKFLVELYDMPFFEERLCSINFKMGFIEIKKSIDSKMQLVKNTCQQLLQCKYLEKFL LCCLAVGNYLNINTPKGIIKGFKLESIEKFNLIKGNDRETSLIIYTITVAEQENIPVFKF EENEDQLLNTQLMNLIGETSKFSLIQIFNNEINQIKIGLKHLEKMLTINDQNINEAYQTF QQEVLDYYKVIENEYLDLQKSYEKLCDHYGENYQNYESEKVFQKFNQLFILVKKAKMQSI QMKLSQVNEGRIKETQTQLTRVRQSIMIEEQEQTNSVKKQIEQARKLPLHELLKLKSKFK AKTNGKKQE >CAK59850 pep:novel supercontig:GCA_000165425.1:CT868005:108403:109815:1 gene:GSPATT00030486001 transcript:CAK59850 MFRHRDLNTFLSNYQQLDRQTKKPFEYLNLSYHQQFDHSPIKKQSYTSKNNEIQYKSLQE PSFLRQSLLPNYEPTKCSSQRNGIIKAYAANTNQGIVRDYNEDRVSIILNILKPQSRSTE QWPKCSFFGVYDGHGGAACADFLRDNLHQFVVKEPDFPWNPVGAIKKGFEAAEKSFLQIA QESYNKGVPERSGSCAIVVLVIGDTCYVANVGDSRAVLSTASGRKAVALSHDHKPELEQE RIVKGGGSILGPVRVNPGRLSVSRTFGDIEAKFEKFGGNPKVVIAEPEIKQFKITNEHDF IVLGSDGIFDKLSSTDVLNIVWKDILNCQAGNNLHSVLSTSVESVLKESIQRKSSDNVTL LIVAFSVSSLKEEELRIKTSSSIEKLVKVPLTNNIPSTRMSYSKKTNDENNPFFINTQRM NPLQKQQLEETTRYKPSYIN >CAK59851 pep:novel supercontig:GCA_000165425.1:CT868005:109864:111778:-1 gene:GSPATT00030487001 transcript:CAK59851 MQQTEFETKFNEEIQFRNERYQELKEEKTQQEKQHFNLFRNIENNQFKAVQELETLYEKK ILIENEKYLQLEQILIEERQKFQTNMKLLEQDCQKQINEIKKKFQSDLKSLQNAATSSHS GNYKFEAENRWEIEYEKLQDELIESTKQKFKSKIYELQKEIKSKDKQLMIYKSDKFNCKE IDVVQQQLELEILKQKESEKFIQELQNQNKELMEKINLHEQELSHCNDQIKQFQKYIGGL QKSKLVLSYKTYEMQTEMEPKDKKIRELLESIPRLEDEINELSTKLKQYEEKDQKTSNQI KVLNEDIDKLKQNCKKYQDLSKQITMDIYNCYHEKKEKEWVDFIKSMYQKYLSKNDRYDN INPENITEQDKKAEISVKLFTKDITSMIHEFRESDWPQQLKQLYQKHFTGEITNELCRQN QFLVKSTQENDKKFKKLLLWRDQEIYLKTQENTQLLTHLNQVLERKKSLEAKLAKQISQY ESLLKTQTRANSLRQYEQKRKSHQYERKNSAILQDDSNWHQLNQSVDLVNGQITNKFPKK GKLIRGQNYNKQNLSRFEQQRQSDLVTQIEILQTKNQCLEQQIKTLRTKLIEAGLDEEIT QTNTQRPYSQKVKRM >CAK59852 pep:novel supercontig:GCA_000165425.1:CT868005:111780:112646:-1 gene:GSPATT00030488001 transcript:CAK59852 MLQDQISLAEFVLQEAREKCFEIEVKAFKQFEKEKKQIVEKEKSNIQEEINTKYKKKAQQ ERIKHSALVNGARMRLMNARNQALTKIFSDSQYQIYKMIRQDERFYEELLKNLMVQGLIK LFEHEVVVRCLQRDIRHVRNVIEDAISEFQDILRKELNGLEFEVKIDIDEDKCLDERALI DNSIKSVQDYSSQESSSEVISKTENDKKCFGGILMTTKDGLIVCKNTLDVRTDQTFQDSL PIIRSTLFGK >CAK59853 pep:novel supercontig:GCA_000165425.1:CT868005:113470:115275:1 gene:GSPATT00030489001 transcript:CAK59853 MGNSSSSKSSANKTTNNVSQQPQSTQQQQSPQFHLKSTGQIPQIGGHKSQIIDEEIAKNL EDPIVEQQVIVEQQIQQIDIDAKVGETIEKIHINLIARQDVVTLSQFAQTLPVILQVQAL KSQLKHAKANIDLVCVVDVSGSMEGEKISLVKDSLRYIQKILSPNDRIALVTFGTYSGIN LPWTINKPENKQKIKDAIIGMKIRDSTNIADGVKLGLRMIKERKQKNPVTCMFVLTDGQD DNKGADERCQQAINEYQIQDTFVINSFGYGQDHDAKVMNNISNLKGGTFTFIENIAKASE HFILAMSGMLSVLAKNVKIVIEENPNYPIQKLYGDDFLWHKLDGSKYEMNMNYLLEGENK EFALEIEIPSSDKINSQNEIVLRSSLTGEFLELKTNFTKQQELNIKFSLQEVPFEPKEIV EVNYLRAKAGTVIGAAKELAKLKKYDQAQQSLNKVLDEIEQSHFIASKALQVVVVDLKQI KDICKPQLFEQKGEAMMLNKQKNHVQRQKSISNRMEWNDCEEEQMENYNNGKLCLSVCDM QDDYQQNAQFDSPVQKFRKNSGDSDLSF >CAK59854 pep:novel supercontig:GCA_000165425.1:CT868005:115786:117265:-1 gene:GSPATT00030490001 transcript:CAK59854 MLLLTDDPLTLSQSKIEQFELKLTFDVQFLLEVEINFNSPKIQIAMQNLSLKEQDLQQKK ISQFFIMDEDYSVTEQRYLLHLHQIAQRRKLLVKERNKIKKEEAETLKYDQLVNTRYYSQ TESFNYLNIENAISMLDKRIELQQIKKDKTQLILKNKIREQIEEELKILNENKVLEQENL RVKKLKKQSLKAISDRAHSFNQKTQQIAKKHQESIQYEQEKAFERQKEILSKDKLESDDS ERGLYQNQIKQKEKELKDLLKQKQVNDRIKQIKQQEIEQCNQLYAKIQSKLNKSQINRLS LLDNNRTSVFQNTQSHQKVEESQKFLEKYIQKQTRYINNSKESQRQLTKLKKRKNIKQQF NQDKQTNYTKIEETYPEKKSSNYSPQLNKKNKSRSLVNLEKINLQQLEKVNKVLEKQRSS SILQSQIRERKEILREASKSNFQQRSQFQELQHDLSKIKNNVSKEFLFKKISKWDCVDLI NKYYK >CAK59855 pep:novel supercontig:GCA_000165425.1:CT868005:117672:118610:1 gene:GSPATT00030491001 transcript:CAK59855 MSQQSSNQYAYDQELYEQHIKQLDKIKNEIEAQDPFIITQPIELQILESEYLENQGFHQK IQKIRESYPFFRRVRRDGSCFYRAVLFRIFEQIIQSKDQQLLNKFSTTIANSKTDLTAVG YEQIVIDDFYDEIMKQIKLCPNNITVQGIVDAFCNKVTSDYLIMYMRMLTSGYIKANSFL FEGYIETGTVELFCQQEVDPIDREADQMQIIALQNYLQIPIRIFYLDGNVATVDATIFQI PEDANPNSIFINLLYRPGHYDILYPK >CAK59856 pep:novel supercontig:GCA_000165425.1:CT868005:119634:120442:1 gene:GSPATT00030492001 transcript:CAK59856 MSNQIKAYKACWKQAVDAAEKELEEIYNQFVSNDGELLKENSHNLNDEEIKSQLNQTEND HYFQDNSDDQEDQQDQNDHQINILTQKTKEDFIVNQEIKRELLREVPYKEEKLQIYKIIY SNGDVYEGELSKDLKDGSGTYYYANGEKYDGLFSEDVIHGYGKYFFMGGHKYEGDWYQGE KSGMGILDFKTGDRYIGGFYKDVFDGDGIYLQKLIGTFQYRNGDVFKGKWKKGKKNGHGE MRYKDKRIVVGEWIDDKLQPF >CAK59857 pep:novel supercontig:GCA_000165425.1:CT868005:120492:123292:-1 gene:GSPATT00030493001 transcript:CAK59857 MKTKCSSARHYTDNDNKEFCNLMSEQQIDERFAIIQKQNSFRQIRRRNTATARQNKIEDL CILFEKLIKKSTKQKPLKQKYQAICQESGIDFNELRNMTRMDDKQDYIIKCCVNQISSQF DNILSKYNINSHTERHPKKNDDQLDLYGWLQVKSQYKSILKRLKFALVDMIQTSNNFKKV NNFLSSKSKVTSQQVSFIHTTNNMDTKEQSTQYTSRIQVNADKKLILNVPELQYSPPELF FEWNKAIEDGYISTGKSMHLMGYETIKKQLANGIHSKKRDKILNKCEQTGNYITEQELQY IASTSFLINKCIDREFIQRAINCLEDNVKNQIELNISEQILQNFYFIKENQVKIRNKYFE ELNKKKRQQISKFVSIIVAENTKNYQRQQHFSKDSLQIRVIKGKFVQYLKEAQKKKFLKE AFDHKKQSALRRLKNSGNPLANIYVQKFKEIMLGIVRKYRERKGTEKIKQQNFLERNTSK QTFKPLFIKGQDELQLEQVKHIFHPPSKLLALDPEEKFQQHQKEKIIKWSKSYRLGIRNY NISQNEFMKFYSGGPQTCRQFQSQKLKGLNDHQEPEFPSQQQWITDDIEVQAANKIKMAI KRYLYKKKFFKVLEKKEQLKSIDIEQRIQKCMKAKRFFEELHKELNNQQNERLKDITQHS PPKLPMDKVVFIPGSAPTSPQINRLSKHKTTEISLVSSRIIKQCDMQKRETNEKQRQKLL NKFVRTRLDGEAKIKNRKLIQAAKMKNSAIAEAAGFHYSKADTELYDQNGNTPLYYSAKY GDESMTKFLLKIGADVNQICSNGNTPLHMAFLSGNSQIIIEFINRNGNLNILNNDNYTPL AFGTQELLQSLNLIHCVATVKQDNTKRIDNQHLIHRKIKSETYELNDELVVEIKKRG >CAK59858 pep:novel supercontig:GCA_000165425.1:CT868005:123362:125022:1 gene:GSPATT00030494001 transcript:CAK59858 MFNNILPKHKQSKESESNMGFFGLDCKIQQSKQIKKLKIDVKCMLNQELLVMKPNKLDQQ IPDVKVEYCFYVDQKYLNEIPALQENFNAQEDVVQIIAVCSLGIKIPNDQDFHFFFECFD LLNQNQTKFRQSINNKPQKQLHRLLICSIIMKVQKMTDVIIGLYVDLNLYVLMQLTLITI QYECMEYSQYFYSLLLQYFQFQAGIYQKQITDPYLQKLIMNVAQVQIQQIKEDILLLTWG QKQLQIGTLFFKSMYKKIENRKKPFLQPLQKYQFYKTSRKRTTTSPNTDYPHYYQLLDEA QGKQFLVAYQESPHSEILIFEKDQLQYIKYSEEYVGVIERNFWGTVFHIYDYGYPKEAAG RIPKYFGQERRELVVIQYQTNIMATQPRKFTASMLNLIENQIVQLAQMDPVYNEEKECYQ LNFFGRAKRASARNFEIIDPQDQNIIYLLHGKWEKNLFNVDYRFPMSMLQAFCFSLSSIS KKFLVQ >CAK59859 pep:novel supercontig:GCA_000165425.1:CT868005:125052:126939:-1 gene:GSPATT00030495001 transcript:CAK59859 MPESQLGSVRMRPSQIQIEDDQQVSTQRRNFLSPTFSQNLPLFRELQSRQAQNREPDYSN SILTLTDEKVISCHAQMIKSLMFNLFLQAFFVNYFTYMHWKYSHRYVLLQLWIQDLITIF ILIYYNYRKGDDCIWQLIETMFLFFFKIFIVFYYEVQAFKIYFIAIMMLAVSSLLLIMKL VQKIKTPTKTSTALILQFLKTMCCLQLLLITLKWESKISWSWIQIFLLLWVFLVVCALLL FISLVSCIETLVNIIKKKQQCHYCKYNLQSLVAGNLWIFIMLIGFTLFPFLFILRTAEFY EENQLITITETAKYIVVITLFSLFLLIFTLCFYKQIKQGSLLLMIRAYLKDVQGISDQND VDQQQTVQSPNSRQLNSPHQPKKLEFVKLGIPLYLIKLSCTYFAVLDKASFEFKKKRQKS HPELESGRSNLHGSTMKETWKKILQKPVASNSMIIHKKQDDVDLEIQKPAITDNVKVKRE ETPVTVSKQEQEEEPVRSIKSIKSDGESSVNQEKCLVCYENTPNIVFVPCRHGGICQQCA EDVIQKSNECYLCRKTISQILKVQKNVNKQLEVKEASILS >CAK59860 pep:novel supercontig:GCA_000165425.1:CT868005:127783:129286:1 gene:GSPATT00030496001 transcript:CAK59860 MIKQQIKDYKIPLSKSDDLLFVHGVDQEKQQNLHESQLRKSSLHDFVLQMPKEQQNRRNS HHSITFNNENQKVKLLHTKKKILTQIAQYGYNAYMAEYIIQKQKISLDQDYQVVLDLVIE KIHQFEEQYKSCSGLQLQKTSYKQVVPISNDDQQQIDQFIVEETRQEQERDDQANNDCCG ICLGEYKNKQKALNCRHEFCCECLQSYLENKINNGQVLEIECPQQGCDNYFNDDAIKSLI NDEYYQKFEKFKRQKLLDRDDTIRWCIRTGCDKYIKGKSMFSNTIKCECGQEMCYECRRE DHPGMTCEQQEALDKYYELTLKQLVIQRCPKCKAPIQKKEGCNHMTCYQCRFQFCWLCRA RYTRMHFDSDNCFGCPDKQFSNDEPHSRPRWKKYGGIIFELFATVLILPIIPFILIYYSV MAPLKLVKHFNRQCYRQLDDGDKMCLLLLGIFFFPVIMVLLICPGLLLLLAYKIKYD >CAK59861 pep:novel supercontig:GCA_000165425.1:CT868005:129378:130514:1 gene:GSPATT00030497001 transcript:CAK59861 MDSTCNFCEYQYVHKTLNQDFAVIIKKALYEKYSSSQNYTYIRIINDLIFARRSRITNTF KDYLFWDYNDEYMENYFHSPNDVLFDTINSNSQKFVPRIFHTPIAKTMDTYYNPKIRQSR KYSNINSISVSKILQSSLLQKQQQYSSQGSIFFIKNQLNDIVTNSSLSFQTVNNKQEVGV QLRLIYQKFCDRRQETKKQKQRKKSQAHSIDKETQTQGFQFIPIIYHKDKQFLQKIIKQQ KEKESTQIQFQKLNKQNKSSRYRSSVEISNNRYGSASKISQQSTARVYESNASSRYNSTA TQQKIIRSQRTKSQTQDSNNITKALESQFNNQVDIKQTLKRFDSGIKQQKVK >CAK59862 pep:novel supercontig:GCA_000165425.1:CT868005:130825:131099:1 gene:GSPATT00030498001 transcript:CAK59862 MQQPSQLQQSSWSNNDENDLEQNADGSQSKHQEGSRKKKKHDEHKQFEERLKNIPVGQKP DPKAYPEEWINKWRQLLLKQQNKK >CAK59863 pep:novel supercontig:GCA_000165425.1:CT868005:131305:131595:1 gene:GSPATT00030499001 transcript:CAK59863 MDHDTKQYESEKQENQQSYSQNQIGVDYSIKEQQLDILAMNKCTQSFITIILNINYFQQY ESKSNVQRVKAILLSKIQVDKIIKGFEQPSQTQIVL >CAK59864 pep:novel supercontig:GCA_000165425.1:CT868005:131884:132103:-1 gene:GSPATT00030500001 transcript:CAK59864 MHLRYYLNEEGKRVYTLKNTLDDGSYTFNAHPARFSPDDVNQKYRVELKKRFGLLPTQGE PHQF >CAK59865 pep:novel supercontig:GCA_000165425.1:CT868005:132190:133653:1 gene:GSPATT00030501001 transcript:CAK59865 MEKTKEQIQEYFNIFDKDGSGSIDKEEIKELALNVGLQWNDQKLNKIIQALDTNGDGKIS FDEFYEYFLYGEQKQMDKLIQKKFKHIKNVKNLHKKLNQEYAHIFNKNNDEGKSQCSISL NVGEKSQAKSALEFAVRVGEDNKNFSQSLLKNFPYAGDHIVSIVFGFKCQNSDAVQEKFE AFFEGIIDLALNMIPEDISQKIAVFKNDLKIEYKALPEELLVRFYMNNELTENVLVAYRL ISGMFIQENSSIFANLKLQFVAGLADIGATPNENIINWVAKGFSASFDATASSDLIEYAR QGHYAAIGKYFESYKVKVLEQLTPLTLMNNARLQFNFQSYEQFVQEMQLPELNEVNLSVA VDQAKGANVNELIGGIPFVGELLDILRVEGNGQIQLAVISQEASVAITASGEGAALIWEK LI >CAK59866 pep:novel supercontig:GCA_000165425.1:CT868005:133654:133977:-1 gene:GSPATT00030502001 transcript:CAK59866 MSEKKDLIKEALKRRQGGGKSPSKSSETGGQSGLNFYSGDVSSLKVQPNTVLIISLVYLG IVVLLHIFSKLRSGGTETPTEGV >CAK59867 pep:novel supercontig:GCA_000165425.1:CT868005:134026:135100:1 gene:GSPATT00030503001 transcript:CAK59867 MYQKSSLKKQNNDIPDHYHLDENQEQDYNSVCGYNSYGIPQYHQLPINVYMILPQNYQEN FDTNIPYMQYQPQPQTPIRFEHQPSKQIKAKKLYKKKQATIITQSQQSVQQQFQRTDESE HEIWPQANFEIDQNENEKKQLFQQTLTGFVLKLFEAHQNTTLSERYIVEQVRSNLCKLKR LDGSKYKGNLYKTVKGSLTSNGIFKVEQKKENESYWIVCQSAADEFQKRTIERIQTTDKK KPSKKMKLQDDSQQNKEIQDHIKYEFAHAYGLLNGFLETQPSLKTFIEQIDQNDLERNRN LRNYNLDLVQNGIKECQDFFDELIKKQKNEIMQN >CAK59868 pep:novel supercontig:GCA_000165425.1:CT868005:135520:138267:-1 gene:GSPATT00030505001 transcript:CAK59868 MFHKQYIEQAHNQSFQVLEGKEYHFLGDKLVLSENSDEEEIKEQKKEFKVLQKTKKKLNW RKSMGLILVQRRSSALSYVEFNWVKFQLRLRGLLKKMIKGCTIEKPYILSPDGSVKMMWD LLCLGLVMYEMISIPLQISFEIDVSVEFSRVSTGIFAFDIILNFNTGIFEDGLLKMNRNA IIKDYVQFWFWVDFTTTFPYDIILDELLKFLRFIKILKLLRLAKLKKIMDKFNEILQLNS ILAAILTFGKLFLFVLFFAHLLGCIFHFTAQQEDKNNSWLGDLYNKDWYIRYINSLYWGV TTMTTVGYGDISPLNPTERFLGIFLLLIACGGFAFTMNSIGFALQKISEKSSQTKDKLSQ VNKYMKKAKIPETLQNKIRKYLEYVWDRNGGVVLQQITGALSVDLSKELQEQVNGKLFGY LDIFWSNHSYDFLVLQVMPIMKEKVFCPQEIIFDESEYESYDIFMIQSGEVDIYFQKTNI SVDKKGKNEFFGEISFYSAQKRTASAKSVDFCNIFIINSIDFLKLARSYSNDVQTYFKIR HKIVFDKDYSPISIRCYMCQMDDHIARDCPSLHFQVQASHYLAFLQQVKHVQQSSFVRKD RLDYNARFHHNLISRIQDKFMNTEKKIQYYQEHKSHMLISIEQSEVQSIKTEEDDYNAIN QINRLDNFDRRFKSSVRLRHRKSDNSCLKTESIGRKIRTAAKVTTIIRRDPMGKLTISCG SEDDQIDKVALDRINKYLEDFHKKISLSRKKEWKEFSSIPNFEKYHEYHIYYPESNISKI IPQYQKQKAKIMTDVSLNSQTFGEEELIAYAQYYCYDLEAVAITNFFSKTQNRNLLNYEE QRISRLDRRTKKLQNFIRKPARIKTALLVLKAVKRLSKSLKNIPVI >CAK59869 pep:novel supercontig:GCA_000165425.1:CT868005:138395:140276:-1 gene:GSPATT00030506001 transcript:CAK59869 MSNPIPIEFNSDQDEFHQCFPSKSESTATPGLLDNTNTSKVFCSQVFEDQLNQDDDEFNS LNKQLQNVLLTDNYRPSLIQAFSADMYKIKINKLRSSYLSHEKLTRNSRKMQSEYEQANI KEREFIFNTYIRNNIIHLSLDKYMHYILEKIIEFGPTNCRNIILDQIFHSIKKLVVDLHA CKVMQKGLEVMSLYSQESQPHFQKYINFILEENNFTRRLYTDKIGNQIFTKSLDVLDEKD LESLVKIFDKYILNPNQYSLELSTDQYGCLIVNKIIDIFPKLIDPNTKSITNDIIIRTIK NSSCLIRRQYANYIIQQILEKGQENHKRLLLNNYLIKDFVAMSLDKYGSNVAEKAIVYAG TQWRQKLWEEEVSVSESSFRKLVNDQFANYPIQRLYEYLSQDYRNEFIALLNRLHDSHFL NHHGQIVLKFSLANYNVKRFAQKVNAAENNKPSKLQEKNKQLQQIYEQQQRMNQTSIQYQ QYLQQQQMMTQQYQLFQQQQQQQQQQFQSPVQFQQYQAMLLQQAMMMYQQPQQIISPQLQ QSSLNQQQQFPFLQSIQPQDQWNQQALNYYQQLQYFQKMNQNQQE >CAK59870 pep:novel supercontig:GCA_000165425.1:CT868005:141399:141768:-1 gene:GSPATT00030507001 transcript:CAK59870 MKQPFNKCNQFPNQGIQLINQSGFQRRLYFQQNQGKVDEFKLNDQYTQQNLKRIIQQYNN EKVIKDDLIIKENSQRMMAVYIHEGMQQMFEIKQMSKQLNERTILMKDRIFD >CAK59871 pep:novel supercontig:GCA_000165425.1:CT868005:141895:143030:1 gene:GSPATT00030508001 transcript:CAK59871 MGNASTCCSHPIVQNKDTLIPFQKNMLVNEDCDVHEWEADDFVKIGLQSHPVKEHKLFDQ PSVIRRMESVEAPKLGQRLDAFPEIENQIVRTVLNQLPTLIIPQDLERGEENPPIKFDND FIYHGEWKDSQKHGVGKLLWPDGSYYEGGFVNNETSGFGRLIHSFGDYYEGSWKHDQANG YGKYHRYRNQATYEGNWVNDKQQGQGKETWQDGSSYEGEYLNGKKQGRGMFKWGNGNMYL GDFKNNKMDGHGVYYWKSGKVYDGEWKENRMDGEGSFNWPDGRKYKGGYKNDLKEGYGIF EWSDGRYYKGEWKQGKQHGKGVLRMDQSKEITAEWVNGKMLTDKQIEQQATGS >CAK59872 pep:novel supercontig:GCA_000165425.1:CT868005:144062:144637:1 gene:GSPATT00030509001 transcript:CAK59872 MVNQLIIYRAVIAGSLGVGYFLYRKMLSATMINHNLLLNQSQTNDLYYRNYAQGANNAII AGHSVNRQEDINKRKKVLIIGAGIIGIAQSLKLLSEGYSVILVDKDQDIAQQASYYNGCV YVPESVKTMINTANMWTMIKNIFKNPEDTTLRFNVNAFFEKYFMIWSLNALLKTTTNSFI S >CAK59873 pep:novel supercontig:GCA_000165425.1:CT868005:144651:145594:1 gene:GSPATT00030510001 transcript:CAK59873 MGRINMSEMEKIQKNTNILEGQLTCKELLGFYDSEPSKSSMMKTLDKFNIKHSEKSKFQS GYGKVIAEKLQHCIKFDVGSNIDTRQLSERIIDYCIDNYSGHFYISTNTNVKGFALDPKG QIIGAMTSQGIILADYFVIAGAHKTKYLTDKLGIRVPIMPIKGWALGIRVPNDTFFKEYT FFTPQYFSTYVNNEIRLSCGAEIGSDFSKEIPSEEWGAKMGLKHFNQHFGFNINMDDCYV RHCYRPITPDDIPIISQVPVFNNVFISAGHGSKGMTYCFGSAEILFQILSGIKEYPEYNI NRFYLV >CAK59874 pep:novel supercontig:GCA_000165425.1:CT868005:145621:147334:-1 gene:GSPATT00030511001 transcript:CAK59874 MGNLKSGANKKNKISDKLKLILGLRIENQFNKLSINIISTISSFLTLKEFNIMLSVSSTT YQIFGQVSSCYQVHCQKLLNVKSDIILSKQWKQLLRLLLCTPIKGIPYENAIKSIRFNIQ QHPTFIENKFNYSSFQKSILYFETNFQKVQTKEYEQVKQYGSKHFEQILKLRQGCEVHIQ MPHSLMNIYSIQDYIKIYNVYLNMRFDNVNLAQFIELWDRYCGWISIMEWSTSSIINLFN QIIDETLHKYKIPKFTFRHFMTTLWVINSNKCIKVLRKEFEHQLFNSRIQNTKVQLLRRY IQYLIDISTNQSNVQHYGQPNFQYDPEIYVLAEIAVEMTSNHQYLIDEQILHYTFGQYIY QFIIFQNLSSKRIEQFKNLISQHKEESIRLQIKQYQNPDELQLANQVLGRYNPKQQIRGL IKQISQQKIEDKDYQCLNETQESLEASEISQISTTCSSVFMSTKSNDVLLNYIQMYEKDL YNQIEHFYQVEETIVELMLDMEQLQENYDVPELAEYKVIKYETLIERLSQVKQRQSNKDS IIQSPASNYGRTTAQEMLQRVIS >CAK59875 pep:novel supercontig:GCA_000165425.1:CT868005:147484:150680:1 gene:GSPATT00030512001 transcript:CAK59875 MMQNEEEYEQFSYQTNDTYVYAHVDMKDPMAEYSNQHKKRKGNEYKVYKDLRDRNALLTI YRAVQYDGFDEQNFINKIISWLQNHQKVILPKVDTDKYKLSEKSFLKHILTQICTKPADS NASDYIKKNLTLAHYVNEAAEKQGEGFVNNILMMVQAVKLQDQAAKDQDQIYLNYFAQAV AYQMKQSKKPQNQGESSLRCNKVIFINLTGKESAFNLGEWEKTFEKSDEFVYFSFIKVLE DKQQNYEEQFQEYEKWFSGMDMANFNYFLLPYYSFQKENSNNILHGQLVKTFFQNFMHNR IERFTHKAYIALSLSLSNDIEYDPFAIQFLINALTKLSNDRLMIHIDIELNERDNHYEQK LTQLMNLCYTSFQEQNIAIQKIVPQEKLELCDLINRLQKIFTHYNLAQLYKSILYSEIAI KNIQQEIKQSKNTPLENKIKEHQRIFYKKSSLKYYIIVPELEKQDMLTPYTQVIINYQDD IIILYQDGKNKYLYFIDLNKAFIKEKKLMQKLKFTNLTNILGPQDIKNQIVFYFNFNIYI LYGQNESDFNRQGSRFSLKDDELYSLQYDEQQKLTQGSFHQKVAIQKLEPQVLIEKLKPR VSPTVCIDSYTDQVLKVVLFGGENIYTPQIMNLVEYMEIKETSFSSCIIDKNNLYKDLSF KPYPGQTILNFKIQNDILYLILPGNDVLRQKAQNHPINSQFSQNAILMKKGCTDFSISNI PFKYYGDDNYMLSSISNNQQNSQLIFFDDNLAVWRVIYSQQMKRETIEKVKLTFSCLAGK DQETKFSNKREKCFTEQHDEIDMIFAYYIEIQKDLNEADIESFFQKKYGFNKSNQGSGQI IQNIEQQQQQESKLQQEGPWQFKILDEADFEPLFQKKYELNKSKQGSEQIFQNKEYQYQE SKLQQEMNDNIKKYIKKLKSGKLFDLSDNNFNRNFGMQEERGNYNDDPYFQQEFFKSNVL DIYDADTNQLFSVAFENYLYLDTQTKQLSVKQFTYLIGDPIGMPQIDIINQQGMQEVTSA KIT >CAK59876 pep:novel supercontig:GCA_000165425.1:CT868005:150958:154449:-1 gene:GSPATT00030513001 transcript:CAK59876 MLRGNKVQNSFCQSIPCIIRPTLQMKKPLLWLNTQYKEEARLDDIKLPQSVITQKLKFLV NRNDFIKELSNFSLHSIQSQYYQKSLYDISHFIDYSQQSNQIHMNEQEASMSGLKLFQVF SPEQIQLLKFHFNQPQSITSISFIDGAINLIKNVHSFQDSLFVNFASNLFELFYEPQNNK KSKQQDEKFKELFQEFGIVLYKFDYLSQSQPLNIAKIIGQLYDLMITNSIKLNDFTHYRK TANNFIQKYYGIQSHKMIQPNIVDYKNILYTIFLSEIRPEALIQDAVNRFANSGIQSGSI SSNIDIMSMMLLHGVPCTENFLNKLKNSKRQRLTIGQLYVLLKCYELAKVPLYEFSQTLF LDVVSFISHDKQLQDGNSVLMLARICNELFSSSFITSKVAQERRNDKILNFLERNVQRHI DLLNFNHLLFISNVFNKHLKQPNFLISLLHEKLSEIKINESSLSLYNDFLEITYEFFKEQ YTHIKQHVFDFGLAPSQEYQAVTKTFVENLIQFQFTSILATNILKVVSLSCFQFEKSIIL KLISKPSLFSNDVNLLTKVMKLYDIPINQEILNIIMNGNKDDQIMTIESIYYVCQMNSLT SEQQAQLKQFAQDIIYASLAENEQYLVEQRQIDDFTHTYSLQINHQSFESVQYFPEIINT LQITFETEQIAILNKLYERTCVLWNSDILVKNLILIDKMNILNYQIYIKSSENLLSCFDL VKDGTEVLPSGLLADKKVQMSLLKAFLQQIRNSTHQFQESLKILGYLAYMNIDQFNNEDV MLFCKALGNTKDVYFSDLQVYLENYLTQNQLPNESNIIQFSSFFPFIHLKVRQLLKENFE NNKIEKLSLELLIASQNQQVGIHQIDQLFEQLDNQEKQKFIKPILQNLIQTWDLKCSIDT IIRFASQCYEEQDSEFKFIYQALEFLNRSKSSISIKMAQDETYFQLHFNQKIVDSEFETK VQELFNGVDLKGLNFKTTKENVNYFPSITTEMNYNQLPKYKVSMVKKQPKAKEEMSEGRD QLSYFLKTHINNLSMQPQYKFIDSFIYLMILNGLEGKLYKKNSNDQERQEIFGILSEYAN QYGRFRKQVQIFQWH >CAK59877 pep:novel supercontig:GCA_000165425.1:CT868005:154517:154669:-1 gene:GSPATT00030514001 transcript:CAK59877 MIYALSIVVDRLMFSGKSEENSKMKKIIKARDIKKQQADKLLKKIDKVTK >CAK59878 pep:novel supercontig:GCA_000165425.1:CT868005:154771:156546:1 gene:GSPATT00030515001 transcript:CAK59878 MDQDQRGLSPQTSKSSSHQNQVSRNKEFQLDFFIQKKFISDDLKSILEETQQKYSIKSIY VNQKNQIPELEGSAFTIDDPGENKMNAKCDAILHILSSLQDRCKQQINIILLIPEGIVSF LIGSKGSQLAKIIEETNAKITVNQPIANYSPRTVKIVGDQSTINCAIKAITKKMQERGIS QEDYKKVPEALNPQKVNSKAKLIFPSFVVDYILKNKNEVENKYDVSIKAKESNDIRLTQK CKLKRDDKIVQLQGSLSNVQDALSSLIRRVTEHQKKQELEIKIVMPASYASKLIGAKGGQ IRDLATKSKGAQIKVLSDKDETDHDYHCIVQIAGNLQNKQDASKLILQQIECFKNGGPIM DSGKFLNDENHTSQISNNYDEYKIKRFIILPNLFREKSSSISERNSRSRSQSRRKHKHQR KRSIERRSVSSSYSKRRERANVLSTKIIVSNEVMHILEKYHKLSEYKERYNVDIQADDSR RNSESYLRLKGKLKDCLIVIEEILNEQQKILRK >CAK59879 pep:novel supercontig:GCA_000165425.1:CT868005:157945:158565:1 gene:GSPATT00030516001 transcript:CAK59879 MNNQLLTYGKNSIRKHNLEKRQLQTMFFPNKWNFHYFFNLMKDCQQFIIACVSEIDHSWI IKLLVLLANGGRKIYLMMDCNERQKNDELFQAVICELLIESQFRIKIVVNTKKYESLPTN FCVIDGKVLITTSANWTINSFNKSHEWMMFDKKYDNIVEMIEIFEQMWNQFNFVTFINED IALLDNDDSQFQPVFIYSQDCVFDTL >CAK59880 pep:novel supercontig:GCA_000165425.1:CT868005:158822:159241:-1 gene:GSPATT00030517001 transcript:CAK59880 MKYSKISDETRLAFINKVEQGVCTIKQAAKQFGIKFSTGKAILSLYKHEGRIGKKQKRIR KIKNHNQLDQRETMKNIKEETFSNVRQGQEIKNGQNSYSQFNSIVQQYNNYQQWVNINIW LQCLSGMSFPSLGTQRKGF >CAK59881 pep:novel supercontig:GCA_000165425.1:CT868005:159619:160041:-1 gene:GSPATT00030518001 transcript:CAK59881 MPQIQLHYFNIKSIYLITSFQHYLEGIFGLYNNEYWENSVFTSIEILDLKKSLRLSSDFL LQMMIIIYGYQNEIENQQRVDNSIG >CAK59882 pep:novel supercontig:GCA_000165425.1:CT868005:160610:162001:-1 gene:GSPATT00030519001 transcript:CAK59882 MKKSTKTQVTNTQKQKVKSPTKKTTEIQQESTLQTGRDWAKQSYRSSQSPSQHSPSGISP TTKRMSSSAVQVPSNVRMQKRHSSIVAKGTEMHQRSVIKYLGLNLEPVCDKKNHEKNKLI YICQNPLCKAQKRLGCAYCLLEEHNNHETMEVQQFCKLFDEKYREFQDQCSSIQKMPERV SEVKQKFEQLIKDILQKLKLIEQGIICAVEGFLFWETKENGLTEQVEQLIKKNIYDMTQD ELFDSIEFIQGKHIREITQIATQTNLAVKKRTIQLDLTWQTYFPQLESDIIFALDDNKEM FIQDQDLNLIRLQKIEMKQQRLDGLSQQIKEQIQNNNIVFKQEDDQMANSLPEIKNESNN HFEFMNMDGQPSQYLDESQQKIQEIVTTFPHILYTQDCEHRQPCNTIPIFACCNQAYPCA QCHGYKLHPPKISVPSYRYCMKCLEIYLVIYPTNQAVNCLKCQ >CAK59883 pep:novel supercontig:GCA_000165425.1:CT868005:162059:162910:-1 gene:GSPATT00030520001 transcript:CAK59883 MRGLIVRFRFSEQVSIQQPIQLKRFYKEATIEMATNPTNPYHQWLVKLDGKTVKTPSKNT LAVPSPQLASFIAHEFNMQTEYIRPTTMPLLTLARNAIDIEADDRIRQFMEQSIISYLER DTVLFRENPETKLYKIQKEKLDPQLKIFNEKFGLHLKTNFGLNIEPLKQYDQIRIETIVS ELNNWQLVSLDAKVENLKSCILALLIWNNHLQVEEAVKLSRLEEDFQIAQFGKVEGHHDY DENTIMMNVSASKLFAQLIQTQSIAY >CAK59884 pep:novel supercontig:GCA_000165425.1:CT868005:163327:163875:-1 gene:GSPATT00030521001 transcript:CAK59884 MQEFEASYHDVNQAALIFYEDTDGSHQDTDKFLNWEEDLIQISKASPSQRDYKKNICRNI LRQAVKSMRRGKQFEFLQMELQRETSHFIDYYQKNLHNINGFRSLKKQLIIDQKDTAELK LWKRVFQSYMVWFLNQRASLFILNGEANNFKEYLRFKNEVMLFYAQFPEQWCSNEPAWKI CN >CAK59885 pep:novel supercontig:GCA_000165425.1:CT868005:164990:165490:-1 gene:GSPATT00030522001 transcript:CAK59885 MQDVQQPHSSKLDQESATNAKNHLLLVNNITELSNELLQSYKHEIDQLKQELHLMKQRIT NNNEEIKNTTQPTLDAMLRDLRQAINTQKDENSKLQSQITEIKKEKSQIQQLIIAATQKV AELEHQVGNYTSS >CAK59886 pep:novel supercontig:GCA_000165425.1:CT868005:166821:167528:1 gene:GSPATT00030523001 transcript:CAK59886 MQSIINQCQDLDEEYRQLVQRINNMLDNLSIVSLEFVNSKLEFLEKYSCTLKSSQIDARI HRNSQMKNLIEYIKMHRKSEAKSLAQSPPAKTAHHRPKYSFNEKAFSSLLYNSMSQMTQQ KTLYNELDQSQQKCTLKNKNLNVLQNLIGKQKIEQKKGNEDMFRLLQKCMQLISQKQEFQ DEYADLQKEYKEIVRSNRSLQCKVNLDQKSSKLKENLNQLMISQESFKSYLNSVI >CAK59887 pep:novel supercontig:GCA_000165425.1:CT868005:167619:170209:1 gene:GSPATT00030524001 transcript:CAK59887 MSNNQYNNKKLAPFKRQRDTLKEELKKLQQEQADEEKLNDNYFDEIGRVEKEYESLIKQI ELYNKHNHTLKAIGQMDQNQGLDETIEKNVIQTLNLFLLNTSKKEYLESIQEQEISKKQL QSGNLIMTQIQPNDEKQIIQEVENTILEDGYYVSLKQGCNSLVVKIPGTVRTFKELKQIV KSCFMAEEKEIFYTDLMGNVIQPDMIILDQLYPSIYELLKNYQPVIGIKIIKQKKIREDT KKTEADFMFDGATQELMTKQLRSTKRIQKSINWSKYMDYMTNLKYILESILFVSLLILYA IIEISELDFFTNSQLLLNQSLNMAIQKSYISPITNISQIISLSIPNGSAHGLSPTYPLQS GLLIQTLVGIDNFNNCNILNENQKQMYLDNNQSCLMFDITQTSQLNQSYTKLNFDPKVYN EQFGGYVWEFNLSSRESFQESYNQMISEEWLQYNIKQSQFLLNYYNGPTQRIIQVCITTL YLFNDRLMNYNSFQAEGFNLKEIPEQVLINKDIIFYCSIVLLISSFFDFFGLYLIGTKNN LIVIYLQYQELLNRKKKLEAKKRQITESDQKDLQQKELIVGEYAIINLKVIYVTIRIPLV FDYICNEIISILDILCQFGMVMKNTIDDQYEEALQEIDLKSAQYQDASALIIPLFLSRIF SAVLVLFLMISIVRFMGNWSPYLKCYGLVMIRFNSQSWFLMLVLIFIISVCAMSWIVTIQ GKLINHDNFIYTFLGLLRCTLRFGLDNDIEQQGFYNTYAKDKIYSFETKYLQYIIIITIT MIMIPIFISLMTDLVQNTKVEAKQKMMEMRRQNQK >CAK59888 pep:novel supercontig:GCA_000165425.1:CT868005:170337:173022:1 gene:GSPATT00030525001 transcript:CAK59888 MKNYSSQPMQTSGRGSLLDNPYTMDFKPKKNNNTKILKTESITTVAKNPKQDLLEYFKKE RNTITQVTNNIKSQLQQSRSIDNLNSQKSERQKISNRVQTEEGNSNKKQLLKNKNFSLAT LQTEQKLQKNILKHNQMSQINQNKILKSTVDQYFQDLQIKSAKEQKNMQQFDNQQYFTMK QNKQSSQQDSNTLKNSIEQILSKNKIQFHKNTNELQSANQSHQQKNLQDEFNQYDSQKQL KIILVYKGQKYHYYYNHQGQTTDNLYNYLIQQIACIEKSFMKQGGGTGSTEEVQIQEEIN KICQFYTTQKIIPYDYYLSLPNLPLNVFQGVTLQLQPLNTQSLYGKKVSLRDFTLVKCIG VGGFSRVYLVRKRDDGKFYALKLIDKNFIMENQKEVIVQNERDVMVNVNNEFITPLHFAF ETKYYIAFVLDYCAGGELFYHLRKLKRLTEEDAKYYLVEICIGMAYLHSKNIVYRDIKPE NILLDLQGHLLLSDFGLSKPNMTNEDYAYSFCGSPEYMAPEMLLKSGHNYLVDCYCLGAL LYELVTGLPPFYSHNTQEIYTSILSEQVQFPPYVQISDLLKDLICQLLEKDPQERMGQSQ GIVEILSHPWFADINIEAIVNRTIQPPYKPEPLKYNFDEEEFNKGDTEFRKQYGINLQKE YVNTDNNPNFILRDFYFSREDLPQTLQHKPIRSNHVNLAQLNIENVPNFEINPLDKHRQI SPTENRRMPKYSGKSEIQDLLKRNELSNKSASLIQSGKITYAYSKTLQQQNQNADIKALK QILESSKGQMSTERTATLPDQKVHKNENNRIKTEQFAQMLYPKTTTNHQFHKTPNIQKLF GSEKRQK >CAK59889 pep:novel supercontig:GCA_000165425.1:CT868005:173064:175131:1 gene:GSPATT00030526001 transcript:CAK59889 MKFSFKNNYIINTLMIQVLDQNDKEIYKYRLAQGENKFGSDSKLCKFLIRNVQSVLFSVA LINNDVYFTHFSDSSNVYKDSTLKPYSKVEIPKNFLIEIFPTKNYYFQTYKFKLLDLSES SQQNTCCQAGTTQNIEEFTGTMPLEDIFQIPQQPQKLSTTQSFSTTTQVLNTQDPCSSTL IIEEADQSEIFTLNELIVQYSNMRLMNQLAANDKQEAATQTDLIEKEPPPVQKKGTLFSD LFKIKKQQQTTPNVIPKVQAPEPQIIDSTVIDSQLLGATVNDEEQQKFFEQNNEIKGTMI DEELEKAFSSQFNNKEEQKSQVSHSQFNRMNSIKSENVYTTPKMDVNSNIELNDIFGSLP ELPNQSIVQPQVIKKFSSLKSNLFSIKTEEIQEEKNQSQQDDTPKKLRQQENRGTENKKK KDKKKKEVVKKVGMLKKITDEISNNSISGLEEEKIQPKQQLPRHSNSMELPNTKILEEKA QNIVPKSRRGLRKKRLVNNDRQFRMIAFSGFSENEIPSEKDLMKLDLELVENQFEKFDLL IINTPFKRTFKFLAALMHGAEIVTADWLKDSLAQYDQQNHQNYVPESEEFQKQFGLSIKQ IIQCRDDYFESNDNIIEIFEGKYYVNEDAIPSRVEIEYLIKLGGGQVVRKANKNVYVISE SKKPNTYASDFILDACMFQQ >CAK59890 pep:novel supercontig:GCA_000165425.1:CT868005:175179:179269:1 gene:GSPATT00030527001 transcript:CAK59890 MNQSVQHERVIKQKPSLKIQTKDIKASNQLIEEINNLKLIYQDKIIGIPPESGYVKAIQI TIYPEEILDESEAQAMAVLGLHFPQSYPDHVPKIDFIQLEGFNDIQKEELFSDLFETGLN QAGSQIIFSIVVQCQKQVTSKHQYNYNEKINTRNLYDIFMQEEKKREKQKKLLTKSSQIM EEKENTKYTSIQEEFKLRQEWLDQQANEQEPEPSEPFIQKSPKVYAWQQLSGMELLLIHL IKIVLKNCHHQNYKQLVSLLHLFQITTNKELLKLLSPKYEVIFNNLYLNKFDGIAKNVRT DLYQEQEKIDFKMAEIFQMHKSQDKNLTDRTNLMNLLFTPYSKSSSKLSNFSNNAKVLEE QQQQLTLSPNQKLTLIKEPSFNRMEADFDIVTTLGKGSFGEVFKVKNKIDGRFYAVKKIK IPYGQGLARIMREVDLLSHLHHRYVIRYYQAWIDECDKTQEDFEIHEDDSEIQLVSGFTQ QSDSQFNFNASSLGSGLFQNSNNPIKNEKKILVLYIQTEYCTRTLRKQIEEVYPKSEQSF IWNQFRQILEGMVYTHQQKIVHRDLKPENIFIDTTEDIKIGDFGLAKHTSKNSHSIVYSN SVENTNEIPEILSFITQQPLLKKDDLELLDKTLNVGTYFYCPPNNEQEFDEKRDVFALGV ILLEMWHPFQNHKERVKTLSQLKLNGKLPKSFQVSHPRQSALIKWMTNTDPKKRPTIQEI LHSELIPPKMEDELLKEAIKILGTQDNESIYYQKLIEALFNNKRLNYLDRDRLYLQHCIN QKFYVKQCYMPKILQFMSQQCIVQIQTPYIINKPKDIDKNGTFLYSQHQQPIQMMNQNGE IVFFRHQLRNSFVKQLQLLNNKLQQGKSIQCFEIGETMISELDQIRVQNQLNYDQIQFND DDGLLSTIILSIQLMDQLNLEIPKLKLTNQEFIMDLLFAFNVYDLDSQKQIYQYMSKVAS YNQFNASKLRYFILNDSDNAVSKLIIEKYIDKLDKFILLFQYKCKIQDINLVEVLQLQHN EDLKWKQNKIIEFGKKILYQLHCYRKIREQINPSSYNYEIPILFDCSVNNKKYGFSHGFQ FELSCLKQKLKGEYVQLPILYGGSYEKSCKKLQTLCSIIMDVPTQKIEINLNHFGGGSAQ LSRSNSIISQKELPLSKVQSNILKEGARLSQVCNKKVEINGFTLELDVLEEIFSVSQEQQ TSLLFKYQVLICSVGGNLQEAKRIYLQACHYLDIPAMIYNDDETCQLEECINFADRQDIK FIVFIRDKLYQQKQKVIVRYKKDKNNKLDKEVSFDEFIQFMVKHK >CAK59891 pep:novel supercontig:GCA_000165425.1:CT868005:179390:179983:1 gene:GSPATT00030528001 transcript:CAK59891 MHQQTSRKQCQICQMTRDNTYQNPNCMHSYCLNCCQNNKIKGQCEAKDCKAQINLSKVVQ YFEEVNRIQMQGLTGKQYNNNSEISVSSSIKSSMINKSKQYASPNESIYDSQQFYSKNPK MDISATLNYCALCLFYIRDDLLKQQLQVNKNNHYLECQLHTICAYCVSYFITRKKSKKYY CEICERLIK >CAK59892 pep:novel supercontig:GCA_000165425.1:CT868005:180005:180329:-1 gene:GSPATT00030529001 transcript:CAK59892 MKLHAKQFYRTHGQLIGSQQQYIPVPKDSNHNGKFTDHLLMATMYRNNSLNTTCDKERWI NGSKDWMEHLQ >CAK59893 pep:novel supercontig:GCA_000165425.1:CT868005:180533:180811:1 gene:GSPATT00030530001 transcript:CAK59893 MGCAQVVNTTHEIYEPIIERNSSKRTTSKNATTCQDSPIIFPLNLPDGFGKYKKNPRNTG RRTKLRNTMRIEIQLDSSKNTILQRRTTSALV >CAK59894 pep:novel supercontig:GCA_000165425.1:CT868005:181379:183323:1 gene:GSPATT00030531001 transcript:CAK59894 MKKGPVKRKFESFGFSSSNPYDQRVQKELVLHIQNQVQIGNDFTLKLYSWMKISILKQKI HKATKLDLGDFRVLYKNQELQLNNQDLEVIHILLRQDYGIKNHVSLKLVARKQGQQTYFI NSLHNILEESRSTQEQFQNAKWAVEGAFMKGITPKLTDFGTQGTYILENQNHKPVAIFKP YDEEAFAPNNPRGMRGKMNSPGLRQGILSGEGVDREVAAYLIDQSSGHYHNVPITNYVQI CHPTFHEAEEYKQFQHEKIPIKEGSFQLYIPHDDNVGNYGSGLYPSMEARKIAILDIRIL NCDRNEENILVRKKKLPQANGQTRQAFDYFLIPIDHGYSFPDSFKICRDEVVWYHWNQMT QPFTQEEKLFIERIDPEKDIKMIKEKVQLREICLRNAKIATILLKMGAAADLTIHDIAEI LYREDPDELSPIEKAVQTAVDNYKVPKGFSNFKEKLLSNNLIQKSLEFESSNLNNLDKPQ INQPLLKLQIIRDEEENKDTNERENKTQYSDKKLTRAGSQQNIKSIKKPIEKEWNEEFYA HISYLLQQLVEHKQSEKVPSPRKYRPRYISEEVK >CAK59895 pep:novel supercontig:GCA_000165425.1:CT868005:183358:184187:-1 gene:GSPATT00030532001 transcript:CAK59895 MKQNITNNPKVIRKRPWSEDEDMKVLELIQTFGPQKWTQIAQYLQGRVGKQCRERWHNHL NPSIKRSPWDEDEEWILYLYHKVFRNKWSEIAKHIIGRTDNSIKNHWNSGMKKKQNEFSY KFNQIKLKFQREGLSTLNEYDIQQKRILEAILYNKPLRIEQNQFSENDNLEQPQIQQKKI RIEVLQSEKYTDLELIKEDEQMIQQNYQHHELDYSNRFNSHFLLQLFGFESKVLGFQESS >CAK59896 pep:novel supercontig:GCA_000165425.1:CT868005:184295:187044:1 gene:GSPATT00030533001 transcript:CAK59896 MSDDILSKSNLGEFGEDIDQQLYERCEQFCQKLRRTNQQLYKQFSNIVSQNTRIAKEQLG QMLGEEPELLKEVNGLLEDDVKFKTQKEKLTEFVNNETNQSKAMETILKQIQNGQLDGHQ NKDHILDLFSNDPQLQQQFLQSFKKKHEQNPPKKKVEENRNYFQRLLDKSGIAVIDMVKI DQLKQNELLFWDKFRLRVIQQFPNDHQQYMNEFLKVIHLYSECIITQMEVMELINQQGWL EREYIDDIRSMLTTRVIARRIQTPLFKPLKDTDFAQVDRVTRSYVRMPIGYAKANNNPEI LNHSWVSVPFGSEDQSFLIMRKNTFEEQLFKSEDERFEFDVNIQQIKRTINLLQEIIDGN KGEQALVTKVIDMRILQQLYRNQTQDQNEIIQLFQSKPVESAKILIKRVKQKLNELVQAR NTKAKQVWDTVSTINFHRSLDHRSFYFKKNDKLVINGQRFVREIEEYAKNVLIKFLGTKD HVFIKRKADIIQFTFDESFLNSLAQMTNIKPFLPKQMPLAAPTKENVRFCPLISLWMGNE QILQECGQFVIHYLQIMGGNNSLDKRNGTFLMIKLINHFFQVPMKPMQISELILNDTKLK TEITELEIYDIHYNPEENTVSIPEIENEPPGHFLNLQPRVKSTTYVTQNLYILLRFLHTI YQRLEMAYIISKQNTLGKDRRYNLFKSALFLSLKAKDFKYEDHLRSLFGKHGFIFSTLEK VLHDAAKQLAICASDAVTTSYFEKSASYNGDIDKYFQVVSGIVNGEGIKVSDLEAEVYYQ FQTLQEEEMLLRLHETLQNCKPEKKPIYRIACWHKACFISMLPGYGGHNQEKCTSWKQPF LMRNMMRGQRRMMIRNGIEWMVDEMCHFKPIINHGEDYLKCV >CAK59897 pep:novel supercontig:GCA_000165425.1:CT868005:187101:187952:-1 gene:GSPATT00030534001 transcript:CAK59897 MLQELQSLKERKESLRNQRIALEEELNQLLSYMEQGSKKQSDAYNVKCLSTEFEIKKKEI QKQMDKESQEITKNQQYCAEQQRVYKERADWIDKFNDKLKEDFKSCQKKEETIQIRSTVA KGIKRLFLLQLCTIFFDKENQRLLWGLHKIKFLNDGQLNMSSQDEDQLATSLGYLGLLLQ QIAKIKGVTLKYEFKFKGSKSYIQQQNDTLYLFSQKPSSLKVLKQSFGLLIQNLYIIMEE LSVQKQWRNQSLPAIIGKIGEMLWVDA >CAK59898 pep:novel supercontig:GCA_000165425.1:CT868005:188151:189878:1 gene:GSPATT00030535001 transcript:CAK59898 MLDYIKEKNNIIITKQKSGNSLYQSSESSPINLLGNHKKQRFPTISNAQLKSAIEQNYLE PGQKHTIIRNPNQLTVFQMQFDMNSPRTQAAMEMLKIHEDDLNVQEYANFYQKKLSQLQN LVQYLQYVSNKYQTLNDLLKRRNQIKHIQSEVTKRLNSKDIKIKDQSLIAEQPEPKNTQR EDSQISVILNADQKKELFEKKINKESENYNKFLNIVQDQVNKQEEYYSKTAPEIYEKAEQ LKQKKLDQIKKKLKRKNQKVDQICQKIKQEEQLQYRKHKKIVQELEKDLDQHFERKQKLL LQSQEELVDQLKKKEEKERERQLKRQIQISLEQSMINQVMESMKKKSDYLNDYLNRKQLE DRKKQQQSLKMFEEKQKKLQESSAQKENEYVKMYFSKSTQRQIQLNKHEISQIKQQKSLS MKNSRIMQKYEQYQESLDQKRSDSLISKLQEADDKLENGLKRHQSLLDLRKQNLCEKNEH RFKLAKEKQMENMLEKIHKQNKILEKNLEISQKNEKNKQELEFLEKYKKEIMLDKLIQRN QKIQKLQS >CAK59899 pep:novel supercontig:GCA_000165425.1:CT868005:189920:190726:1 gene:GSPATT00030536001 transcript:CAK59899 MFYYNLNLISASQPICYKLSSFMNESIRSTLKKVLEMQLDCTQILTTILFKNNDTNKDVE IRTTKQLLEEQRNQIDAGDQKRKKTLLNNEKSLDMTKQKNSDQPLIQDTNVQLSFSPEEC YSKLNNMISEFRERNSLATKILNFDFEYIKEYHSRNKFKELRSKVKLVINRIQNKRTMIS IVNGKRIYQCPSCNFQGFSSVYYPHILKKHCQNYYIFCCFLCQQDFSSFTVLKKHLKKYH MEALNTSSDIEIIRVQQDENENDLSISI >CAK59900 pep:novel supercontig:GCA_000165425.1:CT868005:190826:192765:1 gene:GSPATT00030537001 transcript:CAK59900 MSEYFRKYIKKENYPNHFSNIKYKTSFRNCILEAFKRRNWKETDGDDWDIMWAEKEWIHE VMDHIHLQPHQKINHFRNHYELTRKDLMIKNLKKQRRMLEKEGKTDEANSYNFFPLTFHL PSEYPIFNEEFKKQGDNKTAWIMKPIGKSQGRGIFLFNKIQQISQWKNQVKFNPENPSAE SYIVQRYIADPLLIGGKKFDARIYLLCTSYSPLTLYLYRTGFARFTHHRYDNEDITNTYV HLTNVAIQKTSDNYDEKLGGKWDLQKLKLFLMTKYGQDKVQECFYNIQQLMIKSLLAVQK IIINDKHCFELYGFDILFDSSLKPWLLEVNASPSMTSNTPIDFELKCGLLDDVFTIIDLE KILTGNEEQIGGFDLIYKGGPIKSQYYSSSISFLGTFNNRKAHLKKLAKNCALRLNQTTP QLKSSEGTKKEKDKDKDDKQMRSASKSSQINQNSNIQNKIAAQPRGITNIKRTSTDLPIL MNKQNSIRKEANLNSQQKQTISIQQKTELEFNQNKVFSQLNNSALSPYQQDESVTNNNRV IKNSFQTLPKIAKPESFIQNA >CAK59901 pep:novel supercontig:GCA_000165425.1:CT868005:192788:193702:-1 gene:GSPATT00030538001 transcript:CAK59901 MEQKLQLLSSSIQVDPNNPQIPQNLKHLKLEIFNELAANRQATEKHALHFCELEVTYLLI SKQIENFELAIERLMKFYNQGLESRDKAHYTGIYLIYLLSFNKFAQYYSQIELLPKDTFS NPFVHFVLQLEYKLSIGSYSDLLGDYHPTGIETVFLERIMDTIRLQTALSANASYKSLTI ENAIKLFNVKSIQELQQFAQKQHFKWKFDNHHVYFDNAQNLENPLNSEFLIQNSLRYVHE FDKII >CAK59902 pep:novel supercontig:GCA_000165425.1:CT868005:194699:195838:1 gene:GSPATT00030539001 transcript:CAK59902 MSSMQFRMKNSRPITTNGTRMMESSANMGSKLMYNYDHPISKQLTSPKFSCEYEVTQEEI RQLKLQLNASKKESSQAKSRILYLEKELQRYENLVEEHSKTANNNDTTKLVIEKAAIVQK LRAKHKQLVQELLEKTKEIAQLKKSTKYTTVQELEIEVQNYGEENQRLREKLEYYQSIVD LRSSKEPLEKKIIQYEKTYKIIHKDNQELLNQIKQLENQNKQQKQKIEQLNKEISSQQTE IYKYQESINELQSYNERIQAMLEEEKQNEQQPSEELRQECFQQIETLKSKHTEELDEKNK EIRDLNAEIYKQTLHIQELEKLLEQYEESIKEKQSSNQSPMQIQKSF >CAK59903 pep:novel supercontig:GCA_000165425.1:CT868005:196818:198150:1 gene:GSPATT00030540001 transcript:CAK59903 MRTQIKYPQFLDTRRISQFPQCKCKSKELGKNILSKYIQNLLFKKFNKYFNLKIKKSYKN KYLTYFIKIKFQDRLIQEKQIILKAHTIYVKINQNGRFMYQNNELNIVFQILNNFCIYHG QVLNKKPNGEGCFKWINGEQYIGQWLNAQKHGIGQWIGLEGDTYIGQWVNGQQDGLGEHK WNGNVYFGQWTNSAKNGYGIEQFLNGDKYIGNYFYGKPQGNGEYRWLDGSIYQGQFLEGK RHGHGMWSNKLGSSYEGDYENDLKNGKGKLIYQDGSYYFGQFVNDMREGQGTYHQSNGVI IDGLWKENRFIGSNNNDSNRQRKDQSQAEQNQRNLIFALNNWQNQKFNLQLKQESKLNQS NRANSTNQQRASRMSRCQRNPKSKSDDLQGISIDEAERTIKKKKNYRSQQSNYLLINNNK KSIKNVFEF >CAK59904 pep:novel supercontig:GCA_000165425.1:CT868005:198175:199643:-1 gene:GSPATT00030541001 transcript:CAK59904 MQPNQNQAPIPGSVEIIKDKLYWISDRQAPRNQPNAFYFCIDQDLVYEPFFADFGPLNLG HTYRFVTELEKLLSDRTYQQYAIYHYTSLDSAKRANAAYLMGAFQVIILKLSADDAWKPF QSVKPAFQDFRDASYGQCTYKCTILHCLRGLEYAIKLKWFDVRKFNLRDYEFYERVENGD LNWIVPNKFIAFSGPSATQKDADGNRTFTPEEYVPIFKQFGVTCVVRLNKKAYEEQRFIK NGIKHEEIYFLDGSVPGDDKILRFLEIAEKENVVAVHCKAGLGRTGTLIAAYVIKHYRFP APDFIGWIRICRPGSILGPQQIFLLQKQNWLISLGKDSQIWNQVKQIAAEVNIEKRLKDL QLGPMSEADKSKAEKGDQDQAQTLNKAKLMNQTSNSPGLKK >CAK59905 pep:novel supercontig:GCA_000165425.1:CT868005:199766:200147:-1 gene:GSPATT00030542001 transcript:CAK59905 MKMIFETHSFRQIVCELLDNCYEVLKAFLEQAIQNDEAFEESKTQINRDLNNAIKVFIEI MVIQRVNQQQFKIFEESFIRGDAFQEQLGSLQGFFLLKKFIGFYLNSIFRIADSIC >CAK59906 pep:novel supercontig:GCA_000165425.1:CT868005:200991:201827:1 gene:GSPATT00030543001 transcript:CAK59906 MDITDKFFQIAGTSSLKQSIYESESDYFNSYTQQIYSMVITIIQFMEIQKDKYIAKSNLY GHPFSEKERDDFDHEVQTKISLCSEKIKGIQEMLKLSKTKTEYAHKEIVLGCLICELNKG ILLFKEFKLFRQRQKERISQLTKLSKVNSKIDKRSEGQVPVSSEQKNDPLYQENQQLLKK YDNEMKDILSIQQQIRDISSSLQRMQEIIAHQEKLTEEIMIQANESLLNIEKGNKHLISA TKMNEQLGTRWGLLFFLMGIILLIYDYINA >CAK59907 pep:novel supercontig:GCA_000165425.1:CT868005:201827:202882:-1 gene:GSPATT00030544001 transcript:CAK59907 MGGDKHDLSYYVKCMIGGTLACGLTHTAIVPLDVVKCRRQVFPTLYKSLGDGLSTISKTE GFGGLTLAWGPTLIGYSLQGLGKFGFYEIFKDVYKSVVGEENANKYRRIGWSIASGSAEI IADTLLCPMEAIKVRMQTSKPGSFTTSGTQAFNQVKGNEGINGLYKGLGPLWARQVPYTI VKFVAFEQIVALFYENVFTKPKDSYSKFTQLSVTFASGYLAGIFCAVVSHPADTIVSKLN SIQTGGSLGENVGKIYKEIGFSGLWRGLGTRIIMIGTLTGLQWWIYDSFKTAVGLQTTGG GSSAPKPQETKH >CAK59908 pep:novel supercontig:GCA_000165425.1:CT868005:203072:204545:-1 gene:GSPATT00030545001 transcript:CAK59908 MQIQQHYSQLIDELKMHDQKCLFQKLEGTLAEKLCVKELLKEQVIIYVQKTKEFLLKDMV ISFNALIIDNQYIELSKCQFEERVSKPKNNEGVNVIIIKNTAGKEYLITSNSQQSLRIKI YLKKFCVNKNYSGKYRLLEQKNCLPLSQSYKKNNQHFTTLKFEKKQLEENQELQMFHNQL TIMHAFQSIPNVVRVCEDAQRYYILGESMKLQSLESLLLNGFEFKEVPIVSIIFMTLQTI QKYQAKTIYHGNINLQNIFINVNSPTLQIALLFPKYKEHNVKNIEKDIFNVGKLLYQITF YTSRGEISQDINLNLIQSLMDQWSLPNSQRTQYRFLYRVSQLNLLSQLLSPGITVEIALI HQWFVNIKQNLKIEPKQHMSKAFLSTIVEEQEFHMTSSQILDHRVTITQQSYQEYEPDEE LYPIRCQLVNITQMIPSKKKHDAVNPSLFRSKTFTNSTMKRDSLTGITKQSSFK >CAK59909 pep:novel supercontig:GCA_000165425.1:CT868005:204647:207254:-1 gene:GSPATT00030546001 transcript:CAK59909 MNVQNSSSIDINSSEDRKEDINEVIVMMNNQFLKVRRPNEDNILDYLNYDQQNNKEKLTS KDNTLNLESPQQALLQQKNENQSYFEFPNSPQKTYNSILLKLVNEEQNATVNLNEFSLRY QMILNDLCFNYSPLDQQLIYKKLRNIYQQFYSVYDIVYFVIGFLFIYECTITIAFGTKQI FNVSFYIIQYFIMVLESIIQFKEQTQMNNIYESVSHVLIFVLIIVNNLIEFQYLCIVLFL HFYFSIKKYKMVKQNYLLYIKNISTFEIVIVYLLFIHVSALIFFIVSNNKADPWIEDLNE SQDWSSSYCYSLFWSITTITTVGQNLIQLRNESLVIVTILIQISNIVFIIFVVINIQKWF LKFGQFTENVDVINHYLNEKKISKKLQQEVKSILYNKWQEKYLSNHEQEQQILQLLPQYL NEDLKIEMFSKILQQIPFFSQISQECYREIILQFKEYTFSHNEILDQTGLYYCSKGSFEL FLPNSVRIESPQQNNAFYGLNYLFYDKPDVCQAVSINKTQFIYLSRYSFLKVMRKYPIDY QMYRMQLEMNQLDIGIQCALCQDVRHGLDECPKIHLFKNKLSVISNHLTSEDMGRAKFKR KRDRSTNAVFSQRLFEDAASMFQEILIQDETVKEYSIVPQQIQNSNPRKKSKSLNSEENS KALQKSNSGHSLSAIQKPQGSGLSFSTFSMFKDVQGQRKISKQQDSDKISSNSQNKQHQS SSNSFKDLPITMNMEDKIVDDSIFAAQPRIKKQQIGKQGTTSIKITPPNAPLQAIPSVSD INTLNEDSFVHQYSMSSKRYSSPQKKVSSKATVETQKQFEQIEIQQMEFECPINLMHYFP QHNIQEVIEKFKNIQNREFQ >CAK59910 pep:novel supercontig:GCA_000165425.1:CT868005:207424:208428:1 gene:GSPATT00030547001 transcript:CAK59910 MNQNTENFFQHMYSKAGKSSSQIFAHLLGKASKVQSIQKSNDAQSIIITPSQSPKKPSRQ QPFSLGLSREYLHKLQNQGKPNMPIGVYNPKYSVIQKQRIQLLRKSDLGGKFAVEHKIMI KQGNESQTSIDSSNTQSRRTKGFVDLKRQLSREQSTRQQNASSNVTLNQFISIDTNSIRA RPRSAHFKGSGHSLNINKYNCFQSFYDADYEAGKRKISFVGPGFEKQTVRKSLMNKSVCL REQSIYQYDQFSNKNSIVHPNTRNVMIDFKKILGRTYRNEQGVPSHMSKTNRSRIMIETL NQKMLELNASAQ >CAK59911 pep:novel supercontig:GCA_000165425.1:CT868005:208464:209012:1 gene:GSPATT00030548001 transcript:CAK59911 MTHTNNIALQTSYDHFLNFDLDEMLPETPLGPWFIQKKKEPKHIKESPLKERKQFVIKKQ NHKHMLTEPVKYQDQIEEISQFKQPSVEQIIKQHFTNHNLKNNKSSQGIRERPSTNLIQN KVKQQFTRKKEERVSSVILLTRKKNIDLQLDKFLKLYHIYKIPLLNKCNITGNVCKLQSK KI >CAK59912 pep:novel supercontig:GCA_000165425.1:CT868005:209121:210321:-1 gene:GSPATT00030549001 transcript:CAK59912 MNRNIPIGNKICATKEIFQNQNILNTHLSTMRPQINTSAPKQYEFLKNKKIKEAIKSQKQ QEIDRENYNLISKLNNIMQQQSNNSISNFAIKKSTTVSLGPKKSLNKDHRKKELIKIVME NQLLLKRIQDQKSQYNVKDWNQERRWVEKQISNICEYPYKDFKPTKTLVQYWTNSRISES SLQKRDNFNNKKLDPLEIKNQQTKSRTRQDNDTETRQILTNQEYPQRSLFDSDFQPYEPY QDKISKIVQEIIEKPQQQEQVQKQEDIEDADDEGEKEQLNIQGDQDKVGSNDNQMKEQEG NKNNVREEQLQQQNEVDNLIDNSQVNKEQLEEGSNQSPQSPQNIQTIDFEQQNQVTKKIT YDTD >CAK59913 pep:novel supercontig:GCA_000165425.1:CT868005:210855:212901:1 gene:GSPATT00030550001 transcript:CAK59913 MIKKYTLTFNSSELEQSFNVWIIDQSQNMYEFTLATFSIYLILNLINYNQSIIYIITTSV TLCIELTMLFFFRRYPMQREVIKSLNLIVISILIGIYIWLNQSQNIYIEVGAARLCLYLQ GNIFTIQTAIFLFSQAAELSILQQQMIPLIKYSVFCVYFIYHRYQTEMNRRQHYLAYRSQ ILYENFIEEQLPAWVVLVKYDTKQAQLKMDKINRVMKDTFNLNSDQKFREFLRDSNIQPL EGINHKAFSFEDELIKDLLQKQQNDKITKFVGRFVGNDKKGQFQITKIYFNTLEPTILLL FIEEGDDLFDFFTHQLQWRDQQLVNHSKACVKYIKDQINLLKFLKQQATTQQLYHINNQI SNSYLLFNQSLNIFNITKIIYNKLKYHINQFNLQDLITQLKEDLKFSNKQLLFDLELKTD RSKMISVILSISEFIRLMLAINSDNEKQLYEIHPLGFPIQIKFKRIYTNPGCLLITMKHQ LLNIPIQIQEFLSKGASFTDHKKRNWGVNHYYENIQNLSDQFHKINVTTHNLRNGQMPCI ELDLNLQQQQQRIEVNLDGSTEPFSTLGLPLAQYLISQIGPNNQIQFQDKPLKHFPDPLS FRNATTQTKIQFKLYEDLNQFIYQLNQQDPNPYLDFFISNSSQKGGFKTYCSMSPQNHRK SGMTSQLINFKLN >CAK59914 pep:novel supercontig:GCA_000165425.1:CT868005:213105:214169:-1 gene:GSPATT00030551001 transcript:CAK59914 MESLSYWHMECSLEELMGCAWHQWKGAQQKQLYEKVENEITEWKPITINGLNANRYPWAR NIRQWEYKLVKLYGYFRDERFFVRREREGRDGFLVFAPFVTALQFNDTEQDPEQTTKSQV MVNLGWVPKDNISDIQMGQEPIGTTTYENVPHNEDDDQLTGFNRNVLNMEEDYQMPFVEF VGMVRKGEEEDVLKGRRNWPREGVYNYIDLWFMSRLYRSFNLTDCSSAYIERLVQEYDEE SANLYPIPATKDNFDKPLPTPQTHQAYSLFFGLSSIMSLALLAIRR >CAK59915 pep:novel supercontig:GCA_000165425.1:CT868005:214334:215797:-1 gene:GSPATT00030552001 transcript:CAK59915 MTEDIVNLFVSKYGSSDANKNSLLQKSIQKVLHKEGANSQVVTKLRQKLGQSQSQQQFFP TLGNGESSLPNKTPNDSQRKTFEPFTNRVQPFQRTYLQQLDQVSETSEKPPKSVYYVDRQ DEDEWAALMKFDAQLYQKEQERKKELLQQKKAQMKKELDMQLRIKQERKQKEKDLEEKYN KFQSDLLQKKNEQELDKQTSAKNKLFLLQSERDVQLNNKKKKQHSEDRELRNYKKEISRR YQDELQKQIDEENRIKLMKREYMFKVMKQSETDKMVIMQKQRDQEKLEQENCEKYGELLD QIEQRRIEENRKKKEKVDKNNAWSESQLLKYKLEKEDDDKLQYWKQLEEQKFLEEEQRKK NRVNELKQKTIQSLEQSIKLKQKKKQQEKELDNLQGLIWKVDTDRFFQEEQDKLDQIKKV KIEHKKILQRQMQDKNKHERGEKMSIPELLQNKTILKTIKQQDIYDLEKTVV >CAK59916 pep:novel supercontig:GCA_000165425.1:CT868005:216448:216887:-1 gene:GSPATT00030553001 transcript:CAK59916 MNFYTLEFEEQVGQDLREQEQIQVSEEQMCEYDQSSNLEINQDITFDGYLQEEPVLLFNI IEESPKKKNKSIFKKSKKIRKSDTETDFKSQKKTNTLVLGKDVAKLRQCKVLKTIISQME SILKQTRTYLLNQYQKQK >CAK59917 pep:novel supercontig:GCA_000165425.1:CT868005:217260:219563:-1 gene:GSPATT00030554001 transcript:CAK59917 MDYLDYQEEINQKHQTIKLLEEERDTLIQNANALQTNQIVLEKEITRQKTNIANYEAELI ELHKERKSCDEQIQKSQIQIESYEQQITQLNEDLKKVTVQCDEALQQLQQIQSRHEIEIK TLLQQLMNEKEKVNEINDENLLIINNKQNEIDLLNEKLNELEKQIQSNLQNHLSEVEQLN QQIESAKQAKDEDWEQTVKILQNEIDDLNKTLYEKEQNNKTDPKIEELNQYIIEQEQQYI KQFDTQEEKMKSLQNDIGLLNSQLLELQKNNDNQLLELAALQQELQQKNENCSQLTNQIE DLKQQQQKQVISQQTQQAQAGTNNNAAEKMVTISMKELLNWDKRSKDQQNQIKALSNEIQ LLKNQQEEQLKLQNSKQMKSNLSLEGMVQRCQVLQQIVDETSQQNSKIASELNTSKLQNQ QLKDDLNNSQQELKELRVIVSDKFRLEGELASAHIQISENKDLMKKFNELQSNYENKEEQ LETTKKTLRQSEQLRNNLQIKLDEITIQYQKTSQELANVKQERDQKNIKQKDLEKLLQSQ FQEYSSLESKYKESQINYEDMQSQVKILQKKYSHVTYQSVSQETEQLKEECRQKQKLILT LEESNKSLINDLQALRELQFRQKAPEAPLTKKERIVVESLSLRVQELELENKKIKETLCA KIVLLQNELEQKTNCINQIANQVTKQVPSLSEQNQLDKEDVKMIQQLKIKLNIQEILEKT LIENLQLRDQIKVLGTEINKRK >CAK59918 pep:novel supercontig:GCA_000165425.1:CT868005:219628:221709:1 gene:GSPATT00030555001 transcript:CAK59918 MSVRSGNQNTYKKLCQSFLKVKDPKTQLKVAQYILKTPPDDRMDFEVAVLEQATKELDFF KSLRDPKQHQRCCKMMMYKHYNKNDIVFKEGCDSSNEFFIIIDGSVNVYVRKKQERIQAE LEFEENQLKTLTEAELEQHPSTIIKFYQAPKQLNRRLTINLREDDFEQIAKSYKPRLIVQ TDRQDVKHKDVETIKKLTFGLEKIPDKDFLFNGGVFLHDLVAQIHEGQMFGERGLMIESA RTGTVIAKTSLHVAVLTKKDYKTILEDSQLEKIRKKTKLLSLTILKNLTYKEAVESQYKF EKVHYPISHYIYRIGEAPQSLIVIKKGTVKLFKKENNKVFPLCELGQDQYFGEVEYFEDC HRIHTAQVTSQEFSAYLITYQDLGKLFNNYPQCERLARRQYEQRHKINHQRINKNLKTHK KFSYSEEFSKDLHSFQKNSSQPQLEIVIPTEVPQTEFKIKEQAKQYSIQKSKYQLCQMDF IQTTQKKLKAIRRVQQSEQRKQGLVYSVFRAQMENEKSLADTLNHLEVQSQLIKKEFRRR EQRRFSCITFDKESQNGINQSQINQQPFSKTSQGTRPQTFDRQSSLSHQFKYQNITEQML FNAKLQQYEILDDQQRDIKTPSASGIMISSKHNLFRTKPKKVFLMNHYERRQPKTYSTEL SIKTFFN >CAK59919 pep:novel supercontig:GCA_000165425.1:CT868005:221740:227012:-1 gene:GSPATT00030556001 transcript:CAK59919 MKFIKKCLKYQRLQLQYLIGHTQVALEQENKIFWTVCEFIRMFQTIALVLLYKHIIRMLN TNIEFDQPSSSQDKVELLCRITMPTILIQEYLSLEEIIYFQIIFLASLFLMLLINVVALR LEYLHFKQIKFQNKRTFNKVDGNKSLNLLNSTYNNNDFTEHFLLKQLMGTDNGSLIELQL LRTSILTNYFTHIMFLPQIYWVAIIFYRIHNQTNYSIGLFILNFTLNSIQLLFLIILETL SLYMQQSYRLKDTNYLRLRITTSFKIGQMLRIIPTLIYFSVLYIVDPMTIYNNLVFEQVL SCFGILNLIFDLQDQSFNIPFVQPYKIYVFHLAFSLAFCLSFLKLLQLHINDIITLSMMI SPCMCKMLNQLTDFNFKKIITHTLHPENIPPKQKILKRNSQSITKSLIVNDDVHQIERQI CLQKLEQVSMIRLTNYQYFLQFLRLYELLCVNQQHVYQTTDVFNPNKSKFLMQCFILIKT HVELCNNFYCFCRGFWGEKKQTLSVNMQKQDMKIFSDYTCKGLTISIDLIDRYIRYYIKL ILNIEIYVTQPNLTYIAQLISFLSKSEESLQAWLQVMEVKLQLQEQKKPFDEITTNLIIS YTKYQTLFRAQFNLNDKSIRKTILYGDYRNTELQRSLFIHKLMQSLDVKKSYLIRLGNNK QSYEEVQQLYIESNSYFLKLQSELQEFHDQSQCRTSCLLMMLYYCEVRCDYLQFQKYKNY LRTKDVKFFEFPIMFNAPQNICYLKVNLSRFSKHKCRGEILTRSENSFKFFGFESKDDFL RQRVTVHELVPHLISSVHNTIIELFLMSNRPNVIRKERYLIAEKTNQEIVFIEMFIDLCF VITGTEFPVYCFMQEIKPIGVFENIRGHIIVDDYEIIQGISSLAYQSLFLDSPSGICNKE ISEFYGDFTEIAQKLNQMMDTKTSRYRGMTQSVVSQQGGIQQKDANSVYNHYKLKLEDQL FIMSEQDKFFIDMTVTMTYGIINGKISKYYVIEFNKVQQIDITENNDSNQTNSLKSSVKQ SQYTQRTNTLYDIQDNERRSSEGSIKLQKYSFPQINEQCITVSQNQMQGLKQEQSDEKIN IQKRNERKSKFFNFKGVARKSDSNEQFNNDRNSGSSNHIASATSQKLQLDFQKFVSKGMQ YQEFSNSDYEKIIFVIHMINALILGIILVFLLLYQLKFKSQAESIIPTIQILQAFITTDY TDNIQLSLMYNKQKELNQQDQFYQHLQRLLVKDAKNYLMYQKNYFLNQQIQNVFSDTQVQ HESEQDTSAWNAAFYILYNQLDFIQDQNQNQTNQMPTYVNLLLDFPYHKSAYENINQAFS DYVQLSIDNFSDSQKALIIILIVLSIIVSFISLIVYHNYFKYMKKITRCSEQVQFDALES EINICATILTNKQKLCLYDYKMDFTANFDFRQHQEGQTHFSSYKVINSSQTNTKRSLNFT DGISIKRALSFLYPLFCLSITLIYLGLTYLDTENVMSNLQKDITIYNQGILFQEQFSMLC ISSILQENKDYLFQKKYITESQLQQYYDYIQPSIVTTQQLSKIIRLPTGIRSADVIDSLS INICSIFSDQTDVQLCERLDQGLLQNGVLESTQFYIVQYRNNYELNYQDNLDYSTTEDIV SINFIIKGITNYIDRSKQNLETYIQEQIDLKMNWFIFIFTLTIILQVILSLLISQNLKQR LKSLKQLIYLLPRDTLYANDSFMKTLNYVQRHQNDLCQ >CAK59920 pep:novel supercontig:GCA_000165425.1:CT868005:228641:229435:1 gene:GSPATT00030557001 transcript:CAK59920 MEDQEKEKSRKPMTLSQRIRMELSQQQEEKKPVEGPQLIKHKPSSYDEETGQLYSFYASA VNQTSQFFSNIFGGTYIPQYQYSFKHKQNVIKYVFEKNNVIAEYVSKEMRKSQQEKDLND LLQLQNRMMLVAQLADCRNRIGISAYCWGALMGSLCMLLPISIWRKGAISMSVFHLFGSY VSQANIDRVFDKVYQFYVQDLEEYKKERQNITVGQIKQSKLDRDLEDKRLRKYLREISKQ Q >CAK59921 pep:novel supercontig:GCA_000165425.1:CT868005:229586:230617:1 gene:GSPATT00030558001 transcript:CAK59921 MSKDFIHPPCKRFGRTAFENTGFNTVRAHHSLQKDGCTRMESLKFLARKNCKIDASIILM GPKKHNILRLKPNNNLCINGWDYGEKQYETKEPNRDTLVEQIRNGDEMMKKLEEYDDKMR EKNLFNFGYQDRFVQLISNQFKLPQNKEQKIEKILALKKQMRKINKLNQETLSKISQQDI EVVDSTSRMMSSTKRSNYQLPIYSENDSVIQKCDTENNLKSRETESPNLKSITDFKNKIF GNYIKRVSKQNSQPTLLSTQNSYRQHCKPLRNLLLCSGIQLGETKTNCRDKSYYTRAVFF PTESNIAKQCSLLQSQYQF >CAK59922 pep:novel supercontig:GCA_000165425.1:CT868005:230622:231996:-1 gene:GSPATT00030559001 transcript:CAK59922 MFPCLEKVYQMVLQTQLQFLDNDEILQIKLQDSYRLILKKDFLHIYNKEEPFISLLICFP NLVQWYVVKDLLIGFSINGYEFTSHQGNLLKKLKSLLAGRMLFSKVQDFFYPQKILGSGS SSKVMGVTEKESGQEYAAKCVKKEDMSFQEIEINNQLQHPTFVKVKEVYQGETSFYIVMD LLKGKTLQLYLGYHKPFQLEQTKQIMHALLEGIEFMHSKNIMHRDIKPENIILEVKGGQV RLKIVDLGLATYSTLIEKFKNPKCGTIGFVAPEIINLQNPNGTYDKACDIFSCGVIFYRL LTGRDVFPGSQFSYVFELNKKCNIDFTYLTLQQLPYAFVLLRNLVQRMLSRDPKLRPTAI QCLNHEFFKNIQKYSVIQKKQGFLQSKQHTVEFSKDQEIQEYQGSFVTTERMQYSSQPRQ KQKSIKKLNTSQFDHII >CAK59923 pep:novel supercontig:GCA_000165425.1:CT868005:232039:233202:-1 gene:GSPATT00030560001 transcript:CAK59923 MKKLLIVSGLAGSQKQEIVQVLSKQMNTKVIPADSLQVYKNWPISTHWPKDLSNYELVGK YDGLTNFITSFQFKKEVLKLLQNIDNPIIEGGCCFFINQVLKSRHEQFTEEEIQMADQKA QQILLDCSDPQTLLKQLCNKYNESPPITDKYRLIKAIRFALLTNGKQYQSSFKHDEPKLC EILDVRGFFLSEPQENICQIIYERCNEMIQQGILKEFYTFYKIKQIGNLSQLRFCTPIGY DLFVELIGHFTAINANPNYSQSRKESLKRQRVKSFIENFYIKWRQYTSYQRRYVRSNFNE FMWIDNRLSNVTELISQYSSCERRDYTSQLSCIDSMALKIDKHPESMGRQMRIEPSSEIQ ELVFQTMQTMI >CAK59924 pep:novel supercontig:GCA_000165425.1:CT868005:233212:234172:1 gene:GSPATT00030561001 transcript:CAK59924 MLKRIVNWFPRFSYQFGGVYKFVMEANDLQEIEKLSEERMIFLNCYASWSSECKQFNQTL LGHVRQYENVGTLINVDINKNEEIKQQLQIQSIPFVALVYKNSFIDIYQKNQNLENFIAS IDRLSKEIKGEVIGDKIIVELNQHSFKENAQELIKLSASGLENERLKQYYDKFRLYQAKG HVLLGQFDMAEALFKQIERKQQDQEFNKLHQEITEFYKMIKDWHELPQTIKEELQELDKR PDDLNLRFQISENAISHRKYDLAIDLLLDLVRIDRNWEGRKAQKSLQQIFRELGSQNERV IRSRHELAQLLY >CAK59925 pep:novel supercontig:GCA_000165425.1:CT868005:234184:234553:-1 gene:GSPATT00030562001 transcript:CAK59925 MQQGFLQQQNGYYDDVPQNKNEAQEQKTTLMEWLAIVGLILLTYMVIIFIWIVCMAGIHN NFEATVICFMVIFFCIYIPGIGYFWYNGLQVRTQLIQDFINKKLQENQLEIGGRV >CAK59926 pep:novel supercontig:GCA_000165425.1:CT868005:234671:236352:-1 gene:GSPATT00030563001 transcript:CAK59926 MQNPENPDSIQQPNFNQECPYNSVQLHTITFNNVWDYLVHLQKCHLLNQRSEIRQSSQYY MCHRCLKVFEELQQLLDHKDTEHIMNFEMVFDKKPEQWINLSKKGRWEIIKLIENYKDHY LNKKDHQPESIFPLHKTDPRLNIPRDTVAKIRNLQIEKKEKLQLYLLQSKVLESAYHKLF NHYKDLSMINKEFLSSINGQTQYQIEQVCEFMETALTNPEDHIMYMNRRQINKFFYLWIL IHEDVNPFPGVQFQEPWVILAIPTSSEQLLNLPTKKPTDNIQLLELQKLLNEQLEIAKSI EQEKSKFDQKKIEESKVLEQKIQKLENLETQLRIQLTTQNKQLNDFESVKQQLKEQSIID LQDRSTKLDHYYQVQRTKIQSDMTNQSELFKLADSFAKEKLKLLQRVEIYTEKRNKVHYE TQDLKAQITNLGEKLRQDEQDKLKSKTRRRQRIIQELCIKCQKNTREVIHFPCQHFLFCI QCIIQGMVEKRQQCPLVAIGQCRDQRYLDKKFKTVRFSKND >CAK59927 pep:novel supercontig:GCA_000165425.1:CT868005:236386:243362:1 gene:GSPATT00030564001 transcript:CAK59927 MGNQIMGKFRKTRFQGFSENQIDYLRYLQQFHLSDKWLQIESNGVIDPNKFADQYNVTHK EAIKIIKLMDFDDSGRVDYYKFKVGVAQLCQNPMTTTARTIFDLYDEDRDNKLGPKEVGI FLTSIFNNLHYYEGLDDPTPKEVEEKLQQYLVKWDLDRDGGFEYEEYLKLMQKDPDVLKV LYNMGLANKDELTIEETCYNDIDSDIEIEMERRLLPRDERVERIKNGIEHTISAEASDEF GMEEEEVVMEWKDQAKRLEPTKKLEGMDASPPNAILDLEYIYGYRCHDTRNNLKYGNKGQ IVYHTAGVGIVLDPSNMSQKHFLEHNDDISCLDIYENLVLTGQVGLNPMLMVWNIDNMRM VCLFNDVLKNGISNCCFSNDGKSVAAVAMDDDHFMAVYDIDIAVNSRKDPKNKASPLIAG GKLTKQEIFDIKFLPGDWQIVVACMKEISICTWKNGTILAERGVWKEQQPQPVLTIAING GYIVTGVFNGNFLCWKKNICVKSVKAHVTPVKAIMTRSAGRGIISADKRGIIMGWDPQFN KVFEIDTKDLPLKLSYPPKGSPNVISVCESPEGKILFGTRRSEIAEILSYEEGQKIQCKL LMQGHFNGELWGLDTHPTQNIFYTVGEDEMLGMWDVKQKKLLKSTPNQYASKTLSVSQNG KWVATGCSNGRTFIYDASSLKKLSEITEVVDPDKEIISLIRFSPNNELLCVCYKPPFSEI AFYSTKTWKKQSKIPNCKYHVYTLDFSADSKFIQLNTSNYTIEFYDVSSAQPVQFEAAKD IQWFTWTCIYGWQVQGIWPDCSDGDDVNATDRSKDGKCLVACDDFGKIKLFKYPCPQPKS GCIKYTGHSSYVCGVKFTNSGEHIISVGGDELSIFQWRYIFSPSRLDVQDIQEEPEKVEE NVGGAMFEQEELDKGDMIGAVKPFLGEVQHSVPSWYKPNKARDNQDPKGNLSLYHVHGFR FFYILDECRDMMGWTDKNKVVFVSAALGVEVDPKTQQQAFFNKHEEDIVSFALHPNRNIA ATGQMAQAGKAKCIDIFVWDIDSKEVLANFNQFHLRAIVLLKFSPDGSLLLTVGQDDDNS LAIYDWQGKRQICTSNIDKAKVNGAAWKDNEEFVTVGNKHIKFWKISGRNVQGKMGQQQG KFESQFSVAYAFDNQVIVSGGGSGTVFCWKGGSSDKGVKGHEGKVCSFIMDKAKKLLYSG GLDGKVNSWGYEGGQLVKKQEIINLATNPLFPPGVVAMDYNEKTQQWLFGTNGAQIFSYD PTKKQTNIVVQGHYGEELWGACAAPTGHKYVTGGGDKTVRVWDIDQKKMVCVSKPFPSEV RAVDWSTDGKFIVCGDLNGFVYLLDPNTLQVMDTAKTIFTTMPKRQSTYWIDDLKISPDC KKVAFGAHGGASHLEVWTIEYPKFGKQGTKIQCGLTSALTALDWSVDSSIVIVNSGAYEL KYVDVNAKKNQASSSQANTEFASWTCKLGWPVQGIFPSADYSDVNTVCRSNSKKYLVSGE DTQHVVLMNYPVVVPKQKRKEYIGHSSHVMRVRFTCDDNFLISVGGNDKSIIVWKTDFGS AQGKVEQVNLQELCKEEGADDIDMPVKKKKAQAPPKKQIQQDENSVFSQEETDEGDQFMA CKPWMGAIKEPTYQYYSNKTEGHKPPKCDLEIEYVHGYRTKDMRNNLFFLANGQVLYNAA ALGIILDVGSNTQTYFNKHEDDITAIDLNPVDRMTVATGELGAKPNIFVWNAETKEVKCQ FKAPLQKGIIAMAFTPNGKRLVAGAVDVDHSLAVFDVSGKGAVLWSDKSGPDVIVDLRWN TDDAFVTVGVKHYKCWKYDNGKCQGKKGQFGKGASNNLSGIAINGNDTLCGGADGCIQLW KGEAFMKPLPSKHAQICDCITVTQDYVFSGGRDGVFFVLSKNYEEILAVKVKEKCPDSVC PSVRAIYADLPNSKLLIGTLGSEIYQFSWDGGAINSHFCPNLKWTNEVWGLDIFQEDQDK FVTCSDDGTVRVWSIADRKQVKIGSTLLTADGKEEKRDLNTGDFTDQCKARVVATNPKDE GFTAGMKDGTIRVYDAEFTQTKVFKQAKEWISDIKFSPDGTTCVIGSHDNALYAYKYPSW KAIGKKMLKHSSYITHFDFSRDGVNLHSTCGAYELLFWDVAQCKQVLFTSALKDELWYTW TVTLGWPVQGIWPECADGTDINAVDRSNTTINGKNDPKTSYHLLASGDDFSHVRILRYPS LKKSSEAVVGTGHSSHVTNVKWTKDDSRIISTGGEDQCVFVWKVTKK >CAK59928 pep:novel supercontig:GCA_000165425.1:CT868005:243892:245488:-1 gene:GSPATT00030565001 transcript:CAK59928 MNNYSFIKAYDLMYYTFSSQQLYQKVIESVRRVSQVIIFRKKRGNLGANNQENYVKYHKL LIFLYKQILFLCLRYQMILFQQRYKQYKMKHFQLIRDQFPIISSDYEFYSSLMEIFKIRG GIKHKQIYHYMHFIIIFINFICDERLLCHYKGFYCSCCKIDFSFLFPIVKNINENSHQQN KPPYQIINYDVWFKDCKSIRFIIVFIVRGGLRQYLRFSIIRQFYSYEFKMTRLTWITGRL VYIQDVQFPSDWQNIIIININNLYYSEFFLFIDNYYIQQRISQRKSYGYFVITVASIDQT ISQLLNEFILCLYQQIECESMKILLQNRIVLYQLYFLRTVIKVIFFNSQVQYCFINVCEK RLFINIKYIQFPYNDFIVFHHISIIILFFYCLHMSFNLYDDVQEKKSQFEQSYENFQEDD SPMLDLYHTIHQSPPSQNWSINKVSTGLSKCIRKIKLKRKSKTSQYIAEGRNIFIQQVAT LDDASQLNNQENSNILLQLKDLKHIIKKLKKLNIQ >CAK59929 pep:novel supercontig:GCA_000165425.1:CT868005:246067:246466:1 gene:GSPATT00030566001 transcript:CAK59929 MDISKIGSSAIDKSFGRGGQSKDAVVIVSNLEIHKPVVTFCDMNQAQKEFAFETAEHAFK LSAKREKRYFKDIAEYIKNEFDNKFQGTWHVIVGLHFGSFVSYESQCMIHFYINQLGFMI YKFG >CAK59930 pep:novel supercontig:GCA_000165425.1:CT868005:246709:247188:-1 gene:GSPATT00030567001 transcript:CAK59930 MKYLIALLLVSSILAAIPTKVTNCMENPKIVFTEATFSVTPAKGVDETITLYGSANEHAE LDNVLLKAKWNGMDAFEDNYPEDEVYDKGDRVTYELTQNFPTFTPSGKISVQLYFQNAKK VNFACAEVGFVI >CAK59931 pep:novel supercontig:GCA_000165425.1:CT868005:247426:247893:1 gene:GSPATT00030568001 transcript:CAK59931 MNNFIIAACIIAIEFGQTVSPFNHLSCSESIYHMNKFPIVNFKYFSSSINYLPTSKRMLP KWINILRYIKMLNWNNHTFTLLFHRYGQILFIKSIVNNTYLKPVQSSTAYLQINWSNLSI ISIWISQTEATCLSIHLQEYQFNNALVILQDQLEQ >CAK59932 pep:novel supercontig:GCA_000165425.1:CT868005:249142:251158:1 gene:GSPATT00030569001 transcript:CAK59932 MQQKEIQQQLPPQTTPQQMKTIIYIENLDQGISEDYLYRKFKEVGEIQSLKITKDKTTQK SKGQAFITFAHPDSAEEARKKFNNSVFIRNVIRVKPYFNYHNADKKANIFINNLPEDADI LELEQEFSRYGTVQSVDVHRDILGKQLNYGYVQFEKKEDADNLIKRVMNHPITHKGKLLK LEQFKAQSERKVESSSIYLRAIAKPLPQKYWEQDKVVRAIEYGWSLIIKDYFQKHGTQVK DCFVKIDLHTRQPWSMISFENSEQAKDNLDICEQQRKHPCINSGAHHALELINKHGPPVN SDASEAFSIAEITQAFKEMAQDHNDTFKGESENFFYNMVYSKHLNPDDRLILVQNIKEEV TKEQIQEFLSRFGKVIRLTIRKTKNPRFQVQQCFVHYQTMEDSKRARSEIYDENNAEISK IKKEIFKDGHVMMNILLSKTMRKEFKEVKKQSQSIFQNPGGRQLLQPPFGGNFPVMPPQM PFPPGGQGMRQMPPRGPRSGPFPMMRTQVPRPHMMNKQPMQPPMNKVVQPQSFIQEFSDY TLVQSRMEEFLKIPLADQRNVLGNLLFQKVFDVVKDKEATKKVVGMLIDPSQFEIGDILN MFEDNQELQTYIDDGLSLIKEETQK >CAK59933 pep:novel supercontig:GCA_000165425.1:CT868005:251189:253930:-1 gene:GSPATT00030570001 transcript:CAK59933 MSKLSSISSHEVLDSEQEISKKAFIPSLRTPFENDKLRLRKDEELEYTSQKINLSNQLLS SAAPVLDTLSEQLLDLDLSNNLLNQFPNQLKSLTKLKILNLSFNQIQSIPIKFHLPNLEK LLLTDNQIKQLPSSLFKLNALRELNLNNNNIEYLPQELFDLKLTCLGLRSNMFTTIPAKF NEILDSLQYFDLDWFDYCAISCQLDRQIKSKLVQLGQRVVQRQEIITFSLFYYYMVGKVF DIQQFIQNGKNILFTFIQRDSIGLLKQFSQKYPELLMIKDEEDCSLLLYAFNLHRTKCIN CLLPAFRKSKIEMQTLFMLSAKRLDIQMMKILLQNGIELNQQMEKDIIGPNKILVAYGST VMHVAMTSFGRNAQQQQQAQIMVKLLLDMGCDPNIRNPMKLTSLHAAVKLPSLAAVRFAS NSTQFDFHKRDLYKNTPLHSAASMGLVTILQLIQGKNVNPFSLNLNNKTAKQVSIASLQV IKIQRKYENQYLRKKLLIENEDHRTYQKNENLTSLNSYQTITQNQQITEIKSQVPSPQSQ QQQRKIFRLNLTQVKLMEQNGLLSNRQQQSSQRSLAPKIQEILSSISQIMRKYQQSNNVL QEMKKLYYCTEVLQEKLIISIFVNLIIMKLKYGWKYLSSIGTSTISVLNQTKILYQKPQS ANEYFIYQNQGMIEKYKQNCLKMQKIEDILSNADFQNQKQQLSLNLRYNIHNNWEEKIKE YEEFYQNSMGDTQWMISIKGLKIQNKIKTENNNRMILYQYEQLQNNSASQTQFIRVVLRQ MDYYKELKVDNSESAGSLSDRMNQFNKNPLVNKKVNQFVNLKSNKMEFLYRNMSQTARRV SPQVQQHHGEKAQTFRKDSAIINSIDFTLDNL >CAK59934 pep:novel supercontig:GCA_000165425.1:CT868005:254001:256275:-1 gene:GSPATT00030571001 transcript:CAK59934 MRNSSSNYTLQRDFQKIDSIDLRNKSGIRNKKEETAYSRIDNKENYSMQAGKNQLFSYGA KYQHKLQMKKSKVEIPVVEVKRVDDKKQLSVIFKFYASFGDRTNIDYIRSNKVHKLMSDS QIKLQRQDQQQIDLLFVKANRNKPNMDFENFYYFLELLSEILYGENSFQILMDNHLTPLY ENIISSTDLGDEETKLSQEIDPICVKLMQVVIQPITFIYNKYFPPQFKNFNLKDQQEELM MKQQIRSSLFLFLKEFEICPQFITKGSAYLLLDYIQADLNLPQISQQKKQKSRFDINQFC CYIIKLAMMTLQSFDDSTQIQQIITPLQKLAFLLERMELSQGFNNLEIPSNNSKINWKLK VPEELIELIITEPDAIQYLNSTTQRMKVHQLKNEEFYDEQVKRINTVPTNRVRPSIQINK QFEDQCQLLFQDNYTQLINIYKQYSGINQQTGQDNELVGSKWMKFLKDANLVNVNLIDKN SKLSYQVPHNEIDLIFTKACTISQNNRTSVPIKQKKQTMNFQQFLKGLQLLTNDIHVLKT ILYDHVLHLMSQGSENKMKDLLSQLMTLLKDKEIVEFLGLLHKSILVYYKHYTIGKQIMN FEQFLRFYKDFSIFPDLLAKNKIVQIFQILSKLYENQENSNVKSGHIDQHMFVESLALSA LEVLFDDEEGLSQLEKIYFLIERLNQSDGPRIVQKQLGHTKQYLKQRFRCPSGQDWDLLY HIRKRFSWLIQQQDQVSYDQ >CAK59935 pep:novel supercontig:GCA_000165425.1:CT868005:256303:257325:-1 gene:GSPATT00030572001 transcript:CAK59935 MMYRNMGHSYQAMNIIHEENGNQLWLGDYTAAEDKPTLDNKGIRTVLTVACQLNIRYNDQ RMTHKTYSILDSEQANVALFFDDSFYQIKNGLKSGSVLVHCAAGVSRSASIVIAYLMRNK GWTYSEAFSYVKSKRFVICPNSGFQRQLKNFEKQLRQLKENEKMNNPKEVEDNQPNISDK KPENAIEIKKSELITKLGNERKSEPTFQNELRQTVRNNEFLGSNSKQETKQNFRVQNRNC MLTSKFNNTRSNMQQNNKINLQTMQTIYNSSYAGPQIVTHAQNKLFEKQPLSNLPGISTK ANLTHYTMNNKRNY >CAK59936 pep:novel supercontig:GCA_000165425.1:CT868005:257358:259108:-1 gene:GSPATT00030573001 transcript:CAK59936 MGCCSFKLYTDEKAIMDPENEINEVAEGLEINQQPNNHSKIIIHDKETSFIKSNSLSKEQ GYSKDLEQQQVQTKHKEGDTVEFVKKTISGTMKLTPEMLVRKQCITEKFLAHYEIGEFGE VYLVKHLSTENLRAAKVVVRKTINCEEKLLEETEILKALDHPNIVKVLEIFADFKYYYIV TEYCQGGELLERIKTITNYNENLAAKYMKQVFSAIQYCHQKNIVHRDLKPENILFDSRDP DANLKVIDFGASEKMIDSSFLTKKIGTPYYVAPEVLSDSGYDEKVDVWSCGVILYILLIG RPPFKGASDIETLRLARQGKLNTNNERWLRTNEQAKDLMMKMIIVDPKKRISMQEAFNHP WIQNIQQNEIEDINLIKNLSQFTAQNKLKAAIFQFISVQLVNKEESSKLFQTFKTLDQNG DGVLTKEELLKGMLSADVDHLKAEIMADGLMQELDVNESGKVDFTEFISAALVQQQKITI NNIKVAFKMFDIDGNGVISKSELESIFGGIEIDNYAWEDILQKCDLNKDGVIEEDEFIKL LENIQL >CAK59937 pep:novel supercontig:GCA_000165425.1:CT868005:259864:261611:-1 gene:GSPATT00030574001 transcript:CAK59937 MESKSMTISTKIQQSNYSALSNLPRHIINQIKSEGEMYITAPNKCSRIWMEMRKNDFVTD AQLNFTIVQRIFEACRSEIVQLLKLQNEKDFFELFDQDNDGILNEDEQILVFSVIKEKMH QVATALLKNLRIHIIQTANERIENSRSKYCRLSKLIEITYINQRKKRQEKLDDFYDKYYQ EFQQLTKYKVDRRAQLKISQEKELGLLEDRLSKDTELMKVKPKKKLKDLQTQEKLVSLEE RVEEALDFRKELKDLEKHEQDRVYKVQKYRIEKQHSDLLFKQQKEREQLEGKLQETEYKL IIQMKKDYDVLLKQINLHNNEITRIQSLASNQAMKKGIRQKVQSQLQNAIIAQTKAISQP ESKINDLDQFHESETIRKLETRKSLQFVGSPGKPIYSEESEKTPMGSAFNGKSKNFYQIR KIIKESKNITQFYIKKNYGADLPVNFIRSAHNIQGDQHEKIERFLSVKRKSQHELLPPIT QLYDDDMQEKQIKAITQTDQEIKREKALKRAFINEKLFRND >CAK59938 pep:novel supercontig:GCA_000165425.1:CT868005:261828:263046:1 gene:GSPATT00030575001 transcript:CAK59938 MQIMLLILLFIQVNSYLDANKIKYAVDAGNQYYYKDRQKILYRYDQNYKGGQQQMFYQKV TSDQNHHLIPLQYLDYYVFQTSRTVSSEQDFFKYSITMRLNGNYTLILCFIESEQRSARF FDIYLEDELIKEQFDIYKEAGGINIPLYFAFEFEFDGKNITANRKTIYQMSDNKLHFDLT FKGKGSSVSAIILYQGKIEDILIDLESALLSKDQIEATFINQIEFIKALKQKAARYAHKQ KGKGNENVNTLMNQYLSPFQKLINNFDIGNNVYNEISNGLDPSPLELFLDALLNNILVPL GSVLFQIIDFILDNKILTTILMTNLILYYYCFGNDKTMFANVPVGLVLDPEVDSEMEINY NAQSPKSRHVNVLKSKKKKK >CAK59939 pep:novel supercontig:GCA_000165425.1:CT868005:263081:263976:1 gene:GSPATT00030576001 transcript:CAK59939 MRNNSQQKESISQLKETIHKLQQREASQRFEIVKLKKLLVERNQEYKLVSDQLQELQRIQ QRDNQYILKIDNYVSNLKKKLQKANIKIESLESKFSSSRVKQNISNDDSFNGNDSFQTEP TTISTKQPILKQTSNRSNQPYSVNKPKLICKPIKVQLPYPNTSFTENQEISENSSLIKPR ALQEQILNRILDDEEFINSFSHQCGQMQTDLFSYYKNQTQPLSFACNSRQSSFSFANSEK KQNQNVKQQKQSNRQYHSMSIDEKENNNKYFN >CAK59940 pep:novel supercontig:GCA_000165425.1:CT868005:264042:265719:-1 gene:GSPATT00030577001 transcript:CAK59940 MSNYSCQFCYKRTTLGYIKNYFPCEINLHKMIRHTLYHKYATSQNYYYTKDINEILSKTS TARTILYHDYLCYDEEDEYIKRFYEMHEYPPKSKLLTEFYKFHKDLPRWVLKHPILKILN YYYDKRRKIEFYKIQRQIEIENQMNPTDPPKGIVGDKPLLSDSTPQSENSEPQSKVNVDN ILKEISFSNKKPQPSQEISRILQVPESPPMGEIQQMISLLNLKSDSPNQKQQYQWFKKKK TQSKKSLKLDELALSLSARTHQNPQEAKMPLSARYQQSYSNRLIDQIQTKLQNKHSQTIS RDKIIDFKQNKEQFIKKFKLEERNKKSNSPKKNDQNKAIQEIKQLEMKIIKSLQEMKNIK SNNLSSHESKQTPTQNQNNSNQVLSLDQKALYQILKIPDSFRQLNFNKLQNKTNPKRSQD QNQRQQKNNNSSQHQKHVQILDMKKLISNKKDIAPKTERVKKTIPSLNLNLIGTINQSTS MTTRNNSFTPSLAYAQLLTPKQNQTNIYNENIINNWQQQNTHNVAIRENNMQK >CAK59941 pep:novel supercontig:GCA_000165425.1:CT868005:266987:267884:1 gene:GSPATT00030579001 transcript:CAK59941 MFEAKFEDGVLFKKIVEAIKELVKNVNLEANGTGISLQAMDTSHVALVALQLNEKGFKKY RCEKSLTMGLSIENLQKILKCSGNDDQITLRTQEEEPTTLSFTFESKNRISEFQLNLMSL DQEQLGVPDTDYSSVIKMPSNEFTKICRELGNINEAIGIETSKDGIKFYVKGDIGEGQVS VKSNDGEKKEERVECDVDEPVNLSFAVRYFNLFNKAAALSPQVILSMSQDQPLVIEYQIE QMGSLKLYLAPKINDDEQQ >CAK59942 pep:novel supercontig:GCA_000165425.1:CT868005:267890:268614:1 gene:GSPATT00030580001 transcript:CAK59942 MQSQQKQAIQERRRRSGALHAQTCEEYLKKYDQIGQIKIRESQRKKSLSESAFLNFDFIP ADEGVEDITQLKIFIYSPKLKLNEQEFLQILKRNRNRSITTDNIPKKSFTFDFDFFYREY EVNESQFGLHFWIQNNIKNKHSSFFQQSYYKVFNAAILLERDAIVEQEIRTANPNCMIKI FPLIDELLMESLQSIIAEICHIHIKS >CAK59943 pep:novel supercontig:GCA_000165425.1:CT868005:269304:269762:1 gene:GSPATT00030581001 transcript:CAK59943 MLFIQYYDQLLLSMKNQAVQTIETGAIFSFKAMEEIEPKRSILPKKVVKKRIKQQESQFI DEPLPYLKPLKQNNQIKLRQLLLPNIKFQQKPQMSKRSERYLSIQQNSQQSSNCVMQLRR YSHYDFISRTDRTLSLYPTQSLVTSRAYIKQN >CAK59944 pep:novel supercontig:GCA_000165425.1:CT868005:269801:270448:-1 gene:GSPATT00030582001 transcript:CAK59944 MNFFRCNCTNIEQGLDIPNSNLFIEQIILHSMNQDKVISLTMDVNVILEGFKLNRKKDCL YLQNLKEFKEDLHYTLTKLNQQFQIPLNNQDDSSQQFYLNLNLYVYEGCYKLLYLQQKDS YYRKDDFLYGEWEIMGSTQDIQIEFIQDKTNQGQNNHLLRDLTNYAQNQHTEHNIEDENP KIMYSISKTPKLKRKR >CAK59945 pep:novel supercontig:GCA_000165425.1:CT868005:270520:272337:1 gene:GSPATT00030583001 transcript:CAK59945 MNTYNNVPAVNQQQILEEDYKRRLQQRQQYLSMQQLIYDQNMMLNQLMYQQQYQQQMQQY QQNLQYQQQYQKPNQKPINVQIKSITLKPLEYYSQQMSSIHYPPYAQPYPQRQAVEIPPK DERIYGLKNEVSKRTIEVQTDDKITEYEKFLRMGLGNTINKQFDDNWGPEKDEQALLKAQ MDQKYQGNFEMNLKNERELKERERELEQAKLYQRKRFKPPGMTKEQWAKINFKIFRDQFI YLALYFHRFRKNLDSRKQQALNQKGEQAIKCLQNFLEMFQKNSAKVIVQFSSNKDNLNIT DKRKILEAEMKQRRQNIKNQTKKLFQTLISNFNAKDIKPETIEFLGSIFHNFEFPIQNFL FLFEINRLNFTHFGQLDGMTDIKAQCLLITLILIRVFLLRVILKPWAEFPDRVKKKELFE QNCYIVGSLMYEIIMDFLKSKWLKKLGNQSHLPSKYKTYPRPLGPLLSDNYQLPEDVAEV RQIRQLEEPLIMGLTSREDLKSILEDDKPFCIEQQNVIQQWAENIYKIVHQTYLLKQKQR RKERYENKVKFIEIAFFTKEEEKQKHLELAQQIYEKYESTLPQPEQDQT >CAK59946 pep:novel supercontig:GCA_000165425.1:CT868005:272476:272924:-1 gene:GSPATT00030584001 transcript:CAK59946 MGVCKISETVDNQTLQLISARQNPPQIAIQLGTKPVQNKVSSREPPPLNGQDQMNFQQVI QEGSECHRGKSENEQSQIIKQEVNDEDIQKRRNNDNHSQDQSNNSSILNFGQILLSSYPL YREPEISHQFIISYPKLTINT >CAK59947 pep:novel supercontig:GCA_000165425.1:CT868005:273173:274802:1 gene:GSPATT00030585001 transcript:CAK59947 MNLAISSSSEEESSYNAKEQDRILKNSNIFKQKANSHLNCLKHPNKKAKYYAQNDKRTFF CSKCALNLALKGHKIEESAGTELEFQRQMRINQFQELMKQTLLACNSKIIDLNNLQISQK QLLEDQKTNCIKFFEQVIETAQQLKLTYIQKFQHDHSNLEQNILDCQQAVTNYEKQLQQF QIDIEKNHTNIVKKMEMKPFDDIMQRYEKRVRNIQQLLSEMKLESGVKINQFDQSSILTF MNKMCYNILLNDTSQQSIKSRSNSINFNNPSIDMKKMTISTRAHSRTQLKIAIAKIHHLQ YQNCRFIKVMDLIQFQQSRIQEERATLIPQKVGIIPISKIVINNHKYHNRSIVIMFSLNE QPIAINQQQQPSEKFSVQEIVKLEQPKNLEITPLIHQKSQTILPQSIKIYNSQRNQYDSN TINKSQDQKQRKKQPSVDQIEQKRFYILNQNQQKPQSQQQEDTLKDRIFKELSGHSSESV YNQVLKINTTQQKTKKGKENTQIDWTGSLRNKQNLLAKK >CAK59948 pep:novel supercontig:GCA_000165425.1:CT868005:274893:276036:1 gene:GSPATT00030586001 transcript:CAK59948 MKYFFIIWFAYLTLGNSIVGKKASEQFFPQIMDWLKKQEQKVDQALAFSALTKIIQYTDE ELFQNTENSEFIKAFQQQILKYCKEKKEFEYDRIGSIEQFITDELKHNSNYFKIMIKDKE KMIDQDDKELEKVKKSIKDHTKLQDKLKDIQELLQEIEISLQIGTAEKLYKTLEVIESKA VIGLFKQQSEEIQLYLTHVDLLFKTKNFQQDQFEILNLISMIKNLLLDMKFNLQIKMQED NMATFETMKFFENTKTTHSIALDYFKDESIQFLGNLIKTWEQSFENQNEIYKDNIEFCQI IEKLSDETYKVDDSLNSNPQFKKYSLKKTEVQFLKHSN >CAK59949 pep:novel supercontig:GCA_000165425.1:CT868005:276085:277500:-1 gene:GSPATT00030587001 transcript:CAK59949 MNDCYFELESLINDLPQRTLALKIQQLFYGVINQEKQSSPILEVEKYFRKMIKKIEQFYS RRLQKLEYFISKKADSQLILLRINKYLEKKPWRLENMDYTAKATKYDKIDDKNCNQFINF DNIQEEHYSSKTSTPFSHYTEIPSIPRDSSLNQAVFLEYENSLKSSTSFQLDNQDAQLDI SEIQYKLQQLVDKSPKKKSVLKKKQQLADLIDSELEELSALSPPRQNILDCNISDIKSGF DSRLISSRSLQKESNNQGNQQKSPQQVPQTREFESLFQTQQSTKEIIKYVEQYHQLQQQQ QVQQHHQQSFKAKPSLEVNNVLSCFQDKCSSPSANKRYRVRSSYQKELPSQNKLKVNTSH ENFEIRTSKSIQSKKRHFQLPSPLNESMNQQKQKQQNSLTQQQSLQQKKSLQRQQPQTLS SALSHLYKRFSYQKQSSSVVTKRSTKQTISYSLEKDNQKNTCKLVDYLINY >CAK59950 pep:novel supercontig:GCA_000165425.1:CT868005:278094:278381:1 gene:GSPATT00030588001 transcript:CAK59950 MCQIIRSCNQPIEKRQIRLIDERLCQSSKQRQKYHNKCNQKMLIKIQRKLQPVAEIHKKK QPPSVSYSNIMHDIEELMQLCHKKQKTKSKAPDYQ >CAK59951 pep:novel supercontig:GCA_000165425.1:CT868005:279151:280461:1 gene:GSPATT00030589001 transcript:CAK59951 MSTEEAVFQSQVLDKRIDLTFEQDEKLIIIELNPQMVQQELKAQFQQLNTIIIRYLDYAL QNCLEIQYKKHQLIITSQQATVKLTDKRIVMFTNDKDQLVDTQGIWIYLNKNTLDLMIGY GEARIETQVSIYKMESVQKNIKAITSVVIWQESIPINILPSLMYNHKGSLRPVRIHTKDH FIPSLSESILQNCQNIFQLNQGKQAKQLAAEIEDLYQSASQVELQKIEQSESSQYPQKGA RFSLGELIHHSVTIPGCKLNQILQKKKATAQQNGLDPYMSYIRLGLGHFNKDSAGHQFVL EIWPFKHYSSIHDHAGQYGLVKVLQGVIDISIYAILSNAKHLMNPIKTLTCNQNDMTWLS PKLNQVHQLKNFYPQTTITLQCYKYSQKSQNKVKNFAYVANEQYKKFNPVSDFPDIELHQ IFKELVAEYSNLHTLK >CAK59952 pep:novel supercontig:GCA_000165425.1:CT868005:281497:282617:1 gene:GSPATT00030590001 transcript:CAK59952 MDKTEADYLKRAKVYPCYAIFYEAAYACTVIHMFDFLMELEYTRRSNRTFEYNHFQHEMR RPSTIYDSLKMQKELKRHSDLLSKQNKIIRKLCNFGQKTQQEICQTYFLSIGLGKSNLMI IIYIVGSINKFIIICLKIFLFCFNIIIFSKHFIPESVNYVPKLFQGIFIPILQMSLYSTI SLNQ >CAK59953 pep:novel supercontig:GCA_000165425.1:CT868005:283622:286904:-1 gene:GSPATT00030591001 transcript:CAK59953 MYKIKNHDFNNKNYYSDVNIKKKHDLIEKILCDQNIIAFLKYLVHLTSIDIRFIQSGSNS LHLLVEMKVDLKRQCFENIKINNTSLCGANFFRCDLSGSEFDNVIILGINLNGALLLNCK WKNIRIDELNKLDDPLRIHSICFSPDGTTLAFSDLEYVYIWDIKKGEKKVKLYGYLVKSI CFSPDGITLASCSRGSICIWDVQTGYQKTKLDGHMNSVKSVCFSPDGITLVSGGKDCSIR IWDFKAGKQKAKLKGHTNSVKSVCLSYDGTILASGSKDKSIHIWDVRTGYKKFKLDGHAD SVESVSFSRDGITLASGSKDCSIRIWDVKTGYQKAKLDGHTNSVQSVRFSPNNTLASGSK DKSIRIWDVKAGLQKAKLDGHTNSIKSISFSPDGTTLVSGSRDKCIRIWDVMMTQYTTKQ EGHSDAVQSICFSHDGITLASGSKDKSICIWDVNSGSLKKKLNGHTNSVKSVCFSPDGIT LASGSKDCSIRIWDVKAGNQIAKLEGHTNSVKSVCLSYDGTILASGSKDKSIHIWDVKTG NRKFKLDGHANSVKSVCFSIDGITLASGSGDKSIRLWDFKMGYLKAKLEDHASSIQSVCF SPDGTKLASVSKDHSIGMWEAKRGQKIFLRSYSGFKFISFSPNGRILATGSSDNSIHLLN TKTLEKVAKLDGHTNSVKSVCFSPDSTTLASGSLDGSIRFYEVKNEFQSVKLDGHSDNVN TICFSPDGTLLASGSDDRSICLWDVNTGDQKVKFKNHTNDVCTVCFSPNGHTIASGSDDK SIRLYDIQTEQQTAKLDGHTKAICSVCFSNSGCTLASGSYDKSIRLWDVKRGQQKIKLEG HSGAVMSVNFSPDDTTLASGSADWSILLWDVKTGQQKAKLKGHSNYVMSVCFSPDGTELA SGSHDKSICLWDVRTGQLKDRLGGHINYVMSVCYFPDGTKLASGSADNSIRLWDVRTGCQ KVKFNGHTNGILSVCFSLDGTTLASGSNDHSIRLWNIQTGQNIQNNLQKDQQAEFNIQSY YNFCLQNSQISKLVLSPNPQLEAKGAVILKGEFVNSQGYDLRSLFQSKGCFIFENQSEVF SWTN >CAK74558 pep:novel supercontig:GCA_000165425.1:CT868188:1:991:1 gene:GSPATT00039012001 transcript:CAK74558 CKEGYSPQSDTDLYCSLACQTGHLTCSLTNNVYAFEGCKKGYEMVGNQCVACPNRCTVCV MGICSECEFHYFLKDNQCFGDINCTRFDYKYDPNTGLANGIICQICDFGFFYNPNQQQCT RCKEQPGLENCLICFNSTQCKICKGTHIITADKRCIPFVGCSSQCQTCLYTDPEYCTTCN LKEKFLSSTIVPGKCVCDYPNGYIEKDGGCGKCSDGQCQTCGQDYYDCTSCKPISNRTLV GSKCICKQGYFEAGNSDQICLSMNQYQLECYDSCYNCQGINENDCTECGDPGIYNKYLEN GNCFLF >CAK74559 pep:novel supercontig:GCA_000165425.1:CT868188:1407:6861:1 gene:GSPATT00039013001 transcript:CAK74559 MHFLSITRHADRVGTTFQCLCNDSLYYSDPLFLECQQCHLTCKTCKGSSETNCLSCDTTY RQLIISRCNCYPGYYSTGQLQCQQCHYTCLTCYSADEDGCTSCLSAKNRVLKATKCVCKE NTMEASNTDAMCSNCSYRCSSCNIAADHCTTCPDQSYREIGTNNSCACPAYYYDQPDNPI CIKCYNTCYACKGQKNTECTACNPLSKRELNMNGECVCMSKYYDTGIQECSICSTDCLDC ITSPTNCTSCNPEKYLLGNSCQCKTKLQGSFLTTYFVESKNQCLNCHYSCLSCSGPLVNQ CLSCLNSEQRTLIGTSCICTANYFDNGFPNCKQCDFRCYECTTFSTLCTSCPQSTLRTYN SLNSSCDCPNTYYDDGVNPVCQKCDYSCSTCKIMSSRCESCQLNTYRVYDSLLFTCLCDT HYYDSGIPVCQQCHYSCLLCNTYGADSCISCQPQAISFRVLNRNVCECLLGYYDDGYSLN CQQCFYKCQNCINSPTYIHLDQNQCLCNTGYFENGSKQLQQMQFKLLQLHFQFQIMQLNT NTNTCQCQAGTTEIDGLGQQCNQNCQTCSNTPTNCTSCGVMKFLSNSKCACIDGTYLVDA DNQCYSCDSTCETCFGNGSFCQSCISDKNRILDNATHTCICKAGYYEDTVNKSCIQCYQT CLTCFGISTYCTQCDQTLNLTLNDQNRCVCKSGFFFNLITQQCEACHVSCSECLIQTQCL TCELITRYLDNDTSQCVCKNGFYEANQKQCLQCHSSCKTCQVQSNLMSNLFLQMNTCPCI DGYYDVGIEICQKCSDICKTCQTNSTKCYSCYPNHHRVLNQNTCTCSPGYFDNGQQLCEK CSNSCQTCKNQRDYCTSCDVNQSRLDQSIIHKCPCVSDFYQDSNETCQKCHIKCSGCAYE RDNCLSCKFVYGSNRLTISNQCNCKDGYYDDNIQIICKKCNNRCKTCENDSNNCLSCLGN LKINPPNCFCMNGYFETDQLNCEPCDIKCDTCKTQASNCITCREGRINEKCDCEEGYYDG EQPLCLECDFQCQTCSKSANNCLTCKGDRSQIPLCRCQDGYYDDFQSLNCLKCGYTCKTC TLDKCLSCNGNRILSDEMSCDPPPNSVSSLLTPWCSNCEVAVLKIYLSDDLKSILVLFDF PINPNFFSSYLTSNACFNILKQTTLSKLGMNPQCNIDPNNTKQLILNFGHNPTITVGDQI EFLENSFGHNNCNGKLQYFIFNTLEQPSNPFAPLIKYNVPTYLLNSCEENIILIQSKLYD GLRSFISVQWSFIVKGQSGNADLNNFVQELTNFQQLDLTIPEKTLTLSSNISLFVEVENF VSKKSVFEISIQTHNGQFPTIFQKFKQSYYPFESIKMVFTIKKKSCMENSQVSNNNSQYQ INFYEVDRNDSRSRPSNFKFDQLISSDLLELNIERYSLTAYTTYTFQLTVSDSSIQYYSE QNTTISILSGGILCQFNGTKRLQNYQSATNIYILCKDLDVQYDWNEDPELKIQVSCLELT SQGECKDSQKRKLQYNSTETSQKFPKATFQPYTIQSWNVIATKNSLTYSYTINIVYLDYD FKILDIDYNSGYLVRPVNNYEDLYFTFNIPFQERQYLLQYSIAIIYDYQLISLLQPQYFE YSFQLYDYYQQFNKGNKFNLKFLAQFTNDIIPNQEDLILFLNQPPICNLKILEENVYAIE P >CAK74560 pep:novel supercontig:GCA_000165425.1:CT868188:7052:8263:1 gene:GSPATT00039014001 transcript:CAK74560 MNRQQTEDQPYNDEIKGGLYFDDFEEFKNKSSDNSLLYYSFQQSNNFVLYLPSTEINIIC QIIDQRGSITNIQKSLNISKKQVTFNNLNIDQLTFLQKISWIFEILIIQNDKQNCTQLKD EIYNYIQQQVTSDVQSEKLLAYQTINLYKKVSIKQANSNSTRRLLEQKYQNQCYNNETSL FIITNQEVTQKNSINISSLTESSQKVQSQIVDLIQLRINLENQNSQSNLIVDSQLVMMIK TVTQILIGSVHLIDEQYLIISQNETSPQYYEEVMKISETLIQLIENITIQISDNLQVNGQ VLSFYGAILSLQLQKITKSVYNAQFQIQYDYLDNLIAFIQKSQLKVSFNYYNLSQSYRTM LQIYLNRSDFENDQNYFVKSFLTNYLYTGSQINQLQLQYILQN >CAK74561 pep:novel supercontig:GCA_000165425.1:CT868188:8296:9328:1 gene:GSPATT00039015001 transcript:CAK74561 MGFQKFSNIITFFEKCDVEIEKIDNQTTQLYCKCQSFGHLYLIKVSNNSMNQSNNTVVNW MAEEQQKIDIYEQSFLLVQGVFIISSAIVYLSLVFLEYHKSKEIIIEQGQDMDNKLEPAK DALQIRLYPSHFIMFKTNFQYIHSILQLCQDDENPVKKSFRFLQISNEISILILSSTWEI LFTNIVIINAFISLLIILVFRVISKITQAIYMFEGKIGIMIILLYLFLPVMYFFLMILAF NSIIEMNQNFIDVQIALNLLSALLLVYFVFEPIAIYLRIVIYRPLFESIRKNEFNPINHF FYFFIYDSKINQTYDQLNI >CAK83655 pep:novel supercontig:GCA_000165425.1:CT868476:3:342:1 gene:GSPATT00039554001 transcript:CAK83655 FKNIKRNTLIRLIATKICLPSLKYQFQNNSLLPNVNPDCTILRICQNPLFEASGTPILQG QFINHQGIDLRPLLQSKGSCFLKDLQQK >CAK83656 pep:novel supercontig:GCA_000165425.1:CT868476:984:1392:-1 gene:GSPATT00039555001 transcript:CAK83656 MIRMFREQEILPQLVEICKLHFKWIKFDIFDINGLNLNEPQLFNCKWKNIKIHELNKLEG HSYAVFSVNFSPDGTKLASNSQEYYLSVYVMLIQGVLNEQQISLIYHEKQKKNSKLQNFF CSFWASPK >CAK80829 pep:novel supercontig:GCA_000165425.1:CT868383:1542:2429:-1 gene:GSPATT00039421001 transcript:CAK80829 MFLTHLSIQIRQHLYNQLLLRYFIFALYTHFYKCYNIILLADIDILLDCYTDEHFHLLKL INTSFDIVYSFEAKQPQSTDIKGIYNEPTSFLIYAQYYKNLSILTLFSSQFNNLTSYQNQ FIQLGIPQRSNPYIYLLFKKFILQFIFTQNYAFQEAQHYAINGLADTFQVYYNYQAFSQC DQLLIQSQSGYQQFIEGCQNQLIKYDYGYIDPKQQVIQQYFNNEILLIQQQNYLTLYQTG NFWKSIGFTEINSNSQIFFNPYNCYLFIFNKLITVYQLAIPYLSINLTEFSFYMQ >CAK80830 pep:novel supercontig:GCA_000165425.1:CT868383:2505:3395:1 gene:GSPATT00039422001 transcript:CAK80830 MEKIDIQNMALVEETKIMIKQMKSEQLNNKLKDYQRRIQNFKQIESQVKEMKVSINNTID KLQSNLNQKITLMENELDDSESKTIVSTFEEDIRILSKIYKGSFNFEIPKEYEKSLDDNS YIDSIEQQLQSIINCPKLIEIKECLEKTKVENENKEVKQFQLLNKKEEDPQKTPSLKIQC NKHGKEIIMFNLNPDKTEYSRLACVECIQSNNPIKYTTLEDTNLKWNEYLGQNSDQIKRF QNQRHLKSTQIIDILQDIKEKNHKQDKYLIFNVLLKSNQ >CAK84533 pep:novel supercontig:GCA_000165425.1:CT868517:30:344:1 gene:GSPATT00039692001 transcript:CAK84533 EEQEIVQTYVRKQDLSEILTQITNILDESYLNEILEKLRQDKITDSLEYLSSKSNKQQSK LKYIHDLIKNISELDFNKQNYSKEECEQIRKELIKRLVNMEGDL >CAK84534 pep:novel supercontig:GCA_000165425.1:CT868517:376:1227:1 gene:GSPATT00039693001 transcript:CAK84534 MKVDLREQSFENIRIKDTSLVGGNFVRCNFNGSEFDNVDISGMNLNQAQLFNRKWKNIKI HELNKLDGHSDYVRSDNFSPDGTTLASGSQDYSIRLWDVKTGQQKAKLDGHSREFYQSIS LLMLLHQLLVVQITLSVYGMQKHQRRYYKSDSSYKDLLTQFKLPLQNSSLLPNFNPDRTI LRICQNPLFEASGKLILQGQFKNHLRKDLNICFNPKEVVFQKNSSKSELEIFINYNKIII LINFIGFLRTCFFCFNS >CAK84535 pep:novel supercontig:GCA_000165425.1:CT868517:2123:2365:1 gene:GSPATT00039694001 transcript:CAK84535 MMNFFQHFLILVNFLFVYIIYYDTIIQQFLTIIIFNVILRTISIIILILLLLPFFPTEKG GFSFLSPFIILFHMLLGFLFF >CAK87303 pep:novel supercontig:GCA_000165425.1:CT868616:74:1276:1 gene:GSPATT00039806001 transcript:CAK87303 MSQILNWHFLQNLELLVTSKKYSFGFDSIIGYYGQDQSCLKIRNTNLDYDKIVNDQQLLQ QHLLEFKQKLSSSLNISTDQIEILGVSKGNFEISFNILGNDMKSISQQIQNNPEAKKFLN EYCNGNVEYVAYFDQANIQSKGVTLSFDDFKSFTQYEFGQLSRKKEQRGPPYHRYDYYFP IGCYGFGLNVEKQVKQYGNDWLKTDGNPNEWRIMYYGTKQAAVNQILRNNLIAGGAQDYQ NTECIDEFGNKVKVGRGIYFSDRLSVSKGYKNPVQTGNKKFSVYFMSRVNPRKLDKVRA >CAK87304 pep:novel supercontig:GCA_000165425.1:CT868616:1603:2047:-1 gene:GSPATT00039807001 transcript:CAK87304 KQIETHVDQVFEFQKHIRQEEDVVDITNQEFIGKLLFLISAKQKEIEELLVNGQEHDDYL DELLNLIEEDEKKKSPDIVIIDDDINSNDFALNKKPQIQQVSTYEPIQQHQNSQDLKQSD KSENNQQSKKLKINLKRSFL >CAK72855 pep:novel supercontig:GCA_000165425.1:CT868134:191:1559:1 gene:GSPATT00038812001 transcript:CAK72855 MQSSKLFTQDNHQEKSPIEQKEEQVNNYDQNYNQEQEPHSNDLKPLESEKQPIQVVPDVE EGFFNRIKGCSCRRQADEKTEENNDGCITKLDKFIQKYFTPTYLLLVYYSVFSTALLFSL KLIIQDQDLYHAEILGGLFLFVQVLKGLLIYFLNDEWLDELPYLDSTFENGWRLFKITFF VITNLDICYWIYYIYAQNKKDGTIEHMMTLCRMVDAFFFNFPLMIVFFYLQLQDLQTIQI PYLVIAGLNLLQIVNGFMHLNFFAGSSFLSIRWSIQLICELYVKLLLVESVYIQLAEFNI QFEYFGLFCLCGLIQFYLFSEDQDIIGQVLSIIYFQLKTFFVITFKEESFGMSDLDCDAQ PGILNVVVQKSTYSYIQKAFNFFQLLSLAFIFWLFGGWDNIMKNEILYYLFLVGLGCLGI ISLNLLYVVLSGWKKNMVKP >CAK72856 pep:novel supercontig:GCA_000165425.1:CT868134:1771:2518:1 gene:GSPATT00038813001 transcript:CAK72856 MERYYYLSKFCYYINTSIIITFTTFCILLFIFNYLSYLIKNTFLYQNYLKIIILFLNQSL IEKQNAPLPFQNPCDEDRILRFYCQYLALSQCSQNQYYTGTSSGLISKASILYYYLIRDS QRSNPLPEYCLCISFSPYLQQARNQGKHNNVINTDLIRSEIYNNRDQDSTAQIPNRPLIV ILFFRIEFKPILVSSGLEIPITNLCCCKVVKKLSWSCKMGYSFKSVSMNSHSFSFDEVE >CAK72857 pep:novel supercontig:GCA_000165425.1:CT868134:2752:4316:1 gene:GSPATT00038814001 transcript:CAK72857 MKNNSKTTSQQERQFYWENKQLNQLIQILNFDSQVKVAIRYYEIKKLNIITQQLICIYKT IQSNINGIQEFKRNKQDLQRQLQVQMTLHRQIQNRRRNRVKSLKTKMKSLPNKEQFMNDV PQLEEEQPQQHQITFYKGDFENQNFPQLDQSTVFNNNAQSQLKEQGIGSSLDANVEIHDD QAMDVNGCRNKLRNNRLFQMEEHDPIIVAILDDLESKTSIHHPSSAQAKLYLGSQIMIKN RIIAGFWSKLGPYKVTNFHFKPFELHEDQIYYGEWFQQKRNGFGFLICDDFIYEGYWLND VYNGDGRMICKDGNIYIGEFRNGLFNGDGFHLNNEQIVYEGEWENGQKNGIGKEQMPDGS VFVGQFQKNSRNGQGKLYNNKEQFVFEGQWIHGKALEQGRVIWQDGRIFEGKWCNGMMHG HGVFIWPGGKKYIGNYVNNVRDGYGEYYYPDGKIYKGMWKKGLMHGQGIIIYPNNSHEKG QWKQGKRVVSQEKKDNEKSKKKQENKALLPL >CAK72858 pep:novel supercontig:GCA_000165425.1:CT868134:4393:7175:-1 gene:GSPATT00038815001 transcript:CAK72858 MKLAQYRKMLSESESMILKQLAEADPEKILDNVDLITSLQSAKTTSEESNIKIEESVVLE KTIERVRNEYRSVAVRGSVLYFVIKDLNLIDPMYQYSLQYVQVMFNLAMRQAQSAEELQQ RLSNLIDSITRVIFTNVCRGLFEQHKLIYSFLIAAQINRKANKISDGLWGSFLRGAGVIE KSKLPANPDKVLIGESSWELAAFLDLNFEVFKGLCQHIQKNMAAWKTYIQASDPLVVKLE EPYQSKLDEFEKMMIIKIFRSEKILFALSSYVEQNIGRFYLESPNTTMEILYNDSDVTTP IIFVLSQGADPTSQILKFAKERNFEENLAIISLGQGQGKIATKQINDATTTGGWVLLQNC HLARTFMPDLEKLVDDITAKKQTVNPSYRLFLTSMPASYFPVSILQNGIKLTTEPPRGLK ANLKRSLQDISNEFLDTAAKPEIYHKLVMGLCYFHAIIQERKKFGPLGWNIKYEFNDSDL DTSKTVIKMLLGENDTVPWDAMLYVSGNINYGGRVTDDWDRRCLMTILRKFICNEVLDDN YVFCENNIYRIPEKNVVEEYIKYVESLPMTDDPAVFGMHENANITFQQRESDSILETILS IQPREGGGSSEKTPDQIVLELVKSIQDDLPPLLNKEESNKELWQINPEKNLIPSLSTVLL QELERFNILLSTMGRTLQGLAQAIEGIVVMSQELDSMYYSLMNNEVPKVWNKVGYLSLKG LASWIRDLKERVKFMSEWLVTGGPNCFWISGFFYPQGFLTGVLQTHARKTAIAIDNLVFS FKVQEFEKEQCSIKPVDGVFIYGLFLEGAQWKKKCLADLNFGQMSMLMPVIHFLPLQQDK YQSRSDNYSCPVYKTQTRAGVLSTTGQSTNYVLAVDLPTLDQPPDYWTLRGTALICALKE >CAK72859 pep:novel supercontig:GCA_000165425.1:CT868134:7435:12030:-1 gene:GSPATT00038816001 transcript:CAK72859 MTQLYQLSSQQLSQQDHYDFGMRAVKSVLVMAGALKRADINQPEDAVLIRAMRDSNVPKF LKDDLPLFSALISDLFPTAIIKEVDYGDLQKQIEISLDKMKLQRVPNLITKTIQLFETFN VRFGVMLVGNTNSGKTSCYKCLEMTMSDLRRLNHQDQRYQLVASYVLNPKCISMGELYGE NVQDGLASQIMREAAADETNEKKWVVFDGPVDALWIENMNTVLDDNMMLCLANGQRIKLR TQMRMLFEVQDLRVASPATVSRCGMVYLTQEDLGWLPYVQSWVETEFGPREIQLNGNIQR HICYPYLKNMSTMSSTKSEKHLRKTIGTNDTQQVVSLCNLLEAFISDKYGFKATMTADSR KRFILYAFTFGCIWSVGASIDDKHHEDMSDFFRDRFQMYSYYLDTSNELSFKHWNDKIEE FAYDPTEQFFNMLVPTVDTVRYSYIIEQLLSINKRVYLTGPTGTGKSQVLAKLLVQIQEP RSIDPVYIIFSAQTTSMVTQMTIENKLEKTRKALLTAKPGRQTCIFIDDVNMPQLEEYGA QPPIELLRLLVDKRILKFIENVTLLCCSAPPGGGRNPLTPRFTRHFNMLSLPQPAQATLF KIFFSILNGFFGQGFTDPVKKMSDTITNATIEVYIRIIKEKLPIPSKFHYTFNLRDVSKV FQGVLMVKPGLVREVDQVTRLWVHEVSRVFYDRLINDIDRDWFKELVGDLLGRQFKSRMT KDDVYGASKVLYGDILKIDSDNREYEEIKDVAKLVKILEDKLDDYNTECNSKTRLVFFGD AIDHILRISRILRQPRGNAMLIGVGGSGKQSLTRLSAYMQNQQIQSLEITKNFSIDNFQD FLKKIFQISGLQEKPLCFLFTDSQIVYESFLEDINNILNSGEVPNIWKPEEKQPLLEEVK KINARLKRPEDPDTLYKTFVESVRNQLHIVLCMSPVGDALRVRCRKFPAMVDCCTLDWFS SWPAEALVSVATKILEQETDFPQTDIPQKQLIDSLAQMCMEIHISAKDCADKFEAALKRK VYTTPKSYLDLIGLYLSSLKRKREELQLKQKRLSGGLVKLKMANEQVAGLQVTLTDLKPQ LEESSIKVQAALEKVNQDSYLASQQEELVKAETEEVNKKAQDVKIIADDAQADLDVVMPE LEKALKAVEQMDENEIKIVRTYNNPPQAVVMVLEALGISAKKAMIDVGSFVSSLKNYPRD NIPDKILNNLKKIISREDFVPDLIRTKAKPAADMATWCLAMNTYSIVSKKVEPKKRKVAE MMAILDQANKELAVKEAELQKVKMAVKKLQQETAEMAQKKQDLENLKLLTEARLDRAQKL ISLTASEAERWARTVEELGVAIINLIGDVFLAAASISYNGPFTGPYRNELITHWTDKVKE AQIPVSEKFTLVGTLGDPLQLRDWTIHKLPSDSVSQENSILATQGYRWPLMIDPQQQANN WIKSSFQDLKLKVVKFSDPKFQSDMRLCITNGYPILIQDIEENSRSFN >CAK72860 pep:novel supercontig:GCA_000165425.1:CT868134:12056:13870:-1 gene:GSPATT00038817001 transcript:CAK72860 KFNGHESWIYQEQIQEVATQATQEAVLDAQFNDIEQKWKALEFTCVNYKPENLRNKEVYV LTEIDELQAALDDFLASLNNILGSRYLKMLRKRAEKLQKDVLIAQETLDDWLQVQKNWIY LENIFASQDIKTKLKEENALFENVDKQFKAIMKKTNSQKQVHRASGLLDKFREYKETLNR IQKALESYLEEKRMAFPRFYFLSNDELLEILAKSQDFDAIQRNLKKCFEAIYRLEQPEEG ARSVNGMISPEGEKIPFVKGVSTKEEVELWLMKVQDQMIESLKKRMKQGKVESETQERNH WLLNQPAQVVATISNLIWTYDTEQAINSMTDDSTALSKHYNLLYESLNGLTALVRGTLTP LQHKVIVALITQDVHARDIVDALTDENVSSISEFSWQQQLRYYMDENDLIIVRQVNAKLN YGYEYLGATTRLVITNLTDRCWMTITGALNIKLGAAPAGPAGTGKTESTKDLAKALGMFC VVFNCSDQIEYKMMGRLFSGLVQQGAWACLDEFNRIDIEVLSVIAQQLLTVRQALIRRDQ QFIFVNPDKPINLKEEVGVFITMNPGYAGRTELPDNLKVLFRPVSMMIPDYKLIAEIMLQ AERV >CAK77382 pep:novel supercontig:GCA_000165425.1:CT868270:719:3358:1 gene:GSPATT00039209001 transcript:CAK77382 MNCSQGQVENLHEILDLTKEFDELRKVQNPFEFLSQDLNLRQVEQQILQVQNQSLFVKEY MVRIINVLLKIKNHGFNQQNYPLDEYEDIKDDLIEKISQDNIIIEFLKFLVHLTSLDERY IQCGSNSLHLLVQMKVDLKVQSFVNIRIQNTSLLGANLVRCDLSGSEFDNVIISGMNLNQ AKLFNCKWENLRINELMEFNGRGGRVKQISFSQNGRQLVTCSEDNSIRFLDVKTGKINFV LQGHKEGQSGSFSINDTILACCSDRFVYLVNLKTGKQMLKLIGHTGKVRTVCFSNDYATL ASGSLDKSIRLWDSKAGQQIAKLEGHKSCINSIRFSPDDNTLISSSYDNSIRLWDIKTKQ QKAQVNACVYQFRSLCVSPDGNTIAIGSWDNSIRILDTKTGQQQDKLDGHQNQVLSSCFS PDGTTLASGSLDNSIRLWDSKTGQQIAKFDSIQNSVASVCFSPDGTTLASGSSNSSIRLW DIKIGQHKAKLEGHTKSIISVCFSSDGTTLASGGYDSSICLWDVKTGYQKTNLDGHTGTV WSVCFSPDNTTLASGCQDGSICLWNVRTGQQQAKFNGHTSTVYSVCYSFDGTTLASGSQD NSICLWDNKTGQQLAKLDGHQQSVLSVNFSPDGTTVASGSNDNSICLWDVKTGVIHQQLI QSIFLLMVLHQASGSGDNSIRLWDIKTGQQKALFDGHTDYVRSVYFSPDGTTLASGSYDN SIRLWDVETRKQKAKLDGHTSTVYSVCFSPDNSILASGSDDSSIRLWDVKSKQYLAPYEI RYKDILTQFTPLRFKKNVLSENITHNITILRISQRNYLETQGALILKGKFDNYQGIDLKY LFKQKGSLILER >CAK77383 pep:novel supercontig:GCA_000165425.1:CT868270:5417:5884:-1 gene:GSPATT00039211001 transcript:CAK77383 MLSYSNYCTSYSADNFRIFQSGNACICQNEYYENSQSLAYNQCDISCPTCSSIATYCTSC DSLLNLSLNQQNVCLCQSINFLVQPQNNARVSNIITHFFSSFVGNIKEWHINVLNVARQK DILIQITQNVHVQMDTMKLIQNSDRQIKFTSQYVI >CAK84289 pep:novel supercontig:GCA_000165425.1:CT868498:362:2438:-1 gene:GSPATT00039671001 transcript:CAK84289 NVIRNIPHQNRHTEWFFKKAVATIQWKQSTEKQEYENIRHFQVPKFYIYVGKDKQFPGFN GKVALVAFNVGEGSFKPSNDFTGKGDPFSFVTGKKKLIGVQPEIDTEEDPEKQKKPYKAV DDVETDGLTRPSSSEENKPVIEENQEDENELVEYGYGFWMRFLTAYPDRLLNGKNAPWYF VSRLTSNMNYKNIDMGDRLLAIWQGQGYYHFTTCDQPKNQANDCGHTFTIHTVQKLKKLC AFIKYADQEPRTILHSVQHPAAKKVKFILGGMDNKQYPGFNGLFSQVTFSARPGVFLDTI EDFSDQLKRTIIPKQDLDQFYNHELVSDIISRKPNDIPDYDEIGGGQEMFPHEYAISGWF KWEQTQQQVWHNVFRVQIKKPSTDRFLGDRTLSCWIGTAQGGILHFPTYTYTNMNGAGNP NMVSNIQHKNRIFDWFFVYFGYSKNQQKAFVGVRFASGLETLEYNNVNHYYAPKFYTFAG KDLHFPGLNGKLAYVNFNLGDGTFRKTPDFKHPDDIFGLQKGEINILKKPDQKKVQPEVD KETGETQIPNAVSDNTPKVTKEFKSEQPLAEYGYGFWMRFLTAYPVKLPNGKNAPWYFIS RLANRPNYDNIAMGDRTLAIWQGQGYYHFTTCNLPGQVNVIKNVNYPAGENLCRTRQDGI TFIKHSSRHI >CAK72483 pep:novel supercontig:GCA_000165425.1:CT868121:13518:15009:1 gene:GSPATT00038752001 transcript:CAK72483 MIQQTSLKLKCQEDNHKEEIDFICYHEFCTGFRLNCFDCFKKGIHHNHSDDVKKVSSLIS FIESKNKECDNLIDDLNKYFESLNQSFSQLIKGIRNKYSFVQERLMKMNSYQINDYLNSS IKLTEYKQSISKIIQEQIKKLNHSFNNLYEQLQLSSLNYHQSDDNNIKLAEDLCKQGQQL YYQGKDNEALEKIEKSILLNPNNEDSLCYKGACLRMLGKCEDAMIWLEKALAINPKHFDS LRNKGACLRMLDKYQDAITWFDKALTIDPKHVFSLSEKGACLKMLRKYQDAITWINKALA IDPNHVNSLCEKGACLKLLDKYQDAITWINKALAIDPNHVDSLSEKGACLKMLDKYQDAI TWIDKALAIDPNHVNSLCEKGACLKLLDKYQDAITWINKALAIDPNHVNSLSEKGRFLHR YINRCLFKIDEII >CAK84295 pep:novel supercontig:GCA_000165425.1:CT868502:1919:2127:1 gene:GSPATT00039677001 transcript:CAK84295 MSQKGYWFSALDDLLKMDELMMICTRCLKDFPIKQVLLEYILKRKQTMYLKNQVCVNL >CAK58996 pep:novel supercontig:GCA_000165425.1:CT868000:1519:2869:-1 gene:GSPATT00029627001 transcript:CAK58996 MINVAQFKPNQFSIQFKHPYQQAIQFAQLQFETITIDAKTFRKFKPPLGEGSEGIVSKGQ NVQTNENVAIKEYKSINKNELQAIQAIQRYKFKNIIGIIGVQERQNGLPVVVMEFAHGEF YQYMQTPQYQNLSYEEKNLCFIQMMEGLEQLHQLGLFHRDVKPENFVYVKGPTNEITIKL IDFGLVKENKENMFQTSKVGTPYYMAPEVFGNQNNSYDKSVDIWSLGAIWYELLTNDTFF QGNRQEEIFYKIVNISQEEINRQIQQNDSIKEKEKHFIKSMLQKVPSQRIQLKEVIQFYL NQFVTDLESFIKELQKKKREGLSEKLQNQQIKIRNELNQFKQISLDNVKKANQTEFKRKL KQFKEIERIEEKEVIKMQFYNQKEEEQKKIENLIYEKLLINDSQINQKIFKNQMIFQKKW KKKWMKQKRSLKNI >CAK58997 pep:novel supercontig:GCA_000165425.1:CT868000:3925:5691:-1 gene:GSPATT00029628001 transcript:CAK58997 MLNFQSAHLPKIDKRNNKASIEPTVIDEILIIKSVKDYNAENKITMGDQIMLNSLRVMSL SFKNIWKIENLQGLERLEKLQLDNNIIQKIENLDHLVNLHWLDLSFNLIKEIEGLDKLVN LKDLSMFNNQLTSVGGLDNCKSLNVLSIGNNKIPSFEIVTQYFSKGKGMKFKNLQVLNVA GNPFTKEPDYKNHIINSLPNLRYLDYSFIDEAQRNQIRESDEKFRTDAITQEDFLKQMQN QEQEEKNNNDELFKRKSARMDLVEKLSDELIQDEELEKVKTMKGVEEEINKFQEKIKETV ENLQKNVIQKNTAKLQSIEVNKFEQAVRAREIKSENETILTIKRFEHQKKLAFRAKERNE DSWKLKLEDLEKETQKLKDNLLSTELQLMVDIEAALGDFDGKLGFITKDMSDYVSGDHGF KKIGDFIREFGTKLTDIAKLEYDRYQVFVQQGSDLSEWDEDLQTLFENKETLLGTVSNIK DNLQSKANTKDTNITTSIERDIKLLVTSYKEKQYERNRKNVLQINKQIEDYTDQIKNQQT PSDDESEGN >CAK58998 pep:novel supercontig:GCA_000165425.1:CT868000:6119:7446:1 gene:GSPATT00029629001 transcript:CAK58998 MIKYLFVLILLYLSQAKRIKQTYLIRSLRDWHYISKFGAETGEVFYSLTFRFKNVEENDE RTIPIQYELFLDEEWPNAIEKAECDRSNVARRKETIQVPVNSEFEFKGSLTAKVRAHLWY FAFSDCEKILRNSFPVESKVKLDVELHITNVGGTEFTLEQFGILQIIGIIALIDVIMLIY NGNQILEKQRKYEEFSLPLFLLVITLLLETFSYCFLTIHLWIYSENGAGSLLLQVLSVIF QVASQFSLTMILVMLSWGWQINFSKFDNFELFIPISILVGFFQIIIVGVSFIDYDAYYKD HQYEGWVGWLAALIYIGEFVYFMNGLVDTYNKQNTKIQQFILLLGLYGGVYFISFPVLQT VNLFTARYVRYKVMEIGTMLFRTSAILLLTRLFTSKKSIFAQISIENKSFLDRNKDE >CAK58999 pep:novel supercontig:GCA_000165425.1:CT868000:7492:7952:1 gene:GSPATT00029630001 transcript:CAK58999 MSKEKKIESSMLTFFVQEMNQDFWEQTQTTELDSQEFLIEHEFVREARKEVKVKVKHTLL VLGEEYLYKISPKQNLKRVPLNILHFDTIPKLPEDHLLQLNSENQLQGFKLTYASKILQV FTSQFCCFFVMVSYFKTKMSALFIP >CAK59000 pep:novel supercontig:GCA_000165425.1:CT868000:7972:9508:1 gene:GSPATT00029631001 transcript:CAK59000 MIGKGSFAKVYLATSNGQSYAIKAFSKQFINEQLKGKESLLNEMQVMRAIKHPNIVQLLE VHETQNSIYFVLELVVGGELLQRVKEKGLLKSDDLIKIAFNLLSALDHLHQKKIFHRDLK PENLLLKTKEDNYNIMIADFGLAAFSDQELIFKRCGTPGFVAPEILLYIDGGPIYDTKCD IFSAGVILYILITGKQPFPGQDQNAISKATKAYQIDFGLPAFLKTPLEFQDLVKKMLSNK ISDRPTAQDCLKHKLFAKISQQFKQDQYELEEQQQQVLNNLASYDLEYTIGLKNNTQNSE DLVGSQQLQWRKPAYNGNVQTVETLTNCSTVSIKQIDAQSTSQSQQQKQPQQSKFSIFSA KLSRQNSFDGGTDMFKDCASPGRSKISKEKDLHKIALKNSFRFYQKPQLQVEDNQINQEQ SEVSELIKRHNSSELIKMNRTDHEIQSPQKS >CAK59001 pep:novel supercontig:GCA_000165425.1:CT868000:9510:10953:-1 gene:GSPATT00029632001 transcript:CAK59001 MQEGYDDDDGNFQDDQDQNEEDYDQFNQVDQMESNQFDNSQKDKYQQDKNYGNYQPDQQK QIEDKISEDLEEKMKMLDEYSKDKSEEQILNIRMHQLALAKILVFTHGKGQFKLVKAHTN IGEAYLNYKCYEQAIDHLTLALKKNAKLYNEEQESKSYNSIILTLLGKCYLEINSYEESL DLLKKAYETQCQIYGDETEHSIQTLTLMSNCHTNLKDYDASEECITKVFAITEAKYGYKS EQSAISYIEKAKIFACQENWKDAIQCQTSAIDMLVEINYHKTEYVAELYQQLSTYYEKIK STDEQIACLQKVKQIYIELYTAQDKKVIKIKRQIAIIQLRQERHQEALGELYETEDLEVK VYGEGSVQVAKTQKILGTILLLVQEFRDALSYFQKSLKTFEENGMKKAVAEVKQRIKSVK EMKEKGKGQLNLKDRQNIMQDY >CAK59002 pep:novel supercontig:GCA_000165425.1:CT868000:11570:12427:1 gene:GSPATT00029633001 transcript:CAK59002 MNSLRSQQRKCECPLLSYKEFSPRLKGPFYYKSLHSESKKLLSPFGSQTKITDQKFAIHL KPILIPDLMGRSQIQCLKIRCPLIQKSLRSQSSNEQVYGIYGSKWKRLISQGKFSVKQIR HQKIIYRFKIYVRAVQLMLTYFKFKRARQSNMKSSKRLSKARISIVNIRKQSGQSTLIPL IQQYQAELLSMQNQILNVSTSDVHSPQSLCLKRKQKTLSQISFDHFLKRPIQQFIKNKNL SSISDHKSTQPTKRTCKTEQSHKRFASIRQLHRKLQLSQAYSAQQ >CAK59003 pep:novel supercontig:GCA_000165425.1:CT868000:12463:16490:-1 gene:GSPATT00029634001 transcript:CAK59003 MLAVLQVGLLGFVSIPSFQDSLLEFHINRHLNGLQIEMNIIQQEIYIQYQAYFNQIFGVS AHLRHYCFGEFQNYSSWTLDAKQIAPFSFSSGEITEEDYTVAWSHSNYTNFNTLQQSNPR LSFLVNKITQSLILSDIIINNTRSLSQDLQLEPKSSEADSTLVPINYASLMVHCTDSLVV RIPQFTKQQQNLLKDINTYDWLPEEEFILDSTLNFTIYYDHNDVFTSSPSLTMTQIISQD DARQCYLTAKISNLYLLDLITRHYQQHESQGESEHQLFTAIIDQQYTTLAPSDYSNMAIS ESIIKYIVTTKDFSQSSYNTLNEDLAPQILNKSNELVYNATLNSTSLTYSFGQNKFYLLF SKVNTYSTKKVSIVTAPENGRRYVYIEPEENNFTMPSFILVHYFEEQTLIQELYEGTSLN NVRILEIIVLIVIIVLSVVIFLFVWYTATRIGLSFEQPIKVLTEFMNSIDIQNMDQEEEL INYQDYFNSFEIKSLFQTMNIFVTTIKYSNQKYSNSKHSDALALMELSKAKDFYKKEIGN MSAVGICANNIGILHMKGGRVFEAINEMEEAIYIAKLELIEIKELKKWCLGMQSALQEPN LYQNFKQRLKVLAQRFKEQMKINWISVQVKKKKDNKSKRVESQYKGNSQSLMSKPLDQHH KNQSTSQLLQKTNIPHVALLNTGTQNRITQIQTNSIQTPMQKKKRVSGRMSFAESKQQLQ PINSVDPDNSPYISPQLSPNISNNKVKYQGGHSYNQSSIISQRLDLKESIIQQDERYKDE EYRQDQDGRVRGDSGQSNGGMTELQMIEEKIVELMKKKQLAKAKLLNRQFQLAQFMFTIC MQNEIFIPETLKLFNDFEAIAEKDQKFTQSNIVRLINLHVKKALCYIQIGDLSNFKISEK KALEYYNKLNENTNQEQNQAEQDYNFVDMFKNVPKEVLLSKIMQLQAIYELIEGNFKTGA EILTQIIELGEYYDPEVRDFCLMVLEKIFNLFSISPLPIQQFRNQISINIYEIVFIIDYS KEMTVEQINLSHSICTKIFNILQPQDLIGMYGFNNSLHEAFPLQPKGTYKDLLTKQLFMA ITAPGGKSKLFQALKFAVKNFFEHKITIHDSDYEKKKVKEMNIKQKIYLPPVAEEDEGKS MVIENVDDKSQHVNFSQDCHQDVTSESNSEFEDEDFKFIRNENDHCNRIDKDKSQQQHDF RSRYKFICIFTEINRKMSQKQELHLRQILEKNQVDIMVFNIANQNANMLELKKLSKITPR SIFVNATANLEQLFGKSRQNQLQKKMYLEFF >CAK59004 pep:novel supercontig:GCA_000165425.1:CT868000:17493:19384:1 gene:GSPATT00029635001 transcript:CAK59004 MFSNTNSQFQNPQTSQYGGYSQTVPIINQSQVGKPVYQPQQPQPMEVEMKIYRGQNQVSE MQVHNPQPIKQNASQIVVQNQFHDSSSVKQNQKVSYLIDWVMYFLPIGFIILILIVMSCM VGAKIKHFNPEGAHNTLSTLKDNLSKNPIEDLVEGNEVASHTTMIDYFRHKDIACPDGYE IAQIGKWDGVKSGCLCENGETSHSTTCFYKSSCKRVKSHDSVDLKIWQQKSFCIKKYEDW HQLTGVACDNGYKLCGNICVPMTRRCPLSNLVKDNSRSNDEHAIKIGSDHFIKKFEDSEP VVDLQLVPGLGQSESSPCYNHDLNPRFQSEKYYPFAKRAEKGCDQYLDLQNHRTTLNTFE IHKVFEQNDLNDVLNQLPFYQSYMSNEDTYAFELIKRIKINSIQDCQKLKPNQVDKISSS SKSVYHAEQYLSFIILLIAAMALFLVPILYLIRNRVFEWKDMTEFRQPRFFCGSAAVIAT LCVVLGAIYLYHVDGNDGLKEHNHVFQTYLEKSCFQDQGLILAVDQVNTFAKNVYHSTYS YVIFAFYGSICYFVILALLMIYQFWQHKSNFENPWQYRIQKQYYEFY >CAK59005 pep:novel supercontig:GCA_000165425.1:CT868000:19427:20083:1 gene:GSPATT00029636001 transcript:CAK59005 MEQSKNIYHLKNTINKNTHYFLKPKNAALNSLLDTYILQDYGGINVSYQLPKIRNLSQQQ ILMDIESKRKLSVQNIQKLGSQRNLNRLVRSQTIDEPACRKATDIIESCEKIITLQKKKE TEFKVKQSREDDEYKAIRKADKLHKLIQEQIYQCNKEDLDNANKKAILYIRENTVTIDKD RFIQKCNRERFQLQQFRERPRKEKEHKRFRTNYHLLDL >CAK59006 pep:novel supercontig:GCA_000165425.1:CT868000:20115:21651:1 gene:GSPATT00029637001 transcript:CAK59006 MFYQFFQSKNNPSLSRLSAIRTTERTYDALQVSANVCNNHERIVTEPSTNDCYLVKKQTF GSRHQKNKLNILINNIQNTFKEEIEKIKEEAKLKLVDPQIHIQDILSNSKEDVELLIYKL KKILINKSKRSYCVDIIQEINHFITKLKNLDIKILVTLTLAKIAKYYNLLYYSISLAKNA KRLSDSEALLKYKLKAYSILSQCFLKLRLKQAKIYITKYLMCSWKLKLVNSEFKGYEQLG KYYYYEGNIKMAQLFHDRMLNGESLKFDSSLKRLAISKFEQGSIGKSKKDNHIIDENNLD ISSDDEPFEIIFNQDSNDVKINTNKIVASYRKKNRLLNFSIRQQPLYNKTTIKKAQQEVK NANLFISDRSPKQQNVNSLFTEQGNLDLQKLKSLPHSHLRLGELKTPVMLNHLSPNRCLV NYQSLELNKSPQSNRKAEEVDLLFDVGDVHKMSKILNKIITILTKIDEWLQTQNELI >CAK59007 pep:novel supercontig:GCA_000165425.1:CT868000:21711:22753:1 gene:GSPATT00029638001 transcript:CAK59007 MSNKKQDQPLANAQNRQRGDQKQISFFKSKLSGLSPLNKFSPFKESSTYQLFNKNYASVD FTQQKQPLFKSIKQRSKQKELSSFDSQRSPHKSPNTSNYSSRQTTQKKDYTSRIQQVKRQ EQQVNYSNFRKIPYDSLKSQNIYIESQKSISQALKQYQKPEDMKLILGLKSLIRKEKQVI LNDVDQVIKQTDKYQSKITEITNDQEELKKQYQKMTQDEHQSIEIYGETISKYNKAIQLL HELQIDYDSLLPELQNDQTEESLKNVQQEENKDETILLQQFIIKKLVNKIEESKSLNQQL ISKIKQ >CAK59008 pep:novel supercontig:GCA_000165425.1:CT868000:22835:23837:1 gene:GSPATT00029639001 transcript:CAK59008 MQQSQTILNYLNGNYLLTSSTENQVVTLEFDSQYYSPCFHFLHYQLFNHLNSTRPLLIID LEFNFRSLWKNIYSSIEYSEQNGFKKYVNNMYFINKEDSLNEVLKQIVADNIKSLEVLIS GVELTEISIFNMIREILKQPFRSQISVLIPKEFKHRELKTIQSISNCRMIIEQLLESDEK IQKSEKEFKIYNLMVTMVLKKSNGHSFLKNYIIDGKELLKTKLKINKIKKEIKKDDQLDV TKKIGATFNLNLSQEQKMMKNEIDNQINPYKEIISQENVPLIYQNEGDEKRIILDDEQED FEEVEEYEG >CAK59009 pep:novel supercontig:GCA_000165425.1:CT868000:23947:25707:1 gene:GSPATT00029640001 transcript:CAK59009 MKKTTLNYSCTNLFQKQNQFDNVNIGIFIDKENSYAGLQKKKKLQNRDTTNQTQQKTDLR SNSALQKSSSLSQFYRPSSSNDKHSYQHQNTYAYLKDMKNQSCTQQLQQSSYQKRHTSSK QVKEHNSKQVQYPYNIQLRVNSLEIYEDKKLKLKLMKSSLEKQQNLKSKDYSEFNNQQIQ DIKTENQKYQGLLAKYFQINQHKSADEKKQSKDVKQIYNMYPQIKDIKHCYTNSLKQTQE KKRGQLQEFVTQLNVDTFRDTQKLQMSNTLIKSDRQKLNDVKSTHLNKISVTQENEPFLY YISSVISALNIKEQNKYDEKVRDHLAQTYQGLLFAGQFDLNFDEDKIVSLPRSNNLKTIV FDLDETLIHCNENAQIPGDVILPITFPNGETVQASINIRPHAQKVLQTLSKHFEIIIFTA SHSSYANIVIDYLDPKRQWISHRLFRENCVQTPEGAYVKDLRVLGNRKLSNVLLVDNASY SFGKQIENGVPIISFYDNYDDQELLHLQNYLLSFRHEKDVRDLNQRMLKLNQFINYKELK ELLQGLFEMYI >CAK59010 pep:novel supercontig:GCA_000165425.1:CT868000:25827:26948:1 gene:GSPATT00029641001 transcript:CAK59010 MMNVSKCSKCSKVPDDILMLTCSHDLCLLCAAKSFSMQQPKRSKKFFVCDICSSNTELDA NSVYELEKLHLTNAIQDRSNRKPGQQSKSNLSIEKQVKPRSQTRQDNKENAKEKSVKYTN QTMEARVSIHQSQRKMSKQQSENSFISQPRGMCADHPEEEVSYFCFDCNSKCICPECIIH GIHKNHEVKTIKKSYPIVRKQLEDQLEQNNQCIIQVENYRQDLEKKQIQQQAIQDHLKLQ IAQEFQILHQLLNNKQSELLEKVDNLPTIMEQQEGYINRLNEVEIKVQNFNEKINDMIDS KDECGLLNYYGSIYGQTTPQLPPLPNIKEVQLSNQIFSQINDAKKLLGGMDIDCNQ >CAK59011 pep:novel supercontig:GCA_000165425.1:CT868000:26973:28717:-1 gene:GSPATT00029642001 transcript:CAK59011 MDNKLTNINSFVEDLRTSSLSREELFEKAKSLYESEQTILNAMTIFNFIADTEDRVLQVL QNSLLKLLQHQDNRVQELTISVISKLVQKHNFKELSSQVLDLLINVIIWDDTFIMYNQSF KFAQTLLKEQFPDMQNKIKVMEPMFMNKYYFTNRKEYYIQGLLGVMELFLEQKYNMDKVI EVIEIIQRIARHQNKYVRLYAQKFVHNLFQFFEGNEYKAIIIATIQQGLMDESLEVRMQA LKEYQILNPKNKDCFLIPLLNNCHTDNLMEIAANIAQQHFPSVGGPPILGLITIIRDRFL KECLIYNYHCTTSRYYQIRSSGLSTLQTITLFMKQTPNVLPHKEILKAAQNCTLFETDPI VRQAGFSLMYHISRLHMNEVLPYQQQIVDNCLFHLSDVPLECKEFCAKILALFKDHTDLI KNLQQKVQVVKDKELAYQDFVGVVPNTEEAQTHLQKSLEAQGGKDKVVSKHQSQTLLGIT MCFKQISILSPDEQLLKQMIVLISSCLKYIDWQRHFILQCLIEGLQELKIVKRLPIKLLD ETDILAYLKQYNETELLNRIGEIVGPTILKGRL >CAK59012 pep:novel supercontig:GCA_000165425.1:CT868000:29728:29970:-1 gene:GSPATT00029643001 transcript:CAK59012 MENKIILNSQSTDLTTWCQQDSSEFDDSCCSNDDNDKSSQMIANFVVGILKPGQKRIGLV AANKNKITISGILGINRPHY >CAK59013 pep:novel supercontig:GCA_000165425.1:CT868000:30153:30362:1 gene:GSPATT00029644001 transcript:CAK59013 MGNTQQKVCSEEEQLAQQQQMLKSRMLYRVIKSHKRLLKKQAFCLGITFFLILLALMNSV LLLLYDDEN >CAK59014 pep:novel supercontig:GCA_000165425.1:CT868000:30541:31534:1 gene:GSPATT00029645001 transcript:CAK59014 MSSNGSALKGSAPPDLAKQVLVQKLAQQIVNQIVSDDVIDDKIEEEENHNKEPTCCQMFY APFTLKYYQPFFNDLTTKVIAQKLWASFFPFKATFFEIQDGKADLYGPVWIYATLVFAVA AAGNISGYLATPSNIAFHYNFDFIPTASSLLFGIAFLVPFAIYMVMKMLGGRHLHLTSVI CIYAYAQTCIIPVCVVCSIPNPQLQWGALIYAMINSSLFLIVNYWGELEKNIQAKKHIVI WLIAGCQVVLLLLFKMYFFLYVYTNPYTGSRFDQLTKIVHTDHFFLHHHQQH >CAK59015 pep:novel supercontig:GCA_000165425.1:CT868000:31536:32896:1 gene:GSPATT00029646001 transcript:CAK59015 MSSKDKQSVKSDKSKDKKKKSTKLDKKDSKILPPPPDNDQQLLIARVISRSGDKESKSLA NCDEDPQMQTFNNNNGNFQTSNYSQQHNQYENYPTVNKNNNQFRTNKFMTDQTAYPQQYQ DNFYQKSAQQYQDQYYSPSYTQPYYPNQQFTQQATFDYRQERMKDQVNQSLIPKRSEILE QVSKIDNRIDEIKYQSQKIESITREECEMIIDKLKSVETQKLQMLYHDKNELLRDIDQID ALQQRISQLQDFNSQKNIDLFENIERLSRKRIKKQIEIYPDDLPQDLIQFRQLQQQNQML QKLMDFKNEVIWKLFNDSQIESKRIREELERQANQEFQEWYNIVDQYQQELNKYKLQCTF CGINFDYQLINSDCNSNQQDVCKILINQKEIQFDCEQNPPEQFLGTRRHFFSKSRQMQQS QIQMEDNKV >CAK59016 pep:novel supercontig:GCA_000165425.1:CT868000:32921:39270:-1 gene:GSPATT00029647001 transcript:CAK59016 MLPRESSQQQKSSPKQQEFKKQKSYRFTISNQMMKRSPTRYLKEMKSLKHLLQQSTLKSL KNFQPYENQDENSSDSSEYNNVDEKPDPQEDKIAVPNLSFKEGFLLTLEQQEQQTHNSSD QNSSKGPKKQVLRTLKKCFGDSSSMSEVNSQDQLLDRGHDNFPIQDQIEELEAQHNEDVE YFCEDLDPRILKKYQKHKERNPFEDPYYVVLTPLHGLERLKHELKNYNDHLNFLKLRKFI VYSCLCIPMHFQKLVDSYQFKIINGIFIICNLTLFTLSNSNPPITNEKYKQFIFYCFAFE ICIRILAAGGIYPTVHFLYSKEDIFNLLCTLISFLHYFYPTSIPFDPTPLRIITIFIYLG DVLLRLKYMLIALKKSLVFLAEALFILLLSALFFSIIGVSLFQGLFNYRCQPIEGDPVDD WIQCYQNICPEGMHCMLSAETPKLPTSFNNIFFSFGQILRTITMDDWSWVMFFTMRIYHP QIWIYYLLIIFVCGFFSINMMIAVLKIHYSEATQECEEFEKLSKQKNDHSLQRQEMFLSK DVISYFDLSFLRYIGFYSVLKKHRHLIRTMKPLNELIEDNFRPEKPNQQLRLLSSKQKKI LDEAQTKLNQSSIWNKFKYFSLKNQLLPKFKELKKEQSQVNINLYSDDPIEISILLKLIE YNFTQLNNSVNYHVEQKFNSLKDVFVQKKRIGHKEKIKMYYQHLLSKKNLKQTTRQQSDY SMSRYPIHKSTRRITIQKSLSKKDESLDVPSLQMKRKNNDLKSIINLRPRVEQKLPFEHI SKGRRYVYIQGYYINYEQIQEKINTKIPKSKLQLDSNEELYQLIFQKEREQKIIKTKNWS GNNVLLMNPDRFQMFEDIFNSLNNFNRLIWMPTIGGKLLIMRRYTLIIIDNQVTQLFFDL IILINFIFLSLYGIADPIIISKCEDISTVFLLVEISLQMFTYPLQRFFSSKENVLQAIIM IASFIEFSFSDYLNLTEQYLRLIRGTKCILFYRCLKYNQMAVLIGKIASITFKQYIYLTI FMFIIITIYAMIGMDLYASKFDQDDFLGQLHSFDNLMKAIMTIFNIMTNDDWYGVYVLGT GISTVAAITYSFSMVIILNYLTYGLVLAILLDGFGRYLDEKEEVDQGDKQLLENQMTSAR DEEQLNTDLDSTRNLRIKSQYFSQVQICELEEKEQPKVKLDLMESLLRSLKQLNKQVMKS NPKLFQDIQCETSLYLFEKTSKIRIICCNFCSSQAFYWFTNLVLISSIIVMIIRTYHDYE QEKSQYPYILLMILNVFMFLEDIICIIAKGLCMDKGSHINYSWQLIDLIYLLGFFIDSYK QNPIVNICLFLGHFRPMKLMYRIKWLDKIRAALAQSLLDMLKILLTLISVWIMFGVFGII LYESQFGFCDDKMQFYVNERECKESQREWINFKHNFDNITIALPTLFVVSTFDGWGEIMQ VAENSRQSRYGPSPFATYISTYAYFISFCFVGSMFFLSFFTGTLFSKLRFNQQKIEMQDA TKFQREFTEIAPIILKDTPVFSTPPTKIVRRFASFIVNNSIFQKFMFLMLLIDLICQLQY QYDMEVEYIRQINIIQRFIILFYALWIALLFMSLGINRYFDNNWRRYYTFLILISLCDLI ADLENDWVIYYFQSNVFTPHYQMIRLAFMTRQLRLLVIFQGLTNLSRLIRVMGFALPFLA KLISILIITMIIYALIGCQLFGKIIEGAVIDDYINFTNFEYALLALFKCASGDDFRTIMT DTMHHNPSCPENPDCCGSDFNQLYFITFMLFSNYVLLNLFILGLIEQFEEFFQVQNSMIQ TYVEEIDKIKTAWCKYSAETNGQSMHYKFLCRFLLDIGQPLGGGKDDNLWDAAKLASKLN LRCDACGYIQYNQLLYQLFRCCYHDEVFKDGSQESIQNMKQFNKEMQIRLLYYRRNKTQQ RSNISQHTLQFKSNFNILHDYLNVLILFKTWQSYSNLLIKKIIRRQDDYTESDEITLDGN LQNNPQYWQQVQSDFINDGFSSKRRTTDIKLYEDQFSGHYVTPQSAGSQREPQLPVYQTT LQQETDRIFGGFDENVVLDCREIKFKN >CAK59017 pep:novel supercontig:GCA_000165425.1:CT868000:39818:41264:1 gene:GSPATT00029648001 transcript:CAK59017 MEVESNTGIPFILQKLRINSEKKFKHERVGYEIQQSIKFYLTPLNKSFKAQYSHIYYMRY LNLSKRFENNSNDSQFIELIQKIQNQQGTIKAIGTIFKEMKLKPYYFSSNSNKTLQNYVS NEDVCYLEDSSGRVKLQIHNAILCLPNRKDKIVNVSDLVTGITLMIEGQIVANNIVKVER FYLPQLPEAPLFKPLNLTSYLCLISGLNYNASECTTKYRHMIDYMQGNLYSGEGSEIPYN ISQVIILGNLYSKLEEPIDQQVQNPQQDFKGVYSKIQLNIKGVDELISQLVSVTPVAIMP GVNEPVSQMFPQTPLHRSFFPESLEKSKQLIFLTNPSEFSLGELKVLGTSGQNIQDIKKC SLIKDQSDVDLLEMTLFYGHIAPTAPDTLISYPQQKQDPFVLSELPNIYFAGNMSKFGTK IAAENVRIVSVPAFSETGTICLINLHTLECFPVHIQ >CAK59018 pep:novel supercontig:GCA_000165425.1:CT868000:41289:43565:-1 gene:GSPATT00029649001 transcript:CAK59018 MNDSIDSGYSFTPKQLKKQNSIPFLVSEEVKKIISFNMTPFKENNNYEQIKTPITEGYDR KLQDAIKQLEIEDLITDPIDFLCRNTTNNIICSLNLNTIQRSILFQLLSILVDGLVVILS YNYVLLKEKLRRIQDVISWAAINPEVPTHQIDFIVKNISSRNIKLLIVHPDQANLIDLSN LDIKLLLLDQSNYYLSFLEIGKVQTDLTKYIQKANAEYIHILLPITQSVFIQDLRQVHPF NRNYQTYRESFSPKTQITCSKDESPIKSLISLLRSQRLYKKTGIAVFCHNISAVESVQFT LQQNGFKSNSIHQKKPENQRQASYYDFASQNIDIIVLPSGFQLPEGLKNFVFLSVHLYLP LNIETYILDITELNKEANSHIFLCDDYYFTQRAEICSNFIQIENLAIFMQECVYTNDLIS KDENDITYWLTKKWLHLQSSEQSDAKIKKILLNESTLNYDFSRKQIQLFLQELEKEKWLE IQVAIPVELIISQLPEDDEISQNIQIYGKKTGSLNGYKCSVDDLLKGSVMTPIQLLNKLK QLKVKFEISEEAQIIKLTHFPSQSELQAKVLDIYSGIKRQNIIRINNLDQMYNLARTGSF RSLEYMWKQIQLQKNSTQLTDFIQQYLDQNVYSDLTGHNNLPFIKLETQREKSTLLQDVK FIISFYNIQDFGLSWIQKENCATKILVILQGLKTSKKELKSLHQWNKYRQYNYLKIHEMI HEQLEQLETKLLCQSKKKIKI >CAK59019 pep:novel supercontig:GCA_000165425.1:CT868000:43585:45411:1 gene:GSPATT00029650001 transcript:CAK59019 MNQNRECQHHEKVMELFCETCKDLVCNDCVSYGPHQTKNHKIISFKDAYTIRQAFIKDRI ETAMKNKKENLLDQLEKIETRMKYITEVKATIVKDIHMEFEGGILKRLNDQSGTLTAVLQ KDIAWLQKHLNSIDDFIHTFEYLALDQNVLPLLFMSKTLSQQTMKLLSIIIKTEITSHPS DLPRELFDLRTKIKNSEILQQQIEFKKQVIWKCRQEKIQSLQKIKEEYFVKIEDEIYDWV RLLKKVVNTLDSLCMICTFCGCFIDVVNEQCALNAKPYEEVQLDFTGFTNELPKMKVFGT CQHFFGYPRDEYLILRKDKYANFGDYQEQRRAAKEVYFKVFRSLWFSIIDLKARRYLKQL DLQAIFKKYDPDNKGWLSIVKFKYLMHQELGMSVQEVEKLVIYFRDEKEDKVNYEYFLGI DWYLEELQRLEEQVKTESVKYAKLYNRRVRVKTTSPPPRQEAKFLERPQQQQQQSTIYSS FTRFSQAVEKQPVPQPVQYEQPRFGFIDRDNSHTQSVSKQFPQKNNIEFSAESSLKSKQK SSSLQPKRVQFEEKQSYQRFKESAQVSGNYASKYY >CAK59020 pep:novel supercontig:GCA_000165425.1:CT868000:45458:47012:1 gene:GSPATT00029651001 transcript:CAK59020 MDNYKKYLFDEKFQEPQPRNTYSFQAQESQEEQPVESNFDDEYKKDFLQNQIKNIREKLI MMGFPSLGDLYSNSNSEVDLTVKVLVAVIKQRREDMDFKNTYHERVNKIEAEKQQLVSNF ERVSNNRKILEQENTTLQAKIKSTEKVQKEQINKLMLEREDLQKQLSKLQSRSTQFEHEL KQRDLEIQKLKDHIKKQQASKTYKNSLEMTQPIEQGGPSVFAANGEYEFSQLVMKKWEEV NNKLLRENEQLRENLVKIHTELGEILQIRRDVYIKRRKIDFGDENIPPELDNPQQNMHQF KSDLFKAPLETNGKQAISMLQENLSTFKELMKKFDQQYELQMNEDEIEIEAEGGKTKACK NLTELFKNYNYIFEAQDKMISQIINKSQNLKKIDELNFNLNRFNRVLDDKQIDDVKKYLQ DQKKYLDESKNEMDMIKKQFQHQLKKREEEKQIIQMKRQMLEETNDKFKENIRMLENASK QALQQLNQDNN >CAK59021 pep:novel supercontig:GCA_000165425.1:CT868000:47224:53764:1 gene:GSPATT00029652001 transcript:CAK59021 MNLLHQPRNNKKRLTISKELAQRKQSIIQHVQCILIDFYIVAGAQLVKQDSSSKLIKQEK QVRKISIDSSLYSHSSFYVQKKNQKEKELEEYEEYQLNSSEYSEQSIPAIQYVNQESIKE PNQIIEIYNNKNHKFRADFDDDIQGNQKHEKLSKYLELFALEQDDHPENEVAYSKIVKRY EKYYLRNPFQDPFYIQLVPLKGTKRLIFELKKYQDNIKFLKFRKIVVFLSLIFPILANDM MNTRIYKILMTILILFNVFLFIVVKTEHRQDTFEIEQVVTILFIIEIIIRILVSGFFFTK NAFFLRMQDIYDFTLIFATTINLYYPEIFIIDISPLRMITLLFYLGDIFDDLQVMLKALK QSIKFLIEALMIVGLFSLFFAICGVFLFQGLFNYRCEYDNGDETDGWVQCHENSCYEDGM TCKFTSETPKMPTSFNNVIFSYGQILRTITMDDWSWVMFFTMRIFHPWVWIYYLLIIFVG GFFGFNLVIAVLKTHYAEAAEESAKKQEEEEINKLIKDNQEHPERELINVFDVAFLRYIG FFSTIQKYRECFSSKIIMTSHLESESQMNKTISEARTLSAAQKKQSGQHNFENQSLLKKI QEFTTKNFLLRKFRLLKYQQLIINLKSYSEDPLELEILSKLQNTSYSCLQSEVNYQMEQN FSSQNDVLLRFILIDLALQEEKKFNPEKMRKIKFKQQYPNWSNQVKLIKKQAQQQKQLQR SYFPSKKKKNNKWNINFKKDEDKEQNETSKNTYEMLEKSPEQQYQNLNIQQKASLKKKSK KFAKIVEGQVLIFVQGYYLTYDEIKDKVNLKIPIIKNNFTSNQFKYRKLREKELQGGKII KKSNWSGKDVLILNTKNLEYFNLIFIQLNQRDVLIWMQGLKGKILNLTKYTNLIITSTTS RVCFDLVIFINFTFLSLWNIADSNTIHQVENVSTVLLSIELLLRITSIKIKDFTSNPNYV FQAAIVIINIIELTMKDLMNVFDEQNLRLIRGTKCLLFYRCLKYNAMAVRIGHIASMTFK QYIYLTFLMFLVIFMYALVGMEMFAGEFDQTDSLGQLHSYDNIFKAFMTIFNIMTNDDWY GVYVMGGELNYTFAVIYSYSMVIILNYLTYGLFMAVLLDGFGKYLNVSTENAPLFNNEQH AEITQNSNEELEKQVQQTILSPIGSQTHIILENNQAKSKVDLITNFFKSIKQLNKELLNR TPKLYTGIECQSSLYIFEKENIIRIACTKVATANAYVYFMDLVLYASLITFIMNTYYDYE ESSNNTCNIIQLIINIWMSIDIVINVIAKGLFLDKGSYFISVWQILDIIYIISHFITFGS SQYARILDFFLYFGYLRPMKLLFRISWLTQLRIALGYSLVDITNVLITMLSVWIMFGVYG IILYEGSFGFCDDKMNFEISYDQCIKENRHWINYKHNFDNITVAIPTLFVTSTLDGWGEI YQVAENSQLSTIGPQPFNSYIYTYFFFIIFVFIGSMFFLSLFTGVLYSNLKENQQKIEMT DVTQSQKEFQEISSIIIKDFPIFSSPPTNGIRKLASDITNNSYLLIFMYLLLILDLIILL LFESDMSESYFRAVNNVHNALTIIYVVWIILLFLALGVGRFFDNYWRRFYFFLILVGIID FIADYSIDWIMIYYRSTPYDKAYQILRFFFSLRSLRVIIIFQGLINLQRLMRVMVFAFPF LGKIFTILMIAMLIFALIGCQLYGQIDSGAVMDDQINFQNVAQALLALFKCASGDDWRTI MTDTMQHNPLCLDDPKYCGSVHNQYFFFLFMLLSNYVLLNLFVLGLIEQFEQFFQLQNSL IQTYVENIDKIKTVWCKYSSETQGQAMNYKFLCKFLLDIGKPLGGGREENLWDVAKLASS FKLQCDHYGYIQYNQLIYELFRRRFVNEVFKEGSESSIVKIKQFNKEMQLRLMYYRKNRL LERSNISPNKQLKANFNILHDYLTVLILFKTWESYSKLIFQKICRENNFSDSDEISIHID KKNKQNFNNYEFDDDFSESDNMTQQQLNNQISQQFNNSINQNLILQNSSSLQSGSKNHII GSLKNTSTRRVQSFRIKKKGNQKININPSEPQNNEQLKHYNTLKSQQQIDQDEKLPYYKN QFDLSLQSDYKEGTLLNARRNY >CAK59022 pep:novel supercontig:GCA_000165425.1:CT868000:53813:55794:-1 gene:GSPATT00029653001 transcript:CAK59022 MYSKEQITKIRQLINNYFDKGEVFDKLKKKIESEKIQIDDLDNEKLTKLLRETNLIDNLI LDIKHLDEIDEFSKQNKQLTFVDPDRINYRSVSLRIYKGRAFLDFIEPQNQKLQLYLSFC GQRFASATVDATVEPEFNELFLFDLRTEAQKANVDLLTLSKLDHPIELCVIQIQNGQRKL FSQKLIEWRFLLCYGNISLNIELPTTYNTKMQNERKNHVGILQIHLELLPKTGLVLLPEN IVVSQLSKEKSVINQISDRFHTYGNAWWNDFKELKLSVRPIKLYSESQDGIWRPSSTFIR ELQSVRGIMSPNHAARFVSLIPMKTSDRGPSGDRLEVWHRFPTFLALKGGDFEDHCLLLC SFFLGFNLDAYVVFGSTADRPHGWVMTRLQKPKQPNQKQAEYTYNFWEPLTGHKFQLNDP KVPQLYKRIGCIFNHKCFYANIQENDSVLRTDLNIEDYTKWKAVDPNELSLLKPQNFQLI LLRQTMHADELEPKLELQLREAIGSYRKIINLATRYDEKLGSIMQIALANYETEKITGLQ FAQTEFQSAIRTYIPEGHTFMSFPICFNHMNIQKMLEDIKTSPVGGEVLTARGDQTYHAV RVKMEIYPEDIYAVWVSVSVRFHKIV >CAK59023 pep:novel supercontig:GCA_000165425.1:CT868000:55818:56048:1 gene:GSPATT00029654001 transcript:CAK59023 MDIQDASRVVYICGKCGKDVQLEAKDIVRCQCGYRILYKKRKADPKNPPQYEAI >CAK59024 pep:novel supercontig:GCA_000165425.1:CT868000:56260:57697:1 gene:GSPATT00029655001 transcript:CAK59024 MQQEIQAFLLVPKDSDFTIKNIPFGVASKADGLPFPATIIGDSVINLAKLEELGYFNGPL FSTLGSKVFDNGNLNKFVSLSRPYWKEVRSQIQSLFSTGSQLENNKEVLAQVITPVQDTK NHLPITIGEYTDFYSSKNHAFNMGSIIRGPDNAMQPNWYHLPVGYHGRRSSIVVDGTDIR RPWGQVKAPTAEKPSFTKCKRLDYELEIGAVIGGAPNNLGEPIRVNQAEDRVFGFVILND WSARDVQVWEYVPLGPFGAKNFASTISPWIVTIEALEPFRIQLPEQDPEPFVYLKEKNHT SFDINLEVLIGTEKTPELEHFTTSNFKYMYWSVNQQIAHHSVTGCNIQPGDLFGSGTISG TTKDGRGCLMEYTWNGKEPLVLKSGEQRLFLEDGDVLEMRGFAGEGENRVGFGRCKGKIL PALDENYFQQ >CAK59025 pep:novel supercontig:GCA_000165425.1:CT868000:57698:57905:1 gene:GSPATT00029656001 transcript:CAK59025 MGKKTTLLFKLVSSAGTGFYYYGEKSTKKVGSKLILRKYDPMVNQYVIFTESKLASGKKK >CAK59026 pep:novel supercontig:GCA_000165425.1:CT868000:58806:60991:-1 gene:GSPATT00029657001 transcript:CAK59026 MKAQQAATLLLEETLFQVDLRAEQLKSYLEKVTNTVNGHSSYLNNLQIQLNQVLKLQDYE TYLQRTARSMSLRDPEFEQQVSFQLEKPLAENGSDQIENAICMVINKERVFGQGMAYLFK RSKDWEAKLNKLEADLMKRALKDDLDKGLKEQKTKLSDQIDDLNKKLTKRFQDQERSATQ NQNQLQQSINELEKKTLWKISDCEKLLQQRINDKFVESSCQGVYDRVMRDIAKQKDEGIK GIQNEMVELKAKFQYNEERNQDNFKTLRIQLKEMNDTIQQKYTPLEKFEQTKQILSDRTV DLQNKVGELSRKMDAILQLEKLIPQIKSMDDKIADCQYRGEQNRKDIEELKIKTENFDGQ AKGGKSDVDPHKVCSLEADIKRLKSDYTDQENKLRLLENELKRKIDVNEQRFLQQLNLLK QAPQQQQLSKEDIISIIDKELSQPMSLLRARILQIVGQACGENMSFEMFIRNLLNDIADL KKKMEGLQDLDKKMRKYMKQMTSNDNSDLQKQLAEKANEEDTKAKFTALEEKYKTMAENY GILAKGMKELEEFSNYLQTLLYVNQQETVSILTGNHRVVTQRCLVCSGKTKLTKTLDKSQ DLNQAKLVRGDLRPKDLVYENSEVYELNPNQVNYTTDNQKYVGTTVGFKYPMLSQNKASI KESQFLDDPSSSSGRMVRPQSASQKKY >CAK59027 pep:novel supercontig:GCA_000165425.1:CT868000:61035:63631:-1 gene:GSPATT00029658001 transcript:CAK59027 MIQEGKTPKNRVYFNTEQSEIVGQFQNHSSLGHHFFSDSFKSPPTKSERKFVYVRRFFNS LKKRVEDNSSISNELLDYVGDNAASKQQHGFNLNFKLLSTHMEMELKIRFQEKMKKLIAT YFGNLILWLGRVELFKPESPIKIVWDLASLVSRLYFLFLIPLDVVWNKYSFMFDLYYIQS FVFLLILLGDLLVGLNTSYYTEGILVTDRKKIVKHNFLKCYGLEWMSTIQILIYLILTQD DEIQIKIKDYRIYLTLITFLVHYSTIQECLIYYEEGLNLNKKASSILELIKLIGALFFMV HFFSCFWFYIGEYSHRAYGTSWMEKVVDEDWTVQYVHSVYFSAVTIFTVGYGDVTPQTNL EKIVCCFFIVCSSLQLPYSINTVGMIVQNITEYGEDKRRKLRIINSFMQRKQIPFNLQHE VRQYLDYFWQLDKEQEAQDVNVIINQLSEMLRNKLVQESNSIILNQCALFRYRFTAAFKA ELIKSLKRKILPPESLVAQKNQLVYIEQGKIELFGDRQCNLKLKSFSKGNTLGLISFLLG NEQPEIYKSQGFSLVMTLSQRKFLKILAKYPADYEIYCQIKDYLIFNGNETSIFPRSCYI CKSNYHFSKECPQVHYVADKEKLIKQFTIDSQQGRKFHLRGKISQNAKLMKQSLEKNAFI IQQQNSDIVRIYDLPEKIDDQILINSLDCYKNIKLGIKATKQIKKKFQCLVRKVVMINRS YPQFIIHAFESFRAKTNYFSKLSQLKQMQIRYDYLKQIGGIDLKLLDTLDYYIKKNLETP LITTLEFDQPQNFIHYNPKFNFSNVKINLDKNKLRIITQFLCYVLYPAQIIRQVRATKCT VDLITYNRRNNVIKK >CAK59028 pep:novel supercontig:GCA_000165425.1:CT868000:64116:66805:-1 gene:GSPATT00029659001 transcript:CAK59028 MNQKNTIAPGVEAKLLSEQSESGLYSAKINSSKQIFQKQPPFYADIYFFQTNGKKPFNYE KKNDYVKKFTDNLLDPVRKTKKIRNFHLSLIGDKGSSDEIFSQRKSSTLSQLNQKQLRFF QKLQETFIFQLEKLPLIHPSQYIKMIWDIVAVTARLYFLYIIPIDLAWVQQQFIFRDFKY ISILFLLILIFDLLISLNTVYFSNGEAVTSRIQIVKQMFQLLIYFITDRFVNISLDVENN VINVGLLIFLVHHKTIINYATNYEEGLNISKKTSSFVALFKLIAFLFYVIHLFSCFWFWV TLIIENYDGKSWIMATNMYEQKWNIQYLQAFYYTAVTMFTVGYGDVTPQSSLEKIVSIVL IMISSIQLPYSVNTVGNIIKEISAFSEERKRKLRIINSYMNKSSMSLGLKMKIRQYLTYY WENKVISQSEEEKEIIDSLSEFLRQQLIGEAHQKIFDQCTLFKIPFSDQFKRQLIKEVEE VLLTPEQDLKSTNDILLYYIEDGSIQICLQEGRKINLGIVNKGASIGIKNFIMGTESLES YKSVGFTKAMILKRRNFLKILQEHPEDQELFCAVRDKMIFYQDDQYFTVTCFSCGKQSHK LIECPLIKFVPNKEFIIKKHLYPQQQCRNPLDRGRKKVNSLILNKKRLEQCALLIQKDEP MLFQLYRFDHMYNIDEDQQLKRNIKQTTSFQNINHHHQQNKRERYFSIQDQTQKLIKKKF QRLVNKLISINKVYPYFLIQTYVQYEKQIEQRQVSMTLQILEQRCKNISQIASKQFDKYQ IDMSLIIQKLSNKQYIDDLEFESPKAYKFYFRKDNFSIVKQKNFLENLNILKKFIQYFQY PGDIIKQFNLSSVGFFLLMNKRKPVVCFSADNVVK >CAK59029 pep:novel supercontig:GCA_000165425.1:CT868000:68290:70700:1 gene:GSPATT00029660001 transcript:CAK59029 MIKNQGDRYHLIDKNALEEKKLDSNELTEFVKSSGLIDIGKKYNIVSIIGSQSTGKSTLL NYLFGTQFDVQNRQQSVGQTTVGIWISKDVKNNVVVLDVEGSDSVERKSGENMVENQTAL MALAMSHCFIINVFVNALGQHTSCQLSIIKIIMQQNLKLFQQDTVKHIIFVVRDWDEEYN YDEASRKLNGYLLNIWNEIPKPDQYRETDFHELFSVQVVTLVYYKLKNEFAEQTNQLHSK LANQQDPNFIFKDFDYEKNVRWSDMPQYLSNIWVVIANNKDLNLPNEKILISNMRCQQIK LEALEGVKDLTEDLQNRVRTQFVENFGQQCLSILGVAQKIYDKDAKDYHADVYKEKEKEL RDELMNKFYTYFQRQTESLKQHYMNRLSENLETLKRESIYDLPDKLNEVDLLKVNFEESL SKSVIEKGLWQEQDHVGFFNQQFDNQLKSFVEAQLASFKQQLDNIIKSECDKIVSQQVLN ISPKFWSQIDADYYTMISDKYSKYDALLSGLRVQWKQIDDYLSKFEEDSFHNLKQVIAVA SGRFKDQLFQQFKAQFVRTEDGQPRNWQKTTEEEIFHIYTEARDKVFSFLDILRIRKVKF IRIQQTMKKIAKTHLAPFTPLKEKISYQISADTDSDDVVLNEVFYTQVKMQLAEDIDVQY QDAIQKHKQDFLQNIPKPFWFLLLFFMYDDVLRWMGNPLFLYPILIILCFIGFCIAIGLH SLPKLAFQTVFRTINQALLPLIFGGISKLKTS >CAK59030 pep:novel supercontig:GCA_000165425.1:CT868000:70736:71184:1 gene:GSPATT00029661001 transcript:CAK59030 MLTKSVKGDQLHQTITTINYRSIINSEKRQGDIPQYMNGKCKIKLRKEKNYLPYLNIREP SLPTLKSPANKQQQLNSMSWISLIQVQNRPRDKSISIANETYFQRTRQASFLDNLLKQLN KNKRQS >CAK59031 pep:novel supercontig:GCA_000165425.1:CT868000:71342:72184:-1 gene:GSPATT00029662001 transcript:CAK59031 MDNNVNSLQVVEQQSSQKINQSNIKSIQIDYNSLLKYTTTCDLQKNEIDTLMKMFKRMDY NKVGFLTYHDTMDLLKEFGFEINQNCKEKIFKEIEDRNTAKLDFQTLLYITRVCKDFENK VKENEEQIQYSEFIDAFVALGGEPDASGYVHKNKIIEILSVEFDLNFDIDELLEQLEVSN ESLDFDTFRQLFRAENKKSIKRNSSLLSLLSHRSMTQRSTSSISTVKIRMKDFERFLEKL QQEDNENAGSPSPKK >CAK59032 pep:novel supercontig:GCA_000165425.1:CT868000:73107:73319:-1 gene:GSPATT00029663001 transcript:CAK59032 MNIFAYFPAINSFWGLILYSLIAYIVATFLAGVVSSYMVNPDQFDQQKKKIIKQREVKEK KAQELLKKLS >CAK59033 pep:novel supercontig:GCA_000165425.1:CT868000:73431:78361:-1 gene:GSPATT00029664001 transcript:CAK59033 MRMMRKQVFTLLEGYISFTQSFHKQHKELLMLTIRILLIICGLQELQLISGLNTDTKKIF KIISQFIITNYLIIIDNQQMLIYLAVVHLLINIFCVVIVTFFKHYQNCQYMLVLLIKIQH QILILPTWNTIFYQINNYPSVKIYILTICNLIMYVQQLLWMSICKQTQLIDFTGIIYHQN LMVDIFYEIITVLAILLAEISTDASVVLFIIKYLSKILFLKSILNNNSTFYLIYQVIMIN LIVCFEFNIQFIFVLPILTFSIYFTLNIQKRLYDSYLLDQNITLQKCQLIESLLYNKFQS QKALIQKALLISSQQSIKTRSMDQLIQLLLSNKKYKDSLIVKIQCNYSSQLYPLQSLIQF HSQYKSDSILSKAYLNVITKEINIQLKRIQDAQIKKAEIPRLTIAGFLLAQYKSVESLSF LESTLKQKLGLLEYLRLGFSSHSRQQDRIIPYVKAISECKILIQQEYDLEKNTIMGQQYS DILTLRILQLYFGIIMVNTKKAIEIEKIVDELLRYDKQFNEFTINNQILLTNRSMIIQTS LIHDQGQLLNYNKEQASQFFSCPLEAVGNYKYIYDFMPDYIASLHDELVNKFLEKGKSKL MQTGSLIFLKTPKNFIQPGYLHLYNPLKMDDITLYAIITQYSQYFEYIVFDQDGIIMGVT QKIFNFMGELISENNQKHYRLQDIVEKGGQILHYIQQIPQQLKQLQENIQSSQNYQLINV RSFWEFPNNNNDCIVQTQFLINQMKLVNQKAEQEELINEYTIDNIYVNLLDPNFQDKFFL ILNNRTTYKFSEVKQRIEMAYTIQMTKIYNKIYFLLQIQDYRLTDAANTLISTSQNKSAA KTLSLTMSYQLEQQESDQISSEGHQIREIQVFNNLHQQLLVEKLLFDDPEIDYEGVLDKN SSKQMNFSQNQSKTPFILTQRQTHRQLLSGQQSFRGSMQKTEFVLPQLSVQEQVNKDYDI LNQLERDMVEISRFKQDPIQEFANDNKGENDNADYISKSFQTSKDIDQNDHKQMSQKVSS LQIDLIREIVDASNNIRLLANAKTLTWILEILILIYIVINTILVQNQFSEFKEQIYELKS IQTLNKIIVSNYQLIQSTYLQGLKIYTLSPYLQESLNQSLSESYQAVITDQLDLERQSCI YLDKYANDSNFERCFIYFNRLQENQLSFLRNRILSNLSYDFEQIRQTPSYFQEQIFYLIY KFLIYSDEFVQQFEDIQLSNVFIVILITGLLMIITIFTFIFKVVSAKSSLKSKLVALLQR LTQEEIVEQIATLTIIIDSWKTQQWKQYNFYDLWSHKQNQFIKEISPTKNKRKSGSNSIE KSFKETFLIFIEALILIFFIVFFVGGYILLLNLFDSYIPSVTVNKQFLLFQQKVGSSITL GQIIKTEPLINNFRNKTEQFLIIQKYFEIIDQLPTVILDITESIVQYDFIDSSSINQIVD SFNSDYCQIYDLPFCIVRQFQNESYAQFLKEGVNGMIQNIYQFSISEFESEKLNGLFETD LELLKQTISQRLFVNIFIQYVIDIQQIIDQSQGLLIDGNEKASQLIIEKVLTYYYVLGSI LMLFLFIINGYLIKASGVEINQYKYLILMIPENKLDNSVIKQKLINIRTYFF >CAK59034 pep:novel supercontig:GCA_000165425.1:CT868000:78846:82894:1 gene:GSPATT00029665001 transcript:CAK59034 MISRDTGFQDLESGIQSNNLSSGQLVDLDQHSPINGQQLDPTLEFSQLQQLEALRMKRDI FDNKNKQALNLGNKSDINEEDCLISNLSGKRSEPQGSISSISCNQKKKKHLTAKSPKQKS NKTIQFQQKLEQEDFVIASPINEGTQILRIKSDAKIAKYQTFVQKLEEEQEQNEKQKRAQ MHRDTIKQQSLVLRGKSREMKTGLNEVWSQKALIIIRLVSRFIQQLKTKTERIKFRLITQ RIFEVICDNSANFEYMLINRLIKQKPSFGLIIFHHFQSRALILLNAIESINDFLGKYVKV IKPDSLFKIVWDIILLLFIVVNIFYIPIYISFDVRSSGLFEWIFDLLPSWVFIAEILLNF NTAYYDKGLMHEDRKQIMKHYVKGNFFWDIIVVIPFLISYLDIPFVRYTLLLRLTRLSPL MTSIEEVLNLEDSLQVFLDLLKLIFFLLLTGHFCGCAWHWVAIIEYENYGQEVTWLTHYE PNAMSYEWFDRYIISLYWSVITTVTVGYGDIVPVTTVERVFVIVVTLLICGVFGYCLSNI GNIFKQITDKKAIYKQRIREINQHIRKRGLSYNLQLKVKKYFEYFLKVKQEEDQHAEQFI EQLTKHLREEVLIDIYRKTLKQSRFLRENFSEDILNRLCQIVKETKLYPEQVLFQRNDSP KALWFVLSGAVEYVADHQNEDEHYYTETFLKKLTQGAVIGEREFISQTPYEYNARATKFT QLLVVDYQQFYLILQENNDEFEKYCLAKDNLLFNSNYKAFGQICEICGWTHRFIQQIYTL IVRCPFVFLQPNKNKIASSFVSTKTNKRLSFPYRTLPKTNWRNNVPEVQEAALGYIVLNN IIPEKYLLYHHSREINDTYLINLGFELNDGEEEPSKIIPNKKNSMSRDLKNLSQYDNTPQ QQPIQQPSIQTVLQDQKSQNQTAIFHKDQQKINMTNESFVDWDQGSQLKRSMNRIKFQGL DRGRTLQSIKGKYHQNNPDVLDESMIQEEVKIQNTNIGSQNFIHNKLKMVKSKHNIQNPI GIRKVSWLEFDSGVSQQQDGRAAQQLFNKQISKGSETNFDQSPPLNQQENDIKQRIEARE NSIKSKKTNNNIDITRRKKRRKTTQLLQLFQGLDNGDTKSTKKVEKGILGNDVYSSSVYT TGGPSNTALIEPNNKDANADNNSVHVNHSFDGIQKRIQDIVHVHFEMDLDRYKSSKFYFP DNNLEVVIQKITIYYDKLNDKKNDFEAREMKRTKTNLTLFDRIRQAKSQNLRGSFVEKSL QDND >CAK59035 pep:novel supercontig:GCA_000165425.1:CT868000:83721:84832:-1 gene:GSPATT00029666001 transcript:CAK59035 MSRVNNLLAQLQKYKNTSLPKKVKIVEMGPRDGLQNEKTIVQSEDKVKFINLLSKCGFQA IETTSFVSKKWVPQMGDASEVYSKIDKFPNVSYPVLVPNIIGLDQALKVGVKEIAVFGAA SEAFSQKNINCSIADSLKRFNDVVIKAQQNGIKIRGYVSCVMGCPYEGDIHPQKVAEVTQ KLFEMGCYEVSLGDTIGVGTPEKTKNLMQALSQFDIKKLAVHFHDTYDRAIPNILVALEH GVEVVDSSVSGLGGCPYAGKPSGNVATETVVNSLNYLGIETGIDRENLKKASDFICGLLN RQNISINF >CAK59036 pep:novel supercontig:GCA_000165425.1:CT868000:84840:85505:1 gene:GSPATT00029667001 transcript:CAK59036 MMAQLQQKLLEIIENLINNPISLEFVDPYDDEDYNKVIFNKMDLTTIKKKLESNKYLNHT EFFKDLDLVWKNCQLYNQKGSIIFKQSMYLEQQCKSLQKVIEDDFNLGKKKKRQNQERPP IQQQSFDFRQKNKPSNEASRLKFCHSIKNLEQEQLIKIVNYLAEFQPECLSREEDKLVID VKKLDSKACEQINLL >CAK59037 pep:novel supercontig:GCA_000165425.1:CT868000:85607:87860:-1 gene:GSPATT00029668001 transcript:CAK59037 MLDNRASYFNIQGNKASINLNVKLFQSMKSKGQLIKSKSKEPIRGQFRTLKKLEDNIDEP QMQQRALTTQKKLAPLIEEPQQKNEDLNPLRYNLIQLLVLMGKKEYYFDKIVKDDLKLIR VFTQSKENPEEHLQKIKKFLSNKVRYKYRSTFKMLLQIQLELLKYTPKKKSVAIKNSQKE FEYDEEDETPKKKKISARGIQDYPTWKRKNNVAPNQKVFIITSGYHALRDALLERNWAEN EDAYSPFFDLKWTCSLRSDDFMNLMEFQQINHFDCNQCLCSKYGLARNIRCINNWESFFP RCYDLGDLVDFLDFIEDFKISKIQSILLDFDQSLQNQTQHKNIVEPEDDEDINSNKVDDQ NITLQPEPFFNNNLKLDDQNNTIRNKEEKQLPFIVNQLSYRQRFKIRLCLVVMSRVNKSL SNMVKSILKDEFPFIHPGEWIVLLKDPEKPREILIQDYLEQQLKLNGYEDCEGPEFLQKY VPQIKELLKQLNKNPQNSLQGTRNIWIVKPEYSSRGRGIRCLDDIYQILDNVNKETMNYV AMKYIENPLIIKNRKFDIRQWILVTELVPLKIYFYNECYVRFSAEEFDIDQFQNRFAHLT NNAIAKYSQKFHKSEIKGNMWTQDDFQQYLIEEFGWDVFGEKIQPKFKEIVINSLRCCSD QLKNRKRSFEVYGYDFMIDDQFNSWLIEVNMSPSSDTTTPVTAQIIPKMLEDIVKVVVDN QNKTKKKIGGFQLIYNSDTKLL >CAK59038 pep:novel supercontig:GCA_000165425.1:CT868000:87897:88485:-1 gene:GSPATT00029669001 transcript:CAK59038 MYNSLVTNKGFYNHRFCNTSHEQRPVKIEFSFEESKPISPLIRNVQPRIRWPSANEPKLK LNNFNERGKQFYMETPKMNDVSRQSQSAVGCRLNTNEFRATYSRWICSPLQQASNTVETT KGFYTLKYIGEHNQQIGVLTKKLSMISSPKKGNNKRIRSYTPSIVINNQYIVNKYLIPRR LGEHN >CAK59039 pep:novel supercontig:GCA_000165425.1:CT868000:88562:91022:-1 gene:GSPATT00029670001 transcript:CAK59039 MEEDQEKYLEDARKVVKEQAYFMRASLEKAQLKDALRYSSAMLSELKTSLLSPRNYYILF MQVFDEMRILENYFKEEYRRGRKMPDLYESVQHATYVIPRLYLLITVGSVFIQTHEIGAK VILLDLLECIKAIQHPLRGLFIRYYFLKLCKDRLPDTGSEYEGTGGNIDDAIEIIIRNLS EMNKLWIRMQGSKDKSKRERERLDLKVTIGENVTRLSNLEGVSLDTYKTKVLPKIIDIIT SSKDAISQTYLMDCTIQAFPDEYHLQTLQELLKVCTTQLEPTVDIKNIFINLMGRLADFA LNNDMGTFNSEVDIYSMFKQNIDKMLDSNSQIEFKNLLDLQVAFLNFTLRCYPNNSEYVN DILKSCCRLCERQNETDFTEECQKNIVKFLAMPLDTMSLSILTMNEYPNLMKHLPFQKRR QVAIKICQAVVNLNQVIDDLKITGELLKFIQPLLITQNDYVEIPENEFEEEQQLVARTVH LVQNGDLAIHNTILQQFIAKFQQGEINRQKYTYPAAIFALFRLIQLIAAQGGPQTQETQY KGLFEQIRGLIEVLQGHFPELALKLNLNFLLCINIVDQAQEFDEFSYDVGTQIITIFQDE IGDSNVKVVLLNQIMSTFAKLNCISGENFDTLAGNATQQAAKLLKKNEQAIGVLNSAHMF YNDHIKNVQRVQECFKKAIKIANQSIGNNPKFVYVFIQILNKYFYFFEQVEFKDAEIQEV IKMINEKLPKALSDNDEQSKKIKILWTATQELVRERKRRSIQAYQQINI >CAK59040 pep:novel supercontig:GCA_000165425.1:CT868000:91333:92386:1 gene:GSPATT00029671001 transcript:CAK59040 MSSNFLVKFYLFISYYFLFNMMFLAQPSIIIISLLYFNLKLDYNNYFKLEVHVQPMSFCP KKQQIFRETNKPNPSPTPRRFHQKFFIGQIALLSQYSFISTPLSGKIKSLSGICSPETQN LNEKIQEELSPLDDVPNYHFPKMFNREEDKMQYQVQTIQFFESQESQMLEAILNYKKQCW DIILPINEFVSEESCQGFWILKIITKKYIELNNYDNDKELVQFCLKLLNRNLHINHDDIV LQLDGGIRINPYCLFKKVSIRSSISNLLKNLLFEHKISNRLQIFQALFQDFENKDLDSLK RQNHIDFQFKYLKETLINKLLGINK >CAK59041 pep:novel supercontig:GCA_000165425.1:CT868000:92545:92868:1 gene:GSPATT00029672001 transcript:CAK59041 MRTRMKMSLNGQRGKERESSNDKNAASIIKGAIQTTDIQNETNKQCQSNYQVQNQKQQQQ KETKEQQKIEEKQKEQQEQKQQQSSQEKQNKAYEITRKKIKKQIKKD >CAK59042 pep:novel supercontig:GCA_000165425.1:CT868000:92920:93610:-1 gene:GSPATT00029673001 transcript:CAK59042 MLLIISILIAGTNSLQGLVSMGRAIRTQQQINYYLEKYHLSLNMNLIDLKEYKELDEIQS LRYGTKCDYCNKTFKNSSYLQLHQYNKHFDDKLQETIIILNYICEFTNCLKSHGSNSLQA LPRNVRIQKCLKFANLYVNFSVLELQNLNKFCDDLVLDELDPFYQETPYWDAFMNIVKTI AITIYIFGSLYVIKKIQDYVSFLQRKHKRTQPKNYKEKYNVM >CAK59043 pep:novel supercontig:GCA_000165425.1:CT868000:93741:95037:-1 gene:GSPATT00029674001 transcript:CAK59043 MNYRIKFPFSNAVKELVNLIYDKNYEVAQKQINKILYEDRIFKMKSQEYIRLLHYQSILQ VYDRNYQDAEINLQNAMLQSKFLNERAYHTYLTLYYQLISYTNVNEIHWKIKQIKRQNQF SDLSQTAQGKIMNCLAIGNIFSTTASVGQSVELLKQTAESCPELLFTFYYNIAAIYYLFD QSQDKNDIIIDNLIKCYNSLRQNTQEINNLEDLWIQYNLIEENELTIRDVKILLLLSQHL FSNKQTKLALQFLNLSNKIISTNSACVLYKPEMQFIYAAYFLDQRQVQNCTDICRSLLKT DVHPIQDQIKLNALRLANKSTTVTTKKPVVTQQAQTKKAYREPKLKSEFENIVAQEQELL KQFKLQKPSAYYFQFDSDFKEIFNSKFIEIDIKLTLAQQFK >CAK59044 pep:novel supercontig:GCA_000165425.1:CT868000:95947:96352:1 gene:GSPATT00029675001 transcript:CAK59044 MVNVPKNRKTYCRKCGSHQSCKVSQYKKSKESPFAQGRRRYDMKQSGYGGQTKPIFRKKA KTTKKVALKLECVKCKLKWLKVIKRCKTIVFVDANQLKKQQEAKKNAK >CAK59045 pep:novel supercontig:GCA_000165425.1:CT868000:96435:97276:-1 gene:GSPATT00029676001 transcript:CAK59045 MSGTLLIKPLSAKLTHDTESFGRMDPFCLVRIGGQTQRTRSHTDAGKYPSWSDSLSFRRT SELIADIEIWDKDEVSKNDLIGQGSLALQNFLTKPNGTEWVNLNYKGKQAGQVLIEVSFF PDGGVQPPIMPGYQQPYYPPNQPYPQQPIYPPQPNYPPQQPYPPQQPGYPVQPGYPQQGY PAQPYPPQQPGYPPQQPGYPPQPYPQQPGYPPQQPGYPAQPYPPQQGYPPQPGYPPQPGY PPQPGYPPQPGYPPQQPGYPGYPQQGYQKPF >CAK59046 pep:novel supercontig:GCA_000165425.1:CT868000:97308:97854:-1 gene:GSPATT00029677001 transcript:CAK59046 MSKVLVGYGNDRVEQCACILTDYKLKTIIKGPHIVIHADKQVLDGMDEWCTTTHKASGLY QESLNSTVTVGQAQEQILQFLNQNNIPPKVLPLAGNSVYMDRLFVMKHMPQLDQFLHYRI VDVSSIKLLCQNLNPIVCSQQPQKKLNHRALDDILESIEELRYYQEKFMVCQQL >CAK59047 pep:novel supercontig:GCA_000165425.1:CT868000:97986:99256:-1 gene:GSPATT00029678001 transcript:CAK59047 MHILDTLSIANYHSPDPQIKDHLLKTASELYKSDSDLPKLLQRYELTLNILESQSFNVKV KFHRNQQYLSLYATIMKTKIKEFYTNQKFQLFCNVYVPPKFSRTINIFCYNPSVTGYVAL VKTDQMTSLIAMEQMLSQIDNKEKEQFYTFDDLFEDVNISIQPQNTIPIINMTPEKEQQK SIVRRHKKSLSEHQMFDFQRDQKQSQIFNQIQSIHQEKACISIQQYLVFFSQTKTESFLQ DDYQFPSLNQINSIVSKNESQDEQKKGQTPISQFDQNAYYQKQEQTNYDSDDFDLFPRLE LNSAISCPPLNKQPQTDQKKQMANPIYTGRLKFFDEQKNYGFIVMDEDKSDIFVHLDDLQ KAGVTKEVLKTAKQGSQIRFQFNCMVYVGKYKKSRKAVELKLLTNLQANNFKGYQ >CAK59048 pep:novel supercontig:GCA_000165425.1:CT868000:99390:100826:-1 gene:GSPATT00029679001 transcript:CAK59048 MYLTAKSKDNFYPQSSEEQRELNQQLRYAIEDNQMLKAKIRSLEQDMEVIKENEIKNIKH YEKREDDFMKLIKQEQERNKKLYEELEYLTRELRNRDDQVNQLEENVRTLNIVVEDQQHR IEEEKNRNKEIQGQVQVKVKKNDDILKDLAQLENKYSKFRTKLETENTHLKQELDKLQNK YKNKLQQYKKDIKQLQQDNNQMKLDKLKLEADNDQLYSLSKQFESQLGKILPEMPVMEKQ NSNVSNELERMKINNIQLNEQLQQADFEISQLQQQLQAAIQHLDRTNQDLQYFQQENQRF KQLIDDNKVEQKQNEYMIEKMVELSEKQMDDLEQKFNKVQAQVNSLQHEKRSLLTECNNL KMTVDQLENNEVDWQKKVNRLKKENKELTRQLSQLDQNMREMIVEKHSELKKQNNNISLR QSRFSQKLNSQNQSYRSIRTNNILMSGTKDLDDDEDDDLEEM >CAK59049 pep:novel supercontig:GCA_000165425.1:CT868000:100827:101562:-1 gene:GSPATT00029680001 transcript:CAK59049 MSKNHIFSKSINIIRDHQYEYKPSQQSGQKQEGRRESEEEIGNVHSTQIQKKAISKKVIM IQNSGQSGRLQPQQVNKRKGILNITKKDEQQVKQGGSYSIFIRNLKENTSQQDLREVIDD DANILGVSINNKQATITFSNQAAAENAIELINNCKERNSEMRAVPNFKQNDKVIVIGQHS DKNKDGRLRMDARESIFDRIQIKK >CAK59050 pep:novel supercontig:GCA_000165425.1:CT868000:102104:104372:-1 gene:GSPATT00029681001 transcript:CAK59050 MIIEKQLINLPAEKVLWMVYVKKFDPIKLKYKVKLRFDSKFIFFEAANNALLKQAVSEAE KIGTQEYELRFLLKDSKLIYNYHLYIKMIKSQEYKELTNQFDGLCIMVKDKFIKLQNELA ENAGYRGDISIQLPNSYVLAWMPHNKADYIMQELNKLLNTFSHLRITDSQAIWFSCYKDS FQKTHNCAIEYAEQNQIIELRLASQSGINLTEFASSALSKNSLIHFPFELDEISFNADEV ETLVKEQLNCKFELWSQVKVIDLASQIDKKYGTNIQNRIKKITKLFRKSFYIYGRPENES KVTQILRTILEQRIKQCQDSIVKDYLISSEESGFKLIFFNRKGTLQLVNNVFDPNDFDQF LAQNYNLPYEVKVYKADKNLSFYVKVPNNQREIKNVIVEMLDSYVNHSFYYIVDNVNINF RVGHKFNCKIETFKSNSNVRGLLDIYGFELQQIEQNNNGDALVIKVSNILHSKQLDLINY FNQQLQNCIESYKSDIYLQMVNDNNNKMKLKKTDNAQIDYTNTCEPQLERCKIIQLTSSQ LQLIKQFNIVYNIIKQSDQYLEDSFFVAVQYKGNQLQDAIESIKSQESQFLAKWAQDKEI IEVEKNKKYELYSVFIQELFLDYYSTTRLQLLNSYLDQEITMEKQQVQFYIEVTKLNVPI SNLAPIITVIQSIDNVGRVFTQMKELPPGRYLIGYALTNAKEFQLSSVKYIIRNVVQIHQ EDI >CAK59051 pep:novel supercontig:GCA_000165425.1:CT868000:104554:106146:1 gene:GSPATT00029682001 transcript:CAK59051 MLQEKDSKQSYLIKQPLQKTWVLWLALGLACFLLFGDAYAFDNPMALQSTIQSEMNLNNV QFNMLYSIYSAPNIILPFFGGILIDKIGVRISILIFSSILILGQAVVVIGGYTLSYGTML AGRCIFGIGSESLNAAQAAIMSQWFQGGQVSLALGLCLSIPKLGSAMNSLVSPIIQAKHD NLGFTFLIGLFIVIFSWGCGLALIYLDKKNEELMEIWKQNNLEQEKKEEKKEEIMQQEMS LQIKKSETTESDRSESLLNDDDDDDENDDDDEEEQESAHEAKEEIKLSDLKNLDGSYWIL SCIIMLSEALFVPFLDNGNAFFQIKFGFSQQSAGVLLTIPYVFAACVTPFVGIYSDKIRQ RSLLIVLTTVIFIVTHLCLLLIYCDTACGVSALPLLSLGICYSFYSAILIPSIPLVVKAQ MIGTAFGLLGVMQNTALALFPLITGSIYNSHLINEQGEVDPFQGYVYQSYFFVGVSCFNF VIAISLYLFDKNGSKKLSRLKSKSK >CAK59052 pep:novel supercontig:GCA_000165425.1:CT868000:106184:107824:1 gene:GSPATT00029683001 transcript:CAK59052 MSNRNKSLTERKNSKSKCKTAISQRKNSDSEDYNFYQEFQLFYPQAQGIKFTKFEYMKEF FNLGISEFPSDQEIQRIQQEILTTSGWNSNLKKNWTLNEKKVLIWLVGKLSIMRNEDIRD LSAELFEEISRMICRRDKDQCKQKWSQMQKIALQQQPFKPEEDKKLYEIILQYQSVDMGQ KWSQIAQELNQHTSIYRSSKQCRERWLNHLNPKISKQPWTDEEDILLLNHVKDQGRRWAE ISKIMDGKRSENNLKNRFNSLIKREKDLPVMQGRYQTCYSQTQNGSATHLDDLLSGCTGP EITDLQRQAIDVLLSKLKWRSAEGQNKNTRKKSIELNENVDDQVKRQFQQRAQITTPYTV GNIESESNIMDLTPCLVNVSKNIIYFCTQDTFIQYLGYHQQQQQQYKDKFDKIKSELYAF DVGFQNFKSTLSMIEEIDEPLKSLSYFQPNDLDGFLNLETPETVSKPLYVNSLDMITHSA IKYLQRWKTECQFNDGRRSSITIPRSLPNLIQIQQ >CAK59053 pep:novel supercontig:GCA_000165425.1:CT868000:107824:109206:1 gene:GSPATT00029684001 transcript:CAK59053 MQTYHTIKTFINHQEKLSDQLSFYDQFLLMAIQSISLNWINTITNIDNWFENIWSSSQTK ITQKNPPSAQNFASQFGGAIILTKSSALKQVDNVLVDSVEVYMITECNQKMCFLLYVQKK RFLQKQQHLQIKSCIPQLLKTFKQVYGSVVYPTRVWELLGNFYAEDINEWQIFNLDQRFL RYLKILIVDFHNAEFHCTLTQIRVFGKTVIGDLIDSHKRDKVIEPETKTKNLTQEQQEIK LNEVSEEEDRSKNDTCSVVDYFYSNQISKRIKNQYINVLPYESRQSLFKVTAQNILILSH NVELFKNEINQIKHLDIQYQNEQDQIKAFQQQLITSISEQKLINERLESELYFINLKLLT MFIILVGITSILLFISFCNQNKQSSIQQQRVSIKAQSAIFKSQPELMTPKFSENNANTNT TKQTKNSNGKSKKSH >CAK59054 pep:novel supercontig:GCA_000165425.1:CT868000:109248:109939:-1 gene:GSPATT00029685001 transcript:CAK59054 MTSPIHNNLSTLMFVNLHDANNPGDLLLHFFFGTRKTSVGILHGRYNKQNNEGEFIFKKE NTQLLILSTLITFISFFTLTILFLSAQNTKLYKSYFDESNTSYMVLFMALFVVGLGILEE YFWRNFMIKTLPSGWVAALFVGLHFALLVMMITSTIVEWQYCILLGVLSVIWHMIMVYAR KLLKFSSVLVYGIAARFGIYTAFYILFLNIKLG >CAK59055 pep:novel supercontig:GCA_000165425.1:CT868000:110115:110671:1 gene:GSPATT00029686001 transcript:CAK59055 MILFDHIITMMLLLLRINQVLSNVVKNEIHQIFSNTIVKQKKCLFIPHSAMIVFAQPFLP KPLKSVNKFKMSIIHQKVVQILRVASLPIQKSTSLTENLLQNQLNQKLSSIIKNLLSLSL ILNNEYKQINNYTKIHNHSFQLLSSSAMDINNLRIILYSLLTKTVIK >CAK59056 pep:novel supercontig:GCA_000165425.1:CT868000:111494:113076:-1 gene:GSPATT00029687001 transcript:CAK59056 MQSWQTCALSGELIETPVISKVSGHIYEKRLIEKHIESTGTCPITGRPLNFDDLIEVKVA KVQKPRPVTATSIPSLLSLLQNEWDALLLEQFQLKQHLEQVRHELTHALYQHDAACRVIA KLIKERDQARIELAQLQNKLNHKVEVETNDVTEKLSANYVTDIEQTALKLTSQRKLLRKQ QSYFEQFPSPQILSNYEIKQQHTQTQGGTSLDIQANYVIVGGQAGLVSLYRSETLLYKNQ QSNQRINTIKFFTTDEHLRFVSSTSDGDLVIYQFNTETNEGVVTQTVKVGQNITGLAIHP LGYIAIIVTSGGLLLLYDLRSGQQISRVTDFEGQCQFTSVGIHPDGLLLAIGQENSQIKI WKITSGQLVAQFEGQEGSISQVAFSENGVNLASVSSTEVFQWDLRNPGQFQKLFQSQNIS SISYDTSGAYLAVGENKNIHLFDIKKQQEFFKFESHRDVVTAIKFAEFNKNIYSCSFDKQ VNIYGN >CAK59057 pep:novel supercontig:GCA_000165425.1:CT868000:113076:113518:-1 gene:GSPATT00029688001 transcript:CAK59057 MGKKEKGKPNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIVRFAQKNMLTDDVRVDP QLNEAIWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDSFDNLKTEITKQ >CAK59058 pep:novel supercontig:GCA_000165425.1:CT868000:114886:115720:1 gene:GSPATT00029689001 transcript:CAK59058 MVYKIRNKSFFWTRAGWKNNWHPKNFNAPRPSSSEFTIGIRCRYDHNSFLRGNEINFIYQ LTIHTERSLDTELEELFQMGLRTFFIVPHIAECQVTQIKHGGERRMVDQIDRDFELVSYN SHPYQLFTYSVWNQYLANQQEAYEQRKNGGQAIEDQVIDHISELVKDEKAKLGAGKQLSI EKTAEIVMNVMRQLRAAQQRPNLNNRRADGEFDDFLEQRRPFTAPNNQSATH >CAK59059 pep:novel supercontig:GCA_000165425.1:CT868000:115723:117640:-1 gene:GSPATT00029690001 transcript:CAK59059 MGDNPMDIFKDDMENEELYLKVNAMHRVRVIATLLGTDKIKSVLLPYFETLMKKEDDEVL FAMAEELGYIAQIIPQQSICLLPILEQLAGFDETVVREQAVKSIIIVCGFLGDNEISNTI VPLILKLASNEANFTCRVSAVSLMCPMYARAGNQKEKLRQKFTELCSEETPMVRRAVATK IGEIAQYMDKNHVIEVLITVLKQLCQDEQDQVRLLCMESIMNIANILNINENKTNILPLI ISSAEDKSWRVRLALSKIFAELAEAVGKEIADSSLIQIFSNLLKDPESDVRVVAVKSLAK FIKFVSPEKLNLIIPLLQLLAKDAFAQVKQMACLVIGQIATILPRDNSQSKLQSYLIELM SDDNQDVRKNAAQSVGVFAAALGSDSLGQFIPHLKKCMEDPKWRVRKEIIQTVIQLALTI KNSEVFIKQLEPVYVMFLKDRAAEVRTIGLSRLNDLIQTYKIDWALGSFLSKCLETLNKD TGFLYRMNALYAIQQIGLVADGPTITDKLWPIVQKCMKDVVPNIRFVSIKVAKTLSKKID HQGTLNQIKQAINEMTDDNDRDVKFYAQEALQY >CAK59060 pep:novel supercontig:GCA_000165425.1:CT868000:117688:119543:-1 gene:GSPATT00029691001 transcript:CAK59060 MSIQKKTSKIIRQASEPQKYSNMYYEVQLPYFNPSSNSIFTNQLLNNPSFMNIYQLGISS YLQGQYKQAIFFAEKLLCLNQDQNIQAYLVFMLGICHFSNAEYSGVYNLFLKHKLTQGDF AVLAARALYANKQYELGIEILQDEITSQSDWIRGQCYEALENKQLAVSNYYECLQKTPTN VRVFQQLVDSYLISSDEKENLIQQIQLSSDEAWLKDYYVSKTINCDIGNQKLADHLQEEK RKISQQLQIVDKVETQHLKPSPIRSPYIRKEEIPIQNDLVYLTLDKKNNIDILNVKAKKA YYSYDIASAYDWSLKAIKQDPLYFDVIPTYVSCLLELDQIAELYFCAHNLIENYASNALS WFVVGVYYFSTKKYEVARKQFQKSIQLNQHLIYSWIGLAHSYAIQDESDQAMSIYRSITR QFPGCYQAHVYIGMEYLRTNNLQTAILSLQQAKDINPTDPMIQNELGVIAYKQKKYNEAK DYFLNALVFCQNSNHKIRESALQNLGHSFRKQRDYKNAIQIFEKCIQLNSVSPQIFFGLA FSYHLSELPNSLSKAIHYYHKSLSLKSDQTFVQDMLSKALQEAADMGLSEYVN >CAK59061 pep:novel supercontig:GCA_000165425.1:CT868000:120903:121298:1 gene:GSPATT00029692001 transcript:CAK59061 MNNHQLYRVEYNKSMSEQKLNTFRKYCELSLEQKKDLECFTLIKHFINKQDDYQDTDSSN ASSASETSNTVHMEGNEQQQICIQMFDIIRQMKRAVKQSQKLCSSKIKKLNKVIEKRNKE INMIKIRLGLQ >CAK59062 pep:novel supercontig:GCA_000165425.1:CT868000:121353:123325:-1 gene:GSPATT00029693001 transcript:CAK59062 MHNSVTKTPQSVQTSYTRPQTALTHTKQILMSQPSEDGLDTSIKNNRYTNPTSLTPRSLK KLQERKRVEGISKSEVGTCNLRVKNDQAEIDGPEDYFDKELKINVDEYDQFRKNFHRIVF DAFGNYIYTKPEALDDELKQQVNSALNTKTKVTSQNLQRKLMLKTQSFQPRKIIDGLVKN ASEIATMNPRRKNIMDIKQRAEQTLHQMIQNVAKDKILAESKALLHGISLQMLNQVDKFI RENSELKDQLEMAKNKIFELQSSNESLNFKNQQLQKELKQSKVQLDDIKRVSVDLEKFLP EYRIMTKKFVDFSAEKIIDRYEYFENTVLTLTKKTADLEEDKRFLEKSLQQQKREFESKI QDLSMYKVQQSSNQNQNQDQPNKELEQYKEMYMTLFKKIMNVYCNWTTKAKALLPDKMDD GPRANLVDPIEMLSNLEKMIQISSNEKLQAYLRKVIVSANLLQRKYFPEEVNLKFDPDKI YDRLVKYIDNQSSVILGYQNREKDMKKQQRNFKQEDEHQILKEAIASDKVTDMIKKDSNS INLIRKRLFSNDSGSQVDPEKVVEKLFKLIETQSLQIKSLASKEKFNQRSLKQLLKTEDD NQDINEFIINFELEK >CAK59063 pep:novel supercontig:GCA_000165425.1:CT868000:123535:124445:1 gene:GSPATT00029694001 transcript:CAK59063 MFSLPGSKQRILLPQRHKTICFKPEVQVTQKLKHAKALHPFHQQLKPISSKCLEYALSTR QEDQTIISSSESEGECENNKSCHCKDCGHQSQKMKHIKKKYRRFSPVMHGKMRERRNKLK QKFKNAVYVIMWMLKLVILRKKKPVIIKKIVRLKTKLFETPPEPPQQDQQIIYLEPIQPV QVQMIDFKHKQRQSIVNYLNNKLHDQEPHLNKYQLSLTKIRQQNNLSSSHSRLLLKPLKT QNCEVLTPQRNQLHSIIHKATPPHQSSNSPYLKQPPLTTRSKYLQTRLFLSGRY >CAK59064 pep:novel supercontig:GCA_000165425.1:CT868000:124795:125566:-1 gene:GSPATT00029695001 transcript:CAK59064 MIIYLLFCVAHATLISQSYSIKKQEQAVVHLSIIKQFTTNYQFGNLNFNLSISANHPYTL LYIPDSSSYEYHCVAVLKLNYQDCLKENIFIEDDEYQCQQIVTSHLKQNINGNHSQLPFL ICDGFQNLTISNFLYPVIIQKPETLITPAFIIYNRYITSQEGILVDFSMNLQVLQLEL >CAK59065 pep:novel supercontig:GCA_000165425.1:CT868000:125625:126209:1 gene:GSPATT00029696001 transcript:CAK59065 MFSKNRQEQLRTFMAENQDPMILTSMLQQLNYCVDRCSQELAQGNNEIKNVQVDKKLKSE RTKRAVSQDNTCYNPLWLINKDKRRIVERHNQEFNTFLPQFVWTEKDKEQEQPNQRLKPL APIKGTINRPRILLPKEIRKNPLNQRKRIYESLIYKEGLCNLINKGLIDKHIDVSPAFEF SLMLM >CAK59066 pep:novel supercontig:GCA_000165425.1:CT868000:126237:126955:1 gene:GSPATT00029697001 transcript:CAK59066 MKIVLLLCMMMAVSLGISSKLSHAKKLEELRGSKLGKTILNLVNLHSSVEGPISELIEAI EELIADINTNIDEVEYKFQVRTNEHNSYVAQVGQQLQDAEQDIARMIDVIDNLLIPRRDQ IRNKIESLIQYDEFNRKNVDETTLLREQEHEAYLQQIEEDNDALDAIDDAINLVSSLSNP SLLQIKNIKFTLKRLSSKQWNRVHQGPTHGTSFTLDCIKLKFQ >CAK59067 pep:novel supercontig:GCA_000165425.1:CT868000:126995:127387:1 gene:GSPATT00029698001 transcript:CAK59067 MNALTKQEQVNIQEFEERLEQLDDEHREFQRQINQAQVELDATDQKLEDCNQFLVQRQAD RLQYQSQLDLENETYAVDTDIYTQTANELQRELPIAEQGLSLIKSADFSDIKI >CAK59068 pep:novel supercontig:GCA_000165425.1:CT868000:127392:129688:1 gene:GSPATT00029699001 transcript:CAK59068 MKSKIKKQPPQYALKLTKLNQPLDSKNLKVLNLQGLQLQQIDIKVDNLLTLILDSNNLKN VDIISQFPNLNTLSIAHNQIEEFDVPSTLRILNISNNLLKTIHLRQLQQLDASSNQLQIL KQDQQNNLVQFKIDWLKIINQNDVWEHLTIKQFANQQQITFQQFLDKAIDLLIQYGFKKE NNCFNQQIIHTSILQNDKYYFDLILPYYKDNRHLFDNSETPLSLAIKKLKMNFIGDLMSS IPIKYEIDAFHESIKQGQVSLVKQFLELGIDCNGYSQKGLTPLTNAVLNITQMNMEMIIH LLLQSQANPNKLNQNGQSLIQMCIIKSNLTALRFIANLNKKKQTRLKFKMNIKNTNGDYP LHLAVNSVSILQFLLNNQIGNPLQVNYQNLTAKQMPFSQNRPLVYKLLQKEERVQVAKQL AKNDITFRVLNKCQNTQKDYSSDSSCPELSDDEMPNKPKINRKYLTEIDQLSEDIRQLSE DEECQHNCSSESEIQYPKDNLKSLKLVQLNYQNYQLYKLNSHVRQSSILINKINKKRLNA DQFNYYSRQGQNFKEKTTSLVCLRQELLFLGQIRQYQDLICSDFGFIHQQTQSGYTQLRV GVSKGFAQRLYEFLISLIFNMSYFSDININYFMQSIQESIIDKYPDRVPILVETIDKSKL RFSDGSKFKKYLVEKSDHFYHFFLILRNTLKLSKQESIYLFVNNTGLIKPESQVGEVYSK YRSKDGFLRIILSEYATFGNC >CAK59069 pep:novel supercontig:GCA_000165425.1:CT868000:130490:133198:1 gene:GSPATT00029700001 transcript:CAK59069 MENQHPFIVLLLGDCNTGKSTIVGRYLENKLVEPQPTVGIVKRESQIEIGNSNQKAQIYD TSGNEKFRAQVLAEFKNSSAVVLVYDITDYQSFQNVQTLQKGIEQIDSDLEVARSNPNID YWIVVGNKLDLKEQRKVHMDEGKKFASAIGARFMECSAQQPSNINLIFLTIQQWTQINIQ PKYVPEQTQRLLEKLNNDEQQKQLQTSTSKNNQTKFKNKNTSFSLIQNNTNNVVSSSFDD QQNSFNGDSMQDYFAQLLKKADLQTQKLADVIVEEAQENIIQSLKQQTLTISNSKPLYLL EDASSQLQEKAEDKISMMKKKHKQLDKLRKEIAQNLLLVQEQRKKLTTDQVIENTQTQKL ERELQKRLLSVERNMDFLKTDIILSQKSQKFCTNKNHFLSSDQTQILKQHINQIQNPEHI KEQNEQIAKIKIERKKLEESRNNRYKQYVDNIESLLKQEKESRQKNEQDLILKRKEKAMQ KIASMDKIRQERSQQMAKQNEQIKQIIGTTPLHVKLENVFQEKNNNSQLEEHKLKLKQIR DLHQPVRMNDLKVWEENYEKRRKSQEDIRNQKILKNNQLSYHASYESSAYKQVKEEQSKY LKEKEQTLKEQQSKQQVKQEFISKLKDYLPSISPEKQQELEQIINKAHHKINFYEFIEMT KKVKLKGVFFDKEGKILERSVDEEYYEKIKENEKNEEQNAKVIGNKYLNDMRRIVKKPSQ HQSVDKLQSQSNPEQGYQQAVRNPKQNKLNEIKSHWKLKPKLTFEETDLEKIIDGNEVTG FSNHHVMQEANKLASEAVRKMQIIEAKGKGKESFKDIESADKLLINSIKVDAKLTLMEKE LKSENRGQETSTNQQRGRSNTKQHV >CAK59070 pep:novel supercontig:GCA_000165425.1:CT868000:133214:136803:-1 gene:GSPATT00029701001 transcript:CAK59070 MNPSDHSTKKPKKAKPNMFQIFQYQYLKTQPSQTHKESFLPTNSNYTTTKLKLNQIVQNT SINKSTRASHKVKLSMSNFQDDEETIGRISATVKEARSILEENEQPQQMQRDHLQQIVCI QSKIRTYLVKKRVAPLKKTHKYRYRKQVLNELLATEEIYCSALKTMIHSYLKPLSDSKGI LSSSEIKGLFSNIQSIYLLSQETLILFKDLQKDYHQEYQNAIKQLLSHANFFKIYQDYLI NYANAIKLQTNLRHNNKQYKSFLDQADKKNLGKTLENYYLILPVQRIPKYVLLFKDYLKN LNQENVDYPKFQKILQEFEAVANQNNKAMDNLLSKQQIFELQQQYGKHVKILELNRQFLK EESLQMYFTIESEVRPVIVYFFSDLILITERDQIKQGQVFRTYITLNHLSQCNKMAEMYN YKFLYQINGSDNHVTFVVQSQDSEKDLKEQIEFVNEIIQQLQDKKDRRNKLIQEIKPANL PQEEQNAQQNFVVTVQIQGTQELLDNSNKKFTRYVFEIVINNIITQQIYLRFSQFKTTME FAKSKFPNIKIPEIKENNYFDRNEALVVDRRKLLMTEFLLLLLNSKDFKTDNQYQKQILN QLGLHENFYSLLRKLKEEKERESANLSVLNFRGIKKPLTTLIENSFKQASFRKFDQSNVN TIQTQVSTPRGPVKPMGDLVRQMQQTATKNEVQQQSEISELPQEQKQNIKGLRASSMINS RKVSASQLLAADTRCNSRQGSMIVQGEGNLQLVKIKVLIFQNFKPIEQEYQICRETDAEQ LRDEVAADIQLQYSYDFKLYLLDDQMLKPLDKDEKLWNLLIRTDETKGLFKKAQNQPQYN QKLLQLRKYLYVNCEEETEFCKCDLVRLTLVSYQLFDDITNQRLILESKNHVICAALYLI LNKFKKIDKNNIPFNEVKKLIPHQIFKYIQQDTWVNFLPTIFDNFYTLVMSEYADDQKKE KQKSKQNQDTEKNTNHEEPNNQITESDISDNLTVLKTEAHYAMLIFLDILKSTKFFGVTN FKVQSDKETLMYLYELSKHYWEEKKKQNKFSSSYTIDDCRTWSSFYLCINYKCITFLKQL NSQLEILELDYEEIEQISSLQKNLGIYIFNPLTNNYGIQINKKICLNFETEKSYQIKQLI QTYDQMQMENRQAQELQCDEEQNFNEFQ >CAK59071 pep:novel supercontig:GCA_000165425.1:CT868000:136878:139635:1 gene:GSPATT00029702001 transcript:CAK59071 MSIFESQNAIQEMRNAIGKQENLQNIYKEVASPSEQSNQSYFSPSHSQMVVDKEKVLINK YSNLLTTQPIGQPQFHDRRMTQVWKSNGLIIITMIIRFLYTLKLQTESMKYKLLNKRIFA MIQDLSSDYLNIVRHRNNKYQIKGRSELRVHYSLFKKQLRALLDYYGRFIPIFQPDQKLI IYYELCLMISITVNLFYVPINISFLNQGVSIPFDAMPTILQLIWIFISFNLSFYEDQTLQ KNRIKIASNYLKSQFTFDFIIVLTLYFQILYGYFVPLISFVLRIPKLIRLLDQFEYNTNF KENLAAIIDLMKLIVMLIFIIHCCACSWHFLGVYEKEYSIIGKNWLDYYDIGHLTWIEQY IASLYFSVITTLTVGYGDITPQSAYEQLFVIFVAMSLCGMLGYTITNIGEIYRSMNEKKR QYKTQMKAIEQIIRQRNLNDKLSIKVRKYYQHLFQQEQQENSQGELLLTKLTRNLEEEVM IDTYKTTLMNSYLLRQFKESTIEQLCTKVRVQKLQPGVELVKAQQFADQLIFLLSGELTL LGHNSRQPIILKQLTQGTVIGEQEFTEQGFYDYIVLSQGYSKIATISRIAFYEILQYDRQ EFEKMCKIRDQYKFSVKKRNVIGRTCEICGWTHGYLQCPMTFYQVDKMKLLSKYRQNVEQ ERKYLNDFRRIHKYNTLSQLQTAQNACLDFMISQKILDEIPNQEQNDDSNYKLKLNIHDA DSQNLDSSKSLQEETKGNQTSLQKSPIKTSTSKFQFFSKQTDQSRDQLQHPLFQRKSIKK HSLVIDNPSNTKKEDDLKTYIEELIQKLSVNQKEKEREKSLIQTQNKVTTYNPDLEFEVM QSYKYYFPQFNIDNIIDRVNVTYDKFMQKNKKNPIRIFVNRRKLKRRIIIKD >CAK59072 pep:novel supercontig:GCA_000165425.1:CT868000:139865:140395:1 gene:GSPATT00029703001 transcript:CAK59072 MKRTKETIFRQHPDWQQRQGISGLKEFDGEDLQYDARNKFNKEQQKQWIQEQIEEKKRKQ EQEKAEEMAYAQQTLEINRFRGMLQDNFAARKTDIGVATKQTNLQFAKEKKDKDERDKQE RLQYEKNERDLLLERGRKQPYNG >CAK59073 pep:novel supercontig:GCA_000165425.1:CT868000:140926:141939:1 gene:GSPATT00029704001 transcript:CAK59073 MKHVSPKTMDKFNADPRSSLQSCHLSKSKPLMAKTPLQYSKSSSLIQSLNGLSKYFNKKE ENNQLAYQAPQSIQRSSASLSHREKIADDIQNKSPLFVKQLLYGKDNQKENFNYLSSKSK QIPYFSITQDPKLVQVNDSSHKNNISKILRNEKFINGSIEYQEDWKLKYEDLQQSLCQRI QQLEIELQSMEKNKKIDQMHTDQQTNQYIEKLQYIVSEKEQVILEQELKNEELQNIIDMQ QTEIQKYKDGMQNIKNANEIQRLQQIDQKFQQIKGHFSSLKINIQQTFDVAQSEQQINNL SLSSFSVIEMINEFNQVIEVSTQKSMKKQHQKEFQQP >CAK59074 pep:novel supercontig:GCA_000165425.1:CT868000:142092:143078:1 gene:GSPATT00029705001 transcript:CAK59074 MDSHEFKENYYDANVMKRRRVQDKVMVAPIMEVFMDICKKLDYTSKEYLQMVIPINESRE GLQNTDQIIILNYLKQIAIYLIKSKLELEDKLCSLGDIKQFEIELQKMEADIRQHIRVQQ QLQILLEQAQQKLDEFESEKLKSEQFITELKKSLDIKDRELMTIKNELYDTKQKGNQKAP FQPTKTEPIQSSMDQLDNLQLFTLVENSPNKNNFNQESAVKQRTTKTQGRQHSFIEQVLF HNERIIKSRFPRENITEIFTAQLYGDQCAEIVNQKTLVQLLIDFQSPLNQRNEPQTFRSI SQETSHMPKKC >CAK59075 pep:novel supercontig:GCA_000165425.1:CT868000:143115:144250:1 gene:GSPATT00029706001 transcript:CAK59075 MNSTKSEILFAGFNQDSSMFCVGTDTGFRVCNALNSTEKFQRDLKGGIGHVEMLYRSNIL ALVGGGLQPKYPDNKVIIWDDHLIKCIGEMSFRTKIKNVKLKNDRVVVVLEKKIFVYNFT DLKLLDQIETCPNPRGICTINTEGDHTILATLEKSVGKVFVNNYDANKAYCIEAHVSPIS YLQLNSTGTKLATSSEKGTVIRIYDTNTGQISQELRRGNDYATITSLAFDYRSQWLGCAS DQGTIHIFAVNQDGLQQEQQNQNQSSHNPKSKFEFLKGFIPILGSEWSFAQFRVLDTKCK VSFVSDEHQLIVISYEGKYYKAQFDPQKGGECIKVEEKYLISDKV >CAK59076 pep:novel supercontig:GCA_000165425.1:CT868000:144257:144788:1 gene:GSPATT00029707001 transcript:CAK59076 MLNNSQTNQILISKIFVAQCHQDYEQATALLEQLTGNLVESLNMFNKHPKIHKILQDNFE IFPENYLVQPQQMDEGIEQYHKYLEQICNQTSKEEKKTKNKNPWTEEERRLFKQAIKEGS SKDWKAMAAIIKTRSPPQVRSYYQKYMKKKRQKLKTENKNE >CAK59077 pep:novel supercontig:GCA_000165425.1:CT868000:144792:145733:-1 gene:GSPATT00029708001 transcript:CAK59077 MMRICRKLTSCKQLYVDQNQKMIAILLCTLFSLHISMAKKCSCNEIYFEDLCRDARGCYF EQKSGICEEINCLERSSEDCSYFAGKLRCYWDITIGFCKELKGCEELQENDKVDSNEENC QEIDCRWDYQSKICVSDADQRLCDDYSVEYCVGAIQVIGTQTSECVLNGEIGDTCIALEN CEDITFMNSCNKLFCKWEDNQCKTKICTDYTIDECPSFNKLSQQQCYPSHLGCVVFNCND YGIKLECQNHPRCFWSGNLNSCHQQTCSKATYATQCLSFSYVVENSECKWDNEGCHSCYS IAFVFYLIIYIFY >CAK59078 pep:novel supercontig:GCA_000165425.1:CT868000:146905:147986:-1 gene:GSPATT00029709001 transcript:CAK59078 MGQGCCSKTVTEPLFVDPPELMYPLTYKHSNTILITPEDTQPENMANITFLDTSTQQEDT DLIFSSDAIPYKRKPQIENEFVRHTISKFGKYRPKQIPSDNKKITTYPPYQFNESNVIYV GGWKTGQRSGYGIQYWPSGSIYVGEWKNDKANGHGRMIHQNGDMYEGYWQQDQAQGEGMF YHNDGAFYQGEWRQDLQDGRGREVWPDGAWYDGEFVKGKKEGRGKYTFSDGSYYEGEFID NQIQGEGLYVWVDGRKYKGSWLQGKMNGKGEFNWPDGKKYVGNYKNGRKDGYGEMQFQDG SNYKGYWKEGNMHGAGTLKQFGQEKIGEWFEGKFQRD >CAK59079 pep:novel supercontig:GCA_000165425.1:CT868000:148012:148407:1 gene:GSPATT00029710001 transcript:CAK59079 MQNPLNQEQFILIEKINGELCIGTQQPVIQNIIVSCYQCQKKNQCSARAQFMKCGYCSQV NKVFDPDPLFYVDVYCQNCSKRIKIPKNGSVYKCPFCFALNRKTNR >CAK59080 pep:novel supercontig:GCA_000165425.1:CT868000:148670:149170:-1 gene:GSPATT00029711001 transcript:CAK59080 MFKPTVAYCEIQKRKKMIIGMHLVELSDRYHYFNMVGPGRSQKQKKTSQSQNQKLEFLTP RHMTTLPVTPTSKSPSLLESSQLLVLKQVNITPRLKQLKPKASLQIRQQNKQTIDKKFNE SFRVKETQVSPQQKKLKNSIRNQQIEITYPSDYEDFIDYVSNKKPI >CAK59081 pep:novel supercontig:GCA_000165425.1:CT868000:149801:150070:1 gene:GSPATT00029712001 transcript:CAK59081 MHIKVQEQQHHRQTFQNYLNFRKYKYCRLDGSAPINVRDENIQGVFRILIQAFLYNFDRD YNPQLVQQAMDRTHRIGQKKKIMLYRSKS >CAK59082 pep:novel supercontig:GCA_000165425.1:CT868000:150575:152274:1 gene:GSPATT00029713001 transcript:CAK59082 MHLNIQGSRKPSQISSPTSIKKPTISQLLINFRSPITVPNSSQVSPQNSEQNLQKALHSH KGSQIDVTNLTKLIRHSKSPNLEINDVQSNENQSQQQLQALLAKAREITQNKSKPPIIKQ QVQSIQTQSINQLKELFNDNLYCRNTFHFHFVVGIGGFGKVWKVEHKKTGQIYAMKEMSK ALIITKKSVNSVMNERILLSELKHPFLVNMNYAYQDKETLYLIMDYMSGGDLRYHIGRMR RFNEEQTRFFVACIFLSLEYVHENNIIHRDIKPENLVLDNKGYVHLTDFGIARVMKPENS SDTSGTPGYMAPEVMYRQNHTYAVDYYALGVIAYEFMLGRRPYVGRSRQEIRDQIMAKQV QIRKSDIPENWSIEAADFINRLLQRKPQQRLGFSGSQEIKQHPWFQKFPWQKLQNFELNP PFQPNRTEDNFDQKQIILEDQENNELIQQNLLVLKDPSSLELFQGYEFNANQSVNKISST TDQSSSSSSKHSRNFSSNIEKQKFFEQAHK >CAK59083 pep:novel supercontig:GCA_000165425.1:CT868000:152452:152706:1 gene:GSPATT00029714001 transcript:CAK59083 MGEDQKVNLKTKKIMKCPKNPNKEQHSKLLKLLLLLSDLHKDLDNLEGSCPKEFQKIPKQ NPKSKGKDSKFQSKSKSTSTKSLK >CAK59084 pep:novel supercontig:GCA_000165425.1:CT868000:153187:153750:1 gene:GSPATT00029715001 transcript:CAK59084 MMMIEQIAHNGLVFEMIVIIKMKRFIMKEAIYFDNVFISRQLFFINPIKEEKCQIMKINK FLKIHLKLPQTKRIIYRKRCIQLNCSRGYKIRFRKQNNQELVLSQLMLFHTFLITKNQII NETIKLAQISKVVITYKKVLLQNRIQQFKHHQEQSMGVVVIKKEISQRSIILLLKHLEDT YCRRKKE >CAK59085 pep:novel supercontig:GCA_000165425.1:CT868000:156123:161412:-1 gene:GSPATT00029716001 transcript:CAK59085 MSKTLKSIGVECLTFPKARQDEAIHQQTILRLNSFLGCENEIFNWNNIIAKPDLLEIKMK KPIQYLNPETFEEDLLQNDAQWPFADNSLFLFKSDNPFRLSLYKSFNEKLFLQVIRIITF LNIIAVCLYDYQSRINQEDDNRNRISITLEIFCNIIFGLECLGSIIIKGFVLGKNAYLKS WWNVVNFLTFIATWSILGDIKSENQLVHILRVIRLFRTLRLIQDSKILKKQFDAFIGSFS RLGPILIPLLFVVLYYSIIGLHLFMGITEQRCRETPEPVNEDWKAVDDIKFLCGIWECSE NSYCGSLADYNLPRNRTENVIEQFGFGFIRFDDFFYSLFVVFTFLNVTGWSGTTFMFWRA MTTYITAFYFVSLIFILAYLLSNLLLASFYESFLVLSTIKQNKSIDNEKEVIENEIMKKK QQQIMTRLAQINEQKSKKRNSKKKYQISLYYQDEEDQQTFLSEHFQKFLNISTIIAKSNT FKYINCTVIIICAIVIVYDHHGISKTSYQSLMILDFICIIYFIFEFIISLWGNGLAEQFK EIIDVFDSLVIMTQIILIIVLFFFDESIIVNTNRYINLIKAFKMLRLIKLLYIARVFYSI SVLARCLIQTLFKIKDMILLFIIIILFTCLFGQEFLAYKVRFEQLPNGSYQPVDSINGIS ASINYEGLGNSFMAATNIFYNEEWHITMLLYGEKIWVSLLYHIFFILLGQILFVRLLLAV FLNEFCTQLKKIEQEIKPINFTQYYKNAVGFFVSILKKRQRAKIHSITSNINAANQQQLK TQAQVQTQPNDAKKNGKLSLFFELKAQKDGANPNSDLIDYKVQTPTNILSNKESIIDYLR KPEEKKDASDDHVKDHNIEDEINEIEDESIDHENIKSPGQVNDPSSSRKMNQNIRKSVRR ENSEKTLFIFAPESDFRMLVTSLVTNIYFRIFNFALILLTCIRIALLSPLEDPNSDISFT LQVGYIFLTVFYILVILLNCIAFGLYKNEQSFFRQSIYNIFSFVITIVDLITLIFDISHP LSKFVTSLRILQFIQIGAVFSKNIAYAQASLLNAFTQMIQLTIFCLILLAIYGVLALKLL KGTFYYCDAYDSIHKDFIIKKEDCFDYGGSWVNQILTFDTIFQSILTLFCTATSENWIPL IQQAWNAVDVDHQPIQNYNRIYALYFQLFFFLGNLCLLNMFIGLIVNAYQEAKTKAQNFH LLDETQREWFQIKMQIYNMQPLVKSKKPSNYIRKILFQLVKQKYFKIFWLIIIFCNTIVL SMYFHRSDQSVGDDYNQILDSINSVFVFIFAFEIVCRFLAKDKSLYFKDNSNIMDSIGIW WAIANLFIRNHEDYNFHFRRISNAISVAFQLQRNFRIIKRFNNLEKLFSSIFSVIPNSLS MLFIMFIFLFIYTTLGIDMFAFIRTQSNLNGWDQHFRKFSTAMFSLIKVASSESWWFIMV DTLHEQQPNFACNYMSTYADYQKYGFNGCGTPYAYLYFVSFHLIFSLMILNLLIASVLGA YEEHVKSEESAVSKYQLNDVLSLWRNYDPEGKGLINYKDFWKLSSEIAIIFGVAQDDLLD VNNKKNFLKVLNIPIYESKESNMLCYQFHDVILSLTKISVTLKYGVTSLEPTDKTVQQKL RIQLGDLQRKDATSKFKPTSFNSGDMVAIIYIQKKFRLWKKRVQLKREGGDYKIIYNEMS DLKNLIKKQIEMEERQDLKSKIKE >CAK59086 pep:novel supercontig:GCA_000165425.1:CT868000:162919:163407:1 gene:GSPATT00029717001 transcript:CAK59086 MWRKLILCVQAILFMFKVALVEYKIRSSSPPKMSICHQLINHHPYPESPSNRKRKKSSSV ETKNRNCGFGEKIQTLILLQKSKSDAQRNSTYLRQIKNQDIRLTRLISQKAASTHSLHIN SLHTTIDNSKQLPAINKVEYFERPLLISSSQKKFSLKIKKIH >CAK59087 pep:novel supercontig:GCA_000165425.1:CT868000:163412:164117:-1 gene:GSPATT00029718001 transcript:CAK59087 MFEKEIVIDGKGHLLGRLASYIAKELQRGQRIVVVRTELIQQSGSLFRNRVIFEEYLNKR MAFNPRRGYKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKVFEGVPPPYDTRKRQVVP DAIKLIRLKNHRPHCSLGDLCASVGWNSQAVVNRLEEKRKQRGATYYKRKIQRENLRRKA IGAKELTSINAELEKLGY >CAK59088 pep:novel supercontig:GCA_000165425.1:CT868000:164198:165641:-1 gene:GSPATT00029719001 transcript:CAK59088 MNTNQPSQNTDFQCDYPYDNYGGHQFKFDNYNYRPDSQNYKQITHKQSKQSNEQPKKKEQ NENKKMLIKSNKDNQQKQYLEQQPKQGQKMEKLPTGNKVEQQKTEQKVQKKDVKQENIEV PEEPKQLVLDPKLGYWTYTQKEYEEFHKQVKEYEIKKAEYDERIKGQRMLEQRKRMEKFS TLMEKKQKRNNLLGMKKINQLIRELRIQYKQYVRREKKKQFGYVLDENNNVQIVQQEIKK KKLSKIQKAKQLWDNLNDPDYETVDEDQIKDDDQQLITILNQLSNINPYSKEKYNNMKIR PWITQIPCKELDDMALQFINELSYMQAKKKVIEPKKNKKRIVAGIKECLRSIQYTLPEKS AKLIIIPIGIQECPIKNGPDHQILKVLKECFNKNIPVIFASTRSKLGRAFSGKFGPKISV ISVINYQNMEEQFTKLIQLSDDQKKQFRMLYGDVNLLQYINQL >CAK59089 pep:novel supercontig:GCA_000165425.1:CT868000:165728:166459:1 gene:GSPATT00029720001 transcript:CAK59089 MKGPKYVEQDIIKRVCQAEQFQQHKFKLINTRSDPYLSRRHVLQDNDKYQQIRANKFYTK LQVDLQKEQRLFKENAKILNRIVDIGNQKTYSSLPKRSLTRQSSANSIKSLNLSYRKKEA LKIVGENEKLMQRLQRTPSTFRNKETFLKDYKKTVELKNRISKYSQQNQQKMGKIVQRLT KTTTNPKFNKSNQSKTSAPSYKNSALSQLRIEPDRQQQKLQFPRIK >CAK59090 pep:novel supercontig:GCA_000165425.1:CT868000:166496:169551:-1 gene:GSPATT00029721001 transcript:CAK59090 MSSGSHLSKELHDLVKSIGETRSKQEEDKIIIKEVQQLKTKLNEKNMPPKKVKEMLIRAI YIEMLGHDASFVHINAIHLTQLGYLCCSLFLDNDSELLILLVATLQKDLASTNVHVVVNA LTAVGKLISKTFVNALTEPVLKLLTHNTDIVRKKALMVMQIIRQLNQDCITEQDYDDRIR RGIQDKEPSVMGAAFNLNDEELKRGSVNKYKPLTGTFVSMLKQIIEHKLHKDYDYHRFPA PWLQIKLLQILTILGANDLKVSEQIYEVLGSTLRRADDTTINIGYAVTYQCVKCISGIYP QQGLLEQAANSVSRFLKSDNNNLKYLGINALTQIVSISQKYVLEHQMTIVDCLESNDDTL KKETLELLFKMTNEQNCEVIIQKLIHFLKTSSDTNFKKDLFVKVSLLNEKHAPTQEWFIK TANTLFEFGSEFIDNDVRNNFFKLLIDNFNDIGTEFGMFITEIYSDLLKNDLQDNILKIV CWVIGEIGSQIYDQDPNKLNELTQLVILKLDSQLESETTISWILTCLAKLQSARAFQMFD QTRAIFQKYMQSKNLDCQQRAIDFYTLAKFNAALKGSKDTTVDPKMSFLDAYVQQERQRG AQPYNPSLQVKAAALGSAAEVSSLNFGPYNDNKYGGTKTSTFGAGAEENKTTNQNPSAPT IAGPWDDTGYNQKQPPAPKPVITTPMAIGSTTGIGSSGTGQTTSKTTMQAMGSGQMGVNT NQTQNTYIPPVRPLPPKEDPNLKEKQKLANQLFAPISQTQQQPQQTQKKGISAVATQKPM NANPNPTQAPQQKPPAQVQNLLDIDDPLPSKQPITGVPQVYVQQPQIITQKPIVPQQQQP QQQWAQPSPIQTQPIPQQQTRSYIPANINVDQYEQLWERYQNVKEVTLQSRIKSEQDFKR MIQTININVIEVIEQEIICAGANAENKSCVLIYGCYNSNGSLDLKINGTDPKDAEYILAI IKRQFC >CAK59091 pep:novel supercontig:GCA_000165425.1:CT868000:169720:170618:-1 gene:GSPATT00029722001 transcript:CAK59091 MAEQEQQQAQPAPEQQQEAAPAEKKGFGRGGRGQRERREGGAPRQNRGPRRFGGEQEWVP LTKLGRLVKAGKIKSLETIFQFSIPIKEYQIVDHFLKTLKEEPLAIGPVQKQTCAGQRTR FKAYVVVGDSHQHIGLGWKSAKEVQGAIKGAVINAKLNLIPVRKGYWGNKIAQPHTVPCK VTGKEGSVRVRLVPAPRGTGIVAAITSKKVLQMAGIQDCYTQSKGSTRTRSNFLKATFHA LKETYNFLTPDLWGHTKLESTPFQEHSEYLAALK >CAK59092 pep:novel supercontig:GCA_000165425.1:CT868000:170977:172190:-1 gene:GSPATT00029723001 transcript:CAK59092 MGNCCPNHDLKTSFISSISEDKTKLATNSATKQPVLVVIPPPSLKQQISQDDFIKIGMLG QGAFGKVYQVRKKDTNKIYAMKQIQIKKIRECNLETNTVLERNVLKQSKHPFIVKLKFAF QNPKYIFFVMECINGGQFYNVLKCKKNGLPENVVRFVAAEVVLALEYLNTKLKVIYRDLK PENILLTETGHVKLTDFGLATLRKDNEKSYLLAGTPEYLAPEIITRQGHSYEVDIWTLGI LIFEMINGNPPFNDPQRRVERITKQILLNDPTYPKTMSPTACDLIQKLLRNDPKERLGVK GGYEEIKNHPFFNSISWDVIHMQVSPLKTFAEKSNLKNSTRVINHPPQTLEDTPCNPQMP NAKIDGITYLGEGETFNSKI >CAK59093 pep:novel supercontig:GCA_000165425.1:CT868000:172365:172767:1 gene:GSPATT00029724001 transcript:CAK59093 MFSMLNSIYLIFHKYELNFLELLESKDFQYFQLNNVFTNILQIRIPKLGVPRARDSWELC QLLAAGLSGHSTQIFTTQYTLISSPLPKVSKEEYKKSETLIYHYLKITITKYFLAF >CAK59094 pep:novel supercontig:GCA_000165425.1:CT868000:172852:174307:-1 gene:GSPATT00029725001 transcript:CAK59094 MKQIKKRNLIRRNNKNKSNEGQSSPHSNQSLNDQKFKYIKELWLQESPIQEINKQVLSQR VISINEEQYFKDLQSDNVIGMGVYHSNEASPQMYFEPDIETDIYISSLIDSLWGNQIVSR KLQKLIESGTPEQQLLIVQKLERISPQIQKDVFGNYVVQKIFDSCGDVKLKTRMFNKLKT HFYDLSKNPFGCRVMQKLIEYSQGKEDIQNSILSQLVQNMRSLIYDSNGNYVIFKMLESY DKSKMEFLIPIVEESFHYMAQQIYGCKIIHKIIQQYPPNFIANLVKQSIANYSGLSQTEF GNYILQHILQFWIPSPEKTRLIQLVIQQFYQLSINKYASNTVERALETLSKSELITVLNW LLFRNQTQQQFSYVATNFVQLANHQFANYVIKKFLILIDQQMQQSILNYLIKNQQEYQAL KTTLHGQRICSLLEKQVKC >CAK59095 pep:novel supercontig:GCA_000165425.1:CT868000:174371:179249:1 gene:GSPATT00029726001 transcript:CAK59095 MLTARIQIEGKSQPESQSLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXIADVPLTNIANTMFIGELQVGSAQGRNAFDVIFDTGSALTCVASEQCKDIGC QKSKRYNRADSQSFNEIGKSVEIVFGSGTLKGLINRERIRVDGLDLKDALFIEVTQQIGD AFHEGEFDGIVGLGYPHMTGVPTLFDYMIQQHKLHSNVFTFHLNRATGNSGSQLIFGGSD DSQIKGEWVYHNVHEQFYWSIMAEQIKVGNKDTGICTHQHKCKMVVDTGTTLLTGPTKDV RALLSMIRVEPKCQNYPTMPDITFVIDGHDYVLSPKDYILTITQKGVEAPYQHSSMDQIV GCAGTIFPLDLPPKQGPLWILGDVFITKYSAKFDRDKNRVGLALNKNLE >CAK59096 pep:novel supercontig:GCA_000165425.1:CT868000:179281:180162:-1 gene:GSPATT00029727001 transcript:CAK59096 MDIELQQLDKVKWNSQEDDLLLKLQKHFQNDFSQISSQMNKIFFYNKMTPSLCEYRWRYY IDSNINRSEFNQAEEHSMFQQMKRNDLYTNQFWFELSDQFQKRPPSVLKVCTMKFIIKYL IGLSESYVQNKAVKKRYMARIKQFNIDIMITFFRLGKEKQKRTGPPTLLGQGIDQCTQLL LYLEQMISTRGQMSKYDDQSEQASIKFHSMMECLIFIDTIFRIFHKISPYNQGEQAERDK METLLPSKKSTLSLHDDRGYEDQAFEQLNKEDVRLIYNSEALQTFQQYFSTNE >CAK59097 pep:novel supercontig:GCA_000165425.1:CT868000:180903:181226:1 gene:GSPATT00029728001 transcript:CAK59097 MMKLRIKINNSEIQVDFVYILSKKVMKFVKIMRSIQDEIIQFDFKQTLLIYNTHRLLFLK REETNQDISHGSKDIFGITNIHNCYTKILNNCTDVVKSKWIFKYKYK >CAK59098 pep:novel supercontig:GCA_000165425.1:CT868000:181317:182757:1 gene:GSPATT00029729001 transcript:CAK59098 MHINKLSEHQNQKSGSFVHLSPQAYEALQTLEQIKKNNILQQNSQIVRRVSSQYVQQPSQ KNQQRTSSQLQASPIQQRKELQTNSSLSFIRGALQSNRTNKMETEGSHKPNSQTERQISQ QQTLGKSDEPTPFFRDTLSSDRNKCNQTSLSQYLSRHQFQQQFKYINEELQAFKQQVEND VLIETTIPKIVSSLQTNLKRRIFLLQTKLMMKKQLILNQILSLDNQIKIMEDEETKIALD PQKSKKKQNTTHSPSKQQIVESLYERAQKKQSNFQKLFEETQISKEQNVFKECTFIPKIN KQNISGNFMERLDDWIKRKNKKITQQQEQSQERIMRECTFSPLHKQLNKTNLSHTAVYQR NQEWQNRINIKKQKMKAQNSFIQMSAKKEQSTPKKIHKRSSSQQYQIDLAKILQSTNSQN TTKRVKQQSPILTEIQSGILNASNKKIENVDTKYQQLCEMANRIKAKSKN >CAK59099 pep:novel supercontig:GCA_000165425.1:CT868000:182930:191627:-1 gene:GSPATT00029730001 transcript:CAK59099 MSEEIQAMKQLVPQVDKLKTAKSEQQYEAILQALQRVEQYMEETVTADGRQYLPELVNIC VNFVINHKAYPDRVLFNIESFIKCVLKYISNNLQDEKVSQMFRTIMDPSRMIYEHNAHRT EWHDQFIKYSYSLNPQYKQFIDQLQEGAYVDCLRNCRSTARLNWSRGVVEERTEDSIKVR YLNEQSVKHIYNIRSGNLMPYRTRSQRLDQMFNLQVGQVILATQSDKWVLSTIQERIEDQ DIEQILKYNVAFRFYTEDGNSIDDGTQMKYIGYNKYHDEQITACHPRLALSGDHIVRKFN SIEQYIDDSYDILYEQDYQEEKFHAILRPKQSKSFLLIQFINYFGYFGGFEKILNIQSVD ILANYMSAIGNIHAQLFRSFCQEYVPKLQGLRQTLLHSDEQTLRNMGREKMNLIIQAFKV LLDRIMSEDQRREWLQKLSLEFVQVCFNCNFLDKKIMGLKLLTDMLKQASLDKCPQTVLQ WIDSENIMETLLHHNTHLQLLERTKELIRIYLNIDNAFTAKHMSMIWDLMQQNDNELRPI IFKLINEIAFAMRDYHVIFFMEQIKQLKEDQILIEHVQLLFEMNRYQIKNQELIIMTIDN LWRIIEYAGSSQKQALEDKSRSYLCDLIKNLEIKEIKVQMVQKLMSNIQQMKVESSSIKT LSHLICSFPSKQQPQQQPQLPANNVETQPSQVMTYPFVQNNPNLMLTQKIKEEIEQSTNT ESKVEEITQDEFVKELIQQSNIHQILRQNIENLRISNRVINAENLQETARYMNKLQERIL LIKTLIKINGTATDIIDQQFLDLIWNELILRPICKQECDMASNWLRDLVEKDEQFSQTQL DTFYSRLLNSDSHLTESAFNCFQAILYYINQKQHRLHRQQSNVNQNCDQYGNVISMTNTN VNENDQQIELSLLADVNQIIGIEYLWHIVFNSQLDKAVQLLVKLNLSQQEQFIDRILDKM DCTNEQTILKCLEVLRNLLNETETKGVGSLLSLDGLVKGDGFSINIINDMGDGAPSNRTT IKVYSRMTMFELRRVIAKELQTNWEQVKLVRNGVTVQDTDNGKTLKQMNVRKSEVINVYR RKTKPIPQLPLVEDNKLTPKFIKVLHKLFELYSTDGKMSSEQLSSFGVKAANDESFKDGR KIKEVWVLYGEQKGYLAVENFISFYEDCCIQQKISSIVWKNLHSFGYKNDLTLIDNDEID LDEQKLPRYQLAHNERFYRLMFHFINQSDEVAQECWKLLCSLPVFEAAKQRILNFEDFTK DRSYQLIYSIKIIEHLLYTEEYCRNFVKYGGFKQLQFILNNLEQEDTKIVKLVQCLILNI LSRHIAAQFQPQFKNIYQIQQHVKQPIQATFDIIAQIIDGTYPSQSDNKPVLRVKETEDF LELVKFMDGLDVQIDYVDLLKYIIKMQVDIADDRFIIEFALILITTIVGFNLGDCYDYFV SSIQSIKQRYEQFLYAPKQLLIRKFAGNSLYLIFKCQNQLGRTLISMLIEQFPKNENKDS QSYFDLLAKLIQESKYSDRQFAENIITQLKEYEPTESRIGLTSDKTLTGLLSILESLSIV DQSILTQQLIMYLYQVHLFCFHLNTFQLTPQKQHTPDYVKSKSPESRKIVYRIIILYLKQ NYNPELLDLNIIIYQIIIFSGFDIKLNPKTYHGFVGIRNLGCICYMNAMMQQFFMTPEFR YSMLRAEDGGLEHQIDDNSIHQFQRLLAYLELSERPDFNPQHFCYSFKDYDNQPVNVSLQ QDAQEFLNQFFDRLDNQLKNKGWQQFIESIYGGQTCSQMICSGCKNMREKFDLFYTLSVK VKGVKSIHESFESMINGEVIKDYYCEQCKQKNDLIKRQCLQTLPNVLIVHIQRIVFNLDI FMNEKLSTRLEFPHNLNLQQYTREGLLNSDIKQQSYYQYKLKGVVVHKGTALYGHYYSLI NTKDEKWLKFNDSVIEDFDVKRLPYECYGGKDTEDGQDFQESGSSTNAYILVYERIQKEQ VELKFADLQQKQEIMQKFDSVIQEENNQELTYKLDYKTMTQQHIPDSLYQEIWHDNHRFM VERHVYSEEFFRFVKEIGEAFPYPKDYTLITNPEQVPQYFGIYNNYQDLQGTENIQLLLS NMTYTAIELIARSSDHSTIKSYVLIIMNLINIIPTGAFYVFRQLIVDRQQRVFNVLLCAP DYTIRQAIQQILLHYINVMVYIHALQLNTELLQVLQPDNSSVSCDQVVMRFLLDLLQKLQ NDVAKNPTKFTQYFTFWKDFVKSSTINVKFASQIELVSIFADFFMEKQSPQGLQKTQFYE FISEKKITMASKMVVPYYSQLFQCIYYLLQDQHYVLSKNDMICLQTQIFYKRALIECQDF ETVKLIIQRMVFDNEPLSRSVIEVLIEEINKANYEIIGQFLGLAYNLLNIYDSYQQERIE WLLGFPEPNYQDKYTTGCSTNLSYQSILYQSHIGSQNDNLSILNLLFENQKRFQNVAIQM MKMVLAAANANKLVFSYLVNLPPPCYLYSKYTDWFEGFLNEFLDDCKKYPVIATTIFFNK QKEVEETFQFWQQFKNYYSQVTEIGFYDSIQPIYIIGNTIQTELVSSVVQVDGVILECYE STIHFAESKPTMTTNSVFPSNILYDNIYLQTVKIDASSNIHNLIQKQGSFTIPKPPPLPK KKDVIDGIDFGTDANPTAEQSKAEQDSMMQLSQFSMELEHIVESNKQSEANNTIPLVQFG ETPQQLIHCDVDIQIAPMLKRFMLNNTTNQTLHITYQITLDPDIMVNFKHPAKICKVVPP RTTVYLTTIMKTIPSMDWGMFIETMDINYNDQTSSAPQQQAKKQVRIDEEVQVFMPNLKP ETGAKMDDQMQCPVCTFLQSNLNHFCEMCTFEFK >CAK59100 pep:novel supercontig:GCA_000165425.1:CT868000:192977:194126:-1 gene:GSPATT00029731001 transcript:CAK59100 MNQLQIEELQRKLQKLQLERMNEDSSDEEPTFQSVQGKPNDKQLFQNLSIDSFEVYTTLG TGTFGRVKQVRIKRDPSRQVYALKIMKKHDIIKLKQVDHIKSEKNILNEIQHPFLVQLKG SFQDAKCIYMLFEFVSGGELFSRLRKDGRFSQDITLFYVSEILLAIQHLHRKDIVYRDLK PENLLIDREGHIKIADFGFAKKIKNNHTQTLCGTPEYLAPELIQGAKTGYGKSIDWWALG VLIFEMLAGHPPFYDIEPTNIYKKILNGIIEFPKFLHVRAKDVIRKLLNSDVNKRLGLNQ EGAALMNHKFFRGVPWQKVFEKKIQPPWIPFLRNETDSQWFDKYPEERDDIQPIDDEKQH MFDDF >CAK59101 pep:novel supercontig:GCA_000165425.1:CT868000:194689:196320:1 gene:GSPATT00029732001 transcript:CAK59101 MFKLITFVMKILVLIKLCQGSIINTKQVTIYSNTDIIIDSFDGVLVDSNFTFNQDFQLSN PCSTFPQTINVTPYSKNITQIINQAFEDNFVDGHQKSSTPKLIGIGKVGLGIIVLSQQGV LIFYTQENNKYDIRSLQQYQELNGIEKAFIEFLEDQIVILSDRDAWILKPNFSQHNIFEN AHLDNVHMQVGLGNIHKLHTIQNYLFLTFLNQGFGIYRLENAQLQILQKVELKSIIDSLV VVKEDKINVLILDREVGIHFYVYNKIINEMHKNQIINTFKIKCSFFEYVQSNLFILTTQD NPNLNTLSIYTLDFQNPTLNLKYSKLIEGEVQGIEIITDHFILYNRSQITVFYGNLGEII KLDSTNFLIPGTRQLIFRKNEQKQNQIVGITNNEFFALDVSISKIRLVCYVTQDYPDEFS FNYELSTVLPTENNSIKYDIFVIFPLKRQQKMNWILTVIFIIFCLSISILIVLLLNWHKY SKIKNQTGGQFQEFGIDTLKTTKRVEPQMRGHEETDKNVQCCDVIQTCLPASRHPIIQNE HQH >CAK59102 pep:novel supercontig:GCA_000165425.1:CT868000:196353:198280:1 gene:GSPATT00029733001 transcript:CAK59102 MKKNEIEFQDSSISIKRQPLLNRKQSQDRKDGLGKSSYRDDPLGQLKKSILEASAHQQFD QHPSLQELYDSLALMSLEQKCHQLLKSVQEQEVQEILAILDHFNTISPRPKLKNIYDQKQ QTILHISCFKNLPTAVERILMYEKANSTIEEFNNWINLKNKDSFASVHFAAYAGSIETLE ILKKYGADTKVKNQQGQNALSIAAQGDQVSAMVWLYLQGLSYTEQDEKGGTPLHWATYYG SEFAVQFLLSWLQKTKEGKKIINQQDTEGMTPLHLAAMTGNQRIAKKLLYKGSQKSLRDI KNQTPAQTALDNGYDIIYKILETNNCLMEFLNIRASYKPASISWSQICSFFLIYFYCMIG SVIFVYPFFDTDTWLQYLSIASFLIGLVFYFLTMYISPGYVEKNDDSRKLFSLLTQYEPW ELCPECQIHKPLRSRHCEFCSRCVIVYDHHCPWLNNCIGAKNYPYFICFILTIFINLIHL IILNAIFLIHYYPANLNNPHYPWFNNVTNLKALDISKICVQSSILILCILFLFPLAYLIY VQMDNLFRNITTFEKYRQEEQTNVQGSKEKKSQQSIESTSSIKSQVQHNISCSNCIEMCC NNSKNTSFQI >CAK59103 pep:novel supercontig:GCA_000165425.1:CT868000:198650:199455:-1 gene:GSPATT00029734001 transcript:CAK59103 MKIFQKVKQLATPYTLHLKSSPVVVMDTFCEKGNEQKFNVFTDQLIGGDSKGVVVFKEED EAVTFLGQIQIDKKKQLESLPYVGIEYKYSKSVNIAKFTKILFKMRTDGQIYKIRIGVNS SYDFGQHHAYAYIVDQSQMWNTYSIPFKNFEHQGFDKDVQSLELSAEGLQLYQFDIMKES KINEKIAVQIKEFALSQEELTEQEINFKRPIFYKYLQKQDILDTGREKYNQMMHNVNQKS NPNGKSINNWYD >CAK59104 pep:novel supercontig:GCA_000165425.1:CT868000:199489:200006:-1 gene:GSPATT00029735001 transcript:CAK59104 MLNPEANPLYRQVLYDGQKKTYIRTLQNHKNQITHTEQYQWYDKQDCIKNTDKITTMNNL STISNVSYQRFKKPEDSKIFTPLELSKQTSIPMKYQQRYQSQQALFPLTSPRVTESSAKL IGKFILNEGSGHYNLPPLQPPSETYRNRSQVFFG >CAK59105 pep:novel supercontig:GCA_000165425.1:CT868000:200064:200976:-1 gene:GSPATT00029736001 transcript:CAK59105 MKTFDNAIKPTQKPVCFSVQELLHRYKSTSKESASTTECQELFQTIQSDTNRSLEIPDSK IIQQYSVPDSIKMTLKQYEIEELPIVQDNDVILQINHMHSIFKYLQQDDQSQKLSTNTNS QHSITSKQTSETLNYQINKTQPQHKINQPSQNQPQPSKNKDVSPKRSTSPYRQFLQRPQN NQPSNQQRSKSPFIKNAIASKLTPRTTQRTTPSASPFRQAKENNISTINQRTLRTDRSQN KLIQVKVKETHNQNQSHVIVDLSRCPTQRVLIKQDSNRPKMKVELSLKSLIQNGV >CAK59106 pep:novel supercontig:GCA_000165425.1:CT868000:201166:201623:1 gene:GSPATT00029737001 transcript:CAK59106 MQQAMKNKKKANNQDAAAVRCQRCLKYGHLTYECKNENVYLYRPSRKMQFKEKQLQYELN NVKPPEVPDAFDGD >CAK59107 pep:novel supercontig:GCA_000165425.1:CT868000:201720:203282:1 gene:GSPATT00029738001 transcript:CAK59107 MSSKKKNISKNDDGYDEVGYFCGWIKKKNSKNVNIEQSRLSVNKQTRNSLYKSVLDTSNH NCSSQLNQLNSTNTETQRQISDASPFVSNFVVPSFENNYPQNQLFQLKNNQNGDTIFAKI TSTKIEVSKSMLINEDVYNSQRISDQISKYIATRIKDQINSLAELGCGDGGNTVQFANYL DFVIAIDKSTEACLKTRKNCDKGNQNQDFPNPKVEIINSDIFKLKRRLPFDSIFINPTIN SESAFICRDLLKDCQPNLQQLLMTISDQIENLIIQFPAQIDYSQLPLLLNINQQYQNNTQ HKYQSLVTQQKQQSFIAHCSLEIEKIYVNGVHVQNIIYYGKIANISREELRSILTTQLQN QEVKPEAIQNVITKLKDKIVQEAQKLFMNYFKPKVLKVVCDKYKLDYCDYGFILYQKLSK EFSQNTFNYQYKLRDSHNELQQSECAYSPKFSYNLNGLQIDDSDSLEENEDIEALGLSQD NKQNKLK >CAK59108 pep:novel supercontig:GCA_000165425.1:CT868000:203285:205758:-1 gene:GSPATT00029739001 transcript:CAK59108 MNIWIALSINLVIGTDATLNLLNPLSDVNYILSGIRCFIALSSILLSFAIYKKVKLSLLT ILLQLLYQMQMAFEIRQTFTSLNPFNLYKYLLLLYYEHQNQQLKYLQYITYLYCMIEIQF QNTLIHQYTITTLIFIIIIVIKTSLNSQQLLHKHQNSNEVFHSYSTIPAVNTSSNRKIDL ITKDELIYILNHIRQGIIYFGQNLDIQYLNEKAQKLLQTQSQELAMFQLQKIIIQGIEQD QNLNSQQKEIKTRASQKHLLQKADSKMIESLIASAEQNLKRFQSKSEKRLDSQFLSNKQK KSQFTISEFRQLLLSLFADSQIINPLDQRTFIKADKLKVNLTTNNIEKIIELQFCKIANI LNQGGYLILVQDVTENERLQQYIQKQKFQTLHFNSFSHELRTPLNCSLTLLQALKTQSIS SQLIKNYLNPAIVSNKLLMHQINDILDYASFGLGTFQINICDFLIQDVFKQLEEYYLEIC STKGIKLIFSIFDSLENIIIYNDPERILQLLVNLINNSLKFTRENDAICITAKSKQKSSG IIKDNFIKFIVHDTGRGIAKSELDAINNIIHTSVADDVYHQLKNFTTKYVGLGFTIGSRM SNELAYSKKSYFKIRSKEGQFTKVSFRIFNQITSYSLGPKISSIKIDHIISSEQFDIENN QQEQHQVPFLTQTFFSNRLIQSEAMSQILICDDVVFNLISLKLLIKNLGYESDTVYDGYQ AINQIKKRNLQQKAQYKIIFMDIEMPVLNGYQTSTQLLQIDNKLNIIMCSAYDTEDNLSK ALESGMKDYIIKPVRIEQLQVLIKKYIK >CAK59109 pep:novel supercontig:GCA_000165425.1:CT868000:205802:206371:1 gene:GSPATT00029740001 transcript:CAK59109 METKTWILILRWVNIVAAVVIGAMGIYQLVTFQMFSDFHFYEIWSIFTPIYSLIFCGLLL AIEFKKDFIAEQFQFMMTCFGRGIFYIFLGSVVSYSPTGSSSQQVAGWIWGLTLWLVGIF YVVIHFVGPKSATAGLRDAVSAEYGTA >CAK59110 pep:novel supercontig:GCA_000165425.1:CT868000:206396:207744:1 gene:GSPATT00029741001 transcript:CAK59110 MWGAEVYIYVNSLEKLFIIVGKSDKIWIQTVFYLLYMLCVSIRSKTNSRHQYYATKPLQY QKFYEMKKKYDFKNDDLTFPINIPLKQRYAYRPQRQFNKATPQNDYLNTEVMSGNEILLY FEQLDNLRINEILNGLERLHKYNKGQFNLAEHPWVKAALDKVFEEHNHLTKIQFIQLLNI YSNYGIETPEVWAKFQERMIKLLPNIPAKLFGECVRLFMEKSERSTDEFKKELSLVIPVH LTKMSPQAIATAFEMVYKHNLMTEYLFFDHLHLILRNRFKWFIKGKACPLMLRLLREANF ETCEFLWPEVYKQLEAELDRIPNDQCAPIRNELVKIGEAFPSHSQYNNIIIAKKIGARAT WEATLGGQARKLSLVEIVKNDILYYKEKQKLQRSQSQQSP >CAK59111 pep:novel supercontig:GCA_000165425.1:CT868000:207954:209482:1 gene:GSPATT00029742001 transcript:CAK59111 MSKLELEKTLELSDLTHAFIYKDLIRPSIANAFCYTLQQMPVAFTIYFLEQTQNQLHVAT FGVGTIFYQAFGFSAMNGLASGLQALASQAIGAQKFQFCGKLYYQSIFIACILIIPISII LYSSEDIMLFMKIDSELAEATGLLNKGMISVILLEGIFAQTKAFLNAQNLYHLSVYTHFA SCFLSLIFNYFFISILEMQLMGGIIARNLLELSNNLIIIYLIKKFDCCKQTLIKFDWSLL DGTFKFFKTALPIGCIFWYESICFELFQIQVNYLASLLLSLNVLMYTVALIAYQFSYGIS IAATTFAGNAMGAKSVKLAKQYTIGSFVLFGGFQILCLALIICYQQEIVQFLTSEVEMEV LFIKFLPVLLIQIVTDGVQALVSGIVKVIGKEHFASKSMMFCYIVFGQPTAYLLTYVWDF ELAGVWWGMIVAASTYSLLQIYIMLKSDWHHLSNEINDKIHSMGAHHADTELQLLEKA >CAK59112 pep:novel supercontig:GCA_000165425.1:CT868000:209903:210482:1 gene:GSPATT00029743001 transcript:CAK59112 MQQLHPKFRATSVQEQGEQRSKFPNIQTPTFADWKIPSDSRAHPKISRRNPLFKFGLIPP KKATTPSSQTHHITHDFRGLKSEEQSQRIKEIIVEDRVSTTETNNLHQFESEKKYFQLRN RSISGPKHSNQLLIECKQLFDNQKKAFEEILKQNSLYNKYSKVIDKEQNVTNQKIMQIFN LYK >CAK59113 pep:novel supercontig:GCA_000165425.1:CT868000:210497:210988:-1 gene:GSPATT00029744001 transcript:CAK59113 MDDFLLEYQYQSESPLFQFLYQRKKDNKEFTIEEQQYFNHYKYTFLLEAGTAFVLMPSTF MAYRLMQEFKSSKGASLKFQRYCQLTGIFGIPGVAIYGYSLYRRFITKAPYQKELEDKYL NELKPKLKIIDSSKKE >CAK59114 pep:novel supercontig:GCA_000165425.1:CT868000:211347:212011:-1 gene:GSPATT00029745001 transcript:CAK59114 MFPVKLIDLQLSPSRSEYKDFSTNISENDLDYDTFKLDQMHKPPLIKKSSSYPRNQYKQQ LIEESNIDGDQIIQECNRFIQDPQVSVTQLLQDLALDQPKKNRSAGFLKFFDENKNYGFI VMDSDGSDIFVYADDLTKTGISKEYLRTAKFGNCIRFTFTCMEYYGKYNKSRKAIDLEYQ KPGLFTQSLY >CAK59115 pep:novel supercontig:GCA_000165425.1:CT868000:213667:214832:1 gene:GSPATT00029746001 transcript:CAK59115 MQNQFQQFLTIMASREEVIFMIRILTHQTLEDGRNLREYQYEIMRGERKREELENQVYEQ CFIGIDSYAAIDESTDRKAFLPKVVQKFNKLLTYYSNKTKIYFPKQGQQIGQENMSQPNL RNVIMRMQHESLVTILKYLASQQKMRMKLELNRAQYMEKEERCNRNEKFFSEPLLTGNFG MNYINKLHQKYYFFASQRNWKNVYDVLILDDNTKQMYLQGAGFKSVIASINKQKKTTDAP QVYIPIETTQEIITTRYEKLLKDLMDMNHHVSKSIMKYNELHQRRKKNKESQNRIKITTT RHSQQVQMLNLSDFDIVEHDNSKHSCHACSHHLNLTLDNMSFFQVYANLLIQVV >CAK59116 pep:novel supercontig:GCA_000165425.1:CT868000:214858:215814:1 gene:GSPATT00029747001 transcript:CAK59116 MKYFKEASFSLKQKFGYTPEEQAVKLFDQAYHYESSILPINLLDQIAELSFQIDHYPKII NQIVLQLSTTGITPNVILKTLIITDYLLKYGCSGIIDDLKVRIYMFRNYQEFKVEFQDPI YITLRQKAGNIANQLTNRKLLYKEKEIAKQMKIKIQINKQKTQSLSHQDYKQTTTTLDDI LENYIFKYMDKFNDKLEGWGDQINDQLDQIIDNITINAAYERDENGYYFPESCMDEITIT NKPNKSQEQPQNDQQNKSHQTKDLLDFENDNIEQQQKQEINLLD >CAK59117 pep:novel supercontig:GCA_000165425.1:CT868000:216419:216874:-1 gene:GSPATT00029748001 transcript:CAK59117 MGLCITKPITKQPKTLIRLIKVENENYNPKPTTLPDLQAKILQLWVKLEKKLNKLVHCER THKILELKKQIQNILSKSKSTTIPEITIGIIVEHMEKIAFEIEELIREELLVEDIANKFN RFYTQILELSLEFYNWKNVQNADKTQVSSFI >CAK59118 pep:novel supercontig:GCA_000165425.1:CT868000:217013:218908:-1 gene:GSPATT00029749001 transcript:CAK59118 MNQSTRQNQRAPYSLNQSMTNGLPVLNQDNTNMGTFQFHRRRLTHKYQDSPNNEFATGRS LFPLKKDAEELKEEIMQLKKENYQLKHLNQQLCFQIKLDEKEQIEKRTTQDGSDDFTITI QNTRLAEKLKQARLLLQEKQTEIDNLKKNTRYMRLQEMETELCVTKLKFEFLSKQFNQIL KTDSEVNKFQKLTVQTIQLEEQLRQFTYSHQKQKKKILNLRQELLTCQALKEKYRKQYEQ VSNEFVKFKKDHEQEIQKKNKYKETIENLNYQLSSQQTQIMQFQIDLEKQRMLVSQKQHQ FKELEDQYESKKSWLTNKKEVPQVSQEILDEKVNKNKIIISEDEMIRSIKLGSHSKIVVE DEIKDKSQVIQHRGSLDSVVEEQKTSQVQSQRHLQEIEFAIQKLPRVNFQDVENIGKSLK YQLMAEKIAMEDLNTFFDQKQEITIEELVGILQAYPFNIQDDKQALLLARYLIEDNSQQF VDFTPFQVNNICIIKSVLKNVIGKYTLINEEQYQQIINSIAQQIWKFRQLIVEQIKGILF KRANTQNCELCYESEFKAALQVSNVQLDERQKESLGQFIFKEFNGNKLFDYKSLIDKFGF QLQHNSPVLLQKAQ >CAK59119 pep:novel supercontig:GCA_000165425.1:CT868000:219067:221840:-1 gene:GSPATT00029750001 transcript:CAK59119 MKIGLQQRFAICNLIASIVFLVLGLTQLINALDSGLFVIVDIIFSLIFLLLDYKQKFICS TGDYYLALTQLIIQIVEVEMTINTEEKGIFIFIILKLLALTQVLQVQLLQKLIYFTVLIY SIIRFNEYQYPISYLGFTFSPIFLFQEQNDQNDLLMLLKEILPVPLLVIDKFSRKSLYHT KALENEYKYDQSHSNEFMDCLYHFSSDNQIKLQTLFENSKDFLYKPQNSFRSIFSEVMDN CQYIATSPLFQKFFELGAAHNEEQNEEQQKFQNQNFPEIMIDTPKYLQFCRAPMQLSEET PTNKKSKIMEEFLIQSQCQRNVCVSELKRKKLKLFFNHCFWNKNQAFVLIFQNKETEKSM NALQQQLSESKQICENKDMILATVFHDFKTPINGIVAILESLEGNQNLNAQEKYLLNIIR TNVYLMLYMIYDIQDYARIEKNQLRLCISDFYINELIDEVIETIAISAEQKGVEIKTFYD IPSYQVYSDPNRIKQVIMNILSNSLKFTEKGSITITIQSLNTDKSQHIKRSNSSKNINYG NGNQSISQIRKSLQGKQPPVSSNKLVYTISIEDTGCGIADSIKPKLFNLFATFSSQKIEN KNGTGIGLMVCKKLISLLGPSDTIDLQSQVNVGTKMTFQIYAKLQDSSYKITNYVSCFKQ ENSSQHLSNFHNEDSPNAKDKPYQQSVFVRSSYLRLYTKPLEKAETEMNEPSIEDSDQSK QKNILRFQSISQKSQIQKCLQSQDSLDVQDPKQILQQLIQSKKFSILIVDDQIFNVMAVK LLLQNLIPQADIIEAYNGQQAINKLCQYQRSLNIKYVFMDLQMPIVNGWQAAETIRKMIN NNEIDNIKLIALSGFDDESQQEKCEKLGFDAFLSKPIRTEMISEVFSQLEEL >CAK59120 pep:novel supercontig:GCA_000165425.1:CT868000:223791:225554:-1 gene:GSPATT00029751001 transcript:CAK59120 MNNGIKTENILAMVDKCQNLLNQYPKNQPNDIKTVMIVGLTGSGKSTIFNFLCGAEFAIN NESQLTITNNKQEFSLINDSINSVTKSPNFYYNQAKKHLIIDFPGFQDTNGEEDQLLYDL LFNKIISFGPLKVIYVLENPSFKLSGRATDFQKFLNSLDTQNKNISFDLILNNYIAKQSI EELLKKIRQDLTQYTKYIREIHVLKGAQSQEVVNQIFNQESRQKLWSCIENLKPCEYAPK KLHQSEKISQYINNEALKIIQEYVFALDQNFQSQITNLVNIQSNEKIFNAQTNVKKLEEL ILNQTNKNPYEWFEAFVLTCQNILTTIDSNSSYQNCKNFQKVFKYFEEFSNLIDGYKNIE IANKFAKSQLTQIKQYFAVVESFYQQAMQAKVKQEQDEIDKKKLLEEKERQEKLLKSENE KLKSEYQKLQNQKQDTSVIEKLISQLNEDRNVMVSQFQALIEQKEKEEKQKIKRLEQQVQ KLQNDLQNSRNSQNSQNQANHQNSTQQKSQNTQQNENQKEKQDQKQDQKQEQKQEQKQEQ KQDQTQQQKQDQGQQQVQVEQKKQDQNQNHNANSTQKTSNSSSCQLI >CAK59121 pep:novel supercontig:GCA_000165425.1:CT868000:226646:227825:-1 gene:GSPATT00029752001 transcript:CAK59121 MKIALIVTLSLSLVFATKFSSKYHTTAELNEELESLSRSCSFLSLSNASDSPQIKEVNIN RNQNKKYRAYILFGEHPRELISPESGIHFLNDLCYEKTDPKNQQILDNFELRLILNANPL SRQKVEGGEYCLRENENGVDINRNYDAHWEKVQDDVRQVTSGPNPFSEPETRAVRDSLKS FNPHIFLTVHSGTLGMFTPHAYSTDAAEQNEEKMMDILNDISGKYCPSCDVGVASQAIGY LAPGSCVDYAYDELKIRYSFAFEIYHGSINLEEQLKSRSHSSFLQLTGQQQSTKNRKFEH NNNHSCFLQTSSKYEMSKEECFDYFNPDSSQYDWYVQNWTEAYQEMLLKLVQEEKQQ >CAK59122 pep:novel supercontig:GCA_000165425.1:CT868000:227856:228995:-1 gene:GSPATT00029753001 transcript:CAK59122 MLVNQSTGSESATTSVQKHQDYEQHLKTTIQQLEEEISGLREQIKMWEEKYEEQERIHNR EIVQLKCNLDNSIFEDQRCDENDLQVIQEETEFYQRSLCQSQVTQGKIDTNNLMKILDKI EMDDAIDLQCDQETFIVYKVTKLVEMIQKMELELDDWKRNYWMLEKKLNQILLQQQDKLL KQGSEEIEIEFDFDKKKIITKNQESKSIKHTDEIQVLLDEIKRLKQLNAELIQQQKSMTK QMLEQLIVKQMKSDSKNRCLTQVSEPGVKQQPVIRNNNSQHYKQLNKSPNVEPRNHYFSQ YFQAQQHHQQLSMRIQPQCNNLNAYQSNHSYEQPKTSTPTIYATPVMMKYANGSPFNHTN KILQF >CAK59123 pep:novel supercontig:GCA_000165425.1:CT868000:229729:231590:-1 gene:GSPATT00029754001 transcript:CAK59123 MKFKVQVEEFVFYINVGQGLNDFAWFALAAAKLYSNKKNPDSNYLPCYLQFRVPGTDMFL TPPPRAKIFDYLKEDEEGKETLVQVEIRKGQGWIMTDQQESIINTTILEWYDEAFGKMRN QFTATFQLAPLNQKVVNRQEPTYLIQYEYKMFPEVMHEFDTKNYPSKDKIIMKEIKAGDN FKKYFAEITLPLGQFTFQFYGQIITEKEGQEPQQSIFALDMKNFDHTARLNPVPISQQEI QKKIMEYAQQKEKDLQQQQIQKREDSQKNKQSAAEECPYKFEKLWTIIQKNAEGNLELKD LEENFYNKIAAYMDDKLPLLYEVFRQYAILYNTDHAKKDEISISFQDVMHFLKFYGLVQD SQELFSFVETYDKQGTKSKDVRKSLELSVKFQEFFVIIVELAQFKKTNNLSEVESQDQLV TKIVDKIIEINADEKEFNNFQQHMKYNEILVNFIREIQDQMQNIFIKRFSQGNDDNSDIK FHIRQEEIKQLIQDSGYKGDNLDGIIKTAYKELFHDEKFNGFEGLFYYEFIQVMQWLALV LIQNDERSQQEEAEEVTTLDQLQEQLRYFIQCIEK >CAK59124 pep:novel supercontig:GCA_000165425.1:CT868000:231618:232431:1 gene:GSPATT00029755001 transcript:CAK59124 MTNQYTSRLSREEWLEKRKEFWESRVEGERVYWQSIQKAIEEQDEANAQAILNACDLKLV NNSIQLLYDASMHKYDVPVFMINEPQSFPSTKFCDAGLIQDFQETELKIKVRSNKLPQDF EIVTHTNQSIADLKTKVQESSPGIDQCRLFFKGREMKNHHLIGNYQLKDGEMVQAFM >CAK59125 pep:novel supercontig:GCA_000165425.1:CT868000:232465:233675:1 gene:GSPATT00029756001 transcript:CAK59125 MALIHNQNDIQNMFSKAGKITSEKYIVFQRKDEIINNKPRYYNTKSLSVSSPEEPNLQSE KKTADTLTKKPEQITETELVQRKNQLKLSQPFSKQLSRQVGHYTKLSNDSKPSCGQYHVK YIPFTIMTNPQSKPLPESYKESLDNQNALTNLLLIDLDFLVNSKVIKFILLNRPDIFFGR PTPHPDRFTFLGVTNSWSKIPRTPNVQLDKQLSRDQMIIYKKKQFAPDYHPNFEFGRKQL GSCGAPFDKLEIRKDIMTKIPPYNNEAYFEFDVYSKDPQSKLFRNPTAPNFERMLERECD GKSLLPSFMQKYTNTRMGIAHLNQKMLEVNNFRDGRFQTVTTSFAPSKLKKKQHLDESSE EIEQIEDQQ >CAK59126 pep:novel supercontig:GCA_000165425.1:CT868000:234034:234845:1 gene:GSPATT00029757001 transcript:CAK59126 MNVFQNNGQISSNPNISVIFNHVQEQIQQQHFEIQQMQIERQKTYEKLKKHLDKLNLLVY RMTNQQIVQQVDSKSNICNQQYKYEIQMDQDLELPCYRNRIFQIRLRLVENQKVICNANN ILVELQVWTYDNQPKKLSHNNKNQSIYKGCQQTIIKKGYGCLNRIQIKEVSSHFPRGVFQ LIVLPIEDGAVVGNESKLHIKKELVKPLVINDVSIKAKKFSDRHCPYFAKSDGTINLIEQ N >CAK59127 pep:novel supercontig:GCA_000165425.1:CT868000:236299:237499:1 gene:GSPATT00029758001 transcript:CAK59127 MLEYTGQTKANSEGFHSVVDSDIESWIKRPKSSSQQDYRKLFELTKHCYAAKLPQANCDE IPEFDTYEDSFLQRPSMCTNKSSKQSYEIMKEEKQQEKELITPINDEDKHLLLRSDQEQC KLLAIKMKSGGCYTGQWYKRKPHGIGEYLFADSSRYVGEWNNGFASGKGEYYYADGGHYK GEFHLNSMHGTGIYKYADGTVYDGQWMNDKYHGYGIEIKNESQYKGKFKNGLKHGQGTLV FSNQEKYEGSFVNGLFEGKGVFIWPDGRRYEGDWKKGVMHGQGMLQWLDGRIYVGQYVND KRQGFGTFQFADGRKYAGQWMNGLQHGSGEFTEFHGQVTKGVWRDGKLFSIY >CAK59128 pep:novel supercontig:GCA_000165425.1:CT868000:237501:238926:-1 gene:GSPATT00029759001 transcript:CAK59128 MSQLRFDGKVVVITGAGNGLGKEYALFFGKRGAKVVVNDLGGSMKGTGASSSAADKVVDE IKAAGGVAVANYDSVEFGEKVIDTAIKAFGKIDILINNAGILRDVSFEKMKDEDWDLIYR VHLKGTYSCTKAAWPYMREQKYGRIINTSSASGVYGVFGQNKLLCCQDGEGLKRNILVNS ICPVAASRLTETVMSKELLANLKPEFVVPLVGVLSHDDSKETGAIYELGAGYISKLRWQR NQGYFFDTPFTPEDVRDKWEQVAGFGDNVCYPQTSSEIFEIFFNKEDFIKQQKEGKTSTA QTQQTTQAPSVNLKADKIFGLMKAFLDRGEGKEFIPKIQGVFNFEIITQKGGPVVKSWVI DLKNGQGGIKEGKESADATFTMIDDDFEKVCLGKLQANEAFLKGQMKIKGNMKKATLFTP SLFPAPTPDNLAKYSQPKL >CAK59129 pep:novel supercontig:GCA_000165425.1:CT868000:239386:240173:1 gene:GSPATT00029760001 transcript:CAK59129 MSYDTALTVFSPDGQLFQVEYAMEAVKRGLCCVGVRGKDIIVLGVEKKATSKLQNVKTIK KVYQLDNNLCMTFSGLNADARILANQTRLQCQQYKIYYEDDPSVDYIAKFTSQQQQKFTQ RGGARPYGISTLIAGFDNQNKPKLFQTDPSGACSEWKATSLGKSAKQVKDFLEKHWREGL HEKDALLLTTKALMDVVESGNKNIELCVIKKNTCWFLSEAEVEELTKITAQIQ >CAK59130 pep:novel supercontig:GCA_000165425.1:CT868000:240360:241952:1 gene:GSPATT00029761001 transcript:CAK59130 MKQESWDDLHLANEKSITKILEPGEQVLFSSLLYKFNEVNKRQERTLLITSHNLYNLSKL TVKRKIPIKRVYGITIGLIGTEFVVHVPEEYDYRYSSSERRDQAVLSIIKAYCLQHRGTA LPVFYKDELTLTAYTTTKVDKKKGVNRLPTTGSELMNEEQFRKRIDSQTEERLQTRAKTS TLFARQKGEVVTIDDFDLIKVLGRGAYGKVMLVEKKSDKQYYAMKSIRKEDIADPEQLEH TKTERLVLEHVNHPFLVNLHWAFQTPEKLFFVTQFMKGGELFQHLKHVKRFDESRTRFYV SEIILALEHLHQKNIIYRDLKPENVLLDEVGHICLTDFGMAKMLKKNELAKSFCGTPEYL APEILLETGHSQSADWWALGILTYEMLYALPPFYNKNQDLMFKQIQTKEITFPTTPQLSN EAKDFISKLTIKDPKQRLGTAKVDEVKNHPWFKGINWEKLLKKEIDTPFKPQIQGDAWID NFDKQFTAEEAINSYAPENNLVNQDEFREFDYYQK >CAK59131 pep:novel supercontig:GCA_000165425.1:CT868000:243061:244345:-1 gene:GSPATT00029762001 transcript:CAK59131 MELNKLYEVNPDDLDTIFRGIQQEIEQKENDLNTIIPQLQEKYKNYNLQDHDKKLIRRFH IRISQLFEKCKTPNAIDYISSIIDILNCDGQNLNFILNKIQKRSEDLMQSPKEDTNSTYV KKSLPNWQQNIQENQRVFQFYDSDFWKLYDILLLQRQYCLNLITLHQTKQQNYERLYSQS LERNQRFNMQNLQPTIEMNTLIVKAQYLNSLKMNEPIQTIYSNKSIIMFGKKIPKEKAKM NIKYSFSVSPQRASSRDPRPQGCEIKRIFETENLQLYDQNISTKNNMSKKVYRNDNYITI IDTQFQEKTKLKLCQEIYCPYKINKKQTVILPEIKSPYQFDQNDSITKTIQLLEKKKYLT PDKYSAKKSPLSQDLNGTLCQQQQILPQFKINTRDLQTIRSLIGK >CAK59132 pep:novel supercontig:GCA_000165425.1:CT868000:244775:246586:1 gene:GSPATT00029763001 transcript:CAK59132 MMDQEQTCQRHQKDIEYFCIEKSNQSKISFLCQDCIDEANPSQKQGQGRFFKFDNILKQV EQASEALKTKQSDLSQIMKKFEQFKEKIERQIKVEKTFFHDLSQKIRDLQFFGNQRAFPE LSKNSSYQKAILNEEREEGWNNFSQKMKNEFELFTTDMDNFINNQQNNFNFEAYAEYSNQ NGGKYSKNQIKENQQTKKSLILKKEEQKKIQIAANPALLDSNGIIEIDQVVQPQKNQLIQ TTQQFQSIQPIQPIQPIQPNQPIQPQQQQETGNQQEIAQGTLQQSIFKLNKNLFKVKIIE KEEIKHILVINKEVQIVASEREVRICKLLRSQEGKIINKKKMNNTILDIHLVSPQKVLFF TQKLLYLYDFKDLLLIKEKTDKIELKKNLFAYQNGFLYRYESSTQELIKQEYGSDKQQIN NFVDLPDDMMVVAIKIVGDQLHVGFSNGKIRHYELSNLIQKDEEYVINREEEGIFRFFYF EKDYCIGVQKTVLYKLIYKSKFIDDIVRTKELIKQCAYLIDHQNKKIEIQCLLPNNKVFT YKKKDDVVCRNKKLKYTKISCIQACPKFKKFYYGYIGGDVKCVKLQI >CAK59133 pep:novel supercontig:GCA_000165425.1:CT868000:246767:247432:1 gene:GSPATT00029764001 transcript:CAK59133 MGTCAQCNSKIEIIESDPLMSKESVFQKLKELNQNSKSTIFLTMRSNKKTGNDNLADQSS QVSEINDERSEMKNNIHGSVKLRKRTYSFQEKQMLQKHGHINDQNDSQKLRCFRFTDPNL PRNLSNSSSSNSQFREFNAHFKIGEKSIIESDESKSIKSILKKEPRQKSETKSVHFAKNI SMSQTRITSKSCHHKQHRKKKKKQKQKLICNQNQRFENYQF >CAK59134 pep:novel supercontig:GCA_000165425.1:CT868000:247441:249793:-1 gene:GSPATT00029765001 transcript:CAK59134 MSQSDSDNKAELSEDYDDDFVEEKPIEPRPYKTPHDDETIQDILSLYVKDRPLIKMQFIK KRKEFGLTFKFSDQETSERTGEIKALDKDPLQLVKNKVIDMGIQGCNPVLDASSQTVWNR KINKALQVDEGDERQEQPDEDFKLLKFLETVYPLMEEALQSNETIDIYQDDFNVLPSSDL NGDQNAELTNVIKEIKSFSYLNCKGKKIQCIQFQPSSQAIKSKYIVAESFVENLLFEERV ISQLKSHKSLVVFWDFEDIHSIEPVLLLQSPLEILAFEFNPKDPNIIIGGAINGQVMLWD LSGTALSVFASKKTQKTKQERNEIQELLPKMTSALQDPASYQAAAANDVLKKQVASHKSP ALALKWFPIGMEFDKKHFNHLILTTSQETYQFASISADGQILFWDTRLIDKDSKKTQQDI SSIPWKATYGIQLYRPEGGGMMGGGYLQFRKNQKTPTLSGTSDEGEVFILDWGERQGEEG QKNQLVTTIWQQARSMRPPIALDVSPFFDDIILTLHDFNFCVWKHNSTQPIFDSLILKGA HITCGGFSPFRAGVIIIGKTDGNLDVWDMLDQSHKWTLQFQVVACAITSLKFNDNMAHIV AVGDSDGTLHLLEFPQSLCKDQGNEIKVMRQFWDREVRRVNYYQERFKLREQQAKEQNEK EILAQAMKETKQPGDTKVLDEISNFESEYQKFRDTLLGIAPKVEDEKGISKQKK >CAK59135 pep:novel supercontig:GCA_000165425.1:CT868000:249966:250387:1 gene:GSPATT00029766001 transcript:CAK59135 MFVCCGKKKKDRKHLSLGNLHQLNLICQDGLYFDKTLKIITENNKTNVSEIVNKECKFYC PICFKYYDCMLQSTCCSNYVCHICAVQSLNNKMYNCHYCRNEHCKYVDVDPNQQLKVYID SHHKL >CAK59136 pep:novel supercontig:GCA_000165425.1:CT868000:250412:252324:-1 gene:GSPATT00029767001 transcript:CAK59136 MLTIKINYGVLRKQIDQNEQLSYLIPSYQCAILMEIEFNFALNKKIFMLTQTISRAFSQV VLKANKNQARELGEYAIEFMKNGNPSVKVLERCKLFHTDSVFCGLSALALKTNAPTILAK EAKSLAAKTGAASKPKLGFAKVFGSNLWVPATNAIVANSAAVREWDSNGTVFGYDASSPA RRAGEFGHNDYYPVVIAAAQQNAAIDGKTALKAMVLSDEIRGRLAEVFSLKTYKIDHVVH GAIASICTYGALLGANPAQIESAIGMFVAHYIPFRAIRAGHQLSDSKGASAAISTEAAIK CLQRSMNGFVGPADIFRNPEAIFRLFQKTKGDSPFDITLSLGGDDYAVMGMHFKLGLYEH QSAGALEGLQKLILDTKFVQNYSIDSIDKIKVTAYEPAFGIIGDPAKRDPHTRQSADHSM VYILGTMLRKAFTEKSFHQLLSSTNDLNEIWKALFLSPYDYSHAAVRNKDTRKLMALTEF EHGGKEYDDKYPEGIPTRVQILLKDGKNLDSQFVMFPSGHARNTHCDLNGILQNKFKQLG KIAIKDSKSQDQFYQQLNSIDTLSNKDLQTIYNCEINYASKSIDE >CAK59137 pep:novel supercontig:GCA_000165425.1:CT868000:253092:253507:-1 gene:GSPATT00029768001 transcript:CAK59137 MYDIDGRQACYEIDTNRNKQSSKSPLIYNLGWSEELILNIPKGFRPEPQVVLNQDYIDQH LKTFHNGASFIIVTAILDKYGRDHIGTKNGLFVVPTSQMDNLLQAANGSLSIVEKNLGIP RGRWLFKTSQQD >CAK59138 pep:novel supercontig:GCA_000165425.1:CT868000:253793:255168:1 gene:GSPATT00029769001 transcript:CAK59138 MLIFCILIEFFLKVLAKEFSFGIILGSNLTYETQSDQPCYIINQKLKNKQSQEINLVLEF NEKTFANFYDNGKLNGDINFIVQMVPISTGAVVLSNKGELIYLKLVENSFQLADSKMLSI TKQVFLKPVYLQYIQNSNDLLILTEWQNFENQIGQEGQSIFCEIIKIYDVFLTENIKSVA ILEDLLFVASGEQGLHVYQVSKRVFEQIQCTVEFKNITDIRVVSENSVDYIFALDYEKGI KVITFNTKTGLFYENKSLTNIPYRGEIIDLYQDVLMVVKEKEIDSIIHELRIQYETNTWR LIHQHSAQKYIQDIEMTENYAIILGRNGHEIIYHSLPSYDIKIQDKIIIPGLQKLFFLDK TQSNNIQVFGVTKHMFFTTRLQLFPQFISCFYSKEENEIKFTYHQNSTKCPGSAQNKICQ FKQNYTVQFVHPILLHRNNRSMCIQHV >CAK59139 pep:novel supercontig:GCA_000165425.1:CT868000:255382:257072:1 gene:GSPATT00029770001 transcript:CAK59139 MTKRLNENIKLNIFNYMFIILQLLVQQIQSESIQILTKQEAILDVFSSSGIILEQQLTNS SLCHIVPQQLVAHDIDDNSITLIESYKDDLFSLNFELGSFNGKIGKIIKFVQLNNGVLVL QDDGQLKYLNYQNNSFQLKSTFKLEIEKFALEGNVFLEYFYYQSQVLLIANTQTIALDII HDEDDLYIKQYKIYEQWQISHINSIATVGNIMIVAMDSGIKIFEFKDHLLNEIMIEISHI NRVQDVKIFNKKNNELYYIYLLDTISGINQYIFNTVTQKLEHNSKLGIIPYPGEILDIYG EILMVVKDKYLYEIRVDYYRNQFTLVKQHQLETDIIDIELTEQFAIVIGRNGHQILFHSI PSTYSQFEWMNQLVIPNLKQLGILSLNLDQQNLELKEATSSHNIIIGITQHKFFFSKVEL EQSFIQCYSDEITSQIQLNYSHKATRCKTNLKRNECDLIKSYTIQFVEPKQFGGNKQTYL LIILLYIVILLLFILFIGSLIYLYKKYQIEPKQQSCEQTPDVTQNLKTDHQSNFPQPSSK EIAPVSRDDQTTNF >CAK59140 pep:novel supercontig:GCA_000165425.1:CT868000:257545:258736:1 gene:GSPATT00029771001 transcript:CAK59140 MAEDIDQKLLQRFEIIQKIRKVSGGVIWKAIDRKTNSVFEFIEILLVAIKKIDYSANPLH LLKSMRETVILQEISKHQNIMKVLQVINKGNDQYTIYDFSRYSNQYLIITFLQKHIQIIL CNIVSALYFIHSGGLVHLSLTPSKILVDSECQIKLIGFGFSQLLPIKDPKYTCLHYLPPE ILLQGKVNTSADIWSLGCIFGEMLCHHTLFTGNSTFNQIEKIVELIGKPNDTNLSELAPY VLQGIQSDRKKAFTSISDDSTAIDLLKRMLVFEPHERITLVDILKHPYLSEFANKCELKK AIAPFQIEENSFKEFQNVLRERGKKIEQPSINNSFNQQLDSSRKKSFKESTPISALRQKT TTKIKVNSPIQSPKIQKLYQIDEKQR >CAK59141 pep:novel supercontig:GCA_000165425.1:CT868000:258745:259321:-1 gene:GSPATT00029772001 transcript:CAK59141 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK59142 pep:novel supercontig:GCA_000165425.1:CT868000:259702:261024:1 gene:GSPATT00029773001 transcript:CAK59142 MGSKCCKSDTYRTEMVSEIRNFNFNDPKQEFSLEQRIIRELMLDTKTVYQLQICLKKFLL ENAFLIKEEKIEAQDKGIVSRISAPPLLDQVWQLAILYSQNYITICKKLVGCIIDREYNQ QNMHQKILKRMLPDYDDKMYQIELEFIIWINSYELEQVMIDIHNCIVNEETLSFEMILIL MMQIKLNLCKNETKKFQMKQISDKNIEVVFKKIQQLMPRDLEFTIMHKYCLSQQLAKQYI YEYCHFMTMLKFSQTVLVPSEEVDQVWHTHQCMTKQYRQFCYAIYDRFIYHNPANLGNQI AVQDFYSQTLLFYKRIFKYEPDSQIWPCKEERWNPQNCLGSWVNLYRLSMSIIQLIQEKL QPTSKNILQKYQTWKGTNVFRDQIQIQVEKSTEMTQSALQYYNYEVGCYSLSELEEDRFS SNQRRNELFFDDDVIINSIQ >CAK59143 pep:novel supercontig:GCA_000165425.1:CT868000:261061:262097:1 gene:GSPATT00029774001 transcript:CAK59143 MEKAILTLGLALLLGTLYNLNSSPSLDLKQKYTEYKLTFNKQYTSAEDLYRFQVYLQTLE EIALKNARLGRQVYGETQFADITDEEFREQYLTLKYSPEEYANVQRESFSHITADPKEVD WRNLGAVTPVKNQLKCGSCWTFSTTGVLEGFFKVTTGELPSLSEQQLIDCSTTVDLNFGC NGGMPQRALNFVKRNGLTTGDKYPYQGVQGEQCLVKGGLYKVNGSKVLDISEWALYQALQ VQPVSIGVDAGGWKNYKPAEREVFNFDECGDNLNHAVLAVGFTPTATIVKNSWANTWGSS GYIYLERGKNTCGLWNTMVVPI >CAK59144 pep:novel supercontig:GCA_000165425.1:CT868000:262143:262637:1 gene:GSPATT00029775001 transcript:CAK59144 MNQINPDQILSSEEVKAAKDAFEAYDKMGYGTLEVEELQKILEEFGHKPSKEELQQMITQ VDVKNKGFIDFEDFKRAIAIYKIIEEDNEEDDTLDAFVAMGGNADKSGTVDATKLIQVRI IIIQIIKSDFKMTIDIEVKMHKYELRD >CAK59145 pep:novel supercontig:GCA_000165425.1:CT868000:262641:263788:1 gene:GSPATT00029776001 transcript:CAK59145 MKWIVTNQVRYHIKNLKTYSLIERCRIMLFIDYLFAFQLLCCFDYCNLRNNYLFVNLTMS KWLDMSLTCTQISFKSQQPVSYSPMNCVFEHDGHKLYLGNINAANDSTYLRKHDVGAVLS VIDTSDIKLEKSVIHLWIAAEDCETVQLIRYFDQASNFIQDNLRHTNILVHCYAGISRSS SLIIAYLLKYQGYTLKEALSKLKCQRPQVDPNNGFLEQLKQYEEKLKSSKTQQSSSLNKS ASKFISLSSSVEKQNSIPKGRQNVSNFNIYSNLNPQVTKTNIRNLQLKTITVPKAKQSFL EKSCSPSNISTQNSCQGKSFFSNGKHEDPWKQYQKIKNQETVWNKQILGMKNIYSNHSRQ HSLNM >CAK59146 pep:novel supercontig:GCA_000165425.1:CT868000:263851:265563:1 gene:GSPATT00029777001 transcript:CAK59146 MLNICLSGFDSKEKTTLSTFIEELSDIGFKQSQGMTFQVNVLVCNSAFQSDKYKVAKRLD VDVVNKEWIYNCYNQRQKLDTKKYLLKPFRNIKLALLGFMKSELDKLKEFIVKNEGVVES DINQSDLVVFKKGSQFEFELKNCTKCLVDSDWIMQCYERAEFVPTQYFQYNQQKLNAREL LENLQGSGVLEALKKVQLSEYCYLTNCVIYIAVKDDNLKRIISQLISAGDGFYVNTLLPI VTHVIYLQGCSEEVNQQIYQVIHPMWLCECFRLRRRLREDEYQIRIKSSFEQTAVNSFAA SQEEIQRDQFVFGKIPDSRAFGEENNNTSKFGLKSQSFSNNNSNMLAFNRFSNNTAKKTK LFEQCQIFVFDHPTLDKAVLSLLNDNGALVERLTYPMKVKVSNLKISKSKAVLIFLLPDH EQCKETTQIIEKDCKVQSIKYYSYRWVNYCLEKNLLELQVVNKKLYHLQPTNQQTPTQIL KNKLIYVTTKDINQKVVFKELAKIVTGKFPEYKLSELDYYVSDKQGKKFKQILDINRGGR LMNQIEIKDIDWLLQQYIKQQNQ >CAK59147 pep:novel supercontig:GCA_000165425.1:CT868000:266518:267597:-1 gene:GSPATT00029778001 transcript:CAK59147 MNSTTESSSSSSSSSSSESSSDKSSSSSSSCSSGCQFLYEIGEKLDKYVITKYLSSGTFG MVLEVIDELGIPYAVKILSQTDHTEVEVLKQIQQFDPFGEAGIVRFYDYFAWQDYHCILL ERLGLNLHEYLQQNSLNITELQSIFQQITTSLLFMHSLGITHTDLKPENIVFSHQQKHLV KLIDMGGATQANEHHSTVINTRQYRAPEVILRCDAWDTSSDIWSLACLIVELYTGSVFIK VGNNDLMHLAIIQSIFGPIPRWMKERSKIKTKLNSLPYPKPQLQLGQLIKDHDLLDLLTK MFCIDHNQRIDCHQILLHNFFQKKFI >CAK59148 pep:novel supercontig:GCA_000165425.1:CT868000:267694:268539:-1 gene:GSPATT00029779001 transcript:CAK59148 MSNYTTIRQESIVQERKQVTLPQTQYVNQFDEIQNTTYLRSERPEAYQFWHKKGKQNSYQ SKVLSTHYQMQTSFNPGQSIQLGGCASNMQCGSSAQSCCPPQQQAVVRLGVVSREEIEAP WRDEVLYLQQLISELEKKKTVEVVKQMDNTRVHELEDENERLRLLIQQTQSETVTQRIME VNNEAEVWKRKFQEINHEYSETQEKLMNAEIELEALKKQKIVTSSTTVTKSVVKTSGSTV RQSVSGIKPPV >CAK59149 pep:novel supercontig:GCA_000165425.1:CT868000:268569:271071:1 gene:GSPATT00029780001 transcript:CAK59149 MDKVESDCVLCVQEADIIGLGHCNHKTFCYQCMIKMRVISKITMCPICKQQLDKIILTDN LNAQFADFQINKLIPITYSEAKDVFYTDSEDIKTKVESLNNFKCPFQECQNGQLMSNYNQ LRFHLKDQHQRQFCDVCIEQKTCFLVEQQVYTDYEHRKHLQHGDYDEDGNLIFKHPYCKY CKKNFYDEDKFKYHLNIAHINCNLCDNRKFVFYKDHLSFEKHLKLSHFLCEEPLCKSMLI VFKNAGELDMHKNQSHRNDPRIKKPNQYNIQQFAGFYDNPKQNVQNNDNEGWDFSDQFPS LKQTNLRAVVHRDQHNDEKIDFRDFLYVDYNDEIDKQSDQSEKLHSQQNVHLEDLRLVKP ATIPSINHDSFIRKCSFFLRNQKGKEDQIRKMISMFEQERYDPENFTTDFIRLFELKTGL RLITFFMAMRELKERKDLWKKVDDVYFKELQRLPKKNNSIIMQCKTYSELFLKLLDELNI NLACRIEKGELKNYADTAMPKERIFQFIEVLRHVKTQEMGKFKFALNFGLVQQVLEHIKQ KILLDDFSNIQEHISKISNHDLVPFYLYVNLSSKILKGEQIHKEQKDVNECVYLEYFQKN PKLFNLISKPEVIESSKQQLLQKIPKNQQGSGLDMNAFDFPSLPKAPKKEQTIEQFQVKT VEPQKQQQQEKKQKQNTQSKKGKQEDSGAAIFQYQPTQEESFPSLISQPAEIQPKHVTKV TGTFVEDESFVPLTTYQPVRNLEGTEWDSNPIVPKKSSQMKEVAKIKKKNKTIVQISGGF C >CAK59150 pep:novel supercontig:GCA_000165425.1:CT868000:271093:272424:-1 gene:GSPATT00029781001 transcript:CAK59150 MDAKIKVNNPVVEMDGDEMTRVIWAWIKEYLITPFLDIPIKYYDLGMENRDQTDDKVTIE CAKAIQECKVGIKCATITPDEARVKEFNLKYMWKSPNGTIRNILNGTVFREPILIKNIPR LVPGWTKPIVIGRHAFGDQYKATDLRFQKEGKFEVLFTPKDGSEPQRVEVFDFQGTGGVA MAMYNTDESITAFAHACFQHAIELAYPLYLSTKNTILKVYDGRFKDIFQHLYDTKYKADF EAKKIWYEHRLIDDMVAYMIKSEGGFVWACKNYDGDVQSDTLAQGFGSLGLMTSVLVSPD GCVEAEAAHGTVTRHYRLHQQGKETSTNSIASIYAWTRGLLHRAKLDNNKELERFCNTLE ASIIEAVEKGFMTKDLAICVHNTLNVPRDQYLNTLEFIQKVAEVLKTNLAK >CAK59151 pep:novel supercontig:GCA_000165425.1:CT868000:272900:273732:1 gene:GSPATT00029782001 transcript:CAK59151 MLNSKFTVKREPLKERFLQITNKLNNLDIKQGTSKAHRIDTLNERSYKIDERIRAIKENY NKQVLQLKEQVQGLSEQIDQERQEFKQQHNQYSQKVSDLEKSTQKQLQIEQSERVDGINQ LTAYLNEKLTFIKSDLTIESKLREELIDELQRGLENQLPKMNQQIKSEKEDRELFDKQIL KKSTVEMNKLSNQLNDVSRQTLEQENQIIGMLQELIIRTKEDLKQLSEERQEKEEEIINL IEKTCDRMVQASLI >CAK59152 pep:novel supercontig:GCA_000165425.1:CT868000:273906:275846:-1 gene:GSPATT00029783001 transcript:CAK59152 MQQTVISSLKSIQIGSKKKITIPLIAQLPQAQQNEVQNSQSQSGGSRFGRFKNNTNTNND TPQQRSNKNIEEQTPQQKKTFVSSFRNKQQTAIQQSPMNSPQKSIISDQKSEQQEPHSKK SSLFSKNKQQGDLSPKSETSETSIQLAQQASRSFTGKKNVLQPGSRVGTNKNNSALHQQA PIIETQRSEEYDEDEFSGLFNKKKRSIDQPQAQAYLDSQDKLTKIQEDLTEYYHELINDE VDKEIEIQKLQEVFRINGKIKQYLEKRKKMTFEDVLDTVQNKSAINNSAVYNSNLQNYST ILHDDQQILRMINEMIQGEENQESFQKSLLKRKDYLFQQMDQMDVSSPKVSYEFPKSKDE STIKTQFTSLPTIKRNTSEFIQKGNIAAKRYGMIIEIPMMKQFYKDGKLNNLIFNFLLKF FEEKSYHQRKNHNVFQHYTTNIKLFPTQFYQTLCFNLLHSTFRINYFEANQFTFEYTGQD ETIFDIFDWLVIPIAEYPTEYSIVFVNLKRKTCYCYLLSSLSLRIRDPQYINPEKNPYMK NVISFLQYEFEIKLKKPREELGRFSYQFGVVNDVNSFGQSLNFDYSGLYALYIILHLVKH GHNSEIVVDQYQISVLKKVFHDLIIKIGYNEDYNLFHLLEENQFPF >CAK59153 pep:novel supercontig:GCA_000165425.1:CT868000:275980:277182:-1 gene:GSPATT00029784001 transcript:CAK59153 MNISLSEFQDEEYNDLYQNFSESAQQLTSPPDEIMFIDDLVLGVHITYDDVLKLNNDGKM NFKSMIYFINYLQKRSKAVHQYYLAYQRQMLDLVEQLNSLLRKNQQKGDPINYILIFYWT DRWVTGIFNLKTTVLDIVDLQKNKTKDDLKKSYEQLLKKTGLAKVSFKTVNYIYQEDKAT DGGMYIINYLYQTIVKGQSFVKLQPSDKVKLKSQLLWLFFRGEKSLHVDYPPLPALAQWN ENEIKQYQVANLDPFLTGQWTGIEVQLTDFELLQKSGKSKLHMMAFWLSYLYYFDLQSKT PLIPFFVVAEGDEICEEFEIIFKPRRRQGAENERLIILQYIKNTRWVFAIYHMTQKSLDI VDLQNKNVTKDELKNSFDKLISSLITYNNPAPNYIFQSYA >CAK59154 pep:novel supercontig:GCA_000165425.1:CT868000:277826:278155:1 gene:GSPATT00029785001 transcript:CAK59154 MISEFDILNYYPLQLYDLQMPMTSQLEIENFNENECSARKLRVSSQCLILKKYKKFNVLE KSDISQKDHRYQKQMKPPGMLKFTALGRVRRIQRIQMKTKNKLMTEITP >CAK59155 pep:novel supercontig:GCA_000165425.1:CT868000:278284:280132:1 gene:GSPATT00029786001 transcript:CAK59155 MNQQNIIEFYPHIQEQFQSILFNLYRNETLQKYHSIIQQIKTKFPFPKQMPQFMYPNAEQ ISEFFLQNYQPIILDLPALCLKPNVKIKLYKNAVYFGEIHQEMRQGQGVLIKENKQIYEG YFALDKKDGIGFEILKGDTYYHGHYVQGYPHGEGVYMSASHQYIGQWQHGKKSGIGWCEG NKNDYFLGGWENGKFYGFGLHIYDSIYFGEVINDLKYGYGEEYFLEGDIYKGQYKNGLPN GKGKYIWKNGNQYQGDFQNGLRWGDGFWEQKTEKGIQFYKGQYVNDKKNGHGHFHYSNGT QFIGEFNEDQRHGYGEIIWPERATYKGQWKQGLMEGEGMYSYENHKLQGIWKQNQLISQE KVRVSLNQFPIQHQLKDIVEDEEIQSQVAEEPDHEKIGDNFKKISLQSKTSLCVSDTSKF PQFIQLQKQQDILIGGQTYPTQRINQTTSIGIQTEVNSTKKKLLTELPSIQTKRKNIQSS YSVDSKTKVKNKNLSESNTNTNSPNTRESEFKNNNLQQSQEKKSRKVKQRLSLLTKIEEK VLKIRLEKEKLSPYKFEKLWSKKVVNQARSLIYPPVWIPPSLHPQIKRKS >CAK59156 pep:novel supercontig:GCA_000165425.1:CT868000:280151:281254:-1 gene:GSPATT00029787001 transcript:CAK59156 MIKKYLNKFFIYFICFSIVFVYIVNVKVVWMDLIESSSYRIPYLLLFTILTTLLGWSLFK TMFTDPGRVPQNWGYFLNDPEQKKRKFCLVCHIFKPERCHHCSACNRCVLNMDHHCPWIN NCVGFQNRKFFMQMLFYVILDSYCAVIGLGYGLYIEFENIMLYLNSEGDLHFIDALLLLC AFGISCLASCLITMFFKFHLELVLSNRTTIENLEKKRNEETGQQNDDFNQYDLKPYYNWV QVFGMSKLSWFLPIQMEGGRPVGDGILWPKNHHNDSLLLKDHASNPIYNGKGDNFQMQQY NNQLFHSNNQQFRSSSTQHQ >CAK59157 pep:novel supercontig:GCA_000165425.1:CT868000:281435:281860:1 gene:GSPATT00029788001 transcript:CAK59157 MNYKVESADEYLRRNRIMELFEDLCTKASYIQPENLEEFFVEDLKIKQKQGFITPIFTKA EIQNIFELFDLKRDGYITQENCRKALLTIASSQKQQEVIEATQVIDEKVDINRFQRLVEQ FLG >CAK59158 pep:novel supercontig:GCA_000165425.1:CT868000:282119:283238:1 gene:GSPATT00029789001 transcript:CAK59158 MTKPIPMALLQNKIGSQPYHEVKKLNLWGIDCEDAPSLTMFPNLQILQLSRNQIRTLKHI SGLKQLKELYLEKNNIKDIKELEYLKELYNLRVLSLIENPVVKHPEYKKVALKHCLALQV LDEFKILEQDRQFLKEQELSVQDLQQNLDANSNQMDTKENQNEKQVIASKKKVKGIKKLK VEPKSSQSSNQSINGSKNRLDIHSQLIQNTNDDDKINQFNSKPSQQSRKNTQKCITKTKA KMTKKQAEPQPQYIELGSDSDCSQSNKIKTKKSTLKKLKKLSDISEQNSQLVQSNQNAEE QEKDLQESQKSIRQENSEEDEENQIDSRDEKLSQHLLSVIQNLIKFIDKTALQCLYQILC EKDK >CAK59159 pep:novel supercontig:GCA_000165425.1:CT868000:283395:285745:1 gene:GSPATT00029790001 transcript:CAK59159 MQYSNKDKQKQELNFQLLDELRVFVTGTFAAFTEDVKSQIKQNNGYFQNLDGEKGWIIPI NNYQNLITNIRSQQMDIVIKQLPQLLQKLQNLNMKEIKYFDNGQLVTLKYDNMINYEQID KALHENLYQYQRDCIKQGLKFNGRILIADDMGVGKTVQSLALASMYKQNWPLLIMCPSPL RLNWQDEIIHWLKIYKTDIQLINSGREGIRMNAKIVIVSYDIVSKIKDSLMSRKFQICIA DECHYLKSPSAIRSQACVPILRQCMRTILLTGTPALSKPRDLFNLLNIIRPDIFGSFKEF GYRYCDPKLSRYTKGIDFDGASNLKELHFLLTNYIMIRRLKKDVLNQLPEKRRQIDALLK QLGNIDIQQLINKDAIFQESSKDHSEQLLSINSILQKCYMLTGQAKIKAIKDYINTLFEN EIKFLFFAHHQDVLDAVQEYCVENNIQYMRIDGSVSIEQRHLNVQMFQNNEAIRIAILSV TSANYGITLTAASTIVFGEMHWTPAIMMQAEDRAHRIGQVQCVDCHYLIGDGTLDDHIFN KIENKMNTVSNFIDGQKQNLGAQEFSANEILMKGTQKASLISDKCEPVFQEANVQSSIQT LTEDDIEEIYQLLESKEKHKDQSFELEQPEIQQENVNLIQVDLSSQIKNQCSSFKSQLLD KYLSSNKVKKEVIDDNKELNKIQMIIEQNNLIAFAKPQKLSYEYLQSRLDGDDKSKQIGQ KKLLLKSANQQSISKINIEPFDQILN >CAK59160 pep:novel supercontig:GCA_000165425.1:CT868000:285920:286924:-1 gene:GSPATT00029791001 transcript:CAK59160 MIFSGSPDKEALQGQGRDIYNLPSKEFGPDDENVIECEDVSKEFNLIGRDEKIYALKEIN LKQHDEFYPIKRGEFVIIRGPSGGGKTTFLNQVGTIDTPTSGTIRLLGREVNKLSKDSYL SDLRLTTIGFVFQTFNLIATMTAYENVELPMRILAKLSEKEIKKRVRELLKSVGLQDRMD HLPSELSGGEQQRVAIARSLANSPQILLLDEPTGDLDSKSTVEVMDILLKLNNFGYNESN HIPCTMVMVTHNPDLECYAHRIIYIKDGKIEKQAINERQSPLRYEQYLHYLNSQN >CAK59161 pep:novel supercontig:GCA_000165425.1:CT868000:287134:288002:-1 gene:GSPATT00029792001 transcript:CAK59161 MSGFFKQKQLNEYEFKTPKKVQQQQQENIQTIEEFGLKDSPLQFQNKSIQTLKNEQKLEE KVNKIIRRIKFDDDQLVIKKDQSIFRQKRTTNKEYKVNSSYTLDLINSIEIRRDNVIVNK LEKVQPSDHLQQQYQNIRNESITCRCKKTKCIKYYCECFQYGQECSFKCECTGCCNKQVK QSSGKMQLVSPTIEFQGCKCQKQNCSKKYCECLKRNQRCTDLCKCIDNCSNQLHQIKPQI LDFSQLLSQFEDRT >CAK59162 pep:novel supercontig:GCA_000165425.1:CT868000:289054:289712:1 gene:GSPATT00029793001 transcript:CAK59162 MGLWAWTLNQMLTPKFWTLVRREGFWQTYIRMHRAQSRSSHYAGGQNGPIQCVGQDQFGN KYYEDFDVTHRNQRRWVEYNDYFNPWHTLGDRVPPIWHGWMAHVYDEAPSRTGHSYFVQP FYQKPATDNQSPTPNHYFPQGAMQSLNRLEFIKYHRDRRAAPFEPGQSQGFEGKKLIVER QSYSEDVMANRE >CAK59163 pep:novel supercontig:GCA_000165425.1:CT868000:289792:291113:1 gene:GSPATT00029794001 transcript:CAK59163 MDKHNLQENVEYETSEQITIYPTFESMSLREELLRGIYAFGFNKPSAVQQRAIVPVIQGR DVIVQSQSGTGKTAVFSLSALSMIDLSIREPQVLILSNTRELAEQSAKVAMALGDFLNVS IHACIGGHSIQDDISKLQHGVQIVSGTPGRVFDMIQRKELRVRHLKMLILDEADEMLTKG FKQQVYDIYRYLPPTTQNVVVSATLPQEILDMTDKFMNNPLKILVKRDELTLEGIKQFFI QVDKEEWKFDTLCDLYDTLTITQAVIFCSTINKCEWLANKMREHEFTVVQMNGKMSQKER DKIMAEFRQGNKRVLIATDVWGRGLDVQQVSLVINYDLPNSRELYIHRIGRSGRFGRKGV AINFVKQEDVRLLRDIEQYYSTQIDEMPMNVTDLL >CAK59164 pep:novel supercontig:GCA_000165425.1:CT868000:291174:292425:1 gene:GSPATT00029795001 transcript:CAK59164 MFQSLLNLKYSWQQSSKEDINNKELVDAAISILGFSIKNLPSEKKKEIIQQIYSRTIWFT YRKNFPQILNSQQTSDAGWGCMLRSGQMIWAQILRVHIRQKKQHSKDYQYKLLCAFSDDD DDEHKKMFTDNFKLCLSPYSIQKIEAISQIKFSMKPCQWYRPDQILNALSLLHQQKQLEG SEDLEITISDSLLYDRLYSEMYGLKMDCEHIVNEIKQDKNKEISKICNICQKKDPKALAI FFITRIGLDEINKEYLPFLNDLIDLPQFQGIIGGRDDKAYYILGRVNKRLIYLDPHYIQE HINRGNVVMLKDTFFCKDVKYINEEQMSPSIALGFYCQNQSELDKFFNSIEQIKKNYDNE KTFGYISRITPNSYIIGFDENDILVIKEDEI >CAK59165 pep:novel supercontig:GCA_000165425.1:CT868000:292635:293684:1 gene:GSPATT00029796001 transcript:CAK59165 MFKVIYIRNADPVFFTELDDRLQNCLRKLGVDVIQVELEELYFEWEAGKISFYNKGELID FDGVMNWGYMSPKHMQDFNYFIEAAESAGKVTLHSTNAEKILQSKLLQGLRFAKHGVPIP KSMAAFTVNAIKQTVRSNFTQQEKGVVKALDGYGGDGVQLARGQDEIISMASKEVWKNHQ SVIQKFIPDSIGRSVRALCMNGQLILACEFSDTGSDFRSNNGYHESLKLVNKMDQFKRYE EVALKAVNAIEQNLTIGGVDILDSEVHGLQVLEVNGWSDLWDSERITGIDTFQKVAESYL ARLKRHYHKE >CAK59166 pep:novel supercontig:GCA_000165425.1:CT868000:295335:295735:1 gene:GSPATT00029797001 transcript:CAK59166 MQAQVQIYNYQRSQQSLSNVSVCATRIYYKQNKWNSFGMPSTYLPHSLFVGKHTRIGFWF QQQTSIGEVFKNASCKCLEISKKFQKFNEQIWLYANVNKSVQDEQVQADSNEMQLFKFLL QQVLYKR >CAK59167 pep:novel supercontig:GCA_000165425.1:CT868000:296191:296955:1 gene:GSPATT00029798001 transcript:CAK59167 MTNKEYDILTAHQIVHSCTSVQSILLFELCKSYYNKHLWQLTEWPAIYIIKVLKQTEFSN AKKINQYFYVFYVLKLESDNQFSQDRLLYIQYANKNYAHSSLIKFILPLLKQQVDQQMVV VSLKKRCSYVDDLEPYFNSLCSRLPLDFQHILHCQMNALTMLQIYQLLSCNYRLYNIQFI KIFKAYFILKLHVNVTSWTTLVCIASLCNTSIWQLYSKFQLSVILLLAERQVVSVCGLGC NKTSLNQFPKQFFI >CAK70850 pep:novel supercontig:GCA_000165425.1:CT868093:1452:2235:1 gene:GSPATT00038632001 transcript:CAK70850 MGNKQGSEFGGIMLRTKKTIYFSGEFVKCKLSTILGNIYLHIIKSGYHGNVVQFSIVGKE KTEWEVSIGKGGTTHHGKNVFYEDSFVINTYLNENLMVGQFVFPFELELPLDLLGSFYHS YGLLASVGYKIKVRIISNSKSVNNIKNEQEIIIREPIQEILQASSEKVTSNLTTWCCKQQ VFCSITSKAEKNLYQSGELFNLTYDIDNSYCMLNLEKDDVIIMSRLIIRSNSGAQKRQEV NQTPYLGVEYKKE >CAK70851 pep:novel supercontig:GCA_000165425.1:CT868093:2837:3834:1 gene:GSPATT00038633001 transcript:CAK70851 MICPIHPGKKINVICIEPHGCQKKLCSECQYYHGGDTKQYLPFQRVLNKLKLKSEEFQLY KQQLKEKFTFPDLIKQIDYQLIGVWKDLRESFQKINEGIEDQDIIYLNLMNSGLSPSEYS YSDLEILINILQTDELNNWNKSKSHYFNQSQQATLMLKSEVEKLQQFITEQFQGVLAYKK QQEYDGFLDAKSKSTKTKCQIIYTKFNEIQYKCNGEILQTIIIYDLLNKPQIIKNLDVLK HVHWKGELGNDYKKVGLWTAEWMDTKQIVSCGSYSQNGMKYGYWIEMVKDYFQEMNLLEV GEYVNNLRQGTWKYCYNFEYMYQF >CAK70852 pep:novel supercontig:GCA_000165425.1:CT868093:3866:6885:1 gene:GSPATT00038634001 transcript:CAK70852 MNKQRRLDIGLIIDLEKQIFSMKDCMREVKELGNGRYLNLQRIDGKNQVNQTSSFFGSYN GGEKSLKNGEWTEIIEFHEHQGKYENGKKVGRWKIRTFQSSYGENDSLNSFERKWLDEQY QSTYENIKIRSVGGLFQNGIKIGTWLEPDSYKLTISYGGEYRNGKKVGLWTIEHCGKHIG GGEYSNEGNDIKIGKWIEFVKLKSMFLYEGEYKKGKKVGLWTLRYCHQSWETLEINNNQI CGGGVYCDEGDGIKIGRWIEVSNNLHFENNLHFWNGVFKNGKKIGRWDSTYTKNTYTKIN PLFINGGSYSQEGDEIKIGKWIEFENRKGAFIYSGVYQQGKKVGNWDISCTNWQSKENSF TIIGGGSYDEKENFFKTGQWCELRSGQYDYFTYYLGQYKNDKKIGWWDILVKDASNRYEI QSKDSGYRNNKGLFLEIQGFYGQAQLSQNVGTQYNQDQEQEQYIFIGGGQYDIKGLKIGQ WLDFNEMIVDVGQYLNGEKMGQWNSWSWSHSNKNYTFICEGQYQKDCKIGKWIANGNTGQ YSKNNKVGMWNNQKPHQWSYYDSNGIKIFSNPLYLNESKAILWEGEFKDSKKIGRWDILL RSTVIGGGSYDNEGSCMKIGKWIEISYEQNIFCGEYKDNKKIGYWEEYDNQMIRGNCKQI EIISNIYYSQSGIRIFRSGKPDVVLWVGEFIDNKKIGRWNILYKSIEGKYKELQTYKNTS IIRGGGFYDNEGMKIGKWVEQWKGLKTYAGVYKNNKKIGLWKVLDQDLISSFRNYDDKGN VIYSSEELNVYSWGGLFNGKIKIGRWDILFKAKRSTYYKEIGGGSYDSDGSDMKIGKWIE LKDEYNIYCGEYKDNKKIGLWKEQTQDNGEIISFMNYDDEGDEIFRKGLSQ >CAK70853 pep:novel supercontig:GCA_000165425.1:CT868093:6927:10175:1 gene:GSPATT00038635001 transcript:CAK70853 MGNFKGLKKLGRWEVLIQGQKGYKERQNLRNTILISGGGFYDNSGGEIKIGEWIEYMYES GNGQPKNKLLRYQGEYRNGKKFGRWECTCEGTNAKGGGFYDQNGDKIGKWKEIISDKEII FYGEYYQGKRVGQWKIISQADHEQENKEFIIGGGTYDQTGRKTGKWTLCNSFKSIQKQEN MKMTYKLVFGLLFIHYIKMKPISILFVAWVIMIKDQKMVNGQNQVIWTLVHIEQVIRVFI KKVVRLDLEQFSLIGGGSYDTKGEGVKSGVWIELIKKDYNDDILYFGQYQKDKKVGKWRL FIYSKQNHEDDIYKDVVQFTTGFSNDHQQIGHDNDANRGLVQNFEQQRITLMLKFIHNLF SGGGSYDTECEGLKTGGWFELIDVDSKNGEILYYGQYQKDKKVGKWQFFQYGSWCRNNAP FRNLLQFITGLTDDRQDIGQDNYAYESGVQDLKKLIMLPFIHKLFSGGGSYDSDGSGMKI GQWIERKDECHIYCGEYKDNKKIGLWKELNQQKRIKSCMNYDDEGNEIYRSGFPQKILRI GEFKGKKKIGRWSILTTETSANQDEIGGGSYDSDGSGMKIGKWIELKDEYNIYCGEYKDN KKIGLWKELNQQKRIKSCMNYDDEGNEIYRSGFPQKILRIGEFRGDKKVGRWEILLQKQI SKCDSSIISGGGTYDEEGYGMKIGRWIDFSDGITTFIVEDGEYKANKKVGLWKKYLLTSE DADEQMLVGCSNYDDQGIEIYKSQMPSKLIFIGEVRCCKKVGEWKILRWVEDFNTKKKSY IQIGGGYYNEGIKIGKWEEVINYTQNIDWLLRLAGEYSNGKKVGRWDIFRFKNFLEVVFM MMMELKLETGSSTLILIIFFKVDMKKEKNLVDGTFSITIMIQLQVDYIMEVWILSRANGL KQKIMKEEASSIVESINQGRKLEDGILLGAWIQINQLLQEEENILME >CAK70854 pep:novel supercontig:GCA_000165425.1:CT868093:10186:10941:1 gene:GSPATT00038636001 transcript:CAK70854 MEKDKKWILRNVFRRLLQQWQKGLGTVGICFLEKDKNKNILVADHIVLDKMRQKLEIGLN LAIIQFNIKVNIKNGKKIGWWDQKYMDQPHITGGGAYDGDGNKIDEWIDICEWSMDKLLI IEKGGYKKGKKVGLWEYFYDTVLIGGGQYDESGDEIKIGNWVEFCEQSMYNLAVTWKGEY KNGKKVGVWVEIIGPVTKCCQQVSSINYDD >CAK70855 pep:novel supercontig:GCA_000165425.1:CT868093:12712:19066:1 gene:GSPATT00038637001 transcript:CAK70855 MGKLNGGCQQTPNLCEEILQDQFCEFTYNKERCIWVKGVCESLECKKLKLPTYNNHKACQ KESSYCTFNLNSLGCTEYLCENVLEIEDCTIDSNGQIRTQNQGCIEKKCMTAHPTLDNNS KCEGWMPKCTVNVQVLSNQKILIGCVDKRSICELAVQEQCQSTLSEHMCKWNGSSQKCIN QLCQDASPCLYLTNEDCKQFKVLSGPCIIGPSGVGCYQWPTNCTEIKSQQQCQLNIQNGT KCFWTGTYLQLYRLIILLQAIRMSDALKLYYTNNVQCNNWSSNCIFDHSLGGCIDRINQV ACSSSLNVVMYNNHVECYARNQNCTVVSDFNPEGCERKKETCYEYIKRRNCKTTLIGQLC YWDDQEQKCMNEDEDNNGVVDCHKRLYGELTHQDCEDFMSKYTLNQIAFSCRTLSDYCDY KYQQQCIINSYQQPCKWNDLKQICEDVVNQNNTTSQTESECLMFRQNNECQLKINSDGTY GPGCENRPKSCQEITDPVICKLTLTTQNEKCYFHSSFCMVIQFRYTQHSIISKYCEQITE SQSHEFCQLYNPNCVLQSSGQGCYSIYERGDLTKVILDAAFCRDVFVIFNVLIKYMLTIV SGKHFLNNNVNQRGIVFIVKTFELQSKNTTFDGLKQCNDISKSLIYNTECHCCQLIFSCD FAPQSLCNQSTDYFGKQCQYNQQSNVCGYRECEQLTSDQGISELICYQWKSECVLGATSC ISYNNDCTKIGLIQQCYSYSCYWQDGKCVSYVNCGLNTTAITNRECLLSNSTFCRFNYTK GLGCAFISCDQIKNEAICSSSKVAKGVKCQWVSSQCTPMECYDYIIQSDCETSYGFYPQN ARKCYWCSINSPQCTQNAYCNLSMMTSPKSHQDCYSNDVLQTINFIINPKCIVKQQQCSD YKYQEACVSTIDGIVCYCCGLINNHLYTFIIHSWKDSYMSLDNLGCQPLDCSQLTILSDC SIFTTNCFWDGQNCQIIMECNKYSNGTLCLNTSNSQGIPCFWDGTKCIEKTCSNKPTPSI SQAECNSWLINCQYNSNNNRCLEDCTQADISNKTHQLCGSYYLNKSCTVKLDIIQCVDLP IACSFAKKTQCYKDQSGNECFYQESQNKCVNLTCSILQFTTHEKCNQKLNSCTVNSTLDG CQQLNVCGSYSIKEQCQIDSNNVECQWMMNQNKCTIKEGSTAQLLIYSVHNCDQYFGKSC TVNENLDECMTGQSLCMNYSYHQCKSQGQMNLGGVECFWNDEKNICLERICENGPSLAKT NSDCIGFLSTCQIGGCRIKDCFDYNYTIDSACASIFKSKKCVTNGYQCVFRMACEDVSVI DGCTFDINLNPCVWIDEKCYTKSCKTASNSLIKHYECNAYFPTCTVKQGGGCANKQICQN YQIKEACKIDSENGECIWDDYLNQCFSNQCMDFCGDGIVSSIEEESDDGNYLPYDGCYKC QVQCPQGCNICTGLICEDCQKKGWLLINGQCISICGDGHTAGKEFCDDGNDIEFDGCYQC SQSCHQKCLNCFQGLCLQCENGYIEDGSQCHNICGDGVLIEPLEKCDDGNSKDNDGCSDT CQVEQNWKCQQENNINCTNQTQKTNNDYEEFLLQFSEHVRLNVNNISEEQFLQMIIVVIE NAKDNQYDVEIKPLISISSILTEVSYKILVYFKIKISNPVLKVTVRCENIVNDKDNTLLS DEIKLELPTPNKMSNDGQSFISKTALLSRIVMYTILIISGIAFLAGNLEIIWYLLDMLQQ LSYMKFYNLQFPENLQIYFEIFNIGQLTPITNTIQINVLLKSVFDFQIPIIPAKQKFAQY QINCYFLDNLQSIVALIIIGFVYYYLSYYFYKFIVLFQQQKWAGIFYQSESKIIIKIVRL IFQFQKLARKYYQYFIYSGLIRIFTSNFYELAFASILQIVNYNTETSINATISLIALIKM QVNLFLIVFFFYSLNKKDIVPQKLSALVEGINNTVQQGTKQYFTILLIKKTLFIINLVVF QDLFAVQSIITACLSRVFSCYIYIHKPFWSNFENMKIFFTEIMIMINAIIFSIYDIIKFN SNKDSADVLGWINVSGFTIILIVTLAIDIYQQLNKYIS >CAK87556 pep:novel supercontig:GCA_000165425.1:CT868629:2024:4221:-1 gene:GSPATT00021188001 transcript:CAK87556 MKKLQNAMNMLQEQILKIRSTISIQVQQIIFPHLANILQQMKRYDEAIQYFDKAINKQNE KEKQDPKYINQKGIALYEMKKYKEALECFEEAIKLNPEDSQLYSHKASALRQMHRLEDAL TYINYAIKYNPEDLENVCYKANILHEMKKLDEALECFDQAVQQNPEDPRYHNSKATILCE MKKFDEAIKHYEYAIQQNPEEPTYFFNKGIQLVNLNKYQDALVYIDLAIQRSPEDARFHI NKANILQKLKKYDEALQSYDYAIQKNPEDSIYFFHKANLLTKMKRFEEALEYYDYSIQRN PEEADFHINKANILQQLKKYNEALESYNHAIQINPEESNYFFIRANALVEANRFQEAIEN FENAIQKNPENSSYYFNKAQALCQIHKYDEALKQLDFAISKNSNNPDYLAQKAITLCEMK RYFEALECIEEAIKKYPQESIGYFNKGNVLLLLKRYEEALKHYDYAIQKNPRNSQYFNQK GHKPPLYLAIALDEMGRYKEALQYFDKAISINPEDSRYFFNKGIKGYYNLSANTLFEMQK YEESIKNYNLALEKNSKIPIYYINKGNALVQMKKYEEAIKYYNIGIEQNPEGFSKLLQQR YNQQKVLSQQMPYMNWKKY >CAK87557 pep:novel supercontig:GCA_000165425.1:CT868629:4228:5055:-1 gene:GSPATT00021189001 transcript:CAK87557 MPKRSHKVCEFFQYQGIHLVNKVFQAKYFLIGKKKNIKQRNPQKYCKLKQLNLLDIRQKK NPQIGKLNQVQESMIKDQKEDRKLDVEKYKKSQTIYIIKVESKIHXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXNITKKQFKQFDGEPSYFINKGKQETNILYQQIHQRNQKDMKKHQNAMRKQFR KTQKIQYIQVVKQIHYMN >CAK87558 pep:novel supercontig:GCA_000165425.1:CT868629:6828:7649:-1 gene:GSPATT00021190001 transcript:CAK87558 MNRRVQKQDESCGEIKIIKTSWNTKEDNLLKKGIRICGMNWIAIAQYVPNRNPNQCAQRW KRLQGQRSRTNQFWKPEEDQLLLQLITQLGKQWSKIAQIFKNRNSKQCRNRYINALDPNL KQNSFTKEEDQLIYEKYLEYGSKWSQISKFLEGRSDNQIKNRFYNNIRSQYLQVENPYYS KQTDYQTKELLDQAREEHLRINGFIQKEKIMEDQNDYLTKEEDISKYINEQNYSNLDQEF QSNIDFEYLSRSQDNIF >CAK87559 pep:novel supercontig:GCA_000165425.1:CT868629:9449:13549:-1 gene:GSPATT00021191001 transcript:CAK87559 MSRGRADIQSIESSKEIHIRQTTTTNLLPTIVIDHHDEQHEQLQPSLQVIEESVDALSQK EDHKFYPKYLGQRNYWISKLFFLHYTQYALYLKKHLLDKNLKIIQQHLPQLSPNDDLQKS VDTSLKKINQFSQITTSQLVNLIFFGELRGITFKCIIAFFIESLSKNGISFILSNIITCV SSNDIKGAHLYAIVLVTLNLLCTLSRHHAANQSIIFSSKARLTLINLVYIKLIELNQYSF KQANIGKILNILSGDINTLEQVLSMIFPSSVVIISLLLACYILWDRFNGFIGILVVAIIF IAYPIQIFLQSFNSQTLKLQKQHQDKRFKITNELIEGIRLIKMYAWEQAFKKMILTARRE EFICLLKVVIRSGIDRLFTLISQIWASLLFFIILHYGGYRDQMSVAEMISTLQLLSFLKL NCVYMVSYGIQSFIQIKVSFERIAKTLNLQNFEMKQINAEQQNQKKDECQSDGCNLAIVQ FQNFSAYWTHSVTKNDKPVLKNMTMDILEGETWAIIGKVGSGKSTFLHSLLSEIPAYEGT LLFNGKDPKNSDLSIAYVEQEPYIFPDTIRKNILFGRPYNRTLYEKVTQATELDVDFTLM KFADHTEIGERGITLSGGQKARISLARALYSLADIYLFDDPLSAVDAHVAEIIFQIAIKS FIFNEQPLLKPQKQGPVVVIATHQIQYAVKCDKIAILNDGEIIAQGPYEKIKESLYMINK ELALQLNIQPNENKHQEELRSSVRRRTGARSTYVRNLTIQEQKSSQVLDLSTFIRYFKYW QCLELILILVLEAGSEIIIIFYQRIISLFSEYQTQDEINFAYTQLGILTITLLFCNFIKY IINIFQVQNTTQRIHKEMLDSISIAPISYFDTNPSGRIINRFSNDLSLCDAQTNQVCLDI LELIGNFLFALVTLAILQPFFLIMIMALLLIDVYIYSFYNKIISQLKENELIQRSPLFDF IKKTLGGAVQVRVYGQRDWFIKQFYELSNNCNLNSLTYYYQARCFGFNIDMIGFFAQTVG LFIFLNLNNDDIAIFSQGVLLLATYNDGLQLGLRQMINFATQMNSYNRMFEIIDIPPEAP HIKEDDQKLNKFPQNGDIVFENVYMRYRANSDLILKGISFKIKSGQKIGCVGRTGAGKSS ILQAIFRMSEIEDEEDSSISISGVDIRNLGLKKLRSNVGIIPQCPFLFTGTVKSNLDPFE ENNDESIMKALEITGLIEHIKQFPKGILTDISDVNSVFSVGQKQLICLTRILLSKKKIVV LDEATANLDLKTDDFIQETLKKQLKDCTLITIAHRLNTIADYDRVMVIYDGRVIEYEKPF NLLAKSANSTFIDKNSEFSRLVKNTGNQNAQAIFDIAKKQLIQIEH >CAK87560 pep:novel supercontig:GCA_000165425.1:CT868629:14376:14969:1 gene:GSPATT00021192001 transcript:CAK87560 MIQLFRFCSGKITTSFPKLSFKVKDFSILKGEKPTALKMEDVKTLLKMHQLGATWKLQDD HSLYKEVKFSNFKEAFSFMTQVAIFSEQINHHPEWENLFNTIKIRLITDDVNNITVKDIF LAYAIDNIAMNVQVKSAESTNDTRILEIAKIAEAWNFNFDQFHRMIETDSKQI >CAK87561 pep:novel supercontig:GCA_000165425.1:CT868629:15566:17175:1 gene:GSPATT00021193001 transcript:CAK87561 MNKSQNQITPTSHPGSVILSNAGIAQKAETDLYDKITKFHQITLHKHDIDKIKTDERVQA ADYAIKVKTEKIKLGMEIVEILLKERLKFIQQMQQNEQKIMAIIKETMQLFSIEKFDDFP RDQFTNYLKSLRDILLLSYPSFKTLNTIIHHSEKYYQQKTRWKAYCQQKLCINGYLIGLL NMNLIDVSNALYHKLKKYRIQLLQIHGGQEQENYVLNDEQLQKLLNFLGEINADFADNVS AHLSIIVYQWIDDVTKLQSGYQVNLDIYFNFLGQFESRILDKLFELDNLQLRCEAIQHQN EKAQKILKRMILPQKYDNYNIQLGVQIQDILNNNQAQVLKTKEELGKIKMIELIILEAQE PDDTYKPIGQFKGAQKLIPKVIVVMQAMIQDKIINLDQKLNEVQLILTGVKQLFLSLKEL LVAEKKLSKAQALYTNLKSTVENLRRDKSKINVEELYEQSLKLQKYVNILSEQANIAMMI SEQLPKISEFIQMIQRFITKQQFLSNDILRILGIEKV >CAK87562 pep:novel supercontig:GCA_000165425.1:CT868629:17329:17950:1 gene:GSPATT00021194001 transcript:CAK87562 MCCEDNKTNIKEQQLQLKQEQKNIKIVVLGDSGVGKTSLIQRFCFDTLSEKEQTTLGVAY QSAQIVIKGSVLKLHIWDTAGSERYRSLAQLCYRDANAAILVYNITSRQSFEQVYYWEKE LLERYNVSSLDLSIGLAGNKSDLNQERAVFKNEAQIYAKQQDFVFYETSSKTGEGIQMLF TEVIERYLRQKQQ >CAK87563 pep:novel supercontig:GCA_000165425.1:CT868629:17981:19859:-1 gene:GSPATT00021195001 transcript:CAK87563 MGDQKGVSIFDFGKTGNKFWQPCDKSVLDTQSFLVEQEFLTAGKLMVKPIFIVLGLEYIY KVTVDSLKCAPLATMHLSIIDPGADHIVQLNSDEQQFGFKLTYQTKSLDIFLSDKLLYDQ WKQHFKRFCLLENFHDAFLVSKLIGKGSFAKVYLATRKENNQQYAIKAFSKSFMQQQHKG IESLLNEMQVMRRLNHQNVVKLHEVHETANSVYFVVDIVSGGELLQRVRETGFLPAETLQ KLAYNLLSALNHLHQYQIAHRDLKPENLLLRSIENNHDIILADFGLAAKLTDENILFKRC GTPGFVAPEILEYVEGQQFYDEKCDVFSAGVILYLLITGGQPFNGKDQKAILKANKDCQI DFEDSLFKSAPIQMQDLIRSMLQKKPSYRLSSSECLRHPYFKELVKQSQIQQDKYQNNLQ DYNQQFKNNVRQGSIDISLEQRQPAFTGNLNSIESISCVSNGSFAKLEMKAPSVVGASKF SQYSSRLNRLGSRDISDIPTTQLQKTESKKHIDLHRIAIQNSHRKQIIDQFEDQPVYQEN SEVSDMVRLYNSTRQIRIPENLSQFSQSNKQASTPTNKNS >CAK87564 pep:novel supercontig:GCA_000165425.1:CT868629:19898:21463:-1 gene:GSPATT00021196001 transcript:CAK87564 MADSFNSSGQFYNFVGTAGTADFLPGSGQEQYFGRNYLAYNDQQVGSFQNNFHQSQLIDS EVKNSLDQFFEMKIEDVRLDNLLIQEEQSSNQSKQKQSIHSDINSRSQYSGDQDFRLESA EQINSKFKPEVKMLIPQNQGKSIYRDKIDSLLEKRTSNNNSVSPSITPRSSKSQQKLERK RSQNLQNETSNEMTDPTQVKLAKNRESAKNSRERKKIYQQLLEKQVQELQQENEKLKDIC KNQAQSMEIVNKKTQKFQLFLEQQQQMFEKLELCLIKKASHDEIGIIMDALRYRIQSNSQ ERNDTARVYFDSIAEIMLPMQVKYLLYACQNSKDMFANTDQDYSEWLKEGFESTSVKFEN FTKLKKFQSKVQQLKQNISNSLDKIKNEIKSIQDQASKLDQVWDSLKSILNPLQLGTLMC SLYHVNSISNNQNLYRNELQTSTLFAQLKNSQAEEDDFSFKIEEEINYGTNKMVKRC >CAK87565 pep:novel supercontig:GCA_000165425.1:CT868629:21664:22333:-1 gene:GSPATT00021197001 transcript:CAK87565 MHPFTQFPPFFQYSQTYNYPWTFMEQFNQFRNYFYINNNNLLLNYHQAQPTNLLFEGSQQ PASMIKQENSVIQSNFEPVEIMKNLENHKSPLPLKKRPNISFSNNKRKGKKSTKRKLYNI GHWTTKEHNLYLSFIQTNKDIMLNSDLKKQNKIFKQMSNFIKTRSPSQCRSHHQKFDPND QGSNNASNFTECQDVENQE >CAK87566 pep:novel supercontig:GCA_000165425.1:CT868629:23015:23180:-1 gene:GSPATT00021198001 transcript:CAK87566 MEFREPIDEFNKEQDNRKEEGNTKFKIKKGNNIETQSKIKKQIVSYIG >CAK87567 pep:novel supercontig:GCA_000165425.1:CT868629:23520:24818:1 gene:GSPATT00021199001 transcript:CAK87567 MNSRSTHLTEYAIKHIIADQFTSWQTINPSEIQISRLAGLTNITYKVETQVEVMPRTIVF REFGNAEGFVDSKQERIIFKTISDLELGPRQLQCGDIWRMEEFVTNGVHPTNEKMGSPLF QFKSMGVLQKFHQMEIPIPNDGTSLILNKTLSKNMKHNVMRKIEKRDLYTDVELKQLENI EQLLNNDEEFKYLEEMIQKENDEELKFCHNDLNQLNIFSTTKKDKEIVFIDYEYCSYNYP SYDIANFLNESAINYQYEEEPFYQLVDENFDTAPIQAHYLALSYLLHQVCQDNNEINSIA GLITQKTNKNKDELNTFISLIKQILAQRMNEQQINELFQSVSYLKRRIRRLQMISNLNWV WWSVLLAHEKNSLNFEYIDYGFLRFKMFERLLELEKERKTIE >CAK87568 pep:novel supercontig:GCA_000165425.1:CT868629:25003:26268:1 gene:GSPATT00021200001 transcript:CAK87568 MQFSLKIKRCDKDTALRFTQRRICKWDTTIKQDGPSTCRILRPKENKEVTLLTLSIQKRI HGWQNIGLEMYYENKDTVADIHQLQLDQDNDLIQREIEKNEMRLKNDFKNKIAHLQQFYR ELNQKYSFSSSDPNFKEKITNAKLDLISKRNQPIKYLKTKGMKYIELFNEISQNKKLADH GLFNRLQVFNQLRTDDCKVKEKLLGELYKQKKESQSLHHKELQHNIQIYDNIEEQSGQML KIIDQNNDFSMSLRKNQQKLIVSQRTIAFAEDFNRTKYLFNYSSKNQMQKMFEIPEIAQI PQSTESIKLRKHFSSPQSLDDHFDNCLNQFAHEVLEYQQEMLDKDITTCNIEKMLQKREN LRCSQIRKVSKTSHSHQPSIHLEFSKVKCQHQSTRDKESTKAGNSFNIKQRSYLVSPFSK S >CAK87569 pep:novel supercontig:GCA_000165425.1:CT868629:26301:27687:-1 gene:GSPATT00021201001 transcript:CAK87569 MQYQQFSESEQQQSTLNLGLFYLFYNSGSGSVKANQFCVLDCADITLIVDGKEVQISFFS IQNVASRNQGYNKLKDDLSSNNKQIPIVIAGGDGSMMWVIEQMIQQKIDINQIVIIPLPC GTGNDFSNALGWDTDIPGNMLENDYRILKQFIRFWQKGHQCFFDIWDITIQTQQDGYFQE IKKNEKGYTKQSVKDLDGMNTNILEKKMSNYFSIGVDARIGYGFDKNRTTNRHLNKICYC LQGIQKMFLKNPRLNQVVEFVEHFNHKEQKTLFKTVNCQDKNALTVPGNPATLVCLNINS YAAGITDIWKNGKTPPEFLQRNKLYSEKTSFSDGLLEFISFDSIAGIGSERVLAGNATRL AQTNGPLLIKFQESNNNNIVNSYIQIDGQYFSIFNPLSVEIQPCRQLPQGKIRILMKD >CAK87570 pep:novel supercontig:GCA_000165425.1:CT868629:28065:28274:1 gene:GSPATT00021202001 transcript:CAK87570 MQSKQESFDSDMTEYLQIEQEVELKQLFKHLKIQNSQVSNKQSKKIKKFKLNIQTNSIIQ RRSINLSQI >CAK87571 pep:novel supercontig:GCA_000165425.1:CT868629:29622:30674:1 gene:GSPATT00021203001 transcript:CAK87571 MESDSKTKSQSEILPEMIYNYYKFPADLKKAELHGKSFKVYEKSMRNSPQCLCCDYYQDH IKFSICSDLRKSDICEVASDYFEMIKWMIANLASICIIVVPYALYRNHQGNLCEMHPNCN QNSLKIFTIWNITTEFYNLNEFIYPFLLLLSAFIHYFYCTSYFCTQQLEKIFKLIIATDY QDGVYFPDLITNEQVLEFLRENSQNSIYFQVKDLKSYEQNLFDILKSPYLGESQKVQVYC LAFFQQKKKNDTKRMYFMIIQNFRKVENNAIYFVQNNNNATIQLKFVRSKNQYFIMNVNL MLHYVNTFLIFSQSSQVVLFIQYHFLQYQKLDLI >CAK87572 pep:novel supercontig:GCA_000165425.1:CT868629:32789:33336:-1 gene:GSPATT00021204001 transcript:CAK87572 MEYSKLPVFLLKLKSMINVISTLSVGMIKEIKSSSIRLMSLGLQFCQSTLRVPTIPVSKS NIIMLIYRQLNNYGFKNFRQSARQCEFYNENWTHDYRKIHQIMRKRHIQEDVNSTSTFMS SLLLLISNQKQLMANIELIHQQQSMISQNIYNLHTESMKQQELIINLADQI >CAK87573 pep:novel supercontig:GCA_000165425.1:CT868629:33999:35627:1 gene:GSPATT00021205001 transcript:CAK87573 MNKSINLPLDQNLQTSFGEFIYQGSKQYQRLIFLLMFIYSITRFLSTLFGDKNYYFIGGG GFVIQTILSIAISIKGKLFIKKIAIQITCVIFCFMQIQVYETKNFQEIYDNSQLLMIFNI IVYGFIGFNMVLIQVIAGLGIRIWISIVKDGFNIYSLIYQLIGDFAILLYCYYNHQILKK GFRQQCFDNQLCTKIDYTIVRFLPFLIQNQFLLINFDEKAQQFNLRFGNKITSIIWDDSN APTKNLRIFLRRYYFEQISLEQFILSRISKFKEKFDYFFTKSFNVTGLDLNDHLNINYTE CFLGEKYYLITFNGQHLEQQQFQTIQEQFMECLNSNQSITVSFLKKQLFQLSNLKFNQNI QQQISKLKLHCMYFLGQFKQVNSFAEVDFHLKDINMSKLMKQITYLFCNAYNTQNFELVS LDFQDIYIKSNEELIQTFILIIYQMIIRLKSIDLKNIIQFGVDYRDNQLIFIQIQTECYS QLKFELEQNPLFKKIQRRLCPNLEIHIINNSKQSPNIQALKLFLYQNQEALEQIRKIQEE II >CAK87574 pep:novel supercontig:GCA_000165425.1:CT868629:35742:37389:1 gene:GSPATT00021206001 transcript:CAK87574 MKKKLTLQFAMPGIEQAYQLDRLIKNVGMRKILSILLLLFALVRLIASIVQGNNEVMYWE ICFACYSIFLVGVSFKGSPEKVKSIYSFTNNFLCVLQVCANYYKYPQCIYINGQNVMIFN MTMFYFSSIQEAPIQLVVFLASRILISGLVNDLFIVQDFIQIIITTLCILLFIYQNDQNQ RAHFQLQFSDKQWEQTLPVIIQNPFLMFTFDDERINFDLKLQNDMQKIFWDNEKAPSENL RSFLRSYKMGNDNLEQYILNRTRSCSDYKKIFLHTLRIQRFDQDSESKKTVIRLSDFYLG QQVFLIVFDQHEQKIRQLSKLKDALIQGINQHQNLTINFLKKQLNLMQNAINSKNTIDNI YKLKIHCMYFIGKYTQCNSFQDIEEKIQQINITEMVKDLIHIYSMAYKQIQLEFSSNSFE DIYGFSNQQLLNIFLVILFQTFVRLNQSGKTIFVHLADTKGNCEFQLITISIIFDKSEEF KQKLINNQLFYKIQVRLSPKVDIIASDNSCQFLIYKNLQQLNDIRMLEEEIE >CAK87575 pep:novel supercontig:GCA_000165425.1:CT868629:37452:38754:-1 gene:GSPATT00021207001 transcript:CAK87575 MSSTKFTLQSYVRSCTLISTNQNPIRLMLNPNTKFSDVAKLIQIVWRQSAQQLRLFLIDG TEIEEKDLISIKKGTTLYAQLKSDESDLSNLKFEYDIIKRVGEGGQGVIFLGKHKETKEL VAIKKISSSTWQDQDMEILEQESLILKQLDHKNIVRLYEKFAYPNQKEVIMVMEYLQGGS LLTQANSKLTEGEAKHFSRQVVEAIAYCHERKIIHCDLKLENIMLSSLSCKDIKIIDFGV SNYAGQPLVTENVVGTLSYLAPEVLSNSYKFIQPGQDVWAVGCIIYGLVFGKLPFDGNNP SETYRNILQCNYQITKKSVSKELIHLLSIIFVVNPKERANIFDVLSHNWFREQLKIFKLN FSGVNVRIGRSSSVAKMCSSRKSYDEDQGLVISQRKIVFSRRSVTRLDKVPFGAKIK >CAK87576 pep:novel supercontig:GCA_000165425.1:CT868629:39811:41019:1 gene:GSPATT00021208001 transcript:CAK87576 MQEILKQSQQEFDPKRLSQISLTHQGIKRLNQLGGINCSTEYIEKIHYAEYEEGQEIIRT GESGHFFYLLIEGEINIKKSYFGAEALINLPYIQTINSITKTKLGRINKCDFEYIRRKAK LKDTNEQLEFFRQSQLFGQLSKAMIDKLLKNCQIETFDRNQLVYYEGDPSSAIYLVRDGI FQLQKKMVVGNKWKNITISEIGIYQLFGDLECLLNKQRYFTVKCLKQGSCYKISNEDFYQ KVISDQPSQEAKTYINQYVRTQQLNRNERIERANETLAHNSEYLIGLPVMNNQSNKELSQ IEMSVLDKTHTRYAQIYNSACQKRVKVRYATTNKTDVAVSRVTSKKESINKTIFISIEKP QKQSIYDEIKMPDIVQRRYRNILPQRKKDFWIITQNNKSSIL >CAK87577 pep:novel supercontig:GCA_000165425.1:CT868629:41208:42229:-1 gene:GSPATT00021209001 transcript:CAK87577 MDRHTYAEWRITIYGKSSSEWRIKAQWLIKNKLQHPNIRWIIQLPRLYSVYRKSGELKSF QDMIDNIFRPLFEVTINPEVDPDLYQALFSISALDCVDDENQHENFFLQHLKIQPIHWTK DTNPHYAYWIYYIYANLSSLNQLRQQRGLNTLDLRPHCGLNGNIDHLACAYLLARGINHG IILEQSPVLKYLYYLKQIGISMSPIANNKLTCKYADSPFNSYFRQGLNVCLSTDDPLMLH ITDQPLLEEYAIAQQIFDLYNVDMAELARNSVRCSSFESIIKEFYVGTNYEKMYKTTNNP ERNNVPQSRFLFRQETLKEEYQYLQELSKSQ >CAK87578 pep:novel supercontig:GCA_000165425.1:CT868629:42255:43453:-1 gene:GSPATT00021210001 transcript:CAK87578 MSKQQIKRLDSDSDLEEPESQVYKQMKQLQTVKSISQMITEIIQEEELDEVEDKHKYMKN LVGRKLSQLDSPTESVKSAHSSKPFQSPRKTLKRDTVFFEKSDFDILSIREYDYIEVSLS IQNQIKKCEQSAIHEQSEIEGRASAKKIVSLLKKRTDYNPDLQSDWNGLEEVTTYSADTQ EPIVDYRCHIELLPKMEKVEIHVSDGVYAVQQDGHWLTKMHSIKEFIKDLLTFVEIANDK MISGWCYSRNTYLEQKFKMHCLFNSDRESEDQKRIKNRDFYSVLKIDTHVHHSQSMNGKQ LLEFMKKKFRQCPEEVVYLDDGKEMTLKDIQKRFKFKTEELNIDLLDVQADKSLYKRFDR FTSKYSPLGQPLLRSIFLKTDNYIKGKIYC >CAK87579 pep:novel supercontig:GCA_000165425.1:CT868629:43510:44409:1 gene:GSPATT00021211001 transcript:CAK87579 MSRVKQSAVQYCECYRILDVQPSPSSGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQ AEDAFNEFQEQIFTKLNGLRDQLGKLSKQVEDDRLAKEQANEAKNRDVQALTKKFENAIE NEQQTKKEGEAKVLRLTEDKTALLRTEVQKETAQRVDAIEGIHQGLQNDLPKIQEAIREE ANERDEADQNVMKSITDELVKLSNLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKR TREQSEEHLLSLLEDTCNKLSIAANL >CAK87580 pep:novel supercontig:GCA_000165425.1:CT868629:44419:44805:-1 gene:GSPATT00021212001 transcript:CAK87580 MRQVFGTSRFQNQQFNPSQSGAKLDSNMKMQSNYKNVEQYTLTKINEERPQTHQTFGHRY QQQDFEFLNLYESTKQLVSVQIQYCEPHELAADLILRYNLVGDSTLIEEYKVGRFQNCSI QKLMLNSQ >CAK87581 pep:novel supercontig:GCA_000165425.1:CT868629:44811:45888:-1 gene:GSPATT00021213001 transcript:CAK87581 MNILQEYQLQECLGNGSYGIVHSGVNVESGKRVAIKMLRETFESMEECLKLREVKALMKL KEHPNIIKLLDMRYENKRLYLIYEYVENNVYQLYTQDKLDEERIKHIILQCANALLHIHH LGYFHRDIKPENILIENECVKLIDFGLSREVKPPFTDYVSTRWYRAPEILLHSTSYDASI DIFALGCVTCELFLGRPLFVGASELEQFDRMLQILGTFNNQDWSEGVKLVNQLGLKLTHH PQKLLHVIKASPMALDLIQGMLKMESKTKIYSQTNH >CAK87582 pep:novel supercontig:GCA_000165425.1:CT868629:45902:46657:-1 gene:GSPATT00021214001 transcript:CAK87582 MFANQQSRIPLLQLKQNCSIIDQISRGNTFRYEFPVSYGHRQMNILDDLQQIQCYYNPSK IFEYSIFLFFKQMLEPSKEFQEKAKGRQKFQHQGRTIYEWDQTLDDINIYIEPPKAVLKK YEDQLDIQIKADRLKVGIKGNPPFMDEALVKQCDSSESYWLVEDEELHIILQKAYKGELW PSVFVGHGKVDPMTEQELQKKMLLERFQEEHPGFDFSGAQVNGMVPDARSFMGGIKHN >CAK87583 pep:novel supercontig:GCA_000165425.1:CT868629:46691:47498:1 gene:GSPATT00021215001 transcript:CAK87583 MIKFFGIVIYIFFILSVVGPIYSFLTLQPKRAQEFWSRLAVKTLRLQFRYVPNSKQVQTN KRTILLFNHRTQGDFFIHDVVSQYSANFLARWMVAVAFPMLALFQQLFTQSVWFFRRGGN DLNNFFKWIDNKFDKATRPNLLVYPEGHRMHESDKVGRMKTGMLQYAYDRKIDTQIIIVL GIEKAFNEKKFHATLGPTQLSIKVDEIIQPDKFQTFELFVEHIQAMFKKNFEETYDYQYK QS >CAK87584 pep:novel supercontig:GCA_000165425.1:CT868629:47643:48346:1 gene:GSPATT00021216001 transcript:CAK87584 MKRKTLLLESDIIQQIKSAFNLNQLEITELMTQAKRYDPKGTGYVSKNEVDDIMRELKLL TNEKMIAKFKEELKNYDGKVLDVKQICELYCKLKNYQQQLEDEETITQEYIDAFVALGGQ PDRSGYVQKQTIIDIIQKEFELKIDLESFLGDFQLTQLEFEDFCQLFENAGEDAKSFITS FSSARRNNTDFTVRFKDFEKWEKTAM >CAK87585 pep:novel supercontig:GCA_000165425.1:CT868629:48437:49114:-1 gene:GSPATT00021217001 transcript:CAK87585 MAQSSIRQKYQTLPIQQTSQEEYSKSSTKDSDYFLKQLTVPLKIKLNAYKPIAKNLFSKS LYKQQYTDCKSERVRPIIQDQNMYILNTLELDDESVYRRSYRSRTFDEKTEPIKPFNVNI SCTLPMRGAKSVYQCNFTQKEVSDQPNKIIKPQSKRMVYSIWDKSMKTQYQHHHTGEKGE LTLKITPKQNQLFIMNYEKTPESQYRYDYRRRVVGYSGQMNSNKV >CAK87586 pep:novel supercontig:GCA_000165425.1:CT868629:50313:53348:-1 gene:GSPATT00021218001 transcript:CAK87586 MLPQGINQIVYVIQYFIEELNYSQQLNKNQQSSWVQSGQLDIQGGTHLQSQFINSRYDIN LLQLRPFQGNKELQVQQRSNLQNSNSHVMTTENWTESDYAKQFEEWRALIQQHQDFINQL ENDRSQISMSNVILEEILNIKTNILNNSFVTKVSEYEDIVKPQAYQMAMKNEELQKSLEI LKQTNQTPINKYATLQNEPASSKLNTLNFNQQFNNVKLEIQNQINLINTLLQQIGAQKSY IEPQDNYQQILENAHNSLLQYVRERMTSSINQLLFIHEREVFNLERQIHSQIQEPDTLSI TVLTEKLMMIEAQLEKFQEKKLELRDFKERLTQLITRNIDIFSEYFDDVVTLHKQFKMFY QEIKQIQQIPNQPILQNMQIKIKQQLQLAAKKVWLEIKKLYFNGSKIKEFNEEEKFQKFS SQNIVAQVQYIRSFMKQLQTDYIRKGNQVPLEESKKLKQQIYKAECDYSETNQLRLQISE LEQQEFIGYRNYIISQLRPKFFIDFSELYAKDFYDIIHFQYADTNILESIKKLLQFKFPS FDWSENIEDEKQRIEIVVQRYQEVTAKLQNLGESYPSETKFIKQLSNWKAELFKCINILK QYLLIKEELKGQLITLLKWPQITSDLQKINQFSVHCEQISRQANQYFQAIEQLQQLQLIQ NLESLFKQQIPKVKEYLIEQCNFLTQREFSPIINEQIQIVYQYLYVCMECLNYDIDEIFC ATTTYQEFENTLTGSTKLQELKNVMDGNIHNSALRYKLFEIIDKFMKEKIILDFNNLFEL KLQQSRQSTNDFNPKELAKRTDLKFPINRPDIYDNYYCKQNMDKINQIIDQPDLRAIFQQ FRELLHNIIAVIDQDNSVADINVNQITIIELACIFAQQLHVQNDLTNIQPLIRFHQQLCK FPNTKRFQNQLINLGIVFPIEYSKYLEACRRRVQKLAQNICIEFESSDFEEISQAKLCLN NNEYVLRQIDFPLLYMDAKSLILEYQPLTFNCSLNVKINGKLLKQGQTIQI >CAK87587 pep:novel supercontig:GCA_000165425.1:CT868629:53516:54973:-1 gene:GSPATT00021219001 transcript:CAK87587 MDNQYNPFYNNSLEANRKTNFLASNGKRVVQEQKEQILLKEEKQKQEKAQQIQYERKLLN QEPVPVYLQRDDRQQKITASERKEIIRNLENDAIKNSDGGFFAKVFQYKDNKDQQKLQQK QAYIEEIQKQIDEKKRKKEEERQRKMEEDRQYEERIIKEREGMNQNSVIKDEDKKQIIKT VKNRHLLQQYDESKMIFNEFDEQQTNLTNNNIVPNYLKENIKDVDDKFEQDLKNKTNPHT PFTKLQSQRTLQDQNPINITYNHPNTAGNAQNNRSFRNNSQHNNYQQNNQQLQMQYEQQQ MQQQYLLQQQYYLQQQQQFQNFQQPQQYHQFEQKPIIPQLMERFQREFEDLKMMNRIKSK EQEEGIDKLREQIEMQSQMWNDDLRKLRAEVQISAEQKNKAFYELEHLKGQLKRQELFEE AQYNNAHQQAKQSDAWRKQDKKLVTENEYQRSQNQSRQQKFEENFNFYNSFEQEE >CAK87588 pep:novel supercontig:GCA_000165425.1:CT868629:55270:56479:-1 gene:GSPATT00021220001 transcript:CAK87588 MGKPLFTLSSISIQESEFIKYTCDSQNQGLSIKQIMNQNSQMLGKFYQFQSGFSNIITKL QDQAKKYNNCYNIANKEIKDYYNTLQKQASKIDQQQLTNNKSKCDNSLEALQKQYYGLNE QMNNFNQEVLLYQLLQVEFDNYCLSMEQQQQRQNELKPFQYYPQLQTSSINFGLVNELNN ELQSIVGQQNQKFKENEQIFKFVTDLTSFIQQQTEMREEEQRKIKEQMEEQQRQQLEIQK QNEELDSENHIDTDQNENDENLSEAEDLVQSCQECGQTIKCNHFLTKCLHYYHEECILQK VKANYQQTSIYCSCNTLINSRMIKGVLEQCKEDQNQITFEIFQQFQIKLLLKKSNCNYTE CKCGFFYISEKSEKLDKCENCENKESEQKESEN >CAK87589 pep:novel supercontig:GCA_000165425.1:CT868629:57861:58271:1 gene:GSPATT00021221001 transcript:CAK87589 MMMQENAEVSSQNGLPNKIGIQKKQKKKNEYDYHRKKRRGSDGTSNKKSGQKFSLEEDKR ILQLVHKNGPKFQKIHRHFHGKTLAMVKNRYYKYLRFRWEILGQYYLINLEITNIQMFHR NNWKPCVSNRKMLVIC >CAK87590 pep:novel supercontig:GCA_000165425.1:CT868629:58868:60725:-1 gene:GSPATT00021222001 transcript:CAK87590 MNQFYNYSSQLILKSVKNIVVNNEIRFFGSENYQIKNPIGRGAGSFVCKIVNRLTKVEMA AKIIQKTSSNSHKIQNEVVIHSELHHKNIVNLIDVFEDADNSYLIMELCDQDIYQLIKKG QFNEQQIRFYGKQLAEGLQYLHSHNIIHRDIKLGNILIQNDILKIADFGLAVKLTDDEEE RNTLCGTPNYISPEILNQQPYGKKVDLWSMGCCLFAMATGRGPFEEKNAALGDVLRKVKQ GDFELPANSTETFKDLIMNLLNLDADQRYSIEKIIKHPFFVDPIPPRIQSRNQSSSQIKQ LLDLSPFVKQQHRSTCSMIGLDKQQVVAQFVQKQQLLGGKKLLFGMTTNGKIALKQNYHL DNVPRFFLPQESHSNNTSCNHHNKENINKENINKENIRENSVKINKQNSSTLCIFNESPI KLGDLKSCKLQTKNGLLQIHEDGRFEMDVSNKDLNFIIQKNGQEIVVQKKGQKAKQYQLC ELPQKLQKFYTYSKQVCNAIREKNQKQKLNNEHGNFALKNTKLGQCYEGYIAQSKIKIQH ILNSDSIKLQFHNGNTKIVNIHDFQQLSTQAKMDPNEVYSIKIALKYLPQCQQ >CAK87591 pep:novel supercontig:GCA_000165425.1:CT868629:60980:61732:1 gene:GSPATT00021223001 transcript:CAK87591 MNHIPIYQRAQHLMKEKQEKIAEYKRQKELNEEQECSKYSFRPNINKDRDHRTVDQFLEE VDYWIERKNEQIHQMQQEKKQEESKGLTFKPKINKKSQRIISDQNSSLLQRCQKSQIHKQ IKGQHHLIEELKKTPFCPQINSNSIKLACQSKTPERSISPNLKQQYTPRIIGKSTSRTPQ RTEQRISTQTTTPLRQNQNVSYHKPTKSMASTTVNSNNNSKKAVLPLQNVTNHMKFIVDL VNKTPPKALF >CAK87592 pep:novel supercontig:GCA_000165425.1:CT868629:61787:62764:-1 gene:GSPATT00021224001 transcript:CAK87592 MQDQRYQCLVEKYHFQLEQFNFNKAFVKRCLVSKDQRFVAISVRGCYENVSKQNYHYYYQ IWSLEERKCVKTYQIILCSPISQFMCGFSECSKYFVSFNCNCEMTIVQLEKQKESFTVSL PGHQVIDKLMIHSALVFIIVERLIQIHSLVNGALIQKIELQFQQENFTPLSSFRFLVSNK EKKSIQIWRWIDQGIKLLKEMFPKNSYDELLNFKNKIIIGQQQTCIHLLSPTKLHIIRNI SIEYQFHNILIDENISILNIYRSVCPKQKKVVYVNCFPRIQIYESNHLDDVDYTFENLYF YSGIMVGWDYDNPNKVGFMIYKFSK >CAK87593 pep:novel supercontig:GCA_000165425.1:CT868629:63289:64000:-1 gene:GSPATT00021225001 transcript:CAK87593 MGKPKILIKNLYEILQSEKFDCIRWTDNGRAFIIVDPEEFQKSIMPEYFYSTQIKSLYRQ LNSYGFKMRSLKINQKMFSHKWFIQGNISSLYKVERQRTRSIAHLDKEKDSIAIMREEMK QLKEELETLQIQQNSILKQIRIHSIIQTYICKNIKKICDEQKKFNLEREQETKLVVYFTS MFEIFKGFQFEIASNIILQLKDIGGPQTPSFSPMIFPYV >CAK87594 pep:novel supercontig:GCA_000165425.1:CT868629:64262:64441:1 gene:GSPATT00021226001 transcript:CAK87594 MQGKRDDRFYAFRVYCTSQDRGNANQMHMTEWQIKNRDVWNMYDQSRIEIYISGNGGIQ >CAK87595 pep:novel supercontig:GCA_000165425.1:CT868629:64602:66396:1 gene:GSPATT00021227001 transcript:CAK87595 MDYEQVMNDKKLREQLEGGETIVLTMKVIKFTGQNKKLPRVIAITNKNVYNISPSEGSAV KSFFQSLVNKSRIKRKIPLSAITSITISKIVKEFILHIPVEYDQRYQVDDQLSLIIQTLC EVYVRHNLKKIRCHFIEEMNLSQYTTTNYDIKKNIRRQLPKQGSEMTVDDVKIAMQAARG NVQTIYQKSNTQEISIEDFTLIKMLGRGAFGKVMLCEKKDTKEIFAIKSLRKEDIISRDH IEYLKTERKILEQTQHPFLVSLEYAFITQECVYFVMKFMIGGELYTHLQKVNKFNEDYAL FYSSQVLLALEYLHKQGIIYRDLKPENILMDEKGYVALTDYGLAKFLSKGQVTQSIVGTP EYLAPEVITQQGHAFTADWWCLGILIFEMLCGRTPFFSENRNQMFRNIVESELKFPSTLN LSFDCKNLLTALLKKKPNERLGNKGDAEEIKKHPWFKKMDFQRLLQKEIQAPIIPDLQSA TDLSNFNPQILDEKIEEDQNPNTNTQALKKFDSEFYGLNYKKE >CAK87596 pep:novel supercontig:GCA_000165425.1:CT868629:67584:69044:1 gene:GSPATT00021228001 transcript:CAK87596 MNKYTLAFKDAQIEQKYQRQLLKVRRWTTFCVVTLGLSIIFIIKCVQAGMEGNNYSLLSS SILLSYFFIQLIFVRFYPQYIRLALVLVNHIMNIYLFAQEAKKDPQMAMLQGVNQMGATY LLVLIGEYLDGIITLVNLSIFRIAWAIVYSTQIQVSAIVSTILLIFYINYFNYQFHKGMR SQFLLSFVDSSFEELLKKLSIEFPYMIVQFQEDSLSCQMSSSNKCESLFLNSQESNDFMN NSYVDSVPLKSYLFQLIFNYNQDHSANSSSEFIIRYQKKSFLVTSTLFQTNSLKALLTFK EFNKVNILQKHLLLNRNLQLMNLIIRLAKKLKYYAKSNYQYLKIQRKALILILNENINLY ENYKEIQVLGLIRKLLSYCSNLDIRVQTNLSLQHTIFTHPKLFALIFWIITDNVQSNKLQ LTCNLTQESCYQIRLISQFDLEKIENLIYPNLLKYQILFLDIFLSKTEISLVHTCELDVP FFLDFK >CAK87597 pep:novel supercontig:GCA_000165425.1:CT868629:69359:70347:1 gene:GSPATT00021229001 transcript:CAK87597 MSAVPYEKICELASPLYFPLEIDGAIYMVSQNGDILKFKDGQFKAEFHISGQPYSIVIDK PNKFIYVADMAHQAILKRYIDENSQEQIVEYLKDYEGQPLLGPNSLLLSTKNNLLFFTDS GPFGETSIENCKGSLFAIDMDAQIVKPLALQCLSYPSGICLSNNQQLLYLCETGKNRVLR FVQTDAGIFYYSTYIQLNGRFGPIACAVSQSEHLYVARFEFGHVSEEGQISIFNANGLNV ENVSIPQCPEISGLTFSSQKSNILYVTENSSTPSCLRILINIEEKDEAKKKDKDKFK >CAK87598 pep:novel supercontig:GCA_000165425.1:CT868629:70371:71195:-1 gene:GSPATT00021230001 transcript:CAK87598 MIKILRSLRQTNHKQSYGLFGWFKKKEEKVDDSAYDPETWKQLQPAFNKVKEENQNKPKL LPIKKKQYSDKLTVVMELDEVLVYSFVPDPKDMFMNAPLRQYDFYIDLPEFDNFVHVYKR EQLDEFLEYFLNHTEPVIWSKGSRIYVERVLEKLCPQFPKDHIFCQEQCNLVEEDDLEDY FKDIDLLGRDRKKVVYVDAKPLSFWTTGDNSIPVRMFVADNTDTKDDLQKLMNILERLKQ ENDVRDYLKKIYKVEETLRETKFIE >CAK87599 pep:novel supercontig:GCA_000165425.1:CT868629:71212:72159:-1 gene:GSPATT00021231001 transcript:CAK87599 MSTDNTELLSKFLNDYNQLKKQNQEYQMKENTTFQTLSNENSLLTQELNRIKKENQRLID NNAFLTDQLNQLKLLYSETKQELQESFNFIQNINKTMKTGTFENEDKELNKLMEIFSVSS VDQLIITAEKIKTVMLGVSHLEQFCRSICEIIYDQHEEHYNLDQVFPIIQKWKCDSKYVD CFLFFKNQLEQTLQLKHSTDQQIIDAIKQLQNTQNHDLQTIKSLFKIDTNDNFMFKINQI FLLLQDIQQFTKIAKRLLDLDENMKNEACMVYILKLLEKMKQSQFNDPDLIIKIMKIIKV DHPQQIISKLEQLTN >CAK87600 pep:novel supercontig:GCA_000165425.1:CT868629:72257:73051:-1 gene:GSPATT00021232001 transcript:CAK87600 MNQPFDTEDSINSDEAIKSIECKYLTPNFHPSLDDKGTSVHKRMNAINSMRCKFKESLVS KDEVFTQSSPFKSTNVISSQNGQPQSDLPSSPLKAEDLTKQDKVHLAESRKRHNTKNSIE QFQEKQIQQNQRKSQPHSQPKQVQTRKPKKQIYVTQIVNDHCEITSFPIPKPQRLSRIIQ NNDGHQSQRGSSASTPRGILKSGSFEVLRIGSRPSTQSLNSPMMRPSSKRVSFEFTSEQL RKMKNNCGSSDHSKYQRLKTKFKK >CAK87601 pep:novel supercontig:GCA_000165425.1:CT868629:73061:74626:1 gene:GSPATT00021233001 transcript:CAK87601 MYNDNLLITNDWRSITYNVSTSMFQIVSGYICIDLMSMLNLHFMGQYTDKYQTASYGIAW MLIQLLFVPLGLGLVSHAIQVSTKDSIIRSHMPWDKISTSSHRFTQTSRFIPYYLYPYLF FYSFTILNTWFNSLYKKTLRSLHNMHGISNKINKLRRQMIIPLFIAAFLLLQIECLKQYL VGCRIFQPFPFIYASTLIFHLLACVFLFLICDLGYIGLCLSIILSELFTLFMLIRYIYKH QEVYDLLANFQFSCQIFQYKETYIMFVKESIPLILHIYADFIVFYILSFVAYSLGVNQAN AQLAFANTSSIYFKFPISLSVTLMSYVGNSLSQHNIALAKQYIISGMGVQGVVLISLVIG LTIFQDQWSRIYSSDPQIQQIMLETLPYFLMGSVCFDGIQGALSGALKGVNKSTIVSNQT MISYYIIGIPVVLILAYVFHLELIGIWLGFGLCNLYLTIIYIYVLKDLNWNEQADMITQK LLKHEGCLGDIDLPLIQQQQKEQQ >CAK87602 pep:novel supercontig:GCA_000165425.1:CT868629:74664:75506:-1 gene:GSPATT00021234001 transcript:CAK87602 MIKYNSENHFKFHNVKVFDKERMILYFKRMPIEQDESDNLYNGLNQLIMKERIEQTKQKV EEGKQVTSSVKMDQGELPKNRTLIIMNFDSPFDRKFIAKVFKLCGIIRRVYVGSMKVAEN GKKKLLHVGLVVFKNEYDLTKCFDIEYFQQRINNKFKSAPISTNYEKKLLQNYEVVDEKK NQIIEEAQQEGYQIAMNKFGDFETNIEKPKFEKRNWVGRNKNKTKKNVDMDEIEQVIQGL DDNLPHQKKKDILKMQKQQLQQQFQQDIENLRQKQKKVKE >CAK87603 pep:novel supercontig:GCA_000165425.1:CT868629:75540:76184:-1 gene:GSPATT00021235001 transcript:CAK87603 MKQVCNLYQQGRCEKGKKCKYMHMEEKVEKPINQEPKKEKIQMVGPKVHHIKIFKWADLS KLADSQEIFRYNQEILGGDFFKIAKEIKNDMEKDFLQFFRKFQQSIKFLPQPQSKLLDYL RNQGEFQVIFRFVPSIDRKELAFKEQVKERYFEILIQIDKNYPKSSVIILNQSLSEQFKE ILQNRISDQISEKKGLFQGLRYIETHFDEIVLGL >CAK87604 pep:novel supercontig:GCA_000165425.1:CT868629:76235:77206:1 gene:GSPATT00021236001 transcript:CAK87604 MKHLNNNQTLHLTCDHIIDYNNQDIGGFNVNNSLHSQISNDSNYIAIFTNSQQDIETFQF RIYCLQSFKLIITFNVSKINIKPNSIYFSDNSSYFYYNSYGNHLTLLNLQDLTFKTIPIH IQSMNCELWLFDQTLLIKDNLHLHIYNVQNEQKIEQLRLGFTFSQFTPFSRNNYYLLNDK QSTLELWSNYHSFLKMKLSKQFSKLPGRIMLLKDQFILCVINFRIIKLLSKSNFQILRSI ILGSHFNKEQLHFDDEIAFVKMQMYPSTLNISNLMPEISHKQLKLENGFLEEFTSYEQTS KLLIGIKLKNLCGFELKIYKLPF >CAK87605 pep:novel supercontig:GCA_000165425.1:CT868629:77295:80233:-1 gene:GSPATT00021237001 transcript:CAK87605 MANRTYFNLDQKRLFKPQVQQEKKQEVVTKKKVESNSINEEPQKQLWDIDLKDCVTKYKD TIGSKKRKEQLIFKPGGSFIKEKSETEKQKTEKELNKEINQVIRQTTKEFFEEIQLEKKQ KENEKNKLNVQDQKEKCDSQDQDQKQNQEGNQISEEKIQSQKENIENNNVKEDQGLLKKK VGKYQKSQNGNFVRLDLKKKYQDRFRGAIYMNQRKYKRNGTLKNPNPSKREQTQIDFQQL NNQGRAESYNIFIQSVSSGLLVDIFDDEENLKDKEKQDDLIKELVQKVNEDLDNKENYLN LLELVFGFTEFREGQFEAIQSILKKESILLVQKTGHGKSLVYQYLSLFLQDSIGIIFSPL ISLMIDQVSKLPDQIKGIAYHSMLTSFQKSRLIEFIKGRQVQLVYCTPEIFQSDLGYSLE YFGKISFICIDEAHCVSELSHSFRHTYVILNSMIQNFLKNDMPPFLALTATATHLTVESV LKKFNINKSIISINTERNNIEISVSRDRDVNASLIKLLQSQKYKNLSSILIYCRSKYMVD MVSNYLKNCNLKCLGFHGGLPEQEKMDIQNKFIRNQIQIIVATSIFAMGIDKSDIRAIIH LNLPKSIESYIQEIGRAGRDGQTAYAHLFLRENDFHLERSFILSDYPDFIVMKNLLEKMK QKQEENKITYFISKFAEENLDLRKDTIYSLMQILERCSDGCIKVYPICHEKIVLKFFKAL TDEQKEKSTFLNELSKIGRMISGSLHVNVIKASNALSMQPLEVIRQARNLFNELSIATET QEEILPFQIMKDFDVNLLEKANEILQLHKSVALDKLNLMYILSYENSVPNFDLLSQNLIQ TRNFYFNEYFRSENPVDDILRILYDDNKQNINEYLPFDELDDDKHRQIQQAIKRFLHEET VNKNNSETHKIMQSYLKNPKVLSRLFCGIQSIKYSYKEYKASSIWSKSGRLKYEDVHKSV LNICLQILEQS >CAK87606 pep:novel supercontig:GCA_000165425.1:CT868629:80328:81764:-1 gene:GSPATT00021238001 transcript:CAK87606 MNKLTLKFFNSQLELQYQNEKIGRLRKPVFYVFMTLGLILNVSKVLIDLGKLQLSYQYIN YILIALAVVLILISVKWPLYIRYTLMIANISSSLLQLNFPENTKSQMYYSYGSNFMEFQA CAYFVSDFLDSVVQVICHTIIKLIITIQTTQHVDPQDVLMGIGAAVMIVIVIYICDANAR KEFLSKICENVLDRQLSELIKLPFFQVTYCQRLLQFNFLNGKDLLEFPNYDEELCDGCNV RNLLRQYKIEGQELQEFLIKNQEGSVKIAISKHKFNLRVVNLGIDHINKIVILDSQQSKN ISLNQSIKKPIKIYIQQTSQKNNQLFFNWGIISLLLVNDNKIQELSLFKILSRFNNKFSK YSKIKFHLKGDETLSISSYSNLIRIYLIQIYQIITHFYESQEIKNNKSQTKPKTFLITMI IYKECDSIILQLPLTINPMFFISKYSNNFFIRQIEQKILNSPLTSDLKIYFNTSLPFK >CAK87607 pep:novel supercontig:GCA_000165425.1:CT868629:81778:84363:-1 gene:GSPATT00021239001 transcript:CAK87607 MTDQPQAPADVDIFQQTMDKNSHRASIFEIVLESTVFQVIINILTLYALFGDDIRVAAFR LSADIVFDALNITCLVMFSTEIILSVIVKEGYFLSFFFWLDSISTISLLLDINLFNQAVG LSGAGGQAKNTAGLARAGRASRVGTKAGRVVRLVRLIRLVKIYKSANKEDDDKVQKLIAQ RRQKRKANTIEPQIQDRAEQPNPGQGEEAETKESKVSKMLSDSTTKKVIIVVLALLFLIP LFSVDYYVSPPTSMLMQSQQFVKLAESEASTYDDVKDAYSQIIIDHSGIDNYIVLFTSPL YNYKDFPIYQNDDYPNLRTDEQDVGAYTLDYDTLLKTTNLPDAAIASFDKDNPDVVGILS TRQAAVMTSILSIIRTIFVSIVLTFGAMMFSKDSNDLALRPLERMIEKINKIANDPQIVT EMAVIQNENSNETVKIENTIVKIGTLLALGFGDAGTEIIRINMKKQGDVDPMLPGIKKVA IYGFCDIRNFTDATEVLQEDVMVFVNNIGDIVHTMVDRYMGAANKNIGDAFLLVWKTRPE TYSFEEDNVIWHDKQYISILSDCALISFMKIQCKINREPKILAYRQDKRLQARMDNYKVK IGFGLHMGWGIEGAIGSNYKIDASYLSPNVNMASRLEAATKQYGVPILISESLYEHFSPE FQEYIRHIDRVCVKGSNVPVSLYTIDMNVDNLPPSNDPSVKYKEFTKEELKMILRQKKLE IKEQVESGEFKAIEHIHENKDMKYLLAGFKKDFMEVFGKAFQAYLDGDWKTARAMFQEAL KLKPNDGPTEAVLHSMEETNYQKPSDWKGYRELTEK >CAK87608 pep:novel supercontig:GCA_000165425.1:CT868629:85336:86188:-1 gene:GSPATT00021240001 transcript:CAK87608 MQTCQLCLKNTALIQLICSHHICLKCTNKTKKLQQRYFFIVYLSKSQLQNKYWIQCSRCQ KKTFSYDFSNLLIESHELSLLTDRSQHQFQLNEVKFYFNINLSTLQSQLIIEPQIFQRRR SMNAIELFTKNTSPIRKDKSKNPTQKLKQSIINVNKQNVLKSSTQLKNTSNKKPSHKRCQ TGIVENKQVLNSMLNFKKLTKQKTSPIQKCNSIFDYLLSLSYQHTKTSDRKQFSLANSSK ADNKKNTKSRCHSQISIQQKIKN >CAK87609 pep:novel supercontig:GCA_000165425.1:CT868629:86202:86753:-1 gene:GSPATT00021241001 transcript:CAK87609 MGALITVSTVSDKFKVNGSLARRCIRHFAKSGLLVPAGDQNSKQYIFTVNAQVVAAQKAA AAAAAADKPQAQKKAPAKK >CAK87610 pep:novel supercontig:GCA_000165425.1:CT868629:86791:87298:1 gene:GSPATT00021242001 transcript:CAK87610 MQQQVTTVHTDKFKAIGPYSAAKIIAPTAHLVFLSGQLGIVPESGNLISEDVAEQATQAM KNVGILLEAAKSSFKNIVKCIVYLVDMADFAKVNEAYAKFFDGDYPARVCIAVKQLPKGG LVEVEVIAVQDTEQ >CAK87611 pep:novel supercontig:GCA_000165425.1:CT868629:87493:89291:1 gene:GSPATT00021243001 transcript:CAK87611 MMKGSQQNVLLNELKEIPIYSDNWFWKLRMKNQALLQFSIIFILILGVVLGIYSVNMAII VPLLEQSSFEIYNNNAKHTLQSHLRSYDGILNGIFNIKIDIHQLQILHQIYTQSYRNLEK EDNITLDYRAHMDYAGTKKMPQILVDQPPGSLQKTHSFMCYTNKTNITYPMSKVTLINLK IQETMYPIGHILAATKLNIKSLFYVGTLDEPQVYFSYPCTNFQNAIYGLNIPKRPWFLKG ISVYDQSNFSDYDYQFTDPFLQFTKDKIEVTLVMPLLDRDLKLKGVMASDLGTDLNKFVV KTDQNVHMVLLANAKGLLIYHSYNVSLSLLPIYIFNTSLTGFTLDDWASIKDTKQSNCSS YPKNSSLLCRYNSVYDKDLVITIHEIKKFNYLFMIFHDTADYTDYQLKSTEDMLDKLQLE AIQFLEIALGIFLGAMFIAICILILIFYPIQKIIDNCHYIMGIRNKQNMNKRILLTKFFM PFLNPQLQLLFLAYTNLVKRFLSLSHTKGSLCKTQEALQYPKKIKQKAHLSLNRYLKKNM ILIEINKMVFQASTYFPSQLMGRFVEKILMQFYQ >CAK87612 pep:novel supercontig:GCA_000165425.1:CT868629:89312:90395:-1 gene:GSPATT00021244001 transcript:CAK87612 MQQEQPREMAQVVSIAENAQFFRLIQNSRTKVFQGTVKLAQFQDSKTHFITIERFCQNIV PGTPFVYIQSESGLTLIFPHIDQGLNGIFIPQSDLLNAFHTALNTVVSMVPFDQAMQIQQ FKDTIELHCKDIATNPLDNPNDAAAYIAKGGDYLKKGFMFLGTMMATGINKGTQYLNDKI QPGQEVQVDPNTKTKLQATKEKVSEVFDVTGQYLGQLFKPVAQKTKELTNELGQKIDNSD SNILKQSKQLTSATWDAAGTALSGLGTALCQVGSSIGNGTKQIVQKKYGTEVVNTYLGGD QQQQQVQQEQQQQQQQLDQYQPLIEEQPAPSS >CAK87613 pep:novel supercontig:GCA_000165425.1:CT868629:90428:91142:1 gene:GSPATT00021245001 transcript:CAK87613 MRIIAMYLLKYHPENPIFISQNFELSFVNWFQRPFFKETLNFGARTCAARAKPGTRSAVT NQEAHAVSYICIDKNNLVALVIADDQYPEKVAFMVIQNMYQEYYRQYNSMFLDTIVADQN INIPKFEEFIKLYQDPKEVDKLLKIENTLNEVTMIVHQSLDDLLKRGETLESLMAKSKDM SSVSLDFYKNAKKTNNKCCSLY >CAK87614 pep:novel supercontig:GCA_000165425.1:CT868629:91174:91588:-1 gene:GSPATT00021246001 transcript:CAK87614 MQDHTLTIQSENDWKTLVLENAKPVIVDFYADWCGPCKVLKPKLHSLLEQGQGKWELAIV NVDIEELQKVSSQYASQGIPAVYLFHKGQQISTFLGNNEAKAKDMAAKALTL >CAK87615 pep:novel supercontig:GCA_000165425.1:CT868629:91702:92975:-1 gene:GSPATT00021247001 transcript:CAK87615 MKRSPPSKEDLLKEEYVSTLSYFLRDSSVYDCLSINNQVSVVDMTLSLFDTFKIFIDSHV DEVLFWNQEIANYDGVFTQADLIKTVLKCYYNVLYDIPNIWTTKNIAAIIEMENEDESYS PIQRTIQGRLTIDQFNQLLSDFKTISIKTWFNSVGENLHQNCLVKAELDDNLNDICSKFI TENITRIIVIEKESKMVAGIIQQKDILSFLVKGFNQYFALKKHQNGASTSNHEQHELQMD YFKEEAMKLKYALPADIPVYDLFYKLIYVYKRNTIAIVDKNQKYLGLIDRRDFIFILKYQ MFDILASTAEKLLQLIKAEDSKYLAFYIRNKQQFTFNQTIKQVNQVVENLLLSPRDSLVC LDNEERLMSIFQISDLFQIFVTDKNQENCGQ >CAK87616 pep:novel supercontig:GCA_000165425.1:CT868629:94099:95736:1 gene:GSPATT00021248001 transcript:CAK87616 MGVCQTKVKQNPAGPKKKGIRHGERVKTEFSATQETGFKHPGPLQINSLDEINSPKSAGY LLSKGQKTRVGLIKLAGLISNSPQLGQIPIIEMSASKKYSLIKKLQMHDMKQILSNNKTG IIVEMENFLKEDEETQEYITWLSKVQLEHPNLNRILEIYQDQNSYQVIYEFFDGKSLTDL VSEENKLPVKQISSIMAQIISIISYLHSLNLIHGHLTLDSFQFKKTKNEILIKLVDIKKV IIKDPEPLDLLKFTPLEYLSQPRNYAIARDVWAIGIICYALTYGIFPYQFPQQIDHSQAQ LLIKRTTIQYDDTDQLLINFMKKILVHNSKSRITLSQLKKDEFLVENTVQKLVPQEIILI NTKIAKPCCVLQEMILCYFLQEFNWEEYLQIQKLFSEGDQDMDGYLSKQELSNLYKQYLN EPNSDELAEKIILNYGIDKENGFNCQQFQSLAASRDLLLTQSNIEICFQIFSNGKKAINL RGLRRHLNSDSEELIDEFNRITNEEKTLTLKQFQKLVQLLV >CAK87617 pep:novel supercontig:GCA_000165425.1:CT868629:95910:97550:1 gene:GSPATT00021249001 transcript:CAK87617 MGVCQTKVRNSKRQNTQTSQTQIRIRTEVSEISESFPKRRMNLQIDPTKISENNKTSPLS EGILFTRRAKRRITLKKQGSNNYTATTSSSNHILLPACAFKKYSLIRKKQGNHVSISCLL QSISIISNNKTGKIMQLETFPKDDPECTNYIEWLMRNKIDHPNIIRVSEIFQDNKYYQVV SEHFEDNDLSDLIVDGSKLSKPQVSQVVNQMLQAIHYLHEQQIYHGAITINSFSYQKKLD GIIVKLSNLKGAVIKVEDDIEVLKYTSPECIYQPQQNEARDVWAIALIGLTLKKGGLPYQ LPQQLTQEKIRMLVKNNTFKFEHKKDQAFKEFLSSSLNKNPLKRIKVEQLLNLPFLTRYQ LKQKSEQEILLNNLLEAKPSCLLQQLILGFFVQEFNWEEYLQIQKLFLEGDVDMDGLMTK TGLVKLFNKYLTIDKIEEKIDNLYKELEIDDKINSNLFLALTATRKDVLSISNIEICFEI FSLNSSEINLKGLKKYLNSDIDEIKVELTRLTENQNSLNYQQFSKILELMI >CAK87618 pep:novel supercontig:GCA_000165425.1:CT868629:97823:99488:1 gene:GSPATT00021250001 transcript:CAK87618 MGVCQTKKMQNQKLVSHNGHSIEEKIKADIFATPDATIRRSNISHQEKKIFGDSNYSTNT EGNMVSSRQRNPISVLKIQNNVSGLLSCTASPVLETMSASKKYTLIGQHSSNYVRISHMF QQISILQSNKTGKLVQMENFQKSNPDNEKYISWLQKVALDHPNILRIVEIFQDRMNYQVV SEHFHGNYLSDLIIGEAKVSKSLVSQIYEQIISVMQYLHSKNLVHGNLTLKSFEYMQGSN GGLVIKLVNVKGIIIKEEDNMEIIKLLPPECLYHQNLFTKERDIWMVGLLGYTLKKGQLP YNLSHNTTQQFLISIIKDHKFNFSKKKDLIFKNFLEATLAKDPEHRVDFETLLKHQFLKI HRIKTTSQQEILFANFLLNKPCCTIQQLLLGYFGQEFNWEEYIAIQKLFSESDTNMDGLL SKQELIKLFSNYKSTEDVENKIDEIFQQLEIDPQEGGIDSTQFMSLALTRSILLTQQNTE TCFQIFSYNKQEIQLKGLKRHLQCETADIKSEFSQITDDLNTLNWQQFESIMRLLIE >CAK87619 pep:novel supercontig:GCA_000165425.1:CT868629:100851:101135:1 gene:GSPATT00021251001 transcript:CAK87619 MSANQNNSSNFKSQLKVSLSQEKEEAQETLEIIHEMSQILNCGLDRQQLAVLVSMIENGV NPEALALVVNEMKTELNTYKKIHKQ >CAK87620 pep:novel supercontig:GCA_000165425.1:CT868629:101584:103165:1 gene:GSPATT00021252001 transcript:CAK87620 MGPCQSQSKLKSSKNLQQPILIRKTDQVLTNNNPSMILPSFSPPQEFISEPYDDTKKNLS QLKVYKFSYSRFYSEITQGNMKLSSNQKLVQHNLTGKIRVAEQYERNQENEEFITQLKCY PLDNPRIVNVHELFIDDEFFYLIMDYCSGGQLSNYYVDKSIIPFTEAEIASIIYQAAESL RYLEQNGLTHGFVNLNSFSRVDTSNNFQIKLSDIRGLFVKPALNEQNVFSLPPEACTIKD QNVFNHQRDVWCLGVMAYQMICGYPPFEGNTIESVKSQIKKMAAQYNNFQFDRVSKLCRQ LIQRVLVPIKQRISILAFMNDHWFRIRAKQNDQSILNQLQQNKVKISELQQLFLTFMIKN ICSADQQQLYTEFVNLDENQDGQLTKEEILKVYSNRFASKAEAKAFIENIFKIADVDKSG TIDFGEFLVAITDKPGLLTEENLKTTFKMVASSNGKLTTQKLRFHFSVASSKLDKLFQDN FSNPQNVGYSEFELLMKQLL >CAK87621 pep:novel supercontig:GCA_000165425.1:CT868629:103300:107549:1 gene:GSPATT00021253001 transcript:CAK87621 MQQITPEFIMLCLVTQEDYKNARAIKQAVQDNQEPIFEIICTTIKEVLKWDNNRNVHAPL ARLLALRLSKDLIDISNMRFINKFEDITLDSLRFYAEFGGPLNDQNRGFNLFGENLEKDT RSYGTSLVRLAMECIKAWALFFPQYPQGGPTKFIQLYMDLERKGYKFINWTYYKPEFINQ HTSPDFPRTVDLLKSNGGNGQNVVNTGGIQPMKQASSNQPPQTQQLGNIQNQTPGQATPG NPKVGIVKKSSLVIDRCRKLIDEVGDICSHNLLCDPQLFHDLRSEVMAKTQEMDIMVNEL IDYDEEDLAGEVMLEMDNLQTLEKDLGSLNIQTNAEFRKKYMKNKPDYAAQKKIIEDEKE RQRLLDQAKQQQLIIDEQQKVIENDRKEQERLRKLKEESERLEEERKKKEEQQRQEEERK KKEEQDRQNEERKRKEEQDRQNEEKRRKEQEDQRLQEEEKKNRELKKRQEDEAREKKRIQ DLEEQKKLKQIQEEEERQKKLQQQEEDQKKQREQEEEQKRIHQEQNQQKQQQQIVLDNSD SKKAQELIGATKIQNSSISQGEQIFITNQFQPPVDQSKVQMKNLKPISQSSLGLKPQPQY QKIKGDVSGAPDLIQENHQQINQFDMKQLEILQQQVENLQRDKGQLQKQLEDEENRNKKL KEDVYSLNGGGNRIQEDLRSLQTKFDQLQQEKVLIQNQLQQNQQQFNEYKLNSERLKQQQ KDQNDLELNNYKIERQHLFSEKQALIRQLEQNKAQQANHGEIQDKQFQNEQLIAQQKREI ETLKLDKEILQKSLQKEIDLSKIKLNDQQTFSDTLNNQLNLYKTQLEKSQKDYLILQESQ KKSNDELLQQVFEARKENLSTKQQLNSIQLQLSDKEAEQKQLQQSYQAAQKENQQLKRNL EAAQQQIDQFIQKNMDNRSSTLLQQKLQQKLDEIDKLKEDHKIALKEKDEYYKQRIDDLT QDRQKLQKDVFELQQQAQQNQLAAIEAIQKQAQLQLKIPSKNHTKSQVSELESIQQQQIN QQIEISEVKANANHSIKEVNAGLDNNPIVQIQCPIAVFKHFPKNRKFYPEQVPQKPKNIN PESLDLIFPYSSPEDYFNNKPQKSRKGKVIQQNQNFDDISHLNRDNVTFFKQRCLGSQGI LYEDYGIQFGLLYQTQVAQNKTYFTYGLYVSNSQGAKQDFTVQFLEVEKFQQFWASPLEF SKTLDEDSNELIEILIGSNKIPYQILTLQISHFETHPFQIYIPNHICQQIIYREIKVSEF KNKWKSQISNQIRTPLLNLNKGVMKDIYNFQKQMPKTIILNNNKINDFEMGIDEIKLGGL GYVLDISFLIKFEILPNDKICIYLSFESKFTTKRQILEQILNGYAFILGDGKSL >CAK87622 pep:novel supercontig:GCA_000165425.1:CT868629:107702:108597:-1 gene:GSPATT00021254001 transcript:CAK87622 MRQQQPYFIRPPNLSSRHSTNKISFLNKEDTPSNSQGVRRKTPNLAHPLSSPTHFKDMHR VNPVKRIPTLYSPLHDGSLSKYKIISDVSVYKLPTTRRIKSDDQSSNIIPIKKQQSQHIN NIKLRTDRRKNFTPLIKMKTEPNEIAQHSISKQDESQDILFRLHKQSIPQEPRDKFASLL GDKIVSSIKKIDKKTENFIELDQDSQFFLETKENKKNKEIPVLNQQTKERILDLLCLSTQ DLKKKFAEQSRVGNSKINTRQSNKLIIPKNGKSKFPLDFFNALVPNSILN >CAK87623 pep:novel supercontig:GCA_000165425.1:CT868629:108626:110427:1 gene:GSPATT00021255001 transcript:CAK87623 MRFLIVNGFNASASCAKAFEYFRNSIQCMISKQREVADTECEYHFRDRHSLDDFLYEPET SLIRMEYGQKFNSIDIVFIIASPNSKPWNPNMRKIITLIRMCLKTKKLLFSTSFGAQAIA YLCSTNFSLHASITNNHGEGCKLVDFPKYTLQALKNGPNEYFLDSTTGDLYLYSKVTDEW LPKANIGLHNRRDAMEYQSIGKYIVKSPTYKPKQNMLANQNEMICQIKKQFLHHWLFRGV AMEFAITSCNQWDIHAITFTNPEKKFNSLAEHNLRGPLIIESDNIIATMFELEPKQKDTV KILQNFIDNAVKLIRFNNSYNLISITNEKYFSGNKGLDNIEIILQQKKKIGNLNPEDLLK RQQKNFMLEYRKLLNTAIQETERDKIFHVGFSVKKDRLPDFVQQNNIQEKNYKVVRRKSF QVKKAQFLRQQSQINVGGDDDFQMKDLQDEAQKKPLPFQRVIATANNSKRLNSKKYSNPK TPNTIEKEDYEIQEFEIKWMSQTGVRKILHPTLEDEFLGSNKTWVPGFLSKDKLKSNSIV QSQRGQHNQNNRFHTDSLVG >CAK87624 pep:novel supercontig:GCA_000165425.1:CT868629:110465:112395:1 gene:GSPATT00021256001 transcript:CAK87624 MQKYEVLGIIGKGSYGVVLKGQNKETGEIVAIKQYIGSEEDESIKKTILREVKLLKMLNH DNIVKIKEAFRRKGKLYVVLEYVEKNLLELLEEKPNGLDPELTRRFIYQLCLAIAYCHSL EILHRDIKPENLLVSDQMVLKVCDFGFARLIPQKQGQLTDYVATRWYRAPDLLLGDEYGK GVDIWAIGCIMAELTDGQPLFQGQTEMDQLYLICKLLGPLTSEQKEAFLKNPRYVGMKFH EITKPDTIEKKFQSKLSLKAISFIKGLLKMDPSKRMTAFEALEHPYFDGIRDDQYYQFLK ELRDKEQIPKDRIQSANKLAAKQNQQINQQQQLQLQQQQQQQVLSQQKDNLASNKRAEKS NERKTQQKGNNNVSVERVNNFVKPAPIKTGEKNTPSQESKSMPKKDVNSQLGFLQKNVII GYQEGQFDNFLQNKMAQNYNYEIPENDLNNSIEGDKKSMNLRVRAKKKSFNPDLNEDDQN TVIKLKRKEQQQQQQLFQQQIPVYQVKKKSTQLRQNFYPTDEYSGDEQEVYQSKEILNMQ KGQKQQLQQQYNYNIGECRNTLEDQDRNLNIVYNNITYNYNINNSPGWINKKRN >CAK87625 pep:novel supercontig:GCA_000165425.1:CT868629:112421:114190:-1 gene:GSPATT00021257001 transcript:CAK87625 MEKLKQYRESNLDQEFNGYNECERIVLNNMKGNFSDLCLSIQQSLDNDKQRYISQFSMVK LCFRLTLKKNEEFLFAHFQNIVLPSIRSYIFNNQNYSKELEPKAQQFILSSVILCKELLS FWAHAYPQTSDYSTSKFLSEYQIYKKQQKENAIVITKKNQYTYLKQNFYVDKNYKFIKDK WKRQNLVSDFVSDEEPETQSNSNDNKVNNTFQKEIHQDNKPQIINNDQAENKQEGKQILD NLNKQISERKKELEQLDTLVSKRKKELQQLDNSISSQPKTADSDQSHTSRMLGSSQNNKD IFDSMFNFDGEKFPIKKQYEDIPLVSIDTSYPQKKRRLLDGQGVFHVQENFLLARQQKIY DNTIDVAIYLKCIEKPMKDLQVQIKCEDRCNNINILECIYPNLKENNNLEPEQQIRLELR NIDKSLLTQNDIEVEINIDWQQGFPEIITFYLKLTNIVTYNYAIEQELVKEYQNIPKVLI SKQFSFDSEVFPNGLEDVQKCIQYSINYKVKNQLLLSGSLNKNAFICRITLDEEGKASVI IKKLQNEELAKRLTLAYIEALQRID >CAK87626 pep:novel supercontig:GCA_000165425.1:CT868629:114249:115893:-1 gene:GSPATT00021258001 transcript:CAK87626 MGICSAKHQHNLKITEQNEQQAKQSPPNEQSLDIQRLVKSEKTIKCIKPTASSPKQILSK FAATPSTRQPKRMFWADQIVENSGLGGTLKTWVSIKHTQFSKHYTMLNKDQFHYRLKQND QIVVVQHNLSGRIMVAEMIRKTEQGDQLMESIIQTQLNHPNLIRIFEIFQDSNNYQIIHD FCNGAILSQNLHNSVYTQQQAALILKQIIEVVKHLHQKEVNHGALTLASFQRCNQSNSNY IKLVDFKPIYLKSYISEKQIFQYMAPEAIKFPDLFTRERDVWSIGVILFQMLSGQFPFQG NNKEEVFEEIRKYSLQENLETKCLPAESVNFIKGFLQINPSKRIKLTEALNDHWLKHFIE NQHEEQKQIVQQLENNHPLNFLQCCFLQFMISTFSADQEQFFYQLFGQFDVNHDGKISKQ DLTTAYIKHFSSPQEVKEHVDKVFKWIDINKNGEIDFQEFLIGVINKETLITEDNLKEAF KLLSDVEGFISLSKMCSLYHNKKQQLKQQFSAYENNQINFKQFQQLMFDAL >CAK87627 pep:novel supercontig:GCA_000165425.1:CT868629:116258:124520:1 gene:GSPATT00021259001 transcript:CAK87627 MNTEELKEAIKRIGQCQQEQVECGSNRSQQDLEIVWQQIQHPVGIGFIVSMCKERNRIQT IHNSANKILMHIVKVCLNNRDQLKAWETLRLTIDPEKQFYKCHELVQMDEDQFSHLEFTQ QEFCANLEEGQDVDALYEDEKGNVIGWCRANIVKINEKYVWVKWYENEEKRKIARYSMDL APYKSKVTDDEWQWRHSLTAGDVIDCFDNRVWQNATIVTAFNEEDKEYVVGFRVYDEKGN QYDSLNRRYFGWNQQYDERIKAVSPRIQKRNAFSRGQPSNPHCQEEIIHDCNDFLYPNTD YAVPRFQTKQARRSIVICEMINEFGRAGLFQYIMDQILNKCTIDFLHSYIVLLNNFQEML NREFVQTYIPQLFEAVQNNILQSADNNLRNFSTQKITDILQSLSNLLKRVFALQKRQEMI DRLDLDIAYKCFTSDFLERKIQGLKAIQELIKKTKDQYNQYGMQEWQKQAAIQMILEWLN EKKIFESLYVGSGNSHLVQRSAEFFKFLIEEKMISINSFKDIWYSLEKAEYEHKLAIFKL FKDVSNSLEKEWLDFLTDAVCSKDPKDVTKDDLELLLDIIKMNYRYKDEYIQKCCNYYWT VLKSGQLNQTMLEQYISYYIDQVTQYEMRPHKGTQISMIGESIVNGEQVNVGLKVLIKLI EKLNIQPEAWEQYTRNVALTDLESKFKIVEEIIKSIPELKKQKDYLDEIKIRMQFIQFFY QNISTYEYRLTFNIISSIWEQLVCQSSSQQEKDLVYKWFSALSTQDGQSQLTSMVPLQDL KSFFYEKMTNDLIQLTEEGFNCFKTVMTAINKQEDNGFGLDVLWQMILETENEKVSQSAI DFYLSFESTLDVLFNKLQENRNNNQKLHRCLLVLEGFIDQSEVNGVGNLKSLNALSQGEE LQIQISYEHGNQKKFTIKINDNQTIIELRLAISKVIKQQWDSIGLNSLKGEIKFTENGKM IKDLRLKKGEIIMVFRKQVKEIQEANLLDGDVLSEGAKQVFGEIFSEYSSEGKMSKEDCT RFVTGCTGNPCSIDDANIQRTFEQYDKDKDSILTLSDFLDFYTDSARTKKSTVWLNLQTL HYRNDLIRGDRVPLPQVNAQLLPRGQIVQNQRYLDLLFELLQNSSNEVQEKTWYLLRRLP PSPQLIKQMLTFENIQQPTDWDQILVSSHYRLLYSLYIIEFLMNQYDSNNLQALIDDQEI LVLKDKWMSKFLQLGGFDKLLQFFKQYQGRSVSTLPQIEKEILSFLLKTFQNYVIAACAT NVPNLYKASRGIQIIKPLDQVLLDIRQSEDPEEFKLLVQKLKESRLGDSITEKIEKFISV LINLIQELLKSNELEQEDRQIIEHSVIVIIVILLHNQELLTNSIENVEFINIFFSGIFTD KSDSVRNLFSRAILVLCHESQKRNNQPTKIILQQLISMQNQQANSSQYYELLSQLIDSAF ESEDSSQFIDYQQLTQQVLDGLINHKSIETRQKSTAVDKILIGLLNLLTKLHKFIKQPIH DIIFNDCLFSLSDEKEIKCKSNESRQAAFKLLYQLSNQQSNCENILLNLQQLSQKIPVLN RWNYIPSSDMRSSFGYSGIRNLRCICYMNAMLQQFYMTLPFRYGILQADDGQEPDMQQSK TGFQFDDNVLHQLQQMFSYLELSDRVDYNPQEFCAAFKDYAGEPVNIFIQQDAQEFLNMI FDKLENLLKNTVYKNILDGVFGGKTCTQIECQNCKSVKNKDEIFYNLSVPIKNLKNLQEC FDKFVQGEIISDFKCESCNQKVDVNKRQLLAQLPNVLIVHLQRIVFNLDTFMNEKINSRL EFPINLDLAQYTINQDQCTQYKLVGIVVHLGTADVGHYFSYIDIKNQDQWLEFNDHKIKE FKLKQMENECFGGQSNLEYNDNDVWGNGFRENSQSAYMLIYEKVQKDKIKLEFNSEEELQ KSLSKFDNYTIQPNNELLVDYNSFKQHIPTQYHKKVNSDNQQFLLERNLFNTEFMKFILD LSDFVNNDNANVIIEILIRFNYDLLARSYDNSISEQFNTKILQLIQQYPNSNYLDLIYFG KQTKVQDLLLVCPESRTRKYFGKLLSTLFNQAIQIQGEITEKIGEGLNQLFLMLQDQVPK NWTRFDQYFQFWLDFLQEGKLQVQYCLEKDMILYMIDFILDKSSPLQLYEKKTQMGNAYF PINCQIPMQIISTLLQQNHQLTLQEKKLLYSPKFYDKALKSAKIEELTPIILKFAYNNRY FSEIITGCIMRGLGGGDSDEFKNYLQIAKPFLLIKDNLQVERLEWLVGIPSSKQKESAKV YDNQFVEYPQFGLYGLTSLEEDYWTFSSPLGWQNSLFDQFCSNRTTKNLDNQCLLILKLL LMISLECETCFDYISKLPCVNYQYKYMEEIFKAFIETYQVDTKRFYSAFPRKQETEETKA YLDQYCNKLSCAKHVCQQSILLHNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXKNKAYLDQYCNKLSQIHNEFQPQFDYIIGKSIEVTRVRKTYFLYN PCTKEEMQIDEGSEGFVEIKQQIEEGNQHKVLTMEEKIYKTHICDNLPNGTTNEALPAQY VKGTQIHNYSVDPNCQAANFIQSKAWSTDSDDKAIVTNPRIADSVKQIQLQNHTNRNLHV ILEIKGEPNPCHYIPKSKIQSLMSSKSSTTMFTAIKHNCTQEFPPLQLTLVHKKQEPRQD SYLYLSSQDEMNLELL >CAK87628 pep:novel supercontig:GCA_000165425.1:CT868629:124837:132256:-1 gene:GSPATT00021260001 transcript:CAK87628 MLRNAVQSDRRNQGLDDQFGIQKKNVLNSPEVTPIRTLQRGESRNSQLIPSLQKITRNTG SRLSVVSRNDKRKSTINSNMSNKKRDKLLREYQDLFQDESFSSDSRSSSKSRISKQLKAF VDPKNIDKEIDEEMEFIHKTLNQRMSTISKQQKEISVLKGSQKSQLKQTTKSFKSMKQMS QNLHSLAQIKQSTRFRKENSIASSKFVGSSENQEMQSLVKILDRVGVPDQEQAQYTQIIE ELEKQCVDLNPNGAPRIKQKVRKTFISLFNQYYGSENPKKNDGDQVSLYSIAQNESVFFG NKAHESQIVKNQSNINVTSIMENVFTKKSNQKPISDKMKRLMDDFQLELNDNYDSIQQSQ NSSSEIVEDEEDEQNYEQPQMNNTQTLRDPTSQWDRQIFKYQKKYKRDPIYDPYPLIWES KQDFEWIFNDYKFYWDDYPLSEIRKSIVLVVRIINISLYKIISHHLFTIFVLGSIIFNIV FFVQSQDETLSQDVQDDLRSKKKIILILFIVENVLKLIGLGLFKYIWDLQNLFDLIILVL FILHFNYPDIMVVDFSTARLFRLLTVMSIFSKRLRIMLIAVKHSIKFLLEALLIVIIFSY FFALFGQHLFKGLFQYHCYIAEEGIQTKEECGYNLQCKEHELICAKSLSNPNVPTNFDDI FYSYEQVVRVMIFNEWTEPLYLSMLSFHDFTVIYYILIIFIIGIFGANLIIAVLKIYYSQ TLEEYEFEEIKENSENEDTILNLRIIKNYQIDQDLLENKKQITCNKVKDEQQTQIKTNLY GIPPKVLNYKCKFLSARQTREWEEIKNRKRGIKPRTSNIHNLIENFTISNIILSDQNKEQ FMQKLYSNEGLEKDYFKLFYIQTFKQYPLHSWRSVVNKNFVFISTSENDIFSVFKQNQQL QRKREREFEFIRKFALRHYYFIKKSEYSFVKQQNHLSETRRGNSETKSLSQRRIKFPIKQ KPKKPLTPLQQEFKKNFISQKISLRQKDESPSQCLNPEQVLINDDPNRKSIRKTTTVITK KKNTIKINDEECDYEEVKYRINRQIPDSSKQPLDFEDIYVQFRMEECRQSIIYRHNWSGN EVIYSKNIVQFKKLLFKLLNNQDTNIWLANLRGYLILIQKYAMIILKNKFVKVFFDSVVL TNILLLSLIGYVDEQRIKKINDLFILILIAELLLKLLQCGIIRFCSLTTNIIDTVILTCA FITSVEVMQNLEEENLYLDLLSSFQTFLVYRIIKYNSFAVKIAKITKKSLPSFCNLILLM VTLMFIFAFIGMNLYKNKFPLDTDLGLSQSFDDIQAAFLTVFIRAANDDWIGMMIMGSQY SYEIPTMFYGVISVYVLNLMTIGSILAIILDAFSTFSNHDEDQHKDDQYQVDTEISEDLK ILNTNEVTLNSSISSEKEEQQQPLQSEQKEKGLMEDQIVSTKMLQSTKLTRFYYQSKPGE DKKQLFQLVDTINKLVDKLFQDFQFFSNNESQLSLFIFSQENYFRKFCYRFIHYSIYIHF IQMIFFISLINMCFYTYYDDDHEHGNHQYQVISEDIESAVNVILLIDSILKIISLGFTQE KGAFTSEFWRLIDFIYQLCYFANCILHYSAFKYVKILKYTRPFRFLYLFEELKYINSAIS KSIVDLINILFVQLMVWLIFAIFGIIIYKDKMGYCEHPLNFGVNKEECIKEGNPWVIHLY NFDNLGNAMLTLFRITACDEWVYICQVCLNSRSEDLGPILYGNRWITFIYFILFILVGVL FFMGFFAGILFINFQTYKLILQKQILTKDQQLFADVTKMILMEVPNYSNPPNTFLRRLAS DIVKQPSYERLILLTILFNTAILTFFYDSATIQMQQKLEYIYQLLTCFLIFDTYLKILAF GLRRYWGFSWRRIEFFLSFIALVDLIMYLSYDWTKYYYYYTINEYYFIFVRLAFALRNLR TLLIIQQFRGLTRLLRILNYSASFLFQILCFLISILLFYGYIGCEFFGKVEKGEYINEYM NFSNIGKALIVLFKACTKNNWVRVMIDVSDRNKHCQEHESEECGSSWIFASTYFYTFLLL SSFVAFNLFITALVDQFEKFFHSQNSVLQTYIENIDPFRTVWCKYSSETKGKQMHSKHLA NFLLELGPPLGSAIGDNIWDAAKSASNFKIRADQNGYIHFQEVLYETIRFVYRNQIFRTG TPESIEAIKQIDKDVRFRLHYKRIDILDRREHIYDQMHIKGNFNILQEYLFLLMIYRTLR TYAIKTIAKIQNNILSPNSLKKKYFVYDSEEESEYNDTNQQMEGCQHQVSLEQENYEQYI QLEATKYITVRGQRKLKFQDETDDSPNQCPVIYLPQSTHREYSQNQSVRGKSALKKQTQQ AFNFVDFEAAEQKNLQNPMLDSSNINENSRSYMTDSLIDFEQQINGFHVITKQKPHRCRF SVSSYEESVGTESHNFYKGKQQKKREGRNYVV >CAK87629 pep:novel supercontig:GCA_000165425.1:CT868629:132489:133796:1 gene:GSPATT00021261001 transcript:CAK87629 MNKAFQRKHSDNSILKGKTQHNTQQRSPLSTKENDHKFKYPEVIRRKESKPLQVQTNQSD MRSPSAQSTQSPINKSILITHRSQSMFQDAQYIDYIITNKEVNQFLKKHFLHEHMHTNSS RQTNTKLICDSWDKVPVTYFIKALFDEYPEIIEDHHLNEKLNIKLKTLIKDLISEDGQLL SLNKLQIHTRHLGLLGLLLTALRDIQDAPTVNHNTPHNQSISHEQEVSTATQQQQQQYQS KFHKMASEITSSAENRINELVNLKLQQFTIKQKELEKQIQQLKQKATENFDLFQHEAKNK QVINNLTQQLQQKDLIIADLEQKLIKKWMNSSLEEEMHSTKIAQPKASPIKIQSPSQSPF NDSNQYLQRMINNLCTVWDLGCVNEDYRFAQILTAQENNQMPYQHFQLIPIIKNNPWLQ >CAK87630 pep:novel supercontig:GCA_000165425.1:CT868629:133855:134766:1 gene:GSPATT00021262001 transcript:CAK87630 MNNDNNVILDLYYEIITLLPNFKISDQDHYLINVMQLFLKLTVSILQIKTVFSISKLKEW GSIKRQLTEQQNQFIKNLITQIVQINQYSIKQVPQSKLWNQQQIYHDQYMSEYNNKLIEN QVINNTLRKQLNQIHNKQNTRLSLIIRIIQCFYYNDVEELIGLMQSIQGETDVDIYLSKL ILDCDCINLILFPSLFNPKFVLKSITNLLQFKCPGQFYLLYTKQVCQIRNIDILIELLTK MHNTMNSDVVIKLWDVLGAIAKSTVLKECKEGLQFVIRLYSNSHQATILHNIQQVQKNLA IPI >CAK87631 pep:novel supercontig:GCA_000165425.1:CT868629:134807:135992:1 gene:GSPATT00021263001 transcript:CAK87631 MSILNQHQSVFPHLLTIIDSMRVYHESKLWKQLSDQLLIYIKDPQVNQGTHLKDLFEGFV KKFYQEIDEMKLVRFLIKAAEQYGDFQSRIDFLKQFKLDEQPQLVIDILIAFFKLQQGKL QEVDELLKQYKQASEKQQEVDPLVYSMLYYLAYNFYKIKNVYEEFYVNALQYLAYTNDQD MLQEQKVQLSYEMALAVLISPNIYNFSELLQQPVLVSLKESSQYNWVYQLLDIFNRGSVS ELKNFQWNEERKGVIPNFLILNEKIRIMAFLELAFSLPKNNRVCTFEELAQVSELPLSDI ERLVMRTISKGLVKGRINQVKQTITISYVVPRVLTLDKIEIINKKFGNWEKSLNVFLKEV EDVRKTFN >CAK87632 pep:novel supercontig:GCA_000165425.1:CT868629:136049:136673:-1 gene:GSPATT00021264001 transcript:CAK87632 MKTAISSISSISNNCRATCKSEQSITPLRVPCNGGSQQNCLSKKSYHCENLIESVLIEYK QLCSWVIREITQKLDQNQAISKINTQLFQFERFLSKKLDSYAQEVSVLKMIHSENQNKIK QLNVTNEDLKEKLQQVSNNLSDPLQTCTPLKKKQNYSIELITKQPLRNKSYSLQVPGHDK ENIGVYMTKKVSLKPKFKI >CAK87633 pep:novel supercontig:GCA_000165425.1:CT868629:136704:140798:1 gene:GSPATT00021265001 transcript:CAK87633 MSTKQIKAMIIKNFKISFRNGELLQDILIPIFVACMLSLKGIAMMQNIEQLSSLNQILPI LYSFAVLPTSRSIMVHLVEEKSKKYKELQGIMGMSQSAYKIGWLLTGYLRMTIALMFFFL FQIIFNYAVSLDWEFYYINSFAQLMWPYIVYGYASINQSFLFASLMNESRIAGEVATFFC VGFSFFIFLTFIQYAATSILFYILVGLLSPQCNIGFEYITALQVGVNRIGATVAWFDPIL KQPMNIFPVILINETYDLQASGYQLIVTMLLYFFLALYFDQVIPNEYGISQHPLFLLGMK YKENQKNGECLLSEKSKDATSAQYYENLQEHIEPSIYIDNLTKSFNNKVVVSNLTLRLYQ KQIFCLLGHNGAGKTTTISMLTGLINKSKGRIVMYDMNFDTQLTEIRKHIGLCIQQDCLY EYLTVREHLRFFAEIKQSEIREIDEILEKTELKHEMNQIVKTLSKGSQRKLSLAISLIGN SKIIFLDEPTSGMDAFSRRAIWNILQNIKQEERTIILTTHHLDEAEILADRIGIMQRGEL LAEGSCDFIKKTFGEGYTLNLRKESIKYQDVSEILSQGKIIPESCHKSQLTFQISFEHQD KLETICENLESQGIEIDLRLNTLEEAFVKIGEQEQLELSKSNSKKSMSLNIIENAEEGYQ QFIENVPISVRDKPVFSLWSQCLAMFQRRFYTTIRTNTNILAFFMPLLTILLGLLVAKFV QFSGRLSRNDEAQLFLKISILSCVGVIGFTFNSTLYVTLPVLEKECQLKEVMICSGCRIL GYWLGTFLFDFIVYSIIIIFFLVMGAILSLEAITSFWWQTVLIYLCFGLSYITCIYLCSF LFDNVAKAMKLFVFYSFFYGFCLPMVLLGLTNFIYHVDSIDFFQFLVYTFQVLFMLVYPF YNYYFAYACMPRLTKGLKAELGGLHLITYESYWYVIMLIYQFFQYSLLIYYLERRKMKRH YKLNPDNTDVHLEDDVYQEQQRVLKGTDDIIQAQSIFKRYSNQQQFALNNISFGLKQGEI MGIIGPNGAGKSTLINVLTNINQSTYGIVKIQYADQLHVGICPQYDCIWENLTVKEHLHV FSKLRGLSGENQQEAVQYFLQNAELYSFRNTRAGQLSGGNKRKLCVALALIGGSDITFFD EPTTGVDPISRRTLFKTLKQNVSIRNCSVVITTHTIEEAENLSDTLGILIGGQFICYGEP NYLKEKYSDGYYISILYSDQYQDTEILDMLKQQLNNINQIKEVRRNYLTVNIKIISFHST FKLLNQLKQKKIIEEFSISKSSLENIFVLFTKQQNEINDQLGVQVE >CAK87634 pep:novel supercontig:GCA_000165425.1:CT868629:140843:142226:1 gene:GSPATT00021266001 transcript:CAK87634 MQFIKNLLGQCQGGNDSKQGDPIEKQNNQKHNKRNEENYNDQANSESDAKSDVKTSGSKK NSRSSSAEKQTQQQQNYNQNQSQDQGQVLKIESDKQFIQKSTESQNLPSQSLQHISKIVM EDYQKEQNKDNYINQIYSYNQTNEQLKQNLNQANQNLCNSQNQDTQSLHNSQNQAYQNLN YYRNQTQSQIRCQNSPKRSIPYNQRMDPNQNIYAQQINDQQFNQQNFQGPSLNTIYFPQF ENKKIKILHNANQNANQNIYQPPTYQMQEFENVNNYYKINNNKNESQLQSQVRQSNQSSN NQNSNIQNSNFYNCQENLSYAQTQLVKCFYCSKDVHKQYIQLNCQHFFCSDCLKEFLKNQ SKQPNCYAYKCLCSCVIKLQVLFKEKEKDGEVTMLKEKLINNQLNALRKNIEQKYKLKTC CNIQRCSFFVILQQNLDQKCFYCPQCLERQRD >CAK87635 pep:novel supercontig:GCA_000165425.1:CT868629:142269:144180:-1 gene:GSPATT00021267001 transcript:CAK87635 MIIEIKQFLNGENDDIPNKIQEISQTEEGFKFWKNVIFKFRKLNTSQIEKIYHLFETSYI KNIPEISSQEKLTEYLQLCRLCSNFTEGKYIKFEEIYKKLLQQQQDFIYEKLLVLGEYEL IHQGLTIEQISQVKPLYLKKFLKYILLNKLDEYIKYLSLIKQNPQLLLKLALNAKVKQNT EQILYNISKETTIHKDLFDSLILNWSNKNFIKHASFKTQLYCSHLIVLFINDGFNNDLIH DGIQKRLNSQANIPRKCGILVWKAFVKSLNIQVEDGFDELNDDEVDEVWNEKKKEEIIQK ENETQIIQPAQDFHDFEIENEENEQPLLVKNLYDCILALRSEDQSRIEQALKHLPYLIRN SLDLHIHGQTLCDILILNRDWKNSQEEMRIKALVALSIMQPLITDHLIEVYFSEEISIMQ RIYILKIIRESAIELSSNPKKYQLENYNNFEKSQNDSFYFKQISTTYKTEEQAAIKIRPA PQSRRWGHTKRAYEGLQIVSPYINRFHQLTEHFYFPLLIYSQSKHKLLLAREPLLMLNFL EVLLRMLDCGQNALHISKMVFEAFDFLLNFDKNKNIEIILMKLRIILKITILLKGQFDDR LEKILSSLKYDDPQAKQLYDLILQNLGF >CAK87636 pep:novel supercontig:GCA_000165425.1:CT868629:145093:145774:-1 gene:GSPATT00021268001 transcript:CAK87636 MLFLIRHGERADDSTNAEKQRIILPFDPHLSLNGEAQAKKTGKYIRNILKLQSIENIILV TSPYLRCVQTIIGIASQLEQQIEIYIAKGLGECFRQDWFEKDILSELHYYKSSSYEHVFK QYPLLNVPYQEVIQKYPESIQEFFGRFSENYKRMREHFSNKNILVVTHGYGVHAVNMLEK QIISNNADYCSVNVISYQKDNADYLLLNSTAHLKKSKL >CAK87637 pep:novel supercontig:GCA_000165425.1:CT868629:145814:146318:-1 gene:GSPATT00021269001 transcript:CAK87637 MQVRTIDNQIVTINIEAFLKYSELFRFVYECEQTNDVIDMEIQSGILLKIDQFLTQMLPE NPSVIPKPIPSTDLDSFLNPIESNFIKSLQIYNIERQLIRKAADYLGIDMLINLMQVSFA ILFIGLTPQQFIEKYQLKVNYTQELQNNVDQIFEKIIIH >CAK87638 pep:novel supercontig:GCA_000165425.1:CT868629:146353:147922:-1 gene:GSPATT00021270001 transcript:CAK87638 MGACHAVPKSNQTNNSKNENSKSRRKIPSASAPVSPKAIPQKSTFSQKKLTHSSNCPQQL LKLHKVAISQHYSVIYEKVPSKNLNANQSFVQNNLNGKIRIVETLPKSVPEHSEYINKLL THNLNHPNLIKIFDIYEDIDTYKIIFENYTGGILYMKNDGIGMLEETAGTIIKQIIDVIH YLHQHKLIHGNLQLSSFAYNDQKDQNTIKLIDVKSIFVKEPITITNALYTSPEGFREQDI KAKPRDVWSIGVIAHFLLTGFLPFKGATVPKIYADVRRGILDMSSIQFDKISSESKEFLS NILVVSPANRMDLLSLTKTNWMIKQKKRFENKIKVDLQNMRKLKKMSLLQYCILQYMVNQ YLSEQSSSLFQTFNDIDANKDGKITKYELMNAYQKLYENYEDAFQVVSEIFSNVDQDENG EIEIQEYILALTDRKSLLTEDNLKDTFRVLSNRKGHITIQSLILQINVKEELIEAEFVKL QKKNISFQDFKLYMAELI >CAK87639 pep:novel supercontig:GCA_000165425.1:CT868629:148499:150057:1 gene:GSPATT00021271001 transcript:CAK87639 MGSCTQKLKQANREINQKIKKNNEISIQLSKNKSNQSLEYIYEKGKKKVVKQIDQSMNIQ NFILIQTCKFSKVYSFVSNTQNDGLAQVLGKGSKENIQIMQNNLTGRFRLMETLRLYDYN TKQYMDNLIANPLSHPNISQTHEIYQDDESIHLIHDYCSGGGLNGINFKQGQGIAEQIAL KMLFQMIECLNYLHTKGFNHGHLTYNSFSRIDESENCFIKLTDLKPVFIKPQITFEQMQY LAPEIIDDNQDYSLARDVWSIGIIFFKLLTGNLPYVSTQPYKLRLEIRKGIVSFTDLQFD KISSKSKCFLNTLLQYNPSERSDLNSIIKSPMYRRLISQTKEMSKQSSNMLMNFKQANII QSLFLQFLVSQFCQNQQNEIYQLFNKYDKNGDAKLSKIEICDLLYQQLKSKEQAQKQVEQ IFKAIDTDHNGSIDCHEFIRCIVDRQALITTPNLKVIFKLLSNGKGSIRKKRFMQCFSVN QKQADDMFASITKSKRITFKVFSRTMMELV >CAK87640 pep:novel supercontig:GCA_000165425.1:CT868629:150103:150848:1 gene:GSPATT00021272001 transcript:CAK87640 MEEPLIQNEEIESKIPNEKVMTRLIKGEFRFERRQYIFLNVNTVNLVLTIYNLIGPINIE AQKSLIFYEDTRVPLNDQDFTLMNLLNALIVVHLLRIFTCLLGFFSVSQKSGKIMTYFMI MSTVCVLCRGIISLLIVLNYTAIKDTCNLIFGGGTDDIGSLMAMQFLVVLILFVIAEILL ALISLIQCSKTKEEYRIWIKHKERMMKNYELCYEVAICQLDE >CAK87641 pep:novel supercontig:GCA_000165425.1:CT868629:152107:153106:1 gene:GSPATT00021273001 transcript:CAK87641 MSQLIQILNQLDTHHLYHGQLSIDSFSLVDEKSYYVKLTDIKPIYAQHRTLEPSKDLDDQ ITYKRYQPPQEHSSIKSDVFAMGIIFHQLLTEKLPEKIKIINNQPVWNPLTKLQDENDEK MKKLLKSMMLLDNSKRISVQQLAEKKFLSILNNKDLIQEFLDKISNCPSLNYFQKVILNF MISKFQTQECKIIKQLFQILDENNENFLHVNQLEQICKNSNENKVEFKIDSKAANNKNDQ QKNGKKISDNDFLIALSNRDKLLTEEYLETTYNLLKNQNGLLSAKSINKKIYIDQNQLIN ELEKISFNGQITINEFKDIMQILK >CAK87642 pep:novel supercontig:GCA_000165425.1:CT868629:153461:154999:1 gene:GSPATT00021274001 transcript:CAK87642 MGSCNTKKKEKKQQQVVVTTQASQDKPRIERKQQKIQTITPILAKTNLSDTINESVISSR SINKGHERQKNLIQVRPGLFRVYTLLGNKADSFLENLEERQIKIVQHNVSGIKKRVETID KQITWAEDCISQIQKNRLQNEYICPITNIYEDPEKYYLLSDYCSGGSLSTLKGKLKDSQV MILLNQMVSAISYLHSKRMVHGKLSLDSFHLLSDLNSLFCKLVDVACLFNCNQQVKIEPS LEEYSQDVYALGVIGYQLLTGQMPLYINRNNEQENNENQNEILYFKEETSPSLKRILKKM LEKKLNERITMDEAKKQLSKNEQRNNYQEVLIKPLYVLSKCKPRNYFHVIILAFMLNKFN QEEECMLQKIFNDADLDYDGLLKKDDILKLYKSVVEYESINVDIQQLFQKLAITHQDSID INEFISASLNLEDLMSQTYLETCFKYLQNQNGFITCKSVKRHLEINDKLFIQAIEELKGQ HKLNYSEFLEIMRQLL >CAK87643 pep:novel supercontig:GCA_000165425.1:CT868629:155911:157903:-1 gene:GSPATT00021275001 transcript:CAK87643 MTEEIESHIIQKYEVLQKMGKGAYGVVWKAIDRKTKQIVALKKVFDAFHNATDAQRTFRE VMFLQEMAHDNVIRMTNIHRADNNKDLYIVFDFMETDLHAVIRGGILEEIHQRYIIYQIL KALKYIHSAEIIHRDLKPSNVLLDAECNVKVADFGLARSLLNQVEESAILTEYVATRWYR APEILLGSTQYTKAVDMWSVGCILGEMINGKPIFPGSSTLNQIERVLEVIGRPTTSELES VQAPMASQIVNNIPKGQRIGFTNYFPKATPQALDLIRRLLSFNPSQRISVEEALKHPYVG AFHHNNQEGTTNPIIISMDDNKKFSIKEYREALYLQISKKYETKFENKFETKYENKFENS FKNIEQNQQERKRTQSFQQASKKPNAPSNSTLTNEQNIIKQQDPNTQHVSQNYLKEYLSN CSKDYLGQQQSQQNVNMSKEFISGQLGKEINKENYKSKNLADVSINKMERDNSISKKQII SPWTQANQKPQTATQQQRPPSVSVYTTMNQASSNQLKSQIPQQINNNVCAAQKRISTKMP TSGTSTSFYVPPDKNNSSFNYGKKISKDNSFLGDHNTSTQSNIPQPMHQKTVSMNYQTYL MQKGISDQRSKQHLVNHQRTQSSLGANNSVLINRCKEFLQNIQAIKK >CAK87644 pep:novel supercontig:GCA_000165425.1:CT868629:157931:158312:1 gene:GSPATT00021276001 transcript:CAK87644 MKKHKSNIKYEDKDENPFLLFKMKMSKKLSKSFPISFQQLGMKSCLQIIIVNERLSLSYN LIYLEIDAIKSIQDYENQILNMNNLNLHILLNMNNESNNLQQNFMPVIINLIFSFLRVL >CAK87645 pep:novel supercontig:GCA_000165425.1:CT868629:158635:158937:1 gene:GSPATT00021277001 transcript:CAK87645 MNQQSKHKSLPPKKIIPKHNEFKDIEHCPQAIKQIISNSNASHTKNFHKSAEKDHQQPQE KKHLHSTVQQYNQNVGKMFTSTKYGVAYMQKKVMHNIDQL >CAK87646 pep:novel supercontig:GCA_000165425.1:CT868629:159216:160704:1 gene:GSPATT00021278001 transcript:CAK87646 MSTNQSHIRSWQQILSDISSCMIQLSLGYICVELMMSINLHFLNLHTTKTNVAAFGIAWM IITILLLPFGIGLNQALNTLASQAIGMGKNKLSLIYLNFTLSSHVIIFIPLLLMILCLKT PFSYLIVEDREETINTAWELIVPLSITSLALLIFEGLKSYLISCEVFRPFMVIHLITLAL HAFWCWLLVSSYGIYGVCIATLISECTNILLLLLYVKQEEELQIKFKSFQFKFLLLSHFH IYKEYIKNTISIIVHIYLNTGIFIILSFTAISLGIDATNAQLALSNTSSLYFRVPLCLSI ALMTYVGNQISQQNIMNAKNYIKYGLILYFSILVVIMMIFYFYHLEWAHFYTKDQVVQEM LLKTLPYFLLGSVAIDGIQIALSGALKGLDEGKLVSNYTLFVAYFVGIPLILILTQIFDF GLIGIWLGFGICNFLLSLLFALKLLKIDWDVQAKHIKEKVDKQSQQMETTTELQDLC >CAK87647 pep:novel supercontig:GCA_000165425.1:CT868629:160771:178567:-1 gene:GSPATT00021279001 transcript:CAK87647 MTIMNWWSDGAHPAPALNITQLENVIIVQQIVILVLQENTFQEMNANHALLRVLSAILRS IVLCVMLDIGLIIKLVQNVMIDVKYVLLKLNVNLALVGITQMEAHVKLVLMDVIYALMLL HVHLASKIIIWMVFANNVLLGPFNQVMLALNATVLVKLVRLMALIVYLANKKYYLMNNSC SPCIFPCDHCESETACSTCVPEYYHDSSTHQCTLCDPPCKECSESGNTQCSKCHYGYYLN SQICHQCVEPCLSCLTNVICQSCTDGYYFDGSVCQECSPECALCNGEQVSQCSSCKETFY LDTTTCQHCPTKCESTCDFLDNSVICHACALGYFGANCEECLASCKTCADMPASCTSCNI GYYLNGQTCTQCSEHCVTCADTSGDCTSCDNGYEVDELNKCSNCSNCTCPEQQYYDSIDL ICKDCDPICTLCNGVANNCQSCSPTHYLNGSTCTKCTAPCLDCSDIATCQSCVLGYYLDG TDCFKCDLPCVNCSTQGNTDCQSCQNGYYLNDLICEQCDLNCTLCDISPANCSDCQIGYY LDNTTCKDCSAHCNQCDSDTECTSCDDNYELVVGWCTPCTSTQYYLTGKCYNCSTNCNSC FDNDTNCSSCSPGKYLSGNECQPCITPCIECNTEIDCTVCDAGYWLDNQACTKCDDRCQI CTSETQCESCAGGYYLDGGSCETCPDGCNLCTNATTCTSCQQNYYLDGICKQCSPGSFQL GDACAQCNSPCETCEIDGAHCLSCQQKYYLMNNSCSPCIFPCDHCESETACSTCVPEYYH DSSTHQCTLCDPPCKECSESGNTQCSKCHYGYYLNSQICHQCVEPCLSCLTNVICQSCTD GYYFDGSVCQECSPECALCNGEQVSQCSSCKETFYLDTTTCQRNLYVLIISQIVLQNANQ HVISQITLQYDHACALGYFGANCEECLASCKTCADMPASCTSCNIGYYLNGQTCTQCSEH CVTCADTSGDCTSCDNGYEVDELNKCSNCSNCTCPEQQYYDSIDLICKDCDPICTLCNGV ANNCQSCSPTHYLNGSTCTKCTAPCLDCSDIATCQSCVLGYYLDGTDCFKCDLPCVNCST QGNTDCQSCQNGYYLNDLICEQCDLNCTLCDISPANCSDCQIGYYLDNTTCKDCSAHCNQ CDSDTECTSCDDNYELVVGWCTPCTSTQYYLTGKCYNCSTNCNSCFDNDTNCSSCSPGKY LSGNECQPCITPCIECNTEIDCTVCDAGYWLDNQACTKCDDRCQICTSETQCESCAGGYY LDGGSCETCPDGCNLCTNATTCTSCQQNYYLDGICKQCSPGSFQLGDACAQCNSPCETCE IDGAHCLSCQQKYYLMNNSCFFCIFPCDHCESETACSTCVPEYYHDSSTHQCTLCDPPCK ECSESGNTQCSKCHYGYYLNSQICHQCVEPCLSCLTNVICQSCTDGYYFDGSVCQECSPE CALCNGEQVSQCSSCKETFYLDTTTCQHCPTKCESTCDFLDNSVICHACALGYFGANCEE CLASCKTCADMPASCTSCNIGYYLNGQTCTQCSEHCVTCADTSGDCTSCDNGYEVDELNK CSNCSNCTCPEQQYYDSIDLICKDCDPTCTRCQGISTNCQTCSNGYYLDSSTCKICIAPC NMCNALNVCTNCDSGYFLENDSCQPCQLPCINCLAGGNTSCSLCQTGYYLENTNCSLCSN HCNNCNSSTQCTSCDIGYEIINDQCIACQTNQYYLNFKCLSCLNPCYSCEQTESQCTSCT DGYYLSGTQCLICNSNCKTCQNQADYCMSCTIRQFLSTENKCIPCLSPCNSCVNSATSCT SCLDLYYYEANSCNKCVSPCWTCESLNICKLCLSGKYYDQTQKNCLDCDKNSCVTCVGAA TTCLSCATGTYLENNTCKSCDPKCVTCTSLTICQTCSVGYYYNGSECVACTSPCIECNSG SICTKCQNDLYKLSLSQCISCSLPCKTCDQDVCKSCINKYYFDSSDIDTSKKCKLCSSPC DQCSAQNSCTTCVQGYYLEGTSCIKCTNNCSTCETATKCITCVSNSYYLTTSNTCLSCSN MDSSCVTCSGLNRCLTCQDSFFTLNQTQNGVITSTCQACSVKCSTCTQSLSQCLKCAGNR QGTPQCATCPYGFFDGGQLNCEQCNTKYCITCSGSAKNCLACINSRIQPPTCICKPGTYT SGDDCIQCMANCSTCTTSNQCTLCNTGFYYKQNWDGLGNNICANTCGDSFFQDVNNQQCI KCPISNCKVCISTGDTGCTSCLPSIAQTASDSMNNVCTSTTCSLYLQQQRQCLIQCLPGY YKNTDFTCSICDKACRQCQDAATACTECYPNMYLQYTTGQLVKGLCMPECQTTFYQRPSQ TPTVSGGICASCHSTCKDCIDDQETSCTSCSAGRYLLNKKCLASCGENAGYVANTNTNRC DQCSDNCTSCTSTSAQRCTKCASTHFFLLNQCMALCPSSYYGDVNKVCQPCNTSCLTCDG PLDNNCLSCGQSIYYLATTKKCTTLCPDRFYGKSDTFRCENCINGCLKCINPLDCQYCDE GFFLNTLNSLNNCLAQCPEGYFGAVSARACRLCDPGCKTCKGSTQADCIICSGGRFNYLG NCIATCPAGTFLDNVNMRCDTCSQGCASCTGIGMGNCNTCDFGYLFYNKGCYISCPTGSY KTGTTCTSCISNCAVCNDTISCQRCNDQTFYTGILCTTSCLSNQYGDTLTRSCKQCDPSC LTCSGAKIDNCLSCNTTFLFSNSCNQYCPDGYFADAVTKTCKACLSTCATCTNSSSCTSC SQESFLIGTPSLCQTSCPDGFYGDQGTRICQACYAGCKTCFGTIADKCQSCIATTSPRLF YSNYSCNQTCPAGTFPNSLNSNCDTCHQFCSQCVDDTAKCTLCVINRFMSPLSSDVNSPC LTVCPYQYYGDITTRTCVLCSANCKSCTNSSADNCTTCMNSNINNYQKNYFLFQNTCVTQ CPKQQVMNQDGSTTDQQLYGDIVSDTFSFNCVLKCPSLTFRNNQLMICEQCHASCRACDG NLNNNCLACFTGFYLYLGTCVSTCSKGTYLYTVTSSCVPCNSKCKECDGPNATDCTSCSA SSVKQGKECLDSCNQGYVAVDQVCTSCHYTCNHCIGSDIQECTSCSVGLYLQKIQESDQS GKCIESCNSSYYPDTIYNKCKVCPSTCLTCDGPEEDDCLTCIETLMFMGGVCSDVCIDGY YLNVDQCAQCNISCKTCNGPSSNQCLTCSHQLFLFNSQCLISCIEGYFQNETTNSCEKCM NNCLTCENNATCSSCDASKYFLLEEQCYVYCIVGFYFDATQKSCQKCHNSCFTCTGSENN QCSSCKTDYFLKLSFNSYTSDYDWTCEQQCGELYQPDIQNGVCLYDNCDSSCSTCLNDQA TTCRSCNNLILSNSQCLSGCQDGYYVVKNQCIVCNRLCKICQDNSTVCSTCADFALRVED SYCVTSCPDKQIKHSTQQICVKCVSNCSNCVYDEDLLGSTKCIQCESTYFIDLTYDSNKA LKNVQCQQTCPDGKYNNSDSFQCSNCDWTCKTCSGSTSLDCIKCGTVVHPKTSASQIRYM EDGICRITCRAGSYAYADDLNGNICLACNETCKTCFGGLDTNCNSCYATTYLSPNDKCVK DCSSGYFSNESNQKCEKCYQGCSKCDGVKSTQCMACEDGYYLYKDTCQTDCPDEFFKANN VCSNCDKFCFNCSGKESNQCTSCPSDLYFYPEQNTCYIKCPLKSFLNLTTFQCQACHSSC LTCSDEKEESCLSCPQKESNTNIQTYLLNGKCVPNCGNHKFGDPDNLVCQECDVQCFNCQ TQSNNCIGNCPGNRMTLPQCDCPTGYMIDSPTAECLLCYYKCSTCNEAYINCLKCAANRN QDAPFCSCPSGYFDDEDNKNPICQPCDWQCATCQKKKDLCVTCKGDRVGSNCVCPAGKYE DSSNQKEMCSTCDFTCSTCAVKSNYCTTCKGNRYSALLPDNPLYKLCLCQDGLYEDGKST NCPQCDFKCTSCSGSSSNCLKCRGNRILTEQCTCDAGYFDDDVNDKCQKCDLQCVTCNKQ GCLTCAGNREGPNLLQICNCPATGIDRRQHGYAECGTCEYGVPYIKMRDSLESMTIIFGG IIIFKGINDATIPSRDVCQKLFNEDGFAKLGSGKPLCNVDPNNQKQLIVVFGNNAQFLIG ATITLNLKSVIGRKDCLGIYYTNILVAKINGPDTPAPASVKFTGPQFSNLCSKITFFPSQ VFNDGGRGLNMKSWSIVSIEPENSSARGRIQGLFDAANSAKINKIEIPPMFLSEYSKYVF KYTFTNFLNTEFSSEYTLSTTTYESPYVQIEELAPHVYFTNARIKLTGTIIHQSCISGVT EIIPSKINYEWTSNKVKKGDVESYLLQDIQTLVGTKPSDVQFVQFEIPPYQGRGGFTYSI TLIATLTTKPISANYTVDITIQTQGLQVEIEGGNRMNGYAVPLYINGWAKDPNIKTDQSV GIALVWKCVNLNTNLPCANVYDEEIPLNRTNTQLVAAKRLVPYNAYNFYLNGTKGELFEI AQAVIVIVELDIPVLELKRPEYLTSKRVNMNQEISIKFLYPTNNPDSLYYGGAIVYDFNV VATLRFFFTSITIKFWDSFYELNDLAQLGFRASVYNPQFFMPSTTTLLISINLPPRSCRM SISPISGISFDTQFTMKVTDCEDSDTPFTYKFTFYYSPTQYNNDVLRASLLNQILLLDYS SDNELSTILPNPLKDSAVTQPFLILMVSVSDSLGALTNLTSSVVVGLNLADLKHKIQVAE YLKKRESQKDQFSPLPYFFRSRQLVEITDATDKLIKLYQNRTTLTIPEQINLLNLLAIGV KDITIDNPTADQDANETAIITQEQAKLVTLKQSILASLKELQSQDVSVATKSALTKSIEE LMNDEDIQLNMNSANMDSELDKADSIVSSSTTGVGNLQGDIENGNADFKSFRIKENLQND IMRTAGLLNGLLAAGQNQIDSIVSTSTTTTTTTNSTDEAWQKMKENNAKLFKVQESVKFG LTQTADPNAPPKVFSGGSFSMKSSIATPSRMAEYLAGADPTKVKKDIKISDDDESASSDE TNIQTVTYNQAEFTDNPYATDDSFPSRSLPASVQNIEPKLANGSVIIPKQPIPMSFGVTA AKKAARLRRLRRLYGRRNMQYYQDLYELDEEPQSQAIFCIGKSADGNWSGGGGKCKSIQI VDATGAITITCQCEELTPTSVGDDIADALAFDKFAKAFSVEALLALLNFPFYKSVIVYAL AFMTTALVLSVQYGFKKDKEDTEQKLLAPHFVESEFIRIKAEENQLKRVERHKLRMEKQK EMELKLQKEYEEQLRLQQDQQKEDEEQNFELHPQKKDIQNQQFPLKENAPEDIVMIQPDI EPEELMKIREINEAKEPINIEVQNKSKLTDNSDSDESDLNPNQMVYDEEDSENSDNMESI YEVPENILEQKHDHGQDEPEVAQYFNSLEPQFFNYSLSIENIKQKNTSIKQQQQQQLQQQ QQQQLIQQQSQIFSDQQQCIKSLSIADLEQFNQELEQQFQMQDIEQQQEQQNQQEQQQKE EEEEEKPPQCDMGESQQYGLHPELKESPVIHVKGSSTPPYCPEIYTNELPNLQDDDGCAL SAIKVTEMNQPAKNNQEDSFDNDIKEAGQSEIIEPKQNRNQAKKKRKRVKRKTNLKLQDD LDVSNDEDDLKEEPEPPYEIKEQIVQEWHRDYKRRKFLESGCQLKFSLTNVLLFMALFHK LLCIFLVFERRLPRPIRFCVLYMTILNTCYINIFFQVPLEPLQSIIFSVFSAILSNFEMF VILFLMPHKVFVVRQIGWGIFIGLTTLLIYFILISMALEASDSGGDITRSNMWGLNFIMG FLNTHCVSDPIKLLIVFKAVQLSLTGATGLLQTILVKIFVNPATNIFFEMIEV >CAK87648 pep:novel supercontig:GCA_000165425.1:CT868629:178594:179094:-1 gene:GSPATT00021280001 transcript:CAK87648 MFKQFSNVYKIIDCSNCTCPEQQYYDSIDLICKDCDPICTLCNGVANNCQSCSPTHYLNG STCTKCTAPCLDCSDIATCQSCVLGYYLDGTDCFKCDLPCVNCSTQGNTDCQSCQNGYYL NDLICEQCDLNCTLCDISPANCSDCQIGLLFRQYNLQRLLSSLQLM >CAK87649 pep:novel supercontig:GCA_000165425.1:CT868629:179202:180855:-1 gene:GSPATT00021281001 transcript:CAK87649 MQTIKKVICSPPCSLEKCGQCDNENINCIECIEGYTLEESQCQCNNVYYLIACVSNCIDC KSDVNTCTQCQEGYSLVSNICCVNQMCLESDEICNCQSCQNGYYLNDLICEQCDLNCTLC YYLDNTTCKDCSAHCNQCDSDTECTSCDDNYELVVGWCTPCTSTQYYLTGKCYNCSTNCN SCFDNDTNCSSCSPGKYLSGNECQPCITPCIECNTEIDCTVCDAGYWLDNQACTKCDDRC QICTSETQCESCAGGYYLDGGSCETCPDGCNLCTNATTCTSCQQNYYLDGICKQCSPGSF QLGDACAQCNSPCETCEIDGAHCLSCQQKYYLMNNSCSPCILPCDHCESETACSTCVPEY YHDSSTHQCTLCDPPCKECSESGNTQCSKCHYGYYLNSQICHQCVEPCLSCLTNVICQSC TDGYYFDGSVCQECSPECALCNGEQVSQCSSCKETFYLDTTTCQHCPTKCESTCDFLDNS VICHACALGYFGANCEECLASCKTCADMPASCTSCNIGYY >CAK87650 pep:novel supercontig:GCA_000165425.1:CT868629:182232:182996:-1 gene:GSPATT00021282001 transcript:CAK87650 MNQLSQELQSLRLAMNQKSRSNIEEEVQDEQDQGTIYCDRYGSGIYKSVSSYRAHIYQKN DGKTLRMNMQGIKDDNQDILNKLLTQDGQCIQILQWNFILINENTYKAIKIYPHFISLKE ARNEQFSKALYYYNRQQSTHFGGMTVGFSKQFQSRRLQIYIFQTCSVILHMNGGLQLIEE LLSDAYKFQTQINSIKQFSIIYEYKNTEIIMKNNGSIKGHQDKLSAETQTQTCQTDSQTS NQHCKSVYEILDIG >CAK87651 pep:novel supercontig:GCA_000165425.1:CT868629:183001:183514:-1 gene:GSPATT00021283001 transcript:CAK87651 MIGDQTLANSNTIKETEEQIRRNSEKIAMIEERKKRGIKGQNQQGLKNNRMRMKEIAQQL GIEATDLKKGITHKNIAAETQEEETKEAQSMNNNKVERGEKIQPYWYISYTKYLYYPKQK RENKSLDGYQEISKGFKLIKRIVRKMEKSDTQHAPIELKALDA >CAK87652 pep:novel supercontig:GCA_000165425.1:CT868629:186164:186280:-1 gene:GSPATT00021284001 transcript:CAK87652 METIAALTKNTFKLTANLKPLDKDIVQLQQDYEIMKKN >CAK87653 pep:novel supercontig:GCA_000165425.1:CT868629:186315:187773:-1 gene:GSPATT00021285001 transcript:CAK87653 MSVIRENNKNRGKVYRCFAIFNCDRQEFTHIQKRRCNKSYESSYQDIRNQAELCFSTHSL ELSYKNAYSEWFGILDQPQKCYFIFMLFPQTNIQLVNDALNQLIKLVSSIPNYYHLTSEQ LDQLKRAEMRKLIDKLENEYIEKDGIMGNPSDDEQAGSKILSINPIKSNPPSIFRSNIQL QQSKNDTKELIQIQTVDKEYLEFGVYRGFAIFSVKQQKIIFQLKRGSKSAFSQSINNIEK LLMINSKQPEQNQKIFQKINSKAEWHGKYHKIDQCYYLIMTYQNADPEECHNTLKLAISR FSKNPNFVQYSQQELNDKYLWDISNLLKSSEKQYEFDQGYLGQPSDDEIVPKLDILKQRT FGLTKNYSSFSASKMELIQMQILPRARLMQLGETDLDSPIQTKETILTKLDHFEPLVNDT EDILQYGVITSLVILLIIFAYLILK >CAK87654 pep:novel supercontig:GCA_000165425.1:CT868629:187938:189089:-1 gene:GSPATT00021286001 transcript:CAK87654 MQTDYFSQRSANQNSQSAKNFIQQNRGPKTAPPAMYSVPCMNCENLIPINEIDQHTMKCL SVSKSVTAVLKSNRILDEINFKISKLRDSIQQLNSKESKQEIKKYLIRADEMCEQIQTIQ NTNQIELRKLQDLNQELRTMTESYRGSLAIALYLERLHSLGLQKQTQLEKEIRTPRVDIP KPNYNNINNNNQISSNQVSNNYSSYGSAQQLSTYRFQQPSEQSRNSQLPPSSQFQNSPYG RPTIITKFSGSQDRNQLHDMKSEILTKISTSQFDESEANQNDSDLNNSNQYSQQQRIFYS KCLAQKTKLSNSHPSQKIPLCILHKEMLQRKIPNSMWDKFIMDALNNPHQYLDMNKVQNT QGLKNQLRSMTQEHQFKQRIHNI >CAK87655 pep:novel supercontig:GCA_000165425.1:CT868629:189091:189923:-1 gene:GSPATT00021287001 transcript:CAK87655 MNNYHYLLKFIIIGDTCVGKSCLLLQFTDSRFRNEHDATIGVEFGSRNTKINDKTIKLQV WDTAGQEAFKSITRSYYRGSIGGILVFDVTSRQSFEGVAKWYQEIQGYACDKIEMALVAN KIDLDAKREVQTEEAQAFAKKHGFAYFETSAKTGENVDTVFESMAQTILKRIDSGEIDPS QEIYGIKVGPGTVEVQKKQAVTQDPQNPKPLTGYTTPAGNKKAEKKEESCC >CAK87656 pep:novel supercontig:GCA_000165425.1:CT868629:191055:193484:1 gene:GSPATT00021288001 transcript:CAK87656 MQKISDLPKRPARSQRLEQISRRINLISNHFPMKFIQQNQAINIYAVEFDPPIADDARKL RDEIVRLATKDLQIQGLQEFTLRGKNIWSSFNVKDRLIAKASFQELEYVVIAQHKKEYTL SDLSETTTNPVTQSINVAVKRSLKEMGMIEIGRQSKFYDPKNIECNRINEHGLKVWRGVK TSFQFYQGMPFLQIDFASRVLRDQNALEFMLSLNSRNIQDIKNEMIGLSVLAAYGNCRIY KIDDIDFSLSPVHSFQLQDGKSITYQEYYKQRYNIQIKDLQQPLLVFKDKRNQDKISYLV PELLTMTGLTDRQRCDQRCMQSVAKYTKLTPQQRDDEIYGFYQKLKKQLSKQNIQLSDDQ NVCGFQLQAPLIYMGNKEYQTDQSGFFMIKDPVFQGSHIQDWFMVYHSRGKNDDDDVDFI VSELQKQGERIGIRIEKPYFVMLKDNNIQNWLQRLTAEIGDKPPQLIVTFVNERDKDRIY GHIKKYCFQDQGISHQNILSKFLKTKNPSSVASKIAQQMSMKLGNPLWAIPKPNGISDKT MVVGIDIYHKLLTNRKSCMGFVAYLESECLNTFAKPIIMREGQEMSQEVGRVIVEAISAY FERNGRKYLPDTIIVFRDGVGNAQIEALKQTEIQQMKNAIKSINKNYNPQFAVIMINKKI NDRFFMVNGGGGQNQQKQQLSNPPSGSVIADKITSSNFDYFITAQYVTQGTCTPTHYRVL ENNTNWSEELFWQLTYYQCFNYQNWTGAVRVPSCVQYAHKLAYLIGDTYQGNIHKRLAHL QCCL >CAK87657 pep:novel supercontig:GCA_000165425.1:CT868629:193566:194588:1 gene:GSPATT00021289001 transcript:CAK87657 MKQYQKSFIGKPRDRNLIVRSTLKLPQIRQDISNHQSIGTMNIPNRQSEHIPNSRFHTKT NTRDTIKAVVKERPHFKQLPTDRTMPTLPSLNSPRSEDEGEQTHKSLFSIRRTVIDTLSL RQSRRTSMEKERAKEEKKEEDRADAKQHNRNSKISEEQQLPNQQNLKLNKSNEELLQNHQ NLDEVLEEPVEEIRPKKESQSIRPKNESVSSQFTQLTDEIHSVWDNVDLYLRGVQFDEFD QQAQLQSFLQLYKMRSGGFPGQRENLSNEEVIRRAAEYAKGNRQVFKKYFPTKQVVFEND EDYTQSYNVNSDANFQKFQKYIQKYNQQQEEQ >CAK87658 pep:novel supercontig:GCA_000165425.1:CT868629:195521:197447:1 gene:GSPATT00021290001 transcript:CAK87658 MFDFSESLIRNNFQDKEFKGPINHLLNKKIAFQESDNQGRSINTFMIPDNMMLQNTPPLT PKNGDKYKTIGPRQSEFQSAYEIDEIESEKESKPQFLRLIIAKSLQNNFINNLWNRSYLR KLHQLSTYQIEQLDDLQFEQDGFSNIAYPNQRSLIQSLAFWNLIDVFTPYSKFIVIWDVF QILTYIMIFFWLPYKISFEIYYISELFDGDRGSKIIEIVLLSILSLDVVVGLNLAFIYKG QIIKDRKRIQQILHFIYGLIIHCFSLALYSVPKFERQQYAHPTNSAGCNILHTQNNKNQQ DFSINLRVIIVLSRFFNLNGSLNDMVGLMKLLMIIIFIAHICGCTWHGIAHYTSNYTWLD AYNLREKTNSTRYNYSIYWATMTMTTVGYGDITAQNNLELLMNNITMFVASIVFAYSVNS IGIFVSNMYKGTMEYSRTVTLINTFMSKNKIQFDLQTRIRSYLEYIWQEEQEMNDDEVGS IVKKLSRHLQDELQYQLRGNILKNCKIIMKLFSEQFLKNLLQSMEELSFSPDERIITCNQ IDDCSLYIITKGEVELIFSGKNQLGDMIKRNSIKILKQYQSFGEVAFFTGNPRTATAISK GFTRAFKIKRENFLAILQQFSQMITKKVL >CAK87659 pep:novel supercontig:GCA_000165425.1:CT868629:197662:198778:1 gene:GSPATT00021291001 transcript:CAK87659 MQKYFSGRAHDLIQDMYQQEKGGTEIEDGDSHTMPINDAYENDDEDDDDLPSSINQQRSY SASLSKQQQQQQQIEVEDQELDNKGYHRKQCPPRQTVQTAGFGYNSKHKETVWNVEKLSD SQNSSEGQDQVIPLSSHPLSTSNELINKYQSSKKLLSRSGSVDDPSYIHPEIRNSKQQVH SKNQSDLDRHTATREQPRKITQRTRTHNDDPTVITDNLTQNNACQLQLAPTITTIFQDFD KMSIFQYYQPFNNYDAVIKRYTRAQKFFGKKRLYPESSLYSFYFMAIKKGFKLRKLGQQS PIGLQRFACGFKLIKRQPKRMRQPTLAEQSEILFQIK >CAK87660 pep:novel supercontig:GCA_000165425.1:CT868629:198841:203760:-1 gene:GSPATT00021292001 transcript:CAK87660 MKRLTNQCRSFHYLLNFDENYLSWQIQGLTYFLYLTQFFNLSGEFKPQSDIITIYSLIRG TFIFNIMDEKYFTIIQILFLIFNVILIISLCANIILNYIQNSKKWKLLIIIINLVINVYP NVFFIPIIWLNIKLCFTQSALLFAIALINLLISMFLTILVVFFQRGDALHQYEDVNNNII LIRILVKLAEFITIYLSFLDDTLTFIFQLFVFLSNISLNAFKFTDQSDGRVRQNLLFLIL SITLLAKLTLMDYLIISTVILYFYSQLLNIKTQKVLIQGDSILLCQLAGKIYKNCLVDKQ ARIQLQIFKNNHKCVKCKAFYDIIECILKRKARNERHKLIYANFVQKKWPLRALVELHKE QNEDFYYQSAVLTFQKLNISKIEIASYISTSYQSQKVCQLVIDQLQKVMENLIKFWNQTV MNQFDLMQFYQASRNQGLQIKQMKLLFEKIYNLNSHTLWCSSQLDVMSLRLQQVYYCVVN VDLFKAQQMEEKINEVFRFEKYQQFLTIDSNQLVMNRSLLIRTSLIYNVNKLIKPNYEQM SKFLETSIEDISLIKSSLDLMPSYLATIHDQLTETFIYKGQSNLSQQGQSTFCLNPKGYI VPCYIHILPIQAENDYFINAILTKEQNYNESIIFGMNGKLFGMTQNYFEFTLQSLIFDNF SKRITINEIIEKGALIQYYIDNIIDQIYNLKQNIERHQNYTLNELTSYWQYPENHFNCVV GANQIIMRQQYSSNHALSFSNFISQKTYLQTSKPSTVQISEFDESLQHSKDLNFEGCEWQ ILNNNYNNSIRQMLDQLGESQKTNRGRIQIMYSLSFRKIQIGKRTLGYFLLEIKDCKQDY TQKHTLDQFTSYKTRKSKSRSRSSKVDKSSCEFPVDEIEIINSERPQSNKEIENQIKHIK LNNHLLYLNKIEHERQTLLNNYLSSISVSKQKMQSYDFENTSRLLKLQTDRQPKKQLLTT RIELQSINIQQDDDDVMQAVEQEFQEIIFERKGINQQEQEEDHNNQKNRVKQNKDSNQKQ KTKTQFQLATNKTFKKQINILKDAFLYLDHISKSNFTLSCLKKFTYFSFCVILLLIINIG LESNEVQQHVSSNDRFIQNTLTKVEFHKLLAIKLNLHDVVKLSQQSIINQNQYIIQMAQT QAESIYIQNIQNQEYLILNHYSKDESIDLEQFHIILEQFNNQMRQAYYNSTHFTFNFTNN THYIFSYFYRTITQEVDNYMDQQSDLIQTLVFTLLSFCFIVYQIKFLYAKQQAVIQILRL IQQTNIIKIQNHIARLSIIKETFETKFEQNSLYDTNSKNWKLTSYVAIVQEELHIERQQN KKIHELEGNLNHRYIFPNFIIVTVLCLFILTGSLLQQNYYKDKFLEIEKSFLKLNLVLDS GLLYGTLIKTNTILDISDQLANMVITQFNDSMNLLIKISNDIILNLEYLQEDSILDLLIS DYCLNYKDKIKYCYDNQYPYKEMHSLIDRGMMSLINNIEKVKLTEFLFELANDQFENNED ELISFVQSQTFINTFLAYFSETTNVFSDQLGELYNFQNEYYSKYVLVILFYEIAVGISVG LMYLLYGYLTQLYHKIDFQYIILFLRTMPYEQIQQKNFLHQMKSILQEQ >CAK87661 pep:novel supercontig:GCA_000165425.1:CT868629:204106:206471:-1 gene:GSPATT00021293001 transcript:CAK87661 MEPDRSKEILSNLLAKQIDMNEQLQNENDELNTNLKRLLNLLNQKDSQLEQIKDRIVQVD QSENSYQTTISHQTQQIKQMQSENQDLQVQIQQQSEIRSKMEQELTKIIESFERMQKINQ QQQEEINLLQERNQQLEETQITNQMQIEQSQKQIKLLVSSNEDYEQQTDILLKQTNELKQ IQIKLLYNLDAIQKEKDEMTNQFDEKLVQFKSCFQSSAENTKDLTNQVNDLNNQIQILQK QNQQLIEQMDNYQTLSMKLESERDKYYKDLEITIENNTRLQNSNSSLEHQLRQLSQDQET SIKKMKYMIEQIDQLELANDSQLKQNNELKQQIKKLQFQQDQVLKEKEVIYEQFEQIQKN AQYDHEGHREKYIKLDRSYKELHSQYDELVNKYKIQNQSFEEQQHQIQLNIKEINELKHN LRIIQESERKYSYNAEVLSKEKFMITQKLEESFQIIKDLRDQCQILTEKLQKTEMFNKQL LLENEQQQKQIKAQESKIEEHEVHIELLLVQINHKQSQQAEMDRQQALKQSTTKKSQSVN KENNSELMRLKKQNTELTKEAISLNEQILELKNVINKLNDQLAQQSKVKVGLESRIQSLI KVVEEFQQKQIQKQQDSLTKEQFQNQMEQIKKNFYIQIQQLTRENEELKKLLQTQANQTF NSTEEVSSLQDTVADLNREKVNLIRELNQEHEAKVKSEAERDKMKQQIEQYQLEIENCQN QLDLYVKVLKQMDEKLKQQ >CAK87662 pep:novel supercontig:GCA_000165425.1:CT868629:206543:209095:1 gene:GSPATT00021294001 transcript:CAK87662 MGNQCCGNQPINRDANEQAFAQAIPIRLQQKLKPESQRFHQVLISSGENEKKDIFSNERQ QDFILNLKPYSHLATNDSVVVSIRVVCHDYSYKDELDWYIDESVIIDPNCFETSLLRVID KKTGNKYTLRVINFNDYEDYEFKQALYQIYIMQMIGNKSKNLISLHDCYILENEDKEYSK GSIILLMEYCDSTLHEIISFRKFHNWQWTSDEIRHVFGELAQALGQLEQLNITHRDLRPH NIWYSSQAKTYKIGGFEEAKLVKKAQTLHNQLSLNNLGINIQQENEELLNTIRGVPDYLP PEVQKYVDASGAQTVGRYNPFLADVYQLGLNILMMDQLEVNFESSELRNEVKKLNNQRAG SRGSSNDYHDVLKLMLVGDESSRLTPAELSLFTKSYLSEMPLNEDNLVESMKYKKKYAGI ESTKVYQLIAVAYYDLFEWEKWLEKMEEILVTYENAKDDLHAAEVLFDIANGFIDINNLT SAQDYFMKASILYNEIGHQLREQSKLNEALDNYERALDCIRKAHNGDDSYEGALLLENLA NGYRLQGNLARARSFLEEACRITEQEKGADSLEFAKMSINLGKVHSLLGDYKHALKRVKK GLRITEGHTSGNQNLQQSMLSYNNQNQQLQNSALLLKAQGLTMLGEVQRLKGSLGKAKKS IEEALAIREKLQGSGNLEVASTIEVLGNVFFEMEDFHQAKSQYEKALVIKKSKLGENHVE IALTLNNIGNSCKHLEEYDKSQKFFADALVILKQSQSGDDHPLVATTYGNLGILLKQIGN IQSAQQCLTKCIQILDKTLPKNHPDSLFYREQLQEIYQ >CAK87663 pep:novel supercontig:GCA_000165425.1:CT868629:209117:212233:-1 gene:GSPATT00021295001 transcript:CAK87663 MSTQIPQLMITEQPMDEYQDFISYSLSDVCFSASKFKNKGNNVSENSINQDYQEHVNSTP LSPNNYTLTKPTNDSSDQKQTTQGQQRNSVSSTQEKVYKDFFQYFLIQKFVHRISFKKKL NSMFDKYHFDVINDLGATFNLNLFRENSIKMRPIIVKQVLEIENKCQLKFNKLQSLVIQK WNYCLNKIPLIYPESKLKMLWDAIVMLARFYFIYVIPMDLAWEMQSFMFDILIIPTSLML ALLIIDFILSFNNAYYEFGSIVTDRKKIAQYVLTKSYGLDILSVLILITFLIISVVQSQY VRITENWYHLLLLLFLIQYKNISKLSEQVEEALNLSKQVSSLLELGKLIFLLFFVLHIFS CLWFWVGSYSYRNDYKTWLNLKNLEEADWNIQYLYSFYFSTVTMFTVGYGDITPQSTFET VLCIMFMMICSIQLSYSVSTVGAIIDKISFYTKEKRKKVQTINTYMQHKKISYELQFKIR EYLNYYWQCNQQEETEEEKNIINQLSENLRESLQFEANSMILNTCPLFKNHFSDQLKKKL VKKIKSIVVQPENIIDFDHLFPSQKMNQFICFVEEGQIQIFIENELSQNHVSYNSISIVN TVSKGSSLGLMSFITGIKARERFKSIGFSKLLLLSREDFLKIIPEFPEDYEVFREMHDDL IYNSDSEFLKLACFSCKSVSHKVIDCPLVHFIPDKEYLVKKHQFSKEQKRNETFKFKFRR NQKRQHGYFSAYNDLDLIQETSDLFQADNYKQCLFYEELDDEVEKLKVQSINSPKPSNYV PQDLIKEEPEEDVQVAMNFLNQRKSQINKITKKSISLLVDEDLVPLQQFKGINKFKRAVE VVKSLNKITNRKSFKAQTKNILASLNSENYKDSEQKQLLIGIQKRLKFIEDHNIEWMEKD IQEMEFLKMKLQMLIKLNTQKPEKFDQIETVKQYKFYNIHNNVDQILTIYNEFYKVKLQE QKNIPQVFKQFVRYLMYPYSFIHKYKFKSNNFNVREIKQEETNQIKKNNKFSKLLTLHKQ SKNLRKKLNVKKAQIQPL >CAK87664 pep:novel supercontig:GCA_000165425.1:CT868629:212765:214259:1 gene:GSPATT00021296001 transcript:CAK87664 MQQVFKKIGTHNGAFHVDEVLACAMLTKYTNEFKNGIITRSRDPAVWAQQDILVDVGGVY DPQTHRYDHHQKEFQQSFSNDFNIRLSSAGLIYKHFGLEIIQNVIAHINATTETTIEIQK VDEKTLNLIYIKLYKNFIQSIDAIDNGINQYPNQEQLKYQINTHLSAVINRFNPTWCEKN QDENAKFHQAVEFVTTELISQVKSIYLGWYPGRCYVVQAFDSRFEQDQSGQIIKLPLALP WKSHVFDIEQERGTVGLIKFVLYPDRNEGWRVQAVSANEDSFENRKSLKSEWRGVKDIEQ LKAISGIDDIVFVHASGFIGGAKSYENTLKIAKISLE >CAK87665 pep:novel supercontig:GCA_000165425.1:CT868629:214334:216702:1 gene:GSPATT00021297001 transcript:CAK87665 MESEIPVMKKYPIENILNDASGLLKKNGNRKVILMLDKHFMSYSHNEMSTLNIQISRRLI RATQNLLNQYVHGDNISKVDFLLDRCNLYTAIIYINIQRMLKNERGTEHYEELNSAQIEQ KIGLNRLRQRIRQTICVPGSLIKVAHLGKLKEKTKIKFYLSECWALLLKQLILYARMFKI TNEIPNSLKMIHKIEQFLQIKEIKNTTNIELLKIIVDHYQNFGQFYFQICEFKKSIKQYE IAYSYLHQLIFLILKKRNTPNVIDDSDLRPVEPYIMKLTIILYLQSLCYEYVSEYSKMKE CIHLAQWFCNEVLRLEDEHQLRILIFSRAQDIQKYIDHILAECEIRHIIFTCLCDDTNPE IKEITSQVKDDYQQALNEKFYLKLRIEQLNKQQFFKLNPIPVKPPSPYLLYNVPKGSRTE RELTIQQFSKNYQSFTTQDGFDQHKLITSDSESKMIKQNSISSFSRRTRPTDFTQYCRTE QSDYPKLDSELAGVIVNQLKKEQAFYSLADIQRTCKDELNENERQQQDCELGRAILLFKR QFSKNAIAEPKETDSLKQLNNEIKTEFQLISGYLEAQERLKKKRQQQQIIEQSLKPKPKS KTPFRKILIKHCNTLGLKQTKKKLQNLEEESALMKLYQKISGQKEEEKPKINEDQIIRNM IQTNLNAIKVIMDDNQKEQETAQKQLKSRRHTSEQLPSNHEKSPSNCQFRETFKGLAGST QYLSTKGQATKSVISLVELANIKRKMNQLESKKAVRYSELFRSQL >CAK87666 pep:novel supercontig:GCA_000165425.1:CT868629:216735:218068:1 gene:GSPATT00021298001 transcript:CAK87666 MQTESSNLLNPLELLKDSGIPKHLLYQSKIEVDHLICPICLNILWKPVACGQDKCFQSYC EVCINKWIQEQAGDVVLQESLYSQSLIVAPDSGSGSQYSSQSSSFSEMPQQIHVETTLPN CPKCKRPFKKTSIPIIHNLLDSIQLSCIYEECTTKPGYEQFQKHILQCPYRRINCSGCGL QQLQNELEQHENQCEQVSIECSKCLNKMSRKLFQLHSIDDCVQSQFTSKDNQIAQLSKKI EELNQKNQLLEQKICKMLKGDSFDTMHQFSFKTMFTQNYQFTGNQAIEAKLIRPSFNLQK QKNDFLHFYINHKIKTQAVQGIIWRMRIQTLKGNLLIGICSTLCHYSIDFIINKLGEIKR KEWNENKYKLLRKGNFTFSEGDTLTFQFMPLQQCLRITNENRHIVCRFEPTEIIEMGDSF FSFFSLENQGDSLIFI >CAK87667 pep:novel supercontig:GCA_000165425.1:CT868629:218158:223461:1 gene:GSPATT00021299001 transcript:CAK87667 MRNLNTEKFFKEIYFLMHPLVSQESLKGLMIIFTILNKAQELDIINNIDQESHSEMKILS QLASYSFVINAVTDDQLILVIIGFFALHIILILVFIYFRISKPISVIIHTILHQFLLHYT LIFYIPITYSSLYFISSESVCKSSQFCDSSTQIVLIILCILNLAISLSINALHVYFGRAD SLMGDNHILMLNYSFLNNFITQICLFACIVLKSIEILQELRFALILIKYLLTIVQIFNKI NAKYYVLIDLISIASSVSLLIKLSVFEYLIVLTLIWATFFQLVDIIENYYVTLKNQGNLI TLQKIEEANKLETKTQSKIILKIIEKIHNCKKCQYPSQFVECIFRRMANKENQMNNHIVS LFCDYVSNHAPLKALIRLLLLNPNDLYYRCWQHNLAEELNKRSKQFQKEAQNKTSIKNTN EHQNSLDVQTVYNTSHSAQALFPCLIDTIQSKINFWNKLINGYQHIDQCLSESLKTAKKM LRCRSEIESRFDLVYNKLKNQQGTDIISLRIIQIYYCGIYTNQFQAFQIEKTIDELLKSE RFKQDESLDNIQLVQDRLIILKSSFVSKRGELIDVNYKQMAKFLNEAEEGCKLIKHCSQL MPTYLSTVHEQLMDNYMQNGYSKLMIHGESSFFQNPAGFIEPCSINLFNFYDGKDDFILN MILTKEQCQSETILFGIDGKILGITQQFFNEAIRSNTQYSKTITTSAGRNTEITSSPTNI KDFLAKQPLIQFYIPNIQSQVDELRNQICSSSNYLMNNQKSTWTFPINHTECLQQMQLIL SQYKKKSQGTQKPNQNFQSYKSQTYSKYSNYTNNTQQDQYDKSEIGKQVFDQINIDNIPI ILLHPEIATSLKELIVFEETQKQSVQLGIFYSLQFKILKYKQGSIGYFMLTIKENKLYYS QQTMGQFSSHNQTPQTVRSSLQESNEIETIQNSMKIELTSENLNQQEEIIQEIRLMNNIM HNNKDSSLVDQSGNNLSLDQTNLKLQIQLKNSERSNLYDTGRILKQSQPLFARPQQQECR LEDISQIEQDMQNIQQLQQDFDENDQDLANQKQESVSQSVKKRSNILDKIKLTQQNKENI GDFASNPSRTSTNSTSKESILIVQQLYFNKDLISPLKKISVILGLILIGMLTCEILNVFL IKDNLQQQTQQVVNLVKPQNIIYYYSSVFFQYWGTELHTLGILKLSEFQYQRNIESLDGM LEYGRMYLQSFGIEVPQTAANLGVTTFELKQVKLGKIEKEINQLQNFYSLLYETMYDMYI NNKNVANPSYHELFTKGIVRQNFLELIDLHNKLIIKISEDTIYQQGLVKSQFLVIMLMEL FSILFFVGFQLRYWLFLDHITKSIIFLVSRLNETQALNQINKLVQIKEHLEDQASNVWKL YNFGDIVFENSEKKLKKILMKQQDQTSNNYKSTSALYSRIQRTYYLNRVNVILTFLITIL WTAFLLAGYLIHMQKNENFQPSLTATLKYGQFRLNMDSVGFIGGIVKTEQLVPNNNLSFI NQTYAIQLLIEYKENLSPLINEVAQVILENANANNKQSRFDNILNFDICEFTDWQDMKAC DLARQNLPYFSKDAINDIVKNGVLGYTATLVKYLNSDFDYELNNLKYDTDENNLIAIQQK PFENFFLSYYCDIQNTMLEFLNLFQLDNAEIAADINNIVQIFYLGVGICLFLFIFILSLL WVYKYQMKISCLRQILVLIPSDLILTANIRSQAKEIHNWLY >CAK87668 pep:novel supercontig:GCA_000165425.1:CT868629:223967:228721:-1 gene:GSPATT00021300001 transcript:CAK87668 MNSIIIKNKLRALYVTIRESKSQSYLNPSFIWILYIGTRLGLILRVDQFNGKAYEDKQLE LIKNLINWFHFLTPIKSIEVDQFESLVVILIAVIHWLSCLITLFNNNQVRFFNSFQNQYF TYLQMLYLYPSFLHIHQLQYANQDQISVIICTTPIILNYSLFTYIQRNYALPSNNPFTKR YRPYNYLVNLTEIIALVLFPYFDEVIQSIILMIQFLIQVCDSILNQPFPQQINLNYCIGS SILFYCSIFKGLSIHWTEMEFLYFCLIYTPFLTVITKKLIKVVYEQNLDSKELNKFIIIQ MVDEFYQRDNNFTHVKILYTLKNRYQILNNSKLRSDTQFNDYIFIMFNRYIDSLPIQEKY KDEDLHTYKIQFLYYTYDKQNLAYIQIKQFQIYQEFQSLYFQILESFCSEIIQRKIKKQI QQNQGRLELQQIRQSQALQEKCQPLIIYILEQKIKYWDQLLNGYENIEQLSDVSVSLSQK VVNLKNIVFKELNIQIFTLQKELFRLNLVDLRLLSQIFATVLNDYHITLQIEQRIEELLN LERNITTRNIQNIGLLNDDVIIIPVSMIKNPGLILSKNKQKLFKFFKYPNEDSYQLITNI NSILPLYLQKKHDKFVNQFLQTGESSLFIISQDVYPIYNSGFSFSATLQLIPSYDQIDDY ILSAVVKRSTETYDFILFDESGKILGLTESIYQLLLFNSKSQVTSEFDSNILSSYIYFWF KDLLLLINDQQDVFTGQQTQQAALNIQTTIIQPINNLASLIKDHETFRKQHFLTQTHTMK TEQDVQSDLKKPVKITCFESNYVSQTNEFINEQLLKMHQNSVYFQVTFMVHFQKRQDSYP IFLLTINEYLQKEQKYTGSQSAYTSLAKTKTVLGMKSEFQLQTEQDLDELHQEFLINQTI INKLFIKHADGLPDSRDIDQKEEDLNIRNIIDRDQKESNLISPRSNREILIQKGLIEEDS EYIRGNESNVIKSSSQKEIKENIQQAILQEQNVDFAHEKQSKSSATSDKTQNSIYNLMRK LQYTQQFQTSILNVIFLTNILTVFLIILVSVELSIVRDHTNQLEVSIPLVRVPQRFNRLY CTFLTIGQIELQSKLLNQSYGAYYEYRIKNESASKRIEIQALMTEILAEFSSMEEKQQLP IMEIRIINEYVYEKQNASMIEFDNLVNENTDQINLFLQRIDEGNESVKQLQILLQFLKGN LVDQLDLTIAIVNQIENDFYDLISINQIEEIIFLIVMLLTIFVMLLLQFRQWLQPYKYMQ TILLLIGKISERDIEYSGARVYFLLEKLNRNHSSWKNINYFREFFLSSKRSATYQTLKIQ SSETTKKQNTSKNQQQRAKQISRIQETSFSIKNIQIMLLLLCLLLSIYAISGFIIMKTNM DNSQPELNIAMEYVKFKQDLDGVMIISQLLKIQQILIEETMVSGIFKMNPELSTRDKYFK TLYAELLTQFSPLITDMDEIYSKIYNNVIESKKISEENKQLLLNLYEKDLCEIIPTILPF CAYENDKFEYFSTFPPASSDLNNKQIYKYGINGIYQQQDFKNINQDYVNIEYTLFFGKEW NKRYQYYQCQLISLKS >CAK87669 pep:novel supercontig:GCA_000165425.1:CT868629:229243:231675:1 gene:GSPATT00021301001 transcript:CAK87669 MEFVTALVFIFIACACGIVWAIFNWMAVHKLEIHHKHEGLTELLQGAEQEKIETLLEIGE HIQDGAQAFLKEEYSDCSVFLAVMAVLLIFISPWSSLAFVLGAATSMLCGYLGMAIATAA NYRTAFSAITSLANAFQMAYRGGCVMGFLLVSISLAILSLIIIIYNAIIVKSDDNNYEDL VRMFDYVAAYGLGGSTFALFGRVGGGIYTKAADVGADLVGKVEKNLPEDSPKNPATIADN VGDNVGDIAGMGADLFGSFAESTCAALVVSSTQLRVPSEGGYSIEIGQLMYPLMVSAFGI GICILVSAYAVYISKVNHINKIESTLKLQLLLSTIALSPIIIGIAYWALPADFVMIAADG SVQLADLKPYHAFICSLLGLWSGLLIGYFTEYMTSHSYTPVREVAKSCGTGAATNIIYGL ALGYLSTIVPIIAIAVTALLSMKMLSFYGVALAALGMLSNLTIGLAIDAYGPISDNAGGI AEMSELGENVRESTDALDAAGNTTAAIGKGFAIGSAALVSLSLYGGYLTRIQTYKVGDEF PFSEGAKIDDPIIFAMLLIGAMLPYAFSAFTMKSVGKAALQMVEEVRRQLHEHPGIYAGT EEPDFRACIAISTKASLKEMIPPGLLVIVTPTAVGLLFGPQAVAGLLPGAFVSGVQMAIS ASNTGGAWDNAKKYIEAGFYRNDAGEVKKKGSDEHKAAVIGDTVGDPLKDTSGPSLNILI KLMAILSLVLAGAFCKTGWLYQG >CAK87670 pep:novel supercontig:GCA_000165425.1:CT868629:232514:232894:-1 gene:GSPATT00021302001 transcript:CAK87670 MTVLAENDIKEQVVQLTSDNFKSIVLDSKQDVLVKFHLPWCSLCKNMDEIYKALAKIYAY SEYVVIAEMDWTKHKTDLVEVKGFPTLLFYKKKGGKLEIIKYQRDRTLKDLKEFIKEKQS FQIEDL >CAK87671 pep:novel supercontig:GCA_000165425.1:CT868629:234090:234425:1 gene:GSPATT00021303001 transcript:CAK87671 MGSCSSKKVQTHHTLPLNTQTTCAYAHSRMTWADKCIQQPKERIIQPYDPNSLQHQYNVE VEGVIFDIIAPPDLLDDDNLEPIEEEE >CAK87672 pep:novel supercontig:GCA_000165425.1:CT868629:234994:236947:-1 gene:GSPATT00021304001 transcript:CAK87672 MSEEIQQSNSGQQLITHYFNQNPENSQDRQRISRKRKMDSELPKKKMSLKLKSDDESSND NIQLQLTNPPNCQTIYKYLKSNKDVSNEAGNQSKALQLKNTEEGKQKAQGNDKSSFVKPK DSKVILQSVQKQNDDEANKLQQKLMEKEQVEKQLRQEKQQLENERQELIQQHNAFKKRTQ VVFAEALKQVEELKREKMREYLERERYRLGEFVSSRNNVRFVEEWQDGYEIKQVKQNLQK LENERNELEKQKNEIKDKSLSKIQKDKQTKLLFDLDLQNGDLDNNQRKLRIQFQLSILKK EEEELRLKLQKLEEEKQQLAYKTRRFMEEQGAEPKWPLIAQRYQTLGLLGKGGFSEVYKS FDLQEFRVCACKIHYLNPQWNENAKNNYIKHALRENDIHKRLKHINIVSLYDTQEIDQDS FCTVLEFCDGTDLNQHLKKYKILAEKEAKLIIRQVLAALHYMSCSPTKIIHYDLKPQNIL FHKGEVKITDFGLCKVLDYDTTRQELTSQGLGTYWYLPPECFLEQQNIQISTKVDVWSVG VILFEMVYGKKPFGDGMSQERIAQERVILNSYQVKFPQKPNISQECKDFITKCLTYNMEA RWNISEAYYCNYIQNLKTTN >CAK87673 pep:novel supercontig:GCA_000165425.1:CT868629:237009:237835:-1 gene:GSPATT00021305001 transcript:CAK87673 MDYDNMDFTNYSNEQIGEMIKTQGLENITYEELIAKKNKRIKEFYEQDSKLVKQEAMLRH WLLKRKNKHLIDFNDKERSQLKQYFNSLDEDGSKSIGIDELEEPLISLGIAESREDVKKL IYTVDDDGSIEFKEFLEIIKNKNGDGKSNSKETMVIDFFKDMINGRLGHGSNQPINKNLP FSLIISTIRRQKLLDALMANDPKKKEEGEKVMKAYGKLISQRRAQKNDGSIQGSKKRI >CAK87674 pep:novel supercontig:GCA_000165425.1:CT868629:238536:243000:-1 gene:GSPATT00021306001 transcript:CAK87674 MDTNTIQSVYIPDPEEKIVYKNVRKPNLPATADIAYCEIRIAELMAEVEAQKEHSFKIQQ QLDLYVTYEQSLIQYESKNTILSEENKQLSCLLQVKSRDLEQAQLKILELEQQIDSLREV DQELHRNIQLLLFKDREIQELNNKYNSLEQFLQQNKNWELINQDLKNQIINWKHDVELWK ERFTKSENERKRLLELREEGLKKYDLLENVDTQPQSPQVELNEQQTEKQKVEKNPENHSQ LLQQTQVNLFEKEHQNTLLNNELNGLRQQQQEFQKRLSELTNQLGKLDEKDKEIVRLNGL LQEKPKLQIVKEEKIVIVENSALDEEKIRLQGLLDESNIRLEHSFNEIEQLNSKIQTLED VNQKLQRDNRQIQRLQEQIDLLVIDKQNLQTSNGQKDKVLNETLALLRQKTLEIQELQAV RQELTIANERVHTLIKDLEQCRRQLGELEQLTQLVKKQEHICQEKEKLVKEQALQIQQLK QNRNELQNELNDSKIRINLLSKYEARVKSQQSTIQSLRQELQETLGGSEQWREKYNQNCS EISRLQRLLDVSQQRIIKLQEELKNFNNNFELGQIKIEGAPVIKRIEKVVIQDNKQIEKL QNQLISQELNNESLLRNRKFEIQTTQRELDALNQLLQQKRQESELWKNKYLTSEQQNTQL QNINDKYKQLVLRCEGQKATIQQLENKSLEQGQEIEQQRSKIFSLTLEVHQIEGYKIEKE QQKEKALQLYQELILSQSRVARLIGAFNELNRQKSIKSDNPQAKFVQQKSDTVEKMRQKI VELEMEIDDTKDDQISELEQRIQILESELKKQLDINGQLVKDLQNVDRKKQDILSELQAL QTSESDTNQLRIAFNKKVKECEQLKTDLDKIQIVIQSYEQQIEKSREQDANQKNELQRLK IFNNNLNKQIASLLLHLNSLELTINTQRHKLDSYPEFEERINDQIGKINSLEKDNNELKL QNDILNAQISQLEQNIIKLQPLEQQVTLLREIINNDNREISLWQTRFQDLENERSQQNED YRKKIDQFKIELKDQQNLEMIIKQQQNDIEDLTQNNQKLVANIRELQTQQDKLLRDLDNK DIDIKRLQQIEQEFRKLQELFYQEIEKYQDLSDKHQQLQNQYDQTRREQEKLENKCAMMS SEIERLKVMLKNKNQELELNKQQIHQNEQLIDQLQPLTVENKRLFEQIQKQIQQIDELKQ QIIQLQRQLEDKKKQLNQEQINQEQQQEEINRLLNVLRSKEDDIHQYRQVTKQYEIQLKD NKKEDDQWNDLQNELNKLTQHVNYLNEVIGQKNIELENMQKQNTQYQLQLLENEKLDYKI LEKTVEAREKEVEDWRRRFQKLTQDQEKLYNEKLESNNKLAGQELEIERWKRKVTSNESE LNLLRNIISQLNVEIERLSKSSEDLLQDNEGWREKYSKLQQQIPSMLELIPPITPGNSFR ISEKPQQ >CAK87675 pep:novel supercontig:GCA_000165425.1:CT868629:244372:246366:1 gene:GSPATT00021308001 transcript:CAK87675 MGNQQGQQNVSEDIYVTNQMLTVNLSGIQELEAEFLNFINDKKRRDRWQSCLKQFKITSM EDLISAYGLDKESKVGRRCNEAEHLILTNYFLKKQKEYEAKFEEQKLITQDYISEYLKTN KIQNDMVRIEDKDYHIRNILIVGITGQGKTTFINSFITYLKGFNTHKSYENFHSRFYIDK PNSKDVKSHTQNVQSYQIIFEDYIFNLIDTPGLGDTEGLEKDQKIVDDIGKFLEQNLFEN NQNLHSVLIISQSSTQYEIIDNKLTLLQTSMLSILKLFGKQMSRYAQHCLSFSDFTAANT LNFESPNSIFYSLTEQQLQFIHENQQQEHQQSKQLDDLTFKTQVQLNQQQESTYQQYYQF QNSVFLVKKLGFIEKIQIKKNVENYLSITQRVKNSMGFDLQNSVEVIAQRKILKQERDQI HLKLKNLITNKNRINDNIRKIQIYQSRIEESSNFEFYEYITECKREFHPQEGMFFTNCLK CNKTCCEICAVKNEHLSNCIQMIKKKNEIICQSCNCLLDKHINEKYRWSFTTEQIKRINQ SLQKENENAKSQHEKFNQILANLQKSLIEIQEDIKITLIQMKNCFETLLSSALYTVDIQD QEAYDFILRLYPEYREAFQEFQTQEEMKVSQKIRKDIRDKKMLVSQTLLSIKTQQFQDKS FQRF >CAK87676 pep:novel supercontig:GCA_000165425.1:CT868629:246651:247815:-1 gene:GSPATT00021309001 transcript:CAK87676 MKRYTNKEDSAEDLTTYWGRLKHFQNIISPANIFYSPEQLSEYGRILENVDKDPSIRTKY TDQQLWKMRYVLDSNIHPQLKEPVNILFRTSTFVPVNVPLAFGLAVLPPTPINQLLAQSA NQTYNFMFNYCNRNASNVFSNEMLAFSYGGAVSSAVVGSLGTSWLFKKLNAPALLIRACP LFGVLIANTFNLFFARYPDFQKGIQVFDDETQEPLPGLSKEAAKIAFFKTLVTRYILPLP MFIPPIVIYYMKQAKCYPQGRAIGLALDLSLSGFFLYCGLSVGIGIFPQYLKVNPSDLES QYQNLTNSKGNKIKTIVFNKGL >CAK87677 pep:novel supercontig:GCA_000165425.1:CT868629:247900:249664:-1 gene:GSPATT00021310001 transcript:CAK87677 MYTLQITDCRFIDDVDQISKFDDARNYCLKRRSEVDTVSTNLNIQIKNYIDGEDQYLREV QVKGEQLNSSVMEGIKILEQLKVPTHIDLELNSAQKEFKNIELKLKQTEEQKKWAEQETI KQKAKLIKLIEEIQLSILNKLDVPEPDNKTGVIFNWVIGCLLKKKKGPIQLAEELKLKVE KIQLQPESIINQPAPPQSTGIFACGGRKPLSGSRPIKRVALSEEESKMYENLFAWKAIKQ HIFHQDFKFQEKVQQFTQEYDKLESFQIMLVDELVSEKKEVIKGIYDIDIKDQARIIIEI NEIVLFLLKENQNLKEQQEKEKEFEQLDQGIRQKEVEIEIMQKEKSYLAAKEKRISSLKS TMSLLFTTSQKVADFCKEKMVSNDQIIAQIEVIKSQGLSKELKVFQDVEDIYRRKRQQYE EQVLSQQQKEEERRAQEEAERKEKEEEDHKQKANPDSNDLDRSNQQNQEEDDIQAQKDEV EDSDYVDSDESDQDGEKQDWIVLADDPQTKHIQKTLFPDKVKTAENKNLVKQKNQGESLK TLMQKRKKN >CAK87678 pep:novel supercontig:GCA_000165425.1:CT868629:249882:251528:1 gene:GSPATT00021311001 transcript:CAK87678 MEIEKEIIKLSSCQKFLLIQSFRTLINIIDLENFKQKQINFRDAEISFIDYFENELLVGD KQGKFYYKNESFQVHSSQFLNGIVKDGMLLSLGSDGLLKQTNIKTFQCLYSRQLSNHIKE GALFLLSSHVLVQFGQKIALINLENDVKDCYNIGDRNEGPLIVKTNNLSRDYFSVLGKEG LLKIFKLGESSEAILTIEIGIYENYELFYMAKKTTVLFWNQDSISGYVFEKELNKQYEVK INNLQSVLQGERLLIVYDNISNPKLKQINNIECQKFKSLYQQEQLLRFNELQQSKQLEQQ QNKQQQNPIPIAFYDFAYFNNQASIVMEDKNEPKKVTSEQNLINVLKQSLIAKDKAYLEY ALERTLQSGISESVNSLQLEQLQELQHFIVDKLISYPEQTKKYLDWIKAIVKRGVGDFSK LYYLLEERTKSINKLEAICSKIQFNKLTQKPKQDKDYRKQQHTIYEEQQNDVTIIQGTLA EKQIVEHNQDEEQPAQIEDDFNQAYIKHKQELRQKAYEDIEEEAYNEEDIKF >CAK87679 pep:novel supercontig:GCA_000165425.1:CT868629:251558:252562:-1 gene:GSPATT00021312001 transcript:CAK87679 MQKRDKSPIIHVNLMKENIHSKPNSLNKSGISSKANLNLVKVLATRRSDMNSLWEPPEDS KQHSNRFDNYFQRHSTKRSNAQTSRERERDFSDSPKQSPSHYQCAKHFNKAQQRKRTAQF ICCFPKCDTHLLCKECKYKIFQCKHDQIVKLAEFIEQPILIFLKADNSKNELIGNLILQQ MQLFHKVKYQAEQSKQQLSEFLENIQDQLKMKCQVIQAEFNEKVDFQLKQIRSDIDQLVQ TSLVYKDDFENRLNEKDDFIESVKLFKQQSEDPYDQVMNQVNQLQQNLNKIPQLNSTVLE LKTDITIKEFSYNKLIT >CAK87680 pep:novel supercontig:GCA_000165425.1:CT868629:252610:255697:1 gene:GSPATT00021313001 transcript:CAK87680 MQERPQLILSTEENMDDYKEPNLEESVEFVEIKHDFCDSQPQRHSLPESLSQNILTQIQE FHEFLPHQEMQTKESEVKKIENRKFTIRVYYFVKRFLTKLSVSRRHKLFFKSIHFQIVGD KASGELEKLGQIKKKDRSRKSHQKSISCYNPLTGLLKMLPIIYPQSVSKIIWDLCLCIVL IYFFIMIPLELAFNNSIMYFQSIWLTVPFYLFLIIDYLMKMNTVYYEYGQPIIDRSLIFN NYLKQGLLIDGISLIVLLCAFFNDYFIRNRWLNLSLILFITQYHYFANVVRNMEESIHLS KTQSSIVNLAKLIFTILYFLHIFSCLWYYIGSYANEIGMVNWLDNRHLSEAPYETQYLEA FYFSTVTMISVGYGDIVPLNALEKICTIIFMFTTCVQLSFSVNTVGEILNSISLSTENTT EKIRIINKFMNRKKISFELQYQIREYIKIYWSQQLQQENEEEEKLITSLSENLKNKLIHE ANFSIIEKCDLFQQTFSVDTKQKLIKLFKTVLITPEQTITCELPEYNEPCLCFIDQGTLN ICANITDRSESITYSQGQFIGLEEMLTGQQPQLQLQSLSFVKLLVLTRTDFLNTLKDNPQ DYESFCQMKDEILLHQRDSKAQCKSCNCMGHDIQNCPIVHFVIDKERVIKSYQFQSEQTR VPGLRNRSRIRNQFNAIFDQWFLQEIASMMKNQEDLPIVQFYGIQYVEDSEIKPPQQQVQ QSKNSITTNDRDRGSVCYVDQIIQNPVTFSPAKSFDHSIFHPQSKVQRKNYPRQSIRIKN TVIKIKFQKIIRKVMKMQNYSKAFSRQNNRESDHMFHKNIKFIVDNYFKTNSLKDYLNKV EYENLYFLRMKCDLIQFSELVLSKPFEIQKEYQLYQVHNNLSHVIKKTDLYLEQQRIRIM SKQETPKNDKLIHLSQEERKMVLRDSLMRYVSFPNVYFEKYYEKKEQNEIPQSIGQNKAR ALFKAYKKKQLMRQNAFLIPSNQRNDSKGLKSSLSVGINVIVPEN >CAK87681 pep:novel supercontig:GCA_000165425.1:CT868629:255894:256567:1 gene:GSPATT00021314001 transcript:CAK87681 MKDNKFQGRITNLGEGGTNMISIISATFVFLKEKSTSKNRIRNTSQSQKERSNNIEHWRI ITINPRQIEVDRLLCTNDIDGAVPGSLQSKAVRNQEVAQKLRIQREEQRAQKNKALYQSQ MEQSYEEQETYSPIPQRNKSYSVQISPVQQQEIKAQPYDYMNKPLKLPPISDRIVTSTNP ANSKLQLRENPKVFLSQDQDKTQKLLQKQPALRLFV >CAK87682 pep:novel supercontig:GCA_000165425.1:CT868629:256573:257133:-1 gene:GSPATT00021315001 transcript:CAK87682 MGTITIGNCQCQCYINYVSCDCSCDQNEIRTIRSNRFTEQSSRNRSKKRSPGESTDRQSK FSSTQKPTSRSKSSNSDKSIYLLSDYCNITTESQKQRRKSKPHKSFIKSRSLYIFEVDSN KNLSNNVEQSSAPLSLSDIGTVNRPKMQSMGTEPPKKSTEQKKYVKWNLPQHYLRENKQN QQEFYS >CAK87683 pep:novel supercontig:GCA_000165425.1:CT868629:257155:258067:-1 gene:GSPATT00021316001 transcript:CAK87683 MQGLIDIEPKQYLDFVAEEGKLGQTTLNVFNLTSNQLSFKIKTANPMQFQVKPSIGLIQP NNQVSIVITTAQPLKADGNLISKFQINACTLLQEEQDLANFWRSLDASLIQQVQVRSRIK PAEVQQENIPQQLQQSVASENNDVMNLLYQSIVDPQSRQKDEEIQRYQEQIDQMTKELSD YQLILKSVKQQQAAVKHLGNKFDLKQVLITGVISMVLGFIFGN >CAK87684 pep:novel supercontig:GCA_000165425.1:CT868629:258069:259817:1 gene:GSPATT00021317001 transcript:CAK87684 MLFLLITIVLSKEDQSIRISQSCATYLTGYFWNGQQCIQCKQPSCKCQKSQGCDTCLNQY YYDSTAQTCTPCPKGCIKCCKSDELTQYICTLCLNGYIMINGVCLKVDACTQISIHGRCQ KCIDKYFLQESCQACPEGCSACDSKYYCTVCDDGYYLAINEQSVSCLTCNPEEDREGCKS CVLDSKQLICLDCLDTYYFDQENDICLKCPVGCFTCQNPSQLPQICYTCLTGYLLAADDS YCENCQQQIPNCMKCSTNERSRNFQCVDCNNGYYLTQDSKSCQPCNNGSNNFRRCESLTH PTQCSVGYLLFQNSNSYTCVLNTHSCYTIDNIQGQCKDCNFGFNLVLDTSLQMSVCKNCT ENIKNCITCDVDNSRQLICKECIDGYYGNLCVQCTSNCKKCLNESQCLTCSSGYYLDDSN KCQSCEVSFCMECTKLKECARCENNYGLSESICKPCLSGCQNCDGNLEKCNVCSDKYYLT ATDNNCKRYKENCLATNVNGYCYLCETQITYDQSQDRFYDEGEETPIFDEQADSQFYISN NGYCLKCNDYVTGSFNCPQICKVDEEFASHLLPAILILLIFQ >CAK87685 pep:novel supercontig:GCA_000165425.1:CT868629:260689:261451:1 gene:GSPATT00021318001 transcript:CAK87685 MDNDYYDFLDHSFDYKPKKRGQRALTDQEIIVRERMKLVKNRESAKNSRKRKKMYVDLLE TKVAGLNQQLQEYKELQEQSQGLLRNTQIQLLFGKSQQKPDQLGHYLKEIFEQSIPKMAL HLKQEQRNQELDNCFQSFYNCFNDMNNVKDEMVQEMQKLEITMKAAKEIPEFNKFFEHVS KLDFQQQLDSLEEELGNVIKTDDLQLSIKDTYDLYNKSMQFIKRPKLN >CAK87686 pep:novel supercontig:GCA_000165425.1:CT868629:262504:263181:-1 gene:GSPATT00021319001 transcript:CAK87686 MLRMPQCCQFLMHTSLFITSQYNFVQNYWLKYSKKSQSTNTGTNLYNYRKSFNTSLLSFI FSPYSFNNIDNPNQVLLNYYNQNKRCKLKQCQKCSLSYCSSDILIIIIGRQKVDICKVSS LLIPIKGYSFTSYKISFQLKFFNYLNFGFELSYQSQDQKQLSFQYSYGLFFSSSNSESFL PSISLKKLSYPSMESSEPQFAQSKGSNKSLILLKKEIFQLLLKYY >CAK87687 pep:novel supercontig:GCA_000165425.1:CT868629:263203:263827:1 gene:GSPATT00021320001 transcript:CAK87687 MNDQIFQEMKSYIHQSSLALIAEYRLLYLNCQQLAFIIDQSITLTIKLIEDDMDSKQRFE EFAKANMYFQCRYSGRHNFSRLEYIHLNPMPIVLIKVSSFSHRSCHSMNTFNVFSHKDFK EKFSDLLDNIIIMEFSPIIDNQGIIQVKKAFNSYATKTEGVTVEVKESSIRWQVKNQEDY AQKLIQDLQEDLQTIVV >CAK87688 pep:novel supercontig:GCA_000165425.1:CT868629:264208:265719:1 gene:GSPATT00021321001 transcript:CAK87688 MLPILICSLLVIEVLSDQIYPAIEKLKVQLENDGWIDCESKELIQASTCSGDDLLWLECY LVPENGLCSRQNILTNTGETLTYTFTFYKPTFQSTDIVINNQKNTGSVTIQDDLGRVTIQ QQSTSSSIKINQKGAYFTGLTISKDCNPNCKSCVDGFCNQCNDNDYLYNYNCQSNDCDLS IINNNFITSSTGAIQNGQYVVSLVYNFKIAFCLIPKVYLTKGLKDSTILKILDQNQVSYI STSGTPNSLQITLSLDEVQNNCQQFETTSAYVYQCYIGVALTSSKITQFLAIIIGQISVE RATQNVEQFTQAVSITGDSNGTVGPVFVVSETVNQEINADKKKLTVTQSILDPQFSNYQI IYMEAYIIQNGKTYTLNLDYSIQKGASTTFGFIWDDSNFNPSLDFQSHINSLAEPASRLL RRRQLEVSLKREQLQVMYQVQSQNIFQSTKLNKKNSWSEFIVVVAGLIGMTFIIYLSKVV YNHYKKKEEKNQTQIPKDEQKMG >CAK87689 pep:novel supercontig:GCA_000165425.1:CT868629:265894:271197:-1 gene:GSPATT00021322001 transcript:CAK87689 MEQLFKLINLLIHPASNQLQLKSIIVLITAINKAQELEMVKNFELNYKTEMNPIQSIIGY CFIIDSISSTQHILIISAFFVVHILLLLMLAYFHLVKNKSVTLQYILHAFLTYYQLLFQI PIIYSALRFIYDQQICDDSYICSSDGRVGLIIIGLLNIIFTLILNSIHIYFGRCEKLMED NYILTLDYSILLNGIMQILVLITVVLKAIEILPELRFALILIKSLIYVITSLNRINQFSY ILVETVSVAINLSISFNFSIYELFLIISFLLCLCVQIQNRIVNFHVTLKEQQNLVTLQIL EESNEMSALPKSKIILSVIKKNHICKKCKNPHQLVECLLRRMANTVLNRSQLYVLLYCSY VANHAPLKALVRLVLMTSNDIYYRIASHNLQQELYKRTQQFQKEAQIKNSIRNANEHLNS LDVQSAFHTSHSAAFLFPLIIETLNGKINFWNKLINGYNDIDHCLHEALKTTSKMLKCKK EFENRFDMINNRLKGSSTQDILSMRIIQIYQTGIYSNSFQAFQLEKAIDDLLKSERYKQD ESLDNIQLIQNRLIILKSSLVRKRGELVNTNTKQLSQFLCDSEDNVKLIKHCNQLMPNFL QSIHDQLMDNYLQNGHSKLMVHGESTFFQNIQGYIEPCSINLYNYFDSNKNDFLLNMILT KEQSNNETILFGVDGKILGFTKQFYEEALKSKTKIESSHMSKRTEATVSQMEVRELLARY PLIQYYIPSITQQVEELKVQINSSSNYLMNNLRSYWIVPQNHSECLLNSQLILSQFKRRS NGSQLQLNMRSYKSQTYSRYSQNSMNTNIEMYDDQEIPQEIRKQILVENTPIIILHPEVQ ESIKKLVEFDNQSQYMQLGLFYSLSYKVLKYKKGTYAYFMISIKEMKHLQLSNTNQHFTT VPSQATQQQSLQPSQDLNSSDLFPKSEIVEIDESQNAIVQEIKMMNQLRSVKQYDNDEPN NNNNILDNSKSIEMSFKVKQSDRSNIFDSSRQMIIQQPLYVKPRQLDKSSNLEFEQMEND MHGLKERQLELLDENEQEIVNDKFESNSQTLKKNSILGKLRIAQIQKKENAMDFASNPSR TSTNSTSKESLLIVQQLYYNTQLITPLKKIALILTLICLAILTVDIINVQMISTNLVSQT DQVVNLRKPQNINYFYTSAMLQEWVQYLYTQKIITLSPFMNQRNIDTLSQMYDYARQEMI NLAIQVPQQAQDLNAKTIFNFKYIENGVIKYSDVPLQDFYQVLYQTVETSYRNNLGKQQI IYPDMLTTGVVRVNLWQMVDLHNQLIQIIMQNTIDAQSLVRSYFLTVMMAELFSVIFFIG LQLKYWLFIDHITKSILFLVSRLNENQSTDQINRLSMIKEQLENESSNTWKLYNFGEVMF EFSDKKSKKIQLKQSLSIQSTQNNYRATSALYSRIQKTYYLNRTNVIVTFLLAITWSAFL MAGYLLHMSYNDNFQPSLTVTLKFVQFRHNMDSLALIAGLTKTEPLIPNYNLSFINQTLS SSLLSQYKDNLLPLINEIADVILTNANANKKNSIFDGVLNYDLCLSTSLDNLPACDLSKQ SLAYDKKDLYLDVIVNGALGFTAAFTKFINQEYDYEINNLKYSPNIEECRITAQSQQFQN FVLQYFTDIQNAMRQFLILFQQDNANISQGIISVIQVYYYGFGLSLFAIYCISSFVWIYK QQQTIQSLRQILVLIPADLILTANIRSQAKEIHQMLY >CAK87690 pep:novel supercontig:GCA_000165425.1:CT868629:271381:275273:1 gene:GSPATT00021323001 transcript:CAK87690 MQNKETAFLSIESPMHSQNVLSPRFDDMIPIYPNENVAEQQMDEALKLKRNIFERKNFRA LNDGHKSHSSNEDGSLSSFNDNSGDDVSPKSRTHSFIQQYMSPSVGPNEQQNNTPNQKAQ SGLMLESTNNFHYQVGKSNSKLEKYQSFVQQFEIQEDKLQRMKTRQAQKKVKAKEIHPKR MSGRREGTIGLNYIWSQKGLMIIRLVSRFIQQLKTKTETIKFRLLTQKIFSVIGDLSSNF EFILVSRQIKQKPSLFLILKYNFQKQATKYLHYLEYCQDFLSRNIIVIKPDSKFKIIWDI LLLLFIVMNIFYIPINISFNITTSGAFEYLFDLLPSWIFVAEIILNFNTAYYDKGLMHED RKSIVKHYLKENFFWDLIVVIPFLISNLNIPFVRYTLLLRLTRLNPLMESIEEMLNLEEN IQIVVDLFKLIFFLVLTGHFCGCAWHFVALTEHESFGVYETWLTHYDPAAYEYHWFDRYI ISLYWSVITTVTVGYGDIVPVTTFERVFVIVVTLLLCGIFGYCISNIGNIFKSISDKKTT YKFKLRQIHQHIRKRGLNLNLSLKVKKYFEYYFKLEQEEDNHADIFLSQLTKHLREEVLT DLYSNTLKKSRLLRDNFNEITINNLCQFVKEKKVLPEEALYSRYDQPKKIWFVLSGALEY VADHKNENDYYEATETFLKKVSAGAVLGEREFITQQPYEYKVRAVKFTQMAYIDYDDFIN VIAENDREYEIFCMKRDRLLLYPAFKGSGNVCEICEWTHNFIQQEPYHQIQVSIRFFATK YKQNCQQIYFSQIQQSDQVSLSQSQEIKSQGNLKQNLGMCFANNCWKSDRIYTDEYLISL GFQLSPIQDDISSKDHDSSQINCDSKSISNSEKQNAILSKNLKVTAGITTDLRESRDLDL GKQIRKSVINFKRIQFGKERTRAIQFLKKENQNQEKIPEALEQSLMLSIPTNQLQNNLFM KPIQQKSRRTSNLDDLGFVQVSQKLGNLAQQLNQQDLENHIQSIKQVSKNSEESEIKDSI NIKRQIESQERKVQMGDQRRKVKKTTIQMGQRPKRRSYQNQQTSNQSPVSQNQQQVQQIT ISLDQKKTRASFIENRRNLGQTAQLTSSGLIELKGEVKEGSQVDSLQQKILDIVHTNINL EMDICKSTLVYFPEYNIDSILKKIEIYYQLNKGKEKKLYKRTKSNRNLFERIKASKNATI KSLQMNSKSQTDVQKQDENT >CAK87691 pep:novel supercontig:GCA_000165425.1:CT868629:275310:282230:-1 gene:GSPATT00021324001 transcript:CAK87691 MQSPFPRKKYPSKTIVVRKNNHLFQSAEPGDKKLKSSKVSKGTKRKGNVLDNQELFQINK ATQKAQHSSESESDSDDSFQKSVLSESSKSSIRSAKTIKSKSSKRQKNNIKDLTQNLIQQ YQTILRKADSQMTQQKQEEIQQKMLDRIAEQATAQMYVQPYKDSSEYESDEENSDHLSQL FSDLAKEDNKSDKSDNSIVSLEVIQAQNLITNRSVNNIQSPGTPESSIQIPNVDLSTTNV KHRMSNFHSAFAGFSHLSVTEQSQSSNEIEIDQLNKLQNHYGKIYQKLSSVNGALHIKFR KMRSFSKYKQLYSSYQDGYPLLKLRMIFAYCYLTTKRLSKIIVRSRIYQYLLTFVTLGNI ILFIYEHLNHDNQNLFAINFGFLWLYVVDIVLQLFAFGLFRSKFIFLGRVIDAFLIVMFY YHLAYTETVVNITPLRMMRLLKQLGAIFEGLQRMIEALIESLKFIFESTAVVILFVLFFA SLGNSLFMSLFNERCLPSEEDEWIQCIQGECPDGKTCVMTNKAFNIPTNFNNIIYSFGLM LKTVTMDDWSWVMYYTIRAFHPIVWIYYVLIIFVGGFFGFNLPIAVFKTHFSEMQFRSIS NQDEKVEAISKLQLKKIGFFHYVNQMNNYLFSKQQSILIEEPRINKLNQAWDIQPKLLLG SMINQSKIGESNFTQFRNTFKNFSLKHILLPKLNDITKFYNNLDIKLFTQDLKQQMIIKQ FSKSSFLLEKYIDYNFHYVPTSQIDILRQSMFLVLKKTENKPFSGQKKKKFYYKPIQIKN KIIQNPRTSRSELTVASSQISENKKMMLPIKQSQRIKKDNINTSDLHGSKSSSSSNNLTQ GYQIMQETGRRKHPYFINQHSKNLESEKWDFTQYNNGELKILVNGVYLDYLSVQKRINAN IHQKNQEGPRGQEEYTQLRRKEFEKKIIKAKHWSGNNVLISSQALIQKFDDIFKSLNQKD IEIWPKSLSGIILNLRKYAFQIIHFKLTKFLFDLTILINTLFLALQGTINRNIIDNEEDI ATVLLSTEIILQLIVYQPKRIAKNKHHVIELLIVILSLIEFGFSSYLNVSEQYLRLMRST KCLLFYRCILYIQMARIIGAIASITYKSYIYLTFLMFFMILTFGLIGMELFANKFDEYHN KGYMQSFDDPAKAFMTVFNIMTNDDWFGVYRIGTEVQTELAVTFSIALVFTLNYFIYGIM MAILLDGFSQYLERESSNEENEFMKEKIKQINKLNKQLDSDQTDDDSESESSNSSSSSIK QYKELANNVIVNSNRNQRRESTSPENMLASSSNVREYQQKKDSCFTEKLFHSLQFPPTRN RRPDIINDQKKKIKDDFNRLKNKTNININHLKAQESKKIKLIQENIESIERQLLKQNPKL YTGNDCLQSYYIFHKSNNFRKLLFKVSQSDYTKYFIDITLLLSITYLAIPSDIQKDPLLV TLLFILNSIIFIEFIIKSIAYGAFLDKGSYLNYTWKIIDLAYIVIYYVQFFKIGYLPIFE VIETFLFFRPLKLLYRIRWVARVRAALTQSLFDIINVFVVLLLVWLMFAVFSMMLYADKL GYCEEQFNYDIGIEECKQLNKSWIVYKHNFDNITTAMPSLFVISTFDGWGAILWASQNSR ESYSGPHPYYSQAPTYVFYIAFCTIGSMFFLQLFTGVLFINLKANSKQIENQQLTQAQLE FKNVTEIILFDHPVRSSLPKSYIRKVLATIITSQFMDFFMFSILLINCVTIMMIYHSADF DYNRIINLINHACTIIFAIWVLLQGIALGINRFKDNPWRLYTTVVIILGVVDLVLYVYST QLTPHYQLLRVMYMLRNLRVIIIFQGLQKLQRLVRVISFAFPFLFKILFILLIIMVVFAF YGTMLFGHIDKGEVINDLINFKNFWLAILTLFKCVSGDDFRSIMNDCMHHNPYCQEDPKY CGSPYSQIYFIIFMLISNYVLLNLFVLAMIEQFELFFNNQDSILQTYVENIDTFRKAWFK FSCNHGQFLNIKNLPFLLMEIKEPLGCKPYENQWDAAKSSLQYNLISDEENRITYNQLLY EIFFHRYKKLILGQCSEIAAEKMSYYHKTMNFRLAYHRRGIPFRRSNIGPQLKLKGNCNA LYFQLIALTTFRVWKSYTQQMIQNILTEQQYISDRTIKDTEPIRHSISLKSLESQESRIK LNDKPIYQKRRLGRLKRVSLQGQLNDYLPGDLINPKPVLKRKSSFGINVEEGNVQPVYGT VRVQKMK >CAK87692 pep:novel supercontig:GCA_000165425.1:CT868629:282700:284588:1 gene:GSPATT00021325001 transcript:CAK87692 MSSILESSKGIIKEARYAIILEIRELAYLGKYPEAIMKFKSQIETLSQQLGKNQGDQLLY QEWSKLVNDIKEELDLTQTLFDFTKGNSNNQQQPSPQKPKVVQECPEFHDDNRQPRLPFN QIPFQHYQRENTSPSQKANQFQISPPKQKAQDPDEIFFGGLRGGNDGKKANNNNNNNGNN GNNLNHNPSNYFNNNNGNNNYNRRPTNNPPPKDPDVWDPPSKKPDKPKQSQKDAKSNNIF KPQAQPQPQAKGNQRKEYDKGKKNNVAGDKKPVEGQRKTYHDHVYPDGRGPDSDLIQMIE REVLDLTPNVSFEQIAELELAKDTLQEAVLLPIFMPQIFTGIRRPCKGVLLFGPPGTGKT MLAKAVATTGKTTFFNVSACTLASKWKGESEKLVRLLFDMAKFYAPSTIFFDEIDALGSK RGDNDVKLSYNRRVKTQMLIEMDGVSGASTGEERKTVMCLAATNRPWDLDEALIRRLERR IYIPLPSVTGRKVLFEINLHSLKLSPNINWDQLVNRSDGYSGADIANVCREASMLPMRRK LKEEGGFQKLQQKYEDISNVVDVPLEQRDFEEALKIVNKSVSSEYLKEYENWMKDFGAG >CAK87693 pep:novel supercontig:GCA_000165425.1:CT868629:284745:286504:-1 gene:GSPATT00021326001 transcript:CAK87693 MQRVPQYIQRPIMQDSYRQTIKQTPDNENSSNQYQKRKPVQAYPQYQSTQVLQKPEMRPS SSQPRQPSIQAVPRPSSASNDKRAFSNQKQPCRSSFKELKSSTYIQPQQATPQSRYFSLK QNPDTNMIKCLSDQQLQSKQIDQQEYQKLHEKLQFLENKINKIKSNIEISNSQLQKQSER SKQKPLGLAAKFFQKKDQENNEPKGSTTPKELTKCSTSLNLQLNKALKQSQVIKEQNQPS INLDQFVTQVKQPKKPIQQQSSINRHQSLEINQSFNQVTKPILNEKSYSSQKPTKDDHFL YYISSVARSIFIQQTNKADEVVRDHIVQTIQGLEYARNLTLEFQEDKIVNLPKTNQLKTL VFDLDETLIHCNESTSIPGDIILPITFPTGETIQASINIRPYAQQILQTLSRHFEIIVFT ASHSCYANIVLDYLDPKKQWISHRLFREHCQQTEEGAYVKDLRVLGNRKMSNILLIDNAS YSFGQQIDNGVPIIAFYDNKQDQELLYLQNYLMKFRTVTDVRELNSQLLKVSSFTNYQDP SQLLQELFPEYIPK >CAK87694 pep:novel supercontig:GCA_000165425.1:CT868629:286557:287025:-1 gene:GSPATT00021327001 transcript:CAK87694 MKTPELPYAFFTEEQKQYLDILVKFSGRTQRTRLVSSQAIPSKKYQFLKNNFILMTADEQ YDFNQADKVLSEQTTIGALIGFGISAITMLYFVNSRPLHKKLYGEMSTSGILGLMFGLSF YQYHNYQYREKIHQMYVKLLATKKLGRI >CAK87695 pep:novel supercontig:GCA_000165425.1:CT868629:287197:287978:-1 gene:GSPATT00021328001 transcript:CAK87695 MIIKIWKDIEKLVLNISLNFFIEELLNINNRRLLLAYQTLFDSQDLKYIHLQLFNSQYLS KTSQFTMVRIMEAKSYVMEQINIYPTSQDTLLTIIESIIYLAEQEVVKIHDQIPQVNKKD RIYENLLRKSLDRPIEITENKSKSVERPYDYSYNKMMYRKLKQEAFKMLSTSVEIEDANA LVDNYKLVKSTDTTNVPLRQMPKSSLHNYYVTQILKPIGINAYKLSIMDIQKNKEIFFDT FKNFLAKRLFENSE >CAK87696 pep:novel supercontig:GCA_000165425.1:CT868629:288163:288732:-1 gene:GSPATT00021329001 transcript:CAK87696 MGDISDQLNQLMQKYEQLEVQWKKECDSVQKKDELINQLKSEINEKNKLIVTFTSEVDSL NVYINDSITEKKQQTSKLNELDKKYQKARDEYNKLKQKLIDRDLHIQEQEKEYNLLNSQV QSETERLIKTYENKIQQLEEEKDQLKQANEQKQQKVTQVTYDSYKKWFILSVVFYPLIFG LTKFLSKKK >CAK87697 pep:novel supercontig:GCA_000165425.1:CT868629:289289:290128:-1 gene:GSPATT00021330001 transcript:CAK87697 MQHLKPIKNVFLQQVYNQGRINLVVLHGLLGSKTNFKNIVNNVHISKHLASAYLLDVRNH GDSPQTQTMSYEEMANDLKHFILDHNLQNVVLLGHSMGGRIIFSYLQNYTTDLPIGNIIV DVGPGEGEGKNYVKQLQDIDLKNKTLKEIENNIFQVVQSKEKTNLIMTNLTYANKEEFHS DYKWRINIDVISKFMSNAHSEFYSNYQGSAFVICGEKSDYVSLNDREQFLKVFPKIDINR DIHFIKGAGHWVQVEKPRDFIKLTSQYLSSF >CAK87698 pep:novel supercontig:GCA_000165425.1:CT868629:290159:298935:1 gene:GSPATT00021331001 transcript:CAK87698 MNKSSLNSSKIKQLSSGAPIEVVPNEIIFKDIQINQTYEITVFVRNLTQTARRIRVFQPH SNFRCDYEMQGAIAAGLSMKLIVTFETANLESYSDSLKIVTDGQYSVDIPLHAFPAQAAI IYEPFINLGFVRVGKEKMDKIHFKNEGKAPGKVELKLEKIPDFRIDPNSFTLAPGQEFSV SVFYKPKDAGIFRGIVEVIADGQLSGLSLKNPIDINATSIEFTRFLIDSNGTQNNHFDFG TIYYGQQKNIEAYLVNNTPNQQKFKVKLKKGLHQREETLKLQTPAELGLEQTERIMECWP EEGTIESYSQATILFKCRPKVSEELQIRTRQYAMNQDRRMDADEFQYSAIFDFNDDEPLM NHLSVHCICPQIKFPPIQSITFGQCGANQQKDMTFEIQNLSQELPILIAFPTIPYFSVTP PLKTLSQSEKVNFWISFRPKHIGQFANILNAELLGGVFKIPIKVTGICQQILPKPQYRRG PESQPQDFEITKDRSISGIVKTQTDKVQTFDLSRSMVSVAQQSLDRIDELKLTNKEKYNE YLKGHRALRIKKEKEQLIKLKFTQMTEKLNQIKNESLLLQKKKQQTTEEEKPEPPIDYEF VVGMHEDGYEQDLNLPDANESLFVTKPIYHYEPITRVKEGNVIKPFDPDPKTVPKKKFPN EPKTHSEIRDASCELTAEQLLKISAGPVKIDFGNIFVKSLATKYFYVRNELRSSISVRLY SDREEFAQSYTKPQIIPSGQTAGFDVCVNSRQLGQLKSHLKYIINEKHVFEIQVSATIEK VFLEMSRQQVKLNFTDDNAEMETMEILRLTNNGNADAKFKWITAEKKTFSVKPEEGVVPF GRNVECQIIYRPSLSNNQQQYAAQASGQQQQYTAATTRTEEEKITLKTEEGLDQTVKCTG IVTEPKCSVKQGSLDFKDVVVCKTETKIISVKNHSKSTAVFAIKSQIDCIEVMPLKGRIH QEETKDIQVKFFSKEERTIKGEIVIQIRGGKLLVVPFSAQAIIPKIEIEQDLFEFGNVTT LGTSNQMPLTLVNSSPVNVELVLDLRSQSENPKAPDGIDCLEFKPQDDDDTIMHSVHPDQ EDDEPKEEDPLDDVSEKSEPIEIEQKIYRQYNISIGAGKSQQFLLRFSPKEVKQYSFDIP LTLARFGMLPTLLRRVTCKGLKPKFLVEPQSIEFKKKIITSPDKCFPTVEEIKLSNPDKR DVHWKIDASSLRSEKIFSIEPSEGVVPSGQQSKIRVKFNPYGPGQFSGVVQLYILSDPDI PPTLPYVEITLGGSGAYPRLLFDKKEIILPVVPLNIQSRCYFRIINDGYENLNLKYNWAQ EISNFNLELKFPEGTTLGVAKSKLRVEVIFSNKKPLSFTTRVEFIDEARVYSIYISGTTD NCIFTNQTFLWRMGRYQLEAEERKPILYVEDENVDSDNEKNPKHNFSVRSSTSSKGTANL GYTPVRKDQFDSASEYIIRWLNYHVLTTSIVMYPEDVINANGQQVFELLTFLTGKQNFSY KQNIDPNWKKSQRAEALYKQYDEMIRQLKIEGALLNHIRSEYLLSYQDYLAWLKVQPPNK FENVPENMLRLNPTKYNYLQQDAWIALFYQIIKIYYLNRITLKAFKALPGIPTPKLTIPD YYLEGSNLISQSEGILLYFYEICYEMQHQMTRRIKNFDQDFRDSIVIADALTTFIGPSMT KFFGNFRNSCNHEDDYKYNTEKLIPALQDFGLQSHIQPIDIYRPQCREMIMLLVQLFFSL PYYIPQKDPIFFSCVLGEEVVKSIELKNPTQKPISYWVKYEGHPDFQLEGDESIKIEPDV PYQYKIKFTSRISQPVSGRVIFTNKKESNIQAAALVFELKSSITGRKSEKQWNVSSILYE IFDFQIQITNKFLQDGEFQIIITHEKKQIDQQKKKGKTAQVQSIIQEEEFPAFFCQQEKI RIRKNQTINLTLQYIPLTMDTHKCQIVLTDPQVGEFQHDLQGTVELPNLSGEFKPEKPLY VDQTIQIPYQIPFKNEQIVRARQQIQQIMQIKQKNKQQQLGVDKTNTQNNFQNKLVYPGS NTDQTTFEIEVYPQTQYLQVPQTLTVVDGNKKQVDRSNDGKLPITYAFKNATKDFSVILT LKNKFDIRRYKLSAQVLPKVVKATLEFRVPARQQVVQEIPIVNNSDKEWSIKVQINQPQD GLFQCPNKDFQVKKKSTGLFPITFYPQWIASCEAKLILSNPQTNDVFEYDLVGIGEEPVA EEHIILNCQARKQMKRDIEIKNNSEKVITYKVETDLIYATGPQTITVQPGKKATYQLTVQ PVLSGQYTGSITFTEESGRYLWYTVFMNTESPKSVQTLELSCLIRQATVMQLTLVNPLPE TAIYEAIINGDGLVGEDQFAIGANKEGNYELTFAPLKIGRWRGSVAFVNRQLGEVWYEFI LTCEEQPIIKLNVLKASLGKVESLPITLENPSDQKVNLQYRISNPTNFDISPNELVIHPY DVLKVNIKYTPSSLDQIEQSEIIFTSPIGKWHYLVFGNGLPPTKFPATTVSIGLNKDYSS VVHFKNPFKDPITVKIEFEAEGHNKEVFKLLTNKNDKILVPGMNVLQIPFSFVPREITCY YAEIIIQMNEKISWTFPIKGVTESISNQTLFHFKAKCREKWEDEIKIGLQGIAQTLQADD KFDFELANIPVDLQQMISKCFSVKCTKNQLYNPHENLHFAVRFQPMKPFKASLEFIVLRQ SGGRWKYKIVLEATQPDEDDVIIISSPLNKTTSVSFKLTNKTKGYAKFYAGFTPESDAEF SVIPKIGDLEPYGREGTTFVISFTPIEYGKIRKGKLVIQTEDMYWSYLIKGILPKYIPPQ IKQSNIDNHLMKSQIQQSTIIDQSKNYVVENIKKARQLTPPASKRQMFLDASFIKK >CAK87699 pep:novel supercontig:GCA_000165425.1:CT868629:299623:300597:-1 gene:GSPATT00021332001 transcript:CAK87699 MGNCTTCDDPNIYESRNELKPAKKAPDNQENLSVKPKLSQSSQLIQHIRSNLKTLVSMPD FSNSFTQEALNRVGPYQYDDPTDDMFALPYSGVLEIETNVYYEGQWKNGMRQGRGKQHWA DGSVYEGYFASDMANGKGRLIHSDGDVYIGEWLNDKAHGKGTYFHKDGASYVGEWFEDKQ HGFGVEKWADGAMYEGDYDMGLKHGIGTFTWSDGSTYTGEFAFNNIHGKGVYKWADFREY TGDWKDNKMDGNGIFTWKDGRKYKGQYFDDKKHGFGEFFWPDGRMYKGFWKDGQQHGKGV YRGSNGIEREGEWEDGKKIRWLDE >CAK87700 pep:novel supercontig:GCA_000165425.1:CT868629:300620:302203:1 gene:GSPATT00021333001 transcript:CAK87700 MLSNRSNKDTKEVKRISLIPSRQEQQQDQEYKYIQPVKTVNVENQDPKWSELKVDGKNIN HRAYSSITIHNDFLYLYGGYQVQLGIMDEFYRMNLKSLSYQWEKLTYKENPGPRTRHQMC TFIDRIYIFGGQIHQSVSTNSMWYFDLNSHIWIKCKINQSYPPEIDNHTAIIHNDNWIVF GGFFGGTVGLHSNYVYKYEFSSNNWQRVQPQVSKAPYPRDGAGIAMHKNILYMFGGSNGH ERFNDLWKFDFQVWTEIPISSKVKPRIRSGHVMLAHDDKIIIFGGIHDITWELDDLYVFN LKKMEWVSVDEDSARKKDKQLLSPSKDTKQDQHSRRQFRKSTRTGSIKKTFKRTTLSPLK KPDQSEDSLESPTRNLSQAQSNQKTLDEKKRKEIQQKKMALLKIFEVDEGQKQQLRDNSP TSEKMRNSLFLIGNPKADLKIRQGKLTEFGKPLVSKFLQPLQSMQNSIVGKKPCARDGHS FTIYESQLLIFGGDRHQMSFNDIYSLDLTKV >CAK87701 pep:novel supercontig:GCA_000165425.1:CT868629:302588:302933:1 gene:GSPATT00021334001 transcript:CAK87701 MKKILAIALLILCITAKKHHKQEEEPTDPMSKCVQENCMNEAFGCVFDDQCSNTLSECAE QNQDNRSIDKLVECTANNEIASALASCMQTNCASFQQLMKLFNRRK >CAK87702 pep:novel supercontig:GCA_000165425.1:CT868629:303350:304014:1 gene:GSPATT00021335001 transcript:CAK87702 MNICQFQHPIEYTSCIIDDESNQNILVQCHTNFQKKQSMNASTQEQTDYSEISQHEQDST LLDIEVDQYLYSINLRHNPTFQQEEFIHNFLSHNTIQKLKLGLTAELAEDDNTKKFEEWL YMLRNRNGQNLARELKVKKYLEKKRNRTYEKRVQYQVRQKVAGERMRIKGRFITWRQAVK LLDGDESKKEWTYNDYFRIKALLYSKYNLVNNL >CAK87703 pep:novel supercontig:GCA_000165425.1:CT868629:304095:305849:-1 gene:GSPATT00021336001 transcript:CAK87703 MYRRLSNIDRIKLQFKLNQQVKDLLSKGEIDIDNPTHFEVIVGILSKEQKQRNQNELNLL SQAFYSIKYFTEMQKTTSQEEMLNLYRELQYINVPARRTLFRFGAIGKNFYIILRGSVWV LVGKSGLGDDKIEVIEKKGDKKYDKKKKVEKEYDEGEETDEDEFQDLEDERMLELKYPHM MKVGKIEQGGSFGEIALTNCMPRQATIVCAEDCQFIKLSREAFHTFLSEYYIRIQNKNFE FLKSINIFTDWNDADISVIQYHFQSIDYAMNNVIFREGESIKGVYFIVSGLVELQQKGRD LENAQLSKQQNKQQIVINRYSKGQLFGFMEIMNKQSQRETKAICLTEKVQTLFLQEDRFK LYCCRGESLKTLQLMMKKLEYIIHKAYQIYGENKRQSKQSLSLNLADNDVLLQRMKTENQ PFCKTNRSRIVKRPLDLLAGVSHQSSKIQSYYESVSTLLKDSQINLNLNNPLIHIEPSKR LKMQQLQFQLQYCPNPPLTSRIPQFSSGDSKQVLLRQLKLPSIFKQQQEQDDRISIRQLD PVV >CAK87704 pep:novel supercontig:GCA_000165425.1:CT868629:305881:308815:-1 gene:GSPATT00021337001 transcript:CAK87704 MQECLKFIQKQDNILRLQKNGPKKPNNLDSIVQILRSLVSNEAPKHVYVVTKGEFVQVKY VKSQSKNPLSYISKIFAQEPQIQKQLRTFKVMDVIGMDEIHNENYTYDVFCNSTIGEVFC IKKCVFEKIVPNQTMKKKNLQIMIENKPCQMIEIHSAKGATPINRLQLGEIPRQPEEIST HRHEKRTIDFTAFKQVKPQHVKNLLQTIDFLVIDSAQIYIILLSFILRDLYQSTIIFSDS SLDFFHPHYTELTFCLLIYIYIILIMSNHRNLKDLFPTKLNFIKPKAVRYDPNHYQELKD ERPKQTNKSPLGLSQFTSFFQIAQKTTKNRQTPSSQRSATPSKVKIIQVNMQKQDQSQMK IQSKHSRNQSATNINSSRNNSFHKEKQDQLFQNPSSRSPVYVLSKLMSQHHQQDSQIQIH NQSKDQSPAKPAIFDNLDIINRNTVRKNSKSPNTTAQKVSPFVYPKFSSVHQIKPQDNLF KQQESLVKENKPIEKESQFINEAPQIHIMQQEFQVPSQFKYSKGFENLFNSMMNLQHNSR YENSKSIVKQQERQESSDGNEETLVADCNIQVSKNNFQFHYVIGKGGFGKVWKVEIKKSR QLYAMKEMSKAKVIAKRSVNSVMNERNLLAQFKHPFLINMNYCFQDRDNLYLVMDLLTGG DLRYHIGKMRRFKEHQTKFFVACVLLSLEYLHNNNIIHRDLKPENLVLDKYGYVRLTDLG IARIWKPENSQDTSGTPGYMAPEVMCRQNHTIAVDYFALGIMGYEFMLGRRPYNGRSRQE IRDQILTRQVQIKKSEVPNDWSIEGADFINKLIQRKPINRLGFKGPDEVKNHPWLRNFPW QRLLNKEIQSPYIPSEIDDNIEYLNQISEDNESQDDLIRENKLLLKKNSVQNLFSGYSYE QNLSKHTKSTSSTLFLG >CAK87705 pep:novel supercontig:GCA_000165425.1:CT868629:308866:310162:1 gene:GSPATT00021338001 transcript:CAK87705 MNSYLISKYSQSTHYHYKKGINDILEGKRCQLLITLKDLQCYDTPQEHLAKYYHINQLRD KLHMLGEYYKYHNDIPRLFMIPAIIPLNYFHDRKRRLAFFRIARLIAQENHNNPDKPQKG IVGDSPIPLTSEQITPQDPSSSDEVYSTKLHQILSKNDKILQGISFLIQEPDSIEKIKQQ IQSMIKLKKQPNTSSTKINSIGSNTTTSKSNKQSILKQTKQPQPQPQKSKSPPLKIQSAS IQLIDVASPRNQQPSKQASPKDIQQFTKSKPKSPSVNIASPVQQFMKLSAKMKQSFNKQQ CSSARNNTNIPNSANQRKSSVTHILNILKSPNKNSSQQIQHVSSTPRDQESKRSFNNKKV HQLELQVMSDLQSLLQKKTCLTHRSSMTNKNCLKKKLNTRELNQFKCVRLPLSNRDVNNQ QKE >CAK87706 pep:novel supercontig:GCA_000165425.1:CT868629:311802:312785:1 gene:GSPATT00021339001 transcript:CAK87706 MSTIEKQVQKQRKLSNEFEYTYNSTETHTNSSFFDEERSQDFQDYNSSVEEDFQDMWEMS SICTENSQNPQFIEENQANKRITKRANPVQAMRESTFVQEGIIADAPVSISKNSKSGKGI AIHVLGHGSICYQSKKEKKSQKLLNKQLECNNGQQQQDQNQQNNQQRDQQLGHQLPQRGS GQEDKQIKQCQKITLSYLLMEKEVEKQAKIRAINFIEKYISQYKVEDVIEMISETYKVLL VPDQQMQQLAGVLTQEERNFQLNLSLLRLNLPQNIHPLASKAESKLRKYFIGY >CAK87707 pep:novel supercontig:GCA_000165425.1:CT868629:312807:313796:-1 gene:GSPATT00021340001 transcript:CAK87707 MIKKKITFLNKKYQLGQSEDEKTPDTALTPLSIKEQLQSDDSDTGTPSKMALTPLKMSKF KIQHEKSKSSFAHGGRALVNRRDIFAEHPFRQLIFGQRTTQETLLKHIEYTKRGVTYAAK SLKPPSEKFLQQKQVDIPLKDKEKKILILDLDETLIHSCTSKEKSQVQLKTEDGQLLRFN VRPYLAYFLDCLSTFYQIFIFTASSPSYALALVDYIDPLEDKILGIFTRNHCLETKNGYF IKDLRILRDVDLKNIIIVDNLTHSFGFQLENGIPILEWTDDEKDQELKYLVDYLIEASQC EDVRDFNREKLKLHDLIDYIF >CAK87708 pep:novel supercontig:GCA_000165425.1:CT868629:314539:317239:1 gene:GSPATT00021341001 transcript:CAK87708 MQLNWILIKFHSINTLNIVLGLLLYLQDITQLGSLIILMLNGMIMLTGILILRKKLINQS HLQQLILIILSIQITYCCFLNRELNVCSYLLLKFQESQIIKKQSIIRCFLYHVVYNLVMF SLLIYHSENIILYILAFYCTLIMIPKSKLSLQQELELPTHQTKPGQRNAEIINLVQDQIS NQWMIRLQNIPVGIMIVKKENLQVMFQNQSLLQMFQGVKDVQSYLMNELQFKLQIKRKKK SGRESSIRLPKQPNSSLSQVYSCSKVTSLQNMPNTLQEILTELQNGSLEQFLSKENHLEL LGQTAKNKSAQLFEDDTIRKIQCKIFGGQNDLEYLIIMDDISLQSYLQKLETREKFQVSV IDSFSHELRTPLNSAKLFLDALLNDPQIQETYKTNCIEPAANALKLQAYLIRDIIDFTQF HSHVIKYNIQEFNFENIIQEINDIFRPICTIKNLGLHIIVKNSVPPQIDSDFDRIMQILV NLISNSIKYSVRGLITLEISSTERTMTFCIKDQGIGIPQNKLYQIQQFLKSFNESRDFCS QDEWEGFGLLVSQMNLLKLAPQNKSQLRITSRGNTEGSEVTFKIRTAQSTNTQILRANTL QRTSLKCAQTVPDLCMGIQGILIINNPQPDNSIFETSIQQIPIRQQHSIANYFKPQQSSG IFNQVGQQETDLIDDLDSERTNPEVNLLKLNCQSIHTALIAQNTETKDRQGKRRRQSSFS ISDLDASSSNRPNTLVSRLIQKEEKEIEMEEQFQNIRFPCKCARILSVDDDIFNQKALQV IITQMGFNVQIAYNGQQAIDVIEKTEKCSDACQLFYFILMDCQMPIMDGWTTTKMLMGMI QENLIPDIPIIGLTAFNSAEDIERCMEVGMREVFTKPLNISLLKQVLLKLIN >CAK87709 pep:novel supercontig:GCA_000165425.1:CT868629:317328:319663:1 gene:GSPATT00021342001 transcript:CAK87709 MSFRDLENPDQAVFISQDLLNESFSQISQEQNAEQQQELVNNNENYPQFSQTQNSHILSE IINTPSQPKLQVKKSTLLPQSGGLFSYLIDNQSRIYDIFQNFLHRIFQYYFIKKVMDQNI IQNYIDLQAVKRKLSYVQQVYNQTFCALKNSIQYYQDKILILTSEIDKEDPYSLLTQHEI DLRRGLHDFYQFIDSEDLLTSSKGMGGSQNISQYKTIQIEVFNPADLNSLDKVAQFVLNS IELEQISITPIQLLNDDLSLNLLKVTMQLLQIWQHLFRFLNQNDVTNIQFNYEVDQANHN AAIVAAQTFLLVPLDIANKILKGHYKELINYHLNSNNKLPLKEEYEKKREEKSQAKLQST LHFDKAENIIKIADDALTNEAENFSFSNAEHSLMEEQSDQQQTIQKYNHKYQLNSEQYKQ TILDQAVELSFKGKINESHLLDIQPPKHRSYIAESGQQILRITPITINTLNCQKPNIEFN HINYFIDPQKEFLHYFKPNTKYFFIWQIGAENVQKIACDVEHQFTNELISFATKEGIIFL FNPFSQTADNTFYRYNEYASILQALPNMIEKKRNFRVAQVGHYIYLIGGENENKQVSNAC ERFNLKTLEWQKIKPFQTPLTKVNLVVFQQRYLVRFGGLNRFDHFDKTVEKYDTKRHKWY QLRLTSGQDQIFKLNSVCLQINSNSILIFGGENDNDYSDAKISLLTIDEVEKKKNENVAH LQELNIQQQFLSFIGQYDLNVYYQHDRIYLFRKNYSSLL >CAK87710 pep:novel supercontig:GCA_000165425.1:CT868629:319822:320866:1 gene:GSPATT00021343001 transcript:CAK87710 MSDLISKTYNKISDVFSRSQVKKAVEGLNTYQQRVKLIDHIIDRRQEIRNADDIAKIQQY AHIFKKMLRRYKKKKDEDNEQEKAKLKMEMVMQLFRGEKKKQTQNYDMEQIKKQQLAKSR RNALPQQKKNQQQYTQSTKVEDSKMFETSPSRNKKLNRFFTEKDPLQVEKQVEQEIYDFL QKNHELDQVQKDRFLRMTDKSQQIRYIESKVKHQLVLQENQQQQLAIKQHYQVKNLFRQK NNRGHTQIKLAKSFQGKLNDLKIDGPLTSRRQINGEDSFASYTEIKLNKLYQESSSIQKT IKTKTLSCPTNQIQNYLIHTNRDIIDISNKKLFHIQKFKL >CAK87711 pep:novel supercontig:GCA_000165425.1:CT868629:321081:321951:1 gene:GSPATT00021344001 transcript:CAK87711 MSIVALFKNCYEHFKGKFQKNYISAQTPKQYSQKKVLVLDLDETLVHCEFKENENFQHEV LLEVIHKGQLYTVYLKARPYLNQFLQEASKDYEIFIFTAGYEAYCQEVLSFIDKKKIISD YYARGSCQFIDGICYKDLQLIDRPMGDIIFIDNNPNAFIKCQDNGLLIPSFLDSEDDDCL LRLIPFLKYMAKKKDLRPVEQHLKIYEDNNGTIVFCETQKSIQIEQEEPDEDTLSEGKVV KREQDVTDLDIKHKKTQTQLETVNKIKSKLRSVTLFSSSKHN >CAK87712 pep:novel supercontig:GCA_000165425.1:CT868629:322137:323090:-1 gene:GSPATT00021345001 transcript:CAK87712 MNNQQGPFSTPAFIIKLYDMLDEQVFLFDDLIQSTPQFQTIIKWSDDGEYFIVMNPKEME SQILPQYFKHNHYQSFLRQLNMYEFQKARNSENYEIFTHPSFKKGNKKQLSQIKRNPIKQ KVLLKKEKKSVKAESTEAEFEQQMEQELTFLKQRQLQFENDFKAISEQNQIIMEQHNSIW TQLSLSRQSLDTKIDRLSYLLSFFLKQQDTNIKDNNGQDLFKANVKTELEPPQSQTQQME SLSPILRMMQSQLNYSLKKNLSTFSPNQLNSPLPIRSQQENQDSQYSNLSPYYQKSFQDY M >CAK87713 pep:novel supercontig:GCA_000165425.1:CT868629:324689:326063:-1 gene:GSPATT00021346001 transcript:CAK87713 MGNVQAFVNYNTELTFTSDLNDQIQCCGTIFHPAFGNIQLWKMKKNTNLELFSFTRHLYF QDSILLTIHSKRSQLQHPNLLQYYACTQNTPQYCGSVETQQFYFEYISQTLSQYLCARSE TFREIEVWKSLEQLVSVLKYLQNNGQSHGQITSDHIFITSDQTLKTLDQITIQSTDQQIK QDVYDLAQLIVELMTKRKFHASLKETVISLSTDYSQQLLQVLARMLNQNPEKRPDFIELS QYKTTSKELGLTLNPSIVKTTENPHYYPLSQIINERNNKAFNQNLQQRQLSPYKIIPYSP QRITPKQFSPSRIYGQTQRVVQPQRLAQAIKKEEVYSPIKQSLQQLSQLYRTTTPVKGNK TSSKASTISHNASLIDSYRTQYPFSSQTRDKPNKLQIPQHKSDVKRMIFKDDLNQTSTSQ PPIEMVL >CAK87714 pep:novel supercontig:GCA_000165425.1:CT868629:326161:326493:1 gene:GSPATT00021347001 transcript:CAK87714 MNIIQSTVDEFIKNEIIEFYYNSKSQFHKTTLEYLRNRLSRYGKGVLIYISDCPITQSTS SDQMVLYFQSKSEYVFICIIRHLFKEPPKHGQNIQCSLRGQEYKLNYHYY >CAK87715 pep:novel supercontig:GCA_000165425.1:CT868629:326658:327325:1 gene:GSPATT00021348001 transcript:CAK87715 MSQQQGKGGKAQQPKPDAKPAAPAKVVKPQETKENVMRKIRIEKLMVHICAGESGDKLTK AAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDAAKDILFRGLRVKEMELK KRNFSESGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVILSRPGLRVAQRKSRNARLGTAQ RVSKKEAMEWFKQTFEGNVY >CAK87716 pep:novel supercontig:GCA_000165425.1:CT868629:327326:329139:-1 gene:GSPATT00021349001 transcript:CAK87716 MYLLTLLEIILVTIYCAKLINNICCKEVNIIVRVTCLISWLTNFILLILLPLDIYVTFRD QETNSNQSDLGVHSREYEAIGNMYQILYWANFILCWTIIPIMQEYEEATDLNQAQKLMRS LINNGKFFLVIGIAGIVFIVIIVMTGQASDYGLTKLLKSMANSFGVALIIVLLGYSLIAV PRAHMRTSTLDVQMKYLYFKTAKITEEKDEAQHELQEKAKRVVGIRNQQKFQQESSKIYL KISIKTIPKTMYKDLVEEDAKSKAPGISYLQRMFFKEQPEATDEEMVEIYRDIRQKARTF RRLRAAWKENCRKAYALENVINSVENSDKKIHYDLKSNNREGSCSQQLDTLEWYWLCYYK PQFKILFSLFLGILSLLVVISETTLFMNTPFTIFGMPISLSSGVIALQIFCFVPLFYIAF CVYYGMFRIKIAGCYGLYDDHQTDAPSLLFATINFSRVAAPLCQNFLNMLRVKQRLNCEP AFKYAMGEMEFVPIFGVNVIQLMPALLLFLCFINYFDLYDRFMNFLGLKEFMFTETFSDR LIFRGRDALKDKKAYFIQKKSKVQKGTKKEGDIELLNIQ >CAK87717 pep:novel supercontig:GCA_000165425.1:CT868629:330291:330905:1 gene:GSPATT00021350001 transcript:CAK87717 MSQFFSGVTMPDNEWSEIFDIENCDKSQAYDSFGDFHAELHRYYSMLNQNPATDESNMLN SEATNGEILQSSKTSKVIKKVCYYINFQKKIQTALPGESKNLHKCYGRQLQLFIRNFCNK TNNSSLKEDQHIKQFLQIQGDKIGKYELNQFLNCQKGKMFSKEFFGQCLWKYNVVKESKT SVSSLFRHNIEPFWETHKKIKSRM >CAK87718 pep:novel supercontig:GCA_000165425.1:CT868629:331012:335414:1 gene:GSPATT00021351001 transcript:CAK87718 MQESRVQLALREISIIKQAMSSKKKYTPMKDSLNNFQKVLEENKKNGVQDIPRQEIQKIL IPASKELKSAKFHTTLICFLLKLINLKVINDIQTSEDVLDYFLKIKEIQKDELHYKLIQS FMAFIHQDFINFRNYGFVEKCLTFVLFMKNSKMPIIQASAETSLDKLIELLSGSTQLIIA GQGFDDMNIIIDLDNQQEQNLQQATSNTPIDHQKEVQNLHSILSDLIKICDYTRPPWFPP SVPLDREFGLSSINSFLSNMGKFFTQFTTIQELLSNHLIQVIRKILAIPINMIKSSIIVV AFKCLTFLVDGPQSGEVWNILIQQIKSNEHSIHKNLGLQTLIYFVQSQIFLDQLLLLPLN EKNYILDIITLLSGLAKDISDPTELEQKRWMDMTSPTINLQQNSEVYPSDNGLVCRMLSE FQSRFVNSLCVYADQQKIQLGSLFKLQSTDKFYQIIEFTWKLNLRGIKYLLTKELDEQTL QNLLSAFSSYINIVGSIQMKAAQNAFIKTICEFCKPQTGQEFSKKHIQINKMVLNIANCL GNLLECSSWICIFKTFEECENYYLRNRLAKNSSQEEQIKTLDITILFQSLDQLFSQSPTY GNEHLITVMDAINQITIECLEQQTTLELKRSNSQFGDQKKYFSLSKLVELIKFNVFRLDI FWELIIAHFISVISSRNTNLVLNAADTLSQIIFYGFEHWTQFYKKNQQHHSEFIKEKWYK TDSIYQQTLFQPWIDMCALNQNDLKEIILNNVLKMLQNNGHEIQQKGWDSVLVLLLEIGS EQTTIFVKQGLACTEYIINQFLSNLNGEQIKKLFEIIEKYKSNSNEQNINFQICNMLWHL GDFITKNNSHNSEQNNILTNEELELYLPEIFQKLSLIALDPIPEIRHSAIHIFSNLLIHL NCQNLYSQWKKILENIFMKLMHNINNTFQEKNQNKDLDVTQWEETVKSVIQAFIKLIKKY FLIIDESSQEKDQDIELLIKETTPDLVVIFQQNKPFLSLEAAKQMRELFLYKPIVCLKNF SNVIDQISILFNQQYQDIKYIKTLILHIAPELLEFLNDIVKLASNILIEQVVDIYYKILE YPIIVHSKLDLIQNKIFFEDNLAPKQMMATLQQNLSKQPQKFVERMIANIHELIKEPPNN KFKHILIKRHLLQLNTVLDSNIDFFCQFQQLYETIIIAMRNNDQYLHFTETLNEDKSIYM IMAENYLQRAKTYLTSNAEGQLKILKTLQLVLPDKSVCENIQQQSKILNSENQLLQNQYI DEISQIYVNNPQLSDSSEFMEFIQKLYELSQFSKIAIHKLCILSENSKVLQYFLDASQKI LQTSNSEQLNNDKKLIDLLNYLMQTKIPENSFISFISLDGQEISYGETSLLQSNIGHLFY LMPYLVQLIGHQQDDVRIKVQQVLSKVSQLVVKID >CAK87719 pep:novel supercontig:GCA_000165425.1:CT868629:336048:337569:1 gene:GSPATT00021352001 transcript:CAK87719 MNIILYACIIGYVISESDLDQAYKYLVQNQDQLMLGQWTASSDYLVYINVVYDNQNLIMQ VYPKKHNLLHEKHYFRLSYSLLNNSYHFDSNQNLMTWENIDVIIELQNNEKHPLTICKAN LQIEVQTKTVILHSQFAEQCYVNEERIRLFIFSNSTYQMQAFTYSMLLIFISIVQIISTY FYLKSDPAANKGASMTISIVLTQDIFICIFSSLLFDIPRYYYFFPCLLCQLVAIFSDLKL KAKLTNMERQKKRYLLLQIVEILSVTFLFLRIRRSIELTLLNIFLVPQIAITFYTGERQR FNIYYIGAIFPRALLSIYARGFQDNILQLLQKLHVVFVIVLIVLIQFLIYYCQNQFGWFI LKRNKYNYFIKQTDEHTQSDCAICLLNLSQIPDYTLNKGESFALQTISQASRNNLLMKTP CNHQFHPSCLSQWMQINLSCPLCKSALPQVF >CAK87720 pep:novel supercontig:GCA_000165425.1:CT868629:337776:338149:-1 gene:GSPATT00021353001 transcript:CAK87720 MQKDSSRDTFEKKFQYVTKKRVLAYKKLIKSQNILNIKGGTEFLEGINNKPYMSEDDQKE FNQKFSQQYNHIQTLYKKCLKSRMTSKYNKQKREKVSFIMNKDLVYDF >CAK87721 pep:novel supercontig:GCA_000165425.1:CT868629:338347:338830:-1 gene:GSPATT00021354001 transcript:CAK87721 MDKLLQREIRNHFQNENYAADVIDMIEKDEELIKKCYDKMDDWQFQTEEDFLKKKLQMLG LCEKKGLDGQVMLYPTIKKQRVLNRDEFKGMVDRLYKVKKMSDNDFVHLNQTARKQIQQM KVKQQMLQKQKNKNTKQESFFDMISDSSDEQIE >CAK87722 pep:novel supercontig:GCA_000165425.1:CT868629:339392:340033:1 gene:GSPATT00021355001 transcript:CAK87722 MIQEDDCSPNFLGQIHNFKQQKQHPLEDKQIENGPCIFDIEDEQNEFQQFENKNQEIIQS SKQSQDDIDCEQPDLKSTKRMSSKIDKMKHKNKNKSNRDYQVIEEGVDEQQKTKNLNNYY IRHIVKEIRTILQNEETEANLMQLLDGKYNCTQLGKILDEELYRQIAISYILSFNFFNDL LSSKKLKDVEPIIKYARQLEKISFKNEILYFKD >CAK87723 pep:novel supercontig:GCA_000165425.1:CT868629:340168:342139:-1 gene:GSPATT00021356001 transcript:CAK87723 MAEEQNLKEDSKRNVGQTGAKIVPRGSKEISNILGERRSSYNVDGFDRVVADEIADQKQV GIPLIDKIVIVLVVFFTLLVFINFSFTSNDAKEDADIDKTLFITKIIELVILILFVLEIS IRCITVGFITYFSDLWSVFDALIIIASIVLIILDLNLEGDAFTTISKVLRGIFRFLRLFL VFRKFNQVKKINNAGARYVVRSPVEKVIEIMRDLVDQFEDPEIIKQLNWGITHISNNTVY EPIIEGRKSEALGWLNQPQQHQQISQDLKRSMSSDIQFYDESHLPEQLNQDFEQHILNLD YDYFSLFEKYDLSILTHLMCYYFQKEQLFSSLRISPESFKKCVDRLASSYHKENLYHNVI HAFDVTHTVYFFIQKCNFKEIGKLSKIDYSILLLSAAAHDVDHPGLNNIFLSNTRHELAM TYNDKSPLEQHHASTLFRFIREADLLTNFTLSDFKYFREKSINMILSTDNAMHGKDYNKL KARLASNDFDPGAKDKGICFDTLLHAADISNPFKPMKNYEKWTFRVLGEFWVQGDKEKEL GLPITMLCDRRTTNVAKSQIGFIDFMVLPYYNTLAQILPLLSDYIDQITENKKAWAEKIE HYQTLLNTQ >CAK87724 pep:novel supercontig:GCA_000165425.1:CT868629:342295:343323:-1 gene:GSPATT00021357001 transcript:CAK87724 MAGEKLQSSGKRKCQPKCPEHQFNLQPKSTKCWLKHVTNIDFCPLYNDALSCYENIVPVF NQDEQQCLPGFILEVDRNDYIKSMQKYKEDHQKKVQEYTTKKTKLQIPKPGRKHRYCGVC RKPYADYLDHIKSADHINSFNRHEFVHVILKIVNEDYKSKDENKFQNDICFDVATSTIPK KRGPKPKNPAPQAEPKKRGRKPAEPSLTKRIKTQPVQEQYNTYRPPQPQYYHIFPFPAPL NQMFAQLPYQQLVNIPFPIQLGQELNLERKIEDMIMDGQIEEGPRFD >CAK87725 pep:novel supercontig:GCA_000165425.1:CT868629:343481:345799:1 gene:GSPATT00021358001 transcript:CAK87725 MQYIDQGSALSNKNVPGRRLQKKNSLFYQVRPLQVAQQSVLENEEFTQRYQKNADELQVF KDDPYLEPHKQHFQVRNAKFFELLEQIVKVESSLKDFAKGYLDLQNIRYEKYGFLISDTG ITYKEWAPGAKEVYLTGDFNNWDKMQYSLTSDSFGNWEIFLPRNEDGSYLIPHGSRVKAY IKDANGQYQFRIPAWIRTTWQNQENKLYDGVFYNPENKYEFKHNRPPKPRCLKIYEVHIG MAGIDPRVHTFKEFTQTVLPRVVKLGYNVIQIMAIQEHAYYGSFGYHVTNFFAVSSRFGS PDDLKELIDTAHSHGISVLMDLVHSHASSNVLDGINQWDGTDYHYFHAGGKGKHDLWDSK LFDYSKWEVIRFLLSNLSWWINEYQFDGFRFDGVTSMLYVHHGNGYGFTGGYHEYFNELA DIDSLVYLMLANDLIHEIHPNAITVAEDVSGYPTLCRNIKEGGIGFDYRMAMAVPDKWIK LLKEFKDDDWDMGDIAHTLTNRRYLEKCICYAESHDQALVGDKTLSMWLFDKEIYSEMST LQPETLVTFRGMALHKMLRLITFALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYHHARR RWDLADDQSLRYSRLLQFDAEMINLEDQYPWLPNGDQWVTEKHNETKVIVFERGSLLFVF NFHPTQSYEHFRVGTKFETDHRIVLDTDDVRFGGHSRVSPSYGQNFPIIKEEWQGRPNYI QIYLPNRCAIVFKSIE >CAK87726 pep:novel supercontig:GCA_000165425.1:CT868629:345799:347514:1 gene:GSPATT00021359001 transcript:CAK87726 MYSLQKLVPNSKLQIKPGAFSQHQKLNQSVDGVLPVNQQSLQQLQTQIQILTKKEPLRQQ NKKQELLQILENAQANQKQTKSMSPKRTPQKQKSNRKLNFNQLTDQDNLFLNSSNAMANM IIENILNKDEYYEKIKLENQELKDQSTKYQVTIGDLKKKITTLERQNKEINTNLSNERQT YQSELIKINEKIQSMKTMQQNLQMEQKKNELLTKQLQDQITINNGLKSFICENCLLSIEF LTFLQKSVQTFQPNLSNAYDTLIALSKHSTSFILEFISKTQTLNLPTLRNCLLPEEFDVN GFFETLEQLKMHDSPELSFRNKNNNVVKQSVHINNNNNHNNNNNNNNNNNNNNNNNNNHY VGKQNKITTLQEQFNAFLESSEPFTDSQLGSPYFTDFDTLDKQRPFTKEKQRNQMPLQIN ASKKIKQEINQTPHYFQSFKQNENEPTVFDSLMKEAPINKDRCSSVHSLRYLDQQIQKEQ DKSTKRNKENQQVNCQYVIAKYDYKAQKEIDLSFKKGDQIKLLKKTTNGWWYGEDKNQVK GYFPHNFVQLVG >CAK87727 pep:novel supercontig:GCA_000165425.1:CT868629:347537:350619:-1 gene:GSPATT00021360001 transcript:CAK87727 MSYISFKVISETRYSQIVRICGNHPLLGQWNPHDSYQLHTSSETYPEWGHESKIQIDPSF RLEFKCLIQDGDDYIWESIPNRIHKCDFRRNFLYITFDKPSMNIRTFQKYEISNEFVQEE LMKVQKLNRSRDYDPFDNALDSSDNESNAELTIEERGPATVSIRQLEADWREIIKSHFEC KQKLKSISKFKKISEQYGSSDLIFDYKRKRSSSTQIFTDSYVICLSLKIPLKITLIDQFC KVTNKKDLTNKYKFESTSDPYYINMYNLFSNRLSLKAIWIGWIGIQIADENEYALIQQYI YEQYKCFGIQLDEDILGCFPQLISPVFNNLTPHLRLHSEDDYMEINKIFAKYVQEALQCT LFANQFSHLHSIFIFDYHLFLIPIYIKEGLIRKNENRPRICTIMRRSFPNPKQFRILSCS ETILSSILMSDLISLIQLKDLYQFVHCLPQKYQKLTQVSGMSAFTIEVLGRKIILDYGSV GLNIKQISNLITVDDINQDQQFTLLGVDSLSLLSGLLQKFKIIEQMHLLKQYKIQLIQIL YTNNDEDYQSNSQIARYLEEVMALAEKINQNCQQELITIKLDLTQSELVSLYGKADVFIK TSLRESICSNYLEYIYVRQFKKRAAKLVLSQWCSLKVDHRKINPNNASESAKTILNYLID QKPTFINVPNSDDWLHRLNDHLSYCEDFWFDKQLYDSAKELTIGLKDKHFCSIETTNIVS KFANTSYLDNQRIIILAYTQKFLQKIQKLDQLVQAFEKLAQDENNTLIIVSDQECELMEL NFGSINNLYMIAQDGLFIKQNNQTGFQQIVDRDDQIQAKLKQLSLLEHLNLTEKNQIYTL SFKEQIKDTNAAANVFLSNLIKELKLEFDDYLVFQENYTIKIKHQYQQIEELLKMIIINE TNQKGLVSFANIISFDRGWLEKIMQIFQYANMPLNKKFHCVSISDQIEKNSNLIQSNCQT DMLWKVKIKK >CAK87728 pep:novel supercontig:GCA_000165425.1:CT868629:351292:352788:-1 gene:GSPATT00021361001 transcript:CAK87728 MREIVHIQGGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGRYV PRAILMDLEPGTMDSVRAGPFGQLFRPDNFVFGQTGAGNNWAKGHYTEGAELIDSVLDVV RKEAEGCDCLQGFQITHSLGGGTGSGMGTLLISKVREEYPDRIMETFSVVPSPKVSDTVV EPYNATLSVHQLVENADECMVIDNEALYDICFRTLKLTTPTYGDLNHLVSAAMSGVTCCL RFPGQLNSDLRKLAVNLIPFPRLHFFMIGFAPLTSRGSQQYRALTVPELTQQMFDAKNMM CAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQNKNSSYFVEWIPNNIKSSICDIPPKG LKMAVTFVGNSTAIQEMFKRVAEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATAEEEGEFEEEGEQ >CAK87729 pep:novel supercontig:GCA_000165425.1:CT868629:352912:353094:-1 gene:GSPATT00021362001 transcript:CAK87729 MLRMIGQESAELDLTQRSLLSKWGKEGRNAYEFRWKQRIYERGRVIQWEQVRETLECVEK >CAK87730 pep:novel supercontig:GCA_000165425.1:CT868629:353172:355580:-1 gene:GSPATT00021363001 transcript:CAK87730 MSQLVSCGKSILIHDQSMDPVLRINPHKSSINCCIWNHNNCVIASCGNDGVIILSRATDG ESLLPLEHNGKAINAISFTSSSQYLASGGHDSIVRVWDLKKKTIQSHLKGHYSQINSLHW NSADTLIASASNVGDILVHDVSTQIAVSTFNLKGSKTPGFKAVKFMSKNLLCSGSNDGSV TIFDLNKNEYQCNFSSQHTSKVTGLSFTQSLVCSVGTDQKCYLYSIVDKKVTHTIACENP LNSVAMQNDDYSVTVGTLYGQIYVYDIRMTQKPKSQFRGHDNSSVNYMEYQNIEQQSQQP PVSQRLLNESNLSVQSQLKPNPGKSPLILEIKKDSTKDLSTLSNKESMIKIEQQKQMQYD QFQSRVQSSQQSKFDQSTSKIEDISRKYEVSRVIGGQNDFTDEQKKYIQQQINEQTYQLK KIVQDSVSSMHVDMIRQFQIQQNEMQQLLDQYMKEQKSLNQNKRISNQKDNRIEINQQFM LQVYLVRYDCFKIQQLIPNRIFCILFYAICVIDLILLLFSCSFFILPYQQEDVEQQFIIE KETTDWNLNLNQQQFTRYPIIGKLNGQEFRLEKHHYVNLLDNNTSTYFQKTNFHEFLGYL DYQLANLMLPKVHCLLLQFSKRQTQKIIKNLPQCNKSIGIPITPWFWKGDQIYYLAEICF KISSKDNKLYFEGGCMKDGFIYKKLSTDETINFDNLSLYLTHVDDQQMNRFKFSEKNQKP FITSLHLTLIYYCFLFGISGTIYMILIYCILSIRKRSENQYV >CAK87731 pep:novel supercontig:GCA_000165425.1:CT868629:356028:356699:1 gene:GSPATT00021364001 transcript:CAK87731 MHSRQHLSIKYLDGPKLDSTQVRRKSCVCEQCGTTALKEDRLPNQLCEIAYHKQERLADV FLSKLEGARRYMRNDHKKRNFRIYAKNPLFILRSQPDLEESMHIPESPTKAQTPEKQRLK TEQPQIAPYKSYKYVPTSNCFLQNMKQQVMRSNKTINRLLTDRKAIQSFQASTSDRLLIT KSPQKNKRSLIKQLKPLPKQKLLQTKFDCMIRQFFNSSKAKQY >CAK87732 pep:novel supercontig:GCA_000165425.1:CT868629:356730:358216:1 gene:GSPATT00021365001 transcript:CAK87732 MQETEQSEKDMIGSLLDDDESECSEFRSSITKSQQHSQSPIKSFKDLRESPVLNEDPTYQ EFPSADPSNFYITVQPTFIQSRQHSSSHEFHSYMLPQQPMYYPCQDGYFSQKRTKKLQLQ TEIDSSISQQCQDQYASLSIQQSFIQGNEYQREKIFKGLVDDLLLLSKHKFGNYVIQKII ENCNQNTRTLIFEQLNSHVLEMSQDKFGCRVVQKLLEFILNQQKVQLISQIKPYALKLIF DQCGNHVIQKIIDLVTDAEFIIDLVTNNVDKVVSHPYGCRIAQKCLEIFPNDKLQELYIS LIPLCERLSFCQYGNYIVQHMMNSGPPKGFEVIGKFIKSRIIEVSQDKYGSNVAERYIKL AQDDDIASICKILLNQTVPPMLLILINNSFGNYVMQNFYLKCNDKQKEQIQIQLSKYEEH QFTQFGRHFLQYLARIHAC >CAK87733 pep:novel supercontig:GCA_000165425.1:CT868629:358303:362326:-1 gene:GSPATT00021366001 transcript:CAK87733 MLNQFAVELLRDLNCVRGNPLYFDTSLTPLFESISQNSEMQLSPCINPNDILAVINSFGT DVHFLSDHIMKQKTNDYSKLISGYRNRLSDSTKQKFKLMFNKQINNPIIYQQHLTHCYIH LYENTNFYLLLIVLQSKYVIVDSITQKNTGIQIQGWLNYPTQLSGIQFVDGDQYYELQDS DTKQKQVTIENQNIWVFDLFVENSSTQILPLISHKFGDIPIVQFFCEQQVGEVQLKEQKS SQSPSHPILKQLHLLSTRDPLQPIKSNLQNKIEQLKGQKFNGFEQEHHPKVPHTTSKNLQ LFRIEENQYEQSSAKPDDLDLYTKNLESKYLNAPKFPCLIDGLISSESQMLEKSQNPYVP LTHKEQSTLSDIRLQTLNTQDSAINEHLSNMLDQKQLQNIYQDLCREVEKPQCNLQQSQQ IFQPYNVESLYFGNSQQHSNRNYESQDLFQQQQQQQQNQFQFNQQQQQQQQQQPFNQHQD YQQQQSYQYQQQQYLQQQQQQQQQQQQQQYYYEQQQQQLLQQQYQQQLYQQQQLQYQMML YHQQLQQYPNNLQIQQPEEQYYFEEPQWESPPKKWSFSPQKQDESIAIKKRTSNPEPQNK TSYRYSIRQSIKQQKKSEFQLSNTQKILQKEGAQIKDNEIPFKCKLKINSIHPYDFVDLR SNRAQKKMKEIEQGLLSQSIRYHKDHNFNALYRNEKFSDIVLIVNEHHYKSHKSVLIQVS NYFKELLETKQFELNLQVDNLQLFDLVYKYIYLGKLTDSEWNEVGISQSIKFYRLAEKLE LYQLIEQLISRVVIPMMINEFVLDWYVIGFRNQNSHLSYIFKFVYVYTVTYFSLNCKTII DQIRGRQDDSMLRDQQMQNKYKKIFDLMTPEEIYFLVQQPLMQTDFEGVDNLLWLITEKK QCQQIDLLKQLSMDHLSNCGFKYKDFTNYQFEQNLPLLNNQIIVYPQRLQETKKRRSSIY TDQEFHYSESLFDEYSFYQQNSNTCKINKLVYEMKISNVVGNSIVISECFASQSLAWRLI IDVNDKNIVSIYLQERGSIKNNLKYMNLEDNLMDESLPSYTKLNFISVLVKIAILNKQEQ SNERVMYHTYPTNQFHTVGFEEIQAVSSQLWLKVYIQEDPLHSALMHWCGYNYNEKFCQL PYFTLYSLLKSDMLRVSDEKQILGLLYNLQKSDQEQNLDLVIHPIRYFYVPIGEIFTLSK DCQSVRKNKLFQSILNLLLSHYLDGKQHNQKPRKTYAKIDTLMNQSDFKKEWLKWLIYSD NKTLKINTSDIKKEVIEKQKKIERQQYQQYFQVCNQTPKKQLEAQSQQSPKCNIF >CAK87734 pep:novel supercontig:GCA_000165425.1:CT868629:362378:365876:-1 gene:GSPATT00021367001 transcript:CAK87734 MKKEQHQQRRDTTLIQLLVLLGACFTMGIVLFKVVGLHGAQNDLSFEQASLIQEQTYLEQ QIKALQNSLAQPSFLEVRMSVNKKQHKSKIHSSEHKPLEIQKPTVDKKEYEYLELPNKLK VLLIRDPETKLTQAALNVNVGSWYEPDEFPGLAHFLEHMLFQGSHTYPETSYFEQLVAKG GGYTNAYTEGTRTNYYFTIDTSRTSEALNVFAHFFIDPLLSQEMVQKEANAVNSEYEINV AGDGWKILHLMSLMSDPKHPMSRFTIGNLQSLLKPHVVEALKKFHEQYYSSNQMALVIKS SQPIEMMKEFIESSEFLKIPNLEIEKPSLAHFGLPIKDVSKLVKYHINTRATTLIYFYQL EDSTKYFETKPLQFINSIVRSRHDGGLYNYLVSKNLIVDMDSQPFLGNNGCFQFYLIEVE LSETLIGQQKDYALQIGQAIISYFEEQIHKFYDEEQNPTDFLEETYKTKKAMSDVQFKFL EKDIDIFKLSHNLNTFPPKYVLNAETSYFKYDPQCIYNYLADLMNPNNMLILIGDDGYKL VQEEIENEELKASFLSFQQPSTLLLQQSVAVSDLDFINQRELQFSNDLYHIQYDVKPLER DSLDFMMLDNIPLSLPQINHYVPVNLAFKSLCESQQISYIQSVDSDTLYDRKNRQNIFIE NTHKMPFYFTSKKECQEHEFEYEQYNHFPQLIKKDIEGKTWWRLDRSYSPTVYAGMNFET ALDMKSLKKVALLTVFNSYVSDNLYKSLQQSFEAGYELSFDSSMKGLSLEMYGWSDKFEY FYKNVLREIKNEISDDDLLNRVKLSQITELSNTFEQKLFVQATSVILPTIIQGQPTPSQM IDEINSVTKEELSQFQKEMLENFRFTTYFTGNILRDEVQSLQNQIPKIFDESTSHIRIQN HITQVSDLTRKSIVYSLVSKSTNQLDTNGVTLNYYQIGYRDQKQLAMMNLLYKILHNAAY AYLRTQLQLGYVVSVKFKPVGCLDSAQILVQGTAKPPYVVNQHIEEFLINYGRELKEMTD QQFEELKTTTISGLKEVEKNLKDKARSTWSHIKNNDLAFEEKEIAAQYINMVTKSDLFSF YDKVFTSGKLSLQVYGQGMITQTMGISVNDLANKVKSNSLSKEQTYAVRDEVTVSTSDDF KQRITSNYNCNYKISNI >CAK87735 pep:novel supercontig:GCA_000165425.1:CT868629:366059:369566:-1 gene:GSPATT00021368001 transcript:CAK87735 MKKQSTNSQNSSVGFNRTQVFEQKAEQSNPFEKTLKSITTDRKALIQSLLDANANSNLEQ HPHNQRVFTKTMTVEAKVDEIKFDNIPGVLQQKKFNYGNVYKERSKKNPYVIIQSLVRRF LAKKLVNERKKYFQKLLKEDQKITIVDKWVNDEKNWAKSVISKLKVQPKQQNQERKTISG LDKNNPFYHTQIEIMGEMGVLERDDFIEINPATAFANPAIPITEDIKMKKPPLTRLRPKS AYQSQVKPKIMPIQLPNDNCQIWKAFYKKKYGRESLHDLSMKEIKITDDYIKIENQEAVK DKARINKVNKILEKEVPKELKVQKQKQTSSKKKQLEDSIEDEVQSEQVQSEIADEVEEEI PEEEDIEEAVEEDGVVEEIEDIQSSQIKEESIVQESSVIRESIPSAQKSSKIIKESIQED YGDDFEVEESQKIKTQQSQYEDDQFDSYHSQMEGDAQRRKATPKSISDKAIISPQTFVPE IKSVPIEIEPGWTTKRANLEAEILLKNYKEKYSQLREVEIKDEDFEPLKQEHRDLKFIQQ QVNNLISHTGEFYKGWKDAVNPQPSQSADKLYAQFQYEFQRLLSMNSLGTRFSKILQQTI VKDVPVGNVKNIMSGIDQQMQIVQQVLENLLFQVQQIFDFKSTMEEMRLYRKEMDQKLQW LLFKQDKLINNQLGKQLEERDRDLKKFIAALFEKQRLEFGSRKLAATVDPHSLSEALLKN QGILSYKFEQSAQSKKDSSVGKQRDKRSAREESYYQADFEGEESERIKTEKSDQNIYEQS YKNSISEDREVDDGSQQSDIDWQTDINVFENTQNSFVDKKDLDVQQIVEYLQEQLLKEIL EDPFPVRGQLQNSQVKNESNISSQYSQSFEEESLSASKEVVPEPKQPPPLTVKPIQTKEK VDDFGMGKSAINRDELSREFDLTDNSQFPEQSENKEEGKEIKLVADFRYQNFVKQILDKY GKMLEGKEKQVLTKFQSIMKKYKNDKTQPLGYLLSTEFKFIDKKQVNEEQGFQIVQSLEE QLCEQFLKEYNDGSKLDIKSALEQAKVVVLKITEKRIPVDAFSSSYNLNQIYNHAQRKPP AKECPLNQELADVYINEEMDKFGNEATEFILKQIARTTMADFDKILLKKKK >CAK87736 pep:novel supercontig:GCA_000165425.1:CT868629:369607:371130:-1 gene:GSPATT00021369001 transcript:CAK87736 MMINRQSHLFLRLTSSPKFYHGGLKDQDRIFTNVYRDGDPYIQGALKRGDWHRTKDILTM GQDWIIDEIKKSGLRGRGGAGFPSGLKYSFMPKVNPDGRPSYLVINADESEPGTCKDREI LRHDPHKLVEGALCVGFAMRARAAYIYCRGEFWVEANALQQAVDEAYKKGFLGKNACGSG YDFDVYVHSGAGAYICGEETGLIESLEGKPGKPRLKPPFPANAGLWGCPTTVTNVETVSV CPTILRRGANWFASFGRPNNRGTKLFCISGHVNNPCTVEEEMSIPLKELIERHCGGVRGG WDNLKCIIPGGSSVPMLPKEVCETVLMDFDALRDVRSGLGTAAVIVIDKSTDIIDAILRL SKFYKHESCGQCTPCREGTSWLVDLLERMKVGNADFAEIDQLEELTYQIEGHTICALGDA AAWPVQGLIRSYREEIEERIEDYHSKHPVKSRQLRSHPQQSGH >CAK87737 pep:novel supercontig:GCA_000165425.1:CT868629:371565:372728:-1 gene:GSPATT00021370001 transcript:CAK87737 MSIQTKNTKRNELNSSYQGPPGPASYNPQLDSSYTKPPKWTIRGGNQREILVQQSLPGPG QYHPKLPESQKKTLIHGKSYQFDKEESYKPGPGAYKIEVSQLSKIGFRIGSQKRYKEDQK RSPGPGDYEIISKSNVPSFKVGKQSRSEKKVNNTVGPGQYNMPQLMKTDAGFKILPRREK TIQNITPAPNAYEIQSQERVKSFKIGTSKRDGIFNKSISPGPGDYSVEIVKEQAGYSIGR SQRSDKKIDSIPGPGYYKLVKPSNAPKYSIYERHREKSEMSGPGPQSYTIGDFKDTKRVI FGHAKRDSYSRLIVPAQGPGEYETDVKQRKPHVSIVFGREKRSQSCSDHTQIPGPGQYEI STTFYVKKK >CAK87738 pep:novel supercontig:GCA_000165425.1:CT868629:373022:373774:-1 gene:GSPATT00021371001 transcript:CAK87738 MIQNLLNETIGGLQVLLRHKEIYTALVHTNQPIDTPLEELDTQIIKMVEETPKFPIDFEM FSFTKTFCDAEFITGNQIMQFDDVMWPKFYRSRKDFQEIKKQEYLVRKNFVISKKLTPLQ IQTHPFFKQPHYTNYITVNPNIQDSKSQDFGNYNTIVPAFSNFFDAAQYFRTLIKQEDRI FYDVGPQCLHQVLDLLDLYIVTIYSGQLDEKFRVGKMPNLDIVQKKLRLTYKSQVYPQHK GHIQFLVFEK >CAK87739 pep:novel supercontig:GCA_000165425.1:CT868629:374096:378952:1 gene:GSPATT00021372001 transcript:CAK87739 MQDDKTCDVPVNQVYRASNHKTIDLTELPYLNEPEILSKIKNNVYLDRQVLIQSVNSTLV DFIQYKKYIDERLIINQVPPHIFSSLFFAYINLDLGKSSQLFITGNQGYGKTQIITDLVS FLSKDYVVYYNLIKSLITNKYGHTQGVVNYRFIYKQKQLVGFVVQLHYIDTSDYENMEYF QQNWQNLPSVEVQKAVQTEEKIENGQQYQKFVQQIIDVMNGLTCLNDNILMISILDYPGQ TNLISNYISEQIEQIYLQHTFKNCQQLLIQDQLSELYNQIQFEDNKTSLENLEQQNEIPQ SLVKLNSILVSKDIQLLYIRCLKERQDLKQYRILDSIKFFQSSYPHRMNYNEFYQRFHHL DVFNRKITLQKHLSSNQDMKALVREISKRITQAKGLLFGQNQVYFKKEAFENINKLLCSH NKKLNTFAKIIQKAFRVRQFKMAILSCVKRIKSNEQEIAQKSELKQPQTETQNQTISQEI QWENMIEISDLDSYQLLNEEQLEQYKKTKINHLQLKQRSMLDGTLYEEIQNTAFQLLNEE QLIQRKSNIQKNKIEQQLKELEAIEKEVSDQESFSLLTFEQKLQYLNLKKQDQTQVAFKL LDQNQQHQIKQSRRIQKMKELQDKIYTQLDPFLSDIEAYKQLTTGQQNEYKKQKLENKKN VAKSLLNEQQKTRRIEIIINQRTRQMQKKQFLIDDYLSDPESFTLLEQEQRKVYTQQKQE SLPLRLLSNKVKFGYDIDISDPESFKLLENKEEYLKYKEKLSLFNDISDIEAYLSITNVN EYINRKREGLHSISKELANTEQYEMLKQQRFRAQQRLDELNLIRHDLLDSTAFRLLNDEQ WKVRKQFLLEQNVNEGIINIESIAFQNLSIEKKNKRKIKEQVKIKMKDILNPILFENHLH PKASVAYRLLENKDIVYKNISLAYSLLKDKNVIDQHLKELIVYSWEEVRSYKEKQELLQQ KINRYEKDKQDFSKVEFSDYEAFLLLDEDQLKEYKNNAYCLLDNEQKQIHRRIMKSKLKS DSKHSDLESYAQLSDDSKLKYTQMKVEDVSDQCAYEALENKQQYEIEKQNRKINLNQIKQ NGFKIYFGQIPKVLTIEEYEKFINYQQQIQKQIEQEEDQYLKQQIEEEKRKHKKCDRKFV IQSLIENPHPQNFVIYEKAQQNKKLLDIRSTIDKNLNTEFDNGLLQILQSNLFKDYCQQV LHQQGNQIEKIMKCQTDNIKKPLTKISNEGVALNVFKSITKFAHMKRSSLTTKEHLERIL CSLIGTTAQIELPTAPKSLQRSLALDIDTLKRSIELETTSRKFNDGVKLENNQEIREEIF LQIFKQIEGNTKEHLYYLLRLCIVITHCIPLLHPLPFIQYLIEQLQKPENKFFKEPDMQK YTKQIIKNLSINTDVKVFKDEIKTQLCARVYLPSKDELQLMFECKQPIVKLMIHSDTPLW VELEHNFSVQQVIKQACSLINQQDNWMYFGLMVAQSKTEVIYNTSYVDQFINFFDILNKL EFHEEINNNVYKLNKRFDIYLRIKKYIQFEEDDQDLLDLYYYQLAFDVKRNRFNLKEDEL SLLQELEIYIKYGENQIRSKYNLKDPVAAKLQFLKIIELSTNSSLENIIK >CAK87740 pep:novel supercontig:GCA_000165425.1:CT868629:379539:379787:1 gene:GSPATT00021373001 transcript:CAK87740 MKSEQQQTKKGMCNIGVGLRHLGFHEYDEIKLKFYRRMNKMRRAIATSTATATATATATA TATATATATATATATATAKIIQ >CAK87741 pep:novel supercontig:GCA_000165425.1:CT868629:380775:381628:1 gene:GSPATT00021374001 transcript:CAK87741 MRNSVEYQDESCIKMKIIKKAWSTKEDNLLKKGIRNCGMNWIAIADYVPNRNPNQCAQRW KRLQGQRSRTNQFWKPEEDQLLLQLISQYGMKWSKIAQIFKNRNSKQCRNRFINALDPNL KQNSFTQEEDQLIYQKYIEYGSKWSQISKFLMGRSDNQIKNRFYNNIRSQYLQIQNPYYS KQTQFQPKEQLEHAREEHLRINGSHEKEKLIEDQNDMQTKDQDISRYINEENYSNFDQSF YSNMDFEYLSTSNVNIL >CAK87742 pep:novel supercontig:GCA_000165425.1:CT868629:383660:384437:1 gene:GSPATT00021375001 transcript:CAK87742 MDQQTLTGLLQQLYEKDNQQWRHNSIKEQNEYISNVRGSDNEEQQQFNLENSQNIVEGLN QVGQIQQEALDQREKISLLIIQIRLKRQQAVEELQADDTFRQLEQKCYHQEGIVENFIKE INQIQKNLYFVIELGLITMYYLHILDDVKLISKTFKTIIVLKNQGHPAMQISFICCKQFR SNVNYRSVVEMVQTQTNSLTVRLLSTKHLEFYIKQLGYNILSSLSILHLSIRQYKSNHQI SISQMSK >CAK87743 pep:novel supercontig:GCA_000165425.1:CT868629:386014:386826:-1 gene:GSPATT00021376001 transcript:CAK87743 MIQQQLVQNACKILNLLVKAHFVKMIYIQVNFNRQKVSNGADENDDSLYFCIRCERTAIN DIYSFTQAFHIAQALLHQIASWRLQVLIFKFIIMQRICFIAFRNKRYKVFQSEFIRRLKC KIDSTKFSIIVVNNCLKPYLKALIIRVIMPQQNRIYCFEYQDDKSSLKSTLYKDLKSFNI DQKIQIGCSICEDGFIFDFTLGNVQENNQKIQTYLRSFFNFDGIEICTLSAENNFTVAPE IVNCDKYISFYKVFSLLYPSYNAQSLGKVK >CAK87744 pep:novel supercontig:GCA_000165425.1:CT868629:387700:389337:1 gene:GSPATT00021377001 transcript:CAK87744 MYQQQNQEYPILIWVDSQIFNWENKLYCEQLQNDYQNAFQAFNDMSQACELLQNIGNQDV TILTSGQYAYQLVKHTKQNIIVFCGRKQNHFDLFANNLQIKSIVSDSFQCAHEDAIMSMN QSDIQNEFIKLFLPPQRQASEYQHSKYHVSIQFLVVIEELQKHQKLKKSEVFKEIDNLLS IQNKQNLKIHIFQDLHKKEKEKLELTNQKFKLFEKLIYLYTREEIYQTFNQQFAQHNYQQ ITNIILCLYKGFKRHQNQNNQFKILFRGISFFEEDTFEQIMIDLNQSKDEQISLFWNTIT STSLSKVIAETFSSNNLYGILYYIILDEEIPHPCFKLQQYHSQFSQEEEVILFPQFEFIV QEIQQQQIQNQQIYTVTIKQVKNNYAFALDPLMRKTYWDSVVEQKIKPKIDTLVNFQCKR IFEFLSFCTNDNQIQGQYWRKFLSRIKKELENVFQQIQIQLTKIFIHSNHPDILSQIKYL TRTYIEVVKIEYQNQLAFNQYKLLEEHIDEVMKSLNQIFAHWYQKELSIQSRKKNICNNY NKTSC >CAK87745 pep:novel supercontig:GCA_000165425.1:CT868629:389347:390104:1 gene:GSPATT00021378001 transcript:CAK87745 MFQRQKLQILNTQMIHCSNKSLYIKALKSQKITSLQQIQHKNGHFAYEATLSDGNKLLMH HNPNNNNELTFTKKIDAQGKNGYSNKWKAVGKPTETNSVTVQEVQQSIKGQQNVKFNMKN IQAKEMNYQFQQAKAQGQIGGAIAGTAGSLAVDCMIDGVDGEKLGKGILFSASVQGGVMY AQSVKSLGKVVPYVGIGLTALMASISVGGVLLSDFLSESEKLYNSVLIAIKTGGANRIWL LRH >CAK81929 pep:novel supercontig:GCA_000165425.1:CT868429:3168:4148:1 gene:GSPATT00002057001 transcript:CAK81929 MEDAIIKTLFVGGSRPKQEDTKFDLLIKRMMTCWMEKEREIVWSEGTSKGENERMWDSNR NQRKLGQILFDEGPFKNHTQDLDQQIRRGKSFQIFLIGCFPNNQFVTESAIRIHKEGYLK VLPEIIEAGLIIHRWDQFPDKKPQVLGPNEAKRNYHLIKDDMDILHHVLLHRSQMDYLDV FYKDKNQYNIN >CAK81930 pep:novel supercontig:GCA_000165425.1:CT868429:5943:8428:1 gene:GSPATT00002058001 transcript:CAK81930 MFEQCCYPKENVSILYQIFQDLKQQGQIHEREDQSQQKQVISSINNLVVQFQQEKEFKVD QFKIALELLMDLIKKNDKCQRKSIQIKAWNNYAKKFIKEYDIVELYLQFFSIFNHDQEDI EDEISIIIEIFKYYTSDQEHYLTMIDQISDWSFQNPQWLLLIDHLCQLIIENNQNLAINI RTLRTLNSLFLQNSNFKVIEKYGIISISFFILDQLQNIVYLHIDNSSLCVEVLKLLSILV YKHAQEFLQIFVKFNFIISIFIQHLDNDQISLNAIRILGCFINTQAFIQGFMNMEILRIF TEWSQKINQNLKLCQLKKTSFIMLIIIELLIEENKISQQSIEEYFIKFCSNIIENLIIDE QICTKVYQIISKLLTNKKIVNQLFDCKTLQCITNSIIVQKHEKSFQLIIVQFLNSLILSD IEKIDIIVSSTISQQLLMFIQNTYDYDTYSDLDIKITIEILYYIQLVSQDSIKCSVIWST DCIQKLFYQIQKSSKKMEGKLIELFFNILSIYSQLEMTQDFLIKNTTALLEIIEKNILIF EICDDFAVKFVYLYQNVLQNLINSPHNNVHLLIDQYIPLSLVIIKNQPEQQSLVLRFFDI YEQISKIDLFMLNGHVRVRARKIYSVRNQQLNSPYLNTLNEYIGRCSFSYQNLLTKEARD YLVNGIHCKLYKDDGSVSSLLVFITPDLASIWCKHHGDSNTKQKWRLATKHVISIKDYLN DVEGWRLSPFKKFGKKSKSVYIQLEIDINNCFTITGNIILNKQIQLQNFHICAPTALDKY KMFEYLRALVQIN >CAK81931 pep:novel supercontig:GCA_000165425.1:CT868429:8497:9492:1 gene:GSPATT00002059001 transcript:CAK81931 MRQFGWKEKKQKTLSLPFDSLLKRLNELQELKNNYIKSLSNKNDLEKQNDDLQTPNTKNN LIQNKIKYDAPILHIRDKQYDLSKSNFQQEIIKNLDKLNVEIDNQASHLVELRHMSSIEF YLLVSQYHLNENLHFSKSDICTICQFELFEIEDWEKFDKILTCEQLVVGLPCDHYYHMEC LTHCIKEQHIQCPICGRIYGIKTGDQPDGEMIYHIEYNTHCESYEDQGTIVIYYNMYPGQ RNGQNFPSTHRQAFLPDTPEGRQVFRLLKLAFERKLIFTVGRSVTTGKDNRIVWNGIHHK TSLMGGVSCFGYPDPTYFSRVKEELAAKGIY >CAK81932 pep:novel supercontig:GCA_000165425.1:CT868429:9517:10820:-1 gene:GSPATT00002060001 transcript:CAK81932 MQAQPKGFSYAFKQQIMKAWQPVPTLNSTIILFAMMSLFFLIMGIVLISYSNDIATQEFQ YDSYCLAQKSECVIQIALDSNYKSPVFFYYQLTNFYQNHRRYVKSKSPSQLSGTDLSESE LDECDPVVTNDDMGKSLSITNEPLKGDDKAIPCGLIAKSYFNDTFALFQVVNNTKKEIQI SGTGIAWPSDLDGRYKNIDKNRQWIDMEDERFMVWMRTAALPQFRKLWGKIDQDLEAGIY EVRINNIYNVSSFNGKKYIVFSTTNAFGGKNEFLSIAYICVGVVCCVVTLGFLIRKFLSK ADQKKNR >CAK81933 pep:novel supercontig:GCA_000165425.1:CT868429:11112:13031:-1 gene:GSPATT00002061001 transcript:CAK81933 MLNISQRWLKTQEVYQILTELKLEIHFALPNQPPSGQYFLIRPEKERGWKKDGYQYIPRH NGVGTREDVEKLKINGIPIQMIICLYSQTVKKDGQQLNRRIYKLLEQSQNIYLVHYLNSQ FSEVGEQNEVFKQSEPLINLQINNEFQCDSWFLCDFQDQQQDLELKQKISILEKRIQYLE QERNTGASSSTHRESSDEFNSSFKEALSVKIVDFSPEWDYTEGGMKMMLCFQPLKEIYQC QILFGNVPVVANCVQPGVLKCIVPPNVQGKMELKIISNGIFIDEQNDTNYFTYKQKRKTK KDKQQKEKLIEQDKIDSSEFKVRVIEKLASFQAYFNNTMNMQVSNMEQEQIESIEQIDDY KVTQLIQQIIVLGQNHLEAVSQFIDEQDSYGFSLIHYLTLLGYSQAIKLILKNGANINQS GCDGLTALQIAIILQQEEIVNLLIQLGAIDDQFNEGAEKKPDIDQLFSIDSVYQNKKILD LLIRGYTLCDSIHNSSSYTEQQSWNGQDDQDTLQYFEVNDLFENKNSPVIKNEEKHTKHK YLRNYSKHEARPQQMEFNSNFPYDNYANHIQTIQKNVKAWLLRRQYLDIKYATQVLQNCK TIFYFRYSKQASQETDES >CAK81934 pep:novel supercontig:GCA_000165425.1:CT868429:13063:14925:-1 gene:GSPATT00002062001 transcript:CAK81934 MQEEILLFFIEGILLIVYVFYLVWDYSAKEVPFYIKALTYFSWILTFSIVLVLPIDILQQ RTFLEQQSTLENNEKTQLQYGLFLTWRILYWSNFLLSWQVSQTPYIQDYEDSGDFNWRER MKYSIKKNLLIYTLGLVLGTIIIILLAMNNDSSDYITNSLIGLANFFGLFLVVLLLGFGL VAIPKRYIKESKEEEVLDKCYKDSVYLEEQRTEKGYDIEEICKTLLILEDSYSNSEFFVY ISKIIALIPPVYFESIKQQAIYRKKELPSEYLNLNLKQLGVLHKSVKQIVFDLRRINTKF EILLNKVKKLERQYEIDSIENQSFVIKIVIKAQYIWVNYFRRYYNKYIGYVFTLLSIILM FGEFQVLMKQKININILSSVILSISDTTLTNITLLILLTYMMFCVYYGLFSMKISGLISF NNKHNTDAPSLMFGSVNFGRVSFPLCYNFLQMTASLDKSNQFFNFFKRLDEFSVFDSTFT IILPLMLIIMSLCNFFNISDKVMKAIGLGQFAFQDSPQVLSSLGKEILLKEKQRKNSKLT TDESSQKSSLLELSKYTSPKYNETQDRVKVNQIRNFDEILNI >CAK81935 pep:novel supercontig:GCA_000165425.1:CT868429:15204:16575:1 gene:GSPATT00002063001 transcript:CAK81935 MKIPLSPTLLQFESIFQSNQNFWSLSPQELIKYDLEKRFIVIINSTIKIKKLRIGGGYIQ YSDNKYIDIHNIEMQLTRNTQINSPGVKLRRQNQTLEIYGDIDDWVEYLKPFSIQFYFQQ RYSIQKKIEKGTLQNWFTVKCKSTSIEYSVHIIEKNNDLNRRLLIHNLQLLRNLKLHNLI KMKEIFESRNLIYIVCENIEGTFIDDLRLDKQLTEFDISTIMRILFTILEYLHLNNVVLG HRAVVSNLYLKNPKDWSSVYFIDHFDLLSQYQNNNDFYSKAQLDVNSFGNIMKYLLDYNN DAAKLYSYDLQEWSKQAFDFYQKINDTTKNYSSYDALQHPFIFQSSKQIVGSFNSRKLFH MSQLGIQSLEISTELNSNNQSSSNSPIKKGKKLIKIKPAVSTPTSPIQKATFEDSSSQGS IDMLKNQTLLLNRKLNKVL >CAK81936 pep:novel supercontig:GCA_000165425.1:CT868429:16786:19806:-1 gene:GSPATT00002064001 transcript:CAK81936 MDEIGMLFRQISRGMKQRIHFEINYQLQWKYKHKQDQNQYFILIFFQEPLRKISHCFKKH FEEQQDQQCKEKLINSQTRIRAGCCIGSINSFQVIISRLLVFISLIRDIYSISQNGSPSP QNEQVFSSDGFQNIWKQKSLNILYYVSKFIRQIKTSGNKIKFKLLNTRILGLVNDAGSDP SVLLEYRDILNNVKVAKEKCNFNQIFKILQLIPIIDPDQTIKIIWDILMLISVIINLIYI PLELSFDFKASDQVVLYLNTIPSWLLLFDVIFTLQTAYYHEGTIHRNQAEILKNYMKGGK LMIDVLILVPILFQQYEIDILKFALLFRIVKLPKMANNLEEILNPKESIKMLIQLAKLIY FIIITCHFCACLWGLLGELQIQQSSYSWLTHYGIDGQVWTKKYIFSIYYCTITTLTIGYG DITPQTDIEKIYSILLALLVCGVLGYSVSTVGNIIKLLQDKDQQFKQKLSILTNYLKSRN LNQQLQLQVRKYFEHYLKLEQESQFKAELMMQQLTQDLKEKVAIDLYFNTLKNSKVLANL CENCLKKLCLYVHEKKLAPEEKIWSPDEKGTKIYFLLKGQLDILANNIRLKTCEFGVFGE KECLTQSSYQTYLKAVKFSQLIYLNIDDIMKILNEDQKDLERFKMLQDNLIFNESYKNFG QVCEICGWTHQLNTCPFVFVKANRNKIITINKNSKPQQRFYHDRKYYSFKTKYSIKDVQE CGLAFMINEKFIEQIDCSDQYLQELGFDISKVEEEQEDNYQQGSKQKQFQDSKFESFSKS NTFTDYFRQNDQSNYEHTNKYTNKNTNKNTNKPKSIIQNNMQNMSGQQSSQQSQLQTSIQ QQQQTQASPSVVRWDSDNLKQNNQKRSTLLLRKLNKERSIKIEMRLNNKSKPSYASTIRQ ETSNNKSMQRYDSVISGKHSKSIYHNNNTNSVPSPYDDMLNMFQDDQLISEENIDFNIDK QFLMTEYFPAFNYDVVIKKFDHNLITKRTKMLATISRGKTLQKYIK >CAK81937 pep:novel supercontig:GCA_000165425.1:CT868429:20007:21257:-1 gene:GSPATT00002065001 transcript:CAK81937 MGNSNGQQSLLVLDQNYAYQTLKTHPVFGEIKLYKHSETGRKIAIINKQIFESEQHNMKQ ETYLKRKSYDNINLLKVLGFQKLIANDLCSQIRYLSIQVEYYENTITQFKEKFTEKELYY LIFSLCDAMDYCKQNNNEITDLHPSKILVTDDGFVKLVDNIVALEAISNFHQVKYNDRQV EYLAPEQLELFSGLKNKINQEKANIFCLGLLLSQLITKQPVSDYYLEEFVNLNLLFKRLD QKFAQYSYSSSLYALLKEMLAHDPEERLSTKQVLAKKQYHHFVSNLDGDSVDFAEHHHPD SILQSPNSYYQEEQQVSYHRKKESILSVKATNEEFQIADQSLQKRIDQALAESNKLLDQL SVSSPVTKFQSRATINGIYDSVQNPLVQLTESRIKRLIENSEEVIEKSLIQSKTCM >CAK81938 pep:novel supercontig:GCA_000165425.1:CT868429:21486:25721:-1 gene:GSPATT00002066001 transcript:CAK81938 MANIVQIIFKYDLIIENCSIYLIIGLCPLSYYLGLSFYEDIFINLIQNRNIQDFWIYSQE LIEMYSSQEQKLIIYISIRNHINHCSNVGCYCSKYGKNLKLDSNSQSIVSGNKDMTLNYR CLRELNLKFDDVVKLMDSLFFQTLNHPKLKRKDRLNYLYASFLEKYSGNKLMSYYFLKLF CYKHKAKLSYFYRMIFPKIIKKLELKLIYFNKIQQENNKKDSLLDDLSLETVIQIENSCA SYQKSFVSILTQKIIIWQLIEKGSESISIFIKKIYRPLIEIVTQNKKIQYEISQLSQNYE NAGFLKILQLSYLVISNSLGRVNILEQKIQELKNRDQYREDEGLNNFNLMRGQFTLIKTG LIRSLGYILSKNEERIEAFFNYNKDEQAKIININQLMPPHIASFHNQIIHQYIQTGQTYL MYDNRRVYVSNKNQFIFPIMLSLRNDFSNFEDCVFNVCMLKVSFQDAIMFDGRGSILGMS ENLFTLLSDSEKLFSYADILKYGLIQVLFPNFYKVLDDIDEAQNNYYRDSDVTADLEFED LKSSIHINSNFISLCQQYQNHSQGSQYDKELSKMSTTNNRVELFDRKDRELMKEFAQEQK GLKKTSRGFRLRFDLQKFEKNFKGLSQEFFTFVGTESQRQNVFTYFIIDIKELSQATSKV NQTVSTISQYLTISHNYTQTDQKMSTIMINKDLQYNAQMNDEIDGQEEKGTLQFNISLSQ PMQPAFQVESPRIQLETPKDTERGMLSNKHHSSDLLGQQRYFKNMFDNVYEQQLKQKGLN DPRFISKNGIQDDGMREFEEELEYGYLHHQQQQKEQLNEDPPTFQKQQCVVYVNKIKQNK QKRILDEQQSTTSGMSKAERENLEKIYMNSKMPHQFYYLISSWIFIIVISLASIITLSVL SVSMLEDVITTSAHLLIPYEFNRAYINSAQQAIYLHSKQFQEDNFNNYNISINSFYESIT ETTVTYRELLNSEIDQYIKVEEQNISIEVYTDMDNYQQDEISIYDFLLSNYQIMLSINET NQLFNLISRFYDITESTDVINDFQMDGIVNDINNLINVQDYITFFTIGILVLPLFPYLRN YKKLNIYQEKIVLLITRINVNEFQKEVKYISEILENISKLNTLNLVTSDFVSQEILNDKP NYSLKTNAKQQILQTKIYDVKLSMWFEFTIVIFIFISMTIYWIGGLLFSNQIPSDYLERA EQYVHLSHEYQHIQHYNLLNEMIVNTYTKESLADIYVKYDEAIQSYSVLPLPLAKENGDL EFLNEIYYNDLCINSNHFVCGQQQLRKSYQNGLNGVLQNIKLLFYQEHFKRYVSDEYWAL VVFFPSFIEPFIYQIITEKNSYLSTYIYNLEVGCLLYYLAGGLSMTLFSLVYFYKQSESN YTTINFSSSQLNIFDQTSTDVYSF >CAK81939 pep:novel supercontig:GCA_000165425.1:CT868429:26682:27101:-1 gene:GSPATT00002067001 transcript:CAK81939 MSNKIQEGCVSARQKLYNDQEFRDVVKTFHEIVIQINKSFYDQSFQGVKKQKKKKQNQQP PQQIQTKKKEEFKLFRRNSYHIQIAYKLYNKNKQENQIDECDPTYHSKKLRNSNLMELEN QLNNHNNNHVPLNETSLQK >CAK81940 pep:novel supercontig:GCA_000165425.1:CT868429:27211:28933:-1 gene:GSPATT00002068001 transcript:CAK81940 MQQINYDQVLLTFQCSRKHLVIDSKYYVYLLPKALILSSNPKQQNPKYNVQLSLTSKIHW ITKSKSPIIEQFGFQYKDEIKFFSAPTKDLQQLKDLMQGQIMYKDISDFYTPIYMLGKGG SSKVYLVTKKYDQSQFASKCVDKRYLQEDGGYNALFNEIQLMQRLKHDNIIQLIDLYEGE NTFYMILEYLEGKSLHELLNQRQSIFEQEQIQIIIKLILQAIDYMHSQGIMHRDLKPENI MFKQTNKISTLKIVDFGLATHQNVDVFPFPKCGTPGYVAPEIANLKDLTQKYTAICDEFS VGCIFYKLQLKYLPLYRCTGKELFPGSDYQEILRLNKKCNIVLDSLTIYKTPAEAIDLIT QLLKLNPKERITAQNALLHPYFSQKYESRKIKFQQSNVTKQNPMFQTQNFNTKVVNLILQ KFEEEIVEEENTKQLSIPVMQNMKSFGQIQQNAYSPQNPQPRKNMKKFQTSEFDQYTQTI SPQLNNFKPSLIMNCDTFTNSPNPDKTAQTTRNTLVNKGQATEAINFMYKIEEEQEEDKG IQK >CAK81941 pep:novel supercontig:GCA_000165425.1:CT868429:28956:29783:-1 gene:GSPATT00002069001 transcript:CAK81941 MFEGTRLQYIVPGYTGHIPKGFFEQQVGYYQEEKPQNHIPGYAGEIKSMKAENLFAQTYG KITYQIQHDDYYKGQDVPPELRYKSQLQDTYQNQNKVQLRTAAEIVGVKPKPIEYKIPQT ETAKNFFRLDESGNPRLKESLSNWKSEYEHHSETIDEATHKFHGDPGQKVPIPLGDPLPG YTGMQKRVVAANIFGQTFANARKTALQDDAKIKDEKMNTFKQQASFIPALKR >CAK81942 pep:novel supercontig:GCA_000165425.1:CT868429:29828:30320:-1 gene:GSPATT00002070001 transcript:CAK81942 MVVAEKRLIDEYFKVEDIDQAGQSFKRVSRIKCKSKSGEVDMELDVNIDIYPIDKGSEYR VLIVRSLGISQEMDQGKYKPEFSQIDNELLEKFQYAMFGRVFKMIKDQNKMINVFASFGG LILKLSGKSEELDKFADDQRVYLLMRKA >CAK81943 pep:novel supercontig:GCA_000165425.1:CT868429:30397:31422:-1 gene:GSPATT00002071001 transcript:CAK81943 MLQQRPPFPSGVMDCILPRTQELGALFLSGIEAALNPYLLQQNQIGAILTVGSEMAHLQF QDANHKILHLNDTSHDPIKRHFDESIQFIQENRQRCNVLVHCYVGVSRSATIIIAYLMQI CNFPFQKSLQHLIQVRPLINPNPGFMQQLQSFDQELLIKRLSQIRPPSVEIQRPISVHGQ RREQLNSHRSTGLPQSPLKKVNAPGQVNQRSLMMTPMSIRKVESNHFRQGTPLKLKDNFC KSLNSSINSHDFRKVLQQCNQFHSAKKSTNDGNMIKQAAIFCKTYSNNQNQLSQVNLLLK TPGSGLGTQTKSKVPLKIKGSMVQEQY >CAK81944 pep:novel supercontig:GCA_000165425.1:CT868429:31700:32149:-1 gene:GSPATT00002072001 transcript:CAK81944 MHIKKNLYDIYQLKKKSILFKVQFMSVFSQEKFIPYSQPDYFDMAIKIQYAGDDEGLFQF ELVSHHPKQNRKWKDSLSQQKTISLMNIKCFLTGSEDFEKPQLKIHLLKEDKTYEIDEKY IDIEFTLSINEQSL >CAK81945 pep:novel supercontig:GCA_000165425.1:CT868429:32208:34928:-1 gene:GSPATT00002073001 transcript:CAK81945 MLDIQVVDQYSLYPHFLELTAPYFPIKNFQFKDKVGTLNVQFKNQQTYKPLIYIYILSTN SYEGYKNTARQRLMKYFDDYPAKNSIILFFPSLLRVDDKVNKILQQTHELICQDLQIVKQ FPKDKVQKIIFYDPDRELNKEQCMREFLYYFQLSVQQYLESQYDILDNQIYDQEQLIKEK SFSFYKLYSLKEKKAKIYESIGLKQEALEIYVGQEKFFLEHYPNTIQPIFNAIHPFDFLV RKSVESNIRNFEESGDESQSTILDFLVLIQYRIIDLLSGGNQIYGVEKILNFSDEIQQVV HQQIEVNKQKQQFHADEEELWGLGLLIWQFFNMRGSINLMASVPQNLSGDLLLQQLQSQL KIRFALLCIVEKLGFTLYKIQFNYVRRLPLVVQCELLEQTILDQLNEIKFDDVVISRDIQ SDPKELFKTYLLSDTKLKGQIHNLHDFSEFYSSIVNDIISIATQLNLHRVIFEYQFMILK LKNVYPKLELNQDNIDRALKYNNIDFKNLHSSLLVQKLIYFYREKMELSFQETFKDTLQS NLPGSRYTKLFQILKLPLTKLKLSYIGYFETFQVKQRISYNDFVFKFSILKYLQVECNGT FTITLKHKGGGVKDKRKLKLVSDVKQLREEKKVNFEFKQQLNSNQGGLYSIRKVTFLSGP FKLYFDVSQKVQQLKLHILHPLINIQNVATITNIGTPILLSVSDLPLDITELELTLISKV KIASELFSLQGATITQKGNIHKVVVEEHFLIQHPFILNLYAFEEFQDQQLKIIIAVKNHQ FATNLKVDSIKALDIIDLSDKIDDDLELYELRSNSKETITIDNGSQNIPLENRNSIRQVA QKGQCKQIYYIQFRDFYKVIVQLQRLFLKIGCFR >CAK81946 pep:novel supercontig:GCA_000165425.1:CT868429:35216:36673:1 gene:GSPATT00002074001 transcript:CAK81946 MMYALFLFSQFAYCYEEIQLQNTKGLRQLNIENTRDETIVFEAKSSQSQVSILLICDSAP NFQNLQEIYNDSNFGCICDWNAFDFSEASQKVMLIRNSTNNMISHSKNVKYTNSRSPYVG VFSNKYDFVNIYIYPKQSNDCIVQISQVFNRNCKYNGECNKGSCQCEEGHFGFDCKYQGS NILDEEYLIKSRFYYVDLKSIKESNFSIQFKKQGELSYQCFSINPYLRKANIINSDNIYI SAEEINNCLHQGDIQFGVASYYIIQIYQDELLYIKKQDSENFNDNRTILIAILSTCLSFL CCLIFCVFKCYRTKRVVVNPNANANRILMNLQENKTTIDQTQEINELIPLIQYSAIFKKY PQILDFSQCAICLDTFYQEQQVRVTYCYHVFHSKCFDAWIKKNSSCPICRSSQDKRTIKQ QEKLQTIISQNTEFNPEVTNQKQAISRTYGETPMLTNHRSVGSSKYIQSNI >CAK81947 pep:novel supercontig:GCA_000165425.1:CT868429:36757:37891:-1 gene:GSPATT00002075001 transcript:CAK81947 MFFSFRYLIIQVSSQLECFQYQSKNNGHISSILNSSKLIRCIIEQQVDIVESYKNMGNRQ AQEEEIDDNRFNAIQRGLLKIQSGFQVFRNQQDEKKIKKLDEIDRPKNATLCPEFYDMIA DEYRIQFDKKTVKKKFKRYPPFRLENGDIYEGCWIHGKKHGLGILLQQSGTIIQGDWQND ALLDGRIIYPNGDIQVGNQYTYANGIIFIGDEDYGIEKHPDGSIYTGEFHNGHKHGRGQF IYSDGSVYSGDFVEDLYSGYGELKYYNKVVYEGQWFNGQMHGLGRLKWPDGREYEGEFKY GLKNGPGKMKYSDKSEFHGIFENGLKVGKGRWIDSHQQLQEREIENGHMLDPQLKPPPSL QQQND >CAK81948 pep:novel supercontig:GCA_000165425.1:CT868429:37891:38694:-1 gene:GSPATT00002076001 transcript:CAK81948 MSIFHVTDISEKPSSYTSSLASKEINYQALRSEVRTSIIDKYNHFEKLGQKPLSPKGGQQ TRDSPRKHQNTLRTLDILFGKPTQNPEQVSQSSPAKISGLKKKMQQIGTQEQTGKFHLST GAQDFKSTYYDQSGSKNNQDNLVRSGSQTSKFYPERGTQLSNSVPIQRLVEIQNLLELTP ASELQTLPRNYLDELQRVASSIQRNLKHHNDIRLKQ >CAK81949 pep:novel supercontig:GCA_000165425.1:CT868429:38990:40667:1 gene:GSPATT00002077001 transcript:CAK81949 MKRFLKLNLDISKKITYEQSHRKCFFQRDYVRMEWTRQKLPKSQLEFDIVQKVIMKNKKN VKKTYLIMMHQNIMMRQKKDGSLCWIDFENSILDSINDPQFGDGIRLTKCFDEIEIYGEL DKMLPIFKKYTIQLEFTQQYHFLKKINSCDKTEVFRIRSKTDRNDYQCKIFYKKALNLTM EKQIEKELYILRRINDEYIQKYFETFENQDQIIIIEELIIGGNFDSYLQKWPLLSEEKAS KFFFKLFKSLAYLHSKGIMHRDLKPENIGLRLNGNLENPCICFFGLADVVKTPKYLFQRC GTPGFVAPEILKNQQYDCKVDIYSLGIMMYYSLTGKKPFDSDDYQQLIEQNEEGQVDISI LKLSKEGLMLIESILKPDPDERITAQAALNHIWFKTEKLSKLMEFKAHNNIKKFQFKSPQ HRKSMQNSPKLLPIVLNKQSVDQSYGSPLQHQFSNAYRNSINSHNHSIFRITTLNGSFSP KDVQSFSQSLNPNSLLVRPSGISPSFFAKTSRPSQSISVLMPNIFPKQLEQK >CAK81950 pep:novel supercontig:GCA_000165425.1:CT868429:40769:42276:-1 gene:GSPATT00002078001 transcript:CAK81950 MIKITKHLRLRQNYSSILDDQSKFYIKPIEGLEHIDFSGQFLIQKDGKLKNKLLQLSQHI LIYSSKKYVNLLNATLLVIFDKNNEIEGLKLIKSGTSLEIYEKPQKLYEYIRKYCIQREI SDRYKIIQTLYYGSNATFLKLESSLDPHVVYVAKVYEKQNLISEEQKQSLRKEVQILRTI NHHHISNLVEIFEDDELIFLLQEELKGESLQTILERQQRYDENQIKQIMRPLFECVSYLH DNNIFHRDIKPQNIMYRTSPDFTEPCLIDFSLADFWNKNGRYLFTRCGSIGYVAPEVLQD KRYVLNIDVYSLGIVIYVLVTLKHPFEDQDKNRMIQKNYHGKIDFTEVQCSQVLMDLMTK CLETDYTKRLNCKEALKHQFFYNKIPKMLSFKIKDNRKSSIIRTPRSQNKQLSVSKFSND SGYGVSLSQSPSSIDRDRQFSSRFKQNTLLPEINNFRPKSKYFQTRKSQCDSSTNKIE >CAK81951 pep:novel supercontig:GCA_000165425.1:CT868429:42444:45131:1 gene:GSPATT00002079001 transcript:CAK81951 MNNYQEKIGLFSINTVSPKEWQLNADLDVELQAKPIETDNLDLLLSPLTKVSNQAKLFLD YEKRPHASQLSILGNNMMAYFNLKKFLQKLSRNRENFKRLTSKHLDVINDQASDQTVLLN SNKNKPKLKIFDFPVLLSQLSKKNSILTTWKRSILEDLNKIPLIHPESKKKLIWDYFMTA IRIVLMILLPLEIAFQPGIIFEKAQIFTSVTILLTILDMIVRLNTVYYEDGKAINDRWKI FELKIQDCIIFDVIAIFSLTVNFGVVLRSILPSYSLQFKYVYEVINKSQESSYLSKPIQG LMKLIWFILTLLTILHIFSCIWFLISKNTNGDNWVKNKEIDQSEWATQYIEALYFSTVTM LTIGYGDSVPQNQIEKLVSILFILIACLWYSYAVNTIGQIINELTYNSEKRRQRIRVING YMNKRKVPYSLQFKIREYLNYRWKEEQESDITQEDKLINELSNELKQELELLGRKNFIEK SQLLQHFSNEFKRSVSSHIKRVIIQPHNTFSMKEDPCLCYLESGQLQFLMSEIKEGQFIQ EYEYATDTQTSIPYKAIGYVSLLIIQKDNFNKILKAHQVDHEKFSQLKMQLCSEQYGYLY DCKWCSTHGHSTNYCPLVSYKYDREGIIKKHCFPKQNERVPIIRNRVRNYFKSLSEMELI QEYVAYFQSEKQQIIQDQLKKQLSDSQINMQIQRKQSFFDDELQEFGVKRKSIHENNGRS PIQKLGISSVGQSNFQFLGVIKPKQSELEKSFILKTIQPNMIEEEQIQNINALYLKSKKD GYTNQDLEILYLKYNSHPAQDFDHVKSFEHYFPEFNVEQVLKKKREVYKSYFKELIRYML YPFLYVLKFNKIAHKRKLTGNFQQVGNIMKFKKALLNKKRRQTSVFPNGQPLIHI >CAK81952 pep:novel supercontig:GCA_000165425.1:CT868429:45620:46737:1 gene:GSPATT00002080001 transcript:CAK81952 MLSLIAPPENLSPEYFSSLLNQYGPVQQVKILNKSLMDFKVFVEMGNSEAAKLAKQFLDQ LSSNFIKCSYYHEEKQFESGSQNNCSFEIIGDSTVSSQKCQHQTSLNESTFYLIYQVLYE DDYRPSSVKTLPLNHKTVKSSQLIQPTQTLCVSGIKGKEIDAKKLYNIFSNFGNIDKILL IKIKNFAFVKYLKNDNAIFVFQNCQQLEFFDSTISISYASDDSIDKLIGLDTLYQEQDYY IGSEDTDRFNCNNKMILLPPSQVLHISNLKKVSSNAETMWDVFSEFGVVQAVKVLNTQFK FMCLIKMETLRQALEVMALMHNEEIDNRNVQISFTKAKI >CAK81953 pep:novel supercontig:GCA_000165425.1:CT868429:47129:47477:1 gene:GSPATT00002081001 transcript:CAK81953 MLSKPQQQPIISKATEENESSSPSISKLEETHPVKPLTLKQSKKNEKLTQEKKPKRQEDK NIENKETSQKKKIFLSMLDIKEAQYKKFKVIEMDQEKKAIISTQIEQ >CAK81954 pep:novel supercontig:GCA_000165425.1:CT868429:48147:48662:1 gene:GSPATT00002082001 transcript:CAK81954 MRSTLFSIYFLFLYFFLLKSFYLSIRRKSYTYVNMIEEQNLCKRCKIHRGFIQFSMIRNN NSSLQNLLDLHIRNGSSLFLHWQLCREKQLQIFYKFYLLYKYPDIKCIIIFRQTILFFRY FEIWIINSKLVQIDICLHFHNITFFIGSFNVVCLSICLSKQQFDGSYAKIS >CAK81955 pep:novel supercontig:GCA_000165425.1:CT868429:48803:50047:-1 gene:GSPATT00002083001 transcript:CAK81955 MFLHRLKKRLIEVGEQKFLEKLEAEYKEKQLSKRKLKDKKIKSLDQKFTRVAFKLAYVGI NYHGLEQQINQQNTIENHLFKALQRVRMIDNRDDCNYTRAGRTDKGVSAIGNVVALNVRK IGKSDKLYAQILNRVLPEDIQILDECIVDEKFNARYDCIKRVYKYYFYKMDYNIELMTEA MLLFIGEHDFRNFCKLDVIANQNFVRTIMNITIEEVFPENAPRCSTLFKDDDRLRLYCVT IEGNAFLWHQIRYMMAVLFLIGKGIEKSSVITDLFTKFDGKPNYQMAPDYPLVLHNCEFK QQLWNPNPESHTEFTQGVYENLRETLSKRYIEYLHFYSMGASLLKVPVAVPEAKVKAEDK KSLVIQKSGVTVEGAIQNLKGKRKEKYDIKIQKVKEYQERCLQNNKNEEEVENQ >CAK81956 pep:novel supercontig:GCA_000165425.1:CT868429:50133:51564:-1 gene:GSPATT00002084001 transcript:CAK81956 MNEIELKPLKSNKVYQSQPFRGGNIIEEKNLILAVRKQGFCIINQNTQKQQMIFLHQNSS TANHYKLSGNHAKIIILTIQYNKSIISKLQMQQLKSKAETSFIDTVSNMMKFINDQQAFQ QTSNIKEFEDNLETIQDKRELLSIGSIIQILIKSEKVQEKKNYLLKINFKIQTKILEQNQ NLGAFIDELFEKNGQGIGLSFLNNDGSFVLSDLRTRELLETTKTANDSKHLLQFCSQAGQ KTLYKQFKNWPILLDEDELSKDFFMTIYSERMRRKALKHLAALGNQKLKQSQFQIHGDQS KKNKKMIKEEIKLNQHEAIKIKFLKTVQIKLTKLTINVTQEFQQSVQESAIFQGSSNLDY MAENSQQYFQVVKCEIILCQEIEYISPEEINKDHKLQEYERKWQEKCKKIKDQIMHLRQD NIHSQDQSLIYFSEPDYELSNVRI >CAK81957 pep:novel supercontig:GCA_000165425.1:CT868429:51910:58073:-1 gene:GSPATT00002085001 transcript:CAK81957 MLLVFYLLIEHIFAQIGSLELFPTINTIQASTTYELKFQLQQQMPVNSIITIDFTNTNII VVDGTLTKCTGSIYFTSVSTDYTQCSCTSKKCTIKISQSVASASLYLLKFGQLTNPSFVK PQQVQLTIDFVNNQQTQQYTVDVYQAGDLSLTQLTQSSFKVYDLNDIKLTVQSQHSIEQN GQLIITFPTQIQFSKLSVNVEVNSNNHTGTVSISGQSVTVTNIFSTLIDATTQFIIYLLN VQNQESVRNSNQIIIQTATQYAYLIDSLGFQIQTKTPENIQINFLNTQNTQTVNQIATFQ FTLQPVLKFTNGGYLEIKFPSELIIQSNMNCQRIIGLTTVSGTSYSCGYTGQNVRTYNLQ YPNSANSMILTVSNIKNPSSTKPTSSFEFRTYDSDGYLMCVSSSEAKFTATNDKLIASVT RTTTTVALQSQYSVFINNTNPVPKNGYLNINIGTQAIKQTIQQLNCYDQSNVQINCQFLT EKTISIQLTSQLAAYTATTIVLQQLNNPNFVITTTSDFQVDTLDDTNYLIDSLQNVEIKP SLTPNTILSIVYYRNNDKLTESSILDIGSITQINLQFQITSQYVITNDGNAIIDLNGYYY LNPDETITCSQIVNSNSYSKNCEILSYVVQTDPKINYISKVKVPNIILNGNNMINIIIAG FRSELYSGQSTHQLTVQVYTLSNQLVSTGYNELGNTKIINKVVQLTQLSIKADSQITGKL TQYTIKHTLKYPIDIKSRNSYLELILPQDLGVDNMNSCLVTINTNTLECVINANKIQIYS NINPYQQDQDLIITLNQIRNPYSTALTSNFQLQYYYNSIVSIQSTQNYQVNQPNQLQVQI DRTVKLVNQNSQFTVSITLVNQVQNLMYQMKLPTDQYIPDQISIKQGDKLLAALLDSSEN GVLSIKFKEDLCPCSSGQQLSFSITGFRNPLASSNSVAQLQLLDELNNIYEENKSIQFPP IESGKISITSISQSAQQLNQQNIITISITNDTPYVNSILIIEFNPDNLPFIQNNNIIITL NNNQISCTIDQSKYQITCQNVELKATNTIKLSSINSIKWLKTTNQCLISIYSYVGSQLLD SISQVNCNQLLNFPIQSFDSTIQRSVRILSQNTDLNIQFNHETSKFVTIKIPLTEIVNDP SFNYNEKLILKSQVTTDSKFLILSFQFDNTNNQINFNLLSLQNANAIPQSKVNYIIELSS DGQTVSYQSSNHITPELICQGSQCSDQQLLILSFSKSTSLISSPTDVNINIQLPSELKYL TLLQLQMTETAMTGSSVCYLNNTVVNCQKLLKDQCTLCDQTQILLTLKNLVNADQYNQLE KICVSIQTEFEQISTCSSFQMEYNYLLDVKNINWSQNTFYSKNAQLDFDVLFSSPLNNKY QNYLSFANTNDQIDFSNSKVTFDDTQIRSTYQNNQLNLQICEQGCQQNKNYKVQISQVQF KDYSYSKNDQAIFKLSRADQYLEQSNNIQIYPTTLNMNQITLTATALDYVQLSYSLSSVV PYQSDSTIKFRFQNNNCVSQGKIDSVHNSTCSLKNNAIVQVSLIYNNQITHQSNEKIILT VATENCPTPYLIFQDSCYLKCPSQTYKEDNTCYQCAQENCLQCNKSECSQCKMGYQLKNN VCEQICYIDNCQQCSFSESTQNCISCVTPFELYQNQCRMSNDCSIENCSVCQIKNQQLNC VQCNPDKFKYKTNCLDTCPSGYYDNENKDCVVCSLGCSSCNSQECLECQNQYNLTDKICQ CKIEGCQTCNSIDICDKCTEDFGIYFKSKCLKECPSEYYEKNKTCLQCDSECKTCDINGC LSCKENYYLEGSRCILKQCTSNCLSCDNNTTCNECSPGYQIDGNLTCNQIKNQPYFGVAS SVLLAILGVAICYQVVSLILPPKLPIFPQVILSSILAFSFLQTIGNCMLLLYAYINKVQI EFILASILFSINNIYYSQTYNSMNNPLLSFITSGTWARLPLLKLKYLSKQNPQQYTNYSL IRQIDKYNHIVTNCLSIIIDVVVISLQNTSEIPYIGCIKLFIDFILVCSFTLEHRLI >CAK81958 pep:novel supercontig:GCA_000165425.1:CT868429:58263:58788:1 gene:GSPATT00002086001 transcript:CAK81958 MKQLDQRITALEQLIGDGDLVITDTIYNQIQQMREIARQQNLSSKLYKMASLIYNYDLNY CYSALPPQSKIQLIMQSEKYLQEASQNLIKIIKYRKFVDFEPIIGLQEKINQILLLQTAS KLALKDDQIVVNQINSAMLMDDFNRYQQQLETLVLINYELNQQIE >CAK81959 pep:novel supercontig:GCA_000165425.1:CT868429:58878:59788:1 gene:GSPATT00002087001 transcript:CAK81959 MNNTILLIVLIAFSFAQEDTPGLTAPMQLEVETNDNHDIPEENSGIFQVNEFKSGMGPMD QFFLKDLFETINAAFDDLTDNPNDSTFLQDNQQTKKMQELDESGAIQFQSPLIGFFNPLF SIFTTEGDSDFVEIETISVNGQTQTKVTKTSTRNGITTTTTEITTEASIPELNQEQENKL SDEFQLFESPMTYDVQNTTEAVEQQDQTEQVTQVNLDNLDSIQELHVIQDNYVKNDQHLN SVPQAEDNFALGVTIMCGLTLAGLIGFSIKKCAFKSN >CAK81960 pep:novel supercontig:GCA_000165425.1:CT868429:59788:60234:-1 gene:GSPATT00002088001 transcript:CAK81960 MKQASLFIRRSFFPLKPLFFCSSTQQPPQAPKIHSVVDNLSASYSIEDTIPGTYQGGSGV YMLMFTCGPCGNKMARTFTKDAYHKGVVLIRCDKCDNIHLIADNLGWFQDEKWNVEIHAK EQGQSLPKINNPEVSKLVQQFIQKQKSS >CAK81961 pep:novel supercontig:GCA_000165425.1:CT868429:60309:61698:1 gene:GSPATT00002089001 transcript:CAK81961 MSGKTRDFFGTLKSVNNSIQSRLGEPSQRKKVLVTAFNQFKAKQIQSVTQVPETPPHIKK YRKSYKHQHGCSILHPGLVDAPKPQGNWLYGKKTDPSDKAGDLFKQQPEGIKELINEINE QKYASHIKEPLGTMPTRNYNWPEESKSDGFAFGQKIPPSEFTAKEVVFPPDAKRDEESVR LMYLKSHGNFEAGEQKNREYKWNVNPTDYRFGKKDEREQEQMKKILQHELTQNQYPKTTI ISKNQEDWKNYNEDPLGKPKNQAQINSRMPAIFGEMKKDEQWTAGQCINGQPTSKEVQPD IDLGRATKFGFRNQTKQGDETRAFGVPTIRNDIVKTGMKSVADPQNYGDEVPAVALLFPE KFSHMGLTEQDFLMLRNKKDIKQIFESIGIKYGIGKFEGVFKRAKEIQNTFDDKVSVKAF QLAVQEMHHID >CAK81962 pep:novel supercontig:GCA_000165425.1:CT868429:62637:62960:-1 gene:GSPATT00002090001 transcript:CAK81962 MKSDVTVPSMSICKAQELQQNNTIRSGHWTPAEHQLYLDFLHQSQDLIESSQNNKGQRLF KKMSQVIATRSPSQCRYNFSKPITTTDHTTKSSIHLMFK >CAK81963 pep:novel supercontig:GCA_000165425.1:CT868429:63165:64809:-1 gene:GSPATT00002091001 transcript:CAK81963 MYYPTKEEFIKDFDFSNPVRLGQGAFGKVYKLLCKSENSPLEKGKYYAAKQIEVINEQMF NKIQNEFKINQLINMHPNVINVVKVYAWQELMPKKFSLLLVMELADRSLQKEIHTRKQER SYFSAFQLLELFKKCLLTFSTLVEQKQIFHRDIKPENILILEADNLIPKITDFGVSKSLH ELNIQESLKNTIVGTPVYFSPILWNAFVTRSEQPSSFEKIKHDLEKSDIFSLGLTFLQCT LLLTTEIVGLNMVEQKNKRNMFLDKVENARIRNLITDMLQYEECDRKTYKELIQLYFNSN QKGIFEETPSPQKMKQINQIVCVKHQNCKALYYCFKLVIAGYYCEACYQNDDIQLFCIPL PEKNQKQQQSQKETLEEYFESSIQIIFIKAIKDQINFAKQTNKPPAELEKNIQQISKFLI FNQNQTQNQVSKLIKGKLEEIRTPYKEYLNNELYNEALRYLELNKEKIYELTSDLILRLD KLTPNDEVQRQKKKIFDSSTQQILPNSLQSKKEI >CAK81964 pep:novel supercontig:GCA_000165425.1:CT868429:65835:66932:-1 gene:GSPATT00002092001 transcript:CAK81964 MGICCSQQTGGLIQNVDIQQYEPEQVPTLVPQQINFEEHEEEIITIQKSIRKKKAKKVAA QMKEEAEREKPGEAWISSKEPKTLEQNVQITYNKLGKFKFEKQLPQEFNECRFFMAHEHK KNTGNIYVGQWLQRLRHGRGKQYFADGSIYEGYWKFDQANGRGRIIHSNGNAYDGEWKNN SANGYGIFYEFDGSQYQGEWLLDQKQHGQGKEILNDGSEFEGIFREGKRWGKGIAKFPNG DIYNGQFDNDQITGFGELIFADGRRYKGSFKDGKMHGKGHFVWLDGREYEGQYYNDVKHG EGEFRWTEGSKYIGQFREGKLHGKGIFIDKNGQQCGSQWSEGKEKRQKSEQ >CAK81965 pep:novel supercontig:GCA_000165425.1:CT868429:67104:68581:-1 gene:GSPATT00002093001 transcript:CAK81965 MKKSTCSSSDNSKSSFFNDGNQLFTTSSYENLHFVDQGIFQMTYQKKIIIVTIYMADSIL KISDEKSDRYIDVENICLKGIINQKNKQFGFRLSKNGQYAEFFGIQLEILNKIKIYMIQS DFQSKYKVIKKVGSGYLSQVYKAQNIMNGQEFAVKIYEKSNLIKSNSYERELCMNEIRIL RQINHKGLLKLYEIFEGELNIYIVTELLEGGPIKQYIINQDLTEQDMMKIMKSLFISLNY LHKLNIYHTDIRFDNIILRDPMDLESVCLINYGKAVQIPQRTKTLDHMTSQEEGALKFYM KKDIYSLSIIMLSIFTQKFYQENQLVDELLMKNFKNISYIEYMELSPPFRRFFEMIFTEK YQKQIDSLNCENILELDVFKQLNKPRNSKILISQQLANGLARRTSKYAPRFSQREKLEQS LNIIKLPPINGDTSTSTDKSYSPSPQSDRFISNQLTNILKARHFYQRKNALKQNILI >CAK81966 pep:novel supercontig:GCA_000165425.1:CT868429:69192:70647:-1 gene:GSPATT00002094001 transcript:CAK81966 MGNCNNSKDVNFDDVSLPSKNDFHMLFVIGRGGFGRVWKAENKKTKQLYAIKELSKCKIV NKKSVSSVMNEKYLLCNLRHPFLVNMHASFQDRDNLYLVMDLMQGGDLRYHLCKQKRFTE KQTKFFIVCLLLALDYLHTNTILHRDVKPENLVFDRNGYNSNSKLGYLRLTDLGIARIWK PDNENDTSGTPGYMAPEVMCRQAHGVASDYFAVGVIAYECMFGKRPYIGKTRREIRDQIL AKQVLVKLNQLPDGWSEESADFINRALQRKPSNRLGANGPEEVQTHPWFKDIDWTTFFNQ TAATPYQINCNHDNFDAKFANMREEEDSQQIEQNGIMLRRQSIQELFNGYTFDQTITQIP FQGNSVTKPTIPHLNTQQLTSARRTNHEDTNPQLSQMSSKKYNLVSSTPRLQTKSMSSSQ KPQTDRSHFSNKFQFN >CAK81967 pep:novel supercontig:GCA_000165425.1:CT868429:70672:71837:-1 gene:GSPATT00002095001 transcript:CAK81967 MLTLPPQNSIYGMTPQNISEQEAFHEKWLFGFEEQNPFLPTFEYLNTVFPQKKIKKEEEI ICQNKELFNVNQSKSIYLSKITQLIDTEITPKHTKRNGDKWKRKMKQAGDSSEEPFGANT HQLKLARNRQSARDSRKRKKIYIELLENKVEELTQEMLRLQATIANQNNYINYCAKIPSM IKEFHMNYQNQLLNLKNSPCQSQLRILDQEFGVQSIRRIELCNSFFNTLMDHAIPNDLKK IMESAMKGQDFFNEQDPSFKANPKNTLKETQRKFVEETKRLEFYMFDMAKNFEKVRQQSF TLEQLKQEALKDISPKAFAQYLVNINPEQQGNVIKEEDAELSSLQSP >CAK81968 pep:novel supercontig:GCA_000165425.1:CT868429:72213:75626:1 gene:GSPATT00002096001 transcript:CAK81968 MILEQALCDENEYTRLEKIRIGCKYISSQMPFMTSFNDPQTIKQRNILKPYQVICCYPFS KNNVELLVSALFDRFRDLDFFNSFYKAIDEISQFPEQKVLLSNARSMKQSTRKSILRGPG TSTAQYSKQLIESLIKVKQFASQYLFQLFQLGQELDINLEEQANIFLKFLRNTREESFIT ELIDTFQNIKQHIFRNSLWSISIEDQIEQYFYTIQHPPTATRIEIPQYDTDSDTDRSEID MNEVIEEWICSDEQGYIKQQIEYFRNDAYFEFIMKLVFDPLNSQPIPLWFQSLKKDDDEI NDYRMWKSDSLKLDLVYNLRSKKVLKIITNDKNFAIINKQVPQLLMKICKSLIQLVYMIY EALGDNEKPVNLQHIAYLIDYLFLQSPKSCLYYVVDLNLLFAFSQYLYNPYFLNICEKMM NLIDDEYQLGMHVQEYFWLYIQSSQFFDYLTLIMTNQPVDKSKQERSYKSDQAYHVIPLL KAQTNFDKLPIMQDSNLSEKKYLTELLGQITPGKTPNDFYGMTKNNWHLYDDIQEYISSQ EFKFKDLDNLNEFVKQRNQNHSKFQHNFDDQKFKRQRTIVLTQHNSVSPQNKGLCGQKLS AVDIDKFEVKSTLNKNSKALPRLSSASKQNKWVNGNQQKTYLRQGTQQKQQLLMNTSIRL RTFGSDKSDFSSNDGFSSSRLKTIYPSYKMQQETKFEKDLQQIHKNESTASSCAQLIKGL IQTAFHYCWRKINTLGLCKQIYKLNTDLLFCSIITKNSLERLFQIYLSSLHSPNDGLLSS ADECGMIINEIYSNYPRLKQQNLIVESLRTCFTNVIDFLCKTIVKLNKQQQKMGHQQLNF KQFIITDTILYGLQMFEQNKSQIQQKNPYLYLNETVLHILVIWYFDYIQLNIQQDQFINL FILIMTRAPQHIIMHLMFKLGFITSLYNFYSKYSKNGMICTSGVESFYLHLTFIIHIINE TINNRNLSVVQISLNQLQSWQSLLQILPNPNSKAVLILNQRMKCSSIFIPIRVAVNQFHS MRSMDGESVMIPQKMILDQIKQKLNVPTNLIDKKKGKKFLDCVKEKVEQQKKDHQVKAK >CAK81969 pep:novel supercontig:GCA_000165425.1:CT868429:75728:78986:1 gene:GSPATT00002097001 transcript:CAK81969 MTNITRGCQQLAQQLPFYETFTNSNLIRQLFCCYPFQEEPIRLLLNIMMERFQNLEFLNC LHKGLSELSLLQEQKTMLSCRSAGRGRKRLQAGQAYAKNVIESLVISKQFGVTYLKPMFH LASKLKQSLESRCGIFTSFLFNTRDQQVIKLLVDIFQDCKNEIFGACTWVNRIEDQFEQY FYQNQILKSSSSIQVDQDELLDNSEATESSIEIDPNEEIQKWICRDGIPLANEQLDYFRS PECLELIFQYIFDPSHLSTIHEWSHHYSDVKVKHNYKSRKPNQTQDIDQLCLIRSLKTLQ LLMHDNNYTVISKIVPQFIMKLFHGIYDALNDKDKNVDLNQISLLLDFLLLTNRRQSILL IIELNLMFQFVSLMYNENIETIVQKIIMDEYEMGNYVFEQFWQYLECTNWIQYFLFLSLK IKVDTFKANKNNQNDKTVNITGLLKSQINFDRFNNNTEIDLNEKKMLTDFLGQMQPGKNY VQQYGMNQLNWQLNQNIREYIFASEIEGKDIDNLRLFTSERQQYQSTVQISAKDKHFIKQ IIKNDTLLIRPNSRRNIKQALQQKNLTNLQIVQTINQLPRLTVGNQELQKWIQPQKATSI ICINQNSRKSPRLCPVRTEQSVDVSSFYEGFSSGRLRGLYPSPKISIQSSSFERKAIKYA SDISLLPSCISIQKLLIQSVFQNMTNLTQTDKKQQQRIKIEQDYILPQFFNKEILTQIFR VYLYDINNIDKSIADISCECGLLVNEIYLNCIQHSELHSFKRILKDSFYEIGDYICKVIV KLHDDSSSKFKRQLLITTLQEGLMLFGEQQDTPKNVFSFMNETMLHLLIVWFFDSDQSNL YQQAFVKYYSRSYFRLFSVIFSRGPSYLLGNILFKLGLISSLKNAYFNFFVNSIKFTNGV ESLFYYVSVLIYAIQKSLNIRKISSILSNLEPLNSWKSLKDIKIDNNSQFVQQIDLIILE NDGRTPRQIIKKKTIVIPNKNMLQSRKSQITMLSSHKFSIQQTANDLVNLMKTKDKLILN QKRSIHF >CAK81970 pep:novel supercontig:GCA_000165425.1:CT868429:79554:81045:-1 gene:GSPATT00002098001 transcript:CAK81970 MISIIYTLIQTCVGDILYQNSFNSILGIQSFPLNQYCSSLSQEYKLRVMLTQQSNKHSLL AICQMNLNDIEKFQTLFQQDNGHDSNCLVDYNAYILENQTQQIVLTQQKMPTLVSEYHNI FSIEDNSCFAIIYSNFNSILILTIERIGIDECVVNCKNQGICMKGLCQCPLGYLGFDCNI VSSNIHQNSYFHGLQIFYLDLITLQTNDFKLILNQELQYTITCYADSPHLLSTLNQSSVI YISEESITKCKEATETLRVFTQLKYYSQFVIITNTTTVIKLAELEEQYYSIILVASVMAF ILGLIVIFITLTYLLKIYKEKQIIKNKQRFENLMPAQQFMSVSDRFPESKSETTCSICLE SFTSSSLVRMTYCEHVFHSRCLERWMKNNKICPLCRASLDTQTIQSKKKIEPSTFKVKNG QGSILQQLSLFPKNDGSLHSIDGSLSYHSPVIRSSLQITQPKKFELK >CAK81971 pep:novel supercontig:GCA_000165425.1:CT868429:81078:82203:-1 gene:GSPATT00002099001 transcript:CAK81971 MYLEKGSVPSITVYSNSKIDFFIVFAIHQKQSCYLECQQGSICIRGQCVCQRGFFGDDCS INIIQLQENDNFEKDVIYYINATKLEEVSLNFTEKSNFRIGCLAFNPYVYRGEFILDSFL ELTQQQQMECLDETKKVNKEFSINLQPLYVFVAEQDTIYLESQLVESNQNTILYIVTSIG IFLLVVVMYCCFHFKKSNGRMNNLNTTQIPISKQMPSLNSIHKYIPIQIYEEVIKQFPGL ADDQSCQICLDVYKKEDKVRITYCFHFFHAECIDIWINQNENCPTCRSSLNVETLTKYFE TQLDNGNQQLNTSDKQRISEQSHKIIRLLGYNRICGSFNIAQQVAQSSQI >CAK81972 pep:novel supercontig:GCA_000165425.1:CT868429:82657:84310:-1 gene:GSPATT00002100001 transcript:CAK81972 MIFVLLLLISQTNALYSNKPYRTRGLNVIDIDLEKYSDLNQGSTTINIILDKNQFSTGSP FLAFCYTTNLQTIKSIVSSPEAIKNQIESNKKLCIFDNNALLYQQRVQQIVLSDLVDDGN EQAYNKFSVFKLSNKQFSIYIYSSEDAQYQITIKGSQKGECYNQCNNNGLCMSNEVQFCR CNEGYSDSTCQLFSTVITPPKAAQFPLKQQPSQIILLFPLRTSFTQLSIEVNSTIAIMAY IGCQFDKNFIPFYQSNSFQQIEISTGIINFKENDIQDCQDTLKQIRQNLGVEMDNYIVLL LVNNQNKDTQVELKLYVDSSNDDDSGLEIYYILAGALGALIVALLIIICVIYFQRKARRI NNGVQNSINMRSLNGIKNKSLRQDNIPVELYEQIIQEYPGLIEISECQICLVEFQKQDLV KLTFCLHLFHSTCIDEWRRRNQTCPFCRENLTKKKALQQRVEEQIYQLGVIQDDAMQIDE QKLAELQKREQRLKKIQCIHQSSIIKKHRLLILLMEYQ >CAK81973 pep:novel supercontig:GCA_000165425.1:CT868429:84356:86951:-1 gene:GSPATT00002101001 transcript:CAK81973 MNNPSRFRQSSNTQSVNYLPVWKQNDSEERKKYQIILLPVSQPREDYIISSIYQMFNTQD IEVNKLIYYYFYKLKESGPHQFLTNKLYQVQQEELEFFIPQLVYILIKNDSPYLEKFITS LCNKSIALYQLIKWCWVSYSDRDRTDKNKMKRLQRIDDQFEQSMLTLHNDHSAVKIPNLQ LKSYQSVVDLYLNNLIQMSLILKTYKPEDRKPKLKNFITRANVALEKYRLKHQEYYFCQG ITVPFTMSKDQDVAVHSNLVVRIIEGECSCFNTKKRVPYRIVVETIDPHELKYKQPKKTA NNSITNNRSIANLDDDTMEDFLQADLNQPNKQEIEKKMEELAKNRNKKEYSDLMKITQKK EPKKGMKMFKNIMSAVYLTKKEKATENEQDQELILQEQIMKNLQFQKQFTNVIEEEKFQK NRQRFNILFPEKTNERTQSCIPKLNSKYRQQGQRKDKNYWLRLYKENFKNGFRHKHKSIK QLTALGRVRFFKELLQFCPKQEEVLKKIYVYLQYDLIEKKLEKNKVDIHQDGIELHSKFG PWDELWEDRAEMIKRESPYQHFETYRLKPIIVKGGDDLRQELMTMQIIYKMYQAFQSHGL DMYVKAYEIIVVSASSGILEFCADTISIDGLKKKYPACKSLVHIYKEIFQQDFEEAQMRF IQSLASYSIISYLLQIKDRHNGNILIDSKGRIVHIDFGFILCTSPGNLNFEKAPFKLTQE YIDLMNGRNSDLFLYYKNLMYRGFKALQGYVDEIIMIIEIMMQDSDLPCFERFDIKEFRD RFKEEASDEELQRYAEKLIDYSDNNWRTIQYDNFQRMTNGIMP >CAK81974 pep:novel supercontig:GCA_000165425.1:CT868429:86958:87607:-1 gene:GSPATT00002102001 transcript:CAK81974 MGKQYAREPAVAKKSCKARASDLRTHFKNTYEVARALKGQTLAQALKYLQDVLQHKRCVP FTRFNGGVGRTAQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIELWAAEKDENVKKETNNQQVARQSRKQVARSKL SIGA >CAK81975 pep:novel supercontig:GCA_000165425.1:CT868429:87650:88082:-1 gene:GSPATT00002103001 transcript:CAK81975 MSEERIEQRPETSKCSRLDKRRMISQNSQRNRTAIQSRTAQNFNPNSYNGMTLDEYFRNK VQENGNAQVDKKKKFLVTSNEFFKEFQNQDVMRRTYMGDSKYMNMKQDMKKKLQDYDKNL FQKYANKFDLGNGFGK >CAK81976 pep:novel supercontig:GCA_000165425.1:CT868429:88917:90603:-1 gene:GSPATT00002104001 transcript:CAK81976 MLTFDKYPFLAQLGLKAENYGASLSGTWVGDGEWTTSYNPNTGEAIAKVKLGTLPQYEQG MQELLKVKNMWAELPIPRRGDIVRQIGDEFRKQKEALGMLVALEMGKIKSEGLGEVQEII DICDMACGLSRSLYGLVIPSERPSHFMMEQWNPLGVVGIITAFNFPVAVLGWNLALGLIC GDVCVWKGAPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XSLFVEMVFKLEKPLQVIREFHQYPLQVPLKLVASRLARSLLELGGNNAQIVHEDANVDL ALKAAVFAAVGTCGQRCTSLRRLLLHNSIAETFIQKMVKVYGTIKIGNALNDDTLCGPLH TRGQVEQYKKVIPEIQAQGGKLLYGGNVIEGPGNFVQPTIFEVKADHPILQHELFMPILF IVRYDTLDEAIEINNNVPQGLSSSLFTSNLSNSYKWTGPLGSDCGIVNVNIGTSGAEIGG AFGGEKETGGGRESGSDSWKTYMRRSTCTINFGKTLPLAQGVKFDI >CAK81977 pep:novel supercontig:GCA_000165425.1:CT868429:90658:92049:-1 gene:GSPATT00002105001 transcript:CAK81977 MLSLLEKIDIFGVPIKMKTRKQQEEFKTSQSGFITIIIMILSVAYSVYVIFLWIDGKLIP KVTSSQKMIDFATYEWENSEISFSLYDVRSKINPFTKENNIIMPVLLEIVSSTISASPKI LFSTLQIDGDKNVVVLEKGKLILSQIDGPTKENQQKLRYYFVFFTKCRQDWMVDGGYCAE QQAIDEYFSTNHGLLFLSINLQQYNYETLQFEEIKKTESFAFEEVSPFHAQVILQKQNTT IDNGILFSNFESFEVIKDFRVNTQTISSKFAEKTVLSADGSKLEFDSLCSFGFKIDNKLG VEVIMMPKLGEVLAQIGSIVNVIMLLKIFSNLINTTMLESTLLDEIIEIYYPQFKQVQIT RNFLGKIKKVHYKGVEIKMKTFQPKYKQLLDIARTKFTYNNLIQELSRIQLILIHQIGME NIKNIFKIGEDLQFLDSDRLSNSPKEKEFEKFALFDQEFPFSQ >CAK81978 pep:novel supercontig:GCA_000165425.1:CT868429:92099:92907:-1 gene:GSPATT00002106001 transcript:CAK81978 MQQQQNSTYQLKIVNTETRSDIVYYQIQVLNTQTKVSWSFEERYKNINNLHTQLSSELKQ QLPQFPSKKFFGNTDPEFISTRKAGLQNYFKTLLQIVDAEQCPTLKKFLTKGEQKQIKDQ PQSFKEDQVKQNDIQGNASSKEKIQQQKQIENERIKKELKDKLGSATQQIKSKFVDLGNL VNPPDEHDIKKKRALYDQIRLEPKSTQTNIDIPKPSAQSKMIIAQSTIEQTQPKLIQLIS QLQAGVESIISI >CAK81979 pep:novel supercontig:GCA_000165425.1:CT868429:93171:94701:1 gene:GSPATT00002107001 transcript:CAK81979 MNVIKKDSFFDDPDANGFWNLTDFPLKDAPLNMNDTMYLDKDSELQKFGLGMSNNYLLHF KKQVNSFNNQQNVTQWINVDNATIEYTKHQKAGLGIRITKNKYAFEFFGDVEPWYNYLKQ FCIQRNFSHNYTLQKKIGSGNFADVFKAVNKNDGSEYAIKCFRKAKLNESVDRLAIIKEI SIMKKIQHESVIRIYEVFEGDEYLFLVLEYLKGGELHQYMKKSPPFSEEKCSKLIFRLLK AVSSIHQKGILHRDIKPENIMLRNKDDLDNICIGDFGLADYYSPSGQYLFTRCGTPGYVA PELLQDKLYDFKIDVYSVGILMFILIAGKSPFDGKDYDDVVMRNYYAKVKFEDCKLSEQG MDLLKGLMNKNPIKRLTADEALSHPWFATENLTKTCQFKIRKQQQTSLKKLAYFDTNVKQ NSALSTQFSPKSSLSSLSPYCVTKSNIDDSPQTPNTPAALKPMNTARTSAGYKLRQFRRS QIPLKQL >CAK81980 pep:novel supercontig:GCA_000165425.1:CT868429:94716:96249:1 gene:GSPATT00002108001 transcript:CAK81980 MSQIDYQQQQIRRIKLQDMYEDLNPSCNYKHCRSFFQISFQEMKWNKSHLPFLPTIFNIE DDVVCLDKKQQLKRRTYKLLDQYLFYKSKNEKIVWINYENAIIELISNSDLGLGIRLTKC FDKVEFFGNANYWYKFMKRFAVQYDFLHYYTPISKLNKIKFGESYKGKNKVDSAEYIIKI YQKSLIIDEEDRQQFFKEISVIRQLKTDLTMKFYDLFENQDQIYVIVENLPSYTLLEYVQ KNPLLSEEKAAKAIFRIIKIVAYLHSKKIMHRDIKLENFIFRQLDNLDSLCLTDFKLAEF YDDEGHYQFKRCGTVGYVAPEVLADKNYDLKVDVYSVGILLFILFAGKEPFDGNYQEKVI QNLIGVIDFNSIRVSNLASEFLKGLLKLDPQERLSSHQALNHKWFQREKLIGALKQQIKS TLQNLNKPRKVKESLKKSFLILPTNSIPQLQSPSSFRIKTLPNPQSQEKVHLPVIYRRTT HQIPSNSIFYGRMK >CAK81981 pep:novel supercontig:GCA_000165425.1:CT868429:96375:96899:1 gene:GSPATT00002109001 transcript:CAK81981 MGIICGQSQKHKSQELHASRPLSQSIKHGYENVECEDQDQLFCPSLTQNYVLYPKFLITQ ESKCEKEEQNIITSVQQSSSQLSFGFQSEPNNINEIQNKQINQNKLKPDMINSKRMSLEQ RYKIAVSPSQSRNRNNNNALSPLTKMFQGQNSTAKQREQQILTKGKRKSSNQNG >CAK81982 pep:novel supercontig:GCA_000165425.1:CT868429:97284:98512:-1 gene:GSPATT00002110001 transcript:CAK81982 MKTLLLFIVFLGIRAVRRKDEDQFQANQEILHNDPSFDDLEEISENPLGAKVLQTVALQI KSGEGIDSIVSLLTNLKGDLEGKQIQQDGSNAAIQSQCKSDMDSYGQRVQLAVNEINDVE FKVGRLESDIEDYTSELDLKQSQIDTFQSSENSLRDLRLQQSANYNKRLGQLKEMINAFQ VMLPKMHELYDQVQQRDAESFVQEEAFATSFVQLANSGSLNPILALVQVTSMMDSRSIQT IIEKMEVVRDSLITSVDEETALEEQAIRDNDVTLNEIFNAMQALTREKAADDESLQDTIR TRDQQQKRGSDAQAEFVASKTGMKQRRGQCQELLVQYQQNTIQRSREIEIIKKVQIIIQT KLNVVKSFIQEQQIS >CAK81983 pep:novel supercontig:GCA_000165425.1:CT868429:98748:100123:-1 gene:GSPATT00002111001 transcript:CAK81983 MGNYCHSEETPKSEIPTPRTQSKGKLVFQNLILTNTQFLKQCKDSYFVQFHKNIVEKDIE SLSKFMSQKMLDVSIKGPYPTEDEKLIISKNISKDLGQREKHLYNQLVFHYIQFLNELFD LDQTFQINTKSNYIAGDVRVNAQLIRNTFVKRSLSDNLRFQEQIISDQSIPHNTQIDQNI SKQFRESKQLANLVLEQLSCIFKLCYLIIEYVYSNYILSIFGTSIDSFTDRYLLISKIYQ KNIIELNPEIQKLITSAIQAKYENKSSLIKSEHMSPALLQPSLIPQTIDSIISRESEIDL LDEINMKNQQSDQQMQNRFYYSQTFSTIDKIINCKLPWLKFSLIGKLDQQIVDIFLQYRS QSQIAHFIDVIKPEDSKIEVLLYILQRYMSSRRNLNLSLCYYELRWMQEDDNVLHHKVKT ITCPYFSRFLSLYEISFKNYQRKQAQLLYN >CAK81984 pep:novel supercontig:GCA_000165425.1:CT868429:101203:103279:1 gene:GSPATT00002112001 transcript:CAK81984 MQEAYVLHDQYRNQSMYNYISGSSRPLYFKRPLVPTMTDTPLKLSQPPVQQVKEQVLPAK VTIERVKDVEIQTDYRDAEAQTAPYTPAHDQSDDQTIEILALQHLKWDKGLPASIEELES IEWNREKVWFENSLPPISDEASFKLRRALMKSQEEREWKKKETDIKITQNERLYLLQEAL KEREKDVEEKNAQRIESIKIKKTELKNRMVAKIQKRKIKILRKMQKSRKEIDNEDKGREI IEDYANFASKVYAGITREGLSLDKIASKYEVQPVALNTYKGLTELSTTIKPSILETTVNV AQFIKTIEKDYTRLEIQHKIEVNKARNQIFGTNKQDQSNENQADHIGNKNIIIRPATPTY NQEFENGDIKRQEMKFENYEQFKEEAIKRQGEDRRNSAVVLLQRLFRGRAMQNIMYEGKE KRTALIEELLTVAKIPDLPEAEQEEILMQQHEEKVKNAALEAIQGEVIAETLDMLSKELL RIKQQKKIAQMMKSAEEDRRLREIQEAGTRQAEQILRDREDVQYNQIMRVHQGTVDTYLH WIFKNTIEQAATRQATIMTNLRKSKMNAPVEHFERKYNSDETIIKDLVQQFLIPNVQRSK LQKQIQIEERRFNEAAKKSIQATLSSAAQELNQR >CAK81985 pep:novel supercontig:GCA_000165425.1:CT868429:103293:106934:-1 gene:GSPATT00002113001 transcript:CAK81985 MKFLLISIALLSLQVNSLNVISTSTCTCQTVQVLKDCLMNPKCEWDSTTQKCKDVTTTGT TSRFVSKLCTDSKTCAKQQGCAFSDNKCLMMGDCQSYLGSSNAECQKYSYRCNYNKELQT CTSSDVCGQYGGEGKQTDCESVTQQNGDKLCKFDTTSNKCSKKVCTDYEKTTDADCAAVL QDANCVSDKKKCLSSLASCSSYSADLCLSITASDGPCEQSSDKTKCQARTCEGATDSKAD TNCDAYLKGCITNNLTCVKTLPSCSTYKTTDCTQLKGNKGTCVLTTGETPTCRDPICEDL SEVTDDACKAKNTSCVTNGVNCVSVLAAKCQDLSANCDSVFTKEGKCKSGTDTKCVLDAC EDQSKTTNEECGKFAGTDSPTCVTNGVFCVKSLSETCATTKISDSTSCDQYISKEGKCKA KTGSTTTCELNSCNTQTFTTDEDCKKYSSHDLAPAVNCRTTGLKCVDNLNTCNSYSKDDG CSSLKGSDGSCMDDATEGSTKCTVVTCDKIASPSATSCPAGNTTCKFDGVACIKELKTCE SYTSNCDNIIPKDSTLSCTTSPTDSTKCTTKTCATAPTSLSTDVDCIKYKVGCFTTGQGC IALLSTCDTYKGIKSSCEKYIGTDGKCTADDANKAEDKCKAKDCANATSVTNDQQCNTYS NVCFYSAKIQKCSKKVATCSVQKTQTDCENSITKEASVYCNWNGAACVPATCNTIQNVKS KEACAVFGSNCEFDNLSGCKDKTNYPCSALRQASVCLQDSAQNACVWDYTNSVCITYNKC TDFQLTPAKENEVKTATQIAAETEICKLLSTKCYSNGGNTCVYKTPCTVIKEEKSCIGSI GIDDKACGYDAKATACKTFGQCTDVELLTHAECQKYSTTCTTDGKTCVAIKNCSDATAKE ICDLGGIDGKCSWTDNACTLWSCDKGTGTTHETCNKQLATCTTDGTKCIAIQECSAYTSD KSCVLGLKSTPCFYDKDKSACRNKVCSDYTNVTAQADCDSANCAYDPEAKACIAIDKCSA YKKEGVCNANINTDKKGCVWKVGSTSSSTSGCLDLNYCSNASSNEAKCKSINCYFVAATT TGTTTTESQCKDMDCYGNNQQVTTKGLCKPYTTTSTDGKTKNIYFCIWNDTSKKCIDGDP SGELTADNCFTLSQYTYYWSTTSSKCVSCKGGNTSTNNTTNSTNSTNDSTTTTDSYGIRL ELIICILLLFQLI >CAK81986 pep:novel supercontig:GCA_000165425.1:CT868429:107279:108765:-1 gene:GSPATT00002114001 transcript:CAK81986 MIKPQLLQICSVDSFFNNHTPLFQKFGPDQFIAQYSSEFQISNQSRLIIKQLSICQNFIK LGEDKYINLTNVCMQKIVHPKTGLLGVKLSKNGVSFEMFGQLDEWIGTMMKYTIQLEFGQ KYQLFSKIGEGYSTIVYKARDKSSRQEFAVKIYDKKRLLTNNYESKKFLKELKIIRQLEH PNLLHFYEVFESNNHIYLIEDYLKGQQLSQFYQNSEPLKEEQVLIVIKPLFQAVQYLHDL NIYHKQICTSNIMLKSKHDLSNPCLIGFTQAEQYSNPEQDDYQYSQVNIERQKQYYIKLD VLALGIVMHNLLTGNQQNFDQALDEAMMNKFKGTRDFQFIDPPLSDSCFDFLNLIFNQGS KFRTCQQLLAHPFFDQEGQKSNRNISIQFLGALNPNQTQFRIRSKQSQRSPKASKEVQVI PVRKQFNIISKSPQEKPNLNSDYFEPPVTHLMFRRGSRQKRTLNFNNHHDTSNDSTN >CAK81987 pep:novel supercontig:GCA_000165425.1:CT868429:109202:110698:-1 gene:GSPATT00002115001 transcript:CAK81987 MIKSQNNKTIILTQSILDDSSEFWIQRPQAMLQFQLQDALQVVNRNRLILKTLMLGGHYI KYGEEKFLDIRNMFLEIVYHPRTSSTGFRLSKNGEKLDVYGEVQNWVEMLKKQTIQFNLQ QKYKIIKKIGEGSLFQVFKIKNKITGFQYAVRIYDKAKLHSSNYLLDLVKKQVTLLRQVD HQNLIKLYEIFESSNHLYVIQDLIEGGTLECKISQMNFNQEQIIFIVKQTLNGLEELHKK GYIHGEVGLKSIAFKSQQDLNSLCLIHYSKVMLMNVARNNSKKMVSQRTSLKNDKTYISD LQQLGIILIRLLTGYQFHQFNLPNSMDEIKTILNFKQINQELEVLLQQLILTDFETQLSD NNTPLEVLKNDIFKKQLEPKNFILKYQTINEKNQRTSLKHLDDDSLDIRSRVHTLPYLEN RQSNSRSLSNRKPNVTPLKISILHKLETIAKPPSQSSERRQSNLYQLPRMNLMPKVKQKF >CAK81988 pep:novel supercontig:GCA_000165425.1:CT868429:111586:112083:1 gene:GSPATT00002116001 transcript:CAK81988 MADLKEKKVEDEQQTKKIRMIVTSRSAQDLENFTTQVIEKARGIQRDQGQQVVFKGPVRM PTKHLKMTVRKSPCGEGSKTWDRFEMRIHKRVIDFQCTLPTFKDITNFKIGPGINVELNV EQ >CAK81989 pep:novel supercontig:GCA_000165425.1:CT868429:113803:114276:-1 gene:GSPATT00002117001 transcript:CAK81989 MNSFLFYDPNFLLQQQLLFQQLVQYNTMFALRNAYSMSQSLSPQIQPKSPQECHTIDQNT VKNDETIDDQSSFELSKSNKIKKLIKKTKETKSNANNTSVKNQKKKIFLSMKDIKDVQYK KFKLVQEEQIQEDVKNPEEKK >CAK81990 pep:novel supercontig:GCA_000165425.1:CT868429:115194:118276:1 gene:GSPATT00002118001 transcript:CAK81990 MLRFIGKNLNRYNFSELQKFGNSFLGAQNAEFLDNLLDKWSQDPNSVPATWDAYFRQVCE SNKFDFTPEPQKGQTISFQADVLLHIISKQVSGVRKLLSDHFRVRLLINKYRHRGHEKSM VDPLDLEHIQQIGKVKGYTKLDYREYFAEEDLDREFYIHDEVSSGISKEKQCNDLINYVV MKLRDLINYLEKAYCGKISYEYMHIQSTEERNWIREQIEKFEEFLPSKEQKLKTFERLGQ EHAFSTFLQKKFNTSKRFGIEGCDSMISGLQSMVDSAASAGVEYIVFGMAHRGRLNTLYN VFQKSPEEIMVEFQDLKGIYNEDIWGNSGDVKYHLGSVHNVKFGEKKLRLEMLPNPSHLE TVDPCVYGKVRAIQDYHKDRNGDKAFGVLIHGDAAVAGQGIVFESLQMADLEGYKSGGII HVVSNNQIGFTTVPKDSRSGLYCTDIAHAIQAPVIHVNADEPELVDKVFQVATQYRTKFK RDIFIDLVGYRRYGHNEQDQPKFTQPIMYDKIEKTPPVFVKFSEKLIAQGIVTKAEVDQL MKTHEDNLEVAYQKSRKMDYNLKDWQPVPWEMIKVPTLWGRIKDTGVPINILKQIGDKIN TIPSDFNAHPQIRKFYEERLNSIQKDQGVDFATAEALAFGTLLHEGFNVRLSGEDVQRAT FSHRHAVIHDQKNPNGSSFVPLHAVIPKGQENDRLSIYNSHLSEYGVLGFEYGYSITNPN TLVLWEAQFGDFANGAQIIIDNYIASAESKWDVDSGLVMLLPNGMDGQGPEHSSGRVERF LQLSDDDPAVFERNLGVRLKRQMRNSNMQIVQCSTPANYFHSLRRQLRRDFRKPLIAMTS KKLLRLQAAKSKLNEFSEQARFSQIYDDPFPELIDEPSQIQRVILCSGQVYYDILKKREE LKVKNTAIVRIEQLAPFPYEFLQTVIQKYKKAHFAWVQEEHQNYGPWTFVRPRIQSVISK TQGLIQQQIQYIGRKPSGSPATGFHQLHEKEFQAFLTKAFEF >CAK81991 pep:novel supercontig:GCA_000165425.1:CT868429:118456:119739:1 gene:GSPATT00002119001 transcript:CAK81991 MHFVLLIPFLAFMVIASYYQAFYLLKFHKNQDDYPLKQLSPKITLHQGIFLIISQIVLLI EIYKFLDIDKRNCEEENEVTNGFIAFFYIFSRGCCFSFALLKTARITVAFSKKKLKPQSF LAIYFSSEKYLLITGYIYTFIGFILLSFITTCIDQSCANCWLDYYHNNRKYIMINSCLEE LACIILMSFIIIYHLEDKLYKLTFNLLGYYMGWFLVTSLIIKFDPNRYLNFVVTLSFLLR AFLMFYLYVYEPINQIEEHPTKYIALNNIQSFSRISQLDFQGHFKNSIILQSFSVYLQDL DNQDKDKLKQFQVKLNFLKFKQHQLYQNYMKLSEYLYQPAPMDIIEDYLIKEFNNDEILL QFDNQAEDLKQHLGEYLSNVYQIGFKNTIAFHTINNYYQTQETAIKNLETLGMKLNQYNP LKDPLKE >CAK81992 pep:novel supercontig:GCA_000165425.1:CT868429:119787:121544:1 gene:GSPATT00002120001 transcript:CAK81992 MENSKDQFGDIPQSKLHQKSSTPNKSYSVPRKYDKLIHKTTQIPRISIQRLIEKMDQDND GWIKKDDILVFSKKHYLFFEDELAQAMIDEAISKRIVAFKDQLKEPINIDEVLGAVQLHY VLNQDHIWVEKSRPYRDHWIQLLMAAGEKLPSNHDLPPVKISKVHDMHEMEPFPFKPKLN SSQSQIIHTSELQEPRQFPEQQPEAGILLQETIMIKPINNGIIKEQGTDNPFEKVVNSKF NNNDQQQSYPTLRFETQAYYNEAERLSKDPKLCKSSRNPIFKFMPENLNYKVDKLNSQKQ SQDQNQTQQFGQTATKYLSQPVLLKKPYHGLSDTGFQTSDSKYFQPNQTKKQHNEDQNAD KQHIFYATFKPEDVQTLKNKLANLKQREKEKLQDFYNPPSTHKFRDDVLDKKKTLFKTRI KPDPLTNPHMMSEHDQRPQFAIEEDEKKQLREEQKQQDYIDDCMGTHKQTKQWIKYFPTA DLNHNFVDHKRPNFIDKGNYQEPGLILFQFLREGASAKDDFQLFHRQLRTNDKHLYLGIQ MDPNQQ >CAK81993 pep:novel supercontig:GCA_000165425.1:CT868429:121568:122248:-1 gene:GSPATT00002121001 transcript:CAK81993 MRHKYKFKSLQFYIIFRNILDNYMPQIISLNYCYYKWYDLFQIQKQYYIIFSLFSSFIFK LYVKNEALTLFKLKMNDLIREMEDTNSDASIVDFDFESDEEEIINQNDQPIQEIQGPVEI VNQEEQVILENGSSIQGQQNYQEQNNQEQQGEQNEISLPVQHYQVKPKPIKYKQPRECNL QQGYQILKTFGYYAHTSYKNVQQKKEQAFIKISNYIISEVEKIKRL >CAK81994 pep:novel supercontig:GCA_000165425.1:CT868429:122669:123348:-1 gene:GSPATT00002122001 transcript:CAK81994 MKKIFPSNNQNGIQVADPDQTTAQRFHQSQTSKANFSVVPSEPGQTLYEIIEKNKLQYLE YYGLYTEQRFIENMAGLMSIPEKQKLLESFLNMFEEMMQCLNTIQNNLGLINFTSQDFQQ IYNATPENVANTLFKDIKTLEGLHLELQYQSSLRYLETVLEALDLNPDQSKKLYQLDVKQ WSSQCYTHLNQHITTRSMKAQDKTCCCCKV >CAK81995 pep:novel supercontig:GCA_000165425.1:CT868429:123806:127012:-1 gene:GSPATT00002123001 transcript:CAK81995 MGIKIGIFVSAIIGLLCAFKIFSYLSVPSYQSIIHINRDHDIKIYRDKFGVPHIQAHSLP DGLYAQGFVQAQDRLWQLHIRKMASQGRLSEVFGERTLKVDQYFRGLDYQDSARRIVNQL SSEGQQLFQAYVDGINKGRSTFTLLPIEFQLTRSNFIEWEIFDVICFIKFMAQTTMNDFH FEMMRSSIQEFDLETIKYLTGIGPDNLFDIETTTINEQELKEQTNLHSDQFHNIKSPSNI QVADLMQSYKFLIDNVIPLSVGSNSWAVHGNFTNTGYPFLASDPHLENGLPSQWYQSSTT FPNGDFVAGGAVVGLPYILSGRTKNMGWGITISYSDSSDLYEEQLKEIDGKMHYLFQDKW YPTKEKVELIHYGDKTYEMTIHRTHHGPILNFYFDDRGTKTIKQLDKTYSLRSLIDIDDI YGPEAMIRFIQGSDLSEQLKHLKKVVYPGLGMIFASQKDVAYMIVGKQVIRSGNPEDYGF IKKGWNGETEWLGFIPENEHPIIINPPKGFVATANNRFFPKNEKYHCNWNINPTGRAHRI YQVLSEIVPNKVSYEQMKQLQLDTVDSYAKQSWPTLKKLIQSQYFKEQIDSWDFNLSKDS KQATLYMLFEHHYSKSFSKLPPRWSQTYNFDSFFFHELTRFKENGAWCLPYTCSQLLNQA VEQAVEQYKSLPKQEWGNNHKKFQGHFTFKDKFFGIFDREIPFGGNRRTPSVSTFDISQF PFRGFAGANYRMLVSMAPGDVGQFIVDGGVSGNPLSEHYDDQLSIYAEGKYIAIELNRVR ICEILCNYLSYQIYLFLSYKQHAPLSLNDLNSLNSLQSLQQVCYHLRVTCWNLFICGQCK YLKEPSPQLQDVNYFELFDLPININVETRYLEDKYRSLQLKFHPDRFVTMPTINISYSQE YSAFINEAYSVLKNIHERAEYILSLKGFQIKECSVLLDLEFMEEILELQSQVQRGENISQ INQKNNLEIEKMTKQIIQDISNEQYQKAYEIILYLKYRQRLKDQIFIHI >CAK81996 pep:novel supercontig:GCA_000165425.1:CT868429:127683:128819:1 gene:GSPATT00002124001 transcript:CAK81996 MNGINLNLKQEIQNKPVWIIKQTFHNSYKEGIREGFAKLTCRTDNSGRKQNWILEEKQGI EYEQEVLVDLILKFKILFCFKMSQKKPQMQVEQKVPENIVKKNARDTKLREAVAKRRTER LAANKTRRAQWEKTAQAYENEYKAADKNLVDSLRKAKTEGGFYVPAESKLILVVRIRGIN TLNPQVRQTLRLLKLRQLHNAAFVRVNKATIEMIRKVEPYVTYGYPSRAVIKNLIYKRGY AKINGQRIPITNNNIIEQSLGKVGIHSIEDLVHEIVTVGAHFKEANRFLWAFKLRGPRGG FIAKRRSFINQGDWGNREDLINDLVKRMI >CAK81997 pep:novel supercontig:GCA_000165425.1:CT868429:128987:129993:1 gene:GSPATT00002125001 transcript:CAK81997 MLQPRPPFPSGQMDLILGKTETYGALFLSGIEAALNPQLMQQNQIGAVLTVGTELSNLKF DCEQKLIMLHDTAYDPIRRHFEEAIHFIDEQRKTKNVLVHCFVGVSRSATLVIAYLMQMY NYSLQVALTFLIGRRPQINPNPGFMQQLQQFDFELNRRRQQRPASTQYVRSYNDRDRRES IEVRSTTRQFPNQSPLKRVNQKAMSTKSLFLTPKKSETQNYFNFTPTVKPNNPLEIKSVT QSAQNLDNCVLKQSNYYGNNTKKLVETAINGFKSASSFCKTFSNHFPMAKNQMLAQTQTL LKRTQGSGLGHRGRQPIRAQTKIEYNI >CAK81998 pep:novel supercontig:GCA_000165425.1:CT868429:129996:131635:-1 gene:GSPATT00002126001 transcript:CAK81998 MSQSMSQSFLVKQFCQNHPSEQLTIFCQTVDCLKPLCTDCVESHTQYHTQVSTTADIESL ANVKQLCQKKIKSGIIEMQKIQSIVNQYGQTEYEDQTIKDIKKAKENVIYLVNQYFTLLE DQYKQYIESQTNSQQIFIQQQENVQNFLNELENLYVGLESSNQINVLKSVCSLDIKKNQL KFRKVMKNLQNLQQNNPSMIQLNQANLQHIQQALTNYVQIDFVPLQTNLLLNTSQISQVY QDTYLQSNEFFRNKYKLLHFFETGKSVLWLYDLSLPDQLWRPVQISNYVEVLPFSKSLIT PDGQIYLTGGSLPNKKKSNKIYQFNFSNYQLQEIGQMNNGRSSHGLIWKQNELFIIGGYL DNLQITNSCEAFDCLNKRVIKLPNLSNALGSPSVSIFNDVVTVAGGIMQNMAINQQIEFL QKDSWVPCTITSQLTSMASMMCSIQIEQNQLMIFGGYLENNKGSKECIILEINDKTAKVI QTKQLPQAEGFWNNVPIIHQGRILALQNVVLDNQGNCAHDQRRIIIFDGTFWKYYDLK >CAK81999 pep:novel supercontig:GCA_000165425.1:CT868429:131872:132761:1 gene:GSPATT00002127001 transcript:CAK81999 MNNSDKISWYPGHMKRAMEHLEKRITDVQLFLELRDARVPFSSKNYHFDNLMQAHNKEKI IIFNKFDLCNQDITNKIIEKYKKVGIQCIATSARERLNLRELIMMTNTYKSAKFATVGMW LMICGMPNVGKSTIINQLRQTTPKLNKRKALAKSTASPCTTKNVAGIKICDEPLAYLVDT PGVMIPNITEEEQGLKLGLVGCIKDKIVTKERMLDYLVREMNQQQMEKYYKIYGLNQRPK TGGEYMLAVRERYNHYNYETTIDFILNGFRIGKLGNITLDSVELLN >CAK82000 pep:novel supercontig:GCA_000165425.1:CT868429:132829:133551:1 gene:GSPATT00002128001 transcript:CAK82000 MSFYPGFYPQYTQPLAASFAAPLAYPQASIVRPVATTPIYTAPAPIYTAPAPVVTQSVVQ PVVQSVVQPVVAAQPAIKGESRVEYREYQRPVVEYETETIEVKKPVTKYVTDYYPVEYQT DYIPRTVYETQTEYVPVQKTIPRGSLKSSCCPLQYAPAPLTYSVVQPVPQVVTQPVASYG TPLTYSTVRPAYYGGYPYYY >CAK82001 pep:novel supercontig:GCA_000165425.1:CT868429:133551:135006:1 gene:GSPATT00002129001 transcript:CAK82001 MSQSSQNRVFMNRFVVKKKISSGAFGVVFLVFDKQTNQDAALKLEKEDNEEMRSLEREVE ILKQLNDAEGTPKLIWCGNESEFNIMVIQLLGRDLSYFFRQQKKFSLKCTIQIAYECVNI LKNIHNKNVVHRDLKPENILMSKDNDAIYIVDFGISKIYCIQGEHMPFKSDKTFMGTPRY ASVAAHLGHEISRKDDLESLFYVILYFLRGSLPWQNLPVSESERTKAVGEIKQNIDLNEL CINQPHELVEILLYLKSLNFLDQPNYQYILQLLNQIAENNQFLLDGIYDWTEGLMKSTKK FSSLESKKSFEFNKIKELSPGNLMKSSSKLSQQIIWTVNDQQQHPQVAPSSQRHLLLPPD PNKKPGQRTDLRHLSNSSSNSNVGTFSSMKMKYLPSQIEIEKPTFFPNWNKTDRQQESQY QDIMDENNEDTPLSQKYNIAILNQAFEFYNKRFNKFQEIAN >CAK82002 pep:novel supercontig:GCA_000165425.1:CT868429:135291:136947:1 gene:GSPATT00002130001 transcript:CAK82002 MILNKLKQQHFWYINKNWRIKNQILIIQIVSSIFVFILLASSIIISQLIIQKSIEESSEK IFVKQTLQQLNSVWLHKNNLQQLIRSASQQIQIVQSFNQFIEKTSILTMMPLQCLNQPNT NDSYCYSSSYCFGLFGDWFNQTHLDQLSQVFAVTSLLTQFRIAVDNTQALYFSHANQAQF YTINQGFYFNQGFKPHLRPWYLYHLNYTLSVLNNSNLIVYGKPYEIFTGGIRIPMTSNLL NIDQGFEGVIAKDIDFNQTSAFKLLDQETTLIIINCYGQVIYSRLYDQLNQSLYSIFDEI YTGFNETDFQQIMNYHNHKNFSNSCNLILEQDNVLCRYNSKLDDFCIIQTAQIANTPYIL VLFQDSKNIKMTQEEQYSLINNEQSKITQQNIIMYLLLTLFVLLIAQLMSSIMLKQLNLL ICYTKYNIYDNWIFNLSKMINLKQKYLIQSQEITNLYFSVVELIHYRRPSKKNKQCSTEE KNSKELNKQRNQQIKLKISNYKAKKNSISYDLTKKYFDLIKYFLILKIQVEELALKNAQY SLV >CAK82003 pep:novel supercontig:GCA_000165425.1:CT868429:136983:138506:1 gene:GSPATT00002131001 transcript:CAK82003 MQNNDPTQLYMLTKKTNVDHLVGSKASRAHTKVETKVSSSISNSQNSQRKTSYYNRPPLS TKNSFSVHVDTDEGGGVKKIQSYQNINNKMEKFSQQNRHLICKFYEGIYLIGNSTLGKTD ILYQYGACHLEQLLPDLVFPYGAKDVQKKLSDSQSEINEILYKRFVSENNPNCFVLPIKT SESFEKQQYNSNELAYCICLSIQDVCPSKNSYLKCERVLCLVSYLPLIKYFIDFLIEVMN CAKQERFNNLTFTQYIQKCPLFETLPIQIPSKQIPQSYYDYNEYHVKQLKILNNALSLGL VAINIILERSVIFVAQNVQQLGAILMLFLKHVKWIHQMVASIPPKNIELLNVPVPLLGGI VLDDYQCIEQLIDEYPNVVFVDLVNQHVRNSNDFTKSLIYIFLVRQLQGKSPFEIGQILG SFQQNLVSESTRDEYIMKEFRQTQYFHEMDQMKQSLNVRSIPTFHQIKVSQQTFRKF >CAK82004 pep:novel supercontig:GCA_000165425.1:CT868429:138714:140386:1 gene:GSPATT00002132001 transcript:CAK82004 MYQKLWIPIPNDFKVNNERLYIQGEFTKENGKIRVLYGYDQYILQSKKASKPNKCLKLEF DTKFEILRQPIPKKDEDDDSLGPIIGLQFLRDCVENMVKLRLNAKTQQINEWRSFLGERI NQWQFHSMFRVFKKIGKGNFASVYQAERIEDNQLMAIKAFAKQPAYAEENGKNAIINELT IMRNLNNLHLMKLYEVYETNNSLYVGLELLEGGSLYDLIKDKVLINTKQIQQIVVGILVG LQDMHKKQIMHRDLKLENILFKKPKKMESVVIADFGLATYVNEPVYLYCRCGTPGFVAPE VINIKDMKSKYSSICDIYSLGLVFYLLLTGKSAFNGKSYSTVVKQNREANIDFDIKQLQN APGYAIDLLKQMLERDPNKRINAERCLNHPFLFETAKEIMEAENQDQNFDEIDESIEIQS MMHKINDEYSKFDAIRNMNSPLQSPKQSPGLVMQKQIKQQKQIDSQKAFGAESPLIKGKI ESIDSAQTIGTPTKRSSILQPSPQIKPSKFSKQGQNNPLLKYSKND >CAK82005 pep:novel supercontig:GCA_000165425.1:CT868429:140947:141374:-1 gene:GSPATT00002133001 transcript:CAK82005 MTEVEKQKFRNDSEFYEIVRTFHELVTDINKSFHKGKKQYHKKNNHHLKQAQIQKKSKHI QKKPIKLEFGRNQYHLKIAYNIYYLNSASDNSLISMDKWNPLQHSMKIVKQPEDIDSNKK YVQIVEQQIEKKEAS >CAK82006 pep:novel supercontig:GCA_000165425.1:CT868429:141480:142047:1 gene:GSPATT00002134001 transcript:CAK82006 MHLNNIQNSKPLVNNAQPWKPGHSISRLVNKYTNTNDQEIMDKNSKLLRKMMEIQQRNSA LKQPPTHGSLEALRRREQVKISSENQTILKRLQSASSAYSKKTWVNDIERVKKYRDNLQR RTRTNDDFNILAVQEQVKRNFTSQSSSRKLKTSQGPRTQTYESLI >CAK82007 pep:novel supercontig:GCA_000165425.1:CT868429:142335:143481:-1 gene:GSPATT00002135001 transcript:CAK82007 MQQEQQILVQLLSASDRIPELICKYLQEVPFLRQFDSTQGQGFMKKLAALVQFKIYQQDE IILEQGRPVNSLYLFMYGLIGKHQTKRDKNYEIIPEKNAIGEIYFLTRQACKHWFSALKP SLICYVTKDNFYKIVKKKNITMSLLNELLQYPFFSQLSISFIEQICQKSQTVNYINHQIV FDIDQEANNWYIVLQGEFQVLFQHQKKFYPLILLSQGDYFGEIEIVLKSLRQYRIQCISN EAILLEINKLSFSQLMIYDTQFKIMINQNVQNRINSYDEIIKQNISTLSIPLSSRRSRSK MHLKSIHVKIKSTDTSIEPNVQEFQSERGKALLNLIESKIVKKENKKTLYQRLREQDPRL LQFLEKMEEIKQQE >CAK82008 pep:novel supercontig:GCA_000165425.1:CT868429:143755:144463:-1 gene:GSPATT00002136001 transcript:CAK82008 MNYKNKMAKGHQQPLIQITMDLGQNRSDQLIIYRHTDPQQEASAFVTRNNLQPKMIQIIS NGILKQMKAYDEMKIVTYDTRQQPNYLRNLKQNHLELQQKLTTPSPNRLKTSQQNLKISK SPSNVQVHDDLPTDKVVVKKLFKLLDGDYDGLISANRINLQNIPVELQNKVKVQIDKYKV LNKIENSSIPLEFEDFYSKLKQDPQDEYFQTLKLISSIFNIPRIKVLI >CAK82009 pep:novel supercontig:GCA_000165425.1:CT868429:144477:147074:1 gene:GSPATT00002137001 transcript:CAK82009 MLRFVVKYGFHEKTFINQFLSASVKSHLHLELKENINQVQNLNTLIGVIDTSYKTNQIIP LDLLKLVLQRSTELVQVESNLEYLKGLLLTLSINRIENRQLNQTILDVVMQNYQKFSEEH LITITETIIYNKLNQKYKIKDVSILFEQMAINLQNSDSQIYNQQIMLQLPKLINLSQHVR HNKLIHEILATIYGLLHQLKQFYNQELNTEYLGLSLQMCHVMDTLEILFQNPLIVSAQKK QQKKLQQQFMDLINQSFTEKNMIETQFSVQHISSILNFVKKYPKSPPISIPPQIHNLIGN IYISLVNEYTTNQKQKILKTLIDYNSLAYFLTKTSKNFYLQDQDYKVIHFLVESENNLFI LAKTLETISTNVQNHNDLHHNPNNKQHHHHHEHPKLRDPVLQLIESKIRQLMQNHLNPSD SLVIAFQSLIRIEDYSPKLATYLEYFAYGQVLNQLKWVDFIVKFIPSLGILSKRLTQQFY MSVQQNNSDQFKQLSDVWEKVLAILMKKIDYIESNKIPIILFYLSQASKLDLKEVSKLLH IIKKSTDFTKFNNQQICEIFYSFSRLNYQDTEFYFELINKLVDKELTIDQVILIYSGLII NKLYNSTFICQLQDHLKIKDFNEKNMLSYLQALLTLQFEPKPAILEAITTQFYKSKNTEF VVGCATSLLQYQYDQKVLEHYNTFIKNQHELYIKSPFYAHQLHVLFMKLKHVDQINQDLL QDITKVLQESKISLAKYHLQMKQITRSQMELDIIEQFKKLLDFERLEQQAKLSDYKFTDQ YDPIEIPNIENLIPYQIDFKVGDYCFEINGPKHYLQIWPEKQYKLDGWTMKKKQLLESIG FKYIEVNHEQYKQKGLEYLKQFQSI >CAK82010 pep:novel supercontig:GCA_000165425.1:CT868429:148399:150861:1 gene:GSPATT00002138001 transcript:CAK82010 MDKYQISSTGRFLYEKHEQNFRLSKFSGLYLFPGIVIVKIVFYCNYITIISVVLSSYFYY KNKHKWRFLIQAILYIGQQIDINYNSISSHIFTCITNLILVPYWPMQVVFQIGIIRQLNQ VYLFCFFIALLRAVEILKRFLYSKICNINSHQCEQDSIFLNLPHHILYLDENSNELFSNK SIKFNQESENLIRDYIKRKYYGIIQVENQQKETIDYYIKPWQGNFILVQSYFSSEENCFF EQMYEQINKLYVQLNQDYTKWNNLRAFRMIKESDLSVLGQCLSECLHLEHQVKSIASNFQ QDVSQFYLKVTICNIIDFMVLKLYDHFNIINLNFESGIPEIVAGDKKLLQFILQTLLTST QFCDKQKGQLSIKCMIQQINQEKSGYDLEFTFNFTCTPSVIKLYSQVFGVNENKLNPNEE WLIHCLKKCKQLMKQNQKEFNFQTDGDNVIITFTFLSLISSNKLEQISQFTDLTFTRSVL DDCHYQWKEKKVQILPTKFILDSQSRKSLPAIKEQQNASPQLKVSIAFPEIRDEIIHILQ TTLEDAKDKGLLDKVNFDDQDNNVKCAFSEYADSITNSPPSFITPQVQGRKLQDLAKEKF KNLLKEVQKLKKKKRLAKRSNRVVFPRRNDKTCDLQNAPCQVNFHNSILTNRNNRAYLKW SHRCNIIQPPKTVHEILCYIPEMKFSKDIIHNFGNSAYDTIEIKEPIILLDIADVIRLYK KYLQQGKQFYYIMLFVKKPQEIADFADIVQKNEQDIVLQHPKYQQTYLIGITEQSLKPSL YAYLQHIIPFGQWSTDIKQIKAFIAKQREIN >CAK82011 pep:novel supercontig:GCA_000165425.1:CT868429:151360:152207:-1 gene:GSPATT00002139001 transcript:CAK82011 MNPCNYQNQNTNGINNFAYFHPLQHYQLYLHPQYSQYLQYYFQRHLNPYVNEEFQSNKPQ IEAITIYSDDEQPIVKPKEQIQKVTPVLQINKGQQKLLNLDQLQLQGKLYDNESSDSPLL QNKVQKCLKYQKSKIQNKNENLQSLKLKKKILKPQRQPKSSNLSIIKQIQIGKYRQQYKF NQSSVKTQLIKVYTKNEDELQKLRELLLINFPNSSDEDAVKLLNVTGKSYEKAIDLIKKN ELLVQYILETNKNNEYLEDDDKTTK >CAK82012 pep:novel supercontig:GCA_000165425.1:CT868429:153562:154521:1 gene:GSPATT00002140001 transcript:CAK82012 MKKTSHLDEIISKIQRQSQKNLHRSSPNLSIMKTNNYHYQQLHKRLMSSVCQEIYSPTQN SVVTTLKEKLGKRIRQYNTCEDASSFQDIKNIISKVLESCLTTECANCKELTFTSFLRIL DRMEQLEQPFKIVLFAELKKTFLLLANKIQSDIDILSQHILNLNSDMEIKNKEILLLKTS LQQSQKSRNEDFQQIVNTLRQKKKDQNKINTNLKPFTHQDNVETCKLKHDINEPVDEEQL KFSSEEDSFPFVDPSTLNQPIYKNGISLNLNPVKKKAPRGYQDEFMAQMNEFSESWRQQA LVEKRF >CAK82013 pep:novel supercontig:GCA_000165425.1:CT868429:154955:156538:1 gene:GSPATT00002141001 transcript:CAK82013 MKSLESNLICIDKDCKFNRVITNWNELQNHFQYQHKVYTQEKFEKKVNIKLKENNQKLKV SIEQNISEYIAKEEQSINQRIKSLFQLFQNHSIIEFVHLQEQIINNEQIESLSSNNQNDR NLKKYIEIYYNQSGQSNQSLVNTFLEGVKYKLNTITDEILNFIDKLELNHKQESQYSYND ARKPQQEDFFYQNNMQFNFNSNQTYQNQYDYKYVQQQENTDMHQFQQEDPQNKPLRWYKG PSNQQQRPQLYAWEQSQKDYQQGLQLQQEQQQKLEQIYNTKTEAINDNQDRIQFLFPSET TQIKQSNPQKIKVIDTQDLNQEKQDRPNVVELSQNKVKEIQVDQSSQRTKMVGKKFDITN SDKHLKYSQRFTQFSCIQQGVALVEGAFTLNDNAKVKFRFSEPFEKILTASIGMQNVDDQ GKKLGTYNLYLEQSGMLYKNEKARQGSLKIELNQEYILQYRAEKRLLSFRKQELSEYLHI EGSTSGSFKFYVKLYGLKVSIIK >CAK82014 pep:novel supercontig:GCA_000165425.1:CT868429:156994:158220:1 gene:GSPATT00002142001 transcript:CAK82014 MGTCCTNTTQERESFTAITAPIYINNENLEIGVQTKIKESNETPSSPIRSEKIDEENYGS IKSYRGVLINNANVYMHSLIGQIFDEQTSLAIKIFNSLGPFPFPISKDQTLTYVGPVQID DGMIYEGQWQDSRRHGFGKQLNSFGSIYEGEWSNDQQHGYGRMVLPNGDYYEGQWINDKA WGVGKYVMIDGTTYNGDWVDDKQHGRGIEQWNNGQRYEGTYQNGQKTGQGIFEWPDGSKY EGELLDGMPHGNGEYSWKDGKKYNGEWMLNQMNGQGVYTWPDGKTYKGNFERDERSGYGE LDWSDGRIYKGKWKNGKQHGEGMFTYNNKVRKGVWQNGQPIRWGQSENLSLQ >CAK82015 pep:novel supercontig:GCA_000165425.1:CT868429:158460:159615:-1 gene:GSPATT00002143001 transcript:CAK82015 MDPINSINKDDASVQARARRRRNDQSSRDYTCGCGKSYLSYPALYTHLKYRNLYTEAKTS RKPTSRDHSTQQYESESKQGQTQANENDLKEGKSDDERSISNNEPDETIEQVLLFLDSIG NFRSGMKYEKEEEKQGYLLTCFPKDYFSNSQDYQPIYNNIKDITNERVKNPDQDPLMKEE IDKDKNIKKTQINKILAYFLVAIGPLLNEDAYKEMATFIVLFSIALNEAGYQALQNYEQE QYQQGDTDKKPQSLVKQDQNLNAYCEEQNGEHILLITNEFILSFLPLNFPKLGNIEKSFR IFGSPDEKLKNAVYVTQHLSYWLYAMKFTNSRLALYTDDDQ >CAK82016 pep:novel supercontig:GCA_000165425.1:CT868429:159663:160562:1 gene:GSPATT00002144001 transcript:CAK82016 MNNRPKQYQVQETVDDLVYSQYTGQSLAQIRPSDQIKSMLQPCFQNSNKNQTEQDEYTDS LNQYSKAKAFNQQQENQLLKQVQHLNEKLLQQQQEFFKKEMQFQDLLMQVQQEHEKLMKM AKQKYDIAIEGLKKQLKQKDDIIYDLNIKLRVQKQLKQKSEKNLLGLHSKKSSLVTADEL DSEATCTVRTLNIPTRSSTSQPQNDDQLLSLYRNEIDKLKYQTFEFAQKFESEKRTIKDE ICLLKNQKLLLQTLLSSKQSPQSDRKLAKSEGKTFSKQRKHHNSSTSMPKSSSRQQLNV >CAK82017 pep:novel supercontig:GCA_000165425.1:CT868429:160646:161808:-1 gene:GSPATT00002145001 transcript:CAK82017 MKSLILIALIAVAFTARVQERNMAKVQADLAKSTYGKALLHLVELHSMAGGPVQELIDAI EELINDLEEELETLEFNFQVRTNEHNALVVSLEQDIQDAVIDVNNTQDTLDNLLYPRRNQ IQSKIDTVIGYQEENRKNYDEAVLVREQEHDAFEAQVAELNDATASVDDALALLSSLTNP SLLQIKRFQNTLKNIENKLRSRSRMAPMIKALITLASNQNFSNQDVLKSIVDALNEFRNA VVDQINDLNAQEAPDVIDPEAYLENWMKNFQTSEEKLTELQQI >CAK82018 pep:novel supercontig:GCA_000165425.1:CT868429:161866:163023:-1 gene:GSPATT00002146001 transcript:CAK82018 MSVCNKNYLQETFPQYVDDQLNRVAEEDRNMDKSQRIFNIIDILSELKVLSFGLATKLKS QEGFMLFPQIISEKYIIEFKDIKSCKLRKNEPSYFQAWAFVNLELIDMYKLQTFLRILCI LAYFECKKYFESFLVDYKSKYENDLFKVFLLLQTQKKEFQIEADNFFLQLIEKCECKNNQ FISYMTKFCKEKNMLKCLGYLESIKSTNKVSSEKRKGFQKFKEELVKKESLQESQQTSQQ IVIQPQNTSQIIKRHSSIFPRKSLDQQSHLIQFQESAKDLIKSIGMNRPSLTMRTMKDGI NHKYKRENDNRQQRYIQSEISQQRQFHEEVCRKLEIVQRRRVACWNSDCLAPETPEIYSD >CAK82019 pep:novel supercontig:GCA_000165425.1:CT868429:163463:164020:-1 gene:GSPATT00002147001 transcript:CAK82019 MNNILSAICQVIKCNGIIWIFNVNEVSQSYSCQAASLISSILQIKNSSNVSKIQPIYNQE DNYQKNSNQANKIFQQINGSLSLPVRVLSQRQSSIYPPHILCYFIIFMDVCKFNRIQQYA FNLTFNYDTMNIFSFRYSYSKINIVFLQSFQLFSIPQTKAQSWSLPLIQLLFSSWFNKIL SICQQ >CAK82020 pep:novel supercontig:GCA_000165425.1:CT868429:164330:165319:1 gene:GSPATT00002148001 transcript:CAK82020 MDYDQTEKMEMFIYYNGFEDKTFQSLNNLQIKNNVVQTINVLRMGPTRGPEDWSGVFRDV KQSIENRFTLQIVFKFKQQLSKPSGFIQFYRFHRIQVQVQSCYFVRIECLLNQNMINKKF LPYQWNQKLNLKKERIYKWKFDKIDFSKGDLHSLKINYQNDELSLIIVDTQSDTQCKTNI LPPFQWKKVAFKFKKYFQLEISLCIVGIKQYGQIVDLYTLKMIGSNFLNDVSWSGHTLKY QVDYPLSLLITLSLQEKFQLFFRYLFPIRHAQFELQQVEIKLTKKFKKKDCNQINNFNII LIVLGMHTQLMHVVNAFYHYFQMDIIAVQ >CAK82021 pep:novel supercontig:GCA_000165425.1:CT868429:165327:165689:1 gene:GSPATT00002149001 transcript:CAK82021 MISRMQNEINFELIRKIMDEFEQSVSNQLFLNLQQIVKNIFQIIKFVHKYIELVNRIEMQ HHQLINKECYNLMVEFDTQFVALFKLIQLLAKSANQTALFQLLTRLDYNSYFDNKTNEKF >CAK82022 pep:novel supercontig:GCA_000165425.1:CT868429:165730:166237:1 gene:GSPATT00002150001 transcript:CAK82022 MIRLTHNKSIACFSGALWGPIHERPIVDRVMSTSQWPVPYYQRIFKAYPVRQNKQTWAMN LAGAEIHDINWYCAKQALSRTLKGRQAVEYVENNIPTQSYIVIQKDVSRMAKAYVSDLSL FLSVANKESKVILDSVELI >CAK82023 pep:novel supercontig:GCA_000165425.1:CT868429:166257:168728:-1 gene:GSPATT00002151001 transcript:CAK82023 MIHQFDRMVISNYCSNQYLLQIKNVNKVPIIQIDLFGQSISLNMNGEDLYKTGGGGFLSC MIVAIVAIFFQSNIIDFLGKTNISADSQIVFEENPDRLKFTSDNFMFAVAIEQSTAFNTN PFFNISIKARTYVRLTNGTTIKYENLTNLIPCTLDRFQKIFDKYDLNFTDQYNQLGLSTW LCPDLNYSLTVGGRYSSEYLDFVKIEITDCKNDTSKNDYLTWHPSCASKDVMDKWLSSEG SYRIRMQIKYFSCRYMTNTVVNPSKPTDYIQGYLDDEVYFQFVPFKLSRQSDIFFKKYQI NTDESLVPVFDDEQVENVFMKEPADFRDITTLGSPTDKQYIALYFRRSPYTKYITRSFQK VDDLMSYLGGFVNIVVVFLGFFVGFYNKQQYLIELANKVYDFNFDNSKNPQKLQNDDLVK QITQIRKKTQKFRALEKVDSGLHHRKSITQPLQDDEIKIQVFNEESPHNSMNQKQLIKNT SSEIKSEFQKQQEEIITKLGFKSRKEYLTSQISQVLTKSRPIQFTFKFLCHQMTGGRCFK DNTSILLMKAVDKINSDIDIYVILEKVKEINLLKELMLDKNQQILFNFAPKQVITLKEEK KLPSRVEVYTQKLTENSNQSMSVGNIAQLAMKKHTDAVKAAIPVSVKAYQKLYKAYDKIN DPQKELGRVNNILIEKLGKEIRDIFEVSQFIDFDLVEQKLSHRIKYILRKKVKQLRQNKS KSMFKKDFDEESSDNVGLFSQERNKPEDSEILQREILFPHRNL >CAK82024 pep:novel supercontig:GCA_000165425.1:CT868429:170895:171381:-1 gene:GSPATT00002152001 transcript:CAK82024 MNQYSFCSPKPRFMQVKMDEAEQRSWSREYKKRSDFFCLSSKPSASRPQTVDSKIEGNKA IRCNSRKQRQHSLQESEIGCISQDVQLVDYLFQLKNRQQDRYKSRILKLHEKKTRVWKNY KFPELFQSIKNRNDNFDPLIIFDKKKEPILNYIA >CAK82025 pep:novel supercontig:GCA_000165425.1:CT868429:172376:172924:-1 gene:GSPATT00002153001 transcript:CAK82025 MSTPQTKSNLVQTFGRKKNAVASASVREGKGLVRVNGAPIELVNPAPLRQKALEPLLLLG QVRTGRLDIRVTVRGGGTTAQVYAIRQAISKGIVAYYQKYIDETQKREIKDLLLQYDRTL LVADPRRCEPRKYGGKGARARRQKSYR >CAK82026 pep:novel supercontig:GCA_000165425.1:CT868429:173107:174730:-1 gene:GSPATT00002154001 transcript:CAK82026 MIQSSTRNRSEQLIYQRRQQEQQVGKLSTKLRQEQYEKTFAAWENKGKDVANQQYMKNRF AKYYIKRLQQIRAEAEEHKGQRKQKLAALLNVEHEQYQQEIKAMVETPEQVKERMMKEVA ELKQRKEIERSKQADAAYERRFRDNADELRLVNQQFNEQQAVAYRNMQMMEKQKMLEDQY EEEMIYAELYRREIVKKERLEKEKEIQQKAKVDERNKVLGIQASMNVNKFQRIKEEIEQE KQMLREEWKREEERHKQREHQYLNYKKEINQEIALNNEQQKEYKKQIKQEERKQDKEMIQ QVLEREEAIARMEQAEKQRQKEETRQFLLNFKNRTNEYSVNDQLKERLINEENTRQWENK EAKWKAEDDARVKLMYEVYAQRAENVELKKKIIEDEKNIKQQDKVELLRQLDLYQKELEE KQRMEQEKIMQTKNNLLNQMDEKKQRQQMLRDKKLQEEEALRRQKEEYEKKIEMEKAKGR ALLDDLRKQRPY >CAK82027 pep:novel supercontig:GCA_000165425.1:CT868429:174942:176379:-1 gene:GSPATT00002155001 transcript:CAK82027 MIDKDFMQDLEDEEEQQIVEAKQQVEEEPTCSLLKDNKFLQHYQRVQEVSAYQIQDETID ASHEEYHLILRSNEYSTIIDQEILNIHKQLKDVYHKKFPELEKIIINPIEYVKIVQLIRN AVDLQAIDFSKLLSGQQVVAVNIAAKQSLVSQLSEEDISLVDQLCHKIETLDSYNQKIIC YIESRMKYIAPNVSALIGTQLASKLMAAAGGIEKLANMPAGNIQVMGSVKKNMLGMSRAM HNRNTGYFGTLEIVQKASGKLQNQIVRMLATNVAKAARVDNMKTCPKGNVGEDLRIKMMK RYQKIQEPPPAKLEKPLPIPDENKKRRRGGKRFRKQKERLAMTEVRKYANRLKFGLEAED EIKDTGIGLGMLSQGIGKVKLHIKKDKPIGLSKKLQQRLAQAKTQSGGGTGGLTSSIAFT PTQGIELINPEAGYLSKVPDQYFNRESGFRTVLKKEREFGRQH >CAK82028 pep:novel supercontig:GCA_000165425.1:CT868429:176652:179059:-1 gene:GSPATT00002156001 transcript:CAK82028 MRPKESDVYKRIRLLGQGSFGKAYLCEYLKDHSLCVIKQMDMRYLNDQEKKETYREFRIM SELKHPNIINFREVYKTVKGKLCIVMDYAEGGDLAQVLKNTEGHIPESRILDWFTQMCLA IKHCHDRKIIHRDIKTQNMFLTKDMRIRLGDFGIARLLNNTRDKAKTMVGTPYYLAPELL ENKPYSFKGDIWSLGVILYEMCAKTPPFTAESLASLALKIVRGQFQAIPNVYSSQLRTLV NQLLTVNPEKRPAVHQILKMPIITNRIKNFLSETMKRSEFDHTILHNQQIHLSDTTIPLI DDQDAKGDLIEQTSKENQVKQLPGIKSPLINLQKQRKNSDLKKLPEIKLAKPEKPPITRQ QSSRQQLNQLPSQRVQPSTPEVGIHNKQKNGRFITKESPDSYQGSDQVMEEANKNSELES PKFFKKNSEIKPHIKNIKGLTKLDQIQKIKLGLKSEDDAKKPIYRVHAQPKMSEQLKQKE RKVSEEIVSHYLKQEEPINQQHQLEKISETPEQKLLNQSTISDFQNSEENIDNNKKQSIM TPAFEIQIDKQFQAPELISKKPSKLIKQSNSKTDEEKNKKKIYKIIYRDPFLRKPQIQKR NSEEDMKEMINELKNVLSDQSKKSEEPNLKNEVESEDSRIYSEESSEDLRDDVDTLPPQT QVNTWMQSNTEPVDISQSQPEPRRKINSNQKSLKDKLVGELGHHFEQLFRLAKLCTHLED LGKQHLKMAIMDNIRIDENRAETCATLLVTLATIGY >CAK82029 pep:novel supercontig:GCA_000165425.1:CT868429:180367:188131:1 gene:GSPATT00002157001 transcript:CAK82029 MKKTLITFLLLMVVVISTKIETSELRTQGMTYTEAQNLDVSHLNCHTDFEYTIAEFARWV DIIDNREQLIKDLAIINQVISIVEKAKGTISVIHSTMLVSIQSKVSQSLKSIFTQNKWAA CKVEDALEYVAQLNGTQTNEEKIALANKIIAHLRQTQEQIHQYLNQCQYAKRTQGLRQKI SQLQTLKRVCDQEIKKPTIIIDNDPDDEVLNFDEEPEEIVYYYFEEEDVETPPDYIYHEP QHYTYPTHQDETKKPQRKQVKKLVPKEIVDEIKGNKRYVALGHEIEGDVKENADEQQNQQ QEVESVVSAAKVSKMEGLNNDEDESEKKDDDQEKGQGEEESKEQTNGQETTGSEQQQSEQ QQQEQQSEQQEQSEQQQEQSELQQEQQSQEQEQQEQTEQQQGQQEQTEEQQEQQQEKTEQ LQEQEQTEQQQEQTEQHQESHSEQHQEQHQEQHQESHSEQHQEQHQEQHQEQHQEQHQEQ HQEQHQEQHSEKHQEQHSEQHQEQHQEQHQNNIKNNTKNNTKNNIKNNIKNNIQKNTRNN IQNNTKNQHQEQHLRITLRIILRLTFRITLRITFRKTLGITFRITLRITLRITLKQHQEQ HQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQHQEQ HQEQHSEQHSEKHQEQHSEQHQEQHQESHQEQHSEQHQEEQEQQQEQEQIIVETHDQPLT QVDPETKENVIVEESKNGTTDDDGDTTEVKIATVNKNEQADEESDETKDTDHKEHQENET QESTEESKQELTQEQKTEESSETTTNENSETVTQETQSETKVDDTTEETQAQKSTSDSDH EATETQEDIVVDNQSQGQDEEKDVQNGSSEVEHTNGEQKQDSEQKEEGEDAVEKEESQHK QESEEHEEQKSDQDHKDTHKDQDDQKKIKKQKSSDDRSLKIQSLREEPNPNEPTQFQPPK HRANSGRVQERKVVAVNTKYQNSEFDGEPTEQFEFNDRSLLQDSSEYGYGYWVRYAEHGV KEHSREDGEYYFLSRMTINEEYQDFSFYGDRALAVFMFDNSFVFSTYDTSEKLKTKDKAV VLNENMDSMWYFVMFSYSNPLRRAVGYIVSYGEGNGIYRVELEATHIPPSYIKLIFGGKH LDYHGLNGQFANIFFDIDAPAFVDGDEALDEILKTLSNPPQSVPAMIDETIVQKPKHLNG NDKGEQYHFDPQESQLIVEEYAVGLWFRWIDDLKVDEPNTFQIINLRSNKVKTAGKGVLG DRALEIHHTYGGGAKSTVYFNTYTIKGNRAKGQPYLSKTVESAEFIWTYVYFGYDNDGGR AYGAVIKPGLVGEIQFEGIQHKLVNSLSVTLGGDDVISPFNGMIGYVGIYLGTGAYREGL EFEMTFNYGEGVMGVYQVGKPITYIAGDANQARDVAYDAPENVVDKIIIHNEEGMRINGQ SEYGFGLWTRWLSTLPKYLNKRAPIHTIARMGTQGYVIESIDGKLVRSNANRPSTPKDTT LSISLTPDSYEFQTLELKDDIEFSQLEGHWNYVYFGYIRHENQGLAKGYVQFGIDGEVDE VIFDTLHDYLFEYVEFIVGKTSAPLFNGEMARISFSFGPGSFVPTIETLKLFTQNTLPEK AQIHPVARQTLQLVGAPQQLKEEPIQYEFDKYQGAEEYAISGWVKWNGPLVTGKVSHLIT MAQKRLEDLDDKNEETLQIIRGDQAFTFVTYNQNEGEYKLATQDEAYGEYADQWTYIYYG FSSQKQQTYGYLKYTFTESEFRQEKVNHFYLAVFSVLIQEKQPYTQFIGQIKTWVINIGD GSFREGGLDENENIKVHFGFISGTDHIKLQQAGQEAHHEETILECSSQSDEVPLHIEFEQ SDKLHLHGVSEYGYGYWVKFQYFASKNTIYSRPQLMGLSRLTSNKDYKDFDHPGDRVLLV LLGKQSYHFGTYDVITKSNNVAGDIPYHVDSESEWTYIYFSFKRISQTQGHAMAFTHYQD TTSGIQMDVMHSLLNDYLQLTVGNAGKYYTNFNGQITTIRFNLGPGAYIDNKQGLLQRIK NKDVMPDILGPTKKYEVLIGKHDVTKIEEEQHITHINEEAREYGIQLWFRWFKSPVKTQQ LIYRFTSSNPDSLGDAQKIGDRTLALFHTDGIEFSTYNLNPLSLQNTYEAKIPSQQLEVW TFVYFGYSKQHQKVSYYLLADDDEHKGLEQALHVVSNNYWLFLVKDAMTKPFDSRLAQVI LNIGDGSYREDNFNTLQVYLAAPKLFSTDSKFDWEADDTITLVSGDPDKQGLKITFSEPD RNIESVQEYSVGLWTRFLQAWPERQWHTPSEMQILRLTYNDEVDHGKIAIGDRILNAMVV LDNYQFGSYDLNDDAPNEISTIPYTHLEGRWHYIYLGYKRQLQLANYFVFDGEEIKHATN ENLLHKPLGDFIHLILGGEKDVAPFQGLFTEVAAHFGVGSFIASGEDLMKSIDTSFALPQ ELTVDYIHKQKHGQQQLIGESDNNEGSESTGDTWSGVGEYAISGWFKIAETQVKKEGEIN SPCQILFRITNNDKEHLSDRKSQGDRSITCLNMYK >CAK82030 pep:novel supercontig:GCA_000165425.1:CT868429:188247:189338:1 gene:GSPATT00002158001 transcript:CAK82030 MAYNENAGEVQTLLHLFEEDKPIIFKGVQHFVPHFIGIYVGKDPHSRRFQGELQKWVAQY GQGAFVDVMKKGYEDTLPNFRHIQINQKYLWFEKEDRIIQTPEKVEQTFTSETESVDEYA VGVWTRWLIAFPTTLTDRADVHTIFRFSSTRQYQDKSELGNRVLSAFLTKGNYEFSTYDA SKPTNAVDAKLPYDNIEGEWTYIYAAYKNKNFYGMVLFKDQQKAAHLNIEVTHQVLTGYA HFVLGANEFGYKAFHGWFYDPRIFLGAGSYISESQKVVEMIHKLHRKLPVTPQQAEDFKW PVSLLDTTNQDDLDSKKDQLNFEFTDKAEMQSYSIGFWFQNAVLLPEMENEYTGLVRFNY KWT >CAK82031 pep:novel supercontig:GCA_000165425.1:CT868429:189406:189926:1 gene:GSPATT00002159001 transcript:CAK82031 MASTYTIKDPSFEPLSHTFEIKELQWTFVYFGYENHHARAYVLTPKGPTEKIFIAQHIVP NAFYLRVVNDIGHPSFWGKIYGLKANFGEGSYLENPLELIDKWPYDSKKHSDIDKSGEKV LSINSAKVTKQKNDDANE >CAK82032 pep:novel supercontig:GCA_000165425.1:CT868429:189963:190711:-1 gene:GSPATT00002160001 transcript:CAK82032 MSQQFYNKYKHLFDDEMFDKMKHGYDMQMAPPQQQIPQFKFQQQQPKIQQHQSPILQESP FKISQPQSQYTQPQVQSFQASSQERSTQSRKNFNDERIRKQVMQDNIFKQPTTEQRQADR IYQLNQPHQVQHQEQPPQERKQQQQTYNVFQDQDILDDYAPKRQRQQVQTTNDNSQLNNV HKVYLQRLNDLEFIRKKYLDPKQLVRDIDQNNPYKAALMQRILAQMNGNQRKSKLKEALR N >CAK82033 pep:novel supercontig:GCA_000165425.1:CT868429:190905:191859:-1 gene:GSPATT00002161001 transcript:CAK82033 MSQQDERSEEIEDFKKPSQFQSEEQRNLQEITEQFNHVNIQSAPSGVQSPQLASELHSHR QDQSNNSPQSNFIKIDKRFLLPEQANYITYLSDNTKMLKEKYVEHCMDSLQSIQDFVLSQ GLSRKKQQSYCQKNDQSSQLNNQPPHIMDLSLQNSGQFQKINLGETSQKKQSTNSKSNIK KLKEDPQFQEKLFFVFQILDHLKKFEIQSSSKKISILEDSLIVCFVFLQLSNESKSLQEQ YDYIQKHYNYQRSFQAFSTRIKVQRNLYQQWTLEKLEQLLKVLQRYPKKDLEDLTLIYHH NIKIPTTH >CAK82034 pep:novel supercontig:GCA_000165425.1:CT868429:191963:197743:1 gene:GSPATT00002162001 transcript:CAK82034 MKLQVNNRINPDLLFARLAAGVNHCLAIQSEGDKTTAPQTFQLWGWGSNEFQALGKPESI DAEIQPSPVKIYLEHKQKPYVPLQVACGNQHSMVLACSQDQFTNIFRHPQHMDDSFSYDL GFKSLRYDDCVIFSSGSDEFGQQGRMTDKEQSQEEIEEGEQEDDDEDDYNEQEECFRMKG VVESISKVCQISCGANYTLALDIYGSVYSWGEGATGCLGLPMQVDQQRPTRIDFDKIRMK FISAGPSHAASISEGQGSLYTWGVGTYGQLGHGINKSSNVPMSVDAGSVIGKVVEYVSCG AMHTACTTTDGYAHTFGFNKNGQLGTGDFNDRWEPVKVHSIQNFYAVYAKCGGNTTFITT LERLTFAFGSNSKGRLGIKDEFGSNYPEPQRIDTSYFKSKQQFIYQLALSYHYGLALLQS GSIISFGLPFKGILGRDLQAELLPKNYLTNNSMNDDYKQGDDDEGEEMAQNKLNEVLNTI KPDLLQSLIEPKNINEEFLFFGMHCTEFINKLQKEIKASSYIVDVKCGAFHTVALTDAGE VWVWGSNKCMQHGLKDEQIIEQVKTRTKFIGPFIQETIAETSYPSQVLTFSIRENKRVTY LATGLEYVVVVENRRNIYSWGKNDKGQLGLGFVSDFVETPSMLTDLEGFMVKQVSCGEDH TLILDEGGSLYAVGSPVDGKLGLGPKNSVVLQTQKVPFIFDVAKVAVGPHHSMALVIDKK YNERVIKTKANERNQEDNSQKYVIYTWGNGYGGKLGHGNLDNQYYPKLLQTKYSFKDVSA AGTNHSGALTIDDQIVVWGVGSYLGIAKPEDDTDDKQHLKSDKDQEAEPYYIVSPIKHND LATRKYKSLCLGDRYNMAISKQNKAFVWGLFDYDFQEKLKNQCANAAQREKYVEVLMTNI VNTPLCDIQFTTVSCSFNHAVALTENQDVKELFSWGYDGMTGRLGLGYEFINPDKENEMQ LQNKNQQQVKKENIKTLKTLFIKPEPLQFINQYLDYTFKQYQLMQLQADKVDDDEDEFEE EFKRKDQKKAGMNTDGFQLNNKKMSKRPLSKRVFVGASLGMIEEGKLICKSSWEQFINES KPDIYRCSYVDRGDDLERVSSTKRVNFFEEKPVDDVYDAILELDQENQRLYKEIKEIHLQ EFRKFKDEAMLTIINKVQRKPFDIQFKTEEKDKDKKKEKKTKKHEAYYTCSLCYKIMFTM LQLHPCYFINIFKHGGLSKKNFVDLVMDTFGDISNDKRKYRILINLLVQILRLELQSLTS KKLIFTQKETNEPSLYAFTNLFIQFQGQFGQCITTNLKLIETSVKTIENKLTSGIAKNLK PVALVFSQNHLQNPGCDVLLPKFDGPQENKFFQERVEFIKGFWDEFAPKLTNFLPQDKKG PYDVPVAVRELFLKTYEVVKPFFQKNNQQIEQIQCRMLGLYYQNYQNMFISTAQKSESEK TIDQKAESNFLNIKCFLEMFDFFINDREEEPENVPLWQNITEIFANHAPRRITAFNAIAY GASTTNGIQNNKLPEKIQMASKYISKNEVNKVKDIYFHAIEYSDDIIIPRVKSIYWATKS IVENIQKIKYVSAENQDLMEQFAKSIKTQAVSYLTEAEPDETSGQERIQVKLKTRQLYYT TMSLSLRHCSDCGCYAIESFLRTEDQPPFHRFDQWNPVSLSALLIRIVSCFKNKKDFDDF LAGQNDVTTTLKKKAAMQKEQGFFGNIIKLKEFEKAFDEKVQFNIEQSDEVVEDQKLART KESKKVLRELRLQIQDRLISVYKYLYNMEKNMVALDAINFELQEKITKFKTEKVGLYNRI ISNCYFGMANKELIKQLQSNPVAMVGQIKDAIKQVSTSTEIYENIDVFKKSADQPSYLSI FGSYSYSILQSRKIIDSIKSMAYDDIQRHTKQQQLNIIFVESLLMLSTIDQCFHFKKTQI LTVYSL >CAK82035 pep:novel supercontig:GCA_000165425.1:CT868429:197851:199779:-1 gene:GSPATT00002163001 transcript:CAK82035 MMDTRVNRIQLCRNKSAINQIRKCIKVSCKIYVKHKFYFCLQMSQLKLQHCSSSCAQSSQ CKIQTMMHGKQPDPISAQQQENLINLGNSDQKRRNSCAGDRFIPMIKKKFNILSETKAPA QDIASSQAALEMLYKQQILDQEPVMEPENGSLKFVNQNNFQYKNEHLHYIDSIDPKNYNS PLVDHKYFALPETMSSYYGKYIRKIPKVPFKVLDAPQLQDDFYLNLIDWSNQNTLSVALS NCVYLWNAQSSKVTKLLDLSNDIVTSVGWSLRGPFLGVGTNNGEVQIWDACKLQKVRTYK SHVARVGTLCFAENMLSSGSRDKSILQRDLRQKEDYFFKQTAHKQEVCGLKWSPDSQLLA SGGNDNKLYIWSAAQHDKPIFKFTEHQAAVKAIAWSPHQHGLLASGGGTADKTIRFWNAL EGKLLSKEDTGSQVCNLMFSKMENELISTHGYSQHQIILWKCNGMKRIATLVGHTSRVLY LAMSPDGYTIVTGAGDETLRFWNIYPLKILVNHKTINANWFHRILVYVDVINFIYSFFLL MMMLIFFLWLNHGLQVHLRVASTLSFQNCNISLKVKVSQCLLPKRYPFSFREQSSFQLQI NPL >CAK82036 pep:novel supercontig:GCA_000165425.1:CT868429:200570:200943:-1 gene:GSPATT00002164001 transcript:CAK82036 MDSDDEKQVALPPIRIRSSEMKQSLMKDILLSKTNIYRKLLELDELFKKQTLDKDVCVEL VKHLRSKPEYKIMGEGEWQCIIGSNFGCSLSYDLELLTFFDFLSNGKSVLLFKSG >CAK82037 pep:novel supercontig:GCA_000165425.1:CT868429:201538:202029:-1 gene:GSPATT00002165001 transcript:CAK82037 MSEVEEINLESNIKKPRHQKQQNQSRNIRKPKNNFNRQKNNSQNRNRDRQRKNDWKQQNG ANTRELYEIDIYNFLAKNQISDLISDIRSRCGNLVKLHVIANNIQENEAETKVVLKAFFK DSKSADECYFQYNDAKLDDLSLRTERKY >CAK82038 pep:novel supercontig:GCA_000165425.1:CT868429:202055:203021:1 gene:GSPATT00002166001 transcript:CAK82038 MANLHGYGEYQPDYQQRRQQRTLQDYFGDSIKRFPFIGAQVVKTNPRQMHYFEMLQNNYF PGYKIQSVTTLAIFVYTLLFTLCIFGGIEKQGQFLEINDATLQSHGGLVTNQIKNEFMFQ QLFTSIFLSRDFYHYFCIVFFLLILLSSFEYASGPIIAISLYIFSGAMGALFGSSINCCT DLIYLHANTAIYGLIGAFFGCIILNWCSLEVLNEMRAFLCCFMWILLTFVLVITLSALNT NHNDKYGQIGGIITGFFLSLAIVPPMNQGSYEDKAKIFGWTFLGIFAFISTLMIIVI >CAK82039 pep:novel supercontig:GCA_000165425.1:CT868429:203855:204916:1 gene:GSPATT00002168001 transcript:CAK82039 MSVYYLGSDMKQNSEISGIASNLKLREASDDFNLIASFEHSQQCKAFGFNKSNNIWVLGY GLEILVCQKEQETLRVDQALQGHSSCVTNILYSNKEDMFISLGCWNDNVIVWTLESDGKW YKQFLIYKEGQTREFEVVQLILSEDENELIGIMDYNFIIVWQRSPKNQWINRQIIQISQN DLSQIHQVSYDKNQSKIIVITQNGQVQFFAKNQNNLWKKRQSINLKMIKGKKFYNINNDQ LIIQTLKGEYLFFNFNTENELYEEAQDTMCQFRKGILNQQFDFNSQISQGQDIVALKRNK HLQIFIKDTNNLYQQIQTIQFEQNFFYQFTKNNKYLITWDYSKFIKIWQQKSD >CAK82040 pep:novel supercontig:GCA_000165425.1:CT868429:205189:205920:1 gene:GSPATT00002169001 transcript:CAK82040 MGDIIPNQLFVAGYSRSKVQDEKDVRDIFKKYGTIKEVAYKGSYSFVTFSNESEAQEALK GTNGQSYNGQKLKVDVVDNRKGRKTGPNEEDKCFKCNKGGHWARNCPNIKSPRRSRRRSD SRSKRRHRRSESRSYSSYSSSRSRRRRYQNKRKRHSRSPRRDQRIRKRSVSPKRSPSDSA SSKRPNSNSKS >CAK82041 pep:novel supercontig:GCA_000165425.1:CT868429:205931:207573:1 gene:GSPATT00002170001 transcript:CAK82041 MKKRTLQDLSKEQDQINSSYIEINTVQKELYLENQKRIKERQKDKDQIVVIEDDEIKQQT EEKQVKLSFPVGTFCLNCLTQYPQDERKPHHLPFINILYENAYIDVKTQKPKKTLESALM TCFGFEDQLLEPIVKSGVDLYIVNDNDNLNKKLEIIEKYNNYPNWMVIKPSKLGSCMFGG AFHPKIWILKFPKFIRIVIGSQNLHVGDWTIWSQAMWIQDFKIGKSELDQGSQEFKTMLR EFLYEILPTSHKFEDLLKIKYDDYDFKDVNIKLITSIPGRFVGNQLFKYGMMRLQSVLYY ELCNNKMEIPKQVCVTYQTTSIGQLDDNYIDFALQCCTGKVYKQPLASEQNNKKLNQMIL NQQEEEQSKLKLIYPTADYIENQTHGGVDFANPLYLKKQLYENPKFPKHLFYKYQGSDHY YWHTGNIPHLKVMIITGLDEEINDYTSIYIGSHNFSQGAWGKMEKNATQLYIANTELGVL YPPKKNSAQLKQQIIEQLSFKFPPLKYEKDDQPWINEAYYERLYKEYQQQKK >CAK82042 pep:novel supercontig:GCA_000165425.1:CT868429:207721:209547:-1 gene:GSPATT00002171001 transcript:CAK82042 MNSEVQDIIDLYQQIVSNLDQDPQRDVTIEQLKNERNYCTIFRIMFPFLEKEIDNIQKER NPTGEKLQGLIEFLSYPVLTMDLSHISGHSIAQGDLRHLYNFLQILLELSKLYKDQVQNP GSNLSSQQNSQLNNSNPHERQFDSFNDNPGYEESSEGDGGNKQTQQIKRIKSQKDLKQSG DKDKNATIKNLQQNNQKKTSTKVPNSKENQLKAISNNLLPVTPNYNRELLHKIQICLLNT RSNNNNSRVKGLHKQINHKKIQSIPKMKNISDIDYDFLQQHDEEEINQLEDDDPIKAKYQ REQQKDNIRKLLQEKEGEEQGEQPEIDNFIDFQIQVIKESLAKMKSQPPSDEKETIEKII KNRNQYKEFLKDYLKTYQQKQKKQESLQNKSMRSQKQLTKMNQKKKDDLKKEFENEHLSM YYKLRDEKLNYLRKIHRIIFELEKRKIIDEKKDHLQVRRQQNIITRNALASVENAYNDKI SMLKEKLQNERKERHVAGVAQKQVNQFLMIFKILSKLEKELRDEKIKQIQELKDIWRQEK ERFDYLMKDDGELEKRILQIYKKY >CAK82043 pep:novel supercontig:GCA_000165425.1:CT868429:209640:210775:-1 gene:GSPATT00002172001 transcript:CAK82043 MICSYDLCNTLISLDSLMEGLTIQCLWCPNAFYCCEICRISDSKHQELCGGIEQAVIVDK QSVIKEMQLLEQIGQGSFGTVHKIQIQGQLYALKKMNKNQCSKEVRIHKHLNHKNIIQFH YFIEDDDYIYIVMEYASKGTLTQNRENCNFYNIFIQLCEATMYLHEKGIIHRDLKPDNIL LDKNGNPKICDFGLATYESVISSFSGTFEFMAPEVIKNLPQTQKVDVWSLAAILYWLLEN KPLVQGNQIEKMQQILDFKSPQFTKITNPQIQDLLNQMLVPDPDERISLQGVLNNKWIEN IDRVEKEINDISTQPSLQRQQQIEIQQQMQNQNVSILQKVISLFRCGGREKQF >CAK82044 pep:novel supercontig:GCA_000165425.1:CT868429:211110:212246:-1 gene:GSPATT00002173001 transcript:CAK82044 MLSQIKVLEFAGLAPTVFIGMVLADYGAQVTILSRHDSQPQNSPMNRGKKSMTFDLKNKQ DIEIVKHMIKDSNILIDPYRPGVLERLGLSYKICSEINPKLIYCRVTGFGQNSPLKEKAG HDINFISYGGVLGYCASTGSQKRPAIPGNVIGDFAVGGMLGVASILAALINVQKGGSGQQ IDLGMAQGAAYLSQFILHQKNEKLWSNGIGNNVLDGGTHFYNIYQCKDGKFMSVGCLEEK FYQEFVKGLIAGGLSQQRGQFILENQLNQAEWENLKQEISTLFESKTRDQWEKIFDQFDA CVSPVVDLEEYETKNYLGENVFVKRNGKIEVNGQPIFSDYQFQFKDIPKIGQHNNEKPRL >CAK82045 pep:novel supercontig:GCA_000165425.1:CT868429:212338:215023:1 gene:GSPATT00002174001 transcript:CAK82045 MGYFEVQPTFQDVNENESSNGQPMKVILLLVDALRVDLFANRNFTFYEDMKENQEDYQIL YYGISSTPTATQLNLKSITTGNFPAFVDFGSNMAAQELKEDNIIYSMKRNNKKIALLGDD TWYHMFPKSFDYKFVSESFDVRDIDSDDNIIINNIEDLITENQYDFIVGHLLGIDHSGHS QNDSNKFLWKKQRQYSKLLYKIYKKMDNNTMLFVVGDHGMSPDGNHGGDSFHEVSSTIYG INKKYKFNKDKFNSVIRNKRYINQQVLDRNLYTRQVFSINLAPTISYLMGISMPFSNMGA ILTEMINTNEQQESSCKENLIQVMTYLNKLVKSQGTLDIQLQKYEDQLSNPKLTCQNIQE IILELQVEIKEKAKTHDYYFLYLGASMMIILFGFHIIKLMEYLFDKKFSHQNAYIVYRLE FLIIIVPLAIFIYLLFSLYLSIKFIAFYIIFAIMKQLLLPLTQVENLKLIINKVMNPLPH YRQYFMIFTNIIVQKGIQELSLRRQYVYSSNIFGTLLNIFIIILITHFRLLKQILYVAVG LSLLILAEQYNTPNLTTQAQSALIFNEVNYIFQSFWIKFILPAIFLQTILYKLNFKIYFY IFLGLAQFVYLFNNCQAFNKPLTDIFEGHLKILTIYLPMFLYICSIVMIVIFRDFSYFFL IILTVSGKQGMMVYCCLFNSIYYLTKFYLKIDRAWLPLIAGATIQLILNYSWFCLGHRMS FSNVKFQDALIGSENFNVLFNMTLLIISIVRLMKQFQFGIFATLDIIKKITLQVLKNDQN IDYKFAVYYFNNKIIDSLIVMQFSQIAFSALHSLRNLYHLRVVELFAEKLVYESIFFIVM ILVRSLGILLEKFDPQQKNELYFQQYQTITNDLKDQNNE >CAK82046 pep:novel supercontig:GCA_000165425.1:CT868429:215262:216560:-1 gene:GSPATT00002175001 transcript:CAK82046 MNQNFEGSQAEVLHPTTHMQIQAVQHEKIISGFDHDPDKFLGEFQFNSHLLTRQYLLGDG TQLRLYFTKVTPQNVQIKASLAIIHGFGEHSGRFLHLADFYAKAGFEVYMIDLRGFGYSG GARGCATQQQLLLDVKVLIQQVNPSLPLFLYGHSMGGLVVLAFTLLNPAIQIAGVIATSP LLGFPTDRKLDWLKLNFVTTAGKKLEDMVVNSMVNPTALTKNNNQLKHSFGDRLMIPFCG LNMAASILSQVKMMKSYSHLFNKPLLILHGKQDAVTNYHDSVYFFESCKSQEKALKLFEN GYHELQHDEECDELMSITLDWLQRRLDNAKILGNVPTVVNVHPLKRKSNRKWKLILVFLI AAYFIVSIKYKDLAQGSQLKKLIIPLLLFKK >CAK82047 pep:novel supercontig:GCA_000165425.1:CT868429:216613:216979:1 gene:GSPATT00002176001 transcript:CAK82047 MSIVLQTTKRHIIDLHSKISLTAKNCVNLNFIMDLFISINIVNRIQNLLYFEDQINSLQD YQKQVYYSRMKWSRRHVQRNQIFTFNLIKCSLIIMGNMRYLNKFRSLFKSYNK >CAK82048 pep:novel supercontig:GCA_000165425.1:CT868429:216980:217207:1 gene:GSPATT00002177001 transcript:CAK82048 MELKQMLLADFFLVLGLRALISLLILLRLIVKLNEIGRSQLKKANNKDFKRINTIKQKKE MQPLKILENINQCDL >CAK82049 pep:novel supercontig:GCA_000165425.1:CT868429:218023:218730:1 gene:GSPATT00002178001 transcript:CAK82049 MGCTQAKPQKHSAQPQQQPPTNQLEEPSLPDHLQNPKDKLQLVLSKKTIDYLEHKRTNYD KLIDNLVQQMKISQKEFPVYNDILVDHYKTDFEQNNPGKELIHSSINFMTQEFKQFNQLE SHLEESDKQLQQKTFKFLIDLYFIFSTMKSEVQLLSYWSGDYMKKYEDQDHHVTIQNDNT NTQISNLNQIELYLKQSIQKAIQNISQRQRQQQNTISSQATHQDKQFS >CAK82050 pep:novel supercontig:GCA_000165425.1:CT868429:219669:221190:1 gene:GSPATT00002179001 transcript:CAK82050 MNQQPLSMDSSQINLLKNLDDIDDIFNGLAQDDGAQLDQDFEDFLECFSDDEDSEEESNY RPFPSFRNPSPSFQISTIAESDQVLKKQTEERLLAPSGDHQFPIYDDQQQEDSIDEDDDL SDFFDSFDINNTNQNKEDEVNYIEIKYFDETYKIPIFTAKLFEPEQPLEDRLLFENTELV LCKTEMHDFHKHLERILSQDQKNINDFTFSVMILKIQSALLVDLLKSFQKMKDFQQVWLE VSPSFICQEKVTQFGRQLKLFQESVNYVIDSNSNKITSRRFFDKQKPAYFQDKKKDSKKK ELTEEEKILELQQIPYNQNFNPQSSLVSILFFKAQALFESWLQFLHHKKNSEKYKEITNR FIRLYGHWKTVKLLKEFANFVARTKQTYMKQLKGDDQQQDLKEELKFYQNFLSMKTLGIV NNLTKSSTLNLSGGKFKVKALSQIKVPQKQKYISQQYLNYTQELY >CAK82051 pep:novel supercontig:GCA_000165425.1:CT868429:221224:223484:1 gene:GSPATT00002180001 transcript:CAK82051 MIKHHLKLKFPKQDVVQTKSSTKSTFYIARRIVQSNSDRAINFLKTKGIDKTINDKKPNP ASSRAYTTSHYRSYSTTPTNIKGQQSQNLDEQAQPYNTERQQSISFLSSEFKIHTLPKSN RVVSLYQISPQEVPKTQVINPAFDQEKHYYQSQFRSAKEVQNNKDDLIKITKYHNDLIDE NLIIMKSESKKRQPIFINITYQEYEKKSKQVFKRHQQGTQKQQIFLQKVLKNIKDSQSEK SESKTLVRKYSKIWKENFSQTQFQEQQKYSKSSQQFKLYFNQFVEKCLNKFRLYQQINEF LDLIEKKQEENQIQLESVHDLRRFYIQNHNIKVTHTKIEDQKFRYIKEITSFGMIDILTE VKDEEFQSQYFLQDSQPEIIGKQIEYIQLEMTKNNNYYQLYPIISKLDNQTKNALLSVES TDMDQIIYQNNIDEFVPNINNKDNKLRKFNASQIYKKYLDILIGNYQNSNGIIDLEHDNY LQQQQQQVPLNLDKKAMMMNLRKQTREAKIQARKSVIGFQNESLKAPKIQIMQKHQNSLL IPEKQDRRVSRSLQNLQEIEQIQEDEINQSNESDQADVIQRYYKDKPVKYKQQIRLQNLQ IFDYAIKQEHSGIAVVQLMIEHNLLDELIDYLRQNPNFSLNSRNAQGKPLIMIAAQSGNK ELVQYMINQNVLLNVKDLEGNTALHYAIAYGNYETADLLLLNGANPYFKNRNGSNPWNQN QQFLQNQ >CAK82052 pep:novel supercontig:GCA_000165425.1:CT868429:223526:224615:-1 gene:GSPATT00002181001 transcript:CAK82052 MQIQIPLIFILQIVKYIHSCQNSQLKISQIQQQIQSHKLIEILKFYIVNKKMINYNYNKV QLIKINILLLPVKLSVFENQNHRHFYSQKMKKTFLIDEIYKKNLIYSQIYKLLTQFIIMN CMSDECQEKFQQILQLKDLFSIREVLPQRVQKYKMFDIKFQLRQIRHQKLKRLDQIINSS IYSQPTQCQQGTEKQISKQFRNLFLADQQNICLGCDKYIFEKKVILLCQDKFAHCYHSSC LAKMLKQQLESKCIQFYCMCKSQINNGQIIRQKYFDLEVYVDKLMENQIQYFKSRLPNIK TCANKNCNFFWLLNDSVKKRSKSQCKSYPITYLPSKTSRTSYLNFCPHCRFL >CAK82053 pep:novel supercontig:GCA_000165425.1:CT868429:225043:227139:1 gene:GSPATT00002182001 transcript:CAK82053 MNEEPLREKLSQTIFKGSFDNNQPIIIKGLGQEYSSNILGKIQRSHSFSKPFQQPQDDNQ NQAKTQTMKNDLIMNSSIHIKKPPKAIRKIQRFVGEAKWPFFRVKKDETIIQFWDRLSQQ DHNRNWNSEDCLFEGNMLRIGRKKKTVKGYYFRLAQCGMLMYFKKESDTEPKGFVQLSME NRVIISYQKTKKGLNVPVLYLERVEGIGITIFDHQIESTLKLCESIQEFCLMRGYDCIYN QKETLGSGAFATVYKVERKRDEQVFAAKVIYRNIIKQLNIIVQQMVYNEVIALKTLNHPG IEQIYEVYQEKDKLVIIIEYCQGGTLYQYYKSKGKLTEKQIALLIKGILDALFEMHSNGF VHRDLKLENIMMESSENLQIKLVDFGFAEEINEKELLSKAGTPGFLAPEIFRGHPYTQKG DVFSAGCVLYILTAGYAPFRGKPSQIQLLNSKCQVNYEKSPWPDVSVELKSLVKKMLEHK HEDRFTTQEALESSFISHYTKITSETNYKSYQIVSGLDFHNKQSVKSIKNSESNGSKQSK EFQLDFSIHTNDIKSLYQRNSIKTLQSQRSGRGTVKQSMILKQSISVPKDAIIEQYQEKR KQSNPDRYSCKDSDNDVDSFKELLKLQSMKFNTFDDVDALNFQQINFLTESSQAFNKIKR PW >CAK82054 pep:novel supercontig:GCA_000165425.1:CT868429:227200:227720:1 gene:GSPATT00002183001 transcript:CAK82054 MSKRGRGGQVGIKLRITLACNVGAVLNCADNSGAKNIYVISTFGIKGHLSRLPSASIGDM VLCSVKQGKPALRKKVMQAVVVRQRKPYRRREGYYIYFEDNAGVIINPKGEMKGSAITGP VGKEAADLWPKIASAAGSVL >CAK82055 pep:novel supercontig:GCA_000165425.1:CT868429:228261:228866:-1 gene:GSPATT00002184001 transcript:CAK82055 MMNNSLNQLEMEQTAQFFNPGRKILKQRTIKKLQPIVDEQMVNEFSIPTFSRKLSQQEIF DFDQIVQQQLLKYNSQPNILQINQNFNNLHLLKSSQKSNKIQTPLKSLLGVQQRLLFPKN QSTQLLFNEQSKQSTPEKCRRNIRVLLNPFNFERKSSEIQQNKSSSQSLRPSQSQGRTFL QRKAQVGVLNRIFSSRSQDNI >CAK82056 pep:novel supercontig:GCA_000165425.1:CT868429:229456:230818:-1 gene:GSPATT00002185001 transcript:CAK82056 MLHKLLFSRRNFSSLYPKRNFHIDYLKDIQIITNDYCQSLKHIYESFSTHKIKLSDIQTM KLNRTDKDQRLILKLTVEERDSYKFNKLYQELQNGRDEVLTNKNSKIPIVPWFPRDENDV KSLGLLMVVNEENNQYHPQFTDQEYRERREQIAKISEQHVFGQKIPSIDYTQQEEATWKK IYSILREKGNPLLAKRYLKNLEKIEKALGFKYKVPQLNDIDAYLRAETGFRIKATHGILS QREFLNALAHRVFCCTQYIRHHAYPEYSPEPDLIHEVVGHIPLFADKEIADLSQEIGILS CGVEQKDLSRLGTLYWFTLEFGACKENGQIKGYGAGIASSTGELDNFHIANYEKFDPFIH ADRTYPTQIVQPTYLYCESFDEVIQELRMFGQSLQKPFGLYYDFVEKELKATKVIQTHLQ NP >CAK82057 pep:novel supercontig:GCA_000165425.1:CT868429:232037:233762:-1 gene:GSPATT00002186001 transcript:CAK82057 MNWKQSKSFFDDQKSDQKFWKKQAQCIDEKKIEFQCLLQKKNCKTGFWLSKNYVIYESHL IKIKPQSKQCHIVNLNLSRIQRVKYENKLEKEIFNKEKYGFRVIRNNQCREFYSRSKEVN MQLWNQLRKEAFLSSFTEHYELNKIIGKGNFAKVYQSLNKENQKLYAVKVFEKNKMRSSE IDKQALLKEISIMRKLNHEGVIKLHEVFEDEINIYFVLDYLEGGELYHHIQNNQTFPEKL VARIIATVLNTLDYLQKLNILHRDLKPENMILRSKGILDDIVITDFGLADYYSIKGKYLF TRCGTPGYVAPEVLHDETYDFKIDIFSVGCLMFVLLAGRSPFKGQKYDDIVMKNYHCQVD YKSIENSISTDGQSLLKQLLHQNPQFRPTARLALRHKWFELNLSQSRYNELNYNPQDPKC STMKSSLRDLPFQSINQNFKQLEESRKHQENKQQIKDQLNIYSLKELNNSENDIVDDEDE RPQSHFLPQYQIISKFKQILDTQKFSQFNSSKLNVNLLPNNQDTTGNTSIQLLEDNLKEN YQIKNLKSSLI >CAK82058 pep:novel supercontig:GCA_000165425.1:CT868429:235042:235743:-1 gene:GSPATT00002187001 transcript:CAK82058 MDKSEQLYSQLTDQGEESNILICTQDPITLYNKFIKVYNLDDNKVDGITLQYMKQSKVVQ FVHNYVRNNLGRVVFFLILILLPIINLFYYLFLLAAWFRLIQNYSIFQQNIGQVLDPFAN MVENSDLCEMMKKNYVLFDMEIKENEGLHFSTKVKEMIKNRSNGNNKIKYTIYNQILKEQ FYGYPNSRITYLKWIIVSTLIIAVQLTLIIIYFSKI >CAK82059 pep:novel supercontig:GCA_000165425.1:CT868429:236206:236817:-1 gene:GSPATT00002188001 transcript:CAK82059 MIEILYRGGMIRPHIRRPLISSLELQPIDDLSLKQPLTLHSCSSTPVITKIEFLNRRAES EKEFKKVHNTKSSLGDTNNKVEYYSKDNNIRNQLQPITEEYQNLKYSIIIKTMLIIQFGI NKDIKILKNKYHKINFIQFQIIQIKLPNPNNFIALQIKVLFDILSIRIKQENEVILSLTN LFIPNFGLI >CAK82060 pep:novel supercontig:GCA_000165425.1:CT868429:236922:238169:1 gene:GSPATT00002189001 transcript:CAK82060 MSDTESELLECCKCGAQVEQILSLQCDHNLCLNCAAKAVSKWNQYTMYPPLICQICSSKT QLDPSAVQVLLEMNPQSSCCSDDYEQRSEILETKQSQQIQQTDYHNQSQQQQLTNITCQQ HLTESCVLYCFTCEAQCFCMECYLQGLHKNHEVRNISKSFDLIRENGNQLQVSLQSCCEY LLHEQCKIVNKKQDLVDLVGQAKMQITSNFEDIYKTLKLKEQEMLQAADELVADKLIEIE GLMAKIKLQTDRVNQYNEQLVSFFGSSNPNVTLCVEACNYVVKEKKKIQYLIEQVRQEKI SPTIQGKFCLDSGSIQQMINDMRGVKLQLVSLRGIESINPTQREQYIYQKAVEDKTRDSM IGNNQVLREEKENNNSISFIERSSQKKSLKTNDNRDFYSKFHEAKRSIMNQKSFI >CAK82061 pep:novel supercontig:GCA_000165425.1:CT868429:238701:238939:1 gene:GSPATT00002190001 transcript:CAK82061 MESREQIIQKEAQIQLLTKVFKQDQTCFKKCIKVAEKRLTEDQEKCLKICNNKVQVAYDF LHKLNDKVLE >CAK82062 pep:novel supercontig:GCA_000165425.1:CT868429:239012:240458:-1 gene:GSPATT00002191001 transcript:CAK82062 MGNCNNAPDLNVKEIKVPNKCEYNFLYVIGRGGFGRVWRAEHRKTKHQYAIKEMQKCKIV NKKSIGSVMNERYLLSNLRHPFLVNMHTAFQDRESLYLVMDLMTGGDLRFHLCKNRTFNE EQTKFFVICILLALEYLHNSTVIHRDIKPENLVFDKNGYLKLTDLGIARIWKPGNDGDTS GTPGYMAPEVMCRQVHGVAVDYFAVGVIAYECMMGKRPYLGKTRKEIRDQILSKQVTIKA NYKPIDWSDEAADFINQMIQRKPVNRLGFYGPEEVFAHPWFKNIKWDDYLTQLKQPPYKI NVIQQQSRFQSSSDNFDQKFANLQDPEDEASAKQNAELLKRDSIQEAFNGYTFKSQNSQQ TIQDQSNSKPTTSMSQQITPTKKNLLDSDRQIESKSQKKYNLVQTLGFNKKLNFTPDQPL AQREQTKKNFDF >CAK82063 pep:novel supercontig:GCA_000165425.1:CT868429:240864:241313:-1 gene:GSPATT00002192001 transcript:CAK82063 MKLAKNRQSARDSRKRRKIDVELFEIKVTELSKQIQVLQKNLDQQNIFITDCVNILKCNN KINFLMLPQKNNKVHLINLIMPSLQVNYLQIQISDFNLSSKNLFQTLSKYITKKYLQILE EQFWVNSEKRDCLCKLIFNTWFIMSYHLI >CAK82064 pep:novel supercontig:GCA_000165425.1:CT868429:242134:243949:-1 gene:GSPATT00002193001 transcript:CAK82064 MVQACLYQLIKASENSCVYVKENCNDQEIFKFTHYYFCQLDENWVILILIAPLLFFFLFN FLARTVDDYLSPAVTFIAEYFKMSQTFAGVTLIAFANGVPDFFCAVLASQDDDGILIAVG SIFGSCLCMTTLVFGAVILFSGIIKAQKVPFIRDILFNGVAIFLLMVFAFIGQINHYMAI GFCSLYLVYIVVVLANEKTLKKKEQEDRINSEEDRMEQEKKQLVLHMEEGEIGNQDIQNV DEEIKKELTKYNSEQYKIPFEEMSVIAKMKYIYTTSLDIVRKFTIPASNQEKYDKTFTAM HQLICPIVMLALLGSLSMEVYGIKLWIILEIFGILLFIYQLIFEIPIIIILIECVICSII WCKSVIQVLIDFILLIQTITGVGYSYLGMTFLAFGNSTCDFFVNTKLASIGYGLMAMTGC FSGTIFNMLCGFGGALVRLTTIKSFPGVISFDLFRDAKSDSQTDIIIARMNNSIAYCVLV FSLMNIIMTCIVVINKKYKLTKNLAYYYFFVYFLFFIFITTLTVALSSIVSYLQGK >CAK82065 pep:novel supercontig:GCA_000165425.1:CT868429:245327:245933:1 gene:GSPATT00002194001 transcript:CAK82065 MQQKHEASFSIGQLEDDLNGVETSPSRQFDSFKLINQLSIKTKDSVDQKFKQYKRRQNHH KSDIHRPTQLQSVDKNNDQTEKRGATYERIPSDLQIDSQIRQAKRKNIKVSEQGLSRNNL LESNSSSYSSKLGSKQNCVKGILKKQTLDSSFSDNNSRSSSIGSKKGVKFNLSKPEVRMA MNQWK >CAK82066 pep:novel supercontig:GCA_000165425.1:CT868429:245961:248695:1 gene:GSPATT00002195001 transcript:CAK82066 MACSYVFSDDKSFLQDLDFMLEQSDQCPSLLKCQSCSIQLQKQQSDNKSNVSCADLVDLE RGGCILQTKIGPIQYGMPPETIKDCLNLGMEVPTFYIIPTKRFDKRLGISTAEFEFPAYF NFFCKKKQITLICTADTEKAIRTVFQETLLGPVDHPDLALDFYHKFPKSAYPDFHKERSV FSRNPSNLDEKLTIDHLLKFCLFDENNTATITEGEITIKIIKDSNSFKILENGIYLKGIQ DTFTFPSQYYEYIVTKPDDYRAESMKNIKSKRDAAKEQCPTPLLVKQLPEMTVEEKVENW VIGNKYQRGGEKKLSVVLWDQMGGHVETGSAIFQLDIDENKSFTPPDFGVTILGCSHGFD PKGSTSGYIFWVNGRGIMVDPPPFASYHMKQMGIPSILICAVIISHCHADHDAGTFHKIL DDTRVEIITTRTIMNSFLRKYSAMSNIDVDSLRRLFIFRPAIIGIPLNIYGAYFNFFYAM HTIPSLGFSVKLENKSMYFSSDTFFDPDQLLVYKNQGILSQKRYEHLAFVEFKEDLILHE AGVPPIHTAQSVLGKLPNNIKNRLYLVHTAQKDLKKELGLKIAKTGIENTMILIPSNTNK HLTTIRRLDLLSTIDIFEHLTLKNVRWLLDSVTSEEFFPGQDVIKEGTAGDRFYIIESGL ARVYSKQKGQEFERYYQVGDYFGESAVLSNEGRRGASVEAVTNLKVLILEKHDFWFIFGD GLGGQGPIIQKMKQLTAARRSKAVHCLFKNSILSELTPSQKTQLEMIMREQEIEKGTVLW KVGEKPEFAFIIKRGTFAFYDCPEQDLEELDSGAYVGELKAIIENTAISTSIRATRKATI FKISRKDLISFANKHPGIYMILIDTKYLE >CAK82067 pep:novel supercontig:GCA_000165425.1:CT868429:248721:250742:-1 gene:GSPATT00002196001 transcript:CAK82067 MQLNTFSQKTIKHVENINNHHYFACIPQKGNPNCSDQEKINISHESSLSQKTISNNSYSH QTNGTYYKKHSIIFRELRSISNQSHNNQIEEISKAFVFRIKSKNHIKNEPPGNDQVEQNQ NERKTKDLVKVLRDMQKKRQEYTQKKLFVPKSPIPILKNQNTKIQEDVQIAKSQNQVKKL PKIYTKQAPVIIEVLEQYEPLSKSSRLQGNGIGEDPFQVKLTRYYQFLFKFQCNHLFYVK QSQVRSQSYYIEGITENEMIIKELMRKRWWWCESIEKTDDVQFYWSQRSNSQFLYRQKIR VLFDESQNKVVDPFNLDNYEEQIKFAIEHKLLLIAPSGRLHNHIEINQLIGFKKTFIKFL EQYASLLNIDLFQFFPYSIIISSQQDPKLNLFLTQQKNQNSLWIVKPGEWANNGQFLKIC QNVKQVQDFIVSEFKNHDHTFETLVIQKYIKPLLYYKRKFDLYTYLLISQINGVVRVYFY DEVYGRTSSKEYNEHDLDPLIHFTNSQIQRESKGFSLQEPGNKLSIDKLQEFFVQFKLNF KETVIPQLKAIAIHAIKAVFTHLVVKDHNFEIIGLNYIIDQEFKPWLVELNLNPSFVPDS PYHQRVITQMLDNALYLTIDLIYPPPSLWPSNKKQLIDRFSLQNKFQALIDSRFDAEMLI ELFEKK >CAK82068 pep:novel supercontig:GCA_000165425.1:CT868429:251303:252131:-1 gene:GSPATT00002197001 transcript:CAK82068 MLSLNKLIYLNPQPSYHSKTFDGHSKLKLIFIRTKLNKHQIPCLFIKANSDEYLLYFHSN AEDMQIVFKLKRGTCYEFTSALSNGLNVNVICMEYPGYGIYTQAEPTQQQIEKDAEDVFI YINLELRVPDSKLTIFGRSIGTGPACFLASIYQPKALILLSPFTSIKAVAKKHFYFAANL LKDQFDNVKRANKIVCPCIIIHGKLDKFIPISMAEDLYKSLASKRKTFFYPEGKDHNNFN FSYDIKEIVLKFIKEIDQLNHMFLPN >CAK82069 pep:novel supercontig:GCA_000165425.1:CT868429:252671:253192:1 gene:GSPATT00002198001 transcript:CAK82069 MLMYELSKNKSLETPSLQETIKIKIKKNHPDTQDSNAVITIKTKGNKYILSKLYPYYYLR YNSLLFEYINEHEIKSFLDEINKQIANNWPPKKLIILSYLLIPFSFGFSLLLPFYCFNNA EKFVQWKIDEYNIKWEDKGLQLKWYDDQLQIHLFNKKGKSEDDDYPDIDVFNA >CAK82070 pep:novel supercontig:GCA_000165425.1:CT868429:253484:254345:-1 gene:GSPATT00002199001 transcript:CAK82070 MLKHLYDTDCVTWSPQGKLFQVEYAMEAVKQGSICLGLKSNENVVLCSLKRQPSELAGYQ EKQFKIDDHMAIAIAGLTADARVLCKYMRTECLEYKYTYESHHPVGRLVFKVAEKSQHKT QSGAKRPYGVGLLVAGIDPNGVHLFETCPSGNYYEYKCQAIGSRSQAARTYFESWFHLFE KSTLEQLILHGLSAIKKAIMEDEELNEKNVEVGILGKNLKFTHLNAQELRDYITKLEQFS PNSQIQQE >CAK82071 pep:novel supercontig:GCA_000165425.1:CT868429:254652:255188:1 gene:GSPATT00002200001 transcript:CAK82071 MQNHTLQTLHDYYNYNNPIYFKQREPSTPQFQKKYFMRLEEKKQFRIKRSKADLLDKILL QQQQLTTSFKPWSIPRNLENNETNQRKDQSDIRFVSINNLRIKCLINNSTQSQTYKEINQ NKYSLDKRFRTSPGLKKIVNNQSQVKTYSLNHIVNRNLQQSLYSSLAFKQSLDDISPW >CAK82072 pep:novel supercontig:GCA_000165425.1:CT868429:255254:256774:1 gene:GSPATT00002201001 transcript:CAK82072 MLQNIAQKREQFIIGLKKKAREDTFRKNRFPQSKDIIPFASNNIIIQQPYYEAFERGNQQ KINFMNDNIEMDETIANCIFKVQQLSNHYNEYDCEPDYDTTKDVERIFKVFNIGYPQIDK SCLHILLAISAGNHQQVQPIHEHIQMLAGYYNSTYYTELQQYILDIFANLACDCYQCRDL ILVLQVPKCLWNYTLQCKQKCLFDDFYSLIINLDRMKPEINKFKLIELHDILQDLFIQLY NAKEKLWIFKLLNRIYSYDKSKLDLSFLNYLLKGDYDNIQLCGEMFKYFQYVSLLDNEIT LNFQSQMAHTIIKTINKYLEQQNIQRGDLKLLVKKGFATLSNFITDDPQIINEFWEEILP YFQNVPSVFFEVDDFFVFLHSIIKFSKCEDVKKLNNDYKLMKQITQELNDYSEERGNSFL YDILSILYRLIGIDQVNIKIFNEEDCESRILFVQKMKISDENYELANMILDKLDQ >CAK82073 pep:novel supercontig:GCA_000165425.1:CT868429:256989:258367:1 gene:GSPATT00002202001 transcript:CAK82073 MNLFKQKVTYYYDEEFGTFNYSTTHPMKPLRVAITDDLVGHYGLKQYMNCIVQDQSFVQT YIKRVDEDVLTQFHSEEYIDLIKIITPENKCQHEDQLYRFNFMEDCPVLDRLFDFCLCQT SGSVGAACVIADQKSNIAINWSGGLHHAKQSEASGFCYVNDCVLGILELLKTYQRVLYID IDIHHGDGVEEAFYLTDRVMTCSFHKFKEYFPGTGHIDDIGHDKGKYYAVNFPLNEGLND DSIQLIFKPVIDSIMENFRPDVVMLQGGTDSLSGDRLGCFNLSIKGHGSCTEYLKKFNVP IIMVGGGGYTLRNVPRCWTYETSLALNVPIPDNIPDESDYKVYFGPEYQITFTNLQYGGI EFKGIFREKHILDNLKQIQPGCAQIDHYAIGKESRQKVDYQELFSSYNDKREEMQLEQNQ DQQD >CAK82074 pep:novel supercontig:GCA_000165425.1:CT868429:258436:265898:-1 gene:GSPATT00002203001 transcript:CAK82074 MKKKQVEHRMILTTIPPALDIGFTAVTSVSNLVFVLENPNDYPVQFHFEFQKFKITPDRG QLAPGSKINFTLTYAPLQAEVIVASIIMHIQYEEPRVIKVSGIGKYPFLQLNTKKLNFET LLIGKTVKKEITIKNSSEVTAQFQITKMIDDEFKDNAFSLDYYSGVIPQKSTFLIKVTYQ PQILNVSVIRFKVICQGGNELTFECVGSAVEHAVYLSEKSINFGEIKIGNQATKLLTIHN DSDLATSYQFYTDMNNIFSFNKIRGLIQGKSFDRIIVTFTPRHTINYYERVYCVASNQIK FVDLIGTCYDLLIKPIPLLQQHVDNFRRRVIQGKLSEVDFKYMENSYLMKINQQNQSNLQ GEWQENPNQTVQYKELMLPPSSDYRLIKFSEDFIDFGYVECYQSSGARELELHNRLNCKL TVFWTIQAHPTVNGEKVPVFTVSPETQSAQANSKCKFSISFRPTKSSYYYFQYIQFFAIK YNPKLTKKILDSVKNNKGSILNESFGNGANLKLSQTGITSQKNTLDFTSKEMIPTFSGQI GCVGHSFGINSQPYIPIVELRPSNKLYFPPCTIEESVYQTVEFINKSDTPIYFNFSPDPT RTFRVYPNQGLIFGKSSQMIIVEFVPVENKAYNQTLVCHMNHQSSNQITLQAIGYCSTPS LKLQNDGKVFFPPSFVGVYSRQKITVHNESRVPMSYSIDVPEKYQNELYIEPPSGQIKPN EVLHLDCQFIPYKKKKEYRIKVPMTATEILADNQNLIGYHIPGSGNQDFPLEQRKPIELS YQFEIFGQGTDGELELNVKQIDFNIIKVNFNTKKYATLINNSNCTFYIELVLRPKSKDKD KIDHQMVSLINRSFTLDLQNGIIAANSKLDIGIMFNPIEVCEFDLVLDVIATEKNPKAPK GPNYLNKKIVSQNYPLLKIADVRNDSISVATLWENFQINQINTELGKDLNEDEQKFLKIE QLTFDQAQQLQKRLRSYDWNFGYLPSKPQVKSRKIVITIQNIGGTDLEWQFKLPSDHQIE LEPWADPGEPTEEDTFEKAILEKNIFQIRPKGGVIAPKCFKDIELIYTPCNLDEQLKSKG ISNESHFLRVVLQILNGKPLVLNLKGTTLAPLEGRLAVKKNSFELPETPVGLLQPVKYPI EIQNVGSSKVSYKTLVQEMDIDGEIIDSQFNVFDIQNPQGSLLPNEKQYLYCLFKPLEQK TYYFELLVEVSDMVKVIQPVKLAIQGRGYANQPKNQIQKSAIEIPRQRSHQSPIGSKVFF SLEEIDFGELLPLKSAHRMIILYNQSADRKFTFDFGVSQFTLSNNRPGLCCGDEFLIEPI QGELEPQSFIELKLTLTAASTPSVYEGECECTISWENKNQQVNTSQISQNSQAITVDKET LFLRIKKKSSLNVELVNSFKQPPPPIHNAMAHPFQQLLGQIITEVLTDSHTDQILRALDQ QPITLYQPEQQPKEGEDVQVEDLQILIQTTKIIRQCFQRMNSSNQLIQQWKIHSXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLTYQAFDEVLHEYYAA YSGNPFHLQVYNNLDNIQTVNFGTVDNPCVIFTADTPFRYVGCTGLQNEDDYEQHEIHLF MLREGPLQRCPMCGQVFKLVRLRQQEDEEMSYYRDSFHPIDIFELDNENIQSINMFKMWT HREASLFESALYEQNIMVTMDNDVHDRLLVDPAYRMWRFQHGEDKLKYIDQQLKQAGYNE ERIYRNPKYKLPMQKATYAAVIEAEKVLAIQERLERKVNRFHVREYLDFANHARRERRMR LRQKERWENNYTYFYGGLTEEEQLYNDYFETDQELYKDDEQVEQRIDEAVAQVDPKYSPL RFDFQEAYTHNPEEDQTSLLEKKLWRFKYRLAFDCTKDYQARERRLIERHLARMTKDPEY VAVFKNLQSAVNSENEFALLSAEKDYYNLAAKEGFLQYKDYFEGDSSAEIELVEELYKAS PLAFSKVFVNHSARLVQQEGFQKFPKRAWNDSLGLIQNYVLDFQDFSSNILPKAQKLANY AGLQNVLPADEQELVKLGLDKTEQIARQKIAQAQEKPQLSEPPKQAQQQAPPKVEQESQQ QKPQQQQQQQQQQQQQQQQQQQQQQQQKKGPFNKKK >CAK82075 pep:novel supercontig:GCA_000165425.1:CT868429:265931:268544:-1 gene:GSPATT00002204001 transcript:CAK82075 MNLIILSYLGYAILALIAYLVIAPIPKLLYYKIKFGSAVDIYYFPIIGLIGQIIIDLIFR KDAFYSQKRYVLKNNKLKLHISNDLKGGVHFSLVDPKLKKLVFFDQESFKKMEGMPFADT FMDQGLLFQNGKSWHTSRQMLTKHFTYQDLLVMVETLKQECKKLQLQDGPIDILTLLKQL TSTTITKAFFGSENNDVSDLGVEVNKNMEKMMLFFLNPLIVLRCFALKLNKTTTPSFLLS SGEKAFLRELQAQKQRISSQIDNGIKKIKENQTLQDNILTTMCKEIINNNITKEEAIHQY FTLLIAGIDSTSHVVKNFCYALGLYPEVQERLRKEIQSQVQQFDDLRPQQLSQFKLLDNF INESYRHYTAVPSLFNRVALKDIQLGEFTIQKGQSMDLNMMMSHFDPSIFENPLKFDIDR WNSPLLDPYSFNPFSAGPRNCIGQHLATLEMKTLLVYLILNYKLELNSGKIILGLANIFL SIEKYQQIIMSSNPYFLILHHQYEQALQLLVSDDYETLVTKSLVQQHLGQYDDAFSTLDK AIQLSSDRSEAYYRKGLINFVIGKIQQAKLDLQKSLELNPNHKETQQQLLKCELELKNTN QPAQEKVTQQLKQIPKNSEADRTDCYSASGKLMYRWYQTDLKVGIEIHHALPNSADLKYQ FEKQKLQLSFPIGQGNNFELDLELFDEIIPETSKAKVGLNSIEIIMDKKDKTLNWGALQK KVEQQQQIHIVEQAAYPSSSKKKKDWSKIDKEIEEDINKHKEEYGEDPLNSLFQQIYQNG DDNTKKAMIKSMQGSRGTVLSTNWDEVKTKDYESKDRPSPPKGQEYKTLG >CAK82076 pep:novel supercontig:GCA_000165425.1:CT868429:268680:270049:-1 gene:GSPATT00002205001 transcript:CAK82076 MFDVNYNQKISVNIQCRDADQFLRAKALTVPEKVNLDDFQFHMVEDQQSDLKKDLDLNER LRMEGSQAKYNYFLKQNLFESKSQSSLFVYQRQPSKYKPYIINNDCPSPVRKINKTPYKV LDAPKLKDDFYCQLVDWSIGNQIGVALENSVYSWNAQTGETTQLLEIEAPSYISALKWCS RNELMAVGDDNGAVRIYDINKGTILKTYENHHKRVGCLDWNGLCITSGSGDKTILMQDIR TENDCEIALYSHKQEVCGLQWNQNGSYLASGGNDNNVIIHNIRMPNQPLYVFRDHCAAIK ALAWSPKQNNILCSGGGTTDKTLKFWNISNGLLQKSVDTGSQICNVKWSFNTNEIVTSHG YSLNQIVVWRMPKVERIAVLHGHSLRVLYLSLSPDGENIVTGSGDETLRFWKLFPQKCES SISSRTSLFNQINLDIR >CAK82077 pep:novel supercontig:GCA_000165425.1:CT868429:270279:270833:1 gene:GSPATT00002206001 transcript:CAK82077 MNDHLQRIHNDTINSAYVSFAKRYKPRNASLAQQHDINNNPIQIQPSKKRVKPRYLTPTR NVQSFQDRVVALPVISSSPKSKYYVSNLFTPFDSKKQDPYFKDWNQKFEHLLCGTLRKFN LNQEQKLFNQSFTPEEQLQMIKVFKEKPPYQFTKKNKQRSSKNKDLDEDFAIHDISQYFY KLQD >CAK82078 pep:novel supercontig:GCA_000165425.1:CT868429:270914:271475:-1 gene:GSPATT00002207001 transcript:CAK82078 MKKDRQNYYFIVVNKTGGLQFFSQLKEQYREDQDKITTNQVLQASSIFYAIDHLTQTIIP EAIYKVEQDKDKDFERDNAIDLLITENYMVSTLKTLTGLRFLVISNKNLEVPKKHEDNVQ KMRQIYRLYTDYFSKDPFQSDDQPLGEKKRTQFDRDVRELLDF >CAK82079 pep:novel supercontig:GCA_000165425.1:CT868429:271923:273532:-1 gene:GSPATT00002208001 transcript:CAK82079 MRDQIFLVKIFAFLISFLLIGSFYIVQKNVIIGIYENSSTTVLQNQDRAALKLFLPQLNQ YLISKQHQRIQLLTSLGTLYQQLGNYHISEQYKDPLWCQTLQQKSFIFQIPEPCISCYRC KDGNKLLSIQKYQDLWKFSRLLSEHILLFDTLQHNRIFYAATGDIQLGFIYPQVIYDQSF APQQKDWYLNHVEKYQLDKSQKFHFSQLYFSGTEFIYKQTITYSLENQYTQSLDAVAGTD IDAEDPDMRLVQANTYLINAKGQIIYRNVNLNLSVTELNFIYEENKTGFNQSDWGEILNF AKNSPSISNCLEDEKQILCRYNSIYQKPIKIVANQIPGNFTLMMFTNASFEHLLQENFLS LELNVQQVNEQTFYTQIITSCSLISISMVIVILMFRPILRVMVAAKTYIKKMGNNLDKEI FKLMNPKKNANGIFNNLEVQIVNFSDILSRNQQQKGKICKQIEDYQYQNKIIQLTQIELL SEFDKIKLNTKLSISTNYLQLMISQLLKQFNQNNHSVQKN >CAK82080 pep:novel supercontig:GCA_000165425.1:CT868429:273754:275329:1 gene:GSPATT00002209001 transcript:CAK82080 MSDKSKSPPTKKLTKNKIKKQFSNEKFSTKNYQPSRFVVAERLQPITYKAQEILSEFQTK IEDAFFSQPNQLKSVQPSQQRVLQVLLIEKYKKKPLRRQENDMQMYKDIFVQPKADALQE LKEKEKDFEALFHKGQAKQYDKILNIKEEEDKPVKNLLGSLLNEQLIKSTAPIDNIDLKK EIDKVAQMDLPVQPETNFQFFDQQISVQPPDLPNASIASPLTPGNGYAPSIPYTHNVKEN NRTSIKDLLVRAKAGMQAGDIQKEAHLSFYLGMVYESSKNHNEAVRFYKKFVACAKLMED KIGMALGTNRVAFNYYNAGNFTKSIEFHKQNLQYSDQENMFTGFYNLGLAHRRLKNYEES IDYFSNALEWAQKRDEAESSCISYGQLGIVFLEVKQYDQAFENFQNCYELARRLKNHKLQ LECLLNLIKISGYLQTSTEVQTQILKNAILCANHLNEKSIATLCLCNLGVLESKPPLPNQ QYDDLEYEQF >CAK82081 pep:novel supercontig:GCA_000165425.1:CT868429:275358:276071:1 gene:GSPATT00002210001 transcript:CAK82081 MNSTLEKISAEDWHYKQKSINIPKSFMNQIVLNFFIVEGYRDAAIEFSKEADIPLSNQEL DQMIERIEIKKNILDGDIDSALEKVNKKNNNLILFKLKTQKLIELIKKDQIDQAVKYAQT EIIPLLPNQPHLIEEIEQAIALIAFSDIKKSPMNHLVQNSQRIKVASEINQHLYQDSMGN DQAKLRTLMKLLLWAQEILKCEIQYPQLLEISKGQFSKEE >CAK82082 pep:novel supercontig:GCA_000165425.1:CT868429:276077:276410:-1 gene:GSPATT00002211001 transcript:CAK82082 MKFEEIIRKYVSPIKRFDHVLPNNYTAQDIYHYYEDLAKFNEIESFTKIRFSRKFAEVAQ TQDQMDWLNDRAKEISNVAMSLFKLRFPQL >CAK82083 pep:novel supercontig:GCA_000165425.1:CT868429:276576:276968:1 gene:GSPATT00002212001 transcript:CAK82083 MRERTTDKVTIFTAQQNYQHKQCYKLETSTSLKILRSLQSRTSVGNVSQENLTNLNKVID RKVVEIYLKEKQNSGYLSGIFKSMQMFLQIRLSHFSNSVLQEEEELQHDLSEDWVPDEED NAGEEDDSIL >CAK82084 pep:novel supercontig:GCA_000165425.1:CT868429:276969:277786:-1 gene:GSPATT00002213001 transcript:CAK82084 MEYISRSNIAKMVGSTLVNASATDKCKKIISWSWEMLGSILIMMGSPISVKGLDFDDECK DKITAKKTEKEFTLVLDLDETLIHSDMERTSFLDEEILVKIGNTIEKYYVKIRPFARDFL KALSNYFELVIFTAAIKEYADKVIDYLDPSGFIKRRFYRDSCTKKDGVFYKDLTKVNSNL DKTFIIDNSLSGMSLNPQNGILIKSWYKDLKDQELKIYEAMLKKNVKPKDNIVKSISQMK RKYPKNVLN >CAK82085 pep:novel supercontig:GCA_000165425.1:CT868429:277831:279094:1 gene:GSPATT00002214001 transcript:CAK82085 MQYNIIEQNKIVVNVVDPKINQNQDEFVIYSIEGQDNQGNFQIQHRFSEFYQLRSLLVQK WQYCYIPSLPQKVVQGNLSLQLIYQRLVMLNHFMRQLSHFQFLWYSNEVQIFLRQQQIQD SNSNEQQKNYADVLISTFPDFAENAIDQENETKIEQFFLFIQNATPILQNYRINMKNKIN IRQDLKISSKNYFEQMDIFKNFFIPEYEVILEINVENQNIYQPRKIFEDDQNNCDLSENQ KQFYIMYIQIKIELNEFNVLNELIQKRKELQLNIQSLNEQLQKQVNYVSETQNNGLNIIM KFITTKEEEIEKSLTKLKQLEKELTIKVQIFNLITNKLASDVQFLKQIFLENYQNLVKQM SRFFKQNAQKSVSYYQNLLQQLEKQGL >CAK82086 pep:novel supercontig:GCA_000165425.1:CT868429:279159:285297:1 gene:GSPATT00002215001 transcript:CAK82086 MYNNFFEPLFEKTDVNIISNKILFDIGEYIGEQSDENFKNLQSTLTLLNYCLDIKPLAQE LFNNQNLKTKLELAKVRIPQLDQIIKQILDKIQLNDQEEQSKFDMSIITKIIDLKNEYLQ QYLRIFRIYILYNDQLQQVLSLDDEATEIQLTDWLQQMITILAQSNQENRDRILDIITYL IRQQLELIKLLFKLDILPTILSFLEQGQSIVRCLVLLRDMLEYNQLFLQQINNQEIIGQI KDLASKKKDQKSVLILISQILNALNYQTEQEQIAFQVNEDSNSEDITQTIEQITLQAICG QLQYSSQLFDQLLFAIQNITLSEQTLLSLNYIFEKMIHELNQTQVKLLVNVIVNLLTQKL ESQLYITQKVYLVYIHLLVEINQQFRSFIQIEQIQILKQYHSDDVKIMRQLDEISRFNNK VYKKFKDSSLIQQSGFMTSSIRQSIKSQDSQSSEGKDIETVLDQIIYVNLKQGKLEKIIS CLSDIYQITKDNPEILYKYQNDIIELYLQYPTIKIIQNYTKMILSQLDYKSLIFNFFEDM EQYDTQQQLKFLAAFSLFQQQFEQYHKTIMKQITNWILIRIDQSHIKSLLVICLNLNLNQ RQLTNYFLKQLNKYEDIQLDILLYSVLANQQKIMENELLIQLIQQYEQNVRYDISIENIS SGNLLRYKSLGELVTITKDFDLIKQFNKSFNIDVQEITYMQCLILCDQLHFQLGLNTLNE QQLLEVIDETKVIQLLEKILQESQQENNYDVTSQSKLLQLFLLQAFEDVEIFIKQEILKP QLQEKQFIQQFQQSLAQQLQRVLNQKQLKDEQLTLKYLAILSIIAEQNDLLQNLDFKSLI QILQKLLTYLKEDNISIQLFETILNLFKTLFQWNKAKTYYKITFLKENIFLDIGLKYHQH KEVIKQILVLLVGQEQYEQQYAQKLEQTKTKQGENQIKEEQNLFQDLASQYINDILSQTI TKQKQELETQQQEVESQNQQYQQQEQQQQQQQQQQQQQKQQKKSSSSSSSSSSKSSEDEK KVNQIEAAIVFNEEKAEIKVQLEQKRHSSSSSSKSQGEQIKTNFVIDKNFEEDQFHTQVH QEVKVEQQNSDGSSSSEELNRKQKIVIAAAVHHKIKDNRKNKSSSSSSISSSKEEKQLEF KLQANENQFKVEIGNEETQVQAALEIPRIQEVVQIEQEKEENEESRQPSYLKQMMAQQEE VSRIQDKQVDTKLKLKQLKEKKQQLEQAKLKKQEDDRIRLELEEKNKQEELEKQRLQQLE KIRLDQEEQERQELEEQIRQQQIQKIALEQEEKIRQEQEEKIRQEKEELLRIALFERLKR EEEERKREIERQEEEERDRQEELIRIQKLEQIRLQQEEVERKKKEEQELYNKQQRELEII REAEQAREQAQAQLKLDIKVENQGDHQSGRIAFEVNENKFSTQIQESNNPEQSKNTLSYY YGLPPSNNQEAPQLRQEDLRLKASIMSQQNYGQQQNYGNQISYQGLQLDQQINFEKQELQ IKYDPGNILFSQNEQKILEIKDDELLLSSQLIKQAALKQERKNEFYQPQTNTINFVSKQE FSSNADQEVPVTNYQINIKEPTYDEQEKQHITQTIHQEILPQQFSQQENIQHQQNEIALA NQEFEINQEQFKVQIQEHPQQTISTNIEQQQINNQEVEKQVSVTTAIVTHEINKQVEEKV QNNKEKQRSSSSSSKSSSSQDKKKDDKHKEKKHIGMEVGKTLIEAKIIEQGIKAEQQKQK EQDEKLTKAEQKQKLEAEKKQKEILKAQKLEEEKQKKEAEKLQKQELERQKKEAEKQKKL EAERLKKEAEQKKKEDERLKKEAEKQKKEAEKLKKEQDKQIKKEVQKEVVKDLIILDAAK NIHQEKKEEEMDSKTKLKMLKEQKKIEEEKTKQEELKQERLVQLESTLIVAEAQHQHQHQ TKKQRSSSSSSSSQEKSHDVKKQEKHNVQVEVVKNDQDNQVSQNVLKFEITQTSFDDSQK NQSTIEFKIIKIIKIINQFNKNLSYILNRTLIKQKFNSKINN >CAK82087 pep:novel supercontig:GCA_000165425.1:CT868429:285827:288891:1 gene:GSPATT00002216001 transcript:CAK82087 METVFQVAFQVGKKEFQEQVYFQICNQFLKGKSMGSNKFLIKKNRMCKERRRLNININNN KKVCKFQINYNRLNYQRNMNFSYNTNKNLKIKIDTKLQCQQGVQLLLIKQKSRGKKRRIL KKIRIRNLRYQFNQNKMLSVKMTFQLKLIYNQKKPKSSSSSSSSSSDDEKQNFQKKKEKN VKAVITETIIQEKQKTNYNQPLQQPIIQEQDNEQLKNQFQTQQVETSQSINRQENYVFEL ASANTVYNQPENANTEFQPQQEVSTFYQFESQRNNNLQQFEDQQPKITLQTELIQDSQRT AAFNLEFQEPNQQIEVEYVVQEKQGELQEVKNQMIELNSQQTADIQSFQQTQQIQEQLEQ RVQQRNEFEDNYYSSQVVQNDQSSLNQQPILQNQQPNVGRWQISAIADLLQALQLILQNE QNQSRLPGVLKQFRQLIQSEKDAKDCHQLDITNQLLFIYSQSSYQAYQIDVEESLLAILQ YHQILNDYETLIKWSDLQIARVSINLVRILIKAKETKFMRNRMINQKDIIILVNYLEDCW DEELVSVILTCLEGYCQNSKCAQLLYQYEVINHIKKWIQRLQKECFLLIGSLCLYNFAQK DLNDQSIYLIINKSINDQSIEIKQNAYYALSCLCYQNKEVQQQLLDSDLLFIILIDLEQK SEPKLIEVTAQLLTNVLYKIEQQKVESRILISLLIRQLQTQNTLNTLKWILRCLQSLCLL RSNSLISISESIFDILKKLLQNKALLRDLLQLYSTYSAQQLLPSEQVTVIKQIIQNNKDD SVIIEKSITVLNLSVKQYSKKICTLDNLQIFTQLIEQYKQNEKVISLILILIQNLACDKD NHSTINKSVVYNALEFFQDNQQINHFSQKIKTIISNQQIQPEQIQVQMKQIILDNPADKL ARGFPLLVFTSSSDTKTGNLKVLPSNELFFQENSGDIKEKFRIRQVVDMNFKPNSNSSEY RLYQGSRFFNKDLFFTIRGKYNNKFNTISGQALLIEQKQELVSILEILYDKAS >CAK82088 pep:novel supercontig:GCA_000165425.1:CT868429:289117:290156:1 gene:GSPATT00002217001 transcript:CAK82088 MRPISSLAEENQVRNLRMFSQSKGCAITTNQPQRIELPCFFNTPARFKSMNKNKVQLSEK QNDPKSLQTPELCIYLQSQRRLKDYEMLAFACKRAGKIKDEGRAYYSMGVLLDNMGKWSQ AIQQYERFLKICIKLNDQHGCGLAYNCIGVDYQFMAETNPKFIEKAIEFHKKHEEVSDSN GKFLASINMGLCYDADSQLSMFYFQQALKHAINMSSKLGQTIAIANIGRIGQKGLYDNAE KMKLFIEKFLQLASELKDKESIIKGHMKLGAVSAQLGSYTEGKNSFLKALEMVENDRYLY QEAKCGFAISNAEMNMDQYLREQAQLLKQ >CAK82089 pep:novel supercontig:GCA_000165425.1:CT868429:290253:291458:-1 gene:GSPATT00002218001 transcript:CAK82089 MTESYLKQQKLVTHTWSPDGQFVALSIKNTSNADIYKVGQLEKIGTWQKVSTLKDASQQI NVLSWSVDNKILIGSDDRSVYVYRNINNTWSKDLVIITNEKAILSGEWAPNGQKCVVGTA CHKAFVLFFEEKNNWWHNQQINCFYSSVTACRFHPSGRVLGLGSTDQTFKLVSCVIQENQ NSEDQSYTGLFKDIKTFGEILVTINLNGWVNSIDFNQSGNKFAVAAHTGLIKQYSFNNDG SLIVDDEDKNASYIKETKPFNKILYLNDSTLVGVGYDRKPVLLNCDPTLKFTSIIEKCLA AEGEVQKSGSIAAAKQIFSGKGQKTDDDKFGHQTAINSVNKVSDNLISTSDVNGIINFWR I >CAK82090 pep:novel supercontig:GCA_000165425.1:CT868429:291750:292594:1 gene:GSPATT00002219001 transcript:CAK82090 MSIIKAPHSPQIINYLKGRTQDNNKISQSLKVEKSRNSIIEDQDEQVTVIKQKIYQGDFS EFDNTLQQKVSPNETKILINNNDQSNSLQIRNHTEDAMISVSPKDCCFCGEAQNIFPICQ CSQAHQKCANEFLQQGPYLEQIQCKNCNHYRHVNGVINFDFSQWKKNKSSLLLELLFLVI ILAIFGALTYFSFFVVYDKIATDRILISCLVFSYTIWFILLICLVSRMLTHFKKVRLMIK RFDAKNVQYNQNYVNLMMRL >CAK82091 pep:novel supercontig:GCA_000165425.1:CT868429:292608:293578:1 gene:GSPATT00002220001 transcript:CAK82091 MIFEISNYCKEINNCFIDSKISQGQNEKYLLGRIQSLQDSLKEFDFWFKTQFNNEELLVN YIYTELLEVRKINKVVQFKKIDEKSSEIKGLSMDSQKSAETQSSICSAISYYKQCTKKLQ KKGSLLMELLNNNKEEQIDQSNIQTPPKPYSLEKIQYVINFEINCLKQYEISGEEENQLQ VIQDKEVLRWILDTIQTKNHYKQILQCLINSAQELANYQIEDFTQAIEKMNSQSSFQTND ENLKSMSNQSFQINQDAFKLLIENKIKQSMAEQNEKKKNKTKSKKSIASNKYDNSDTKVC MCSIF >CAK82092 pep:novel supercontig:GCA_000165425.1:CT868429:294152:296051:1 gene:GSPATT00002221001 transcript:CAK82092 MGSVCCRDDSRQQKGCLIISIVDELDTSPNPDAAKPPEQKVSLSQQGSKKSQVEEIQVQV ENKQNEYVLESEPHNELEQKQPVTNKEEIPKNSEIVQSQKRTTEQNKGEVMKASLEADSK SKKMIKLGFDIFVKQKEGSIGSHYNFGKVLGQGAFGKVWKVTHKTTGLIRAIKQIKKSSI IKEEEQRLFSEMNILKNLDHPHIVKLFELYQDENNYYLVTEYLSGGELFDRIKKMSSFSE SIAADYIRQILLATLYCHEQNIVHRDLKPENIIFISEDPQSQLKVIDFGTSRKFDNQKAM SKRLGTPYYIAPEVLGHSYTEKCDIWSCGVILYILLCGYPPFVGKTENQILERVKLGKFT FDPEDWDTVSKEAKEFITKLLRMDPTKRLSAKQALEDPWLIKYAPSTQINKKVLNNLRQF QAETILKQALMSYMITQMSTQKELQDLQEEFQRLDENNDGFLSKDELLKGYIQIQTDSKL AEDEVERILQMIDINRSGQIDFSEFCMAAMNQEKLLSVQRVEQAFKIFDQNGDGFISKKE LEAIMGDLGDDVWNQILTDCDNNGDGQISYEEFVKMLKNKKL >CAK82093 pep:novel supercontig:GCA_000165425.1:CT868429:296091:296706:1 gene:GSPATT00002222001 transcript:CAK82093 MQQTVVTIDPSVCHAKLKSDKTLCGLAYFDDQQSQRGIVSESLEYFRLMTFFKNYNYEGP SDILLIYLWVVAAHMIKTIENDQDQQAAAKKLDEIAREAIPTRSGDKKNFLGGLLKETPG ETDKIQGYLKGLKDILGKEIIAKFFKEGKRTFDAKFWIGIAKRKFMGLSYTGL >CAK82094 pep:novel supercontig:GCA_000165425.1:CT868429:297321:298942:-1 gene:GSPATT00002223001 transcript:CAK82094 MSYQLQPKPLQLFYYLPYIHQLAIPMVPQYPICNFPQQVSPIYQPVVPGTSQDNCIVIED DNIQHPLNQIHSMILQSQTNREQNSLQDDVMQQEQPVQNITHQNNNLNENQPHIRITVFK QHSSQTDQEFILQNDRILGSDQKKEIIIGRKKQQEEKQDTCDIYLPHGDKNVEKVHCKIL TDQGFVFSNTLTKPLILFFSLIRNNQHASKLPFSVIKHIYSFIKNKPQFYICDNGTRAGT FMKIKKDKIRTIEQENTYLIGADTFFHVLEKKSQPKQNKSKKVKKDQSFFYNALAKEHIR RGSKIHGLTLEETEMFNVAMNEIKTTKQKQRTSQKLSEYDRPYLKITFDNQAIHQIITHI FVANYNQESVYKIGRSQECDVLVNINTVSRKQAQIIYKNNEWFIHDGEGVRESANGTWQS LQNFSQRNHDKKLQSSRPQLIEDQMEIKISENIVKFDFVNCGITKKRKLNKALIQDLLNI Q >CAK82095 pep:novel supercontig:GCA_000165425.1:CT868429:299498:300598:-1 gene:GSPATT00002224001 transcript:CAK82095 MQSQENWLDNSIEPFQKFEQAHSNSIECLQFNPSNQSELATGSHDKLIKIWDVTKQKESA KFSGHKEGIWSISYSLDGKQIFSGSPDKSILVWDAKSGKTVQALKEHKNRIYWIQASDNG LYLASGGQDGHLILWDLRKLKLIKDLQISMDIVYNINFSQQSKYFFTGDSMGVIKAYDSQ KIEEIQNTKATQKNKCYAIQSLKISEDNYKLFVASKNQSISEYNFDGKKKELTKINQSPV HCDSVHCLNFDKDKRRFGTGARDGAARVWQTERKGQFYNPLYNLIGHKQRVTAIEFHGTG KIIATCSWDQNIHLYKI >CAK82096 pep:novel supercontig:GCA_000165425.1:CT868429:300883:301284:1 gene:GSPATT00002225001 transcript:CAK82096 MELEFQRSSKQYPVESSKEKQKQSNHVSKYGNKNTPSNKCAIFVFKDQKIKFRFPLIDEK SCDFRSVQQETLGGHPQIKHAQKPNLQQNNSIKMEEENKENVNILLETPFYEVNKIDTFK IFNQNYQNKLHEQ >CAK82097 pep:novel supercontig:GCA_000165425.1:CT868429:301358:301864:-1 gene:GSPATT00002226001 transcript:CAK82097 MKPNDLLQEFTDFRRKLKADIQKTDFSKSPSKNYTKTQSSPQKTNSGFKQKINFSKHKSQ LQERCNTMSSTQNQNYYSQIYNPLFQCITHEKLNSQQPNMQSLKSSKFTNKKKQNITDRI LSYTQLNNFCFTLQQIQDEDIRELPNEYKQLLIQLAQLIQEKIGVNDF >CAK82098 pep:novel supercontig:GCA_000165425.1:CT868429:301924:302217:1 gene:GSPATT00002227001 transcript:CAK82098 MADPAQQINIKLCDMSSDLLKDAQYIILENLKKHSHERDIAYYIKRELDKRHTGPWHCVV GKNFGIFVTHEEGYYLQAIKGQITIVVWK >CAK82099 pep:novel supercontig:GCA_000165425.1:CT868429:302273:303433:-1 gene:GSPATT00002228001 transcript:CAK82099 MNRELEETSQNQENKISKDEDEKKFKLLKEHFRVQFKESYKYIGFNLLFSFGKYLVCFYS LQQRDQQCDVNPLFVWLTIVGMYEFFNSIRYLAVLYSLQMNSKDIFLLVMAEMLTKDSEE QMNLQGMEEKNKQKNNSKINFRRNQIKTLIKMKLSTRDIRQEELIAEPPFTQYEIIHQAE ALNKYTRLMKVYNQFIFYLIFTGGNIAYFQSDSNDCDDSLNNVTFVLLLIGYLFSAFLII GFGLAIISMALYMPIILFLFIFKTIGKIFKRLQTKNKFKKMKKFQYKSGPEFSAIQQCNI CMCDYEDNDLIVQLPCSVKHHFHDHCLQQWVIIKQQCPVCRKLI >CAK82100 pep:novel supercontig:GCA_000165425.1:CT868429:304402:304847:1 gene:GSPATT00002229001 transcript:CAK82100 MLENSGVNKSRKILKNVVYVRITDLGVSRYIAMIMLKIKNGEVQIESYIFALITLQTFIT YVQNNKLNLQRSQDKSDSRYISIQIKKIRSQSNRTLCFLEETQWRVLIYQYDAQFNGCDL NNFTYTSEPIKQQLNYINY >CAK82101 pep:novel supercontig:GCA_000165425.1:CT868429:304883:305514:1 gene:GSPATT00002230001 transcript:CAK82101 MDEEVLDNLEAVEDDNETSNFPLFQQKQIAEFIKKILGDNITAQKAFRDKFNRCLSLFVF YLSHMVTVIKEDTRKKKNEKKRMQITKDDIIMTLKAIDFQEIAESIQNLHILQSSLENGI QQENQLDQGEEHEEMREIQNFENIEDEQKEEEIDDNNEQIQDNQDQGNEEIEDENNQDIE NENGQDVENENDQQ >CAK82102 pep:novel supercontig:GCA_000165425.1:CT868429:305575:306132:1 gene:GSPATT00002231001 transcript:CAK82102 MKKKNLFLAKKAEDSTLNQYWFSEQTIEFLVDHIESIYQNGQKIAFLSTPSIYCSLKNQE VKQNSALFEFDLKLNKEKGFVFYDFNKPIEGLEQFKNTFDIILIDPPFITEEVWGKYAQT INYIKKEDAKILCCSIKENAKMLYELIKVVPQQYKPSIPHLIYQYDFYCNYEHEILKKVN DEIGF >CAK82103 pep:novel supercontig:GCA_000165425.1:CT868429:306155:307425:-1 gene:GSPATT00002232001 transcript:CAK82103 MDQQTEQDKLESIATPGVLDKYQNAGKIANVVLEKVITKLKPEADIASICAFGDQEINGE LQKVYNKKGIEKGLAFPTTISVNQICGHYSPLKSESSQLAKGDVAKIELGVHIDGYIAIA AHTVVVGEDQVEGQKADVILAAYQSVQALFRSIKPGVTNTALTRIIQQIADDHKCTPLEG VLSHDVKRHFIDGNKVIINRETQEQRVDEEEIQVNDVFVLDVYITTGDGKTKESDVRTTV YKRALDRQYQLKTKHGRAFMQEVYDKYPSLCFSLRVFEDEITAKLAVQECAKHELLNPYP VLVSPNSIVAQFTITVAVLANSTLQVSGLKLDETKFKPVHDLNDAALKELLKLPMDKDSQ KKRHLEQKQKA >CAK82104 pep:novel supercontig:GCA_000165425.1:CT868429:307440:309377:-1 gene:GSPATT00002233001 transcript:CAK82104 MASASKEKRIKKKEKEEQIQSKSLGNFHNLELLCKADDRQKKTLQKIIKQKPDLAKSLNI YADGVKYYLARQQQRDKLLAGKESPLADVTEEQVQIPVLPSISNRQIVRQFKQDPLNAQK LSESLEKANKRQYHSNNYTPFANRQKVNIIQTQNPPQKINREKELPKLKVKKQNLTQFNY YIGPGNNGELVKRILQKREQYWSSVPQQFQYKHFIWQQSYFGMDFNRLSASDSLFTRVMY NFFEFHKNITSKSGLSISLLQYYNNIEKTFDVIPLVFIINFKNSDWVKDVQQFTEFYCNN NPLTQTSMKTNLDFDFYVSNLPANKVNYNGIKTYKMQETLTNKTQYLWLLKPADWNRGEG VHVFNTLEEVETLIKSYYYGKGNYECKEFVIQKYIERPLLLGGRKFDIRCWVLITHEMHY YLFREAYIRTSGTTFSLENKDRYIHLTNNAVQKNAQNYGQFEDGNQLSLKRFQKQLDQQE TKYDFRKEGWPKIKEVVKLTLSSTRMNKRNRKYGMQILGYDFMIDENLKLWLIEVNANPC IEESSNLLKILIPRMLDDAFKLTVDKVFTPDVDFGMQQPKFKVDEYEDSENMWESLGVCG >CAK82105 pep:novel supercontig:GCA_000165425.1:CT868429:309554:310179:1 gene:GSPATT00002234001 transcript:CAK82105 MYDFTRMQKLVDRMQKLQPPNPPPDNRFLPEELKKDKIVEDPQKDVKKAKRQLLIKNQKA QNGLKPIEDERLFLIQKENYLKKKQRELEMEQVKKELAEQKKQEKLKLEQATQRALVVVK EKKEMTQVEKEEKIKKRNDNEFYKRKQKANNSIQMSSIDASYVSQNLNSSLIKKQILDYH TLPKEQYNRIYRQPVSPPK >CAK82106 pep:novel supercontig:GCA_000165425.1:CT868429:310893:312652:-1 gene:GSPATT00002235001 transcript:CAK82106 MFFKERRALSNNKSYQDYEDTKIQLDLIDKFINPSFENPINQQPSQKSGIRQKNKIDKSF QIPKVVVFPEDKLNEEVAQMQQALDQQSQTLKLLLKEQQEINKNQALKQKLDYLKTQVQN LHLKLPKLPQYDQLYEIKHEINSLRYSFFQNSPRKPFVQPPQIIYQQLPQPISQQQFLQA SLPQRGYHPLQHLMNPYQNPYNPHSHRVKSYTKDKYTNLKGKNKKKNQNKTQNSVSQITD SERSSSQSIPYVSKRVNQKPKQKIFMKEPECQEQKKVEKSKLKNIFNAVRFAMRWKIYCR PNKILWRKLMKRSVECKSLISKTSYPVLMKRIRDWCKMVLAKVDNYLSKIKEIDFINPEK LLTETEIDQSFMQLTISTKYLMTSLLTYCTSDFLIPEIKYLSYLQFFEEPNIDRGLFISK RVQFWKENQLAMTKIQQQMIIGDLVIIVHILPALFDISGSQFLIKCMVSLVQIHFMKYFD LPIVNPNPDYRLIQLNVKEVSGKFQAKLQKVENLEDDRYIIGVYEEQKFQQFFQKRPYFQ DDMQNTLSEIHTNLLQALISK >CAK82107 pep:novel supercontig:GCA_000165425.1:CT868429:312778:313858:1 gene:GSPATT00002236001 transcript:CAK82107 MSDDQLRALIQKNNLKIEQHQNRMMIKFPQTPQVRDSQQLSQMFMKSNNKSSSIDRSYFS CVPTRKSTKQSFFVPKSVATSQYLDSQAFQVYQPEDIQNTHIQEYVQQIQHSQMNISDNM DSSPMNSSILNQLKREKEIIQQQHQLLISQMSREIENNKRQYQKSEQSLQQQIIQLQNEL NTYKQYQTRSLDLEASLQQIIIENNEFKSKLQEQNKWLFELQEQFDLVHTKMIEIKQKIQ DTKHFSAQLSQIAQYLLNKQTPPLDFLVFMNNQSKQLQHEQQQETEFRNRKQQIKQQHFQ SNPFPIAKESFAQIYQFQIENSMVLNQIVKDLRNNVDRYSQQYIAEVGLHLI >CAK82108 pep:novel supercontig:GCA_000165425.1:CT868429:313976:315460:-1 gene:GSPATT00002237001 transcript:CAK82108 MPILILICLLDACLSQKKDQQYFEVTGLQNFDIKDIFPQNVSDKQKLTLTLTYKDGEVPI LIICEDQPKNNTLTNYETIQDYNCMSDINAYEQKFQIQSISLTKNVKSQAFQKHFNIYQI ENQNMFVGAYSKSQQKYLMSAILQSLYNCGKDCKNGGSCFYGICECLEGTFGDDCSIAAI DINDQVKLSPDKLYYLSLSSIGTTFQRILSNQIPLKQKYYAEKPYIEEGSLLITNLLQLN YDQIYNCRNLTKALQDEIKIKQDAYFTFKIYSQYDVNILINNNQDNGLQSIMMMIFIPLS VIFFLLFACCCVKFYKKKLELIQNEKKVEKDAETSILNLYLPTLKYSQAKEIIQEEISEQ ESYCSICLEIFTLENDVKMAYCKHIYHSQCLQLWMKKIKICPLCRAPLDEKTLSSMIPLK SQTLIEQISSKSQLGNNKQTIISLNSLSRLNGPNTQNAFQHLNYQRSLVLVE >CAK82109 pep:novel supercontig:GCA_000165425.1:CT868429:316117:318138:1 gene:GSPATT00002238001 transcript:CAK82109 MNENLGQEQILNSPQNLELNNNQEGSQKNEFLEQILKAKVNEDLIQCLIIQLNQAKMHCS ELIKENSKNEETHLKNIMQIIENSLERIKTSKNNLENDISSNSVSQESYFNQGTSISNQD NFLTNINYVSDLNKQIAFVSQAILQSPQEEEQYELQRGSEQFRHSEQYSDYYQMHNHQQQ QKQIQQIMSQEEDQQSPISHRINEELTESKLVKYRAIAKQIYNFNLELRELQVKVKEDYK QIFSDQIQQTAQYFDTLIDKYQDKKQELQQKENQELQQFYQTESVVKDDTKLNQLLTQYL NKIKDYFISVNLEVKGVKEQILKFVENQFHAFSEAAQKLLLSQSKITSTEKNKQIEQLKK NHQIEIKQKEQEIIDLNKKLNEAQEYLNLTAFNDNNICSKITQINRLLEQTSQSINQTTQ KLDYNNLEEMISQIRQINQTFTGETQDDIKNLKTELNKRDEKIQQLEAQKQQYENQIKNN NHSAILEKKIEDIQSKYNQQFNQTDQIYQKTTKIEEIREELRALNSYIQGEQDKLKNKYE EEKKKAIQTWLEGSFKLQDRSLLYIQLGLKIFQQYYNSHNQNGQKIKSQTDKLIKFHENY QKKEYFKLTDLQQIQQFYTNQEKELKALFDDSFNEYKNFIAEITKRRYQ >CAK82110 pep:novel supercontig:GCA_000165425.1:CT868429:318173:319840:1 gene:GSPATT00002239001 transcript:CAK82110 MMDIEISKEDILKPDNVELRTPIKSKQQQLVNQTKKNQTSPYQMHQIQEFILMWMEQIKK YNDDPDVILMMHQMNEVLQTSDQASSQKQFNYTPKQQNNDESSFNSNFKQDMLQKMNDRV MWVSEEIQNQVCQSPKTVEHGKYFNETCSEITQQVNKKELEFQNKNDDEFCKEFDQCKSK FSGSPLNLQSHKKSGKFDDANINQVLSFRKKQQKQIKEFKNSLTEMKKVVGYELQKEITI FKEDFLSEHSKFSKSYSELQDQVNTYQEMFSQSTLKIQKLEQIIQDLKQQLEAQQSDSNS EQFIFQLAGFIQSDKIENNNIEDAKTKIMEYIMTQSQQVQGLTKQLQMTQQNNQIMIQRL ENDNQLNKNRLGTEKQMLEFQIMTLNNTIQQQDRNYQQLNQELEQLRLCDQMATNQELVK KGYIQMKQQQQLIYIAATILQQFINSTVFQLNVAETLQEQIKQLKLNEEEVLKQITTQKE FQETTMDYFNNNQETIQHVIQILCTEYTKVINNIINQRIELQKQLC >CAK82111 pep:novel supercontig:GCA_000165425.1:CT868429:319846:321096:-1 gene:GSPATT00002240001 transcript:CAK82111 MKGGKQSKNKTIWDQVKNEEKDFYFNFLTKKYRNLQKKLKDIADLEELQKTKELKPEQIQ KIQNKDENNERIKELEAQVSNWLQAKKEAEQSGSIITQEAFILILEHLSENQDTLELLSQ DHQSLYELAKQLQNRVNNQQKKKDQQWKGLKLKHQQQQQEVHHHHHHHHHEEPTQQIQQQ HQETTQQPETVETHKEHPVQQEQYVLIKASPKKTFQEENHSQVHQPIDQLANQHNEQQQE QNHPQQEVPQQQQAPEEQQHDQRRNHQHPRDGHKHNHHDDQRKGYKKNYHNDRRNNNNGD NNKQYRGNRYHNKDRQQREEWQEKKEVQEHDQNNDHQDQNSSDEEYITIERRTKKPQYQP SRGANRHHDNRNRQQRNPTDNQGTVNVQQ >CAK82112 pep:novel supercontig:GCA_000165425.1:CT868429:322536:324150:1 gene:GSPATT00002241001 transcript:CAK82112 MQPYKRVCVQESKNPSSFSEQDEKIGIDYSNFIQQMEGPYHQLYEPIDKQCIGAGGQGCV IKVKCKLNNEIRAMKVIKKTSEDKNENFRKEFQNLKLLDHPNILKLYHSFEDDQRFYIIS ELCEGGTLAQYIDDHYPLKEAEVLKIMKQLISSINFAHKKNIVHRDIKPDNILIDDEVTT SIKLIDWGFSGMIQQQQKLSLKCGTIHFVAPEVMEESYDQKCDIWSCGVVLYILLCNDPP FQGTDSNEILFNIKNQSIEFRYQSWKQYSTLVKDLLKRMLEKNPELRPNAQQVLEDPWFE SHSSEQIPSQDFKESMIQFNGYTEDQDNSLKQSRFLQAIVLFIATELVHKDDKKVLNRIF RKIDKDNNGINDYMRQLGTISKEELKCALQQIYSKNQLDDKVDKIFDFLDVNQSGSLDFS EFVAATCKLSDVEEKIRVAFDVLDKNKDGCITLDELFKFIGREDYDNDCKEIFNQFDQNG DDKISFAEFSQAVQKYVNICKQIQY >CAK82113 pep:novel supercontig:GCA_000165425.1:CT868429:324226:328455:-1 gene:GSPATT00002242001 transcript:CAK82113 MNTKEVVENELLLIKDQSRKYPQLCKVLEANILELDTHTLLQQLLPSIVSSKNTKLISQG IGLIQKLQHLLMLTINDLDIIIEYFNNSKSQQDETIDIRLVSTLIHIIGPDVIDFSNLNH ILKVVNLLIYFSSSDNPIIAQSSIQGILTLSNVLEELLQNQQKNVILQSYFELLELFFNI FQDKEQSLINVSNNLILCKDIFIILLKCGKYLQSIEQFHSFYYETLYAYLKSIDLAQESN IMNKINIIRLIFNYILTIEDKFELITQLMNVYSKLQPLDQSKIAIHDGILCLYSNLSIFK NLLIRQPSGNVYNGIHQPSSYLDYNDLILKSIYQYCLFGIKEFQTQQSPKQNILINKLTA SYIDCNLQMLPNIFIQQIKTLIQLITDNILKFLQQDEYQKEGSGLLQEIKNQSRLLQSQL FNGEVDDQITEIQETESDDIKFRTLFDKLWRPLLRIMKQILKIANNQQYTSLLEYLQSWI QCSLQQDQISSFQLLIRFLAAQSAPLSLKYIESDKWLIACKVFEEILYNNANLLTAKTWN LIFQTLQRIEQVVTKSTEIKMTTEIFILSSQYHDSTVFQMVDGLNQLALQVSERLQISQK KNFDSIYKSFAIDKLLLIIKNNWQRIDLLWTIVDALYLCLCSSKVMEMRLNAINTYKDTI FSGIEYSKNNKFKWGENWIQYLLNPLAELILLPYEDVTENILSLLTILIKEHAKYLPQQA FAVFVSLIDTVLHDFLSKDLIQQEISQQEHKSLIFRTQLSIECMSDIIFNHIDNLDNQSI SKLVKLLVSLNKQDEFNYEITNKIICMTWRVQEKLVKLDIKDYELWQITLKLFKNCLDNQ DDLKYASIHISSQICSIAKDSQFTDLFALLEELIQHSMEYYLKVEQHQLSLIQQTPRFTQ LPMETPKFQGSIKQMIFDKSTSLQLFKQQFELVKLCISKIIDVIISKQQYPKFYYYLQLF NQAQCVYVKHEIVMACQRIIQSNQEKQLLIGSIDFLVTLINHEQTLDMEDIQYYLENRTF QIFCDVIKLTIPINHKKGLHALQLLFNFLCQDHVIQLLNDDNNVFKYYYKQCFSIDNLEE EVVAEWLHFINQQISQLGIIVKHHYFIEFLINSFEGIISKRQLNQNDLNEANKFVGVLNG FLNKCQRFMNDNSEKLQLILITKLKNIITIMIPFLDQNSIQQLFEPLISSQLTVSNKRTQ DWITFFINDAQYLNIEQQHVVVQLFTNYYINKLSASEMETKEMIIISLVGFLEMDNSIVE KFSLSIRVPLLNSIHDNLKVFLNEENASREKQFNIELMLDYLSRLDKNDLKEFYLTFVEM IKCEQLEIRTKLITLLGKYF >CAK82114 pep:novel supercontig:GCA_000165425.1:CT868429:328615:329114:-1 gene:GSPATT00002243001 transcript:CAK82114 MSQMEEEYCNLEDEVALLTCSRSPKSKKIKKSDSLSPRKNNGHWTKEEHEKYLQFLEDHA HLKKNNKIFKPMSEIIGTRSPSQCRSHHQKFNPLSPQVQRKSVKLIKINSDLKVQTQQQE ETNETIDDEKQIKNKVRLVMYDDEFAQEDNEFNLDDLI >CAK82115 pep:novel supercontig:GCA_000165425.1:CT868429:329131:330076:-1 gene:GSPATT00002244001 transcript:CAK82115 MSASKLMYSSPGPKNQSAISYAGDRDRALSPSRAKISQLSEKLSNLQHSIDEDQAFKKET FEQKVKVLEDKAIKQQQGDESKFKLLREQLQKVEEGAQNEKIIRESGDEKLRNKDLKLLE VNLGKELQAEKVNRKDYEQKITKTTDDRVYSLKLDLARQKKYREETEEKNAQEIGDRILQ LQEEVDEERRQREEGNQQVIKRLGDSILKLQEILTTEKKQRVSAQSQMFRMLDEMNSYLN GELNAEKNEREATEESIINLIDQTCNRVENSLRK >CAK82116 pep:novel supercontig:GCA_000165425.1:CT868429:330354:330787:-1 gene:GSPATT00002245001 transcript:CAK82116 MSKTIRSKNIAPNTEFCNEIANNQKLLKAKQKTLSKLKAELGNMNMIMPMANQRIVQKKI ENLKTQLEKQQENKLGVTQYGNLTNQNFQKQSPLVRLENIQQMRIKSGNRTTSEGDAVNV KQLLKNQNFLISKY >CAK82117 pep:novel supercontig:GCA_000165425.1:CT868429:330794:331871:-1 gene:GSPATT00002246001 transcript:CAK82117 MSQYDNPNNCLDGFIEQLNKCKPLTEMDVKWLCEKAKEILQDESNVQPVRAPVTICGDVH GQYHDLMELFKIGGNVPDTNYLFLGDYVDRGYYSVETVSLLLALKVRYKDRITILRGNHE SRQITQVYGFYEECVRKYGNANPWKYFTDLFDYLPITAVVENSIFGLHGGLSPDVTTLDE IRRLDRIQEVPHEGPLCDLLWSDPDDRNGFNTSPRGAGFTFGADISEKYNHTNGLTMIAR AHQLVMEGFQETHDKNCVTLFSAPNYCYRCGNQAAILEVDEHMNQNYLQFDPAPRRGEPH LTKKTPDYFL >CAK82118 pep:novel supercontig:GCA_000165425.1:CT868429:331958:334250:-1 gene:GSPATT00002247001 transcript:CAK82118 MKSKCNPQDKKLTVIINENNQNISFDLNSNNKPKYYISNTTCHKDNQKFFNCSNMSPMVV ETSTPRKRLVSGKRTQQKANCSHNNSNNKIQNRQKKSSSNQRTNLTVKTGSSIEALKELM GKSKCLKQVGYFKQLLQINTDKFKNAKEIQFIETKKSNSSEFGFDNRFNKHFQQLNQQGV KTTTNKSVSKDRTSLQKVFSKIMDQEKSTSPIKKVDWSKIKLPLTPKDCIQQFGQHLSEF EKQEIHGFSRIYCIGLKAKKIDQKLSNYNDGFDDSKGEYLYSPNDHIGYRYEILEIVGKG SFGQAFKVFDHKRQQVQCLKIIRNKKKFTNQALVELNILTYVKEKDEENVTNIVKIKDFV IFRNHVCISFEFLSINLYQLIKNNNFQSLSLELIRRFAIQILNALNFLSKHKIIHCDLKP ENILLKQANKSGIKIIDFGSSCFENQKIYSYIQSRYYRAPEVMFGIPYDTSIDMWSFGCI MAELYLGFPIFPGDDEQEQIAYILEILGMPDNELLQIAQRRKVFFADSLPFQPLCMQNKS GKLRIPGSKTLSEVLKCNDHNFIDFLKQCLVWNPKNRITPIDALMHVWILEGLPSQIRVQ HVQYLESQQQYLELNDGKQETNLKQGQQGQQKLQNHHISEPEMNQENKVKPNQIRTISRE KELLINVSQNPTPNNKKMSQSFHSSKNSNKQQMNFIQQLPGTTKYANKKTHYFY >CAK82119 pep:novel supercontig:GCA_000165425.1:CT868429:334462:335850:-1 gene:GSPATT00002248001 transcript:CAK82119 MLSTKNQIHRKTISNAKSNETHKKSCNQYQLRQSNIQIAQQLSCLQMLKILVSGSSKSLN KNTLKTRIRTEGNEKQFKAHTSASPTKDKDQEQSASPPQRNTNSQVDVITNLLNSNMTHT EYKRISRDQRNQSADFQQLLAQQQQKQENRLLKNNVKKKFNSYGNLNDQVYFSQRGGLTE CQSADQLVQNNPQQKLMNSKGSYSMKHFPSPMNIYSQQITLQEFKNKLSNLSKDIKQNKN QVEEELQKTLKKQQHLCDQYDQEDSQKQFRQEISQRSKSVDSSSSRSRLENSKYSKDFQN QHKVNHQQNNAQGDFIIKTQSYLNQKKLESKIDQLVFQVQILKKKSEELEQQNRFLFDNL TKFQKESDCNADVQRYHQIQQERNLLMNKLDQMIGMQKRQEENLNFFKQIFAKGYDQSRR IKTEQQQQQKEEDNQKINQKTEILSKQRPFVKSAYQGLDFNV >CAK82120 pep:novel supercontig:GCA_000165425.1:CT868429:336296:337757:1 gene:GSPATT00002249001 transcript:CAK82120 MIKFGLFHLRNPFIHRINFSSSTAKAKQRVLTKQQEQFVNELKNTYKSLADNLQSEESVL NLFKKDSEEEKQEQKGSASEKAIIAQYMNILNYSFGQYYQSLSPEEQLKRSKDLIKSDVL KKDWDTFLKENRDYQDYSLFDKNLLAIKYSHKDYDPRDAKYKNQLNFYGDLDENVYERQQ KENIYSFIYQNPENKKVARKMPERRKIRLAFRFRKARRAMRARELISRKIKDNQRWLLNK EKISKRRRRKIVTAPKLSFRAKFQLFGLFNEGWTVRDLSIKYGIMPYRVKAIIYQKRYFF DEVFPHLPFEYVRDLIAIELYFEKQFGAVDYGVDLQQMRKAESGYLQTNFKTPDRNVDIA KLSETEQERIKKLFEEKKSKKYDIVTEKFQGQGNKGYYLKSWIMHKTRSRHSVNRIFERI IKDSDKPFKLPVTAQARLKLGPRIASAQFGHK >CAK82121 pep:novel supercontig:GCA_000165425.1:CT868429:337789:338118:1 gene:GSPATT00002250001 transcript:CAK82121 MLTYTDFCLKLATIVKEEKIESLKVQELVNYTLDLLESTQSPVDTYVYFVIYQKEEVISK ELRFYKSECQYLSQLRTTLQLQVKQAITNPIIRSKRNKCTSY >CAK82122 pep:novel supercontig:GCA_000165425.1:CT868429:338283:339007:1 gene:GSPATT00002251001 transcript:CAK82122 MSRQPILPITQKQSQLAPHLTIEIKNIRTPLMESQAPKNLKELFDQCVAQLYRENKIKGN FQKQNKQNCNRDHQGQFLLTQESNDISFSKLDIKTLTERFFLNPHFIKMVEAQIFDIKCC NFKACIYNQRQIQQQIDSGLYKEEIQQTQLPKRSLTFRQDNRSKSVGKNEDYKLQYQYLY QEIQRLEKEIVSTSTNRFTVQELKLQLREAKEKLVEIQSKILN >CAK82123 pep:novel supercontig:GCA_000165425.1:CT868429:339021:341506:-1 gene:GSPATT00002252001 transcript:CAK82123 MSKHLQKFSSFQSFQKEQQASNRLLYVYRNSVYDLTDFADRHPGGRAALNIYKGRDLENI FFNSSIHKHSTHALQVLQQYKCGIIEIKQQSSIFNSKSTNFTTSFVSKKPQIQNDTKTQP IKKTPLKSQSVKPKPSHNEDRLNQSMNNLNQSMTSSVKSHFLHIPSQMEIIQLQKQKQKQ LIQTWKQTEIDELKRQQAEVLKLQQEKNQMKDDRKVQSEIISTQKKSISPFYQAWLDKKK NQLNETSTNNILPSYLTQSNRQDDESTFQNDTQNYQQKLSQSVIIQKQQKKVNPYYEEWK RKQAQKEHQNIQEQLQQSIIMEENESQLSGQPSSVNNSFQRSFSLISQRTIVQEDPHLYV PVFSPKFISPLKAKFYHPISNLNLKQILSNNNQMQNPIFNAAKAALTKQLKIEDPILMYK HFLKSPFLCSNEMLKKETHQELFNKIIFLGGFHERRNCGVIIKEMIANCFRQYRNFEPAL DISNLVQLFLTLSNVLLYDDKLICFILNQLKSKQDQIQIKQLQSISHCLYKLGIKDQFWP ELMHKNVIICSQVFGIQDLVQHIHIASNQVLLSDFQEEKLFQLLEILQNKFMSSKKHIYL SKFQYQFTQLMFNLYPELIMQKYDYPQCNKDNKYRAFFDYFCKDYQICRMLLDRKENNMT VDYFENDNFPNLIRTKDDVIEKKISSFESNIEQLIKKLNLKYKYQQKVAIYEIDFFVEDK FLINCNGPTHFIQNLDKEVLRKSPNWLMQQRHLKQLDNYQIIDLDFQIWDQYDTSDKYTK EFKKLLNLM >CAK82124 pep:novel supercontig:GCA_000165425.1:CT868429:342220:343418:1 gene:GSPATT00002253001 transcript:CAK82124 MEIYYLLIYKEMDYNKINNTSSNIFNESSQFDQSRQEDVVNFLREPKASKKLSYQYPVVE EASSYWDRLLAGRLDSISLIPTTKCAVFTLFLISFYMGLFGLILFGVSTNIVEIRIPYGE ECDQQSFCNITFFVDELMATPVYVYYELSNFYSNDLNFIKSINKDQLMGYDIDQEKYCPN AYLQSQMIRQNISASGHHLYFDKANPCGLAAKYIFNDTFYIMNTDKLTINVTNLLLPMYK KQFKRHEYYFKQWLDVENEQVESWFIPQVHSSRFILYGIINGNLNQGSYKFYVNNQYPIS VFGGEKTLILQSASELGTKGLTIGLVLLGGSGLSALSSLMLFMLKRSKSKTQVQQEQI >CAK82125 pep:novel supercontig:GCA_000165425.1:CT868429:343456:343863:-1 gene:GSPATT00002254001 transcript:CAK82125 MKIIFVLLLIGLLTNLSQTKSVAKMKECLTYVLGYNQQCYQQYQDCLLKDICLGLYVQGK TLCLDDFKYDTDQYLGECVSTQYAKLQNDDAKLLVDCLQEQCDILNNHAPLQHLSRILIL IYIFTQ >CAK82126 pep:novel supercontig:GCA_000165425.1:CT868429:343883:344889:-1 gene:GSPATT00002255001 transcript:CAK82126 MITKIAILVSALLIAYNQLQSTSQQLQEQPVIGIFTQPSTFSEYGRENYTYIAASYVKFL ESGGARVIPIPYEANYTTLDEIFKNINGILIPGGSTGLKGPSFYTQRVAYLVNKALKINK EGGWFPIIGICLGHEVMHYILSNYSESFLIDVKGNDKVTRPVNINYRQAYFYSQMNEELY KATLNENLAYYHHIHAVSPSLYEIAPVLQQYLRITSTQTDEDGQLFITSTDGINMPFYSF QYHPEKNPFEWTIPANHSVHAIQFSRIHSYQFIQSCRMNSNKFSLDLNKLIFNYNPIQPI NQNYNQVYIFQRLQAMDDAEFQEQS >CAK82127 pep:novel supercontig:GCA_000165425.1:CT868429:345029:347788:1 gene:GSPATT00002256001 transcript:CAK82127 MRNLDEQLLEETSRKPSILFTKLEKVQVSHEPSESGQSNESQDMPQRFEQGFKNQIWKER ALNVIVLVARFVTYLLTNSDKFKLRYLELRQFKVIGDQASDYNYYLTRRLIRAKSKQHLC VIFYEFHLKLFFKKIVYIFRRIGISISPITPDHTVKLLWDLFVFTILIINIIYIPLKISF DIQGSNDGIDFFLETLPQYVFICEILLNFNVAYYSRGILVLNQVQIIKHYLKGKFITDFI VLIPFLIGRSNVPYIEFVLLLRVSRIMFIFENLVETLNLRVNFAAVIDLISLLATFLFAS HLIACVWHFIAVQEHLYHNSIYTWADKAQLDSDWVSRYITSFYWACITTLTIGYGDITPV TQVEKLFVIFVTLLSSIIFGYTISSIGAIFTQISENKNYLRDKMTMIDSFIKRRGLNKEL QVRVKKFFEYYLKTQKNTDFECEKLMEHLSGTLIREVKIDFYKSLFFQSKLFRQNFSDEF ISNLCLLVKEQSFVPEEVIFQEGQQVDRMYFILKGEVEANVRHNKLVKIYKRRQAIDEKG FISQNCAQFTSRAVKFSKLAYVTFEDVLSLLQLNKEDLEKYYRIKHQIQFGGRIKFSGCE LCYQNHIFTKCPFVFYTPNNMRLYKKKHNQDQQNRLFQQRRISQKLFTHKSIINLRLLQK NVLEYGNSTFLYSDLLPDCDFFQINKNDEINCYGDGQSISEESLVSPDNSGTQFKHQFSS QSQQGNYMAQSIQKNLNRIQQEPGISSMRVKITKSTKKQTVNTSNQKIACDGEDLKEPTQ DLKFILKRQNSSRQLFDQQLKPNKGINLEPTQIQLIEMEIVKNIFEEHEHQIDSIKEFEF YDIKFNLSNIIKDLFTKKRKKMMFQISRKRQKKQLFCVLNKKDQNQNAINNSFCQADS >CAK82128 pep:novel supercontig:GCA_000165425.1:CT868429:347937:349076:-1 gene:GSPATT00002257001 transcript:CAK82128 MDNAEGIITEIFKNEIQVKRIKKEIQSLAQLKNEDFKITLKTLSPNLQIIVEMPPIHQLN SKKPIIFALYLDSRFPFVFPKVHILSQVTKPTLSDGRDYLENIISGPWSPSILLYEIVKM FPPFLEAIINNRNNKDYLLQLGKYQENSEFDLNIGLENVEYLQCKQIINGKQFPRTILIS DSHLLNLEYQNKESILLNYYSIANLQKIERVQKNLLLNWQMNDGSLIVQTLTSANLDQLQ NTITSYKLGQNVKKFNQDDVTLQKFETIQINDLLQQMSLNEIELSKNLNKNSLNNLMSSY QQAIEYYSAFSDEDYKQYVTRLQTLLGREDVQTILASSAK >CAK82129 pep:novel supercontig:GCA_000165425.1:CT868429:349331:350267:1 gene:GSPATT00002258001 transcript:CAK82129 MNQKRQHQEFIEKLPWDDYMHHIPIVGASLTIISMIFEYNIVVVTNLLTSGLWIYYLERS KRDMLKYYKSEMQDIVGKIMETEPRVQVEIKKFNSIQRNTQAYFQLFIFTFIFQILALYI AYSGVLTYKNPLDLACYSLLCLFIFLSNRHFESRYQSEYNRELMLQIRVLQGGLIVLSIV MSRYAIQKMDDFYNQFVAFGLLLCSALFSSYTFHRYGKTSKKSFTLLLVGAVFLFLSDAL NIVLKVQRKELFELTASANVLYHVGYYFLVWSLFLHAKAYNDHFLLLLRMKMNI >CAK82130 pep:novel supercontig:GCA_000165425.1:CT868429:350292:350776:1 gene:GSPATT00002259001 transcript:CAK82130 MVVKSLAHKKIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKSGY RSDKKTRYLDQSGFRKLLITNEKDLELLLTNNRTFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKVRAEEKKE >CAK82131 pep:novel supercontig:GCA_000165425.1:CT868429:350886:352547:-1 gene:GSPATT00002260001 transcript:CAK82131 MLNKYFTFYKVCREKTKLYKFIKNVYVSKIQQVEESLLYANDLQYTISTLENIKLIQAAN TTQIVNISRQIFHNYNISKLDSPQSAVDLIQVLVQNISAKDVRLYREIYKFYKKHAQYFT HQQVETLQKCYYHLARQIEFNDLIQIDEKTSVAKHTEKFNYIQSDIYQLKQQEDWSIMIK EDPQSQTSSAILTHKNGIVLRIQGVFQNNYESIIDTQKLISEKKPDIIILNMAPIAINEN KKDNELDIQKTVDSYNEPEKVKGEEKDEEVKEKKEEEKEYEDEETKKIEQVFVTNFDPLI EELTKKFQVNDQKKIITGYQQGFQVPFTMESLLYQFSQQKRDQKQPIILLGGLSFEDQIK MYLQGIPIGKMAQELSSLRFQWLNQLLANFSDIQQTGCILCNSQPNGVIPPSKILNESPK MKKIQADFLGELTNKYIGSSGKKQFLVIVQQQLFLETLRSVAQRNIEKNDVDIDIYRQEY LEKKQGKKVSNKKHYKVFQQFYEDALNFDKTYKYCSQEMLDLNKFSSVKYKKGLDLRCGI RDILF >CAK82132 pep:novel supercontig:GCA_000165425.1:CT868429:352669:353854:-1 gene:GSPATT00002261001 transcript:CAK82132 MSKEVLELQEALEYQVDVVLRLEDERLLLQKENNLQKQCGYLNLREKLKELNTMLQKYLG QQFLQIEKHTQTELQERQHFEVQTQEDEIISDYSLSNSTVSVVNQTSLSQQQGQSNSSKL LDQAKSLVKQSASRLPVKKLKFCGDNYKENKDKDNQEKKETKPTNTKQVDSQSEALTREI VNLTKQLQQLKLQQRSSQETIKKYEKETGEVVKLLEVKNEMLNKLRNENAEMAIIINQDK FKSVRQLDLELKKERDDKVKYQAMASQKTEENQRLVEELKQFREVLSKLTDEFERYRNTH NQSNISQSKIEPVDTSFYQEEIKIKVSTINELKLQNDQLQEEVDYFRNLSLQQKKITEKQ EEDLDFYREILIKHKIIKQ >CAK82133 pep:novel supercontig:GCA_000165425.1:CT868429:354051:354641:1 gene:GSPATT00002262001 transcript:CAK82133 MAKQFYLIFSDKKIKLKRKVYILGRSKAQASIVIKNESISRQHAKLIVGKSSITIQDLGS VNGTEINDRAIKQNQLVLLREGMRIKLGEYEQQLEIQFETIDSDIELSRSRSRSNSNQKT QQSKGNQELQKKQKIWNMGGLSKENQDKLKKLMGAKNESDDDEQEKQKEEIEKRNKELEL QYKRAMQRQKQKGIGL >CAK82134 pep:novel supercontig:GCA_000165425.1:CT868429:354675:356792:-1 gene:GSPATT00002263001 transcript:CAK82134 MYCADNQISCTIIYALNIFGLLLVLGILFKSRESYLKEWKRIRQVIKDYSIDYRSAGNFP IFAKFLGVLIGFCILIIASIISIILWDSSFGLMEVSNVFLWTTCAFLFYIDYRSIFKFSK QLRLALAIILIIQFTSGLVFGIERNLEKENKGIDDLTVEASVYLCNFIIVLVINIISLFF PKFEYQKINKNSDDNNFISINNLSHHLISDDSFSLQTQKQNPQQNSSSQRQSISKNPKRN QSFIDQLDEFKKDDGSQNSLGSSSIQKLKQEQSGLIDLTYGLKKEEEAIQKEKEQKELMS QIFDMHKQLDEKLNKFKQDSEVNQQEQQQSPVKDKEQKNSNQELTELSNQLNTQKQPEAN VNSKYEIQSIKIEGFEEIKKQGKRFIYFKIFYFSNSKQRQVRTEHNLKEFQQLRYALSQI YVNHSFPEIPEKKPNERLTGKDVASRGEALEKFLQFIVQHQMQCPTLDKFLEETSGPVLI EDEKETNIDQVLKDKSFDDFLEIRKASITSKQSYLDKDFNEDSLDPKSRLNSSSYDQTSY FSRNPDRYQSSIQPGMGNGHKFIIKEVKTLQQITYYKIEGSINNQTVATVQRRYNDFKEL YKKLLERQYQIPVLPNEPIQKQQDVIAYRQEALAQFLNALYQNKSVKTNMVFKEFVGI >CAK82135 pep:novel supercontig:GCA_000165425.1:CT868429:356821:357565:-1 gene:GSPATT00002264001 transcript:CAK82135 MGQSSITVIDPNQNYNYSRQNTTQEVEEQIKRAFKQASPQGRLTRDKFNEALGIFESIQL KRLRDTPLADRLFQLLDRVRCKYRLQGEEGYITEREYLEGITTLINNKDKRITYSFQMID KNKDNKIDFQEFYDFVKDSWLSAFRLLGEKVCSGQNQYQLTQSKINAWAQGQLNKLYTQV QEIFMKFAQQSNSMDPLVFKQWVLSNEFGFIKAELGNESVQIPLHLYRLEEK >CAK82136 pep:novel supercontig:GCA_000165425.1:CT868429:358827:359187:1 gene:GSPATT00002265001 transcript:CAK82136 MLKVLILTIAFTSVICTGSYEAIDVDEYFSEQRNDEIYAAARLKLDQTIPQDFQFLKVES IERQIVAGFNYKFFVTFKNEQSIHLYVVRIYQDLQNNLQVYEPELINQKEYL >CAK82137 pep:novel supercontig:GCA_000165425.1:CT868429:359216:363125:-1 gene:GSPATT00002266001 transcript:CAK82137 MQCRKVEPNVIMNSARLMQRPIETNKLEEEIVNVMKSPEKKSPHNGKCLKRTTSGPIINL QQQIIIQQGEPIKDTQVELKSKSQIKKKKKKKKTQKKKKVQLQDKQESEQRVQQLLKSNL RKHQKDKQEQQVQEEIQKQRVASLNEMRKIMDQQIRQVNQQRFSRQKSPPSSVLPWGIDQ NKLKQYWTKMLEIHDKLEKPIVQSKTSTEQAIKEKIRRHKLGLDFLNSKPEKDIQKMIQK QKSKQVLESISSPLKNKNNCEHKEVEQDVKLYMMYKKELWRQQKIEEKQLQKLKKEKVLQ NLQILNQEAKQFAKSRNASIKSNLTVQLNSQHVEPQSQHYRTSEPPNFNKLEKEYKELMR SLRQSSYSSTQSHTRKNSQLTFIEWLSNLPESYLQEQLMQMQVINEQMNSQGFQYKLSEQ ELYQFLEQFLKEKFEQQSKQTIKERFQELQGRFQLVTNQQQSQSQQQQYQQYQQQQSLSQ QQNYTHHSISSSKSKKQIQEQPDTALQDELFDKISEEQLELIQNIAATMIQKVWRGFKTR QLEEAQKQDKGVNNSEQVLFRDLLKQDDQQSINQATESNRKQNNYEYLQNDSAISHQQGY APPPSESIQQSSPHQSSPKGNNNIPHLNLDQFSQQQLSSAQKSIKNNQNLPQSQSACQSQ SENLESEIEQIQDSTPKKSRLNQNGSNQDPDYYIQVLKSREEALQQKFDKQVALLDKMLE KKKVTNEMFSENKDKLERKYKRERAKLQQSKNEVERLQQMFKETIKSTQKDQQFMEKIKM QCDEENLSIRQIFSLRSETEQSDCDIEKKAIPHYGLLQKIKNDHFRKYQKNRKQVRSFDQ QMSLDEISMKNEEIQTSTLFNIHTESMSHSIHKSQQITSNNQKSIPSQEIAQVEAIEILE NRDPQPNYRKSIIPFDDRKIDSVTENIVQNIIVEFAEELNNFPLQSLGVLMEWDEESQIE YQFPPGFPTTLNFIKDYLVSFGEFIQKHHLSAFIQQINTPLGISPQDLLKTISLSDMQQN NLDDSTSGPLYPLIKYIHQMEPLIDEDIWSKFNQSYSLKLKYFNDLNISDQFKELEMFHL RMVYEAFNEAINFVRPYGIRGQPYPWKSNPLKVYQNLTTPETIDRAMGFAIAKMLKWGSF LCGFIPEKIETPQGEIIVIEDDYLNQIKEDRLQQMLEYEIQESEEKWLNYDEEQAEVAVE LADIVFEAMLDEVAEEIFRASSRQAISKKQFQY >CAK82138 pep:novel supercontig:GCA_000165425.1:CT868429:363221:368358:-1 gene:GSPATT00002267001 transcript:CAK82138 MQKIKNNLSKQLNDESVCFDQSYSFILFVRMLQFLQYLAITLQEINNNNQKKDGHFSIFF QILKLSILDIDLLPDYIGYITTFFNFIVFLLFMLQIVYLKRTFRNLMKILNGYLALLPQI MCFIFVSSTFRSFLLNSKTHTVIYIWAIFDVSLIFSLSLMSCILFRNINFNQSTYTRDQS IMKIVSQIFQFATQILYFYHDQEEILILKQLSALMWIGLEQIDIYLYMPYSFYDSKLIFT LNQIKFGCNLINLILNNLSLIIETTYSQYIYYFIFSSICFYLGETCYQRLFYAKGLDTFT KSSEKDQHLQIKVLRQFQVQAESQLTQTEQLLQIGMVNQHIIHCGRKCQSLDSSDVIRCI VNYQVQTKEDEHLFLFKVRYLGFQNKQYSQSLARLKHYQIKYKPELSWYFNLIQIDISNK LRQEIMKQQQSQKVFTQDTQSANLTSENLVHLDIQSDKLILNLRKTLSAKLDIWRKQLKG FKTIRQLQLELIKLADELEKLRRKFKLIINRDVYEVNISQVNNLYHLRMLSLYYSIIMND QLHSFLCEKQYYLIYCYEQTLQQDQLNSIALVHDKVAIVTVSLVKSLGTILNSNKQQLGQ IFNYKKVEEFQNIFHLNQLMPDFIASKHNQMLSNFLIKGDSPFFQEFRMIFGKSQENFIF PLQLKLANDFSYEDDYVIKGIFFSGGKRYDYILFDQNGRVLGITKQIYYDLFTDREESQM DLKSLYGFCYLYYFIPDLFEIAKKCYQRQKDQSENVNLQEDAIFITQTDIKEFHENFQQQ LKYHLRDLYSIWNLKKKQSFSNRQSTSSNTKEMNQSIDINLLNEEYAKFASEFVNQNITQ QKTSFLRFTLYYQKGNTDGFFIMQISDYRKHDLGEIATELFALQDVDSTMKKSTLRHLKD FIVSKRRYGDQRALQSVFTKRKMYSKQLVSMNQSEILMTQQLSSTNFTPKACFIKPEIAL NSEMESVQEVRNSSSEESQFLDEFMSEEDSKKQNQRREKKIKSFSKTNNFSDPEQNPNKS QNSSSLSKVSNNQSYFFQIVFKQKSQLPKNILILWCIVYCINLISIGGFGFTNYHLITNY RESQLQAQQFMGPLRFNRYFCKTLSLSWIFILNDYDILNNSQYSISQSLYQTSLLNQFVF ANLTQLYPIFIQMESDGSLSNINLKYSADNFEEVEFTRLLYFMEEVVHYLVQINTYDYSN YKEYINRQYIDKMFLIEQNLPEIIDIDSNLLSQLKENSTSLQQFESTIFVIEHLIHTAII FLVMLVQLIQWNNIEQQNRNLALLVGRIKDSEIETEILRAHTVYIALSVQGGEDSWKRFN YYNFGFQQDVTYYQPKEKITISQKLQLMQTNQKNTRNFQTKINLFSHLLKILVFVSIYQI YLWGGYAIFNDQQNRLLPLSNLLNDFALFSSKLDNLASAALIIKTKPMLFEKLKSLNIYQ ETELIDEGVILQLFDEFYADINLSFVKLYENIIKDLSLEYSQEEIQGLLSSDICIYLSDY FPFCQLKATQNTAEFIQKYGNYVDQDDNSEYFAHGILTLVTTIQQFYQQNFANEISNGQY VNNTQEIHQLINSSEFNAIVLSHFRDTYYCFIRFLEIVDGNIALIKEKHLDVLLLYYDII IVVYLIFFHIFYSIWINQNVKEMRNIKLALIAIPHFQLTSDPVINILKRYQ >CAK82139 pep:novel supercontig:GCA_000165425.1:CT868429:368541:370457:1 gene:GSPATT00002268001 transcript:CAK82139 MKTKEIRKREDILIKLKIKYTNIEDLNNQVFEEFFFNKLKEFCFSTRNYELCPMIQQTIK RNPFQQQLNYFKEVHDQKIIKRETKIQYFQDKLIQLLKSNRVVPKILTSIKVKDNSIKLK NRNPPLLDDIHKAYENDEYIVDEINQDMQEKDYDDLPIDKLILLSLKKLEQNIDKYCSPN FLCYQEVAQIKDTYQKMGSIDLKSNKNYEEIYITYGKFVNKLNQIVTGFDNEDFFRVVGP LKNIILRKIGKIVLSELVKSQIALPKILTQISLLHDNEQSNFGQKRAFEIRFTHDFLDQI LTMPEVKDFIEVFNFPYLQRCTTWRAIKNYYFQVIATNFKEQENQTENEILSFQLQEIYE NIHVHSEELRKLSRFSTVAKMFIQVEQRLNYLVDKKVIIDDYDEETKEFLLIAYQLLKIK AAINAKVNKQNGDIILDEPIKKKKPYQKREIKDKKDLDKINQKYRTMTLMLANQRRKISY LLKYFIQTLNSGNQPYPFILGIMEWKRFNIRATINQQSLDFLVMDAVFMKFMHDEYKIDI SSGTSQERIDKIINEPYSEYVKKTDSQTLGSWTQLLAKQARLVNEQKELISLQIRKILNS NNFNKEVDNLVQSGQTSTIYTQLISDLIKIREFVNQLY >CAK82140 pep:novel supercontig:GCA_000165425.1:CT868429:370566:372534:1 gene:GSPATT00002269001 transcript:CAK82140 MIGKKEIQISNATRDRVEACRLYIERKYAKQIQEEKQQFEGWQQLSKLMDALHMNPKEQE IIKKEILKKEAEQMRKKRTKLSIEDFQPLAIIGRGAFGEVRLCRHVPSQQIVAVKKMKKH EMIFKNQIGHVTNERKVLEEAKGNNWIVEMKCSFQDEKNLYLVMEYLPGGDLMTLLMKKD ILQEAEARFYMAELVQAVAAVHKLGFIHRDLKPDNILLDNNGHIKLSDFGLCKDADLHFD KPVFAQKFKSKQTRREKAFSTVGTPDYIAPEVFLQQGYDGSVDWWSVGVILYEMLVGYPP FYTDDPSTTCQNIIRFQQCFAFPEEPKVSQLAKDLISKLVCDANTRLTYDQIIRHPWFGG VSILKIRDMKAPYIPTIRSELDTSNFDKYEEEEPWINKGQKSVKKEMTFVGYTYKQEDFE EKRPIYKALEELELSRPSNSRGNTKTTNSPFQSPNLQFKSQLNNKTPLTQQIKTVSATQS PFTKQQTVSPMPLSKNQNYQKSFLNNRLQTEQNESFHTNNQQQNLITQGNLGNFNNENTN PNTNVQNIKNFIFSKIQQHTNNQPQSINVGNIQKTVQFNELQKLVQNQKLRPDKPDQEQT KVFSNTNNNISLLKQNIINQFRAVSPLTKR >CAK82141 pep:novel supercontig:GCA_000165425.1:CT868429:372708:373910:1 gene:GSPATT00002270001 transcript:CAK82141 MQELTELKNLVIQSLEANGSLAKIRAQIRASVFNVVDQQEGNNKKPSPFFWENNKAQTIY ELGCGRDMLELIKEFLLFFEMHYTTSIFSSESNLREDINRDQIAKKLNIDATDSTKPLLY FLLKNRQPEKKSEEKQQQQKIAQPSPKEIQIQQQQQQQQQQQQQQKLQDQKVQEQKRQDE IKKQEELKKQEEQRKHEEYRKQDELRRQQQQQEEQKKLEEQKKEQQRKQQEDQRKMEELK KQQEQQKLEQQKQEQQRQEQQKQDQQRKEQEKVKEQQKQQELLKQQQEREKQEAEQRKGQ AKKFEHKNYEDEKFDNDDMEEQLEGDDLRDSQLQQDQFQDSDEYMQQSQSQGIDMTIDSA ALEEFDYYEDIEDMD >CAK82142 pep:novel supercontig:GCA_000165425.1:CT868429:375242:379715:1 gene:GSPATT00002271001 transcript:CAK82142 MKNYYQNCKFMRFTFLNLVQFLSLQMRSNMKFVKLFVGIEFTQNIALSLPKHGWGQLQYE DKMLKAISQFTNYTLFLDQADSQYSFDVFIIFFSFLSYIALLFSVMCHQKDAIRYCINYT LTILNKVLKLPLIAIYIEFLFYHSENVGYFVIGCFTFAIFLVLLGLITYFQRDIQCNFNR LNVPIYHFYSPVLFIIHLLDIFRVLVFTILKTNTGQIVFQLLTIMRMILKQHQNIFEQND QDKSNQSLILCNLFISIFLLVGISTQINETSIFNILLYSSTLIYHLFTQINFAQIFYSSS LQYLIHQKMVEKKIFVCALSCNLDNNYIQNLFEICLQDEINNYTSNLISPKYQSIFQKED NILILFDFLVKHSQRYYLALNLLYKFESTQTNQSYFYKQISAFLKYKVQKEMVQFNQFTK TIENLNMNKLIEAEYEKKTLEVFQKQIEFLKYLIQGAQSFNEIEKHIIGISETIQGIKLW LKKNNIYKNRDNSLFLKISINFNIQIMQNLIQGIKLNKILNQLLTTDSKTISYTEISKED SIIVPVSIINKRGSILNSNSQTNQFFGFSSKNTKLETIEQLMPKAIKSIHNEFLEGFINR VHILSNSQQSQLQVLQKQENEYIQCLQIHFILSNDFSDFILLSLIKKVNKNKVFIVFDNS GQITGMSQHLKNYWPEIFQQMQFYNLIQYYCPKLFELLSSQNSSQIFDINFIQRSKIQKI DMSFKSFIRQETNLLKSSYYVDNIYSERSDQMQLLNSKYQNIEQDTLNFNILDVFYQNYL NSIMNIHATESDVIFPAKGLIKKVQLKDEIIQYQLELHFNEISSKNEKVETISDSKRKME PQKTKSLIEGSEFGTELKAAFLLHQVLLKQTSSVPFIKIYFYKFIVILLIIIFLILQIYQ SQNDGQTKIKLTQYIYAPQLMAKVYNDAFQLGFHKLIFQNLETSQFLQNAFITQLDYKLS QIREEYDFLHTELIKIDAIKHEISYSLDILQSRIQLTSIATFSEKIRENMIPLITYSADQ IQFIKSILFFRMNIVQAYNISIDILDTFSIQLATYQTNIMEFWNSILAVQLILFIIPFLI NINNWFKFEKRQKCIIQIITKINEDQAYQLIDQKLCFIKSAIQEKGNMINTLKQSLLSLK TSNLKDFIPQSQNSIKQRQTQTLYEKISNKQISIIPKLSVSIISYLLIAFLVGFGYLQTK LSDQTYQPIEQLIKTYVKFQAQLSYLISFASIMKGQQELLNIIQDIHDAELGNINTLFQD DQVPQSFHNLSQTYSKKVITLFSTIILTNQIDQKDKEILYDLYQGDFCNYLQESIKFCNT NLTQSKFEKEYGQFYGYENNSDVLRTGIIGFISKLDSLLKNNFDLEISKSIYDQNPSGPF YYFKDYNNLIVQFPLNISEGFNQFYEEVNYISSNLITKTMDSLFIFYICFGIFILVIYLI ISSIQIRYSQRRYKRCILGLVMLSEDLLNDKTSLYLLKKLMN >CAK82143 pep:novel supercontig:GCA_000165425.1:CT868429:379877:381542:-1 gene:GSPATT00002272001 transcript:CAK82143 MQIEVPVVYQFECFRKGKNGNKKYLGILRQDRLDLCKVSFVEDIDILGQSSKFQVFFANL TLNAHKVGSGGGQFRWEEALQNSLIQISISAQIYSNIHQVKKINENQVAKITTFCSDDKT LAVLKEHLRNKIIFQRIQDFYTPLQTLGKGASSRVLLVRHKNTENYYAAKCVDKSYINET ENGLESMFQEIAINNELDHPSFIKLHAVYEGDNTFYMVMDLLEGKSLHEELNNHKNGFPE DTVRNIMWQILTGIEYMHEKQIMHRDLKPENIMLLRKDDLNSLKIVDFGLATYCNANKYL FPKCGTPGYVAPEIANLVDKTLKYDKVCDIFSAGVIFFKLITGKDLFPGVGFNLVLKLNK QCKIDLTPLQMKKIDPQLNNLIQKMLEKEPTQRITSSQCLQDPFFIPCYQESGIQGPAKL TTFQKKQMFSTCGKAFTTEFQNENQKGSPQDRIENKGSFVTQENTYRPIQQNQQKIIQKF NTTEFDHIDPTQSPSMDNVKKRFQKGDPIEEEDEK >CAK82144 pep:novel supercontig:GCA_000165425.1:CT868429:381592:382361:1 gene:GSPATT00002273001 transcript:CAK82144 MILCESRRRQRRNGVHAESEQEYIQKLAKEDQSRQMSLEHQQPFPQLEGFIINQDFSFDP FHSEPIILLEKITIYKSHFLLLICEDFSMNIKKLQSILDSSEMSDNLTESTTEEQTYSGQ LVFKQDCYVHTLTFGNIIHKFHIWILNHLNPLEIFKELYYPLFNAFVIVTNEGHSSKQNL FQAEFTRMRQFNQAVKCHTISDSDDLLLEKQNSLLSILKSLIE >CAK82145 pep:novel supercontig:GCA_000165425.1:CT868429:382888:384702:-1 gene:GSPATT00002274001 transcript:CAK82145 MFSIQIGLITALFFQNSIQTNRIRSHPNQTFTVNEESLEFQFSTQEAHVKLLSNPAIYDI IVYRNDSNSLGAYIETPFLSLDNQYLDDQQLDNDCIGLPKHPKLTEIFSVNLLDNTEDIY YTDLLILGQQQKIFLLTDELELKQAILLFNQSQSPQWNIQIKNISYNLQTLISEQVNYTN AYFAHFQSDQDRCLILSNYGGFWLNTNSEIEKPNLNLEPVINKRQDLNKVSLFEEYLAIA NGIAGVDLYQYINKQLIYLLTISAEQLNQTAVNIISVKLSQERISILDEHTGLYIFKIQN GQLSLDLTISQSRCVAFDHYQNTYLVVAETPNNIEYMMEIFLLPDSKEYYINRIYVDDFS FRDIQIDEDYAIIIGEDVHLVLRHSIFNGFMRNNTDLVKTFFEDELIRFQYFDMNESIRT QFMETSYYIGLSKGSLHIWKFNDYNPVIICKFQYGREQNYTLKANTSRCEGHQITDLFEQ CQITQQLSIQASGPLLESDSNYLIIGVCVSVAVILVIIVFILCRKGRALAKRIQELKQQA EEMKKYGAFQEQEQQSAEH >CAK82146 pep:novel supercontig:GCA_000165425.1:CT868429:385030:386296:-1 gene:GSPATT00002275001 transcript:CAK82146 MRAILFRFSTYSKFAEKLQNTKFATIYNYETQVKPALSIYESMGFNEQMIFRIFKNRGLS LVKGNTANDIEQLSELFKSRLNAEAKQMRTILFKDPQILSHPIDKMNNYLDLFEKQLQMS KEDILSMLTAYPLLMRNFEVNYENFRNVFNTYAHVSDKQFGQVLVNTPFLFSFNLERIPP NFRVMYNREYKTKEIQELIQKTSEFLALKNHDLDRLLNHYDVLIPNKEVQHQLLINNHKL LLLTPAYMLSPKINLFKEIGLSINQVGQLLQMCPNLFLKSVQTLKLKLKFFEKHMNVKIK DAPYFPHILEFDYWTVLRPRIGDFEQSRECNGQSKNESRRFHQEKYQELLNEAPTKKKVD ADFMIRKYHRYCHDRIQLLH >CAK82147 pep:novel supercontig:GCA_000165425.1:CT868429:386324:388152:-1 gene:GSPATT00002276001 transcript:CAK82147 MQYQIQIIDIQSYENKQYYNVRITNNYYTCYRDVRVRFSDLNQLSRNLQADNYQLHLPLF PEKSIFNSWFQSSESKEELQEYLKSIQTYLSHINKYEKDIYTQRIIIYKPDAINNFVCNT FDPERLKCLTFGKLTKEILYSNYIKSAQIKKSQFNKVFRVSVSQVALTVHQYLIPQCDVA KVEYEHFKRSQLLISDFTYIVKCLEIGHIHKNKPFFNKKIKKTIYNRFIKIEDLQYDTIY AVEEWVEQPMNELIQLRAQTHNLFQLEVIVEAIITLVTVAQYLQFLQIFQKQFSVSYLFY DEKKGFKVGGLSPILAYKKKYRIQYDKERNDYQALQAPESNSQANQFGFKSNLNLSVKTD VWQIGMVILSMASLTLPMDLISQDAIENKLKFVSLQYGEMLGSLIRNMLLRNPIERCSLI DVGCAAQNLLPMKLEYLKYEEKTERIQITSLSQQQLEELDKSFKEKKRRKYIIQMSVSKP IVQQMFLFYLERIKRENVTQLYINLSHQQIPDEFIDKMMLIIIEYKNLQQLIFNTKECSI NDVACLNIINQANLITQLKQLTLGISGISINQIPLTKFRVVVYNQ >CAK82148 pep:novel supercontig:GCA_000165425.1:CT868429:388492:390449:1 gene:GSPATT00002277001 transcript:CAK82148 MNEYQSYTSDNRKSKQTINTMEELSKNQSFRILKLQTSGLGKLNNSSKRNPQNFDVSQVS TSMPLSQKNMLSFLAHEEQSKRPNSYEQLFYDLQQSKQQVNNLKDENTRLRTKVQHNERE FQKYENLIEDLTTQKKSNNQDLQIISLRKQVKDKSSELEDKMKEMEILKRNSKVCKLQEL EVLMLIELQVEKKVYFEQTLMMKHMLQMAQDQIQYQKSKLEQVQQLESQLDVCHEQLFKL QQQNNESLDIISKRDQTIKEMKIQLKNHSIKQQQLVQKQQTIQQKYDNLQLLYQSIKGNN NNNTQPKDTVIKFPKDLVILKLHQKRVTMSQFNDAIETLKKAKNKQEFISLLQQEPFQLS ESESQQVVDKLTGTQTLSQQFITQLSYHSLEGLDEQKAQQLINKSLQSKKDRVRQYWIQY KYKKVGRDDIIKFIQAMNFNWDQQITSYFLLEIFQNTMSNNNVTDNSEQNSQSMRVSIDF VTNPFSRQSHHKSSNEVQQQDSFIRDLNEQQSNQQVIENFNPNDEVLINQFFPADEEDKQ FEIISSCPQEKLTSKYQQQNEIQEVNEEDMLSSKPQDEDNINDMAYLESNQQEEIVQEVK SLEILSDYQQ >CAK82149 pep:novel supercontig:GCA_000165425.1:CT868429:390789:391137:1 gene:GSPATT00002278001 transcript:CAK82149 MIIRKPTTITLKPEDDYQEYEDFKKRQDEQKKSQMQQKKEMFTWSQQQQAQAIYQAPKNT RRSIQVAQEPTIEFEPDSYFDINNQIPVNQLFRMINPSPDAMQQEPQ >CAK82150 pep:novel supercontig:GCA_000165425.1:CT868429:392008:392391:1 gene:GSPATT00002279001 transcript:CAK82150 MNQNTFDLIDRKYQCINTDQRISLLVDILVEGLSIKEAATKNNIKFSTAKVILKLFKQEG RIGKLKEIQLTQVEICQQRRHKSLLLDDNAQLCQNLLKQIEDCKTRNNQLQQTLTIYKQV NNQFKQE >CAK82151 pep:novel supercontig:GCA_000165425.1:CT868429:392417:393144:-1 gene:GSPATT00002280001 transcript:CAK82151 MNIQQARNQIQQQLGVYLNETSLKTIKEFQEAKKNKKQADPIIQIKKQTPECFQIPQQDE VVINLDEFKFEPHVKQKSWQTQLLEAQQKQQPIYHQIYGYCLEQFKFDSIMERLQLLRKS LFKKKMPNGDIQKIEEFIPFYTLRRMAMQLPTDASEMNSMLQDHFVTEAFLKEIRYFLKQ QNIDKGLIFYHPKMYEQSDDQLEQLLAEFVELDKQGSSGLEEEIKVFPSTQLKC >CAK82152 pep:novel supercontig:GCA_000165425.1:CT868429:393690:395055:1 gene:GSPATT00002281001 transcript:CAK82152 MFYRLLCNYLMLYSRSDDLLNGSIYANTSQFQIISFLSLAQYCFIYKNAFNVHLNTQFQQ KCKNSQLNQMFLGRRILSAFCSQAQYNIPIFTSSKSPHANKHLDDVLLDQMVKGFKKAYT DKFLLDFKKVVLPAKRVRSLDDHIIGLWKQHEVAVVIEGRDEFEDIDIVLDYYQVAAVKR RICANVAPIYIRIPGHDGDIRCTLGEIIKDPKSGWPFKVTLRRYIVGRPNLLYVPIAILP NNSNAALVRGTDYDVHIEGVWINSYNATYPTKFFVDAKNLKTYRPYKLGDLQNTFPQGIL LHERYKKLIHWNVATLARDEDDKFFEEAEFQRSKKDDDLLIYRKPDDEGEETLEGVMLYQ KKKVTVEKTQKKRKEKSLKKKVKQANQELQKEVAAAKEAKDAAAKEAAAKK >CAK82153 pep:novel supercontig:GCA_000165425.1:CT868429:395292:400148:-1 gene:GSPATT00002282001 transcript:CAK82153 MNNLIQKLSHQSLPFKISDKFATIIIAIKFLQVLAIIQPTYDQIQEPSLLFTTNVLKSIL IIPYSSNFSLMLLCLILLVLKEVTLAIFCTIENSTYKIVNKYGLKLIFYEIIFFQQTTAI PELYLLIGLLNQSNKKVAFQSFKFAYVAPICIFIIIIVSIIAFFRVYFLRAQNIISDTKI FPKFTYFTILRFVLKICVIIISFESSYSANLLKLCLGMGVFIVCLIELYIETTFEPSINR QLTIIIGCLKLTTFVQLICYIFSPNKEDQMQIFYILFGIPIIFVIIRIINHRKRLDILIY QSYKYPIIIQIEELYELMFRLNSNKTSVKLMIEQFQIYSRHGQQCKSCNTEYNYSIPKLI QCLIQNKFRQNKQSKESENLMILYVNFLSNTMNQPLMAYVEVKKFEFRKKRFSIYYKFIR NQFALKLKEKIEDKQSHSTVKSNQTSFQQIEIKEIIQSFHLEEEYLRKYILLLNQKIKIW QLQIKGVENIGELIQVVSDYGQMLQSVMNEFFQDFHQSLNLKKSEQKNVIHLKLMAILYS LILNNTEQAQVYEQQIVTICANESNLPLDTICNASLLDDKVCLLTISMVKNKGKILNANK KQLAKHFGFSYDDYHLISHINDLMPPFLIDVHNHLLELYLESAQSQLFRQFIYITTVQQD GLLQAKQLKLDNNFSYEDDYVITGCISKVKEGSEFIIFDSSGKILSATESIYHLMIQGID QEATHAIQTLNQCYIFFFFPEILKIFTNHKITNKSYHNLEIEDQTTLITRFNISDFILKY QKIYSSTSHYKYLQYEHRGSQHFQKSKTSGLQSECQSSFNRTVPKVAQKQELQILTITYQ KQIEDVMKKLNEGGEATYSVKFILTFKVVGPESFHKSFFLMEIVDFRSKEQLLSKRRKVS VMKLHQNYIPKTSSRFKQDSFRDDSKRLDQSSEQFIQSFAQVHNQEDLLDHEDYSCKQKD LSVDENQQSEGSKTSLDSSKSNTNKECHIFINSSKIIKPLKVVIALSALIVAVQLIYTIA SLIIIQDSTSQIVQSNKNINAPLIFNRQFFQIFSLQWILLLQKLQIIDCSTYLLNQTDYK LKNISESTFEELNKLYSSFIEVEDSGLLENINYRSVGEDRQIVGYTYFILNLERTIKELF HQSKELEIEYHHITTLMTLRINLYNVFYMSKTLISSYEELYGNTLDNQSLKIVVITLTIM IIFLFEIIIQFCFWKSCSIYQRQLILMIGRLKEKEAREQILKSQGIKEILENKENIYNWR QINYSSYEFNNNQNNELRSQRGSQQTTKILNRQPIVLNTRIQDTSMNRKLIILVLLLTYI ILIVFIICGYILFRKEIQQMIPFQRMTLQFITFSTNLDAMIGSGMITKSLPSIYKRLTQQ SIITEEMIAKLQDANNQVFQLFEESEVNFDANLISIFEQITLSNQISDSDKTTLESIYKY DICLKLIDYVPFCRYPEVNQTFINLYSIPNQTDDISNFYENGIKGIASQISSIIKQYFDY EISQQQHMSDINGNEQFMNSKEFNTAFLQHFLFTTKTIDIFINIIQYSNQHFAEDNLITI QLYYCITGSIIMLLYIIVYTKWIQINYYQFRFIKFGLSLLPSTILNDPYIMNSIKQLN >CAK82154 pep:novel supercontig:GCA_000165425.1:CT868429:400230:401385:1 gene:GSPATT00002283001 transcript:CAK82154 MYQSSPEKRKQMQTQGRIMEDITCIIDCGYVGSLFLGNIESANDISLLKKHRITAILSVC ISKIPYIVSSRMKQYEHYILDDCENENIYRYFNPSFQFIEKARQSGNVLVHCMAGISRSA SIIAAYLMKKHNITFKQALQQLQRKRWQIYPNDGFVKQLLQYEKELNNKQEELPSDWNGR RVKTDVSDSSVTKTNKCNDSEYLSNEYQKIRKKYIDNEKSQDLLQKSKQYTLQQQRKTNF DILNHGINLQIKRLQQFSDGKTMLNSIESRQATNLENQQKKKALADAVNIQNKNSAVNRG IQIETTTQIPKKLEFLSKFQSATTRDSTSTNDYMFPNSSQQKLDNLLNQYGKKSKYQYK >CAK82155 pep:novel supercontig:GCA_000165425.1:CT868429:401414:402750:-1 gene:GSPATT00002284001 transcript:CAK82155 MIQEVSILKELDHPNIVNIYELYEDESFFYIITEYLSGGELFEKINEIDHFNETIAAGYM RKILEAVNYCHTRNIVHRDLKPENILFESKKAHSSLKIIDFGTAKQIDDQSKLSQRIGTP YYIAPEVINKRYDQKCDVWSCGVILFIMLCGYPPFNGQNQQELYQRIQSGIFSFDEPEWE DISADAKNLIKKMLVTDPEKRISASEALRHDWMLINQKDKKLNSKSLEKLAKFHSQSKLK AAIMQLITTQVMSNQEKKKIQTQFKKIDVNKDGTLSREELLKCYREIYDDEMKCQEIVEN LFQQADVNGSNQIDYTEFIVAFAKKEQLTAQNKLEKAFRLFDKDGNGSISKQELQEIMGG AQLSEGEWNNVFNELDLNGDGIVNFQEFTEMLIKNANEQE >CAK82156 pep:novel supercontig:GCA_000165425.1:CT868429:402764:403109:-1 gene:GSPATT00002285001 transcript:CAK82156 MGICGGQGNKRLTKLNLEQTKDQTGTTSNNENPGSSKQSKFVKQLTDFKVDPSIFVTLKK GDLLKNYKIDQVLGEGTYGKVNLVTQKATGLQRAMKIDQEGQNSN >CAK82157 pep:novel supercontig:GCA_000165425.1:CT868429:403647:404401:-1 gene:GSPATT00002286001 transcript:CAK82157 MKQIQKRKNNAKQQEKKKFDSSQLIKLMTAQKQKQQKGSKDVENLIGMSFYKIPIQKFSP EINQYLQKYKKESKNMHNFKATVSFIQCNLSSLEGLKFDGKQVKHLRADYNSLKGDSLQF IAQNFPNLLTLNLKHNQIANLEDLVHLQRLKNLEYLNLSFNPVKQFQDYKSTIFQYLPEL QNLDNDADVDDSMQDTSEDLMLYSSEEESESSFDDYEESSDEDQKKKNKRKKVKK >CAK82158 pep:novel supercontig:GCA_000165425.1:CT868429:404437:405106:-1 gene:GSPATT00002287001 transcript:CAK82158 MNFNQQQRFHKKPYSQFDLHTDRPSKMPSFMKSSGINSNFKENQDPIQYSNISIIKEIQD IEKMYQDKIESLKKLNAKLMSTIEFMEKTLEQQKSMLQEKQQIIMKQQNELSQYRQILSQ NTQVNIKNLKTEIEDEKIKTERLHYELKDFKYKFEKEKPLVHLFKKIEQTQEEYNEQNKL FMKEVLQLLDKPRSQSFYRQPSKQHIKKSSFYN >CAK82159 pep:novel supercontig:GCA_000165425.1:CT868429:405127:406785:1 gene:GSPATT00002288001 transcript:CAK82159 MSSHVTNNNYQHLLKNDSQRRIKTLNSINDSNSTKIKKVIIPKNICLDKETLYEQLYHEK LRSKKLSIENQSLIGAITQLENNINVMSSNDQRRMLSQSFLKIKQQDQEIQTLKECIQYK VQAELKRQIQDLQIQLLKYQQSSKFEGDQIELIQDNVTLLQKLESQKRYILDLEKTRSEY ILIKAKFKQLQSVLKLKEQQIQRFRDRDPLSEMNLQRNPSKTENLLMNELNVKKDEIQLL TYKLDQSQTIIQETQNALTQLNQESIHKISNLENEKRILIERFERLQLDYNGILEKQKQM DKYLSQFQKKKIQTSPFIYGEDSLFQSATQLPLSPTATPSDQLIVKQVRKSQIEQTILEL KLTLRKKRVSLQDAENILFSNESEISINEIEKRLKEDPFNIQNSILLARYLVEDNSEKEF VYEPDLKAPQAQLRSIFKNLLHNYKLIFDDSVIETVKTFIKHQLQGYCQKKSLSVINQES IFDFMQFTEVKWNGQHSDYLQQEYYNKYSKFQEYEINNLQKLFEDQQ >CAK82160 pep:novel supercontig:GCA_000165425.1:CT868429:407865:409709:1 gene:GSPATT00002289001 transcript:CAK82160 MQPSSMTNALRAELKDQQRAQLQGSYQQYEASLFGQQVPVQQQQQIQQQQQQQQFIQQIP QNQQYPNNINRVNISKNNVKQQQSSQGLFGQVKDYFSNLFGGDPQYQYKYEPKSQYDDDD DILQQYQKQGGLPVNIEDMIELKVEAQYDYCKLKKSEKQIIPAMVSIITKDIEQYAKNNS SIEAGIDLICVIDKSGSMSGQKIESVQQSLVQLLNFLSEKDRLCLIVFDGGAKRHTPLKT LTEGNKKYFKGAIAAISAGGSTNIAAGTDIAFQQIQQRKMKNQVTSIFLLSDGQDSGAAE RIQKQKDRISDVVTIHSFGYGNDHDADLMSKICKVGQGSFYYIENVKLLDEFFADALGRL SSALAEKVQIDIKCAPQIPYQDVQIQKTYGDMWKSFEQGRFYQINVPQIASDSRKDYVFE IALPPFTDQILDEQRVPQVVQVYLQFNNTFSKQTYQKVSSLQLKLYNEEEQIGENDPNAD VTREFLRVQATEAIEQARMKCDQFKNEEAEDMLEKMKQNILTDQKMAKVSAQAILDIDQA KNASKRANYQNFGKKQMFQMEVNNYQQEGLNAQFDQLGQQMQQIDQGMFQNRKQLKMVQE VQKKKY >CAK82161 pep:novel supercontig:GCA_000165425.1:CT868429:409753:411062:-1 gene:GSPATT00002290001 transcript:CAK82161 MSLHFQYLGQGLSSQKTMELLQKHCSSNHHNKIADFGAVFDELLESEKYKDLIVSTLEII NLSSDKTLLQPIYSAIQYWMTKLESKEQQIIVDLFLKHIEQINEEAIFKTKIVAQLFNAL SSSSLSQNLFLKLLECAKKWNTQQLIISPIISNLKEFLNLWTLSGPEVLKILNAISDLID PQDQQYVILISEYILRNISTSQEQYIKTFISFQNINQSYLKLCEITQCSNFQVIEKTQLG QLIKLVLAGDLSGASAFLVKEEGYFKSLNLNVEQYLNQTRIAKFIQLSSQKQSYSYQEIA DALNIKLEEVEIWVIHAIQSQNVSAQIDQSQQRIFILDNFKKLLAKDDWQNLHKKLSALL TKLKSVQIQ >CAK82162 pep:novel supercontig:GCA_000165425.1:CT868429:411111:412446:-1 gene:GSPATT00002291001 transcript:CAK82162 MTADETDQRNHKISLPSLVNMRKAEFNSMENSNYGIKLVEQPISILNQGNGQRIPNRLPK LENRNEISKSKYEIQLNQTPRDEEQKKQKQKQKKTSFTPEQRISESPEKNITYHKKKGGA KQWQGFKFVHKTKAGCQVNKQTKTNQDAAIVFPSNIESQNCGLIGICDGHGVNGHFVSDI IKQRLPIYLEFQLQSQNPDMEECFKNAFELTNSEILQSSFDTALSGSTTVIAMIQQNQLW TANVGDSRAILCRNCNGWRSIPITRDHKPSDESEKQRILQAGGRIQTSRGDLKIMRYYID FFGNNVGPERVWLSYIDAPGLAMTRSMGDKIGAQAGVSSIPEVFQFTLSQNDKFLVIASD GVWEYLSNEEVMNIVVPYYEKGELDQAGEKLMMEAINSWKLNSPGRDDITFIIVQLNN >CAK82163 pep:novel supercontig:GCA_000165425.1:CT868429:412722:413186:1 gene:GSPATT00002292001 transcript:CAK82163 MRVSESVGQKLRRVNINYSDLELTENSLEYYLKPLKPKLNYYKLLPKQQSPKRDIAHTIS CRIRSQIIAQQQTEPINQKSLQTLTLRHLIPQNPNRTFMLRNKKPSIQDRYKQIMVKLNQ KQEYNVDEQFTELRRLITRQKKSSSLGKRVKFLS >CAK82164 pep:novel supercontig:GCA_000165425.1:CT868429:414232:415549:1 gene:GSPATT00002293001 transcript:CAK82164 MYRIILILKCLLWYFGKWDVDLTWLPYFSNPYTQYSNIREAKYLIANNYNPYNTDGIFQK PLIVYGLNLIDNDIIFLIADILVCFLIVQLFGAKQGYRMSISLFYHLNPITFANLILKNT NVFDHIFLLLTILSALKMKILSPILFGILLYLNPQYFIVLAAISVIFRRDSSKTSKFAFK LIIFLLVSIATICLLLYNSYKITGDWQFIEKTYIDYYFPKDHKPTIGFLWALFSGLFSKY KSLYHAFFMLLPACYILPLYNLFVKYAKREKEYLGFMIGVSLFCSFLYFQYIAISDLIII FILLFQRYDWLFNSLPTIVIVHAGCLILFLGGGLQLTWTHSFTGNPNFTFFQVFVSYVFY VILVSEGVREMLKFRTIQKKEEKENIKQVEENENQSDEQNEKETKEQTNKKEKED >CAK82165 pep:novel supercontig:GCA_000165425.1:CT868429:415567:416403:-1 gene:GSPATT00002294001 transcript:CAK82165 MKANLPKEFRVQIVFNNDILNELEAERPSEEMIDRYRNLFESNKIKLKASMVMEKRGRGR PPKQKSPIIDESIEDEISNGEDDVPDDEFEEEMDLENDVNLSVSSKEKKFTKRQMHMYSL GNLDGSEFLQLPSKNSKSKKDLAFTEEEMISKSQKELQRKEKLQKQQEEQKQMTVDKILN EIGRKQKQRQQQEDKKTEDTHKYRSLPQNDIIIKYKSNSEGTFIIMPKYMNSSLPNPSKM IVEDDKYCSNCSNLARYRIPKMQQKACSLECYKLIKQQ >CAK82166 pep:novel supercontig:GCA_000165425.1:CT868429:416431:417540:-1 gene:GSPATT00002295001 transcript:CAK82166 MNQKLDILKQVKIPRSISTTNQKATMKKLNNQSMQTIVDKLPPIHPEPLQRIQTELQEEH QCVKYITVKTLAGQTNRKRLKINQDSLIIKKYLCNQIDWHLFGVFDGHGQNGHFISKLIS QLMPKVLENKLLENRTSNANDIKQILINTFQHIENELVDNSNIACNFSGSTAIVTYFMGS KIFCANVGDSRAVFFYRSGDAWFNRALSFDHKPNKSIELKRILGQGGRVEQSFFDGKRQG AYRVWLPHEDIPGLAMSRSIGDLVAKQVGVIADPEILRYKIPNNGFVLIGSDGLWDKMDY ESIQKILHNYYPPLNQIDVESAIQRILGETYTKWDQLEAARDDITIILIYVET >CAK82167 pep:novel supercontig:GCA_000165425.1:CT868429:417564:419129:-1 gene:GSPATT00002296001 transcript:CAK82167 MALLGKTLKGRTTKPGGTEQKRNIKIRQITKSQQEFEESQKQAQFKKEQLRSKIREEQKI VNFNTKKLMAYWRRTMRAVKTEQLKQDIELYSQNNKRELDSKEAFIQMLDKNLDEAEDQY QIALRNHLIHLENFHLLQESRNRALLEEFERDIKILQEEFQIEFDDMTKTHKQQVKELED MIKTVEEEEKRKAELAKNQHQTNREETKNKDVEDTSQMKQQLEDKQTKFYNDLEQMHQKY QSDTAKKTEDHTKYYDANKDMSKKIERLVRSIASKKAKIDLTKYKILQHTKECNARNQAL KKEKENIAKNYQDLKQKMNKFREEQQRLLKELVNNSRNAVLKLTEYKELGEKILKTAELC RRLETEREKVLPFYEDTVDMDQIPENLKNDFEAIQKEEYEEFAYLNNFYKRYNKVLLDIL AIQKQKEALQNESNQLQSLLKQYLDGLSCNDDVLSNPNPLFVKNFNIDLGEKQVTGDLQQ TVIEGVFNVRSTQMQLQGQRVGPFQ >CAK82168 pep:novel supercontig:GCA_000165425.1:CT868429:419172:420795:-1 gene:GSPATT00002297001 transcript:CAK82168 MKQQIISRQANISLFSGKTLGQGTFGKVKLATHILTGEKVAIKILEKQKISDQSDIERVT REIQILKKVRHPNLVQLYEIIETPKQLFLVMEYVNGGELFDYIVQNQRIKDVEAIRFYSQ LISGIEYLHKLQIVHRDLKPENLILEGRGKIKIIDFGLSNFYHQDELLKTACGSPCYAAP EMIAGKKYNGLHIDIWSSGVILFAMMAGYLPFEDPNTSQLYKKIMAGEFKFPKYISGEAK DLIKNILNVDPQKRYTIADIRKHNWFSFYNQKIPSGLIVGQHRIPIDPEIVKQMISLGIS SEYAEKCIETNRHNHVTTTYYLLLKKYILGGNKSIADISSEQFEAQRISTRQSTYTDRKL INQINPLPLIQRKSRHTQQREDSPVDTKPRLNNSLNTQNTILQQQSPTVVMNQSVDVKRK VTLDFQKTTLLESSLLQQSNDVKVLKLKTPACRNYILAEYYGRYPKRSRNSDRQKSMDQE QTYFRTFHKGSPIPKR >CAK82169 pep:novel supercontig:GCA_000165425.1:CT868429:420864:424543:1 gene:GSPATT00002298001 transcript:CAK82169 MNFHFPGTYAELRDNHFSGNYRVKSKNQVSHMDERLILMRLNQFEDGFNQLATLIEDPQY FDFLYYLVEHLDAHPNQKVQLQLVEILSFQIQKLYPDHAQAFKMTLFLLLNLLQQKQLQG QQVRRALKTLQQLFNLNAFHDFGREGSRYIFDLVKMAVEIKDAQIRELWIDVLVQAIAMW QTYDLNLKNRIVHLMYEQESVVLNISKFLLGCSKNDRLKQYTVDQIILLVNYITEKSTNQ TESLAVKNLKELLSQTSKDMPKVYYQQLSAFIGLYDNENYHIRNGLSELITNVIEYLIKE SKDQDSDEFQLTNANNLIKQLLDRHMDKTALCRSNVLHCLSQLLNSNCVPKQHLQTIFAI SSSRLRDISGYVRKSSLQLLKSIVRYYRFLYVQSQGRQNFWSSADIEDQIGRNKEELQNI HKDFEDIDKQFQKGQISQEEVNESIKFIKKKAQEKIKIQEYLEEYQKFLEGMKTVITQVL QLCQSKNQADVIHSIKLFSYLQKYNYESANLGLRRMILLVWSQDKTIQQEVIKKFWKLFL RDTKKTRQIILQIIDLISKSNLKELISLEKIILSYETELAPNYKFPSKIFHTLWEYFGNQ EIDQRSMLIFVRIMLTRNSSLLNLEKVAQIYNQLQKYSKKDPDWIIIKELATILSKLDRT HGKNQINFNKSIDLLIILLIKYQNSSDMNYFCACDSIIQLVPNAENPELIFETLLQDLGF QSTKMDLEAEEIKQMEEIHLAHAIYIAGSVSLQLLIFIDATHNSLKNLKNEREKQIGKEQ EIDKIQGGIEGEFEKLHGIVDQIQDLKLIQLNLLSVFSPMVKQILSDCLNQMETEENATK QQPPIVQVSLITMCKFMCLSESYCRENIQILFNIMKSPLVDQVMKNNVIISIGDLLHRWP NSIQKFHKQIYSNLADTSAAVRRVTLLVLTHLILNDMVKSKTSLSHIPILLTDPHPQIPA MARYFLNELQKKEQRAITNAIPDIISNVQDQNENILSQISQYIDKSQIESIVDKLITILG TSTNQYEVKNISILFNHINLSQNSLQKLLDGWEEYREQLRDQFVYNQFMILIKKLKRTLP QDAKPLIEDYEMKVEHHDKETFENRRREKVTKKKNVKQGQNEGGVDKELKENKGGNKLKQ QQQQQKRQTKKRKEDDFMELESDSDDMSEESLVIKKQTSTRRKRSIKKDEDE >CAK82170 pep:novel supercontig:GCA_000165425.1:CT868429:424598:426335:1 gene:GSPATT00002299001 transcript:CAK82170 MEQASNYFFNSPQKQQKAFWDRNFDLLLSRHENFQTSSDNCTTLYKRGKLLKKWIPRLYS VSGDYLLYGDEVKYVIIQKMKGFRKLDCVYLHCNILETTYRYHLQLIYCGFILDLYTDKK TDYDNLKEILQQHCILTDFHTQYSILKQIGFGSNAKVYIARSNYNKQYYAIKRVQKNYSI KQKKQEQEQALKNEIQIMKDLNHPNIISFHQVFETNKHINLVLELIQGGELLKQGQCKSI RDARIIARQLALCVDYMHQKGIMHRDLKPHNILCKTNTADVLIADFGLATYIKDQKQLYY RCGTTGYVAPEILMYKEGAKMYNEKCDIFSLGVIYYQLIYNTHPFKDPQKSGILKKNLVV DYKFDDSIKVPQSCKDLISQMLRLNPKLRPSASQILRHDFFNEYLNELSYPSLISSYQDL SEQKKSGHSFNSQICELKFSTFQKNGLNVFEKIPFSGINDIHNNQGNELKERSPIWNKRI ELQRPSSQPRSKAQSLYSCKNLEIRGNKVSIFRQQSKNSESDLDQSHQQPYNTIINRCKI RNK >CAK82171 pep:novel supercontig:GCA_000165425.1:CT868429:427082:428138:1 gene:GSPATT00002300001 transcript:CAK82171 MNHSDGAQSPPLFGMYPLPYWATNSQFLLMMNFQNPLMSPIHNLGSPILTSNLNFPQPPI NFDIKCDQSQIIKETPQPKQPQQYFSQIQTIQQQIEEMNYEDITLDNLEQMIHLIFADSS KVKKIMQKLKEKKCVKVIKILETLNKKIRSQQKSREELIKFCLRKAFREIFHQIQEKNTK SKLNLKAASKLFQQSYQAEKLKQISLPFRKNSKIKTMNNHFLHELFQSKQFQDQYKTFLD NLDTIIENDRNKKIKALAEKSWEFISSNRKAYSVKRLPWSIKNIEKLKETANELLNYCKE QQKQ >CAK82172 pep:novel supercontig:GCA_000165425.1:CT868429:428341:429847:-1 gene:GSPATT00002301001 transcript:CAK82172 MSITKQESIATFYSPKFEQQCYLCQHGLHGAEQQAHSSGIIVGEPQVVYSTPEVIVSQTV GQPIPVKRGFTQNNQNNIQNTTQQIEILQPNQMQYNSQLIQTQQIQHNQLKPIEYNNRIT QQIPIEQKVIDKATINKSQQISTFYSGKYEEQCYLCQHGLHGKEVVTTQNNVVYQAPEVV MSQTVGQPLTARNTTTVQNFSQIINTPPRNEYLYSQQIQQSPIQNIQLSVPQAQQIISNT TQQLQQSPVQNLQISAQQPQIIPQVSQQNYVFQQIPQVQNYQVITSPQQIVQESVTTIQE QQLSPQEQVENYWRYKVYELQERVYELMNQISLQKQGSLQHQRTGSQVKKSTEDSFKVEQ AKQEILQLENQLKIKQKSSIDIRNKLNLMIYRQDPTDEATKYKEQELQQLRQKYNTLNFK HQSNMEDIAMTQAIIEAIKSGKGYKLVSVREQQQTSSYNQSQQYQGQQQYNQVK >CAK82173 pep:novel supercontig:GCA_000165425.1:CT868429:430162:432281:1 gene:GSPATT00002302001 transcript:CAK82173 MNNLYNNYYIFVFLMSGIYLALGVLLVMSNLNLGVTQWLPTYEAFRSENIDDLNASIGWV IQNQYEATFDVVTKCGPIQILGGLRSFGSQTTITKMIKIPPHYRLKFIIQLWKIGDWEND KIQVFVDGVPWEMKWGFTEGGKQICGGPKIPNSDKVYDVEFEVAHNSPTATIVVASTQVQ KADKQAWGIRNIKISFKECPSQCGICHNDKANECKYWKQVDLSWFHTDTSLEGWNLQDGK QKSFQCSGIVMFGGPNNIGSKAVLIKQTKNLPPHQKVMIKFTLWKFGLWDNDQFQVYIDD EQVYKQTFQKLDGLSICGDSKPGCGQKLVNIEIIQKHKKNEMTVKFTSSLKQDPEDQSWG VRDFFAFAAECPKFCKSCFGSGDSECLKCEETHQLIEGKCVNKDDWFILTKEFNEPQSFK KIKEWQIENIDPIQSEVETSPITQCGKDVSIFGGYKILAKKSIVSKVYSNIPAHNFLRLR ITMYKIDRWDGEELIILGDDKLIWSQLLGWNDPGQSNICGDPKSPWKERIMFIDQVIQHN KDEFKLTITSTLQATAEIASWGFRDVMLLYSPIKECITIFSECNYQGEQGQICDNIEELN KFDFQIKSMQIPEGLKFVGFKNAKFKGDRVEYTTNQKCLEDIQFSFIQRI >CAK82174 pep:novel supercontig:GCA_000165425.1:CT868429:432413:433201:1 gene:GSPATT00002303001 transcript:CAK82174 MTSNNNGFNDQELLRLIQQEFQQDKSPVYDEISNQYSSVSDDEEIIIRDPSSQITDESSL SQQQEKKKSRWKYCCQQCTKSDKGSIKPKTCVCIVPASQRRIQIGEQGCLSCHCTGCSIE DEKKKQKKIKRKRKSSSDSSSDESSDFKTVNGCCKKCMKAFSKKGKEAKSCLCQVPRAVR KKPLPPHGCQYCGCHGCNPEDKKKEKPKKNSRQLSPVSSDLNEQNLNNFQIARNIGL >CAK82175 pep:novel supercontig:GCA_000165425.1:CT868429:433655:435222:-1 gene:GSPATT00002304001 transcript:CAK82175 MFKPKKGQQQQQQQPFYLSWFTNQGENLKRGGTAPQQSRKERLQLKSPYQQPLTTVIHMA PNPYNRIMQAPKTNSNIQQISKIYAQKSQLSKRKSQEYERQRYDLKSQSQQSRAFTQQGI RPLRLNDGRPLTQQNLIENKLCCDNLGSDEEQQEQLTPRDEKEDIDLDNIGANQFINDCF SNESNEADSEDHQNFQLPIQNDDQIQNKSLIKEEPITSLRNNHNQINRPQTSEGGRRKRF MNSLNQADQNYEKKKETECELLEYEANIILEEEQDNQEIVDTNLKASPKVSKSQPQIQEL ISIRSGYRRKQNDLNELQETNNEERPPSRHKTPPKATGLELPLENCANNVQRDSVDIPIK NMYAQINDEKIQEENDGEFDLDFFKMNNKMNFKKFQQHDFQGYHTDDANKLNQQRHPKSA NLKQNQLRSPLNSQITSAHLRNEAQIVIKYNNSSAIQRSDEPIKVPFQTSLGQDFLRLFA NNQME >CAK82176 pep:novel supercontig:GCA_000165425.1:CT868429:435259:435916:-1 gene:GSPATT00002305001 transcript:CAK82176 MQQPNEQFDIQNHYDVHQQEHMKKLKEKLVELKEEIMQMPKDPELFKNHQLPLARVKKIM KSDEDVRMIAQETPVLFAKACEIFIIELTHRAWQFTEDGKRRTLQKTDIATCIYNTEIFD FLMDIIPKDEIKSNQVPIKKPQGQDGYFSNVSQHLIQQVQMSQQRQGQQFPLTNFSQYLG SGQR >CAK82177 pep:novel supercontig:GCA_000165425.1:CT868429:435941:437915:-1 gene:GSPATT00002306001 transcript:CAK82177 MYNDNTIYIVEQLIQDANSDLTILLSLETFMKYVRALDAIAIEFLIKNAHQLLRILFTNY DHIHKSQYILYSDLISKVWEIIDLNTEQILQQIENNSTYVYELLNYQNVPDAQWAQAHKF LQFIKQQSWSLIPKALFEKEFLLIKFLPHLHNKSVALIILLFFENQYHDQQLMFLQQGFT QFHEFDPCTAINFTFLIHEIITRIATQELINFLLSRKVIAKSLEILDDCRLSFIVRKNAA HILSLISNFYSLDLQNLYLDEPSSESIIETFRQTDFYKTFDIQIIKNILNDALLNNCRLG LLAVKLVEIVDNLVRISDIELWNKIDKSNVMEIILNLVKKFKNSDIFISYVNNMIIFILD RAISDFHPFWCVQIFTKYKLHAQQIMFFNRQIIIFQQQLQVKLIRDSDFLPYYNELMQIE NILKRSQFWKASKEQIELYEERHKYRLGEDSETPSIDYPIIVSAIDDECIDEIIEPKDNI FIDCLNRQSPIDSLNNNEDSDEDDKLIGAFSGMDTLNKLKNVFHKMDLKDQEDDQQIQEN QQQQQGKRIRNLSNSLNNQFQQGQRDIISRSLNSDHDSLQKLSLSSSTNLKQMTKSFDSF TIEVLGNTKVVKNNQILKVDEIDNTNNIQNE >CAK82178 pep:novel supercontig:GCA_000165425.1:CT868429:438858:439766:1 gene:GSPATT00002307001 transcript:CAK82178 MQIKSKFRFFDIAANLTDDQFYGMYHHKKYHQPDYKDVMKRATDIGCEHLLIASGCLGDL KKARELCQLSPHYYTTAGIHPCRAAELNQNSQGYMEELRDQLELALKEKKLVAIGECGLD YDRLEWSTKEEQMIAFEPHFELAEKYKLPMYLHNRNTGDDFYNIVQKNRHRIVGGVVHSF TGPLDELQKILHLDLYVGVNGCSLKSQENIDVAKQIPLDKLLLETDSPYCEIRNTHASSK LVKTQFQAQKTEKWKEGQLIKSRNEPCKIIQVLEVMSELLKIDQDKLSEICFQNSLKCFG LV >CAK82179 pep:novel supercontig:GCA_000165425.1:CT868429:439786:440730:1 gene:GSPATT00002308001 transcript:CAK82179 MQFIQKQHIPKALSFIQAVEFLAEYLGKSSEKRIYKYFLGLEILKLIVKLRKWQLVDKCG LMISENNNYSETDQQEDEAALQFQENLSALEYNPQVVNEKSVKDELKSIKDPIPKFNLGY YDVIQDKQASLLTMLPIPNDVKQKDYDSKLNQIRIKIGEVLHLIRPLIYCTLILKHGGDS YTPYIVSFLIDVLRFVIEFQIKIYRKSQKEELKIRTKEAIICYILRNPFYSTIVKQMILS NTLGKILNPQGWIYRLVIALIELRSSKCLLL >CAK82180 pep:novel supercontig:GCA_000165425.1:CT868429:440819:441601:1 gene:GSPATT00002309001 transcript:CAK82180 MHKLRQLQEKEQKFSPVEQDFIPFVKERNSMGKLPNTYRSLRSKNLIDTRIRDTISSNRS QKSKTKVNFLKDVYTINHNTYWANLTNISKVEKKFNLNIRTEYVEQKQLISLNQKLQLNA GIVQHKTQTQINYSPKLGKNLSMNTIPPLGYYQTQDIIIKKQPMFVKMKLQQDFKLRESK TVKTEPCQQIFVPKLELSNLRIQNKIPQELLNKAYQTERLSTEYKFRYTPLHFDNEVIEQ QQNKIKQLREQYKGMKSCQI >CAK82181 pep:novel supercontig:GCA_000165425.1:CT868429:441633:443550:-1 gene:GSPATT00002310001 transcript:CAK82181 MRNVFVILALMFCVANTIDMGEELMKREILTKIVEYAGIEMPHELHLLCLPCKLMMKQVQ KFSSTILLSIIRKEWTLLCPKFKDPAFCEGINQTFIDQFSVHFFNNYLSPSNACQNLGAC KLQQERQTIQDYINEVMQDKLSKEEQRQWQVLAEENVINQEDFTVAQFADLHIDVEYSVG ANAFCGAPFCCREENGKPKDPSKGAQYWGTYADCDLPFRTVQDLIKFTGEKVKPDFIIWT GDSTSHDIWHQQKWNQTLPTKMITEEIKKQIPNSQLYAIYGNHEGYPADQYDMIGEATQW LRDEVADMWKEYLSQESYYQLRRNGYYSQVEESRNLKFIALNSQACDLLNFHLMDGITDP RGMLKWLISELKDSEAKHQFAVIFAHIPPGDTFCNSQWGDRFSVVIERFEHVVTGIFYGH THQDHVQHIRSKIDGRYVKTLFIAPSGTTFSYQNPSFRVFQFDGKNDQVKDYVQYRLDLA KANKDGQNAILNWEVAYHFLDYYGLESASLVHVSSLPYRLAHDDELLKKYIYSYSTGSDI LYKKHLKNLKNLFLKKSTKSFFICGAETSTLDDWYDCIGFFEKFKESSFLTFKLLEQFIG KWLKE >CAK82182 pep:novel supercontig:GCA_000165425.1:CT868429:443615:444174:-1 gene:GSPATT00002311001 transcript:CAK82182 MFYQSSKTNLIGNTILVQGGIFKLFDTGDNPKDAKFLDKVRKELCLINFQNSKQTGSFRQ SVIIPKIKDGQACEFKPLNDTDGLLKAYYSLQYKDQIQHFMNKNPIYNKVKMKYQLQFND RVTMPSAKNCIIYDIICTDKNKFVLQFGKCAKKTYSVDICHPLSILQGFAICISQFERKD >CAK82183 pep:novel supercontig:GCA_000165425.1:CT868429:444328:444798:-1 gene:GSPATT00002312001 transcript:CAK82183 MQSKYEQNDDEILCQNDDDGNGEIINFEQFDEDEQNVITPVEKSKQQIQQTFGDQFQVQK EKEDEEQVIEDIVQFMQDEEQDNVANFGSMHRDEDFQSWKDENKQNDQNEQVQQTNQIDA MTERQEQQAQIIYQPGMIIDMKEFLQKPIDQCKYIF >CAK82184 pep:novel supercontig:GCA_000165425.1:CT868429:446114:446515:1 gene:GSPATT00002313001 transcript:CAK82184 MAEITIVEEQQDAFEFDDEFDFENLEMPQLVRRNGIIHTNEEIMGYYILDKPAEKIFEEE QCPICLESSEQLYPLKCGHTYCQYDIEKMMEISKSSYGLLQCPICRAYQTLDSFDEVTNV KQGKLENCQQACH >CAK82185 pep:novel supercontig:GCA_000165425.1:CT868429:447738:448925:1 gene:GSPATT00002314001 transcript:CAK82185 MQQVAPEVQTIFEELLKPVLERIEVLKEKDQSNELQIKNLFDQMSELKTIIGNLKPIKVE EKKVVKQDPKKDNQQNNGQEAKKIDRPSTAAVTKNSVPVKGKDPKATTAPKPLSQSTIVE KTTAPIDIEKNQPKSPKEGNQNKTKQQQQTQQQPPQPKPKSIVQPQQKQLQHIKETKETK ETKEQPIKQAPQQKDEKKVEKQPPKPKTAQPTTQPIQVEKKEDKKDTKKTDTKNTQKNNT KQPEQKVAEKKSDDKKIVKKGKLLEETQLKNEQQTDEQFQQQQQNVPKTQDNSEQKQQDD QLQKQTVEQEEIQETQTVVPAIENFEVEEQAVKQLSEDQDQLTQNQQALEVNENQPEKLE NQQQEVV >CAK82186 pep:novel supercontig:GCA_000165425.1:CT868429:449021:450671:-1 gene:GSPATT00002315001 transcript:CAK82186 MKKQHFDFQEYITRIESLLDIDHKCQLLDVAFLCYQAGASNNDSKIIRKSYSLICSNNQE YKNNKKTLEGLQLDYDKYINGTKNIDALIDDLFIEFNSGLQEIKNSQIDIQNGTSNDYAT LITKNKKLDYESYTIQQKKGDEKKNNKQKIIITEIENLNQFQQNERQNNAEQQDYILNHN LIDTHTLNNLNLVKVPPILKMEEICKLSIEQSKQIQQQQYNEFRKQEQSKSIFFQSKSQR SQIMQKAKCTICLENIQSNQYILTACQHIYHKQCLNNLIEAQVDLPIRCPNVECRLEILR DDLEQITTKQTMDKLDKFAFNQYLISHPNIFQCPTQNCQGIYEIEGPIQVCMICQQIFCT RCKRQFHDGVCGEQSFVGLAREQSYKQCSMCNRWIEKMYGCNHISCPCGHEFCYACGKQW IKGMECRCIETQQNELLQTQQEIYRQPVQYQYPVQQQQFEQQQQFEQEQQIVQEQQQFQQ QQRRQNRQTNQQNYTRQTQQIRNLYDTLSYYFKIDQNYIQNTQSYEFLKNYGLTFLGRLK RR >CAK82187 pep:novel supercontig:GCA_000165425.1:CT868429:450706:451986:-1 gene:GSPATT00002316001 transcript:CAK82187 MNDLRKQRRIFGQKVVNRQSRRLRLSILIFDLYQKIQQYIGMGQCQMQNERISIYCHTWN NETSDLFDYESIDNQKQQFTIQHQDSYIISKKETLELIHEIPKENSEVLLYITAQNSVVA GIQQQQGNSTALTNTKFPFKLKKNWLTVRSVNKYPLNVNDIFRLGKMTFRISSLSFNSQN ENELSSRSKADSNEQCRICLGNTQSSNPLLNPCKCSGSLKYIHLECMKRWLKELTSASRS SEKSETYLWNLLKCEICQEPYKVIFQSDGVTYHMLDLLKPKFPYVMLEFYQKKKETQVKQ SNLGDRFTSKSDGVIVINLGEKMSLGRAKENWVKLCEASVSRFHATLQVEKVQQQSSLFL YDNNSKFGTLVQIKEDLQIYQELEIQVGKSLFKLQTSNMAC >CAK82188 pep:novel supercontig:GCA_000165425.1:CT868429:452934:455006:1 gene:GSPATT00002317001 transcript:CAK82188 MNPNDEQQRLYQMFSQMAGPDPYGRMLQVPNSPYYPCWGPPFPQPMMAPPQNQKYQFPEQ FVHDQALQMNQIPPNQSGLNMNGIPQGMQNMPPMPMGLPPGFAMPPMGPGQMPPGPMGAG PMGPPPMGGMGAMGAMGGMSAMGPGGMQLPGMGPMPQGPLGQMPPPFDFQFKQQPPMQPQ MNQNQLMPPQQPSIQQQHQPQQQHQPQQQQQQQQQTPQQQPLQQSSAQSAQNNNNAHLEQ GFSQVVNEFQLKILNMFVQQNQMLLDFKNKNANLENTITSILDEITNLQKIVEQKFDNGQ ADDFLSRQSIPNQEMTQNNILLKSLQYNQNDFQYQLVLTNDLEQPLFKDKNFNLDIALKD MKGINIKNHNKIELEVQLFSSDDKPVLLIQNSQNQQILRQPEDNIWLEEGISNIEKLQIN EVTSHYQNGWIYLIVYPIKNDAKIAQGEINPAQIKPLIYKVSVKSKKTQKKSSRSRSRSH ERLRRLEKLDNKSSSESENHIQLEIKNLDANVEMQQEKENENNDKQQGQLEQEQEQQQEQ QEQLQQQQQYEQQQQKQEHEQQQLLLQQQQQQQQYEQQQNEQQQQQQQQQQQQQQQQCEQ EKLEQQQEQEQEQQQAQELQQQQQQQQQQQQQQQQQEQEQQQQQEQQVQQEQSKEKDEVH QNNESEL >CAK82189 pep:novel supercontig:GCA_000165425.1:CT868429:455045:455722:-1 gene:GSPATT00002318001 transcript:CAK82189 MITPQEQLDIIIKKITKSLLGKSQTTIRTLGKTFRGFESFDQNNKIDKDEFLIGLKQNGV VLTKFQTDFLLNYLDRNRDGSIDIAEFFYLIRGSPNENRLQVIVQAFKKFDKDNLGYIVA DDFKGIFNAKNHPKVTRGELTEDQAFIELLQYFKEGNKSGHITLNEWKEYYAAVSADIEL DDNFIALIKETWRLK >CAK82190 pep:novel supercontig:GCA_000165425.1:CT868429:456207:459728:-1 gene:GSPATT00002319001 transcript:CAK82190 MNELSEYPSIQFDITLYNSLKTKDSRLLLRQMKSAVEEFNHNKQFDSETFTILYYGVQQL QKDDILEFLEKEILKRLDVLEFEILQMKFLQATLDDQNKNRKDYLYQLQKEVLLTFGLYD KHGQMGIETILQFYKTKIKENDVKQYLQNQVKKIIRKENLHNYIKNRLIQIVFNSEDCDQ QISDLLVTCENTAFVTQFITILAQNSLEILQNESNSLKQVSKVLERVSAQKPNLFNQNLP VFIQFYDSESYCLRNAINTIITNILTEHLNPSKVEQDVELKESQLNQRLFLIQKLTSRII DKNAYARVHTLKMLRVVCSQNLIPPNVQLMMFPLVIARVQDVSSMVRKAALAFIKQITKF MKNLFVDGLQMQNFMKIADIKEQNEYLGNEIHKTLIAIDQILEDKANAQSEAEFKELEDE ENLVVSNLREKKKLQSVFIQYQEFMELLQKLLPNIELLLNSKCITDIYKAISLISFLFKQ KIENTDVGIMKMLIHSNNQQIVNKLAKKFFILFIENDNEQVPINNLIHLLKISSPKEQIY LEELIRYMIKQKMIPESTIEMIWTHFMRTYSTNQHDQLNQYSKLVRITFGQQSNLLTRMK LDQISKIIDGQKKEINWVTVIELAKLIYFLEDKIIVKKYFQQFSSILYFYFGTPNNLWFV ACQEILNLVQFLPVPESIYDYIIKKFSFKTFAIGMTPYKNAQDFLEEESTLVFNNQCLQL SQLLFIVGQSALAIILHIDEIENQLTHLRNVEEAKENELDKIQGGCEEEYEYNCVYLQNL CKYNVIQKGFYQNYAPLVLDILAEIEEENVQLTILHKSAGLCLCKFMCLSEQFCEEHIEK VFRLIQNPNSDGVFKNNLIVAIGDLLHRWPNTVTSYCRQLFDGLSNQDFIVKQTSLNMLS HLILNDMIKIKREITDIAILLTDPDENIQQSAKRFFFQLQKKDSRRILNSLPDIIFSMSN QESHEKYKTFLINTLKYLDKQNEQLVEKLLRRLKENINDFEVYNIVFTLSKLQMKESLAR KFYECYPYYQERLENPQIKEYFQVIIQKLMKCGLNQENKQILQEFEELLNRGETKRRVLG SKNKSQKSTRSHTNSQRSIGYSNILDQLYTQSTEF >CAK82191 pep:novel supercontig:GCA_000165425.1:CT868429:459789:461309:-1 gene:GSPATT00002320001 transcript:CAK82191 MGNCYDSRKNTVVLAFDQAEYTQLNSQFQYYCELSSETRDIKQLNQRSFEDIFSENSAFG IKLFKFLELYSGSDGFVKKEPLFEFCNINITYIIVELLVKDVQTSVPAFKNLERFELMSL ITLQNYRYVKSKEELAQLQLTYLDTLSVIKDIIKMYQHTQKNAPTNEKYIKSLVDQLYNL ENESGSLSWVQLMDFISKQMPGAKQLIKKYFQAKFMGKQFNSIIPIVNTPSYFVTDELFF QLLLSSQSVLTDCNQLTLLYSSVAHQGGFNQMVQSLKECKLPTIMFFQHEENYEKKTKQQ IFGALTNLRWYDTKQYFGTKKDCIFSLYPYFKIYRSKRRGEQNYCFLDSNKGLGFGGKNG EGFRIWIDKNLQNSYCTQNDESYENGPLVLSYVKKLQINVIEIWAIQHPADENDENFDLK IDLKDPLLQKSDKVQFANSNADFYWVQQQKTEQRNSGFYWENNNVS >CAK82192 pep:novel supercontig:GCA_000165425.1:CT868429:462030:464305:-1 gene:GSPATT00002321001 transcript:CAK82192 MNNNTSNIRKTISNYSYEQNDLIGSGYSSKVYKGMNTKNNQVVAIKVISFQQLITPISKS LLKNEIHVLSLIDHPNLMKIYETFETKNNRYLICEYCNEGDLAEILESKKFTETQSLEEL KHYMIKKQYIEISSQPNILKSNGYYKLADFGFAVIENQYESIIKKFNVGTPMYMAPETVQ NNVYSEKSDIWALGIVLFQMIYHQLPQLSKQEHDLNKKHQFLINKIKNDAETSHKTKELM LNMLNFDPEKRLSINEVISNVQIQTKKISTLQHHNIPCRSIKTSFISQDLQQSRQQLNDQ TSNSKLFQTQQEDTIENYLKQPQLLKSTELNDFQNWLSIQMQKINSMIQQFRTLKIKTNL KDKQSKFLTQKKQIQHQNSFNNHLSNFIINIMSPDLKHKKSLELLEISNQEKEKISSIKM PMAKQHELNNLKSDYTKKGNSIDESECIQHSNQNSTNDTIKHNQFSNCQQILSEKHQILD IQQHQPKPQFSIQNNNSIQQKKSPVRITQSQQTIITPPFKSSAVSLSKNSQDTKKNYYFQ SNISSQLNQYSYQQKQISNVINEKFTSDFTSNYQDDKVEFLSASIRPTYKFLEYINNVLK QFDCINTEDKQKCYFLIRKLIGIKATYIQNQFPTIKQEIIKGWIDSFLTYYSKVESVFYI SPDKQFEQFFNKDLSEFTLSFSQLLLHYLRKINIVKLQKEFQIIQEILLENQKQRNDPIL FARRWENDQL >CAK82193 pep:novel supercontig:GCA_000165425.1:CT868429:464912:465127:-1 gene:GSPATT00002322001 transcript:CAK82193 MFDLVKGAIHMRMVKQSGKQKFKMPYQSFSSNQACSPMLRFKNAGTIGCRQLPKDSTQKC NQQQSINVLNL >CAK82194 pep:novel supercontig:GCA_000165425.1:CT868429:467035:467650:-1 gene:GSPATT00002323001 transcript:CAK82194 MNQQQQYYQEQYQVQQPRIKKAKSFDEDDNLEVSNTLKQIIKQGYGIHEALRIDILEFYK GCRTQQEYKNPADFVKHRLDGKYGPYWFVFMWEHKNGMNAQYSYYNNDDKVFEFKLNGWH VLIYSFNQTQQPAQFKPQPSGQTMPQYNTQFPPQHQDRRFDDFQVDPRYPYSQMQQGPSQ FRQGGWYY >CAK82195 pep:novel supercontig:GCA_000165425.1:CT868429:467830:471982:-1 gene:GSPATT00002324001 transcript:CAK82195 MNNQELLQLVDIDQEAGWRILQSKNIKLSDIIYSDFNQQQLAICLKDYTIFEQCLNHVLE YENNELFQFIYQYFDKEFTYNCLQMEPCFSCYKHTDVIEQIKKPISNGAKSKIITYQFSK IYEISEIQLDMREEIQGRIRIHLPGEEIIPFSQELKPPIIAQSITLEYPLQEIERHSNIC ICSTFRREVEECPRCQGKSFQISFSCKPVIDIEPITNESEVQQINNIISDLEQVKQQNYE KLMEYYNAISQYKFKVQMIQMMKDAYKEFNQAAILSKRYSRRVRQFYNGMEDERELCQFS KCVECSRRVLCSAENVSESLLRKLYFSNNVTHKERETAIKLLKPTIKYSVNDLDQIANNE DVYKEFCLQAQLYLKGENKMEFITHFLNRCKELKLDDIIIAILKTLNQVQITQIQQLLCD IKVESICLKDNIISQLFLIKNVEVQDQLRELVISVCKVDEILGLNLFLNGLEYTNDTSFY QLFDEIKIKTAGDAVVEYLVGFIKENYRKLESQKILKCFEMIQKCWNILSNDQLKWYIEL DKLFNHIGQNNARINQIYNHLYQNMQINDNFEILKYFILQLLNENQQNQQIQRLSQMIHN LLFKKLEFRIRIWPYKTNQQFYQKEVEYSSLNFETLEQLKEMAQKDLGLEPQFDLIIQER IVNDTSLDLELVYKQFYIPEKAKQFKNGQKILSMNAISQDPQNHKEKQKIKELIQQKIQM EINYSIQGVGNHDDLPYVPSLVDDKKSYLEKQISSVIEPQHLKILFILINNLIQEGKRFM STVQKIVGIMRFLCNSSNQIKQQIINCQGIVVLVKIYLQEPNENEKLLDFIDDLLTQTTQ TRRRFSENIDPFELKFHDKESVTLLYQVINHLESNPTQRISKTLIQIIPLIIRDLHEPIS ALIEHFKRNIKFEWNNQQLAFFGSILHATPTHYQKLREATLESGIYQMAIESYLQNQSLE VWSETNANCLKIVFSVAIGSRECQLLLNEIGFIQQIFQHSNNHNQVVKELSKVCNDCINF IKNDDGQYIIQELKTTIEKLTMEKEQKFEQSYKNKKQQIMKKFQKSTYNQKIQSLQIEKG LQCVACKEGYSLSSNQLGIYVFSLPYQIPEEKAFLSMQKQETYGLQSVTYFTVIHSSCQK ASVDCAIKSQKDEQPKSHDEIFWDCAIKNNGCKCNNFLPLKNGNNYEQTLEIYFKRCEKI LKNKITSKCWLLLNDLKGLIFRMAQQDDLSVDAGASTYEHNLKLIPIMMTAIIYCNVSQY SHLMSTQQTSFEYISIAIIVALFHPYQDWQHYLGTYSKDANPHLLNAIKIVDTIMVNILS KVPNDSNYQKALDKFLNQDQMDIVLQFEKLPIEYNKK >CAK82196 pep:novel supercontig:GCA_000165425.1:CT868429:472791:473300:-1 gene:GSPATT00002325001 transcript:CAK82196 MGSSCIRTSNNDTSSLLSNQCDFNNGFQQGVYLVHPKKVLDSISSVSSYSSINSLQSNSS PVYPNYSGISLDHIKQKNVENTTEYNKKRIQSKQFLQPNQSYLQNSKSNQSELSIELQEN QTISLAIPNKGQIYFKLNFSSQRRVYSKKDLLKRAKKQRKSEVVSPKIN >CAK82197 pep:novel supercontig:GCA_000165425.1:CT868429:473350:474048:-1 gene:GSPATT00002326001 transcript:CAK82197 MNNLVGGIQKYKISQMNSELPFIQNRKQIRAISLQQIFDKVQSNCLQIEFNPQNLANLKP AHKISSNHLNYDNHPQTERSDTKLRSQSMTNRLQRSKGSETILSQVDSKNTGNKKYTYGS NNSDSIQKQRIHLKKFDNNKQLRNDRITSFFQLKMNKQNDSDQKFNNLMHSTNNLLSQIS ERTNLKKIHLNKQNDIDTINPAGPLLSFRQFAKLQGFKLPSFLHM >CAK82198 pep:novel supercontig:GCA_000165425.1:CT868429:474169:474587:1 gene:GSPATT00002327001 transcript:CAK82198 MKSILLITLLAITFAADPEQCLKERCPNEYAACQKEVFGCASAAMKCKNQCGGDDAECML NCALASKNAKLIALAECGHENCQDVAFTYCDIQICVESFKSECMTSQGLKAYQCAATFLQ RHSECHCITEL >CAK82199 pep:novel supercontig:GCA_000165425.1:CT868429:475520:476238:-1 gene:GSPATT00002328001 transcript:CAK82199 MQYQQLIQNNFQSIVELYYHQAKLSGENRVTEIKASTRIQAWHKMHKLRVHYIKIRYSTV IIQKFARGYIARMLMKRNNDSRYNERNIKYFSYHATQIQRHFRGYHYRKYYINWSTRKAY LEFLKTKNQDFLEELKKVEVDENQQLKVRQEQLARTEFESLAKNLHHLSSTQTIAGVYNK PFGNKDIVFDMDVESHLKVVFHSNYEWEKRRQMSRYAKSNKLNYSNKLKPLK >CAK82200 pep:novel supercontig:GCA_000165425.1:CT868429:476388:476891:1 gene:GSPATT00002329001 transcript:CAK82200 MKHQSNSEEEYIANVLSAFLKIRQQEEKNIAIQLTQLLQSYKQEDIKQLKNLKVEQRELV GQMNLNIKQEQHKSNSNENTQQQLKALKPSYTNSEKKGDKYTEYGILEDGAYTCLKCQSK IKRTQDLLKHWTVQHGRQAIPRRKRNMINEDTQCIKKVSQENPKLEA >CAK82201 pep:novel supercontig:GCA_000165425.1:CT868429:476960:478865:1 gene:GSPATT00002330001 transcript:CAK82201 MSAAQTLYNAQCSNNDHKAQITNYCACIDCLRPLCPECIQEHYQFHVQAKTPAAIQSILN TRTNCERKIGKAVEQLKKEYEQYDIQYFFNPDEILNQEISRMNEAKQQMIEIIHLFFKQI ENLLETKVKENLLKVKNIGGIFQRIQTVIEQLEILRNNLLTSPDPFSHFHKACRLDVKSL LDRYKSDLKKSIKSKELDPINVHIDEHKLFNLKNELSKIIIIQTTDHEEAGDNVSVLSKN KDQSINYSVQGVYAQQTNNPQGGPPHFQQKPSKQQMSSLGDNDEPQYGAISNQDFQISRP NYFVGQHKFLHFIINKANVMKICNLETKQWMTFEIDYEVPEFHRSIVAPNGDIYITGGLI DYKDNRKEPIVRRYTLDGKMQQIGQLSNPRSSHSMVYCNQAIYILCGYQEHRKMSYSLEK YNFHTQKMELCAPCKTPANSPACCVFNDRYIYKFGGYDQNGWNLLIIERYDTHENKWQHI DPEISQQESSKFQTMYQCSSCVQINKNNIYVFGGYDNDDKGVSFSFLLKIDDKHTIHQVN NKPLLYPEGFWNNQVIIHDHKLFVLQNIEVDGNQIDEFNRSLLCFDGQGWNELQYQISN >CAK82202 pep:novel supercontig:GCA_000165425.1:CT868429:479076:479835:-1 gene:GSPATT00002331001 transcript:CAK82202 MSGELPKIVNKSLFTTYVEKKNAEEETLKIKNRIDQIRQEREKILKKIQSEDQKAEQIYK HRLELQLKKEEKIRQKVEAPPPFSLGVSRAQRETLKLIKSEMLLRKKTEVKEFRDWHKHD LKETKLQRSMDHETFRSKVIQGKLEEKQANLNTLHKLKDRRDKIRYDVELEKDKVIKEKV DYDQKIRELEQLEQLELSNLQYTIQRQNQTKEKVNLAQSLPPKEFEAKFVNGSAKGQQHQ ESS >CAK82203 pep:novel supercontig:GCA_000165425.1:CT868429:479917:481066:-1 gene:GSPATT00002332001 transcript:CAK82203 MSQSQNSQISLSPQKKQHHYFPAISIKTTGSPQKGQIEHSNYLFSQHLQNKVNNRKVKRT KELQLDKAYTIRKYLQVSSLAHSDSQMSQSKISKNISITEQKDTSLESQYSISPLKHVSK IEDTLNIIRLLDVNHTQPDSSMKYQSQSSIHNQCILELDQEQEEGDQKNSLRVQDQLKIS ANLQTNYKEISENVLSKSQSPNKSNIILKINQQNKEYKKYASQMIRMIKQTQQTLIKDFD LEQVNLERDYKLKKIQRQRERKEALQIAQEGIKNRQLRSLMMALKGSEDKIRSDCPLFLN LGKKFNSLSSSQTAVQSRKSSHQKISQQLLVDKQFDDRNFRKHSTKSEICSLETSLELPL QIQKLI >CAK82204 pep:novel supercontig:GCA_000165425.1:CT868429:481122:482325:-1 gene:GSPATT00002333001 transcript:CAK82204 MSDQIAKPMKKYSEKINKLYLPSVQPIVIDNGEINKTVLLNRANRCKSQQIISKQNQMQT NQSQDNITMNYQVAQANQSKDNCSISAQSQLNKTSDQSELNALEIVNINQLIKQKKKEIA RQNKYYLKQIKNYEMKAKLRDQSSKDRKSTDKSEELYEKCWDETIKEINKEIQENQLIVC DTIHQPRDKKRRRPKPLKSQIFTKIREQEDWFFRQRSDSILKKMKQKSLHLVGEVTEQIQ QAQRIQKEQQYQRSKERENGKQEIIKSLRFKEQERLVKLLQSKPSNRHVQLAFKKSEELE DQLSSFKKNKKKRSLSLNSCLPSIDKPQEVEINSVKQQEKILILKKIQESSNLLNRNLLK KPRNFSQQSYQDLNSIIKCQ >CAK82205 pep:novel supercontig:GCA_000165425.1:CT868429:483113:484511:1 gene:GSPATT00002334001 transcript:CAK82205 MNCLTHSGVFKSLWTRPLYKAGNLYTWGVHSLGCGINHDSQSDQLKPRRIDAFNGNVQKV YPSEYFTAVITDNGDLYTFGNNNYGQLGLGNTEEQQTPQLVKYFRDKGLKVVDVALGSNH GVALASDGNVYTWGSANNSFLDQLLCRPNGLGDNYTNNLLQPQVVEKLAGSAKGKFVSAG INYSIVVNENNQVYVWGAGKRGELGNGCSLNFKYPELNPIFEQLAKSGYTIQKIKSCFAG TLALLNEGIVVGWGRSYYGSLGVRQQEYVVTDLENYSPTPVNLKYFQPNEKVVDFDLGYN LSLFLTDQNRIYLSGYDETYVPRPIDLPKDEKILKFSASNNSFAILTDKNFYTSNEYVIP QQKRNLGFLFKSQPRELFESTNIEQFGGGYRVRYAVVNN >CAK82206 pep:novel supercontig:GCA_000165425.1:CT868429:484567:485240:1 gene:GSPATT00002335001 transcript:CAK82206 MGKQYAREPAVAKNSAKAKASDLRTHFKNTYEVARAIKGQTLAQALKYMQDVLQHKRCVP FTRFNGGVGRTGQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLSNEKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHIEIWAAQKR >CAK82207 pep:novel supercontig:GCA_000165425.1:CT868429:485263:486341:-1 gene:GSPATT00002336001 transcript:CAK82207 MISLESISDKYEDQFSRLENAMIELFKYDNLLNNEYLVRKFETDLAKPDHYLLQYVVVQR ENSIKNKYVQNEQNDRKQYHLILTAILKKYDNQLWSFDHSQLLIRPYFRSLRKRIQIKSG ASNISSIKESLKNVGQNSEQLQFEEEIYQADKSLIKLTLTCQSEQCADEIFTYLMNNKSK LQIDSVMMQSINYLDEFHKQLNLKKCAMISNKKSHQFDDYERDEERHDRDNKHSGFSKGK GRQNYGQQEIQQNEDYKNQKGKGRFDRNNGNRQNNQDFQKGESQQQYQLVQKSVNQKTPK QLVKQKPINSDDFPTLGTQQN >CAK82208 pep:novel supercontig:GCA_000165425.1:CT868429:486432:487026:-1 gene:GSPATT00002337001 transcript:CAK82208 MDPAEKRMKDIKEAFDQFDTDNKGTVSTKELGTIHHKLPFLGNILKYLGQDPTDEELDNY MKELDPESAGTIDFLQMMKKLTVAVKDDDTIDELMASFRVFDVDSTGTVPTAEMRYILME MGEKMTAQDVKDILKEMDPDDSGMCKYVDYVKKKYEDLQVAKAKAAKLKAKKKKK >CAK82209 pep:novel supercontig:GCA_000165425.1:CT868429:487176:488483:1 gene:GSPATT00002338001 transcript:CAK82209 MKGICCLLIFSLVATQSFALYEADSKVVKLTKDNFKTLVLESNEPWLVEFYAPWCGHCKA LAPEYNKAAKALDGIVHIGALDMTTDGEAGQPYGVNGYPTIKYFGVNKGDPIAYEGERKK NAIIDYLLDKAREFALNRLGVEIKPEPSNDDSKVVVLTDADFDEQVLSSQEAWFVEFYAP WCGHCKQLQPEWNKLSHQADIPIAKVDATAQKELASKFNIESYPTIYFFPAGNKQNTHKK YEGERNAAALLKYIKEQKPIDGQSQKAGSDVVNIKSDDSLNEVCKQLCVLGFLPTDKVEQ EDGVQVLKKTALSLTGRANVGWFVGEQFDDFEAELNVIGEGYPQVVVLDLSAKKHYRFRR QLTVDNLNEFVKGVIKKTETGQSFSNLPKLNAQKTDL >CAK82210 pep:novel supercontig:GCA_000165425.1:CT868429:489100:489486:1 gene:GSPATT00002339001 transcript:CAK82210 MQNIDIIRAKNRKSDVTNVSGFQSSTQKTMLNEYSLPQINIIVHSFEPKLQNLVKADGDQ TDINPETDRVTKRQNPRIDMFKNEIVKGQKIHKIAFRDQIVGESLIQIKYFNKEPQEDLD ECCPCNIF >CAK82211 pep:novel supercontig:GCA_000165425.1:CT868429:489597:490846:-1 gene:GSPATT00002340001 transcript:CAK82211 MQIKVSLIFHIGQQLLINSQQNLNCAVLESDGNSCAIAAAKLLINKFGSVDITKTSLNTH DFEKIINWEAYFQAKDSYNYIRELEIYRNPYFYLWTRYFTTAPMWEIEDHTYYIIELTDQ DLNFFSFNSRRELNKKHTIEQVILEGLHLKYYRTIIFLCVKDFDQGRYHAPKMYIDTVGL FNGIYRRKQERWLWYNVTQGSYPDEGTLKQANVIIIPGSTSSAYDEKPWIRSLQNFILNV YENHTQIKIMGICFGFQLLAQAFGGTVVPCKNRIKHKGFYYYGNEKVAIKNDFFQIECFK ELTQIDSVIINKAHGDIVTKLPEKFINYGSSKTAENEIFISEDLRIFGMQSHPEYTSQQI LLFISGIQSSEGNRSFGDYYNDTNNKNFVKEKGDFLGLLMCHKFLQS >CAK82212 pep:novel supercontig:GCA_000165425.1:CT868429:491863:492865:-1 gene:GSPATT00002341001 transcript:CAK82212 MSEESQYSEHPGGWIEWFCAHEDHQFLCEVDEDFIRDPFNLIGIKGKFNFFNEAISMILQ PTSPEDQDLEDERFLEVYQEASDIYGLLHARFIFTSKGSAIMRERFLQGKFGHCPRIYCE KHNVIPIGLCEDLKTARIKVFCPRCEEVYMPKKKCADIDGAYFGKSFPQYLLMTYPDLHP KFQLLPDTQIQVDFEPTLFGFKIAGKQGSKIKKIEQSQQYNHIITQSNISSIEQNILSQE QKQYRIQKQQQQQEQELQHDQQQQSDQNKEQTNKKKNKKKHKH >CAK82213 pep:novel supercontig:GCA_000165425.1:CT868429:492945:494019:-1 gene:GSPATT00002342001 transcript:CAK82213 MNNQSQPKGYMGAPYVGNIYGQQQQQGNLQSKDLFQTLAKEFQYQIVKAPNEYTQSAIVM LRNFQIRIDQNYNIGIPPTCSLNNAPYDYTKFYQWNNNSSHLSLVRAIQDQLELHSKILS NPIIKNYSEFINLFHIDESVNRIKNMPLPQILPLIQPSEYQQWISSDEKLQTLKAQLSQT QELKNLKEQMLQYAQQNEIVADLIEANDKEIKTKIERLQNRNRLLEDEKDNYIQLQNRSR ALSERFQDSKVNTLFQQICEKYDEISDKLLESETNGDYEDQANQYFQSRRKYQKTLALSE KFRQMKQI >CAK82214 pep:novel supercontig:GCA_000165425.1:CT868429:494936:495986:1 gene:GSPATT00002343001 transcript:CAK82214 MFKKIIQGFSKYSHRSTIPSLLKDPRYTRRGISKPKGETRMLRKPSIPDNIPNAHLYYDH TKFIENQKNNPPTLKYESTDLEFNLSILNNDTYVHTQDFKLWKAMKQLNIHHPTEVKKNW ELFDRAYRVILDNARYLDIYNFRSFTQVSQQYYFDDEKVWTTLYRISIQFFVGWEHQQTP LLSKLIEKTHQQSFLFSFNIIMQQARRFKVDVSNVIIHFDYLMRNHLRFSKIHSQEAYNK VENVEDKNQLGNFLSHFAFCIQQRKDIFNDVDKEVKEKQVEEFLHKGQKLCLESFDKLTA GTKKNLLNSITHARYQYKPLFEKLK >CAK82215 pep:novel supercontig:GCA_000165425.1:CT868429:496152:497822:-1 gene:GSPATT00002344001 transcript:CAK82215 MNKYLAYQFVGKSDGLHKLPDHIQKIKKSLGFEEKERRVIEELIPQLKQRIRAQSIPEKP IPKQIHINNLSKKLAQLKQEYDKINVELNKHQSFRTQITNPKTSFEQHFFQIEQQIHIWE EQKSKLSDQIKQIKKELFFLENQSDTYTSKKIVTNQSVLLSELVTIEKKIPTPSKLPKIF NQESPMYTQPKAFHSDVKMINNTNDSNLIDLLESDEDQDLRPRTRVNKIKQKQSPTLEQI ISQLDQFVVKNDKLQPHKEALRQFRLAQYEKYLIKIPNIQMNTIYDLKRSLTNKRIKNIK YGFATVFFCFCLIKQTKISQQRYQLISYSYHQNNYQKANQEVLFFVNNVIAKQILFKFSR LQQLQKAEKKQHKIMIPLIAEEIVREILQKLTNQKFSNQFYIFINSISEEGFYPLQNYHS DIIKSRFQISKYFSYLLKECKKIVFFEFVLIKNIIFYGLIFKAKELFGQLKIDADNELIN SVTLILLELFLRTLQSGVININLKHFSYAIADGPYIQKYLKELDLIKMKVLTTLQIDDMM KPFIQMIEKQFINYQQ >CAK82216 pep:novel supercontig:GCA_000165425.1:CT868429:498126:498925:1 gene:GSPATT00002345001 transcript:CAK82216 MQLRQSKSRKSIDDQEIGQKKQQSTRKSVGQSKANRSVSKDKENHKTQTLLKNKAKNISE TFKLKDILKRKELYKGNEEYEDLTATRATPQKTEGQTSNSRFSNCNYKQEIIEDNLNLKQ EILEHLEKIQCIVQKNERYMTHRSQQEIKRESFINQEKSSRKCINKVSEQDQKEDVTNTS NICNLNYIIQQQQFQISNLKTKLFCQEQQFLEKQSKYEQEVLQLKNQILSLTSGMEMLKE QIHQHQFQRQVNVQTKK >CAK82217 pep:novel supercontig:GCA_000165425.1:CT868429:499102:500900:1 gene:GSPATT00002346001 transcript:CAK82217 MNIGNRNNDNSSSEEIDLELQDDETQVNQDCPSEQQVLSHLLEIGEFIDYLDSNTNKWAT MKIVDIQNSNIRLQSPSSSNTFWIQFSYEIIRKQWIPGVPLQNSKVEVYNSNKGWLVGIV VQIEKDEALIHHQGYKRIYDQNYQHKDIHPLGLNSSEPGIGKLLKQQPQSLMRLQHRFNL YLQLQNFLTMRKKYKEMETSLQSILSEQGMLIRDVQGDGNCMFRAISDQLYGNEIYHKEL RKFAMQYILQEKDYFQDYIINGNVEEYVEYKSKDGVWGDNIELQAFRELYDIPIEIYVCS KEPLKTGLEANPYNKEPIRLSYHGRSHYNSIKLKDKQHSALLGVLEISQYEKQMFEKLQE QKNKQSANNNNRCGQQLSRAQFENCISNELDIIFNESKRLFQDQQLKIEQTAIKESLQQL EYEEEEEQLMDMVIKESVQDAQFNQQFPIQQQQKQTQQQQQQQQQQQQQQQQQQQQQQQQ QQQQPNLENEILRKIMEQSKQEQEQQFMQQFEDTQFQNALKEIEKTIYEDPMNNPTIAQV MAMGFFTLEQVVEAYAIHRDDPDMIINYLYENFQ >CAK82218 pep:novel supercontig:GCA_000165425.1:CT868429:500929:501591:1 gene:GSPATT00002347001 transcript:CAK82218 MDDQDKIQEDECMNQYCTIESQNVYPAKFSDQILFFCKECLELYTEKKCCYFCAQVYSDQ NENFLDGQKWIACDQEKCDKWTHLSCEAKNGIHSIECLVEDMKFKYICPWCRIEDQKQRN MKPLPRQINRKGFKFLEKIHKRKNSTYEPPLNNNNNGPSYFGSTYTSKSSYLDELLKKNG GFSQQITQEEMQLDLQKMISLVKQ >CAK82219 pep:novel supercontig:GCA_000165425.1:CT868429:501824:503343:1 gene:GSPATT00002348001 transcript:CAK82219 MKSAYASLKDLIPLMKSSKSKIKIKLQASQNYEESCTCNQMSCLICSRKRSSSMISLKLL KPSVMVEQGKIEKQGITQLQSLIQRKLQRQASNQLLQQTYYGGKQNYDPKDSSINQSTWL LSKTHSRKISVDNYSQKEVTQLKSAQQQSVTTISRLAFRFIYVIGKGGFGKVWRVELKQA KKEFALKEMIKAKIIQKRSVNSVMNEKFLLEQLRHPFIVNMHFAFQDRENLYLVLDLLRG GDLRFHISKLKRFTEDHAKFFACCMLLALQYLHSNGIIHRDLKPENLVFDKDGFLHLTDL GVARLNKESVANDTSGTPGYMAPEVMCRMEHSFPADYYALGVILYEILIGKRPYNGKNRQ EIREQILAKQATIKEGTPNVSSQAIDFINRLLIRKPQQRLGFAGIEEVIHHSWLKGVQWT KLLNKEMKSLFIPGCIDGNSDFQNQISADSELEDECQSLVRRKSVQSLFDGYKFQ >CAK82220 pep:novel supercontig:GCA_000165425.1:CT868429:503531:505442:1 gene:GSPATT00002349001 transcript:CAK82220 MNRKPIFLQDKQGQQSYPYNQPTQIQTGRQADTRIANMKNTEHLLMAPQHNTPFSAFSTA MQSQDASFLSNKQEDANIGFQQILKHTNQIVQEKSQAQELKFKELQNQIDSNRTIIFDIR GQNPINAEQLSIKFKQFEESIKTYIKKAQEELMNQQVNFQSDYQIKFKELQDQTDNKLTF IQKENQSNLVKIKEDTQSRTPTQINLSEDTLIKDIQQKIKALQLLFDSQHNESVINDQKT KQQLTKLEKNLLEQSQKISVQEEQTIAQLKTFQNNQQNNISQANKKLYDDIEIKLQQVYN IIQQKTFSQSDKLTELTLQQGDLNKITKGVFDLQNSQKLLSQQYQELTLRIDQHLSQTSK QELNLKELEQKVDQNNDENYNGITQLRLELSSLTKQFERVKLEYKNQFDYLINQQNKSNE EIRKSHQEILFSFKQTEQTHWIDEYNKKKPEIQQAQVQVFSYEEDSESKSDQQINEISEQ NNNVLNFFIYQDQIEPEFEKEAFMERLKALSPFKNSQVQEEKIVQVKEENQDKEIKNDQK EEEDDNDNDATYQLDENGFLLDEEGNYLLDENGQMIQLNETQIEYLRKQDMVQEEDN >CAK82221 pep:novel supercontig:GCA_000165425.1:CT868429:505720:506282:-1 gene:GSPATT00002350001 transcript:CAK82221 MIKLIKQNQSYSEIISFDGKGDGIIIHQQELFENQILLNYFKHNHIDSFTRQMNNYGFKR VKNHQGKYEFKNPFFQKNNKNTIHLVMKKKQEKIQIISQFLALKSELNQFSQELDQFNFF ASSYQQSQSILTESQNKVKLEMISISQKNLEMEQMLSYLIYEKKNGFKLD >CAK82222 pep:novel supercontig:GCA_000165425.1:CT868429:506756:507479:1 gene:GSPATT00002351001 transcript:CAK82222 MISEIKVGVRIVQELGELFEFLNQIQKNYYLVQQNNKYMSGIAKSAKGGKGGKGGKGGKF GSGKNKKAPQSRSLKAGLQFPVGRIHRYLKQRVSAKNRVGTTSAVYTSAILEYLTAEVLE LAGNASKDFKVRRITPRHLQLAIRGDEELDILIRATIAGGGVIPHIHKALFGKQTPEVGQ PKE >CAK82223 pep:novel supercontig:GCA_000165425.1:CT868429:507625:509121:-1 gene:GSPATT00002352001 transcript:CAK82223 MQQQQKKPSWIQQLFCGCVREEPLQSNQKLQKLSTQMEIPRSKPITLISMQNQQEGWLNQ TTSQEIDYFEQGQYDNDSDEGHKIYNRQLSYLEKQSLLQKTNFSDEFNSNNIEMKLLHRC GGLLARQSNLQIEMQSHQSIIKIFKDVYLIVQDREFETKFKALLLNPSIVDTINKRIKSN SILLAHDPTGSILLKLASHTRTIYYLESDKTYIDLIDRGIQKMNVNVLKVLKMDLQNKID VVVVNLYFIDSKHNLRNIMHEYLQYAHDIIIILQPNIENSLIYSQAEQAISLSEQSKQTC SIELQNILENNNCVAKILYFGGVTEITLNDELNFVYSCIDSSVKKLYNYKLMFKDLRNQM GMTKLIKLLNEFQIIQGIGQFIEFSTNLNGYQDKYRLTNNQTQDFENIDDKDQLIEKQSS SSSTESEPEEDQNQERLDFRSETLNQSSNSIQQPPVLRHANSQPFAI >CAK82224 pep:novel supercontig:GCA_000165425.1:CT868429:509123:509854:1 gene:GSPATT00002353001 transcript:CAK82224 MILITTLITLIHAAYDGTDYLKGEGDFVIEQNWIMNQTEEYLETEFVTEGNEKFLKLHKK AESELSFKDGFYHLFQESQPQHIRFWFASGNKEIEDTNLRLKDTATDKTAVSFRCGYAGF VRVNDNQMITFYNSSVSSEAKIIWTQADILLNWDTQEVLVFMNESYMGSASFYHTEVTKV NEVMLYNLKPDTTSWWKNIKVCKERCDNFEFTQIVVICFALFILLL >CAK82225 pep:novel supercontig:GCA_000165425.1:CT868429:509854:510585:-1 gene:GSPATT00002354001 transcript:CAK82225 MAQYHYLFKFIIVGDGSVGKSCILLRYTEKQFRDEHDTTIGVEFGSQIFVKNEKTIKIQI WDTAGQDSFKSITRSYYKGSIGVFLVFDITSEESFHNVLKWYNEVNDQAAQNCQIVLVGN KVDLESHRKISTVQAKAFADQYKMQYIETSAKTNYNIDQLFENTAMDIVEKIEKKQIEFT NEDCGIKLGNYSQQQNKKKQDDDCKC >CAK82226 pep:novel supercontig:GCA_000165425.1:CT868429:511223:511698:1 gene:GSPATT00002355001 transcript:CAK82226 MLSLSTKSQMLQKLLDIYMNKVMNIYTQIYIRLYVPFIQNIIYTFEYMKEQIKYSKTIYH KFQR >CAK82227 pep:novel supercontig:GCA_000165425.1:CT868429:512412:514506:-1 gene:GSPATT00002356001 transcript:CAK82227 MSSSLKQSALLAAFNHKGINPLPKYEDIRIGPPIVRPNPQQIKEKPYFQQQEKKLSQSQV SKQKAQQESVIVQHIRIIFMFYVQFGNKTSIQYLKSSKFIKSMADAEILSQEITSKDLDI LYFQTTKNTETLTLDQFKDLLVKIAIKLYKSPEQSKSFVLLYKNHLSKLYEKINDQTDFG YDLKRIEACRSQIDEQFLEIISQVQGLLCKIHGYIFSDQSQKVSQIQSQYMHFLQQFEII PLIITQTKAQMIFFDLFHRDSILNLKNDGKFLFNFSKFVESLIIISFHQVQEDNQSGFDL FIQLLERMENSLGFQYFSKQNYQTKNEQTQLVMGLTRVNSAKQSRISTVYQSQQQQFNSN LKQSQLVEDNKLNKINSQIPYEKELRNIFEYYSQIGEPINLVNLKSIKYKKLLQNCGIIG SLITQVDADMFYIQSTPKKKLDSTKSPKFKVNKLYDNDNQNGKMDFINFYNSLQMIAEKF NGNDISGLIEQFLIPLETQIRIEKQEYILQILMMILDDPRINKLFEIMQEHFEPYYNHYT KDLMTLSGYMKFCSDFELANAMVSKGQLMHVFKAIASLNSTETIDKRQFVEAISVIAILI YNNVNDNEQKIIYLLERITQSDGAIKVSKQLGIMKNPQNTNLIQLFRYQSNKDLTNKEEI NFNNIVNQLQYDF >CAK82228 pep:novel supercontig:GCA_000165425.1:CT868429:514930:516926:1 gene:GSPATT00002357001 transcript:CAK82228 MFELNPNELKSYQEKYDKLMKAKVTLHFKQTKKILIGQFSVTDAQADSILKKVFSSQSSD ELNKEGFFMALRYVQCLQNKVDIETCNINTIKLQFPLRVNCKSSLKKSFQTPSHEDILEK SMIALQNLQNLVKVPSTQERQKSQIHTQTRDNQNQQIQKELENIDLEKNMINLGGIHSFQ QQINQPKAENSIIQPFFGYEQQIIKTNLESQAQMMKSEQHLITEFGVIDVGQNKNKSQQQ QSLEDPFKTNGMPYDNDQTQMQVANAVPKEIKENIPDVIEIDEDEEEVILKHEDSKDIYV WISGYQQFKDAPFTQTYYSYKVCSEILTDPLNGSYTKFQVWRRYTDFKMLQQYFTQTKLG DIIPSLPEKEGVLASLMNMVVENPEFISQRQEQLQLFLQYSTRYKDDQILLQFLSNEKKF DLILKELRVMKGDNYDEDTFLPDPDEFTRKQKWKELIAGKQIIELFDYFKGKKEIEAKFQ EEYRQVQQQFKILENKKLELSKFKQCLNQFYDPIDKFSSSSQLQQTQIISEDNIRQVLET QKLFQANYSKHQNLIMNQVTQIEFQLNSLTYSYEQIQKQIYKWFDEKKRLREEKKSSKLE IQKNELEEQRLQFVISKTNETLIKLVKQITEDLKGLLKDFVEELTQI >CAK82229 pep:novel supercontig:GCA_000165425.1:CT868429:517390:525933:1 gene:GSPATT00002358001 transcript:CAK82229 MLLLFTITIIQFVPTARNQIISQQIEMGCKTAQNGACQELCPLGQFDCQNYKIKCEYGYF LLFGSSCVPCPYQKVIDSFTLYCMDCVEHPYTWWSNRTCSYEYSIESGDYMKGTYIRKTK EYTELYFIGPSTSSGVNLDSIPRDVQFQYETYICSGCKQFCYPGMTENCNSISSPYAEDS AMGIECLLTYEFKNQLCISCPPDCLKCSNGQCTQCNDGYMIKNGLCQKCQDGCLNCFVVQ LSTVCTKCKEGMVASLDQKQCEYCGNECKRCEYVSYSSNVQYHYPTRTMIKIEEINKQKE YIKRCRQCSTQSFVSHNGMDCVTCQIPRCDLCYYNIRADVTTMDYDFEPSKSNDLVSNLL CFKCVSGYTVSADKQSCTLSVPALDQCDRKYTDVTLKCRQCNSNIILNQFSNTCASACTS YISYCSNCFSYKSLDQTQYTDGSGQIFTKAAQTLYQCIQCGDGYYPNIFTGFCDACPNNC QKCWQYSQTYNFTDYLFKMQPISNSLKETFLNDIPINIQCTSCNFGYQLYGNECLGCSSN CQVENQVVSVDQINSCVFKSTYAFCGECYDTFNDRSLVQDKSECIECPLNCLACRERLQY EITNYYFNPDNPNLLQYGRLCYKVQTMTMSNLQSVSYNSYLNLPILCIYQQAATSNTNRC RHTSEITVHVYCNDDEYYSVYQTLTDDEKLYHYSLNDYYYIDIEEVASIEFTDLETFQLY QIMNQAPLEELTLNILFTNSIDYQCTFKKSTKLVTEFHRNVYALTKFQVNILSAHNVPIT FQITNNFYLQDLPFVMIKNINIYSYLNNDFGLVITNSVLSTYLQIQYCKLGYQNSKSQES QVFTLKLQSINYLELLDVYIQNLLYVDQIDINQEKLQSYNFQFTNLYFSMNQFINNTLFP LTPFSTVNIYNLVISDCIFNGTSLFINQQEYQIFVSMFTISQLTIKQTQFYQSSSIIKSS YISFLSINQLDIVGNRFNDSELFICNTYSIQNVYIYMNVFTNGSLIFTTSDQVPDQQQFY AVINNYAFEISNLKFVENTCYNINCLMLIQTPYNQLELKQNLVMKNFYIYNNYVEISLIQ RLSVSSAIIRVSQINRAKLTNIVIDTQLAITVISFQDIASLEVSKFLCDYSKNIIGEKDT SPRTKIVNNTIIQYPFNNKLPSTTSCSYEKQSFGSYNGYCLDVQDFLFGVKISDASLKGL LGIDNGFIYIQSYESLMKQTSSLIVQTGTNNASEFFYGYTNEIIILENINIFNTSISVSN PVTDIGSIIIESDQLQTILINNVQMIGNHLHQLVESVTMEISTCFTILSPNGVVSIQNMV AQNNRATQSQYGINIIKCQSLKLLTSLFNYTNIMEPNWLDRFDEYQVSTYSQLLGKLAID SEGSNIYIQCKKLELDQIKFMNGKSLMGTAFYFLGQDLASIVISNTEFTNLSTSLEYVDQ AYGGSLYLNLQKSSYNIQLNRVTMNHSVSRVAGGCIYIQTSMYEQAISINDSQFIECTSL QSSLIDISFSVSSLVLPIVSISNTNIINNNFEEFLKQLVDISYEEEQLIISGISLIKQNY GILEIQSSSFIDIQIQGLMVLMNMFTVILQDLTIKDITIFTQSLIEITHYTKQSAVELQN IVITNITEQKVLTEEQFTYKFNSQCQSISSLQELAKIQTCEEQEDLRSFYQDIYDYRQIS QTKLDNTTLYSYYDSLSYSDKYVLNEIKLNTYREIKSFYSSANVLMVDNTIKQSEYGLCY FTNLQSTYSIDTNPQFIKLSNVKSNNFINMISVTIKNTYCNRCKQGLILINNYDLSAYHT TNIFGMTCQNNTIGYFGCLVLTTQQIPEFNITYSNRILQSSTSTQKYNIKLQQSRFQSNS AQIGAAISVVGLSAQITDTQFMDNKATLIGGGMAFVYNSENQNILDQFNNNFINNDAQLG SAVYMMNNNLNNLENSQIFILHNTNTQIEQIPEKLSLMMNEGQLMDIQVFQENDGDLNDD NIIDNSNVQIIDIVSVKSTKLPNIESNYLLLPSGQQISQYKYYYEDTQEQIPYNWIFRIY NLDKNLNIIKSISATETCTVSGRLMDELNLDYTKQFLQNFTSQSVFQFDVSSNSFNFDNL QIYFDPYLQDQIFLQLKFSCTSIKIPIYNQQSPYNVLSYNKNYALYLNLRTIPCQIGEAY LDQKCTSCSSDNTYSVQENSVQCKGINSIQMEKVTPVRIKLREEYWRPFPKNDNIEECYN LPSNCLGGWVPGDTSCYDGHIGALCEQCDIYGIRGPEYSVSKKYTCGACSDTLESNILII IGISIFTLVTMIMSVKGNYSFIENLIHQQVLQSIGARINIQQNNASIIMKQLTNYLQIIA SLTTFRISIPTAFVSSMEVLGNPTQSMAYSLDCFLVTFATIDLLYFRMAWALLMPLFYMI LFFIGYFTGVLMRIAPFKIGIIYTTFIYMFIYLQPTLVGGFISLLSSRTVSGIEWVQSNV SYQYYTDMHQYYIYIFIGPNLLLWSILLPLTFMHLIRRNKNQLETMEIRKRWGFFYHEYT NQAYLWEFVKIFEKELVIISLTYYENKIVIKGLIIFLIVFLYGAFSFAFIPYKQKKLNFI DQMSTAVCSCSLCLGVLIYASQNESLDYLQYIMFLVIAIINSLLIVLILYHLFEGYINQF NDKLDIVRTKFKQIFPNIEFQYPCLKKFLINKKEMKEKVINYWAILRYETREGIKRRRTD KSAPLIIQKRKKSTSGSSDLSEKDLEIKQGNSMDQHRDVNSPDRDGKDDGVKLLKRPSSN YKGLNVQQNSLDSSENLSKVFPEVISEIPSTRKEDKDQKKIILKRPL >CAK82230 pep:novel supercontig:GCA_000165425.1:CT868429:527262:530412:1 gene:GSPATT00002359001 transcript:CAK82230 MKSLNLQFGDNRQNQREDMFLANIVEKVQLGKIGLISFVDQAQFIDLMSQKYKKKIKVQP VFNKIYQTIQVNLAKHQQSQSKIQEVSYEIKTISSTRQLGYRGSINISNKSGALLLHKDS NLKEHQTNEFSDKEILDQQLVEETTKTEKNKRNFRLSQITSDKKEIKDFKTIHTSRDYTQ DQLLKQDNLSTARNEKFNHNLLTQEESDHSQSQFRIESQQSCLIPSENLRYRLNTNFTSE ISNGQVQNYSATFNNQLESLQQQPLTSRKQSDVILKSIRGSYHQEAYLIRQPENNSKKTL TPVSQSKIPKPQGQKTTENHQQANNGNRNEKQQQFQPKSQKATHRQQKSQKEVKQKKQMQ HQPQNSLIETQQSKRDLAKHQEEQKIPQETSFCGNMNNSQRATRRELDERQLESPQFVKT DMMDDMVITHSPQYSLTKEIKQPIHNRPDSNLKNKSEHSFQSKRQTIDQQEINSLMPPLS SRTLTSIQKDKMEQRNSVSNNSETDQKQHKNQLTVTIKRGSKRMKSHNVQGNENEYDQEE EEKKRRYSQERIFKNDNKFEKMEEDRYQYTINNLEQTGSSSSLINYENENNQINIQVSKC DNREKIIEEESLDGSMRQHQTILFSTQDHLKIGDNSFHPQSSSRSVAKSVTPNTPMGSVY TAQPSNTSSVQNNQVQRRKKQSNQHKRPQLSSEYSQTKISIQKQKSEDSNYEKEYTNESS LEPERPSRLKPKKSQQKLQPPSSIQIQQQRRKSSSQVSPIDPRKAEQIDKEKQKQTEYQK QIERMKMERDLMGQYKIPEDMPLPQKKQMIESLIGELSHQHDQDVKYQVSLYNERQDQIQ NIQKNYEEKIDFRYNIVNSCYSEMDYLCENKLKLKQKDQEVQKIIHLKFQEQHNNNQNQQ NQNGDKEEDLGSPQRKQSKLVCNFDPVEIENQLKRRCEFINEAELLKFLKSFQSEFDKKV YQCNLDYPIQEIRQEDRQFTKGLIARNFKRKQTKNITSKIEINNYQMDMNKIQYVKEKAP KIQKLGLYLLMNS >CAK82231 pep:novel supercontig:GCA_000165425.1:CT868429:531105:531558:1 gene:GSPATT00002360001 transcript:CAK82231 MFSEEQSPEKPIQLSNKYQLTPTLLPITPTRKLQDVHSYYSKQNISPLLNEDEEQFEDLE DLQDMYSKSSDCQLLTGLNTCPALTDNRRKSEEQIQLSQYMFYYKSSQKISIPRASIFKI QHLTQNKLKQSNINLPQIKQIQE >CAK82232 pep:novel supercontig:GCA_000165425.1:CT868429:532570:533285:1 gene:GSPATT00002361001 transcript:CAK82232 MKMYWLIVDFKTQVYFRIICPCIILRGKQNDQQVCFEMGKFLKPGRLVVMLAGRQAGKKA ILIKANEETTKDCKFPNGLVVGIQRYPRKVTKRMGQKQIRKRTTLKVFIKQLNLNHIMPT RYRLEESTLKEVRDRIERVKESELKNVEKRKDLRKNLRKYLAEKYRTLPAGSLADKKAQS RFLFSKLRF >CAK82233 pep:novel supercontig:GCA_000165425.1:CT868429:533286:534601:-1 gene:GSPATT00002362001 transcript:CAK82233 MSHRKFEAPRHGNLGFTPRKRTKHHRGRIRAFPKDNTKAQPHLTAFAGFKAGMTHILRTV ERPGSKLNGNVVVEAVTIVETPPLVVVGIVGYIETPRGLRALTTVWSTKIAKDTLRRFYK NWINSKKKAFTNYNKANAEPKNLETQLKRIVKYCQVVRVIVHTQMSKLNLRQKKNHVFEV QVNGGNTEQKVNFAKGLLEKEVKVDQVFNQNEQIDVLGVTKGKGVAGVIKRFGVKHLQKK THRGWRRVGCIGGWHPANVRYSVARTGQLGYHHRTEMNKKIYRIGAAGDKNTASTEADLT SKAITPLGGFPHYGEIRNDFLMLKGGIVGPKKRVVLLRKSLVPQTSRKALEEITLKFVDT SSKIGHGRFQTQEEKAKFYFTRTQRKLKQQQQQ >CAK82234 pep:novel supercontig:GCA_000165425.1:CT868429:534870:535644:1 gene:GSPATT00002363001 transcript:CAK82234 MQINQVQYPNQKYKTQLCRHFITNGNCALGARCQFAHGREELRGNANGFQPNSDFMMPQH QQGVLPVKVQPINPMIANYKSIIKIKHFIAQLCKHFNPQTGLCKNGFTCTFAHGEQELNQ INPYFQNQYMQQQQIVQQQIKQQNQAQIQAELTQQILIMILTNMEHIFPDSQDIINILRQ GQEKAKQGDNQGASEIIKLIIHDEQRTKEEKQQYQQIYNNAQRHYDSKLKEYLNQ >CAK82235 pep:novel supercontig:GCA_000165425.1:CT868429:537324:537755:1 gene:GSPATT00002364001 transcript:CAK82235 MKSENSGPLNQEYLELTINHPKQQDISNSTNAKQGHWSQEEHQQYVQFLLRAKGSGDSQR KGQPLFKRMSQIIGTRTPSQCRSHHQKFNPFNPRLRRNHKRRQLAKIEKPFNRTKQLIRQ YFNKHKIHSDDENENE >CAK82236 pep:novel supercontig:GCA_000165425.1:CT868429:538257:541388:1 gene:GSPATT00002365001 transcript:CAK82236 MRRVARIAQSVIKNRMFQSLQQKIVYHFDDNYNKFYKEKDENLIHPTYTSKHFKVSSNSI VQYLEDKKMEHKIQGKYVQLRYCPFCPKHNIENPSNQFVMGINLENGSYNCFRATCSAKG SWFDFKNFLNGTLNTQQQQNPQTQQQTPQKLNIFEYHQYFERLKLQEPKIVYDYLTGDDW ENNQRGIKDEVLKLYLIGIDVTEIYDPQQGAYVQAPLIYFPMFRYRNQHEKNKIMQKALK QKKDDVLAEKVLSEETKQNIRSQLDNQFDNFQYELVSCKIRAAGKDLKHIQKIEPMNAAK GIFGMHLLKQDSTQVILTEGEFDAMAAYQMTNIPAISLPYGINHIPAYLIEWLDQFEKLN DIIIWVDDDNPGRINSQKIARKLGNARTRVVQPSLINPHDYPKDANDCLRFYADKVMTYI DQSKCLLKKNITQFTDFKQLTKNRILNFELSKGTQSQTFTTYNNTTKGLRTGEFTILTGP TGSGKTTFLSQLSLDFCKEGITTLWGSFEIKTDRLAEHQLLQAYKTDLIKQKDLVDIAIQ KFENEIPIYYMNFYGSTDLDQIIDTIEYAIYEYNVTHVCLDNLQFMMGTQVGGNRKFDFQ DEIIEKFRRLTSNNDIHLTLVIHPRKVDENEDLTIASIFGSAKATQEADNVFIIQNRPRY RVFEIKKNRYDGEVGRVGLGFDANVKSFFELSSTEVKELNEEKTTIIDIINKRKQEGRHF KQEITINDKNGNNDGGNNEGGSSNKGGNHSNNNNSNNSKITKKDISNVKNILENIQISDH NSYKDQNDDDEDQMAQLEKMAEQYANQEITEIILNQKPVQLALDDIGDLEKKHKLDNLDS SVENNEKQKSKQNSATIQNSYLNQNKLIRECEKCINPSNDYLEIWKFDQDSDYGLKPKIG QYEFSQEQTPKDNTYTQHNPNEFTLFHSDPLNKYAAQKINKELDQSLQSDEFQPVDDQIQ LANQYKSQKKQSKRASFIHVGSKKPSKSQLDL >CAK82237 pep:novel supercontig:GCA_000165425.1:CT868429:541448:541954:1 gene:GSPATT00002366001 transcript:CAK82237 MSKKTFKKSEGTSLVSIIGDEDTVTGFLLTGIGEKNIKGETNFLYVNSLFLETDPKLIEA TFQNFLKHPNIAVILVTQFVAENYLRHIINQYDAILPTILEIPSKEYPYEAKKDTIIQRA HRLLYGTDIQ >CAK82238 pep:novel supercontig:GCA_000165425.1:CT868429:542362:544235:1 gene:GSPATT00002367001 transcript:CAK82238 MNNICITQVKTSQGDIAIVNSTERNEKHRQAYSKVLQLKSFNLIQSETRVETPAKEIDEA ELPLREHVYRDAIKRSATFLKVASEEMGRYQTEWHWKFFFEFLFYHIMFYNLFGPLMVIF FWKWPGMPLMINMRFLKHHPQFYFQLLLWLGSLTGGYWYLFDDKNIITLTEVVFTQFALL IRAVVIAAKYATLSEERINEEMFTFDLMMWDWRLQTPKVLFLEQLRFLKRRELDPDFYKI DFLCQPHIKTVKQLIQVDVGFYREWLEQQEESQISPVIDNTYNCFFVFGYLVNSFQKKHT PGGFSKYVVIQSLILSLTPCLLRVQYFYEIDVGVIDILRIIINILTTFQGFFGSFVFLQI GLYDLQRKFYLLDQCCYMLNIKEQKFSTGKKLLPSINFNNPITLQAWSMLRGMAFDYGRT YDFRIQGFYSLIFLGWIFLFLFGIGVLLDFIRINFFQTTLLSEMLVILTGFIGYYLWHGA KLNEYYETFDILLEDVRNMYVDMLRKKEQYFILNLEVTNAIHKKFVFLLKSQTNSIETIT QYINFIIEEIDDTIRQLNYDEKHNPFKIYGIRITLNFLQSMVVAIFTLVGFAVQQRMQNI DVECLSK >CAK82239 pep:novel supercontig:GCA_000165425.1:CT868429:544294:546120:1 gene:GSPATT00002368001 transcript:CAK82239 MQGGTSQSAKQIEADTPVQNTSDDGKLLKSVRKVQQIRQLLTANTPAKSLPTYQLDAAPL KEYNPQKQLSKQITFDEVNDEELQRYKAEWHWDVFFEFLFYHMLFYILLGPFVILVLFKY PGIILLKNMRFFKHSSSFYMQTLLWSGSALGGLMYFIQDSSVITMTEIIFMWFAITTRSV VIAAKYATLSTARIRLYKTEELPEEMFNFDLMFQDWFEQSSKVLFLEQYRALRRHEQEHS LYKFDFLLDPNKKTAETIKTTNICFYLEWAMKQQMKQTDPIIEQVYYNGFHVFGYLVNYY QKNNPTSTFNKYMIGMSIFNGISPTFIRLQHFMENIPDWLDIIRLIVNFLTSMQAFFGSI IFLGIGIYDFRRKFFLLDQCLYMLSAKKVSYPTAKKLIPTINFNNPQTLQAWSIFRSLCF DYGQTYNLRVQGFYSLIFVANIIFIFLSLGLILDFIHIDMFQLILLGEMAIILIGFTLYY LYLGASLNEYFDSFETLLDDVKSIYQDILRMREQYFQNNIQPINDVHKIFVNIIRDQVGI DVDTVKEYIELIVEELENNQRQLGYDRRNNPFKLYGVVITFNLLKSAGVGLSTVFSYAIQ QRLSTKEK >CAK82240 pep:novel supercontig:GCA_000165425.1:CT868429:546211:546753:-1 gene:GSPATT00002369001 transcript:CAK82240 MRKLKFHEKKLLKRVDLYNWKKEKDHREAEIIKRYNLSNREDYDKYNRMCGHITSMISKI KLLSSQDPFRVKLTEQLLERLYNMGIITTKDNIQNCEKLAVSAFCRRRLPIVLCKLKFAQ TPKEACTYIEQGHIRIGTKIITDPATLVSRSQEDHITWSDTSKIKQTIQQYNNERDDYIG >CAK82241 pep:novel supercontig:GCA_000165425.1:CT868429:546774:548058:1 gene:GSPATT00002370001 transcript:CAK82241 MNNIQKFFEDIDTMETKKSFEAFTKCKGTIIFGAKKDLQNIIGTLTLIITLIVLYLIFIF PAARDYQYDALAIIIAIFSILPIITLLNVTMTEPGVLLRGDLPDPKLQQQQIKPEQISEQ NQFTSEQYAQKNEPHQIVQIAESQNQLEQNPELPNIYTSRYCTTCKIMRPSKASHCKHCN HCVDGFDHHCFWVGTCIGIRNWRAFLIFLQSSLIVITLTLCQCLLNLSKQYLDIYELWIL MFKQASIPLISLYGLYFICGCWSHQTYLNVIILMVMFLLPIIYSAVLMDIENAYKDYRYY QNPFVTILITIAMLLFFLFLLPVNGVNCYYISIGKTAKQTHSEDQFYNRIRQFDKPKYTV SGVFRNLLYFYTYPIPQSRNQQ >CAK82242 pep:novel supercontig:GCA_000165425.1:CT868429:548117:549262:-1 gene:GSPATT00002371001 transcript:CAK82242 MGNCSLSSSCEVVETNLSINDFELQTILGSGSFGKVWKALNRKNKQFVAVKVVSKLLILQ QCTVSQVINEKNILSQIENPFLVNLRGAFQDNYNLYLCLDLMSGGDLRYHLNKKKRFSES QTKFIVACIFTALDYLHQQGIIHRDLKPENLVFDKFGYIRLTDFGMAGIWRPNKLENICG TVGYIAPEMFLYKQDGIGVDYFALGVVAYECMLGRRPYESEDIKEWKDTVNLKQVQIKRH DLPVDWSKAGADFINKLIQLKPEDRLGTSDPKQIMKHPWFNNFNWDMLISKEMIPPFSPN KRIGYSDFQKGKLSKGKLLNLDILNTIQSQFIGYNYIPKKYN >CAK82243 pep:novel supercontig:GCA_000165425.1:CT868429:550570:551550:-1 gene:GSPATT00002372001 transcript:CAK82243 MSYSEKHKRNTSPIIRATSEDRIKQNSSKESNILCLEQQIGKVQQQLNNKYEDRMRFVEE TIQEHEEKFENFIKLIKLLQHFATTQEQENKNIRNHINVSMEQLYDEELNQLKDQYLNIE QSLSQIQNSLSKDQPQIQEFETIINKKIDVIMEEVRQSALKTDYQKIDYRLQRLEQNFKQ EQSQKSNDSDRILSNSLVYQNQLNDLKIVLDSVIEDQEQTKIYIKQIERDLITSKQKEKQ SQIRSSVTKESQQTETDMRPIRDFKENVKNRENKRNKSKSSQSRSESRNARLETIKKKKQ ENVKELIKKHKQQSTKQY >CAK82244 pep:novel supercontig:GCA_000165425.1:CT868429:551660:552411:1 gene:GSPATT00002373001 transcript:CAK82244 MHKLLKEVVKVYTQFQRLGPTYLDTFDNRAITEATFEAVKTKQLQEYIKKEFKPIGDISM LPIHLEPEHRFTIAMFALRPGVRMPLHDHPNMFVLSHVMNGLGERESWDIDPIDHHQQIE FRKTKGDSRILKALQHPKLQLKEGDNCYTTPNKCNLHKFSNIHKSELFMFLDVILPHYNG VDRVINFYEPFSQDQLELMGNPKTYQRHPIDVDDIREFIVQ >CAK82245 pep:novel supercontig:GCA_000165425.1:CT868429:552461:553113:1 gene:GSPATT00002374001 transcript:CAK82245 MLQPLKEEELNEIYTWVDQIPLSRPKKNIARDFADGILMAEIMHYFLPKLVDMHNYSQAN SVKQKKYNWDTLNTKVFKRIGFTLSKEQVDSVISCQSEAVERVLKFVQARLEYYLENNAN SAEKQPQQADSQMAPPLLANEWQKDQQLFELRETIEVILSLLFCNFQVLEQKLHRMDELM KQKDAKILRYQQLLQQNGLL >CAK82246 pep:novel supercontig:GCA_000165425.1:CT868429:553881:554590:1 gene:GSPATT00002375001 transcript:CAK82246 MVNLGAGAIMQRLKQRQEEEMLVDLLAKQNELIAQLTEDFNWRMDKRKQQMEDELEELEK KTVIARQKKAEQDYLYKLNRQLNFGLQRIKEQEIKKLIFPKAIQLGMKVPSIQSHEAIEQ VYPNMRLQKKEQLDEEVQANVDLEFSNPYRQFVNPNFPQYATPMMPFSPPQPPQQYQPQF NQPQSYQDNGTSPQMKRNSQISKRISQGSQRSSQKSFASQVSKKSQQN >CAK82247 pep:novel supercontig:GCA_000165425.1:CT868429:554739:556372:-1 gene:GSPATT00002376001 transcript:CAK82247 MDQQSEASTQEQALSQKEESQQISPQTVLSQEPIKEIEYPDFEITIQIEDVIIKDGGFGQ KFTAYLIKGIDNQGSFEIYRRYNDFFELRELLVKKWPGCYIPPIPEKALASGNDNETVQI RKRLMEVFLNSITQLPYIYYSEDFQQQFLRSNSPDIAKVYQQQRATTTNEIIDRLKIAFP KLDVRDQSNSEYMLAITTFSGQLKKTLAILKTYIEQANFVAQTRRILQDEKLNLFNATLP FYEKIILNEYVYGKEQQLVLSNPSNQEEYKKLLEDIKDNNKKTNSINYLADLFRYELKDA ECMQQTLIYRDQIVIIRAKQEQKMREDQAELAKMAAKQQTLTTITNSAFNKSKDASQVKV EQRISEGQQEIDRLSQLYNIITAIIATKEIEKYRKARVNHYHKFLRTIQQSETKLYQIEN EFLEKVIQDSQNQLSSSKSEEKQQQQVQQEQRLQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQPQQQQQQQQQQQELPDQEQPDQQ >CAK82248 pep:novel supercontig:GCA_000165425.1:CT868429:556553:557340:1 gene:GSPATT00002377001 transcript:CAK82248 MEKKVVFIRHAESEYNLAQRIAKNSVAEVKLEEEDQDVKYSSKYCDAPLTKFGREQCDPT KFEDLRIETVVVSPLKRAVETAVLLFQNHPNKPKFVVEPYLREMFLSGCDFGIRLQETIN EFPFINYDRLLSNPIIKQHPNMWSLEFIYNQNSANILKQYIKDTEYGSQDCIEKVLEYMK QHPIQVETFEENTKRVEECKKHLQFEEPFVCVSHSRTIHTLTGKFIHNCTGIEVNI >CAK82249 pep:novel supercontig:GCA_000165425.1:CT868429:557385:559615:1 gene:GSPATT00002378001 transcript:CAK82249 MDQLDIDSNKFDCQKYVGEFLKNHSVQDLIQRHNQLQQEIKEYDQDIQSLVFENYSKFIS SIDTVKKMKTDITQIDQKVETLTQSMTKIAQLSRRIDSQLSIKREEIIKLDTVNKDLSRL NSVCNFPHIIQKELDQYKISKGKNYDEYFNETAQYYKQCYSTLDQYKNEPLIQPIYKETN QKLDNTRQILWSSLIEFKQQNPLLQLYSESKVSISTQEARSAVKKLIQIMDDEEAVLRHY QKIIKERYLTVAKELIDKAAITKSSEVVNLMDYLEDKRYEYTVEELEEYKQMKEKEIVNS DSAIQGSILWLINQLCQIIFKELKVEVSFLLIEIRGTLRDKSLEQLSTQCIKEIIHGVFQ GVTDKMSQKKINNVQVNEVFVKLQTELQSLFENNSIRQQLRTEIIDKFTENVENICRGQV FTSFSHLRVQFLELLVFAKNDLQRLQPTDEIKREIMTLITVIKQKLIAFTTIRLLDLKAF VFSQQQSYLREQETYLNLIQASLIEFVKFIGKIFAFRSVSISQDQLNQLFNDNYIIQQVL PLLNTNDSTFYYLFSNQFLQLYSKTLSNKLFELVSQVFQGYKIRQPSDQELNKLKNYING STQKNLMLFAQAQSEHWINIMFQYLNEENVVYQQQITQKMLLNEVKNFIEALGLLFPDTK RGLVKKVSIIQQSTFNIQMEIVMVRQCKILETNINDRYSLLITVVKYVLRVLLQKARRTY FSEDLFE >CAK82250 pep:novel supercontig:GCA_000165425.1:CT868429:559658:559795:1 gene:GSPATT00002379001 transcript:CAK82250 MVSVDEEGLIAGFYMGILDCAVRNSRNDSKIDPKVLENLSLQARM >CAK82251 pep:novel supercontig:GCA_000165425.1:CT868429:559821:561036:1 gene:GSPATT00002380001 transcript:CAK82251 MSKNTIQKPTSPTKQSIFQTGALKNRNQSPMLGFQKQPMKSPEKSLILDESITNNNSWKQ TTEIQIKNSFQAESNWKEAIESIVQNITLKLKQQETDQFIKELNSPMLTQDPSVALYQAP IEKSSSTQDLFSKIVSQETKKARAVKQFLFNQFTSNDQSLNISINSSSSAQQQQKTQINN ANNKNRQNNGGSPQKFQNKQFQQEPQLDQKSNLVMNQQIKQLEQMIQSTNQQYLLLQEQF KKQNSDLSNQVSSQASKILLLESFFNKIDQEQSREKYIIHQQQIKNEKQELSENTTNQEV QKQLQLVMKNLNSLAEHQQQQMMIIKTALTTELRKEFLCSSEYKQNQQELQTQLTILKDS LGHTDKKIIDDRKLKSRNKKFNEYKQIEK >CAK82252 pep:novel supercontig:GCA_000165425.1:CT868429:561286:561756:-1 gene:GSPATT00002381001 transcript:CAK82252 MNINSFEIFLEEFKFNIKRHNYSLTRQQIEKLAKKKWMALEDSEKFRFMVMTHTDQVDQQ INEFQINDYNFKNEFSEQAILLKRILQSRNKEFIINTIQIEDWNTNIEAVSLFAIRSQRT SKLLWHTLRDGLINETREAELIKVIENRLNDQLQFE >CAK82253 pep:novel supercontig:GCA_000165425.1:CT868429:562317:563591:-1 gene:GSPATT00002382001 transcript:CAK82253 MNISENDMYSLILAVNKRSMFKTQYDIEFIHSKLQDQPFFRNMHRQIGDTQYYKILKELQ YECHLPYEPQVQIGEQSTKLYFILAGKFLLLTRNHQSNIQLPSEIQKKVDSQYSHLIPSG YLYPGQYFGEQVMIFDQSHTQTVLPLEKSHLIVLKRETYRRIIEQETKKQESERFANLCQ IPLFQKWSAKTIKSLVSEIQELNFTPNQIIYQQGDPVDSVYIIIDGQIQLYRTYNKNSLP LSIMGAKECFGEDEILTQFRSHSAKSIIGVKLYRILKNKYLDHIPQDYSSKYFKKDSHHK LSIYQNEDQFRIYRSESLKNINSPAKVMQRILTRNKEKNKTYQSISFQKINELMKKDFRQ SNTSTVLQKSNSMHEHTRIHATGKFDKTNYLLSHIRMRSTSLWKKTK >CAK82254 pep:novel supercontig:GCA_000165425.1:CT868429:563605:564657:1 gene:GSPATT00002383001 transcript:CAK82254 MDSQNDSSVSSEDTNDGIIEREESRYQRKGYGLIFAILFTLFGFTVFPQIIQYQYLSCLQ GLQPQYIQMIMLISTHLLGFSLGNLGMYFIYKAKSPFFERYRTRQNPWPWDENPEQWRKK RREVWKSTSINMCLGVLMCILDTLLNPLIRNDIDSFPTIFEMIWQIVFSMLIEDTCFYWT HRTLHSPKLYSIIHKKHHEFYTSVSYAAIYTHPIEYVFGNVIPVFIGQKILGNKMHIATL QLWLLFRIGETIDGHSGYEFSWSPYRLLPFSSSAESHNYHHSHNVGNYGSFFVFWDTIMG SNKSYNSFIADKYGKIKKLKSKKKE >CAK82255 pep:novel supercontig:GCA_000165425.1:CT868429:564766:565915:-1 gene:GSPATT00002384001 transcript:CAK82255 MITNLFNQPEISKTIISCLNSKDLANFSRCNKYFKNQLNFILKKYLEQRLMHFLNSLREI YNFRKKTIPMLPKVLYKVPKNMEIKIKDLGAQVIKIQLKDLPKQFLNLNWQPVNINAILP EDSKLSTQENKAFKYNENYIIINRSLIDQGLNYEFLEVNEDCSKEILEKIAQSNEFANYQ LNDMQSILRRQKEILERVNQNKQKVIKQKQQQEPNLQQLDDVQKFAIILCHGGYFCLGVF DNTGCIYHKSDHRYVVRGKAGGRQLNKDSHSGSNIKSIGSQIRRAQEKKHQEKVNSILKE AVPILQTCQILFLQAPGINQQLLIQNEEPLFLLKDKIRSICLTAKKANYTEVERVYKSIT SVYLIQKEESFFF >CAK82256 pep:novel supercontig:GCA_000165425.1:CT868429:566101:566775:-1 gene:GSPATT00002385001 transcript:CAK82256 MLKRLKLEIKDVSEKFRSNRKYTSLERVKEVEKIVLNEINNKPLDQKELLLQLALEIGHP LKVRSQELKMQLENLLQIVLIELINCLESLNKDASKYYQCLEAINDKLYTVIAYKLRQLV QYEIFKFRIPRFNYSQRLLQKQINQLLSIEINHTFLQEKRDAQYVEQIRKQYQDQKTIRT LSYGLIFIYFGILFKQKKWIQSLNKHYRFALFGFVSAAIFYHLQ >CAK82257 pep:novel supercontig:GCA_000165425.1:CT868429:566933:567301:-1 gene:GSPATT00002386001 transcript:CAK82257 MNSKLQVKSDEGKFKQTICEFITKEEAQLTYEEENMQKFKRKIELFEQNLCQYFFKCYQT MRLDQFVEIKEKQVTGKEKLLELSKQLHQLKQTKKKQLFQLNLKECYFTQKLRAAKCLLE KL >CAK82258 pep:novel supercontig:GCA_000165425.1:CT868429:567324:567777:-1 gene:GSPATT00002387001 transcript:CAK82258 MNLKIACQGQEFNFEEVYSFEELKLRLHQTEPSFILESLTYQDEEDDIITLANENDFSCL STNSNFTVQAQGKFDEEWAIKEFKRNQRLIKRIAKKVKQLKQKQKNNLIQERILLREVKK YSVTIETDSRNRQRHKDYQVIN >CAK82259 pep:novel supercontig:GCA_000165425.1:CT868429:568235:568759:-1 gene:GSPATT00002388001 transcript:CAK82259 MSYSQEFSPLSMKEEMFFKNETESTSLEQQLQIANEKVNSLMQYQQQLRYFVHQYPSEEL EHLHYKYSEAQQENEILSSQHTQGPDEIKFLNCVLDMVRVCHPSDKQITLKYAWKWLKYV VDDYIELRKRNKSSNSQCEVFKNPKKDSIVVGDLLRKNCQVAESQYLKHSKCHN >CAK82260 pep:novel supercontig:GCA_000165425.1:CT868429:569840:571094:-1 gene:GSPATT00002389001 transcript:CAK82260 MFKIQQLFEENGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXEQINLEEVLQEQIQLKQQLAELIRKVHSSGKPRKKNANKIKQKKYEIKTCEAQIEQID QQLKERQHQKQSTQEEVELLGNKIMEIKEEANECREELQRATATVQELSQSYQKLKYIFE FIIGNQSQLIKSKTNYKLQVFIMNKNLRNYARNNKDLILSYCKNNRNQRNQNNSKIKLDL ELSQKNNELQQSRTQLKEFEDELNKTKSYDKLIKSEVKAQESLQMERKLLLDEFQRICSI SGIDQLAQQSDEGIMNELDQKIQQNQDTIESQEKMLDGLQCEINVLMEKEETFTIRRNEL LHRYHGLEKQMDDLAKKYAQFDK >CAK82261 pep:novel supercontig:GCA_000165425.1:CT868429:572263:573918:-1 gene:GSPATT00002390001 transcript:CAK82261 MQQQQNQSQQASKIYKKACPNNNSQTEQKQFSPRTTESTNRKINHIYEDEFRQRVDNILH ENHQQLNLGNQLLKQLEDIKQQQVDKNEEILRAIKFYKNFHTHSPQRHSSTEQRSISPKS KNPNVQQWQKGFQNPDVKDVFDKLSQYKFVELNYFLEELHESDKQAPSLAYKKIHNKDLS SLPEQIKYFSPSYLKSILDYSKSQANSIKQHIQQVIDSSIDKIHIKKPSLKQSSKYADIN WQQIEQNFKDQTSLINELQHEVSLLQNQITTLQQDFGQIQSQQQTNIEQTEEKISKLSNE IFQLSENTNSITYQREFTKKSSTISRQSISNLSETQIKRTKKQKQTDLVEKHAMLSYFRA EFVTNFDEINQKFQQMLDENKKAFEDRINSLSQEFKNLTEAINYTQILQNISSSVEPSNN QEIIQKSCTERAQEIIDNDSLTNVKSQQESQNQNQEKVQIQDQSITQEIDTLKIQNDVLI KQSNSMQEDIQRLNRWIKELQNFNSLYQTKFEQRLSQVQSDHELIQQEQESMQNHHDNVQ KFNENRCNSEF >CAK82262 pep:novel supercontig:GCA_000165425.1:CT868429:574108:575550:1 gene:GSPATT00002391001 transcript:CAK82262 MKINENNDKSFHSASIQKASSMLNMIEDLNNQQTQNKQTTEPKIKKAIEPQPIISSQIAR EVEELEQTYKRQQFKGIQHIGTKNSYTKYMAFYYWSPLYLTIHKSPIIRTAWRQGFTALI YIPISIYELKNNKNCIISFKGQEFNLLMASVTQAIFAVSLSISVTHTHVSHALMFYNSSL LIYCGTKIILKQYCHILEYFGFGVALILLMVIFTNMGFTFYSKQDVSIWDKIIFGDLTAL FGGGVSTLYYAKYYSKIAQCCPTFTLFSIIRALSTVVLIIMTLTFDNQHNFFDFLEPVLF IQIFLNALFTGVMMNQLQSYVQLFVDPLTYNSANFFQPLFGSIFSYLLGQESLPGSLSIA CMILYSIGLLFIQIGRSKSETEDLNLDIELRQLDTVYFENNPLLSLFQSTHRDREDQMKI SLYKRSIIQRQTILRQPTKQFVDYSMDQ >CAK82263 pep:novel supercontig:GCA_000165425.1:CT868429:575597:576064:-1 gene:GSPATT00002392001 transcript:CAK82263 MQQKNLYINNNKQNQDNNQHEVVNAINTSPEKQIQTLQDARQNQQQLRMQNKQQNNLNLE ELNYKIQDIQKLNLQLDEKSNKLFGQIKQDVSNLQTAITQNISPSERGLRKKETIKNGIN LDDLLDYEQGSINLDELASYRGSQEYKVLDNYQNF >CAK82264 pep:novel supercontig:GCA_000165425.1:CT868429:577363:578125:-1 gene:GSPATT00002393001 transcript:CAK82264 MGQTNTSTIIRQEALNLAQKNSKWRVINDAYEINQCLLMSSVIDQISYDLSSVSYKVSSM TVNPLTYDSVSFELLMKEDRMQMQSKIMYKYEVLRPQQKQRKYKTVTTQTELTSNDEIFQ YSTDRKQQRNNHSFGSEIIQKYQVEERNKSQTDEKPNKKDQRLRGDKIFQEVKEPKTINN KGYNTAEKTNIFKLDYEQTENFSNDLVDNASIILQTQKIILLTMKKDHISKMKDIGLQVT HQLLQIFL >CAK82265 pep:novel supercontig:GCA_000165425.1:CT868429:578675:579297:1 gene:GSPATT00002394001 transcript:CAK82265 MGICSSKKELDKIEVPSKSFFDFEINDIDGNLVQMSKFQGKKAYICVNVACSCRLTTQNY VELVEMYKQYKDQGLEILGFPCNQFRNQESKPEPEIKNYVTQKYGAHFPLFQKIEVNGVG AHDIYKYLRYNSELKINNKNEVKYVPWNFAKFLLDANGNVINYYCPDVSPNDMMKDIEKL LKN >CAK82266 pep:novel supercontig:GCA_000165425.1:CT868429:579313:580359:-1 gene:GSPATT00002395001 transcript:CAK82266 MILILQECDNNFLSCFRYIILAILSLIIAIISISWSLYYYKAHGSLKYEIAPMLCCCLQA IVHLVQYTIYNSNRMIISASILQLFTFILVSQSLANLRYRFKYADDMEKRKNKYLRLMIG KGAFYLVIFSLQFFFFFEIDDSSCNKYANHSLNLDLLEVQILIITIFTQIHGQSLIYYIR RKEELQNQNSDQYSQFLDSSSMPKNTLSMKLKQIKLVIWAQTITMITFIVLIIIMYNTNK ELFCFQQSKEEQYSVFQISIQIFFTGVQQFPCLYIPYAFYYAEKPRSISRTPVIDFNIQQ EESTAEQQIYKTRKDSSFDDNFIKMIHSIQQND >CAK82267 pep:novel supercontig:GCA_000165425.1:CT868429:580656:582705:1 gene:GSPATT00002396001 transcript:CAK82267 MSNQNDQHSQIEEHILKQYDIQDYKGKGAYGIVWKAIDKQTKQQVALKKVFDAFSNQTDA QRTFREVCFLQQLTEHENIVKLLKVIQAENQKDLYMVFEYMETDLHKVIRAGLLNPLHMQ YIIYQLLKCLKFIHSGELIHRDLKPSNLLIDSDCKVKVADFGLARSVAQSENQYELPIMT EYVATRWYRAPEILLGSHHYSKSVDMWSVGCILGEMILGKAIFAGASTLNQIEKIIELIG RPKQDDLELINAPLAQQVLDGISMQKRKSFAAFFPSASPDFIDFIRQCLNWNPQKRMTID EAIKHSLMKEFANTEDEKTLKSIIRIPFNENKKLTIKDYRDKITLNCEQILQEIEQNTFN KNIAKQYLSTPQSFSTYLNNYDSKFNKQNPNYQVSQPVDKPKKLDSFYKPEGVNPYPIQK KSDYSPTMKPTISSNVSNISPNLIQQKFASTQNQPHNQGLRKTYTNIVNRPHNDLNKSPE IKKKTENIITNVTKSYSFHGEPYDRRVTNASFSTQNKNDNKPSTSVSYVRPVQIQQLSNY TTAQNFSQQKEISRPKDPIMINQAQRSKSFEKSPININPTSPYQRQKTEASKPKFIPQSN IHNSVAQVQKLLQKCCQVQNYQQHKKASSVIDPTKQQPQQIQQTINYNYFDIRNSKFM >CAK82268 pep:novel supercontig:GCA_000165425.1:CT868429:582723:584030:-1 gene:GSPATT00002397001 transcript:CAK82268 MKQSGLLPYFNSEFSNQQTQKTEREKSKTTSQKQEHKGEVYSLSPLQLTQNTIPVDQIEF QGQVQNNNQTKYNCSVSSFHYSLTKTQLIQTTIKVFHEKYKVMKILKENKLCQIVQCKNK QTKELFIAKICIKWQNSQIVSEIGVSKTLLKQPHSSLMKLQEMFTDQDTHIFIYEYMKGG TLLEYIQKRQLKLDEKEIRSIFKQLLKALKHLHSLNIIHRDLKLDNIMFKVKGDVKSLKL IDYGFTTFLSDTKNMEFRCGTPGYVAPEIFQEQILYNQLCDIYSLGAIAHILATGKRIYS SNLTSKEICEFNKKNQYEISENLKCPLLLDLITQMLKEFSNRPSAQDCLIHPYFIKLSSL NQSQERINQQIYNLAFPQFKNPFQSVEQCK >CAK82269 pep:novel supercontig:GCA_000165425.1:CT868429:586469:587786:-1 gene:GSPATT00002398001 transcript:CAK82269 MKISNSDIFTCYLSFCNEGQVIQSKFSIYDTYLTIQISDIDELKVDYCSQDAIFEWKLEN GNYCEVIVITENYSQTYKGSKQIILNLKQHLNGKVFFRNFKSHYNKIQTIKKTKQGDISK CYNKYLNIEVIAKRFNLTQNIERQQKKLILNELKICQYLSQYAQESIIQLREFYQEKDKI ILIFDFCEGGTLNSYMAQNNFCPQFIHIRKIMKKLLLAIKFLHKHNIMHRDIKMDNLMLL ENNNPNSIQVIDFGFSTFIDDQPYIIERCGTPGYIAPELYSENPFDEQVDIYSLAQIFFI LLTGRKFAFDSHSFKTFTLLHEKQSNIIREATKNEIIVDLFQKMTNSPEKRYNASQCLNH QYFEKTSKIKQQQKICKHQVNLSKVSFVKDSILISQIERVIIIIKP >CAK82270 pep:novel supercontig:GCA_000165425.1:CT868429:588362:589577:1 gene:GSPATT00002399001 transcript:CAK82270 MNTQLAQIIKYTPVSEFKVSLKKLVNFEENQFTFEPMDLDSVFMCELLNEYEKKDDENLK AWVKTEKYLLSKNEIDVEHFFERQYLMLMKELDKEVYFQLNEQLFKFRVRLNGEFSIIFR LKQFEAFEQLLKKQIQHLSPRMTISLRKQIQNRKTLSNRPSSLGKMFLRAFSKNNIEIES QQQQEPLFTFKNINGLDCYQLNEFDQFSKQEQHIILNVSSSHNKLVDDSDEDGEIEEFQL DTIKIKQNEIKNHKIMQRQQYLEYIYGNTKKLESVIMSSIPFNTIQEIESENMISITTLQ RPLLNSQSAESVLIYGNKLPNKNFIPKERDLWKIAKQLLKQKFKLFSSEHLLKAQTPLRI HKNAQMKKNLMKIYNNDIC >CAK82271 pep:novel supercontig:GCA_000165425.1:CT868429:590118:591516:-1 gene:GSPATT00002400001 transcript:CAK82271 MSTPALEQNRKKAILLIHQGKKLFMGGKTYEIKQQGFLLMKQGCIELNQYSKEEPQEDYK SLAKQKTYQYYCELEQMKQYLLSKVEFAKDQQNIIQDKNLKNQNQIIENQNQRINIDNII IKEKLNVFWEDIAGLEQAKQSLKEAVILPLQHPNLFQGTLKPWTGILLYGPPGTGKTFLA KACATESHGTTFISVSSADLISKYSGESEKSIKELFQLARSKKPSIIFIDEVDSLASDRE SSGSSDNLKGVKNQLLIEFQGIGSNNDQVLILGATNLPWAIDSAIRRRFEQRIYIPLPDY KGRFYLIQNQLRKTPNCLTLDQMKELANKLDGYSGSDINNLIRDASLEQLRILQKATHFK RVQIQNQMKYTVCSASDPQAEKITMKSIEKGQIFVPEILYDDFLAVLPKCKPSVSKGDLE KYEDWTQQFGQKG >CAK82272 pep:novel supercontig:GCA_000165425.1:CT868429:591556:592848:-1 gene:GSPATT00002401001 transcript:CAK82272 MNSYKIQLYILQFPIDQRYSLLLNIQQTKNNKTYQAIDSDAFYSKLPYQNIYIQQINKIT IDVQYKQICNYTHQNRVSFNYSIYVIEQPNPKNQCIFPYFSLKCDKQIDQQQTSLKSFTV PNQSWIYMYYMLDKTNYTLYLENNESDIGISFVLADTPYQTELPSFNSYFTIIPQLYSYK VDLKRQEFETNYIIVIGIYNFNITSQARMTMYLEEISNESDSFPLWAILVIVGILLLALL IISSIYIHLRKQFKVIASEVPALNRETLDEYMPAKKAITEIIGEECCVCLNAYKKDEFVR ESICHHIFHDQCLSEWTKKNTNCPVCRQEFSESELKRLLQTQKQTESSPFNRHAQKSPFV IKYQSLRVIQSQQQQPRNYPDDSIQQLKQGEISLQENSVQPFQSQ >CAK82273 pep:novel supercontig:GCA_000165425.1:CT868429:593288:594262:-1 gene:GSPATT00002402001 transcript:CAK82273 MSISPWNANNGSKPISHQSEHSHNFTQSQGDHFLEEQILWDFEDDYSDDQLFRNLFVIIT DLLEDKYPKKVTKLTAIEAHKIIEDCQLQVKQAIEDHKKYKHIQDILAFESFIDKNDSMI NLQIHTSKIKEIIQWDLENAFDYIDEFVQGYCYENKLDKENFVLIGNQIREQIQKAYEKR FRIAQKLLLDDQTEFKQKLISYLDYPSFEPYQPIRDLPQNNDINMFFKKNIEFLPPELQM LYGQKPHIKQILELGVDQDDTKKFEKELNQKSKYLDQTILSDIINKTKQN >CAK82274 pep:novel supercontig:GCA_000165425.1:CT868429:594873:596396:1 gene:GSPATT00002403001 transcript:CAK82274 MRKINIIVARQQSNWFKAKKNKRIQQHMQHNNKELWQQQLHRQPLMQPWVFPITIKPQTP PPPPDPPSLGTEPEPSEYNDLFTYSGYFDPYNDDVHAFMINGKRRKRHRVNCGRDRKGRY CDFRRSHHQHGLYNRDCIHYAGSLEIFGVWQCGSRKQLSTGAVIRFLVELHELVLAYKQQ KNDYAIQRFQRVMEYNRDLYAKLKRAFKANIKKNIEFVMEILNKTNEQVIQNFQQILDMS KEILADIRKEREDELEELKSQLQELLENFPPPLSICQQLRECDSCLSREGCIWCTEEQTC QEGNARDGAFFNSCDIWVSGSECPEESQYKLDKKVSYKDELEDYYNQPDLELDQKLYLAS KQRDMIQLKNQIESRRVVIDQMISIERNLKQRSIQIIDEFAKNKEYDGEFLAKIVDEDAE GQWSDYKKETKKLFKEDQEAEKLKDEEELEKRKQQSEKDKKREEFEKQELEKRNESTVIN KSKYKDRTSVEDVLDKEQGKLEKQEEK >CAK82275 pep:novel supercontig:GCA_000165425.1:CT868429:597121:599267:1 gene:GSPATT00002404001 transcript:CAK82275 MQQQQQQLRSSQIRRQSSNSALDEPFLKFLAESNYLESEQSSQEKHQKLTKCKTEHSLQP IQFINSNSFTVSDKKFSFPSNEILEWETLQITLPPEVYQFLLYMKTQCSNGTIVYTNEQI QGLLDDNRYIQNSKNQLDFFQKLIDKGWISKTTRLFNDMAINFYALSVKKLTYENLVWII RSIKRDLITPTERLVQSRIKECYGLKYNRIEWELVMNQLKNQKQQIKFRSSNGKLIELPL LQVKNIKDPLIQEETYGIYIYQQNWIVEDEFQPELDKKQEWTIFIEFLMDFFAKTNAQNN KILKGGRYGCAQFIKLLGPKKLRELSLGRLTLYVQMAVNKNYIRYNKTILIQESREKSEH TTDSSVDGDPQIKIRVQELQEQLIELLLENPDGVSLAQIPTLLKERVNFELNLIELGFPK LKNFIESVKDVITIENSGRNNFIAKLNYSKLPKKYQKHKQSESFNQKYIFTVLQMIKTIL SKHKYGISINQLYYDLSQQLGEWFNYQRFQCQSFFQFLQNYAENILVIVCQKGNQYLIYE RDLRFLPPPQPTQDINDQQFEYILSEAFQCSLGLSIPQSSFSSSWLGKVGDSQIRQKSPP RIEDSHQEIKDNLKFIDELLGNENKQNDTYSIQDWSEKSMNPLGMISSINYGHSEIQDFD LTKELQQQNQDLKKK >CAK82276 pep:novel supercontig:GCA_000165425.1:CT868429:599803:600339:1 gene:GSPATT00002405001 transcript:CAK82276 MEYEYDENIEKLRNRLNLLFKIFYRIIEQLIKIYQIVNITKLNVKNILVDFTKDASQIVH FINLHEKDNLISFDENLQEVAIMCMDNQMIKTINHMPDHKSIEESNAQQENQIIKEIHFA TILSNQFLYLLQEPMNFKDVLKFLVQNKIEINFISPLQYSNEEEIVQYYDDY >CAK82277 pep:novel supercontig:GCA_000165425.1:CT868429:600388:601658:1 gene:GSPATT00002406001 transcript:CAK82277 MKNVDSYLDTLFGDFATKKCFKYAQKIVSIKSQKVFQELDSNQLFINENKQHLDLSFRSQ TAQISIPTRKIDCFGKIEAKTYTQEEIDSMRKERGQRAQIKLKQLQEASEKRKYDFIESW KKTQQIQHQKPLYQILQDQENELIKQQQQIIQQKLEQIKLDRRPLNKLDFEEHRKKFCDL IIQPRLRSNDSQIKNQKKSLSPEKSIYYLRIEQEKAKQKQLEQERRNQLLELQEKKKNFS EETKKRFKPKVSQDKQNELLEIQSKLHHRSDFQKYQVLANKIRQKRISYQIQDTDEETKS LMYFNKNKDQNTSYDIASQDTQLPKFKHKSFVIETNTQQQTMNYWQKVALNQNLSVKDKN VLIIEEAKKLEHLAKKQEQLARVSGCDCLQADNLLISSIKAKLTVLEN >CAK82278 pep:novel supercontig:GCA_000165425.1:CT868429:601838:604820:-1 gene:GSPATT00002407001 transcript:CAK82278 MDQYDYGDQEDYEDYDYQDEEFSNYQGSNLKILMVAEKNSIAEAVAYAIGNKVRAKKGRQ AFYYFSGMFKGQQANFTVTATNGHLFSRDFPKEYENWNSCDPIDLFQAKTIKKPANKAGI GLLRKCSSGIDYLILWLDNDREGENICFEVDEVKSKVINLGNLIRKLRAKFSSITQTDLK YAYNQLNTGPNILESESVDARQIIDLKVGVAFSRFQTLHFQKKYSMDQMVTFGPCQTPTL GFCVERHEEIVNFVPRAFYTIKLSILNNVGYKQEVEWLGQQIWKEPEAKQVVQQLQKFKQ GDVISQQVEQKSKPRPEGINTVSLLKFASSYLGLGPQQAMHVAERLYLNGYISYPRTETT AYPHEFPTPKIINNLQKYKDPLISEHSKYLKTNGHSNPKQGVDVGDHPPITPTENVPQNN LYGEEKTMYEYVVKMFLAAHSKDCKYENHTLKFFVGDHQFKFQSKYILDPGFTKVATWLA ITENKSDLTKFVTNNKVQIGFVEYQQGQTSPPDHLTETELITLMDKFKIGTDASMATHIN NICERQYVKVEGSSRKLKPTDLGLSLVRGYKRIDPDLVAPQLRSSIESQVDQIAKGKLKC QQVIQDVIQIFTKKYIHFRERINDFQLVQYHQQGINRQIKQSDEEIILSRCGDCNRYLVQ SNNSAICKQCIKTYPLLPDCKFKAYGAYRCPFDKFELLFCTSNKSPFNTTLLCPKCFSSS PLVTSEQCTCNICPNVDCEKSKESRMVGKCKSCKIGELILEPFISKGQQICLSCNECWCL YQLVPAVVQVDITKNACTECQLKTFNLITKTKDKEEVKYNNVCLMCYEELNKKLLYAPYL GEKKFMIQQKKQEQPVKQDDVNKETFSKGRKKFSKNPRKNDRHDDDQFTQKKNDNSDWAT LLLSQSVTNNKQKPQNKQHQDEPQQQQQQQQQVNEPGLVLLETKKKRNN >CAK82279 pep:novel supercontig:GCA_000165425.1:CT868429:605118:605417:1 gene:GSPATT00002408001 transcript:CAK82279 MMMIQVSQCNGDSENEIIDILDFQERSSHYDRLKQQLPKIRLDMFHQEIIKKEKNHSISF RDEVQPNYGLVDIVLVENWKDYNIKLEDDVRDNCSCNIL >CAK82280 pep:novel supercontig:GCA_000165425.1:CT868429:606166:608266:1 gene:GSPATT00002409001 transcript:CAK82280 MEIVIKESLILLGIKGQMQKSDLVEKLEKNDCLPGFEPEVLFEKLQSVQGIQIANDKISY KKLSNRLHLYIEDKSEDKKYLKVMDDIYQIILKDKEGLSYQQIQQKLPKSGKLLELNQIS HYCRKLYDLNLVHISCKSHCKQVKARKFCEFLKWKGFENEEEEKNFEQQQNHYKEFNFYQ NLWLNLQEPLLESEVRQRMNKCEESKSFSRLIDNLFKVEQDLNQVAERRGKIFMYRYKAK EPIKKWPYVSTNQKVSIRGTLVVNFDTSVLSDLQRLLNQKEYVVEQDNYKIGECLNNVNN SQLDINNDKKQKGQRKIQVSQQKINRFACICNYLHSKKIATVKELKDQIIDSERDENNGI IDTRTIHSLLKTLKTIDFLNIHIIKTVIVQRECERWLISHWSVNESDVIVQQGLLKLGEP QNDKPFKSIQQKQNDYVESQSQIKKKIKTKGNQQQQQPVPIEGEDQLEGDDSDIYQSEEN KGEYEKINAQEYKIKQAVGLLLSAIHKINKKVMKKVIKKLKPNYDVRIANEIFQRVNLQE SSIGALLGLEQKVYNQQQCSKSFSQLDEWEIDTRQLNPLTYIQYTNLSDQLTPIEYKHNK QFKKNNANYESQKNAAEKLKNYLLRYPNQSLGKLMHIFPNINIYALLKKMLLDNTIEIKI LTNKNQDLDQALNQYTIDQIKYRITENYLGYF >CAK82281 pep:novel supercontig:GCA_000165425.1:CT868429:608467:610092:1 gene:GSPATT00002410001 transcript:CAK82281 MKSKSNITVIIIRKEKLEQAHMLLFSKELIPDQSRSSKCRFYLIFIGLDDEEHLLNEINM LKRIKNPNIIEILDVLQTKDHYYIILEYCNLGDLDSLLRQKNIIKLDDHIIFLQDILNAF TTLIRQGIAHRDLKPANVLVHQEGKRKIFKLADFGYAKTVFNYKAQILKSNLGTPAYMSP QLLKEEQYTTKSDIWSFGIILYQIIFNTLPWVGKSEGDLVQKILSVPIAFPQYPKISTVC QDLIINCLQANEDKRINWDDLFRHPYVCSYFGKVDIQQNSGLKKVFILSQSIRDKIGSEE QMDELLKNLNIQEQLCQLNAEEFQNLIKQTNQSESLSTDDIQCLFQEFQIDGKVNYKEFK TCVFYNHPKRVKIVRHLSNSNIKILSKLAIALKQYNMKDLFEQFATTKKDTLERHQFSKM IKMFANTNSLTNQNIKEIIDFFDDNGDGMIQFSEFNNVMRYVQQIERNSDNRLDTITELD EISFIQEIENNGNINQNFGSRSDQKISICECFIF >CAK82282 pep:novel supercontig:GCA_000165425.1:CT868429:610301:610810:-1 gene:GSPATT00002411001 transcript:CAK82282 MQQQNPILLTISNILDEIIKETDALEVESNSIFHAMAAPAISIYNYLQRINKYTHCSEQC FVIALIYLDRLQEKHSYLVLNSHCIHRFLLLALMTAIKFQDDDYYKNEYYAKVGGINVKE INRLEQEFLEYMNYELFIDEQQYLVYEKRLLEYGEIEMS >CAK82283 pep:novel supercontig:GCA_000165425.1:CT868429:612162:613512:-1 gene:GSPATT00002412001 transcript:CAK82283 MLNNAKKQISRPRKGQKQRNLKDKTNCKSVNFKSNQAACYEQTTAKKVKNDKTISRLMIE EIPFAQKRIQQRNSQMQENMICEGLSKNQFPFTLNNSLEDNTQEKESDTLIEFMREQVKF EEIYSYQKNYLNNQNEIQSHMIAILFDWLVDVAHSFHFKRETFYLSRNYIERFLHKQPNV SITKFQLIAVAALFIAHKFEEIYPKTIKEFHRLIQDLHTIQDIEEMEVTILKCFDFRMNP NTPIFWLNYYTKLWDEFIIDKQLNASLKERTTESYYRYRELVQLFDVCLIDYRFKKNEKL TALSLIYLVIAKSLQIFEDYRTLATSQSKIKQFFNSDHEYNQIFRQFIEQSDLYLHFDQK IEYEDLKDVVYETTQYFILRFDNTLPRVLVNNQDFIDELQHEEFLSFQTYNRNTIETINF LLTQQQ >CAK82284 pep:novel supercontig:GCA_000165425.1:CT868429:613746:615911:-1 gene:GSPATT00002413001 transcript:CAK82284 MNNIFRFKSFMRTPMFWFSQPIHKLIRNIGVSAHIDSGKTTFSERVLYYGGRIHEIHEVK GADSAGATMDFMELEKEKGITIQSAATHLKWRGHQVNLIDTPGHVDFTIEVERALRVLDG GVLLICGVAGVQPQTLTVHKQMSRYKVPRIIFINKLDRMGANPWSALQSIRKRLSLTVAA VQIPIGQDQTFNGLVDLIKMKAYFFEGLKGEDVKETEVPERYMEEAKEKRQELIETLGSI DPEIEDLYLNEQPISEEKLKASIRKNCQEHKFYPVFMGSAYKNKGVQLALDGVVDYLPAP EEKQNFGFQISLDQKEEKKIEFKTDPKLPFVGYAFKLEENKFGQLTYVRVYQGKLKKGDY VYNMKTKKRVKVARMAKMHANQMEEINDVEAGDIFAVFGVDCSSGDTLVYGDMNYQVLCS SMFVPQPVMSLSIKPTKKEYSARFQKALSKFQREDPTFNVDMDKESEEIIISGMGELHLQ IYAERMRREFEIDVHLGQPTVNYRETITQKQQFDYLHKKQTGGAGQYAKVIGYMEPLQLE EGQFSNQFENHVIGTSIPNEYITAVEKGFYESVDKGPLTGYPVVNVRFVLEGGETHVVDS SSNAFMTATKYAFAQTFRQAGPEILEPVMAVEIMVPAPSYQQAMVGIAKRRGSVTNTESR GDMFVLNADVPLSQMFGYATELRGFTSGQGEFSLEYKRHDPVPPNEIETIIAKFKKQKRG Q >CAK82285 pep:novel supercontig:GCA_000165425.1:CT868429:616479:616837:-1 gene:GSPATT00002414001 transcript:CAK82285 MEEKTMEITKLKIELNAQKHLKQIRFKTFENIRELRAKLEYDDLIKEFDEQCNIVDEQQI DEQLDQNAKEEQAHYAQVYEKSMLANLDNINFDEVPTLEEEYVS >CAK82286 pep:novel supercontig:GCA_000165425.1:CT868429:616862:617402:1 gene:GSPATT00002415001 transcript:CAK82286 MVQVKRQDRRKVYEYLLLEGVIVIKKDMALPLHAETGVKNLEVWMLLRSLRDKKLVDLVF SWQYYYYYLKAEGVKYVRDKLGIVEDVIPATFKKADKKFEDDAPETRQRGGRGGRSFGRG NRGAPRRNEETEQPAQ >CAK82287 pep:novel supercontig:GCA_000165425.1:CT868429:617427:619357:-1 gene:GSPATT00002416001 transcript:CAK82287 MSQKYFTHATPTLFNSGTPYPQMSSCFLLDMVDDSIEGIYETLKRCALISKSAGGIGLSV SKIRSQDSYIRGTNGISNGLVPMLKVFNDTARYVDQGGGKRKGSFAIYLEPWHSDIISFL QLRKNHGIEEQRARDLFLGLWIPDLFMQRVKDDSDWTLMCPNECPGLQDCYGQEFNKLYT DYESKNMGRVTMKARQLWQEIIDAQISTGLPYMLYKDACNSKSNQKNLGTIKSSNLCTEI IQYTSPDEVAVCNLASINLQKLIKEDKTFNFDKLLEITKIITTNLNIVIDLNFYPVQQAE YSNKRNRPIGIGVQGFADALQRMKIPFDSEDALELNAKIFETIYYGACERSLELAQQLGP YETFAGSPASQGILQFDMWGVSPKLYDWNTLKQNIVKYGMRNSLLIAPMPTASTSQILQN NESFEPYTTNIYTRRVLAGEFVCINPHLVDDLIELNLWTPQIKNKLIMNNGSVQNIDEIP TNIKQLYKTVWEISQKAILNLATSRAPFIDQSQSLNIHMAEPTMSKVTSMHFYAWEKGLK TGMYYLRTRPAADPIKFTVDVEALLKEGGQIKIQQSKQELIDESASKKIVTNEGKTVKKG KPQIIVTEDGDEVEVCLNCGS >CAK82288 pep:novel supercontig:GCA_000165425.1:CT868429:619420:620042:-1 gene:GSPATT00002417001 transcript:CAK82288 MDQDPDYSFEHLQELAKQKNISYVLKRDGGKQNIDIQKIAERLQNLASNLQHININLIMW KVIQGMYEGITTVQLDNLAAETCAYMNLVHPQYSLLAARIAETSESFSEVAIKLHSFTDK YGRPAPLIADDVYKIIMDNKDIIQKEINYERDYQYDFFGFKTLERSYLLTIKARSQQKGL NNC >CAK82289 pep:novel supercontig:GCA_000165425.1:CT868429:620142:623703:-1 gene:GSPATT00002418001 transcript:CAK82289 MKNKGQQQHTLAVKNGSLMNPEGQTINGLIDLIKLKSQPKIVYYFDFLVCIDQSGNPFIF YHDKNRYINIPTISPAHLISYIDNKGGQLAIALQNKTIQIVSCQNGRNLKTLKGHEHQIL SMHTNHTQNLLLSVSQDQCILHSLDSFDKVHSLFSKGSIFVGASFLPSSTELATVMADKS LSIWNLSSFEIISRIQLPEQFNIKQCQLVPSIDGQRLIINCYSSKIHILDNNNNLITQDV NQGYGNGALLVKPIKKQQILILTKNFMIIQVDSSGSLIKCMEILQGKTPTHFDVYQNKIA IILNTGEIALYDLNKLNKQNQNLNSTIGVNVSTMIKENYADTLNFNNTTTNIDIKKVGNN TNTLQGSFSQSTVSKKNSKSNSMTQPINSYTLGKIYKNSEDPLKELLNYQKLQQFLKQYH VFPDDKRSLIWRSLLNLPMNQEAYNNLINQGVHPAYQKLADQYPLQSESLFSRLQRTLSC LAYYCPLFAEIDYLPQLVFPFVKLFKRGEELLIFEATLSLLIQHCQRFFENFPNAPVSLL QFHDQVFNQVEPQLYQHMKQYLGYSPIKYAWPSIRSLYTNLLTKNQWLQLIDHLILYNDL PQMIVLFMVEYFIYFKSTIMKMNDQDQLDLFFGRSNTVEIDKLIESTIYLSKSLDMDQYQ INLQFLLPLQKDQYQLFSIYPKGSVQYQARVREQLQEDEQRLIQKQQQISRLQQMAQQME QLDQLFQEKQLLTMQAEKDRQEMFDYQVEMRAQKQFQQDQQVRQQRIKQLENLEKNMNSA VQKQLDLQQQEQEFLNKQFRKTQLADNFRIVSLQEEEALQNLEYQIFSKFQHQMEQRSKQ ENQRKIQSQLEFRSKEKELLDQIKQEQWKMDSQQQQIKVEQLKQLRMQQIQELENEAQLE EVEYQERINQFQQDLKMIQLEREKKLRDQLFQEQISKEELQLRDKKQVEDKKRQSSVQRR QQFEQEMERIAKQHQEKLRMQEQQLMEQYKKSQSFSNQPYQPNYYQNSNYQNNFEQSSGD VREPMTSQKLSSALYDFQSSQKQQQFSPSMLVEDEREKINQLRNQLRDEMQNIREREHDE QLQSMLREREQEIFKRTQQIRDQINQEVENKNNSSTQFNSYQHITQDNSKRQQQYYSPGS SSQFSLYKPQQEVDIEPRDLSESQLTYSSGFTSPGQRY >CAK82290 pep:novel supercontig:GCA_000165425.1:CT868429:624394:626768:1 gene:GSPATT00002419001 transcript:CAK82290 MQKANIIFFYGPPATAKSYVAQQVAKQTGYVYFNLDEFYAKVKAQTEVDKLNKLMQVFQA EPKNYVVDGFLDKKAQAVVFFEHYQKPRYVVYFQSSKDEVEQNIRLLSTEEQKKSRFQRF SSFLQNRDELLAYLKKFQFFVTVDAVQKGLSNNFQQSSDLIIQSIMSFLKPKVLVALTYN NEELAEDYLNKLEAELGFKHLHLETLCEEELAKGTPQGKQMGAFLNSGQVVPPQMQIELL RKYLYNDPQQNKFVLTSFPEKYQEFRTFEDKLFPITGLINFLKEGKAVSFSAKINPVLHY SAEGKNLIIQNEDLGPFQSYLTKRVKYGIVIGPAMSGKTTFAKYISQKFGFNLIEWGEAA IIQLKEKLSTVPGEQIEELTLPMIIKYYKDLFSNVTNEKYVFDGFPPGCEKPEQILQFLN LGQASWVLNIGLDQANYSIRYKICTGAEPGQDLTDEDKDKLITNVKAHEDVVKQAQVYVQ SQPDCKLFKQDTNYSLEQNLKSIDQIFGKRVYLASILANLDSDIYEALKLIYINIAAKYR MAFVDVEQLIAKNFEKLSNDYEMRWTKQNCKNPSNFTPETVMKLVKQYIDDLPIQSRDVL LWGYISADQQGDKQQQEQIFPRATDELMMVEKTLGQIKVLYAITEQPLNSEINDPEWVLE KPEVPPPKQEGDGGDDEPKDGGNADGEENVPKFNIYNYQWTKSEVPKNMAQIFMKVKQPS PVQLEVSDYSFKLIYDNFDELFQSIQENNDHTYYAQIQLQQPIMD >CAK82291 pep:novel supercontig:GCA_000165425.1:CT868429:626812:628056:1 gene:GSPATT00002420001 transcript:CAK82291 MITTFVLLILTIQAKHKLKSHPFSNNQIKLNRHTTIEQAPLDNYANIIYYINASFGTPEQ VFSIVVDTGSVTTWISNQTCEGCIFPRFDPNKSTTLQQADEDHSINYNIGSLSGKFVQDY VSLTNGNLNVSMKFMLANVFTMPGIKFQGLLGLSSYNDRQNIFEYGYQQKLLETSMFGLN LNRNPQQSILLYNNFSQDYLDQVVWMPNVLNHQWSMKVYGFFINEIDLTDKVTLKNGTIA LMDSGSSCLWLDEEIINYMLHRYILANCISSYSCPCNSPFYPNLNIYLAGVKIEITPEHY MIPSVGQYCKPCFSKAGQTTHDYTILGDPAMQAIISIYDKENQQFGVYQGNKLHKLLTFQ IIQISMAIIQFVALCLFSYKFYLYKRI >CAK82292 pep:novel supercontig:GCA_000165425.1:CT868429:628670:629032:1 gene:GSPATT00002421001 transcript:CAK82292 MEFNLDLYLKIPFKSTRYNKIGFAIPIIEQRKVKGNFRSASYNSQRQKHCHALLLPKLNS SFYLLKENENEQKHGSVKSKQEKIDNYLKRFTEPDNASKSKLMRDLDYRKQITKQMKTQL >CAK82293 pep:novel supercontig:GCA_000165425.1:CT868429:629065:629870:1 gene:GSPATT00002422001 transcript:CAK82293 MGSCCSHSESREGNNQGGHDSQRMPRAIARQQPNGNVLQDVNEQYKIYFDILDQQFSGKG LKRTFAYKSHIDIAQIEKLRNIFWETRVEGRQEIWQILRSIINEDEETARFLVQEAELKP IKDSLQHVYDKLGQKYDVPIFCINDPIEFSAEKFEDRGLIQNSGNETVKLLIRSVNLNGK DMDVECKQSQSVVDLKDVVNVELQKRNQIQCESMKLFYRGKEMQDQYQVGRYNLINEAIV IAHLKILPKI >CAK82294 pep:novel supercontig:GCA_000165425.1:CT868429:629871:639964:-1 gene:GSPATT00002423001 transcript:CAK82294 MLTHQMFCNYFPLDSIACVSKTQGIKCYYNQFESSCMDTSSTSHGCLPTLNQLACLNQLT NQIGEEARCIFGKRCVSIKPTHLAKLGCYERFSKYSCTNVANKDCIWNGNKCTEYLSNVP DGDQCEAIFGNSVTPSMCQKIQNLKCMNGGFSQDYKCVSVNEQGLAKLKCTGLGINQDTC ISITTSNQQCIFIQNKCQEIKSVNINKCEMNFNRLGCLGIYNPKLLCQWKSGKCQSFVKT IDLKCENVKEVNQSVCQQFEGKCKFDTQNFVCKVPAIADKLTCNTQGLTKELCLSLKNQY CTFTNGKCESITLEDLKQFQCEMSLNEDACVNVLTQYQYCKWDGQSCIRQIINQDLDCEL NKSDTTTKYNGNVCQAISKPNVSCKYNASTHRCVNSDVNDLCESPYINLFGCVSITKPGI PCQWTLNGCIQIEIKSLETTCNSLQFANPNACSQVYENSSIGCYFNQATSKCDSIDTSSS IGQNLLNTLECQNKKIGLNRIACASITTQGQICRWNQSQCQQIRKKVDVAQVECLKMQFV NPQACALVEYGKEPCRYLEVEKSCVNSVISSMTCNTAGLNTYACAQVSGSCYFDTELNQC KELDSANPDSAAQVTELLQNMQCQSSSPTRDVCTQIITIGQLCQWSERQSRCLDQSVLLN QKCSNYSTDVKVNANVCAAIEMEFPDYDFRIGINSDVDRGYCKFMPESGTCQKNTDLCTT PCCTEKIGINAHSCSRYSSQAVGVYCFFGSNLRCQQLTSDIVDISKPDVVKNYYNSNQMR CSQMNRNSCHMIEWSTKQLCYYNGKSCVNINYSNYGNLDVFIQFPAILNKYGCLAIEATI TNRNTLKYFLYDDINKRCKPNIQTNYATCNAAGGNSNVCLRFTPNVLCKWNPILLTCQEI TSDEYDEIYTCSYNQNYKACIENPNTACQFSFTTDKCIDAPTDVDCDYFNSNGPVGSTAC ERITKDGQLCEYNSSTQTCKYTNMSSNKCSVPGANSITCYKNTGGLCRWDPQAYECYENQ TEISLLGCEDYINQNLCILVTKEPCYWDNTKFKCLKLTLTDKQFVSSNNLYNRLGCYSIT GGAFYHDSDYTCVSLSAKNKDCQAYYLNEYACLFHTQGHNCYFDYNQSPSSHKCKPFNSD QTKCSSAIAISVEVCMQIPSSCYFNLNTLKCVDVEITETQTCTNLKSQQTIGYYYNKIAC VSISQYLDQTDGNKQCLGATNNVQQCNFEKYCFWETATKTCEILQPTYNYIFYSNYSYNC QDANGNSSTCTRSLCVEQDLISSKPICLDTYSRALCLEMVSEQCYFDINQGGCLSLSNNQ HKLPDCSSIVQTCNVSSTPGVICELTSADPDQQPGKQCKSLVRSIRKCINNGTVQLGLTC NDYKDESSPIICASATDACRSNNKCISSQPSIGQGDVLSDKCDKSMSKTMCEAMGCNFTK LNYCQKTDSVPQKTSANKYYLCYEVNKLQLANRDAICSQVDQSCIYSSKCEDATGFTCAQ LISNKVSKKACISCSGSKVKYDPVTYRCSVVTTETFTSCDGNLNQSACLINTPNLLCKWD SNQCTLISNLSDETSKDCSIYNQYTCPQLTAANCYVNISTSLCSPFNPLLSKCADIKLKQ LCIQSNFERCKWDNTKTDNQCVGVTSETDYTDCSIANQYGCLNMVSKQCGWSSENNACYS FNKESNFNQCNDFVLIDSSNQDRNLVTFNSLVCSKLAIDKACIRDEQYRCREAVSADILK CNSPGLNKYACVNRAVGKCKYDTTSSSCVEDLTTTIGCSDQLNVEACINQDAICKFVSNT CQTYDISTYQTVKDSDKFPYSMKVCSYFDNKITTSIVYSFEKMRCVKATNREPFITNCSQ LGINKFACLNKTTANCQYNQTNKQCLSVTREMLTNANTCDPTFNWAACIQINAKCQFKDN QCQAVPDTTLCQDLQDASITTNQVVCLTRQNATDKACKWNTQTKVCNVVDGSTEVCSQPG LNKKGCIFNTLGSMCIYLDGQCTDNYENVNCTSLVNKDKCYSIRTKGQYCKFDPDLGCID TPQTTLAVCLNTFKTNPLSCSLSTDIPCFYDNTNNVCKKFEYPIDSKYSLFYSWSNRLSF NKQACLMYVENNLAVYWMDECLEIPTSEFSSLTCNKPVNKWGCLKITNPLSNCQFNLVTS TCEEADLSTFVTKSCSQIVNVNNGRFCEINNTAKSCQYDNANRACIEVTTAITECENKGY NKIACNPDTTPCQFYNNKCFTKSATGKLFCSDAASNLEDCKNVQKEGCDTSCKPIPDYST INCENAINSYGCAKIVKSQQYCIFTNQKCTFTNPKTLQSTICKNITNINNPIFCEQSGDV GCYYDQDSHSCKTTNNKSVFGCVRGINQIACLASTTSTLQCKFLDYCYGPNSAFLSCDVT ANLDPNACCQNASTIESCLFQDKLKCSWNPNTSACVPYVAEELECGMIQFKSKQVCLSKV GTFCVFNTELKKCEQIQPVSCNQAQSYQQCQSIDMIPCIWDNLYQICKYKVKENQDECED IKQGYGNRLACLSVERLGQTCQFIGGQCETYNESKTDNNCLSNINKVACTQQQSSKCYWK YSVIKIKKTSWSQETDLQIGECNVFTDFNTSSCEEYLSYLSCLSVSTTGKQCRWQSGKCQ QIEFSKSSIFSPKDLILVNSNACSLINNGDIVQYNPKSYTCKLVSDLQSITCQPLSDGIN KLACLKIKSQSCLWDDVSLKCVFKNTRLLVEEQIQQNRLLQSSFTCKRDGIGPKLCKQLN FELPCGSVDDGCDLINLNTATCEDPGLNKYACLNLTTGPCAWVKDDDSEYYHCEEFYPYT TCVDIEYSINSLACTYVEDDPCVYNKVKKNCETPTVQYNVCEVEGINAIACSSIKGCLFK DQKCITWDPNYNLLCNQAEKANQSICQLAIDRCKYSELTFGCVASTITDNCYTNGLSALG CNVLDECSWSSNSCQCISYQTKFPDCSSIGEYTKCANIDYCYFEVSQSRSSKDITQYLKN TNYGTCRNKTCADVEVNKCNSTTIDQTVCYLNSKAKCLAANKCEDISDASLSCLQYEVNN QSCRSKSNNTCQTLDCNQIMDKSECELYTNECVFAGYCKKISCEYMGKSQCLVNKCDWNS TLETCQIQLDCSQITVVDTCIGKRQGDYQCAWLTTIGGQKQCTSTGCRYLGSQQGDCMGT HIGTDVCVQMIDFSCVACQEIKDACICLNQSQFCTYDKISQKCSSKSCQHHNKDDCPVTH CKFNSNTKICQPLCQYNYNQQQCNQDDQCLWNTTNQICVAYIKPVVIEQINLPSPDSNRI LDIIILILIMIY >CAK82295 pep:novel supercontig:GCA_000165425.1:CT868429:640144:642729:-1 gene:GSPATT00002424001 transcript:CAK82295 MACTSKEQFHQDVQELNQELKPQEQIPAVQTMKLNRGGLIIKTSEGPIQFGLPPETVKDS MSLGVEVPTFYIIPSQRFNKQFGINVAEFEFPAYFNFFIKKRQVTLICDTETANSIKIVF QETLLGPQDFSQIKNDYHSDVDPVEYVDFKKELAIFAKNPMNLNEKLTSETLLKFIIFEN NVAQIGKVKIVKEEKEFTIYDNQNLLISFPDKFSFPKEYDKLLSLHKIDSSGKSEIAPPS LTIMKKMQHGKKPPSLTQNFEEVDSDEDDQDIGSMPNLLLWNKNGEAKLQKKGSIELNEG LTFQAPEFGVTVLGCSHGFDPKGSTSGYIFWINGRGIMVDPPPYTSYHLKKMGIPPIMIS AIIISHCHADHDAGAFHKILDDSKVEIITTRTIMYSFLRKYSAISNLSQDQIKSLFIFRP AILGVPLNIYGAEFEFFYAFHSIPCIGFRVRYQNKSIYFSGDTFYDPVKIEELQKQGHLN QARCRQLNSPKFTEDIILHEAGVPPIHTPQTVLSQLPNQVKNRMYLVHVAAKDVLKGSGL KVAKPGIENTLILIRPNVQQDIPLNRKMDIISRIDIFDKLTLNNAKFLMDALKLEKYKEG ELVIQENQEGHKFYIIESGLAKVFSDQKENKFQRYLQSGDYFGESALIQTGRRRASVLAV TDLRVLSLDKHDFWFIFGDGFEGQGPVIEQMMQLMEARKQQAIQILFKNSHFSQLTPTQK TQLEMIMQQQEVSKGQILWKKDDDATFVFFIRKGAFAFIDCEEAKLEEFDSGSFIGEINA ILKGNKLTTTIKAVREGSILKIESNAFAKFLQRNPGLLLLLQEYKYLE >CAK82296 pep:novel supercontig:GCA_000165425.1:CT868429:642799:644034:-1 gene:GSPATT00002425001 transcript:CAK82296 MQEQQDRNKVQLEAEVKQLAIEFVREQYLEIILYLYVYFLISFYLENYLSIIQMVMCLIF ADVFKLVIVYKRNQGIVHLLFNEIVLYFDSYAGNSILFDDHSVSIIIVDPKIADILQQKE ILRICCILIVRIAQRMTQQFNVLWKIVILVETLSVTLKLDNFVAWDWIQVLWLFLILLIL DGVIAFVSLLYFVIKVIPSLIKKNEKQRTAYYVQFVLYQVTISMTNLFLYFNNFALKIIL PILFILLSVYTYFERRNLEQYYANFIFNLEIGKDLEEKQQPYEQATTILQRVSTNYYKPI ITNVGQRQSSQPPMLSNDLQSVNSEEQNLCVICQDKVGEKIFMPCGHGKFCAYCIGNTDA CFLCRNEIAQILTVQQDHISFGIVAK >CAK82297 pep:novel supercontig:GCA_000165425.1:CT868429:644417:645589:1 gene:GSPATT00002426001 transcript:CAK82297 MRKLVSILLISLTLASQIQGPLSNPIMNELEQTHLGKAFLKLLSLKSKAQQFDFSKLYAA LDDLQNSIKQRLADEQQEYQQNEVQYFTDKEFFSGQITQFQNEIASLEIDVTDFTESRDL LQIALNGKVDELKEVQSLADGLQARITKEEGIFKDQQNQYTSAVQALDQALQLIGQLRDG SFIQNRSVSFLEEGLKQLQHQRIMYGPLITAFTQIMAPSFNDSEASAKVQKLIQNLRDTI VKNKTDLENAYQQIRAIDDHNLSQYNQRITNLSTIVIPTIQAEIQTRDAQIQTKQNLLRD AQTNFKNASDNLDNTNNRWVERTNEHNKLMDQLLTQQSLFPKIVNQLEGAGVRRR >CAK82298 pep:novel supercontig:GCA_000165425.1:CT868429:645619:646338:1 gene:GSPATT00002427001 transcript:CAK82298 MQNFKRVVILLSKTSGRDKSCRILQYFGKFCAEQLKELKQDELSLKCKNLSSNMSLTRKV LRFGRTIGIIISIMELSKQKGNKAIILNKILMNISCFLYFLVDHTHWFCKIQVIQNPQLE AKADYWSDALWNFEAFFDCVALILEIREEQNKSQETKSSQRLFNLKLDLLRAFMDLLSAY GFISNGRVPGKWIGFFGTISSIIGLKQQWDAAK >CAK82299 pep:novel supercontig:GCA_000165425.1:CT868429:646377:647835:-1 gene:GSPATT00002428001 transcript:CAK82299 MDSSFYQPYQYKKYTLRNYLLKQDQLDYQYECLELENDQWSSISILKGYPQYIKIRIQDY FPLKIFFNVGAFKCNTYFSFQTFQPSETNHQKHVVIKQQKIIVIKRPEEHKQYLFITLLS DFSTQVKLKAWFQKSEKDRCYFLCYYGQEQNRKRSCSQNNNRTDEESCITVKNNTTTITT TNCIEKSHLSDGNVPPLKSLSENRRFNTLKQKTESIPLKSRILQVQQKKLQLYSQNVVQR YQRMLIQSYKQLNQSRMMKKYIHQWIITINFLQQMELIYAHFKINKILRCMSNSPKLYAQ RWKQLNDKNFGQKSKILIQANLTLSIYSNQVNEKIQQQISQLIFICLADTSFIQKQAQLQ QSFVNFYLRVRKIQQYYLQQRNKFNIQMNQMIQNIKQHQPTILISKQRMKRILSQFYQLL CANHKSEYKRYMLAQISNTTLLTSVFALFTRPKLNMMKNQALLLQLIEQTK >CAK82300 pep:novel supercontig:GCA_000165425.1:CT868429:647873:649464:-1 gene:GSPATT00002429001 transcript:CAK82300 MGDKRIDKYLFNINHKIGEGSYATVYKGVNEKTGEKVAIKMLNKSVINADDYLREGLIQE IKIMGKLKSPNIVQLLDVMETSNNYYIVQEFCDGGDFDEFLKKKKNLTEKEAIKFLVDVL TGFTQLIKNGIIHRDLKPANILLDKTTYKLADFGFAKCVDNFKKDMMSSMVGTPLYMSPQ ILDHKRYNSKTDVWSIGFIFYEALFGKTPWTARSPPELLKNIRTQPLKFPTDKIPISQET QDLIIGCLQADESKRLSWEEIYKHPAVAQYFQDIVKGNSKLEDKAQYLINDIRQMIIKDK LDITQLFTELDMSKDKALDVNELGRFLTRVDKDIQRDEIEYIFNKFDEDGSNSIDFEEFK KWLEDNSIQVKQEKQVRDRAKKQSILGKNTVEERANYVIEKLKLSIIKYNIQLIDLFKKF DKSADLRLDLKEMGQMLKRIEPSINEDETRAVFDFFDINKDGEITFQEFKTNLQECIVQK K >CAK82301 pep:novel supercontig:GCA_000165425.1:CT868429:649508:671074:-1 gene:GSPATT00002430001 transcript:CAK82301 MILLFAIITEIIADFIYPDRANPIVPYRNNEDAYTFYDIFLSVSTRISSNGRLQITFPQE FDGTQLRLLQDDVMAATNIDKECYIEYTFSTTTTCIPYVEPAVTIPATNYYTISNRTITI FFGSEPLNAGSQKVRIKYNKNPVGLGGKSSGYLQIITLRDTVPVDQNLNFGTVGFAPVYK KHTIASEGQIINDGSKLGGYVTNYVIQFTTTIDLIKGSWFRAEIAEGFDISNVECVLVDT LQNLNCQVEGRRIYFSAIDAALPKGQHKVKLKNVINPSASGDSDPFIFETLEPLVNTVIE YFNVGVTNITPGQIINPSIAGAPLNQNLRIDYTIKFTPQNKIPYTGRIDYIIPDGFTLDP TCRIISGLSQNGTTPISCVTSGQKITITDFASFSPQEITLKIYAVNPSISKLYQYCQIYT YSTFGKVPVVSGTSSNYKGIDQNVEAGSIQISQIQSPYFVAIDLYKQMQNISLDKTGPLD FRLYPMPSKQLKLTTSPTSYGSIYFQIPLWWRMSGQYFNGWNVVGSTPTCNFGAEIAATC NYYLQRFSFKTATSTNYLKYDPNSGLGNCDLPISVAVSQITPIPGRFDFRVLTFNDGVYN TFDQTPLEQDLYTMEIAADQFIAPTTYIKCTSVDQVDADMVCYSNVLVMLPIPYEGAINF NMSTVNSTYESSAAWPNDLGFGLGNDGKKRIPCYVSIRASHPNIQCWVFSGGTTRKYAIL QVRGFSSKVNTGTNVFVVIPNVKSCTAYDMQCFVTVSSAWTTELDEPYMMNYLKQSVGFI KQGDVYKDVTVSPPTLAKDVQDLTLSATFLSTRKQICELTTWTLQFQHTEVITACNTGTT NYPYCANQTPDHILIKFTDKTRFPNQFGVTATSPQGTVYLIDHFLTGEYYYFIIVNSGNV AANGPVQLSNIYNPIIYTPGIDIKLIYWNQNRKMKRITFPTSNIFIDGGILGPPQFDILP KTAVGMNADRSQVVDMDFDSWIIFRATFTTCHKIPANGLVELEVQNGLVDYTTTCEVWSG VTSPPKRLGHTHECNLVDVSGTKYYQLTKFNEIPVLTKFTLSFRGKTGLASTTANPIRIQ TYFDATVPTSTASNVDNFYNTAYPPNPVLNGIDITGPKRFPPKLEWMDFIIINDKAREGT RGEFYMHVKTNHNIPDYTTNTAPRLVFTFNTTAVSMPQGAYPHCRLDGHLARFCDWAGGV ITMAMPLTQGMIANQEHLVMISTRGADYSSTYQEGLHHNKAGTYKLKIETVNTGTNQFIR KVMTIDPPKFRVFWVWSANKVGYDPVTPSATLQGMSVFRLYFTNTITIPPSDNPDPISII VLFHRTIWPTFTNGFEQDLGTGLPHRTQLPCIQDGFTLLPGATQLKCRLFYGSYPNPTKV IITDFDQINVNTNGEIHLPNIFNPNSTYELTKITLQVQKTDAITGTSELILSSDYDLING TFGRTKEQPGFNKLAVDIPALVQDDLQNVWAAAVNPTFSVATIDTVATLSLQYGSKDYNM GGGADMILFEIPPNWDLPYGLITCSFPAGNICYSYPLGPYIGLYPDSGITADSLQSGSVT IKTPPFKVLVATSDPPIKIYVFTRSKLVYVYKIDFTQVLNAVAVTPAVTCTLCFYEAITD YTITVTNPKNIPTTGAITIKIPTGLTIRENGCRNDVASGSVLSKVGFECAYDGANSQLLI TGFNKFIGPGKIIIKVRMENPDNTVTITEHWDFKTWYLNESPLNKLITYGDTATPALTAQ TNIEYWDTPYRSRVRGRTTLYGSIEFRINLQNDLAAGDILFIKFPILFNKVRCSQYLCLW DDSPWFDNHNDNFLPAEKCLYDKSTRILSMYTPLSTKIKKDTLYRVIIDTQNSADGSRGF QLPAMNTYTFDIYTTLELKHSDIFVPQKQAFKYFECVSLITNVKSKNMMVVQIQSWTFGG GYLKLSFPTLSEESEFLYQDDLGTGKKDGDSIQCYARNGFPPSTVLDCKLYLGNRVYGQP AYILIQGWIGSLNVDPSFTTGYQELFSRVISPPFTGTDKYYEFDIDQFYTPDVIGDDKHI EMKLESYNGASNLLLDQSFSYDMTLKHYTITTGTLPKPTKSSDTLFDQSVTFDLKMQSAI QLKNTEEYDFFIFEYTQPYFDPQPQNLQCESGSVYVCRVSQGNNWIVVKPLSTIQAQANL KFKNSNNPIQVPTTGIVCTAYAVKDRIITTIYTYAAINDYLLPTLAATAYNTIVTPIDYA DNTTIPKSTEIRVRVEITIPTQSITLPYGSIIDIFLPSGFTAYDYSLSTSDTNAKFVTAD NLQTSVIASSTITGFTGRPQLTITGWEPIKVGEKIIFETYCKTSSSALSPALWNFKAWKD TGRTIELWTSAASAFNVQSYASFKTLDWKTKDPTVARKNQISPFTFHLSFKTTPTANTKI TVSFPALALVSVPAGAVLYCTIEGATGLVHKFSYCYGTNSLDVIMLMPVSPVTFAASIQY KVTISSRGNSINHGLMFGASGVFTATLTQDNGDTGNLRFEILPTESFTYTQLRIVNSGIK TTGYTETALLFSFKNSVLIPKSGKIVIAFPNYAIDGTTKLFQENLQQSAVYNSGDLYPGC YSINSDLQNNGLNCRIFKNSGEFFQTLIVIQSFTSDISGKPLQIVIEQVTLPTSAASADV FLYTADVNNNKLEAYYFEDAVIPLVLDPSTMTTTITPTSATVQGTTLITFSGVNFGGTAF DGYSYVAYRFPVGYYDISSATVTTGGTCATTSVLEIYAHWIIQRFTGLTACTLNQNIVLA NINQVYSAVTTYTNLAFQVYACLTRVCGSYSPASNPTLPYTAASAGSLYVADATAARYFP YSFYKFELVYTCGHNIPMGGQLILDTDPTRFQVQRIELKSGGITSVTYTNAAGSITTFTL LSSYLKAQTTIKFDVYGYLPSTIGASGVVTFTFSLKNRYNGYEISPDTLKPSWDVKTPVS IISSQNFASVTSSQSILSIILKPGVKNVDNDLHFQLPSISTMDTTNFKLYVFIEGMISGS GSCSISIAEGSSAGTPLCNVAGTDKLEITGIDPSTIQQEFYLLVQTVKITTYGLLPVTIQ LIDNNGVVKNIFTGSVIVYEQQMESFGANFKHTTNGGGSNILEISFDPPAITNFNGFDGE LFLDLLGSFVSLPTTIIKEQCDYASAQNSDCEFVPAFRLNSIGSATAHRDPFNNRIIISK FEQQSTSINSIKIWFQYQYSAPTYWIMTKMFQFGFYIKGQGNNQIYKFGESFIIKLSELN PSAPLSNSGSLNHIGSAQSIGTITSSTIYTQQSINSFADDDYFAIKLIGGYSVKYGTYNQ MKMLSSTATISSSSTTTTLDNTYTNQRMGYFEGKMANGQSDIAFTLSNIELPYYPTSSLT DIAAIVLILDKTDRSIKEKIILTRSTSASSLSFATCSAQQIDSTSFEFSFTLPSNFKTFN HNGCVSTSTCYQYIEITTTNSAYNDIWSYEVTCGSGSSLINQYIPYSGYPPKFSQITSNP YGMRLSEYLEMNSNSQICIKYKKKTAAAAGSVQFTITIYENQSTPIAIASCTAASITASN TASGHQLSGVVKQPFGLQLFYDNFKAIVKGVERQLTIEFSLLADLSSSGTFTIESANLGL GNFDVTNRLKCMFKEKATQLAKHVPSLCTVDTTNKKITITYSVATVTTLNPKLVKDKVYE LIIKYDQNLIKFLSEPALQGQYQLKFKFFAGATLTYSQVLPFTIYNNQVSDLVTQSYVDH ISESTNFYVKYKTTQSLASSDYIDVLIPIRDVQSDGSLKILNTNNLNTGILNGQRIGCLD KVGNLGLYFKCYLYYGIVDFQKPHSFVRLTNFTGLGSGATVQFVIQNLKNPSTTDLIMDY EIRISGADSYEYTYAYAAAYTLPASSATSSTTGTLTITPLPCFSQINYQYQVSLTKDVDP NYESIVKISYDPLIWGEDYTIFAATIGGTASRIDPLDSQNVFIVFPTGYSTGTSITMAIT NGLIQPSYVLTKSHAVTASFINYQTKTIVQVATTTTSVTTTQSNIANYGTVSSNSDLMKD FLSVMLIPMTLLGPVKTNYQFRIIFPTDYTLSGGYCKIFDDLTNLEYSTTCTDIDAQTKK IIFNTPIKATKAITAKIQVQTPTTPGSKSITVKFFADNLDTSTLLIQSNTLTAQTIINFT KMRLAMPYIKAPQILLQDSEIGPITLTVKLTSAITKQTDTVVIDTNNFMTVTIKQPINTK RLQFACFWNNLAAMNCRNGDTSANYDKITMYAPSDTGFASGDIVTIKIMVWRHQEIGQTD FTYQWHDDTDDSVPIANIKDKKITIGTTDYQKYVTYQNYRFDETTVPTYQTNVAVHQGTI DTQTDLTVSFRLRRTLSSNLNTNWGQIILKLPTMDDDNLQSQFPNGLGRFTKNAQEIDCF CSTCPQISNIDPLEPFFKCYVYQGSSHRVAPVEIWLNPQQDMVAQQIVEISFPKIKLPST SYTYGKISMLAYEFQASTKSTRNHSEIVHFYTFHTIPDAFTTYTAITPPTFTVNMVGAMS GVTATVNNPYDDLLDYCHDRWVLSTDDVETIFEHPYNPETGTLYSNGGLYPYNYIEYTTA TNHIVIIYKLAKWITFVPKVVLTKSATQTLTIQKFKNMPYKIPTGVNYKMTLFIEIGVRS YTEYSVFTTATQYRLAYQTSTTVKQMSIDPIPAPAETAAPQTYELKFLPYNKIPKNGKIV FTFPPLPSYDWVFTDQYCTVSQNLQDSGCDIIPASRIIIIKDWLNDYDPNIDGYLSVKFD IVNPTNVQTQVPFIWRTYWDQNQNTWLIDEETFFENTPLTQIIQGGNLIVTLWDRYQHPY IICGGRKGPIRLRFILRDQNLVYPNDYIELWMQNTFWPVSTQKYIVCYFNDPNDFQLVNI KSHRCDWTAGVNGGTLQIYIPEEIDIHVGDEWELVITTFGQFGGDGWVVRSPSDLNWITF FAMQNGVNTNDKGWFEAQIPGCPFDSFGFDCQSVGWEASTVGGDPTWTILNFTAQTETNA IPAGDPSLLTYSRLVFEFITHNELEESWPMDLTNRMAATDDRAQVACNGMYKTTGANRPL QPKGNTYIECYNIKGQDKVWNNTPSYIVGSYFDAIAAAERFHFQIADFRNGYVQGAFQHV KISVEVIQEDGTFYPQNEHYCWHLPPSLYAPPSPSAASTSTWGISRSQVALSQQQSYFGD NNVQWFDTIVYDFNYPYTLPMKGYCLQRRYNANFSDGYLSPCTPQHYNHWWVMSAAIQDP TIKWQQRESGNFINPPFVYKQNNPTNDPTISNNIAQASPLRIYIWRNNRLISNQTYQLNG GKISPTTGQLYIVSQTTTNDNAQNTMVRHHMGIHFQTTTSAMKHIRLWAPNDYQNIQDCK VNRGLVSRDPADLDNKITCVITKLPAPIDKWLIEIDNFQTYQGHGEDQWMIIDFNLTNPN SEKWTGSWYGKTYESSTNLSYVIDESKGTDGQTWVGGNTVKQPNLFRVWRNTISYENRRA QTDDYAEVHMRVLPRTSHPATTDNSNTQVQIWLPLAYDLANGGDALCQISNEYHSDLDSV NCKITSDRKITLSTDNTYGLKPECSMVTVTTKNAIGGNGIKLPSTPQDDTFQVFIDSTDS GGNVQREYNYNQAYAVPDPITSATDPTFSIKSTIREANQYTVIKATFTAPLDIPAGYDTS SSGQDPLSAPPIGTLRYKFNTKDFVNSGYPGFPLNLGQSSTDVLCQGFGNLKGTLQCTLI ASSQQSPDYPAIIEVRGFDYISQGDLIEVHFLNIKNGQFYQNKGNVTLSAYKKKGDGTKV ELIQDSTDFVPVYDPNCYGNLCYTVFTTCPTSAEILMDPNVVGAYMQVIINPISINCTTP ATPYQPLQAGDQVVLTFPPEFEFPSESNGAISALWNQIQMSTIVYPLTREIYFTFPTGAS VTGCTNTLKISQLRGPAYEFSTPYNIRMRIVKSQYRRVDCIISGITPPNVATPSTQSMLL SSYFAGDIFVDYDFKFSPSYKIPAGGSIDITFPNRGLLNYNHVTSSTPPAVCSLLNSVYI TSCVLTTTGIKVTVAKDIPAQQELEIKLSGVKNSDYAGQTLASDYVLTMYHPNGEKVNEI GFSPFQFYAKKNVGVIYMKLSNTNNFQSVTASYTFVIQNSYRVPASGEIVLKMPKEWASV VTNSITLAKLGASWTSDALSYTYSIDSASDINNYLLRIKNQFTWPQGGSLTLLMLKLKNP SFESTKIFQAQTYYDNVLLDQTDPLDSSLKFTYKPAVPSLTINNFIMEPANAGEVSTYSL NMTSSGNMTSGSTIQIQFPTDTYPSGLTRSDLSLGCNLQFKNGTNVIVPCSAANSKLTVS LNANIDQNTEFTLSVVGITNPNFDTTAIKGSIDVLTTDSNNNVLTYNSGAAEINPTAAPS TMKLVKLATSSTNLQVKAAYTLCVQTDISIPLGAQVFVDFPQQFTFKSSSYPCYISLDHN NALLPYDNSTSSPSCKNSNSLRRIAVSGHTAAYVGNKNSPAQLCYILDNIENPSSAGPTD NFVVSIYDTQNKNIVAQTFGTLSPNSTLSYSQQGLVITVESIDPLPRYLTTKPIKVTLQR SVSHTVTLTPQSTEFKFSPPELVFLPSNGPEMYFKIQAINDDATEVGLKQFSWLKVESAT AKFSEMADSFFQYVDKPTANQLYMTINPRVFRVALGGTSLPMTLTLSQPAAQDLLVNFTT INPYQDSSLVFEPINAAGQVKFPAGTTSIQLQYTTKQTALSGQIQFEIVDKYSSLYTILD NIVNFEILETDDKIPEVVNYYAVNVKRTSMYFRASIDESVTLYYYLTLKGNPKPTMEQIK SQQKLTNVFTQFGNNQSFIAPVTSDYIYNDVYLDLQGLTEQTDYSLYFFVTDLSGNNNTE VKQFDFTTATKYQPAQFKITLGKDVDIDKLLSAFGLVTGLPSSSFQTIEKPKKYTIDGEL DSDVQSILDSQTVTYTFQINPDATVGGLSPYEYIRLIQQNLDLLQSEIPEVVDQNIFNTA WEYFEYPQEFKYNPIKINVTEDAVYFNVSLRYTGNLYTLVLPADSPAPSSKQVSMGLNSN NYPVQKEWVYKIRFEYSNKTSTDDQKLHAIFNYTLLFDNSYYKAYFTADNNLVSNPDLMT NEQMKQIEFKTKREIVIIPKKYLQSTLLSIIMIIILCIG >CAK82302 pep:novel supercontig:GCA_000165425.1:CT868429:671307:672155:1 gene:GSPATT00002431001 transcript:CAK82302 MQKNVILNYLQNGSSFYPFGDEPSQNDMSFDPFIPFQKERDKTGKLIKNTDSNFVKIKKN TQQYYTDHSYSNIDLLNSNKLNTEIDQSKQIPLIEPIMQLPLIHKPQLQISTQAISTSKY TLNQNLIEIGLQLKKLKKKSSLQKVSLLSRKTKSLAFQIPSLGIYDPIPYSKHLPNIQIK SKKARQQIEEISPKILISIPPVQKSKKNDFEMMFAKQMASIKRQVDYEMQRNPNQLFKVE KFDIQQNLDLEEADQLKNRMKRYFQSVKDSFIKIKNLMNIKK >CAK82303 pep:novel supercontig:GCA_000165425.1:CT868429:673259:675253:-1 gene:GSPATT00002432001 transcript:CAK82303 MNREYKYIIQAYEQTFGYLLETQRIQYQWSTNLTSIHPYLNEERGNLTMALAQLFQLMLQ NEFPTQYVTITLVTDGREPFDINAIMELCQQIKLHFTVQILCIAFGNQFPITLINSLKDA IQNQDFKTQSFFQIARSDYQSYVQIKKELQYAFQQISQNLIMTPQKHFLNFEVFTSITLR QPQNYIASNELFLAWSDQKIIIGDQQIESINSTISILQFLRKSIQNIYANIDLQIDQIIQ EFQQAIQFVRKINIESKAEHTQEQEIVNLILKIMEQIEFAYNNQDIISSQYQIEQFKYLI SCDNPNNFKDFLDNSKITESQGQFQNFALNIKTQLQILLTFNNSKQNQEYEIEFFFKYTK ILKYCIKNISQVLEALPPNTLQKTLQELIGSLIKLSKEVFSSEYFNISTIEQYQQLFQIN EYFRYLKRLQTENSLNEIKLLINQISTLDLDIQNPLDPYFQLSYLPLLNFNNQESILEKY LIFVIDKREELKIYTDPFLKSYGEIFSKISQKKRMEVYWYNNPTTNFKIHNNKDFEKIEF QSFKLLLDSLKDKLHLKKKQIQLLILTDNEQSYENLHFQIRYAEFSNYNFKIIHISIGMK LLSYLENKFSQQNNSQAQQNKGLIKIIPKPQFSQQTNQEQNSQRSNNNQKILQEIANYID ILNF >CAK82304 pep:novel supercontig:GCA_000165425.1:CT868429:676559:677703:1 gene:GSPATT00002433001 transcript:CAK82304 MGEKLLEKHWLTKLADTLQPFGIGGLSGCVATCFVQPVDLVKVRIQLKSEKLGPNAGSEI SPFRVFSEILKEGGVLSFWKGIDSALARQVFYTTTRMGIYKTMYLRSKQANNGKEPSFLA KSWCSIVAGFLGSLAGNPADLALVRIQADSTLPVEERRGYKNVFDAFYKIVKDEGVVALW RGSTPTVIRAIVINVAMLGPYDEIKEQLNHYFGTKDTQQTRLLASAAAGFLSSFCALPFD NAKTKMQKMKKDAAGVYPYSSIFDAMGKTVKREGIIGLWVGFPTFYFRIAPHTMITLLTQ DWLTDKVNTWRKKKN >CAK82305 pep:novel supercontig:GCA_000165425.1:CT868429:678730:678957:-1 gene:GSPATT00002434001 transcript:CAK82305 MLGLAQKKSQLLFKEQKPVIKKITQHLKLKTLTLSNWKSKELTSRLLSPIKYQPPSVMEP KGQFLLTLTYNNKNI >CAK82306 pep:novel supercontig:GCA_000165425.1:CT868429:678995:679635:-1 gene:GSPATT00002435001 transcript:CAK82306 MIQNLCLDIKHKKVFEHHQTEQICRICICEEETSKFIAPCKCKGTAEFVHQECLKMWILE QYGVNKIYNDELYCEVCHHKFEFDADFNDRFDIKQFQRIKKRTKLCWLIQMFFIILFIFG SIEIALGFGINSLATVAIIVVFGLITVSLTIYLIFNFSSAHTIQMIENWNFQNYKPKSSA SLPKKNTKKITNQFIRVNQIYFT >CAK82307 pep:novel supercontig:GCA_000165425.1:CT868429:679892:680898:-1 gene:GSPATT00002436001 transcript:CAK82307 MNSSIQFIPDITQENLTDQVPLPQKETITKGILKTFYYEDIKRKKIIGCNIYVNEMNDKQ LQLSKRGKQCRICMADEETSRFITPCACKGTLMNVHEECLKLWILQKNGIEDVFKDKIKC ELCSYRFRMRMQIVNRVSLKRFSEVPSHQKICWLVYLFVIISLISGFVALYMEYNLTNIG VDAVMTLIIVLSLILFVYFLASILTSLQIEMIENWTLSPYKPRRDTKQGSFFQLQSHMGH SISGSPLARRRTVQLQTCPPQITSLQVIQINQLMTSANLSEQ >CAK82308 pep:novel supercontig:GCA_000165425.1:CT868429:681553:682122:-1 gene:GSPATT00002437001 transcript:CAK82308 MIQSIKSEVINLVNEVIRKYHLNPVKQRNINYNSILYICIISVICNMLQLKIPEIEINQT VRIIIESYGYENSFGPVFEYHKKNTFSILKPEDYTTIDQKEQEQITLNIHRSQKELQKNK IEMKQPLNICLLRHITMKLVIYQVNSLKVLGFYYQLVIRQYMEEIQIQSQFELKILPYI >CAK82309 pep:novel supercontig:GCA_000165425.1:CT868429:682239:683704:1 gene:GSPATT00002438001 transcript:CAK82309 MGCCFTKKKNQLKPTKAYEYMNTNNQNNKQQELEQSFIQDVEVIIIDNKEEELERHSLKS DQDIHLWEQGEVLGQGAFGKVVMGLQKNGQIMAVKQVFIQNQNDDKVKQLQKEIEMLSRL QHPNIVRYIGCEQRNQFINIFLEYVSGGSVQTLLERFGCFRERLIKTYLKQILLGLSYLH AKNVIHRDIKGGNILIDNSGRCKLADFGSSKQLSDITHDSIGSICGTPNFMAPEVINQEQ YGKKADIWSLGCTIIEMATGQPPYSEYKDAIAVMVKIGKSTQPPPIPQQLQSPEAKDFLS KCLQIDPKQRATADELLKHSFLEEPKQNLMLKKTSSYTFNQRQVQKCNYQQKNSFLLDAE NQDQQIEPVSPQFQGQQGQSSTETNKIAVKKKKEKPLYQLVIEPEQNDCILTGQETNIVE NRNKFQFSEFNEVNLKETYIKPFNKENKDNLQKELDRILGQYIN >CAK82310 pep:novel supercontig:GCA_000165425.1:CT868429:683764:685510:-1 gene:GSPATT00002439001 transcript:CAK82310 MKKFNFLKYQFSSLFEYQNLSQRNKLNEYKQGFLVSKDFESYYNYMKILSRLKMYDEILQ AKKSVPMFKQIQFWREPKNKAIKDWIRQAQDEYYHQNPQDLPLPWFVKYPIIFFISYEVF STIFDIDIEISLNIIPEEKEVKKTTGFKDVIGIDDYKEELQEVVDFLRNPSKYHESGAKL PKGILLVGRPGTGKTLLARALAEEAGCTFLYKSGAEFDEMFVGVGSSRVRQLFQEARQKQ PCIIFIDEIDSIGGSRGGDDPKRYGTINQILTEMDGFRQNEAIIVIGATNMEQSLDAALT RAGRFDKTIHIMLPDIKGRKQLFEYYLKQIKHADIDTQLLARQTTGLTGADIENIVNIAI MNAVKEKRQKAQANDFQHAIDRTRMGIRYKLTDQDKILTAYHESGHALINLLTEHTVPLD KVTILPRGSALGYTSMVPKEDTLSQTRGNILASIDVCMGGRAAEDIKFGKDNISSGCGSD LANATAMAYSYVKDLGMGDTLISDAQTSKKFSYTVDMQVKQLLEESYNRVRNKLEQNQQV LQRFAEELLKHETMTADQLKRLL >CAK82311 pep:novel supercontig:GCA_000165425.1:CT868429:685832:686758:-1 gene:GSPATT00002440001 transcript:CAK82311 MEQDLKGAVIQSLQTTDDTARTKRPYNKISSELRAQILHALTVEKIPLSEVAQIYQTKPC TCKAILLTYELEGRSEKKTSRRERVEIESKIRIVILDPFGNKHSTYENAKFSKLYTEDKE LSANEEFNIQKKVVQEVSQQLGELQTSCYHLQSQQSQTQNDEHAPDNILRGLNMGLHLVT SKIRESQITFNKKTNKEIKEIEKQSNKENNYININEIPFQTNYTQIQQFLKNVEIKSESN PYILDQLNQLTNQTILQMKLIQKIQQRDSQIRQFQQQVGIIIRRCFECQS >CAK82312 pep:novel supercontig:GCA_000165425.1:CT868429:687382:687489:1 gene:GSPATT00002441001 transcript:CAK82312 MTYNQDAIKKKTAQDDLHSNIRIQNNYYLKSNKNM >CAK82313 pep:novel supercontig:GCA_000165425.1:CT868429:687502:687925:1 gene:GSPATT00002442001 transcript:CAK82313 MILFKSVENSWYMKKREMRKSKACNHQLLDEKIDLNISKRYQIQLSQQIQQLIDELDYNS NSFNQVIEKNRNLSKLGFERKVDERMKYQIEKTLFNLKLQVADQNIKYLQQQNEQYKIID WQF >CAK82314 pep:novel supercontig:GCA_000165425.1:CT868429:688013:688533:1 gene:GSPATT00002443001 transcript:CAK82314 MSTKWLKEIRLFKTEQFYICETYDESREIKISIEMTKLQLNLESKDTQNDLKTSMKIDGG LLIEQKKSELSDFYQMSSYVWQTLYRRQSKESQQSFSCMQNQKWNRRGQINYFELMIIKI YIPIRFENYQIIGSTTQNLYKNHKIKEMQSQKQQQCQYQYLHL >CAK82315 pep:novel supercontig:GCA_000165425.1:CT868429:688638:690450:1 gene:GSPATT00002444001 transcript:CAK82315 MSGDWANKFGYYDMCLREEYHYSSVMIRQNPMTFFYGFCHTNICEADDFNQPDAQEIIKD KLGSSIVSQMLPINLGSASYLFANPVTHYPDFSVGAYSAVIIIFILFCLGLIDPYKRLLD ALTNSEIKKPKGIIGDFSLIENYKKLMNLKTIDPNLAIFNGVRAIAFMMVVYGHSSLLII QATFQTELLVALQSQRAIITVDMLYSVDIFFWLGGFFMAFVMCETKRAKALGKNPASLFL VILHRLLRIWPCYIICIMINSYILPYLGGGPRWWSAMNYTDCAAGAFRNLLFIDNFFHDW DLCFGWGWYLTSDIQLFIICLIPMTCYALGYKRISKYLITGMIISSVIYGIVLCFQYDFL IPAKVTGNQDFYYTYYVNSLARAPPYFFGLLMGMLYREFKQKEENCFLGLLHEKAKDPKF RLLFQITCYLLGFGIISWLVFGWKSAYLSPDPTYWSYWFQHLYSALCRLTFVFGVACLCL PNMIGIYDIFNRKAMNNSIFKFTAKISFACYLIHYMVLLILNYTFYITPTYITQDVIQLF VGCTVITMVAGVFLTLLVEMPFGTLQVKIIDSITKPRKQKQQVLLEQ >CAK82316 pep:novel supercontig:GCA_000165425.1:CT868429:690495:692519:1 gene:GSPATT00002445001 transcript:CAK82316 MILLIFNLVITISYAADNTSVCIENLKYLISQPIDEQLETILPYYSLSGDWIGKFGYYDR CYRNDYSYTSVEIDQQLFKQYYGFCHSNICSAKDFNSKDGQETIKKILNESGISTLFNIN PDTIQLNFYDPKSYIPHPSWETYVTSGIVIVLLILVTIDPILRFIAACKSRHTPDVRDQR TSSRTSSLGLREGLQQKDQYQPTSIIKDFSIIVNYNKIINLKTIDPNLAIFNGIRAISFM MVAYGHVNEMTAISTYISEVNIQYKSWVIILLYDMMYAVDIFFWVGGFFLGYVMCEERKA QALNRKPQSIILSITHRLMRIWPCYLLCIAINSYIIPYLGSGPRWFLEERATQCPGGAWK NALFIDNFYEDWLICFGWGWYLTCDFQLFLTCLIPILIYCFDYKVFSKILIILMIIGTLG WTYYLSVHYDFLIPGRNTYNPDYYYKFYVSSYARAPPYFLGLLIGILYREFKQSKKSGKI TYLHQFRDYAQSSGQKLIMQFFCYGLGFGIIGYLFFGWKKEFNTTQMSWPKWLQHIYHAF CRFLFTFGLTLIVLPNLIGTYDIFNSKFMNNTLFKLMAKLSFTMYLVHLMIILILTETFY ETPAFAQLDMITCFVCAVVLSMMFGLLLSLLVELPFGNLDTRIIKIVTRPKRKVDTLLD >CAK82317 pep:novel supercontig:GCA_000165425.1:CT868429:693007:708988:1 gene:GSPATT00002446001 transcript:CAK82317 MKKLQVYFFLILMIALTCNICLIKLVQEQIKFGSNVQIHDLEKLNSLEFSDLDCEKDLLK LQQSLHTWMDIFKNQNDLEDDVNNLSALKLVITNIKNNQNPELPTLFNYKQSYIELVDSM GSSDQTPFNQWRLEGPQKLIQSINFIEQAQSFQDVLEYCTEIEQMINLLINEREEIKQEL NSQQMIVQKLDQKSKEVQEALEQCKLQKQQQTIKQPQPQPQPQPQVNQLIIEDNGQIHES SVDQEKPVFENTIEKEQEFVEYGFGYWLRFLTLYPKRLENKDIPWYFLSRLTSNKNYQNI AMNDRLLALWLGQGFYHFTTCDELQNQPNVIQNVNHHEDIDGQWTYIYYSYSSIAKQAIG IIQFGQEQPQQITHNVLHTKSKYLRFLVGGKDNNQYPGFNGQFSSIFLSTNPGVFVPTLD KFTDSFKTVKMPASDFESIFRVQLIDEEINRNPSLEGDYMAVGGGSKKFPLEYSISGWFK WEEIAQQVWHSVFRVQINKPSTDKFLGDRTLCMFIGTAQGGIFHFPTYSYKNMKGEGTSN LVNNIPHKNKHLDWFFVYFGYSKKLKKAFVQVRLSSGIETLEYNDVNHYYTPQFHTYVGK DEHFPGFNGKIAYVNFVLGSGSYRNKPDFIIKDDLFGYDVGNTNLIKKVEKQIDDQTTVN ELDCETLKQPEEIKPALQDNEDREVSIDSTEPVFEKEYEESPQIYGYGFWLRFMHAYPQR LLQGRTAPWYFISRLTSNRKYDNVQMGDRLLAIWLGQTFYHFTTCDTQKNQANIISNIDY PKDIEGVWTYIHYSYNKLSQTANSFISYSKSDIQSASIQAQHPDIKYLKFIFGGNDQKRY PGFNGFISKIMITISMDNYIENKDEFVAFVSKLEQPNVDLTNLIAYQLIENETQRQDSDD SVNQTLNDKNQRFPNEYSLSGWFKWTGPQRSAWHNIFRVQIQTPSTDVYLGDRTLCMWLG SIEGGVLHFPTYSYDVTNPKGNPNYYQNIKHNQRHLEWFYVYFGYQKTTKLSYIQVAWPT ETQDLLYQDINHYLTPSFYIFVGKDKFYPGLSGKLAYVYFNLGNGSFKTKNDIPDTIESY LKGSQVIKPQIPIPKKQQQPIQEVKKSEVQQQQQQSPVKDVRQPEQQQQLNNQEPVPYDN LNNNNVDKYSDTPELNPNKSDDKIQQPDCQEQIQDQDTPLVQPISKKQDDIIIKQNEQTQ QIIGNSVEEKKEVSVSEQSNIINQEIEQVNKIISTKDEKNQTTNETTKEAQKTNETIQQS NKVDQQKDLKIQQNDKVINQTGETTQRSNQASQQTDSTIQKTNKSQQQTDEIIQQNNNSI QQTDEIIQQSNKSIQQTNETSQQNNKAVQQTNETIQQNEKSNKQTNEINQQNNKETTQQS NKTIQQTNETTEQNNKVIQIIKQFRKQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXFKFDNQKFSGEYSWSGWFKWSSVIQNAWHLAVRLSTLEN YENKDSLGDRTLCLWVGQQAGGVLYFSTYTYTDFYGSGNANSVQNVQYKDDIAKWHFIYF GYSRVQQLAYGKVEFGYRKEEVKFENHHHYLPNKFFLSVGRDKWHDPYLGNIAFLRFQSG EGAFHQGEFKEIKDDLFANKLGSMELLKSKLKFDIDKDAITKMFASPQVDQKEPIFSKIF NEEELDNVSEYGYGFWCRFLTRYPTQLIEGLKADWSFISRLTKNQNKGDMNYGDRTLAIW LGKGFYHFTTYSHKLANVVHNIDHPVDIEGLWTFIYFSHNLYKKESVAMIKFGEGKVIKG VIPAIHQSPTFLQLYIGGNNMKYAAYNGQFAGILFSIGEGIFLQSETDLEKLLDKLKKPN DYSLKLQTRDMIAKPKQSFVAQEIVFDDVPLVGQYSWSGWFKWKQMGQQFPWYLMVRLAI IRNTSDMKLLGDRTLMAFMGQGYIHFTTYTYKNIYGEGTPNIWQNINHDGEHIQWHFVYF GYSRAQRLAYARVNFDGGIESVSFKETNHFVPNVFALTIGKDKWFNQYNGDIGYFKFNAG EGAFQTENYDKVQNDIFAFKIGRLYTVNPYKPPPEELRKQEQFDNTLEGQTVYSFEISKD IYEQSISSHVPEIIGEKGEIVKNTEQIEEEKKILQKKEEELKKEAEKFKNEKSVGIKDGH YQSPDQDQKKVVSDESIDIVKPIQDQPKKDGEQPKQQEQQQQKQSDWDGLRFYGYGFYLR YLTMYPQQLRDGKFAPQYFVSRLTWNPQPQDVGMGDRVLTIFQGQGSYSFHTLDRPSNNP NLRTAIPYADIEGVWTFVYFSYSQVDKKAIAFIKYDNNAELYRRDIICNHGDVEYLKLMI GGKQGIYQGFNGQIANYAFKYGKGAYVNDFELFKRILSNRIPHPIADDYKFNQIKIIEDS TGFKGDDQTDSIKEIESHFQNEYAISGWLRWDQPIGSPFFALFRLSGFKVEDNNDSKLGD RDMMLFKHYSYYLFQSYNYHNLNGAGDFVINWSVNHQEWDQFWHFFYIGYKRESKQLYYY MSFVDDEFDQVHNNIHHFIVHKHYLQFGRDYSKFYSKHRGFTGQATQVLLSYGKGSFQTK PFNNDIFMYMKGVKELFSSLELITRESDRNKLLVADANKQGTLMDLEINDGTDYNIRGLN EYGWALWCRWSRTLNRPISFKDYWYSLARIANRKGHQDLATPGDRTLATWIGMGFYSFST YSKGVLQVTDTIPYGRYEGQWNFIVFTYRKNMAKASVLFGQDQNIVEREFKVKHNLIKDY LRFVVGSEFHYKFFNGHIVNIQLRLGSGAYLSKEEIVIIAHGELGLPAESKYDQSRKTVE IVKGRISNSGQGREHEIKEEVAQGKLEYSISGWAKWVDIPNIQPWYMLYRVSYYDYNDLL NMEIPGSRIFQCHKAYGYYHFNTYTVDQENGGAWYITKNIDYDITQHKNWVFFYQGYSHS KRQVHIYIKFPGFEEHYTYNNINHFVAQKFKVHFLLDRWSPGFNGFLESWYFNVGSGSYK ESGYGIGENEETTFGFGLHKPAEPKNWQFNDNYACGFTQQNVGQEVEYKDDLQIDGLVEY GYGMWTRFAWNTPIKMINKPTWMALSRLTVNPNYYGDAGQLGDRALAIWVGPGYYHFTTS TRGNYNVVHNIEYDQMLDGQWNYIWYGYKRMNDKQGSAIGYVAFEGSLIRKTNLGNILQQ PLNDYLYLAVGSSGRYFLDRYYQFNGHIQKVSLVLGPGGYFENEDLLRKQIPSKPDFLRN LKQFSKAVVDEIKVLKREEWVPQPVEFNEEFDGAYEYSVSLWFKWTKTYRVAWENVFSVS YNEQNIRANHAKPGDRVLSMFQYADHRIFFSTYTTPGNHDQFAHLYTECPVDIPSQNEWV YGYYAYSRYQNKVISYLQTKTQKCLKALSCMHRVPKYMGLYVGKDGIHTPYNGKFSKLYF MGNAGSYIEGNFQSFQPFAAGGISITKPPYFWSDQKERFEIAYDQNKVFELKPDEVDEQT SYSIGMWTKYFTAIPKRLLQKPDWLHIARLTINPNHKNYENIGDRTLAIFIGRQYYGFFT YNAANNNPNIVQNIRYDDNLEGEWNFIYFSYNSEMQLASGFVKFNKLDKIQRIQFRDIQH RPIDNYAKLVIGNEFQYQGFNGQIVSLQLGFGKKYYIHDSDALNKIILEFFKTPAEEEDD NRKINIVDQVKERVFNNGPDYKEILDQKGAEEYAMYGWFRYTSTSLKTPNNCIMRLTNNE QAYRGEISRVGDRTLLVMLQPGEYVFATYSLGNIDNGQVVNIRRPTPYKGNLGIWTYIYF GYHFSKRRASGIIKYPNSYALVPYENVLHMVPNYLLFFFGGDGMLGGWHGQMQKVNLLFG RGSFVDCNKGNFEEKLPNLQGVESKPLIWDGIDNKIIEVPLTDRPGLDIVFNKDVSGFTE YGYGLWTRWLTTIPKRIIEKAPFNQLIRLCSTEQYEDAAQLGNRALAIWVGKGYYHFTTY NTIGNKISIYQNINYDDNLEGEWNYIYYSFTNKQQARAVGFVYFSEQAGTAISRVEFLNL AHVPLNGYARVVAANKEFGYQSFNGMIADLQIHLGNGFIGSREQLIKEIIQLNPRPPIEI ISKMDVNLIKTEVVIKPEERKEMKYKYDQYKGVNEYAVSGWLQSKVMGLTEAYRNVFRLT INAPDFQRDKQYAGDRTLSLFQSKTKLTFSTYTFGKLDQQGDDSNEFNRIINSGNSNGEW VFVYFGYQFKSKQAFAFTLFMNHQDSAQFNNIRHFVPNQFFFSLSNDGYYPIFDGSLYDW NLYFGDGAFTKRPREIINSWPVEPIEEFEGVISALLSNKGLNSMKVIRPEKKEDEEIVFK NNFPKSGAISGNPQPRSGQTQVDSKQPNTITQTVKQPVSGQVNTQQQQQQQQQSQQQQQA RKPLSGIADPK >CAK82318 pep:novel supercontig:GCA_000165425.1:CT868429:709143:709784:1 gene:GSPATT00002447001 transcript:CAK82318 MDINEKNLWELLVNKNGYTVHVMKNPENGAKINRTQAFINKQPEFIAQYVSDLEKRKQTD ARIEYASVLEEIDKDSKLLYIRMKPPIPFMSSRDLVLKWNDNCMLSVIHQKQPPIHNVER AEMYLFGWILTPQQNGSTKVVLIQCFDMKGQMPQQLGNQFTQQQTDLMLAVIQNLSI >CAK82319 pep:novel supercontig:GCA_000165425.1:CT868429:709872:710587:1 gene:GSPATT00002448001 transcript:CAK82319 MQNNYDQLLEETKTKILSIVELKPENQWTLDTNKDGYAIYTRNNPENGLKINRTETNAVV DPEEFVNFVVDMTRKKEYDTNFLEGKLIDKLDQNTFIFYARGKPPTFFIDARDFCLLSRV YKLGDDHFMTITKSIEHPQVPPVKGVQRGEIVFSAWIVKKQPNGQTNIIIIGNMNPKGDI PKAIINQGAKFQAEGVKKAVEYLTKKKKN >CAK82320 pep:novel supercontig:GCA_000165425.1:CT868429:710592:717996:-1 gene:GSPATT00002449001 transcript:CAK82320 MFLIRSIIILFLIKYAQSLDVCVQQTTYEECIIIKEEQCKWNGQVCKWSNNYLFGCDLTL SEKLCTKQIGKLDGTTAMCIFDMTCHTVYEQYFLKCSDKLSKSGCLSVTNPDQLCKWEDD QCKYLNQTEFNNINTIFQNLELSASVCSRITGFLIIHHSLLWTLLDYSPDVVSEAQRKVQ IEAGVEFSESRTYQDTTLKDNVIDSNGMFIWYTYSTKQSISDTKLNDFQRYGCIAQEILN ENKFLKLFSLNGIIRGVNHVYCKYLNVHPTNPILTVFAKSECEPTTLDELSDQSYINKQQ LQCQDLSGLVCQRFRSTEIKCLVDYTQEFQCMNTDLSVETDECKTLSGIATHYSCATVAQ QYCYLDISTPKGQCSKQCSNLTSKSVCENNSACRWLGSNLGNLSDSKQSIFLVCIPLSGC NQIGMNKKYCQQMSMNCYWNNSIQRCEEIKFIEQLRCQDCNSIFCCSSVSVYDQFCIWNL GECLNVKDQNLFSHYQSFSSSIVMNYNLCMSQKGLYNYYDMATRTCKSENINLYLPCVDF QDKDYDLDNMPCNNGINGRINQELCFALINSNTKWDASLQRCIHVTNKSISCDSMQFVNP NLCKYGIVQESQICLYNPDTLSCYSSSLIDLRCETPGINQEQCLSNQKENCQWNENKCIS LKVSLIVQYYCNEFQKVSPNVCRFTSQDFSCKYDFNVFGCSILSGQADNCHSYVNNQGCF TSAGQCYFDNVQNRCMDAINSLDILNCDSEFISHQTCITITTINQNCFWGSIPSDPKVKC RSYKQQFQTTCYSYQNTSNLNTCTQMASHLSLTLTDDQFCEVIDQQCVSSTSSISDSDCG YNRMINMHRCVAYTQQNCYFSNNRCNLIIGPSDYQQQLLGVLECHQSNLQICNQIVTPGQ ICHIAQDKKCVQMYLSFSDSCDNLSNYDMQYYNPQICNKAMDACYYDVQSKTCKQPLSSN TFKCNQIGASKMLCLLYTYENCVFIGEICQNLENLNVECKYRNMNACFQGVQNCYWNYSN SACGQYFGDCPQKFDESMSWQICQSSNFSCAAGQNGCVTQVSQVPCWLSVSFEICQTQFQ YCQWLDNQCVNQDYDCEEALTIKECLQHRSKFCVFHQNKCFTILDTDIYECDQFNYVSEN FCRQYQPICIYQVSTFECVKMNFLTKSQMVDVNFSEQYLCNAFSQDSYSCLLQRSLQCSY YNYSWYQFCGASDARLLCEMFDDIIHPSILTCESIFNCKFGMTTQGEPFCYSLPLQCERL DTFCLKDIPGLHCYQEFGSDQCKTYKQPLLCENVQYFNVNKQLCLSVMENPDNTSECYYQ EYTKLCKPKYPITMILGSDIIFDYYFCLFKDSDYYLFYNEKSNFEYCSLEPLSNLQNLNH YGCTKLQGDLYFFDLKQFKCSKDITRPFIQISLCLQMNRQACLQVQNHLSCIWENEKCEK YLNEFINVPCENRNYNSCLKSQNQNCIWVQNASQCVDNDQQCQNSQCEYSESYCISIGKL WSSNYCYEIANDLSIQFFSCDKLGLSRTQCLNNTQSLTCYWNGYVCLEANLYLLKCQDDI SQLTCNQIKTPKQICKWNNQTCQNLYNSNECIDFSTLSYCRQAHISCQYNLPLQKCEQKL ENPQKCSDTLSYKACQNVEFDYCQFDGYCNIWYRTRYSCENIANYWGCMNTSMYCQWTGK CENIDKGFKRVACHQIPTKFNKVSCSKYSIEPCLYDEIHKKCDMKLTSSFAGSTNIDQTN YTQIRLIYECTQFSEKEDCVGSRIGYCEWQENRCLDCQSNDNCKNDSCSNKSIKQCLIMH NLFCAWRNDNCIDWVFDQQNFTQSLVTQICCKSMDSTVKYLESIHSCVQIDILKDECNAQ GLSKIACLSLVNKQCAYKDGQCVNYIFEYKFKCNQYNNVNQQVCQQLPHLSCKYDAQLNT CIEVDYSKDQCITVGLSKIGCAKIIKMPCYWNKQVQQCQNFQLQMHLNQCDNETVTNSYT CQLLNYHQDVCSYNINKRTCQQQFNQLVKCSLPGLNRLACIRLLNQPCQYVNNQCQEIKS LFSSCNDLRDVNELACKMNKNDHCIYDPVVGNCQYTLQLLPCNYKGLNINNCNRQKKCKW LHDPQQCVCQNQQLNSACDLKENECKNNCIYEQELKICRPKKCFDLTNCSGVMNGEYCYE DQSQQCQGAKKCEDILNLNESKQCSDFMFNGSNCIQLENHCVSGDNLQEICLNSDCSNTS CKYDNFTCRPLKCSDYLQDEQCFEISNCVYKNGQCQQIQTCSEIDDQSICFRTKVNDKQC SWEIYEIYQTTHYCTNNQCHLYSNSPSLCNGNEINQYSCYMNEFEMCQICEDITDPCLCS AGCAYSNGKCKSILCQNYNQEKECQNSQKCYWSSQDKVCRKFCRYLILQEDCQTLDYECH WNPYQYKCEDGVQIEINLSVTLSQIDQYTQLIGQFILILLFFQ >CAK82321 pep:novel supercontig:GCA_000165425.1:CT868429:718214:722574:1 gene:GSPATT00002450001 transcript:CAK82321 MNLQNVEFKQINFYKIMMSILDVEQLCSNQTQPIEPEQIFIKYISDRPDHLNFWKIAIKI EKNVCNQVISQGQLAFKCSTCFGGTPHLFCSQCFNIERHKNHNCYYTAITGNCSCGSFAP EQNCEVHQTLKEQNLNQFEVIPADLAEKIEQFIMTTSSVYNQVMKQIEMQWHNYRQAIMQ LYHLCQKFKISQIQQTIDQRINYKDYYNLLVKSQCLHQTIFNIIDWLTKDREVFQNLIAN IFQNQLPNCTYSLYESLIRHQTLQEAASPELPFFIGNIIERLASNSNFFEFICKVNLKVF SSLFLLAKNASTKTADTIFDYVDLLNEQQNNIDPQYANKNKKKIEEVNKNVETYLQELQQ DNFTSYSYIPCELITWFRQSTNEIAMKALVDNLDQIQVFDLLEDVYSQLHVPLGEMQCYP WEVIKFFGPKFRSPQFDQFNMISLKNALGTDYDKFKTQNADLNLIKNFDYGKCLLTKLIN CLGKASLSNLPNKPFDYKFSMNEDVDLKYYIHFEGEFIKEIENAIISLFCDSESTPQLEM NFISVILVQIYQLIKNKRNFGVKLETLLAQKFSQYIDQEEDSSIKLAKARKMYCYFIKNA SILDKLFIKILSLHLSLKEYSEPQQFLDFLQSILDDSIQVIKQNFQQILQRCIQKFTTVW TMIDDNVDQMYYGLKENNLRSKLESLDTAFGKLYIYLFGEEGMLDVYKAINNMLLPDITM QCSITYLYLLRMIASDLDIYNSSIFYFSKQECLPTILHQALQRILQIIMNVEGQTTFQTL QQRFEEFGITFPRKKSFVFNFLELDENTKLLKLKSEYPPLYDPIIFASNSELKKIVLKTL QQKGTENKTLLFGNGLYSNIKQYDQENQYLIQKKILNYLSSKQNLIDNLQFLSKYNNSED ETAIFIQNLLLAASYFRIEELKSNKILILQLLHKLHQDSKSENNKLQYALLIEQSKKLSF LQDDLLFTKIQAKPDQSQMQQNTVINSIQQSNQQQCDGCKLQLQDKFCIPLLLFKKSNNK HLSMPETLISQLNNQDHNLLQISVNGCSHYYHPNCIMLNQQQQFYNQLPGWYKQVCRLCN HPFNIQMPSHKVISQLEVQFFNKALLDSVNENGLWEYLVKSNQNQHQNIIIEIYIQIIID LLQQLFIDTKRFRDLNRTIVLNQVIYLLEQTIMIYQSSLFKIQPKAYKFNAGISIIFDIL NSINNNIIITQNKLQLKKEILSVALNQKYDQYRQTLLDIFGISTQEVQEFQDPNKIQIEE SDPLIEYYQKIYEKFQERLNTQLGENFAEFHKKYFTKGCELCKYHNAQFENNQDILVCVI CEKVFCMKQCIRSKFGNLNQHAIQEHSGGSFFISLITGQITLIQHPISLHSAFDLFYFDN FGVEKINSVAVEKWSKFETNQQVLKKIVELIIYSQYGITISNKKLENSQGITTDGRL >CAK82322 pep:novel supercontig:GCA_000165425.1:CT868429:722643:725037:-1 gene:GSPATT00002451001 transcript:CAK82322 MIPGLQKIRFRKEEQEKMEDQEIELDALNKVDRQLEMLENSSVWKSKPLRIIQKITIFIT RLKHSSTTYRFKLLKKSIFLLIRDKASSFQYYLNNYMLFQKPTRWIQIKYEAHASNPLWW RFWCFLKSDETVLLPADKFLFVWDLLMMLVTIANIFYVPLQLSFNLNEDDMGSIFTLFST LPSCIFLIDLILTFFKGYYDRGILQRNKAKIFWHYIKGDFLLDLAIVLPFILSWMGYSAA NYLMLIRMTRVRRTMIVIEEISNFKEKSAIIYQLFCLIYSLLLISHFCACLFHYFALYEV DQGYTHTWLHQQNIFDEDLYTKYFNSLYWITITSMTVGYGDIVPVTTPEKILVTIITFLV TGVFGYALGMIQSIFYKMAEQTNINNSRLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFK QDEELDLDELMGQLNPKLKQEVQIAMYYRYLKHSKLFGTNFSDDLIKKLCFCIHERTFAP EEVIIRKDELPNQLYIVLAGQVKSLILEKSIKRYQQGNLLCEREFFYQDFMQYNIVASSF VQVAYLNLSEFQSIIQNHRSSFEQYRYAIDNTVFGQNTNLIICEACQSHHQFKNCPLVFF KKNTYKVLAACHSNHVQSRQTFARKKSKYKQQRTGVLNGAYDHIIKMRTQLGVQIDQAFL NKIGYPGYEQQQQSDEEEDKYQSPQARRQSFSQFNDHQHSLSQNTLPQDEHYNDFDIDKV EEYEFYYPHDNITKISKIVNKQQLLQRLLDKVSNKKNLFAGLVFRQVVQNII >CAK82323 pep:novel supercontig:GCA_000165425.1:CT868429:725096:726772:1 gene:GSPATT00002452001 transcript:CAK82323 MNKKLQLITTHNYSLINYLKVFQKKLQLLDTTIQPQSNQQNGQLTFITSSIQIYSLYDSS NLFYLTEDNDTINILLNSDSNTNGCTQWFHFQVQALKPTVTIKFKILNNRRRQQLLTNFK PYTSKSTCFDLHYYKTNIHHPYYANDDAQIAKYQQLMHFYTLEFKFTFQNDEIVTFAYAQ PYPLSRALSLTDYKTVGYTQLNNPIIQIKRGKAKQYVVILARQHPSETSGSFVVEQLINI INSSKYRYIIYPMVNPDGVFLGNSRCNLHGVDLNRKWINPQLSSEPEIYYIKSSLEKYKQ KITMMVDLHGHSTKQHYFIYGCANNQNNNNEIKNFIAKFQNHSLFHMKHCSLETQANRKS TARQTFWYQYKVQFSITIEISLFGSLNGRFSDSDYKEIAQQIYDSIEKCNEPIADQTTLP VIDCLGNDQDESDSECEQDFVFEVAQKRDSYKEPKKFLFKQKTIQTETQCVQQEQQLKKL LLPQIKKIKSMTKSEHKSSTKMEFENQLKVKQDSFQKNFNIINYFPQRNTQPKRMSSIKK DLNLNKCPQIKVDQMKNY >CAK82324 pep:novel supercontig:GCA_000165425.1:CT868429:726794:727485:-1 gene:GSPATT00002453001 transcript:CAK82324 MSRRSNQIQNKQSEWQNDKQRKKRLILDSPDTKETVTILPKQQIPSSKTLPKHFISGQKR KTRLQQQTQEECPICLMNLDDVQKVCEVDKCNHQICLTCIKEWAEKYKTQCPCCRAKFKF IYPIKDGKRENNPLKLNLNLPKWNQEEDEFYESEDDYEDEERCQVCLGSHTPYLMLICDK CNDTFCHTFCDPAQLELTVPNSKWYCLDCRKSKNIYYKHK >CAK82325 pep:novel supercontig:GCA_000165425.1:CT868429:727756:731274:-1 gene:GSPATT00002454001 transcript:CAK82325 MSSGEEEDKQYEIDQLLQKITEIENTRQVHRHQSKAEEPQFDLVCFIKRPPVEDLSLEEA RLCYLENKRQMVFSKKSTEEKSTKHFYSSNNSSKIQSKLLTFFSKVLRDQPNMVERFLHE KYNHKLETGFEQKVHIGITSQQFESSFQPTKLPLLGYNFEDAFKTQYADNRIGRVNKQKQ RKAKNECQQSTQNEYFNTIIQQELYEDPIEVGDQKKSWAIVVRNTVKIARFKAKFRQDFR EFFKRIAYFAAKEARRRNQKCQKYQKDFMMRAKKLSKEAQAFWRKRDKELIEIKKRKEKL EQERKKKEEEEREQLLQQKRLEFLMKQSDIYAHFMAKKLGITLDNQIQQSNGNVEIDEAK AFETVQRVINDNRRQLQQFDGKEQENVQIQELKLDHNDQDRDFSLIAPPSTFHGDLKEYQ LKGLRWLDNLYDQGINGILADEMGLGKTIQAIALLSHISSFKQVWGPFLVIAPSSTLHNW QQEIKKFCPSLKVLPYWGQAQQRKTIRKYFQQKNFGQKQSLFHVVVTSYNLVVSDNKIFN RVRWQYMILDEAQAIKNINSQRWQILLSFNARNRLLLTGTPIQNTMGELWALLHFIMPKF FDSFDQFQEWFSKDIEAHSQDQKTLNQHQLQRLHAILKPFMLRRLKKDVENEIGQKKEIQ IVCEMTSRQAVLYKNVKSKLSIKEFFRMLDSKQKVDNLMNLVMQFRKICNHPELFERKPY KSPYIFQDKQNVEVYQQNPIVQVTKRNPIKFIIPKLVYDNLIQGKPCIFTAHYIYTSLKN GDSTFACFILKHLPFGLFQKNIFDLILLLCNFDEPKNLINYSFSKQLQFYISIAQSQIVS FECRSSSFYQKLNQNLYNRQALSFIKESQACFILPNSPDSLIASSSKLLQLDRLLKDLKQ KQWRVLIFCQMTRMLDILEEYMLHKGYTYFRMDGQCQINDRRDMVNEFQQNDKIFAFLLS TRAGGLGITLTQADAVIFYDNDWNPTMDAQATDRAHRIGRTKDVYVYRLITKGTIEERIV KRAQQKQNVQSTVYSGGFQGDKFKPQEVFELLFDEQDMDETVANKFMAKGQKKKKKPVKQ DQKEIKEQKEQQKDQNKDQKEQQEEEDIIEVDLRELEMNEKDCEDAD >CAK82326 pep:novel supercontig:GCA_000165425.1:CT868429:731360:734739:-1 gene:GSPATT00002455001 transcript:CAK82326 MDIIKKILVKSCVIACSDIRPSKPIHGSKSITNRVLLLSSLSEGISSLNNFYDSDDTKAM LNSLQELRLCEVQTHSKHNLILEGCQGQFYKKEYTINVKESGTCARFLLPIAALIGNVTI IGAQRIYERPIQEMVEALDLNVIYLQKEGQLPFKVIDGKFAKHIKIKSQLSSQFVSGILM SAPYFPNDETLIEIIDCNENETIVSESYIEMTIQLMNIYGVRVERLSKTKFLVKKGVYKA QTYDIEPDATALSYDLLHIGLNGGSIETKKISKLQGDAQFLDVIEQMGMQVVREQGFYKI IKNQDLKPQDVNCINFSDTFISLALLMSSIEGQCIIKGIENQRVKECDRIKAVTENLIKV GVVCLQQNNEILIRGKRYQKYNGYRKDITINTYNDHRIAMAFSILGGHFEKVQYQYRIII DNKDCVRKTFPDFYNHIQSLGLYQQALTYNQEQEFLYNYQYYKEPLYIIGMRGAGKSTLS QYICKQLGFEYISIDNLISNNINEFVTNNGWEQFRRSEKEQFIQILLKYQKNVVVDCGGG IIEDEQIQQLLIGKNVIWIEKDINELIEDLQSQNRPQIGNVMEIYNRRKSIYQRVSKYVF TLPSRKYIQQITSNYDITRYYHRVNELYLHFIKNIQHLNFPKNKIYVSDTNFACIFYEEL TILDHQKIHFINRNHNLLEVRMDKIENIEDQFEQIRQQIYNIKFYLDIPIIFTLRTKSQG GFYTGTQYVKIIEQWQNSFIGDYFDIEMDLFNNVRISQNYNNSIILSQHLFEKTEKLQII EFIDRMKYISEHNPNTICLLKLAIHQNAYPSELTYQEISKLFMGMKFVIPYLVVSMGPNS QLYRTLNKFMVPLSCLTPTAVGQCTIQQLRSIRSLANFEITQNYHIFGDDLSLSRSDLLH QKHFDQLNQQHNKFYTKVSIKKIEQAKPYLNDINFQGASITMPFKEEVQQYLTEQSIEAQ IIGAVNCIIKYENQLIGFNTDWWGMFWPIFIRFPRNMQKCLILGNGGTAKTAIFVAAKLF LLQVFLYGRNAQRVEALAKQSKVEFMRQSERNHKFDLIISTIPPGAELPLCEEWFDEKTI VFVANQGDDPLLKKQNSISGREMFEAQAIGQVHLFNGK >CAK82327 pep:novel supercontig:GCA_000165425.1:CT868429:734885:735771:-1 gene:GSPATT00002456001 transcript:CAK82327 MKHLIPQQTLFKTFETPKSVLQLNYLHKVSDTNIAQLYLQQICQKFPQAITFTLPVGEGA KNLHFANEVAEYLFSKRFCRQDLVIAVGGGVVTDLVGFVSSIYMRGIKFILIPTSLLGMA DASVGGKTGINNIYGKNQLGVINDPYRIKVCSQFLQSLDKRNFLNGLAEIIKIAACFDRK LFERLECYDYNYLMDPNNQATLLDIIKYGINLKLSIVTEDKYEQNIRKILNYGHTIGHAV EFASQGKLLHGECVSIGMVLANILAKEHGIDTSSYEEQNQECSFEV >CAK82328 pep:novel supercontig:GCA_000165425.1:CT868429:735782:736946:1 gene:GSPATT00002457001 transcript:CAK82328 MIVNQGVFLIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXKKADKVHESDTVAFEKIIADLEQEIAYHQTQIVALSNLRDSTTEALGEAEVEVRVVT SDIANNEKSFADESATRQSQHDTWVRKDAEHVDQIDAIDEASKIVQHLQAGVAFAQLKSR FEKVQAKLMESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLVASRASLLATE ERQAANWEVQSGHLQEEHKRLVERKAFLENSIVQFKVTIQEAVEDLEDQTLFLEDAEDSL AIQERWAAEQESQYEAQTFEREQQLEVVERLQEVLTQKLSAASEFLQVREEVF >CAK82329 pep:novel supercontig:GCA_000165425.1:CT868429:736962:738593:-1 gene:GSPATT00002458001 transcript:CAK82329 MQQKRINLANHLSGRNIKCYQHNEPLSHLDLSRVCEDMSRLKCKSCKLNKRCVTIHQFNT ICEQLNNQDDDNDKRMNDYLKIFQNIEKTLNQMNEKIKSIISRPLEDNKFRTHLKSYNEI IYSFNNQIGFGLQEFEFLTQCISEEVEFEQQKNSLVLKTKGLNDQKQAETNQYLDLVQQL NQMISSFVIQNNMCHTQMLQNNLKNEQIEQVKPTESDQILICNQEGQILRSNSKLSIADI KLNYDQTILAARLCEPCKNILFWKKDNQSNQWVKFHNLECYTKSLVYFTFSELQNFMITS GSDLLNPNRALLKIWILKEHSWIIKQSFQSQIYSGYGSQRISCVAMNSSESEIFFAEGIR IGVIKINHFHLELKYCQEKSSELITTISLSNDDKTLAVGGCDQKVILWNIEDTKLTQIQK LKLYNTPKKILFSVNNEDLIICTKDGLVHCFNKNQKQKEYIENQKIFNNIAKIRTIDFNH DSSVLAIGGETNILQLWKKNPQNQWKCYNEYKQDDFIEAICFSQTPEIAFASNNNEIYIH QIF >CAK82330 pep:novel supercontig:GCA_000165425.1:CT868429:739648:740544:1 gene:GSPATT00002459001 transcript:CAK82330 MGLSPLVCGDVTEEKDCLDIINGIYLCKWTTNGCVNMKCELVKPPCDQLIYENKACSTSG TNCVSVSECSEIDNQSSCGVVKAGGRDCIWDTLCRMKQCSDYDQANCKVSNDDNCVFKDG TCQKLVFCTDIVTKDLCQTIDLADQCAWINDKCDIFDCKKFTNSEECFSAVSKTDSCFNG AVDNTVNCMSCFSLKNQCDCQQYGLYGCKWDTQTGGCIRQKCEDFEDTITCQQAFDGLTC VWYKPMDQCKSIANANELDRQCDLYVFSQTLTFGLLVSLIIYI >CAK82331 pep:novel supercontig:GCA_000165425.1:CT868429:740564:741175:-1 gene:GSPATT00002460001 transcript:CAK82331 MKYLFIYATFLVSVYSFYDFIPDDIITFTLTANQEDVLLENITEPTLIKGAYQVNHHKDV IDFQVKTPNGRTIYSKMATNKGNFTVQANEIGLYQIIFNNKKKANQLLTYAVDVIKDKED KIKSTDIDPLELDIDYIHNGLQELYYDHKFQQIRYESSTLQVKEANKKIYVFTVIETIFI VLVTIWQIWYIKRLYNKRSPLLL >CAK82332 pep:novel supercontig:GCA_000165425.1:CT868429:741211:742344:-1 gene:GSPATT00002461001 transcript:CAK82332 MLILVILIFQISGRNLQGRGAPPKRGEKWDQSNKALDQIFQQISGNLTQQQVQDLELIEG YIFNEGLLYYAKATQEEKQKILFSLLEMVGELEKESNEENQRLEKDIQYFSQLDENDKNI VMTKISQSLDKTLKDHSKEFNSKVVLLIIKKEIEAYQNKPDKNSKATWNIQQYKNLKNQQ QQEMREIEYQIQEFLDQGLSEEKIKEQITDFIQSYFSNSTSLDQNNTSIEEIIHDVKTQE EESSQSEQVKQVRQKLRLLIRELLEQGKTEEEIQKEVNDYLIKNGVNDLSEEERGLIKLI IQKEMIRNKRQQESLNDEQPQFSFQNNAYFIYLGIFTIILLMTLAMFLVRRYKMKQNQRK QIGFRIQDNSQDVEQME >CAK82333 pep:novel supercontig:GCA_000165425.1:CT868429:742608:743009:1 gene:GSPATT00002462001 transcript:CAK82333 MTSELSEDSSFYDIKFPRSLTTSVRKQGQEDYFFELKEKQKQIEDLTYQVKLMAANNQTL NLQNQMQKSEIERLLRFINEQQNEMKKMAQRDNKKQINTRSIKMQLINKMCQWAQQFPLD QQIQFEQIVQMII >CAK82334 pep:novel supercontig:GCA_000165425.1:CT868429:743022:743976:-1 gene:GSPATT00002463001 transcript:CAK82334 MQIEKLLSTTKCIKMLQQQMDIEHFHIYSNILYCRGTYDNYIYVGGMLNDQTDIQTQSKD QQNQPPQYPYFVYNFPPTSMMDYNNSQIPQLQIRNSNDSIFKDNMQIPGFLQGLSVPSFQ FNNSNQFYLNEQKSTYVPSMAGALASVFNEQQISGFQNENKGNRIIIGTQTLCDIEMIFV SRYFDIKTQLFCPPNIKYALNDERSISNSAWMKDRIKNRYKKPIKDSMFDLINVLSTDEE QSNRQFYGYHQFQSQGFDSLERQVAKSVKQEKPFTEMMIEVQFLLKQFLATKF >CAK82335 pep:novel supercontig:GCA_000165425.1:CT868429:744160:747216:-1 gene:GSPATT00002464001 transcript:CAK82335 MRQAEVEQVKKFQFFNQENIQDQRANGDPTAPTLNDIGISDGCGIGTKLFLSSQSKNLIY VYEANSIQGCFQPHNESFRVMKCTRESREGKLVTFGIDSENNQKSSFVKFWDPNTTDYQN MKPIKVILVNKVGQPLQNFNCFCIAKDLSAMAFGLQDGQILIFKAKSQNLMTMDFKDQVI QTDQEPIKSVHLSRQDQNLNLFCTTDSNIICFQNMQNRKKFSIPAGAQFDLTAKGTLIGC PKDDTSTIVEYSDTKKEATWNVDGDKIEVRFFKQNYLIMLISPRQDKEQVDQSVQLTIFD LLNNYIAYYKKFEKIQRFIPVGDYLYVITQNNRGEKNLIRLTEKENTHKIEIFFKNNYFD VMYRFASNQSSDKTLLAEISRLHGDHLYDQHDFQGAIKQYINTAGILEPSYVIGKFLDVS HVDFLIQYLAALHHEKQADKNHTALLLNCYVKQKQITKLEEFLKESSFDSDLFDIDTAIK ECRQLGHIDLALRLAKSRQKNEAYLSILIETNKDQNNKEQNRQDCKSALMYIREEIQLDE KAQYLKEFGQQLMKAEPELCLEIIQNLVLLISMVQNLKKRIDSQKGIESISILTPEELKV WRYFNLSDEEIKKVFSITFGKPDEFLHLFVVNDEYLESYLKFLIENCKTLPNEKAIFHRY FEYHLEKYQLFYKDESKIGIRDTQLQSKEQGIMKLLENQENEKKYDKNHLLVLFKMYNFV PGIIFLLKKLQMREELLNFYISLKQNDQIINLCSEYGREETNLWIQALKYFAKPENGAEN YIEKVLVLVSSLENLSPLLILNILSKNRNVNFKLVKNYFTNKISKDKKQIDDCQKVVKEK MKKATELRAEYKKLKTQAKVFQSTKCNCCDAILSLPSYHFLCGHSYHEHCIHTERACLLC PQDTQMFFKRKQEFIEASKETKPFKEKLYAAADKFDVICEYLGQGIISNQKAD >CAK82336 pep:novel supercontig:GCA_000165425.1:CT868429:748177:749346:-1 gene:GSPATT00002465001 transcript:CAK82336 MENYLISRLIGKGNFGQGLQGKTLKKQFDCSSQIYKQEKEVRERFRNLRQVLRRLIHENI ILLLDVFKIQVNFCLFTENNVQFGQGEIFEIWNDATYNKLKLDTQHNNQILHREMKPYNI LISADEGNCKNTRAIHSIKATSLYTTPKLIQEQSYDHTVDMKFGSHTLLNICWKTLLNGL VKRSLTPTLESKIILKIILMYLQKICPFMMSFGLVVNKIPMMIKVLLNQDVSLNFWINYH LKIYNYQVEQQNLIKISSLGILLQFIRIKKDFQTSQEILNQSLISQKPQFQFTTMLILVQ QFKNKSYQYEINLLQVISILITMFKTILLYLYRQSDCTLRAKVCKLIGKDQIPLIQ >CAK82337 pep:novel supercontig:GCA_000165425.1:CT868429:749910:752854:1 gene:GSPATT00002466001 transcript:CAK82337 MKKSSLILLLFLICSLQGKSGQNGILAQQFLELQSGVKLQSLEELNNLDTSSLDCSPGLS QVSKSMEAWQEILSNPDQIDNDIDNLRKLKVAVSDYRKSEGEKTTLLQYHSTKGSFAFVQ LRQSERDGLLQQWRQYGQNILDGQLNLLDAASTKEDADECCDKIEDLINKLLSEREQIKE QCKQPSITINIINSKSTDVQKRVESCSKDGIIINTDDDKKIIIRPGDGSAPESEEEPVSA SALVSSSDSEDDEDTVNKKQPSDYTNDKPIRTAEVDNEEEEGTEDLVEYGYGYWARFMLA YPKFMPKGKDAPWYFVSRLSSNKNTDNINMGDRLLAIWLGKGYYHFTTCDKPQNQPNIAK NVDYPENLDGVWTYIYYSYSSEKKKAVAYIKFGENDFKKVEHEVTHPTTKFVRFTVGGTD EKRYPGFNGLLSAIYFSAKRGVFIDNDEDIQAKLQSMKKIPKDFIPDIVTYKVTTNPITR KPEDREVHQIVGTTSTPKFPHEYGISGWFKWTPTDKQQDWHNIFRVQIQTPSTDKFLGDR TLSAWVGKQDGGIIHLPTYTLTDLEGNGNANLFKNVPHKNRHNQWFFVYFGYSRPQQKAK AYIRWTDSEDHQEYENVRHFTVPKYYIFVGKDKHFPGFSGDVALVAFNIGEGAFRPGNDF KAKNDAFNAVVGQKQLLGKQPDGKVKEKEDEDDDDGITTPSSTDDNKPKIDETKESEEEL VEYGYGFWARFLSAYPVRLLNGKNAPWYFVSRLTSNQNYGNIAMGDRTLATWLGQGYYHF TTCDKKSNNPNVIQNINYPNDIEGVWTYIYYSYSAQENKAIAFIKYGDLEPRSIIHNVNH PTTKYVRFILGGKDSNRYPGFNGLFSQIVFSAKEGAFLDTLDDFKDHISRTIIPVHDLDR LYKHELVEDTISRKVNENPIYDELGGGAQKFPHEYAISGWFKWEQTQQQVWHNVFRVQIN KTIY >CAK82338 pep:novel supercontig:GCA_000165425.1:CT868429:752943:755144:1 gene:GSPATT00002467001 transcript:CAK82338 MNGAGNPNMVSNIVHKNRHLEWFFVYFGYSKNERKGFVGVKFTGGIETIEYNNVNHYYTP YFYTFVGKDKQFPGFNGKIGYVNFALGSGTFRKTPDFKHPNDVFGFDKGESTLLKKPESK KPQPITDETGEKQLPNAHNENSPKVIKEFRSDVAFTEYGYGFWARFLTAYPVKLPNGKNA PWYFMARLTQHENYDNIRMGDRILAIWQGQGYYHFTTNNVQPANPNVIRNINYPSDIEGL WTHFYFSYSNTGKAVAIVKFGDLDPVSAEFPVKHPDLKYLKFILGGKDQNRYPGFNGQFT QVVYSTSVGAFIDSVDSHKKFFADKASPLKGGKVPLSTTRIIDSQVDRQANSDPTKTTVD TVFPKEYAFSGWFKWSQPTPQQAWHNLFRVQISQPSTDRNLGDRTLAGWVGNGGIIHLTT YSYRNMNGAGPNNLPQDIPHKNRHFDWFFVYFGYNKNDKQGYAYIKWKDSDDNLDFKDIN HYFANRYYVFTGRDAQFPGFNGKVAYVSFNVGEGASRRGSDFSHPDDAFRFDKAGQLIPK VDPSKPKVDENTVHVNKVDNNSPRVDDVLRSNDNLVEYGYGFWARFLTAYPVRLIYGKNQ PWYFVSRLTTNEDYDNVRMGDRALAIWQGQGYYHFTTCNKQNGAVNVIQNINYPNDIEGV WTYIYYSYSAEKKKAVAFIKYGSEDFKSITHQVVHPTTQSVRFILGGMDEKRYPAFNGVF TKVVYSHQVWSFC >CAK82339 pep:novel supercontig:GCA_000165425.1:CT868429:755273:769135:1 gene:GSPATT00002468001 transcript:CAK82339 MKNYQNYPKNMAQADGSDGQIPNNKFGITFSDDRFLGDRTLSLWLGNQQGGILHFPTYTY VNMNGAGNPNVVQNIQHKNRHNNWFFVYYGYSKVNRQAYAYVKWTDSEDSLNYDNINHYF APKFFISVGKDKFFPGFNGFVAFVNFNLGKGSYRKGNDFTHDNDFFGFSTGKDKLFQPPK QDALPEVEKTVLASKTSEDAPKVDRVSPQGTDNLLEYGYGFWLRYLTTYPERQVNGKNQP WYFVSRLTWNKEYDNIRMGDRTLAVWQGQGFYHFTTCNIQNNNVNVIKNVDYPDDIEGLW TFIYYSYSKNENRAKAFIKYGDGNFQEVDHQVTHQPTQIVRFILGGTDEKRYPAFNGLFT QVYFNAKVGAYIGSADAAKKFVNELSPIPRIRVTNLISKPIVSDEIERQVTDKPTDAIVE EQNLPDEYGISGWFKWNEVAQQPWHNIFRVQIKTPSTDAFLGDRTLSLWLGTPEGGILHM PTYSYTNMNGAGNTNYWKNIQHKERHTKWFFVYFGYSKPKAQAYAYVKWTDSEDSNTYDK ANHYYSAVYYIFVGKDPHFPGFNGKLNQVTFNIGDGSFRTGNDFTHHKDVFGFTTGLDKY TKKADAPSVRPGEKVLESAENSKPPVLDKDANSDKNLETYGYGYWLRYLTAHPFRQLSGK NQPWYFVSRLTWNKEYDNIRMGDRALAIWQGQGYYHFTACNSKTGNVNQILNIDYPADIE GLWTYVYYSFSVEENQAVGFIQFGESEPKQIVHKTSHAATKYVRFLLGGTDENRYPAFNG LFAAVSFQTQNAYIGTVDGFKKLIASIPMPSQGLRDLTTYKLSEVITRTPTDEISKEATV GGGKEQFPSEYAISGWFQWKPIAQQPWHNLFRVTLKQPSTDNFLGDRTLTLWVGTPEGGI LHFPTYTYANMVGGGNNNYWKNIVHKNRIREWFFVYFGYSKSKAQANVYVKWTDSEDSLS YDKANHYYAPQLYVFLGRDKHFPGHSGKIAYMRFNLGNGAHIDNNKMQFAFKEGTDRLFK KDEQLLPDEPTKDVFENGFEQKKPVINKESPSENALEEYGYGFWMRFLTSYPQRLPSGKN QPWYFVSRLTWNKEYDNIRMGDRTLAIWQGQGYYHFTTCNSVDNNPNYIQNNNYPEDIEG LWTYVYYSYSDNKNRAVGFIKYGNQDFQSIKHDTTHATTKYVRFILGGNDEGRYPGFNGL FASVTFGTTRGTFIDSVDQVKNYLAKVGTPASELPDLFNYKIVDSIQSRAANDEPVYKVV GKDNEKFPHEYAISGWFKWQPTAQQPWHNLFRVQIKTPSTDAFLGDRTLTCWVGTAEGGI LHMPTYSYTNMNGAGNTNYWKNIQHKDRHTKWFWVYFGYSKPKAQAYAFVKWTDSEDSNT YDKANHYFAPEFHIYAGRDKHYPGHSGVLAHVQFNLGKDAFRTGSDFNHQNDVFGFGKGK ELVKGPAEFKPKEADNTILTNAASQAKPVIDQEAKSDAPFEQYGYGFWLRFLTAHPERLI NGKNQPWYFVSRLTQFEKYDNIRLGDRTLAIWQGQGYYHFTTCSIAPQNPNLIQNIDYPA DIEGLWTYIYYSYSADSNKAVAFIKYGDQEIKSITHKTTHEAVKYLRFILGGNDANRYPG FNGQFTQVTFSTDGAFVDDSAKINAYIKNNKAPSVVVALQTYKLVEDAITRDQNVEPLEK TVQVGLPLEYAISGWVKWKPTAQAPWHNLFRVSLKTPSTDAFLGDRTLTCWIGTAEGGIL HMPTYTYTNMNGGGNNNYWKNIQHKGKINEWFYIYYGYSKISRKAYAFVKWTEGEDSLNF DDVNHYLAQTLYLFVGRDKHYPGHSGKIGYFNFNAGEGSFVTGNKFDHPKDIFGFSIGSD KLLTQKDTELKPGVPVTEQLPNGSEDKKPVIEKDLNADQNLEEYGYGFWMRFLTAYPARL ISGKNQPWYFVSRLTQHDQYDNIRMGDRTLAIWQGQGFYHFTTCNSVNNNPNVIQNVDYP ADIEGLWTYVYYSYSDDKARAVGLIKYGNDDIKAIRHDVTHPGTKHVKFILGGNDAGRYP GFNGIFTQVTFSAAPGAFIDSPDKLKPHLDKIGTPNSVISELTNTVLVDSQIARDKGTDP IEKTVGSETTRFPHEYAISGWFKWTPTAQEAWHNVFRVTLKTPSTDAFLGDRTLTCWVGT PEGGILHLPTYTYANMNGAGNTNLWKNIPHKERHTKWFFLYFGYTKLQQKAYSYVKWTDG EDSLKYDNVNHYLAPQFFVFVGRDKHFPGFSGKLAYVNFNVGAGSFRGENDFKHPKDVFG FEIGQSKLLDSKKGDFNPRELQKGTNLENAFENDKPTIEKDEQSGELPFEEYGYGFWMRF LTTYPKRLISGKNAPWYFLSRLTYNEKYQDIAMGDRTLAIWQGQGFYHFTTCSVAPANVN LIQNVNFPEDIEGLWTYVYYSYSADENKAVAFIKYGDADVQSITHKTTHPAVKFLKFILG GNDNKRYPGFNGQFRTVTFSTQSGAYVDKMDTINDYVRTNPSPSTLIPLQSNQIIKDPVS RQAGDDLVQKRFGGDDNKFPLEYSYSGWYKWIDGPNLNAWYNLFRVTIKDPSTDQFLGDR TLSGWIGFGNLHVPTYTYANMNGAGNVNHWKNIEHKNRIFKWFFVYFGYSKKDQLAYAWA QWSTGEKDSQSWEKCNHYLTPEFFVYVGRDKHFQGLNGQLGAINFNLGQGSFRKGNDFTH DEDIFGYNAAFTKKQQAQFDLDSRVAKVLTSSVDQKDPTFTKNFNGDEVDNVSEYGYGFW LRHLNMYPIPTNRGVQVDWTFVARLSKNEKLADIGLGDRVLAIWQGRGYYHFTTYNGGNP NNINNVNFPQLLDGLWTFIYYSHNLDKKQSISFVKFGDADIIKNVIAAEHLPPLLLKFYL GGQNLVYKGFNGQFSDVIVSANKGVFVENIDDIKALLEKVKQPATYVLDVKTKKVIEKEA IFNDKTEQKEIVYDDLALAPEYSWSGWFKWTFIPNQQAWHLGVRLSILQASENLSFLGDR SLCMWVGQPEGGILHFATYNYQNIYGGGNVNSWQNVKHNDDHARWHFVYFGYSRNSRQAY ARVEFRGRVEERPYKDHNHFIANAYSFYVAKDKWHAAYSGVISTLRFNVGDGVFRTAEYE KATDDIHGYDSGRALYFKALPKVDLEKDLRKGGLDSPQNGKEPLITRKLSGAELEDNVEF GYGFWLRFLTQYPIALKQGLQPPWSFIARVTRNQDLGDARLGDRLLANWFNSANYYHYCT NDGGNVNSVQNIQTGQDIEGVWTYLFFAHSDNVDQSVGFLKIGDKLQKIVTQSVIPVPQF LQFYLAGSQLNYPAFNGQFAHVVFSAGEGIFKKDEAQFNAWLEQFEKPAQFNTNLVTKHV IDDPKEFKQDTPSDEIVYEDLALVGEYSWSGWFKWTPTVQQPWHLMVRFSIHQASENIQF LGDRTLNAWVGQGYFHFTAYTYVNLNGGGNVNQWQNINYETDHTKWHFIYFGYSKVQQLA QAKVEFKNRVAELTYKNTNHYLPNKISVYVARDKWHAVYSGNIGHLRVNGGNGAFNPTGY GEAKDDVFGYQIGKDAFTEKEAPVDALREQEILDSAFNQEKPVLQKEFKGDDLAGVSEYG YGFYFRHLEQYPVQMRDGRLAPYYIMSRLSWNKDEGDIRMGDRLLAVWQEQAAILFITND LPGNPNLLSRIPVPEREGVWTFLYFSYSLEDQLAVGILKFDGIDEVFHTSMKCNHGKRSY LRFTLGSAPPHFYPRFNGQIANYAIKFGKTGFVRNYDALKKYLDNRIPHPGQEDKALKTL KHLEEEKNYKGDSQEELVVEVPNDLTKFATEYSVSGWLRWDQPPIGAPWFNVFRLSLYST ETNAEGRFGDRDLSLFKHATYYHFQTYNYQPGLPWIYGFDIPHEDQHTQWHFFYTGYSRE KRQIYYFISFLEAEADKLFEKQTHLVVNKHYFSYGKDFKKFYASHRGVTGISNLVNINYG NGAYTTKPFLIKDGEDKPDAFNFNKGRDLYTPKFPMKEFTSDKTKLLECIFDKAEPNVLL KIEDSKDVPTRGLQEYGWSTWLRWSRTGPKSMPWRIVWHNIARLTSKRNHGDLTQQGDRL LAAWLFTNSYYFSHSPKGVGEQVQHIPWKIIDGEWNFISISYKKGEVKAYVFQKGEISDF TWKAKHDLVGDYLEFISGKEFGYNFFNGYMWGLSLKLGDGAHFADQDAVKAYVTGAMKLP DEFKFDQTRKTLPIKKEKAKIEATAEPEIIKIEPKDANGKVEYAISGWAKWTDIPNIGPW HLVYRVTCWDKDLVGNMDKPGDRTMSMWKGLGFYHQTVYTVDQVNGGAWSIPQNIDYKGT LHKTWVFIYQGYSREKQKAHGFIKFPDSEEHRDFPNINQFVPTYFTVLWHKDQWHPGFSG QMENWYFNVGTGSYRETGYDDGETELLTFGFGGRTVAELKPWKADDIFDQAWGPDQKVGK EVEMTDDSINGVTEYGYGFWSRFLWNGPSKLVDKPAWMALSRFTINQNYQGDAAQQGDRT LAIWVGQPFYHFTTYTPGNNNVVQNIQYGQMLDGQWNYIWYGYKRFDKTGKVQGHIVFNG DQVRSTQFPVVTHQIQLLIMLTLQLDHQVPNCLRIIMLSMDNQEQSV >CAK82340 pep:novel supercontig:GCA_000165425.1:CT868429:769186:770190:1 gene:GSPATT00002469001 transcript:CAK82340 MPGIPKVPELNSIKKTVFEDIQTMKREEATMKPYEYADEFAGQQEYAVSLWFRWSTIGRV AWENVYTLSYHDQASRANHVRPGDRVLSVFQYVDHRIFFSTYTTPENHDAFAQIFTEAPV PTLDQTAWVYVYYAYSRKAQSVVHFMKTRTTENEKKLGCMHRVPKYLGLWAGKDGIHTPY NGSYREKDYLSYEPYIAGALGIQAKPWKWNEKKDQFDIADKQEIVLDANAIDGHSAYAIG VWLRYLTAIPKRILEKQARMSVYRFTQNQQLEDSAKVGDRTLGLWLTAGQYGFRTYNLAN NNPNLSQDINYDDKLEGEWNFVY >CAK61764 pep:novel supercontig:GCA_000165425.1:CT868016:1977:2676:1 gene:GSPATT00031996001 transcript:CAK61764 MKKDLVYSHFYYLKIKKKEAQSKKITNQGNQMLQENNYIKSESKLELTTQNRDAKVNSQK FDEQILINPEQIKYEYYFLANFQKEKIILVTLKKNSGQQLQNMAGQFSYLICGRNQQNKQ ESTKQAHPTAMTESRVYKFNKNIRISIWQVQLELRSQKYFGKVNLFTKIGLLEQMSRLQI FQNRLLSFLGILHCGISQMIIIGAYQEDSTKFLNCQTKKQLHLQSR >CAK61765 pep:novel supercontig:GCA_000165425.1:CT868016:2833:9052:-1 gene:GSPATT00031997001 transcript:CAK61765 MKFHLFCYLLFFYLLSLGSSEISKCVCGHVKSQFECQKSGICFWDINQCKICPGQTYNMQ IQNQNSCKNFAKEDCREQKSCGFHFGQCINFTDCSIFNKDYCQESSYKCVFDGIKCVQIL DCKDYKTENGCQNKNKNGKYCFWVQDIEKKCRDVVICEELPTYLISHKMCKEGLGVCTVS EKGYGCIEQLESCNYYYKEFQCFESKQRQENCFWDKKSSMCVEKVCENLPFSQDFECKSQ LSDCTSNGVHCVIRRQCSDAQNKFGCVTDVQGRKCEYHQNECKIKSCSTAPNTLTNYQQC QNYDNLLDCVTSENGGCKIRPELCDGYVREMDCYSVELQDCKWYNNRCEQRQCYHAPIYY DHTDCKTYGNCIGKLNGGCNATPQLCDEILEQQFCEFNYNKESCVWLEGSCLLLECNKLQ LPTYKSHDICQQASEFCTFNIDSLGCTDYLCENILEIEYCTIDSSGTVCILNQGCIEKKC KSAPPQYDTNQKCESWLPICTVNVQMLSNNQKILIGCVEKMNICSHALEDQCYSTLSGFQ CKWDQINQICLDQVCTDANPNQYKTNEICESFKVFLGSCIIGPTGEGCQQWPTDCNLMLS QQQCELNLQNGTRCFWNNSYCKISECSDASKIDYTNNVECNTWLDYCIFDHTLGGCKVRP NSVACTSSPNNNMYKTHQECFAWNHQCTVTPSFTAEGCEQKKQNCNQFIRQRNCRTNLNG QYCYWDDAEQNCKNEDDDNNGQVDCHKRIYGELSHEDCENFLPKCTLNSIDRYCSDLSYI CDYKYKQQCQITIFQQPCKWDDQNQLCKEVVCTDNTTATTEAECFRFKKFNYCQLKISSK GTFGPGCETRPAYCEYITNPVICKLTVTLSNERCYYFDSQCQVVQNYQCEFVTDSKSNEL CQLYNKSCVLQSSGQGCYSTKTCSDLTNQVCNSAIMQSDQVCNYSDICRRDTICSDKLLS KSNCDGQKTRLGQLCQYVQSCSGICKYQCIGQIAQKTMNFTSSTTLSQKRQQCQDYSSTY IYDTNCNCCVLLTSCNLFSGAASLCNASIAQSSQIIQRSLVRTENVSILKMLPLIKDVMV GNMIAFLTVLVVKLTLEIVPKQNQFINANIILVFGKLVNAQIILIVNSTPMQSRIVNAYQ QMPNIADQITLKAKVAPLWIVNTLLTLLCVLKQNLLMDQIVNGTILHAIPNIVMSILHNL DARIVMLIIGKYLHNVIGVPSILLNVLILNTXXXXXXXXXXXXXXXXXXXSHKDCNEVSV LQTIYLSLTTKCTVKKQLCSEYTYEEACVITIDGIDCYWNATVCINICEASYIHNISNYN SSCARWRSYCMSSSGQGCQLLNCSDLTTSSNCNIFSVKCIWDGSTCITIVAFCVILIVIL KEFLAFGIVHNAQKRLVQIKLHPHYQKQTAITGLLIVNGIVTIIYVQKIALKQISLIIPI NNANRLLQIKVVLSNLIQFNVQTFQFLVLQQNKLNVYIDKFGNECYFQTSLNQCVNLTCL NLEDTYTTYEKCNQRINSCTVNINLKGCQYLDDCSNYLIQEQCVIDKNNVECDWLINLNS CNIKKCSTAQLILYTAHSCQQYFGDSCTVNAYLDGCEIGQSLCMNYTQQQCKSDGQKNLG GVYLLVQKGYVKMDLHLPNLIQSVQDSFLLVRKEGCRIKGCFDYQYAIDSACAQIFEDKR CVTNGYQCILRNACEDVNIIDGCTFDINLNPCVWIDDKCYTKTCQTASVSLTNYLECNSY LPYCTVKLEGGCTKKQNCSDYTIKEACYSDSENGECIWDEYLGECFSNSCIDFCGDGIIS SMEEQCDDGNYLPYDGCYKCQIQCQQGCNLCNGPICQDCYKKGWLLSDGVCISICGDGYP VGKEFCDDGNNIEFDGCYQCSYSCHKQCINCFQGLCVECQKGYLENGSQCHNVCGDGYLI QELEACDDGNLQNNDGCSDTCKVEMNWKCRQENNISVCNYIILPKIILNKLSKSNSDYQE YKLSFSEQVRLNVTEISEEQFLQMIIVVLEKAKDSQYEVEVRPMISISKELNDFILKQKS RILF >CAK61766 pep:novel supercontig:GCA_000165425.1:CT868016:12557:14125:-1 gene:GSPATT00031998001 transcript:CAK61766 MNKLTIIENQSEFHCQFKHNQPICSVVLDPKLTKTQRFLCGLCMEYSDSEYTIIGIHRVI QNIEEKYETQIKELENQTMKTVQQLQSSINSTQKLKQQFMDLFDSLIGIAEGWSDELLLQ MQKFYKYSFYDELDDYIKKENNSEAANTEKIKTINRSWMTKLYNNLNQYNENKGLLSFRE LRLKFKKILNQKDSLEDEIKFKLIQQSAKQSIKCNSISFNSSGSIMISSEYQNINVWSFT KGQFELLQTLQGHSNWVQCLCYSKKQNSFVSGAGDKTIRCWKQLNQNEWISSQPYKQHID WVMCIIMNSNEDLLFSGGSDYSIKVWKVDFDKNELQYLYSLDKHKNYVISLSLNKSENSL VSCAQQKNQIIIWEKKKNGKYEFKYFVKQSVNYVGFKVGFISENSFIWTTGDQGINMIYN FEEREGVYQENISKTIQLATNYQDYDEYFFPIIYNEKRNFIVVRHKSYIYLIRENQKGQF QKLDQLNCNTSDIYGTMTDNGEYLVFWDFHTKGYSVYELQNQ >CAK61767 pep:novel supercontig:GCA_000165425.1:CT868016:15110:15537:1 gene:GSPATT00031999001 transcript:CAK61767 MKSGLIILLALIPIVAAFDEGCAYQQCPQEYSACMQEVFGCASQEQDCKSECGETEPCFQ QCVYKVGNKKLINLYGCWQVFCQSTTINIPKEDCKIEQCIQYFESECFSTKNMKSIPCMI EFSQRHPECECLNQ >CAK61768 pep:novel supercontig:GCA_000165425.1:CT868016:15863:16198:-1 gene:GSPATT00032000001 transcript:CAK61768 MQPTKEKEIFSKGFKEFLLYQRCFLTLKSYQVQAFHHFGDQIHSSRIQNKKQLEDGISIK FSQIKTSKEPSTSIQNPCKQNLYTFNLTLPSSSKNGCINSFI >CAK61769 pep:novel supercontig:GCA_000165425.1:CT868016:16499:17113:1 gene:GSPATT00032001001 transcript:CAK61769 MHNFNQYCQIHTKYLVNNICIDPNDLKRKYCKICQFYHNADPSQFLSSFEFQEKYIIELR NKIEQYKKSNQSNLSKFDNIRKTLESQIERIQKKFVQVKQHIQDIEINLSNYENLLLKYF SPDEFSSGDLDKIVHLKDGKELDKVEEKLKSLQSQFDEIQSCLIYNLEKINRSLNFDFKI AVNKDEVRRKVMEQHTKKIYKYLV >CAK61770 pep:novel supercontig:GCA_000165425.1:CT868016:17272:19495:1 gene:GSPATT00032002001 transcript:CAK61770 MDRRLLQQYEKDGYVECILEQKSTLKSWRLLQLWSQARIVGLDCAKGFCMNQAYILEIGE YINDFRKGKWSYEFEKQKIKGGFYNLHSQKNGKWVEIQDEFYYYSQVTYVGEYQIDKKVG LWETWYKNHVKDQKNVQMQNIHNKMHIFSGGGSYSNNIKVGKWVELSDEFYEYSQVTFNG EYKSGKKIGRWDIWYKKRGDQQSQQMQNIRKRVNNFSGGGLYDVRNEGTKTGFWIEVSDG FYEDSQVINNGEYKNGKKVGRWDMLYRKGDRDQWNKRVQDIRQIGLNCRSGGSYCNDTKI GEWIELCDGFRNISQATYCGKYKNGGKVGRWDIWYKGYEGDQENHKIGGGSYNERGDEIK IGQWVELSDGFYEYSQVTYCGLYDQGRKLGRWDIWYKQHDEDQMNQQVGGGQYHDSIKYG MWILNQVKVLERFLKSFLKVNITLVKKFVDGQYCIWRKKNTKRQVVDHMRMALSDVFGDN SQITFRGEYKNGHKIGIWDIWYRRYIDEPYKLIGGGLYDQFNNGIKTGYWIELSNGFKDY SQVTYRGKYQCNKKIGKWDIYYRYSVKLPFELIGGGFYDERSNGIKVGKWIEISDDFKDL NQITYVGEYKDGKKVGTWQQIERKKNEQRAIITYSI >CAK61771 pep:novel supercontig:GCA_000165425.1:CT868016:19879:21642:-1 gene:GSPATT00032003001 transcript:CAK61771 MLKYLYLLDNCWLYKRQEQKLLLANQENKIVYQKVILQSQMIEKQLNLNCNQKHYQRIEK VSLDSQLHKNERLLCYQCLLSTNSNEKKMNYNATVQVIEQNQQLKSEILENLLNTNLGQI EQLQRDVCIFKSKLIQMCDKVVSSTNQWVSNLQSFGYQNVKFSIFDEIDNIIKNDQVIFQ NQQNLFSELNQINQHYIDNVINQIKNLDFKEVLIRNCENLKLNLININLEFDKSILQRQQ QQQIQGSYQTQIRNIEKQLNLQPSSGIVKIVPIQTLKMEKNSSMPAAFNNDGNLLITSDY LNNIMVWYFENGKQNHITTIEVGKAPRSILLSRQGNHLITGLNGGGIYIWKQVNEKEWRR SQLYLKHEFWVNNMILNKAEDQLFTGGEDPYINVWGVDYLNNELTFLYNLDSKTITIFSF SFNDSENLLISCSACEYIIWQKQQSQNKWEYLCNEKIESLFQAKFLKDNCFCLLPINQRI NSLFIYQIYQGACNRIEAQTIKLIKDNDCEEYINFPILYNKLKNILIAKSKGFIYIIRQT KDNGFEIVDKIVCLKHASRGILSDDGKFLIFFDMSTQSLISYELLYE >CAK61772 pep:novel supercontig:GCA_000165425.1:CT868016:22289:23845:1 gene:GSPATT00032004001 transcript:CAK61772 MSENYNELKCIEHHNQVVTTILIDRSRNKNERFLCQECFDNTLQGLKSISIVAMQKIIED KQMKRRVMINEIADQYISIIQQYNLNLENLKTKFTSSIEMMINTTQNWMRELNQTKQDSR IYSFCNELEDYIRSTEQYLHTFQFDFFDKINFSQLTKLKIGIKQLIEANYTHQYKVLFQK LRDIKDQRKQVEKQEWQLSKDGSIKLEQISTPIKQDKDCQALAFNATGNILVTSNDREII IWNFNRGVMSFCQKQQLSNIINCLVFSKQLNVFISGCIQIICWKEKSSNFWEKSIQFQEK EKNEKENEKKNNEITCLVLNSKEDQIIYGSRSQIINILAVDLRINKLEFLYFIKMSEGGW VSALSLNPSENLLLSCGYGNKIMIWKKQKENKWVPMTEPTKYQDKYKEDPCKAIFVEDDE FILFDSHKQFYSSYKYYQKDKIVKQNYKRDFTDLFQSLLLQSFDLKLEKNLLFALSKDCI YILRKKNNGQYNIALENHYQTNANIWNFVQQWLISCNV >CAK61773 pep:novel supercontig:GCA_000165425.1:CT868016:24516:28504:1 gene:GSPATT00032005001 transcript:CAK61773 MAFYYESEDLNLRKKYNNLQYDELEEQDKQSAAYCISEQQMTNKQHEEQLQMDFEIIIKD IKNMCVYFTQKFILQNENNYQLQKFPFIKVKNRVCHIFEKYQEQNTLLDSILEQICVSLM DVVKVYVLKYVNNFGKSICEEFHNICDTIYILTKVRGIRTISRYCPHEVCNLEPVVMYLQ HCSTDLNQNWETKYVILMWLSIIVLVPFDLNSIDSQIYNAIQDTFTSSNTIITSLLNLGV NYLKSSTKLRNMGALYLSKLFSRTDILKCNLLEQFLFWSVKQIHELQDNILNTFYITGIL ETLVEILKVVQRDVLKDNLQILLPLLNLKQQGTLINLFLTKLTQRIGLVYLRPRVVSWTY KKGTTNLQQTLKIIDYSRIVTNSQISRQNQQLQQQQLSNILLEDVDYFIDVDQEGLEIVV DTLLQQIINKDTVVRWSAAKGIGRICARLNLDQADDIFNSLINTCFTPINGDTAWHGGCL ALGELCRRGLILENKLESIIPIICRALIFEQNQGGYSVGVNVRDSACFIAWSAARAYDPE ILKNHVLSLAQHLVIVMIFDREVNVRRAASSTFQELVGRCPNIIPHGISILTEADYFSLA MIHNAYLRIAPFVASYPEYYKQMVDHLAFIKISSQDKEVRKLAAKSLGRLLVLDPTYFKD NMIYESVLKMIRLQSLNYKHGALFALGDLLIAQSGNITKNSEEKELKDSVFLRTLTKNDR QLAKAGEHITIFKSQYEKLLQVDNMNLLSQKIIDNIMQTPQLLEDSLKGKSGEQIRIAAY RLIECISISKLPLQIEQHAYYLKFIEDGLKNPLEEIQLSAAKALRLLSNQYQTKDQFQID GKEFLKRVIKQLNQKSTTVQVLIQGGYAQSVGSFSPQVLHGEDLSILYTIGLSKKRAKLT SWSIDPDTRKYAIKSLGQGIINQLNNNLNCDAQLLPFIDCILYAMLDYTVNKKGDVGLFI RENSIISIQSILASYVGYIERNQINNIIINEQYIIKIIGQLLQQLCEKIDRVRLLAGSVL QDLFKSVLPKLQQFENYEQISAIFSTANLQQTIIKDQERVDQTFQSEIIEAEIKNLKDVL QNIGKTDLIYHWNLPHCCYRLIVPILAYPTFCRYILTGLCISVGGISESIQKYSEEALVQ YIHMNQNLDLLMVNLIEILKLYVLDERVVIPLFKTASLVLQKEEIQSLPMIKQYTEMLFQ LIYKETHKTQSINKLAASVQLIIDILSINIGLFHQIIQHIYDILTSDLPKIRKQLAEAFY LYLLSHDNEELISMDNNCLLQDYLLETDWLSVFIQSYHYQEELDQNIDQCRNQLKQLLNL >CAK61774 pep:novel supercontig:GCA_000165425.1:CT868016:28987:30058:-1 gene:GSPATT00032006001 transcript:CAK61774 MGNTTSNHPQITGVFSEYSFHHKNCLISSHSTQRKILKAASKGNELPHRSNRRFAPNILK SLEFKSEGNHYIQMKQYKKAIESYTQAINLYDHDSIYFSNRSVANKLLNRFQEAKQDAQQ AIKIDKCNSRAHFLYGTVILIEVQMFPDITEALIKQAQLGIEELEKASEQVKQNKNEQKN KLKVLINQNLAKGKRMIYLIRQEIDKRNILSLKQTLKDIAHRQHQQLDWNLIEQNIHKKT EIILPEYFLCPITYEIMDEPTLLNSGLTYDKISIQQQFKQNGYVDPITRENIDPLGLIQN IQLQNGIQQIIQQYGWIGIENEKDYKAIKFE >CAK61775 pep:novel supercontig:GCA_000165425.1:CT868016:30129:35978:-1 gene:GSPATT00032007001 transcript:CAK61775 MLSDTKYDILLSISNQLSTKLSPKLKGIIVSQLIKTQQNLTLQDAFIRWQVRTNPEIVKQ AVDKILLNSKLNQFNAFHKLKFLLGTKQPKEARMNARKKKNLSLINLALFIQKKELQLKR QAIESIKPTSQDSNKMLGLLIWSISSKYRERYLREKFNWWKLIAKMKSNKLEKQLKALEI LGDEYNMRNDRLDRSRLKEAFEIWRGDLLNFRLKKKFFAVLLKTTFGRLQRCYTRWVDLP DKRENDIKKQGLLLINKLGNKVDQNKRLVWNAFKDLHDEAKNKKTKVIRELIEVTFNQSY TAFYKWANYNTYAKLTETNLKKIKSLQQSANVTHQLVKQETFKLFNLSKKMQICSFLDKI ILKLQQKQKLDALRQIENYCVQKKMEEKLNNINKQDLIARLKETANKTEKGLLKQILRKF QINRETQEIKNKYFGKILLSVNGQLIDSFRKWKILPNPDDINNIQKVSKFQMKLQLFIVN KHKQAYDPLKYLYYEALQKKRFCIRQLFSVTMSAPQRYIKQWQNVAKVYKSVVACQKTNN LFYSLAMILQSNLLAFIQNKKDVDIKEKCIQKILASQHSNLAIAFFRWKSQNKQQQILER LGDEKMKFLILNLKKFLENDKKQRLRRALNLFNSNLQLAQQIKKINLRLMQTVIGQVSTS FQKWKYLPEDRVNGKTVKVSKFMISLGRVAYRFVKVNSWNILEDDLLEGQAKKKYCINKI FSVGQSDLKTAFLSWHRRSWEMKMFDQFSQLNVTLNDEIIKQRLVNWINSGYKVKHYALI EILKRFHQNAQSYNLRRKALAIIHRNTISQVWISFNKWKQIPELDYSQLAKVTKFEQQFN HFLIRQTKKKIWNPLYEIYDDALAIKKRAVLLLIKTQESEQQKALSNWNKNVSLIQEVER CKSVILLFKFVGDQIQFNLQIMQPNQESRLKEKALLKIIGGNYDNIRYFFMRWTNYLKFE RIQAIEGEKKEFLIRQIGFFYRNNMKSKLRLALSKFKRNSVLSAVQQKFFSKLFSTKFGG AIVAFQKWKNLPEIQNFENLKKGRRLEKILENLYRGRIKLSYDPLKDEYQEAMNKKLHCI RKLIILGMGLNKRLFLQWRNTNKLLKSIETCKQTTNFFQTLALTLSGNVQVIFKTNQLQE KVFEKMLSKYNNLLRWGFIKWNNQAKSAKIASLMDQEKRKFLLFALQRNLKHNQNGQLRD ILRKFNDGRSRQNLIKKIQLKLLHTFAGQVETSFLKWKQLPDPSNLKQASIFEQKLNSFK LQVLRKSSFKYLQNIYQDGQAKQKFAINKLLFNCMSAEKKAFLEWNKVSELQRTEQRASK VFSFFGSINKIQQNHMYFFFEDQIKELKKQEELVRLLKEWEDMFKDSYQKWKQTQAKLDL LASQNRQKQKFSIIEILKDYIQNKKNYNLRLILRKFSNSNQRQKAVNKFLLGISNSSIGQ LHNSFQMWRRLPEPQKTKQGYIFEKKLFGLFRRQLLQSFDGLQDLYFQALNKKRRVVLLL VRTTKSQQQQSVQRWRDAINQMNQQELQNVQENRKLAIQLFYQHKMNQLNVAFIEWRDTI RIHTNKQENKTQKEERLKKEAILLFQNYAYVRLRVYFTQWKIRAVKRNMIQICQAIQRMI QLNRFAEKAIKKYVMDVWKGQPSKNKWFKRVADIIAKNSRISKQVAYWRMRDNSLNQKAV GLSTQQIIKCKKLFNNLCKAFDRIKQRAFTHLEHYGKGIPDDTSFQPSHSSFLQQTPIKD AFFKSDFDSIIQKNGQKLALNAISRVFKGFLKQRFIEFMIELDDQKQKSWSTPQKKGAEK LFAILEQNLKLKQQVICYQISQVNEQGVLNQINEIINYQQSTQSASRLELSQIKEQQTKL NEQERMILDLQEKLRCMAIHRLFKALEKCEDHFVEDAFLGISEYQLK >CAK61776 pep:novel supercontig:GCA_000165425.1:CT868016:36082:37765:-1 gene:GSPATT00032008001 transcript:CAK61776 MDWARLEIIVPAILIILYILYYRKFRFYLIAEKAQPNIIRHKLARGTPPPSYPNGWYRLC RSAELKIGQVEEIKFAGRHIAYYRGTDKVVYAVAAYCPHMGANLGIGGQVKFNSCIECPF HGWAFDGKSGLCVNSEKLDPKIVSTYCYNNIENMDPNKKGEYLQKVQEGEIKIKTYLVKE LRGMVYIWLHCLEAKPWYEPVSEQSDHLQLRGESVNYVNCHIQEIPENGADARHFDYIHS SVNDYIPTWIVSFKWTMKSLPANDPDFYKKMEHSKEKVRKYQKNLFDQYLSNKDLRQYMN VLCLDAYLVIFNKWKFNVIWATGFQMGPATVALYVLSDVFEALYKQAIQPVERFNLKVFH TLYTTRLLPYWASAYILGGELRQVIADVSLWNNKIFTDKVSYNLKGDADPLLLRWRQWDP GILRKVQMLQNGEYEFNKLKMLQQEQLFIVLIVLVIIAFIIAHLITKCQGKEKEQQAKDR LKKKFIKNNIETLNDMNEEEALLLQETFKNTLENSKQGKIAKYDPRMQKIQEIRQKTVKF ILTHE >CAK61777 pep:novel supercontig:GCA_000165425.1:CT868016:38008:39112:1 gene:GSPATT00032009001 transcript:CAK61777 MQKNPSAQEYRSHLVNPQSIDKFLLCQICQQLVFKPQECESCQKIFCLYCLQKWMSICNN ETCPSHCKQYKIRKPHQIVTNAINQILVRCGNNGCSEQMIMGNLETHIKICQKVHKPNLF EQKQDTNHGLFDDYCQKCNTKYSQFCQHDCIKKHFNNFKIKLKKLLSDNLFERVSQCEKQ QNNLGDPQNGIKQPQLGNPYQEQPQVLFGEEEELMKLQDPSQVQQQVNFDIPLCELNHKL KWIYPQNNIICTRCKLSDISVRYVCEQCRTCYCQKCRKPQIQQGLCPIGHALIFQLIAPQ QVYCDSCLLNISSRGEAVYSDRFCDLDLCNSCYSNLQKQYQQ >CAK61778 pep:novel supercontig:GCA_000165425.1:CT868016:39156:40518:1 gene:GSPATT00032010001 transcript:CAK61778 MQELGKQQKMVIGMVGLPARGKTYISRKICRYLNWMGFKSKVFNIGNYRRQICGTDCNSN FFDPGNKDASKARDECALLALNDMINYLRNEGDVSLYDGTNTTKQRRKLIMETLQQKFSE VQVFWVESICNDEDVILRNIQLTKLNNPDYVGKSSDEATQDFQQRIEQYAKVYEPIDMDE NISFIKIIDIGNDIMIYNIQGFLQSKLVSYLMNLHIYPRPIYLSRHGESQYNVVHKVGGD SDLTQTGLMYAKQLGKYFIQELEGSRNIKMFTSTMQRALHTSNEVCEFLGVEYYSLKALD EINPGICDGLTYQQIADKFPQDYEERKMNKLTYRYPRGESYLDVISRVEQIIFEIERSRL PVIVIAHQAILRCLYAYFHEHEVPEIPKLNIPLHQVIKLVPAAYYCKETRIKIDPMTGHW EIQDEATIKKVKSFLDL >CAK61779 pep:novel supercontig:GCA_000165425.1:CT868016:40546:41075:-1 gene:GSPATT00032011001 transcript:CAK61779 MSATNSNSPKPKTQSMSYSKSEVLSNSNDVVYRGPKSEIIQSNKILDEDHFDSQQSKSKS GNYFNIIIIGLVILGLTAGLVIGYKIMKSGNVQDEEQMNVFVNIVSQSLLSNLEQQKFLI IDDQLKSLKKFYEKQLTNTIWSKIVTHIQQQPNVLLSQDKKRWILKV >CAK61780 pep:novel supercontig:GCA_000165425.1:CT868016:41318:41797:1 gene:GSPATT00032012001 transcript:CAK61780 MANKDPSKGVEDDILHHLERNANIYTQTQDQLNNKLYDQTPYMIPTQNISPQISSLREIK LVNSLLENGDGKIHPVKLICQNCKKQVQTKLSRRVGSGAYLVSCILLLCSFGICCLACLP CYVDDCKDIIHLCPDCQHQNGSTPYSVFQ >CAK61781 pep:novel supercontig:GCA_000165425.1:CT868016:41823:44468:1 gene:GSPATT00032013001 transcript:CAK61781 MSTSYFNLKWRQSINQLMEALQIEMDPLVENQIKQNLKLVRPDQEWFVYYGKLYLFYVDA YKSLETCYDQLLHPQKRRLLKKMLENTMLRQIQIKQAMIFYSTQGNVIRSDFVNLHQVIF DEKRLIEDTEVTLPHYFSDEQNRKLAYRKQMLNHFLNEFHDTTQPEEEVLVDKAVLENIE QAIWFIQKNERGRQGIERVLLAKQLKKQDLKKQEKQKKLQEGLEVHDSTEREEAITTIQK YYRGFKAREIVWDLREQESLFLGFTLEKQLQETDAYKTYHLQREKMKEKQQERLQEYKTA LVEARDNLMNDWQDDIQNQMLAERRRWYMSEVQKAEGKLVPPKVAQFYKKDQVRLPLTEE EQEMMDLLDKEKKAAKKNKGKKKKKKKQEDEDIRVEQGPTEAIVKMQDLIEKHNHEWRND QLNPYQKHENSLLKGEIAKGVEKQIREVVDEMIDMELINLRIALKAKKMKYPKKKKSKKK KAKPAAKAEEDDLLEEGEINFGFRSVAKKKKKKFPGDKGTAKKDPRDMLAELIESGIAKK LEPAKISDLIGNCNPLRQKQEMLQEQVADPSIYDLRQVLVQFIGMPLGSQYIKDNVKESN YVLFYGPHGSGKTHAVRALQTECDALILDLSPANIENKYQDKPDYLLSLAFKTAACFQPA IIYIDEIERIFKGKKKKKKGDQQPSSAGGMNWVKLRKYLLKYGKYFTEKQKENVTIIGCS YAPWNANKKQLKSFFKRRVYFPCPNYATRIMIFQRFFDERKIQLHPNFPLSSLAHATEGF TAGNIKEALRKILTERRLFLSAEIPYRISEFVDPLAVQQSTFLQQYQEFRKLHDDLSGNK ARIDKLKKPADDEKGKKKPAKKKK >CAK61782 pep:novel supercontig:GCA_000165425.1:CT868016:45167:45617:-1 gene:GSPATT00032014001 transcript:CAK61782 MYIVFNHLELYLERWINAATVIYKCLEQDKRLSTKLKISYSDLNKHPKLQVDQWGLVQLL QKDKYKFIMQQLLCFFQRFTFRKQGKTNLKFQKNEKITIFVNQKIMMGFNKSEQETRFKD TKNLYPCLMIEGQEINIAE >CAK61783 pep:novel supercontig:GCA_000165425.1:CT868016:45732:46730:-1 gene:GSPATT00032015001 transcript:CAK61783 MNPSIISSSSILRDLIVNPNTIDQNLLCLICQELVVDPKECSQCQNLFCSECITQWLEKR KSCPYNCSKEIELKNPHRIVKNQISQIEVKCVNKGCDLQMQIQNIDSHLQQCEYQEKQCQ FADCDFKDIQKQIKHHEQICEHRVQNCQKCDATYKVNQEHDCLVHLLQKLKLQEANFQAY QKTTDQVIMDLVSRLTKLEDSQKGPKKPKCFQGHELKWIYPKQGIQCESCKYANENIRYV CEICRVGYCQRCKLPEFNGNICPANHILQFTQKPSFGLKCDFCRLNIYSKHDSVYSDRSC DFDICNSCFQKFKLLK >CAK61784 pep:novel supercontig:GCA_000165425.1:CT868016:47022:48446:-1 gene:GSPATT00032016001 transcript:CAK61784 MNPIQQCPTHKEIYVGYNIENSDTVRPICSRCIPSLSKGYFGEQRYLNFEQATQLIDQLK QSGLKQYKSEILDQLEQTINQLYSQLKSLYDQISVVFDLIIQSDPQQELLDSKDHKQIAQ VLHENCQYDIHQQKYKIKSQNAIHIRFNKIISKSQHHMNDCFDSISSQINSLKKEEIDLT QQTSNHKNTHKNYKELFKLNTKVQSVKSMAYYDKMKWLFSADQDIKILQFDLRAKQFIQE LSAHSDRISALLIINDTLISTAIDKSLVIWNLTGPRIEVKNKINTKSTILQLIRYQNSFA SINSDKVLAFWNDTTCVSELKNKVEITSIDCNKDYSQIAIGQIDGIIRIRKEKFNIKLES HFDCIKALKFINESYLVSGGWDKQIKIWMELKNGDYSCIQTIYDHNSYINFIDYVGGYLI STDDDKIIKIWQQEKQWKEHSQIGRYPLVTSIHTYQRICFLGLNDSSIQVLQFN >CAK61785 pep:novel supercontig:GCA_000165425.1:CT868016:48903:52651:1 gene:GSPATT00032017001 transcript:CAK61785 MIKYLQRSLFRICQEYEYLKSKQIFKQQLEQFKKALNKAIQLQKQIDQNTQAAFKMQNHA FFTSNVPAKTILAAFLQKREYSNEEIVGLTFVQLGHAIKGRGDRSYFDHKSVESSMGFDV LIKDLLNLNDYRVLAEAIHGLALTGVDLHSEQIVDKAFQQLDAKQLNSEEKQLIEAFILD IFEEKQDVPMNNFIYDVEKLIEETQSDIFYVLEAIVEIRRVYLKLLDIQKSDWIHINTNI KMMEFEEALISTGLLHPAEVEKEDISLSLETALSYISGRDPNLMAFIISLLEIQLIHRDQ TKPIEPKLSDFGDLMVAVSEYKGHQIAERLKNAQSLLVHSDKYKLAKAFANAGLNVESRE YLAQVTDEELLNSVDSLHLHLQLNKTDRQFPQPENLSILSLDELIAYATYLALQNQNYGL FIEELKFRVKNLNTIRDLNEKQQERINVLEKHSGQKLIYEEKDINRTEVYKAIKETLPKS VQLIEIYDLSVNNEINGYAQLRVGSQPYMTLYDFLGKDKEQFKQKLQLAFNLENSDIILF NKIKDRLESVQIEENSKQLSSQTIFNAQWQYLKKRALNYLNKNDNLNKLKLKQTLLDLQE RLKILNQNNVFQDLIDEIKIQPINFPKWYGMIPSQSIYNPQSSIQGFKASLISNRIYDPA YCPDQDLYKRLEDNGFISNMIPIPHSDKRRQQIVVQSYSLAWKKHSAKKSDEELEQLNQL YKFLQKDQKDHHSDASDLFIANLLNLNAELKSKSLPEIADFVFNIKFWDKLIEERLSAQK STKPAGIYLQRDPEVVLQEQIKQYNPFLTTQYLRKKLQVNKTLEDLIKMRKELLMKLQED VKNKEINKQQARSIVRKINRILYDKIQDIKGELRRKHSSPYYKQKTNYIDILFNESDIEK LDIQQTPDRYLDVDLLYGIDQFITRKRSRAEEKLITYYLMNKQMEGKQLNKQELQFLDSL SLYQPNVQVTQLRIKDMQFDDLIHSDFKQFGEFSASDLLLQLSQLFDDQLFLDILSHKYY KKQGIQHQFRRRDNQLYLQQQEKLVWIEESRLNDVELKDLKEMLQIGNASDQHVESLNQT DYPLQNVYSWIHAKSAEKFDLSLLDQHNQELWNNIFKCKYENTTEQQLHDVVNLLVERYY ILQFHPVTYLRQLLKMILTHPNLSALDKKNLQCLKKTVGFNSEDILAISKSEPDATVPSY LSEMCYPYAEKLSII >CAK61786 pep:novel supercontig:GCA_000165425.1:CT868016:53047:53365:-1 gene:GSPATT00032018001 transcript:CAK61786 MEISVYVPSIEFTKHLKFQWKRQPTKIIRQAKILKDKHKYICYGENSVWINKVALGFAHH VRQGQLKSTSKPIYQTIEDYMDQNNCQYFTFEGNPQ >CAK61787 pep:novel supercontig:GCA_000165425.1:CT868016:53407:56547:1 gene:GSPATT00032019001 transcript:CAK61787 MLQVDVLQSSQYFINRFQVYLVPYQLLGAFFIFYVTVKDNSLPPAIGVIIQAIFGVAFGY YFGKIQNKFMHAKDERMGGVDESLLQIKQIKFNCYETYFEKRIEKLRAKELKFLKQQVLL LIFIQFMQNILSFATWECTFYFADFITFAITTVIMQNSSQLMYLLQAFPNQLKNYYASIN SIIRITSFLKENQIQPWSNDEDKVHDIKIDGTFDWKYIDENQYLKQNQQFDLKLNVVKGY YIVIVGNSASGKSTILKSILNETNCVSGQIVINGEISLATQEPWILSDTIKNNIIYMNKF DELRYKKVMHVTALEQDINQFVNKDFTQLSEKGDNLSGGQQKRINLARAVYKEADIYLFD DPLSALDIKVKCHIYQECFLKFLHGKTRILFTNQLTNLSGVDQIYLLQNDKLVPYNTQIK IEEEKLNLIDIQFENNHLEDKEPKSKASFTLMSEDQRVGKIDNKVIKTIFQFQGGFWAII AVVTYFSVLMVLSTLSSKTLSNPQLDPDEFREVALKSFPLFNIPIYIAIISITGYFLLIG IKTSSVVHKNIFASLMKASFTQFYNQILIGRLMNRLSKDIYNIDMLFPNQIYNLSIQFTN LIMPLITSLIFLNYIAYPIVLIFVIILLLFTISYYKCLTEMTRIESVSKSPVFTYYQQII RGLLFVRSCLPKRLVLQNHYNNIDLDLGNQMYLAGLQQWFSQTSSIITNIFQTILFVVCL LYPNNNPAMTYLIVIQMSNVSQLLVQVAVSYGNLLMYSISFERCLHLSNDIEYDDYAQGS INSKSIGSITLTNASFQYPNNQKDVLSNLSLTIESGQKIGILGRTGAGKSSIIMALMRMI HLTNGDVKIDETDLNEYALQELRKKFSVIPQESLIYKGTLKENLDPYGLADDQNLEEVCQ ACQLYNMKSFQDYKLETKIQQSGGNLSLGEKQLITIARCMIENRKIILVDEATANIDNPT EELIKNIFKVHFLNQTMITIAHKVSTIMESDKIVVLSAGQIIECDSPNNLLKQPKSEFKQ IVDLINKNL >CAK61788 pep:novel supercontig:GCA_000165425.1:CT868016:56714:58885:-1 gene:GSPATT00032020001 transcript:CAK61788 MQIPQGQPEFRPSRLTRYSNQTFYSMENCDVFNNQIENIDLMMDQIPMLQQVFEVRYTQH KENQLQKFYNMPNQERMFYMIDKDSGRIFDMRIPEQAKEIQEIMNGNTVNFIKDQAWNDF ILLTKKMNEHLLDYAELGDAQSIETLLIPTIEYYLDVDTKGLDDWTALHFASNEGNLNIV DILIKHGATVDALTKFSRTPFFLTVMQNFIECANVLLQHNANINSQDKDGNTPLHIASQM GSTDMIAFLLEKKADPNIRNSFNQNCIQICCDANSLQIYIKYGYAHNEESYERTIIPGTN FLLRNSRYDHVMKFMTKEKQTEGQTANAQNQVTNQNNTNKKISKFQNLAKFQNVNLLKHK MTPNMFNFYQLLGKGSFGEVYLVDKIGSENRKLFAMKILKKERMMSQNLLKYAETEKEVL SVMHHPFIVKLNYAFQTESKLFLVMDFCPGGDLSKLLDIKRKLPEEDAKIYVAEILLAIE CLHKNNIIFRDLKPENVVLDSEGHALLTDFGLSKKGVTDEELNKSFCGSPAYLPPEILSK QGHNRMADWYGLGVMTYELLVGIPPYYANEREQLFDNIRKGPLKIPRSLSNEAKQFIVAL LNRDPNKRLGANLDGEEVREHPWLATINWKDCYDRKLKPPKPTEQTFNNVPLRINFMDTD ENKNKITGWSFYEES >CAK61789 pep:novel supercontig:GCA_000165425.1:CT868016:59641:61533:-1 gene:GSPATT00032021001 transcript:CAK61789 MKIKRSIIQEQQPDHDLIRKQNEINLQPALQVYRMYTQLHTVAQAEQVADSLSSIDFIQK QCENSMKVRDFAMYAAQYFKYEYYSFGQTIMNQGEYGDRVYLLLNGDVGVYMKRSNEDVQ KDLELSTQQCSETNIVISNAQKKKKTVLLNQLNKYELALRMLMDNTMYYNNGVPLFKKVW QYYSGQCFGDQALIYDQPRSASIIVVSEEAHLISMNKHDYKQVCEKQIQEQNANVDYFMK LFNGASKFTVTKFIQNLRTIQFSAQEILWKEGDEPKFYFLILKGRVQLYKYIAEEMITQS VSRKKKKIILSQLSDNSFVGQEEIIDSLPYRLYSCQVLDNTTAYFMEASEFNNLKKNFPE IVKLLKDKSSLISDYMNIRQNNIISILSQHENQQKEQPQLMITERKTVQEIFKDPHDRPD KNDIRSQKPKILLQTEIAHQNMLYHQKKLPTDAKTQFLMVDTNLIEQIRDRVSRKIWTGA EKKQRVKTTHLDDNQILTSRVQSALNVKSKKMQRFSSLETILQITSSEPHQTSPTFITSS TNTIPLIQRSWNPQSRQLKAKLRRKMSGAMSQNTLQSRQVENEDIFSHLQLPQRIHTAKS SNRKNCSSFGLRKKSDQVVSYI >CAK61790 pep:novel supercontig:GCA_000165425.1:CT868016:61851:63156:-1 gene:GSPATT00032022001 transcript:CAK61790 MDNPLGNRNLSNTYLQQQNYKLIQRLGMGAFGEIYLAQNKQKEEFAIKLERTNCQYPQIL YEAKVYAFLHQDTQQLDKGIPKVFGAATEGDYNYLVMELLGSSIEDLFAQCHRKLSIKTV CMLAEQMVSRLELMHSRSFIHRDVKPDNFLMGIGKKQSKLYVVDMGLAKRYLTKDGHIPF KENKPLTGTARYASINTHLGYEQSRRDDLEGLAYVLVYLAKGTLPWMNLACNNKNDKYVL IKEKKIKTTTEQLCSGLPEEMTQYLNYVKKLKFEEKPDYNYLRNLFKQALQRQNLQLDYI YDWTKPEKKRQENDQVDAIRKVKEEIANKEQDPKIKQIPQQPQQNPAKAQSIIAPKPLPI NSPKGNQKIQQQQIRPQSAQKPVVPKRPQLPTQQGKKPPVEPRVAAPRIVINKEPYTKY >CAK61791 pep:novel supercontig:GCA_000165425.1:CT868016:63175:63951:1 gene:GSPATT00032023001 transcript:CAK61791 MRILIVIIISVTLSAFQASQNNIQDSQRTDFVERVVINEIKQDVVDQLDLNSQTPFVDQI NAQVESLLKVNEMQQNHSEDPSQYPIKQEFIHSVQYWLDKLDNDQLSQDSSTQNDINNLQ QVQEQLINQPDQSTHLSRLAKYIQIQQVEQQQQSETLPNNPNSKVEETNPLKLMLLYSDN PKQYNDEIDSHQILITNEQILDQLEKEKQDKILKMQSLIIEQLKDKKPDPIKEESFLERD YIEWEMKTLTSNDNLRAK >CAK61792 pep:novel supercontig:GCA_000165425.1:CT868016:64017:65006:1 gene:GSPATT00032024001 transcript:CAK61792 MEYRPPMQPNREIPQSISQIQQEQRMKFQLQQIQAKIIEERPNFQEMQGGQPPNKPWLGE DIYMVVFLLTVENDRLREENTHFQQLLNTSEMRYKGVDLVENEVISQRVKIGEYEKKLAL LQMECEQWRVKYQNRDKESEDQRYQNELQRRIQVDREIRELTARFIADRNQLEQENRSLR IELDQIKLSKYSFEDNKRQCEFLQKENQRLKQELDTLKKGFDELEYVLQSAGDLEQENQH LKIQIEQLQKQFQQLQQQQSQIVQKPQSTNRIELSNSNHNVLQENRRLKDENDRLRTLLN LGTGSYSKPFM >CAK61793 pep:novel supercontig:GCA_000165425.1:CT868016:65105:65408:1 gene:GSPATT00032025001 transcript:CAK61793 MQFGKQKGSHQGTSWEKLKKSIYPTNKAEREKRLKQFRDIGIFLASILVVSVFEKKIQNL LKVDKSDITQFSNMQSSMHAAY >CAK61794 pep:novel supercontig:GCA_000165425.1:CT868016:65742:67896:-1 gene:GSPATT00032026001 transcript:CAK61794 MLLKFECIQFEQIFVIQADPKSLLESGIDPIKQNFRFNDATLAVAYQDDKMLNTDKTIEE LELKQNSKITLQFSYFDYIQFQLKEDKKQVKTLFMNIYISYLEVERQLAEHFKTDYQVEV ILDGNKLCKTKSLFQIPQKKVHKFQMQANLQIQNLDKLYLFRFSVFDTISNIKQKIRKQL QIKGRFQIFKNDRIQLDRMENMKETIYSLQIPNYQTLTINSQQHIALVYKFNQCTEQYQI QTDQNLEDNINEIRKKHRVLNISDYQIKCNGQVLPKLPKDKDKDYLMDGVVEVEESKDES AIITIINDFNKTNREVKVVNLKQTLGALKNIIKNQNNKCINVEFIFHDNQQLDIDNTTFQ QLGFEANKHYLIQYKLIYDNKIQPCNKIQQQQKEQQMKNIGHDKEQHKATNSIHSYGYFI ENPYFTENPYFTDDEENFKEEGKTENTNIIIVNIICKQENSDILIPQQVIIDENIILSQS IIYKSIQKYGDTYILKYNDRAVDIGKSLKELGISNDSIIEVALYAEYQNQIVSQEEDQVN NANVIIHIQYNKDGIQRIEQKKYNQYTIKFSLVKKALLTEYQLPDNYELMYNGNRVNLNS NIQGVLQESENLFILEEQVISKERKLVNLILKMIPDGFSPNQLIQVDKELEHSNLYQLVQ QKLRQQNLTLFYDGQVIKNQKETFLELKDDREEEIEVLLEDDVPLAYIL >CAK61795 pep:novel supercontig:GCA_000165425.1:CT868016:67938:69737:-1 gene:GSPATT00032027001 transcript:CAK61795 MEKIYKVHIDNLDKDIQVEINDNETLEKLVEKIREQNRLPFHNVDVFYENNKLDVKFKIN FLNINNSSSINVKLFFYVKVKITNEMQESCEISKINIYDKLTCLNKQIYNEFAISNYHLD LYSGQTLLEPHQSLYQQQILKDCELRCIARPTFLLEYKSEIYTFLTLLNEDFKHINQKVR QKLNLELEFELIYNEQVINNEGDNYYNYSIPQNQKLELKILDSVILIVSSVETQSFKVSK CVQIKELITYLKQLYAIAEEVSLTKGNQELLPEQTVQELKLKDYEILKLEQIIMQDLYLI NNLNPKQQFKKKINAPINLQFLQGLPQFKEKRIYFYNSSHKQLTNQDEIKLEPKITIYYK EISQSQIFSWLQIGFLNKQTKVKTIKKVAQNDLIDTYVQELVGGQQTQLWLGDQQISKGH TFEGIGAQNNDCIIFEIIKKSVLVLYRETNHIIEVQDNWTFEELRQNLLRLFQGSTNSYC LIHRDAQPELSQNILAIYKEGDVIQFTDQKPSLIINQSRINDNNLIPITLYIVDKQDEIT LKVKCNTTVQNIINKFKNLYQINASTNLILKLNQNRLQETFEIDSTHRNTKFFIEQINN >CAK61796 pep:novel supercontig:GCA_000165425.1:CT868016:70704:71327:1 gene:GSPATT00032028001 transcript:CAK61796 MIKPQIIGNNQGGEQSSEEDFIKAAAYTKMINRRISYVYSLYALAVHIVLIVEVFNHQQI PSQVCSIASSGLLIIQHFYHGTIITILNLYLTLPLCGVGYFLSKMEVANTLAFLFQICLW LGEQLHIILQYNTDNLMKKRPVVQQSTYDQNGEQLIIKADGQQSNKPQRETTKEINDLGE TLSIGTRQINNKQKDEHQDERQQNLVD >CAK61797 pep:novel supercontig:GCA_000165425.1:CT868016:72019:73670:1 gene:GSPATT00032029001 transcript:CAK61797 MSEEIEPHIARKFEIISKLGKGAYGIVWKAVDKKLKQVVALKKVFDAFHNATDAQRTFRE VMFLQELNGHENIVRLLNIIKAENNKDLYLVFDYMETDLHAVIRANILEEIHKKYIVYQT LKALKFIHSGELIHRDLKPSNILLDSECLIKVADFGLARSLAQAEDDSQIVLTEYVATRW YRAPEILLGSTKYSKAVDMWSVGCIVGELVVGKAIFPGTSTLNQIERIIELLGKPRADEV ESLDSPLAANILASINASKKKQFNTFFQGASDEALDLIRRLLCYNPNQRLTAEQALKHKY VRDFSSPDEEIVCQHPLRITMNDNKKFTIKEYREALYADISQRKKEQRKKWQAKYLAQLG VSLDDKQNQVQQAPEKDDHQLEQKLSQPDLIQQQIYQQQLLLQQQRKAQRPQSGQMGGRQ SSVEMPKQSQPSQQATQQPTHHKSSSMIQSMQTKQGYYYPFIQQQMAAQTNKVNKSAVQS QQQQPQQRPSTSYYQKPLTQVSTTSVKK >CAK61798 pep:novel supercontig:GCA_000165425.1:CT868016:74077:75606:-1 gene:GSPATT00032030001 transcript:CAK61798 MAATQSFFSETYDTRFPTWCYEACPCDTQSITFSAHLKIQINNGKWKTKLLQIKNEFILT NTKQNDLKWICYLNASLKIIKNPREQTSTLELSQGQNLVAIRGDIENLIKIIKRYTVQEE FRMKFQLVKKFGQGAFAEVYSAKKKQTDDYFAVKMFEKSFINSHLDKQSLLKEIKVLRTI YHESTVSLLELYETQCYIYVVMELLKGGNLSSYLDKYAPLSEKQTAQIIYKLLVSLNHIN SFGIFHRDLKPDNIVFREKGNFDSLCVTDYGLADFYNQEAKYLFHRCGSVGFVAPEILHD CAYDLKVDLYSVGIIMYCALSGTIPFEGNTHQKVQQNYKGEVKTSNLNLSPKGLQFINSI LQPYPSKRIDLQDALIHPWFLEQNLSNLKVFKLKNKKASITNQNVMLTPPIGKSSPFSTP RSPQSPITNPSQQSSFLTKIAGKKLSNFQLEDDSTPRESQRCNTQCNFLKKIAIRKSIFN HLEPLKRQKQTE >CAK61799 pep:novel supercontig:GCA_000165425.1:CT868016:75886:77109:1 gene:GSPATT00032031001 transcript:CAK61799 MSASNVYHVDQNVIFVLKDSSSNDKQKQQIRKINKEKLKIILQISGCKAAHSYSLCEKIF EMIFSKLLENFDKQKNHQPLNGQSNKQVNSATITEKHFKELVFNSVFEKKYIREKEDLYK EDFQIAWSLTEKKQPLIILFGGTSGTGKSTASSILASRFGISTVLSTDSIRHIMRNFLSK EENPVLFASTYEAGKTLPDLNISDQRRIIKGYKAQCELVQQRLEHVINTFDEKMDSIIIE GVHLTPIFMMKIMKKYKRVLPFAICIKKESKHKERFAVRSKYMTLDSRHNKYVENFQNIR LIQKWFLEKADEFLIPKVDNVNIDKSIDTIHRTIIQYMKHISDDQSVTELKNALPIYEEF NKVISDAWSSKEVKDYIPWLSEQN >CAK61800 pep:novel supercontig:GCA_000165425.1:CT868016:77135:78276:1 gene:GSPATT00032032001 transcript:CAK61800 MNEQQADTNTTITQETDKVNPKVLIQQSVQKFNEISFKNENRDNQNNLTNTTEVNYIVDD QDEKKENLNHISDQEDQNEERRRRNQDDNANPTFKKTKSLKTLITQNESEQQIQEPIKEQ NSKKQLKVAFQEPEFEKEEQEQTEQRPHRTDYKGILYHLTKHKRIFLSKKTKPSNLVFIK KMISNYNKSYQSHDRIKLIQNNDGSYCLFKMNVSKFIKKSDSREESSSEPDDNEQSVVQQ GRSLMTLSNLPFSERRDQSDGDSVRFRNDVNSDEEEIENENENENSVEASSSDEEEGNLE KVKLKMNDDEEDYIELQKIIEEDEQINEQDEIMETPLQVQLLDQQDYQDQSP >CAK61801 pep:novel supercontig:GCA_000165425.1:CT868016:78561:79334:1 gene:GSPATT00032033001 transcript:CAK61801 MIPTIIHLVQLIVHTYFMYINFDSKSIEEFWNQIKYLTNISNLCMYSFLIPQVVEDFKFI RRRGWLINIPRHHTFTHYAYQCMNPIIFIVMTMFWTMFLIDPKLIFADRDVSQIDMVLMC YVHGGNWILMQLNQFEKQPHLKMRIKGLIYFVYVVLYFGIYGLHYLIYEQHVYGFQALLS KFELISMYAILFATLFGLDVVYNKVLFKPRHNFVQIKNH >CAK61802 pep:novel supercontig:GCA_000165425.1:CT868016:79980:80228:-1 gene:GSPATT00032034001 transcript:CAK61802 MKHGQEIEKLFESFTIQAKNSVEKPIVGEEQKIIEQKELLQTPQPKVYSPSIQTTKIKEP KDEQEKDRINCSATRRLTFNLD >CAK61803 pep:novel supercontig:GCA_000165425.1:CT868016:80826:82915:1 gene:GSPATT00032035001 transcript:CAK61803 MSLFANYARLKRKTFWVMRYIEVTQEGILVYRDRKEDKQNKAELKLIHCKVKELSTNNGF QIQIIHGDDSLKIMTHTNQEHKIIVQAITQIKQKSEQKQEIQVQAQEQFQQVRQTIVPSI IIQQVKPPTFQPDNDLIKQSQGDQIKVQAQQLIKKFESQQLTLINVIDGIFFYKTEKAER NNEEAETKSNIMSLISDEIKNVGYLLTIAIAIIFFTLLSILVYLLLSLVDNRTYTYSILI TLNIVILPLLFKKHTPPKQTEKVQQFMVQCKCLMNVDYNALVYLISKFDVRKEWTPNLLL INNDKNLITALYSNQLVEKFTQTVFYDEDTFYVVEHFHVKILRLFVIKYLFDEDSVEVRC IADSTQMQILPCLKQFIKIQKQNPKSILIEYQASKTSGDQMSVIQSEIIYKQSDYSRITQ SPNQSISVEQQPPSLPSNSPRQISQQLQLPQKPQQPSQLQQLQQPQQPQQSYPPEIQKIF DMTIEAKKQLESVYPLGPSWQQKEDKGGFLIHTRFDEATGQTMSRGEGILPYTIQEIFEI IEKVEKRGDYDSLFDSGYMVKRLDGDTGIVYQRFKTIKIVVKIKRFCVCIESISRRKQVG GYSKINRESVRGDLKIAGWILQKADQGTKTCFITMVDPKGSIPAAIVASSAKEQGQCVEK VKALLDKRNKK >CAK61804 pep:novel supercontig:GCA_000165425.1:CT868016:83052:84917:1 gene:GSPATT00032036001 transcript:CAK61804 MQRIHPDQENKGLKVVKSATTNVSSRTFQNRKVVDLVFEDIHYSVQTGKTERVILDHVSG ICPGGQTTAILGSSGAGKTSLLNVLACRITSNKHCKLTGELYANQDKYNYDKFSEFASYV MQNDVLLETMTPKEAFTFVSSLKYSDEETKKLRVEETIKSMRLEKCQNSRVGGAMVKGIS GGERKRTSIGYELVSNPSVILLDEPTSGLDSFTAFQIIHQLNLLAKDQDKTIIFTIHQPS SDIFLLFDRIMLLVQGKLIYQGDRCKIISYFKSFGFECPTHSNPMDYLISITHSEDPKNV EAYSLYFQNYEQQLANEIRQEINNRNQSIITYKSVETTISYQIGLLTKRCFVNFSRDDMQ MNARVGSAIFQGLLHGGVFWKAAMESETISDVRNIEGSLYFLCVNFAVGSMMQVVLGFAV EREVFLREENSKLYSAFSYFIGKQFVEVPFCILQPLILQLISYWMIGYNDQDASIVIINF FICILLCICSNSLGLMVGCAFRDIKLALTAVPIIMMPVILLSGYMANSKNFPVWFGWLQY LSPVRYAYEAISLNEFTNRNFQTDPRDLYDFHIGMWNCIYILIGFIVGFRIFGYYFLKGL RERLQ >CAK61805 pep:novel supercontig:GCA_000165425.1:CT868016:85057:86221:-1 gene:GSPATT00032037001 transcript:CAK61805 MEQVYHLLYNAGSGGNRGQKFLQLDNLVSISGIEFADESRETGLQLIMKQKADDIHVVMA GGDGSIMWIVELLLQHQVNIHRCIIIPFPFGTGNDFANTLGWGTSVPNDVIGIERVFGPF SILKEDGFISEIRRNENGANEMKLQLNDQRYYKQMINYFSIGVDARIGFGFDKYRTSNQC CNKCVYCWEGFKKMFLNTPKVNQSIENIHHVNDDNLETALISKHNNQIVVPGDPVNLLCL NINSYAGGLKNIWLNAQQNQVKSIPTFLLSLMGSWKYSHSTLFQDQAQKDGFLDRLPDQV KVEDHSNRMNNLEHISKSMANTIPLPIHTQFLLGPVNRCHKGKYVS >CAK61806 pep:novel supercontig:GCA_000165425.1:CT868016:86339:87199:1 gene:GSPATT00032038001 transcript:CAK61806 MSYTPQQQEEVRAVYQRNQQLEMQVKASVNEVQQLNQRLQMQEQAFKQSQQQLNQQLQKL SQDLSIKTQQLANTEQQYKRQLDHANEQLNIVKQQTQLRLDQLSQELKLKSTACDKATNE LQACKNIEILLLQKNAENLNVVKSQQLVQQDANAKRVIEDLNDKLRVFAVQSQKQIGQLQ QELAIKKQEIDRLSQELNSRKNEIYQQQQKIEATNIAKNNQISQLESLSKKQLQEYNDKL NQFQSQAQMRIDQLNQDISNKTAMLEKANQEIAQKRTRDLSQQQAF >CAK61807 pep:novel supercontig:GCA_000165425.1:CT868016:87237:87672:1 gene:GSPATT00032039001 transcript:CAK61807 MMGRLNELQLQAEKEQNQFGRTKDDFQVKVQNLSRDLTTNLRRPCKKKNQQLKQEIINLQ QQIAQKHQQYSEQKSQADAQINKLNIEISKLKTDLAVKQQQLESSEQQFNQYKMTTTKQI DSMIQQFEQRQNEISLGQ >CAK61808 pep:novel supercontig:GCA_000165425.1:CT868016:87710:88193:1 gene:GSPATT00032040001 transcript:CAK61808 MLEKTVEEHKKQIEQLDRFWNDKYRQLSEQGGQDQQRMTQQYLTIIAENERKFQNLFKNQ EQSYLSQLEAQEQHFEMQINQLSNQLRVGEWRKGILTYAIGYCLGLIERFRLESEGIALP WVDEIRAGLFVDKWVAKQQGRVVCIHKGETD >CAK61809 pep:novel supercontig:GCA_000165425.1:CT868016:88228:93909:1 gene:GSPATT00032041001 transcript:CAK61809 MQSLAGNKEAIEKELSLERVAKDGLKKEILQITNQQKIKDMELRNEISLKSSEIIKLNKE AERLDGLFKQATLELKRINEQNEDLRLIDDFQLKEKDLEISKFKQIEIELKNRIQQLQKQ LSNQEWQLSQENIKLKQQVKDLNNDVSILNTRVNMLKTQNKDESERFQELLQSHDVELQS WADRYKDQSQQIETMAQQLFAAQQQNKYKEQLIFEYDKLKQEFDLLNRQYQSLQENSLRN SNIKENAKNVEMQQLQEHYEQQVVQLQKEIDFMRDNKVNLRQDASVIDFSVQITALNDQL VKKNNEITNIRMQANRTIMEKDNQIILLQEQLEQLISKVDIIENNQNDQAEAIEKAENLE LIMNEKDKFIVQLKEELQNCKDQILEMEEQLKIRQNSLDMIQQQASLISELKSQVERRQI ENQTGVQPKPVQQCFTKSIRSSTHRMSGKKSQNIEESQKEIISYVQKVTDVSFKQQSNQQ IISIVNPQISETKQILRQSQEELQQRNLLNQSIMVLQQELKQVKLNLSNEQQASSQLRFE LSQANAKIEQLQLEQSQLKNKSQQNDSINVDRLNTMSMKTYESNMQSLKSEKQDLQQKLI EQEQQFTTFTSRINELQNEIKKQKEQIQQLNDSENQKENQIFEYKSIIDRLQNNLKSEQS IVRQKQSEVKQITYQQEQIQQMLQQEYQEIKKNNNELSQQKLKLELEIQELKSQLQQSQV NQQFYESKITKLNSEILLVREKLGKFEQKTIQIEQTKTQSSQNSDQLQSQFQQQIQELTS KLERQQQLSNLNQQELNNQLQILKEQYQDTIFKFENSQEQVKLLQNSLAQQKQQYLNDMN ENAQMLSITNQENQNLKIQIEHLNQQLSAYKESNTNVQKWQSQVDQLQKQLREDKLQQQD LNNQLQNQLFQFQQSKREWQDLIQRLQEDNNQLQANLNRVLTARSEKEQIDNYTHQQNQN IQITTNTIKTQFMSNTSGMSSEKILIELQIAQEENVKLISQLQVLQLNNKQLNKEIQDFD EKQRQLENRYKEQYLRIQLEHDLSFKKIEQENVKLISQVEVYQKKQQQQEILIDDYIAQI EKLNIINNQLQSQLLLVQKDNSNFYKDQDKQIYRLSEINQQLLTQITQLNNQKNTDQLQI KDLIVIKQTLEEQLSQHSEEIFSLNERLKDLSDQNTQLTHMNSGYLNQITGLQAQLSELQ IKFTQNIYENKPRFSDQQPRQSTSSNSRQIEELNNKIISLNNQVNILNQQKSQIQQELIS VRKQLQNEQDDRFSQQSRQNRSNQYKVASEMEERIRQLQQQLEEEKLGKFSNNSEVELKN KQIMILEQNNKKLQQQLEQLNLQIEEEKSLLYKEIDQLSEQNKRTKQLKTSEYDIEFRNS VKQSESLKQELLQANQTIKKQQSRIATLEDQITKQNNGSIDMDRQNRFILELEEKLKGNQ RKYEIEIKELKNDKQSLNQQLEKAQYELQEIKSKYHIELEKTEQKLQKENQRFSLQLNDK AAYLSQLEVKNRQLKEEILNQQERYDEKLRQANERIKEVTEDLEIMIDNYKKEKQLSQKW ERQNEDLRRQLQSSVDDVKIKTERLSVEKYERMSLDSRKQIEQVQQQLNNEINGLKQDIR LKNEQINQLKSTNQSLQQKLSKFETYIRDLEDQLQQFSNKEEQLLRDLNQSKNQSLADSL NVKVMALENNLVKAQQREDQLLQKIRQLENQQNSFRNNSDYRNLEVKNNNMFEEIQILVQ TIKNQDQEIATLKNELTSYQREVSFMKEVVKEDTSRLETSSVLDDKNRRIVELENKCALL ANENTRLNQLKVKQVDEWKVKYDKLQQELEKSLNMKGWDDQSRNIVMSSNFGYLSNVK >CAK61810 pep:novel supercontig:GCA_000165425.1:CT868016:93978:97929:-1 gene:GSPATT00032042001 transcript:CAK61810 MVHTSQGCTKFQKDSVQIFSSQNEQVKLNYEDVFMSRDFSNIQIIDQSQTLSYIDPIKLL EQVDYDSTQILAVDVLQQDLSYEWKNKFAALVKNNNKLKISISNQINRGQKSRIPQFDKF STDIDADFCFDLAFLSDSTVVADCIYVEENGGMQNVMFFWDDETQTFMKSVNDNLIGYTS ATKRKLLAANKQLFRASLYDLNLQQYNSVLEVFNQQGMLEYYLDSTALKYLTSTSQNLQI VDFRISTVGQIAILNYDGCVLVLNYSKRETQWKLLHEFQHGENVKSFDFNFKKVGTYVIN YGNKILFQTLTDSFSQILDTQVDQIYMAQSVIFTLSENKIIRTFDLQLRSISQKEVPNIQ FLLNDYTQDDIIAIKQFNIGRYLLLNQHSIVHKSNIIYEDTHVSQIIQNLPNNEKCTFQI HHSTVSTDTERIFVSETNQSLFSGAIPTYPKNAQIYLNVQTIQGQNIKIKALNISNNLVN LHISVKKFDNSNIQIQPSNSSLTFFSVAQIEQEYLIAKQFNNDWVQFTYCSIANSTCQIF QTMIKLDYLRQNNSCTWSSSNTMLIAYPQTDPYTLFVFTMQNKKIFTHTITTKSYGDKVQ KSKEVLLLLSIANSTIEAYYSSYIDLLSLFEINSQTIQALGVKKWAPSGIHANPQSTKVF VRNQEDTEVIILSCSLIGFSIIDILTFEDKVQDILIFEKYFAIMIGNQYLIYDMVQQEDI HFVRQLPSFGYKMNMATRYTYDGWIYIISDNKLLVYNVNKLNVNSFWHKVDVYEERFLTA FDNKIFIKEDTIIEFYHDFQIQSTCEMKNSLFIEQINMTTFIENQNQNIILSSVETIRNF QTQIQLNQDDLNVTQQLSQKYQDFCETISDDWIKNSIVTNIELNCRDCNYQIQLQQYLNL NQINYFEAGVDIKDLDQNQLIILYPNKFIIIQTSNKQIIQQAQFDQKCTQILYSSSSKIL LKCNIQDSLSIMQWRSIDNTFKVDKENLIISSKLIDIALFGQDMFLIEKYLIAFYQCNED FRLNQCKLVTNMQLPGYYMNIIQNTSQYYIYYYDYQLNILPFDPKQSEQQIDLEKHTIDI NKIIKNHGFQNVDEEWLNLHIISSEIINQTIKQELLFISQTYLHFQIHVELSPKKGQSKE KLLVFQNYADWIAIKSISYQNKVIIQYQKDEQSILTLYQQNQYEVINPIGGIAIPTDSDS NFEIISELYQPFLYSVDQSKQRYYNKYSLNDKLELCIKGKIENEYLQFNVSNGFTSSIAS VQLLVVYNYFTFWQIWVLIIVILICFGIGYYFWRKRQNEKKRKLYLIE >CAK61811 pep:novel supercontig:GCA_000165425.1:CT868016:97982:101280:-1 gene:GSPATT00032043001 transcript:CAK61811 MNNKSLIQVQVNDYVETQQNKSHRKLISKKIQPYMKAYSLKPEFFEVKGKQVVFKINNST NLSNLNKTMFSSMPSMKQLPQKKAPPKKIDSVKRTVVSLTDFQLLPLTTKYANLFQEIQN SQVSLVQSECHDSVSATYRQSQDNYQDRSQHQRLDSLKQSIFELEKIKQVKIDELQNLQE RIEQVKNIEKNNNSQIIIQCNKNLNKRQIRFKAATTIQASVKRWIAQKKFNEWKKKKLEK LRKIIIIQKWWQKQLKLIKKKDKFEIGHNDKKVKDIDDHQFIILSNYSYKLKRIRILLVE RKGKYKQDFRFYLNINNCQSILNEEITKDSYEFNILIDLITNQIINIIQIDNNQIKLYLE QKNFIYINRLLLQIEIQITQIVGNKYHILILRQESPNLENQEQQQEQIQQSQQLENNESI ENDNTKIQLVHEEIPKPQLISQDSNDVQLEQFIKENNQQKQEENNQPQQEEKQEPQSEEK QEIQIDQQHELQQDENHQPYSEEIHQLQSDQKQETQSDEKHQPFSEEKHEQYSEVNQMNQ NQQINQNENEQIKHDQNIEQEVEYYKIKLIPTDSDHNNNEQLNTIQVVEDSTNKQNFDDE EQQLYDQDVLIQNKEETSNENYSKQQNSIIDDYPKHDFKQDIQQFQSQISNNELIEVEQL QIEDKNQEIQQINIQESVVPERQQDYEFNVHIQGSIEQNAQKDNNQKEEEIELHLKVQKI EETQNQTNIELSLEIQKNEENNIDYAQKESIKNDDQDNQDIQTSNQESISVYQPVEFQDQ KVEVQLNRSRDYNQLQTLTQTQQVFNKPENSNINNFIENNDNNDLEKKSMTESVELKQQN ENIQVSQAEDQDKVDVQERQDFDQSEIRNLENQSIKFQFSAGFLNSQGEYLTSQQFNISF QEQDSLEYSADNSREFQPVYVTTLVVDNIDIEVYQLNLRLTFKDKNNEFAECIFNMPKKF QSSVDFTKNNLYVYIMKGHIRCLEVDQGLKIQRFVRKMRFIKSVLFYLNSDLFLISLHKS SCMMQIFIFKNDRLEEEISLRKLSKQCKLGLKKYFLSIGPQLIFSQGYLEDTILSILENL GCKN >CAK61812 pep:novel supercontig:GCA_000165425.1:CT868016:101374:101706:-1 gene:GSPATT00032044001 transcript:CAK61812 MSTMLLIETIRRQEKKIDDLRSQIKRSESVRKSNRTTRSVKSEYLQCKSSNKTVVKPAQK IKEKDQTINILTERLNRAFEQENEVQLYVTKCKDETQTPKAKGLVQVSLQ >CAK61813 pep:novel supercontig:GCA_000165425.1:CT868016:102024:103878:1 gene:GSPATT00032045001 transcript:CAK61813 MSDCKGKKFDSDDSNIYEVEFVYGKKMFKKQTLYAVKWLGWAKSQMTWEPFSNFTRISSY LVRRLETRLSYAQYHKKITPSSGGIRVHIKGKFCQVLQNEAKPQRKTIESEKKIVSHSSD TQDIYDKAQRIITQMKQNLFCSNNSNENLKSQKKVKKQNNHILKIVNSQEKNQTISQTGG NLIGQEKIIPKQINKQRELNNQKLIDLELERDIEFLIKHEKLMKQFENQKKQTKQGNFLK KIIIIIMEQQQQQQQQQQIILNQKNIVYDKEQDKQKNNNQLFCEFQIILNKHDNSELNIP ISKQVEPKKNSEKQWILQRDSNNQQVHKELPKIVLGIPEFKVKKTASTNVQETQSYRQED SFEIDLVLIDDSEQKLKEGEKQIDMEKLQGPCASDVKITQQPYQNNQQKKGRVVKSVLIA KTNQLITETFSKTEKQFISEVQDEQPKRQVELPQGLTLNQLLQLEPKTQSNQKTPTKQQA QQDIQKQQQENKIIENTTYRCCLPIIQKLQDFEVQQLEHNLLNIEMVQYDMDLIEIRFQK LIIEIIESHHLMMGKLLFKCIYDNGMKYYVEYDKLKTYCPTLLLDYMIVNSILI >CAK61814 pep:novel supercontig:GCA_000165425.1:CT868016:103929:104912:-1 gene:GSPATT00032046001 transcript:CAK61814 MQRQLRNKQIGIVTKVAIAVHTFLLLILVVSVCLKWNEEFLMSILFGQAAWQQFVIETIH LRLNRFNYLEEQEQIRKRFGLSTQKHQESSLWYYRRKLLLPLIVMVLFFFTNQTYEDFQY CFISVQGLLQIYQGSTILIVGIKQHFEKNKDYYGDLESEGLIMKRNLMLRRSEVRAQKLK TLLNLLKKLYITINTIYFSISVLYEFYAIFNNIPPFDLLQFIFNFYQIPVPIYALVICQL HILLVILYFGNSIIQKKANRVKRLYEHVTKEQEKLQVEGGKYLSALTYLSLTIIIFLYYN SMTGIIQISSV >CAK61815 pep:novel supercontig:GCA_000165425.1:CT868016:105016:107109:-1 gene:GSPATT00032047001 transcript:CAK61815 MNNPEYPSQITQTINDITFSSAFDSGNLKSVSYEDGKYILQISSDWGINGKTTNYRTWFY FSVSAKSEEAITFVIANMQNQIVLFKEGMQPVFRTSNSTQWERIKEPCQYRLVAEKQFEI SFQHMLPNHETVYFAFFYPWSCQDNEDFLQHCYTISLQIPNIYYDNSILSYSKEGRPINL ITITNGSSEIQEQPLPGIFPQTRPAVFKKPHIFISARVHPGEVPSSFVLNGLIKYLLTPN DPVAMAARDNFVWCFVPIINPDGVYRGHYRTDSLCQNLNRYYLSPSKEDHPTIYAIKEYL IRLHKTDRFLGYIDLHAHANHKGAFIYGNQLNQLSKQVQNCAIPKLMTLYSQIFDYDACN FTEKNMYSADKGDGLSKEGSGRVALFKTCGIIHSYTLECNYNTGRLTNLTYKQSEDNSYK ENNLEENDILGTLYTTYPKSKFFTIEDYEEVGVGIVNAFLDYHLLNPRSRLTNSPFKTLA NFKTYLAINIAKQTIFKFDPYIKNVFKYINNKEQIPRVLKAFYYYISTGQIQDFLEPNPE NQGSKPLTRYEKAQEIKREKEKKLQQQQKQQQEEQQQEKQEEFVQQQQLLQQQEQVEPLQ QQLETDLDSLQNQECNFDKFKEHSEPMEQLQPKIEGCLQN >CAK61816 pep:novel supercontig:GCA_000165425.1:CT868016:107155:109111:-1 gene:GSPATT00032048001 transcript:CAK61816 MKITRVFPQNIPGIQLLNSNNQTSTSKSLKTNNLVDLSFENIEYSVRVMDPKSQQRGIVI TQSQENKPILKGLTGICPGGQVTAILGSSGAGKTTLLNILACRVPKNSESQLRGRVLANH QEYDYEKFCLFASYIMQNDILMETMTPKEAFTFAASMKFSDPTTQMQRVTETLKSMKLEK CQNALIGGITFKGISGGERKRTSIGYELVSNPACILLDEPTSGLDSFTAFAIINELKQLA SQQDRTVIFTIHSPSTDIFQLFDRIMLLVGGKFIYQGQKSNIIDHFQNLGFTCPQSSNPM DYYLSLMQVENLENQKHFNKMFQYYDQNCHPNVMQQITNGDNLLLPLKVIEITQIQQIKQ IANRNLLAFTRDPLQFYIRIFQTIVQGLLLGGVFWKVADNEGSVSDLMGISGSLFFCVFN LVISAVLAIILTFPVEREIFLREESSKLYSISSYFIGKQILEIPLCIVLPILQELISYWM CGYHNTTEAVIMHLFVSILIYNWASGLGMLIGCIFSDLKAILGIAPYTLLPYVLFSGFFA NPKFFYSWTRWIQYTSPITYSFEVLSRVEFKDQLYEVDPIDLYDFKFGTWTCIYVLIGFI FLFRIFAFMALYILKSKLQ >CAK61817 pep:novel supercontig:GCA_000165425.1:CT868016:109245:110656:-1 gene:GSPATT00032049001 transcript:CAK61817 MNSNNKVDCEYPEHYMLDIEEKKPAQQQNFMPFLPYGVISNLENQVKRVRSSAEIKQEDR QMIKNDSQASLKDDVLCLQNIKGNVKNAYIKKISSLLNVSMEEDKEKLLPSKRVKDNVSS NSSKQLDNEDLKMQKNRESARNSRQRKKLYIGLLEKKVEDLNGQIEGLRQQTEITFKHIH NIIEHNQCFKGMIIEQAQIFDQLQSKDPETTEGQEMQALLTDSYKLKFSATGSKRKQYIR YCFQNVARILMDGNYGTLLFGSNCLTKNFQLLEDEELHEYVKQFKEITGCSEEKMQNTII HIVRRILEHKRSFSYLIKQMKCKSKDLRICQQQLDDQIDEMTAQMTPQQFTSLLINLNKD EIKVKENYPTTKPDISVQQQQQQQQQQQLLNQYKFLPQISLELLLNNKNTPFLLPNNLMI DPLQFNDLIQKKFCKQA >CAK61818 pep:novel supercontig:GCA_000165425.1:CT868016:111271:116158:1 gene:GSPATT00032050001 transcript:CAK61818 MNNSPIRNQSYYQDKIRYQRSPYSDKENMMSEVNQSQQTLQRDAIEILNDVAETSKIDES VLDSNLTLFEKITHHKWQIRRLVLMNLTEEYQKNQTVYEFQSSWLKNIINDSNQFAMTEG LKFIQVFVRSQQMNYHILPYFIGDLIERGSFVKSQIQDLVIEILLQCCKTVQDTGFILSE IHKKIEAKHPKIMTLCLTIIERILELQPNQLVKDQIRDFIELCVSLVSHTNKVIRQKSIN IFCKLFQMISESFEQVKKTYLDKRLRQIQIKELEQLCSKITKKTQKIEIQSTQPVIQIID LHTLLPDKFFEMPYYKHNEKKNITEQFAKNLEKYDGDIDNSKDYGSIYAIIITLLEESNY LIYSQGMRCVKGLVRLLRRGIPQPVAKHFFILILDKLKGSLSKPLQSAVFNLLEDILIYE NISCDQFMELMINQLESSKNIGLKVACARWFKDGWLALALAVQTGSYESSDSEVLKKFAQ SNCDKISKSLLVLYKKVQAILKKENNLNYKKVLLDLINSMQCDEQNLQVTVIESQIAIVN PQFDLQESRITDKQSRKQQINSVKSRYQTDEDLCEFAQIGEDMQNFNQANKNRQSIELPT SQLNREQTEFMSKFSSDLTQLRKLSQNNQDQQIQNKIEEILHSFKTHYSDKNITSNDFLQ QMTSNIIMELVHFCKKVQVQKKLIADKLYDFIISLLIKMNKIQVTLDQEMLYQEYKICLI QLNQLDIQKLLPLLESLYICNSLESMIKIGYRITNKYLQKQTNSNIKIFQAFINWITQKI NAATQQQLDPSFIRTSYKTFETTFKMFPQTQIDIRLLLNAFRSRMVNQQVEQLDQYQDLL NQSQRKSKSKSFGSQSRFSPYETPNKTQSKLEFSDSEEQESLESLLQIEQQISTQFTLQA LDKFIHYLNRYRAYQLSNQSQHTVFLSPNSSSPGKHWRRGIGRDNMIISSPNRQLFESTK FEDFTRILEIICQNFTFQIQNQVFQVFYLLKHIFPNSQQCLIYLIFAYICPKTEYSENFE VFLSTFLQLDKSVMAYQYRQAFNILYQKSENEKVLINLIHTGFNFLFQKIFENDLLACIQ YFISTLTYMLTQNMFYQLANDLLSKYQQQTNNFNQIVETELINYKKLYDTYLSWQIQQQQ AYEKPQIDFQKLYKQQQNDILIVDLQEIDECASKREETVRENVNNSQQENFIHINKEDFD LLESTAKNFLSQQTQRYNEEGSQQQPDILNIQQFISPLQQISQISNQMHDTVIQDLAKNL YSNDTHRLKELDMIKLQNETYRQHKRDSHKQVLESYPQPPLKDPMKQKIEKIYEEVQNES VHGNFNEKSLLGDVLAPIDTTQLFDQTRNMKRNECIKQMQEILLTSTSLYFDVASTLLLQ YENLDMAEDKLAFINDLKLSLVNQTLLTNINQQNFSVIIAIVLRLCTTPATQSEIYNSSL GYDDNQLTKCLQQILDVLLHSKETEQVLICLVHVFNDHLPMDFTNEISKIEKMMLRLNLK CIERLIQNSEKLNVFGILIEIFKFFKCHPPENLIEGLPSIKELELYFRQMKQITDSLLNI NLKEGKEFLDFVYRKNSKSIFLDYVKSVIEKK >CAK61819 pep:novel supercontig:GCA_000165425.1:CT868016:116351:116907:1 gene:GSPATT00032051001 transcript:CAK61819 MQQMLHPKKDTQRGSIVGNSPLTPRSQTSRKSSQLNRQSFEQQELYSSRRFGLNLKDPQK VEEMIKIRQQRLPRKEAAKDGEKDLREISKAYDVLKNALPEINNNQGVIKDQELLQFYKQ QIVINQNPRKNFFEFNTYFYKNYQANNNGPCTTLPQLLIKKVESFQNKLEQLIKDR >CAK61820 pep:novel supercontig:GCA_000165425.1:CT868016:116948:117494:-1 gene:GSPATT00032052001 transcript:CAK61820 MSYLYFGTLPSSVPPVFDTTPCLKQMHDYEDCAFESNTKQMEIIHPSWPSMWPRMLTDGK SIDFGHTPMHQDSSTHYTYMRPLTKKIKHYLWECEEERFVYKACLRKLISLKRTDRHTSW DTASVSNLSLV >CAK61821 pep:novel supercontig:GCA_000165425.1:CT868016:117509:117866:-1 gene:GSPATT00032053001 transcript:CAK61821 MFRYGLVGNIATYGGIAAIFAYVGINILIKTGYELNNIIEISPKIDDELASQVLNSSKSP NISIDAKNDMRRAAAKQILQDYQRKKKDPVEVFEQIEQKQSRNPQSKEPDI >CAK61822 pep:novel supercontig:GCA_000165425.1:CT868016:117866:118331:-1 gene:GSPATT00032054001 transcript:CAK61822 MDNQAEELQQQEKEQGVEEQEDKQGNQLSKNQIRNQKKKEKKKKLKEQNQEMIQQVEEEI KAKLNLPEAEQTDLEIAWCIKQIKLGLTQKLTPEEIKISMSMIELLESDQVPLVKKRMTM KSSFGDYRKLMKQYK >CAK61823 pep:novel supercontig:GCA_000165425.1:CT868016:119185:119586:-1 gene:GSPATT00032055001 transcript:CAK61823 MKKFLILLILIVLCFSKAGDCTNAYCGAQLKACKNGGNCDQTAAKCANQFKQYVHRAQKS GQYKGTEAGYQDFTYCMSTNSAAKSLNSCSRQHCNKVLYEESIRIDEIIQSY >CAK61824 pep:novel supercontig:GCA_000165425.1:CT868016:119913:120431:1 gene:GSPATT00032056001 transcript:CAK61824 MGGCLYRESKDQYHYNTEDILLKQKLTHERLQNLLRLREDREQMQQRYQKNIEEGEKMKQ PKKLNQSSVEQSGFDISLSKNVQNLHYIEEAEDESSFIDQQKPQTQQRLPDDENYEEGGD LLLQLASSQQLNLNKADNIRDQLQGRQSNEMDDEILQYFNTTKANYESKVED >CAK61825 pep:novel supercontig:GCA_000165425.1:CT868016:120461:120908:1 gene:GSPATT00032057001 transcript:CAK61825 MSSQSQQEYDQDQENNEELQDDDQEFSSKQQESQKQVKTTIKKVRNYQKVPENLKSQLLK LVIQQGVKINQAAQQLNLKYATAKTIIFTYRAQSKPKKSKNKKKKTSTKVEFVPIQNNNV NPIHVEISIGGNLQNKFTLE >CAK61826 pep:novel supercontig:GCA_000165425.1:CT868016:121691:122778:1 gene:GSPATT00032058001 transcript:CAK61826 MQNDNAYLVKRKLGNGSFGCVYLVCDIKSNQEFACKIVSKSMLSKYQAEGMVRQEIQIQS SINHRNVVKVFNSFEDTKNIYIILEYCKEGQLQLPSQPYTNKQLIQFLNQMLSALDSIHQ MNLVHRDIKLDNILVHEDGSFKLSDFGWATQTSQIKPILCGTTEYMPPEVVKNQIHDQKV DSWSLGVVLYILTHCRKPFVAKTDRELIKLISENEIKIDTSLDENLQILIQALLTKDPVY RPNIQQLYFSKWIKFQMKLHNIFNKYEHEKLKHKFINQKIEIKSVDNRAIQQRKKTITSD SSLSHSISTQLSNCDMNESGVVEKQKQDKL >CAK61827 pep:novel supercontig:GCA_000165425.1:CT868016:123357:124125:1 gene:GSPATT00032059001 transcript:CAK61827 MAQHLQDSELLTAKPTVHNGQEMVIQGLGAQEQSDSSFSSQHLCSSQQSSHRNINLSQSS FKKISKSSSDLQNFSNKHAHICAHYNFLGRCPLEHCKKRHIFISEDDGLSELISDNIDLI DNLTSSGNKLKLPHSTYGTQLSKYRKCKSNTKEFCEKLEHKFITQEQIHFGDFPIQNFWN WNAFALQSFSIKSQPDLGNNPQENKYFSQLIKPKPLVDYKQASENFREFFISSKHFE >CAK61828 pep:novel supercontig:GCA_000165425.1:CT868016:124142:124405:1 gene:GSPATT00032060001 transcript:CAK61828 MSKLKSDIDKMQQKIKTISMLKKWINNQQVDQNEYKNLQSSLSQIQQIHYTNFLRITNIS ISSIYTLIQLDQAKFNNQFQNVEYVQQ >CAK61829 pep:novel supercontig:GCA_000165425.1:CT868016:124462:125166:-1 gene:GSPATT00032061001 transcript:CAK61829 MFAHKIFWIICLITNLIQAILNGLNQSEYDILSNVVLYLIIVDQFSLNIFSFFIRKQDKI EFEGISGLGMTVPDYEDSRQNMIPIQNSFIGLSSTQSLFKCNIHFSKTWKIVQQKDGRID AVITLKIFLSNPNKHYQLKKTINDFVMLESRLQQEDKFGFEIYKLPNDFFQHGPDTNQMF NEKIAMIKIWLRQIISKIEYLTPSIYDFLEFDDATRVCIIKDQRNKSNSLDQSN >CAK61830 pep:novel supercontig:GCA_000165425.1:CT868016:125505:126653:-1 gene:GSPATT00032062001 transcript:CAK61830 MLNRAINIGFRKAMPQYTFSSRNGPYNPNRYRHYLNPNFFQSNKEIADIAKSQQVPLPVR NVRHVNPVRQSGPLPPYDGPYTMEDVRAVWQNTSLGRQGTWSCQMDPDEIMRRVPGITRR EVEKILNMGLTPQEQVDFAYLVYNCGFDVDYVPNSVYVARQVVTNSKGEKVEILWNVQVL EDLAKLPVGFAPVRELIDYHWEIFLWSDPMIKPTGDLDLGVPNTWFEYECEWGGEMVGIE DQFNIPESDRVFPSPRNPNCRRELWKSQDDIQEQLEMEDENWYPKGTSYNIYQQADYKKV TKSDRTFQEKI >CAK61831 pep:novel supercontig:GCA_000165425.1:CT868016:126842:128152:1 gene:GSPATT00032063001 transcript:CAK61831 MDRELISITITNYKSDENVEGPYEKQFRSDCTMAQVLQEFGLDSALIVGYKDIIESNKIL KKVYEESPQFISQGYQPKQLQLKIIHQQNNLQGNTKCKFYIKNVVDQPFIEYILVDQNYT ICSKIKAENSVIHNNKIADREEQFKNIQSDHQIELSIIPNSEGEIDKLSQMQVQIFSDQI QNHGSYKDGNVIREKLLYLEIEEKSIIIQSLIEKFNNKNNDNIFAPLKQQRDFGILKENC KIISETESLCKLIGLRNEKQGNHLQQRADEQKRIVNVFYNGKQILHEIFEKDDEVKAIDN KLPEELRGKVDFIIPDNVVVQEYQELGELSYNVNDEINLQVINRQVLYDKMETVLKQALQ QVQKLKQDQNDIEIREDQNDIKIKQELSAYSLILEKDQTIDRLQQVIEQLRFKKSKQEQI LEIQNITETVSKQIDT >CAK61832 pep:novel supercontig:GCA_000165425.1:CT868016:128220:129736:1 gene:GSPATT00032064001 transcript:CAK61832 MQNNLLDYKQDEQSIKKVIEKEINGKSKRLFDPNTYYSQFQNLTSESLQQCEKSQAQKGA KEMIEFKAQVTSQDKVIEAIRAANFVEIQNVNFKFKRLLKEILQQIADQLGNLFKDEYLK LWLEWKSAKAALMDKEVKLKNSEMSQQLQEQELIQIRTEFTAQNTFLSMNKQLEATVQNL ETENNKLKDSLKNLNQYCNELKQNNEELNKRMKKLEQQFEEAKEKYENEINQLKLKEQNL IRANKDLIDLNNQLTQQLDKYRDLYEMQNQKNNDLADQVRYWKSTHQQRLTDLQQSETRI GSQKGYLFKYRREVQDLKRFKLYSEEAQKELSNARQTVHLLNQQTEQLKFSYENAIQQNL QIQTEKTENLNQILLRNAQSTKKVNNETNVFYESPQKSKFDFHSVKKITQPSVDELSQFV ISYSKVPIQSRRTKVRSVSAQKNSQSETDTSKNNIGIQEFDQFTPYTESNVNQLNFNSAP KHPLSKNKRIKRQNTES >CAK61833 pep:novel supercontig:GCA_000165425.1:CT868016:129780:132965:-1 gene:GSPATT00032065001 transcript:CAK61833 MQSDIVVYDLTVSKIWCTMCVNKIKSSFEGQQGIIYVQVNVMAERVIISFNQSLISLDSI KLILEQNNFFIVGQPRLINTDSNQLRRCQFFFPNSEFQLDDLKQIRSNLHQQYQGGVREI QQENFKYNMQSGQLITISYQPLSISAFQIKQNIQAQIQDKIPTIDEKEKIGSDPGFLVYN ALLEKFKKKSGYQEAVTYRKFILAIVLSIIFLVFCSIMPHFDYYNPFLSYPHEESIFSIY LVFIFFMTTFTLIKFGTPTYKNAYHLFTKYRMCNMDTLLTLGSLAAYCMSLFLIVVYTLE GQNNEEETKGQEIQMERIMNIIHDLESAGLILTVIMIGKYFEGKAKQTIMEMQNQIFPQD QLLKTPTVMKVQVKNQDYDINIQESCDISLLEKGDLIILEKGMKLLLDGVIVKGQVQVID SICYGQDDRFQASMGTRLKSGADILDGSCIFQVEQVIESSMLFQIAEQLNLAQSERESKD FGITAMLQQLSQRFVLGVICVALIVFIVWTFLIGFDIVEIEEYCVWCFPFERAISILVAS CPCALGLAVPSVVIITLNLALKSGILIKKNTIFESINKINCIIFDKTGTLFTKVDHIESF TLLSKNETEISLKQQDKTVVKVKQFQKSLVMSDPVETKRDIPNSEGKIQSNLKFNKTLSG QDLWAILGVLEKDFNHPIATLLFKESVQRQIGRQSTFVLTEQAKQEKNGIIGNVTRTTDQ TQFRCLIGNLAHLTENRAILEDYIIKKCQCLERKGKTVILMAIDDIPEVILCLDNKTNLR PEAKAVITYLRENLKKTVYILSGDSKKTVSSVGKYLEIPPSHQYAEIDAEGKQKFLMELK ERKQEVMMIGDGLNDILSLQQASIGVAINAKSELNLMASDVIVLKENLWSIVSLINLMNT ALKVIYINLIWAFAYNLLMAPIAAGVFYGQGFTISPMISSTSMSLSSIIVVLISNCMKLI KFDPSQCHSIPRILKYRLHEEANLSSDSYLQVDNTQESARKVNEQRNIMI >CAK61834 pep:novel supercontig:GCA_000165425.1:CT868016:132990:133598:1 gene:GSPATT00032066001 transcript:CAK61834 MSQICNHESCIKSSLLGLRQGIYYGGKIRFFHALVMTFLFRPGSIKSKFITVIQLTYQHA KNLGTFVFLYKSIVCILNNIRKKQTKLHNLISGAICGYLVFGRNKSAVNQQIVLYVMSRV IIGLASNIQRRKLLPSSWDAFPLQAAVVWGLVMLLFEDDKGCLQNSLTSSMTFLYKDSDR SYSSWAELIPFEMPDFLSFLWK >CAK61835 pep:novel supercontig:GCA_000165425.1:CT868016:134166:135857:-1 gene:GSPATT00032067001 transcript:CAK61835 MKKNPIEQIHSSIFDKVVKSLTLFFMILSLLISIGLDIVHGVVDFYSNDYQYEAQNATIA YISDNLVYKPIKQDLDCDSSEETQQLFPFAEYNITRWYSQLICVTRYVDIRLSTECSATE YNCGPYCIETSEGSQCPISKLTIIQNEVVDEDADQNLESKRDLYIQRENSSYGIFKIQVV IRGYPCLNPLIYITAGLTNNSDYIDPENCEGSDFDTDNSVKIEQTTLSEFAKQGLEALSF NGVPSSVTNSAVTYLTARNKIASTSDNKCIQIDNNMINDTENCEQSIQEIGFIYVVIKEI FSGFIGIVLIIEIYMLKFRIVNDIRIITVILKILLICQMSFILIEGGLILYQEKLRSDSE LYFKSIADCYSSDQIQNVFANFPNLFPADVNTYISLITISIIIIAISEFILIMMFIVQMF HWCFKQKKEKAYFPESDTQRQPIPSKEDIHHSETSPYQNPLSPHQSKFPPVYSSVKNNII QNQSNPANYVNEYVPPNQFGDPAYVPPNQFGESAQPKFSESYIPPQINNLQSTTVVAGKK NSKY >CAK61836 pep:novel supercontig:GCA_000165425.1:CT868016:135904:137297:-1 gene:GSPATT00032068001 transcript:CAK61836 MTQNLKFQKLNHALPNIQISQQTKLQQDYQDGCSTDRVINLVNKVKAKLKLINNTPDARF NRQLQSFRILDTFVDTKTKLRKMNILNNRDLIESVKNYLIRRKIARQLGQEEADLEKIYE QYEVKFETEFDKAFLDSDCFLDLGLVKAPINKAKVLSQEKLNEIGKRAISQFQTSSEQMS SRDQVTKSQYEQNLFYYYVEDHIEQLKKEKEETENFIQKLGKSTKSTTHLGKAEEKRSNQ TSQQKITQIDYDKELLKLVLQYGIDLNSTEYLEEEIYSAFKQKANDFIKSKINEAKVRHN HNIEEDQLKNKYQIRPQKKRISSMFEQQFFNFSKNYPLPKSRYQPESKELTLASGDRKSQ MQERMDNKKEKTLLLSQFNLKSKRIINMCNKDEQKLLYNEFMKEFQMMNLYLDEAITRTN FNRKLSQLGFTKEDQFLIKKQIVLPGSSIRNQQENQ >CAK61837 pep:novel supercontig:GCA_000165425.1:CT868016:137829:139245:-1 gene:GSPATT00032069001 transcript:CAK61837 MIIFLIIQISKQLIVDDTKIYRYSHQLQISLPTAINAELIPTNEQCQIYKPIIQNNLDQL KIIEDNVINIDFMDETQFYFHQFIAIIQKNDTQFWIISDNLKLYQIDAQQRQTIDIINLS DYLSKDFDVSFFKDKFNNIFIHQQDSILLITNRTIIPYFLINRGQRHQTIYHLNNYIITA VGPYGFDIYEIHYNYPNQEIAIKHHSSAVHLHLHPIGISYNKIEPNKLFILDQKLGLIVA ELNDKGVINLDSQHPLDFNNPDKIQTTNKFIYISSNVQLNKYDILTYKLISIQKLKNIQK LQTSETQEEIVVLGFNTHYIEDMEWKFIGLHDLILKDKKVVAVTGTNFYIGNIIKLPFRI LCSHVQNSEEQQFTLFYNTTNEQHVQQLIVQRIEGNQYNPIVQLLVSFCFVLIVLLLFGI YDFYYQVCLYINKLRSIHLQHERIRKKLENQQHTRITMNSQMY >CAK61838 pep:novel supercontig:GCA_000165425.1:CT868016:139340:141011:1 gene:GSPATT00032070001 transcript:CAK61838 MLNILKNAFSKKQKSDKQTIQFAQFDQQQQGDPPLPQEFPNDFQIIDEQAMNIIESEYYN KQQVEPEYQEEQEFEEDLIEYEVKVDDSLYGIALKFSVCEDQIMRINNLSSDLIFQGQII KVPKGIEKTFSVIPIQEQKQKSEYLWNPDTIAQKFDVFYCNNKQNVEGQLTLTSDLVLFN PFQQDHIEDNQKKIRLQACISMRDINEAVYYILPNKNGHLDYVVQILLSGIGKPKFEKKY RKQLDRYKEQKKSIATVFFRHAERDHTGKLYTEEIKKQNCIMMARFITEACSNYTAIVEL TKLPFIDFIQDTTQKQNLDVDVDADGDADEIQDVIGERMGSIIYQEYLELWASLEYVPIL RGSSNCFTDTTYKQVIESIPAIYRLANWNKLYDIDIDGSSYQNMLQEIRHIFPILLIIKD FDLNIFGAYVSSEIHKYFEGFKGNGETFLFKVDTEVRIILNQNNEVLTYSWTEKNKDFIF CDDTGLGVGCGDKFGLFVDSSLLFGYSNPCTTFDNPRFTNQEKFKIKNLELWSIEQQ >CAK61839 pep:novel supercontig:GCA_000165425.1:CT868016:141357:141818:1 gene:GSPATT00032071001 transcript:CAK61839 MFQSFNLTRKLQINNESTLYCETPRAIFRSERNIIVPSLFQKLKLKPIQDFQVQTQTNNN SIKSSLKNSNLFTQRDQEDLLNKQRLRHNKKQVSFSDKLLIIQPNKGVIIRERIPELSEQ VKVIRTRKRKNCILIHSQIRTLQTCQSTFIDQL >CAK61840 pep:novel supercontig:GCA_000165425.1:CT868016:141873:142527:1 gene:GSPATT00032072001 transcript:CAK61840 MAQDQRSPFELRIWHFYTEEEKSITLLNAYKQRVLFSEDIKSFLLKDRLNTWSYWGFPVA CYALFHYTGALQPYIATKYSVGAQRLIPAAISGLLWLGWVNVNPFYTTLQSEKLKLLNLI EKRVGLNMKALNEQVPRTWTTQEIHRQIREAYNNRHGFFTNILYPSEERASPLQDISSYP FKYRRDKIVK >CAK61841 pep:novel supercontig:GCA_000165425.1:CT868016:142575:143434:-1 gene:GSPATT00032073001 transcript:CAK61841 MSHYQNPTYNHAQMKNQVGVSNLKMLDGEDLTAGDRRKLQQLQMKDWVQQQTQENQQKKQ LNKQIQQQYDQQTLQINQSLKELEEEKQRRRVEMEIANQQINNQLAKEKQDREEYMARQA QLEKKQHMEEILNNDVWTENTATCQSALAPHRVIPYHYKGMSEQQRQEIRNDQAKQREQN EQKRQQEKDDERMWAQYNEHNRKQLIIQEREKARKLQTLRNNQKESNLLSQTEQKLKLKN EYA >CAK61842 pep:novel supercontig:GCA_000165425.1:CT868016:143436:144358:-1 gene:GSPATT00032074001 transcript:CAK61842 MGRDFRGGRGDTRGGGRGGDRGRGAPRGRGGRGGRGGRMGGAPKAFVVPHPRLAGVFVAK GQQEALVTKNMVPGESVYNEKRISVEDKQTGEKVEYRVWNPFRSKIAAGIIGGVSDIFIK PGAKVLYLGAASGTTVSHVSDVVGSEGVVYAVEFSHRSGRDLVNMAKKRTNIVPIIADAR KPLEYRMLVGMVDVVFADVAQPDQARIVGLNSQYFLKKGGHFMISIKANCIDSTNRAEVV FQHEVQRLKDEGLTPQEQLTLEPYERDHAIVIGVYNA >CAK61843 pep:novel supercontig:GCA_000165425.1:CT868016:145070:145804:-1 gene:GSPATT00032075001 transcript:CAK61843 MDRFFEQNLFFITNTMNTDQQEYLPIKKFLLKKQISEKLFNSHLHPFQHDQKGGSPQHQR KIIFPQIYVPQDNQDQKHQISPRYQIPREKMDYIKLPEIDEKFFIKSSIQQELYSNAKGQ HSSRKTSTENHEIADNNQFNQPHHQNNKKVEFQQDVLVYDYINQTYKKSSIDGSQKPLFR RQKTKNLSDDLRFYHDNVKFIGKSQYFEINTNERNIQKHFIIDTLQIENNSQKIKE >CAK61844 pep:novel supercontig:GCA_000165425.1:CT868016:145940:147316:-1 gene:GSPATT00032076001 transcript:CAK61844 MNQNNRHHFQDRDIEVKWRIEQKIISQQNTDLPQQQVPYEISLENNPDMEIQPGFVGGRV NFTNGQSFVAQIQEQTNLCYIFDQQSNNWVTLQQKIDEYQQKQQQPQQLIPIQNQGNSEQ GLVVEVVNYENFNEYEGFISEKDFENQTESLADLDDLNKEIADNIKNIFNNSSPVQLKLK NQDSSLDVKLLLAKGKKTIEYNIKISLNLKEQNPEEQEKRRKEKEVKKQNEKFEKIEKNL EKLQSDTAGQNQIVNQIKQDLNSLKFDVAKQIQQVEQKLNIQCQQLIQKQQNPYKKISLN QLQLAQQQDGNIYTTKLFNGQYELELSIIDDEADFEVGILADGDLQNIEKKKGSYYISLP EGTFKRGTTEYGKLLTYKLQIDDILGVRVDINKHLLQVIINDYQLPPIRINDAIEDFSFF IRSQNECSEFSGDTQV >CAK61845 pep:novel supercontig:GCA_000165425.1:CT868016:147975:149105:1 gene:GSPATT00032077001 transcript:CAK61845 MGKIFSSPQDQNRQNVVLQKQFKEINDSSQPCVSISTHRPKQSQGETNHQDYPCSYIFQE TKSQTAQQESENIQRVSLDESHEQIVIDASGRLVKSQENFNQNHICYYCNKQIEELLIKV ICQHKYHHECFVKLIEQQFLQSDRHYIKCKCGTKLNPNLLRQIVDKEVRYKMLYQLFSSQ LQIILKTSIIRKNPDHNQIVQLVQSNTVQQDFDYQQIVNQTEQMQIKIQEIQFKSNGMLY QSASKLLITSNCQVCQKQIDQNVIKLNCDHFYHINCFLEWMEMQITDRTKHIVKCKCGSK LSTNIIRTLPDLPKRMMLLNQLFSSQLICILKLLKKKDDFIQIMELFHRFQNTEDYDYIS HTGFIYQSNTDTPDGE >CAK61846 pep:novel supercontig:GCA_000165425.1:CT868016:149924:151924:1 gene:GSPATT00032078001 transcript:CAK61846 MHKCSLNFEDKKLEDQFQNEKLQKIRRPVYYATFIFFVLNGMKAILEFVRGTSFKSEINV GFVAVQTIMAVMVLKDQKYIKQALVITNIMSGFLQMNFNEDATAKQEFYSFGNSYALFQA IGYFISDFRDGVIQAISHLTTKIIITSIHSNKVDPLCTSLAITSTFFIVLTIYVSDYNYR KQFLASIQDDVWDKQLPFLVKKPYIKFTHKNTYFNITSCNLIERFAGYKSDYCFGCNARE FLSETKVNNVCLLNKLLNDSILLNTDIEAHLKCFRFNIRVCMYGIEKMNRIVILEKIVTK EKSKQFSFEFRKDLVQTLKQGQQKLKFLQFYNWGIQSCLLINSMQIRSISLIDVISKLNR NYRSYLNPLRLICINKSKLQIRTYSNLIKIYLFQIYHLLIMTQPRSKDTTDAIVKENEEY IEVHLQVRNAREFCDHYSKNIFISQIERVILWERVKINKFHLSEIILFMVKRLIIKLIIE VGSTVAKSFVKAYQQSAKQTGGKPGNPFTEFLNQTMQAANLTHKPMTREEAFKILQLVPE KANPEDIIRVYWRQFHKNDPVKGGSFYLQSMLHNAKCELMRDFPDVNEKEILEKIRKEGE SQKGEEEENKDEAKVGEKSEEKKEN >CAK61847 pep:novel supercontig:GCA_000165425.1:CT868016:151924:152965:1 gene:GSPATT00032079001 transcript:CAK61847 MLQRLSTIIRRPMFWHGTHHHDSNDATKRLFDTVSSTVKGIQQINYVVEHDPKLTVEEKA KMKQFLIYRYDPADENDFPKYVSYYVDLKKIPPMYLDALLYIKDNYDSSLSLRRSCREGI CGSCSMNCNGLHKLACIHAIDTDLTQPAYITPLGHMFVVKDLVVDMTNFYTQYKTIDPYL KRKTPKEGNKEYIQSVEDRKLLDGLYECVLCACCSTSCPSYWWHPDRYLGPAVLMQAYRW IVDSRDEYTDERLEKLAEDVKVEDCQNIGMCSFTCPKGLDPQRSMNHLMKLIEEYKERKI ASATL >CAK61848 pep:novel supercontig:GCA_000165425.1:CT868016:152966:153779:-1 gene:GSPATT00032080001 transcript:CAK61848 MHWLTILELHSIVAYFYYTKLYHSLNNIEIVLEIAESLNHAIQFEEGHFQPQPQGNQPFE FVVTSNQDPNIFMSLEETERRQMILTEQIKSEVAQRTRTIRCVHYFNALMNQILIIWAFY IEMIKEPLDACYNNLATFQRMFLIISLYQYWEGYVLCLILLISLPFILLMILWNKLKQQK QNYENQQILNELITKQKAIYRNDNVQGDQECGICLQAYCRNEELLILPCNQQHHFHMHCI KAWLILNFSCPKCRSKISEFRNA >CAK61849 pep:novel supercontig:GCA_000165425.1:CT868016:154058:158829:-1 gene:GSPATT00032081001 transcript:CAK61849 MQRYHGSIRISQLAQPTKRNKKYSQNPQKMMSRQIDNHFDRDVHEKPWISLGIFPYDQMI KYQHSDTGLSYTNLKIKKLRTFQELWEKNEEIHSKSERHQGFREFREFKDISEEDVIVSI EYCTNCHEHLNSTKHDEARYQAYAQALKNEIIQRFPIVKVILKPLIYDHLDHGIDTMFLQ RRLGCFEVQVISKQKGQIKQAVIGSKLNNNAWPQISVIINTLPQYFKKVSFNIDLKFADS DQKLKGINVKIQPYRPVLPRTQSIMLSTSRSHRILRPQSAQTTLSEKQGQSHRSQKEAED NPQHRIERTNQDGRIALRNVPLDVYEVIIEETNDFLSKNYKIDLFSLHNNDLPLDQTIEL QKQTNSCILVIVHCQLAPVTECRVEIVPIRGGKDAVLKESPSGSGKYEIIVEPDHFKIYV KKTGYLVYKDQITTSAGVCEFKFELTNARDQEEGYDPVQDALNKRRAAQSSSDLTVQKPR YLQPPSINLNQINLIQFQFIDMVYKNPVPNVYIKVEDQTNNKLYSYRSNERGICKALLQN KITKGKIFIQNVEYYDEIKEINEQYPLSLNTINYYYIIRKPQQSQLEVLIVNQPNEGFLN FYIILENKQVIQSDQDIEQPQQNPADGIQIIRFSNLQNKRDILQLVACLPKLKMDSVKEM YVLTPNQIQKYQLPKVPSEQGTLYPYFWILGSLKEPYEAFDVVNQIINTSQLKTYPNLTK SLKDQQEVVQCAFQSPNLIVAANRNGSVYVWNLDSYLVDCTINSVFFELVNTIIIWDDDN VLISDNSGLIVWLKKEDETQQFFIQTSLSINKKTNSMCRIGAEGIAVGTVVGQLVLVKVD LRQLRLSIDATILVVSSTQTVDPINKMVNITNDQIACLCDNSKSIFIYKIEKSLDQPLTG TLIQQLEIKGYLSGGQPILQIISLDQRYLIFGGLDGVLHTLGIDSLERGPNISLNSTQQV NSVLQNEDRVLIAQGSHISFATSLETVNELKITHQKYFKSPVELYLGECVSYEKRLLTFT NQGKMVVWSLQDPIFPSISTFLSNTEFPRQLKPNKTQFLLVDAQIPKESFSLFLINENGQ IIKDSTQVVKFQREENYFYMEIDQTQQQGLWRLGAQLQNAEAIKSKQPKIYFITSDGFQV LNFPQSIAFKKNQSYQWLIGTLIPNVETLKFTSLCTDSQIVGKFPSLEMNKHEGKINQIV ILNKDEFITAGEDKQIIIWNAKTFGINKVFTHEAEVLSIYVYNGMLLAGNKEGTVIGWKQ HDGIWQMDIKFKYHEKGVYSIIYVDGSLVTASDDRKIHLVNFQSSAQEYIESVDRTFVIS LVAYNKDSFAAGFPDGRVKIYKREKTGPKIQLKTLRTVTTVKVQHLYQISNNQLFIGGMD KVSDLINMDLGTKLKPLKDGHTGSILCSYLYCKHLITGGSDGRLSIWNTEKGQLIKALEL IKEEIRGIYIVGRLLITASSNGSMKIWQEICPFIEQIPPEEEPEQQQQQQQQLQQ >CAK61850 pep:novel supercontig:GCA_000165425.1:CT868016:158839:161632:-1 gene:GSPATT00032082001 transcript:CAK61850 MTDQLFVGQLQQKVGELKTEYDLQRSIKDNTINAKIQRSRDKICEILRRVQGRRPKVQTE ESEESQDCHIPEEKKPYQSKYNEEVLQRRHYIFQFKMYLRRKRERLQREQEFKLLKLLDQ QTEALQKWVTKDPNDLEMFDFFYTQIDPHRKGQVHHLQIKNFMYDNPLIMTLFQFDRQSL SMALDSFPVKERFHLSQDEFIQFLQKYQKVKPEKGFREYVHLFDPIHKQVNLFENTPRIL LLEDISIMKEAFDSVSENGLATVDDAIKAIRQNCELFVYAVHVVVFGLNLLLESVLQQIE IGYLNNPDEQISWNQFMYFLDNLPNDQEEEPQPQEQEEAVSSESSDDYFYQMTAKQEIPP PPEPEKKKVRKRSSPRKPFQEINVERMQFTVPKKTGQEKRDVNKQPSIREKWVMEQVRQK QEEIEEAYKFRPFKAKAIPYKVKDKNYYNELLEREEIRRRELKERCKEKTQSLQKPFNFE DRKREKPIKIPEEVEEPHKFRANPIPWYCSLKLYERNMQEQKIKSENRKKIRKFWLQENS KLPPRMQEWIDKMKLQEQEKQQEQLLRSHHDSPRKVRAKSIPNFNKLHDQFQKQLEQKKK KSKPTVPVGPTFHESKKRAQRDYLDEKPVQKDDPLKKAKKATSKKPKIQPRSTDKFNAYV DYIFTMKQNQLALDQQNDEEQKQREDKKQLFRPRVHQSWAIQDHNQVQYERQQQKFIEQY QKRRQEEEDFNNMMKEIFVRVYQRPLLMEVEAAKSQQQPQEEQEQQEQFDYMNPIGEQEV EESERISQQDPEGVDQVGEQAEQSLREYDGQEIDGDYDDQIDIDNLDPKLLEKLQKDAIA KQYGLTEEQMEEIQKMGGFEAVQGMEYEDDEEEEEEEEEDQEEMQNPHYKRHSF >CAK61851 pep:novel supercontig:GCA_000165425.1:CT868016:161646:163371:1 gene:GSPATT00032083001 transcript:CAK61851 MQKLNTYEATPWVAGYQCCIVIYTFISFGLILVSVFNQSILMDSAPQFYSDWDNKFVEDL KIGESCQEGYLNLLNFTWPGTELGCDCTQSNGTGTYKYQNYYYMECSEFMLAENCQPVEP IYEMQFHHWPINDQSSVKAFKLCAKQSTETAIEAFQIEEASEGYKKCGNVYQVPSNELCP ISDFSITTDTTGEAIGTTGLYLKIKRVQEEEVPLTAFTIGFNSICFSVSEINQFQYLDKM INYNCYDNDERFVRFTSDMPYSDLLMVNEIKNEYNQLYKNAIVESRNVNLFYKYLTQFYY NSSQLCQAEDLEYIYNLNAYIKDNVMDVQLAAQVIVGIQAGVFGFLIPLVSAISLVGCNF KYAHLNRKSSLYKDLFGFWLGVKMIGETLCTIILAADMGYQQQMMNHFSDFINADCSDKY SLQEMSDFYNEYEIKVYNYVLLNFILCIITNVFDIYVTYLMCRDKHKEGIFLKNSETSHL RNITSTHPEDLLNRTKVQPQSEIKQQQRDSQQKRQFDDVEIPGDDMPKPHKPEN >CAK61852 pep:novel supercontig:GCA_000165425.1:CT868016:163390:164145:-1 gene:GSPATT00032084001 transcript:CAK61852 MHCDSSDEDDSKFGIGKKFFIQLLEKERKFITKIESMQQILDLLNMYAMCVEFFDTVGNP AKYYFMEKISNTIAEKEAFEIMLKDEMLAREKREKQMQIKPIVKEGLVKYDPLKRPEKPP KPKFQTPVKEEEQQQIQEINIENKKISHKVIREVRSKKLTMTEKIYQSQNEQKVQVDLIK HWDDEVERKDNIIRQSLQQQGDTIQDRVNERLNRLRKQLPSNITSEMCLEKYDT >CAK61853 pep:novel supercontig:GCA_000165425.1:CT868016:164743:164943:1 gene:GSPATT00032085001 transcript:CAK61853 MISLRKLYRLQREVGMAVCLMLDQGNLVWDVNLCDLRELHFDLRECVIDCNYNQQEFGLF LMAKEH >CAK61854 pep:novel supercontig:GCA_000165425.1:CT868016:165034:167568:1 gene:GSPATT00032086001 transcript:CAK61854 MSVDNSFDNFEQLMFPSYDDMENREKIEEQYILDPIPQEQEDKPILQNPANNGKTYQQLF ELVQRLNDINWVEPLSKITPIKYLTPITYWFIVCSQYFQNDADPKIIKNANKSKKKLLVH TLTLTIETQQTIIQDRWLKELPPNNDLELLQTELEQQLLETQLQVNTQIDAIQIEKPTLN IQNPPDYILKDLVGLTEIENQYLTYLESQQRYYDEQIINQTKSSNLAGTARKLQNVYNNC QICNQGVRSEDPLLSCQKCQIIVHQKCYGLENVLSNWICDVCLNFGNKGKFLKCPLCPKL GGAMRPTAMAMKDSIFELINPSFHTYATNSKVDRQKPPPDGEENYNFMILQYQLENMTGE PPKAEKIWTHVSCSLWLEFDLVKIDKRKFNTLCSICKQKKNGACVSCSKSKCAISFHPEC ARRQQIYMEQDNIYCFKHQPLKIKRIFDDQHKQWKEEIYSFFKQYEKLEQQIANRPKLNE VEFLKFELKAQQEEIEADIKQENELLFQRIAEILEKDEKFIVTFQQNQVIDIQLPYKRQS IYDIEEGDRIWQQLANEKYSSEQVYILYQRAIRMRKKKKQGNAIIQLQMPSIQELPNRKR LSIYSRPKYFKYHKKQKQNRSSNKNNNNNNNNNNNNNNNNNSNNNTNNNNNNNNNNNINI NNNNNSINISIYNNTNNRNNNNNNNNNNIISLKIKIPKEAINIQQQYCICKQQNDDEMMC IIIFILLECEICSEWYHLKCLGFLSTVEDAQNLYFYCFRCEQKLNREQTKYIKRYKQYFV DATFRDLKLKIGMSPHELRAHEKKNYKQLSK >CAK61855 pep:novel supercontig:GCA_000165425.1:CT868016:167675:169522:-1 gene:GSPATT00032087001 transcript:CAK61855 MQKKQLQLNGFNHPKCLTQSRSPKYSAKPVEPQIIKQITQLIKSSKDRHTERQYKPSEKS MPNQVIHAQITSRPAECRDTSTTFHQLLQDFRNQNKQNKENSFNNINKPSQKVRQISFPN TVLLSNTNSRTRIKDSMYLNQGDCNDKLTLKLQSIAQTSQIQNNEKLRFNGVIENMYRCS QEYQNLLIEMKDDLKNKDSHFRKSSQRCVSADLQLLSKSPFEKSRSSSIDHKESRFLGST QANSNPKDLDLTCDENEIVINNVNLEERIKTEPDPLIQQEREYLTDPYYLTEQSDINQLM RAILIDWMMEVAMEFRLKRQTFHLAIFYLDSYLSKRQVNKQNLQLIGLTSLLIANKVEEV IPIGVKQFEKAANYGYTKDEILNMELTILFTLKWHVNPPSYTYWINWFTDQWDIYAENYG LNVQFRKPNEESYQLFRKLCQLVDCTLMDIQTLQYMPRTIVASFMYLIISFQLNVYDQDM LEIMSQTSMFLLNKDNQFNVIFGQFVQTTFGFALQDILPAIQYAVGFYELNINYETPPGV VDLSNTPLESNYEEFLSFQCYSKSLLEFIRHKSRD >CAK61856 pep:novel supercontig:GCA_000165425.1:CT868016:169906:170451:-1 gene:GSPATT00032088001 transcript:CAK61856 MQFFAEEETLLGSLVSSFQPKKTETQKNWGVFAILFIAGLILIIISIPFASFLILNPKPF CLLFSAGSFVVLISMLQIIELKTLFNKISSSAATLVYLVSLIACLYTGMFYMGYFYTLGL LSLQISTLIYLTVSLFPGGKSGMHAAFKLLKGQLKGIFLKKTFLPL >CAK61857 pep:novel supercontig:GCA_000165425.1:CT868016:170491:171744:1 gene:GSPATT00032089001 transcript:CAK61857 MSEKQSVKQYIQGKLDLLEFMIAEKQANVKRQEAQRNELNSLVRQVKEELSAMQEPHSYV GDVVKQMGKDKVLVKVNPEGKYVVTLDKSIKIEDCKPNTRVALKSDSYVLHKILPTKVDP LVSLMKVEKVPDSTYDMIGGLDQQVKEVKEVIELPIKHPEIFESLGIAQPKGVLLYGPPG TGKTLLARAIAHHTDCTFIRVSGSELVQKYIGEGARMVRELFVMARQHSPCLIFIDEVDS IGGARMEGERGGDSEVQRTMLELLNQLDGFESTQTIKIIMATNRIDILDSALLRPGRIDR KVEFPNPGVDARLEILKIHSKKMNLMRGIDLRKIAEVMPGASGAESKAVCTEAGMFALRE RRIHVTQEDFEMSVAKVMKKDIEKNMAINKMLK >CAK61858 pep:novel supercontig:GCA_000165425.1:CT868016:171776:172167:1 gene:GSPATT00032090001 transcript:CAK61858 MIQPKVLTQLLTQCQNEFKVQSIFVAATIDGQMIAQVGQTQHQLPQFSTLSIFFDDCKEI GSIQLSQNIKLNYVFVEIEDQSIVLSNFQNLFTLGIASSQNVGMLVLYMNKLHRQLEKII N >CAK61859 pep:novel supercontig:GCA_000165425.1:CT868016:172200:172763:-1 gene:GSPATT00032091001 transcript:CAK61859 MEQQPEKNSRKSFINFVLKRFNSNKEKRQAKYTKSDLHMALDNINRKRFKIFLTYEMPKY ILSSNKIQNREDYFQELNFMLSCAEQQQNGQEYYKGCFKAQKNKPERHYLQIVAKRLSRL SYFDELNVRKKYYGKKQYVELIKHIMQKSNKTDREAKQILINFLDSEEINDNQKEHVKNE ILKLNIQ >CAK61860 pep:novel supercontig:GCA_000165425.1:CT868016:172802:174287:1 gene:GSPATT00032092001 transcript:CAK61860 MKESSHLFSKYQLEYIQKIGDGAFGKVYKAFDLTTKKVVAVKQQILNTDEEQELLSSLNH KNIVKHIRGSTQLLVMEYMEGGSLKNYLIQNPNLNEEQCIQIMKSILAGLSYLHQHNVIH RDIKPDNILLTKDLVPKIGDFGLSIHLENFDFSTSKCSTYLYMAPEILLNKLYSKPVDVW ATGIIMYQLLQGIHPYYKSESTKQQYLQNILEKPLYFKKQISPQAKDLLIRLLKLDITDR YTARQALQHPWLTKQDSMPLSLFEQFKLFDCKNKFINIIKLLMFIQQLKIPKFSYGYILG QQGFHIKQVQYILGQIQSPLKFESPTISSSNTLKKKGKIDNSEENTPMSLQNSTFYQKNK KLQKLSNFYEQAYKSNENSVAQLSPQKPKRSNMNFSQASREYAEIMLGYSAIKEKRKPSS QIRLEPLDRRAKRTSSVIVISNKQ >CAK61861 pep:novel supercontig:GCA_000165425.1:CT868016:174495:175175:-1 gene:GSPATT00032093001 transcript:CAK61861 MEPSELLKYQLNVMSDEQKCSGFNAYLNEQLFQSAHKKKSLEQQEKIIQQWNKLSSDEKK EFQEKEEEIREHIQKEKKEKEKEKEKDKSKKSHKSHHQNEKHEDDMEDVQKSVQNMKIHE EKSHNKKKNHEMKSDKHDDKEDGEDDEKEGDHQSKSAHHSKQGKQKMSEKEDQEDDEENQ DKVNEDGEHKTNKKQYKARSSRSGCRSGQRSGQRSGGRSGCGKRKM >CAK61862 pep:novel supercontig:GCA_000165425.1:CT868016:175279:176373:-1 gene:GSPATT00032094001 transcript:CAK61862 MEAYQFLDNIGKGSFAKVYKVLRKADQKIFVAKEMEYGRMSEKEKQQLVNEVNILRELKH PNIIKYYDRIVDKQTQKLYIIMEYCEGGDLAQFLKKLKKDKEFLPEESVWKIFSQIVQAL CEIHKRQNKILHRDIKPANIFLDKTVKLGDFGLARMLNINSEFAHTQVGTPYYMSPELIE DHKYNEKSDIWACGCLLYEMCSLQPPFQAQNYLALAMKIKQAQFDNVPQQYTSEMKRVIS WCLSVNQDQRPSVDDLLNLPRISIRLREKRLKENSLLLSQREEDLKKKQQQLVEYEQRLK ELDTQRNKENVQNWQKAYKKNNDRSENSLTTDQDSDYKESTLEKLMQCRRSFQFK >CAK61863 pep:novel supercontig:GCA_000165425.1:CT868016:176933:178099:1 gene:GSPATT00032095001 transcript:CAK61863 MICKTKHYFFDHQYKVFIENDQILIGKQKESIKYRVPFSHKMLILWMFQKGKFTGFKILL KEKWKQFDMNHEDCLKFKKSLDGRIGYSKIESLYKFLGTVGLGSYSEVLKLLKTRYFQLK VISIIQNTSPRKWESIQKMCLAQGVINNLQLFNNELKALQNLKHPHIIKLKEFYLNYADC YIITNYIEGESLAKFLRYNKKISSKEIINILKQSFEALSYIHENGFIHRDIKPENIIYDK DHKFITLIDFGFATRIGLQEYIAGTPGYTAPELFDNVVSNEKCDIFSLGCILYELIYGKK LFQGQSLFDIQFANRECQYTLNLTDHPLHDLLRRMLEIDLSNRIVAKEGLNLLLQFEQNI QI >CAK61864 pep:novel supercontig:GCA_000165425.1:CT868016:178114:179274:-1 gene:GSPATT00032096001 transcript:CAK61864 MRQSVGVMRNKTHLLFKYKEEQKQQANRFKKANDLHISKPNTLLLDSSGESTASNFSIEM QAHNKGLPSEWASHYEDCLDKIKQVQEILKELQLLGSKRLKMQFGDATALEKLIYENNQK ATQKIMECEKNTELIANYSSEKETPSDQRIRMNINRALAQQIQELTNALRNQQKRMVTMI KQINKDDGANFLKLSEQKQQEMKVADDELTQAEEQMYDDIICERDQEINKLVTMINELAE VFKSLNQLVIDQGTILDRIDYNIDQAVFNVKKANEELKKAEDYQNSPLAKRCIIILVIMI AICSILLTIKYSS >CAK61865 pep:novel supercontig:GCA_000165425.1:CT868016:179299:180345:1 gene:GSPATT00032097001 transcript:CAK61865 MFQTLQKLRVMCFMFATTAERKVPPTPSNAPFALNNLWDNPGASKTQKRWGRSGTRGNAC GRGQRGQGARSGAGVPPKFEGGQTPLTRRLPKWGAKPSLEIYREVNIQSNKEESMPLSLS KQRTCWNQEFLANANTELSELNTPLTIEVSDASKTAIDAIKKAGGEVKVIYFTPLLLRQH LFPDKYPLELRPALPPSRAVEAIQRTEERGAVADYIKPKWVIEDEIEKKKLEEMAKLDVD TLKVQLQGAETVETVKKEKKKKKQKQQEEKQVSTLDLTNFKYPVSREPGCGKGKIKQRKP VVYKKLTINLD >CAK61866 pep:novel supercontig:GCA_000165425.1:CT868016:180346:181684:-1 gene:GSPATT00032098001 transcript:CAK61866 MMRLNITRLTKRMNMKPPSENDFSTLLIAKNTPIRQLSISTRSSKNSQIVRRLPTNHNQQ SQQLTSRTISVHDTHFHSEPLKEKQISVNTIQNKKNSSRRLIGLQTQPSLIIKPKFADIK YEMIDIYQLNIAQPKILYKGNRDTTHLQRIAIIGESVSLNRQLIQKIKSECILVLLTNNL SQINDQYDCIMQPPRIHPNDVLSYQRNVNQIWINVNHLIHTFKQPEQIIMIEEVVNENNT FSLEDLKNKILYAGNCKMIHLFLQKAKPNLQKLYYVLCHIFKYEQQDLIQLNLKRILQEC SENCLQIQSKLTYLQKVVDIKKKCKQQFKKEQMPIESPEDRAENLRDCVIEYIKSRTTNK ITGMYFIVGDQIMRNIQFFRNRQEDKYFTEKKHQEALQILQNTLQPTKHAHICSQQYAFY LL >CAK61867 pep:novel supercontig:GCA_000165425.1:CT868016:181855:184341:-1 gene:GSPATT00032099001 transcript:CAK61867 MLKIYQFIVKFFLHPEEAQTDLEPKKIMNLWTLQFRNSDIEVKYSNKEKKRIIVLFRLSY LIHFFINFIYFINNQFVLKNQTVAYLRIGFSFWHIVCLISQANIQKIHYKYFISISEIIS AFSTILITNVYIQAQLLNKQCNQQTTAQALSTGIQTGIIIISYLIVCPLWFCQGLILVAA TILLVGLVGIIGSIYWTFYVLLIMLFIFFRSLEYYKRLDFYKICQQTSNLNACKNIFDKT VLNSILILSGQSDDLQDCTLTGKEMKLIYTNDFAIKYLQVSDENEIIRKLKCIFIQTEQE TEQETYNTCISIYDILQQKLNQVEGQFQQTSSDRSSVINNEYDISDVSDYYLCFKLDSQS KVSLSTKSQVKIKSHFEIRVLNCIWENRNSILVIMNDISEKIRLKHLKEMDQYKDRLLAT VSHDLKTPLNGMMIDIEIMQHILQQKTSITLSNIEQLCAHLDEFNQSGQLLLSMINDLLD YSQINKGQLRLIPKTFNLNNTFKFINQLLNKQSLEKGVKLIWQNNINEKNSTLLTDETRL KQVLINLVANAIKFTMQGEISILATHQLEADLIEISVSDTGYGIPEEIQKNLFRLYSTYD IGKNNRHGVGLGLTISQQLVSLLGPSDKIELKSQVGKGSTFKFKIYRILQQQLESSIQEQ DLHQKQIVPRFPSFNNINSKIQKRIQLKKAQTYYKLETTPDDSFKILIVDDTPFNIIALS AMLNQVVINCKLFKAFNGKQAFDLYSKEQMNIIFMDVNMPEMDGYQCTQEIRKFEQIQKL SRSIIVIVTAFSGSDDKLRSQQCGADYHLEKPLNMEDLIKVMKKFGVL >CAK61868 pep:novel supercontig:GCA_000165425.1:CT868016:185440:187047:1 gene:GSPATT00032100001 transcript:CAK61868 MSKFQQDGITICLLGGFQKWPSSAQHSFTPHKCGLRFVKQFTWHYKDYLQKLNGKPIQVP VRIFTSPKEGKYNDGYDNENHDLIIRQYDKLYNSKKNITYICEALLGAGTFGQVIKCSVE GTKQQVAIKIIKNQHAFQKQQKIEINVLRTLQTFSKEQNIQKNRIIQLNDQFLHKKHACL VFPLLAQNLFEVMKTNRQEAFSVSLVRKFLNQIVTGLTVAEQCGVVHADLKPENIMIEQK QPVLDDCSLQIIDFGSSCFMNDKHPYIYIQSRFYRAPEIILENHYYNTKIDIWSLGCIAV ELLQGNPLFPCGDQYSCLERIIQVTRPTISNAFEYDILAMQGYVKTAPASKKYFNLLQND TFQLKSREQFTAEFPHLKIKTKEGYNSMHPIKILTDLAQYYNQQSSKDQPTFNQFLDFVQ KCLEWEPSARMSAQDASQHPFLTGQNQGFEINIDLDDLFAKLDTQSNQSSTSSFYEDQPE F >CAK61869 pep:novel supercontig:GCA_000165425.1:CT868016:187285:187518:1 gene:GSPATT00032101001 transcript:CAK61869 MGLCQSTQSTLKLPRIPAQKHIQINFEKIKEYEIEYARQQPFTKKQSTEGSSPLSQVDK >CAK61870 pep:novel supercontig:GCA_000165425.1:CT868016:187913:188539:1 gene:GSPATT00032102001 transcript:CAK61870 MDQSKYQIKNELLNLSFTEEQIAQVLPSADSLEQAVELLLALQEGQHTIKQNNGLFAEIN AIEFDDHMQPNFDFNKRYKMVCVVRMDLKMGIGKIAAQTGHAVLGAYQQLLNDKNVLLQK WEGSGQAKVVLKCESQQELFNIEAKARQNGLNTYLVTDAGRTQVQPGSQTVCAIGPADCD LIDQVTGHLKLL >CAK61871 pep:novel supercontig:GCA_000165425.1:CT868016:188572:190615:-1 gene:GSPATT00032103001 transcript:CAK61871 MSNYDSPSPLQPILNFNDDDDAMDHLVKEYQSQGISDLSMTKYKYLVQRGLQMIHPPLPV QNTKSKLSKFVSSQELLQVDKTLVDKLEKEQKWQPYRDRLFKNNSQHSLRSLNDKSSSKI YTGYGPLDEIAFQLGHNKAQIKHQNEQLSQLIEQYSTSKSQSVGRLPRIKCYPSRLNDDG QIQNKHQPKVIEVIQDAFIPNYCLNWVEKFYGKWRPAMREGATLSVIQNKFYLYGGISAT PFNDMNVWNGNEWSQIDIVDKPPFGRTNHIAGVYRRSIFYFGGEKPYDNAQKIRESLNDF RVFNTETNEFKIVRTSGEGVEVRRGQASAFVGKHIFIMGGINTKGKYLSDAFHFDVTTAK QAPANIDICNYFQNGIAFHTIVSVFTNNRLIQLYKSNLDPEDLKDMKQKVEGIYLFGGEN KQGQLYSGLYLLNTYQRPMYWTEVKAKGIPPCARYKHTCNYFEKQQMIVLFGGINVDSIF LNDCHIFKVDSQTWCTIQMEYRDGRAGHCAAQDENRLLIFGGYNENGFVKADIVWLELDQ SIQVKTQREKLSNMDTTKHEKMKERQKVQDEQERLLKLREQIELLSFKGIKSFAPMPLMK QRSRTSLWKTVQSKKQQMLQLKMIEETQHLMKDFNNESAQRNEEKQQEQATLSTPLINQL DLKRIGKNFRSTN >CAK61872 pep:novel supercontig:GCA_000165425.1:CT868016:191117:192091:1 gene:GSPATT00032104001 transcript:CAK61872 MGLCHTKKAEQRKNPAILNKSQPRAKIPQTTEQILEFAITEPFEDSSLIYISPQIKHKTQ SSKQPSPTYSLNLSNYKYRFSSKYVHQEFKPNEKQLIIHRESGLPYYLEILESNSENRGL IRKLFNPTTPENQQDPSNLFDIVEICLQNRLILVVRQYKEAKSLESQLQILKSNVKTLSI SVNKIIKIITTLHSLDIIHFNLSVKSFQYQQISGEIYLNNLSDLYNSEEVNFQYISPEQL NYIPYFTKECNIWALGMIICQLMDCPKLPIYNDNLSKFKRLVEKWQPISSLIHIKDQELQ ESLIKMLQKDPSQRAL >CAK61873 pep:novel supercontig:GCA_000165425.1:CT868016:192732:195148:-1 gene:GSPATT00032105001 transcript:CAK61873 MSSMHCTKWQGSQLIVKLKMKYCNLDLPQFPSKHEWLFKTEKRKAGFHLFLNKLVMIAMQ HPQIQGNILKFLYYFLTRDLLGNQKQTILASTDAQNEDEPDWQFRYHNLSTEDATQVGNY SLDQQKKLATNVQLRFSDDETWQTFYGKIQEGTLQLYQHISYNYFSCQVILFQIRIELAD DVILMYHEYDYQKIQLTVNDPGLLKLWYTQLKFASQDVLQYEGMHDDFHSLGKVQIQVKE AINLSNIIQDSFLFVKISFPPFTCQTKQHVYSNNPQWSQDYILPIIDSFSVIRLELISEV QDGILKRKVKQSIIGVAEIQVADLLSYHSGTKFSVLFCILFANQRIQLPLNKESNNSDVF QFLKKHLSNIDEQQPSLIVTLRNMSSPLSLFGPGPVFSYENEQLEPDLTVIKMNIIRFKR IISLMIQFQLSLEDVVDQKYPRLTLIVFTFMFIFIYNADLNNILQYFGFILLFILTYQHP TIHHYVGLFLDKYILCELNHYYKTPQLFTQEEKDLKKNTQSLDKVIKFEGNEIKLEVDDE NEPKESFYQKIRAIKKTFFIVQLYLTYLSNFAEKVINLFTWQEYHRTLWFLYALFSLYCV ICILPIRYIMMAGIINEWFDGRIVFKKRQAHNQKIAKVMMEYIYKKHAPELVCIPNYLDV AFPKGDFRTKMANELLMHLTVSMNQDEANKYQNGNEVIRDLTTCSQKLKWVSITDHHFVR DQLYYELRQKGKFRMKPHYLVYYTITNFIYNIPSQYYRLRRPIQLFK >CAK61874 pep:novel supercontig:GCA_000165425.1:CT868016:195815:196931:1 gene:GSPATT00032106001 transcript:CAK61874 MNNRFENSQDHDLDMCGISRSYSQTKLPNLNNHNKDKLKNEFVDKKLLQRKDQEDLGGSI QLNDLNILGLKDYYSGACDNNNQEIKLKQIEETNDCTQVETQQNEPYNNTLDQLGQKLNE VIGQYLCELTSQSRLSLDSFSLQNSENLDEEQQQQILDLELNVIRQRIYKQIVDKINLSV IQSVQSIGTSSQLTSQSNYVSTCVSKELLNNSVTQFSQISQKSPPKSLTHSLLDLQSQLY QSAQQAQARPNKKVDDLPFNIVDCEGTKEELQNKLKISIQLNYQQSQINSQLREELSKYE KMNYPDSIQEDETLTLQQEIQNLRLENAKLKESQSNKLCEKCKDSL >CAK61875 pep:novel supercontig:GCA_000165425.1:CT868016:196963:199159:-1 gene:GSPATT00032107001 transcript:CAK61875 MTNFESTVYILKSKEIQSQIGIATFQEHQKFRRVLQKISVVMIVLVQIKQQIGNVEIYVL FYHLLNLEQQGLLIYLIQVFVKMNDIAHTGKSQASAQDIHSKIESQFLQRYAHYLIGDEF DSFLTSSFVHMLHILDSKKVSCEQLINYKTQQINNQNTESQVFFALRNFTFNSFSLMFDQ KLEYTNVYSKEEYFRSIRTTQDQANRRHQFVHNPSNSFNQEKQEQNQHNFYELYSNKLAK DQGDILQGEIVIFETRIKYPATKAKQLCYEIKQKIDNLFRSTTRQHQIIRSEYIPYLEEY KYPTIVLIILYNGDVNLDFSKLLQMNQITINRKQFALKVKVYFISKLSLFSHFREVNNQQ KTECITDKVVTFYDMNRVRQRRQKVLKEIEIIKKQALVNQNKKKKEQYWKWSITTGFCVV AAIYLLICLSYFQNSLIVFIYIFHIYKLVKKISICRDSSNIRTSKLIKSIRGDQVTSNQG RVPFQKWISHQMFTSKTDIQLPGNRRSIDGKGLCNRTKNYFINQIMWSPLSKNLQKQQKI YLYSRQSIRSVSSCNSKRSIEIKENRKKENARMHIKLQQITSTFNKSSSKTSPRTAKTLP KYLNNQEKSLNVKPIQNFKLQNQGYQRQKSLQQQPQNEEIKIKNIIQHYQQVLDKILPLI KQEKLNK >CAK61876 pep:novel supercontig:GCA_000165425.1:CT868016:199215:199682:1 gene:GSPATT00032108001 transcript:CAK61876 MNQKPKLQGWQDLTNTSKFTNQLRILSDSLNQSPKQVKPASSPFNNVIIKKFLTQQSQSP NYKRNPHRDLQQYTCNKKTYSPVSREQLFNFSSEKTQKDTNIKFNVTIQAKQLKQSPVVR CLQRYQKQQLRNKLLLISKDLNNTQINHIIQLIYK >CAK61877 pep:novel supercontig:GCA_000165425.1:CT868016:199690:200046:1 gene:GSPATT00032109001 transcript:CAK61877 MYKISICQTFLLQELAMATLINLQQRAFPGVILFFRSNYKHFPNKSLNYKTIFNVQHSTL IPYSSKGCQQYLSAYPQQHWLQNYLSSVRIDQSAYKFKMLIKIFLDQNRSVQHFHRWV >CAK61878 pep:novel supercontig:GCA_000165425.1:CT868016:200094:200255:-1 gene:GSPATT00032110001 transcript:CAK61878 MRFGTVFAVDKAKVMEYLGSRYYRAPEIIIGYPYDTNIDVMVTADIVLELFTW >CAK61879 pep:novel supercontig:GCA_000165425.1:CT868016:200260:200780:-1 gene:GSPATT00032111001 transcript:CAK61879 MMNQKMMMRHNTNSSYEADNHKHYRITIGEMINDYKLINKCRMGVFANVCKAIKMDRNML SNLSEQKISTLDLERERQILKQLNVADPNNIKHNLRLIESFEHRNIYLNLRNALKKYTKG QGINLQAIRSYAMQLLVAESHLRKQNIVYADISPDNILLSANTNC >CAK61880 pep:novel supercontig:GCA_000165425.1:CT868016:200942:201361:1 gene:GSPATT00032112001 transcript:CAK61880 MIKHKSLFIICQCETQISCSNFLYNSSHQQSSSQKQSSQSSKSNSFTFSSTSSCPLIIIN LQCVILASISSQVYFDLFIFSIQVFYLFFYPSPFPYSKRYNQFQLLIYLCYLSKLSYLFM NQSTKYSCKMLNRDYTQNE >CAK61881 pep:novel supercontig:GCA_000165425.1:CT868016:201632:202312:1 gene:GSPATT00032113001 transcript:CAK61881 MKSVIQKIYIKEKQSLVQYWDYNTNFKDLFKSIISFIWLNSTNDLKLTPRTPTATHVQKI LNLESRESIESLNSCSITIEEGGPHQENCTICYKKLCSKCFKELELFPSKRTQTFQCPHC EEIKELKNTILCYIVNTLQLLIFLALFPLVAFALALNKTEKFIQEIETKIESIFIILMVN LLFSIPIYVGELIYCIFIAPIKIFLAFHEAIDRRDFVIMKRVFSQQ >CAK61882 pep:novel supercontig:GCA_000165425.1:CT868016:203083:204620:-1 gene:GSPATT00032114001 transcript:CAK61882 MINEQYLIKKKISQGSFGVVYVGQDVQSNQYVAIKIEKNDQGSSLEKEAKILQHLNGTLN IPRLYYFGEHKKQMLMVISFLGKDLTYYIRQYKKFSLKCVLLIAEQMLSILENIHLKSVL HRDLKPENILTGRDNDSNLYLVDFGISKFYRDKKGRHINFSENKPFLGTSRYASLGAHKG QELSRRDDLESLGYVLIYLLKGQVFYLDLGSLPWQVLKLQDEQKIKAVGDMKNTIPLNEL CSGLPNEFERMIDYARKLDFRATPDYKFLRQMFQKLAHSQNIQDQLRYDWDYTPSSLQVP SSEIAVLKRSRRTTDQEPQDLLQNRKKQASSSTLNGADGLSGHSFLKTPEQKCRKISLTP AKQKSQSSFNDSFSSCNQSGNQTVIGMPSHQNNLSQLSFFEDNNLLALALESMSKVTKEQ LNQEEHEENNGELSPSPLEVKYLKLKNQSVHVHFKRTLLQLESMNILPPQSSFNQCQ >CAK61883 pep:novel supercontig:GCA_000165425.1:CT868016:205191:206098:1 gene:GSPATT00032115001 transcript:CAK61883 MSISKQFHLQFSQSPRSINKTSLKYDLALLGQSERLIKQPQIAPQKRQRSIIKQQLFLKT QISNSSLNKSNEDFLLKKQSQNQTSFQEQLIMKDLIIQEYEKKYRDQIGVCQQLSCQVEQ QKELIDQLQLQINHLKLYILDLKKQVENSVLHQQCNQKQQEYKDQLRRFDEILRQTMDQN YATQQRIKDFELKSQKLKQQSNDLKYHIICRNCNAQIKNAVTLIPCAHTFCNRCISNFKG QCFVCLDQSKVTATYANDYITDLAQIYQTLESLIGVIQ >CAK61884 pep:novel supercontig:GCA_000165425.1:CT868016:206198:206573:-1 gene:GSPATT00032116001 transcript:CAK61884 MQKKQQYEKDFDSYKHNIKLSEEQMRKSLEAATNHKQACLDNSTNFELFATCMEKYEGKV DNIANLLSTRLLFIERQALECYKSQSDEREYEHCHQKVEQDLHNCYNEYYQGLLNL >CAK61885 pep:novel supercontig:GCA_000165425.1:CT868016:206642:208458:-1 gene:GSPATT00032117001 transcript:CAK61885 MIDRSYQLNSSYDSQSTLPPKNKQFSPVITQLMRKDREIEQMRDELSQQNYLIDELSQQI HYLEQQLRDNEGFKEKYQSLSEDYNQQQKDVQKLKVPVQTTTAKEILNLFLDQEKQGEDN VQIKYIETTPYYQQELQESINRELEQKEILDIFMRHCSQMNQQILQKNQEILQLTTQKQE EMVEQLIKKEDELLFYKEKSRVLFELLQCNMDITKLYQKQHQGLRSALKGCWTINMDQSQ QIEQVIKLLDNAFHQGNSLPFKPILDQIKQQTNQNYSNKMMSQLELFIHKVCVVEGKTAF DKVQKVMIQLDKYQQVCQSIQEEKQEMIEELQFYKNKLLEQDETIKEFEKLLSEMEDNYH QQLNTQTQQLNEINQKNELIAHYQLILDDYKSQVASKQDQKLINDQDGEIQKEQLLEEVL KIITMILQGYNTMTNDYQLNIDVNGINLNKKVQIDINPVIHNYINKIQQQVNSQFMTTGK NYYELTNHMVNCLQLMKQQLPQHQLKNFMNNASIEYIEKFNQISYCYFVKQEKLTSAEKD LYRKYYAYIPRLLGELIENQTQTFIKLLREKVYNSIMCDPIVTNKQFQ >CAK61886 pep:novel supercontig:GCA_000165425.1:CT868016:208791:209356:1 gene:GSPATT00032118001 transcript:CAK61886 MDQLLLVQPERMLKFEMENNQYVARIVLQNVSAIFVAFKVRLSNQNDYTVKPSIGVLRAG NSVTLQLTTENAISINVDRVQILAGPISNNEDVIQFFKKQQRLNQRILKCSSGEASSLSS TVYKSSISPQEINDFKTTTIKTEYVKPQKKQSASKDVLSLEEQIKLIVFIPHLFLCRVKI >CAK61887 pep:novel supercontig:GCA_000165425.1:CT868016:209473:210129:-1 gene:GSPATT00032119001 transcript:CAK61887 MTTEYSFESNVDINSDEEIKQVKICPFQTHFQFCYDDNTYIQNEQANQPIPSPAYKFMGV SYSNDESVQYQSILRGVDNIRRQDRVIQTGTINVSKSVSILRENKRNKRNNKPYVASMQQ IKMNSPYKNILGQIQDGPNKKPRNSKNTPTKIKNVSPQGILKICSFEGPPNQNPNSVSYQ LISNKKVQFEFTQEQIKSMKKINIANVLINQRTRFVFI >CAK61888 pep:novel supercontig:GCA_000165425.1:CT868016:211160:212190:1 gene:GSPATT00032120001 transcript:CAK61888 MFSKNEDQFMSSLRPQSVEFHEKKGHFWTIEIPSHIQEHLQHDESNMNAQIIYPFCDKMK TNLFREQYQQAYIDVFKAIEQEMITHSAYISVGILDMKSNNPILGIDNYSMTQDIIDAFI QCTPKIHKLIFISEVESQAFIMGMALKDKTRHLQDLTNVFVEQDKQKPDEPEQANQADQI IEQQEIPIRVELEIQFKQDEDIQLNSNNVQSQIQKLNSQVQRIQKPTVVSYHNGHKCIDD LIYMIRKHCLLILQRIQCSFELIKWVIQVQCVCVVETSRSESKVIYVVIAECIDPLYYNA NNEQDCQQFRHVEDSIVPVVLILLNFLMCVFKAIK >CAK61889 pep:novel supercontig:GCA_000165425.1:CT868016:213021:213571:1 gene:GSPATT00032121001 transcript:CAK61889 MLNQGRALWYALKVEDYDLVEFLITQKTDVRQTYEFEPKRYLSTASLLTLRVCQARSKGL NSHHYKRMYQLLDNFLQYAEECDIRCHCTKNYEHRCPLYIALKTLGVKKEDDMFNKKNDI LKALLVKGANLKVFEGQTSMIDVEGYRQYLIQRIQFQKTNGFKQTQDGYATFSQ >CAK61890 pep:novel supercontig:GCA_000165425.1:CT868016:213587:214669:-1 gene:GSPATT00032122001 transcript:CAK61890 MQIISPLKKKMNISLNTSRDGRTSLQEVITSQTEQIVKSNQLEQELHRVNQQRKLLIMEL DDLSNKYVEKSEALQECELENALLRRQVQDCRKQDDNSKLHSLLQQIFIKSRQKNQIILE WTKKFEIMEKRLENEKNQEQHGKQLQFQNEMLKQQLSELKQSQLILSKNYLELKEERNQY YRQFIDQSQAFQKLDIKHKQLEIERNLLQSELMQFKQDGLAFKEQISHVESMLKKKNKWK SRYHELLKESQENQKESSSESMVEQLKAEVQNGCNEKELNENYNNEELNDMKDKLQQLEQ ENLYLREQNIELQNQFQSATDRLLKQQLQSSMTKEQSQTFSFVQYHHEYCDSLEQQRIQD >CAK61891 pep:novel supercontig:GCA_000165425.1:CT868016:214707:215447:-1 gene:GSPATT00032123001 transcript:CAK61891 MSKPTKFISKLYEILETNEYRKYIRWLPSGDGFVIPKIAEFSEKVMVENFTTKCFQSFLR QLSMYGFSMKKNERNQKQYQHQYFVKGNFKDLYKVVRNQRQSSVSVDECNLNQMRQSNIE LKTQLDRLKEQQGLLSYNLRIQSKQQELILMKLDDLLKKCQKKKNPEIYKPYKQTWDLMM ILLKGYQPYYGSILIEPLQEVCEPMTPFSEIQNTPLQFPFI >CAK61892 pep:novel supercontig:GCA_000165425.1:CT868016:216265:216522:-1 gene:GSPATT00032124001 transcript:CAK61892 MMNESFYRICFQTIKTLGELKLITNQEKLYLKEIVVQHQFQIPENLDTNQLSMYFLFLIK QYRRQLEFKNSELLIIDEETDEEQA >CAK61893 pep:novel supercontig:GCA_000165425.1:CT868016:216559:219067:-1 gene:GSPATT00032125001 transcript:CAK61893 MEEAQTRLQKIGLDETTIKNTIKGKNALKSILQTLDLVGVQECDKKIGNLLYEMSQNLTE ALDNRRALLAKYIVEGKITNKLQLEGGIEYLRTHTHLEQIPAQDFEKAAGVGIVVTPEQI KNTVAEFLQSKKDLKTIRYTYNFSEYTKQVRSLLPFAEGKLLTQELNQQIEAILGPKTEE DLKAAKTQVKKPQQQQQQQQQQQEVQQQVEEEDDCKFDISKLVARDLATTVNSEQLLNWR KQNFPYEVLTRFPPEPNGYLHIGHAKSINFNFRLAQHYKGVTYLRFDDTNPEKECQEFID NIKENLRFLGYTPFKTTHASDNFGKLYDYAVQLIQKGKAYCCFLTKEESSKLRTDLKPSP YRETQPAENLEIFRKMKLGYYKENEVCLRAKIDPTHPNPTLRDPAIFRIRFTPHPHQGDK WCIYPLYDFTHCICDSIEGITHSCCTLEFEVRRDLYYWFLKELDLYRPFVWEFSRLNVSN TVLSKRKLHHLVFNNIVDGWDDPRILTLNGLRRRGYTADSINKFCDLISVTRKGNENFIG MHVLESCIRKDLDIKAPRTMAILEPIVAIIDNVAEDFSEELEVAIIPRNPQKGNRKILLT KQIYLDKNDVRTEDHPDFWGVAPGKIIGLKQCGPFKVLSVTANEVHLERLGKDVKPKGFL HWLSVKEATPCVARLYDYLFEAYDPNELDDYIKGINPNSKIVCPNAFMHKDLLNSKPEDK VQFERLGYFNLDFDSKDGKFIWNRTVTLQEKDKIKAIAQVDGKQVQKKDVPQQKKDASKK EAKKE >CAK61894 pep:novel supercontig:GCA_000165425.1:CT868016:220006:220729:-1 gene:GSPATT00032126001 transcript:CAK61894 MKNPKKFILNLYEILEDPNLQCLVYWVDNGQAFSFQRNSEFETRILQKYFRTTNVHSFLR QLSLYGFKMRKNDRNTKEKGDCMRLLTFSKDLLKIRRGTQTNQNSDQQFNAAGFAEQNFL LKEKLKYLQEQQQIIQQQLRIQCQIQLLIAQKIGKMVDLFVVLKEFHENETQQRFKSTFI SVLFGYKPSMEYLQETFIDMNSPMSEFLFSPNVFPFIQ >CAK61895 pep:novel supercontig:GCA_000165425.1:CT868016:221679:223098:-1 gene:GSPATT00032127001 transcript:CAK61895 MQTLGVPNTQPKFSLLIDDSQTLTYLELFKQAVTLALIDVQTLSASNYQEFRNVFVTQTK AVSEPQFEEIVSLVDFIPGATTSQMMVALGTISKKNALGGITALVAYTVPGALILTILGY WYGKYPDPRDLNILVFLAIQGIKSSTVALILQLLISMAAKSINNKMHIALSVLSAALFVA YQQPYVLILTMMMGGIITIMVEYDAENTIGRRTFVKEYTATPAVINDPKLINKKNSHKIS QLFGNKSFHLYSVILLLLLYLNSQYQSMILDLSLSFYNIGTFMIGGTPITLPFMYAETVL NPLYINADAFWLGFGLAAALPGPYLNFAIFLGTHSMGIYGGVSCWVAIFVPSVLQIWGLL PHWSNFRKNIYLAKFKEGVISVAIGFLATAVYYGWVDACKYDLSTATVISFLSLVYVSLL NFNSPAVLTLGAALFIIRYLALWYYRELDPVIF >CAK61896 pep:novel supercontig:GCA_000165425.1:CT868016:223098:225409:-1 gene:GSPATT00032128001 transcript:CAK61896 MHKKKIKRNDLQSAPEVIRTRHRPTNHLEIESLVMQDMRKKILNDEMNTIQFYDIVMPDQ DEMITSLKDSTKKPVKLHQKVRRTDLENKLRARLSNEPGAKLLLQEIYEKLEEDPDNNTK TVRKKLHQEEQFKANQQYKAESDDQLVANLDTWYKGIKKRWKEEQEKLKQEQEKESINAV ELLLGLAKIQFQEKSQSLQLLRRGGLNDRSKAVQGIPAFPKLIVESAKQEGEQSVFKQKL MGSLQKISAIKKIEMLHQDHSLTKQLDKYMQQGSTLTQQELAQIELARAKQQGEEKHDGT LIFDYTVEGLKNSLHTLIKMQLTQFQNASDRALFYEKQTNQILLSINSRLERQKNTVKKN EKDLETLRMENHEIHKKLRAIQDFIKERQEELRGINKKPQQSSNSPNIKNNASQVDNYMV IAVKQNELESQIDQMRDNYNKFQQQMKLNAIQIEKLEQENSKLNKNNKALLKSIQGFLLE LLKIGLDCRSQGLSWIIKAVWDSGEKIAEANFPPYLDSKARDFLITKTRKTIELNDLFKK AHQLFSNYRDSSIDTGNNSIVSLLSKNHFSQIDSMDIKKQHIEQIKSQQESQVSLLIQGL SDTENKLVQQTLTHIFQSKETLNDIIYNEAQRRIARMFKSEANQEQSIVFTELNNFEKDK LIKEYQQIQKDIEVKEGLFRKFEETELQRLVKEIDYKNYLARFNVEALQVLAALFGYTRA ERELIKYGMMHKMLNN >CAK61897 pep:novel supercontig:GCA_000165425.1:CT868016:225685:229354:-1 gene:GSPATT00032129001 transcript:CAK61897 MFWSKRPETFNAPRQIVGNFKCERNLQELEEFPSNFIKTSRYNVVTFLPKSLLLQFTRYA NIYFLCIAIIQCIPVLSTLNPFSAIAPLVFVLGLSMAREGWEDYGRHVSDNEVNSTECII LKSRVPTISTWAELAVGDYVLVKKDESFPADLIVLSSQIPSGACYIETSSLDGEKNLKPK SAILESQELYKEIETYCEDAIRVEAQVPTQNLYELDASLFLPIGNGQQKKFQLTAKQLLL RGAFLRNTEWIIGLVVYTGQDTKIMRNADASRIKSSEIERIMNILILGILVVQITLSIIT ASFSSAWLHNYGSDSWYLEYTDFQPNLLSFYAFFSYILLYNTMIPISLIVSLEFVKVFQA YFIEQDEEMYVAQRNKFAKVQTTTINEELGQVEYIFSDKTGTLTCNQMEFKYCIIGNVLY GKEQSNTNNPVYNVDLKRQQTTKVHPESEVFQHSVFNFQDAELSAILKGEGSTGDMPINL NIASQDGKQKVTLSKQRNVIEEYFFLLSSAHECIIQYDKNQNASYQGPSPDEITLVDAAA RLGFQFTGASASEQNFKILGKEKKVKLLKSFEFDSTRKRMSVIINDNGVIKLYIKGADNI IKDRLLPDQPFLNEIINYLDDFSKIGLRCLLMATRVLSHEEYQEFDHAYNNLPDNDTRAS ELEKLTSNLEKQLTLLGASAVEDKLQPLVPETIADLLKANIKVWMLTGDKLETAENIAKS CRLIQGDFTVMRLAVPSVEECKKKLGDIQDTYDLCIKENRKKSIVVEGSSLQFVIDNEDL AQAFVSMAKDCESIVCCRVTPKQKADVVRLIKDRLNKITLAIGDGANDVNMIQAAHIGVG LYGNEGMRAVQSSDFALGEFRCLWRLLLVHGHWNYIRIAEMVLYFFYKNMIFTVPQFFFS YFCAFSGQSFFDDWYITFYNLIFTALPLIMRGTFDQDINYRQYCQYDQKEEVANVQRKQE QYLRLKFPSLYYVGQNKTIFTIPNYMVWAFNGLVHGMIIFFFVLWITDYEIVQDNGDSSS LAPFSLTVYSCIILIADLKIAIHTKFWTWFNFISITFLSILLYVIYVIISNFWPGTLMEY TPFTMVGTPHFWLSIILIGALIGGLEAVQAEIIREFFSDPATEILNKVKVFEHNLNKWVE NQEKIARQDSFWAGIYKQKEAVDEQELVRFSGSQQ >CAK61898 pep:novel supercontig:GCA_000165425.1:CT868016:229680:230533:-1 gene:GSPATT00032130001 transcript:CAK61898 MEDIKQVPSFLMKLYEILESEQAAICWNKEGTTFQIQDSVQLTDQILPQYFKHRNFQSFL RQLNMYGFKKLKNNQGKNEFQHSLFRKGVKNNLANIKRRNQEDIKQSLETLTKEFQSESY LNEHDKMRKQLVQIQSDQQRILNEIRFQMDRNQKLFKETQDITDKINQIKNYSLTKLNKL ILIIQKLPQDAQISKMAMLKDKILRKLETIETESYQAKDISFDKQESNSYYEPNLTGVRS PAGYSPKTK >CAK61899 pep:novel supercontig:GCA_000165425.1:CT868016:231470:232515:1 gene:GSPATT00032131001 transcript:CAK61899 MLPEFSIQAVKLQANSRQPKPVKYVPPKPKSPAQKRSSSQQKPITKAKTSTDRLTRKGSE DFQEPQQQQQVVTIEAPQQKIIVNMDERYQYYENEAKKRQNVIDVCDGVQFYKPVKPDEM PKPPKPKKQTSKIENAKQVSRTEKSEKQSVSSDLQHSLHQSRSLYNQTTSREQQQSTSTL IEECQTQQIAVDPNFLVQGEVDGYQIDESQSQMFGFGLTDYIKNNNHKKELGEKDVTNEV KPQSESVYTYYDYYPPNANGEIPKPLLESVNYMNYLKEQQALQAQQAQEAQQQQQQQQQQ QQQQQQFKSK >CAK61900 pep:novel supercontig:GCA_000165425.1:CT868016:232561:234122:-1 gene:GSPATT00032132001 transcript:CAK61900 MNPLQKIYLQALINQSHQESSQQVKQEEYSQKENVQYLQKQQLLQIIQQCQTQHQPTQQV SQYFKQSNSKSSTLIEVQNSVTSYFQKIILQKQTINLTNVLEVQLPYFMIIMSNLIIYGL ENCQADKEIVADLVSSYKDNPLKISMSYSLFQTVALLFRSEVIIYDQSTKYLSNFLLFRD DFCDIFFKALYSYKISQGQAVSQQIGFTQIHQMIKKQQDEVWTTFTFNMLSQQQQQLTKD QFLLQFNKYTQSLNTFFTKSNLIMDLVAQSVKQTAKLHNDQIFQEYLLIMGSFKATTQEF LKKATQVFYEETQELDLLQFSSNTIKVHKQMNCQILFILPMLLQLESQYLEHIIKNGFSE MQLKNSSNYYLKMIEKLKSKCVYNGEEECNCKKCQCIRRNRNSAKESQKKKREALEKIGP LQDEFNKLQKKVKHLETENQYMTTLILEVFKHPSVEKIASQFLEPLTKIIADSESMEEC >CAK61901 pep:novel supercontig:GCA_000165425.1:CT868016:234846:238995:-1 gene:GSPATT00032133001 transcript:CAK61901 MNQASSSVSLNAPLLNEGEVDATRLVKKSRRNRAGVISQLFFAWVYGTIEIASKVTLEND MIEDLRFEDTSEQLYYRFMKHFEKRKNEKNGLIWSLIGVSMGQCIFVFIVMLFTVGTSLL NPLLIKWTIQYLMKEDKETQEGIILIFSIIGVRIISVICQQHSFYQIRVVGYDWMGILSM ALLGKSMNVSYQSNKEHTSGQVLNYMQVDAMKLQWFGWYMSQVMLMPLQIAISIYMMFKF IGVAFLGGLGVILLTAFFNIFVGKKMFEYQILMMKDKDKRTNCANEIFQQIKFIKVNAYE EYFRTKLTKLRNQEIKTLKTRFFASCLNILSVWLSPMLILNATFIIYVAIGNNLTPANTF AIISLFQSLQGPLLFLPMALNALIEANISFKRVQSFLLTNELMRDCITNSSQSQLDLMYQ KGLTVNDSRSQMNSQVMRTEIDNDIAIRVDQGTFYWSKYKEQPQQPAQTPPAKGQKVEPL PQIESDHILKDINLRIEKGQFVAIVGDVGSGKSSLIQALLGEMIYKEDKPRIQINGSFAY VSQKAWIQNATVKDNILFGLPFDQTKYDEAIKFSCLKDDIKILVKGDQTMIGEKGVNLSG GQKARVSLARAIYSNCDIYLLDDPVSAVDVHVGKFIIYECLNGYLKEKTRILVTHALNYC QYTDYVYLMDNGTIAEQGTFAEIKQSEQFKKVYQKFYKDAKSDEESQEQVNEAEQASASE LKLERKQSSQKETPTSPQAKDEVDELMLLEDRNKGSISIDILTTYIRLTGGFLFAAFLIF MMFLWDACYVGSSLWMAHWTQQASKDLINEVETNNYFYLIIYSVLSLSYGILAFLRSWAF VIVSCNQANNMHNKMVSCLMYAPQCQFFERVPLGRIMNRLTKDQNVLDSELHWTFNWMLV QVFLLLANTFLNIYTSSPWVAIPMVVYFFLCWKIQRIYMAASRELFRLEAISKSPILSYF SESIMGITTIRAFQRQSQIMNKHGHNQDLNRKIFLEQIAANAWFGLVLGLSSFMVNTTAI VFCMFYSTKNPAYAGLLMTYASTLDQNINGTVQCLGHVENGLISFERCVAYTKVKPEKGY EAAVKRYQNNQAYRDQYIPQWPKNGIIEYKNYSVQYREGLPMALKNMSIVISPREKVGIV GRTGAGKSTITLTILRILEAMNGQLLIDGHDISTISLRQLRESITMIMQDPTLFSGTIRD NIDPLNLRTDEEVLQAINKCCLTELIESRKGLETHINDHGDNLSAGEKQLVCIARAVLKK SPIVLIDEATANIDIETEHKIQDTIQNAFADCTVITIAHRINTILHCDKILVLDKGEVKE FGSTKELLNQPASLFYGIYQEALKEQSH >CAK61902 pep:novel supercontig:GCA_000165425.1:CT868016:239724:241503:1 gene:GSPATT00032134001 transcript:CAK61902 MKQQTLCATKCIGQLKLRTQLVIIVSIQVVIIVSYVLALNLIHHSLLLNYLSEVSDYLFE QNSDHLLSNMMREYYEHFNQVFNLSKPLVNILDGNALVSFHRLYHTSKNQVLLHPLEINP IYQMKYGGTTKIPDPLRIIKGYGNYDISYSFMCYSNLSSYNQPKTIEEIVGIKLQEQLQA AAQIFYQGNLINQSFLYSYIIKEKINSIYPCLNRDKAIYQYVAENRDWYIELKRNYQIQL HIHSTIFMYQFPPQICIVYTDKKIGLSMTLPIVDQELKLIGGVVSNFLGSQIIEMLKVNS FGFQIIYLVSEKGVMIMHPYKVTVEQLPLYIYNESITGFNQTDWEYIQNLNNESTCPQFV EISSFLRCRFNSYYKQEMIIGTREFPQFKMKLIMLLSSQEYLKFYDDFRSTLEQQLHKTT TSHIIWLFALFVALCFMIVVVTQILFNPIDIIKQQAIHLIIQQRKRRQQISQLAEVLMSD EISSLVQAYQIVINKLESLSLTKTSLCKQIEDIQYPTKQPSATQSENRQSIQETKKFQAL KFQYLKDLGTNQQFERAAISIIRTVLNQKNTNFLLY >CAK61903 pep:novel supercontig:GCA_000165425.1:CT868016:241620:243460:1 gene:GSPATT00032135001 transcript:CAK61903 MPNKSFERNIFCIQLKVIQFYNICNKHKQFCLIREMIKHRGLFTSCMNNLKLRTQLIILV SLIIIVIVSYVLSVNLIHYSLLKKYFSSISNKIFEDNSSKISEKTIRSYEGYFDRVFYLN GNGLVSFHRLYHMTKNQVKQHPLHLNPEFQMLYGGVNQIPDPIRIIKGYGNSDISYSCMC YSNITAFQNPLSPDELIGMKVQEQTQAYASILYQGNILSQSFLYSYIIKEKTNAVYPCLN RGQGIYNYDPEKREWFVEVRHNFNKKQPYREYSQSFTQPYLLFTDKKIGLSLVLPIVDEN LELIGGVGTNFLGAEIVQTMKSQEFGFQIIYLVSASGIMIMHPYQVSVEQLPLYIYNQSI TGFNISDWEKMNTQQQNNSSTCPYLNKHSLSLNCLYNTLYQQEMIIAIQDIPEYSMKLIM LLSSQEYFQFYQHFQEDLETNLVNQLWLQISILLGFFLFICSLLYIFTYTLFYPIQQIQA LTINRIFSKNKKKLQMPLYANKFMSKQIALLCKSFQFVQDQLEQLSFNKTKSCRDLEHYQ YPQKKFSLKKYIKKEYGDLNKEQKHDTVEPLTQEKIIMYLKISSKYSG >CAK61904 pep:novel supercontig:GCA_000165425.1:CT868016:243705:245621:-1 gene:GSPATT00032136001 transcript:CAK61904 MNQYCFYDYVIMPEKMIGKGQFGIVVECYHKFKYKDIQLCAKIVQNTTNIDESMMKEIQI LKKIKQIKNSHLIIVYDIFEAENKFFIIMERCQGGELKNIIEEKKKMNQKLKATQILDFV YQFIDGYQILYDNKIMHRDIKPQNIFVDQNFQYKIGDLGAGRILEDVKIKGDYTKIGSPI YSSPQILSLQPFSSQTDIYSFGMVIYHLTYLEFPFRPVMPELQKFIIGLQKQRYTLSPLP IPCEGTEREKDEIQLLIESMLVHDENQRITWEQLFERIRKEVVYEQFRSKYFDEQMTNTI IDLEKKNQKKLEKEAKQRLEATKIYQHQANSSIKLNSLIPQSNQERKRSPLSQFLRLQYC KVSIIHLTLGTFQEVFYSNQFPIALIEYYLLLSSIQGYFCNLLKNIDAIHKGDYNKISEH IREQKEIENQNLQAIREFQQFQTEDDVRIAEYCQQMHAQMTDAWTKVAQQTISKINEQKI RFQTLCDLRDVLFKFQDYVNYYKYWDFFRKFYNSYLSRKIQELILFDLSRFGDNLLFFMV YMQEIYNMEEKYKQLEFFKENKIMIIPKQSYTRDEAIKYLEGKRNEQQQQQFNQNQYN >CAK61905 pep:novel supercontig:GCA_000165425.1:CT868016:245734:246534:-1 gene:GSPATT00032137001 transcript:CAK61905 MTESKDKYCNKSGDSEDSADKLRKKIQKSKHQGGITTSSKIREMFKKQQFKEIVNWAEKD SSNTIIHEYDEIKVNSQTLKVGQNALIKNANNPSEDYVGKIQKIITINENNSSKFICLCE VRWFYRKSEVIKFRSSAKVWISNSEVFSTSCTDYILASAIQSPCIIYSLEEYESAQTLDK CTFFTRLGWIPSKNRFEGYSKLTNHCTCKQPLNPDLPSIQCDKCQKWYHMNCVGVTKSDY DQKEYICGCCR >CAK61906 pep:novel supercontig:GCA_000165425.1:CT868016:247510:248863:1 gene:GSPATT00032138001 transcript:CAK61906 MDPIKFQNFRQQLPILLQSCQEISEIFGFTLSEALILLHYYKWNDYALNEVLASQEDYDQ ILIKSGAKSAIQYISEKICPICYSNDSEINLSCGHSACKSCFELYLKEKLKLCSFINCFF LGCTLKIPYSFFEEHKVYQQSYLLRLSKDYRITVKCCNNQDCEYYIQNKPLENIVECLCG TIFCVKCEQGDHRLLKCQQCVEWVKLYEGSVNILNFINQGKFCPKCNQFIEKNLGCNHMT CKKPLGCGYEFCWICMDAWSCHSKETGGYYKCNKYNDEQIEEKNKLKVDKSDEKRLIFYA IRYAGHEKGQQIALKKKRKFLDLVQKQQVIDSFKVLLRDSYNYIIQARISLKWTYAYAYF QKKQTPMFEFGQADFERYCEILHDLLENEIYIKLKQKQSIEQYHQKLLNNFNLTKQFQTR FLSEFSQPK >CAK61907 pep:novel supercontig:GCA_000165425.1:CT868016:249548:250511:1 gene:GSPATT00032139001 transcript:CAK61907 MRLQRNHQGAINMLKTKIESYEHRHKQHILCQLFPDTPIKWIKPHLDKVIFTSYHLNQTL FCKNQKPTHVYFIIEGEVRLEETQIERRKPQNAMFASTCMAKSRTLLIISAGSLVGEIEA LHEKPYQTTAKSNVHYSKILLIPEEIYIDLKKQDESYQSTETQHLKDQLILKKINQLKSR LELQEVSPERDSSPQTKISREDFIVQQNKRIQKKKNFEFINLTPEAPLFSVKKLKRVAAP DDKPENRARSISAPTKAKMLTTMKSIEKISKPEEITRSRANTINFGEKCRPASRIFWQQI FQKL >CAK61908 pep:novel supercontig:GCA_000165425.1:CT868016:250533:254553:1 gene:GSPATT00032140001 transcript:CAK61908 MFNLDDLLAEDENDVNDASNLEIDDILNESDNSIKELDIEKANQILSEKKQKLKVQTKCE QKEYEELIKKYIEHDFEQQTEEFKIEISSSNEEEIEPQSLIDQIDKQERIIKESSLQNVE LINNNIEIENTEIHDLQLISNQLKKLSGQPCLITFQFNILSIGTSVGEILVYSASNNDFI VLKYNSKVSAIDNTQAFLVASYEDCAIILWDPNTKAQLKNIKHQEPLLSLKFFNETQFII SDYLGQTSMCKITKMLWSYQVEIDKLLPRQIHPFYQISIWKQEYISFSCLEQVIVMKIDQ QTQIIKRIKRQVDGYTYPCTSWGQFTNTEEYTEMIEIALAVSWGTHIEIYVVEKQQLIQK YSFILNTIISCNWLSRDMLLVFTREFQIVTLNAQFFNKDIITEESRNTLRKQMNYLSNKT EKAIQSMTPLGDVINFPLQINNGQQMVSVYMNCISVNARFVYLLTLPIIKRVKLLIWSDY LKVMIEKAETKQNWYDILSFGIKLYWNQIHCFAIPQTSYDQRVVFSDISKDICSTFINLV GQSIESQKVSEQLKYEQWTQTIYSAIQYCIHCNQQQYLFTGLKTLLIKFHRYTQLLASLE EFILNKQIKQIPTDLLLQISTHYKVLNKSDIVEQLILSLDPKCLDMTTIFQICQENDLYT PLMVVCPRIDHDYITPLQKMYSNFLKTQNQNMLLKSLWFIKLTFQQILFPNEKIPQDRFQ HAFGQVIMWLLIDDILRNFGIYLPKELFEILKFAMNYASLFNSEQLQKYFNNQKPILVAF IHKIYKSLSQSIVTHEALGIEFSKFIGSIKGLVLDEEVYIKSLKHLVSDRKNFQLILNIL EQIELKPLLKNELFEQSNDNYIKSLFASDIYKQIDLCLINVNKKFFSLWLQRQLNQKREQ TLKVLELYFDTLLENHQTLQILKQIVPTLTSQEQYKLMKRELITNGYMELFQSLGPSNKD LRALFIRASCIHTPHHVLDKIHHFALDDLESIFIETKHKEGLGYIYARVGKFNIAMRFFV QNLLDYLQECFTQQSFSNEILNLKFDLLFQTCKDNLSHNDQNLFFELGHQLFNSETHSQI WVFSEQINQNNYQNMIPILQVINARLCEYYNFLSIEELINLMEEQMDIFRMVWIKWSFKN LIYDQRVQYRIWYKSYFIELQTYLGFKFQIFHQDASSPEIQNICSNCNEFITNEQQQHVL IRKCSHSTHQSCLQQPFCHICNPKVKMQLESVLANRTASDGVDMKRRQILIKENEIMKNH RKLEEQMDDLQLTAEDIRIHEENRIIRNLELFDKFFNL >CAK61909 pep:novel supercontig:GCA_000165425.1:CT868016:254574:257858:-1 gene:GSPATT00032141001 transcript:CAK61909 MSLQEQLLNVIHGVFSPDNATRQKAEELLAQYRDSQPSEFVTSMLHLCRHEELKIRQFAP VYLRNSLSNYSPKSHKNVWSLLTPETQEIVKVSLFQLLELETSSNVRSQLCDTIGELGGS LFEDETKNSWPNLLQTLWQLFLSPKNDLIECGFKILANLFTYAIDLFNKHQADLHTLFIQ GLASPDQKIKTATIQSIGNYVTTSEPKQYRAFQDLIPNLMQSALAVTVQDQTLGEEIMET FSDIIDAEPKFFRKQINVFFNGIAAIFRESQIEQGLKRIGTETLISLAEKFPRVFKQDKQ YLSQLVEMIFFHMIQISQTVSEEWMKPAEGFNDDIQQDEDCETTRFGMSSIDRLIESIGD KEMLPVLSPIVNQLLQHQDWRYKYAAILALSQVGEYIEEVAEVKPIIELVSPMLSDSNPM IRYAVCHAIGQIADDMKPKFQENYLHLIVPQFLTRLQVEDVPRVTSHILAALTNFVEGTE KGIESYLQNLIQLTIQYLNNGISIVKENAMSALAATAESSKQQFLPYVNEIVPLLFQVFQ NHQNKEYRQLKGQTIETITLIASAVGQLAFQPFLAETVRILIQVQTSQLEAVDPQKSYVL SGWQRLALVCPQQIAVYLPEIIPSLFQLVQQVFKVHTGTGDEEFHTYDNEEAEVAIHMLS VFIEELKESFFPYFDSCTQLIVPLCNFNTDENIRSAACKCLVSLIENVKATNNVQQLVNG AKYFLGIILEAAQKEFDPMVIIEQVDCIKEIIDIVGQPFMTTEEVTQLSDKVFKLLLESD KRKAENEKMSKEEDVDEDEKTVIKEETETEEELHVKIAECIGSIFKTHKDQVQPLYEVIC NQILPKVLDPTQSPKMHQFGIFLIDDMVEYLGYPYVQGKLNDFAQALTVYAVDKVCFVRQ AAVYGIGIMALNTPEQLYINVAPILSKALVDSLKVDKNQDDTEKQHGHARDNSIAALGKI IKYQSKSLGGDLAQGLQTWLHLLPLKYDKPEARLQHEQLADFVIADCNQLINGKPENALQ ILKVFANSYKTKRSSEAIDTKISSALKVFEQSQGQNVQAIFGMLSQEEQKKLLEVSK >CAK61910 pep:novel supercontig:GCA_000165425.1:CT868016:259035:260475:1 gene:GSPATT00032142001 transcript:CAK61910 MMEEMEYLRLPPILAKQVQHPEEYVRKNDPKEPVRRIFKNVPISQDERKLLQQLKDNLAA YKIEINPDSQTDEVLLRYLYANNFNIENTKDMLRSHLGWLREPKNYEFDQDVLDIYVISC IQQNKGIVYILGRDKQYRPTLIINCDQITTINVELLKRAISILCIIMEDYCFFDGKIENW NIIIDLKEKCVLNVKLQEYYSLFQLMYQNFPCTVDKIFILHPSLSIQLGWAEFLEHVFNK KKIIIVSDINQFKKYYEPTQLERKYGGRIEIKKYFPPLNTIPEGKQLTEPLKLLESMNQQ QQQQIQIQTKQSIQAQNTFQETVTKQVQPTETLKMTKSKIERDFSVYPQQEVNDFKVYRP TEISKEPIKNISKYNRLTQAEIMKKEYEFQVQQTILQQKQAEVMKSYKYKQSQMIFEGND QDEILSEQIRTQTVKPQSVQGQPQNAKGRLTAEQAEEDNAACIIF >CAK61911 pep:novel supercontig:GCA_000165425.1:CT868016:260511:261296:-1 gene:GSPATT00032143001 transcript:CAK61911 MTQKLNSDQIPQQTNKLRSMNSILQFNEQNQTLLLLNIDINWLKIQAFCCKTRGQFQDKL QADLTMRNLCIKHSIQNWCKKKNNYENFKGPINKLPSQHTQQYQLNQRFQEQKSVGLPLL KPLSFAFTQIQKKYKQIKSSKRKKTPLQLSAVQCPINTVEFKKQDINKPITSRLHSNRLK TVIEIKILQDNKYFMISEQGKEINTFQPQSKGRDQVETLYSQFFGSINRKRAVTICNGPA NEIEFDYKSTNLEDKIKIHKF >CAK61912 pep:novel supercontig:GCA_000165425.1:CT868016:261372:262023:-1 gene:GSPATT00032144001 transcript:CAK61912 MSEADPVLNRMEYQTYKIIKEQNDQYQLQSERIITEISESNNRLLQLKEEIQLIPQMLSQ KEQEINNLQQEINNIRTEQDFEIYRLQNQLSLFEQRYLKQEEKNDVALHLEQFRNPNRYF CDIEKGLDNIISVKIVFIQGFKIQKIDADIINNQVDIVALLQNNDISHIVKHKIYVDQQN MRCLGKVVQGNQVTFQIGSAP >CAK61913 pep:novel supercontig:GCA_000165425.1:CT868016:262096:263361:-1 gene:GSPATT00032145001 transcript:CAK61913 MKHFVVLALIACIYATSITEMTDKLAQYGDHPFGKSMINLVSVNMKTGGSLNELKQLLQQ IKDELISLTQLQDQENATFNRRSQVDLAKLQATLEQAQADLDNQRQEQTSLSNELTTLQT RVNEDQAALDRNSRGSGDAQSRLDAENTDFTAKYQDYSDAILACKEAQRLLMNLRGEGAS LIQLTQDTKSNLLQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLEANHKTQIENLTRLGDDLKNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNALVEVTQLNIQDATKINELEDSEYSNQKVSRQTEIDIVDRLIEYINQK LSE >CAK61914 pep:novel supercontig:GCA_000165425.1:CT868016:263411:263672:1 gene:GSPATT00032146001 transcript:CAK61914 MLKYKQQRILEDDFQPYKLMIQQHKLRSSEKKRPLSRPRTSSVNKPQVDILPEIKIQSEI LTQAINSLRKTISQFKE >CAK61915 pep:novel supercontig:GCA_000165425.1:CT868016:264089:264273:1 gene:GSPATT00032147001 transcript:CAK61915 MVKVILSDAKSAGSQNLTIGLVQNIYRPMICKDFDLENPFNMYLKVFSIHTK >CAK61916 pep:novel supercontig:GCA_000165425.1:CT868016:264315:265163:-1 gene:GSPATT00032148001 transcript:CAK61916 MLRQHQEFAKVIVNDFLGGPRVIKQCHIINAQKGLTAFFVVFLIWWYQNFSLGAYLYLAL HGSYGVIWVVKDFTFPDRTFQNKITIGSLIFTTLLLSLYWVIAWLQISSGILNPSNARVV TAIFAYVFGVVLMIVSDCQKYYTLKYKQGLITEGMFKYNRNPNYTGEMVLYLSFAILSGH WLAYTILISVWVVGFYGFMINKDISFAKKQGWNEYKKQSYLFLWKIHSNDIINYALYGAL VAYLIWDYQVSGAISLLRK >CAK61917 pep:novel supercontig:GCA_000165425.1:CT868016:265208:266047:-1 gene:GSPATT00032149001 transcript:CAK61917 MQNQAYDENICLMDVKIRHITQVIENKCDQIGNQYEQLKDLKKAISQKLLELHEIETRLS KTERKQNLQIQKHMSFDNFEYQQLYEEYMLAKIKNTQFQHQLKENMLKSKEQKLHKKQLV KQLELHQMICEELTHQNSKIQKELKQKVDFLNKFGQLAHSKKIKNFSTTQSMKNQIFTQY EDRFVANYIKSLELHYIDNDIPFLIKNCEQYDDGNKQKDTDDELSLHLPRIENDNEDVIN LECNQEQSEKVIQRTLQEEFEECLSDEEQSIYLISSLFI >CAK57072 pep:novel supercontig:GCA_000165425.1:CT867990:10009:10356:1 gene:GSPATT00028106001 transcript:CAK57072 MDWISNLQSLGLKQQKYSLYKELEVMIKYQKSNENDQIDETNQIKLLNDSFSTKIDNKLD SFKQYQEYQKCQQILQELSSQFYQQSIYVQIQLLNLEILNFKEVELKCIKLLNLG >CAK57073 pep:novel supercontig:GCA_000165425.1:CT867990:11122:12017:-1 gene:GSPATT00028107001 transcript:CAK57073 MNFSQSQHILQTSTALPRFIEVQAQVFITSQRSDWEYLLPNGFMEKVSIITTTGIVSLLK GFKLRAYAVSTYPLYGISVDWVAFDDPRLKVVTFESTDFQALTSGSGSRSVQFIIEHLLQ DATKSIIALIGIRHTYYNFIVELKIEQLNSKTVIVSANTYTLAQLNYIKFNILLGTDQSL WTSPELSFTLDMNENHPFISRSYYNAEVTQTILFLQEQFNDIASATPLIAKRGYDVDQRW NPCQFLNVSLTNQVIKVVLGLLSILKGYHIYKSTDKIGK >CAK57074 pep:novel supercontig:GCA_000165425.1:CT867990:14629:15886:1 gene:GSPATT00028108001 transcript:CAK57074 MKRILLTVLISVSLGLPVQPKLDEQKVDELKNGKLSKFILTFAQLSSMLERPLVDLDFAV QDLSEDLKEMQEEVSQDFFQRSAQHKKIIDDLDVLLGNAQIEISNSINLVENVLKNQLVQ LNNKQSSLQDFVSQNRVAIQREDLMHQTNSKQYEEKITDHQTALKILDKALDIVQKFISG ELDINQRDPTQRDVQELTGCLNKRYGNYPLVAALIESVPTFENMKQLKKIRQKLVKVKQA VQDQYNEDLFHDKTQTSLYEKRKAQLEKEHSIFQQQIADNMFSINTIQQKIKVEEDFQDM RRDDVKRYAQQRQDENEAFAYEIAIFQDLKNMYLTEQQLGEQAINFINTKQFSDLIRSNL DIALRNK >CAK57075 pep:novel supercontig:GCA_000165425.1:CT867990:15892:16326:-1 gene:GSPATT00028109001 transcript:CAK57075 MIEERMIKCEKQFPAILESSHNIQKNYPQPRQTKRGSGYLKKEEIKSEQVQSLAAQKKKS LQLRTSKCSQQDLKKGGQFGKNQPEKILSHTGHTLNEAKFLISWKHLGSTKPSDSYHPYS LIIQHNPEILLNYFEENDQYIIIE >CAK57076 pep:novel supercontig:GCA_000165425.1:CT867990:16477:17489:1 gene:GSPATT00028110001 transcript:CAK57076 MAERIVFNNQAAQHIQAYIDYCNVVGDNGGPLLSEKEYEALKKKQQENIKNRVYATWKNS KGMECKQIGPASMCFCGHRFKEHEYMMPKNKKVVCKNKQCSCPQFNYIPIFGSQDLKCVC HHSYTEHDPITKKCTKGQCGCNTRFQSSWLCTCGLKYNDHVTIIETRDERLAQGKPVDDI RPDGSAIPYMPGGVASFQALVDGADGYQAYIDELGGQKLALGYQQQKAIGDDPKKQKLQN LNKKFANQQQQQQQQQQQMDQLDQFVNENSGNQVVSKDSLLHLFNTPHIYGRAQIGYKKY >CAK57077 pep:novel supercontig:GCA_000165425.1:CT867990:17501:18147:-1 gene:GSPATT00028111001 transcript:CAK57077 MSFLGKSQKNRSELPKDFAEQILKNDITLMMSKYQDSMALNNLIQLYMKGVEYYESQKDQ KSEYFKSKLNWIMSHPIIISPKKQEEQLDKEQTKKIDFKIHTNFEQIQHYEDVTSFENPT EKSEIDTQLNKAIIPIQNNEVKQMIDNLKMETTKINQIIQNDLDSQKTKIADRIHKRSKS FVNKNKYDQDE >CAK57078 pep:novel supercontig:GCA_000165425.1:CT867990:18206:20079:-1 gene:GSPATT00028112001 transcript:CAK57078 MSEQKVISIFDFGKTRNKFWQTCDKSVLDSQTFLVEQEFLTAGKVSVKPIFIVLGLEYIY KVTVDKLQCAPLLTTHLTYIEPGADHFVQLNSDEQLYGFRLQNQTKTLEIFLADKSVYDQ WRIHLRKICLLENFHDAYMVSKLIGKGSFAKVYLATRKDNNTQYAIKAFSKSFMQQQHKG IESLLNEMKVMRKLNHPNIVKLHEVHETANSVYFVVDIVAGGELLQRVRETGFLPAETLQ RLAYNLLSALNHMHQFNIAHRDLKPENLLLKSYENNHEIILADFGLAALLQDDNILFKRC GTPGFVAPEILEYIDGQQFYDEKCDVFSAGIILYLLITGGQPFTGKDQKAILKANKDCII DFDDSLFKSAPIQMQDLIRSMLLKKAQDRLSSSECLRHPYFKELAKEHQVKQEKFSNNLN DYNQQYKNNVKMGSIDLNLEQRQPAFTGNLNSIESISCVSNNSFAKIEMKAPSVVGASKF SQYSSKLNRLGSRDISDIPTTQLQKTESKKHIDLHRIAIQNSHRKQFQDQFEDQPIQIEG SEVSEMARLYNSTRQIRIPENLPTLSQSSKQASTPTNRNKKIP >CAK57079 pep:novel supercontig:GCA_000165425.1:CT867990:20131:21566:-1 gene:GSPATT00028113001 transcript:CAK57079 MKNSLDQFYEMKIDDVDNQLIQEESSNQSRQKQSIQSERNSRSQYSGDQEYRLESADQIN SIFKPEVKLQIPQNQGKSIYREKIESLLEKRTSNNNSVSPSITPRSSKSQQKLERKRSQN LQNETSNEIGDPTQVKLAKNRESAKNSRERKKIYQQLLEKQVAELQEENEKLKDICKNQA HSMEIVNKKTQKFQTFLEQQQQMFEKLELCIIKKVSDDEIGIIMDALRYRIQSNSKERND TARVYFDSIAEILLPMQVKYLLYACSHSKDMFANSDQYYIFYLLRDYTDWMKGGFENTNV KFENLTKLKKFQMKVQQLKSNISGSLDKIKNEIKSIQDQASKLDQVWDSLKSVLNPLQLS TMICSLYHNLYRNELQTSTLFDQLRNSQAEEDDFQFKIEEEINFGINKMVKRC >CAK57080 pep:novel supercontig:GCA_000165425.1:CT867990:21923:22571:-1 gene:GSPATT00028114001 transcript:CAK57080 MFPFAFIPQDLQSSFNFCQPLYQFPWMYPYSQYGNFSANYLPQFPRINCSFQQPEIHSQP NQITTPQIQGKSSKTFQRSFQNEPIETPTSIDHNQSINMPVPILNKKKKKKSTKSKFFKK GMLLVLTEKGHWTNKEHRLYIQFIESHKEIMSDSDQKKMNKIFKQMSDFLKTRSASQCRS HHQKFNPYELTGLSAVKNSSEFKIVNE >CAK57081 pep:novel supercontig:GCA_000165425.1:CT867990:22975:23268:-1 gene:GSPATT00028115001 transcript:CAK57081 MQTIAFFKDEDLKETFANQTSMYISMQQTRIQTLQHAQLEVSKINEKSPQLRKQAEMNFD MAQHTITRLADDLKAIHKSINRIKELNQLIDEQKKKQ >CAK57082 pep:novel supercontig:GCA_000165425.1:CT867990:23380:23583:1 gene:GSPATT00028116001 transcript:CAK57082 MEMPLKSFDLQDTEFGQINAELEIKQMLKNLKLEVKPQNGMTRKTIRKVKLCLGANQILQ RRSAHHY >CAK57083 pep:novel supercontig:GCA_000165425.1:CT867990:24083:27154:-1 gene:GSPATT00028117001 transcript:CAK57083 MNEVQQLLLTSFSCSPHRQQAEQQLIQHLITNPQHFQQLGLLIIVQDQNSLQIATVLNAT IKLMKSNQCNIISKYLELITQLYKQTLFEIYTNLNVPYNCKYLIKSAIAVLFEEDTQYSH HFQECMFLYLKDQRSIILSIQIFRLLTDAIIYADVVDQNKYSWYIDYIEKVSKIMNQSFN LENDYLIYFNFLECFEGMLFRFFKYDQQKYLFFQNFILQNQELSKLFINIFSFQSQNHFI QCQSNSTIQNVKILTLKCYKHIIIRMLSSRNKQQLQIFPIYQQLNQLILFLIQSLQITIS EEVTVIILEILSTTINQIEFYNIYQNYYQQLIVTHLYSYLVYSSKQLSLLYNEPLDFIQQ ELSFDSQNKLQSLHNQTLDLIRALITHIDGAYSFLSSSAFVLFKYSINEILSNQLFIITE QEFNHIKLLQNNSIFYNYDSKQRLQISLIILIIIQPNLNTRYDIQEYLAIIIQNSYQVVL QCQDELIQILFTKLIGESYSILKSTVSQLFYKQFLENIVQLEQFNKLALFYQQISTINLI ISSDELPGFVEKNKLFDEIIQKFSSQISCTCDIRILQMIEEWVSTYQFQQNTIQLIAQHL AQRIYQEQTNIYNNQINREIFIELSWNILHQIANHYNYFDYLLSVENQIVQLYKQVKEEE SVQYTEKMIPFVSIIIQKLKRVTMDQISLLPKFERILQSQNYSFQSLFELLNNYMYYGRE YFINETTQSIYFHLALSNIVSNLNSTLDKCEGALLIQLGIQCLRNDLNENILRQIFDHSY QIIKQSNTDELLASRIKCIFLSALLTIKSLSEKIIGTNQMQGIQQELYEMNYSAGYDAKL FIIYYSNAILQNPEILLTTGCNIVSMLSYQLEQQKEEKFENFDGCSSSGSEADDHCYENF TEEKRTLEEQITKFKSGYVSVDEFETFKQAIYQLKAHHPNIIAQFKQGLHFFTSKKLQQM LSVTRAQYEQPRQMIKIAKRKNH >CAK57084 pep:novel supercontig:GCA_000165425.1:CT867990:27798:29056:1 gene:GSPATT00028119001 transcript:CAK57084 MNSRSTHLTEYAIKEIISEKFTSWKSVSPSNICISRLTGLTNITYKAQAMIDTTPQTILF REFGNAEGFVDSQQERIIFKTISDMDLGPQQLECGNSWRMEEFVKDGVHPNNQKMAEADF QFKSMGVLQKFHQMEIPIPNNGQSSIINKTLSGEMKANVIKKIEKRGLYTDVELEQLECI ENFINNEEEFNYLNEMTQKENNEELKFCHNDLNQLNIFNTSKKDKEIIFIDYEYCSYNYP SYDIANFLNESAINYQHEEEPYYVLVDDNFNTAPIQAHFLALSYIINKECTQLEIDQIQR LINEKTNKNKDELKTFIQLVKQILEQRLSEQEIVELFKAISYLKRRIRRLQILSNLNWVW WSILLAHDKNSLNFEYIDYGFLRFKMLEKLLELEKARKNTQ >CAK57085 pep:novel supercontig:GCA_000165425.1:CT867990:29122:30547:1 gene:GSPATT00028120001 transcript:CAK57085 MQSIEKEEIPYFKFCQKLGGVKMKDIYISPTKAATFLHLINNKCPKQTALQFAQRRICKW DTTIKMDGPSTCRILKPKDNRDKRINGWQNIGMEMYYDNKATVADKNQLELDFDNDAIQK QIEKNEMKLKNDFKNKIVHLQQFYRDLNQKYSFNSNDPDFKSKIKKAKFDLLNSRNLPVK YFKAKGMKYIEIYNELNKKMANDSKDGLLKRLRVFNQLRTDQYQVKEKSMADLYKKKENY SPTHKELQHDVKIYDNMEEQSEQMLKIIDQSNEFSMKLKQKDQKIGVSQKAIVFAEDFNR TKYIFNLTKQNQMQKMFEIPEMPQLLIPQSSSSIRLKKNLSSPMSMDEQFDSCLNQYAHE VLEYQQEMLDKEISTDNIEKLLQEKESLRCSQMRKVSKTYHSPQPSSRLDSSKQMRNQQL SSRDRESTKAGNSFHLKSRTYAVSPFSK >CAK57086 pep:novel supercontig:GCA_000165425.1:CT867990:30657:33234:1 gene:GSPATT00028121001 transcript:CAK57086 MQQRARSLIARQYYKNKYGPQLIEDDNVLDVQIGDDNTLQARQGLVITINSDDEGPKQKP SLPNFSETVVKKQECTLQKFEDRNSTSQLQLTLQQQLQTQTDVYKQQQNQVSNTLDSMSQ LQKSLSQIKIQQPQPSQTVSNHQQQPQHQKQQQVRQPITKGFGEQNQKNNEKPKQKVISI PDQIQTKMNIESDEEEVQMNKVPRKQVRPNEDETLPQIHFKKKTDVFSQLKQKITQPLNE NTALQIQYKYKQRDEQSPDVEPPQNVAPVSYQDFIAMKNREINAAKQRKQDEEYRKKSGL PEKIREGETLHQYQQRMIDYLHRQNKYKNKQKYKRGTDLDNKKTAEEKQKISELMKMIDP NFQQDDIDDKPIKPDLLNYDVLLKNGLILKQAFVKPPEPDINPMLNVYKRGRYATEFIFS GGIDMDDGPYGQQTKKKPKKLPFPKHRLSLSPWEYYGVGPEEYFATRNPNFEFKQKYVPV KDYYNEMPRPKQNPKYFCYDVDQLLAKRYQSIHQEYQYQTSFIGYKQKHWTPASHLKAVC PSLVLEYEKYERDRLFCYVVCAKVSKYQLIETVGILTSKYTKNKIENLVMTYWKGDSKGE KKTISNLEKQVPQEQMKQTDEMELFRDDEEEENEKKKKISKEQRHLEDEWDPTKDEATER GRRNKLRKEKFQRIAEELKLKQQIQPDKNKKKSKKEGEGENNNNMEEENQAKDDNQPVQS KKEQKKQKRNRKKKQEQSKQVVEQEANQFEQYFQQEQQNDNEEVQSKQDSEEQVFYDNED LVFKAKMVEFNQKRFNFTGTDVDLQESMKLVFPNNQQSKLDSERYKQFMQK >CAK57087 pep:novel supercontig:GCA_000165425.1:CT867990:33264:34860:-1 gene:GSPATT00028122001 transcript:CAK57087 MKSSLKKQQIVWARTKGHPWWPGIITKVIDGNQYAINFIGDDSQQGSILMSDNLFDFQEK FEEFNDKVKKNKKLKEAIQTAQKLQRPPFSQLEKQKKKHSDSEQEEKKKGIIIKRNLRKP FKKPETPNKDNVQKSPLQETPQMQQKKQHLDREFQDLLLLLIAAQLNYDQIKQKLTPILD TIEAQVAQNNTITEVLSERKGSILNAVYLILKHQSEQNPDHFFQRDIHQQITRLHELISK LKNSLLNQFFNASNIIQNLSEVCINSNRSQVFTNIVNQDKIIEKKTSQVSQKQTGEKTKK QKKKDKTENKIQINEGNAQITDNITVQNNNNNNSNNTNQQKKEQVSNEEQKIEEKKNNIE QTKISKQDVGGQKKVQKKNEIPDQALRRKVCLNMLELVQLLGMEEEEAKKITIKIESLGR EADPLMRNKYAKLMWHCIVNLDSKLLKREELLNLIDENADQSDVWNKLTLQPIDRTRKLI HI >CAK57088 pep:novel supercontig:GCA_000165425.1:CT867990:34905:35887:-1 gene:GSPATT00028123001 transcript:CAK57088 MQNKFLHHITHLQAENSKPSESHWLLITGIIVGSLVGIIGLVYGYLKYRQYKVYQEYSQR PEDGIPKEKIGFAQRNKFRFWKLYENKEEPNDQVKQQLKENYYGQYIELRFLCKPGDQCT IRAEKPVARDSTSYFEIEIEDNPRKSDVILGFCSAKEFQKELSLGRSQTSVGFHSNTGQV YWRNALHQDHKFQAVYGETIGIGIRQSDGRVWLSYNGKFLNPPPASEGKNQEELELEKKK EEGESEIDIDFIDLNNRNKEIEEKRKALILSMGENQELYPAISVNGPCKINLNVGSMQFR MNQKELKHGLLH >CAK57089 pep:novel supercontig:GCA_000165425.1:CT867990:36391:36600:1 gene:GSPATT00028124001 transcript:CAK57089 MQLKQESFDSDLTEYLQIEQEFEIKSLFKQLKIQNQQLSDRKSKKIKKLKLSIQTNSIIQ RRTLNLNQI >CAK57090 pep:novel supercontig:GCA_000165425.1:CT867990:37363:38672:1 gene:GSPATT00028125001 transcript:CAK57090 MKQEEDKDFDTLASIWMHMKQVRDFGIIRAEGDQKLLNSQLGQQQVKYNPKSVSIQIQKQ QEELFQKNTKLIDAQQKINELEEINYKQQKEIEILKQEKELILQKFEMIEDVIDSLQMDK SSNRLIRLENDQLKQDITRLLGLLKQTKEFNDIQIENNQHYIQAEFQQQKSKVLENGNKK LEVQVQVKEEIFWIPQKVIDIINNIDMSCSQKNELLICLNSTFYEHYTAKVNKLKQLTDS EINNLKRQLNSRIPYEGIMTQKKTERNLKVQFSDQKSESKKSKETINRNLFQKVDEQLKV SKVIDKSQIFMEGATWIMEKINNEIGSYEMGVQALNQELSLKIDTLIDQEDLAYKAIINK IFKWITDASEEQIYSLKEKLNCIMESTQSRATTRRTFQMTENAFN >CAK57091 pep:novel supercontig:GCA_000165425.1:CT867990:38685:39535:-1 gene:GSPATT00028126001 transcript:CAK57091 MQIDTRDKNQRESKFLQVLYEILDNSNQNIVQWSNQGFFISSVQTFKTNLLPQYFKHNKY SSFLRQLNKYGFQIKEKQKDTVKFIHDIINQDKRELKKQQLIKKQENTLNLRDEIVDMRQ ELIKLQKQQTILKQQMEISKKLMSYLFKSMTRFINVQKSHTNQVTASTIDYSKAFSTMLL SNLSKAIPNVSGDLLQVQLITILKLALTWSCQNSLSRFLYINFCYSDSKLIWNSNTILYL YAIRIIVSKGKLQQQMICNIKQKQ >CAK57092 pep:novel supercontig:GCA_000165425.1:CT867990:39594:40390:-1 gene:GSPATT00028127001 transcript:CAK57092 MKELQKEFENRGEKQRQKFLRHLYGMLDVLISNIRQNPKNEQIITWCEHGFVIQNVDEFK TQLLPTNFKHNNYQSFMRQLNKYGFKIKSKENQKAYFTHPTIREQKRETKRVLTIKKNSQ KLDYKNELKILKAQLDDLKEGQRILNKQFQLSIKIMMKLQSHYARLNMMTLHTVGFANIF GNLMYTNHKKIWGNIGGDLFQFQLNSIVQGFPELLDTKLQTPIMSNLGTPLPFYQFFGQM KEFTNLLLQ >CAK57093 pep:novel supercontig:GCA_000165425.1:CT867990:40464:41167:-1 gene:GSPATT00028128001 transcript:CAK57093 MTEFIGCPPENEHSQLNIIEFNVQYKILFDKFSLLDYQIFIIKYQMSETKVPTFLMKLKS MLNDEANGHIISWDEKGSKLIIHQIKLFKEIVLPQYFKQPNYSSFQKQMNNYGFKNFRIS AKSTEFYNENWTSDYQQIDKIKRRKTEFVLDTDSSFLSQLKILQSSQNQLMENLQHIDYK QRILSQIIYELHLKSQKYLELFQQLLELQL >CAK57094 pep:novel supercontig:GCA_000165425.1:CT867990:42244:43871:1 gene:GSPATT00028129001 transcript:CAK57094 MNTQYQGFFTQKHSYDFDEFVYKSTRNQQMLVFLLMTFFALFRIVSILLTGKSFYILYGG GFLIYTIILLVISYKGKIWVKKYGNLLTCCVLSLLQIQQYQINNLEELQQNSSLNMIFLM IVYSILNLKGACFQMIVFIGLKTWIQISEKGNFSILNFVFYLLEGISIGLFVYFQQQGLN IRFSEECFQKQLFRFLPDLVQNQFMMFNLEQKSSSFHLRFQSQITSIKWDDSNAPTSNLR AFLRNTYYQDQSLEQYILSRNDPNYNNYNHYYAQNLIVHNIDNNQSSIEINYVECFLGQK YYLITFNENKYDCLESINNSLITSINRNQQLTISFLKKQLNQISTILTTKNYIQPLAKLK IHCMYFLGKFQQVNSFSQIDRKIKDINLTKLLQLMVNLYCNAYYPVQIELQSEEYYDFYI YSNEELIQTFFQILCQLLIRLKANQLRNQLIFGQDQKSSKLFYIVIKTECYQEYKMQLQN NPFFQKIQRRLCPNLDILAQHQSVKLYLYKNYQPLEEMREFEDEII >CAK57095 pep:novel supercontig:GCA_000165425.1:CT867990:45024:46317:-1 gene:GSPATT00028130001 transcript:CAK57095 MSIPKFTLSKQVRFCTLISLNCNPLCLLLNQNTKFSDIMKLIKISWRRNLKFLRLFKPDG TEVEEKDLINIKRGTTLYAELSNEDLKPNIIQQQYDIIKKIGEGGQGIVLLGKHKITKES VALKIISLKTWLGQEIDGISQEQSILNALDHKNIVKLHQSYVTQNQREITMVLEYLNGGS LLNQANSKLSEADAKLYSKQIVDAIAYCHQNSIVHCDLKLENIMLTSPNSKEIKIIDFGV SSYAGQLKLPDSVVGTLSYLAPEVLSPSYKCIQPSQDVLAVGCIIYGLVFGRLPFDGANP SETYRNIIQCNYSIPKKSVSKDLIHLLSQIFVNPPKERANIFDIQNHSWFKEQPILFKLS FRNGRSSSVTKLNSYRQQQDEDQIILVSQRKNMYHRRSVTRQDKVLFFNKIK >CAK57096 pep:novel supercontig:GCA_000165425.1:CT867990:46619:48227:-1 gene:GSPATT00028131001 transcript:CAK57096 MNKFTQTFQQQHLETLYQKEKEQYCYDNFKKTALLSFIIALIRLISFANEENIAGILITS IILSIILLMSILILKFCPSGTIFCMVFINNLLILHQIHDQQGTNQFILGTNLAIAHTSIL LIIDYKLAAINIFCQTVFKLLIAGLFDGKTDSCSVILSILCPICFVSVVYTIEKERRLLF LSNNQGNDWHQLLPSVISDPFVLFSFDHHRMCFKYKNSNKIDRFPYCTQEFNQEDNFRQF FRLQHISGVTIEQFILNRIDKKSKFFDLNQFTLRPNQYDSVDFEETNILLAELYNLDDNF LIVLEQSNQRTQYLQSTKDKLINLIGQHQSIVYNFLKKQATLINLSLQNETSRVQILYQL KLHHLYFQGKYKISNSFSQAKTQFETVSIDYKQLFLAIISLFKKAYPDVNLQFDCTDTKF DVVHYKDIPQDFIIQLLQITLRKTTLDQRSKIRIILHSKFEILFVQIICLNSFILFENLN KNSVIKLYLKLHSPDSEIRIGDNAINIQLYRDVSQLKSMNKFQDEN >CAK57097 pep:novel supercontig:GCA_000165425.1:CT867990:48539:49443:1 gene:GSPATT00028132001 transcript:CAK57097 MSRVKQSLYAQPSPSTGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDAFNEFQE QIFTRLNGLRDQLGKLQKQVEDDRQAKEQAIEAKNRDVQALTKKFENAIENEQQTKKEGE AKVLRLTEDKSALLRTEVQKETAQRIDAIEGIHQGLQNDLPKIQEAIREEANERDESDQN VMKSITDELVKLSSLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKRTREQSEEHLL SLLEDTCNKLSIAANL >CAK57098 pep:novel supercontig:GCA_000165425.1:CT867990:49664:50376:1 gene:GSPATT00028133001 transcript:CAK57098 MDYNLENQPEKSQNANMILKLNLQNSVISQMKKYILMVGSQRTIESKLLSDFIGKNEINN IVTLNKHYYFKLQGYKRLGLGSSQRELAVDCRDSKDDDGILLQKQQEQKVSHLIQQWQEL EADNEEVYLNFFMEQSQIIEILTYGIQLFNFIGIQVISYCQFILLMKYKFLLLEAHMLFN YLKHYQNYLQLHARFQQRCGINITQKLPTILNNQELLNIARYMISNC >CAK57099 pep:novel supercontig:GCA_000165425.1:CT867990:50691:51456:1 gene:GSPATT00028134001 transcript:CAK57099 MFFFQQIKVVVLDNSIFPNKKNVNPAFYFNLFDFSTQQMGSKQILHQNCGRYVKLIVNSL YFLIILNQCINLEFLMQSIKRRNSEMKDPLQPHIEWLEEYLDLDTIEITVLQSIHKLNDQ ASLDCVIYISNIINSKTEFNIVYFQYLESQDLKQNDKNCFHITHFKQTVIKNPRQYINQW LKDRGSSPFLIKFQTNQNLHHQIQPTLAQAIQIADFIKSKSVQKNIDLLYDETISFINTM HQVNVK >CAK57100 pep:novel supercontig:GCA_000165425.1:CT867990:51802:52293:1 gene:GSPATT00028135001 transcript:CAK57100 MLLPSNIKFVFPDKLKKQTYYGTRINEFFEEGLIQKTKRFKLWKRILFRIKEKFKQVLKK IAIQFIILLKKQVQKVQNATQQLNNEFRKLEGEFQRYEKTNKKTDQNFFLLIIGKQKQRR LIIIEIRNQIQNGKPKELKIQQKY >CAK57101 pep:novel supercontig:GCA_000165425.1:CT867990:52499:53953:1 gene:GSPATT00028136001 transcript:CAK57101 MNLQQWSSGFQCMLGHQQNEYMLCISPQCPQVRKLICRDYCIPYYHRNHKVMQLSQIEDF LNQYLNMKQPHLHDSIIETLEEIEILRSELNRIIDGTIDKIKRHFGRFSYQAQKYAQEFQ FVQQPERIEQLSKQIIEIATLKQDQLKIKIVKPLQSLNIGNLLKECIQKLSSFVIGNSDV NSVKPENLVGAFSNHIRTIQSDGEVWCLQPIKGGDLISGGDDNKIIIWNMNTLEKLWVSK KHRAIITSLAITSDSKVVVMGSSKGYIKFLDMETKKFIKKLKGHDDHVSSLHFNNQNNSL LSSGWDANLVMWNIDQKDIVMKQFKKEHQAKIYCVQYSNDNQIIASCSLDKTIRLWDIHG LAQIGQPLQGHSEKVDWIQFTPQYLISCSQSEKNIIVWDYMHRQQIWNFIPGNDPHRFVV SPDGKLLACIRKEIRVFEISTRQQIGESIPGHQYFALSFNYSLDGRFLVSGCQDKTIRIY GIPK >CAK57102 pep:novel supercontig:GCA_000165425.1:CT867990:54199:56000:-1 gene:GSPATT00028137001 transcript:CAK57102 MDYEQVMNDKKLREQLEGGETIVLTMKVIKFTGQNKKLPRVIAITNKNIYNISPAEGSAV KNFFQNLVQKSRIKRKIALSAIIGITISKIVKEFILHIPTEYDQRYQVDDQLALIIQTLC EVYIKHNLKKIKCFFIEEMNLSQYTTTNYDIKKNIRRQLPKQGSEMTVDEVKMAMQASRG NVQTLYQKSNSPEISIEDFTLIKMLGRGAFGKVMLCEKKDTKEIFAIKSLRKEDIISRDH IEYLKTERKILEQTQHPFLVSLEYAFITQECVYFVMKFMIGGELYTHLQKINKFNEEFAL FYSSQVLLALEYLHKQGIIYRDLKPENILMDEKGYVALTDYGLAKFLSKGQVTQSIVGTP EYLAPEVITQQGHAFTADWWCLGILIYEMLCGKTPFFSENRNQMFRNIVESELKFPSTLH ITPECKSLLTSLLKKKPNERLGNKGDAEEIKKHAWFKRMDFQRLISKEIQAPIIPDLQSA TDLSNFNPQILDEKIEEGEPQGTTNTQALKKFDQEFYGLNYKKE >CAK57103 pep:novel supercontig:GCA_000165425.1:CT867990:56153:56738:-1 gene:GSPATT00028138001 transcript:CAK57103 MSLIVLLTLFVFGYCDQKEAGLQKVKVQVATVLDGYYKEFDGVLCYSEKYNQFVIYHERS KAKNLKELFPEADFFLQFGMNGNAVGLSLQNFVPQLIINEDQYKSVVFTVKPEVKKGTTL KVAFVHADYEGEQERFIDLMENGLSAYTPISETQLDFAAMQFEHKFIQYVKKMHDEL >CAK57104 pep:novel supercontig:GCA_000165425.1:CT867990:57460:58920:1 gene:GSPATT00028139001 transcript:CAK57104 MNKYTLIFKDKNIEKNYQDQKTYGQNIIIGGLTISFLLRCILKIINFDWVNTGVNLGVVI VLLVLRCYSKYYFCKRLSLIIANCGLSILTFMYEEPLNNYYSHLRGGNSAFTSLIIVFSG DFPEAVFQIIFIQLAKGTFTILYSTQNEYEVLSGSVLVMIIMIYYLYFHHKAIRSQYLLT LVEQKWENVLESIIENQSYFILTFISETYQFKLASQRNCEQYFYNQDYKQFLRESQIEKS TLEHYFFEQIQLHQSNGNPTNSSIIFIKNQLSLFKLKYSIYFANQPTILIIFEPVQQSKN INLHLLYNKIILYSRILQRVITNNNNNVNFSKLQKLQRFLRLESLLYRIEKGKYAVNSIN LKKFLEKFDLIQDSNFDVLYHEIELKTIPCILGALILIIQNYSKAAIKVANQNKTISFRF YQESEQTIKLILRGNFNTQKICKLIEEYNVQFLTIINRFQIKNNHSIQITLNRQAYIPFR LTQIEE >CAK57105 pep:novel supercontig:GCA_000165425.1:CT867990:59003:60507:-1 gene:GSPATT00028140001 transcript:CAK57105 MNKFTLFFLDKSTEARYQAQSLQSIRMTHFNLLSKGYLITFIFRCLTFLLSSDFNRFYPN LSMLLFFIAAEIFLSKHNLSLRILSIIANHLLTIFFYLFDEETDVAIAHLKGVNQMGSNF LITMGSEFPEALIQVISITAIRIYFVFQQSKTLSLYPISSLILVSVFYLFFHYKYNDAMR AQFMLIQKDRQWETILRQLIDKQSYVILNFNENSFQFEFLMAKNFQNRCMKNKEEILNFF KEAQYNKKSLNDYLYDQMKEYQTKRIDIFRKEIFVKKHRELVKLEFSIFFGNQPTILLIF HKPKLQLSNIVGNINNKVLFQYLIKLLNCFNKKYKTNPSYIHFMKKIRLIEIYQNLQNSW ELQVQEINLQTVVSEQIKYFPNIILKINAKTQITFKTNKDIISLILFKIFSNTNTSLIKL RYTSPEEEENIQLRFHGNFNCKVLLSFFTFHKEHISRFAMFTNLTNNSIDLYFEKNPYIP FTGKEKQQQILDN >CAK57106 pep:novel supercontig:GCA_000165425.1:CT867990:60992:62175:-1 gene:GSPATT00028141001 transcript:CAK57106 MKLSFSECSLLSSVKNGDLGLITHLIEELAKSVSTFLQIQIKSDNNFRQQLYTFTFQFII QALQGQSIDPQIASNPPQQQNIIVEIIKAIKEFKIELFDLAAQNPNMSFFDFLVTQQFND LYDILTASEKQLNGQLEPITPKKLKQEMPSKLFSELYYQNDIVKRRSMSASHSTSQKLLQ QNNIELDFALLQQFVYNQIEHKQDSPKAKNKQNPQLFSFDSTFVRDLPLSKYNAISNFDE QFNFTLQKSQEDAIQTSRFESQRIDEEEYFGTDQSSSDINLTLDRSYRDWDVRFRQLAEK EKQVAQRELKTIIQEKHLLNRTRSQRMISPNSKEQDHDLMNRPNHESKPFLDAKSTLKFK QKQKKTSINERPDVFII >CAK57107 pep:novel supercontig:GCA_000165425.1:CT867990:62312:64104:1 gene:GSPATT00028142001 transcript:CAK57107 MKSDSLANYQIKNPIGRGAGSFVCKIVNRLTKVEMAAKIIQKTVQNAQKIQNEVTIHQEL HHKNIVHLIDIFEDADNSYLIMELCDSDIYQLVKKEPFTEQQIRYYGRELAEGLKYLHTH NIIHRDIKLGNLLIQNDTLKIADFGLAVKLNNDEEERNTLCGTPNYISPEIINQQPYGKK VDLWSMGCCLYAMAKGHGPFEEKNAPLGEVLRRVKIGDFDLPKDFSEVFKDLIINLLNLD ADQRYSIEKIMKHPFFIESIPPKVQSRNQSSSQIKQLLDLSPFVKQQQHRSTCSMIGLEK QQIVASFIQKQQQLGGKKLLFGQTTNSKGGLKQNYHLENVPRFFLPQESHSNNTSCNHYN KENIYKENCNKENIRDNSVKLIKHNSSTINVYNESPIKLGDLKQCKLQTKNGLLQIHEDG RFQMDVCNKDLNFIIQPNGQEVLVQKKGQKPKQYRLNELPQKLQKFYTYSKQVCNAIRER NQKQRINNEHGNFALKNTKLGQCFEGYIAQSKIKIQHILNSDTIKLQMQNGSIKSININE FHELSTQARMDPSEVYSIKIALKYLPQCQQ >CAK57108 pep:novel supercontig:GCA_000165425.1:CT867990:64145:64633:1 gene:GSPATT00028143001 transcript:CAK57108 MTIYEYLLTHISCISKKFLQLKGVNLLFIKFIQKYMNFQQSQDNLICLLFLFLFIWNIFI FTPLKLINYESLLLAINFIVLFHVQPFESQIQISSYINLLNLRLFFSFIFVRKAILPKIL FLQNLYQEVLEFKTISQLQLIQNLICILFTVIRDTVFHSWSP >CAK57109 pep:novel supercontig:GCA_000165425.1:CT867990:64790:65330:-1 gene:GSPATT00028144001 transcript:CAK57109 MECNEERSSQNDQPNKLGGSKKQKKKNEYDYHRKKRRGMDGSNSKKSGQRFTEEEDRLIL QLVLNNGPKFQKIHRHFPGKTLAMVKNRYYKHLRFRWELLGQNYKHLSVPQEQLETLCEQ QKKVSNILNAEKDDLITQITSRTTLLSNARMLVEYIVEQLL >CAK57110 pep:novel supercontig:GCA_000165425.1:CT867990:65842:67548:1 gene:GSPATT00028145001 transcript:CAK57110 MNKLKNNVIRSIPNLHQDMKVEHGKILSAIKSNQIQETKAVKYIDLELKRKINTSIRENI TSVSSERQQPKKAEKIDGKVATIVELIKQDLLPILNNQNNRIEKLERRIKVKNDPEDDSN LCQFSIIADKKSNSVVENEIQNLSVSVDEINKNLTRLDKFVKYQFVKSKCQPSEIQENKN KMSGSQISDKYKTECSQQQMETQQTTQQIQQYLTVQLQEIKHWINKSSIQQQKEIEVKLN LMETQFYQFLYQPLEVLRQLQTNQMDFQIKIKQMEQLLNPQVDVNCIKNEINHDVNTIHN GHFYLKDSFQKVVEENLKDMQSQYCTECHTQLQFNDINQIKISQQIMHHIFDEQIKKLIE LKIDIRQCLKCQFYYINDQLCETQQNMLNQLISSLYYLYQHTIFIMGCNAQKGIKNLKSH ISTQPVEQFEESGTLCHICKVNLKQESIYLDTCGHSYHSKCMISLIEKQVDVKKQNVIKC TCGTKTTTNQIRQSTLPNKLILLNSLFRKQLEILLKQLRKKPDFNLILQQFETSAQHPDF YFFPNQNQLEYEETPF >CAK57111 pep:novel supercontig:GCA_000165425.1:CT867990:67878:68483:1 gene:GSPATT00028146001 transcript:CAK57111 MYLIFNSKWEIRKNSYKNFFSNQHEDCIQWSQDGNSILIISAELFQEKVMPFNFNSTKIS AFYRQLSQYGFKVKQNEKKQKQFHHSNFQKGNMQYNNILSIREKLIALEKREKLQSHLDY RSSLSEENKKLNNELKLLQKQQLVIQAQLNIHTKIYLQICKQIKGIYEV >CAK57112 pep:novel supercontig:GCA_000165425.1:CT867990:69200:71032:-1 gene:GSPATT00028147001 transcript:CAK57112 MELCQLLPNQFNKSLNLSSKQIIRIGKLVHNSPFLNLQHLHLSHNNISILDGIEQFKQLK SLSLSNNQIVDINELQKANKNLQYLSIHTNPLTVYDSHDVCYNLFPKLKRLDGMTVDMRI VKAQRIQAEIVSRWLVPWIQQLNIQMLNIQKVLSLRSATKEIDQQSQLRVVSNDLLLQID WQFIDRMLPFLNSSSLQNLSKLLQSISYSQELDQAQTKEMKKIHHETFQDIIKLQSSNHY AAFIDYLIRLNDGDKTNYYKVFLKIQKPQLHISSDLYPKDNDLIPQEEPHKIAQTVPTFL KDKIKCQEVISNTYRKQRTIPNYEKELFFQYFPVFPFRQEYVQSILQIATLKLTELKNLV SQVAYLKQNAIQSVPQSVRTLRRKQKPFQRVSSEEPAPQKLLLRPSKFEISPREEEQVIN IEEEKTITKSYSDVKGEILRQQKAQSLVSTLNKVFQKRIKRYFRRINNVEQENTRFLDYP QVVYLSSLFYAMKRVLEQNRQKRQRQQKRKLVSLAFQGLKLYKYIKLRESKQINKGYQIL QKVYFKQLIDGIRCMKHLLSPFMETVKNQPFKLVLRQAPFQKNNKTIKLKNYNDENNENL KICSVCTYQF >CAK57113 pep:novel supercontig:GCA_000165425.1:CT867990:71097:73585:1 gene:GSPATT00028148001 transcript:CAK57113 MNFSLKQRRTQSSEPLFDEEVFGELKNEKDFEMRLQQLSEIQHIHQMTQSSGISMSSGRS KAGKHQMVESYAYLIQEMVHNKELQQFCHQFGFSIQELEQYSSIEERQNFVIRAMCKHIK EQMQSLLSKVELRMHSYRVDEWNELQRMNKQNINIFEWLNVKSKYEHLRKKLQYALIDSI TATQAKQQQEKRNRTFSQSNASQISRTSTTCATSTRRRQSSISEVITVNPKVQLVSQYKD FKTQWNEDLEKQYRLKGRSHKVLGLYPVIQKAILDNVGQSVWNQQRDRLLTKYTEGGCLT EEEVKFIATTSQLLNSCSDKEFLQKTISHLDKNIIDEIEFNIAECILATYTNISNEVKSI RQVWLKKIGANLEQQVKDTHYSVLRKMQLQKSQKELEKVKVKMVTVFKDLQRKHSLKLQQ ELDKDWYIQQQKGVNKVILKFKEKLMKKVKKYRNEKNLGITHDFITRNIPKQESLLFKIN KEMKSTEFVQRLFHPPSKLIVKNPGSQTERAIKEEQKYKVRSSSTKRQSEFKRYLSGGPQ TERQFVSKALVDQNQQKISGHKNPHEWITSEIEVTAINKIKAAIKAYLQRKKYQKQKQLK QEQEELKKNVLASFVKKNPERIERIELEVNNVIKSLTLNKQKSQSSIYSSKQRIQSDMKL KTRKLFQAAKKRCLNIAIQSGFMYTTEDANQKDELGRTPLSYAAENGDEAICNYLLKIGS NINIPSFDGMTPMHFVFKSNSVSLIMRFIQLGGNLNKMNTDGLTPVAFCNHETLKKLNLN QMISSSLKLGSFDNHSILNKKYPQHSDIIEALEFSGNFSKLQ >CAK57114 pep:novel supercontig:GCA_000165425.1:CT867990:73624:75069:-1 gene:GSPATT00028149001 transcript:CAK57114 MNNFTLKFNNPELELQYQEEKIQKIRKRVFYLFMALGLILNISKVILDIVEFKPFTKYIN YTLIGIIIVLVPVSIKWPIHIRYTLMVANISTALLQMNFTDTTSPQMYYSYGSNFTQFQT CAYFVSDFLDSVVQVICHTLIKSSITIYQTESVDPQDILMSVGAAIIISMVIYISDSHSR KEFLQNVCENIWCQQLPEIIKKPYFQITYNKNLLQYNVLSWNEVERFPSYCDQMCQGCNV RSFLRMYKSDDKELGYQLQELITGTINVHNGKYKFKIRIINIGVDYTHKIIILDNTLTQN TDLTLMMSIKKSMSFYIKQSSNKSCQVFFNWGMMSLLLINDFTIQEIQLYKLIKKLNAIY QKQKQFDFFVKGDQTIVFTGYINLIRIYMIQVYQILTQIYEHQEKKRKRHSENKPIPIFL SIYKETDTICIQLPPTLNYLLFICKYTKNIFISQIEQTILAGPIQNDLKINFNTCVPYKK I >CAK57115 pep:novel supercontig:GCA_000165425.1:CT867990:75580:77022:-1 gene:GSPATT00028150001 transcript:CAK57115 MIKVQALTEYGPQIFEIESNFHYVRDLVERCAQKLKKQNVRLYYDKDCQREIGSDQRIEQ AGINQIIFVKIIENEFEQSSQYGDVVCWFIRDGVELEQGVQLRSDFTFEEVLDDAISQNI IQSMPQQIKVTNHRQSQILATLMEMQMFKLIDPNTRDPQLTIHFTTDGKDPIVENTQFNQ QFPQKFPVFQGPRKEFQPNISRNQNFQQQLPNQQQQSFQQFQQFSNNTTFQPQPLNATAM QNKGQIHNTSFQPQLNFPQQKPQNFNNNMQQSQQILQSNIIGQSAIISQMQNNKPFQMNQ PNSNQKPQQGQQPGFPPTFTPNTIQPEKSPNQSLQKNQNNPFMPPPKNPNLGLPNQNNPL QQQFQNNYQQQHLESEAIKSLEQMAQKTVDSTFILGNFVFTIDQQGGYVSYKHINNKITG YFIEPKGKEISLKNENNLKWQTRLGVQIALNDNLGFVIKWKNNTYNSILMNFL >CAK57116 pep:novel supercontig:GCA_000165425.1:CT867990:77490:78893:1 gene:GSPATT00028151001 transcript:CAK57116 MNFITLRFKDQILEKQYQELKTKSIRKPIYFGILSFCFVLNVVKIMKDLIQHEQWQQLYI NYIFLAFMMLSIILIVQNQNFVKQALVISNLLSGLLQMNFDEQQTTKQEYYSFGSSFAQL QAASYFVSDFLDGIIQVGGHLIMKILITSLSTHKIEVMCTSFAITGSVFILVTIYICDCS SRKQFLSTVCENIWDQQLPNLIKKPFIKLSYQDKVINILQSHLIQLFPNFSQEQCDGCNG RQFLRECQIDKVSLSDCILKQSDILNKSIKANYKCHRFDLRVCRYGVEDMNLLVILEKII TTAQTKVFPLQVREDLNRQIRINRQDYLRFYNWGLMSLVLLNNHEIKEIKLFQLIKRLNK SYCKYLIPIQLFTKSTELQLNTYANLLRIYLIQIYHILIELYSKSYRIRIQVKECEEYIE LHILINSSMFHTLYQNNVFIQNIQRSILYEPITKDLRIHLSKQLSFK >CAK57117 pep:novel supercontig:GCA_000165425.1:CT867990:79020:79770:-1 gene:GSPATT00028152001 transcript:CAK57117 MQKTSKFILDLYNILEVIQYYLRQCEDFDTIINWADCCKMFYISDTKKFEDIVMKQYFKT TNIQSFYRQLRIYGFKIKRNSNCQKVFHHIYFAKGQQQNLSKIQKGKSLTDQIQGEMYNV NQTMKENKELKQQLNLMIQFQKRLVKQFEIHQTIQKAIIDKMMKLSNLLCNERIHQTILS EKKIENFVNLIDGFQPELLVRIIRFLLKRIDPKTPINEIQYSPTPFPFNAQI >CAK57118 pep:novel supercontig:GCA_000165425.1:CT867990:81005:81636:-1 gene:GSPATT00028153001 transcript:CAK57118 MNIIKVYINLYQNFFKKFYQRFKIMGKQPTQGQKKTKDQISKAASQRSNQTKKKWTKGRA KDKLNNAVFLDNTSYKQIETQLPKMGALITVSTVSDKFKVNGSLARRCIRHFAKSGLLVP AGDQNSKQYIFTVNAQVVAAQKAAAAAAAADKPQAQKKAPAPKKQ >CAK57119 pep:novel supercontig:GCA_000165425.1:CT867990:82141:85399:1 gene:GSPATT00028154001 transcript:CAK57119 MNDNFIDEENEGHIQSNRKKHYNQLLSTCQKVSIGCLSLCGLTIFILSFFIPSFVENMIN GKVPDQVILTESNSGLWAKLPGDSQVDLMRVLTVYEPTNLLDVIFRGQKPQFIEHEKVYS LIQVQEFTNRTYSEDGKEVTSNRYVTFQEKNAEEGTKEYSVVNPGLFGSWYLGTHLPQPK LTWMTIGQVMQNLQEQLVLNIYYQGFHYQFVKDEASCNALLKMINDEQKRKDMFYDHFMG LGNPDTMENWVRLGLEGHNFGPAGQLLRDYFDLNQELVNDIRKAVSEQIKAINVSAMANS YNCNPYGQDPDQKKYKYDCDGKYFTALQWQSSGISANPPPGLGILPTDSVNFTNNTLHDY IEIAYYYKGGEFEADYGDQTFDLDWAYQFLNRNYDYPVGGYMKDENLLQHKGNVEFIYEV GAKFDKSKNLEDLKPIQERFQIKSLELAHVVYRWVQQMGVNFSFRRNLGGKLEHGGIGLF ASESIYYHFRNVSEYLLPYLLSSEMIFKQKWKDCKTMFKSSIGNIDDKQAESICKSMGYQ LNVNHFMTIQQLCTFGVYGAQLQEFGKQHSLTQNQIFEICSEKGSTDQSFQDAFQLVHAE LKEKYNCQYTHCSKQEIAIKQFARCEITLNPPPSLTKQKSIHYWNKKQFPRPFEYGYFMT EYKDIFTKEPPQMTDFQAKKLLHFKGIFNPLAITSAFIYEKNHPAFYLKFIDIYQVREYE HITQYLRFAAIQGVMGGLATTRTPKELFFGYSEPLGEELKNNDPAGNGDPSTNSWVMLGD PNMTIDDSYNYPQVLFTGKDNLTMTRYLKSMNRYDYAIYNYSYFDGNKTFTKWENPWNEK EYITSSTDGITFKPFLEKEDMIRLYVPQLYRVLELKSTSSGPKIHGLDTIHFELSMDNLK IDPKYNNQWNGTINMEKPFNAPAVVSLPHFYQSQSNLSDLVTIVNKDGHVITANDYDKIY ANIEKYSGAPLQAVIQFMISMKIQKDELFVNVKEDMVLPLITLYNSGNFTEKGVKANFGE LKTGLSTINWGWYLVMTVGIIMILGAVLIVVIAKYKKQKVESVISEL >CAK57120 pep:novel supercontig:GCA_000165425.1:CT867990:85707:87589:-1 gene:GSPATT00028155001 transcript:CAK57120 MFYNRIPKPLPILLGLPDDPKPKFIWMKLGEEQQTMIQGRLSKRTFTSNPQQHFKSSKGN ISYRTSVVNSDQLQGAQSINNSTNLIYYEDFNIDVKESICKQNYLPIKNVSELQESLKNL KYRYDANHPRDYEIFGGFEGCNVQEIYYCKHDTVKIVLKSDTNSHGFCKWFSLGLKIYAF KEMNIRVNIINLRAQEKNRDVYVQRLSDNHEFFVPSHYSLTNIKRKEGHFAQCSFEIKSS YESYIISFQKPFTFNQLRENGQYGQLGLSKLQYPIYYWVQKQNGPLTLIVARIRPVDANT SYLAEELIKQLKQTKLNVIVVPMLNPDGVVLGNSRCNLSGKDLSSNYQFTNPTQCPEIKS LLGIENVQIAIELRMHEKPHIVSSIKFNCGLPQRKRNLEIHDISSKYQKYVKIFISQNQV NYEEQAQLIIKALQSIHQQNAENISYKSETDHEDDILDTFFYNPQIKEATARQSQPLYQQ SLNPQTQLQELLQNINPSIKEQESVNQLRNLEWKELTHRSELSNGKIKTQITPSKEIHNS FFDKQLIKRKSNYHQHSESHSQSQQDKIVLKSPFLPSREQIFEKYTNLSRSPNNKRKTLS QAKLQTLTQYQVFKYNRQK >CAK57121 pep:novel supercontig:GCA_000165425.1:CT867990:88384:90027:1 gene:GSPATT00028156001 transcript:CAK57121 MGVCQTKKLNTKLDSQRVKTESKTKIDLSGTQETELKKSLHQHQEKQANTETICIQNLDC TIPASQRGLAFTMPKLLNSPQSLNNPLLESTSASKKYTLIGQHKSNYQLQILQNNKTGML VQMQNIPKHIEGNEKYINQLQKISIDHPNILRIIEIYQDKSNYQVISEYFNGSNLSDLII GEAKVSKPQVAQIYEQIISAMSYLHQKNIVHGNLTLKSFQYMNLNNRLVVKLTNLKTIYC KDLTNIEVIKLLPPECLYRQEQFTKERDIWTVGLIGLILRKGKLPYEIPQNTTQQIMIQI IKDHKFNFQHKKDQKFKHFLETVLSKYPQERLDFDKLRKHEFIKFYSKKKANTKVQLLKN FLTNKPCRPIQQLMLSYFGQEFNIEEYFAAQKLYIEANTNNDGSLSKQELIKLFYNYKIN KENIAQKIDDIFQQFEINDQMGMDQNKFVSLTLSRSILLTQENIETCFAIFSFNKSDIYL KGLKRHLQCETVDIKSEFASMTDDLNSLNQQQFESMMKLLK >CAK57122 pep:novel supercontig:GCA_000165425.1:CT867990:90567:91852:-1 gene:GSPATT00028157001 transcript:CAK57122 MFGIIRRNNQTGNQANLKQFILRSPITKCFTNLRAKTILYIYISCSMLIILASVIILFSL ITQYISETLQESSEFTFDLYSKRQQDIAKQSIKEFWVTFIHSISQPLISLHRLHNYYSLL DDLKVSSKETNQNCYHQPLIQIEIPCICHLNESNINSGHDNHKNTIRNLQQFQTALPTQM FGVQQYIGFFEYSQAELFQYPCLDFGNQRVYDPKSRPWYIEAQKAYNLSKFTQYSVRLTG HYRTIPFNDIRFSIALPLINFKKSMIGAIRAHISSKVLQETIYKTSNKTNLILTTNDGML LVSNLLNNSEYELGKDYFFNETITGFNIEDWRSLIYTNSSNCDHVDIGFICRQNKIDKQD YYMTHTPLEQYNLKIILYQTKIEYQNSTSNNTNSR >CAK57123 pep:novel supercontig:GCA_000165425.1:CT867990:93004:94245:-1 gene:GSPATT00028158001 transcript:CAK57123 MGCCEANGIRNRLEQINPFKQPRGICVIEIEDNSAPICRKPIFDDNSTQPYKSESQYYSY KTQQFRVSRVTKSPNKYDEFNTNQQIKRQQQPLIQHRVQTQQAISCPPIRQQTNQYLSNQ SFSVPVSTLVQQPQYVVNSQPQQHQPYVVYPQSNNVSFRGDQVNQIISNLNNQQQKLFKT TSKAIMKVEDEKLTNNDREVMQDIFHHLEKTSCFNSKKSSKSNLSGSIQMNSKELKKMYD QMNNQLQLLNKLIICLDNKWNLQDSKQVKLQLKEFSDKVKQLGEVTLHNSNIEQQMSDKS FRSQESSFLPRTNHTQTKEMDFSNLSEQEDSQIRQMKDIMQQYHNQTSEQSGLFQRDLNK TSFMSIKKQDSRLSSERMKENNYKMDTLINKLHHLNQSYKLLSNE >CAK57124 pep:novel supercontig:GCA_000165425.1:CT867990:94998:96150:-1 gene:GSPATT00028159001 transcript:CAK57124 MNFTVYYHDLKSKNLSFVFNFLLNQVEQLQIIQLTQIQVLVIIIKEQIFSNLGKQKLIPL SIYIIVFQSKIVIYLMVIIILCQFIKGFLIIRYNQFFLKVKKLYKNLTMQKIFTLDDKIV QIPECSICLLPLNNDLCTIVDCGHVFHKGCVASLKTSGFQQCPVCRVQMKNVCDIFYTIK IKDVQDEPKYMAYMIQIITLKEEIEKLKQSDKDHHEKVFALQKILSRKDLKIQLLRQHNK NQKVKYKDLNSIFISEHNQIIDLYRYFGNIMKNWHMNDHIPNLLKGQQSSNSQSSEQNQS ESQDEEEQQSSDQMDQFEDQQNKVAYFFKQLTSLLEDKLKQKKKAEEDKIILQSKSQKKQ CLKEIQSFEKTQRQHK >CAK57125 pep:novel supercontig:GCA_000165425.1:CT867990:96292:97079:-1 gene:GSPATT00028160001 transcript:CAK57125 MNHAYYVPSQQISYHIQQQPCQNRNPDNIAEKLKLLKSKKHVNQSLHTNKDYLNKQHSIK SQKNISSTKLHSSRRLKSQDEEQISQIMNDAIRQLPKQSKRINGYLVKQQLSSNSYQIHK QQKISDYHTVNKDKTVIKTEPDTQLCSDRILCYKTPIIIPKQIQSKEQIKSICNLISPQH KPAEEITNLKLEIRNQNHRKNYKLKTEVQEKILDLLLLSTRELKIKLSDKKTKQTSIPKT TRAGLPVDFFNFKK >CAK57126 pep:novel supercontig:GCA_000165425.1:CT867990:97106:97996:-1 gene:GSPATT00028161001 transcript:CAK57126 MKQQTYFIRPPADLSSCHSVNKNSFTNKVETSNSQGVRRKTPIISQPLHSPVHLKDLYRN QIAKGTSINHPLPEGSISKFKIINDFSGYKMPTARRMKSDEQVATPKQIKKTQNNHMNNI KFRTDRKPNYTPVVKMKTEPNDVCAHSISKKDESQEILFRLHKKSIPTEPDDKVSQILGN QLTRCIKKVNSIDNSNPFFIRIEQDSQFLQETKEKKQILHQNNSQTKEQILDLLCLSTQD LKRKFAELNKVGNSKINTRQSNKLLIPKTGRTKFPTDFFNALQPNSILH >CAK57127 pep:novel supercontig:GCA_000165425.1:CT867990:98625:100275:-1 gene:GSPATT00028162001 transcript:CAK57127 MGICSAKGKTKQERKEQHEILPQQSPQLDPTSDVQRNFKSETNITTNKLGAPSNKQNQKA KMAPSPSTKPVKRMVWADQIVENGGQRGTLKTWISIKNANFSKYYSVLNKEQSKCRIKLN EQLALVQHNLSGKIRVAEFIPKTSEGDQLVESIIKTQLVNIIPLNLQNHPNLIKLDEVFQ DASNYQIIHDFCNGGSLQQYLSNTVYTQQQAALVLKQIVELISYIHKLELNHCGLSLQSF QKYNQSNSNYIKLVDYRAIYVKSMISTKDNLMYLAPEAILQPEIYTPERDTWSIGIMLYK MLSGQLPFKGSNKDELLQSIQKYSASDEFDTDALPKTSIDFIKKFLRSDPKKRIKLNLAL NDQWVRHNIEKSQAEQDQIVKQLDQNHALSFLQCCFLQFMITTFQSDQEQSLYQIFGQFD INHDGKINRQELTQAYMNHFSNLQEVKEHVDTVFKGVDINRNGEIDFQEFLIGVIDRNTL ITIDNLKEAFRILSDVEGFISLSKMQQLYHSKKQQLKQQFAAYENNQINFKQFQDLMFDA L >CAK57128 pep:novel supercontig:GCA_000165425.1:CT867990:100371:108374:1 gene:GSPATT00028163001 transcript:CAK57128 MNIEELKESLQRLVQSQEQVKMDYQSCQNDLEIIWQQIQHQVGLDLIYQSGKAVIELRQY AMGWKQANRILSHIVKVCLSAKEQIKAWETMRIVMDPDKQIYKCISNKTMRQFSHHEFTQ HEFCAELQEGQQVDALYDDEKGNVIGWCRATIDKLNEKFVWVKWHENDDKRKIVRYSMDL APFKSKVTDEEWQWRHSLQPGDLIDCLDNRQWQNATIIAALKEEEVEYIVGFRVYDEKGN LYDQMGKRYFGWNSQYDERIRAVSPRIQKKNTFSKGQYPIPYSQEDIIQDCNDFLYPENH YAIPRFQTKQTRRSIVLTQMINDFGSQGLFQYILEQIQAKCTIDFLHLYMQVLNNIHEML HRQFVSNYVPALQVAVQNNILQSTDNNLRNFSAQKITDILQSLSNLLKRVYPIQRKSEII DRFDLDIAYKCFTSDFLERKIQGLKAIQELIKRTKDLFNQYGMQEYQKQAAIQMILEWLN EKKIFETLYAGSGNSHLVQRSGEFFKFLIEERMIGIQNLKDIWNSLEKAEYEHKLAIYKL FKDASNSLEKEWLDFLTDEICNRDPKQVNKDELDLLQDIIKSNHKYKEGYITKCCNYYWN VLKNGQLNQTLFEYYLAQYIEQVTSFEMRPHKGVQIKMIGESIVKQESASIGLRVLIKLI EKLDISPATWEQYTRNGALTDLQSQCGIIEQIFTQIREIKKQQISLEEIKVRMQFIQMFY QNISTYEYRLTFNVISTLWELLVCQSECQSEKDLVYKWFSSLSNQDGQSQLTSMVEISEL KTFFIQKMANDLAHLNEEGFNCLKTVLSTINKFESFGFDVLWQIILEGENEKVSQMAIEY IHSFQMTIEELFNKLQENKIQNQKLLRCLLVLEDFIDQSEVNGVGNLKSLNALSQGEELS IQIQYENSQQRRFTIKINDNQTIVELRLAISKVIKLQWDAIGLNSLKGEIKFTENGKMIK DLRLKKDEIIMVFKRQVKDAPETQLLDGENLSEQAKVVFAEIFSEYSTDGKMTKENCARF VTGCTGNPCSIDDANIQRTFEQYDRDKDQILTLQDFYDFYTDSVRTKRSTVWLNLQTLHY RNDLIRGDRVPLPEINAQQLPRGQIVQNQEYLELLFEFLQNSSNEVQEKTWYLLRRLPPS PQLIKQMLTFENITQPTDWDSILVSSHYRLLYSLYIIEFLMNQQDSNHLQALIEDQDILT LKDKWMSKFLQLGGFDRLLQFFKEYQGKSVSTLSQIEKEILSFLLNTFQNYIIAACAAYI PNLYKASRGIQLIKPLDQVLLDIRQSEESEEFQLLVQKLKESKLGDDIIEKIENFIPVLI HLIQELLKCNELEQEDRQIIEHSIIIVIVILLNNQKLLATSIENIEFINIFFSGIFTGTS DTLRNLFSRAILVLCHESQKKNNQPTRIMLQQLIVMQNSQGNSAQYYELLSQLIDSAFET EESQQFIDYQQLSQQMFQNLMNYKSQENRQKNTPTDKILVGLLNLLTKLYRFIKQPIDDI IFNNCLFSMSEDKEIKCKSVESRQVAFKLLHNLAQKQNIDTIVTNLQQLSQKIPTINRWN YIPSSDMRSHLGYCGIKNLRCICYMNAMLQQFYMIKPFRYGILQANDHKDVDLQLSKTGF TYDDNVLHQLQQMFSYLEQSDRIDYNPQEFCAAFKDYAGEPVNIFVQQDAQEFLNMIFDK LENLLKDTVYKNIMDGVFGGKTCTLIQCQNCKVTKSKEEIFYNLSLPIKNLKNLQECFDK FVQGELISDFKCESCNQKVDVNKCQQLAHLPNILILHLQRIVFNLDTFMNDKINTRLEFP IDLDLQQYTINKDQCTSYKLVGVVVHVGSADVGHYFSYIDIKNQDQWLEFNDHKIKEFKL KQMEQECFGGSSNFDYNDVWGTGFRENSQSAYMLIYEKVQKDQISLVFNNEQELQNHIDL FDNYIIDQNQKNVLQVDYNSLKPYIPTEYQQKVNCDNQQFLLERNLFNQDFLKFILDVSE FVNESNASKMIDVLIKFNYDLLSRAYENSLMDQFNAKILQLIQQYPSISYLDLIYFGKLS KVVDLLLVCPEARTRKHISKLLSTLFNQAIQLEGQITQKLNEGLDQLFLMIQDQVPKNWS RYEFYFQFWLDFLQGGRPQQEYLMKKNMVLYMIDFVLDKSSPLQLYEKKVQMGNAYVAMN IQIQLQIVTALLKQNQQLSLNEKKLLYSPKFYDKILKTKVEDILPVILRLSYKNRYFSEI ISGCIMRGMSNGDVDEFKNYLSVAKSFLLIEDDLQIERLEWLIGIPSSKQKETTKIYDNQ FSDIASFGLYGLSSLEEDYWTFASPLGWSNCLFDYFCSHRMSKNNDIQCLLILKCCLSIS LESPICFNYISNLPCVNYQYKYNEEIFKSFLETFILDTKRFYSQNNRKQESEEVKLLLEE YQKRLDQIRDPTKPQYDYIIGKSVEVAKVTKLLYLFNPTTKEELTANEASPNFNQIKSQI DDGTLHKIITLEEKVYTTYVCDNLPTGQTNEALPQQYVKGTQIHHFSVDPNSEAANFIQS KAWSAENEDKAVVSNSRLAETVKQVQLINNTNRNLHVILEIKGPQTSHYIPTSKIQSLMN PKIITTMFTIIKQKSQDEFPKLELTLQYKKQEPRSESYLYISNINEMNLELL >CAK57129 pep:novel supercontig:GCA_000165425.1:CT867990:108604:115858:-1 gene:GSPATT00028164001 transcript:CAK57129 MFPNIIQQDRRSSIMDDQLISHNKNIHNTPEQTSRRLQKRQSSKIGSQSQITRNQRSKLS IDNLSQQRKATLQSQKNRQNNLFYEYQDLFLSESSDSNSSSDGASKKSKIQQKGPASIIN YDKVIDEQMEFIHKTLHQQLSSISNLISKEQLDQPNEIDNEKYEKHQITVISKINFSTKY NRERSISTSKNVSDTQELKSLVKILDQAGIPEQEQSQYKQIIEELEKQCAEIVPVGRNRI KQKVRKTFVQLFNQYYKDDNQLKGIDGDQMSVYSVAQNESLFFGNRAYEPQNIQNSPSNI NMTSILDNVFTKTQNHGFMSDRMKLLIDNFKFELSNDDSNFVAQSEQSSEIEVDEEEEYL EKQFTQTIRDPTTQQDHQIQKYQRKYKRDPIYDPYPLIWQSKEDYEWIVKDYQFYWDNYP LVYLRKTIVFIIRIIANTANKIINHRIFTILVLISIIFNIMVFIESQSENLQTDVQASLR QQKQIILFFFIAENILKLIGQGVIDFFWDLQNVFDMIILILFSFHYYYPTLMIIDFSTAR LLKILSVISVFSKRLQIMLLALKHSLRFLLESLLIVVIFSYFFALFGMHLFQGLFLYKCY HAESGIQTNYLCGYNLECQDYSMICCKSLTNPNVPTNFDDIFYSFQEVVRLMIFNEWTEP IYLAMLTFHDFAIIYFIFLIFIIAIFGANLIIAVLKIYYSQTLLKYQHEDIQKLSASIEV ILNLRIIKDHSIDFDLKNNSQQDWVRKKPREVDEQTTYAKTILQNNNQKVINYKFKYLSA RQTKEQDEIKNRKRGIKSNMSKLENLIDNFKLEKLFLFEQNKEQFMQKLIQNDAFDKEYL KLFYIQTFKKVPLYSWRIVINNTSEGDIFSFKIRNEQQQKKREREFEFIRKSVLNHCYRL KKSDYSFIKSQNQNSLNHSHGRLPIKQKSKQKQQLNNEKSEKNEKAVKIENNSYDHKVIK IIPNNQKSNNTTEKVDKITYYDLDFVKQRINRQIPEIQEDMQDFEDLFVKYRLEECKQGI VYKNNWSGNCVLQSKQKIPSTIKIFHQLNNIDIYQWKTPDLSCVWSLISKNLNLMLRNRS AQIFFDLIVLINILLLSMIGYVDQNIIRQLNDYFVFILLGELFLKLVFQGIKRFCTRANN FVDTFILTCSIIQSVYVNQNSGKGNIYLDLLNSSQALLIYRIIKYNNFALKIAKITKKSL PSFLNLIFLMITLIFCFAFIGMNLYKGKFPINTDFGLQQSFDDLAAAFLTVFVRAANEDW FGMVMLGSQYSSKIGTTFFSVISVYVLNLMTIGFILAIVLDSFSSYENETESGEDRLDSQ FSILEGNDNLTEVTLSSDKVATPKEIIQKNTNGNDVFQDTKQDDEKQVIQNNNFFDLSAR IELLLEQLFAKFQFFTNNESENSLYIFSKQNQFRKLCYRCINYQLYITLIQFTFFIALLN MCVHTYFDYENKISKDLIVQISNYVELTTNILLLIDSILKIISLGFMKDKGSFTSEFWRF IDFVYQLCYITNYIVKYDAFQYLKAIKYARPFRFLYLFEQLKYINSAISKSIVDLMNILF VQIMVWLIFAIFGVMLYKDKMSYCKYPLNFGINKQECIEEGEEWVNNLYNFDNMGNAMLA LYRMTACDDWVYIMQICLNSRAEDQGPILYGNRWVTLIYFMLFILVGVLFFLGFFAGILF INFQTYKTKLQKQILTNDQQLFANITEIIQKEVPNYSDPPKSFIRKLASNIIKQSLYERM ILLTIFINTGILTFFYDDASLELLQTLEYIYHALSCFLILDTCLKILAFGIRRYWGYIWR EIEFILGIIALIDLILFLTINWSQYYFKSTINDKYFLILRLAFACRNLRTLLIIQQFKGL TRLLRILNFSASFLLQILCFFFSILLFYGFIGCEYFGMIEKGAYVTDYMNFTNIGKALLI LFKICTKNNWIRIMIDVSERNQYCTPETPSYCGVKWIYANIFFYTFVLISNFVAFNLFIT ALVDQFEKFFNSQNSVLQTYIENIDPFRTTWCKYSTETKGAQMHSRYLAHFLLELGPPLG SAPGDNIWDAAKSASNFKIKADLNGYIHFQEVLYETIRYVYRDQIFRTGHPEGIKLMKQI DKDTRFRLHFKRLVSYFQVIQDILDRRYPIYEMMHLKGNFNILQEYLFLLMIFRTFKSYS AKTIGKIQEAIISRENSNHPKQLIQISSQSEQDEDTLKEDIIMESQHQISLNSEDVETFQ VQVSKYIESHKANKKLKFLDQGYNNHSEGRILFLPDSQKTDRFQIGPIKHKSTIKKKQEY NFVDFEAIEQKQLHNPMLDSSHLNEHSKGAMTDSLINEEHKINQINYSSLSPHRDRFSIN SLNESVGTENHNFFYGKPKMKQCQKVAK >CAK57130 pep:novel supercontig:GCA_000165425.1:CT867990:115893:119851:-1 gene:GSPATT00028165001 transcript:CAK57130 MLEYQNFEHQQSELLECYTNKKGITTYLFTWLNQFVQTHQRNLEQKDVFNINSQESIEHQ TIKFQLNKESLIYSLLKSYPKQWICIVLLKVGLMGILLLNPFLINMIVELFDSPGLDIWQ FLKTTLLFTGWIMSVYCQVSIISFYLKQVQIEVQAALSSILYHKLFTACLPLPSQAEIIN LFQIDIPQITILFDCGVSLFLTPFQICGAIYIYFQTVGLAASFGLIGMVIQVGISLIYGS IYSNLQKKIMKSRDSRVSNMDELLKGILTIKQNCYYKFFFNRIRDKRNIEMSNIAIQQKL SFFVKASFSIVPVGVMYFTMKIFDIFNLKSVLMVTQNYAQLISAVNFIPYNLGQLLMAKT SIKRYMDFIQSKDVEQQMMQAQSVQILIREGNFRWRNSKNQFQLKNINLSIQKGEFIVIV GKNGSGKSTLLNSLLGELEKTIENSFVYLNGSVSVASQEPFLIQGTIKQNIIDESVMELD RYLEIIKTTQLLEDIQRMESGHNSEVGEDGQSLSGGQRKRVHLARTLYRHSDIYLLDCPF ESLDHKTAKKLMDALYQISQKEKKTIIMTCNDVNQIKVCDRIIQLEDGSITYNGSLDKFQ GNSQSNDLDSLDLIEGQQVQQVQQVQQTTINQQSNRQLYSLIDKQQIMVMESEDRSLGSL QMEIFKYLYQLFGRYQTLVLTILFCISGMCCSTFYQLKLKSITEISNSDQSTEEQKADAV EQFLLFYPLSHILMALFTLFSGIYLTSKGVTASMLLHNNIINNLLKASVADFYNVTLVSI IKNRMTNDIFQFDMNTPSLISSLFDSIFSFMASVLGCLFLSSALSYPILICYLLLQTQYM LKYIRINLEVSRLQAISKSPLLNTLTQTHQGILFNRHCKQTQNQVSCFYQQFNVYTKNSI CAAALSAWFIQITCFLMLIMYVCILIVNYIYKIDNKESATISMYLAVSLGERFTSFILSF QSFYSNNVYFERCLSLINNIPKEDLSTQQYSDFENPMDRRNDDIHFSDHDSISQIEMMTI NSVESVQELQEQNVLELSNVTLQYKNATVLTDVSFKLKQRQKIAILGRTGAGKTSLMHCI TRLVEPYSGSLKVLGQDNRHTSINRIRSLFSVVSQDPFVFEGTIQQNIDPNNAYNPIEII RTLSKYGLSQVKLLEELQYQVEPYGSNLSLGEKQLLVLCRVLLQKRRIVILDECTGNLDN QYCQLINLTLDLFMKDKTVIAITHKLELLDMFDQVMVMDQGKIVALGSKQDILNQYLNQK VI >CAK57131 pep:novel supercontig:GCA_000165425.1:CT867990:119980:120282:1 gene:GSPATT00028166001 transcript:CAK57131 MQGIQQMELEKVMTERNDLKTKVLKYELLGGELAQLDDEEIMNQLEDRKKKSRRSAADID RQFFCSFNNCKKAYGTEASLTQHQKLKHGQNSGMDAYFRI >CAK57132 pep:novel supercontig:GCA_000165425.1:CT867990:121611:123798:1 gene:GSPATT00028167001 transcript:CAK57132 MDFVNEKYAVIPKSLKSDEEIQKSMAPECNSFVDRAKFLLMNGQSFQKSFVAQNIRESLS DPKGALLLLEIIANQLHSLHDESLLKEYIKAVSEIFNKIQIPNQIKQSYSDICFNIFTKQ YQQYEIINSCAEILKYLDDLDIYKEGLYLETDNQMYQILKIIEIYYHKFTPELKKQAHLQ IKSSMKHKDERVRKVISEILLSNQQLLKSILHEFDWIDKFTTLIYDSSKDVRMSSAKFFL ATYDLNEEIHKSRLQLLLENIIKQLDSTQFEFCQSISQYSLNQDTLQSLFIEKISDIISN NNDNQFLFLETLHSYYSIIKQFNEKHSIQIDDMLLNSIQILSEEMRNYDILKCLSSLYSS LSQDMQFKVIQKLFINFELNQLIFIPYLSEIIEKATLINKNKIWLQQLQTIYELFKRNVF QYETKGLWNEISNMIDIMIIVSRILFLQKDFLQQCLKMSHMGSKFIRDKIMNLLIEKIIE LDSLESRDSIIQNYLGFINHSNYQLRQLSIEFILKLCQQRSRKFFISNNLINILQLQYDA VGLVRAKIPKLLFQMKLLFWNDEKEILSRIAQIFQNLMNDKKLSIQQISKEFWAELSNVQ FFNAQKTIEQNQIMDEREQQEYANLKVIKQQLLLNPKNIKNDVSKSRNQTPTTRLPPLNK RQNNFLQIPKGAQPRKISAQRSILSKSPQPFK >CAK57133 pep:novel supercontig:GCA_000165425.1:CT867990:123817:124712:1 gene:GSPATT00028168001 transcript:CAK57133 MFTFRTIYKYATQTVDTEALLKKLQTKSLVTLTRDNYQLNMGLLIQRDIIFLHYTEEEMK LRKFRYQLEKRNNYIPKLPKELHEYTHFTGDQNVFGDETATHVRLVGDVRTVFLENSKFF KHCDPNEKNNKLIQYNSSHTVYLLVKQNGVWGFPNINIEEKETFQETQTKLFEKMTQNSW QVYYFNRDPRLVTVNPNSDENSKIKGVKTIYFQAKHLQGKVKIFGYDDWAWASRLEMNKY LTENAYNQVIHALDL >CAK57134 pep:novel supercontig:GCA_000165425.1:CT867990:124738:125361:-1 gene:GSPATT00028169001 transcript:CAK57134 MKTLKSTISNISQNYRASCKSEQSITPLRVPFKQLIYLHFSGGSQQNCLSKKSHTSNNNI LEFVLIEYKQLCAWVIREINLKIDHNQMISNINKQLFQFERFLAKNLDGYGQEIQALKLV HQEHQVAIQKLSSTNQDLKKKLKQISNNINEDFSTNTPLQKRHNYSIELITKQPLRNISY SLQEPNCDKENREIFPKKKSLKPKFKI >CAK57135 pep:novel supercontig:GCA_000165425.1:CT867990:127012:131439:-1 gene:GSPATT00028170001 transcript:CAK57135 MKIGLTIFCIILYASALSCVSNVQYYDIFASENETQEWDMNTLFFTGESLKFNITQESNF FEVLNPLHQLGSSKNAYEVKILSFKPLHQNDNGGWANAFAALGQNQTYYQVLYSQDGILN QVNVPIFNESIRVREITDNFRCFDLDFISETKIVIDCTLPYSDITEAPISGFFIIDVKEQ SYFGIELLEDTQDYNDTQYRILQFHKSTFENQNEARLLFRSEPAWGTQRAQQKQILEQDS KINVFSFTSKFTLLQPTPVTVLTKTVLAKLLGVDEGKFTFQLVDLQIEANGRINVLDAFN GVYVLNFKEKNQEWQLENVITSVFVSTCFGFNIHNFINKDGTVTQRMVLVYTNKLLLLEN GLIKFGIQIPVIEWYNTFQIKLTQHYIILKIRKTIYIYKVENGKKVISQEEDFDKILANP NYEDVIGINSLTAKRFFLNDGLLKLNRQDKATSNNKIVTLKAETIEQPSKQCSLTINLKV LKQNDPQILNFNNNPINPIITIPSNPLPLEQIASGPNLQFTSVDQVENELQDKISYTIQQ VMKLSIGNIHMPDPSDVIYQDILVNENPSQFYLLFQVQTKIVYIYTCQQIYPNLEITECQ NYNTFGLPSIIDQNVGHFSWFTNYNQLIIIHQNSQFIVNIYSVMDGKVSNVFRLMYDDRS FLNKISSVAIINDYIYIVQSGLKKISIYQLQGPYQQLLIIDQDKIQRFEINGSFTPIKVF GHKSNNLAFIQTQTSLLVGAFSNKNSPSFEIYKDISISAGSELGVAIGVDTFFVVQTLKG VDRIEEYNYQHLQNIFKLKDLPLFNYKLQKPLTVDYSLKNGWLYLRATDGLQTVILVYEP NVLVHSSLNKVIETKRLVKDGLNFDFAVDGGDQMFLYYKNLSQHQFVSIFSKPFLYFTPK ADQADYVNNQIVAIQIAGFSGVTPLYQQSLMTILNTQSQLFISQSLFDSKKKIYQFVKQS GIQFINMGNDWYSGQVTNFDIKCSQCTGSFHIISNIYKVVDGQSYGQVMDGASFGLAGQV YQTRNALMFEDINGQFKLKILMDLKTERCNSISISADYNFILSAFQNANNEAGLFIHKCK YQDACSQFRQGIQTFKGLQKISKTYMPDSKNIIILNSPDNFSVQQNFIVVANLDDDGTQF TISHKYVINYQFVATNQLFIGDFDLIKYQINNYVYSTLLFTDTNNGIYFAHFTYNDQGQL FKTSYELFKLINFSDDQFFINQDTKFQQVKVISSQLNGNILQLNVLITTNNQAQYVFAFD LDASKPSFGLPIKKSSTSLLYVLTPYGNWPSLNKASYIDGNVAIPYTDGYKVVIGIYPLT SGRPSSAKITPFTHSISADYHKLITSEDFFMIFNKNAGSNYPYLSVNINYDARYDEYLVE KYQMRSDPQIVLSKTTNYPNELVINLYLKNDFNQIQGQTTLQSKSSVDQINNVGEEINFL SLA >CAK57136 pep:novel supercontig:GCA_000165425.1:CT867990:131950:133624:-1 gene:GSPATT00028171001 transcript:CAK57136 MKPSKSLFNDLDLPLWELDENQIFKYKAQAQSQTLNLNLKTILNKPSDDRTYEEIIFIIE YLMGFTYFRKIKNFHGQECLFRLSQFAQLISVPNEMIIVKKNEEPNAFYFIIEGQVMQVN EAQCNVSNVADALFEEILTKLTPNKSEYITKKNSTFIIINAFIFRASLHKFSRQANLKKT IKINHPLLNVLQLYDIEELSSVAQTELYEQGQKIYTINDSLEFLYIILEGKLLIGKKVGS IQRRHFEQIPSILLSESTKEKPQVKPIAEISENDIFGFEEYIQKQNARVFQVQCSTKYCK IIKFPLKIFFAKFNQVFNLREYKLLLDIISKRNYYRNRIHSIQVQLYENQSSSTQRSTKP MHYETLEPIPYEHQIRSKPRSFLLENSILLPDDLVSNLQQSYKLRTSLKQNDQTINCSSF TLENSDEKHKEKKKLQIRINYLIERELHKNKSFDKTKLIKKSEKMQKLPNDQNPIEKLLN LKPEQKYGSTNIKQEFINQSLLLKQARQSQNSRYSPLPEIPSFQPKQGKFQQKLILKNGK EKQYLIIDKV >CAK57137 pep:novel supercontig:GCA_000165425.1:CT867990:133646:134005:1 gene:GSPATT00028172001 transcript:CAK57137 MKSHDKINRNKNLQEIYGKLGQKTKRVQIREISQYNSIAIKIKKKENQVVFPDILLVEKR VVKLTIKQSKQSGKENTIKHYGFVSITSTFNLLAKLEQHRSFDS >CAK57138 pep:novel supercontig:GCA_000165425.1:CT867990:134053:135083:1 gene:GSPATT00028173001 transcript:CAK57138 MQVTKRSIELQIYANLLDFTIEQQKVVQNTQSKCLNGFILKNYISMINNSKVNSLVFIKQ RKPQCSSLKKRYKERERAWNDRIIISEQILHLRTKESFNRKRLPKLQNTTASIVEKYINI HKHQQEYVELVECEQRIFETKLEQLKQLYKVYCIPQVHQNLFNALLEASSNKRAVVEEEL NLMNQKLAPIQHCMFVIAARENCFKQVLTLVKQNQNQIDQISEKLKDLRMLNITTLESIV KWQQYFQNKNLRQVFQLDENPPYHERFQNDYLELKPQLTNLFKVSDQADPFFVQLLGKGQ QRLYIRIRRAEAELMNLNYNNIYIK >CAK57139 pep:novel supercontig:GCA_000165425.1:CT867990:135141:137163:1 gene:GSPATT00028174001 transcript:CAK57139 MGRCVQLIISQDFSSLKAEKVIKLDGKNENQIQKKLIFLNLQSHTNIIQFREAYKTQKGN VHIVMEYAGGNGDLEKKIKDAKGKYFHESKILHWFYQICSAINHIHNKNIIHRDIKAENI FLTKKVILKQEISEYQEFWKNHLKKCNLRLGQLQFKEPYTTMTDIWSLGVLLYRLCALKY PFEGDQQYQVLQSIAKCSYQPIPNKYSDSMKQLIESMLQIDETKRLTAQQILGKDHVYFQ IKLKIYLIKIQVFHRQNLKSHMLLNQDQYRLFNQINKLQKIPTIIIAIKINYNNNKNNSQ FNNKYKILLGKRIKKYNQHLSHHKEELIQLLSRKRTQCKFHKQTTKKFSKRFLHIKIKLL NIREKQEKYKIKFREIQKLQQGHHKLNSLQQELKINCLGGFFYKLRKDRTSLKNNTKALM IQKCNISIILNYHFKTRYCRKNSRKKLSKFKRKSKKFYSKNKNKAQIDALLKIEIIIRQW HLIQLQFYYLLQFLGFSYNIFYHHIIIFDLKIGFIEINLYKTMQNNSLEQSFANYVNKLN SYSVLKINQDSQYKFRTSNLPKLIVPSPFESSEMLNYLERAKFPKKSDLNKSAYIDLKQE DTRSFNQLPNDLYQQLEPKTVKLYRSKILIKNTKKLTAVDEKQTKII >CAK57140 pep:novel supercontig:GCA_000165425.1:CT867990:137546:138343:1 gene:GSPATT00028175001 transcript:CAK57140 MTDFALTTFSSKGKLLQIEYALNAVAKGDTAIGIKAKNGVVLVVEKKQSSILIEESSVQK IASLTDNIAATYAGLGPDFRVLSQQARKLVKKYDLKYQEEIFVQTLSRELAEDVQIATQR GGIRPFGVSILIAGYDEEGPHLVQLDPSGAYYSWKATAIGKQAKNAKAFLEKRYNADMEI EDAINTALLTLKEGFEGQITPTNIEVGVIRDDHVFRILPPSQVKDYLEELE >CAK57141 pep:novel supercontig:GCA_000165425.1:CT867990:139881:142365:1 gene:GSPATT00028176001 transcript:CAK57141 MPPFSESFYVSLFQHIQVIILYKYKYQKKPKLLEISVMLYYCAYQLSLKGVPYIWFSLLS IAANKHIVQFIILLLIIVVTSAQIDPICPICHLQLLLEQIFLMGLLILNRFNFLTQKKKA QKQLLKQIFRKIRYCLYDENLMDVDTNKQSAPEISVLSGVSLYSNRLDNQQLQFKSQKDL KIKCYSISNGNEQESRIFGSKPLVFDSISGFLEIFQKEFKEMQNKIILASELDNPTGQKS KRRIYVQQFCNLGKKYNLIAMLQQDDNLMNKQNKNINKFKLSLSKIFTHKLKTPLNTTLG YLQTAISENLNVDEQLKSQFLKPAFINSKIQFYQVQDILEYINQEDLLQFRIVKVNLNKT LGLIYDLIELQCRAKQIKIEFLINEKPFNTREKPIYIQTDQQRLERILFNLLNKSYRHTQ INGRICLHILIDSELNQVQFKINDNVLGFKQEQVDQINSFAQQQNKSISQFRNSIVKKSK FKFSLTLQITNKLIFFLSDMQCSLQVRNTIEEGASYYFFISMNCQQGSFQQTTDVQALNL SSQHKSTITLKQPLNSYPFLGYTRSQNQMSKERIYDGLDVDEEPCANKPFCLSTQNFSYS PQQTVLRTQQAQQSIIQYQKNIYQRDFNEKSLTMSLAFERSLNMKRIGDSVDIRQDFNQT IMLVDDEPFNHDTLKLMLKSLGFKNFISGYNGQQCIELVQKHHTSIKIIFMDLDMPIMGG IRATNILIELMQQNEIDYIPILACTAHDDKNTQEECLEAGMLCVIAKPVFVRSLQEAFVR VNEIKQRTLNVFAGSKVISSSIK >CAK57142 pep:novel supercontig:GCA_000165425.1:CT867990:142468:144851:1 gene:GSPATT00028177001 transcript:CAK57142 MFSAVVLLDLIYSIQVNEIPMVVCNSLILLFSIIHQFVKFKINKCEYLLIIVLFFHNAVQ IYYYGRKSLWFLIYLLQIFKLEQHTQILKYCKFTQLAVNIIIYSIQYDGQINFAYFQQLN YCIFILIYQIYNQNEQVSEIDIAQNQIIEDIKQLIIFKLFDQKCNDLSKNASNFNYNQLQ TTPNQNNDNQNFQIKAYQDKEFDSPAQNQCGWEPSIVFNDYQTFIEHIKSQDNQDSQYHY VAIQTDFIKNIKTKYNVLYKKFGKNELITIALIRIDSFHQRLMIWKFNKFKKYFYDIFAH KLKTPLNASLGFLSSAYNYTEMDNAIKQNYIKPAYINSKLQYFQISDLLEFLNPQSDQTR IQYYKINMKSFLFTLNELIESQCQNKKILFQVFVENVPLNNIDKFYILSDLLKLERILYN LLNLTYRYTPQNGQITLNLCIDKKNSEVLFIINSNEFLFNNEELENINECIRCQVEFQYK KKSIALKKEIKLSLEITNHLINILNDQEYHLEISQSDGGTEFKFKLIIKENKLTEGSLEQ FTIGFMLKQKSTKTVNYGTNEQSCNSSNLIKPKSLSLFSSSKVVHEPQANTPITTPINQP KTFKTPALKRLRSSFADRVLIDFDQKILIVDDEPFNHDILILMMKTMGYTQFLKAYNGQQ AIDIVKEKKGQISIIIMDLDMPIMGGIEATRILVEMMLDQKLDYIPIIGCTAHDDKETID KCLQIGMLYVVVKPVFVKTLREAFQQITNMGDGRRRSLLCFTSQLQI >CAK57143 pep:novel supercontig:GCA_000165425.1:CT867990:145125:147255:1 gene:GSPATT00028178001 transcript:CAK57143 MFGSTDFWIVIYIYNLKKDIVILNQFAVISMLIVCINQFNSVHNKSYQQTIIELVVLYLF DAYLQYNNYKSNEKPNKNQFYRKFNIAHQEILNSCHDQKTTQSKQHNQRKNVLIVNQCQI NESRYQIYQAQDSIPSLKDIFYPEWKKTYYCSSEQELLNVYDKENSNLFYILYIPSEKSK EIYRVQITKTKAQDYILYYTKLDSLLYFKMKGSKRKFRQNLSQIFSHKLKTPLNQALAKI TSMLTDKNVLPYQKVILSQIYIQQKCQLYGILDFLDYVNSDSMTFQPSRITLMQIIQNVK DLIREQCKAKSIKLRILIESKQLKDYKGMIVLFTDSLKLERILYNLLNNAYRHSNQNGTI HLTVELVQGTLNFAIKDSGIGMTVEMMADYNLQFQCLQNPSKSIKRSWNHKLGTTLLVTN KLVHLLNGNKGQIQILKQNESEFKFSILQELNINKGVSDIGFGSLSSDRSEMNVLINQQD HMDEIQEEDDYIVNEPKSQEIKIEQIKHARNYKQITLLPQSQGQSKHSQLFNKRSSSIFD VNSFLRQFSFKDGGIESNQELIIDKDQYIMIVDDEPFNHDALLMMLMRLGYGNFMHAYDG SDCITKTKENHSKIKFILMDLDMPILNGFKATSSLIELMKTNQIKMIPIIACTAHDDYLT ETQCKSVGMIDIIIKPVFLKQLQVVLKNIPKIL >CAK57144 pep:novel supercontig:GCA_000165425.1:CT867990:147583:147756:-1 gene:GSPATT00028179001 transcript:CAK57144 MNQRTPEKKQKSLLHPQAPKKRKNCTYSFNDQEQNPIKKQLLFLENDQEENKINFSI >CAK57145 pep:novel supercontig:GCA_000165425.1:CT867990:147962:151716:-1 gene:GSPATT00028180001 transcript:CAK57145 MKYDEGQSPQLRDDYIPKLPNPRRSAIFKATNAVNLTKLDSKYQEKNDMLVAQIYKIVKH MPSDDRQLKAERHIYDAYYLRTIRNDIDMADKVLLKNLWKIENSRNWGGLLFTITLFYQI LTFFEKPFPNSDYQESSSISKLELIILILLFVDFTITFILLATKKNDGGFQFNTKRMLKL IFILLCGTDFINYQYDQTQFRFSRLIRPLLMIFYSKDLRRNLKGIAKASRDLLLLFLLYV IIISTFSFIGINLIGQLQTVDQDTQDYGDFFQLFNMLFMTATLDFYPDIMIPVLMQGTYY VFFFVIYIILFLFLFQPIPLAIVYEGFRKHRMQIAIQDIIKQKSAMMASFISLDSNDVGY LTESQFKKFLRTFYRGQLTDDQIQIIFQEIDKDFNDKIQFDEFNQLLAVLQNSKRISLPR MKSLKCWEQFQRFCNKYGLKKFIESWGFILFMLAITILNCALIITAFFIDDLEILGVFDI IDTVFLGIYIFECLVKMIGIGIVDFFSDGWNVFDVSIIFLQILFDYVLFNFVTGNIVQSI KANRILRIAKIQKAFRIFRAFRSIKLVGYLLQGLEIFAHVKNLLYKIIICIPLILRLILP VQIVFFTYACVGIYIYGGLQDDEQNPYQNNSCDPNEFRFQWGQCKYADFNSLGGSYLLML QIFTAASWGQIVFELSYDTNTLAVPMIFVGSFVFLSIFLLALIGGLVWEVFTVVSKTLFE QELEQYKPEERAAVELNYQDEAILGSSFGTDNDLRSGSELSNALQLQKKTAILNDDNPDV LQFKRRSSKLKHNQDELIEIPIEIEPQIEIKAYNKILNVGINSNKVTKKIKPQGDFIQII EESMFEIQKIYCDYFIGYQEFLEQKFIRESHNIYNVTSEYIIHIRNEIKKDEMFKRKQIN ISNHDLLIQNAVLRDTSEVYIKKQEEEFLKHEFGQKFELIKELKFQNKFKVESKILFSLM GILKFPKPNLKYFFQILYLIENYFTYQLLPDTSFFKLIHSIDGRWYLISIEDNQIVFTKI GNGPWTYEKLLFETGQMRICENLKFMKDQKNQEIKFHIKQFHSSMNKMAKQFEIDSNKID VRSTIVLYQIKNSKFQPTNTTTPRTHDKKNSCSLNYLTMGSQVRQRLAEVDDLQDDLNQD SSIQYIYKVDDTHKHVSQTIIFVLSKIQAQEGRIVYQNQVMLAQFIQDLAGVIQNYSQSF FQQLEDLYSMRSQHRSMRSKSQIHVSK >CAK57146 pep:novel supercontig:GCA_000165425.1:CT867990:152186:154052:1 gene:GSPATT00028181001 transcript:CAK57146 MTSKIKVGHYNINTDEMLGKGSFGIVYSCQSDTLKNKDLCVKIISCKNATVQAHKEIQLL QLLQRVTNPNLITIYDVIQDQNQIYVFMDRCRGGDLKSLIQDYNLKKKQFTLEQIADMVS QIVCGYEALIQNKMIHRDLKPANILFEFKTKEKITLKLTDFGLSKVLDDISIKQYMTRVG TPAYMSPQIALGDKFSAKCDIFSLGIIIYELTFLEIPSQGMNPLIRNLFQKSLKTKPFVC PNLNQQQPAQLKCLIQDLINKMLSFEEEKRPSWDQLIKSEIMTIGKQVVAIPIEVKQKKE ENNLQVTLYKHEKKLNRERYFHQTQFLRFNEIKQNFKGKNDLLRKSYLIIYTMICKAQLL YSLQYQFQSVVKQYFPNFDAYHFELIQTCFIGYRFKILENAFGFCNQKLDLIDESLKKQF ENQFLQMTQEIYQSNDLEIQEIKKFVYDFLLQTKDIFQQAENELINSKTKNQYPKNIEKF YKLISQQDFTDFGIYAKWFYFFWNQHLRKLLNYDKTKQNDIKYQLLLIQIERFLNLETDH PFKKYEKFDETSILLLFENSAQDDLERQIQIRVYI >CAK57147 pep:novel supercontig:GCA_000165425.1:CT867990:154115:154931:1 gene:GSPATT00028182001 transcript:CAK57147 MLQKFDDNQRIQLVDIQQSPFNAQTLLQVILKRDKLLNGLVNWLHEATKKSLLDDKHGQL YLRIMKVCAIHFAYYQLLQGFNFIATEENYAGISNHFFKIFETEEDYKDQIRKFQKSDTR TVKAMQEEFEKRMFTLKKWKKKFMDDYEQIKKDFKDTDNEYIKFHKLKQQIEMEKQKDFD IYQYYFTLFYQEEWFKEPFEDYSIELLRLFSLIKQFLRLDTLYPIEQYANQSLTLLDINE QEKSKDYYIRIIKQK >CAK57148 pep:novel supercontig:GCA_000165425.1:CT867990:154945:155698:-1 gene:GSPATT00028183001 transcript:CAK57148 MPKGKQSKQEQVKTPEVVDKKIKKGKKAKANDEPAEQSASQPQSPIKKGKKQPQEKKPKA TKDRFNKLYYPETPNQQFPNQLQENVINVIASYGTSAPLKDITNNLTLFYTNEVEEQAVH SFLAQAEESGIIYERSGQYHVANELIPPQPQPKQVVSQSIEQEPLPLQVNESAVKQPQDH EQIDFEDQIQNQQSEKKEVINENDENQNLFDN >CAK57149 pep:novel supercontig:GCA_000165425.1:CT867990:155804:156641:1 gene:GSPATT00028184001 transcript:CAK57149 MSDKKNNNIPQNNNQAEDPNYALQMQIIAFQDQTINNLKNIIIEKDSELQKKNQENAQLR QQIQMIEKQQSQQQMSHQQENSNILNLQSQYQKTLDELKKLKVIFNQNSNPDIVMESLEI KEQLVLIQQQKNKLEIELKEAQTRLIQSQGIIQELQRENYQLKSFSMPSFSNQPPMQQAS ISQSQFLQQQYPSNNQSQNNEELQRNQRKLQLKNELRQIPSQGRTQAQQRRIQQIEDELN YLEQF >CAK57150 pep:novel supercontig:GCA_000165425.1:CT867990:156776:157538:1 gene:GSPATT00028185001 transcript:CAK57150 MSNDYNYMFKFIVVGDTNVGKSCLLLQFTENKFCDHTESTVGVEFGYKTIKLNDQSIKLQ IWDTAGQDSFKSITRSYYRGAIGGLLVFDFSRRSTFEDIKKWLREIKTFSCDKIELVLVG NKSDLQKKDVTIQEVEEYAQQEKLDFYETSAKTGKNVDVVFESVANKILRKIETKQINLD DQMLGIKVNFNGKMKKKQKSQNNLSPSYNITLNGKQNEEEKNDKKSCC >CAK57151 pep:novel supercontig:GCA_000165425.1:CT867990:157651:158643:-1 gene:GSPATT00028186001 transcript:CAK57151 MYSFKVIFDTGSSQMWLPSINCQRCNKNQIGIVCEQPTCIPSQEQASITYHVGALIGVKC LGMFSINEHFDESQFILVDEVTGMEGAIYDGVVGLQQSSNHSFIEWPISFYYNFENSNRQ SSITFGGYDESLFNKEIRYVKSDEQWIISSEKIDMNGILGVDLYAMIDSGSSLLIFPKNI LVNLQNVFTNCVWTEIIKCSCPTNDISEFPTIKILLDSQEFSLEPQFYIHTNNHEPICYV LISNIRSHNDQLMILGSPFMRKYYTIFDKQNSQIGLVESIHYQESNQKNLLKNFFTLIGC TIIAIGIIKIVNYVMEYELRKYNNQKSLMV >CAK57152 pep:novel supercontig:GCA_000165425.1:CT867990:158894:159749:-1 gene:GSPATT00028187001 transcript:CAK57152 MKKQSSENLLDYCVFYKDRQLPALHKTDAVKRALIIDSLSNYEHPLNQLIEKKQTFVPFL NERDSMGRLISSESYHRKRKSDASSIFNSPKSSHNFLSKNSISNTSSLHDNQLPATRLKL KNLLGGTPENTELIQSTLLASQSEFKQSFQFNSKHNQISTRVHRKNNSLQNKLPPFLKAQ KIPELKLVKQPTTPQATRLFQSNFVIEMFNQNKIPEYKMQYVILMESMSKFQTELLKNLT SNERKRTYVKLDKNRRFQLSLLLLISKPQTQYRMLQ >CAK57153 pep:novel supercontig:GCA_000165425.1:CT867990:159773:160274:1 gene:GSPATT00028188001 transcript:CAK57153 MSYEACDLQTDSRYPFDPRANAFELAKMESIRRKQHPLILITSVKSSGVHYFIGPSKSYS HDYPVELEPYITKDDFEAFISEVNDVIVKYWPCFFTFILAFAFSVVTCFLSLYLPRVCIE DVQRFLGYVLERWNETWEQKGIKVEYKRNLLNSELQFYKL >CAK57154 pep:novel supercontig:GCA_000165425.1:CT867990:160477:162227:1 gene:GSPATT00028189001 transcript:CAK57154 MKTERSALMASPKNKEFDVSDDFVKKSNENYKNQQKELEKLHSVVGNKEDQIRKLQDLVK LQQKEIDRLTHINEYLMQANQTKLSILRDERQLNQGSKQSMKNKNHKSLMPLEKKIIKLP EDNTQSIKLFRRSTFRNPTLMKFHQEEGDTFKSERNTSGSSNFYDILQQEDCFRTNAILN IQLSDEDATTQYNQDGTVSLMKVLLDSEEVFNEIIQSISAQKLSFLFDKFKRVMSDHQQL FLLVLRLKKIVTGALQMNTSVLLDDALQNIIDKCVDCLECDRASCFIVDQTKKELWTRVA KGTSTTIRLQIGQGLAGFVAQNHTILNIEDAYRDQRFNTQQDTKNNYKTKTLLVSPILDG DKCVGVLQCVNKSNGFFTKDDEALLQIMAEFSKSVLKNALNNDAQILIQNKLRHIIKTGV ILQGKQDNLLELLLSSEERLRSLMNVDYAKVIYWDNCLYHVNKDGKMTETQNLLGIVGKC IEEQQIMAVTNCYNSPIFNPNIDIETNMPIICMPLKTQSHQIIGAIQVINVKGIGNISSH SDTKINPIDLDMLELFCQLCSQSLLQCKIHKQQL >CAK57155 pep:novel supercontig:GCA_000165425.1:CT867990:162258:162511:1 gene:GSPATT00028190001 transcript:CAK57155 MGNSQKNDSKLISTVWLGVNDDWTSIMKERLSRISEEWAVPSIKCLDRFVYNEQKKFRHK IKLICIFVSYEQQLRQ >CAK57156 pep:novel supercontig:GCA_000165425.1:CT867990:162515:163552:1 gene:GSPATT00028191001 transcript:CAK57156 MSNMISYYNQVWCFCKFISIYYVVYRKTNEYLRQDLQSTTGTSDLTISTNSNSIGKINES QSISSKILESMYPENQNSQVSQFYVFLSCINDALSYQKHPFIHIINFFREWAINQLISMK DPQNTTHQLKLKLQKFQSSSDLFLNFLVFSLNEYLGDFGDDISDEGIFKYIKDKQNYFNL FLEHTFDDTLIIHLMEVLNYLHKNNNNNFIEKIKHIRKKPDFFEIPKKYQTQETPFLQEI SCLNEVQYLKRPAAIFCCFTKTYLMIKDHLTRSASNFLFFQVTNYVIVHSNIENFHALLH LLELFYCNSPILLKYNQSLEFIQNIIIS >CAK57157 pep:novel supercontig:GCA_000165425.1:CT867990:163611:165155:1 gene:GSPATT00028192001 transcript:CAK57157 MQQNQKPLLIIDSRYTLYDMRQKRAYKYNAVLQKSFLLLQEHFQIQVIFCHSKPNTNDFF YNEFQKNFKDINSRKLSIQELKESQFQNQEILIVTHNYEQFTLTQNYQLYYFSSVSQKLN KKKELELKYYQLNPKTKFHVSHLQQVMSYFSKSENPFCVGYYFYPKKFNELVQFDIFISN SNFNYLPIDFRFQNKYQEIDLLFHKIMDIYKSKEINMKQDEVELFQQNYDKFTTENAYIP VIDSTECLDMLIQRDELNTKLESIIVSPEFQKAVQEHHIKIMTPEQIVFNNNDQPQDLSN LKYPLIVKSKQGALTANCHIMAIVINEKGLKELFKHEQFKGQLILQQIINHNSIIYKIYQ LGSKMIVQKRKSIPNIEINNFKFDEGFYIFDTQKDLFNNVSQCLIQVDEGIHECSNEAQL LKQIELLSSMISKEFKLHLFGFDIIGMNWEFYIIDINHFPGYKNVENVKELFEQLFIQAS KKKDDQIQK >CAK57158 pep:novel supercontig:GCA_000165425.1:CT867990:165194:166258:-1 gene:GSPATT00028193001 transcript:CAK57158 MQYQIQQIRQHISSIDRKFHPVPAFVVTRPLFTCRSLSPSFYRLSSKTTISPLSYSLVKI NPTPIRENKFTFKGTDCTPSRETPISDLNDIKLTKVNSTHEKIMSESQERFYQKKRQSNI NNNSTERVSHQNQSPEQFKMFDMPKVQKQELQLISQEKSKQNQDSKNNGDHIHKIEQLQK YCEQQTSNEVFNIYNQRQDKQKISSNQDKNDIEQVIKELPEVIYQDQTQQQQQSGMKLWA CSPNDSQRQSVGRKCNQKRSSKKSPLKTPKSPKKQHIIHYNQIFLYKPRENNKQNSSKLS YNSSSKYISPRRQSKQISYILTTPSKRNTRAPPQSNEDEEIEIINLAPEFSKLI >CAK57159 pep:novel supercontig:GCA_000165425.1:CT867990:167283:171138:1 gene:GSPATT00028194001 transcript:CAK57159 MKTKELWTYTIDKPTEPNSNLTNQVFACAFLKDGDVVVAAVGNALLLFDTQKSEMLRPPI RGQHKDTITCLAASKDGNKMVTGSADKTVIVWGFNIARGEKMLDAEKFFSHSEAIQCVAI SPLMYQIFTGSNQEYSLWIPGMSNIERQKYKDKIICAAWSADGQYVSFGTINGLIVITDR QAHQLKEIQTQKGGPVWCMEWTPITSEYQQSQLTIGVWMNSLYQFDCNGQQIGARTELPF DPLHINYQNNGEYMAIAGNNNKINLYTREGGFLIEACSLNDWIWCTKIKPKSTVIVCGTN DGDIVVQELLSENVTALYDDKFVQREQLTDAIILSMISNQKARIKCKELVKRVAIFKEKV AILCGIKVLIYTCVIKGDDYMKYKQFKKFQKRVDCEHFQLASSNVLIGAGQKLIAFNFNG DMDKTWSFESPITFVSCQGGAPKRELVLVGLENGGVYKIFLDNSFPIQIHKVNTPIKYLT MSQTKRKLALIDGNQNLQVLDTISKEILFGEMSVEGVAFNQEFEDLIAYSGKGLLFFKCI TFPALNQKITGNVIGFKGCKLFLQHNNKVQTIDIQQTANMQRYLEKKDFQNALKIACLGV TEQDIRALGIEALIAGEFEIARRCFLRNKDYQFIDLLLKYEKKNMDAQTLNELNAEALAY QGRFMDAGNLLIKVGQADRAVQLFKELRRWDDAINFAKKGDVAYRAVTSGGRTGTGVRAP TSQGRTGTGRGQAVMPQPQDIAPPKIEMNMLLREQAEWTKESGDWKAAADLFVTCGEYKK AIELYGQNKNLDGLINVCRMLDRQENSDNIVLCANYFKKLKHHGGAKEAYLKLNDLRNLM TLHVEFQKWQEAFQIGRSNKELLEIAKVPYADYLLLNDRYEDALKAYKSAGRFDITMKMT KDMAKNCIEEQRYHDASQYLWNLAIDCLSQIQDYQNPSGDDVKAITQYRDYSDLADVYYA YQKIHSFICEPFQPLSGENYFLQIMNSARFIISKWKSIYQGIKMSYVYYALAKCAIQLTC FKTARTCYEKLNQFKIPAEWSEEIDLQSLLVRSKPYTDDESRLPLCMRCQTYNAIINATE KLSVCNACLHPLFCSFISFASLPLVEFKVDNSLSREDVERLLNSEKVFHNKRPGQPFQDK INEIIQQQHTSQDQYLVVELDEAAIQSLSPEEVLIVDYRQYCRSIEVKYYKNMIGEQPIH VCSDCGRFFYVDEHEFEYVQKKCCPFCRISDKKIPQKDVFDI >CAK57160 pep:novel supercontig:GCA_000165425.1:CT867990:171317:172826:-1 gene:GSPATT00028195001 transcript:CAK57160 MINYYFNLHKLYMHRFYLSFKDAQIEESYQIKNQHSKRLITFISISSGFILAFLIKVIQS ISEDNMYAFYLNITILSYLCLQLGVFWKQSKYLRVGIIILNHFVTIYFIVFEDRSEVDNQ MAMLQGVNQMAASYLLILTGEYIDGMLTIISLQIFRISWVLVKCESVQYSAPITSMLLIL YINYFNFLYNKAKRSQYLLSLADCRWEEILQKLQIQQSYLILQFQEDTLRYTIKNFQNCE KMFKTQEEAQNFLRSATYHNNLLQNVIHKSMIEFEKTTHNNFNETILINYQKQTIRTEIS IYKGDQPTILLIFRNFFLENKINPVAIQKQFGNAIKLIVKILEKIRGAQFFEIQYLMIQR KLILVHLMINVQNKLKIKTINLNDFLNHAILLYQDLKIKLNYKCNEKIETIVSILQIFII LIFENRNSEFLTITTKLTQDEEVQCIFQGRFNKEKLERFQKAYELPWLLIFETLHLEEEV LTFTFHKNIGIPFGA >CAK57161 pep:novel supercontig:GCA_000165425.1:CT867990:173109:174831:1 gene:GSPATT00028196001 transcript:CAK57161 MFKFKSEIYDLHQYQILMGVCSSTKKSSSKNSQDKFNPALANTADVQQTQRDHASTQNEQ KINQNLTVQRKIRKSGTLSYFEYNQDKLQAEKRPQNNKKVGSSAPQLKIKITDSIASKEY SVYKGHVKIPTKATLRVMQHNSDGQLVVMEQLPYDQEGKDYIDWLQKTNLEFYHIAKVQE IFVYGHQFQIMHEYCTGGPLSELLHHKLSEQVVCTILDQLFKIVNFLHQNKLTHNKLTID SFSFYYDLQNYLIKLTDLSSLHKPPREISLQIAQYASPEALTSNNPHRSNDIWSLGIIAY QLMTRNLIYEEDQDLTNIHEVKKAIIKWTKKYEINDEISQEFENLILMMLNPDKTLRLTI EECIDQEFIQNYQSQNLNCHFKHLFTSSFCDNLQKQFMIYLINNYERSHQQVGRRIFDIL DKDKDGKLNIQELEDFKRRLKQAQGQERNIQVIQNLIDTQSELGLEDFILIISNKSIYLT HHNLDHSFAKFGNKNQEVTVKTLSKILNIQEEELTQEFKNRQFCYQNYCIPLEKAQYEQI LNSILEVQSE >CAK57162 pep:novel supercontig:GCA_000165425.1:CT867990:174866:175300:1 gene:GSPATT00028197001 transcript:CAK57162 MFKLQNSSENSHQFLFQLKRYRPSLTIQSEPNYLITKQQISDLLNFKQSGYPQTSQPGIQ RNLRIRPSLKLTKITPSVTELADHFTPIKKRTISLGNLKKVDFNPSVLVIYSENGVKKRE KLIDDCKVKRKGTRKNCMILIKNK >CAK57163 pep:novel supercontig:GCA_000165425.1:CT867990:175352:176477:-1 gene:GSPATT00028198001 transcript:CAK57163 MTEFLRASFISSSSGTERQTHPNKIDNGLFRKKFKKNSNQINNSQIQQFRSFQNCSSPDS SDENQQQQIKSAIIESDTDQNLTYSKQSPIPTLQIDIQKNGNSKQKVPLQPVTISKSKSP QQLKQSIQLLTLQFDLKYRTIKLPVFLDDTSFTLTHKLLQELSISTTAAIISKTADIIQQ TIEMYMTRLYDWINYNRLGKIDSALWKAQAYKNNSFLQDLEDEMQVDLLSEYQLVDKNCT IGKIKLYQSGQYIGDIPVYRNQDPQECIRNFYYSTGLHYDEQKFNRLVNEVIQIQLLSGE SIDEKYTKDEITTTVKVSHDKIVKVNAKRNQNLDKFMIKLSVNHKLTPQQAMKVKDQIQK SFYM >CAK57164 pep:novel supercontig:GCA_000165425.1:CT867990:176564:178304:-1 gene:GSPATT00028199001 transcript:CAK57164 MGVCTSSTSKNNQKQKQEETVTKINKQISLIAEQKNGINQFQENQRTITPRRRLSQNQAA TPVQQNLVKNGTQAIRRASHATTMTTKTLIIQSSSIQEQQKTGSFSNLSYQRSNIRKGNK TLLVSASKNFSIVSSKNLDNQGKTVMQHNESGQLVQVEVLKFESKNHEYLQKLEEIKLVR ILITQDNMHIVKIIDTLVDHHHKKTYQIMYECCAGGSLSKLLECRRLSDNTIGIILYQMI EALAYVHSLGLSHDELTIDSFSVFDDSSTPFIKLSDIRSIYQLMYPKEAQQYEDRPPQKH QNHKQKNKHHEASQVAIKTRDKSNDVWALAVIILQLRNKELPYEISKIHLFNPEEYLNNH PSEMNQLLIEMLRNNRNDRISLKKCLEHPYLIKMKQVQPQDLLQPLKNMVKCKNMTYVHK CLFSYFLHNYAHDHLKVLTKIFITADTNQDGSLSLEELKELFSIEGKDLIEQLDLQQELT LDEFLLLASNKEIILTEDNIESSFKLLSRQSNLVTQKSICKFVNNCNEQLIQQDFNTFNL HDVLDLKEYREFLINYETPIPIV >CAK57165 pep:novel supercontig:GCA_000165425.1:CT867990:178398:179474:-1 gene:GSPATT00028200001 transcript:CAK57165 MIIIIFIALICNCYGQIGQTICSDFYESECFQSGYCYWDDFQCNPQPCHLVTEQNACRTG GALQIGCKPVSYTPPQFVASCYSSAYTKQKIYLYRFISDLSPEDRFQTSVTIEQPSVEAM EKLYKLDLLSSSNFELNNILDSYLNQAPILIGEYSHPYYLERAIYESLQNVRDDLKIIKS ERSDTMIKILELIDIYYQRLNTYSEKYSTFYNFVNFNHIHFKYLGFSFQNLIELSWTTYP ENGFFSLTVIYPQIFGIQSAVSPIFMIRTTNQINLQYTMKWAITTTDFVQLRRIDLVSMN LYDAEYLPNCISGYCTVSVYGPGNYLFVTPTIPDNCSGITDLTLCILAKCNIVSNACV >CAK57166 pep:novel supercontig:GCA_000165425.1:CT867990:179791:180497:1 gene:GSPATT00028201001 transcript:CAK57166 MYTGTISVIYGPMFSGKTSELMRLVKRFTISERKCVVLNYANDNRYSEEQCISSHDKQFL KAIKVCKLNEAYEKCKESDVVAIDEGQFFTDIVEFSEKMANLGKIVIVAALDGTFDRKPF HNILNLIPLAERITKLTAVCWFCKKENASFTKRIVQSQEIELIGGEDCYKPACRVCFHLA ETQSKSQSMYNNQDNHFEQKKI >CAK57167 pep:novel supercontig:GCA_000165425.1:CT867990:180547:180914:1 gene:GSPATT00028202001 transcript:CAK57167 MSFNGNALLTPSRYGGESWNAQTCFAAQEDYFECLDRENSTNVNRKLCGREHQLWKDVCP DSDRRAQMIFRRLERQNQALYTSEQLRLYNNQQNNRMFK >CAK57168 pep:novel supercontig:GCA_000165425.1:CT867990:181809:182648:1 gene:GSPATT00028203001 transcript:CAK57168 MQLSCMFCDHKGSLPGLLNMALYSRYQTDFCQLQLRSQTTIRFQDQACLEELEDYYTFPH LKDNQKQLIEYYRYHRDIPRIFIKNITPQLEAYHEKQREIRYKKIKKQLGMHVQDTTRDN MIYSFKALKGLSELQTTRQLQQLVKQIKPLFYDKSAIATLIQQQKQQMVQSPQLKKLLKM DNINTVTTQATKRTYHTYHTLQDVKKKGHKKQLTLCTEHSPEDRNDFYKRWSDVLSHRQL QYHKNKIKNTLLNKKTSLK >CAK57169 pep:novel supercontig:GCA_000165425.1:CT867990:183350:184105:-1 gene:GSPATT00028204001 transcript:CAK57169 MDGSNLTSDGQKELQTFLNFFNLKKQEAMREIELEFKDFSKFQVQDTLYNKDDVQELFKK LEGSLEVLMNKEVSKIIYMCGVYVKIFLSVCSDQDFHADFNFIENVKIIEQMKILEKGGT IVEDKPLQSKVRYSRLPTLDTALQKQMEETVKENDFLKQYNQKLQNELVVLKKEQVQDAD QVGSFQDQINQLKQENQQLKQEMEKKLNDCVQFKTLKQMIQEKNQQIKELQMKLQ >CAK57170 pep:novel supercontig:GCA_000165425.1:CT867990:184147:185390:-1 gene:GSPATT00028205001 transcript:CAK57170 MIITVLLGILFQEAQSIRYQIQRHQTIMDPIHDIHQVEYRVQMQFGSQKQPMELIIDTGS SDLWIPSIDAKGFLNNVNRYDCKGSSTCINTHSSYSVFYDDGPISGTLVWDQVWMHNIKF NQSFLLIERASGNMASSGGILGLGFNSNAANPNPSILESLYQQKLISKKYFSLYLKDEGE FGSYILFGGIDMEFIQKDQKISYVPLISDTSYLMGIEGMSIDDELFSITTALVDSGTSCL TVPAVAMDDMLQVFAAKGVECSYRVENYAPSYCTVHCFVNATNEQGIIDSFPIIKIRVNS SFELLLNPQDYLYSCTILDGSQSKCNTKLERSQSDDILLLGDAFLHSYYTVFDQTDKQMG FAHSINNKGLKLHVKTRHLVNFAQMLILYIALLLVI >CAK57171 pep:novel supercontig:GCA_000165425.1:CT867990:186275:186781:-1 gene:GSPATT00028206001 transcript:CAK57171 MDPQSQKIHKVQDSGFEQNQSNETTEMTQNKGDKNDNKNLLNSEINEDVSMKKDDVQAKK KDEETQQQSKDQNQMYKIKVQTQFLNNQSSYINSNSQACIQTQQIVNPSTEKGGEQNNQI HQILNKETIVKLLKKNGFNYFNEKLQQDEKSLLPSPWDQPDVYSEQNS >CAK57172 pep:novel supercontig:GCA_000165425.1:CT867990:186978:187826:-1 gene:GSPATT00028207001 transcript:CAK57172 MINNQKNKKQLPIIIQKYEDSLRDSKYKQKYRKAKGSYMYDHSLSVERANYYQSKNKIPT RSSSNYQSQKNDKDRFLYSLASTSKNIKNQKSKFTFTTEEINNQNQKLKTDVQLLYQLIN LEQQSPNKLQSPKKTIQQAKLINPIQKNMKDFTANQLIIQNCQVCTKSRNGRIVQIPCDH FYHYECFQQYLEKCIKKGYSQIHCHCKAKIPQQILLNNSNILIIQRYFHNQYEKLKTKFF KHKDFEKCLNSFCNFFWINDGSKRKTIILSYCTNCLNQNCAI >CAK57173 pep:novel supercontig:GCA_000165425.1:CT867990:188079:189086:-1 gene:GSPATT00028208001 transcript:CAK57173 MILSEREYLNVKGKQYQGVHSKRICSPNESLISVNPNPSLPQLKLQAKHLLAQSIHKDIS GVPPFSQIHLKPLICKNKSCIYLVRNLEDKIAKYIMKIKEIAKENNILRKKLQMDRLVVD GLISSTHRSQQSSDFKQLTEEVQYPRSNFMSLHDVHLISKFSNEVSLANLSQKWTSDIVN NLNTLFQQPFLDINWSKPTTMSMPQSNQCLKSCQNNVQTDLIELNCRHTYHKNCLCEEII NSPKLKGYFCQCNQQLCKQDINQLNGVQRAIIKEIKLNSQLSQLIKKQDTFYKCQQTTCQ FIIMNSEFENLLSVQSFCQSCLTMRLFYKKELTEK >CAK57174 pep:novel supercontig:GCA_000165425.1:CT867990:189126:190094:-1 gene:GSPATT00028209001 transcript:CAK57174 MIVTYNNQDYKVGYPKTLSKMKKKLQEQIPEIKERELVIMKVHTKEEKKLIEQFKYQEVV QSYKKNQGPKLKCYILQKLLQSLSFEFSQQKQQHEIDLCKQKNNTLENTALQIDSNQQMT GKLEQFCSSEQQNQQKESVVSGVISEISTFYVEQPLINQNNQEINEKQQYQKEQITESQF DSILNRSQMDRGNNTESNLQTMISKCQICNQKIVQYPYFLSCSHVYHEQCLKEFLINQIK NKGQKLFCHCNKQIIHPCSILETLDLNYYNSKLLDNQLNEIKKTYKCIKRCPNKTCTFFV INTNNQITKSFCPQCLMQVLLV >CAK57175 pep:novel supercontig:GCA_000165425.1:CT867990:190159:192073:1 gene:GSPATT00028210001 transcript:CAK57175 MQWQFLNCVDLHSQMLNRESGKQEIMLARTNSIYNNLCKINQIFNYLLHQILINMGICTS QNVKKQQEQRQQLKIVLPNKQSQESAHEPQILNQSKREQSPQNSQPSQHSQRSIHTPAII SENQRSPVQSQGLNKKCSKQMIGKLQKSPSQRSLSQRSLSNCSSPLRGSVQIGVQFYSTN ASKKYSFMHNKEIQMNQELSFVQNNKTGTKARLQVLSKAEEDSIKFIYWLKSNQLDHQNI LKNLEVHQDNTCYQLIYEYFDGGKLSRLSSQMEITEQILANIADQMFQVLAYLKTQQMVH GNMTINSWEYQFQSGQVLVKLIDLKPISVKGVDEYEVLLFTPPDALYKNEYQASRDLWAV MMILYSLGIGDVPYKIPLKLQSDIPAVKQYILHFQFNVEQDLRKWPADFRYFIESVIGER NKKRRKTFEEQRNNHWLKKHRKEEISTQERLLKNLFTQKESCELQQTILEIMVQELDQET AMQLQKLFSEFDSDLDMKLSKEELIKMFEKYTKLTDLEYHVNQLFVDHSIKSEFMAQFTF LSLAAPKESLLTRANLETTFNLLSNNKAELRAANITKYLNLVNEDLETQFNDLASEKKLT LEQFISVMELLK >CAK57176 pep:novel supercontig:GCA_000165425.1:CT867990:192117:192714:-1 gene:GSPATT00028211001 transcript:CAK57176 MFQAEKGANAIPGYTGQQCLINYALDSFQVKTTIKTYCKFKATEIISQVHCLCLCHQDYA GFVPGIKSENLFGKTFGKITLLSSTHEHHRGSDLPADIRYKSEVKEAYCDQRDQRGRDID LYGKLDSETSKALAYTLSNLTHFEQTNTLPKRSDSKDRQSNLTYEEALQKLK >CAK57177 pep:novel supercontig:GCA_000165425.1:CT867990:192728:193303:1 gene:GSPATT00028212001 transcript:CAK57177 MQNQELNQFVKYDQFSSYLTKSQVQYIETRNPLDYYQKNNIQTQNWDSSLLQTKPQVSQE LDKINNLVDSLFAKKDSQLYQKQEPKERNQKSFMEKFSPMGNLLSETTQSLDYNPPQQQG HQSMLDLKADILNVRSISLKQERPKEVKTSNVQKVIEQKGLNLRQSAPLSLYELRQINNV EIQKALKVLQK >CAK57178 pep:novel supercontig:GCA_000165425.1:CT867990:193320:194895:1 gene:GSPATT00028213001 transcript:CAK57178 MQQQFDQNIRRLGDYQFDSKYLLGEGAFGKVYRGSKISTNMEVAIKKIDSSMINKDQYLI DALNSEVQIMKQLDHPNIVKFIDKFTTDRSIYIVTEYCADGDLRNIMKGRRIPETEVNQI FCQLASGFKELVRANIIHRDLKPANIMNHRGIVKIADFGFSKIVDNFNGDLLRTCVGSPL YMAPQILKKEKYTTKSDIWSLGIIYYEMIYGNSPWTGMDEKSLTQNIMKQPLRFPSHVQL TEFGKNFISKALEKEESKRMEWNELFMMFEQQKRSLPQAKIQFEKNKPNILDSPQSLQIN EAPNSQLLSQLNILNLQRMHLNFSHFVNVEITQHISIITQHYKKELYFELLCLLSAKFTA NSFKLLQTKVISLLESQKKSNEYSKFISQIQNEYQLASELCTNTLQYFDRIGLLSSLRQL ADFQTFIDIPELNQNQLISVEKIIKERVQSVSKLILQACKDNEVLILLDYLMEMCLNRSK IMNGNNNVDFSFIQERKIQPDYNSYLNYKLSEMQGY >CAK57179 pep:novel supercontig:GCA_000165425.1:CT867990:194899:196644:-1 gene:GSPATT00028214001 transcript:CAK57179 MKYLFVSLLILKCISFDDVTLFEVNLNDLVINLHQNEAKGLVLQQARSAILESKNNSLGC EIIPLHLKMETQELRIIQDFNFLESDLNDELQFRQFISMTHINDGMIAITSDFIVYLLKF NYIFISKHDFRETGSQFAKIVWKFNLTQLTSAIHLEQEFPKLLYAPSSNVAFLLQNDRAQ LFSLAQIESQGSSLNVYEVPSWKKRAYRGFTKEVDGLIFSAVGTDGLDVYKIVEKGLKFI SNFKIFHEYQQVNIIDFSIIKSDKIKGKYFFFILDKENGLFLGEIEQEEEEVKYEFNLWM DHLIGGISVDTRNGKNVFFGYYQQGLYYLREYYINLQNGYNFVIRTKQIESRIIDVDATD EFVIVQGLNQHTIVFSNDYDYLSTNNKDQIFKHVGLRDFEFFNQVYKLEGLNDITKEYEN DAFFFGITSQTAFLTRFRVQPIQIRCLYHPQNSIVGESYQYILQYNMTHKNLTGFVVRYT QNVTVNIIETYLYENDMEIVKISLFALSLLLLIILVKTCSWLDSRNKIINKINEVIENMK MNKGYKEDNGPQTGKIDETSVFQIQNQSIIQQ >CAK57180 pep:novel supercontig:GCA_000165425.1:CT867990:196728:197208:-1 gene:GSPATT00028215001 transcript:CAK57180 MVLHIPNFLVKGVVFSWMGYLTYQYFQQVPLQYQLGYIDWINKPKSQMPTSTLNGEKVEI PEELQQAKLYQENHCIQLNYTDGQKLLERMKTQHVLEEQVKYAIAQKKELRQKLINESNE KEVEALEQELLQLNQLFSKIQSKNVPKD >CAK57181 pep:novel supercontig:GCA_000165425.1:CT867990:197769:198329:1 gene:GSPATT00028216001 transcript:CAK57181 MAQLSTNRFSLPSIGQKDAQPKQIPVLRAMRPSLFLNQIDIIPNSLKTEAEVTDRSISKP IRTEIESDPLIEHSYHFKLHKLGTQRAQRIQQSLPDLPLMNKDLSDASPIDYQRPLKRTR LFLRRQESKLDAPVLKFQRRRVEFKKSLVVIDIETGTQDRQELNEVKKPLRRIAHQKTRS YQKKLE >CAK57182 pep:novel supercontig:GCA_000165425.1:CT867990:198503:199367:-1 gene:GSPATT00028217001 transcript:CAK57182 MDKEFVYNLDNGNYYVLDFINQKVQKTNSRGKFPENFVPYQSGISNSQQRQLKLKYHKSV SYTPHQNKYFGYCQCPNQKIKHTSLAKVPISNNVSDRIQDVKPTLPVNLVNCFNKPKTPK ISLTQNIYPSKYRITETNFDDNLIPHLSGTIKDASQVYNSGSIESMKYRKGTTVLDKTLR FQTNEPDYLRFTCRYLNTKKIKSPPYKVMQRYEIINKINQDKDRKIAKSIDDSLIKRIPP VPYLQTLSSNVQNTFYQNVDVSLSHSIDSQKIRAFISLR >CAK57183 pep:novel supercontig:GCA_000165425.1:CT867990:199500:200936:-1 gene:GSPATT00028218001 transcript:CAK57183 MNKYTLRFDNPTLESEYQKTEFDAFRSKTNSYFLLIYFLISCLNFSSQFIQVPENPQHLW PIANILLIVLIFVITRKYQYLGKQMIFLECLVAISSVLQITYSHNMSSESLNSVLPLVDI VSNLHFLQIFDISCVQITLTMGLKIYLSCVNQPEINLFLVLTSILSSTIQIVTIYFYKQW SRQQFTLYMKDLIWQQQLSNIIQKPFFKFSLDPISNNLVTLLSSKINLFPGFQSSFCESC NLRTFLRLCQNKNISLEQKLINDYQAERLSLIQHNYELSYQVNSKTVVVRVCNINVERFK CLIILEQCTQQKKQVVSTSNIRKSMLNSLTSNSKLLNHKFFKLGILTILHFNNRIVGIIN TYDLMRKVVSYFSFLNIELFPLKSSNAYLLSTYKQQLFIFMICIFNILTTQIKSKNTKIL VNLSNDEGTINIIIKGVNEVIFLEQYKSNMFLMQSQQQLLISPLNKNLTLKLQTQRMK >CAK57184 pep:novel supercontig:GCA_000165425.1:CT867990:201119:201631:-1 gene:GSPATT00028219001 transcript:CAK57184 MVVRPFRAGTSNYFVYFVRSDSFRVPDVIQPQKGITLEILNTDAEQRLILADAMSYAQYE FEIQENIELSTLTGIIKNVLGSCCECLRIGRDDFHYYRQWRKSPQNLSEFCQLIKGSIAV INNSSSGKVGTSPAAAFLECFVEKKVRLLHCDVSSV >CAK57185 pep:novel supercontig:GCA_000165425.1:CT867990:201784:202549:-1 gene:GSPATT00028220001 transcript:CAK57185 MQDSITLILYRNTLSWRLLHALRLRWVCLYQPSIKNLQIRLSKKCSIQIQGVTEGNQIWG MIIHLNMLQCRLQQGFTKMVQNWPWKVSSQVVKQKVEDNSICVLLPHNYTIHDTAEFNGH FNLQQKKEGGKSSSFPINHLNLLLQSQKEVIQLKLNISGIQDNLHHLPKSVPIRPNVATT EQMNKIQRQSNLTLIEGDEFLTAGWQRIYI >CAK57186 pep:novel supercontig:GCA_000165425.1:CT867990:202572:205462:-1 gene:GSPATT00028221001 transcript:CAK57186 MLSIFYHIGYFGSKYPCFVFLICLTLNGLMSLGLYNLTVLTDPQSLWVSSSSRTYQEQES SSKNYGPFYRTNQFILTYRNENWVNVFQKEGLQVIYFLQNIIRNRKVLVGGKNTTLDDLC YRPISAKGCYVPSPMDIWLQDPKLLEKDKDIQFTTLCTESIDINQTNIPCSDQNGIPIIL ESVFGGISCESRQNDTQPCDHCYIQARTMAVTYLLKNDEFTKQNAELWEKDVWMDTLEAL NKRDYKKLYGYYNKTVMTAPKEGLLDQYKVAFMAERSVSDEINDETNQNAWIVVVSYLMM FAYIGFAIGQFPSKIYNGFTLGLGGIFIVALSMISSIGLVSYFSIGLTMISLEVIPFLIL AIGVDNMFIITHQFKKQKHPTIQERMGNTLEQVGPSITIAAICETLAFLVGSMTKMPALQ SFCIQAAVGVFIDYFLQITMFVAFLTWDEERKKHKRYDLIVCKQDINYQFRENRKLIQTF FKKTYSRLLQNPICIIMTIIIFVALFVISCVGITKIQVGLDEQVSMVEGSNLFNYMTLEK KYIEIGPLAYLILENLDYQDPHDLELVANLSNSLSRLNETVQPPIYSWVASFNLFTRKSA EWIQACETQDIALYDQPTQLKRFLGVRINSPCCQRYGICGETFEADIVLNEKGYVKTSRL RFQHRPIHNSAGYILSLEQTRQVIDKVVQQANLKEGQKVYPYSMPYVFYDQYSYIRAVAI TNILLALATIFFAMTIVQDVICALIVVLFVFLIAFNLIGTIWLTNIIFGGFVIEINAVSV VNLVTCIGLAVEFVAHIIIKFRLCQGSRWERVREAMSTMGTSVFVGIACTKFIGVAVLGF APSTLFKLYYFRMYILMVILGAFNGLVLLPIFLGLVGPQSPIKKIRIRSLINKSGSYSNT TSNASGRSKGLI >CAK57187 pep:novel supercontig:GCA_000165425.1:CT867990:205625:206137:-1 gene:GSPATT00028222001 transcript:CAK57187 MQQQIPLRQTNQIRPSIFLNNLDFNSALLSPKLISSDFETSPLISQLRYPTKLPLIKEKP TISISNKESLENTLYNDSSSQPSSMKIAFQSGERLKLPLIQLASPQNETKHKKNLTEGSL AKKRVEFRASILVIDFINQVMKKDKIDGSAKPLIRKGMKREQTKFQPKLG >CAK57188 pep:novel supercontig:GCA_000165425.1:CT867990:207485:209094:1 gene:GSPATT00028223001 transcript:CAK57188 MGSCAGSSQNKQKQLRDERIRTEPDKERGSDAFTGGINLSNVSRKSAESKGLDLANQKRK TMKKQESLKVTLSITNDPISKYYVKKNLRYLEGVNFKDNMFVVQHIVTGKIRVAERFSIT TTTSAFINKIVFLQLNHPNLLDIYGIFQEDNYFTIISDYCNGGSLLTLLSERNSHFEEEV AAQCCRQIYEVMGYLHKFGLYHGSLSLKSFEKYNQGKNKFTLKLVEFSCLFCKSDVDENN VQFLSPECCNEKQSQTAARDQWAVGIITMAITLGKLPFNAKSLQECLDNIQQSCKKEGFI QKELEKQVGNPLIKELILQFLQVSPSKRIDFFQALECKWMKNYEQIFKSQFKQCLDEITK RKEANFLQSCFLLMMIKQFDDQYTQIQEIFNGLDLDKNGRLTKPELVKHYTQYFHPKYNA HEIQEFVDEIFDASDLNHSGDIEFSEFLIALSNQKTIITNENLKCIFNQINQGKPFGFNE LQPLFRTKETELQNSLQSIPNQIIDFEKFQQIMFELIENK >CAK57189 pep:novel supercontig:GCA_000165425.1:CT867990:209097:210835:-1 gene:GSPATT00028224001 transcript:CAK57189 MIQLPQIFKYDYSEKQYQQKINTFMGVCTSHSQKQRKIKEEEKNNTIQQNKNSNNGSLKA NIENAHKNSKSPSSQLSQQGNQKQKIDMGAILDVGRRKRGSVQTEKKSQYSQEKSEYSNK NKSNKKTFKVNISLKQVPLQLCYEIMKFDEHNVLIRHLITKKLRIGTLYESNAQTKELVQ QILELQLFHPRLQNIIEILEFENQFLIVKEHFENKELISIQIENQSEILKQIIEIIEYLH QKNIIHGYLNIKSFQICAQTEKIKLIDIEKILSKPQHKEEEIQYFSPEACNQGNIYTKQR DCWAIGIIGLELFTGQHLFQGDSVESIQKDILSNHEVIIKTVINQIKDVQYSELLRLLLN SQQKNRISLQVASTHICFQSQQVISKKRINQLLNKVFQLKKKSCIYQCLALFIIKNININ FNEDRENCLFYEMDASNDGKVSKNELMEFMKKNKFTEDEIISQFIEIEKQNNGIYFDYNE YITSLFETSTCLIEDQLQDAFSQLTYSNQGITLGKMISLFPHREGQLKLEFNEFQDKIIT YPIFKKIMCQ >CAK57190 pep:novel supercontig:GCA_000165425.1:CT867990:211252:215739:1 gene:GSPATT00028225001 transcript:CAK57190 MNSNLHSNTDKQFKQQRQCISKQDGLVNEISVMTPNYGEVVCLNSQKAPTKRSIQIESTN QQPQRKDSSITEIFQNVISLKKEKQKYKDFRINSQCSIKPENEVQFNKRRVVSLECENNI KSEICSISIPKSVKLLKTDLLKDKKEVNTRQVVKSKDRCTFIKELFFISTIKTIITIYKN IIKYHKTVDIKNLPQLSSQDQIELYSAEYVNQMRQDLKAKVDFSFYNLFFWKFNSCFTLT MLILGMIESNSNFIISLLLFNIIENVQNGNKEAAQLNALYLTFLSIITIIGRHYQYIQLS KFGGKLRQILMYILIEKISTLSNSSIQKSNSGSILNIICSDLSTLEWQYGYIYCIPIVPI SLLCSGWILWLQFQGPYGLMAVLICVITYPTQTIIQKCIQSMIKQLKEYQDLRLKQQTNF LDNIQTIKMYCWQETVRQMILRTRLLEWKKHIQIQILTLIDRSINQSINIWGSFLFLVII YNLGIPLNLQKVILTIQLMASIRYYCVHQVSYGLKAIINLNVIFKRIKDFAQIEPLFAQI LEFHNDHPNINGQSYLELSSNKEMSQFSLIKLSEKANQQTKASFQILQDEAISLRSVYCY WELQKQPLLKNINFAANRGQLISVIGKTGSGKTTFLQLILKEIPYIQGQLELADNLTFGY VEQEPFINPTTIKDNILFGREYNKELFNEVLKASNFLTDLIELPDLENTVIGDNGATLSG GQRARLSLARALYAMPSVYLFDDPLSAVDTKVAKHIFSKAIQQFIFQYQPTKRNNHPSPI VILTTHQLSYALKCDYIVVLEDGQIICQGRASDIGQQIEKILNVQPVEINENAQNNRQQL SFDEKKKSNNFNLFCNQTNLLTPNEQVIKTIKKLILYVTTSKLLLILALNILTEIIRNLF YRLLTLNADDEGIRKYAFLISVLVITHLINNVLKYSICSYVILDMNNKIFSKSMQALAHG KKSFFDKQPSGIIINKYSTDLSILDNQMPIVLIDIFEDGCQFVVSLLMIGFLQPFFFLPI LLTLFLSYVLFKTVVQILSQLKINDLTQRAPQLSQFKIYIQGLTHYRLSNQFNQLKQKFF TLANNSFQSNYIYHLTQKCFSQYVDLIALFTKTSGTYLIIAFIEDNSLFSQALLLLQSFH SQNTLLRQLMELSGLFNSYERLLDIFEIEKEKDDDKVSTILPRAWPKQGSIVLKNLSLQY YANQQPVLKNIDLEIKPEEKIAFVGRTGAGKSSIISALFRLVDIGSESSILIDGCNVRDL SLNQLRRALAIIPQQPLLFYGSIKQNLDPQNLYTDSDIWKALSEVNLDGMIEQQKQLLNC DIMDLNLSAGQKQQLCLARVILMRRKILILDEASANIDILTDQAIQDIIRSKFMDCTILT IAHRLNTIAHYDKVIVLENGEIAEQGNPFELLSDDYHAENINKKTKFAEMVLETGNKNAQ QIFGMAKESYYIQQLKKKQKAKM >CAK57191 pep:novel supercontig:GCA_000165425.1:CT867990:215768:216982:1 gene:GSPATT00028226001 transcript:CAK57191 MLQRPNEKLQQKVTLYKDKIRSQLKKKIVIIKDQMKLRHSKIQLDTPSQKQSNIAADFSD NYELGEKLGEGAHAIVHKAIRKCDNQEFAVKIFRSSDPEIIASIRKTYQIGSMLQHPNLI KVKELYINQQNGYSYQIMELCQYPNLESQINNLNLNEIKTVMRELLNGILYMHKQKICHR DIKPDNILFDGQNVKLLDFGVSKKFYVKQHHIDMWTPTGTQFYCAPEIYTKVSYTYKVDI WSVGVILYQLLTKELPFQDETAHGTIELICKAQFKDHPALDKVSKDLLSRLLWIDPKKRF NADEALKHLWFQNKEIKQQCMDDMIVHDGLVNNSSLIIQLSQSQNRNTYANNFETKVEAF MPTIHVKQSSFRDSS >CAK57192 pep:novel supercontig:GCA_000165425.1:CT867990:217026:218467:-1 gene:GSPATT00028227001 transcript:CAK57192 MDSNTSYNMMINMREQFRQEIRKKDQESFFQRKRLQFQLSQKLPEIQFDQIIPQLKECLQ QKNVVQLGLIHQVIFKQINDNQQNEVYFLNNQYLELCIQSIQLGQLVCYQVLTNLCLNTQ FPNSAISQALITQAMNLIIQANLQFENKPQAGSNVTNQVLEIEQKSQFIENLENSYKFIF FFLGNIISQFKLSYLNLLPNLLQFVSVAEGQFLTSIIFLLNSLIEHQQIDSNQKFIGLKI ALQALKKEQCEDYLMPLGRLYDSQFDELLLESNVFETIIDHQCTMMDKCLVIIKQFTLSD SLLVAHKLLDLKILDKLLQNLSNKSQSIRKESYLILANLSYNDSNAAIKIITHPVLFKII ENIIASPNEKSYCMSIILNLQATGDEIVSKKLLDLGFLQIICSLLDVSEVGLIKQFLDSI LRFLISQNIKEQLRQQNFKLKLDKILQEFNDKMIQELHGKILQLL >CAK57193 pep:novel supercontig:GCA_000165425.1:CT867990:219473:220316:1 gene:GSPATT00028228001 transcript:CAK57193 MYQWDLVNESSDQLRMFSYCFILWSALFILCYDRIKLNNLSSKRQIDVFNRIVSILHGQF TFWGSLIVILSQTPYQLQEMNSSEMQFVMIVSAGYFAYDVIICTYFDLYDYWLIFHHVVS LMAFGESILYKKYGHIIIFGMFITEISNLPMHLRHILGCFGLRQTKIYESIEIFYFSLFI IFRGILSPVLLIRTYEDLHAPLLIKISASGLLVYSAYYIIEMIKITQRKIKSYRERKRRN LKMYWFSQNPLLPSQKYVDSIL >CAK57194 pep:novel supercontig:GCA_000165425.1:CT867990:220476:221912:1 gene:GSPATT00028229001 transcript:CAK57194 MNKFTLKFDDDMLEEQYQEVKLAKIRKPIYIGLLVLCFCMIGTNTILNLITSQQSLLKKY INYSYLIFMVFQAILVYKKPTLIKYLLIASNITTGLLQFNFNDSTSAQIYYAQSSSFTQL QAVAYFISDFRDGVIQVIALLFMRLMITSIKIDDVDLQTVLIGMASTSFILVTLYVNDQN SRKEFLSSISEDLWDHSLSILIKKPHFRVQYNKEFMKIDLISQNQLCDFPGYDENLCEGC NCRQILHLYQYKQSSLSEFVLDQAQTIQNSIKVHYKHHLFHLRIVCVGIKNLNFIVIFEQ QNADANGQIVPSKMRNVIFQQIKSQQQRSIYQIFFNQGLLSLLLINQMQLKEIEITQIFK KLNKQYKYSMKPIKILPNNVKLKLQTYSSQLKVFLIQIFQIFDQVSNKRITIQFQDLEDC ILMQIQFKQNLERNVNLLFLSLYSQNIFIQKIKSLLLFNELNIDLQIQFKKHIQYKLI >CAK57195 pep:novel supercontig:GCA_000165425.1:CT867990:221939:223530:-1 gene:GSPATT00028230001 transcript:CAK57195 MLSFIYILFPTLSIAIQSYFVKFGEVKTLFEAKDALDAQLIQIDDEHKIIANAQYCYLSN RTLYTEGQTFALSTVEQGSEVVSEDSDLLIDMVILGENDIYGLTINNRLFHVSLNSKTTK TYALNFTNYSDSLPQLIGYSNNLIFAYSNYAFYLNFDNLKQQTGIQNWQSRQTRYYSEII DCYLFSAIGLDGLEIYLLNQEYQSYNLNNQSIGLLAVDFRDFSIFKIKDSNYILYILCKI NGVIVIDLTISNEEISSKLLLKNVGPKNDGIALTINSGGSVFVAYKTKNQYYVIQFTVEI NSRKWGSVNRFNISNKILDIDVNEEFILVQGFHTHFLIYYMDKQNAIPFQLTSVKQFVLA DSNIYGTTSKYLFQFQPKIQPFQIKCFIDKILADSKQFERKYKLLYRTNQGWKQREFKVR FNQSSQFISIQLLFFILLVVVILILLCVAYNQCQNQRQKLKESQQLEQKIKSLPQNRASK LLMPHTFRATNTNAETRINTNNYQDENTMLERDMKIS >CAK57196 pep:novel supercontig:GCA_000165425.1:CT867990:223579:225106:-1 gene:GSPATT00028231001 transcript:CAK57196 MNDPLGGGGEKKQLKSSFILTKTSKNMKNSQKLGGIKKLQQNCKDEELMQQEQIDQELKD EIIQMLRMDEGHVSAKDAIIALKSENFIESHRATIGLRRTLSKNFQQTELIAKENELIPI LFHVIQYGSTYLIKLEAAQIISILAGGRSEITRIIMENGILQLSLSVLDGNQSELIMLII TILGKLAGESVRYRDSILQVCSMEKIISKMDSQYKSIYIWCLANLCIGRPSPRFDKVQSA FEIFAKVIMEEFNQQNLKMINDAIWALGYMIDGEPNRIAALINSGVVPQLINLLPMGNFV SILRIFECIFYGTEDQIKYLLECGFIAHIRMIVDPKSRENSGDMIQTFAATETLFKVLSN QNFLVSLFRSLSDDNSNLRGDSLEVIGNAIQHGTNEDVNQLVKSGLIQYLLRMLEIANEK EIAPNHLKKGLEILIKIIRKGEIKVKDKKFNQFFTLFERLDGIGIIQKLSSYKQDDVAKY ALIFKQEFAI >CAK57197 pep:novel supercontig:GCA_000165425.1:CT867990:227160:227426:1 gene:GSPATT00028232001 transcript:CAK57197 MEQFLNVYKELKKMVKMSSFYQAQKKEQKSNGKQQKISQKSKKIKEAPLASREELMQKLK NKIDSLKADRTTKKDYVPERKQRQQNKK >CAK57198 pep:novel supercontig:GCA_000165425.1:CT867990:227476:234966:1 gene:GSPATT00028233001 transcript:CAK57198 MQKVALALYEYIHKKYVAKMIRRSDDLYSRQIEFDMGEAVNLKGIPSAELSNGIRSYLSY PQMFREYANRPGNWIFIIINIICLSIIDLHGEDFSLFYQFVIPLLTGIAAFLVKMIYYQY IKYQQDELINKRKVTIFKRLKKGCAVKKPKQEKALQEGDNKNNNPPKNEIVLLSAPRKQD MYKPMIISSFREDKKMVLSPPLQTEQKVPIFETVYWDQVEVGDIIFLQRNEICPADILIL ESNLDHCFADVTSFSGNTNQIQKQPNILTAMSRVHQFKNNCFEYRLLLSGKVNYDRQSSD KSLYSGFVRLKNDPQAIDIKKENILFREQLLLNCDYIYGIVLYAGMSSRYYYNQPKNRIN NSFFYTKIDRYFQFTLILLIVLSFASWMAESLRYISYGRGWSLQTLAKYLLGYLNMIPYY LHSIIDCQTLISMIIKSHQFKSSTQEETNKDKDTSFQLQSFYSRVNDTPLADLSLVDSVI FDKTGTMTIPQFKLKMISINDQIYNINNKTFVNKTNWKSIQKQQQDHYEIQQYFLKDTQS PVKIASQVKISPSPKQMNGSTKRSSIKPIKPEEYIPPSNSETNNNDQANFDPEEMVDDHT KLKEIHKSKQPKLFQDSWGSNTDIVNANNNNPPSQNNQQNSSQQNEIKRQTFVGGVTIRR KQSIKLDRSDKQQRRMQLEKSMVFTKFLSDEGVKASFERLSDEQKNLDEKDLFNRLITSE TKKQTEEAIFILMICHNTSSSFNKTEDLFKHEFSSNVDSLQLDFISNFDYKFICAAKNKS KYILEIDGNLVEVPVTVTQLEHYKISVIISRQDYTRFFEDVDLAYEYVQFIRDDSQEMSE QILMDKEERDKWDAMIYRMHQNGCRPVIFFKSFLDANQHTLFLKNPIQELKIQPRAYELC LVIGIREKLRKSITTVIKNFLAADMIIWIASGDTLDKVLPIAYKTEILKDLIPIIHFDQQ EVNLQIKQQIQWLYNNQIKHLKEDSISVEKRQTERMLLSPNQKRMSIHSNARRQSTKGLL PGLPNNQQKIKPFSILIHGDTLEKISQDNSLFNHLAFIIAFSTSVVGYRMTKSQKAILGR ILQEKQVWRRKILVIGDEINDSQLMAYSDFAIQLQSERQLQKTTIEKMEQLQRGKKDQKS SRAQLTSGKNLSNIIKHSYKTIPFIGMADIVVKDFKNLISLVLNESRHSAELLENIITFS FYRSYLLCFTLFFQILFTGITFYPPLDFFQSLLYIIPCFSMFFQRITQKQEKVSSMKNQL VFFYNQNQLDFRQMRYWLFFYKVILYSAFEALIISVTLQNMDLKSNKGFSFDEQMKSIQQ FVIILLLDLTKLLTHANIYQFIILPIAYFGLCTVGYLDYFDYQYGELFQFVLSLQSILFI IAIQLMFFCFQKILTQFHSIYMHNHIYSPEDQIEINNKIKPIQIYNHKRKKENIVINIQK YVLKLFKNEEEIDPIIKQVLSGIVLSVKTTKINKITQTFKNMQLEIKYQLNELPSIIEYY RLYYPLSWMLVEGAILVQIWLINTDVDYTWFLYFTFGYNAAQVLMALFIYTVAFKKYHFR ISKILITCRLIYKIIYDAYFYQDNNNELTDMLIMQLFMLQPLIDDRPLTIIFYCFVVNIS FIVRFSANNTTSDENFNNYIMLNYYLIALMQTALSSGMHLRIQRNSREEFIQMLTLDQQT NSISDTLSILMPRFIRNMINQKGEFDIQENQGEVAILFCDICQFDKIIKTEKENVIHLLD ILFRQYDSLCSQYGLQKVETVGKTYMAAAGLKNIATQSNVNPVLRTIQVAFEMRRFAQQQ NFGTSEEIIVKIGVHYGNVIAGVIGYHKPQFSLIGDTVNTTSRVCSTGQDGQIKISEEAY RLVSGSQDFLFTQDIVDAKGKGSIVTYILSEQQMTKNLRNKSKSSKQNTNNYTSNSVTAI DSPAKFNRDKKVKRKPTLVLPHLKQNNTKLQHKSSLNVDAHQQQQSHGDSDHANHVGQKF SVEGANLIEAIEIKLGKENLLVDENFDFLSGEIEKEKLKQLNQNEDEFKECDVLILDKKK LFLDFHPDVDPQHIEDFYKELTKKNALLVILLKAGVATLLLVQNTSTILISQIFENQYTW FINLSFGYFIVTIKLGFLILLLRKQYRDRVFKETYFIYSYIVTMVWWIIHIFSYQSFIVG EICIAVGVFLSFMTQLNPIIKMKYKVIESISMISVNLIVVLVKNWEKSLIYYAIILQLIF ISNQVYKFNKNVQMYNNKCKLKAKHQQLDQLVKHQLPRHMLEQFLKFQQQRAVLKDSYDN VTLLFADIAGFTEYSSRVPPEQVVFMLRNLFTEFDKCCQEKSVYKLYTIGDCYVVMGMLD AKDRNIAQEAKNTVELGFEMINIIKRVRDHINFQGLDMRIGIHTGSIIGGVLGTEIVRYD IYGPDVLVANKMESKGEKGKLQVSSSTKEILEQHFPEEFKFTFHTTVEIPSIDRSTDGFF VNFKSYDEPSMDVQDEVSDC >CAK57199 pep:novel supercontig:GCA_000165425.1:CT867990:235275:236048:1 gene:GSPATT00028234001 transcript:CAK57199 MKTVPSSRTHHSTHRSSKHSAQSDDHHIITLQNTQRLHRTLQKKGTFTIESVPDTNQQAR NSIKSNAAVSPARNLLKTPTLIRKNSGYQVIKTNNRIETQQFNHHQPNNLATIPSLQELP DSVRQSEIQLIGEIKQNQDNRQNYFYTNTVYRVLTRCVISQGLIGCFLLLGLHPALCVLI DLVAVWIFRFLQSDRRWVQVLQLFISFGFDISILLLFQIDLTWTISLVVLIFVDSVLEVI ILKAQRSTSSETIQTQN >CAK57200 pep:novel supercontig:GCA_000165425.1:CT867990:236268:236641:1 gene:GSPATT00028235001 transcript:CAK57200 MQRKQQFESDFNNFQEASKTSLDQMFKLQDLNEKNKETCLRNAKTFELFATCMERHEGKI DNIAKYLSMKMLFIEKQALECYKGNTNDREFERCHQRVEQQLHDVYSEYYQGLLNL >CAK57201 pep:novel supercontig:GCA_000165425.1:CT867990:236845:237621:-1 gene:GSPATT00028236001 transcript:CAK57201 MLINKLPLLEQTQSPKPSHKTKLHFENTSYLQGEKFYKQPLVQKQKRQLSIMKSQTEIKK QIQSITDDSVSKRMQQSKQQQEFQSVDKLQLEFESKYQEQNRTIEDLKLENIKLKMQLEE QEQQIIYLKIINSDLRQQIEISSTDIQIKRIEQEYKDTYRRMDETLRKAIDENYESQIKM KNLQIKSQQLEQFTFMFKQQLTCKFCRKELHNTITVIPCAHNYCQRCVSGYVGRCFACND DV >CAK57202 pep:novel supercontig:GCA_000165425.1:CT867990:237669:238334:-1 gene:GSPATT00028237001 transcript:CAK57202 MQQLFDLKITKLVTLQVQDKPNQTRKHFNQNRMSLWNSFHDIWTPSGKQFNFQPFEQSFT QVQTTRKSNSLSRQKSQIQQSIENKQKILEDAYLPKKVRTVTPQVQIKEAPQIKSLSMLN YKRSESRSQTSRTQSATPMMRRSKKHQKPIEIKDTIGLQIEKCLLYDRYPKEKIKLDYTL VPQRRQKSSVESSRYPYNKFEFKHSITLAKWDQSEKSDELF >CAK57203 pep:novel supercontig:GCA_000165425.1:CT867990:238338:238985:1 gene:GSPATT00028238001 transcript:CAK57203 MAFSHLINCLLLAFGPFFVVYKARSLAEQGAYKTVLISILGCLLTQFCKLFLLASLSVIT EQLIHIKLLFDLIDCYGIILVSKQKTNIDDKISRIFAIAIGWALMDCVMKHLFTFIPNAT TDEFTWTFILRGITANLEFFETLFLVGLLHSKNKISYAMIMIKVLVLPNFQDIITRGGLS SVYMLVSRFVI >CAK57204 pep:novel supercontig:GCA_000165425.1:CT867990:239016:240461:1 gene:GSPATT00028239001 transcript:CAK57204 MLQECKSELASCKAKLISLSIKDMSKLLPMCKTSTSKNEIFILNDMIEKSDFDEEKERDN TKTSLRLLRNDVQRYIKELKGFTNSHEYYQKIESMAKNITNEITEYKTKMSYQFDDLAQQ EEALTDELKMLEQKMDNYDVVQKQPVIKRKPMQNNEETISELGLIKQKVDLIDEKIDQIK LLEPEDHREFLRVRTKHKGKVSQNFVNDCLKAIPFITEDQLMEQIENYNLLQQFEDEKKE LLTEYKRKKEENKQIQLQQEQQQQEIERQKQVKKPLVPKEERDKLKEDIKQWKIKKVTQK AIDEIEYDTKKDIEKQKSEMLKKEREEKKLQVQYYREQKLIEKQREQELKQVETMSRRYV SQEAIERIKMKENQLLEKKKSILEQKQKKQFDQEIKQQARDEDKYIKFAYVESKFNETTK AAEQQKRQKFDPKIDQGKYADTFGGNLLGAGRRAIPGWRQNLG >CAK57205 pep:novel supercontig:GCA_000165425.1:CT867990:240487:241686:-1 gene:GSPATT00028240001 transcript:CAK57205 MKIIAFLCVVIALSTATEAIDIFNTLPKTDFGRTILQTVQLELSNGSAVDSVVDSLNEIL KSAEQEKGAIQANLRNRQAQCQTRQDDAQSVIDRANAKRADDERKLPLINEELISKQEQA LVKDSEEQRNNDKLALITATREEQKQEYQERRNELTNYVAALGEAKSIVSGLGSVSFLQV SSSEHYSKFKEAYPKPRGYGIMVELLLKASTQAKTPEQVERLVATIQGLIDSIYELQKQE LLVDDAREVEFIRQREIILLANQTLAASVAELKAQVLHLQQDIVEVTNDVNTNKSIASIK TKELNDWVKTCQDEEKNLRAIFDSRVGQVETVNQILIIFNSGLSQELRKSIAEIQLN >CAK57206 pep:novel supercontig:GCA_000165425.1:CT867990:241756:242509:-1 gene:GSPATT00028241001 transcript:CAK57206 MGNNFCYSKSGMQSTMSQLLCQQRLNGCDVILTAGNIEKEQVDCIVQPTDEQFSNVPQTD KPTFIPTNGNCIFVGSTKKNKKNYILAVVQETNEISIQDQQDEERQLIYETIQNCLKMVS IHLNQANARQMRSVAFPVFQQKDHTTSATIMIMAIKLFINEQKSDSVNKIFITLNQIEQV STFKWVFQQVFEQLDQIKSKSYSSSINTTFMDEYKSIRQIQQQTVKEGTP >CAK57207 pep:novel supercontig:GCA_000165425.1:CT867990:243808:245500:1 gene:GSPATT00028242001 transcript:CAK57207 MYNRRPESRNSQITILLHKVSTGYEVLEVQTEQRKVIQKSSTQAPNPLPVKKESTTTNIT QEATQSKKDWFLQNWEAIIPAPARWFQIDSIHQIEKDSLPEFFHQHFHNDISYYKGNYKT PLTYLKIRNGILQKWISTQTKYLKFTDCLNFISGDASSLLRVYTFLEHWGLINFQYNPNN LPNQGQVYQQNGTFLERVKLNFQSNQINFHSDPHNECHICDMKAYPFHQQKKENLASFQL QPLLLCNNCFLEKKYPKFLKNEDFSQLQQTQKYAPWTQDEIYRLLELVYKHKEKWNEIAK YFTKRSLTEIVKMYLQLPYSNIFPSLDKEPSIPKKPAKEHITFHNDVSNPIQLGVACFKS QLDKLKLPNVEVIQSQEPQKMDENLKQMISVQMDKLEEQLLYLETYEQLINQEKQCVQTI QKKNLQMQVQVQTNNIVSDDNMMFL >CAK57208 pep:novel supercontig:GCA_000165425.1:CT867990:245876:246436:-1 gene:GSPATT00028243001 transcript:CAK57208 MYEIHVEHKLDIIYLHSHYNNVVCLLTYQKNSAYKLEIIINIMDYIYIKQHLDNDPKMQR FIRNRILYQTSDTYHYANYKKRMNQSFDQSIHQTTLQKFNDLSYLVIDKLRQSPTRKSYF SRPTSATQRSPFIFSSNCNFYKQALQTQESQAVRNFKRKLNSSKRCAQKQKKQPIEYTCR QYKFIL >CAK57209 pep:novel supercontig:GCA_000165425.1:CT867990:246850:247439:1 gene:GSPATT00028244001 transcript:CAK57209 MDSILKGSPMSKDGPLGYKGPLATIKYYGQKDPGKTLFETNDFAFQLRAFGLWSALGPIG PFRDHWDHWNQQELIDTEWILMGTTFMEQIYTRTYPLYEFYQSSYAKTILLDTSFLVEND IWCISYWFLTLQLNIYICFDSSGRFRFLLFDFTRERWQSNGLIKFGELNSNHIIECEAEY LTYY >CAK57210 pep:novel supercontig:GCA_000165425.1:CT867990:247575:248116:-1 gene:GSPATT00028245001 transcript:CAK57210 MKQSQKGILKKNSQQDLDMKKELHWDEHTIQQQDLERGNKTKINEPKTPYEDEIIQQNLQ EDKIEVEDEIEQDLIQAQLNKQKLQQQHQKKLDIEELNKRLKEDLDRERKNMDDDSAEEE EKRKKHEEFVKKRKQHYNEKNLIRDAMHKKQFEDQ >CAK57211 pep:novel supercontig:GCA_000165425.1:CT867990:248362:248751:-1 gene:GSPATT00028246001 transcript:CAK57211 MNKKQSHLQGWEEYSYQNTYTNQLKFLSESLNYANTVPTHIDCKLHQSVSPKKNRIFQCY VKNSLLIDNAYTVSQRQHYLQGNFIKKAQFSPKKQLKRNYIGPILQQIRIYQKTKYIGKN DSIIKQVTR >CAK57212 pep:novel supercontig:GCA_000165425.1:CT867990:248771:250805:1 gene:GSPATT00028247001 transcript:CAK57212 MQPVQPIAEGQRTKIIYTLIKDQKYKEAINYLNYELQFCPKSRALSLLAYCHYMNQDFTS AVGIYEQLVKYYPEIDDYKIYLAQSYYKDSLYDEALKVCASIENPQYQGKMVQLQALIRY EKSEFQHAKTLLKQNNMDDPDSVINEGCILFKENKFDEARQRFQDGMNLTGYSCELAYNI ALCYYKQKQLAQSLKYIAEIIERGVRERPDLGVGSNAEGIEVKSVGNSQALKESALIEAF NLKAAIEYSIKNFDAAKEALVDMPPREEDELDPVTLMNQALMNIEEKTAEGFKKLNHLLQ NPPFPPETFPNLLLLYCKYGYYDMAADILAENADLTFKTISSDDFEFVDALILSAASPEE SFRKFQILANKHIDTLRRITKSIQDARLNRDNEGIKKSLKEFDDCLEKFIPVLMAQAKIY WDKDNYSQVEKLFRQSAEFCADHDVWKLNVAHVFYVQDNKYREAIRYYEPIVKKNSDNLL SLTAIVIANLCVSYIMVNQNEDAEEMMRKLEKEEEKSQYQDPEKSVYHLCIVNLVIGTLY CSKNNYEFGISRVIKSLEPYNKKINTDTWYYAKRCFLALIEVLAKHMIILKDTSYSEILD FLDAADQCGKSIPSVINPLEQLDEKHTISYEARMIKRMFLKLRT >CAK57213 pep:novel supercontig:GCA_000165425.1:CT867990:250827:252596:1 gene:GSPATT00028248001 transcript:CAK57213 MLQKQFEEEEERSKEFLAKLNQIKKVSKDMKHKNVQSVQRQEWSKEYLQLMKVERMLNID LESKLLLLEIQSDLPKFCLAALLEQGIQNYKQVKDELIYQLQNLKKIQLQLRREQLPRLD KKTNNESSQFKELAFSFKDYLQQMISKLEVEYQKESTIVDEDNEETLDMQQCNVTICNSF RSRIEQEYLNDKLILYAQNCDDEELEILENYAKALEYLYERYQLEIQKLTPKERIEVSEE MLYLGSSNSLHSMQRLMLHFPKKSRPELQEAVDYIRKQKDINKRLTILQRNWKIEYSQLQ NKSEQLLNDMQQNKIQKNQRWMEYLQLKQQRIRIAEELEEKRVEYEKKQLILQQQKEEQR EQQEQLDQVKHDEWLKHKAEIAEIALTYQVEKEQKRQQFLIQQQQQAEVVKKQQLQQIEQ AKPRVQQRQEKEVVKREDKKLKQEIKKLEPEVKAQRIEDAINRYSIRPNVDKDFQRLISE TETRQLRKQTKYDKADKIPLSNVTGFNINQLMSDMRYRLQVALNDAGLGQTAYAREVILG MSKPQ >CAK57214 pep:novel supercontig:GCA_000165425.1:CT867990:252684:253689:1 gene:GSPATT00028249001 transcript:CAK57214 MQSLCHYALPQFYTTTILISQVYLVTRISSISQEWSTLIMGIVQFLLSFALMVMIFIRHK FQMRKPNLFGLASCHTILSILLAIQQFDHYYLMLIILQQMAACLLLSLIVLNKNKIAVGI VFPLSLHLVWIRHFMVRMFQNQFSLSRAIAILGSGGVGLITMILCLLCQAKPQGKLQNEL HIIIGFYIFCELFICCNIITEIINSDSSIYLIDLILLKVSNVLLDVLIYPFAKFHEPTKM QISKNKISSEIIENCNTRQFECSTIPTKESDYELDSALKIKSDKHIVMNKIEQCTSKMWI KPLLEIY >CAK57215 pep:novel supercontig:GCA_000165425.1:CT867990:253734:255790:1 gene:GSPATT00028250001 transcript:CAK57215 MTINSHLLDVIIFEISEKKTSTKNQKNFQILIIKSTQNVQVSRLLGSKQTIPKWTSHFRL GRWNHRGATLCRISYVKLHRYQFIGKSKHYAFIYFSSQEEARKAKEAMNYKQLLRDPMRI TYIQDYEKDANLFFAGFELNVSLKQLEEFFTKWGAVVSVKLSVDENKKSRGYGWVQYEKK DQADALLTESQQNEGKVSYNEKTSIIVKRFVRKGQTEREDKRCNLYIKNFWTSLDAVDLT NNQVREQLEIEMRAKLNEWFITYGPIISILVKIDLERKAPFAFVSFSRHQDAKEAQRTLG TTLGANPSKDPLNTGRKMYVGWAQTKTDRKQQRDNNVYQKYIYADHLNRNVTEDVIRQTL KDAGYGDICMIRLEKMQQGFQQIIRIGYIVFEQGSDANKLIKSFKENEKFDEIKSLFDPN VEQAGGKYFQHLFPQHSQSKGQRRPNQRQSPNRRMYQMPSGPAQFGPRMPFPFPMQQQRV PGGGMRRPYQQQFPNPIRQTPFPPPVRQVTDLASLQPVAFDKRQDLVDLLENFENFKAKP EEEQVKQLQMMLYYRIKAKLSQEAESHWKKVSEVLSDPSNFTVDEILDMIKNEDQFNELV DDAVTQLKDAANW >CAK57216 pep:novel supercontig:GCA_000165425.1:CT867990:255790:256221:-1 gene:GSPATT00028251001 transcript:CAK57216 MQSFINQLKQANIQQLLSKETFKDIPIQLKAIRLSYEIEQNPGALLEIFKCRNRNDDVIQ QAIKIANQSQFDNQEIVKNIRIEQFQYQQKLKQIQQKHMLKMGLILYGVLLSLHLGIQGW YYLEYDLWLSNPGISEYHTNRFR >CAK57217 pep:novel supercontig:GCA_000165425.1:CT867990:256233:256519:-1 gene:GSPATT00028252001 transcript:CAK57217 MSQETLNGINGILQDVQSFGQESYRFIRKCTKPDKREYIKIATSCAVGFAVMGAVGYFIK LVFIPINNIILSAN >CAK57218 pep:novel supercontig:GCA_000165425.1:CT867990:256618:257727:-1 gene:GSPATT00028253001 transcript:CAK57218 MCIMENQLIKFQKYRRISDGFKKFISLYQSRGGPKNQVLYDGDKRNSIRTYNDNSNTRQG FKIGWDIDQSRKGDTKIAGKVGQDQRNSYIPQYQTQTYVPVVEQNQDDDYMKQLYLQEMN KKQELAALYKQPEYNYQDALDSYNNGKQYSNNNNPSNTYEQPQLLQQSNKYDHYQQPNVE EELYYKYKQGNSGSKQQNTGKPPVASYHPITGQAYENAYTNQRVQEPQQQQQQDDALSQF SQLQQHKVTSMKNKTSNIFNTDIQETENINHNRQNSRVLNKRKEMDDAQYKGYGQFYKNP IDAVPTQYREQKFVNRNMIDNQIFPK >CAK57219 pep:novel supercontig:GCA_000165425.1:CT867990:257791:260414:-1 gene:GSPATT00028254001 transcript:CAK57219 MMTGNVNPEYRYLSILAKTWNEVYLAENLQTKQKCCIKQVLIDEQLRERFQQEIQILQKI KVYPHPNIISFEDSFYYEKVTTQDKAITFGCIVMELGITNLQQYISSKKQSDPEFRFQEY EVADFFATMIKAHSHLQEIKIAHRDIKPENIILFNDENLLFKVCDVGFGTEIIDEESKSR TIGGTVLYQAPEVYRAFKRRKHQAKYNPYKSDVFSLGLVFLLFATSQNMTKQLREELFDD EVKLYNYLKEKRKQVKESYPRIKGVSKILKLMLDISPDQRYDFSQLSQIIEERSYLEVKS VRHSSSKQPAKINHKHLQSISNLDNLQLDIKNKEDVQLDLNGMQNKSQDDLLKFLETIAQ QVKNFQMITLQIKLEMSCLNVRTIAPVEKILNRAQKLKELQLHLWKQGLISIYSNKLGNL GLLFLTKAIMKMSELKRLTLELSNNQISDEGINNSLPLLEGLVQMEELKLDFGLNHLPMD CCEAFFQLICAMPNLKKLDLGLESNQMNQVLSKLLRQSMKRLPLLTQLTINFSKYFLCLK SSIPMHNEGFSDLGESISELENCELVLWGSQIKDLGVEEFAKGLSKCEKVKHLNLTLWSN QITKKGCESLGLVLPKLTKLSTLVIDLSKNRIEDEGVRFLAKAIHEMQQGLRELKFWIEN VSCSSFGLRYVNRFLVSQKKLRSISLNFRSNQIGLDGMELLYNGFGQATQLETVNLILDH NPLGDEGVNTLFKGLCKLKELQRLFLSLESVQSSGNSVTVMLSSIKSWSELRELHVNFMK YIQHNIYVRNDTDLADDINLKNRLLEIQSNAKINIELKTINQQIK >CAK57220 pep:novel supercontig:GCA_000165425.1:CT867990:260456:261211:-1 gene:GSPATT00028255001 transcript:CAK57220 MLYIFLVINLLFARRVNELGQLLTRDKLPVGAILTISYDGQQVVCNEQGYFLLKQEKFEV DVLGLFKQTFYPTPLQKTFIIDNNQTAVLQIGGLVSQRANLTLNVNQPLNLKQREYQINK GKFTIQIDIMKLLVYQAKVVVSADSFKNNSQIIYLYGSYEYDLGMIELIPAEIDVKLKFN FKFDTECYLMCTKFNGKLYVLQNHTQGGETVEFNGKLFDEWPQQCLLYSDEGQAKLLELE GPISDLARSHL >CAK57221 pep:novel supercontig:GCA_000165425.1:CT867990:261246:263112:-1 gene:GSPATT00028256001 transcript:CAK57221 MPPKKSTPKVTSSPPPTGRATRSKKTDKAEDKKQYSHIQQLQRSYRQSCQRHKDGKTNVS PKKVPSRKNSKKDSKKSTSPSPIKNGQDGKQKDDTSNINKSTKSAPVDEYFDDQENFVVY EENGKIYDCMMNQTNIMDDNNNNKFYVVQLLKKKDKDFFYLYTRWGRVGVEGSSAKQSFL KPESAKKAYESKVRQKSVKGEYRVLERDYSGEQDPKELEKLENLREEREKESYNKSKLHA KIKDLVRLIFDMKMINNQMKDIGYDAKKTPLGKLAVSTIKKGFDVLKQISEELQKKTPEQ SILQRLSSEFYSQIPHDFGYLRAPVIETPQMVKNKLDMLEAIQHIQIATKILEENSEDTN AIDENFKKLGINMQFLEPTDEKVSTIKQFVQNTHCETHRGYGLEVLDVFELQKDQDDNRF KKELGNRMLLWHGSRLTNFVGILSQGLRIAPPEAPVTGYMFGKGVYFADMVSKSANYCAV TKENNTGLILLCDVALGNPNEKFYSDYYANQLPQGKHSTWGRGKTMPPQSENIPFPGMPE VKVPIGKGAPSGVPNTSLLYNEFIVYDIAQIRLKYLIKMKWNFK >CAK57222 pep:novel supercontig:GCA_000165425.1:CT867990:263779:264003:-1 gene:GSPATT00028257001 transcript:CAK57222 MLKEDLTIKIQFVCFMHQKSRTMKKQHCQEETKNLEFLLQFDYTMKPRGSLAPQIFGFIQ LNPVIECHYLSGFN >CAK57223 pep:novel supercontig:GCA_000165425.1:CT867990:264204:264618:-1 gene:GSPATT00028258001 transcript:CAK57223 MASKVSGMFWTWVHSSTGPKTTHFWAPVCNWFFPIQALYDWNRDPAKISKEMQCVLVCYS SLFMRWALRISPQSYILFCMHLFNATLQGRLLIRRLTWESTQQKAIEDKPTN >CAK57224 pep:novel supercontig:GCA_000165425.1:CT867990:264960:265197:-1 gene:GSPATT00028259001 transcript:CAK57224 MSENEDNNKFEFYSWIISQVVIVVLAFILCGCHCIERRKFKKKLIDFRDIKDAPKYKRNI VDPMLAPLNG >CAK57225 pep:novel supercontig:GCA_000165425.1:CT867990:265223:267050:1 gene:GSPATT00028260001 transcript:CAK57225 MNQGEHLNKYEDDQKFTEGDQTFINEQTDDDKRFSNTVVDRQEQGGLEALLELDNRNKQE FLGSEERNIFTEFIVICQIRKDKYLSEQDPHLQFLMEKAVYKGVQKILIKAQKIQDKEQW QKEQQQLMRLFYSDMNIGFITSTGQLKSTVFIYVHQSIASCLDNRQINLNFNKEDLKIVA NYFSIDERNKSSKSSPEFTSPILTKEKTEREQFKQNSAGSKTISQNEGEIENQLKRSLPL CHQTNWLKQEFIKKDYFKKQFLILQKTNENELKSGVQIFFVYRIKDDQIYAVKKSQLASN VISFFDVFSTVNLSEITNDQRKIREAKILSKLNHPNVLTLYDWWIEEEESGYSLFLMSEY CSYPGLKHQTNDLLNYAYYYLNPMNCKEKIEQIKTIMLQIMDGLEYIHKRGIVHRDLKPE NIFVTYGIDCQLQVMLADFDQGKDVRESKLSIITDEKLNDQDLNSIKSRNTINSGTSGYA TVNSQINKEYDKMDEFYALGVTLLHLILAFPGQPKNRNLYTNTFAISDISDVLLLFDSWA VKLVKNKHPEFNFQQYFHLMELAKALLEKKVKEHDHIRKIIQSW >CAK57226 pep:novel supercontig:GCA_000165425.1:CT867990:267271:268878:1 gene:GSPATT00028261001 transcript:CAK57226 MGTCQGTKKSQQAKPDLEIQIPNNDHLDNTKKSQIQQSKSAGLEKKTPVTSQRQSLKSQP FQFNSASSDQAFKSRFSTPMVDLKNLEQDQVRSSKHKTTFKMNATVKKAAFSKFYSVQQS KKNSFSKIPGGSIVVQHNMTGKLHYADILENTQATKFYVDELLRCQLQHPNITNVIEILE DKSQYIVIKECAQGVPVSYFEPPEKQASILKQIIEIVCYLHSKNLIHGALWLGAFERNND LVKLVDIQSIFIRHDPTNHDAEYMAPECTLDSSIYTKERDIWAVGMIAHNLMCGRYFKVS NAVDILKEIEQIRIKKHIHLENSSIKELLEKFLHISPEKRITLQEALKDQYLPKTNEMEE FDQILERNKKLKKISVLQQCLLLLFINQFAEEQKAKFQKVFQNLDVDYDGKISKNECLAL HPDKGEQINSLFNLLSLKDKMDFQEFLVISVDRSQLLTSQNIEIIFNLYATVKKYLLLQT LVNIYKSRENELQEAFNEYDHAHLNFKNFETLLTDLL >CAK57227 pep:novel supercontig:GCA_000165425.1:CT867990:268958:270954:-1 gene:GSPATT00028262001 transcript:CAK57227 MIQSQPYQYRFGSEPLHGIVKSLNLPIQFEYVQEICIFEQLIKLVEISEKLENYSKYFTN SINKLEQLKPFYQTIQSSFHQGVDKKNEIYEHCHNIQNYIIQNQFKYLEHEKLLSVSDLL LVELLEIVNNMYPNILYNQYQILYQFLAKVLSNNVLQNYYFNVDFMMKDFSPNIKNAQNI LKGIIQTNLPTFHDFAQCQGILYRYKEDGKQFPDNCPVSLFPLYINYDIINDIKKKTILQ QKVVAKMGMDFEWYTGILGRLAKHDEFIRRMISIQAKVEKSQTKCPYTICIVRNDFLHHA SLNQWMQVEYNCIAISFGFISDRVQKYHSLLFDSYYKQIRENYKVKVKQDLNHDIMVDAL HKAYELYNNKNAIVLIITAEFEGNIYDQRYIEKGLAKLGILSKRTTFLRLIGNITSENGI LRAFGQEVALVYFRTGYTFDQYENEECWSIREMIELSKALKCPSLNTQLVNFKKLQQILL DETQIQKFLNKDEAKLISENYCKIWGFDHEDQHEKLIEMIQQNPHDYVLKPQREGGGNNY YDDQIIPELLKLTPEQRTEFIVMERIKPIPRIGFMMRRGQLDIQAVISEISVIGYFINEG ENILVNEVGGYLVRTKRYLDNEGGVAAGYAVVDSFMVADS >CAK57228 pep:novel supercontig:GCA_000165425.1:CT867990:271207:272560:1 gene:GSPATT00028263001 transcript:CAK57228 MTQNQLKMLLCVFQVAFVSAGLYFYIFWKKLDDKPYYEQLAFVYGLILGFTELLVAIILF ILMKTHVQITSLGMIGRYIRQNCYKEYYALIIINLAFLISFNIISIVDSESVSFNTIQSL HFIAAYYIQAVLFTIFSNDLLKRRALIPNEFMFEREGIFRCAYEVLYYIVCLFIVLSYFL VTHFEKLPEPNQTLCQLIIFTLLYQFYSITKLGILIKIFLIITTTRLLYSAANSINQFKD DSQKGYQITLIVITIIFLIRMVQQCLIGAIRAREEYLNQTQHQPPDILSALNLLPPRDPY IRQSAQVISEEQIEMLPVQKFKMEFEFVCSICDMNLLKNEMVMKLNCSHIFHSECLKPWI RIKNSCPNCRQQILSQFTQQNQHDEIPQHLHQQILRIQAEAPPPINEINQQQQISEVPQR QMEIQE >CAK57229 pep:novel supercontig:GCA_000165425.1:CT867990:272746:274097:1 gene:GSPATT00028264001 transcript:CAK57229 MAYLNKSQKDIGKHLAIIKNFINQIISISMICVRHHTFLNQKYGIFNQDNLLMIGKSQIN PKYRIPYSIESIITWSFNDSQQLQGFEMIINGKKKWFDMSPHQTFQLMQILNGRFLYRNI QAFYQFLYIIGVGSFSKVIKVFHNIEKQFYACKILKLTQFDVSYNLISPKDFKNEFSILQ SLDHPNIIKVKEVYLEDKQIWLITDLVEGGTLKEYLEKLTEITQFEVYVIMKQILNIVQY LHSKGYVHRDIKPENILLSQYRDPSKLYMIDFGLTAKKEDVATRYPNCGTPGYIAPEVIN FDPHHPYDELSDIFSCGVLLHKIIYGTDLFDGSFYSEVYFQNQQFRLEQEQFDYNEFEPL LKGMLRENPNTRLTATQALEMLEQIVANRKDVFEVEVSDSEVQQVRTVSIQTLRKLGC >CAK57230 pep:novel supercontig:GCA_000165425.1:CT867990:274123:275523:1 gene:GSPATT00028265001 transcript:CAK57230 MFSLCVIDELQQFITEFSQSFMHLINKFVQMQFICKRKRKLFNSNYKIGIKNNQIVILDG TNIVKYTIPFYSEIEVNWNLDENKLIGFEFLYNKKLIQFEMKPEDCQVFQDTVKSQIFFS NLYKFYKPIYEIGKGSYSNVLKVVSYQNKNMYACKCIEPNDNFSEQDIMNEIQIHSLINH QNIVRLLGISKTQRHFYLLLELPNGDTLKNYMCKKIKLSEEEIVGILKQLLQAIDHLHSN NIIHRDIKPENIILQHSDDQTLIKLIDFGLAANLNDPHIQYKVCGTSGYVAPEVINNNGL TPYGTKCDIFSCGVILYQLLTHKYLFEGDSKSEIYENNKLYRKADYNFGDIHYYFQNLLS QMLEDNPQKRINAKEALTILEHISRQIDEIPADDNIQRLPVMMSIKPKSDPNLMNIDF >CAK57231 pep:novel supercontig:GCA_000165425.1:CT867990:275791:277061:1 gene:GSPATT00028266001 transcript:CAK57231 MICIRKHAIIDQHYLLFIQDSNICIGKNYANPKYVLPINLQSKLEWSFDKELCQFDGFTI EWKHQKKLFNMSDIDCRRLKSLIDGKILYKEINSQYFPIQIVGEGTFGKVIKVVSLIDRK EYACKVMKLNQKFSKNDIIYELMTMQSLNHENIVKIKEVYSSKSHFFIIMDYCRGGTLRE YMAFRTLNQDQIVIIMKQILNALIYMNSKGYIHRDIKPDNILLREENDVSSIQVCDFGFA ARVEDIFIKHPNCGTPGFMAPEVIKYDQTNPYNEQCDVFSCGSLLYSILTGYNLEVQSEE SEIYNIEAQVYEERQFTSCNNQFKNILLKMLETCPNQRITAKDAQIYFENFDLYLLEEQN SLDVTQKIKHIPIKKNGFNQL >CAK57232 pep:novel supercontig:GCA_000165425.1:CT867990:277217:278584:1 gene:GSPATT00028267001 transcript:CAK57232 MLCYRKHSLFTKKYHIFKNENEILLGENITKIKYTINLTPQTIIDWSINQKTKQLNGFWL IWNNKQKLFLMDAMNCNLFKQSLNGIVTYGKYQILYEPINSISHNTFGQIQQLVKMRYLD SGDSYMCKTIQKSLKYTDEDFYYQFEILKQLDHSKILKLKEVYNTNNQYHFVFNYPEGGS LVDLIKSKQLPFQEVKLIIEQLMSTVSYIHSKGYVHRNLKPEHIYFQEPNNLETLVISEF ELGCRSIDFQNKRLTYRVHGYSAPEIKQDIVTQKSDIFSCGVILYKIITRKDLFDHESNT YDEKMMLHLINQSDIDIQYQNLLRMMLQFNPELRATADQCLNIIHQLSQRTPYFSRSLDK THIDTNSINNEIISINLIEDQDELSENQEFIQGLPTIQINRRTEMFY >CAK57233 pep:novel supercontig:GCA_000165425.1:CT867990:278614:279740:-1 gene:GSPATT00028268001 transcript:CAK57233 MNQTLGLLKNKTHALNSFKENLRLKSNQIKGSKQSNPLKQNSLLLNSQNNSALSTDANIS IEMQSSTFGLPAGWAQHYYGTIEYIRQIKEIIKELQILGTKRVKIQFGDTSQLEKSIYEK NQMATTKIVECEKKIQTIQMYTSNLESHSERRIRENISKALSQQISETAYLLRNQQKRMV NLIKSVSVDQNKSFLNSNDQKQIEFKQNSILTQQEEEVYEQIQQENDQEINKLVKMINDL AQVFQSLNQLVLEQGHLLDRIDENIDQSYKNIKKANHELQESERNQNSPLANKCIITLLG LIVVCSLILVIKYSS >CAK57234 pep:novel supercontig:GCA_000165425.1:CT867990:280106:282129:1 gene:GSPATT00028269001 transcript:CAK57234 MGSTCCHKQLQVNQSQELVFDSNNISIIKKSNHKEESLNEPPLKLPSQSVSIPQVYEEVV VLSQLKIPIQNVKGAVVEENILKDSKESQEPLQQQDEIEEKKEDHAEQKDRVDFNQFEKI VKAEKLEIQNEAQQKQQQDTGTRKSPALSTKTVPRDPIDHSPSRKQSMASVGSVSVKIGV ELFVNLKKQSITKVYTLGQVLGQGAFGKVWKVTHKTTGLIRAMKQIRKSELIKEDEQKMF SEMNLLKNLYHPNIVKLYELYQDSNNYYLITEYLSGGELFERIKKMNQFTEKRASDLMRQ ILMAIVYCHEKKIVHRDLKPENILFSGTEPDALLKIIDFGCSRRFNSQKNMTKRLGTPYY IAPEVLNHNYNEKCDVWSCGVILYILLCGYPPFTGKNENEIFEKVKTGKFKFPIEEWDSI SREAKSLIQRMLQVDVASRYSASQALSDPWISKHSPDTQINKKVLENLGQFQAKSEFKAA IVQYIISQMTTNKELEDLQKSFQSLDKNKDGVLSKAELVEGYTKVLKNKEQAEEYVEKII SKIDKNQSGVIEFNEFLMAAINEEKILSIKKIEQAFKIFDSDGDGFISRQEIEEVMGELN ADVWNLFLNETDGNNDGKISYEEFSKLILNK >CAK57235 pep:novel supercontig:GCA_000165425.1:CT867990:282227:285063:-1 gene:GSPATT00028270001 transcript:CAK57235 MLVWLRKQKRQALEIYEDYNDSNYIDCQNELIISQFWPLFVTIMKIYLFYSIVLIMIKNI EGFASYNSQTITLYTYLIMLLPLAMIVYDRWIIQKLSKKQKVTLTQIIFIVMETLLQLYL SQLLMEYQSLQRVIGILLIFTIMLIVMATMNNFKLRLMILVKFFVYLGYKTEFTIFQCLF TYHVVFLNLLLVYYWDQQIMRLSKIKHFAEQSLRSIPTAVCILNSDCQQVLFSNRYMKKL IDKSNTKTKTILTKSVKQDYLFETQPSIMNNYDEIYLFFSQMFANMEEVKNQLPLDSSKF NLDNMDDEQLNLNQIIQYIIKTDKKTQGKIYTLRCQFIDRPEQVYDESQKVVIEVKMKTL LQYEDNKHATLINLYDISTNLKSIYYKNLNQFKSKVIRSISHELRTRLNAIQGMIQMVQS YNQKFDREVQTYLKAAFNNCRIQNFIIGSIIDYNLLRERQLPVKSDKIRINILIQEVIDL FKDEAELKNIKIKYEDKIQTNNYELLNSERFQSILVHIISNSIRFSKSDGLIQIRLLKDI SNNYNNSNSNNNNSNNNNINNNNSYQTNSYIQVPDSKIQIHRHSKLQIPNSQSPQQQRLS GSEFNHDSSNNKQRRTYSKYKMASQFSSIGNLSTNLADVYLIEVKDNGLGMSADRLEQIR SLLNGEDFQVDRNSNDASTGMMLGLRASNQLIKNLSGKDDNLNYLSIDSEQDKGTIVQMH IKIRLISGENFSSELIIKESSVENEGIVHSYHNFNQKLSPWSTVTQIHGKILCQCENTFM IVDDEPYNLIVLESLLKKLQHQVVKAENGRHCIQLLEKMLEQFQEHKCKGYKGIIMDYQM PIMNGEEATKYITQILFLPIPIFGLTGFSGEDEINRLLDSGMREVYIKPITIRSLEDLIS NISKSEYRSLDYVSNISQQFQFMDIEYAEEDKLVLEQSAH >CAK57236 pep:novel supercontig:GCA_000165425.1:CT867990:287126:287626:1 gene:GSPATT00028271001 transcript:CAK57236 MQNPLQFNLGGCYSSQHEVKTIQIALQKVTSMSQLKFSIYNKQHLALLKIFLSYYLCHLT CKSCNGDNYNQCTKCFQGAGLVDGICLCPIRTTQSGDPPSNYRFLNFCLLGYSDKELELV KPPQLLWLQLQAYSYAKLIQWNIIYDPENLSNNKNKCFGTFEKLKL >CAK57237 pep:novel supercontig:GCA_000165425.1:CT867990:288026:288289:1 gene:GSPATT00028272001 transcript:CAK57237 MSRNIGKILLSNKLLQRKVHLAWRQARIVTMQIIEITNLQKSSNMLEGMHANIALLMQTL QVAQIIYVKIFQKQNIVLLNQMVLFAL >CAK57238 pep:novel supercontig:GCA_000165425.1:CT867990:288382:288603:1 gene:GSPATT00028273001 transcript:CAK57238 MYNIQFQECKWDRYSKKCIHQQCTDANTSFYFTNEDCQSFKVFIGPEIIGSSGVGCQKWQ IDWAQMITQINVN >CAK57239 pep:novel supercontig:GCA_000165425.1:CT867990:289545:296060:1 gene:GSPATT00028274001 transcript:CAK57239 MTNKFFLLTILLKITDSQWLLQHSYASKDTLQFNMQIKNTNCQYTEYLTNQYQPANYINC TSSPKSYITLDQLNRTVSNCDYKTQTIYCDYMCLISFDLYYQGIWANEQLEFKFYNHSYT FAHTSLHEYKLNTGFCDSIQYEVKQINITHTQKSMLNFTFSAALSNGQVSLKNLFILNYQ YNCYPKCSECSGPEYNQCQKCFYGTQQNNICPSCPFGQYYVKYKGCQFKKSFIQPLCFND FCNEISFSIQYYTNLSYYPERILWSIIYDHQNQNVHPSVLWWNQFFYGIFKMALGISRYF DKIKSNVGYSLGFLIKVIFFNDLKPDSGIHFQFNKTYYASIYNTSEGIKLHNFTSIQCIL QSAYHEYTSNQLCVIYGYFDIPQYPFLFSAIGNLTDPQSGWGMVGVEITADYCTDNCLAC DISQKCTTCVSPYFLYRNGTCIKECNDLYQQNNGTYCKDFDDETPYSELIIRENINYTYQ YDSSYKLIKQTGDNFLKGEDLYYSYWNGFLIFGGSFIWAQAQFERIHSIDRPHHSISIAF HILYGSEFPDNSEFIFTIDQNSPNIKKRINAKQNSDGTYFDLVSQSITHQSSTINITFEC KGLNEPIKAFCGLYNFYLAVHYCKPYCKKCIDQKDCQEWNGTYNLTQIQFSQQDCSTNQY FDVHQSLCLNCTSSCLTCKSAIVCLSCKSRYQLTNFGCFCAKNQYEETDECKTCPPQCNQ CLSDTFCIECIDRTKMSLINGQCNCINGYTLNAASNLCENNTLTLQCGLQCQNCISGKCF ECSENWYVDPIEYICKQKCGDFVKLESEKCESSFVLPYRGCINCEPNCQSSCFNCGTGEK GCLECVKGYSLIDNLCYSICGDQILTEEEQCDDGNLIYGDGCHFCQYSCSDSCQNCIDGI CFNYKLDHPLTKIQCDPVLGKEMFNNSSLCYLIDNLYLLSEIYNSNFGCDLNCQNCFFQI CYRCDHDYILSVDSRKCIQKTSLFDYNQEHSLIKIGNVCLKCADYAYFDVLEQICKPNLS NIKKCQIQMLLSPDQFCNQCFKNCLKCSYHSCILCMEGYHTDYQSNCISDCEDGIQTINE KCEINEQTCLSCEFQISKYCQYQPLELCLQCKHGYYYNLLIQDCESICGDGIIAEDEDCE IQHQYMRNGCQQCKYTFDNGCKEYKDGLCNLCIEGYILINSSCYIYGFDISNNLQYYDFV RESNYELEQYCASRNSNYLAFAFNQCLPICGDGIITHDEECEDEYSQQSYGCFNCRYSCP INCLQCEFGQCNKCIDGFQILENICYPKCRDQLIKYEKQCDDGNMIPFDGCYKCQNSCQI ECLICLQAKCVQCISGWNLIDGKCYQKCGDGKLAIISEEQCDNPLDLNCKNCKLNQCMPY CDFCDNLQQCQACQVSFQLVNNSCITICGDGIITLGYEQCDDGNEIEFDGCHNCEFSCSI GCMICQENNVCLKCNEEESFTLDHKTKKCVFFQIQENEVPNNNSEVTNEEEEDIKIIQNE EFAHEEDDQIPQGDDDQLSVQNCGNGKLQYSLEEECDDGNTLGSDGCSQFCTIESSFKCK NFENEKSECSFIQAPEFYLNFISTTLNSIQIVDLTFSQEIRLQQQSMIEDLADFSIEPSS KCQITVIPLINFTTELNMSHYQFSIQFYQSINRPLFKLKFKQNSLVNLDNIPLVSLEKSI FIGNSFVLSEQTKKKLSQIILLNDIVMYTLISISVLALLIGNPIMFLNLLNLLQSFSYLR YVQYQFPPHFSQFLETYSKISLQIFLDYLQIDQILTQLNGGQLPSSNKSASQTNQTEQQN QVFLINAKSCYISFFTSYLTYIIYKIITSKQIDNYLKKVLELKFENIKYLQIIRLFQKKI QQHCVKLKHQFFSKDIFQVYLTILHQLLFSAFMQFPNYSFQSFFEAFNSLNSLLALGLIM VVNFKSLDITSQKIKNKRKWKYFFEESKSEFWAANFKSFSIYRINAYIFVVVFLINWPEV QSIFLSIQSLVYLIYLCTVKPLISNNEYIKLIFREFLFLSTVGSFLTYSFNQDEEQLLTW GWIHISMLTTILGTSLFVDMIEFIGKLHSNYLKQKIKKEILQEKNTLSSPLQLIDRQNMQ NLNKALSDVEFNLDQINQQI >CAK75727 pep:novel supercontig:GCA_000165425.1:CT868210:1450:1824:1 gene:GSPATT00039065001 transcript:CAK75727 MAKIFERFKLLSMTLDEFEEKYMKNPNENNEGEKEVKEIKKGLKQQMDQLEGVKTQTPKI KFDSTNRTYSCSECSKQCNSKSAMLNHQYFKHNRPKKSAIGKSTKQQNQEIDVDDRMDDL IILQ >CAK75728 pep:novel supercontig:GCA_000165425.1:CT868210:1907:3044:1 gene:GSPATT00039066001 transcript:CAK75728 MPLEAAVVVLDNSEFSRNGDLEPSRWNAQQEAIELYINVVIDSNMESGMGLILGGGKQVR LLMTPTNDRDLIQGQFHKTRLEGNLQFSVALQQASLALKHRINKQQHQRIVAFVASPIEE EADTLVNLAKRLKKNNIAIDLINFGEQNEEHLKKLKIFFENVQKGSTSKFINIYPGMSAT ETLFSSLGNQSDFQAESGQQQEQVPQQRTGGQFSEYGGIDPNIDPEMAMIMKMSLEEEAQ RLQQQLTQQIQQPQQQQQQQQQPQQQQQIQEEKVENVIEEENDELLEQARLLSIIEEPQQ PQQQNQPAQEQQKQDTQNQLFQDQNFLDELLDDVNKEEEQESLLKKDDDKSKKD >CAK75729 pep:novel supercontig:GCA_000165425.1:CT868210:3093:3668:1 gene:GSPATT00039067001 transcript:CAK75729 MDYHIETIKQLQQTIQLASDENKELSKKLLSLQLKYDPESDIKIKNLEKTVLLQQLDLAQ LKQEKIKNSRVQDKDAKEENQIQQLNEQINQKQDDLRKIEDLMYQVEVQIRNANQDVQEL KLILKDKQNEHISINKKIEQLEKLIPKISGQDGLSMSYINEIDILNDKLQNLEKVSNIQS RLTYQCNCKKD >CAK75730 pep:novel supercontig:GCA_000165425.1:CT868210:3702:6082:1 gene:GSPATT00039068001 transcript:CAK75730 MEFLDQRDYPQQQEDIDSLMLNNINKQSVICDSQFFTTSRKTTRPQTALQLPSKDALFEQ PLFSNDEEIKESKNKDVYYHTKMKLFAKKYSTTMPTHMSTQQSIIKSQPLIQSATKRTSK TNNSVTKLVVKQQSIEVVNQQQILKPTTMNINQLFSQIQQIRQEPQFVQCNLDNVKTTTK ILQINPEEVKALYEELAHLDVKFMKMTADTEVFIHNLYADKNKFLKIKDNVITEHQLIFL TEQLRIKRELIEQGQPFDSINFDEIDKIVQRLIFFERFTKSTRINLVKLGQYIEVPPGEY VFHQGDFGDNLFVILSGSVDVKIEKKFTQDGPVIEQVVSSLYDGQHFGELAMMETTQKGQ EEVLEEKLENINVRSLKEVKEQLIKKQLQDLGQQDEALQKQKIKELQRQNTNIINLVKED TVKEKHYIMNLANDDDEIVQSSGLSKQNNIQYKKVDKAQQRKASIQASETCHLLAISREN FKNILMVLMQDELEQKIKMLKSLRFFKQIQPFVLIPLANQLIPQRFHLDEVLVREGDLLE YMFILYKGSCNVIRTINTERIKIPQHLRRKLNRKSYRYYQEHPITITHNTPEDYLTCLTQ EEDDTHKIPDYAVCNSNNGYIQYKKSYIYKKLFPGDIIFGRVLTGLRLEGDRGIDRARMT IVSSSSTTIVYKISLKLIQFCPEFLIEHLTNQLLIYKEVDLLEQSELNQEIKQIYSKNQK IAKDQAQLLSEIEEKQRKIDLQEYYKRNKLRKPQNEKKQDQRNSEWEQFKEKVVIQQLLY NKVSN >CAK76396 pep:novel supercontig:GCA_000165425.1:CT868238:1947:2831:-1 gene:GSPATT00039144001 transcript:CAK76396 MVWCSIKVFIEVYSRYMYINLDEIRHHKQSNHVKGGFEQMIKKKNITILETSSSIIIQQY ITKNIQVFTDLSRCTINSDLITSKAFQTITIKKPKQLESGYMNTIQQFTFAFRNQLTLRQ ISDQKCQSYYFSQLIPSSLQNPKTYLVQVKACRIVQEVGQLDQQGQIKKISSFSFSKQSE KILTTGTILLQVYRTDKVQQSSKSELEIKLPEAQKNCIEQKHQPIYLIITNKYLEATSET IYSKDRCLRLLGWLKNQSKKIFKYISSIVKVLQSFQTLPQYEHYDLRLTISACI >CAK76752 pep:novel supercontig:GCA_000165425.1:CT868247:2:268:-1 gene:GSPATT00039167001 transcript:CAK76752 MLRQSESQEQVNICLRELDQQIEKSYTKMDDAIASFEDLLHPLEPTQSKQQLLPDRAPQT NPFKVQLKLEDIIIHQMN >CAK76753 pep:novel supercontig:GCA_000165425.1:CT868247:813:1498:-1 gene:GSPATT00039168001 transcript:CAK76753 MGSKLDGHSNWVCQVNFSPDGTTLASGSEDNSIRLWDVKTGQQKAKLDGHSYPVYSVNFS PDGTTLATGSKDNAIRLWDVKTGQQISTSDNRYQNILAQFQPSIIQNNVLSDNVNSNISI LLISQQLIFQSQGALILQGQFHNPQGIDLRTLFQQRGSLILEKLIQQQKHQD >CAK76754 pep:novel supercontig:GCA_000165425.1:CT868247:1984:8076:-1 gene:GSPATT00039169001 transcript:CAK76754 MLSQISQMESILRGGGCGTSKINPLQSEISKSDNQDLYNFFNKFNFYVEIICTKAVVAAD QSENQEIMIALQWFSFQEENIYKLNKNAQSVMKSYDLILEGIRKLLKSCLIQIKQIRLNT TASLSKVIFSFHILNEERFMKCDQQQEFFDISDKLRKHMEIEKNDLIQNQMELYLFLTRT SFEISPNNSNERVEILKGCLSGIIGSIIQMKPNEELLESLFQGACHLYKLYIVSNNRKQF EVYFQIDMLQWEIISYFKDEKLKNIDKILLQVEEIHNIVIKNSNLWKYHYLWVQMIGKIL QYNPLLTKQKLSQLINSFKFGLKPDQIWTEYQRKGLLIQMNHRNDQAVIQLNQLQNTQLS QIDRKILETCFKEWEIFLLFKDFLVNDQYQNIPFTFRSYLKSKLNIEVQTNEIIFAINKI NNFLAIMISSKLLTLTIQNVEKVEEQFYERKAQQQIKKMIQNLEEYFQNLQDIIKIMSLN SRKLQERNENSNFEKLQKLSSLQELLELSIFREERDQNVNDENDSIYEWRIFIFEDLKEL DFKEYKFSFHELPYQNKSKILEEAQKLIKIIQKEFYELEITELQNNLLQYFQHLCKEISN TFQEFNLHLKEIMIIMYQIEAVPKIDELQKIKKSFANKQLFKFLENIRYKSLKLKLKLIS LKKSFLLILEQAKAEELRKLSEVINLDEFLFSVIEDFPKRILIENFHVTTLLTELQNNVI TDIDIEQRLSRQKGIIKFILSKQYINEKLVEEEGKDLELIEKEFGELFIKEPPSFPILEE IIAIYEDLKVDEQLGDDKFRLPEKEKYDYFLSQLRQIENLNKCQSIVNLNSLIVQTELVI KTIQTFGQTDKEIDKMLIYQELLIFKSIMCKISKEEQQQVSKSQDKVSLERVEISVKENY RTYLQFMIKIIKLKTLKLQEGRELLNQLFEKVVLFSEKLDQIQRYEKENQIKFQKRCQEC IQEFMEMFEESQLILNQANQNDDENFHLYLERIETQFFKRGNDKVIAQDQIKISDFLYQL VVSVQKQLAELKYRSNMTLKQDFLIQQIKKIYLEENGEEDQEESKEQFCLLDNFVQRVKE FSNNDQWKIKQGLVFTIIQISSNCFSDSMTSFCQKVLIQLWVQEKDQRVRNILKNQGLIS VQMQILQKDWSTQHDRIANNMQEMLRQIDELQEQISHEANLNKRDIYLKELDEQRRNQIN KLKISVKWVNSLDQQLTLVEGKINKMKEQLKSIGNDVKFLRGKSVEQLFEIRKWKVLKEA ALRNVKSIYVPLQTKEIFHKTENGKKREDKLKQLNDKEGEVNEFLLDEKETVLLIHGVAG SGKSTTAKKIEELIWKLHNDNKKVRNQILIPIYISLSSLKNPVFQAVEEALHQDEYGFDE LQLRECKEMLEKKEFRLLLIMDSYDEMKLENIQKNLYMNNKVKQNWSDPLVIFTTRSEIF TSSYVFWFAPDQMENLKEIQLQKFNSDQIIEYLKKFTIQSVKMLIFEIFEWQTQISNQGG LGINNFEKSWEKLKESCLSTTNFNGEALMNQKQIENILSFLKNNQSFALKSKEALRSLMV KLQKLWSVEKYKKMMEKISIYRLIGTPYMMEIIVQVLPKMMMKASDMINLRQNFLKNFPI MLYEFYKSNYLIRMYKWQQKQVMGQNKNEEEQENKQQENNEKEQQDKNEKEQQGKNEKEQ QDKNEKEQQDNNEKEQQDNNVKEQQVTQTDVENFGQNQLFEIGVKVWNKMEEDSIATQFF NLKELNDLNNNKNLLFEHYFKLFNNEFAIIQKDRLIEVVCNALRELNLTSYDFYDEFINE YHNQQIEKQRNLGKSIHIDRFLHDLKKYSINLAKVMSTKQMTQVKYQQQGFLYQEEKEEE KWQNDYFNDDDHQFGSYKKDLRSCSLVQQKGTNFQFVHKSIQEFYIAADLYSVLVVSKEL NKQTFVWILEQLSIKNNCDKNCFEYLQIQMNQENLIKFDAQVFDRQQKIAAFKKSIESTL NLLRTLQRLEST >CAK73909 pep:novel supercontig:GCA_000165425.1:CT868165:846:10595:1 gene:GSPATT00038927001 transcript:CAK73909 MFRKDIQFLILIIQLVDGFRRFENDFDATGFSVECPSGYYHAPGMHPHPCVSYSLICRQV TKLQMIVYSGVEKLCHPRAQLESPGSNLYLPKVKFCLNKTLIYNYSEDTDLKISCEIASN QCLIAQRSGDKLKCLYCPANYQGENCLPKVLNCGSNCGSCESNYCGTCKEGYSPDSSTDL YCRLACQPKHSSCSKENGVYSFQGCIKGYELVGSQCLACPLNCTQCVTGVCMECVFQYSL KDGQCFGDINCTRFDYNYDPNTGLAVGITCQQCDLTYFYNPNQQKCTLCKEQPDLKNCFI CFNATECKVCYGTHVITADKKCTPFLGCSPNCQTCLYTDPDYCTTCNLGNKFKSSNIEPG KCVCDSPNGYVDKDGYCVKCTVGSCQTCGKDYYECTSCKTVTNRMVLDTQCVCKQGYYET GLEDQICQKCYEYCYNCKGPEIDDCTECGDQSIYHKYYENGQCFCEEGKQLILLSDGNST CIFCHPLCQKCSKPVDDSTNQYCTMCIQGQHREVSYLSKCVCQAGYGSDGTIDICVKCHY SCTTCKGPLETDCTYCSNYAHRQMTIDNKCSCKSSYYDSGLQDIICKFSCHHSCSNCTVK GVDKCTSCPSTRYAIQAGTTFQCQCKYSNYYSDPLFLECQPCHPTCKTCKGILETNCLTC DTTYRELVISKCDCYPGFYNTGSIQCSQCHFTCLSCFSSDEDGCITCSSEKNRVMKANKC VCMNNTMQQSNTDSMCQKCSYRCSNCAVKPENCTTCPEYSERELGTDNSCQCPAYFYDQP DNPICIKCHSTCLTCQGSKSNQCTSCVPLSKRQLNSFGECKCPTSYFDIGLQECFICSSD CLECSITATNCTSCSPERYQLGNSCLCKTKLQGNYLTTYSAPLKINLFSVCHYSCLSCNG PQVNQCLSCLNSESRILISTSCICTENTFDINVPNCQKCDYRCEGCTTLRTQCNACPSSS LRIFNPLISSCDCPIQYYDDGVNTICQKCHYSCLTCKITSTRCNSCQANVYRTYNAFLQS CLCNDHYYDSGILICQQCHYSCLLCNASGDHQCISCQPQATSFRILNGKVCEMPPVDIMT MDLLQILHYFINLMHILCINKAIISKSMPIGLSNCSKCDSNCYNCNFNSKFCTACDSSIL RILNTNDNTCYCQPGTTEIDGLCQYCDINCQTCSNSIINCISCRLSKLLIDSQCICIDGT YLSNVDDKCYPCNSTCETCDGLDTFCLSCSSDKNRILNNTNHTCICMDGYYEDTVNVSCL QCDKTCLTCFGNSSNCKQCDSSLNLTLNQQNLCICKSGYFFNLVAQQCQVCHYSCTECQT QTQCLTCELITRYLDSDTSQCICKDGFYEINQNSCLKCQSSCKTCQIKPSKCLTCNTSNF RYFLMNSCPCLDGFYDVGIEMCQKCSEFCKTCSISSTKCQSCFPNHLRAVNQNNCTCIPG YFDSGSLICEKCSNSCQTCKNQKDYCTSCDVNQNRLDQSIIHKCPCLSDFYLDSNEICQK CHVKCSGCVNERNNCQGCKYLQGSNRLTISNQCNCKDGYYDDDFQIICNKCDNRCKTCQK GAKNCLSCFSNVRINPPDCPCMKGYFETSQQVCEACEFQCDTCETMPSNCLTCKEGRINK LCDCEDGYFEGGQILCIQCDFQCQKCKKSSTNCLACKGDRLQIPFCRCQDGYYDDFYSFN CLKCDYTCKTCSSQGCLKCNGNRILSDQMTCDPPPNSISWLLTPWCSNCEVAVMKIKLSD DLATIIVLFDFPLNQNFFSTQLDINACFKLLNETTLSKLGINPTCNIDPDNQKQLLLNLG HNPTIIPGDKIDFLSGSLGHKNCNNKLLHFFLNIVENPTSPFAPIIKYDNIILMEQKLYL MVYEVLFSCHGLLLCRGKMEMAIFLISLLNQQISNNWIQQSQKKHYQSNLIQLQLQKFKT FFQRKHHIKYQFKHMQDNFPQYFPFESINLAFKLVKKSCIESSTLSNNNSQYQTKFYEVY RNNSKSRPSNINYTDFVNSNLLEFNIQSYSLSSWTAYTFQLTVSDSQIQYYQEQNVTIQI KSAGIFCQFNGTKKLLKYKDFTNIYIQCKDLDVQYYWNEDPDLLIKVSCLDLTSQKECKD SKQNNIQINSTQTTQFFPKATFLPFTIQAWIVIATKNSLSYSYKIIIVYLEDDFKILDID YNNGYLIRPVNNYEDLQFTFNIPFQRRQYLLHYQIAIIYDYQLVAILKPQYHKYSFQLYD YYQQFSKGDKFLLKFVAQYSNDIIPNQADISLSLNQPPICKFRMLDYNIKALESHKIAIN CEQSEDKPYLYQMKVFLFTDDFEEFQNKSSDNSLLFYSFQQSNNLGGYFPNAEIIIILQI IDQRGSITNIQQRLNISQNQIVCTNQTIAQLVFREKIAWIFEIMINHQDEQNCVKLKDEL LKYVELGINSNDIYEQLLAHQTMNLYKKLILKQQASNTSKRYLEQNYQNICFNNKSSLFI NTNQEHLKKNNTNISSLVIYSENVQKQITKLIKLKVNLEKQNEQHNIIADTKSIMLTKSV VQMLQISVHLIDHQFLIISQNEIYTENQEQVAKISEKLISLIDNITIHISESVQVNGKVL SIQGMILQFKLQKLTKSKLNVNFQTQNDQLDYLISFIQKQQLIVDYNYYNLSQTYRKMLK IYQINQILRLINIITSNQLQLIFFMLIPKLISKNQAVIIELTWQNFNIVILQSSFLQLLN MNINKFESCDLEILEINNQRAQLSCKCRSIGNLFLIKIAQRSGTQNSTILANQFQFDFSS IKLINQAFLFVQCGVILSSFFVYCFLLYKEYKSQKNIDLEQNQSERQDTLERDQNVLGRR FYPGHIFMFKATFKYIHSILQFFQAEERNVKKSFQFLQFSNLICILILISAWQVLVSTFI IINAQINLIILLGVRTISKIFEGIYQLGGKTAIAVVLLYLCLPAMYLILTIFILNQIESN KSDIDVQIFLNLLSSLFLVFFIFEPISIYVRIVLYRPFYNSVKRNDYIPINHFIYFFLYH GRINKIYDQLNVR >CAK85070 pep:novel supercontig:GCA_000165425.1:CT868539:79:1392:-1 gene:GSPATT00039721001 transcript:CAK85070 MPKDKVHVNLVVIGHVDSGKSTTTGHLIYKLGGIDERTIKKYEEDATRIGKGSFKYAWVL DNLKDERERGITIDISLWKFETNKYYYTVIDAPGHRDFIKNMITGTTQADIAMIMIAATA GEFEVGISQNGQTKEHILLAYTLGVRQLICAINKMDEKSVLYSKGRYDEIVKEMSIYLKK VGYNPDNVPYIPISGWNGDNMLEKSINLQWYNGPTLLEALDAVTPPKRPTEKPLRLPLQD VYKIGGIGTVPVGRVETGVLTRGMVIQFAPSGIASEVKSIEMHHQDHPEAIPGDNVGFNV KGVSVKDLQRGYVASDSKNDPAKESTSFNAQVIIINHPGQIQNGYCPVLDCHTAHVACKF DQIISKIDKRSAKVIEENPKFIKSGDSAIVKLIPTKPICVEAFSEYPPLGRFAVRDMKQT VAVGVIKSVEKKENKKK >CAK89259 pep:novel supercontig:GCA_000165425.1:CT868654:2:1346:1 gene:GSPATT00022430001 transcript:CAK89259 QINQRVMVDQSIKYAFLRMVNHQCLVVMIIRLYCGMLKLGNKNSKSKPSGMYNQFVFLII ILQQLFSSGKFVYLWNLKTRKQIKKLVGHLKTVESISFTPNDTILASGSSDKSTRIWDVK AGKQKAKLDGHSYTVYSVNFSPDGTTLASGSRDNSIRLWDVKTGQQKAKLDGHSSTDYSV NFSPDGTTLASGSLDNSIRLWDVKTGQQKPNQMVIQIKLCQSILILMVLDQHLVVMITLS VYGMLRQDNKKPNYMVIQMEFYQSDGTTLASGSSDYSIRLWDVKTSKEILQSDSSYNDLL AQFKLPHQNSHLLPNVTPYCTILRICQNPLFQASGTLILQGQFINHQGIDLKPLCKPKGS MKMLQILKILLWQAESQELMVASVQKTTNQLIGFKCKQDGLISKIKEKMCFDQLESRINI RFKVIKINLLKGNQQFSSY >CAK89260 pep:novel supercontig:GCA_000165425.1:CT868654:3283:3495:-1 gene:GSPATT00022431001 transcript:CAK89260 MLLCIGGNFCATHQKRAHYQHVLDEHLQISEVFLFDYLSGKIRSKQARKEIRNKLLDLTK IEVKKIDLKQ >CAK89261 pep:novel supercontig:GCA_000165425.1:CT868654:4531:5639:-1 gene:GSPATT00022432001 transcript:CAK89261 MPQFKKQSIQQLQNYNSRKKPLPKMDQADFLAKYDENFDDVFGDKPVTIDSHKGWKIRQE EEEDDENENDNERKTQKKKTQGKQRKQQSKEQKESQKDNKKTIYKEQQQSLDYKEFQVTI YYKDLNNMREFKETLEPKIQNEPKMSLPKFQKQRKRIANNHLSTLRSNSDGCDSVSNKDR ESIQLNNKYNPNDIALPQTVIPKSEKKQDNKKTMLFTFGINSDDSNKDTNKKIINLFMSQ NEKKDQNQQNMENKQSGLLMRLRKPQDIEQRETRILNSDQREKKREKRRQQKQSREKDEK IFTKSPSPEMKLIQYETQKRVKRSRKRVIEDLEMLNVDELSLNPNIVECKANLYLDYDPV YD >CAK89262 pep:novel supercontig:GCA_000165425.1:CT868654:5796:7160:1 gene:GSPATT00022433001 transcript:CAK89262 MEAFQLKLQRMKNYKQQLQYLLQKPIASPQFRQIFLECDQYQMIVQVLIEAKSDKISVVK EQLKLFGLLAECANENLSPQLQMIFTHLLKRIKDFESMSCVCTQVPEIIGKIVKHCCFDG DVLNSILKPLMNHLNQNAQHALCLTRVVQFLSYEILEQNALFLFRKFISLLNQQHGKVEI LEGMAAIILSVEEQSDIFADEIIPHLQQLLSNQQWQVKKMALDILYSLVVFQKDKIQDHS YFKELMYELKSNKIKQVRDSANLILDVLQQNNQMQSNNSQQQLIQPQLDKQVTSVERQTN YGGQIKSQSQPQLLINTTNKQSHLNNAIPQQPQTPSQQQMNRLSQTPAQTNKANADDKLQ LAIEAIQLLSGILKAKGMCDPGETQQIDSILNRLNERDSYNQPTIKFFQFNDAETFISRE AAEILREFKEKQRQDYLR >CAK89263 pep:novel supercontig:GCA_000165425.1:CT868654:7232:9745:1 gene:GSPATT00022434001 transcript:CAK89263 MSQSYFSGLMSWVKKHNGNNQLVIGQRSISLFILKMYLHDRLVQKSLYKLNSNQSIQFKD SIEQICQISEQNQKNNFEEKLLSQLTLVDQDEIFDTICIRGDMISIITLLRDCSNGKAFT EQLKIIENNKQLKVQDATWFDSTQPQSCLNWIINEFEKQVTLLYYKHINFINHECIQQYW INNGNNIRKKVSECISSVIRPDQNILLQQYSNFIKDHSINVQTIQSKLNDNLIESIFYLP LHTALTLQGFCEKLVLQIIRESYKQSILLELECLTKNDQNMQPQQLAQQKLKKKKKQNKQ KKLVQQVIQEQLQVVVQKEQENQEVTTYDNQDTEDWIIVTKNKKQKCPNHTNQSCNIKEK QQEQQEQYPQIQDSKISMEIEEVNKNSIQLQQEEKVQQQEQLQNQEQQQQLNQLQQQKLE ESDQQQKQQSYEQSLITPEKKKPNRKNLVLPKKVEQNEDPIDENLMNQAFQQMIKKLDLD IKEFTDQIRRENDQQFPIRQLIFNRIQFTIQLLFKEAGVCLFGSCVTRLALPDSDIDIGI TGLETHLLNQKMDAIIEFLSKMNWVKRIKPIYPTQTTLPLIKLWVDPSIPFRSGNMNLPH IDLVCQSQLIQVDISFFGHIQHQGLTSTELTCYWLQEYQELKTITLLFKSLLKKRGLNDQ SKGGISSFCLVLIVVAFLEYHYQQNAGFQSIGLATYKFLEFYGTKFNPHSMGIFYKGFDQ NPFFYLEKDDFQLTIVSPITYDIISQSSSFVQTILQDINGLFNACENETKFFYEKAKFNK KKRGKKEERNLFYKEFAKLTPLFST >CAK89264 pep:novel supercontig:GCA_000165425.1:CT868654:9770:10503:1 gene:GSPATT00022435001 transcript:CAK89264 MSAPIKIVMLGEGRVGKTCLTLRYCQDQFNESQESSVNATYFDKAVDLGGGKDIKLAIWD TAGQEIFHALTTVYYRDAYGAVLVYDVTYKESFMKVEKWVEELRQFGTKDISIVVAGNKC DMKNQMQIDKNEVEEYCKKIGAKHFFTSAKAGVGITELFRSLGESISIKVQAQESKGKKK KGLQIKDVKDTKKSNSKNDVCC >CAK89265 pep:novel supercontig:GCA_000165425.1:CT868654:10528:12304:-1 gene:GSPATT00022436001 transcript:CAK89265 MIIFICFFEFQSQMKHHYESDEDDEELKIGDIIQGRFSISQKIGEGSFGQVYKVIDQKSG DQVMAMKVEVEEEDYSMLEKEIKVLIEMRKKTGFPQIKFYGQEKRFTYCIMTMLGKNLES VVRKCGGNFDLGTSLKIAIQMIDRIETLHNCRFLHRDIKPDNFVLEAGPSPKIIYLIDFG LSKHYINSKGDHIQYIKKAGLIGTARYASISAHDEMEQGRKDDLESIGYVLIYLASGTLP WMNLQIEQKDLKYAKIHHMKKTIKPDVLCGKLPRCFTKFMQDVRGYEFKQQPNYQLLKSY FQEELEQIQKERKGQFQYDWEKLPEYQQKKKHLTVHIMQQSSKEEKVNLVKQKEPPKVIP QTFEQIMQDTKKKKSTKKTTSHKTKKKDPIMINIAEPEIPAQMPSFGNLNLRPYPQQNSF LQIPQLNSSGLIDYLPSLNPSVATSKMNNYHQSEDVVSEGRLPIWELGDGQIPGFQKMIG YVTKGIKKPNADFQRKNRKAHTQIDTIKKMSQNLVQPKTIKGDDEGIPEGLD >CAK89266 pep:novel supercontig:GCA_000165425.1:CT868654:12823:13451:-1 gene:GSPATT00022437001 transcript:CAK89266 MLLCFRLWSFDKQTPPIFQIYLKNPTLIINFNLVLEFGSYQFQRIIWRSSFFKVNIKNYM SMQVAQLPKGGVNKGQVIPLKCSCPPDCKCKSPELREWHHKPCSEMSYISQYGDIFCRHH LSKCDGYFIKDASFQCAAAQQANTWNQYRHAAQFLMAIAQGLQAAEFTLSANDQIHFAAT LNTEVIRRWNQ >CAK89267 pep:novel supercontig:GCA_000165425.1:CT868654:13758:14570:1 gene:GSPATT00022438001 transcript:CAK89267 MIDDQQYICQEHNIEATLICCISQWHCEPLCVECIPNHTMQHNKNKTQSSIKKYQDVSLE CQQKFKQFNNEIETFKEQIVQEINKPYEDENLMNLKLWKEQYLSFFEAYFNNLIANYDQK LKQFRQNQTDQFQKVIQNIDKLSNQYQTLKNEINIYTLSKQKELDQLKDNFQQIQQFKIK QSYSLNLFEQQQIKNKINDLVLIDDNDQRDQIQQKQVKKEFIPQKSTVCPQCGTQMTYVS DFKKHLECPKCTKIKRPNTLKK >CAK89268 pep:novel supercontig:GCA_000165425.1:CT868654:15548:17008:-1 gene:GSPATT00022439001 transcript:CAK89268 MYVCQELQCIELQNNKLLCQKCLCQHRGVNYIKLKERAFNNYNQYKSNLEQDIADNSDLK KKIEQDITEIQSKIFKIFSDLQKNLIEFVDQQSRKISRNKTNAEKLMQDSNPSLDTYIYF AKFSVDKKVHEQAKQQITQTIDDLISDLKQKIDYLKPSTINENIIKIEEQSFKIESFFQK KSPFGYCNEHCKQKSSICTNITCLELNDLEYHCERCSKTSHAEHFQKDFLQEYDKLKKEQ KAKLDLISNEKQEIKLKAQKVINDLINDLKKLQKESYFKYEEVIKIIKVFFQDFEKDLSQ QDTKYVFGDLLFQIISTKEEEFQLKLNQQYQNFEEKLKSCRKDNAVQFSEECQKLQEKQD EIFKLKKTIKELELAINEKDQLIKKQSPENQLKVIQNNTEQILKAVSQQFKSVSGVNNIS LQSKPKLQQQNVELNQPIQEQVLNQQNQQNQYNSYNQQQLSLSNVRYYEHQMQPNLSQQQ YFRQNK >CAK89269 pep:novel supercontig:GCA_000165425.1:CT868654:17678:19697:-1 gene:GSPATT00022440001 transcript:CAK89269 MERYTWLEFCVKPSLIKEYMKALDLPNQRALFQTYLDHLQSVCLEDSKFQGKKFKRLLQV VYQINEMYTPILSDFSKKNLQFELVQHYFKGVQQSEYYKLLFSAYTLEYLTEYILHKEIT PERLNSMINLQELEQTLSNSIEPIAILNAQRLIEFYMLQEEHNIEHLFYIHDKLQKTLTE FPVGYSSEKIEDYRYIILQSKDELSPVTDSDESQEQQIVQRQNKTVTRSFIEKEILDGPS STRIIVIYLQNGISTNNRELLLQLQRIVNGADPLVDKLISIFLKNSQISDIDLLIRQLEV SAISEINIISIIAQLICKFANGNKDATKVLINLINKFYKTLQDQTRTVIDQVKSIQEFVD IIQKSPISMPFKIDQYNQNVQLALSLILSHSDIKLFLDQIQELFTNCLDNLTFFIENCLS KFILEQIQALILKSITEYNPLDFNNYYSLMPILNQDFPPFEIKLPHDVKVKLLIKSFKRE KIVTIQQFDQSLLEDKLQFKYSKLYKKQSLFHYKNQQYNKSIRQIVKYFEAYLYIPQFEV HQYIAEIRVLECLFQNFKSIGASTHSLIASQFICYTLENQIFENLDISEELAQFFFDGRM IEKICAANRHNQQLLKLMVQRLQDPDCYVFKTNNLLLQTQEKYLSMFINYLSEKMVI >CAK89270 pep:novel supercontig:GCA_000165425.1:CT868654:20422:20673:-1 gene:GSPATT00022441001 transcript:CAK89270 MLISQHIYDYEIILKNQSYVALNNPNKQIKCIILTFSKEVMGISESLQDDKQIGDHESQV NSNSEKMFTQLNYLKNKKKNKLF >CAK89271 pep:novel supercontig:GCA_000165425.1:CT868654:21467:22175:1 gene:GSPATT00022442001 transcript:CAK89271 MFEQLTSTLTTRPLTFAKFSFQKGEVESDEQLRPIVSGIYQHQRQGSMLTSELWSKKQCR QYLKQTNMQTAKGVLKRFAHWEWDQNSNKERFKNLTEKHKQITYTNERELVTKLQLGTIE GEKRLRQKILRDWRIQQSYDIQGELEKYKDSEIIKSKGMKSHKQSQSYGQLPLRFLVKNH QINHIITELSELQEDTKKDKKNFDRVLKQKRNNNRLQKISFDQFLQD >CAK89272 pep:novel supercontig:GCA_000165425.1:CT868654:22267:23274:1 gene:GSPATT00022443001 transcript:CAK89272 MNQSSWFSLEEVELQHQITAANPPVIKERKLPEKLDMDSLLSQYKSIEINPNLIKYVVVE SETTENSKQVNFKSKIKLKCEERDLHGSLANKGTNNIQATKISMASSIPYIQGLRSAFLS MKEGDVAWFKLSNEQMYTEQEIASGIPVQSFQKYFKIEILEVTQPEIPLDLTSLDNRLKQ MELFKIEGNQFYEKQQYQSALLRYQRGLNFLEKWPKKFESNPVAIEAKRNSLLVLSSNKA QCLIKLQDYKQAISVLEPLIGQMRNKQYEVKNYYRLISCFYETDEQVKADFYYRQVIHMC QLSQEEKQLFHSIKFKK >CAK89273 pep:novel supercontig:GCA_000165425.1:CT868654:23826:25241:-1 gene:GSPATT00022444001 transcript:CAK89273 MRKENLTQITNNYFIKNLRIEQTQKSSAKQLKTNFSQLRQPRSATIDAKNSQKSILSSLK IEISRPYESLQPKRQTVVESTQNLIKQYANQKQKTNVDKRNLWHNFLNNSTIDQQVRRAQ SSSTHNMRQEPKSDLKYRSAQSLHYNPDFLQFKLSFSSRTRQGQLASNPNKTNQDTFICE TNIVSDMHLFSVCDGHGQNGHFVSQYVRDHFTKLLKRDHQLKQHPRQAIVKSISVLANLI NQQPFDTQFSGTTMNVILIQDGGHLICSNVGDSRAIVGRLGNNQRFKPFPLSIDHKPCIE KEMNRIHMHGGRVDTYYDDQGNSIGPARVWVRDGNYPGLAMSRSLGDQIAQSVGVSSVPE IFEYQLTPQDKFIILGSDGVWEFIDNQSVVDIVGRHYLQGNLEGACDELMQISYKMWTLE DDSVVDDITFIVIFIS >CAK89274 pep:novel supercontig:GCA_000165425.1:CT868654:25272:28400:1 gene:GSPATT00022445001 transcript:CAK89274 MVLTSLFKYAAYDVCDLLPIACFGFFAFALQISTVLFINQQMKQEDSESPSLCGYINFFQ VLGDMESEGLITQQEKRIIKQKLTIKEPSLMLLLSKQQEETQIKSELLSLLSSFQMTRSK SHTQEFSSSKKQGQQFQTQTIQLMDQLIIQLKCMQQYANQQVIESSLLLHQITQDFKSKI EQLRNILDSLDQDEDVDQSYVELLQSNYQQIKQRYSRYMNSLLELMDEKVEPKDIQAFLN TFLNQLIGCQTYSFILLKDQKVQIHQEDKFEEFQITKDVLDDLVNLQQITEVHSLNTLKQ YYQSPNNFILKLTDYQYFVMQLDPNFMSFVMLSKKYNYMDSLIELAQFVIYTSQQIKVQY FSILSIGDTVLEFGLEIVRCSKYLLIENILQTINKQYQIHEIFENQPNVITLKFKDSSSQ HLFASNLDLKKQQDLLIYNTVNQIYQRYQQFIKQCYERMQFYKYFLRSKNLFMIDFDKQG RLRFLSRALSQKIKMQFHIEKIQIDSTYKQIFIQNQQMLQNIENYISNAKWKLTQQEEDQ NKPYEIFIRKEEKHFKGFTLILLENEWVRKKTQPPTNGKTIKQQLLQTETLDYIKKLEEF NPDIRNSVVAMYMPQIQECNSNAQQTQKTPTLVFRKIDKGQVVGKNSFFFKQREEERRFM KTNPNKFNESHLTLREEDSQLDSLDFNIHLVIFITSIQIKSTVEKQRIVWSMLERNNFNQ MFALPQDKLINFLIEMESQYNMNNNPYHNFDHGVAVMQAVNCFIKSLTNQLDQQFFNNIT KFCLLLSALCHDVAHTGKTNAYEANSISQLAIRYHDKVILEQHHAATTIKILRDDQTNIL CNFSDQDFRTFRKQLISNILSTDMQEHFKMLKEFESRIEQYGNDPEDLSLLCGMITHAAD FNGTARKWPQSRLWSDKINQEYRAQYAEEGKKGYPQQPFMKDLDKLHVMSKNEIGFIKVI VRPLYHQMNQFGKGAFQVCVDNLDETTFEWEKVYQQELKAQQQQGNQ >CAK89275 pep:novel supercontig:GCA_000165425.1:CT868654:28469:29588:1 gene:GSPATT00022446001 transcript:CAK89275 MMLGKPDLKWCIQQKAAQNVKERLYGYPSHQNQLPVQTQTKYSIPTEFVGCINDQDQKDV IKILKFTHLKWLAKSNSFALGYNKINNQKRQGHLSIFDLNDWKLFYKKFANEEAMVGILS QEYLNKVFGIGSNGGIYTIEKGLGGLEQRPVLETKILQITEGAFSPNHNKIAFSSQDKLI YLYDVQSEQKETLIGHGKEVYTVQWNPENSLIISGADDETIRLWDASSRDEILNLKRHNL GVKKVRWNRNGTYFATTGKDKQTLLFDLRKMDMEIFKLHQTQVDTIFWHPKYQNIFLTGD ANGSISCYNINAPTEPMYVQHVPETIVTDLALDSSGAMMSVIRAKQLQPEQKISMADQIS IYKTA >CAK89276 pep:novel supercontig:GCA_000165425.1:CT868654:29646:29957:1 gene:GSPATT00022447001 transcript:CAK89276 MSNNIERSFSVKNRNFHPEETTQSSGKVKVTRHFTNQVYQAQNSDQKPTKDVEKVNELIK LSEQIKKELLKVKFCTLCKRKFQSIKYLIEHEENSEVHKKIKQ >CAK89277 pep:novel supercontig:GCA_000165425.1:CT868654:29979:30773:1 gene:GSPATT00022448001 transcript:CAK89277 MLDELELLVEKELAKLNSLNGKDKAPQVVQQPLMQNKDKVNLIISIDNKPKDVKHPSTTE QCSFRPMLSRKSLRMAEKLGDAKERLTKPRSVTPEIKESSQSQTRKRAISGVAPRWEQLY TLDQFYKSKKEALRIQIEMERSKENETTFQPDLSKSQTKYCDFTMPFDQRNRYWETKKQE KLLQYQKDVQTESQSLCTFKPQVNKKIALKSAKVSEFNKKGLITYFERVQQANKKKEEPK KSSKWKPGVTIPQEFKLSHTNRQL >CAK89278 pep:novel supercontig:GCA_000165425.1:CT868654:31595:34212:1 gene:GSPATT00022449001 transcript:CAK89278 MNKLRRVVRNLDLMLKHFKKNQKANKMERFRRHVINEILYTELNYINDLGVLEDHTQLRE ILTNELPMRSDEKYISAIFMNVSDIRKENQKFYDQVKSKAANINRNSVIFDNVDVKGFET YYHYCRNYNDSLKALKLLLQKQPQLEHSLKNRENMRGLQLVDFLVKPVQRLPKYVLFWKE LIKGTRKNHPDMENILNLQDEFERLNDENNKSMDKFINRARVKELSKEYNDERIYTDNED RLVMFQDTANVITEGRELNVLFYIFTDMILIGYQDQESKANRAMKFKLDSQTIIKDQLDT KYFTNLFSIVNQENVIQFTAQSKEMKDKSIKQISKIINYIKEKSQMQDIYPITVKVVGTE ERFSYSINKYTIYIVEIEIQRIVLKIYIRFSKALELLNIFKQRYPNGKFEDISSYHWLNN HSTKVIEQRMIAIEKMIQQVLILNQKQPEKLQSHDQSILSLLTLPENFYELPKMNIQVDI EVKRQFQSHMNVVLQQTIQGVSDILDAYFSAKNSQIRVSTIQDTNVVVVTDFSQEMQQKN GKVIDVYLIDNQCEKIQIYEITRAAEIVKVLAKQMYLKYYQDFKLILEDKYLNRRVIDDD EVILQLHKISVNGIFNSSINKIEQFWTNSNEERLFFRKVIYLDPKYEFVDIYQDQVRMQY ILLQFFEEIRELKFLLNREKLFLYIAFYLIIKRQEVKHENISKYLKSEIFDQIEPHRWEV EVKRYITQINSQLLQLETEKKSMVYLCQYQFLKNIYYEKEATMNIYKLEVHRELQAAFSK LKVPDIKGNIYIGLSQAKISLLTPLDKKVIQEIQYQEIDNIQSFPNKLVIRTKSNIPEKG WKFITFQSYEIKMLINFYKELSLNDS >CAK89279 pep:novel supercontig:GCA_000165425.1:CT868654:34247:38186:1 gene:GSPATT00022450001 transcript:CAK89279 MDDAYSHQASTPTDRDRKSNLETMRNENMNFVDPQLKRRDSGVLQKSVPNFIMAKDKRVD WIVTFWKSNHGWMRIRLSTPTPPWFWRQMMASARKRFQSNGWSIMPGIKKEQDYGEQQRY PDFIIPHFATQSGKNSNYYYAIYRILIKLREALNIKQKVELLEEKLRRFFQYWLEICSRQ LDQQIINGAPIIYDKVILVFEGIDNFRELLDMHREANVNFWLPKYFPTNIKVIVTAERQS ASMKLLKPDCQVIPIVSDKTVMKQTVNHHLGKHLLIQNPQNLLDIFIQLSYKVRNQPVFV RAYFSVFIPYPSEGVVEENEIDQRIVEQILQPLTLNHFKSMKIVEDLFAFQLDYFSKVNI MEIAKFRRVLLVLSLTQKGLTYPEIESVCNITIKEWKLFLVFFKVYIMKHKDLWIIHNEI FKKVVINTYYVDIKEVLELHDNIATTIDKITPNSIRKLEEQTFQLFSAKNYFSLKEVISI IENFLLLFNPSNKYDLCRYWQSLEENGFDPVLEYNKAVEGFQIHYHPSSEDMFRIIIQIS RFLKEFGDFETRNTPGFRHPPIIGVLSDLYDIGLLQEILKLDLYYDKAPELKEFDPAKHL KRVAKPKTAPVLSNMESLNVEIQQNRQLIRTHYLSLLSKPIEQTENEEDQPQSLDELAEE INNRLRKVIQQKEENLSQDVMQTGERESTDYYYKRWIWIQFPWACTSIDKNCDYSTVIKQ CFSSATDYMSVEDENAFTESALKIALEAKRKRKEMYEQKQEQETRLQLIPQVPVLQQKGQ EEVLKKQKREQIEFSTISSSRYNRSSAVTLPPVSNRSAVITQEDVPNDNHRNNQMFITSD FTDSNYLNEESKTIKLNQSSRALNVMKQKSKMRQFSSNSLSHEVYKITEIFPIVKGNIQQ HSTAQLNLLQNQAKIMRQKLNQIIYDNLQLAQTYKMLKIMDFNKGYLKKELNNLEGLKQQ QQGLKLQLEQAEKQLKKSCKTKIRIKKILKVCRDNKQQNEEYIRHLNYLTRNFAKLIKFE ELEIKKSQENIQQAKRQFDEFLRVYRQKREHQSTLLLQIRNSLYEKQHLDKIFQVSDLEI TQKANASIEKLKKRLNKKDDDTKNKKNKEAQEKEEKLQKEKLINLEQLYQKIKGVFDIKN SDYNLKKEFIDFMAQKERKSEYEIQLSTSRQQLSEVLEINRKLNEQAKTYQSAYISLNVN QKETKPEDQTEEKKQVTNIQLQELQLKKLMVLEARLQSSLDLIAKKCGVQEQDVYYIDFI HRFLRCLG >CAK89280 pep:novel supercontig:GCA_000165425.1:CT868654:38921:39334:-1 gene:GSPATT00022451001 transcript:CAK89280 MGCCQDRPNTTLRANELRPLTKAPSLILTHKAQVMEPMKISIIGDIDQMDFMDEFPQPMP SITQIDSKFAAKQMSSREFKETRDLVMEQFLSFQKLTQISETIIIDMRSGTFLQSPLKPM SERHSSKNLNSKFIGML >CAK89281 pep:novel supercontig:GCA_000165425.1:CT868654:39397:39776:-1 gene:GSPATT00022452001 transcript:CAK89281 MAPLSSNLVKGDTDEIMEQMECFQSTCEEKGLLEKLRSNNITKTSSLNLKEEVIIDLRQA PSPSKKQMTNKNLNNKFIGML >CAK89282 pep:novel supercontig:GCA_000165425.1:CT868654:39846:44232:-1 gene:GSPATT00022453001 transcript:CAK89282 MYYLNYEVLRSRYQQFTQFNILEQLESIYQSLKLSLESRDRNTFFQDKVQQQPDCFQVNP IYGFAQQANELYGSYGQPTQLCFYMHRQKRYLMIGTQHSVIICIEVVNGRVESIQAILNA GERQTRYGSVLAIQCWEKYMLVGFERGDIQLYEVGRKWERLHHESKLHSGKVVQVLFIPS STIEALSLDEHGVIYKHSFTVMTVMDKYIVTHSKDKIYKNGKIYHIKRNTQQPKQKQSSW WNNAKSIFKKEEENSELTDPSVRQSSDNDGQLTKFALDIKLMPEAMTQQLNQNIQQVVVA AILYSNRVEILKFYKGNNTEFINLGSLKCEIVYTLKRNTFSIDTPQQDNTESGELSWGEG YFQKSYQNAKLLCIRWGDIFHLVKCMNIEDELEIVEGAVYKMEKEKGNIIKSSFLTNNII YAFSDSNWVALIHTTQFQFAKQDDGIVILEPKSRMLSKEDVEPQTAEISVSYEGPSKPCQ VQIYENGIVSLRKNNILIERLQTWNEYLEDLIEKNQWEKAMHQGMLIYQGQIKILSEIAS SLSARQEQMSQMFQKIAFTHIMFALKPLDIPLSQQEKENKIKKTIEFLLRVDNMSYALVV LKDFFKDIQQMKLYFTCLDPFIKNKQIAFIPESFFVDYVNFYQNDKEMIQQLVLQLDLHQ QEPTLLIKVCMDYHLYKAMIYLCTKQGDFITGLMKLMNLWENKCQSEQKEHCHIEKEKLR QFRIKLGYNILAYVRMCVKGINILGERVPHNIYFDMLRGLKTLNNSYSLTFGYHFNCLCN SCNITSTLTSNQPHSLEFKFEIIDHVPEILKLMLSAIENTRQEIKTQEHYQIVYNDKTQQ YHQITLAEQLNHFLLFYSQLLMQFPTQLTQQFKEKLFIELLSPSYFQTVKLYNFNTQDSD PIYLESYEVNKNAYLIHIYDTSQLNDIPIVFDESTQYPEFRAFLIYKQKGYFACIQNYFL MSNSIMKRNVFEVVERLLIHSDEQFLMQLQEFIIDNCDALMTISQQYTNRIFKEYFHDVE LQRKIIQKLEKTPQNQLHYLKQYIKNEKASDSIRLLYLELLCQRAPKEVLKDVQNGDFPL DDALAICEKHKVYNAAAYLLQKNGAIQKALDMLTLLFINRLKDSHKSFARSKTISEADQE DIFEKLNYIIDLCSKIEDDGYWFQFLDSFFKQHKLEQLTDVKVPEILRKLHGDIVSEVFL AMSKCIELEKLLNKMHQHYGSIPLRVFLKTNQSIQEKFAFELPSYNIAMRQTDVTYKALN KKLFQQMNQGVCVDRFCGECNDRITKCTKAVAFQCGHSFHQECYIELHGNNQKSFNSLKL KNQKVFQECFTCLENNEKYIAHLIVQASLKKLKFDFDKYLHRQAHVVPESKQVVVQYNKT ERREKAIKKLKQDDFNKLYIRESSLNWKNW >CAK89283 pep:novel supercontig:GCA_000165425.1:CT868654:44264:44924:-1 gene:GSPATT00022454001 transcript:CAK89283 MADLCQNCKHKQLRSRMIGKKFQSNILNQIQQIRSPRNHDPPYINVIEYLQSIHKKREEK PKESIQFHRLKTHTSKQKTEVQMEDAATTTSRLDQFPSNFYIPRGAMASKVMSSAFYKMK RMCSYSREESKRKNLEIGPMFNQVSKFVQPMRPCTVRSVNSKMEERLGATSTSARQEMLR QSKSEMQLRLPVSNKLSEVQSLMKRE >CAK89284 pep:novel supercontig:GCA_000165425.1:CT868654:44954:45541:-1 gene:GSPATT00022455001 transcript:CAK89284 MSNRKTYGNKQTRISLGEIDDMFNDAEFSQAVKDTFKKQSSTVRHDGPTQTTNMFYQKPT ISQIMRLRAKGSHTGTRCPSLKKEERKTRWASLISEGRTEHHKRIPXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNSGNFFEHKDCVTDMIYLDHDNS YLVTASRDHTIKIWK >CAK89285 pep:novel supercontig:GCA_000165425.1:CT868654:45553:46105:1 gene:GSPATT00022456001 transcript:CAK89285 MQVAFSVICVGLDNAGKTSLLRTLSNSQRMEIFPTPTMEIHYVICPKIGKYCLVYDMSGN GRHRSNWRILYQDVQAMIYVIDTSDSEYRFHLQRHLIEEVLNDDLIKKSAIPILFLFNKN DKKNRFNKDDLIKVLGLDSKKFKNKFIFKETSSFEISKLKEAIDNLTDALFSKKQ >CAK89286 pep:novel supercontig:GCA_000165425.1:CT868654:46132:47362:-1 gene:GSPATT00022457001 transcript:CAK89286 MILYLLFQLISAYVKIDLHKPMPHHYNRHLRNDLLINNFKNTQYTGIIKIGEQDLELLFD TGSSIFWVFSNTCASQSKKASFDCQSSPNCLMTKEQYNVQYGQGEIRGNKAFDQLQISNL TVSNFQFLIVNSQSNLDNLRADGILGLGLQEQFGFNSLINMLYDQHLINKRMFTFYLNSI PEQINNSSALFLGGYDTRYMSSEVQYVKLDKTDSWSVKLNTIQMNNKVLINDVSALIDTG TSLIVVPTHQFTQLLSILRDEYKQFCQYSQYQIKCSCPDGDFSHFPDFEFSLEGELKLVL SPHDYIYMDVSVCVLSFTKSSNNYWILGDTFIRKHVTVFDIDNKQIGFAKLATFEKQSKQ IQINDYLYIFKVVCVCICVAIASIWIIRQVSCLGEASHEMNK >CAK89287 pep:novel supercontig:GCA_000165425.1:CT868654:47368:49689:1 gene:GSPATT00022458001 transcript:CAK89287 MEALQYKLSQTIAAHNGIVRSISTQGNELITCSSDKTAKLYEMKDNSYQQVTLISFFEKY IYATCARVNGGYAVGHDNQIYLLDNEGNPLGILEGHEQQVCSLKSITENLLISGSWDATA IIWDISQMKQLFRLSGHKHGVAVYGDENLNFITGSQDGILHSWSKETKVKSVQAHKDIIR EILPSPLGGYLTCSNDESIKLWSKDLELIQTFLGHKSFVFTMKVLLDQVISGGDDRMVII WNLDGTPKQTIQLPDTVWTVALNNYNDILIGTSDGKVRVFTTDPTRLATQAEIEGLEQEA SLSNAKQEGGMSEEEIQKLPGVDKLATMVGKKEGEIRLFRNGNKPEAYMWSAATRNWQLI GDVIGGKGASSRKFFQGDKYFEAGEYDHVFDVEDDNGITKLLPYNEGESFYDTAEKFCLR EGYSKHYLQQIVNFLKKNTSFGQSQRQKKSELETMKEQYAQQQILQQQQAKKQIDFQYIP YTQCTYYENMNLQGLSKKLFEFNAQVSEEIRLTEKECLIFNKGIESLGQVGVQKAVNIEN SVSIIFLQKLLKWDAQYLLPVYDFFRIFSVHHSSEQLFAGLEKGMNLFLNIFTIVNTQPI NIVLVRLALQTLCNCLKHNTNSCAILYHLRIVKDIILCLLDTDEKTVQLLGNLILNLSIG IYQRNGLNDLASEMLSETVVTFLQYQQRDVETVAKLVTALGNLMRSPAKQIREQCKKISQ GFVQSLVIDTNNQDTLKCLEEVKLSMQI >CAK89288 pep:novel supercontig:GCA_000165425.1:CT868654:49793:52384:-1 gene:GSPATT00022459001 transcript:CAK89288 MNMSFFLVLFSIGYSLNEKYECSCDQILTEADCLMSYKQCNWNSFKQKCQQDPLIIPSQS MIREYCEQFSQSACNKLKPCESCVSNGPCQWYQGNCSHFTGCTVFAEKDSFKCQQISNRC ITDGVYCVEIGVCDSYKTEIACKQDMNYKWCFWDYNLNKCRYPQTCQELPKTFTYDSQCR SQISTCTTNHQGGCMNEPIIVQFEPGTVQVTGQVYKSQCKDFTLQSECIKNSDQNLCYWN EISCVDKICSNAPTIFVTNSLCQSFLSYCITMNGGGCMNNGKCQVANTQGACDKDIYGID CFWTSDGGCIYKSCDLAPTTLISNYDCDSFLKDKCVVKEGGGCRNKECEDLYGSSHISCW TQKNGCTIGLNSRCAKPKNCEDTILQEACIEGLNGPCLWIINQFSNEQPQGKCYSYNSCQ SIQWNTDQQCKQISPFCTTDGTKCVPITQCSETNTNGGCVTGNDGYCMQTVTAINDNKLI CKRFDSCSSAYYLIHEWCQHANQKCTSNGVTGCIPLADCTAYQAKESCKVNSSKNPCFWI EAEQICRDKSCSDFSYKTSFQCNQIGSDLKKRCTFDGVQCIDISTCGNYKQKSVCNNAKS VEGSCIWSDLEQACNVKFCQDISAPSLYNCSIELANCTFDGTKCTEWQVCASYYTKEACN TMGLDGICYWSKSGGCQLMTSCKSASDDEQACALANQNCFWNSQGVCQDHFCESFQKSHG MCVNFNSWDNQSQIYCSEQQGVCRKVDPITLNQNDCYKVTNYLYTWNPNSSKCTICNPQI KPSVNTNTNINTSTSSNNKSNNTNSTNNNNNTHGSNNNNNTNNNNNNNNNNSTDNSDTDT NQNSTKSSFFITNFVLFILTVQM >CAK89289 pep:novel supercontig:GCA_000165425.1:CT868654:53524:54849:1 gene:GSPATT00022460001 transcript:CAK89289 MGKDFEQIKCQFNHTSPIQFFVLDDTQDLKAKFFCQKCLTTQTLPNNAKSFNEAKEKILQ QKQQQLEITKILIQKKVQLIEQLAIEIDSFKTFIMQQMNQSQQDIKLWRQQLETSYLEEK NIDFESEMESFHLNSEEYKLKEQIRIEQKLLNFNNSFNQKIHLKIKCFFNLEEFQKCQQI LDKSVDGSELQNLQQQQEEFELNKTLVIQNFPNKWFLLGKFDHIQDSIKQHLLNWRTYTQ NTMEIVQFYDDMNHNYEFLTYLFPNFYKSKYNPKSTRLTPQERNEIINNEQVAKQLVENF QMYLFFAGLQMQEDKINVQNEKQFKSFRNQTNREGLQRVISSLSVLNQRKYALLLVKFIK EADFFYDSIFLYYDLLQEEGNQDQNALSFEKVRESYVQLEIMNQEWVSSKILTNKTLDV >CAK89290 pep:novel supercontig:GCA_000165425.1:CT868654:54921:55310:1 gene:GSPATT00022461001 transcript:CAK89290 MLQQFLNMGFTQQQILKAQQICKQYRNLEILDVLLKNVQSPIKDQSQQQSQSQILRELSF DVLTPDQRLRQKGIPVGLKNLANGFSDIYVSLLFEFSGLDIFSQPNLCEGDIELQIPCAI EFRGSLKQE >CAK89291 pep:novel supercontig:GCA_000165425.1:CT868654:55576:57152:1 gene:GSPATT00022462001 transcript:CAK89291 MAEMQNKDESGDMHESDQYQNDRNKSGITQTRSMILLDENKAKLIEQQPLQSQPQNQTII NRLFFGKTKEYITHPNQRNQSEEQEQIFLQIILNVKNKSLYQAWEANNSFSIEGYRNGSE VIELAEKAIWITQIPDSLLFQIQRVGYDPERGLIKLNDEFRFEKEIYADRFLLENRQKVI ETQQQLIELKIKQAELLFQMDKYQNYNGISMLTVLSTATKYVKEAKPDDEIMQQRIEQCR LSIQLILQKHQFELDEINQKIEDLYAIMKKYKYFLQSILIHEGAAESGHYYTYIYNPSLK HWFKFNDINVTQVSEEKVLRDAYGDGKSKTNAYCLIYQRADHFEPQSYSDYTNNSVYAQY IQQNLYEEVKNDNKKFSIEQQEHELVELGDLVVEIYNVQFQQVNEMARKFKYRNGNPLNN FPTYLRTLLDQMNDLVKWSILDYAIREASQGKRNLRDYQEQMIFQQRIKYNFEQLSQFKV PSKYEVDKQTIKHLQYYYLQYISSLRITAIQQFQHI >CAK89292 pep:novel supercontig:GCA_000165425.1:CT868654:57493:58168:-1 gene:GSPATT00022463001 transcript:CAK89292 MMRAMFWSIKKKNELIGKVGHLYSPPKDQLNPTTPTKWNQIQNLFCICNFIILKYLRERL VSCWMTFIRCLVGTNQFPQNSKRYFQNVSRKKLNWVMIPIPGSAAAHQKNPQLLTKIMKQ QARVKTIIPYVQSATRMCNWATHNCTQIISPSTSMSIPNAPQCANKNQPPWLYCMNTSNR PNLSSASPSTTISSTSTSKPSQSSESFTPLPKNHSCI >CAK89293 pep:novel supercontig:GCA_000165425.1:CT868654:58191:60119:1 gene:GSPATT00022464001 transcript:CAK89293 MKNCTLLKQLQLNTHTHILDLIYKTSSKHHLSELQTQQISQYYKQPPSINSFQELYSLSL LRCDCVKYLQIVDEINDQIHAFFQTQTPVIQKILALERKPQPDPEPMQIEDDEEQNNMNN QDDQIQQQQQQQQQQDDPQQLQPSQQTNVPCSQQQQPVYTQQTTQPSQTIEDEICIPNSV KLKYRRINTGPSEKEARCENCVCQGKPANETENLIQCLLCLNYLHFNCIAKSKESFDKCY KDQEFVCPPCVLKYMDHFNKIQSSLVPPSPFQQMGQINHKAFNFTCDQTIINIRCVRQES KINCEEITWPDIGELFLNQKKIQDFKPLINNHSLKKRKDDHILTTEVLPQNCLQIKECIP TPDQRSQYRISLGHLYFLGVYSIEQFNSKQLLDNIFNNSENWMNIEQCQDFISLYLNKHQ ADDIKVDSLTVQLTCAITFNLMNTPIRGSLCQHIQCFGLENYITAMYSMQPRKWRCPICK KKLFTIQIDAYQYAILNTIKKCDLQVNEITFDNNGQIANENIQKLLNLDNLPNYGIKNNN RMINLEMLSNDTNNIYYKLQIVKTKETVNPSPYQNTLQHVINNMMLSSNQHLRSNSYANF YANNYYINQMKIQQQARMEDQQKLQSNKSKIGQNHDSAIIIE >CAK89294 pep:novel supercontig:GCA_000165425.1:CT868654:60129:61270:-1 gene:GSPATT00022465001 transcript:CAK89294 MSEQSEEESQELQQKEEKQQQSEILQQEHKSEEQLQQIPYVHMLNNKYNVREAVHAGSWY SSKSNELKIQINCWLEQAKAEVTTVAQLKALVVPHAGYAYSGPTAAFSYKYLKKYPPSEK LKVFILGPCHYVYITQCCLTRQEIYETPLGNIKVDLETVKQLHEQGLFEQSDKDAEEEEH SIEMQLPFLAHILGTDNFTIIPIMVGSIDAKSEEYYGRLLSEYFDMDDTLFIISTDFCHW GTKFAYTYYNSADGEIFESIEKLDQKAMEHIELHDLDKFNDYLREYENNVCGKHCIAILL HCIAMSQNTHMMETKFIRYAQSCLVRDKKDSSVSYAAAITFLED >CAK89295 pep:novel supercontig:GCA_000165425.1:CT868654:61288:63403:1 gene:GSPATT00022466001 transcript:CAK89295 MSNEQELQTKIKSLEEDIQNRHRQIVSLTDKVEELQRSIQNNSEVVELGEKVRMLESQNL KLIEKNQSDVVEWRQKERDFNNQIQSLQRKLNEVEAQLTEAKDLNQQLIAKSGENSNSES QLQQITQHYEHLLSEKDAQLKERETKILHHEQIIPQLQKDLDQRDQSIKSLNEQLESQSQ QLTLLSQQNSNLNTLTIELNNLKLLKDNLLNDNQTLHSQVQQFNTTNVELSNKLKLTEDQ NATNQKQLMDLQNELKDSQKQYKEEMTKIMQILEKTKEKSIHEQELFKNRLLEKDDILNE AKKQFEQTTKQFEKENASLTTQLSQANKTLQEQAKKNSDLEFKIRELESKLQESNRQYIK SLEHSKQLDIELKKAIQTSQKYQEQIIFKEEEVQKSKQLQLRILQDNSDLKAKLESLLQI NSKSKSNELQNLKNQILEKDQEIELLKQQQRSLATQLKTSQGYANRFKQRNKQLEMENTE LLKSKNNLETLFQEILDQTKQKSNVKNLSALSNNPTKYQMSLLSQRKNYMQENTSTHSQE NRSSSQKSNNTTPNKVGKTGDVSFKRAILVSGQKKTANSGSQDNVHVKQSNKLLNEIQEL DESQQQQNKSQSQQDNYDQQMGHGEQQNIQKEQEHKDEQILDEQENNEEVADFIEDDNDL N >CAK89296 pep:novel supercontig:GCA_000165425.1:CT868654:63428:64959:-1 gene:GSPATT00022467001 transcript:CAK89296 MNFYSDADGMDEQPESNNQDKIEEEYHNSKGAETQDGNQTLILDIDKRKIEEELNIAQEK QKLQEQLQIVKQQQKSDNIQQEQQSDNIQYKSFSKTQIIMLDQRNLCQYVLDEEKHQPYL TVFKDLFQNPYFDKEAQVNLLHLAIVLKLNFEKLSKTEIKQMILDFYHIYIDQINQVLNF NCKKEKDLALNLSFDERKNYLKKLKSDKELRNIKFLQNDYAFYLIILFESIDSVENLKAN IDLMLDYNLEVHQKYKQFIQDEAEQNKELYKKKLQKFREREIIDKTKFTKGKFMRNIYNI IFWNLLQRNKQQINSILMKCFINNNCNWINLLDFKENDKKKQLDKKEQFDKKELFDKKEL FDKIQNVIKFYTTTDKNLEDQKLDFKNYKNRKFDFIELMIQLLTTFKLFEEIPKTQLDIY DIIKQICKMKQDRYFQKKQISFLARDFKVLISSEIKHEVLGVVETILKQPENFLKIKRDL KEDEIKVVKDAMEKLKDKILKK >CAK89297 pep:novel supercontig:GCA_000165425.1:CT868654:65006:66179:-1 gene:GSPATT00022468001 transcript:CAK89297 MDSSATTRRLQHLSRHFKASNIATPPVRVTVTGAAGNIGYALVHMIGQGRLLGPNQQIIL TLLELPMAKDQLEGTMMELRDCAFPILKEIRGTTQYDQGFMGCDIAILVGAKPRGPGMER KDLLAANARIFKEQGEALEKYASRNVKVLVVGNPANTNALITAQFAPSIPKSNFTALTRL DQNRASSIIAQRVSANVEDVRNIVIWGQATVQQSGISYTVRGLVADDAWLQKAFVEQVAK RGGAIIEKRKASSAASAASAVCDHIHDWLIGTDNGTFVSMGVITDGKLYGIKEQVCFSFP CICKDGNIKVVEGLKWDQFQQSMIDKTLKELLEEKEMAFSVVVTK >CAK89298 pep:novel supercontig:GCA_000165425.1:CT868654:66179:66957:-1 gene:GSPATT00022469001 transcript:CAK89298 MHKYFKKIEYLFGSMIKQHPPWGSQIGVAHGGVIGYSNCEPNYDRTRFYLYEIHYKKEES GLRCDIFMGYKYQCVEFARRFFVLNYKTMFTDIQKAPDIWNLETVEDLSKESGTFPFVGF KQGGTEPPKFGDLLLAPQSQHQPWGHVAVVVGVGDGYIDLAEQNYEDAGWIAESYSRRVK VECKDGNYFVTYIRVGFEDQFNQSWDKDEVIIGWKRIIFN >CAK89299 pep:novel supercontig:GCA_000165425.1:CT868654:67043:67850:1 gene:GSPATT00022470001 transcript:CAK89299 MQNSPLSIQLLHQILLDVQIIYINLLLILLSMLKQLKRCGFRSKSLPRTIPLLVHKFINT RGRYIRRNAIKACAFGPFETPKTISRRKSCEGEAFGYFKSSKEWMQLVKIDHPQHRNQNL ARIVFLRLKRGNSQSQSKRVLSLKQCFKDQVNLLRILSTFKPKVTVQQVDLINQPKKISV PPLPSPKAKVHTKLFQFNKSITIDQPLFPKKVHRNWSQLEMLSPQKTTTQPASNYASPRK FKKSIMNIYGISNTMHLLKY >CAK89300 pep:novel supercontig:GCA_000165425.1:CT868654:67879:69058:-1 gene:GSPATT00022471001 transcript:CAK89300 MKELNHLNSRLLEAEDTQIGILYILLNQKSRNYQEILKMQENLEQSEDNLTTCNYDQEQG IVQERDIDDFGLNINNWKSTYSILQICSLKVHELNIIFMILSTIFILFYINQALAICSDD IPYFTTRNLKPTQSVCDNTMEFNLSFADYPLTIEIAKHLQTIYTIAPISTLSASDMQGKL NAYTATSFEFRSPSEHQIEGTQYDLEMLIHHQLQDGLISNSQMAIVSILFKIDKKKSQPF FDDYYFKILKYQNTTTLTINFHNSLGSQIPLDSTFYTYMGTFNSHLLDCEQLVQWYVVDT PLPISQQQVAHFNYFFRSNQTNNTQEKTTEVIDLLKLKGKYCESYLAKDFGLFLAYCAMI FIIYKSI >CAK89301 pep:novel supercontig:GCA_000165425.1:CT868654:69464:70141:-1 gene:GSPATT00022472001 transcript:CAK89301 MSRAIFDKNEIAFQSLLDEFNTAYQRYSLTQSKEATLEILKTKLKQAEDKLNLMKLEFKH CQSTLKAELETKLKQHLGNFSTAQKNLISIEQAKSIEQSQPSLNLDNRRRQEKNTNELET QTNQLQDCHKVLVETEDLQVGLMKGLDDQKKKLLIGIEGTDDIRKGVKETGRNVRDMENR ELFSKALKLGLIGVLTLGNLMLIYYKI >CAK89302 pep:novel supercontig:GCA_000165425.1:CT868654:70174:71895:-1 gene:GSPATT00022473001 transcript:CAK89302 MKKSIIRPINQLNSPSISHYRSQTSGSGVIDQSRILEETSERFQRTWNILESVEELKSVR EIVKQVNTEPSVQCECQLGGTIISGKVYKVAPMEFYYCNIGTRGQKSPLNCSMFCDGEFQ IMISFNSPYPTKFNCDQVIRSRQWAVRHNGEDKLNLAVVARKQTDIKFMVHFGQADSFRK LVRMTSNHDRIPMSEIPRTPQFIVNQNKVLAVKKVNKFEIEVSRSDRFLQVLKTRNLQKK SMLEASQMKSLEFKAKEWAHDEYKLITSALRNRDRRREQKYLWFELLYYIKLVDKLQTWL QQRRKQLMKNKMMVIGLQLRIKTFREKQQKERGDILHRVVGDTVLSLMMYCKQARRRLIH NSLGSVMPLLKWRASLYMFKKKAMITSGKLQLIKLNLNQFVRNVREYKAKMIQKWDQYTL KVHSIPSLQKMDKRFITWIKYLYEKGYQAYFQNQFITLLMRDRYRSHIREQREIKKFRLE LKAAKLQLRFSRDTLEIVTLRQRIFKLNNDIFAMQVKNQFFIHSDVERFVSSILEQSSFI FLDEDSNQLNQEKQSRIRVSLKRQKTMRISKNL >CAK89303 pep:novel supercontig:GCA_000165425.1:CT868654:72024:74228:1 gene:GSPATT00022474001 transcript:CAK89303 MKDSEENKGGIKCQICKNNPVTLVLVNKRILDNQRLLCDRCYHSYKGSENSKSYQNLLGE VHQKNELKEIQRKTIADQQIKSIKILLQLLNSYKDDLMNKIDNLVYWVNQWIKIIEKIKN DHQNSNFSDEIDSLLFPNYIQEQMEVLMFKRRIKYWNFQYCNQFIIAIQNFANASKLQES YKLLVDALFSIEQKIETKPSDIIKALNDKTCPLHQETINRVYYQNLQNPIGILCNSCENK YGEESLETFGQNWSKFLKKKEGGLEKFNQFLKEKIKQNIEIQIKNQVQINSFYNKGINNL VQTGSIELQKQFKEIEELKIIERDLKIEIMISSILQFNNLNNLRFDQNRQENQIITHSNP IIQIDTILKEQIKKNSPFLDDKSNFLYDVNFDLALYGPVQWKLVSQCENENCTVIAINSS KQLMVTNQGNKINIYQLKYPNQENQLKQLSWEKKLQVHKQHNELSITCMIHSKQYNSFVT ADEQLVIWKYCDKIDVCQQLVIEKQNGYINCLIINKNETQLFSGGKQIQVYHLNFETYSV KYEYSLIEHKEKVLSLSLNDSETYLISYSADKLINIWFKGEKKWIIKQSLKINLQEYSNT ISFIKNDLFILVTDLITHKKNIISFYKKTGSDNPFQEQVKEQLQIKYQSEDDKKNLDQPG FPIIQIENKNLIFIKNRNYIYTIRQELDGLYETTQKIMEFSSSSIFGNSLYIPEKNELYL VIWDKILKTYQIMI >CAK89304 pep:novel supercontig:GCA_000165425.1:CT868654:74323:76478:-1 gene:GSPATT00022475001 transcript:CAK89304 MDEKTTADGSEQVVIFEEKITKVNGEVAIKRYQRGKFLGKGGFAKCYEATNLETKKVLAA KIIAKSSLTKNRARQKLISEIKIHKSLQNTNIVQFEHVFEDHENVYILLELCSNQTLNEL IKRRKRLTEIEVQCYVAQIINALKYLHASNVIHRDLKLGNLFLNKSMELKLGDFGLATKL EFDGEKKRTICGTPNYIAPEVLDGKVGHSFEVDVWSLGVIIYAMLIGKPPFETPDVKTTY RKIRLNSYTFPEHVLISDAAKNLITRILNLDPVKRPTLDEIMAHPFMNTGGSIPKTLPLS TLACPPSASYNKQFQPSTNSSSLKMSVNAMPQRLTETTPNNQKNQQRPGNGSSDRFPLQK PSSSGNILEDNFGSSGLNNAQNVGYGGSQRPQSQKPNDIRSSQSQKALNTPFGATGMQAT HSVNNLGQKSPQQKQEIYVKKWVDYSSKYGLGYLLSNGATGVFFNDSTKIILDTRSLQFE YMERRGTDKQDICESHNLNDYPRDLQKKVTLLQHFRSYLEGEQNKQDMAFEEYDSKQLVY VKKWMKTRHAIMFRLSNKIVQVNFTDKTEIILSSEHKMVTYVNKTGERSYYPLATALDSQ NTEMAKRLKYTKEILTHMLNGGHTGDQKPNQMTGTNWQNSGP >CAK89305 pep:novel supercontig:GCA_000165425.1:CT868654:76713:77025:1 gene:GSPATT00022476001 transcript:CAK89305 MHQYQEALLKNTLRGEEITVNLQIYNSTAQQDRQQFIKALQELANEKNTKIDEQSRILQL RLPGTTTIANIKSDLEKYCNQKINILDFDV >CAK89306 pep:novel supercontig:GCA_000165425.1:CT868654:77141:78271:-1 gene:GSPATT00022477001 transcript:CAK89306 MDQSQAQHIWKMLDDMAASDPQAYKQFVEKNIQAGMEDMKNEKQQKIEELSITPQFAYSM KIWGNLLKQQINELESKLLLKQNELKQENFQFDKLEKRTKFYINLLHHERVLGAFDKNDN PTDNPSQYNLIPLSISDIQIGKSASFNTQVYYYDIVINTDVFKKINRQILQSIIIDTLQK RIEGDKNPVKFTFFKAYYKFEIPSLKIISKQYKYCGPKKQIKLLLEPQADKEGKRFPKTQ NPIENKIEQNLSFTRQQGPLDNLILNTQNQTQQGPQKKVLIEEIDTKNEVEKYQVVHEKD KVIITINVNVENFQDIDLNISSQGLKLTTVMNEKVELDFGCKVDDEHPSAKWNKKQKQLK IVVNKIL >CAK89307 pep:novel supercontig:GCA_000165425.1:CT868654:78291:79564:1 gene:GSPATT00022478001 transcript:CAK89307 MVDHISKQYKTITICHPNYPPFQVIINEYSTTKDISRQIHSTWRISPKELRLFNASGIEI FQEDLRFQPKQSKLWATLNGQELDQNIIFQEYTIIDQIGQGGQGIVMLGQHKETKVYVAI KIIKGDGFNADEIDLLFRESQILKQLSHRNIVHLIQNIMLNHECILVMEHLQGGSLLDLV RRKGTLEEIEAKIYMKQILEGIDYCHKKNLIHRDLKLENILLVYPNSNQIKIVDFGIACV GKDRIRMGTLPYMSPELISGFPATQLSDVWAIGVILYAMVFGKLPFRGSTKEEIIQAINI FKYTIPQKVSNDLLDLFKQIFQYRNRITVQGILNHRWLSESHTLPALSLLKMLQVTTPLT VTTKKPKQIMLQSCRKSSIQKEESKSNVGNVTAKPIKIVLKQRSGRAKSQLLFSN >CAK89308 pep:novel supercontig:GCA_000165425.1:CT868654:79666:80971:1 gene:GSPATT00022479001 transcript:CAK89308 MFHEYNEHSNTKTLNITLLGSDRKFQVSIYGETSLKELRSLCEQKHQISLRHFRVFTQDG VELFNEDLIYLKDNTKLYITRGEDFDANIIHQEYEIIEQLGKGGFGKVLLGKHKETFNFV AIKYVNTRNRDANDIDLVFREAYLLKSLNHKNIVKFYNCYPLSNMQVIVVMEYLEGGDLS KYTQAKGQLCEEEARMYFRQICDAMMYCHNRKLIHRDLKLENLMFANKNDTLIKIVDFGI AGMAVNSNMDKLNIGTIRYMAPETLQGNNQKIGPHIDVWAMGVILFHLIFGKYPFDGESN FDIIQNITASNYTFSKKNVSPYLIDLLSRIFFIDPQKRIKLYDILNHEWMKISFEQEYFE VPQFLPRTQFRLPSIENPKQHNGAQKQKKLNRSFTPLKKNSPRRNSFIIKGVLKRYQ >CAK89309 pep:novel supercontig:GCA_000165425.1:CT868654:81721:84397:-1 gene:GSPATT00022480001 transcript:CAK89309 MDVEHKLHEQQILQVQEIKGLQQICDEQSDQNNKHLITIEKLNKQIRESNLTNQQLNLEL QQLKDNQQSIDLQSRYFSQEGQEYKQKVEQMQSAYSGLDQQFKTLKLESDKQLKDYQRKL QSITDSHILQESELSNLKLENEQLKIKKQQEAQKQKEALEQLKEKSQAKIKEYKQKLKDL QNKDSYIVELQEQIKELEQQIEFQSTQHKQAVKMLEQQYKKQYTRLEESLEQEKSELQQQ LHQSLKSSVSEKDREIAGLNQDIKQLKMQFQNDQELKQKNNSKFEELIQTFKKQLEESQQ QNTELIQKNKTLEQEISKKDQNLKLLLSDVDDLKQFSEQTLTTLKENQDYMNEIEREKQQ QQEIILSIQHQLEIEIQGSFSYQRLGQELEQRCKLYKEEHCQILDEQLKKEAQYQQEIQQ LDSRFKQEIDNLNKEHQIIVENFESQMKKVKLENKLQIEEQIRAYNQIQKELYQKSQQYE NLEKQNINLVNELEQYISQYTYNLQQLEDQKVKEKNLVESSNKNLNSWNTEKHQLVMQID ELKFKYRSMKQKIVRLFIRQKEQLNLQLLEMKSIIMNKLKNYDSENRQLIQNSVKKFNHL IDQSVSQEKRENEFLVIELQKEMDKKVEQLKRQFKQNEQLIQEEAQIKFKQKLQQIQQHD YSIDDLKNQLNYYIQENDHLSQKLQVQDQLNREQQKKAEYESRQLTQTIKELENELSSIQ QSADYTLKERQFLELRYNELKDKSEKKMDQIQRDYQQQIQQLETIIQPVQQRALSPPLHQ HTQSSSSGFHRSPAVQPNLSSAKHPYSKPPQYSSPSIRTPHKSPSNDRTDKTIEELRAEI QQQKEKLSRMKLNFTESQKKSKTLSKF >CAK89310 pep:novel supercontig:GCA_000165425.1:CT868654:84444:85205:1 gene:GSPATT00022481001 transcript:CAK89310 MAWDCQRNSYYNKSISSEQCLWNVSNVISLILLRTAPLFSRSICSPKTCFVMSTRHSDDK MIDLHSKTLDCETNIYLFVNQQMQLIKELVVGINNPVSAIEQLKKSIVREINKQNYNTID HTHRRSISTQEVPFTVVSIKKPKTQLNTIELAPLKNKTKFMPNAALDFTNKQQKNKSLQF KQKIYTQEYKEKSEYVKERRRFNKNLECLKQNNQRFRLSVSVSEQPHQLNKLLETRLSHM LKYYNNNHDNYIY >CAK89311 pep:novel supercontig:GCA_000165425.1:CT868654:85582:86528:-1 gene:GSPATT00022482001 transcript:CAK89311 MNKTFQQNFLANQVAIVTGGATGICYGISLAYLKYGCKVLITSRKEEVLKQSCTTLAQES GNQNVAYFPCDVRKFEQVEAMVQFALDKWGRIDILVNGAAGNFLVPFEMMSVNAFRSVME IDTFGTFHCCKAVVGKWMSKNGGVIINISTTLPHCGVALQSHAGTAKAGIDALTRHLAVE LGPKKIRVVGIAPGAIEKSEGFKRLRMDDSSGFGEDFEKLLPLQRAGNNDDIAPWALFLA SEMCKLHHWINNYS >CAK89312 pep:novel supercontig:GCA_000165425.1:CT868654:87076:87794:1 gene:GSPATT00022483001 transcript:CAK89312 MQDAYTYQILKKCNGKVIMQIDEQLLDIINAHLTIKPQEQQCEVPYSVKKQHNFQRRIVP FFMNQFMHWAEEMGYKQVDGYLRSIHKKKTSKQQKFELGDLKKLFGAINPRTKIIQLETQ HKWIEFLGTQAEVCVLINNKIKDQSTKQMYVQAIEQLKEQLQKEQPYDKFLSLSKKDEVK EESIKEEYTSCEVPTDEYAPTYYQDPYTYLSCAYNNEF >CAK89313 pep:novel supercontig:GCA_000165425.1:CT868654:88173:89517:-1 gene:GSPATT00022484001 transcript:CAK89313 MNQQIHFKFILQYLEFSSKFDQQQYELSIMLKRGTLAAQTIISKMQIRNPERTSNMCRLP IDQIAEFQQKMVNKDGVYVDYNIKFLFYLGGNQLGDCIINLAYFLNGEIDSDQKVKKPLT STTDKRAALLFRVMRAPFQSQFETQEYPQANVPMPAPTHTPISQQVPQTARNSSSRKMEL QTRTTRARSQSPPKEFKSAVQISKAEEQPSQIKQPSDNLTQKFLQLGEKFSQEKLQQVAP INEDNDNFREITEMLTQQEEELQKQIEQLDNHIKIDSKFRKTNFDNNNNTANFSNANSNN QELQFFKQKYNELDDKYNKAQEENQHLKRIIRQSGEQLKKQNQLSQSGYKQLADSGLNQS TVSNQEQFERTIEKKNQIIKDLSNQLLELEKNSNAAVIEQLRNQITRIEQQTIEKEEQWQ LQEKIYKEKIFQLFQNTE >CAK89314 pep:novel supercontig:GCA_000165425.1:CT868654:89529:90662:1 gene:GSPATT00022485001 transcript:CAK89314 MSDHENSNIEEVITKIKSLPVAERVKAVALFYHLKRKQQYEEELEEQVQKLTIEYDHLNL PLYQKQNELILGQRAATDIELSSSDKFLSEAEKALVNENNTAEPIDDYWYKALKNSVVIA DVCQDNEKDWDVLKSLTKVELDLEENSHNFTVKLTFAPNDYFTNTVLTKKFIFEKAGETP VKSESTHIEWKEGKNVTQKKVSKKQKNKKTGQSRTVDKVVDAQSFFGFFKDFDLTNKKDL DDEEAEKQEDLMNEHFDIATEFLDSIVPCSLELFLGLQPEMAEIDDDDENDDEDDEDDDD DEDDKKKSKRKKSSSSKGDKNESKKTEKPECKQQ >CAK89315 pep:novel supercontig:GCA_000165425.1:CT868654:91047:91382:-1 gene:GSPATT00022486001 transcript:CAK89315 MIKGIIVAQVTEALIEDGIKLMRQIIGSYFDATCYSISQPAEGSVWIMYLDGNHYLQNGQ VLSMFYHPTKRHTATTVGKLGQKQSVAGPGQWAYSNQTKGAYGNKAYYNIL >CAK89316 pep:novel supercontig:GCA_000165425.1:CT868654:91579:92256:1 gene:GSPATT00022487001 transcript:CAK89316 MQSSVQKSSIVKRSTVLNQSQAFTTATRTSSAFKDSSDKKELRRYPTEWVEQIDNKSDNK FHHTILNKSKEDHNPNLASPSKIFGIQDGNNPSRFHTQNDDMLSAFRRKNQSLGNLGSWQ FQSPSTQSTAKDNSKTIIHSFSKRLDQAVPLSELLEISRQLDCSQPEEIKQLSRGYVNEL FSLSQKIDRALRNISR >CAK89317 pep:novel supercontig:GCA_000165425.1:CT868654:93030:96275:1 gene:GSPATT00022488001 transcript:CAK89317 MRVQTLTDEYLSPVKLPSVQQINKTIQGEDGTIFAMNQLMSRANIGTSNKAFRTLQKITN DQSERKDLQLLQQWTDSMVQQIQKQHFYSITEFYDKMELIYSGSIGQLCQQLSVKCNDYS QLIDKIWTQFTGTVKEIIDKQSRTNRKLEKESLAGTIKIHERYQNSMTEKVQRLQEAEKQ LKRNTEYVEKLNKENKYLRKKTNTYQTQITSLNNDIELLKLQLQDLNKENETYKLFQQVR HSTENVTADYEEELYKAKKEIFDDFKLVFEEQTRKFEEAYHNKMLELERGHDDKAQKDEN LMEEYEEILFKDKCVGNHMDFADSQTDTIDLIQTQDCSVQTIHQKKKIYDQGTQTLPPQQ CNQACEANYAINQDRECIPRNSEEQTYLEMSRYPIKAFIDDYYQLYIEKVEIEKKTFPNV FESVLDQLKFRSSQLFNIMKMKEEFFSDDFYESSYSVFVLLTHHFQDIIQKLKDELVARK IQIFETQIDFKQAVRQKNQNQKRFEILSNKYQQQVKNYNFIEKQFKSISRFIPQYHQDQI RRKAQKHKIHLEFPKAFSPIPNAMMISTNNLNNLNPPAQQAFMNSSTTLLPPQHQSTSPN LLLTGNPKNSFGFFPPMTPLEHQESSIPQRNSLIELSCDSPEPPEQFSPQKTTNHIKLYL FNYIKVFPDNKFEESSSSSEEEVDLSECLNSCKESTVNRKEIVCEQMSKQKYFDCNSNSF IRDSIIQKRQNRKYCNSNFASWCLKFNKFNYPMHVQLYEYFSSENQSQPQNVWLGKVARV IKSVIYYKRKNDSARLFHAMLVGDLSFLIYLQILSTISNVNFQDTGISILQSQLADQIKS VQRLPQYIDYDQEISHHLGPMQDIKIQELLLKYSMILQIFADEGERLTQNQFRLIMLELE NKKDEQYYINMFNSECDIEQSSIQYMSFQRFAVICEEFQLLQGLEEYLAKNDAQYFKDTD LWKVREIELKLMLIRSHNYDASERDLFFRMHKLHQPSQRIILGRFLERRAKELLLQKYTL ECLAPFMLLFES >CAK89318 pep:novel supercontig:GCA_000165425.1:CT868654:96302:99770:-1 gene:GSPATT00022489001 transcript:CAK89318 MENQFELEQAISKSEVILEKCLTMMRPEGLEQFHFRIEEQFYKVKQNKVLLIHEKEGDDL QTMIAYWIQEHQQRNRDLIIPYIVEHENQNHYYAIYYILTKLRVLILNTQAVFNITQKVE LESEKLKQYFEYWLNLCSRELGNQLYSDCKVAYKRVYHIVLLRVIIVIQGIDKFYSGGEV RVSSWLPKILPDNIKLIASARFGSKAYEYYQSIGNPIIPMYILLYHSSENESIEIWDLIR QNPQIHNALMLVPEQLRCQLHFLKIFHTILSNPLDEYAQLVSEVLQQAEKFQTEVEFFTI LINQFCHHNAIASSIFCVLSYVNKGISIDEVMASCSCTQEQFIKVYDFFKICFLEKNLVY SIYILTMRQAIQQIQFNKNLYDQYLLIIEHSTNSTRKLEELIQQYSKNKKYFKLKEIIIN IEHFLILWNPYNKFELCQLWEMLEQNGYDLVMEYNKAVENFQAIYKPTTEGLFFIMLQIC IFLREFSNFEKDGTPAYKHPLLRGQSIEFEEVGLYGELSQLKMLSKKKPKQQLNEDYFPT IMSTVQLENLNLDIKNNRDSFINYYQSQFDSNVLQEYLQTKQDFLREKLLSTSKVPNPYY YKRWLWVQFPWLALTQKNNFSKLMQFYGKDSTQYMSIQEEIQINQKAIRLVINAKSSKDK SIHKLPEIKQRYYSPTVNKDEPVRRFNQSLEKSIDRAPSTGRRELAENKKKVLYVKKYNQ LQYQNQQLKNKLKELQTVKQNLYPQEATIEAYRLNDQTKTQGDELKKQMDNLQGVQNEMK RMQTVLKLCQFNQDQNEERVQQLFRHCKNLDRMINEYKQIIKELSDKLGVYKQKNKVEPI KQQQQKQPISKQFMTMKDLSQASQKNMNTNGNINLNMNTDYKIKVTFDIQDQNKNLRHQY SINNNLQQQDKLRETQTRLMLEQMPSNRMITPGYRLSLKPKGDVSDQIDSHILEQIRHLN TLGIANTYNNPTFDEFLGHLTKQNELNLEVQECSIKLNEVRIKKQEKQTFLKILQKNEKP QDQKAEQFITSDDVDKLRRANDAKTITLKKLKQQKAVYNFFHNNLYKDITQQQPKYLV >CAK89319 pep:novel supercontig:GCA_000165425.1:CT868654:99872:101756:-1 gene:GSPATT00022490001 transcript:CAK89319 MSVSQDEYGVLRKQLIKQSIIKMKTMFWNAYKEDVKIGELLIKSEFQRKGKLRYLGGSET MLMIYEVSIRVQTQGPPIQKAQKMVRTAPKMKPGCQVIKLSGSARADQVKSENIEKLGEP TGLWIFRDEDHRYELVAHSLVLTQWRWFLGKRINQYGFHHLFKVFKRIGKGNFASVYLAE RVEDGQQMAIKAFSKSVAYAEENGKEGLMNEIKLMRQLDHPNIIKLHEVHETSNSLYVCL ELLEGGQLYEQLKKKVIFSNKEILTIIKGLLEGLKHVHSKDIMHRDIKLENILFKKPNQI ESVCLADFGLATYVNEEVYLYCRCGTPGFVAPEVINIKDLTTKYDKVCDIYSLGLVFHLL LTGKPAFTGRSYTTIVNQNKEAKIQWKSSAFDIIPKAALNLLKRMLEADPKLRITAEEAL QHNYFNPYHIPNIAQFEDDNIDIDDSCQLDQRLQKINDLNNKFDMMRINQLTNSPIRSPN IKATQTQAMKEQMKESVQLQEQMIMHTPVITGRVESIDDSPGDGTKQQQKMKEGLSKHKR QESLNFLQKYKQQQQQQLVNEEDSLHQQEDCREPAIQQVKQSLSKNL >CAK89320 pep:novel supercontig:GCA_000165425.1:CT868654:102022:102323:1 gene:GSPATT00022491001 transcript:CAK89320 MSERGQQMSEDPSTKELNNFVQTLLKQMQDRFDEMQGTIVSRIDDMGKRIDDIEKSVTEL MNDLGFSDDENEKVKQL >CAK89321 pep:novel supercontig:GCA_000165425.1:CT868654:103684:106391:1 gene:GSPATT00022492001 transcript:CAK89321 METQTLLHQLVKQAFPDSIEECTLNNYKSYFLRILNVRTGNTLMDESELQAEMTKMTNKS QAYRLQDCLNRLSKTRLRKKNELIHFLFRTSQLGQNNSYTNQTLDTLFKSQEISQINYSQ TIPKKIIDESPKNNDQLMIIQQVTDKGNVALSITEKDLVKDLIFSIQGIESQYICYDPIN DSFQVKKDIAISSASRKLLNVMCECGWLFKRILTFTQTNYKSLVLQALQNSIKEELNEYY RLIAYFENLLAESTLTLRKMYMWLKQPMHHLVQINLVLSQILTNVQLGTTSSLVISILCR YSKHGCPQTSQLFSRILHQTTIPIVRFINQWIFDGSLSDQAQEFFIESNDSNKIQIRDSG ELWKNEFKVNQDKIPYMISLEDSYKIFDTGRVINWLRKQCKFTQFNQNYELITIDLLGTE QFSLFVDQVNKEYNKQLIQLIKPNFKITLNAIKRFLLLGQGEFIHTLMELLQTELNKPAQ QCYRHTLLSILESAFKNISQEVRLNVKLLEPSQNDTGWEIFCLDYSIDEPLNTIFNQKIM LSYYRIFNFLWRIKRVEFTLTQCWKMHQKFMAIPNQFQVIKKAIQLSYQMMNEMQHFIKN FYSYLMLEAIESSWKKFIDEQDKIQDLDNLIKTHELFISDILDRSFLNTKGEFTQKLLFK IFDYIFRFKSCQELLLSYAKDQISQTDNQQLQLKNLVNKQQNQGRSIQNKNQDIIKSLLE LRKQYRDQMFELLEKLKKEDRLKFLHFKLDFNEYYLGIQESKLFNYDLDRFLEKCLPKVN EVSIPPNRVPSSKQLLTQPQQPQQRTFNTNIEFQNEVRSVKEGLKQLEEQRRQQLQLKDQ LSKSPLQKSPLVQIPPKMTEHEKRKNDEDLSSSDDD >CAK89322 pep:novel supercontig:GCA_000165425.1:CT868654:106471:108287:1 gene:GSPATT00022493001 transcript:CAK89322 MSFNKFQLEGCGFNFNLIHLLWLQDPIFKNNIRLDIPDTIQILQGQPHFWYYSVDSQIMR KSKTKLNLESILKDFVKDKNDNHEICAVWITKKDNQTEFEFLSQYLLCTLISQMNYETEG YLQKFIYPKSEKNEVIKCTWGNNLCYFEVFTNKYPIMMQKADIYQRAVTFETQNGPVEQS TLKGTQFCQRLELLCGLIMSHVINVTQNQKEITLMELIFKLSKKGQIYLIICSNLSTSNV PTKFSLPDFSITKKVTKELLNYPKAITLSDNSKCIVCDEEKNQIEFSKVKLKELIHYWES GSDHRSQIQSPKSHRLHAQLIKIDPTQLIPRVIKLMYPRMSLEEYQEFRNNTVFINQTIS LCSYCFTKLYQSEAEKVKVIPRKHHRLLRTEIYDNEPIKYEPITPGKSKTSTYCSFLEHK MINSYINRRLKLTNIKKESFPMVNNSNSLFKTTQSSRIKVDI >CAK89323 pep:novel supercontig:GCA_000165425.1:CT868654:108864:109904:1 gene:GSPATT00022494001 transcript:CAK89323 MQFLQPQYVVPQQPQYCQQPIVLKTEELRPVQQLHHQSREREKENLNPKAFQSGRLLSQH DTPQHSQRSLQQIPVGAFSSQTSTEAQVKQLREEMLKMFEFSRKDFELVKTELEQSKQEL VEQKKMNKTFVAQIEGLNQQLVQEQEKTKQLKHEIEELQKTQGQQISELARYSEIKQEII VEIKEYFDTQLKLQSTQQQPQPQQQITLDTYDTYIKKYEQFNKQVNDYLLNRKLPDNQYN DTIEQDNSNLMKDKESPVRFLNQEDQQIILTKEDMDRYKMSIIHENENEEDEIIYQVDEN GYVMNQDGNHLVDNYGRLIQLSEKDIEYFKSKNQLDEIK >CAK89324 pep:novel supercontig:GCA_000165425.1:CT868654:111198:113687:1 gene:GSPATT00022495001 transcript:CAK89324 MSQLLCSWNSFKQQCRYETSIYQPQSIVREYCDQFSKETCNKLRPCESCVSNGPCQLYQG YCTHFTGCTAFAEKDNSKCQQISERCITDGVHCVEIGICDSYKTETACKQDMNRKWCFWD KNTTKCRYPEKCEELPKTFTQDSQCKSQISDCESSQQGGCQDQTIIQQVSTTATATTTST TATTTTTTTSYNTNPSLNQCKDFTSDSECIKNLEGSPCYWNENLCKDKICSIAPKTFTTN KECSTFLSFCITMSGGGCMNNGTCQVANTSQACVKDIFGVGCFWTANGGCIYKNCDLAPT TLTSNQDCDSFLKDVCVVKEGGGCRNKECEDLFGSSHGSCWTQKNGCTVGLNSKCAKIKN CEDTALKGACIEGLNGPCLWVNNQFSNEQPSGKCYSYNSCQSIQWNTDQQCKQISPFCTT DGTKCVPITQCSETNTNGGCVNGNDGQCIQTVIAIKETQKVCKKFDTCSLAYYLKHEECQ NANKKCTSNGLTGCIPLLDCSAYKAKESCKINSNQNSCFWNEVTQTCRDSICSDYNYNTH QQCNSIFINVMDKCTSDGEQCLVISSCTTYKSKSVCNNAQATEGSCKWSEVEPSCKVKQC SDISAPGLDNCSLELGNCTFDGTKCIQWQLCSNYQTKVSCNTMGLDGNCYWSKSGSCQLM TSCKSASDDEQACRQANQKCYWNSNGTCEDHNCDSYYKQHGTCTILQSWDGQSQTFCQEK QGTCKLVDPITLNQLDCYKVSNYLYTWNPTTSKCAICNAKIKPTNNTNKTNTSTNSNNTS NNTNSTTVNNTNTTNSTDSSDNVTNQESTSSSSFIKYFVLFILTVLISS >CAK89325 pep:novel supercontig:GCA_000165425.1:CT868654:114597:115172:1 gene:GSPATT00022496001 transcript:CAK89325 MQSQPKSGSIYHCLSPQNIKRAVNKIQCREQQSNPCVRLKNQDNRLKQFQTLLNSYMQSK SPSITKTIQSRKSLNEPSINNIARISVTPQKSDLSITRKQNTEHKEFLLCQSISSQTSDF GPSNQFKSQLQQIQQKVRERFKRYEEEKKQFHEERKLFLEEKKQLVSKIQQLELQVQELT KKKEALDQSFG >CAK89326 pep:novel supercontig:GCA_000165425.1:CT868654:115224:116497:1 gene:GSPATT00022497001 transcript:CAK89326 MNTQIKATRQPLSQIDFNQDLENCGTTPKHKQFKTAITLNSDYKNNQNYNVQFKVKQQNQ TMDQVSINNASRLNENNAIFMSPAPLRRNTQFQIQQQQQQQITSPLQKNYSQKHFQPNSP ITKPNIQFRGVSQQPFNQNVSHTTTQKLESDVQILKNQNHALQQQIFQLESSIQRGNSTQ FIKELENKILMLSKLNEKLNLDNQQLLKISDAEQLRKEKDQQTKKIEELESQYDNLLQQF EQFDCQKLNELEQMNQENKIENLVVDLEDKITGLIIENEKLNQQIQEGMKIDQNIEQLQL EVQQHQIAYQKLKHEEVQYRFKFDTANYDEKVSRRHQQHDSSRDKVIENIKLLEEENSNL RGLIRDVDYEEEIKDMEDRIRVIAQDNKKLEMQLLSK >CAK89327 pep:novel supercontig:GCA_000165425.1:CT868654:116541:118151:1 gene:GSPATT00022498001 transcript:CAK89327 MKSCDLCKIGALTKMSEYLQEKYFEAFNFYFAKPINEIIAQIPHAPHVIYFKDYVILDER MEYLKRFYNQEEVKPRVDILTDFYTQNYKHLHPNLCVIDAHKIMNKRNNRYDKLFYQKDR EQSQQQVISRQVLNSKLTENISFETQEHDIYEEGTQETNKQGLDFRGLQIIKNLQQLNQS EQSDITIKDCIIQVNQLYGKRKKQSTYSKSIKQRKQADSSKRGTNSKSESKILSTIKQNK EEPLELGNGVEQFNDLDAKPKLTRMQSDSQMIQRMLKEYQKMKQLRSTVSSDLLPMSTSV QGFQGQQKSIRQDHNNNNGSMHSSTSTKQEALKKVYQPFPKKITTSQIDRIAKGGCLTDR SYKRPPPISEDLMLKIHDQMKGLNTVESKQKVEFKYMIPSQYNSQQNQKLVSTKAKSSHS KKIISELGTKSQQINKYTTQKADEIVKKYIQFAQQTMKKKFDFKLNLQGLNEEPQEEEVY SKRKNFYTERHSQVQDREQFNSHRKILQK >CAK89328 pep:novel supercontig:GCA_000165425.1:CT868654:118295:118562:1 gene:GSPATT00022499001 transcript:CAK89328 MISKQENIPCKRIMTNQKHIHLNLQGSLLKILNDIKSNRSYLRRLRIDPSKRREWTVAET IPYLIEGILDKFQNLKIIRINI >CAK89329 pep:novel supercontig:GCA_000165425.1:CT868654:118740:125685:1 gene:GSPATT00022500001 transcript:CAK89329 MFQDKYGKTVSEFNQKYNIVANPSQLRFEEGLLNYKYNSLLGQFQDSLLQDIRGAIVTAQ RGYLSRKVLKHYFKELPKQLRAEYFGSLLTLYKESLRQQQQNQFYFSGMGSGMKVEKVTW PFVEGFGFCIWIQVENINYELFNEQNMGVQKIISVHGQGQQGGGGLECFILRGSVYYRII PAIYQEPDNGAILIGQLKNGMNFIGISHECQKKFTSSHLTLYFNNEQSKTMTLDFPRLNQ TIALTRFTICENLFGTAQCIIIMNQSSTKIKTIQSVYGQLQMDFKQLKTLPACLDKYFER IMSIYIPEFTDGNHVIDVMGNCNATLLTKSGAVMADKNKFHFSGGFRLFYVMLHLSGTLY KQINQAQLNLSTMFEVINHILKNNNQLQAEAFNSQFFLTLASIIMSFEKPLINTKCVELL SEIKSIIGDNRLLDHFFIHILWNPKLHQQCISDQVFSKYLQLACQVYLQNPQHYQHFISS KDILDMLIFAYAENQCCDLHFNDFEESFQVQFLEKIFYLFNQQSDISEHLVLFDQYLMSK ISPCLLLQILTILKSLLVDNEDQGIKTNFILQTWDQNNIVDSLLFIFKSTPYYDIRAMII YFLHLIFQQQFPNQKLQTHQAIEYITNAIEPLIELSRSSIIQPMKKEQPQQQQQGDFDEL KNLGTQFLNNDYESVPQAPSMTNRRKPPQGFFDNKQRPPPRAQSIPAPETEKSKPPSFSV DQKGKKKFHIKIDTDAINALYNFGGEQGKKNGFDHEAFNKEMAEINRLAKACHMYMQGHR EPEEPYVESPKLQQNVESPKQQQQQTVARQPPMSTKNSQAPKQQFQQELTQIKEVSSSSM SIQQVEQVQKKKMQSFASVYNQLMSWMIDKIKIGENESLLIEEKDQIKNEGIINAIMKIV AFSIEDNLKAQILQDLLCLCKSHQGNSILILGQAAFQNELLKLLSAQEDQNLIYEIGSRL HTTLILQVLKSEFIGANYVYELLKWGVEFNQKLVEMQMNVIIDQLHDQSKTCQTDSQLWK NIAQTALYLIELKQYYPQLINKFNKFYDLIHKDYLINGNSEEQIDQIQKCYNHSELAQKV QSFFNTKIQNHQIYEILLESTDQANLFQLLKTYAITLEISQFEITTGLALMLSKVRNHES FKEVIRFMCYIVFVSKERNQPSKLRKFITDINQECDLVIDSVFATSFQDDSVLDQLIELI SRGNTLKKEIQIKVNIPQQWSMESIVSPQKVSRDVQQLGENRYIEIEERKTQWIYKESEK ERIGRNKYHKSLNSLFLNDGWLSQGQGCIQRNDLVQDITQEEFVQCKYFKIKISKMLTKS FARPYIKSIPIIPDVLRNKPAYQTPQTAPIILGHEIDKFEQLYGQRQSTNSQAVQAATQL LNMGKAFGNNIKNAVKTIANQKIQALDNFKSFGENTFKGKKVLVINGLYVYFALMSLTNT HILLQYEYLKSEGSHAALGVFELKEDQRLIKKYPIYNLTLVIKKKYLQKRTALELFFIDG KSLFINICDQNDLDEISSKLLNYRKSHQAPHFNQSKTTDPGKLLEKQGYLQKWNKQQMSN FKYLLLLNNLASRSYNDLTQYPVFPWVICEPKDQQIEQEPSVRIYPEGQFRAMQKTMGAL GNNSRIKSYIERFEQSEQGGEIPAFHYGSHYSSLAITSQFLIRLEPFTSIAKEIQGNKFD IPDRLFYSLVESFRCATEDIADVRELIPEMFCLPEMFLNLQNLQFGKTQNGIIVNNVQLP KWSHNNPWRFVAGQRNALESEEIQRNLPEWIDLIFGFKQRGKEAEKNLNIYFYVTYDQQL TLQMMEENRLSIEAQVVNFGITPLQLFLKPHIGRQINNEHHFVSNSQELKVYRPQNKKKV PNTMKPIIDLQQASNRAIVKIKWISDVRLICIRKEGKIDFLKWTSQTDVQANQPPFQCGL EREKQFNFEKPQTELFNIWDVSAQLSSYPMLVFNQGKLFICGGYHLGKLIIIGDNNQIVD IYQIHTATITTLASDKKESVIISGDKSGHVILWNVDKQKDIYKLHAKCMYFDHQNQINCI HVSTNMKLFATGCTGGYVYLYNLYNGQLMRSFIHPNKNPINAIVMSNRPLFCIVFYSAFD HQIYSYSINGFLLEVQQEQSSSLIDCQIMRNNLFQDIMVYGTENGELVRRQLPYLQQLKR FQISAKSPVLSISCSKDKKFFICGCNDGEISVMAEPQQNK >CAK89330 pep:novel supercontig:GCA_000165425.1:CT868654:125721:127033:1 gene:GSPATT00022501001 transcript:CAK89330 MKNVNKFVLLSFLVMINYALEDVDMKQQIEQLDQNRFGHTLLDTIYLQLQTQEPIERLMG TLQQIEDRYYQDQKEEDVSHKDYQDSCTVDLQNLDQNIKVSDNERIKLEARLEGELYPKR QILQKLITQKQGELKEFTGELNELDQQREEEGEEYQHKIHEHEDVIAILMQARTLFTENL QTHDNSFIQLNNNGIELLQKHFGASIKRASKFTYRTSWGKMFKALATITSRVHQLQDSAQ VENVILLIDQLLEQVHDSMDLEKFSEEKRIQAYEKTRNLLVISINTTESTLASATTDLAL VSEIIEQTQATLDNVNQRLQMLQLSRDDRFTICEQEAQDYQDSRSQRDSDRDVVSQTIGL LNKSLRTLKEQLALRMSAGEEFEYF >CAK89331 pep:novel supercontig:GCA_000165425.1:CT868654:127133:127519:-1 gene:GSPATT00022502001 transcript:CAK89331 MRHKSNGQQLIIEGSHLFLGDQSINSFKPRFSKYKNFYTKKPLFEYHQVTPTQKHAKEIL DKICYVNKKLLVTERPESSFQKRKEFSVDQCLTTRGSTCKKQIRIKSMNRSNIQSKLSDQ DWLAFVLD >CAK89332 pep:novel supercontig:GCA_000165425.1:CT868654:127819:128907:1 gene:GSPATT00022503001 transcript:CAK89332 MSSISIQRHVNYGEVILIVGDCHLVQWNVQNGIQMEWNPGDVWRARVECCCLPMNYRYVI VKQSNRQIVEWEDGISRVLNSQNDVEDAWNHIKIRMKVNSFHDSKIKGKNLKLDSTNSLL NENEFEYRMELEIPSKSIHSYAYKYQQNHIWERNAVRLFTQHPILNNVIEITDSDVDFAL SYNQLLENIYVGSFLYTDELHVLQNLGVEAIVNLQTTEDLINKDLQEDYFDHIRKSCESY QITYLHCPIQDCNKRSFLKKGMQAHQILKKLMQEGKCVYVHCTDGIQRSIQTVILYLVLD LNYSLEDAIALVKAIRKRSKPIREVLQQLLEQ >CAK89333 pep:novel supercontig:GCA_000165425.1:CT868654:128939:129381:-1 gene:GSPATT00022504001 transcript:CAK89333 MQKASIFNRNFRLLFNENKYQNLTAQKGHTQTHLGWVSPVDYRFGRTMFYITCAIGFFCA YMDPGVLHETFGHFKQPPRYVLGFGKGLEGEEKKLNEQILDRHHAEEQLEHFKHNITQYD GK >CAK89334 pep:novel supercontig:GCA_000165425.1:CT868654:129454:130788:-1 gene:GSPATT00022505001 transcript:CAK89334 MPAYKSNLEVQIKQDQKQGMGLIDHEQTDTFPVWKFKGGSTTIYEENQVYTESAEMLPNK NQLLKVSKSKNQYEILLSVMQPPFMVVKDIKSSNGPEYPLVVNSIIRLGRVEYKVIEERN KHLQTFKAPCSIKSSFLSDSNVTYQCKFCFMEGRQSKDQLFLTNICRCAGNGQAVHLECL RYWVDSNINKEVTQFGLTLKWNKQHECSICKEGLPIRVQYEDEFYDLFTLERPDLQYILV ENINKDKNLCNEVYLIHSLLMDNIKIGRGFQSDIKAQDITVSRHHATIKLTDGNFVIQDN KSKFGTLVSIDKKVSIDCAACSLQIGKILINLIQADQIQKGAPSTQHKLTQLPQINKQLD EDEPQMDDDSFQLENK >CAK89335 pep:novel supercontig:GCA_000165425.1:CT868654:130825:131520:1 gene:GSPATT00022506001 transcript:CAK89335 MSKVFSPDQRNLGGRRIILQQMLQHLHHVETAKSTLSQTNSSSKLLRRTPSPVFKFLDQY KEVLNTFDKVQKIQQKRPSVEKFKNNYFLKKVQTTNTEHQKNFEKCKKRIQEMNNKNKTT LNPALFFRRVDKSLINKTCPIKQSTSIQQVQPRPQKKEIQQEIDWESIAKKIPQLADPLF KNKITDLIVSNRIYSDDDYAFLVCLISSVNNAEQSKIILIMSQILNELGLL >CAK89336 pep:novel supercontig:GCA_000165425.1:CT868654:131602:132429:1 gene:GSPATT00022507001 transcript:CAK89336 MSQIEETLKKYPKYMPTLVRYEEPIEINEDEMQLEMQEQVLNQKKKQQLQPLDQKWSIDE ILNKFFPPRRFEHEAHFFKQVVSVNDVKRDELNQLEAELDQRLIERQARKSGICPVREDL HSQLFEEIIRQSAINCPERGLLLMRVYDNLKLTFAAYQTLYAGSVVFGNRKAAESEIGKS EQDSKIADYDKKKIYLENQKILLENELDAIQRSFKEIRELEEKRMESEMQFLKQQTKHLE HFLKQVQQNQQ >CAK89337 pep:novel supercontig:GCA_000165425.1:CT868654:132689:137884:1 gene:GSPATT00022508001 transcript:CAK89337 MAISKVPGGSTSELYAVHFYQFLVENVVPNEDIFVVLKALHDQSSFPSLYISKVNQYPTI HDELCGNNGMDVCVIEEENLIANTTYYLGVYCMQDCDYELTIHYEEEEVLALGEAVIVKF ANETESILKVGMPSSMDGIDRILIRAHYIQDRNTVLKESFHFYVNEGNETPSPVQFQYEA EGIWMIGKGVVIYKNSTNLKGNLTVLILGVPNTRMYFVTTIYERIRSVGLFERIDDLVIE TKTNYYKLSVQDEYFEFLDRNSLSFDIHPFEGNPDVYITPCHNGECSLDYKEYLWQSQLD LGYESITISRSNRIKNGNEREFIIAINGVNNFSSFSFVAYLSNYYSRVLSIHTFESGFLG KNELAEYIVDTYDELNQTFTITANYPRGHGIIILKKCSKNKIELSQVTNHFAYIINAGPD NYYNCSITKEQIEQIQIGQVLKSGSSELHSAENTMQFYYNKTECQSNVTMLKYENVLTNC QYVVGVYSNVEYMNYQIFIKGQEKHVELTESTTHRSFLMEYSTDLYSFTIHDDDLVEVVF QITAITGEYDAFASRLYEKPNSTFFDRNANMDLDVLQYKAETETNDLSGEYYIRIIAKAL LRYTITPIVYRKSHEEINYIQLTESIPYSHLQTKIDSITYFNFEYRQNGPLFIHLNGIYG YFICYVIGSNGVIDDRNPDSNKYDFALRSSQHTLIIDNPKQYYYIQVNSISLGLNESYEI QYTSSSSLMELFYGEPLITQLDNSHQSFYYYQNPYALEKLFIVRTFLTENNEQNNLKVYI SLKNKFPNQENCDYEIPNSATYLTLSNIAENTIVYIGVNSVGYNEYSLLIRGVTGITEIQ DNTVQQVPIPSFEQYQSSNLYFLVPKNLNSTIQIQAYTQFAEIILFCAIKDYAVVSKDLR SNNHSIFPNSSTNGLEEEASASVSNKLLVIYKEQLQVCQTYKQGCVLLISVKLDQQSLFS YIQGNSYNNISTVNGEDGYFNIMISTQYSIIKNGEMLIGYAGENMMSYYYFYVDTPVQFI QITARPVDDCDPDLYVNKLINDTISYPTEDSNTYKSMSYKSDILIIRETDNVGSYIIGVS GFRKECTYELLLNFADIEMYYISNGQLATHQINHTVYYFYQHIKKESFRILIQRMNSVDV AINTYSQYNDSDDGLFDLLPYQGTDDIKILQEHNDNLFGGVIQINQTNKHFCYYCTYIIA LKPLRSTEIQLLIAYNSIALEIEHGRIYYDQCVETCKYQVEHGDLNIYVYSQNIELYFYE NETLKFAMNLSNSQHAIPINASYTVSIVNPNVNSIASYWLSLQSQQKFINLHLGKSYKAN NSVAQNVTQFTFTPITVEQEYTIIVNSKSNVGVELFYLSKNVTEFILINPIQEWSVSKSQ LELKYILPSVDYYYKITLRCQGQYSITLNLEGLKYIDQNQHYIEQIQSAQIYNIYGARGQ ELLVEKIDCLGKTESNLTSYQFRNSSDIYFNVTPTSFVSYNKNGISIRRNIYSLIPHLKY SHDAWYYSKAITYQAEENTEDQTLTVTVDTMKRNKTGISQLRMLIYQLHYSNQEPFMNAF GCQMDVESLKHYTSSELFHSKSLQTIKEEDEIVSFQIPLPQDETVLYGLIVFQAFYQEYD APYTYFYNTSLIYNRTQIKAPIKKDLQANNDYVIMILMAAVTGLVLLLLFLGIRKVIQRR KQSLQFKSEKIKNVTVDEEQEQVKTV >CAK89338 pep:novel supercontig:GCA_000165425.1:CT868654:137986:140130:1 gene:GSPATT00022509001 transcript:CAK89338 MQVLEFHIYETQWMLRKGKYKCFVNISEKHLTHHYQQSELPGIQLQMQLIRRLLYCRLKV MRKHFLKFNSKQGRILAQLDQCMQLCHTMQNYIAKCQDVLTERENPSNRKNKFKQLGDMQ SPRGNSKLKQFLIQFEHEPWDKKSLVWLRYHEQELFVSIQQILMFNYLYFKMTNLKEATR FLHKLVQLKFVKTQRSVTIYHEALTLLHSAHFENSYVFQIQFFDPLSNMLMDQLREYYRP KSDQKKCKRLMKHYAQLFIINLDLQSKSLEYKDKYDESALMISMANYVCQHILTFVGRQE ELINYIKYEYEYKHEKYEQIILEQQDLNQFVSFLYGFEKKQNQEQKQQFPHQTKYDINNK HVYILHHTRARSDFKPIAKELSKEATRDGSLFMPNTPSCSKDNTQRFINKPLHKQKRRRH QENQSYLSKLIYLRSLSDYKLDQMNVETDLQKHLRGLEETLHKLEHPNQIKSIDQVFNQK IESITFEKCLTLDQVKETAKKIIAAEWEVHKEMPVTKSLMKSISVSDIQLEKLQEQYSKL QEYLELQKIDYHNTQKDQAQLIMKENKDLKKLQLNQQKYQMKLRHQRVQQQFQIPKKLLN LQTDEAGLSPKFIKRNSQILNSYNNTIFERIDPVLRQDKEYQKAQKQLTDLMVSNLEQRD ELNSKKLNTFANVLPKSVTRLPSPKNLDTYSQRSDNKKSFAFSNNSTRLEITKF >CAK89339 pep:novel supercontig:GCA_000165425.1:CT868654:140333:143037:-1 gene:GSPATT00022510001 transcript:CAK89339 MNRRLVQMFSKQFGQVPKFFASNEQPIILRNEKSGYYVNPEAVARRMIKVISLHDDVKNP SAITLQSTWTDIGLSDMAYVEVMVEVEREFEIEFPDTDSMMQQSMWQGASSLFDLKAHKI KQNTMLILLIIGVSAVNVTLFSADSALYSPQFCQVRNSNREGKDWLETIFALSEKGRIYK SVDNGYKWSDETLVLEKMGINDWFYEIQASPANKEVVYVFGKNGTGIRSEDCGSHYTWFT FPSSLSDFKLNSMDDEWMLAFSNCERCHPPFNKEVHKSNDGGKTWQWILSAAMMASWDKL IDSELIPDERIVACHLEYNQSRVTYSDDYYNSYKIIHNNGTGFFQTQFHMFVISKFQSAY RLHVAPAFYDYAQMINISIPFDQQYSYTLLDTESESIFLSISHNQTNKKLTNIYTSDFQG FKFTISLLNNVRSLNTGQCDFEKIESLRGVYIANIYDHEKLKVVKESDLEKYKKTVITFD KGAIWHTLKAPATDVNGQQTHCSGDCSLHLQGRSVSKIYRESKAVGLIMASGNIGLYLDE KSINTYFSRDGGLTWYEIRKGHHIYSFGDRGGIIVMAKAKSLTEELLYSWDEGQTWDSIK IPKMIVTSITSRSLYINFIVTGIDEKRKGIILNVDFTALHQRTCSGIWDPLDPDSDYEFW IPTNYENGKCLFGQKYRYTRRKRDAKCFNQQQHDKLHFIDSCECTIEDWECDYGFYHKID GGPCVPIASLFEEDEYIDILKPPENCTGTYMKSQGYRKIVGDFCTGGVDLDPIETPCEEG LQQSLSNQTQMLPQVSIKEEQKLNEKVKISENTKENVGIQQNNSLFIGILIALIAFFGFV LWKKMKAKEKLEVKKDYYSNFERGERISLREDDDDGI >CAK89340 pep:novel supercontig:GCA_000165425.1:CT868654:143054:143874:1 gene:GSPATT00022511001 transcript:CAK89340 MSQNILKDILELKDPLQTLSKDLDAQLLYIKGAILQNLQNQDYQELEKQVQKYESEIRKH IRTEQQIKLYCEQLQQQLEQFHQNTVNQNLIHQLKKEIATLQEQKKHLMEENTFLKKKIQ ETNETQTQTISSKQPLKLVDFIRKQKLPFDKESYLSNTIDTCSILKKEQIRLNSKTISQQ ITEQNYDHSRQFINNFNIICNKQKITYNANRQKKSISEHKQIKPKLDLSSIN >CAK89341 pep:novel supercontig:GCA_000165425.1:CT868654:144046:144844:1 gene:GSPATT00022512001 transcript:CAK89341 MVKSQECSEILKQTKKYQKIDKEQRKIVLELLIREKLSLQEVFAIFPKQFIYIYYYIYCL FTIHFQVANKLNLKYCTVRTIQKAYEQDGRIGKKETRKKKLKVQSILKVSVLNPLTLQVQ PLCIQSDNTQMYVDKQPSLMDQLNLANEQKQLISSQYQKLTQALTSEIKKASLVQQQLLQ NLLLSSQMVFKQILDPKPQIQIKQEFDSVSTSPYPFQPISSYPQFYPLPQPFLRT >CAK89342 pep:novel supercontig:GCA_000165425.1:CT868654:144976:145464:-1 gene:GSPATT00022513001 transcript:CAK89342 MVKLASNRSPMVKAIDSTWSIIRTLYFAVILFLFTLIGMKKESNKYTKEELNYIIDDKMP FKRKPKDDDDDDGGKEGTKPKIVRGLGGGADCKS >CAK89343 pep:novel supercontig:GCA_000165425.1:CT868654:145539:147280:-1 gene:GSPATT00022514001 transcript:CAK89343 MAQQKGKNKINHRQRQGDWICGSCNNMNFAFRDTCNRCHTLKNYKDNENKGFKSALFLTE SNGDIPPISDRSNKSSGEKKDNGNNKFSFDKLPSMEPILKQITKETCKKQLNQKNKNMIY LSLNGSAKNAKRLINTIKFTVLNAELKGTENQQYDFITQMGVFFDKNALNSLLFNLNFRR GLNKDKTIQQINYFLLYQQNLTVKIMKDMSKKIFEKENQAEVSNNPRHQNKSLTQLVSLS LIGGNRKNDLKALELKNNYTSISSHPLVFCKPETKLKTQTTSSPQKQSPVKPKQVETQSN ELCKLINNYSEPILDYYLELNTLTPKNCLSNHTVSANLRAKMIDWMVEVLTSYKCKDQTF FLAVKLMDSYLSKTTQKHIPQDLHLVGVTTMFMACKFEEIYPVKLQIVHEKIAHKKLTKD EIKDKETNILSTLDFNLVGITVLDVITIVLSILNMNQQLYQITLYLAKLALYDYEFVNSH TYAQIACAALIVRLQDCRAN >CAK89344 pep:novel supercontig:GCA_000165425.1:CT868654:147310:148827:-1 gene:GSPATT00022515001 transcript:CAK89344 MNPLNIQSENKDSEVKEDEGVQNEQEEPRTFTNLSIEDKIKQKKINDDHLERNKKRLIRQ FTILFIITLFIILERILYSIIIGVENELLSDFQNLFNLRKSKLTEFDEMDYFNDNFLFDM AGSVHRNGISIMIFTNYFITLYVGFDALIAVKILYTSYLSVFLVAFLQLIYSDPRPFWVD EKLVTSLCIPSYGNPSSFVCQLAFTLFYTVYCYKTKNHTRSFKTYDEKIDRKVKIVQLCI SLFIFLYSILLFLMALQYLINMILGLIYCFVFYAFYVTFENQINNIIKHSTIMNIDSKRY VFYISFFLLITETIAAMLISNEYELVNIEWANNFMNCLYMTDINAMKIPAQLLMGPHFTF QKTSVIFALIGALFGVSHCFRHINSLEWYKGDKRRRVLRIIVANFFTIPGWIFALNVESI ALNSRMYEWGASFFLMESVAFLLFYFFMFAMIPLYVFKYLHLNASSSSSYVVVKLSDDD >CAK89345 pep:novel supercontig:GCA_000165425.1:CT868654:148883:149074:-1 gene:GSPATT00022516001 transcript:CAK89345 MIFSKGLITIEIFVHFHKMLNFQIYNSTMNIQLPQWNINIEQRFYRLCYNNLINKITNIE ELG >CAK89346 pep:novel supercontig:GCA_000165425.1:CT868654:149440:156799:1 gene:GSPATT00022517001 transcript:CAK89346 MSSIYCQAISYLCVSDGNACIEAKICKEYSQTQCETTPSISGILKCKWDTTAGTCRDYSC SEADVSLNTDIKCSDWLAGCVTKGQGCVNSPRPSCTTYTGDDAACQSYIGSDGNCELATG TTNCKAKECANAPTSLSTDDDCKAYQKGCITTGKGCVQATTKPLCSSYSGDNTTCVGYVG SDGICEGDAGGSKCRQRKCENGAFNTDDLCKQYQSTCKTNGKACVSSLSACNTYKGTATT CAVYIGTDGYCKGTSTTAEAACAPKVCDEALDTTTTDEACAKYQVGCVTTGKGCISKTNL KSCTTYDGDATSCQSRVGSEGKCTWKSGTKCVARDCVSAPSSVNTNPLCANYFTKCVTTG SGCVSQTTCDLTVKQQSCEGTDGCSWQPICTSNAQCSDFKKKSICLANQARVETFVKYDD NGKAVYNYVSTKCGWLNNACKVLACSDLTGAYYNNDANCQAELPSCISNRVDGCITKQDC GKLFGNQATCLSYPGYCTNVSTATETTACIQKKCSDNTDTNADNAACATFLPGCISNGKG CIDYTTLCTSMKGTQETCNKLYAYKSGGSFDTLVTNQCYNTSTATENDFCQVKTCKLATN QTDSSCGQFLEGCVYNGNGGCVDPKASDTSCGSYTGVSAFCSTAIVKDNSAGYCFGTATS GTCTQRQCTDDTTSTKDDQCDTFLSGCIAKSDGGCAKRDSRSCAQQTGTVTTCSNFSGGL QVDSKWNKIACVKYDACQLRGCTDKTNPQTAQDCYDYKTSCRFFKAGAACIDAVACSSYT LPDTATTEQQKFDYCTGTKNASGILCGYTKGATKCSDRTCDQFLSTYTTLACSSYLQKNV STTGDDVCSSAGTYCYLQDKTDCAYAFPSGILEADKLSYCQKFKDKTGILCSYKTSDAAC SAQDTCEKVVSQTSAVACNDVLVYEKAICQRPTPSTKCLSTVTACSAFTLETGLTDANKK TICESLRPVDATANFGTGTAVYSWCTWVSGANCADITCSAIQSPTSQTDCDKKKTGCYYY ASKCYDPVAANACPTTFPTGVDTDIKKVNYCKSVYETAKGFCEIKKDGSGCQTGANDKCT VILTDAWTGNFMGAAVTTAAICPTLSSNDKTKLCIKDGDTACKAGGTCEDIPSPNNQGDC DLHLKGCVYAATKCRTPKLATIAAAGDCAKTTIVPFAADVTGLSGSEKTAYCQIFSQDGT QLFCTYDQYNDTTQTVCADAGNCDTYKLPTGDEARKTYCLSKITAAGKKCGFTAGKDKCR DFDCQDISSPTSQVDCDLNSNKLKCFYFKGTCVNHAATCDVVPVVGGTLSDKQAYCKGLG LTDKCTYSVGAYCAKVDACDKYSVKDVTDKTATCGTLVNATGAKCTYIQGDFCVKQDTCD KYDGSTPVNGPEAGKEEAQCKAVKSTTGYPCIVDSTKPKLCKAQTCADNNASAAECSSNA ADCLYYQSKCISKNTCGGYTPVGDDPTAKQTWCEGVQNSTGDYCAWDSATSKCKDRGCGD KQFYTDFDCQNYLKACKTDGTKCVAVATACTSFSGSGDYCNGLLDPTGKDKCKPLATATT TISACANRTCYDNVTAESDPDCDTYLSGCVTRGKGCIPNTVACTAYRGTKTQCEAFKQYT GVDANKNPIYVYCSGDASNIATSACKSRTCADNTTSTSDTECAQYLKGCITKGTGCVDAT SQCTVFKGLQTTCSKFMGNSGKDYCWNASNAVETASCQKKKCTDISGKNNDECQSGMPVF KKGDDPFCVYDGAGCVDYGKICSQFNGTEETCPSFLAKDGPCKATTVGTIKGACAKRVCT EAPNTITTDAECQKYHSSCYTTGYGCSSVKQCSNLTSQASCKLREECTWANLCASSSTTC STFNGQGYSQCTNSKVNGKFCAWQESSSTCRAQVCEDQPATIASHAQCQAFSDNCTTTGA GCITITTCPLYKTQSICVAASASKDGVQRCGWDATLNKCRARACSDKNGLTDDECNTFLA GCKTNGVGCVAGTSCTEFTNKSFCLISKAGPCLWVNQSCYDYDRCEDAVKKSHPECQAFS PLCTTNGETCIPITSCANTAIKASCVVGTDGPCGWLPTGKCQKFGLCTDAVAATNDECMS YGTTCITDGAACIAKTTCGSYKTQTACNNNGTDGICYWNATANTCKLKECGDEQKGTNDQ CKLISVTGGSCTTDGTKCIPLSTCSSYLEAGCFTGTDGECTFALPIGATTGTKACRQKQC EDITGGTSNANCTGIITGKSCVSNGTNCIAKAACSTYKTLTSCNGGGLENNKATVCAFTP TGTDKVNGTCKTFTACADAVKDKLACSTNLSCKWTENSTGTSCANHACDTFATGTDCRPI PSFDGTSSTVCVLQSGKCAAADPGTMTDAKICYVKSAYTYSWNSATNKCESCISGSVNPN NSNGTNNNTDNGTTTTDSAFILSAISLGLLGLMA >CAK89347 pep:novel supercontig:GCA_000165425.1:CT868654:157272:158540:1 gene:GSPATT00022518001 transcript:CAK89347 MWKEFCSFCSLFNCIKPRDKRIEPERPQIPDDSEEVNNQDIKNNNRELQNQPNVNINEEV YITRKFTYFEGFEKVEIKNDKYDKLMIFTNILFYYCEERTEQNQFNQYEGELYKTKYDED INPKFVFEQGMFQINLEEKSFKLIQESIEQPIGITEDFDEKSNNLMNDQNDRFQDNNKRK IRINDADTHKQVNINHSGDNYQLHNQKKNQQMFCKFNQSLTTNDVNILSEKKWMTSSIID SYVLYLNKSGEEKYFALQQEQRKSIRRILFFPSSLTTNFGLNFDLSKVKGLFDNEKPQFK QINFKLQLLYHYIGFPINKNNNHWLFLLFDLNSKKVFVFDSMNKNLSFKEQIDLIAEILN VKNPKHILHQHSDQQKDGYSCGYRVCSLMKFYYENQFQETDEANYKYNEQKIIDELKTLI KM >CAK89348 pep:novel supercontig:GCA_000165425.1:CT868654:158889:159145:-1 gene:GSPATT00022519001 transcript:CAK89348 MGICESHTQDYLEKFQDSKQSVPCQCYTSTQQNRIKVPVLSSAAQNTLYSKRLIQGPNKI CENMQQAIEL >CAK89349 pep:novel supercontig:GCA_000165425.1:CT868654:160267:161025:-1 gene:GSPATT00022520001 transcript:CAK89349 MSAKFEVIKYMLIGSNEVGKTAFLTRSCENNFDSKYEESKGMDFKSKMIEDDKYKLCIFD TPGSEKLRFASYGFYKSAFGFILIFDLTRPYTLADLKEDMTKIVMHAPQNVEYILVGNKS DQSDHHYYQESQEEAQKMANQLHIPYFEISCMTGQNVDLVIEDLTKRVLVQMKSGKLSLS SANQAQNHLRDERIKAEGGCCCKIY >CAK89350 pep:novel supercontig:GCA_000165425.1:CT868654:161561:162842:1 gene:GSPATT00022521001 transcript:CAK89350 MKHFSYYSEDIYTYENLDELKKILQFDEIGEIEYNQEDEYIQYKINREECIRLSDLIYFI SSNLGRIHLGQLLVLIKSLVSKVQQLKSANFDHLYLDSNRIWLKFNNKQQTLNSKYQIFQ YTVHFTGYQCPNYEDLNEKENLQITSSQKILLIIKGLIDNCFDNIILDKTKERTNVKNDI IDPILHEISTKNLDQLIEEINSILQKYQYDKEKQTILVDEYVETILEVRHDLQIGYKLDI QQIMKTKKNMETITDAYLIEYCLYLNIPKIVQGFENSTNFQRIEYVGEKTVEFFEQIQLK IQNERQNYIEELIKQEIEKQSEYYVQFYRFDFDLEQVREVITPLIRNHAIIKYFHNTFTY NFKYTEKPYIDIQDTHKSNVNKLQQAMQKQISKIIPLYTQQCLSMKITTLILELINDLI >CAK89351 pep:novel supercontig:GCA_000165425.1:CT868654:164247:165185:-1 gene:GSPATT00022522001 transcript:CAK89351 MKILSYIYLHFNRVIFFKNLLKSFNFRSNSILNYFWSNFGKISNTFDDHGPFISQITSLD STWLFIQQNNMEILRFLMFYKQGSRFTIFIFLAIQSFNQFQNICFHFFKLTYFCIQSLYK QQNIKIIKFYLIILLWSLQVQNQFAFANCIENTQFLQHTKSKIRGNYVDVASEKKGAVIS TARNNLFSFQGTLASPLRDYLYFFNNLSQYVGHIDFNLHQSIIIELLHPYEINLVRFRLW DNDKRIAELQIFIVVVDKITETEIFNGFTQYVSEVRFPDQIVTKIRFYNKNVTSVTIHKI ISIIKIQAFYQL >CAK89352 pep:novel supercontig:GCA_000165425.1:CT868654:165438:167425:-1 gene:GSPATT00022523001 transcript:CAK89352 MLQKETINFKCKLHIDENIEFCCLKRDCKEERLVCFQCLKTTEHLQHMIEVGKLEQAQLT INGELRKLKEAITTSKNIFNEVQNLFKNLIKGLEDRVFGIEATINLMGQSEFQDTLSSAL TFERVQFSIQQSIEPQISKLKKTIEEVYQNCQLNIFTKKDEQTLVEIGNYLIKQQVYQDA IKYYDECLNMNSQNEEALFGKAECLRVTQKFNLAIEFYQKVQSINQSNPQVYIQQGLEQL IFQESAYDIYINSRVQLFVTIKLQKQNKTDFKSIYLKGYCLQAISNFKQARECFNQIIQA GSDEIYTLLAKSMLLTQQLELDKATQILDEILVNSPFNYNALCVKIECLISLENYQEALI TCETMIQRYPKDIRVFFYKGALLTQLQDFAEANKLVEELKEIDETLWMIQFFKALFLLQE DKIDQSLELFDSIMAINPQNVSVLKQKVLALILNQNDPEQTTKFYDELYSKQQFDQLPLI LKGECLFLSKKFEEAISTFNIILSQDPDFCQMQILKVQCLMELKKYQEVMDFCDKMLDVY EENEIFKEIKNQALEKIENGDEQVEKEEVEQQEIEDNFDIITEVQIN >CAK89353 pep:novel supercontig:GCA_000165425.1:CT868654:167726:168280:-1 gene:GSPATT00022524001 transcript:CAK89353 MKCLGICLYIVEISQINERGNLFSTIKTWTIPNFWQLILGGYHRSLLLRSLQLEGQNKQS ILNRISHFSTLRIFPQQQTFLFNFVSNNNHHISFYFPFYINGLELFSQDQLRIFLISYFL IVIFFLINLLNLIFQFQIVQIFRWVMLKQFLSISCSTQIIIDFQIKKNNHFKLLRFRIQY IELN >CAK89354 pep:novel supercontig:GCA_000165425.1:CT868654:170035:170355:-1 gene:GSPATT00022525001 transcript:CAK89354 MIIEEAIKEINTKFNPQQDGYQFDSVISVQEQIVSGINYKIYLNYSNPEFEQQIYEVIIY SIPWQNRSNQIVKSIRFDQMEN >CAK89355 pep:novel supercontig:GCA_000165425.1:CT868654:170384:172282:-1 gene:GSPATT00022526001 transcript:CAK89355 MKYLPLVMALLCLTALSRVESVKELLEQTKDQYHLAQDIDTLKSMLEAELGIKKEKETPN QVPSIAQDSVKQSILFAKVKKSEYNLNNSTYPNSTYNYTYQSSSTQYPTYSNSSNSSNSS NSSNTTNGSNGSYPYSNLTYSYDYPSTSNSSSNSSSYNTTNQTSTINQTNGSSNYNRTIN SRYIPSRLQLRVRNNSKMLKEQIQNNTQNVTYYNTSSGTYEYVVIYNNTEIYENSTESNY FYYQNNTQVTLDRQYDDNGNVIYRNFNLNYSLIIAQNSTNTNYKEQQNAFGTLVLTNQTF SGQYNKYGEYNGFSTDFNNNLYYGENNYFYGNAGYSNQTGNYSYSSSVYGGTYENQQNGS STSSYRYRNSSEGHADADFNQNTSSKYSGQSQSQNQYYIDSNFIQGNNSSISQLENTQIN EYATQSNSQYRTNDTFSNTDSSSISESQGQYNSTTIYHDDYQAGIYNSQSTQYDASYNNS TSNNQNQQGFNYNYTASGNSAKSFDNQTNYFEGSNTTFDSIAGGRQKNSYDYDNYTYSFS SESINGIFNDTNKEVVSEGKTTVTTIDSKATSSDYSGYKYNDDPTDFSGSSQTTHSQSTT EQSRQQFNQLRGSKKYSFRIMEIN >CAK89356 pep:novel supercontig:GCA_000165425.1:CT868654:174079:175974:1 gene:GSPATT00022528001 transcript:CAK89356 MDHILQIIKQNLKEIWMPRKQKEAETVVYNLVNLKQELQNLRTQFMEKLHQSLLIIDEIL QYLQIQLISLKMSIDQNQNLEIRNPKQLKELIISQETQYNTNIGNKFTDLLTIWNDNFLK NFGNRMKKIAQRTSDCDLEPCFNSSNRPGNGNIKICQEHYQQINYVRTSNIANSQNWLAC ERCIQPDQNYIQLEELEKAWGEHARLTLGKFNNHQNNLSLYWKKILQISEEFNKFVSQQI NLKNDAHSQQMCLMQKNWSSLSQVELNDIACDYNKQPNNLIYEKIEQESIKKEDQIQQVI SQYQKFLEKLGILINQTNPKFELQNSIKQNKCISFAFSLDSSVMVVGQYCMIKVFQFREG DLTEQQELTEHQGHVRCLYFMKKTLQFVSGSTDNLIIIWSWNEKRKWYCDQILNGHSDYV RGGLIMNNKEDLIISGSDDKTIRFWVKRNNIWRLNQTLTDHVQEIKSISLNGSENQLVSC STGYEIIVFKLNTEQQWMKKQQIQVDQEGYSLYFLSDTLFTFLSHKSDLLYIYEFDEPQQ QFIKTKQIKLISGDKTIDYFPQQYNKEKQILLVKIGKTINIIKTIDQQQFVPVQFIENKD NYLYGAMTNDAEYLVTWESNDNTIKVRKCHQ >CAK89357 pep:novel supercontig:GCA_000165425.1:CT868654:176825:180841:1 gene:GSPATT00022529001 transcript:CAK89357 MIRIHLILSTLIILSQQCTNLQDNKLFITSTPGETITIPLYQIFYSNDYDQTIFQPVVPQ FTIQAPLQEQEKIPINGLKGEKISIKILKSTKSSEPQHLMAILLLNEGTYYSEYDLKYYS TFPNLQALFQSTGRANSICYDIALLSTLVITECSDDEGDYFSILKIDQSPHTYLPIEKPK DSFRKLDMIDQYLLRGTVDKLELYQEQGETLIFLNSLDSAAMKTLLKQVSFDLQIRDFQT HTNGQISILNASGELIALQYKDNKWQLIRKIDTQIPDVYSYDLDVYKNSYVILSKTQLFH KTITTQEFTIAIDSKQSDKVYLQKNSIVVLQEKTIILYSEQLYRFQSQILGDSQYKINSH PNSDGFLVVDEQNFYRYVISNDYSLQFSSGSLPIETEYKMTQLIQKNSCIIDVYYMVVGF ESTQIYSTQVPQALIAGSVYQDNLDVKLSSIYQGSNLKYEFSDNEILNLKVEQFQTIEVF GVGDASDVIYRKALSNLNNPYIQIIQQHSNKQISGFTCEILSFLRLNCQSIFSKRQFETL QDSDKQLWWFNYNSIFLAILKDSTVTIYCVYYNQNRFDVLTTINFDSNPISIATDGFYLF VQLQQSVKIYKVSTENKATLFSTQDIVGRIYASPAQEDILYIEQDKQLNVYSLQYEKFTL IWFTEVNDNYDQMNFIIFKNYFARVIKTKDEEKYNINVFNCQNINNIYLEKIIKINDYSN LVLSQIQVNFQKNLFYILGQKAEQQKLLIYKVNENSLNTMFLSIDVVPAAQFTITHSYCF ITNTIANKQVQQNYFISGDNLVQSKLKENYQQVEYSKEITLKVSIKNDAQIVVTQQIPAL IVNRGVSIFQTKSSLNLTYKADGAKNHCIDLDQSWYSGQAFDITQTESSQKIKYEKTLSK QSETFEFSPYIQELNSDTIVQLMEQKIVLIKKSDLSKVELTLDKTYLVNKLLLIQDQHIY VEVYKEALIYLKVIECKDSNCKYLDDELQFENNINKVFLHQTNYFIYSNAIISVYDTKGD PTKLSGFEKFNQFILSKQPYLVEFQHLRDDIYTAISVDVRGNVYFNNIEISRTSSDQLEI PTDVLNTLKKNQLYVTSNAVCVGMVVRKNEIVIVYNNIATYSFKYEFDCYSFKLCELKYF TLSGVYQQYGGWFMFNLYPIIYQNENILSMVYWAYNRFELLLFDLESSSSKQNPKLAIAH LNGPTSAIPGHFYQFQSFVYSFNGQLQLLATTDQEIKLQHYTLKRSQQICTSAQQANEII KLTLQNSIYNEKLTLNVTISQDNQIPPEPEPTKDDDTKGFPIWAIILIIIGVLLIGLIVF NCWKNKKNKVDDQRILLA >CAK89358 pep:novel supercontig:GCA_000165425.1:CT868654:180879:182094:-1 gene:GSPATT00022530001 transcript:CAK89358 MDSRHDEHRMDVFYIQDIVQKLHIKNQRNKEELGYIQEKLFARIQKNEDAIIALRSEDKS KILGNLKNLREQSEFLSSELVERNNLDAYDELFFSNKIDIFCLGSRQQRKFEKLIEPSNK EIKELFKSLIDNNIFTQCQVRCPQHNAKIVNAFNLEQNVPKNQRLLCAQDSQNAAQNFQI CEQKLKGYCEQEKLFAKLLKMKDFIFSLRFKVDCITNKILAQIQSSINSHQNFFLDCQKQ FNDLYRLVEPIPKETYENIAEFLSKIGQLPFDDTFKTTIGKFDTILDNFEKSISATYMEF GDFLNKLVPKIIKITVEIIINNNTQVYHLEVSSNAKLLDLFNFIKEEMKSPKTEINIEQM EIAIERTQIKIDGNNLNQSPQTYVLKHEQFIEFYINQ >CAK89359 pep:novel supercontig:GCA_000165425.1:CT868654:182232:184301:-1 gene:GSPATT00022531001 transcript:CAK89359 MNILVLIFFGVSYGNTPVKEYGQCLDETLAQSPIQLKPPFGHIELTLEFEFLEARDLQIK HTGYELVCQGAFGFVKHNNKIFNAYQVKFKSPSEHSLSENDYFYPIEMQIFLISQQKFRI GFSVFFRLNKDHTENALLAKFGFGKNIIKELQPGDFYVVPEAIDLGVLFDDTDKFLVYQG SDTFPPCGQMVWLTLFKIYDMSSNQAIDFPELLLYSIREQKEMKRPIYANFDPALFIITD GEMNTKQDLKTQRTRNQVDKKLVKDNFYIYGASEGLELDGFVFTASGQSGGKIVRTEDFF IIKLKPEAKDVQEDVKEIEQQPIDSQSQQEQRENSNIEQPIDVQENSIPEDFEETDPHNM DDTKEPQELNGQDNSKEGGDSDRKSKLDLQSRIKERQASKSKDKINEYNENKIKEKQEQQ KLEEQEEEGDIISEMDQFKNWPRLCQTGKSQSPIILDLRNLLKQPIKEPILSYYVVPKEL YLSNDGYQLKLISDPGGFGALSWNGKIFQALELNFHTPSEHTIGENQFRMNCEIQIMHKS IDGDILYLVLFLHNTYPNESNEFLEQIGLPSSKSINYRAVEKGELIQISKEIKLADLVRD VSEFVYYTGSLTVPPCVENIQYLIRRSRLPISLTQIENMQRLIGKKENFRPIQNLNGRNL YTN >CAK89360 pep:novel supercontig:GCA_000165425.1:CT868654:184801:185147:1 gene:GSPATT00022532001 transcript:CAK89360 MKKDSSTKRPYEKVSKIKRQNLVKLVFHQGIKIKHAAKHLKINYAAAKTVISQHRCNVIL QNVQYKSNQRCGFTTITNSNRSFSLISKLAGEIIKATDHTIQEIKKV >CAK89361 pep:novel supercontig:GCA_000165425.1:CT868654:186517:187595:-1 gene:GSPATT00022533001 transcript:CAK89361 MATRRKYLRLVVEDQKPELNVIRVANKAQLSTQVRKALWLLQGTRKEEQPPILDTIYITA IENAISKAVIIAEVVRRRVAGLYQINKIQSVQISEEYEPLEEGLVKVSVTKNLASLQIKL TKAPTEQDRKEPGFLEPLPADQIQPPRERNDRQRSGSSKPRNDSQRNNRDRRDNRDNRDN RDRRDNRDNRDRRDNRDNRDNRDRRDNRDNRDNRDNRDNRDRRDNRDNRDNRDNRDNRDR RDNRENRDNRDNRENRDNRDNRDNRDRRDNRDSRDNRDRRDNRDNRENRDNQREDRRNNN TQNEANNERPTTQKSRGGPRPQQQA >CAK89362 pep:novel supercontig:GCA_000165425.1:CT868654:187614:189903:-1 gene:GSPATT00022534001 transcript:CAK89362 MEISEQESVDRPQQAVLYLPMKEKLKSTVQKFGGFQHLKNQLNIILSIQHPVCIQSIIDS YNLIYHQCLDRNLKIAHPSNQRHHFDKNQNMPYTIKQFQEMVYFIYEVLDSIYQGEWQNN LRHGKGIQIYPNGIIFEGIWDVHKIVGKVIKIDGEIIDCVIENNQIVQSSKIIPQEDSVH LLNQQLEKINTSEMIAQLIKNNNNFQQIISDGIEYQGQILNEMKHGLGVAKFLDGTKDCQ TKLGSVYEGQWKENKQHGYGRKTYQNGDIYSGYWYDGEIYGHGEYHYQQGAIYVGYWKNG QKHIYGKESWVDGASYEGEYYEEKKNGRGKLIFQDGSVYEGEFEMDDIHGYGQYHWIDGR QYVGDWVYNKMWGIGKTTWADGRSYEGGYMDDKKQGLGTFSWNDGRKYIGEWKQGKQHGI GLHQAPSGEYRYAEWVEGKRVKWLDLQEDKEIIDNFLHKNTEIEQRNGSFYNSHISDSSM NGSDRSPCKKVYQLTLSDKSIYIGELFKQKREGWGKLIWKDGSIYDGEWKDDECNGFGRY ISCEGDVYEGEWKHDKANGHGIFTNSDGVIYEGNWKNDKQNGYGKQKWPDGLYYEGQYLD GKKQGFGKMIYPDGSYYQGTFVNNQIDGEGLQVNIDGTRYEGSFKNGVKHGRGTLISPDG NIFIGNFELDKQVGEGRVEYHNGKLFLGEWVNGQRHGKGKYIYKDGKVVDCMWYKGMRIE K >CAK89363 pep:novel supercontig:GCA_000165425.1:CT868654:190840:191788:1 gene:GSPATT00022535001 transcript:CAK89363 MNISFNDLKFVQQIGQTLNLEERMRLQLAILKIQEHYVFDEVLFWGRVEGVEKDYYIALG IQYKGQYEFPLKKFFWSSNNYHFAELPKYNEEFAQRAETLREPFTGQHEHIVFRTDEEIN FEDSLEIPAQLPAKNFSELERLSYVVQSIEFQCASIPVGSYRLTPTHELIKTAFKGVTAE LKNYQHFRQPIRKDKQDLIARDEALYRPDFLDSLVDDTPYNQWSVQTDSTKRNITIRNLI WPGYLGYNNDYTFGYAYFGDGIKNSDFEFLL >CAK89364 pep:novel supercontig:GCA_000165425.1:CT868654:191792:192137:-1 gene:GSPATT00022536001 transcript:CAK89364 MSSEKDFQYDIHDVQNIKTAFLDLQQEESTGFKLGFGTAILSYFVLRRMTYLRTGPRFAG SIILGSQIYGFYTHRSRAYYDYVAQQVNLHASEAINQCLGH >CAK89365 pep:novel supercontig:GCA_000165425.1:CT868654:192189:194175:-1 gene:GSPATT00022537001 transcript:CAK89365 MDLSVEKLKEENRILKQYVIELNEDIAEYKKTNNNLQMQLQEIQDSKNKYQDLNQQQQKA LEQYKQLLKRVSSQMSSQSVLHLTQSIIQKTFGQSISQIVALNYSQVYTDQSESQSAFNQ EIFEAFYIFGIDKIDSTNQSQYVVPSNYKILYQQYKQRYIDQPNNEEFQNFKGIEKDIEQ FIHPSGVEAQRMCDENIDFEIKKILAQRIKFENFYLINLKGDDLMKNPLNIKILQSFNHE RQLYGYCTAIDDFLRQTDKNGQVIYWKVKKVLCFITYFPIQSVFYELFEQILFIIKQWRY YVMKKDEDLINQIDGVKIVNDTAQLLNKIIQKLSKQSIKKYGDDIDVNFSSIVEGLLPTD FIQELQATFKLSKFRYKVPDQKSLYFEIKKLETHIVLQLFKLEQFFMVFEEILKEGRIVF CCQNQHLLTAVVSFFYTILKPFKWLHQVIYNLKIESVIVEQFDVPILIGVNMPYSNLRFN QKVIMINKQSSVLYVDINDNLQLVNIIEYKRKVKHQCWDSQKKQLAKYFIENQKSIGGQI AFTPNQQDEERIKGFLEELEIFIRDYLIQKIIPNKEEGFVLGNSLNKGMVKNAIKIKIEK EITNKNDLEFIRSYILEADYFQEYLKHIYIK >CAK89366 pep:novel supercontig:GCA_000165425.1:CT868654:194245:196795:-1 gene:GSPATT00022538001 transcript:CAK89366 MQPSHPSPVDKDKKIKIMDELIKTNQKHIDHLKQTITQLKRQLQFEQKRNQDLDKDNATL KDQIKKLLSKPPLHTQYTQTSHEIHRRDSKQLSTKEDQQFNSASKNTQKSENDQVTQKQQ ILNQVSPSKQEIKKIQKLDLKIDIGSALQRQQSGPISSVKKSQTYLHGLTNVKLIDAIKE RIPEKSNRIGQMTGDRSSQIRKSLMFNFQNNKSTIIDCEHTEEQEIQKSRNSDGKQQQLI ESIEEYRVETMEVSALQQPAYKLYEKFYIMGCEKKEFLEFDNDPNIKEGILPANILFKSD SITTPVYEEIINGFVYPFGNQVEKIKVNDSFRKLKEIMYSGNKYELLDKFSLFTIKNQEI TDNFSEHTNQNLLNQANPEKLLYGICLSVYDFIETTPDHIKLTFENRKKRIFWKYKKTYC FLTYFPFYELFQDLLISIINLIKINRTDRYLKKYSEEYEVLKDVDGQQIILEFQDELTKF LNLIQAIRPMLGHQQLEVQTLAGTLKYRIPNQLQLDIELRLWSANVTLQILNYKEILNIF LAMISEISIIFVCEKSNILTSIIHFFHHIVRPLEWTQGIIYNVPEQLLTMIQSPVPIIIG VNLTESDFNLMSLADNCENHLFVFLDRDKENKFLPKPQNVLKDISSPSFGGLLQHIETIL KNSNNMRNPRQMLMRSLLLSPSIRQVSNKNDDRKVVKFYFDDQDQSKSKKVLETFKKIME EYIISKLPPQNEDAIKVGQDLNFQYIEHYLIQRSDPKDKKFIQNLFKTQHFQYFIQQHYC DQI >CAK89367 pep:novel supercontig:GCA_000165425.1:CT868654:196831:201325:1 gene:GSPATT00022539001 transcript:CAK89367 MDQQLSGVLLSRDKWKPESKVTKCEHCDRQFYYLFRAKHHCRKCGLVFCSDTNFIDGLHF AQNTEKKVRLCGVCYDQVLKLLKAQGYKLENTFETRVVSVSIDKGQLNFSRRESIHKLDD QSYTISRSQTTQVTEEQEDNMNNDQEFNPEIIQVSIQSYFPPEEQNKNKESELLKSQLSL LKEKCYSQMENICDHSLKIFIQPQKNHDNHFESLKATTFEFVKRAVEDIQFSSLNSDPLD ITCYVKTKLLPYKDYSLTCYFPGIVIRKNIALKRMQTELYKPRILIIHGNLDFIEETQQF DDFITKEKRVLQDYIEKIKENFRPTIIIVEKSVSKVALDICCKFNITVVQNVKIHQLRKI AKCTGSKFVRLDKLDGYIQKETQVTGNCEKIFFRNFPRPTLDKQDTAGKDNTLMFIETKD GKNGVTIMLSGPQEDLLQKWKQCIVGCMRLGKHFDVERNILLCEQKLRQNKQFNFCVGDF TKFLFEKVNLKEVIKPDLAYVKINYARADIHNFNDIKDMNALLAYQKEFPPQKDKVVDFF ADMCNMPTEKPKAYYHSNDDMSIGAFIILKVANLQFRCEYCKFPRNSHVSIYYNAGKYVK FSVDGQMNQIKQIVLQKEQSQIQQSDENRTFLLKDLPSIIKQNHQNEKIQIETYFECNLC MQLLSDRVILSQKYLEYSFLRFLQQLFLTQNISTNNYQNTTSCNHAQVQRVYSYYGYQMK VMVGEFDVYQTTLLNFSDNSLNDYLKSWEQGYIRQHRDELMIKLNTFGITLINLIPKSLA KGEIDLLIKSIKQLKEECEKILAETQYTSIFQVLKQSQDFGLQYKNIMQSIQEHKKKKEL NPDFRNIIQNAAVNLKNQDSILTTNNNLIKTTYELEKVDSGNNLNEVKLYHSNQELEDHY LTSQQKASMQDIEESNHRASSGKADLSDLLIPPHYSPELDTQQSPLFVRNHRRNGSEIQN NQLIINLIDTNQTLQQSIQKTHHDSNKDLDSVTLGQSNNFIPAIEINNFQKEWNISSFLA EQQKLIKTQMIYEFVPIYENQPLSYLSFALNHPKYINEIYQKENFGMIESTQKSSEQAKL FFQQLLYPKEQKQEVENIRYMSVDLGNNNLNQQQIYQKQDNRDIFILKINYDVEKKNDRS NTLILQQQQQQQQYQVNLSYQFDDAQQEKSLPLNNQQQFVPTTQVRKTKWIEVLIYFPTQ FEALRLLAGITLAQFIKSISSTNIWSASGGKSQSKFYKSNDELFVFKKLEQDKEFLMFKQ FALDYFKHMYRHFYESKPSLLSKIFGMFEIRDRGQTEYFLVMENLYFGMGDPSNLLVYDL KGSETNRLEKKKKGVLLDTNFRIDRNSEPIPILKENYRYNDRAFQIDCKFLNKQNVIDYS LLLIIDQKQKKLRMGIIDYLRFYTWDKETEHYLKYLLKGGMVPTIVNPGDYKKRFINAIL KYFIPV >CAK89368 pep:novel supercontig:GCA_000165425.1:CT868654:201332:201898:-1 gene:GSPATT00022540001 transcript:CAK89368 MIEQPDIFNDDQAYKKFLEIKDQTEREKKARVDKILDDYCYRQLNLPAAEYRSQWTDLIY RPQHYPVELVHPERVHYTSQFVGFNQQSNYQQKRQKAMNKVPQQIQYYYPPSNPFLQNAQ QSLNQQQLLPSLNLQQGNLGYNQQLMTQSTPQIVYQNDPKAEFLRKCDNLQNRMDYNIRN VDKFYQYY >CAK89369 pep:novel supercontig:GCA_000165425.1:CT868654:202419:203910:-1 gene:GSPATT00022541001 transcript:CAK89369 MLDGRAATSGNFNNKTTSVIPLSQLRRMRNSSCEIQDNHTMIEKQDLYIRYIQTTRHARS QERVKKWPCAMNIRRRKNQSQFERFAEDEEERRRIEREEAEYQQKQKQDVLLRANRLIYE NNPVIRQFQQKLLFSDVLQERDSQLQLNEYKKTINNVRDKIHHEEQLDIMANYDQAEKLK EDEFKRKKQEQKNVLKQQHDEMINNHIKQMQNEKIEGQLIKARAQKMIQEEEEAEKDRKR KRYENMLEIKKGNEEIKEYKLQQLQKDKEQEEQIKLHGEKKDRILQMRKQREEIKFKEKQ EQRQKLIDAQIAILMKKEAEQLQLMNKQIKEAEQKDEEAEKQKLLKQEAQKKKIEESRNI IKEFKLKDKLLKNQNDKEFQQYWKQRGEELQKIEQEDQDNQRKRNQSVKEYQLQQIEQKQ KIKEQQILQELQEDEQLKRLQHNENKTFFTWAERAVKEWAEQGKNIRPLIKELAKIKEI >CAK89370 pep:novel supercontig:GCA_000165425.1:CT868654:204074:206219:1 gene:GSPATT00022542001 transcript:CAK89370 MQNSVHLEMNKPLKYPSNDISVCWPSFQTSSFNSNPIRKDSEINPQMQLSKFSDSESENN QVPKNLENLCSYQNQSVPGNPIYLLLSSEMFLFTKIISIILANILLLFLTFYLPYFYFEN FTESDPIYFPVLLFIMTLELHTQFIKILSKFLKKNKISIKLLDITILITYILCFTIQNVI TFTILYYLLFLRNLKFIQKLLSLIPFINYQQIIITKMIVVLLFQIHYFTCIWGVELKSIN KDDLVYSDYFYKSFLMLFFKFDQLENQQNHLLILTHLFSNIIIIIFLFTIFTQCQSQLHK HDIELKTYKLFLKSNMLEFKVKIVLFHYQKIFLLIENQLNAKENEKKKALLQYIKMRLIS QELRHFKFLSKTALNDISAKGVFGLSLKQQNFQAEIDGVYIILAGRVNVNFMGLNLKVDT QKIINICIIEMMNTQQQRKLILEPIDMNDILYFYINQDQFQSCLQKQLEKEEYQMIRDDI NFNNTTEELNIQCYFCNLFHPTFNCSCLNIKRRFTYDKTYQERGALFQRKNNSRVKAGIY QQGTSNCNISDKSSDSFEAFSDYSSDKLNVSNQQLHYDQIGKQSSISQIYKDILQIDLIS DKVSDPIISSTLIKNLQVPDKALRNQSDSNQTAFQELDSFPEIDKIQEYQHYKVAYNINN VLNKINKQRM >CAK89371 pep:novel supercontig:GCA_000165425.1:CT868654:206267:206832:1 gene:GSPATT00022543001 transcript:CAK89371 MQQLHKFSNKSVQQIVQELMYDEYLERDNMLRNAFNSSPLKAVKKKIVFSKNIYNNYLQQ SPSSVTSQNNQCKVIGVVAIQKKKNQDAIINVSNVKKVNKPKELRGLSISDHMDNVIRDK NNLPQIQRQSQKSLVFNDDDFEGYKLKSEEMTLEIDEYMKMKKDKDSFSLPNIYSRYQ >CAK89372 pep:novel supercontig:GCA_000165425.1:CT868654:207007:207735:1 gene:GSPATT00022544001 transcript:CAK89372 MSKKKKGLYFIEQILDYKQYQGQKYYLVKWQGYNNRDCTWEKPEKIPNLTQYLNEYEQNV KNLGSNFYQLENDEPPQLEDFIGVPSQQKQSVLQQQQEQIKKLQDQVSQLKQELNQVKKQ QEDILQMMQTLPQDKKTQPSNQQQDSEIIQDSVSELSQKNTEVKLPNEGGFEYGDQLDKI GQAAQLKQTGIKMYYLLWQKRSNGTHESKTLGIIPKNRWVSSEYLQKHDIKSLCYYLQKK LQ >CAK89373 pep:novel supercontig:GCA_000165425.1:CT868654:207864:208707:1 gene:GSPATT00022545001 transcript:CAK89373 MFSAPNPMYSNKDYLNCIDYAKIHYGGKHVRDAPYLIMEHPFCNTDTYLIYFHAKDEDMQ LKSPYFHRNYAQQFIYEIQQSCKFNAILSEYPGYGIYQNVNAHDSIVEQDALQLFDHIQD KYKLKNNQIIVFGRSIGTGPAFYINSLRQCRAVIALSSFTSIRDIIKEKTFEWVANLIPT KFDNLQRAQSAKSPMLLIHGAEDDIVNKQHTEILFANLPNKVKAQSVKRIRPDMTHNDYI FEHDIIAPIQLFFPDLSIQQRFRY >CAK89374 pep:novel supercontig:GCA_000165425.1:CT868654:209606:210242:-1 gene:GSPATT00022546001 transcript:CAK89374 MSNKTVYPWEKYSTNPLIVKDDVGKAKPNTYPIPNCAFGTRTVPGKEETLAEVLCHMQHF ASNEIRPEKDFKKTNIMSIKQNLYTAKDFQEFRQSHDIRLKVVQGKPRMTETPKLQVFGR KNKTPSPIKNVLAYDYGAVAEKKQAEAYTSRPSTAKSRISVETKSSKLLRETIKKNHLMQ SQPEQLKKLSQFANVKPKTKTRY >CAK89375 pep:novel supercontig:GCA_000165425.1:CT868654:210754:212083:-1 gene:GSPATT00022547001 transcript:CAK89375 MNYLTPKQQKWRQIGPCITDFTYENNIDWPVTSCKWGPIVQESKEYIRQKVYFAIKTDGI YDEVTNIWKQTPCQLIVATVDIPQVKYSINHQVTFVYQQLQLYKNPHLKIRQIIVHPGDV NIIKCNTTQKLIATKSDNSNVLVWDVTKHKNQQNPKDPHAGIPEIYLMGHSQQGHSTALD WSQEYKLGSGGKDCKILLWDINDYQTRLSTSSIFTSKRELNNICGNDSIKLDKRTVLTGH QAEVVDMSFNKFQTDQLVSCCQNRQIICWDQRMDGGKCWSLDEVHKKDIHCVSWSQHDEN YIASGSLDGSVHIIDIRKPIGIQEYVKEVDNLSQVYSLQFGPDRNHLTIGSEELFSVNFQ TKETTFCYFGHKGSINDFDINEKSPWTYVSTCQEHEYFGGGCLHIYRLLDLVYLNEEEAY QQLSN >CAK89376 pep:novel supercontig:GCA_000165425.1:CT868654:212351:212706:1 gene:GSPATT00022548001 transcript:CAK89376 MNFVYKRSKRSYIKSSSQTKRLLAELVLIQGLKIKNAAQRLKIKYATAKSIIIYYKQNVI KQQKICKSAKRCQYASIKSAISYSIVSKIAGKEVNSRSIHFLKVEVTKQ >CAK89377 pep:novel supercontig:GCA_000165425.1:CT868654:213137:216176:1 gene:GSPATT00022549001 transcript:CAK89377 MGHCTSQISQNKSPQKSTGRKFGIGTMKFNSELFNIRLSHPSKSCHLKIDVGGYYKCDTP KHFDLEGKHGWKRKITLQLEATEMQLKEKYLVFFLYEDDKELAQLKIALYDIISGPQFFD YQMGKGRISFNMIMAQILQLEINPQEMICTMNTCIQEKHYIFNLKLVTRKMQFLSENSEK FNNPAYIRGRNASYNNLQDELFRIQWLSTDMPRLIVELPINEISSSSLQVCVWSINKSTE DLTPTRKSVKSLNYHVEAIEQILFAESNIALNYLLQNQQEQLDDHSIHRCNLTKGLWQRG NKIGQMQSDFMIKMPVYMKQQMIGLRTEKGCTIGTGILANQSIKEISEVVSQFEKLSQVM FKLSTNNQVPQKQQLMAELQNAAQQLLVSVSKSDKDSQLRQFYYKSYDDLMKGQEIFIKI AQNLLNFVDKIEGNIREIYYEILQIVCTRGELSLSSMGYFDDCKQLNKKQLKFKNQICCH FQQFLYNTLNMALQKICLKAMSPKEKAFVDKFLASSFFKVPEFRQAVVNALQNPNDPELS EWRGTDYQLDDPENFRTEQITVLFDWQSYFYNYLPSNLNINAISMNSDEEWRRIIAKRNT TFFFFVQALCSHIQLKIQKDIIPWKDIPGYRKILKALLCELKIRESYPDAMINAITQVVT NGGPLNVIIMILFNKTNIYSSDRVVQAMDLISQCIQKCQIIPTNFDYTFFLNGLRVAVTQ SENAYVIAKSLHLIYNNYLIFPLEFKKAIVDFLFEAQCYELFLHWSKTVRSVYISLLIYR IYHLHRNNKIQMIDENQFDKQYFQITKPKRLQSYYENRKEETQLMSDYIYLKYSRFMMNI ESAKAKFITKSVTKELPLIQRMKMKLEQKVKVEGSFRDLVACEEQSKNQSTDKDRRIMYE RKIEFRNPNNKKTIILNEHNIRYLSTALIEYNEMQKQYTKWRQQNIASVQASIQGMTDEE KAAKLTQFPVPQIKLMQTYDMKEGKQE >CAK89378 pep:novel supercontig:GCA_000165425.1:CT868654:216196:217499:-1 gene:GSPATT00022550001 transcript:CAK89378 MITSEISAASSQMKRRGEQEGIYECKYVWTAEDVEKLNDQYDCQQGNWKLISKFLNGPNP LECMMKWQQLHPDNTLSRQLWSQDEDEQLKELVQKYGKRWSKICTVMNWRTGKQVRERYL NQLQGHINNEKWTEEEDKIILKLYKKFGTKWSYISTFLQGRPENMVKNRFYANLKRRYQS DLEESDDDDQQVDSQDSLNITKYKKKKKNKHYKYINSSIKIKKSQLKTVKSDIFERMTRS KEKTEPQINVKQENVDINDQQNSPAVQQVCIKEEQIGVESQQNPQELLYQQQEYQFQAFQ KFLQEYGQLGLQQQLILNQNQIGIQPLCPRCLGSLNPMGQLYTLADISHIFKMFQYQMLI QCQPKLEMTSQMQNLSQSNKQYNNIILQ >CAK89379 pep:novel supercontig:GCA_000165425.1:CT868654:218981:219323:1 gene:GSPATT00022551001 transcript:CAK89379 MKQTEYCLLMLRPYKKVPTDKKKQLVELVFQKDWKIKQASTHLGINYATAKNIIFRFRKT NIQKQPYKLPESKRCQYKLIGSSNSKIKLVCSQGGVLNCDLISKNP >CAK89380 pep:novel supercontig:GCA_000165425.1:CT868654:220618:221690:-1 gene:GSPATT00022552001 transcript:CAK89380 MVQFTPGSIYYFDLDLPVEQRYSQLFPKYAPLIRKYCQIWLETNQPSQEHLNDLKKLFQT HPDKELLEFVKYFAKECGIDESIILYIQFMYDFGFDDSLSMGCTSILINQEKPYIVRNLD WDFNDVIRALTINAIYIKNKQVVSIHLAIVSQLLTPHTIKQQSYAISLNFLWPQYKVEQQ WQSVLQNVHIPNIGRLIYRLSVTNHKYDDLQNVLKDAVVQHHGLLNIVGNKKNQCAIFYI NDWFNTQKYQFQHEIKSNEDYLLSTNGEYFQFSIDRYNAATENLKKQQDFSNPMKIVENV AFQYPNYNETTILTTILNCELSLPFDVYIKQ >CAK89381 pep:novel supercontig:GCA_000165425.1:CT868654:223125:223478:-1 gene:GSPATT00022553001 transcript:CAK89381 MKQNSQQTRTYQKVSNIKKKTLMNMVFLLGIKIKHVISTLYPYRQAAKQLNIKYAAAKTI IVCHRNNVIKQKLEYKSSSECKIVSINSKQCKITIITRVGGDAVSQISFEYSSKKGA >CAK89382 pep:novel supercontig:GCA_000165425.1:CT868654:224216:227333:-1 gene:GSPATT00022554001 transcript:CAK89382 MSNILEIFVLLRRIELVLHLYQIPRYLQQLQIAFLASPFKQLFTTQNLQSVEHILASFEN RSSNKHIKKYYFICRDSFEPSLNQFHQDHIIFQDFNILPAQIIQVLKGVLLQRLPHVKIN KIEYVNKLIAQIINNGYCDHLFKLLLVDDDKDQVLQDEKDQNQILGLQALTLIAATIHIY EIQILIPIQSVLLVDTILNIISETQNDLVIEQGYILLGHLILYDKTYQQILFTKRQALLD VQLIDDEKLFYHSWFIGCLFSRYDNHQASKFMTLMNTLPDNLLWLQSILNYYQHYGLIQS IENNQIRIQIQINMLINIQPLLATQIHQDVLLALLSQLQNQATDNRFKMQILSTLKKVFK LPYQLNYQNFTAQNILLSNNLLNILMQLITDPNSQLSYKAKKLFFKTLCLENSGILLKYL QESNNLQSFLYVLESQFVQNVDANFQKYFKYKILTILRLMSQDSNTFQIISTDQWFFKIL IKALNDNNQTFTANNQVMINCQYDYLVINEITEYCITFNLHFQPMFRQKPQLSYQTLEMI YKILPNQQAINEIKQYFVMLLENIDKYQPLILKKYLKSLYQSVCEIVNQLTILTLTLNEL IKNNQVFHNNFISFLKFLTNELAVFQEYLTTKKEVIDDTLLIIGTEATYDGCVVTTVYLN TYQNSSKCKIVLKPQLTLLEFEKHVEQGLQTSGPLLYLVINQNHPNKIPYDDFSIIDELY FRKFKELSDQFIETQFGSIKVSQEVIAVELYRLKMEDYSMKKIYEILNKGSSYIQFKQIQ DNQMLWFLENNSDTKDKGQQLQQIFQLTKLPYTYIENLFNSINQFFDKNNIQQQYRQILQ DDFKDYLKDMKIPHLLGVKIATFLSRNGLKNPVDFQDFICFVSLFYQQSFSETIIMKFTY LLYANKDGKFGKDQLCEYLQTIFDIQNQVINEQQINEWGSNLFLKIDQNQDGYISFYEYH ALLKEEQYRAKLLDPICALFTNLPFQTTSNRFIFGTPIKLFRS >CAK89383 pep:novel supercontig:GCA_000165425.1:CT868654:228380:229867:-1 gene:GSPATT00022555001 transcript:CAK89383 MNQQQSQSSTPRRNNSEFSIDAQPVESESTMNLQIAYSWLTIALLIVILFNCFLTLLLSS FAFNLSFRIPILFLSIGHLLYLIKICISYHKIATNQECCFELMWGIGLISYYSCLIYFVD NNDLQMQYLAMFLVLMTIIWVIKSIFQQYRRNTQDHQQKLIIVLIRFCFVAQLMFINLKL IDWLSARWIYTFSIFWLFLLIVIMMQLGFLFKLSIIINQYFNSTADQKPILIVQIVGYIW TNLIIFGFCGVPSYALYKLSFYLEQNMDHDYVLAIIISVFYTLIFMVYTIYHKDMLSTFI LRILELQIQQQTVREPDIISFPSSRNQKKFVNTFRDNILNDFPKQLIKISSTYYLPEDQR NQTEINSAGLNNKSPTLTDVAQHDGTLKQCFNCFQQQSCTVYIPCGHGGVCSKCAFDWFQ ERKECLICRSQIQAILKIVQTDDQRVKVVDIIALN >CAK89384 pep:novel supercontig:GCA_000165425.1:CT868654:230082:230381:1 gene:GSPATT00022556001 transcript:CAK89384 MNSSPYIVKRRQRVGDWICGVCKNLNFSFRNQCKSNEQYPGNRCNKFPHRKSSKQSFRGF RTLVLIHYEEPIESVDELLENQIDPIVKSSLLVLDLDQF >CAK89385 pep:novel supercontig:GCA_000165425.1:CT868654:230452:231100:1 gene:GSPATT00022557001 transcript:CAK89385 MSDLKPYLLNQNKSVHHFQHNFFKQEPSPVKSHRKQLEQHGSPNHLSTSLSFSKPLTNKP QQAQSDTSYVYYLIQQIKQMEQTIQELKQENQLLRSQQKIGFLDLQFQQKQMIKYHNLLK SVQEVKLDNITLRKNIEQMNLMMKIHLAPVNETLQLLNHPENTVIVHKESINSMQKDSIN ESTPNVKIHANISKYQKCKTETQFKDQK >CAK89386 pep:novel supercontig:GCA_000165425.1:CT868654:231460:233573:1 gene:GSPATT00022558001 transcript:CAK89386 MQIYLLSIFSIVDDNLFQSQNLGTYYLYLMIMLTLIFYGFTAFKDPGFVTINDYQYTDSS NPYQKKRQQFHPLPGNFQLRTKEQVAEERIDLKVVESAKKNPKNKEDFEIVCEASIDEQN IPDNEIQNIENNDADNERTPKKSMTPSKGVSQSECPHSNNVPTSLVLSQGSTKPDINNNN ANTQTDPQSHFQQEIIVEQIEHQQSPKSANNDKNIDQSVHHGNSMFIEKRYCPICNQDQI IRSKHCRRCNRCVALYDHHCPWTSNCVGERNRCVFYWFLLFQIQEIIYVMREAFPHLDFS QYSGAFSSIGNNINGVDGSELIFISFFVDLQEYDHLGIQELEKDFISQRFSIIVRITLQQ WMEAKSETVLQIQCAQIDQLGIQQYIKSEFYKMNNQSFNQTQQQHQYEEIEQALQLQCDF VDKVVSNLTLVNKDLLNFQSQPQEQWQMEKNNYLKQIVDLRSHIDKIQSDYESEIVQMSE RFQIAKNKLQEEHDMAIQMKDNQIIKLQQELKQLTKQKEELQSQIQQSNRKSSNDQTTLV NKLQSEKENNKLKDENKMLKIQLQQLQDQNAKLEETISKKHQSFQEQLSIKQKEINQMKE LAQVILDQRTQIEDFFLESLQKLELGDDLQIKSLSQNAKIQILKEIIAAFTKKKH >CAK89387 pep:novel supercontig:GCA_000165425.1:CT868654:233675:234018:1 gene:GSPATT00022559001 transcript:CAK89387 MQYNQYVLNIQEDIINDINDAANRIQNSVVNIKDEINEQENKITKLGVDIGKTEKKLNFV QSKLRDLLKTNGIIGQYAILEQSQICTILILFGTLCALIFLLFFT >CAK89388 pep:novel supercontig:GCA_000165425.1:CT868654:234135:235596:-1 gene:GSPATT00022560001 transcript:CAK89388 MGLCLSKQEAEQHTTLSQEKFQQEDELDGIQVEQIRLSDEEKFLKVLGRGGYGKVVLVNH KGQSKLYAMKILRKDLIQQMNSRIYMETERNILALVKCPFIVNLYYAFQTKQKLYFVIDF MIGGELFYHLKRIGKMEESWAKFYCAEIILAIEYLHSQNIIYRDLKPENILLDQEGHIKV TDFGLCKVDIKEGDFTTTICGTYDYMAPEIYLKKGHNQSADWYSLGILLYVMLQGIPPFY SQNKRQMIRSRLERQIEIKTPISEEATDLIKQLLKNNPKDRLGSDGSNEVKSHPFFNGID WNDVMDKKVAPPFKPKLFGDRDLRNFDVTFTQEAVQDTPMQSMIQEENYEKFSYQEPELF VTMNEGFSLNK >CAK89389 pep:novel supercontig:GCA_000165425.1:CT868654:236763:237788:1 gene:GSPATT00022561001 transcript:CAK89389 MYKLNTIQGHSFFNFQTPINHLTKKNLFQSANEIKPKRKNSNGTLSGAQSLPKEFWFRDY DRSITGKKQKKEQHKANRHQNPIYYIRNEQQTHLLTYQNRPCTSSPSKKWKQYRNIILNK KSSLNMTSNLIKQKFQETLHLLIPSKSQQSPKSDRCSLPSSNKLTSNLNGTIIKQLKKLN RLESDRTEYIPSLLSIDKQIKDSSISQSNVNNNSLIQNKEIFQKQLITRNRFLKDSCRLY GLDWHVRSSIKKLVFSDSIQFSESCETKCDKIENSPKSIEKSNYKQNNLLKLINKQKEKQ QSQKQRSCSTSLKKNKNKSSNNRVINVYFPSLQMITKRNFS >CAK89390 pep:novel supercontig:GCA_000165425.1:CT868654:238080:239339:1 gene:GSPATT00022562001 transcript:CAK89390 MQILRVDEFSDFLEKTLNLKADCYLASLFKQFGRFFNIAKRMIELLIELDKHFEQENRSR TLINNAIALLNQFFIEHVSFVQWSYCEQFIVQGNERITQIRANIHPIFHQFDQTKIKKSL CEVLLNLQEKNTQLLQQMTLLSNEVNLHQIILKQKEEQYKKFNDNIEYIKQYKQTEDSEF KKYFALFIKLQPLQQSKILYELYKTILLCLINCSNLLCLLEVPIENSKMVKQIGKKNLKG NTHEVQLLMKYSQILVNKEQIWNTLNKQHYEDLAETIKLLEKQIFYKQPNDPFVIQCNLG ELRSILSKKENLMYQLSNQFKKPEVLQEWNTMQPLGLITLQQLQEIAGQFFGHLSTFVRV TQVYQNTKQEISKFFDQNFGQIVQLECNEFDYLII >CAK89391 pep:novel supercontig:GCA_000165425.1:CT868654:239615:240539:1 gene:GSPATT00022563001 transcript:CAK89391 MQAHLHVFTNTNHHQVGQSQPHTSEAPVLQFLGKSKKRKRRSLDTNDWISLHDYKIKGNS HTQYLIRLKVDNVVWSFWTRYSMLSELHQTLDEVTKSQLPTFPVKRLFGNLNPDFISTRK AQLDIYLQVLNQSPLCRLFLEIPKSVIPKYFKTSSAIQNKKPSKRLTLIPFINLNWIVLM FLLLLLAFVNPIPISDPNQPAFLNNLENQESKPIQTKELFLLEIADYFQGTPFYNFLDLQ NKDNETKRIVIATALSIVMIVVLCVIIQFVLDVAKQSNIQLSVEKKSNQSD >CAK89392 pep:novel supercontig:GCA_000165425.1:CT868654:241251:242246:-1 gene:GSPATT00022564001 transcript:CAK89392 MQILILAEDNQKYQKLFKNKTNNWQSQISGQGKLITEQSEKLKQLNQELTEIKRNELELT NQKIQNTEQIDSLTIKLKEQEQQYQQLQDQLQKKIKELNQSIQNTQSNLAQTNQQLQSKD QELKNTQFKHDALLEELKSAYSFVTIQWTIGINKLLKDDHFNKILKNIEVKTNKKVKNQY FIFSGENNDLNGQAFWKSVDKLSNLLMIFKSKSGNIFGAFSPCQWIANCSGGYIYENTLS SFIFSQTQDQIQIFPIKEGNKCNAIYCNQSYGPTFGGGHDFYIQQDFQNGSSSLGHSYSY DQYQVGNRSTHLFGQSSPNIAECEIFMLTFA >CAK89393 pep:novel supercontig:GCA_000165425.1:CT868654:242343:243702:-1 gene:GSPATT00022565001 transcript:CAK89393 MSTQETVQRTCLIHENIEIIAIDLTQSQREKQDDKYLCVQCLIDKIGINTIVLYDNTIDM IKEMKSKAKKITQDMTQVRVNNIKQLQQSILDYDQLTKEVISKLQNNINQQIIEGEKEIE LRDQQMDSINLEEDIPILSSLYKGNNQFEIPNQSYNSETISQFLDQLEQSLHQISISQQI SSIYSQIKKIKQQFSIQLEFGKIDKYRTPSLKQVCKIHEQEIIMVDISRCDSEQQNRLAC VECIQQFPNKYVSLKEAYKRWNQYKEQQYKMNSDFKNHRQSKFDLGVQIVSEFQHSVKNR INEIIQSLEAQFKLDSQIILIENDQKNLNIDELDEQEILKVLNILSQKDQHLNQKKQLEK LNQEDSIFYTNLKQNLDYLIKQNILTKYNIINVFIDNQQELLTINDIDSIDSNLNQLDEK SMIKKFKLLEYITQIINDSFNFYKN >CAK89394 pep:novel supercontig:GCA_000165425.1:CT868654:244088:245391:-1 gene:GSPATT00022566001 transcript:CAK89394 MPFIPCLKHKGSYILYIKLDQDKAEFICEECCLQLYEINQNLNIQRLIHIKSVQFQNLIY KAFKSPQLLLPNMNYSTQPKQKLNEFDKLNENCIKNILKDINTQITNIQNSFQLVQQELE YYAKQFLNMKQKFKDELEKLIKFDQFKQIIENLENLGDSINTQIMEQNEKILYQYLQDLK KMDHTELYLQLFDKMKYLRIEFKDLKQQYYPECQKLQDQLKSFSISQLGLIEQINLITFK QFNFLETQLLQEQYQYKILCTILSKINKININYQKIYLSYRDGLNGQAFWNKVNNQSNLL MIFKSKSGNIFGAFSPCQWVTNSSGGYIFDNNNKSSFIFSQTQDQIQIFPIKEGNKQHAI YCYQSYGPTFGGGHDIQINSDFQGGYSNLGHSYSCEQYQISNKSTHLFGQSTPNIVECEI FMLTFA >CAK89395 pep:novel supercontig:GCA_000165425.1:CT868654:245678:247186:-1 gene:GSPATT00022567001 transcript:CAK89395 MLDNSEVIIRESLESYLNYQHADCVLKVEMSKPHLRQKYCECLQLESFVEFVLTCLELVN TTVLMKQNYQLSHKLHLQYWKIFNELPQPKDEMVSFILIAVWFLIHSTIFKLQTQEERNK IDQRFLFNSYHVLLYYINGFYVSDEFVQQQVDMYLNNRYLDYRLNSFQKKNLKKQQMQQT QTNLFPNVSIPELTQVQGATQFQKELRFRKKQKKQQSEPILVEQPPQQLTRLENRSDRSG RFPNSIKFNLNQLSPSINSLLNLSNKATPRAKLVTHQIISHNFNQAKVSNVLKSLDEPRT TLTQMKESRDNGKGQRLLDKYRVTQPPLEYFKKHPKVDHLFRDMLEMKLVLEQSHQHIYT SPRLVKEDSTALREKLAQYLEERTLKQNLTPQDDLLQQSPQFVSNMMITYQHVRTPSDGA RMQETHSVFPQGQISSFLQTQITQSPQPKSNNKFLYEDKRKLYTDRFNKATGNQTTDDKI SNLQHNLLVKQHMIQQHLKKQH >CAK89396 pep:novel supercontig:GCA_000165425.1:CT868654:247363:249190:1 gene:GSPATT00022568001 transcript:CAK89396 MSNADIQHTETHTQRRPTTASLQHSVQNSHPISPLKYDYLVTQHTQNSISPLRQSNQKNR FVQPFSSLAIISKQQIDNDYKKLSLQSPAQVFVNCLPPKAPELYRSTNSPTRIGQYNSKT QVSERGMDDKLSEFSLQLVNQQTVEDRLKMQDANLLQFKQIKFNKHRNSDQDFKTQQNRL SVRDENIQQSIAYSQHTTKNGYNNCDLQGKYDKLKEEYDLQHKFLQEKEKAYNDLQLKYQ QLQRLQQQYQSNNSESDQQTIKQLREKLLLNEEKLQEYSVVQFQIQSLKQQKAEVEMKQN RLLTENQQLKNELTQLQQSLSNCKTQVTQVKELELLVEVCQNDQDGFIKSLESKDAEIQY LKNRLQTSQEQLLKFKSDNLKLFNELQNLQQEIKNMQSAVLQSQEVMKTNQQLTLDIENL ELSKNVLQEQMENILDQYKRLSSINETLKQQYQSSQQEVNQLKTIFENEKQNYEQQIQDQ KYVIEQQDNDLQNAKMENESNTQKISELTLYYQAQFAELQQSLDNWFRQQIETELKKVVD PITEERDYYQEKFKEAMQKCESLEDQNQDIIAEYESLTSKHLELKMLFDQIKTISQTQLK N >CAK89397 pep:novel supercontig:GCA_000165425.1:CT868654:249239:250310:1 gene:GSPATT00022569001 transcript:CAK89397 MLKKYLSSYYSNEQIRSKKVNLKPKLDFQGFTKAKFINQDLTQRTIDYSSPKIKLNLGSI DNQHPPIIKPQSKQTNLSLYAQQILSKNNNQSSIDNTPKKGLDLLITKRDEAYKYRSVSQ TQRNQLIKRQSSEEKCKSSERIKVIDVFNQSPKHNKQPTSYQTYITSKEILKVKLKQQQQ NIQSKSSKISIHIVTFYMLLQNNAQQQQCIKQPISSRLNESRDILSQMRRNSINTQKLNN NPDREGVITQKKKYFFNSPQNNESMGFEHDSKSNLQVARNLKIELEKVDNENILELLLLS TQELNNKFNKKSQLIRNESEEKIPIKIRVRAGNKFPKDFFQ >CAK89398 pep:novel supercontig:GCA_000165425.1:CT868654:250491:251809:-1 gene:GSPATT00022570001 transcript:CAK89398 MQTLNDYEIIEKLGSGSYGDVMLAKQKESGLLVAIKTLEKRLLIKVSQLIYKKEKKQYQV FIEKEVLTQVKHPGLINLIASFQSSSQIYLVLEFVEGGDFANFLKINKNISQQNIVFYSA EIVSILEQLHSNGIAHRDVKPENIMVANNLHIKMIDFGTANFFDERGLNESVRDKLNELR EISQQDERFMDEIDQYQQKHKATFVGTAEYVSPELLEDDICGPQSDLWALGCIIYKMFTG TTPFCDQTEYLVFQKVRACQYQNSNKIPQDAMDLISKLLVRDPLSRLGGGLPNSKNTYRE LKSHPFFKEINWDQLWSIKGPDDVQIHLKKSTSTQELQLKKQSSALKPEVVLTGLVNKKT GWMIYKLRNMILYDYEIPKLEYFDPNTGMKKVSQEFMIKRDKLFWIRM >CAK89399 pep:novel supercontig:GCA_000165425.1:CT868654:252272:252655:1 gene:GSPATT00022571001 transcript:CAK89399 MFLLIYLLTMVRCIKLPLKTEYDQIEALEIMSEDTMSEQQLKKAQTNQVFFLEIADYLEG TSFYNFLGIYASELDLQNKDNQSKRIIMATVLSILLIVVFCVVIQFILDLSKKCKIKLNR ESVPIQA >CAK89400 pep:novel supercontig:GCA_000165425.1:CT868654:252735:253691:1 gene:GSPATT00022572001 transcript:CAK89400 MSSEVKKKERQNLLVQVEDFLHKQQFNGQTFQKRNEIEEEVDVFLNLLGNINPSETPQNK AQLSTIISQGSYDSTLPSFRETKLKYVDQFVQQMLKFLREIKKEIQGNESVIQQLIDQIY QFYLNQMNDIDKLIQYTYDVGNRKPNVVQFQDELEQKELKPTILEQELESSLVQAHQLNV IILDYQEDQLNNLLKQKSSENYYKDKQCKQALQSIAMLESQLNQFKGQFQKFNQMVKVIN GQLSDCVNGPSSENNRTKIGSNKTAYKTINSYRTHFTNTTPNKKGKLEDNYRSISQNSYR SRREEVDKVYKQLLKNYL >CAK89401 pep:novel supercontig:GCA_000165425.1:CT868654:253732:255056:-1 gene:GSPATT00022573001 transcript:CAK89401 MKFYIALLILVVYSQQDNRQISPEDQTFLETLQKDQFGLNVLNSIQTEYQRDQDTKAQLN RIEHLLNEIEQQIAQDQQNDLELESEASEQYYSQLLSLEEQIAKSQYDYSTIGAQIRLYQ DESNRYDILLDEKENIIDEYQSLSTQLDEIRQQERDHFEIARDDSITVCNLLKRAKYLIK QLIPKTTVLVQQSTNINQDFQQNADFLQQINQLQREAQESLQNNQPFLKIITEFVNVSTQ TEAVVNTKRVNAIVNLLQQLYDQIDKTSRVQYNAEDDRDQLHSRVKNQINDQLHSINLQL ATLQNQQDSINAQIVAEQQNQKDEEKRLANLKQQLLDLSKCSDDTKVNYGVRQKERKEQL KLLRQVRQVMLNDFDAIRCYIEDYFNKAQR >CAK89402 pep:novel supercontig:GCA_000165425.1:CT868654:255142:259746:1 gene:GSPATT00022574001 transcript:CAK89402 MAENIIQQKTEGDERASVYFGQEIDGDKIIYKNVRKAKIPNTADIAYCEIRITELQAELD AQREQVFKLEQQQDLYVTYEQTINQLQERNQILNDENKQLTLLLRSRTKELDVAKFKILE YESNLERLRDIEQDYKNAYDQLQVRDKELKDLTEKYGQLELKHSEQKVLELENFDFQNKI TNLQNDLNVYKDRNIKLEAERKRLADLKSELSTTSKIEVTKSEINISQVATIQPAQDYAK FKAQLQEQSDLLNQMKNAIQEKDHRLGLLNHELNKLQETLSNKNEQLSQLESQLDQCNGK LKELEGLAEQQKSKPTTIIKTVEKIVKVTSTDADEEKVRLTNELERANFEIERYKFELAQ LQQKLETQEKIISDLSRDVRQIVSLNEEIELLKIDKLNLLNALNQKEQDQEFTLNLLNQK TLELQNLDKIKFHLAFAEDQIQTKQREIISLKRRVGDVENSNNQLKQIQPELRQAKKLVE ELKSENENQDQQIKQLKANLMDAEVVINQLKKLEQRIKALQNTNSSLRQEMTQTLAESEQ WRQKYNQGCSEITRLQRLLEVNQQRQQLLNEQNKNFKNDFEVPDIKVDPLPIPKRLTKQI IQDESQITKLKNNLVAVELQLESVYRNKILEQQSYQSQIDEINSKYQLKKGESESWKQKY LKSEQENVKLMNINEKYNVLLLRYDSQEVIIQSLNEKLKQLSIELEKSRAEVFNLHLQLS QLDVLIQERDQFKQRADAYYQEIQNQYKQIPLLKAQVLDLTRQLKLAEIPVIRIAQKTDA IEKLRQQNIELESKIDDTLEDQIDELQQTNQVLRQELTKQIEINTQLIKDLSNADRKKQD ILIELQLLQAIEIDYQQLKIAYLNKQKENDKIKMQSDQVEFKQRDLENAIHSSNQLGLEQ KNEIEKLRERTNNQNNQVNELLVKLNSLQQLNNDYKFKLEMFPELQEKVNTQAKQIDELK KALQSSNEIRDELTAKCTLQSVKLQSLGPLQSKIESLQLILDERQRQLDLWQTKAIELED SKSKLNQEWRKKFDAIEVQLKNQKELEQLLKQQEHDINDLISQNNKLNVQNKELSVANQL LNKDVDQRDIEIKRLSAIETDFRKLNENLLIELQRIADLTDKLQIAQNQYDAAKRDQERL ENKCAMMSSEIERLSVMVKNKNIEIQGNKAILHQNQSQIDQVQPVFVENKRLFDELNNVL KSNEELKIHSIQLQKQIDELKSTISNGSSYENQLKDDLEQLEQALRHKENEISEIKQLLR QSENQVKDIKRDDQQWIDQQAEKEKLTNQLNYVNELLNSKNAENEQLTKQNHVLQSKLLD NQKLDFEHLELALNTRDKVIEEWKGKFQRLSQDLQKVIEDKVELENRFSTVDLEIERWKR KANSNSAEIEMLRVTIDQLNDEIERLNKNTQDLLNENDSWRHKYGNLQQLIPTMVHHQPN PETQAYQVTPGNSFRIPPGTDRNQGSFRNQPPPLDSRRSFRRATTIGLTKP >CAK89403 pep:novel supercontig:GCA_000165425.1:CT868654:260283:263959:1 gene:GSPATT00022575001 transcript:CAK89403 MLIIILLFQYCKTLDIDCQNSSTINVNQQTYNYNSQCIPPTSLELNCQSQDNYVELNVDS SQIWNLNNFTTNCHSVKINTSTINIGNITSNQKKAGFIENKYIIIIASKDFSFLPNTRMN MKNYLLGFFLNSTQNYAIMGLNVISNKILFVFNNSVTFESSQFFMITSTCTNNVNILPGM ISIFNVNFESIEDYLLHNQFIYLQQPTRFTAFFQVSNYTFFVYTNSYLQLSSTSVKASQI GILNNGTIILQNSNLSTSYSGCYINQGNARGPEFINYNQKCFANGGSNSGYGGIGLNVSN SYLGDCIFLTNSTRFMFDLYPYGYLITNLYQGSGGSSVNLLEIVKDFGENYTQNFQYGFG GGSIFLYANSSISMEQSILSADGSPGYVDNTTNNSIGGGAGGSIKIYSTQLISDENSLIS LQGGDSDQNGLVGEGGGGVIQFKCLSSPLSPFQYYDLNSYCSSSKFLGTIKNGPGVRNQK LSAGLFGQHGSLVEPLCSPGFRVENFSCVPCDYTSKQQFYSLWWSSQCVPCPYTYGKFSQ NNTFCNQAHICQTSDCCFEDSKILRNTGIQLVVFMMLIIMGYILRRQYKKKDSTTEKNFN FENATKEIIADKIITESLQETDQFVLGDLMYHAHRIPVIGNNTFLNPWFLHSEPPVEIDK AVNKEEYEKFAKNFNELASWSKTNYIILNILAILYYPLYWGWQTKIKKDKYKLLSALLNK NEIPKFWKQSSDQTYGRFKMTKSADYSLLYIDIFNYKNCDLKYIYLTCPFIIYLSGEGNY LRPFYLCESDQFLVSLFFAVNQTKNSIQNRQNILTNLVCKDEREENSKEIRRFLKKFNKV AMTLDFGALDKSFISNFQRLFDLLEKWNNQFFQNHNVKLQLLVAQFPFDSQHQSSTITLI EMKQETQFKEFMRELHNNVIFQKLQDVKFGVFIFNTEQDPAEVDLQLKNQKLSFNDIEDF SIRNIEYNYDDEDVIDVELLREQFLSKSTEKDHQQMGHTKHQFKQITKKQSQRPNSFSKT YYLIIRIFDYIRFQSFSHKRTFLDKYTLIIMICILILLDNFLLQSILSLIIGKYNQLYSP DEYQEADIMSLQLILFPYPLTIILSNLFESIWIPSQSYGILKLYLIFNAMATFQYFVSLL IFVSHPKSQICIQDALTFLSFLIKITLNQLSERLIPMSTKLNQLSNEKQI >CAK89404 pep:novel supercontig:GCA_000165425.1:CT868654:263993:268602:1 gene:GSPATT00022576001 transcript:CAK89404 MQITQDMFKWLKSLNVIQNGIPKQNGRMELDPETTSAFYNGFKMSELLDKLTGAYNQQVK IQTNPTARLYNWNIITERLHQIKVELDTEIKKLIIDGDLEMIVEVLKDIQSKFVKEVSSK IDNQKKTFDIETLNSAKPISSCETVLEFVIVALSQNLILKPKQSQQLLNNNFKLLTHVFI KGVKGQYIQLVTLLQETYNNMPRLIELLRDEEHQIGFFVSFLKLSIFSKDSEVVHWGLRL LGKLAYDLAQFDLLFHMFQWFWIIQSDYNTLKITLNWLNHLQLPYLKLQIMIIVKYLDNR SILRIKYSYIEFYGALIPYLNCDLINYNYEQQIQIAIRLSDNQSTRDEKNVAIQFLCNAW IHYNAFLEQNMQYQQSILAMLQRTAKDSSTILKTYSITQAFKLLDGLAQKKVITAVSVYK KLISILIESQNNEYIVRQFSYIIQKYPSIPIELLIDGLIKNQHLNISDCDIYYVLSAHQN LKVQSAITMLELLIRIYNSSVLFQSALFPTIQQIIQKFIELSEFQEYMNRMSQTCLNLYV NSVRSKKTTTTLTNYQDDSVVNSQRRAQTIQLFKYIIQLRCFQMNNMLKPLFVGAHLELR SIENRDNKGILQLLQMLGDPNTIVDEYVTKDQQMTSQLFLEKKMQQMEGSQSQALEDQYL QKNKKLFEKEEDLVKSLLLEEKNESKNLQQTKKKKRNYDFDEFQCVYEEGELAYKPQDVV LIDFSFEEDVDRESMNIAIKHFSKTFRYLFNKYASTPQEAAKNRLRSLDQSQLELRSSDI VKILQDYEIFNFSTYEEIINLVATVNHKFNLFSLSSQIRQLPQDNQTEKQSSISATTSRT VNYKLDFENFKKFLIQFAVLIFGRPPKDFRKMPSGHVLVEFFKYFSFVARRRNDNHLIFD DPDGVTTVADKSTIQKWNAQLLQNPNIEVPTETRLKKVQIERVSLHYQMRDVMKNLNKSY LTCFEIVNELIQSKFKTNIVEPTFKINLIYEVKPIAAKGVHSEFKVDPLAEKQEQLKNSL SLLPIEKQEFMNRSLDLSQLSEEKIINKSIVEKIVSMQEEALKKELKDLKWQKRKDYLDK QQDRIQQFKEKKEEIRFNESQVVGEQLRDQKPRHINYMNNLKKEYRKNQSEVVIKSSLPQ QDERKDSPKKKGQQPQQDNKQKQQPLPAEKKPAKEDKAEQRFKARTAEMAAHYKTSLEKK ERLNEKFQNFYKDPKVQAEFKHFRSSGSVAFNHYLKYSDAWNYSGKKIVLQGWLDFANAF NLMQVFSEEQLIKVFTNTLKQKNYVAHREAVQKNLKYQDEIGLTYFEFEYVLLKIAIMGQ EYFNAIQSGKKLDDNLKQLIIYKEKQSKKPKIKHKYVEMLEDNMNKTTSFTLAACLAYLD IPQNKDLFAGKLRSIEGDDIIEETKPKHQSVDMQSLKKRQGLPESPQVKKNSKWSEPGTH GKPGQEKKSMTDSQLKLPYLPKDAKSKELLEITKKWEEERKKK >CAK89405 pep:novel supercontig:GCA_000165425.1:CT868654:268607:269769:1 gene:GSPATT00022577001 transcript:CAK89405 MNNLRSCLQSEQAYRETKEYKDNIEDEATLRYVLETIEEILYCKQSEPIEMVLGMRLIKE LMDLHHECVLDGVTRNIVQLIEEIIKGDQKKRERGKYYFSENPDQQLMLLGNTLVRLSLE SIFVWNLWHPHNPVISQIYQRLKQSGVEFPKLHYFNAQKVKEYYMLVKENSKNGTLCRQQ SSVLNEQCFEQLKQAIERSQFNNGKLHEINEELKQIKTVNENQKLFIENFDKAYQDYLVN KQLEQFNNQIHSICQEYDEFQTYQNKNNQLCSYSTDKQSNHISRTVSYQNSQHKNNQSIE KLLQENDIIQAQIQSFEIPKASTKIQDQYY >CAK89406 pep:novel supercontig:GCA_000165425.1:CT868654:269778:270838:1 gene:GSPATT00022578001 transcript:CAK89406 MISDSISFEKELEIKKKRESRKERSKFDDIIEENENQKMTCYESTLNCLGSCFGTLRAWM PCCFCCCPYPYYLITQGQKGLLQKFGKYQRTLESGLHEINPFTDRVIPVSTKTFIIDLER QLVLTKDNITVNIDTIVYYRVVDVMKSAYRVKMIVEAVKEITYATLRTICGEHTLQDIIE NRQKIADEIEGFIFDVVSEWGIYLEHIFIKDMLMNDELQSSLSNAPKAQRLAQSKIISAQ SDVAAAKLLREAADMLDSKAAMQIRYFETVQLIAKNKNPKILFLSMDQQNQKK >CAK89407 pep:novel supercontig:GCA_000165425.1:CT868654:270866:271173:1 gene:GSPATT00022579001 transcript:CAK89407 MLKQIILLLFITSILTIDCDYCKAELLDSLRVKTDSVIVKCLDSDFSKNPIGTIFGNCLS IQGVDPSKIKQLVSCITSNCWDQWINQKNEQFLF >CAK89408 pep:novel supercontig:GCA_000165425.1:CT868654:271258:272075:1 gene:GSPATT00022580001 transcript:CAK89408 MNIQDNLILLNHELHISNNKLQLRVEVLSQQNNLLKKKLVEQHNITNQIQSLQNENNQLK QDILALQETLFNLQTEKNNEIEQSYSSMLQTLSKENEKELAYLKQEYKDHIYKLQQEKNQ LFEQAVSMGIQNQTAKNLELQTTKIIQIAQKLEKILCESPQNQESKKEKVNSAREIYDNL INQLNTDLNKNQKDYEKCDKFYHTPTVAKNPNSTKQKDRPINFTKLLSLTRTNESPRSQA YLNPISLQTGNKKC >CAK89409 pep:novel supercontig:GCA_000165425.1:CT868654:272341:273955:1 gene:GSPATT00022581001 transcript:CAK89409 MNQSQIQTNHKSIKKDPGENSNKLSSGSIVATKFRLIDKVGQGSFGMIYKTENMETGEIF ATKFEKREENSNGSVSLCKILRVNDNINLIGFPQIVFYGRDEQYNYFMQTYLGYNLEQLL KRCNYKFSIQTVLRIGIILMERIKMLHSKNLIHRDLKPDNMCIGYDDIDQIYLIDFGLAK YYRNSQGDHIPQNERRGMIGTARYASLTAHLGKEQSRKDDIESLGYVLVYLAKGRLPWMN LNTSTKSEKYQKIKEFKQQITLEKLCEGLPKCFLNLFIYARGLDFQGEPEYSFLRDQFQK QYQIEVQSSQNHVPLDFEWERLPELKKRKQRVIANLLKQKLEKQTEVKPELDIKKSYDEK PEQRGSSFLNVPVYENEQQQLSPEKNKQSRRCSQNISSMGTSQINNYHYQSQKENFRKFA FEKREQSYFSIEKKEQTQKNFLKQTSTKAERQQALQDIDNDYRDFDDLDQLADEETNIAI FNITPHLSRRKFQ >CAK89410 pep:novel supercontig:GCA_000165425.1:CT868654:274179:275182:1 gene:GSPATT00022582001 transcript:CAK89410 MYNNHFELVGDDLFVNFLMDQPQNNFPRVNSDSNIHYPILDYEGPPQQEFLGANQHQPQD LSKKQQKKKKIDETMLNNKNNLKNTYINKITNLIQETKSNVAPKYERKASIYTEDSAQAK LIRNRECARNSRKRKKIYIELLETRVNSLNEELEKCKRIIKGQASCQQQLGSNQQLQNFF LGRQQLFEKLENAIKNHTDNNEINLLLDSMRFRVGGGGKERVSASNYFFQQIMEICFPIH VRYMLWAASSESQEPAWFTNLSKEINLTEVQNKSLKKQYKRIQSDKDKLEQYFNIFIIQI NQIILNCQRQFVIKNSPT >CAK89411 pep:novel supercontig:GCA_000165425.1:CT868654:275194:275409:1 gene:GSPATT00022583001 transcript:CAK89411 MNSGAFLLPLKLLLFSLVLKKYGIFIQLSQNKFQKEMSISNLWKIFDEEFETEVKEEDSP QQETQQKKIHL >CAK89412 pep:novel supercontig:GCA_000165425.1:CT868654:275452:275962:1 gene:GSPATT00022584001 transcript:CAK89412 MSTVLPILIPKSKNILLLKDDIGKPKPSTRNLPDDSFRYGKSSKRDPIQIQFSTLECGNE ISSFMRRNHRAQNSDINLMTHGIRYKPQTPLDKVLKQQYASEAKEHLDKIYQQRMKTEPK TQSFNKNRSFSLRQQHNTKKEQKKMFKLQQFQDIKSKIFQ >CAK89413 pep:novel supercontig:GCA_000165425.1:CT868654:276816:278019:1 gene:GSPATT00022585001 transcript:CAK89413 MQPQNCNKAVIQNLQDMIINQADMSIFLRQSQKLRVLLTIPPKQRTDDHIREISVLVQGV KFLSRYREKPYYNELCRNLYVKTFQQKKTIFKQGDKGTCFYVILSGIVKIYANEPTLAIL GKGNCFGEIALFFGSQRTATVIAESECDLLMLDRDVFQKYIQEDLDVFDLQSTNLKDVRH FLKKVQQFSFFSSEEITQISTKCRKSTLKQQTIILKQGVVPKSLYIIKSGRVRVMKKIEV GTQYYELDELEQGSVFGDHACLTNSASEYTYITSLPSELIHLNGFDLKQYVSQESLNKYV ESVRFYPEPETLCQMQAEDLQWKEYKKQLVQNVLNDKMNSRGFDKRMRLPELRYKLVDAP DQQIFQRTLQELMIYVVVY >CAK89414 pep:novel supercontig:GCA_000165425.1:CT868654:278177:280223:1 gene:GSPATT00022586001 transcript:CAK89414 MASVSKEQIKIQEEEATNVQLFILQTEKLKKLLQIQKADRDTNILAEIASIAKQIGFLSK YKDRPYFTALCKHLYMQTFEPNSCIFKQGDEGDKFYVILNGSVRVLIDQATTLKDVMIKK EVAQLKKGEFFGEMALQFNLKRTATIITNEITDLIILENEAFQQFMLADHMQTERVKETI KFLEQLDIFQGFSNKIMVSLSTKCIQNRHKHQTILLKAGTVPNKLFIIKSGCVTVIQKLP GVRSDYTPQAEYGFPLNEYFELTELGEGEIFGDLAMLKQEKSKYTYMTAIQSEIITLSLF DIKQIVPPELLDQYLVKLQTYPEQSELKEMIIEKGRWEKYKKQLFNKIQKEKQSRKGFNE GLRLPTLNDSYIQPPNRHNIKAFVINDKRQRLTPVEKRLFVNELNYIRQSVSNERLKNDF LEQQFQQQILYTILKPKKAQTNYLFYINKNHLKQMSKEVSELVITRLKAERRAWRTDHPH GFVAKPTTKEDGTVDLLKWVCEIPGPQGCPWEEGTYTLHMDFSHDYPMKPPKCQFKPVLP HPNVYPSGTVCLSILNEEEDWKSSITVKQILLGIQKLLKDEPNIESPAQYEPCNQYKNNR QEYLKKAREFAASLKKQPQ >CAK89415 pep:novel supercontig:GCA_000165425.1:CT868654:280640:281087:1 gene:GSPATT00022587001 transcript:CAK89415 MNQIQSTQKLWLLSADTTNYAKSAVSPYYRLAIQKNQDNIRVKYFETNVFSKEKIEEVLN SINYVYLQFKLKLAQEFRTPNLMEKQIFNFNRLQFDFSNFYLISNSRLGFHILLFKCKNQ KQRENVLSNNLLIYRKDEFNF >CAK89416 pep:novel supercontig:GCA_000165425.1:CT868654:281380:282400:1 gene:GSPATT00022588001 transcript:CAK89416 MNSNVNFECFFNNQSAYLTCDQNCLEVKTKTENLRFFISLKLHFQWIYFEGQTHEVRFQN CSLVGSAENLENLKSILDCKVMYLGAAEMYEQYAVIKEDEQRKAMIVKSMLNGQKYFCKV YKKEVKENEKKFLNEVMILRKLKDNKNVVKILEVYESQHNYYMVMEYLKHKLEQYYTHEE NQIIIKEILNILDDLNNKLIVHGQISPKSFMFDKGNVVKLIKFSEAKILDQVEGLDMFDL HKVVLYLNGLSPNTVDFANGIYPEIASHGTNFLKSLLNNTQYRINIKQALSHPFLQCVEK DHQIARAEMNLKFKHSFNNFQ >CAK89417 pep:novel supercontig:GCA_000165425.1:CT868654:282429:282749:1 gene:GSPATT00022589001 transcript:CAK89417 MYKRITANLRSKEEDEDFFEWNQMYVQQEMESDVECYSCSLCDRQFGKNEYELNAHLNSH DHKKLEKEFRKRYNNLIIEYRLSAYSQRIGRKLKKLQYFKSIYEYK >CAK89418 pep:novel supercontig:GCA_000165425.1:CT868654:282761:284403:1 gene:GSPATT00022590001 transcript:CAK89418 MKQLSKSIRYAYWNTIKDINPLFVEAQYAVRGQVPSMAATIQEEINAGKKYPFDSITELN IGNPQTFGQKPITFNRSVLASMLDPNILKYQSGDVQRRVKFYNDKIGFQVGAYSQSPGYP VIREAVANFIQKRDATKNRPSINDIILTDGASSGITLMFNLLLKDKNDGVMIPIPQYPLY SAVIAQCGAHQIPYYLVEDKNWSAEQKQLEEQYSKAKRNGINPRILVCINPGNPTGQVFD KQSIVEMIKFAADKKITIFADEVYQENIYDPKKKFISFRKVANELNLDVEIYSFHSISKG ITGECGLRGGYMEITSKIDSEVHFQIHKSKTIMLCSNTVGQLMTGLMVTPPTTDEGCSEP TVEQYNEECKALFTSLQRRAGIVTQYLNQTKGVSCQPIEGAMYAFPRIFLTDKFVQHAKK LGYEPDVLYCLDLLKETGLVVVPGSGFLQYPGTYHFRMTILILPEEKLLAKMKIFQQWHE SYIAKFQ >CAK89419 pep:novel supercontig:GCA_000165425.1:CT868654:284564:285768:-1 gene:GSPATT00022591001 transcript:CAK89419 MDRVIELLNSKKVQESPENRRILFNNPTYKPYLLLTYNEFRQLFKVDENNVTRFNKMIIY TEMDYYNYVYNQTWAYKWYPLLFKFGLNCVRRRPTSIIFSLMYLYSVEVFLEKLCLFQYV DDKIIDPSEYFTAALSKEKNLQKQNELFQKYKDILQQDYKDKHYVEEYKPRDWILMGNKF NIHNPYIHWTESKDESANKIMQEFFVKNFQLLLFIDRIQRFVKLIKNEGYTGLQRETRAC VQNLIRQSKRLVLEFPVYKEYVPYLNSYYKIEELLSVPYIDQRKRFIQMDHYKLIGSKII NLFNYMVYGRCEFVRYEFKWFDPNISAKKPMLRAESVWYDIVPYVLLLVLPTRVMGMRRV IGNAKKYADYASTLGSKVKQQTLV >CAK89420 pep:novel supercontig:GCA_000165425.1:CT868654:286191:288019:-1 gene:GSPATT00022592001 transcript:CAK89420 MDERASSRRQDTRDYHSFSSASSSVSNLSKDRSRSNSKKRNFRNKKKPTKRVKHTIDFPP LDQSLLSFDQFQELYVSNDIPTEKLRLLYQTYERKWENKQKELFFDQHKDEPWIQDKYNP VNRREFQEERNQLAQNRFNEFLKQYNMGGFNNINLTMTEMQLMDFFELNDHFYNDDPDVP FWEFQLKESIDITKAPFFGSDPNQNSVFIKNVPLPLSRADVVKALSSLPGYVSLTLSEPN KLQNYTRIGWVSFDGEEYCNRCVNDESITIKGHSLQFQKQQEKRKFVRVFKSVEYNKLLK DLQQQRELIKVLDKEKGISGNPLIEGPLKDRDDPTFNRQLDIQTLYLRRIHNYCYWSGAE FSDYRLLVSKVGYHFFRLDQKKKCNLEWQDIIQALCNRRREESSDELPLTELIDNEIIQM GLKLRNNRQKDAYPCIFCEKQFQGGTFLIKHISLKHEKQYWEHQQSLSQQVIDQQMANNH KIERSSKATIQRQIFVKGLIDEAQLQENKIQLPINFAPRVQQRQYKDLDSIAQDKTQPAI MFQMRETKVNYNDI >CAK89421 pep:novel supercontig:GCA_000165425.1:CT868654:288360:289071:1 gene:GSPATT00022593001 transcript:CAK89421 MNNQDLQESQDIRELINQIGEGHKNSEKLNITQDWDDFNTPFCDYSYFTITDYSDFYAHL DRTNLKNHNFGKQNLNDVKEIEVADIEDSLSLTIKQVENISAQIKHCCSQKETLISTQMS QLSNNKTLLKDKIVLHSEKDLEIITMLIMLLVKQNPNPNFFSQDQEEQLDMHMKILFQIH TSYEDYCQAKTHIYFQNQDQIKQEIDIILEKDFTTYLIKKLDDD >CAK89422 pep:novel supercontig:GCA_000165425.1:CT868654:289391:290945:1 gene:GSPATT00022594001 transcript:CAK89422 MFVLFIIYQCYAQFNILTTIGGKNISIYENEVQNHQFSVHEQYQCHPSDLFEEDQKQGSR IFYIQNLQSKALNLSIIPISLRPRDMQLINVPNQVEQQEITIEGSSTSTYNIYYECYQNW AFVELHVRYEKTILNFRYIKICEDKLYYHDYPDLILAVIALIITYIGAIYGQKRFKLKPA QAEQQIDELESCESFYKARQIYSPQYSQPEQIIKMGEFQTTPDSAQIISRRGKETNLTLR IFLYLFFVIGLLIALFLWGEISILEIPIYGIYFVCVQIANTDLLIYIVSSSISPNIKLPL YGRVKLINLFTYLLQFVYTFFLVLTDNWVLRSFSSICLLFQLIRFMKFYNLQQLLSVYGV ILGLSYVIQYQFGIEFPKLHFPNTFFLENPKLTCSGTTLLQLMLPCSLLTYTRYFRRQTR SHSILFKLGYLSYVIGVGLTLKSDGDYLLQTLIDNFLPSIMIFLTINVYGIARREMKQLY SGIEPGLHNRAIQQQYSYELTIDKYNKNQ >CAK89423 pep:novel supercontig:GCA_000165425.1:CT868654:291152:292445:1 gene:GSPATT00022595001 transcript:CAK89423 MQQQQQCFHNQEITRIHLQPEFQTVQLLCEECVEDRGLTTKFEQLVLLQKVKKQPEELLS KINLDSTVKVFLSRLLKATEDDLKITKRRWSERLQAIKQMFDKLINETETYFDQLKKELE EFRKGLVTIVNFSRFEQLIQDDQQTNKEIQIVNYIKELESGITGQKKTDILNLINGYKEK IKNTQIPSITDRLSKITSEMNEYQSTVTKYSQKCNPDILPQLPQLPLQQSSKILSQRNFE KLLERITNQKRSTTNLIYQAQQQQGINSESFWNSVNNKINLLMIFKSKNKAIFGGYTPCQ WKKLSTPLQQGVYDENSISFLFCLNGEDLKFFPIKRENKPAIILNSSQGPNFGGDLNINA DFQGGTINLGTTYSNDILPGQPQLIFGSQNQPNINECEIIQIIPQ >CAK89424 pep:novel supercontig:GCA_000165425.1:CT868654:292539:295937:-1 gene:GSPATT00022596001 transcript:CAK89424 MEIQQGDQLRVAAKHHIESFNYLYTHGLIEICRHLSPIEVCVPGNRDIRLPFKNMKIWIE ELQIGMPTQQGMLGDNRVLPTQCRQMKKSYTAPMLATICREIDDNPKETVRLSLGEIPIL VKSQKCHLNGLSAEELVQQQEDCNEFGGYFIINGNEKIIRMLIIQKRNYPIAFKRPGYKN KGFNFSPFAVQMKCVRSDQYARTITLHYLMDGNVYLRIILKKKELQMPVIILLRALTDMN DYQIYQQIVRSQADKSDISDRVEVMIADAKSRGINTQKDALEYIGRLLRVELNIFNPETT DLQVGRIFLREHICVHLENDQDKASILLLCIEKLYALFIDEINPDNLDSLVNQEVLLSGH LYTAYLREKLEDLLVGVREKILKDASKEQSKLKDLAYFKRCFDLQTTVGEKLENFLATGN LRSQTGLDLMEASGFTIIADKLNNMRFLSHFRSVHRGSYFAQMKTTTVRKLLPDVWGFVC PVHTPDGGPCGLLNHITMSCVPLTHPDEQDVEELLFPLGVQSQRLIYSKNDLPVVLNGKL IGYLNSSLLPTFEHTLRVYKSNGTIKETTEVACLPRQENKSPLFPGIYINTTVARLMRPV INLKLNTIEWISPLEQINMSIACTQADIRTDTQYQEIDPAYILSILASNVPFLNYNQSPR NMYQCQMAKQTMGSPCHNYPYRFDNKMYRILFPQKPVVRCFGYDDYQFADYASGTNAIVA VISYTGYDMEDAMILCKSSYERGFGHGIIYKSSEYSLNEDKEVKYKLLSQIPIQLYNQIK AQVPVNLLPYGLPEQSQVVTKGSAVLALYDSQLQQVKVYHSKDSETARIEQVAVVNKSEN DVSVMIKYRINRNPIIGDKFSSRHGQKGVMSLLWPQIDMPFSESGMVPDIIINPHAFPSR MTIGMLIESMAAKANVLQGEMFETHPFQNYENDNVVDYFGKQLIKHGYNYHGNETLYSGI YGTPLKVDIYFGVVYYQRLRHMVSDKSQARSMGPVDVLTQQPIKGRKKGGGIRLGEMERD ALIAHGVAYCINDRLLKCSDYSETYICDKCGNMLATYQFVQLLSLENIQSVQSSHPYCVR CKQAKCSKVAIPFVLRYLVNELAAMNVKLEFSVAR >CAK89425 pep:novel supercontig:GCA_000165425.1:CT868654:295966:297821:-1 gene:GSPATT00022597001 transcript:CAK89425 MIKKILVLILLMVVVTSKVDIERVQTVIRDAREICWNELTDNEWVYPTYLGTLFLSEYYF ELKALGIQNSQFEESKFTQILLGSQLPDGSWVQVEDAYIQTGQLDATIFNYWYLKAVGID IHTDTMKKAQEWIKANGGIEKAQTMTKFKLAMFGQYPWKKLFKIPLILFYKKFNPLYIKD ITAQWVYPHMTALAYLQNQRIIFNVAVSISELYKNKAPKIKNHQKKGRPSFFINNLVQEM LKLRQPMGSFGGYTVSTLLSMLALNDYTGRTNKHKSEISDALKKGLDFVEFNYFNFRQAY HGSLDDGRWWDTILISWAMLESGEDKEKVRPIVENMLQKGVQPNGGIEYGYDFGYAPDAD DTGLLLQVLSYYGTDYADAMDKGAEFVYSVQNTDGGFPAFDKGKMGKNPLYKYAFKIAGI ADSAEIFDPSSPDVTAHILEGLISSDRSNYDVVVKSLKYFMDTQENFGSWEGRWGINYIY AAGAVLPALKKMNNGWAKAVNWLVSKQNADGGFGETTLSYRDPKKYNGIGVSTVTQTSWG LLGLLAVEDHYDVKEAIEKARDGEFKDISVVGTGHRGLLYLQYPSYARSFPVISLGRFLD QQR >CAK89426 pep:novel supercontig:GCA_000165425.1:CT868654:298649:301058:-1 gene:GSPATT00022598001 transcript:CAK89426 MSVIFEDIENLHYLQFQFTQALRIGKLIYYIENSLLRKVSVLDSTCSDSVIVQAEVSMIC TDGQLIYSLLENGDIYQIEQPPRLLKSGNHYKWISPIAAIDQSHRGFIWKTNKQIYSHCK HISSYQNRITLITIGGKIFNVVDNNTLPIPQLNGLKLNTYFKKSILLSFGGVAITESGEL YLFNQKMIRVKMKDIQDIFATDKYLFAIQNNNTILQYSIEDIQTHQFFTNQQIFQKMHYC NDLEFKFKQRQFQHISLLQSEYSLCSFAYIKENKILDTSLNLTDKTNQTNQIHHKSNVLI LKQSLFEDWDCKKKTMDSRRSTTIDKPFQIEPEGLTLAQKLATIRESLNFEKPIQPQDNK DRCRQKSLIQLHQSQVEDLPHNPTPIKLDNLLHKIHVNKPDKPIDQLLLSQNKQELQDSL PTFQNDNQFTNFNDTLNKKKSKQNNCNSRSNKRLDSMSAQSGIDLQNNSGFIQQQDSFVD ILDTDSNKKDFPLQLQPFDKPNKYKKNTLETIQSYENDQTTNRLSHKMDLVLQQSNRSPN KKTLKDPLLTERSSAKRNIEQAKQDLETLVNMINKQKENTETQKSISYCEIKYKDDVSSN LSRICTDSTRKRSSVISETSQQESFKLPQRISKQSLSQKLITQQSFNSSSQNSQFQFDSH NFRQLNTLQDQESQLDLKNANKLFDQSITQQIKKLDQIKGLKKVQCSSQVNILRNNSLSK KSTIIVNNQQIAKMNFRKQEAILKKLFFRLDVRLKLQQLEFVNNLKCLL >CAK89427 pep:novel supercontig:GCA_000165425.1:CT868654:301085:302493:-1 gene:GSPATT00022599001 transcript:CAK89427 MQKFINPFNNEFVAEDYLDNSMSLYFQPGDLQRIDYQFHKHITKDYQGQIKIEGLLDEQE WSIFSTNSFKQIYEGKNTAVWSRIVFVRSNFSTSYNREYQTVTDLLSKIGGLSQSVISIV GVIITYYNRAQFYLDISNRLYDYDFNGEHDQGTKGSGQVDPLQFSVQLHQESSQPLKKKR KAQDKTDAIKQPVEDSMEEDPQFKERVLRVVEEQKQIMDKYQFKSRKHFLLATFQQTILM KKSIQLSIKYFFYKLFCRKSDPKEDPHLFVLQKALNSVRQELDVFSILNRIHQLEKLKKI LLDRDQLILFNYSPKPVIAVYEKDKETDFLHYSKQQSLKQMTLIEVAEDIKKRMKTTFLS KEHLSIENVFNSYKAIAAKNRKSNRYYYKINRKLKQFLGDQVKAIIKASKLLRFPEDDQI PDMGVLEIMSVGGETAAQYPLSMRPSVNINHQYQ >CAK89428 pep:novel supercontig:GCA_000165425.1:CT868654:302651:303147:-1 gene:GSPATT00022600001 transcript:CAK89428 MDIFGQPINLNLDLRSKYQTFLGGLVSMFIVFLLIGYSVNEIIGYTIQRGIQITQEGDQT KFNYDPDVLVLNNQNFIFAIRVEQDSFYQSPQFDIQVRQHQNSNEVSLELQQCTFQQFVS VLNSSQVLDFLEANQVNTWLCPKSEFSIELEGTPFCKF >CAK89429 pep:novel supercontig:GCA_000165425.1:CT868654:303307:304956:1 gene:GSPATT00022601001 transcript:CAK89429 MNTYNPYYCTSCYNVWDQNQCLPKLLDCGHSFCLKCLQRLLKNNRIICPDDMKSFRVDSL HQLATNQELLHPQLQRTLHSSQYDISLENITQSTTRLYTNLPENSVTIKKPLSPHQQHQI PWNREQLQQCHQQLQESHQQFELNQQTYFNEAFRMIFQQKRSLIEQIEQNFSELQMKLRN IESQLLNELNIVALKLEDDIRQMHISTDQKAIAEIKLIQERIQQLLLLSDENLNTKADLV NTLTRQTELIINHFIKQPSFNIQHIITKQMQLKFDLSVYDYLPTFCTLKKYNCDNRNQSQ QRRVKTLTESNDYAYTHSNQSLSDNSPKPKGHDNSSQKPFQYSKNAHHLEEPPQQRFNPR ELTFGGKGQVSKSRDSKNSRSLSSSKLNEATGIARIQDLLFKGLPIEKLDLTNQNLNDLD LLDLIKLIKKSTSQIEILKLTKNRITDNGFSHLLNLLLKRRDIHTLNLSNNECTEKSIDL IERMIQQLHGKTIYLSNCKLSNLNLLKKRQTSLQSMGVTLFL >CAK89430 pep:novel supercontig:GCA_000165425.1:CT868654:305036:307666:1 gene:GSPATT00022602001 transcript:CAK89430 MIRQQSIISGEYLVFDTHDLIKKGQYRQCLQKINRLVFDPQLAKGQPQFLQLQIQLCRRL LHCCNKILKKYFQKFSEKSHKVQSLLNRTIELSLFYIDFLEIYAKESIQKDASPTASPSP TMKKKQLFKELYAEQISLAHKMEMFFSQDIVSNYVPKSNIWFHSQCQNCYFLLMETLFNT YRFHKYNRTPYAALTLNLITQVVENVTITNNRQSLFRGELQTLIGNAYFELYEYNKADQY YIQSIEQTMEAVRIILGEIEKMKSNIDLNAVKIQLAKLISQILVNFELQSLVNQQMENYD RCLEIVQLAVYFNKILEHFKICKEVTSQFETRSTEIKENYKDHVDEINEISRLLSHVFQI SLKEHLKNSKSSIDLQQLSQVEQYEQKIFEKYQYSQNNQTFFIKNREVQKQLMLDNVLQQ QQQSQYFVKNQSMKIFKLKHNSSQHSIEQPSTNYNDSQLQLSNNKNDQSHLTQIDTSHNS SIKTQLNKTLKVNSNHRQSFLSELIHLRNQGSKSDEKEKQVETEIEKNFRQQKELLFKIS NKNAYQPLDQVVKSYINQNLVSYQKCQSLDEVKQNAKQICQAEAEVHKEVPITKSLLQAR KMTCPQGVMVKDKQAENEFNKLLEFNVIEYFDFVQTNKDLAVTNLKAQQENKQVRIAQQN LFSDNFIPKKKLNFIDQEEIKQIQQAQQIAEQAENLLKRTYDKRKSTQKQSRIALLNFMQ DKVHKSQKQDTIIPMSYDQSLDQARNSIKKVLSLNEKQKHKFEEEDQELRETELPYKFKR SLSGSSKKKIQSKSILIKKEFGHLCSSTKNIREKDFGSSHNTETKIRGISLDRIK >CAK89431 pep:novel supercontig:GCA_000165425.1:CT868654:307766:309846:-1 gene:GSPATT00022603001 transcript:CAK89431 MSLRLDYICDNYIQSDNKKNDNLNRIINPYIILLYKIITYSLIMILVSGFPENVNEEILY ETFRIYGKMLEIKIKKEYYEQQSAIIEFADSISVHQIQLEKKEFKIDNNILKISLHDDQN QNEFRTNNLFVNNIPSNITQEQLSMFFEQFGQVFNCKIKKSNYLNHKPQFSGFVEYKNPQ TTVDLMEIYLRQPLKVNGKILIIQPCKDKTQPRVSIQMIIQGFCRKLNQAESQIFGISRF IELIWELLIVDYFNSRKLQMKNCFVKMKNDQPYIILVFPTLSDTIKFMKLADQIKGHPCF QHDSDCIPTILKKYSVDSSLSQTFQQFHQQHLSINEQFQSRATQDYFSGNFSNFSCRYHF NNQDYYDDRILVISNLKSSVTIVQLKEYFQQFGLIKSVVLRNEQNGKATKVCFALALTSF DAQLILQEYENQQLGSNQNISDSPIKIQKLHYKHYEYEKIFSELYEEVFYKKEIQTPETQ NCILKDKEYFQSFNQIIEELEHFQQLSLQDQKDIEQQLIDQVVANQSYLNLVDQKIISCK IINKYQKLEDIIELYKDPAKFILEIEEAENNIIQDQYSETIQQYSTLDTIMNNFEAFYSL KDDQKINIIKFLLKQHIITELQMDDNQASKISKQIMKQLKYKIQDLLCLLEDNTEFLNAL NKND >CAK89432 pep:novel supercontig:GCA_000165425.1:CT868654:309901:311458:-1 gene:GSPATT00022604001 transcript:CAK89432 MNQKCLSFGHNQRQIEFVCIQAKEILWGCSKCFEQNKVQYNFKPIVQIQKILNQAIQEIK NSNCLQEIQNKKSLFDQAIEQTNNIFIQNISLLKQSFELQYKELFDFLAFVNDFSPDNLD SVSNENSKYLLIVLDQKKALYDKFDVQATFTIERLEIIKKDIKKNINDVFDQGCQQILDG LNYKYNMPIEFRQQIQNLKIPLEYNVYEHPDLTYSINYKLDKEAYYSGQFCNNQIQGIGV LELQNQNIIYYGAFIEGHFTYGIKIKLAQNQLFQGHFYQGQNQFEYIIDSSGIMILANIE RYDGSFKNELQEGYGITNKKNKDSYYGYWKNGKYEGQGCLISEEYGQYIIIKLSIELKAH SRMESSMGKQLLRMLKRRRIQRQSKGWRGHLRKFCEQNHILWIVQEWKEKWIRNTQSRID GTFKDDKLCGKCTETTKVVVVVDLKQTVQETVEVGEFKDGIKVGVFTSITTCNNIKTQQA IK >CAK89433 pep:novel supercontig:GCA_000165425.1:CT868654:312178:313517:-1 gene:GSPATT00022605001 transcript:CAK89433 MMLEFLLVAITQGIITKTYGEVADFSYTTSGFVCQNGYSTSKTITFSGSFANVPKIIFVP TIFDFASFSSSQFTVKLEILSVSLTNFQIKITCPTKKVNTYKLSWFAIDDNRLEVINEAI NDININPVASKQYSIQNPNIKKAIASIHSYGINGALDITLSISELTTTQVTVNIAKNSNL LYLGYQVILGTDEVISYIDEIASSNSYTSQVYNLISDNYFVVSFTKLTNSGTNAFRLQYF ITKTSTTIYYDCSTWGGAYPSNIMKVFWLQTTLNQEFLAMECLTVRVSKLFDRYVDLKPA FQLEILEINKVLNTVGSESIVLNESIQLVTIHVFYKCPSNNKKVHSQLNKCNSCTGTNQI HNLNHYCHGSVNSINIYAKYQAQANYKELTLTRASNGVTIAQTLRNRSTSQKNILKVDFL DI >CAK89434 pep:novel supercontig:GCA_000165425.1:CT868654:314352:314915:-1 gene:GSPATT00022606001 transcript:CAK89434 MGSCCSSNKVVKETYDYPDGGVYTGDMENNVPHGRGTIKWDNGSLFEGQFVEGQKNGRGL FRWNDGQYYDGNFKDEKFYGYGEYFWTDGKIYKGNWIDGEMSGQGEMIYPDGRVYQGSFK ADKKDGDGELTWPNGNKYIGAWKKGKQHGKGVLIDRIGTKTEGTWNKGKKQ >CAK89435 pep:novel supercontig:GCA_000165425.1:CT868654:314966:316328:-1 gene:GSPATT00022607001 transcript:CAK89435 MDNIYKFKASSSVGHLKNLNNDKQFYSEEETSKKEEEEKQLKKVSKPPSKMSQFSKNATG YQTDDSDKETPRNNKVGPSNQKVSKFRNLVNAKKIEGDNDDPNYDDDDDKPTTIQQQMQH VQQQNEDQYDIEQQAKQMQQKYAKTDKPKESKMKHSNTQQPLDVNSDVEQMKNKQHQEGK LKNHPFRHLIYGPSIGENSFNKFLQLTQRGLVYAKKCLKGPSDKFIKSKMVQLPECVIVK PKTLLLDLDETLIHSCSQREGPQVTVTAFGDYGEEAKIHFNIRPFCAWFLQQMSQLYTIY VFTASSSAYANAIVNYLDPKRQWILGILSRGNCMETKNGFFIKDLRIVGNKQLKDMVIVD NLAHSFGFQIENGIPILEWHNDQSDQELKYLATYLMEAADQEDIRVFNTQRLKLDQLIEY SLE >CAK89436 pep:novel supercontig:GCA_000165425.1:CT868654:316933:317928:-1 gene:GSPATT00022608001 transcript:CAK89436 MKITTQTFDIFELAMSLLHQLYQFVFDDKKKEKLSQQQLIDYDFVVGQIAKIALFLMQII YYLDLNKLDPISTSLLIQGYYVFAVFIVLVESKYIYGGYSYTFHRIVAYRIMNIVVNLIS LWRIHQDKSLLYNWENIIDFYFGIAELLLIGLVFFTAVEIVQLSFQLCTKLFSCMKKFDN TKEYDSPGGCGYVCVGQGSGITLMGGIILLIFYWKTIPFSTLFTLKVIVLESLVGLEFIL SCVFYCKSGKVQKDPPRSKKVICFGYGFCIGALGVFSGPAILVVVIIYAFIRTLCFWHQF DWRCIICCWCATIEKGHKYKQTNISINTNNG >CAK89437 pep:novel supercontig:GCA_000165425.1:CT868654:319180:319602:1 gene:GSPATT00022609001 transcript:CAK89437 MEQIDSIPGKLLCAIYMLSKSQQLSIKQKSHLKDLVIQQDQRMIDIIQEYLENKNNKQLF GQLLQISNEESFISDDCVSQTSNYKYRRPPMIILHNKKFELNFRKFSQGYKVSSEKSLSY NKQRHQNTYES >CAK89438 pep:novel supercontig:GCA_000165425.1:CT868654:319644:321428:-1 gene:GSPATT00022610001 transcript:CAK89438 MDELLGAVMQRKSKINEVNETILKFKVKNAINQSDRSTIYAIIRKLKEEEKNRTEKENWI VTIMRNTKQYNEEQMNHIFVKTNDIMKLISATFIEFPYSPPNSLNQFLEQYYNLHQGFFQ QMNQEYQLKIQHKQAIKQGNINLITDLIKALLTSSRKILKDNIDDLAYLVNKELSPEKQK IVKKIVPDALIEHLKTRSSTQNGKKDGAVISMTNSLIQNEEPIRYSDKKDYQFIKEKLKL QQRRFVLQPKIANQEIILIESPVYQLKLKYQQYYNCTIEKNQFASLFNNVNLDDLDDQNI CVLDYQTIKRQQQNFDEFKLNFESLYDKIKLRNSYIQQSVIDYNQYISKKCINSTLMVSS QCNTNLENEFFNVIKDKYEELTSLNPEFQQNMWHYHFCRIYLKPHINQSCACFNSTSNKY ELNSNPIKNKIVIRKSVQSMKKSAPIQQNQNSFTSKNLIDQLKKERTFHQSIMLEDTSYQ DNKKYNNNSSGISPLNNSILQIRKFDQSQNVNGSVQYNLYTHKFSSEFQTFKQSSLHHRA QSAHGDQFSIPPLNLRNCAE >CAK89439 pep:novel supercontig:GCA_000165425.1:CT868654:321482:323083:-1 gene:GSPATT00022611001 transcript:CAK89439 MSEDQVTALFQKNEDQEEKHYFSTTHDYQPPQMKMYDSDNESQQEYHQNNFKNANITTKD GGESSIEQSTFQHDGQYENDQIQIQETESQDQQEEQSYDQESGIKPENVNEIPLKSVNLT SSQKKVFQQKKQKEFQDMKSDPQKYEECDQNQSQAVKRNYSKSIKKNRKSRCKNFKSLFI SNKQKQKAGLGGQISFQQYDIQNVISIFKRQPSLQNPEAKKAEKKNKIQSVNEVETRRII QAQTLTISKILQKFQKCKTEEKFQRFIQKTSKWNCFSKYSPNVLVHLICDSATSLEPLKQ VIIFDELKAQFKQMDKDWDISFNLNLQIKLQRDRIDLSQIDTKWIIEKRNKKPGEIQKSS NFCFLQNFRKLCKEIEMQETEFQETERFSNVDEKLQIQIQKSEIPEQKKQIQGLLYVVKE IQYHKEQQLMKKIIDFDQTDIYMLYKVPEIKELVDNIQNVIATSPEKFLQYINVNSINGY DTKNIKSKKTNLQYLQRFIEKIQTLFVQRGGE >CAK89440 pep:novel supercontig:GCA_000165425.1:CT868654:324830:325184:1 gene:GSPATT00022612001 transcript:CAK89440 MIHLIFQQAIKFNISSSFLYYFNFSSFILLNYIIMDNIQQRETLGLFLRFTSICQVRCGV LSSKDDVLTKENHQCLEKCTNHLIDSFRKVQDAYK >CAK89441 pep:novel supercontig:GCA_000165425.1:CT868654:325285:328116:-1 gene:GSPATT00022613001 transcript:CAK89441 MITFTQSKSRFSLYYLEESEKYLQDLSAKVRQVNMMKGDERLERGKVHICSRTLIFEPER QELPIQKLFYKMITTPLKQSNCISFRIKRIIEIHTQGPPSPYVYLDDIDMEFQIEPLFDN IKIVFELIERILQINQQKHDVEGEVEKLDSEKLQKIRFNMSFVESVSEKPLIQKEMLVRK IMPLIQTKGNTLLCIILGILYITNKIIYFQPFFKVTLKPCKKIPIEEIKILYKRRFELMD IGLEIILNSGKTIYFAFENQDRMEEVYKVLIGKVTIEAETSLEKMQYLWQSGQISNFEYL MRLNQAGNRSTSDLTQYPVFPLIIIDYESTTLDLNNPSTYRDLKKPIGALNEKRLKEFLN RYQEMPNPKFLYGTHYSTPGYVIGYLVRQKPQYMLKLQSGKFDKPDRLFKSIKGDFKNIM NNPTSLKELIPEFFLDDDSFLVNKFNLDLGIRQNQKKVGDVKLPKWASNAKEYLKLNRMA LESEYVSNQLHHWIDLIFGYKQRGPNAVDANNIFHYLTYEGTVQISSLTDPIERQAIACQ INEFGQCPKQLFKIEHPPKYALRSGQMIYKSIEQIKQQKEEEVPINVEGLIWENLDKKDQ FSNKVISKAHKTNITDMVVLEKKGLLVTIGQDGFLKVIDLKEFTVLKSFKVDEFCLSVIV VLKPDEIFAIGSWGSQIHVFNINYGSKVQVVQRFNNSVSSLVYLQKKKILITGSWDCSLK KFECSENQIKQDTEEMIDDWEAQITHIAATEDESMIAVGDVDGKVITISTSNWTQQNQFE INGEKIVKLLFFKTSLLVVGDTQIKMFNNTSQLLDFKIDKNNGIVTDVLIDREKYLVVST KKGYVAVFSMLLESKLGYLFTDYQTQPDLIVNQDQQFTKMSLQDKALVLASQNGSVNILQ Y >CAK89442 pep:novel supercontig:GCA_000165425.1:CT868654:328129:331200:-1 gene:GSPATT00022614001 transcript:CAK89442 MLSNPHLSNYIRFFQIISDMEQECLITQKEKHQLKVNLTLKDMQLVNMIALNTDADDEEF KYSIINILRKQNQSPRQRQGQKIRCKSTGIEMSPIRLIRRNSVSKEAKVTEEQLIQQIDD LNESLVTILQNENKSILTPIVTEKLKSILEVIQKTTISSPSTTTTKIFQSDEIENCKIVD KFTSLYTDMKKHYTDYLNCHLLLMDNNLSYEILVQSVKNFVKKLIDADQITYLHIREDQS QQTYYSADDSYLITNDKQIYNETQLIQPNKVLLLDECNFYPALSEQFKIKLYKRNYLIKL NNQDVFVCFHISDQTSIISEFLALADEFDIFEDLNQLSSFLIDTIKQAKVQIFNPLQLSH MIQGIGIAFIRSSKYLFIKKCIHILQSKYQVEIHINSGDGMSVISSPSFFYGTQKQVDFE FKDELNVSITINGMDLNKKADLMIYKQLTQSFNKYLKFIRQCYDRTTFYKFFVKSQETLI FEFDKLGRLIFLSRPIPSDIKKEFNIDFDPKKNKVTYSQLFQNEELLNYIENFLQEHNVL RDSDQQYQVFMKMEERNFKGFFIIFQQGWLKYDQKKFNLNDPSLQKELQKQIIQQETMRF IDKLEQNNPQILNSVVQMFKPRSTQRQTVHYRNSILQFEPAKLGVQHQFSLRMEDLNTQC TVPNIDNFNFNVLAVDEMVQKQLAVVEILKYHNLIKEYDIPLDTLCQFLSEVEYKYNKKK NVFHNYDHGVSVMQNVHAILLQLHQTSNAAIISTFNQFALLLSGLCHDVSHTGRTNTFEI NSLSNLAIRYHDRSVLEQHHAAKSLKLLCVPATNILQSFKGEEFRKFRRMFISNILYTDI TEHFNLIKNFESKVSESNFGQQDEDIKLLSGMIIHTSDFTGGAKQFELSKQWSFKVNKEF EQQYELEGKLGYPQLPYMKDLQKLPVMAKQEAGFFKFIVRPLWQSMSKYLDNRLQEQVDY LDETIQKWEQIANSE >CAK89443 pep:novel supercontig:GCA_000165425.1:CT868654:331212:331924:1 gene:GSPATT00022615001 transcript:CAK89443 MDMQNRPGGRTGSGPMASAADANVERRERLKQLAMEIIDLQKDPYFMINHLGTYECKLCL TLHTNEGSYLAHTQGKKHQQNLLRRKAREGKDLNAMMHMAKQNQPKPQKHKTIKIGRPGY KVTRTVENTSKVLYFELYYEDIQPGFIPKHRVMSAFEQKIEQPDKNYQYLIFAGEPYENI SFKIPNQEIETQEGKFQPVWDKDKKIYSLRVQFREKKNNK >CAK89444 pep:novel supercontig:GCA_000165425.1:CT868654:332016:332547:1 gene:GSPATT00022616001 transcript:CAK89444 MAFFGKVLLLAALAGYSFLLFTDVQLGKQFDAKYAEFQKNHHVKSYIAPDYFKLLPAVLA RQVIAGLIASSALMFFCGCLAFFPVVGLLLQAAITANPLINNDQSTQIELLKTLALVGGL LLWSSSNCAAKKVNKVKQE >CAK89445 pep:novel supercontig:GCA_000165425.1:CT868654:332644:333768:-1 gene:GSPATT00022617001 transcript:CAK89445 MKNGIALKIKVKNGLEDAFQQTQQHTELATILFDADYLLKQMSLGVEADGKTPFQYPQEL QQLGLKSCSQMGQSLEQRRLCRFWLVPKQCFYSSVNNKYIIDDIQVACQARVMERVGNSL QDKVSQDVNDAPYQFATKFTQIYDTIAKYYPIFNRLKQLFKAVALGRWMYENNVKGIYQE TLRYCRKVNNSPKVIPILKYEKVTEENKTPIYYTQAEKVQVAIEHLKGKGHPTTQNYIDQ IVKQIPDLKGYSVSSSVQYSQGGVNTHCQNMIESKADPKVDKFKNLEEIDIPFFPQKKCS ACNRMIESHLLNLNQNQCSIHNDYTCYLCLELITAQDSYPKSCIINNYRYIFHQSCYNQY ETVINSNKKDEDWN >CAK89446 pep:novel supercontig:GCA_000165425.1:CT868654:334172:335226:1 gene:GSPATT00022618001 transcript:CAK89446 MDQNEYQLEMKKLFEEVELRFDSSFEKINDQINSIICDLENNLDQNLKCNYTESIVHQQD IILPLSNLPDVHNEQLSLELISLTKLYKEYQVNQVKISFNQQLEKQASLQQQQIDKLEKE KRQLEDIIKSKDRQLQSKNSGIEQLQSQLKQQQQIEKCEMEKTQLAISRKQNKSQIVLIG FPGSGKTKLYNLICQTKQPKSLSLSIREAFLKKAAYGSGFQVLYIPDYGSCQEIIINAVC TLNAALEGPIHQILLVVRAERVEIIQEYLKTMIVKFRRYRHLVTVAVTHWDTNETETTKK ANEELIIKLSKNFGINSVIFVSKFDTGEKVCAQIDSILNKCQS >CAK89447 pep:novel supercontig:GCA_000165425.1:CT868654:337122:337925:1 gene:GSPATT00022619001 transcript:CAK89447 MELVLIIEICSKLDLYLEFSVENFLRLFQKPFFPSSSDKNIIYSFCLNLIINMFNLFDQL FQIETFRKQHFLLNFSLHRMLYFTCRANNYRKLNYYSAKFILEKASLKHMKALSHKIICN HNLGSIKRRILTLTCHNKFFQITSFCLQNFPSMSFHRKEISDRKFKFAICKIQAKTYSKR MVLTFFIIYYIPKKIASQVLFALSQYLLSNLTLLKIFMHKLIQCYFIFQLKMLNQLQKEE VLYIHKQQRNSNQNNQILVREFHEKIF >CAK89448 pep:novel supercontig:GCA_000165425.1:CT868654:339337:340707:1 gene:GSPATT00022620001 transcript:CAK89448 MQNTTQMEELDEIGESIISEVIQRVKSNFTKFEKFMQNYKNKQVILLCGITGAGKSSIYN WILGAGFQIVEKDGIGYLQPTHTNDQMYISKMGTSSTSVTQTTIYDFIVELDHVLVDLPG FESTIDIYHKLFIDLLFQKITTSYKTKIVYVLDSPQRELQNRGKDLIQFINQQIGQNPHN IQNISLIINKYSEDDADDVQIKRIKEQLEGRSEFKQGMLKKIYIIRRIKNNEMIQVVFST QAKKSLILSLNQSEAITLQNQQVPQLSSGEIINNYLMQKSTFYFKRLKEMFKQIKTMIEQ RHNFQLIPSKQQDSSPNSCVPDTIAGLYILYSHMITNETTGLNDDDDFQNFKKIYTYFLP YGQHLSCFSCMSDYEDGEVTRFNSVIDHLSQNSNSQRQMTDQIQRVQQQTSVEQLQSVQA PEQPKNKWINIIGDIFSVIVIVFGKVYCIYTDIKKL >CAK89449 pep:novel supercontig:GCA_000165425.1:CT868654:340797:342949:-1 gene:GSPATT00022621001 transcript:CAK89449 MKKVTQSTASTFKPKKLLAKAKSQNQFEETDPAQRDRKIIRMRNVVDLGDAQEDNKKNQK KNENQNDPLLEQLDQWHENIMSKKKSYAFEQVKQSDNNNNNKVIENEFNLDHGPQINSNI INQIMKPIELPNFKTEDNNDDVQVQFQMLRPNKESGNVLKTLDQVRIEMNKQILNSLLLQ KVTKKLDEMNINRKHKTNWNIQRMDEEITQVFRDQSHALKQRTLNNFKGLTEYFSNPAQY IDYEVVLSKAKYHSNRPPTSFPTTQIPQQQQNSTSAKSLMESYQLFQQQIKEHKNILFQM RIENNTLVQELNRYEEEVQDIRRKFFIKEEKARQGWIPEQQNDGVPNKKRNLMDIIEKIR NQRDVEIRQRTKEIQSLRKQMQQNSEKQQQIQKELDEMRQKKRRCKMLLKDIFLKQFQDS NNSLVPEGLVSIIKNMRKINESAKPEYFPKFLDDISKNYLLQAAQLEIDIEETRVLSQKY NQQQLLQRATSARQQITTQRQQMNVSEIKNQVKVMLKKSKVSIKKPVFVQGIDPINPSSF AHVIKWEHQDLENQQITEPIENNFKNQNISSERNNTIKDYNQKLVQLQQQLEQVTNEECQ RILKSYSNKKLLSDIQELKMVMYALFGQTLGDQQWIQFVVEWTEQKQLNPLYVLKQEEQS KQSDTRKDQHSIPERMKTKVSQTLKKLTEVTNVDYNFELCF >CAK89450 pep:novel supercontig:GCA_000165425.1:CT868654:342971:344471:1 gene:GSPATT00022622001 transcript:CAK89450 MSQDVEITKQKTPSLSKKWRVKRQGSILSDSQSTFEDSRITLLLFEQYRIVEMTRFCVIF GTQIIAILEYECSFSDQFAKELEKETLTLLYIIFLMTAAAILLTLISYQVLLTYKKKAMV ITPQAGLFDSNLVQGLAIEMLLILPTPTPFTQMIRVDFNQRYTGELRFYYLNEILTYILT FRVILLINITLKFSSFYSSQIGRLCRLYSTDFDTHLVFKLCMKDLPGYTLMGLFITGMLL FGYSMEIAERALQRNEVQHSVYSVMTSMWVTLTTIATVGYGEFYPTTDLGRISMAICVFW GVSYTSLFTAMLDSMFERMNCEEMVWALLEKTSATNVMKYLSQDLIVRIQKMKIKKLQNN NIHLIQDSINALKQMKRQYRNIDGEDSMSKAKRKFKDINFMFQEYYLLLKEFKRLQLINT INYHYVCESHPSCSQKINSHHLQTCDQQSKDEPIYQDSEQEESDLLVMEFHV >CAK89451 pep:novel supercontig:GCA_000165425.1:CT868654:344505:345880:1 gene:GSPATT00022623001 transcript:CAK89451 MNQKRFHENNVLSQHRSDRIQELEYDRNHTSESGMGEYDSIKKDDQNGFEDSRVTKLLFE THRLIEHVRLQLLLVITVLLILDFDDQYFIQDWQDNTFLWTVFALNCILLLCTYLTYHAK FNYFKATQLIPLNLAFHQSDIFNPFLLEFLVYLPIPNVFSKSNLSLNLDIQFQFTLYRVD GVFYFTLNDLLFLWTCFRSLNLMFILLRLSPLYNSQVHRLSLYFGFKVKWTFTFKSLVDK EPVLVISTIFTIQVCYLTLAFWIVERPYMREENSNTMLLLKHSFYETILALIRYSYEEYE PYTVYGKIVLSFTQYSGFATTSLLIAAIAKRFYMASNQFNAYVLLDKLRVSHEMLVLTQA IGEQFYYLTKYPINPFTNGRAKECLAILKQFFKKRRNYQNTVAEMTDQLFNRRMKDLKWI MQDYQEICRLIREQQKEIVQWYSDQQQLFLL >CAK89452 pep:novel supercontig:GCA_000165425.1:CT868654:346635:350858:1 gene:GSPATT00022624001 transcript:CAK89452 MSEQTKFRILKTIQPTQQYQPTWPTQRSDSSNERLTRLERDSLPNLKSSQQYSNISYRQA QKHKPLSQGVFYGSPLLAQNQLEIIDKMKCQARNDFYGQLKSIDPYQYPTRLSDLYLSPK SLREPTVEVHIPTELKEPHLSLGNFSSNLSKHNPRDKIHRGIPQKHDIKSLAIWVDLMVQ QIIEKYSGQKQIDFYEEVQNVLTLCLKELIRQISIDCIERSVLLEKIWAQYVEINSTVIN QVVNEKKEIEKQNLKQIMKTHQLYQYEIDNFQIIISNQKEEQSKLTEKLIKLKDNGKYLK KTNRNLQNTIRELKFQLNDYTTSNKYLLNEVENLKQQIKENEIDIHNFQQSSQINQRASS PNVSEHSPLQFPQQFKIISAGAHQSFNIEIEEQLKDALKSDSDTNLSNFEDMLMNQATDT TDLIAVMNLYKEQSTQTFASNTQPEPKSILLPDFRHNVVQTDSSMNNAVEKEVQTELPTE ILEDIKSEEDQLFQFQEQLIKTQENYKQLMEGEQLDSPDLNQMSSLLLSLGDASSKMKQA IQHSREYRTSLLLVNSAQKDENQRKLNELQLLKITNENLQQNLESAKNEIIELESHLELQ EQINAKLERKYNKIKDKKQQLIEKTTNLINQLTKTQQFTNIMKKKVQEKRISSFGQMKQP NSSQSQSQLPSASEISSNFQQQQFLSSNPSNQSQYLVASSPRRQQQLGSQSSRKQNKPEG SPNGIIREEFSGQEISAPKKKWNIQITNTEEQQKESDNESDLSQSQITFQQSLSIVQDDV ISQRSFASNRRSSQLQVQANSPGINQLKANNGNNRQGLKSAKKSVPNNLNNENLKVISDT LRKFLNEELDNSEASSIDSSFSSKMEAYSKRKSERQQKKRQITQTQAPKFQNRNNAESTS QLNFQRSKYLVTFLAERFAKNPKLATPKMQRINVLKFISQFYCEKIKQYQNKNTPLHQIC YEHFVNTYGFKSMAEQKLTNFYQSVYFYRENFRINLFGRFLELLSPLTLDDLDIYIQSLK ILDENEIALHMASVVNEKGVLVTLEKAIASLDIQHQCLGLEIKDRIIKDIRSNTYERKNK ICVDVDFFISKLLNGYHQYKLTHQAHFEEVFYSADMDMDGMIEFQEFQKLYLHFEINQGT QSNKKMIRTQFMERCDTVSNESGEKAMSFDRFVTFSLENNIFSEEKFSKFSKNVDSNDPI KNLNDLKENWLNIQSLLMSRINLSEPNEGDYYQSIIQKLDQALKNKELKESFWISYRILD ADTKALYLSKVAADLIPEDLLYIQELVSEICDDNIEID >CAK89453 pep:novel supercontig:GCA_000165425.1:CT868654:351011:352557:1 gene:GSPATT00022625001 transcript:CAK89453 MRQKSRTVHEGIQNTLQSVDYNECIYQCILTKKKFIGKSPRYIYLFKNHICIGKSPKVQI PERQFVINTDTRIQWQYSKSNLKSITFQVGSHSYEYFGSNEQLREIKQKLALYAFQMKIQ DEYQAESVLGKGSYATVLELTNLSNKQQFAAKCIDQQKINEKKNGHRQLMQEVETMRILS EHKHQNILQLHELYIGNQNYYLVMELAKGGSLLSLMKKRSTLFSRNDIRIIMRQLLEGLE FIHSFNIMHRDLKPENILFMSKDLESLKIADFGLAQSCDQHPYTYPKCGTPGFVAPEILE SDSEFARYSTVCDIFSAGVILYVLLVGEPLFEKKDRREQLELNRRCEINFQRFSQDQLDD VERDLMSKMLAKNPNHRWKATELLKHKFFVPSDDQIENEIDYPKQVNMAILKKSAMPTFS KNPFMQSMTRDKALTTREQSQTLVMRPKNCTLVMQTNLQFDKSSQEQINPLEKLTNFIGS FNLIKDEINQ >CAK89454 pep:novel supercontig:GCA_000165425.1:CT868654:352727:353224:1 gene:GSPATT00022626001 transcript:CAK89454 MKFKEFTMQITIRQVNNYFRLKFLFSFSQFLFLSIKLQTTLLFLLSEIALFINRQILICS STLIIIICLICHFPQNSSLTILLNSQLYFCITILSIVAHLFSFINIPLPQLTISQAGSKS TSFNTLGKSILKHKHYILDSVAFIQQVALNTICSTHPFQCSTELM >CAK89455 pep:novel supercontig:GCA_000165425.1:CT868654:353358:355862:1 gene:GSPATT00022627001 transcript:CAK89455 MNNERSNKKRDKTSNDVKSLLTQPSILFNQKVEENIVHVFQQLQPLREENVELKNTILAT QTYLEQQRENLSLIIRETESRQLVKRIADFPTLISILQEDMRSMQETLRKCISNSNTELL FLNEERNSNYEQLKMEVSKQSIEQQHIITRQDELIKQKDQTIYQLNQLIEDENKRLKKNQ NSHFELVDSYNEKLEELTNQINEEIDKQAQMKIKYTQMLQQQQDEHHTQVAIKQDQYEFA LGELRNTYEKRMDKLIKDSEFRISEANKASIRANKELEQVRNKYEQEIQKSEQQLIIIKN QQIQLQQQQSQTQQLRADMQQQSLYLHQTQAMIANLKKNNNIVLNEEQIQSELKIQQSLE NFYLSNPTNHFSTQTQYQQETEHQQQQTSPTLGPQEVSAFSPDNVFKLNLKHADQQTTQI SPISNFKQSEEKVPNLDLDEVQESKKQTNLMKKLRKKRNQRSKNREDQFYYHIHTNSVLT PKELISGPSPKQSEETPHSKFASVNFTQAFATHHSNLRRRSKLQINFSNNQIQEQEINQE IQTQDISCQTEDWLLEYLIINENQKTVNTHPQERSNSYYFSPNKKNQIASSMLDNSSKNQ HQFSLQILQSDQDEFQLQQKDEVSILKEQIAALQQQNQLQAHNITDLQEKALQMQERMKE NVKQMKQQIQKSSQENQTLLKQLEKPKEVIKKKVELQKHLENQISQSENLQHQLTKATEI IKYFNNELENYKQMYNIFGKGTKSERLKTKKLPSIYQPSPKRKIGETTPIKQSMYEEAQR LIDNLYDIKSYKRN >CAK89456 pep:novel supercontig:GCA_000165425.1:CT868654:356520:357908:-1 gene:GSPATT00022628001 transcript:CAK89456 MSRRQAVLTGFTGSGKTTFFNKICKTNQPTQSGGMSLTRQTFLKEAAFGSGFRVLDTPGY GSKSEKIIHAVSVLNALTEGPVNQIFIVVKWERIDLMQDYIKFIVVKFQRFRHLLTIAVT HWDTADLSTIQKNEEQVRKLAASYKINSVIFVSKFDTGEKICAQIDSILDRCQAQDVKLT DAEFYSNFELVELQDEIEFELECKKEDVIQNFRKLANVIRQYIKDFDEKDKSMHEIMHYL ALETKKIAENLITDFEIKNNAEFSKLFEYHQNPSLAYLVHFELKKAVMVDIEDIVKLTQQ KMKNNEEHFFNFIKACPHCGLIWLKVSGCQYGTTCGNFPDKDDNKFEKYKFHSRYTFNIS DKGVSYTENKDFMQKSQITHPKKYVDPSEKNQKKLGCGKEIIWVDIPALSPQQLKELIDP GLMDYFAQEVPKEELRNILMKAQKSVQSQVDQAKSETKVIRL >CAK89457 pep:novel supercontig:GCA_000165425.1:CT868654:358847:359938:1 gene:GSPATT00022629001 transcript:CAK89457 MNSKKFVRTSQCYNPSFNIGSNYDCIWLYDSKPQFELEKLSQIQILLNNNSPSLNYCPIC QNKTFYVIYRQVVDAAYEEVQFRIIDQISQTYQQQDSNEILQIMFLSNEEEEKKKVFYLI QENCSTENSRQRSNFEQTSIINNKYRFHNPPLFDFEKDSENTITTFRDYFSNNSIHFFFI FIKFERTDIMIQRITKIIKKIYHLERNRIALIVNQCECEQYQKLELQKRFSYFGFKTIEF FSNDSPQDICNALFKTLENVDAQRFDFSNTIFEQENEIADQQQQQMKENLINVSKQIEAD QIQKFKTQMAQDKEQQEILNREIRDLEETLYKKREQQRDISYKIRQDQQELYDWERQYRK FKY >CAK89458 pep:novel supercontig:GCA_000165425.1:CT868654:361215:361441:-1 gene:GSPATT00022630001 transcript:CAK89458 MVLPKVNMFVGICALSFQAFVLYPWHDVISQQVEKLDKNIRILEIMQQQLVKEAEQLQKL NPI >CAK89459 pep:novel supercontig:GCA_000165425.1:CT868654:361668:361943:-1 gene:GSPATT00022631001 transcript:CAK89459 MNFAIAFTALCFQALVLYPCHDTISEQVDKLEKNIVRLEQMEVELKTHMERNQELIKHRI IMNGKNI >CAK89460 pep:novel supercontig:GCA_000165425.1:CT868654:362362:364517:-1 gene:GSPATT00022632001 transcript:CAK89460 MSKLKKGLSKDLEKEQEDQAIAKEELFQPVVQIYQDYQYLSEEQIDVIAKILQVIDFFKE NCPDTQTLMEFTKLCCQNLIYEFIPKDSPVFHIDDAGDKFYIVLSGRAGIYIRRQQASIE ADEAAAQPRILKMLEKLHLESVSQLQGEELMKFYSQVIKKQAKPQKVIDSELILFRSGNF EKYFTNQGICKFMLVAQKYSGSIFGEMALTNDKPRTASIFGLTDLKLVSLSKANFKQVGE KGMKALQQKIDYFFKMFPHMTKHKMSKLILYFTSAKFPANFFIWKQGDETDGFLLLKDGE MQLLQNVEFPKPPSQNNVDFTLVSLFQNSKQEVKDIKETIVLANLTGGCFVGETEVVQKK EKRDYSVKTLTICNCYCLSLENYHIVRKTFPEFFQALNSLQQRNSYLFRKRIEDIVKTKR CNFYLTKKEEALAVERRYANEFGKDEAKPLLSSPILRSTFQSKLSKQQMVEQNKSIIDQH TKLDEQYGEKQEEFNMLKLAGENFQKCLLIRVEKQFEQFQPAKPKQIQQNNIDPKLHILV NRISLSKISYNQSVVDNFPSFATNRDQISYRQSNEEDSQQLPSIKVAKQHVRVVNPNISS KVDIIKSNYQSFMKSKSMSSRLDRLSDNQIQGFMLTDSINTYHPLHSVQNKDDQTKNKKR VKTQSKVESDFQKSIL >CAK89461 pep:novel supercontig:GCA_000165425.1:CT868654:364979:365107:-1 gene:GSPATT00022633001 transcript:CAK89461 MSKQMELVIIQQSNKLSRIGMTINFSSEIEVVNGVIHNDNKP >CAK89462 pep:novel supercontig:GCA_000165425.1:CT868654:365907:366315:-1 gene:GSPATT00022634001 transcript:CAK89462 MFKRNLEDKSKAKWAEVYLSTAEVKQAYLKIQSILNKLRKMGTNDRLSQPDYLRLDCLFK QATAGIVIFQNLYICISRIIQIDIIVELQRNQKGRCREFVESRVECDTRSVFRIPYLK >CAK89463 pep:novel supercontig:GCA_000165425.1:CT868654:366737:368089:-1 gene:GSPATT00022635001 transcript:CAK89463 MIFSASLAYTLWVFFQWQTNQYSPKITNFVYVSNFELLDFDYDIIKITYQGYKEGMIDPF KEKVLIPLLIYTENFEYGNATVLRLSNQTSYYGNNFLIPKMKLGFSKVNGNLMTTSEMYV QIVKCTPDLLEKDENCASEETIQKFFSQPLNYLVLQIKGKQLNSNDGQVQTSMQEFYIQI EETSCYTFNIFLQSNFYEVKDSLLFGLSKQNEFINGALVQSQTNSAQYCYQAYGNVTYAS FYIAMKGDQIKTIFEYPTAGDLLANIGSIVSILFMIRFMIFFLNDYYLNEKIINDLIAFY YPQFHQVRFKRNWRREIIKVKFRNENIDIDKFKRFYEKAKSKMEQKLTFENLIYEISRIY ILIRANMTREELKKSHLVGLNLDFLYEKEVPKNFVSECEGGITQNENEAQILNEDDVAIL SLYENKVALKTRVFDEKSQRTFFDINRIRL >CAK73220 pep:novel supercontig:GCA_000165425.1:CT868150:3248:3799:1 gene:GSPATT00038876001 transcript:CAK73220 MKGELIFILYIFIIQATEQECQISIQEQKSILKRDFKNVLQKQVGALISEARQNIFYFGN LGSCLKDDPYSIFADGVPYTQCHIGKYISITLMQKYLLNTLKLWFWDLGYIYGENIRYYT IIVYTVLNGVQTKIYDSNLATSIVKIKFDAQQVERFDVLNVGGNTYYRNNLDIIKADAYY SFS >CAK93938 pep:novel supercontig:GCA_000165425.1:CT868675:1829:4181:1 gene:GSPATT00026261001 transcript:CAK93938 MNSDQKGRFRITELINLNLILILKEKKQIINTTSFQIFTSSKNVYEMKVEQSKSDDQLFQ GQVQLIEKAIDHLFLNVKDLSIKQHNIISIHIPIDQEQMITNRKVVLKLNENSIERLMKI QNTFEIDQWLDAILGIQSQFRGRLTARNQGQTNQIDNETIRLTETQQKFEQQLRSQIREI ENTEQQLKQFKEFFNHSNQSQEQEKTSLINCLVQQDQTIMNILQSQWRKSETILNCFKIK SSIDKFTNNIETLIKEIKNIDSQIQQEQVNFNKKTIQLQLKDQILERLTYKIECLKKINP LVLNKIEFLTKMNKEIVTCERYFEQNYNETNKEQLQIIQNLKTLCLEACENTNHFLEILN FNNDLKLQQELEIFKKKEKDNQMYQTKLEQQIQQLQDELEKQKKRAIDLENQLNCLYKQI EDQKKQLQQNKQKNQDNLSQQQNESDQTKNKLAEEVASLKYSLQSQQVDNKINNNKLEQK ITLLQNELEKKQNQEKENKITKTNLEQQMQLVSSELEKYKNNAKDLENQLNRLNQQIGQI EAQNKQLLHINQDNQDKLILTQNDVDQSKSKLKVAEDEVVSLRYQLYSQQEKKFGDSKLG KISNIQYHFNNQRLTLYDQVINQKQFSIFSINIVKKSLSEKSYFGICDKNITNAEFVQFL NDKESPQKQIYIIFSLEGERLNNFQEVISQVSPITKFLPGQNAVVIFDPSVSTLTIYKSQ NELEVFSFSLPSIEKQFVPCFIHSDFDETKQIAPQYMNFEI >CAK93939 pep:novel supercontig:GCA_000165425.1:CT868675:4220:4717:-1 gene:GSPATT00026262001 transcript:CAK93939 MDSIFLIALIHLILENYKLESVYINLIIYTKLNLQISIQYLQIFIIGFQDLHSIIENSNI KFIQSTINKMSFRLRFLHSSIGQYQLNKLLDIILQLFIGLQIFESFSSQQLLISHNQYHE DQRINNIINIVSIQLLYYHYYNNIKLVNQTIIIRKNQDEQKFKLK >CAK93940 pep:novel supercontig:GCA_000165425.1:CT868675:4778:5368:-1 gene:GSPATT00026263001 transcript:CAK93940 MTQQRPPIPKFNVDFLTHKVETTLLEEVQHLIFKLNLDFEIEKKAIRIIKQTPLPNNQTA ARGVILYCLKEFGKKLPKVDTKLEQIIKYIEKQQASNFTFVCKKLGFCDQVSEICVILKK QLNYLIGRLEQNLQVALTVKIAADIFFLQYGGLNTRILSEITQVNEEKLKSSLNRITPFS EKIISDLINHYNETLL >CAK93941 pep:novel supercontig:GCA_000165425.1:CT868675:6018:6469:-1 gene:GSPATT00026264001 transcript:CAK93941 MKSSLINSQSLPQLQQRMIHSKILDDKVPKPCKYPLFEQPQFKYKSEKNNTKSPLRLNPA IKSTYSKFKASIVKSYNNQLFDQYQMTKTQRRIQNEIEIKKQWRQQKYLEEKAKIQYLNV EEKDIDLNKELLNQLKLNLFCE >CAK93942 pep:novel supercontig:GCA_000165425.1:CT868675:6606:9188:-1 gene:GSPATT00026265001 transcript:CAK93942 MNQFEMETKKFIDELKNGVSNILVAIRVRPLSQKERSLSEFETIRILDNKMIVLMDPEQE RDEDLLRKNRLKETNFAFDFVFDQWATQEMIYQNTTEFLLEGVLEGYNTTVFCYGATGSG KTFTQIESKIISMIGNQQEVGLMPRALQQLFNFSIQDRFKNTQFKVCYVEIYNENIKDLL TSEDKNLEIREDKNNGIQIAGVTEVEVKTVSEVLALLKVGNKNRSKEATDANKESSRSHA ILQLQVESKDRAGGLQESVIQSKFSLVDLAGSERAANTNNKGQRMIEGANINKSLLVLGN CIQSLSEANEKGIKNPFIPFRNSKLTRLLKDSLGGNCRTVMISNVTSAVSCFEETYNTLV YANRAKNIKTVASRNVLMAQNHISNYAQLIQNLRQENEELKQLIQQQQHNQSTHQLKLPL IHQKNHPVPQISLKQQAKQLESIINQNVEDITETKNKIYEMEEQLNHFQQNIGFLQFQKG RTQDKFEQMRLQERMDNAKTQKTIIKRSQEDLEEQLLEYEMQKVDIQKQIQQIQDSNYKN YLNGIMKQGDFKIETVEIKIQEKKRRYQEQIQDEQVKQLKTQINKQQVKIAQSAKQKSNG SKKVPSLPGVDSPYYSLKGGQTYSISRQNMLQNRYQKNKSHLKLPPVQINSINKSPINQY PSPNKNNDPTKYRLEQKYSSRLNRPPSFHPPSSQSKSAKGKYMNRSLDIESVNESVNKNS VDVQNDISLRKLHRLRQEYQQQRFEKAMNNKSNQKSMPSFGNKILLPGMVHKSPYVRNFQ NNIEPIELKKERLKMWNINQKGQAGDKFQLYN >CAK93943 pep:novel supercontig:GCA_000165425.1:CT868675:9541:10549:1 gene:GSPATT00026266001 transcript:CAK93943 MSSFQNRVQSLQCEEANHDNKPISLICFNKNCQKRKVCLTCVEEFHKDHKQELASLEDVE AFIKNNQIKQRGTFQCNDILGRFDEFKNDVLSQLKVLEFILKEKVLQLFRNLNNTDNKLQ QIGDDCENDLNDLQSKCNQQIEEFKSEWNKKLNQFKSILTPIHINLKRFEKLSEKEIDLT PQQVNINVLYPKHTIKLTKLNISLLQKAFHDKQIELEFSIFKNMNLQEKLHSWKVIINHN EMKIEKNTYQIQMPDHIYLKEGECYSLEIKSNQDVKFNLSNEQSLENQLIGFQQTDYENA SFKTDQKITIISQSASGLLVSIEAFLE >CAK93944 pep:novel supercontig:GCA_000165425.1:CT868675:10730:11593:-1 gene:GSPATT00026267001 transcript:CAK93944 MSRPRLPTVHNDTSLNKEPIETVYAIANRYHTDWGLKEMPDMKIYPEVCKVKRLTTKPVK PPKRGTFIEDLQEYHSKLPGPKYEYQSFWSEPTEQKKTKESSKYIEQNQRKTIISDIVTD EKRYPRPGPADYNKEFKSKSIENEPIISQRQKQQHRTNYFEDLISQQINVPGPGAYNTPS RVVSEHVIKKTKSKSPVVHYPGPNSYSPDDPTYFTFDKKFKEQSTRKPVKNLKKYNSSRP GPVLGQSDWNKGWMSKVSKAPQFSIYYDK >CAK93945 pep:novel supercontig:GCA_000165425.1:CT868675:12078:12643:1 gene:GSPATT00026268001 transcript:CAK93945 MQNNGHVRLASEITPTPYEGPASGVVYPQLPNPNMQIGQPIQPIVPTQQYVRQIPYQQQQ YPQIQQLQQVTYNPTAIQQVQPVYSKYPHMITCAYCQRQVQTQVYYEVGTGAYAAGGLLA AVGLWLGCCLIPFFVKDCKDAVHFCPSCQAKIGKKRFLFD >CAK93946 pep:novel supercontig:GCA_000165425.1:CT868675:14055:15778:1 gene:GSPATT00026269001 transcript:CAK93946 MNSNNEKAKIDSIIDQFYGFKVIEEVPDEERHFDQIVQQPDQTVIQKMLDDSLQKRQEFI QSNDCKEYSQMLIISQIRMDFTNDDPFLKHLMNVEKAIKKAIRNILLQLQKLENKQQFKE ACDKLIKIFYQDINIGFITSTGQIKSTAYAFIFKSIIELINRKKINLQFKKIPLKITLTF FAIDSLQQIIPAQKLYDPRSAFIRNNKFFNDYIILSQTNQDELRSGVSIFFVYRPEDDQI YALKRSKLQATQLDFFSVFSATASNTPITPDIRKIREAKILSKLIHPNILRLFAWWIEQT NDGYYLYMQLEYCSFPGYKYQPTDLLTFSYYYLNVMQNAEKINKIKSILNQILDGLEYIH QRGIIHRDLKPENIFVTINIKGDLQVVLADFDQGKDVREEKLSTMTDERLPQEELNSITS QNTITTGTFGYQTANYVKDSHYSMADEFYAIGIILLHLVIAFPGEPKNRNHYAKTFVMAN QAEDVLSLFDTWANKFVKNKSIDFSFTHYKNVMDLAKLLTSSRKLTHADVRRMINEL >CAK93947 pep:novel supercontig:GCA_000165425.1:CT868675:15875:19338:-1 gene:GSPATT00026270001 transcript:CAK93947 MVPQEVKTQYYQDIINSIDSANKITAKQFMKQTYTDTEARIKINKSQDIAPEETQNQSQI DQPGKLITIKSTLSINALREKSQYQIIIESFLYGFANFPLLYYIILLTASIQYEVIGFYN QLYMGLQIVLCFCISFYDTFMNKIHLYRSIEQADQQQIFAYIDDEFRECSIGQLQPGHLI KLLPRQKCPTDGIIVGTTGVSENIPLLEIGGQTITRRAGVANFCVGRQLEGKLEFDSEEK KVRVVMKMETNQFCLDFQQQNKIRILQANNSFDELFILVTGQTMKEQKEEQSSAYEKTKI NPKLGFSLHIQNIIVIMFTLLLCVTLLAGHFIQTKYLYLDDKLSEGYMKTYLQFLCTFSW GIPIMQIPLVLIINRISKYQAEHDRLIDVDISGKRVEITNSNALANMARAKYILTDLNLL TRQTLVVNNILLNKQSDEINLNDLVTVTPIEDIDDFNFCQQEAKYALLSFQTSKKSEEDI ATQKRILDYGLSIGINGTQRIIQDQEQNQQYFKTKFEVVTSKYFLIGYEQVLKEDNKQYI IVFRSKFTDCKDLLSSEIQLQISSLLDAKDNLNQMNIIFRKIVSMQYLDKITNMVNQQRK VDDETLKQIKSDVEPVLFIEIIEDFYDCSQEIMDIRQCQYKIWINSHIKDPQILENDPTM VGVFEQTTPQIMICQNGDELQVALDQVEKNSQIKNFGKELNIFMNERPVLLIIDDTTLQI GLKNQLLNEKFRNFFLKIPLVLILNSKPHIDYLLSSIIKSHELICITNYITSTPSMQICL ENQTETAFDIKMPTFSFLPKILIIHGRLNNIRQGSAISLINYLSFILFFIQFVYACYNRF SQNYLLNEFIIFIMMFFTICYIFIFFSMAQDFPFEILRSQPAYHLMVRTPKNPYKILLTQ FFQGGIHGTFIVISVIYTFYGSNDQNGYVHGYQLQQFMTFTISLLVIAFKPIIDQYKIVK SQIYLAMAYIFATVMIIYKNNEEMADLFETGVKTKDLCALVFYPICALSPNLIGQFIQRL LSTNNLNQCLYKMEQKHEEESENQKLRDAKNYIRLYQKEELEQQEDIVNSKKVL >CAK93948 pep:novel supercontig:GCA_000165425.1:CT868675:19509:21413:1 gene:GSPATT00026271001 transcript:CAK93948 MKILIINGYGKSYKGMKNFEQYKLIIKEALLSKKEMIDTEIDFIIRDRDSIDDLLYEIDS SFVRVECGKMFDSIDIIFFEGDANLRPWSPNAYKYLILLRMCLRSNKIIFASSFAMQGLV FLIASNVECQISVINGLNGGQLGDLSKIKKDLTDIKQTDFFLDNVTGDLYGFNYDTGEWV SKGNAGIHFRKAAEEFKTIGKYIMKAPQYKVKGMKELDTLYVSKENEIVCSLRKNQMHHF LFNDVPFEFVVPYKNSWDVHPFNFVNPKKTFQTLADCTKGPLIIQISDNIIGTQFAVKRK YKDTATVLKNFMGYQLTKLCSGQAHSIPIEIASIKQNDNAMDIFLEHMSKNKYQAHQKTI KFNVITEFHHAGYAAKKSNKLDVVVNNAIGRRRFKQQMQKLPSKELDKILTNDNSYRQTA RTSNNQSRKVVSFTNFQTGLNSPLKGQTLTQFDDQFEQKVQFHKTSGEIMKLLHPSIDDA LVEQNNKHVWVPGFLSQSHGMKLSNHNSKEGWNSKLTIRQPFQNQMQFETQQFDNVYKTQ KQLELEEEREKSKKIIGPKQFRCGTPAQANLITTYMSRNSSVPQHQFRMIEKGKWISPQD FKI >CAK93949 pep:novel supercontig:GCA_000165425.1:CT868675:21453:22408:-1 gene:GSPATT00026272001 transcript:CAK93949 MEQQPLSNNRQSNLEGDQLTQKRELTSYESCLDCCGNVSGCLRAWLPCIFCCCDNPFYAV QQSSVGLVEKFGKYHRSLPPGLNQINPCTDTVLPVDLRTRVLDLDRQIILTKDNIQVNID TCMYFRVVDPVRATYRVSRLTQSVKDMTYAALRQVCGEHQLQDLLEHREMVQDSIEAYLD KQTEQWGIYIEEVFIKDMVLTPQMQSDLAAAAKNKRIAQAKVISAQADVESAKLMKEAAQ ALDSKAAMQIRFLETLQLLAKGPSQKLMFLPLSPESQGAHNG >CAK93950 pep:novel supercontig:GCA_000165425.1:CT868675:22747:22986:1 gene:GSPATT00026273001 transcript:CAK93950 MDNIIENSLNFWQQRLNTTITDPTAILIIPKKISIVDRVVIKSACFWNKMADEFLAKSST NRSMESVSSLSKKEQISDC >CAK93951 pep:novel supercontig:GCA_000165425.1:CT868675:23433:25522:1 gene:GSPATT00026274001 transcript:CAK93951 MQHQGVLRIGSQDSFSRKSQMNQLHTTPSHRKTSQNKQQSIDQIPDNALEKFYLYKQKKN DIRMKQQLSKQTQRSTQNSIAQQNKFFINANFVNNQGGQQKRSAQSVTIEGMESVKRTIK TDMGNPASILGKAKSLGPDEQMQQVKHHNVVVRFGNQDFYFYFDSHLNMRQIWFQILQRL HDLEFANPQECYANLKDKPSVNQIVSFVSQQHSISIDYYIAQPDLSFNVFMDSGLKLEAF LLQSSPEPKVGLKDFIFLKNIGVGGFSLVYLVRKKDTGKFYALKLIDKEFIIAKKKQQIV LNERNIMTLLNSPFLLHLSYAFESRQFVVFVLEFCQGGELFFQLKQIKRMSEEQACFYIS EICLGLQEIHSLNILYRDIKPENILMDIEGHVRIADFGLSKPEIGREEKAYSFCGSPEYM APEMLLKLGHSQTVDFYCLGALLYELLTGLPPYYSTNTNQIYQDILYSKLTFPNDLSLSR EVKNLLMALLEKDPTKRMGQSGGVQEILKHPFFSSIDFKQLALKKIKPPFKPDPLKMNLD EKESQRGEQDFRKKIAQGKSLNLPVIFGSSFYYESPQEAQTKSVYKEWIQHINQQPNTPC VASYHSQGRSAKSQDIVPLIQSVKNNPRSRQMLGQKQNFYKASGLKPSLERINQEKKFFS KM >CAK93952 pep:novel supercontig:GCA_000165425.1:CT868675:25577:28424:-1 gene:GSPATT00026275001 transcript:CAK93952 MKESKYQECQFEGDSNARLLPQAIDHIDKNYSLENDMVLGWVPAYYQEEIINLQFSNELN DLVIEFQQQKDPNKIVYLGQRPNDAMQFHNQISAQSNYAFKNNKSKKIKNNKNQYLFVGM NPNIDSQIKFNSQFESGNLDLVIWKSESEYDLYMRVDTNTNGHTLWYYFEVTGLKNQEQI TFNICNFRKKKCLYERGMKPYVQRESQEWQQEGENVKYGSYKCQFKDIKKQYYCLTFTLM NKQGDDTLKIAYCIPYTFSKLNTFIKTLNSQYMEQSYFCCTLSGVQVPKLTFSKGGILKK KVIVIQARIHPGESNSSWVMQGLLEHLSSGKGEKLLDQLVFVIVPMMNVDGVIFGNYRTG CAGRDLNRQFRDSNKKLYPTVYAMKHMMSDLYQIYGDQIVAFIDIHGHSAKKNAFLYGPE FQLWNCNYYKSRLFAKILSLKTQIFRYYSCLFRINECKINTARAVFCEKYQFINCFTLEV SNSSYYYDQITVDFTEQKLIELGQIIAESFNDFIIHFQEIDELFSDFKEKKTKRQTKKKG NNQQNEENQLNLQIICQNSKYSKLFEEMKNDQPDLSFSEGESDSERESDDLDDEVLKNVV LTQNQQKQIKNSKKLQKLNDLKKKSIRGDNNNPTVAKNTVLLSRQSFLEDQPNNIIQYTQ NYSINRRTKQKQYKQDDIIKDNSLPTKYRIKSNNTQHFRGTTVGSFQSNVEQMSNQEKNK SPIKLKVLIQDAQLKEKPELNCEINEENMADKVFYPYIKKQQQNQKNNTNIQFAGDPYSP TRDLIFLNEFNDKEIFYTHLPTDQSYNQNISAIYNKLNKNFQPSHIQRYNKIQKFQTTQN PSINLRNTLQMSKLGATENSYGVSIKPKLFASLQVDEAIEGQTEQCKSAMNQYQSTSIPQ KQQKQFMQSSFYQIQKNYNNAKGMQQYLFLNN >CAK93953 pep:novel supercontig:GCA_000165425.1:CT868675:29039:29527:-1 gene:GSPATT00026276001 transcript:CAK93953 MIGNQLGVNDSDNQNSIRSKCSSRKKERIRIYQRRKKQINNKAKQKNQREQKLRLQKQRQ KKQRSRSKKKQLKNRKINKIKYYREDKYQKTSEFVVENRVLIDYGQGLKILKEKKNQREK RERDSEKELRLSQKQQEKEKDSQVKKILKEKKFFLTTIIPLL >CAK93954 pep:novel supercontig:GCA_000165425.1:CT868675:30477:30965:-1 gene:GSPATT00026277001 transcript:CAK93954 MIIKEWAKQQSYLEWWGLNKQDHNLHFLITCKSVTDSSDQIYEKVILQKPSCNLKIYQTI NSLKYKKENDDLLKAFLQTNLTQISFGLLADMLQEPQKNQLVELEVQEDIESIQVDNEPN GIRTTMRKNQKPIRKVNRTINKQFFNIKILDLTLQLIKDDYF >CAK93955 pep:novel supercontig:GCA_000165425.1:CT868675:31083:31412:-1 gene:GSPATT00026278001 transcript:CAK93955 MVFADFLQTKESAQQYKVKIQKNEEDEDEIDIRIFDGMQGAAVIGVYCSKQITLYDSKDE ILVVLLVQFPPPYRMLCDKIDFSKQHNAKETIHFLSSNIDRKIEPEGIE >CAK93956 pep:novel supercontig:GCA_000165425.1:CT868675:32152:34609:1 gene:GSPATT00026279001 transcript:CAK93956 MGSDDQVLQALYKLAIKKPFKQVVQDFGRPTLAQVHFRKLITQIDPSITLTDCDRFYQKA LIDHKSLVGLTVEILEKLTEKQEKVEVVVSKDDLQTITVIIQNYRAKRLELDRVFKFFDK NRDLSLEEREAKELIEFFHTSITPQEYQSIKKLIGNLKFSCDHLRQLFQQWEQIVDNQNK NPQQQQQIKYTIRPQIEEKKQEEAVAPKPVPVEDVEQQEVKNDEVDFADFLNIDLHITGA KELVQMREELRKSKQVFVDKDFPASVRSLGMKFCQLSWKRMSDIFQNNLKMFNVDDSQDS RVGLGKWISHRDIKQGILGDCYFLSSISTIACRWPEVIKDLFISQRANNEKLYAIRLCLD GEWKVVMLDDFIPVNGQGNPAFSKNAGAEMWVALLEKAWAKMNIDYTDIEGGDPREVIKS ITGGPTWIVFTNAADFKQQLQKCSDMKCVMTSGTFGSNPNYTNFGLEPGHAYSLLKVYNV NHPTKGEVTLLKIRNPWGNKEWNGDWSDASSLWTEDFERKEDDGIFFMEIKDFQRYFQAI FVGYFRKEYLYNSIKQMAKRTKTIQYDIDIPNDGEYYFTVHQEALRRYKLNKDIKYEYSY VRILLAKNSGKGEYEFVDQKQQKDIEVHLGGQLTKGKYSVQIKIKWAVPTWNEHEYQFSV YGSEFLRPKQVPRDAELRKAVMLQVARGNKNLQQLTTGLLCAMETVVSKGLGYFYYKNTS QKTFKLKNVLSNKQGVKFLKPEIGDNYLVELAPGEDKIVLCSLDPAGVAFTPKHQLVQ >CAK93957 pep:novel supercontig:GCA_000165425.1:CT868675:34648:35261:-1 gene:GSPATT00026280001 transcript:CAK93957 MIKKLSYFTYESLPVYTMVTSLVLDGNVLQFFLVNPTFAITDQNHMMAFSKFAKAKFALQ LRLSAEIDQSDETLKIKRWASLGLTYEELSQLLYPDLLPGQEFAICNSINYVFEQNTNKN NCLESLFIENVDQDKQMTYIINADQHGQMKKQIEKSLTWIAGWHHPKQFIKQLEVQKPIN >CAK93958 pep:novel supercontig:GCA_000165425.1:CT868675:35540:36325:1 gene:GSPATT00026281001 transcript:CAK93958 MTFALLIQELKTFCSCFSYDIISHGLSQRKEALTMKNLLSECEAVIEFIRIKCPNTNIIL LGHSLGAAIASKIKHQSYIRGLIVIDMIESKAIESIQIMDYQLRKRPSLFSSYSSAINYH LYNNLIRNPISAQITVPHYLNDKLEWKVDLIGTKQYWEQWFEGIQNGFDTFPYQKMLFIA ESDRIPTDQFLKSRYPIHLFEKSGHNIHEDEPIRMAKHISDFLYQQRVPMNSSETEKLKQ LGIIGFRPKVLQYKIELKDII >CAK93959 pep:novel supercontig:GCA_000165425.1:CT868675:36361:37103:-1 gene:GSPATT00026282001 transcript:CAK93959 MKNSQTTPVRRSITMQSRLLQKSERTLLPQEDILKEKVKSDFALGHFRGLMSRKTPQKEL PKTEIQLKRFEQFGKANQQSKNKRLTFLKYGFRSHEKKNNYYLQPFIRKVREAEGDVAND GSMGVVDLWSDRCINKLMEGMHMTRDNLIVRKMGRELNDSDQQMKQKLEERRLKDKILIE SMRMASQDADTFAFKFQLPSKNKKIVEPHSETISVRMKKYPPLLFGNLY >CAK93960 pep:novel supercontig:GCA_000165425.1:CT868675:37118:39104:-1 gene:GSPATT00026283001 transcript:CAK93960 MQLSPIDLDYLHQESIGGVIADGLTVLYNTQPEKPIEFLAKWLLQYCKTSTQKTHFQDDL QKKEQNIQNFIQQQKQEKERLEELLQFNQKTMEQELNYIEYLRNHPYHQELIIQEFPEFL MKKFNLAGVYICYFTHQKQVVDIDLVDDENAFINQKAPKLLKYVGTSVNQNFLLHQNLTE QAIITYEVLKPPPPPEEGKAPEVYKGIYIPDVVKEPKVYFHRFPKLGCYFAIPMNFETSL FEDAFDAGLVNRIKYNSEVETQKSEIKAKELEHAEQLQNAESEDQKQQLEDEHQNYLSSL PQLVEPPFLGIQQQYIVCGDTLGQDRKLSQEERNQLYDMIQQFGQSYQEKEIALLSEDIN QQIEYQNTIPEKLEENYLDQENQFVEQQAVNLEELKQTNDRDYSYEIECIKFDFLKQQFT AKDFASTFLNLTKRRVIKFPNIIKALFYLLGYKKEEITLENKLNWKIVASQIDYRFLAKL ALINHRGPKEAPLQHATIYRLEKLVDVYDEEKVANYNWALGYLQRFLTLYCKLRREDVAI RKEILQEKRGQLQAAKELLAQLLEQKETDLQAAKANFQGEEGEEFDEQKWIEEWESEHNL PEIGDEPQDEIDDDLE >CAK93961 pep:novel supercontig:GCA_000165425.1:CT868675:39168:40531:1 gene:GSPATT00026284001 transcript:CAK93961 MQNHKCRFCMHTRECLYASIDQISRRGNLIQTMNLSLYYKHGQSQNYFFSRPINQIIKKE KHTVYMKVEDQKILDTEDEYLRQFYNMPNCQLQLKQLIQYYKFSVDHPMLYFLELKAIIL KYISSRSQVEYQWAIKQIEIEAKKEGKGRSPNKSKKKSTPLIKQELSCFLKELNETQKST TILQLLKNVEADRALEKDWQKQFTQSLVEQDLTKAMQFSTFIQQKELIKQKETIIRENTS SRTVYIGNMKKHLNLQIGTPRKASNLITNNFSTGCSTHANSNINIQTQSHQVNVQNNSGA TTITNHRVVAANSHFFNHNINPSTQASNAQSTTTHNLVTKTSIVNSSNGSVLKPQTIYRM QPLRLMEVPKLKIKLNHQDPKSERKYDRIRENRIDCLTQRIKTQLDESNTVRGSVNPKSV VIKRRQNFQH >CAK93962 pep:novel supercontig:GCA_000165425.1:CT868675:40535:41411:1 gene:GSPATT00026285001 transcript:CAK93962 MQQTQANLQATGPLTQKPYKQLPKDNEKLTLLEKQMGDLANDFEDMKRNREEAKKQLEAK FLDVHRKIQNTREFITQEGERINNTLIAYDSKFTQVLNQTNQKLQTQHETFAHQTDQRIV DANAYLKDLTQKLEEEKQERMRQSDENLREIRKQLTTLFEHYETEKNTRVEREKEILRKL DDEAYQLNEKLSNEKSERILQSKELRDHTDQEIQRQRKNNQDFHVKTIDEFNHVAYNLKE EMNQRFNQQNQIIDNLSSVIKTIQDTLKIIGKGVND >CAK93963 pep:novel supercontig:GCA_000165425.1:CT868675:41477:42583:-1 gene:GSPATT00026286001 transcript:CAK93963 MKSIQCANHKQDIIYYCNDQHCENRCLCAQCEHEHEKTTVQSLLEGYNIKELVQTFQSFK FIINDLMTKMEHELSQHLQQIKQPQTNAQNLEQLNKQLSEISNIQNTLQNKVKNRLQHII NALKGQDLDQSPLTIIDTRKKEHSKSIVLSQAQLQQDMIKKTKQSKIFKMNPAQSNQQET QQLVQQPNLNLDRVEVARLQQLSTQDWAWKMTSSTVFCCIFSSLRDLKIIGFLQPCLFKS STKNYQKKSAVINFGIYNKKNLTKQAIHQEKYTLRHSKMKIVNDCYELMLSKPVNIKEFT EYSIAIWPSKVLYCHYFSIAAPINPFIAFQTQDFNDNPKIKRSEEFPQVISTFRAGLVPY LIIDPRQQ >CAK93964 pep:novel supercontig:GCA_000165425.1:CT868675:43297:44853:1 gene:GSPATT00026287001 transcript:CAK93964 MEQLVSLLQKKQLQKEERLKSLIKIIDRRIVQKLYNLRNYAFFKIKNFKIANRNNIKLLS EKDDEKGTLQINISNTNQFREALYQLQNYNLVQLEILKKETLCRMIFILQKRINHHYRHL LIKLVNKKSRNIIPFLKVFTYRFNYQSRFLKILQKFQRNREVSLQKEMKKQEAISKFVMA LENKKLACFCYWKRKFQINQNEQSYIDSKRQNEFIQLTFQIVALLSKQKFKVLSNAFVDL KKNKQRNTQKIVIMFNFKILFRRLMLKNLSFSFYKIVCYNRNNQGAQCANVDIIKNLSVI SSKLNSGLNQAHMKYQRKRRNLIIYKYLSKINMNEDQMISKYFTKWKRILSQFNFQMRIK IIQQSKELEEDQQLLVQQYKQLSIENSELQQMYQQVQTYFHTPTGLSVKKSETKQNQQII MGQQQAYQLQSQQQEAFWDEDLMQSEDYSPEFVENIENQNVELKYKIEEQQAKRNMYLEE FQSRKQELLQQIALKKQMQNVQ >CAK93965 pep:novel supercontig:GCA_000165425.1:CT868675:45313:45796:-1 gene:GSPATT00026288001 transcript:CAK93965 MNQGTSFEQISKPSPRIRERQMRSYTINVKQNQSLINSQEKRASTIIQPLNSFRQLQQDS KKQNHSLFQKGTLVNKQLRIQHIKYYQQQYYNKFSKLQLLDQHEKACLSFIDISQLSYES KPLPIVINKKQELIKLKNQRPQFSLL >CAK93966 pep:novel supercontig:GCA_000165425.1:CT868675:46755:47984:1 gene:GSPATT00026289001 transcript:CAK93966 MKNRSRVKKIDVKEIRFIRNQNYYFIHSIISINFIKFDIKTLILMIKQYDVQTLLQNKYS NSECYYYSRTLNDFVNSARTQEVIDFYQTLAYNDNQEFLRRFYLASELHDKIRLFTEYYK YHNEIPRWFMHKISNIMSNYHDKKRRVEYNRIKRIIEEENRKNPNKPKKAIVGDQREEPQ LVSPKQQEQVYSKILQDIIENSTTIEAINQKLDAIKINAGELILQPSNREQEKLQKFLQY LTEKQKPKLQTQYQLHSPKTFNKITLKVSQQNIKQMISRSSKNQQQFHLSPKTLKDEWLI SPNGQSTHRQVKDPLSKLLSPVHVHTMNYPLIHHTLELKQKPTIQTHRSQQASVSLCKQF KIGDNQPQPRRLHQHTRSEFRFFKK >CAK93967 pep:novel supercontig:GCA_000165425.1:CT868675:48013:49947:-1 gene:GSPATT00026290001 transcript:CAK93967 MAFVYQAQRDLNQLLTSVPSNVLSLFYSQQVGPGSYGQQKKKPIKQSIAPFNSLSSRLNP LKNSSFPGPGSYCVNFASAGQKVLLQSNQQDLKILEIHQQQSVFASKCKRFQGVNTSDNP GPGSYQPESIQRQHYHKGSAENFIESLLKLNRNKSIPSIPTNEQVYGYVDGGTLQLNKSP QVVISGSKNDSVGPGHYEVKNSFDLCKGKGVNWHSSKQPKLAPVISKDQKMIVGPGTYDI QNESQPLYKLMPSGSFQSNTQRFNNQEKGQRTKEILKLQFEKKKSQLLQDAQFQDLKEEQ FEENENDLPGPGFYAKDQSAVTHTKSTSKLGTQCFGSKLKRFESDSHLIQVGPGDYTIET SLSKSSLSQKYPPFLSSNTRFEQKHLNGKIGPQSYNIKQSLEHEMIKKLERSPVGKFGYN SPRFDDGQNKEHQLGPGYYEYSTQSHQQQGAQTVFKSQTKRIDPNVQCKLELPAPDQYNP KNHTIEFNIKEDEEDDPEFEIKRPPFQSSAPRFQNKGDFKIDELGEELYLKKQNQNKEDH KVPYKQKQSPPPFNVQEKRFQYRKITVQSPGPGEYNQSINKSWEKPSFNVQFSSI >CAK93968 pep:novel supercontig:GCA_000165425.1:CT868675:49977:50539:-1 gene:GSPATT00026291001 transcript:CAK93968 MNRNKQQPQRQTAPQRKARSELNEEQKQEIKEAFDLFDTEGTGYIDVKELKVAMRALGFE TKKEEVKQMIKEVDREGKGVIEFPDFLELMTVKMGERDPREEMLKAFRLFDDDNTGKITL RNLKRVARELGETMTDDELQEMIDEADRDGDGEISEEDFIRIMKKTNLF >CAK93969 pep:novel supercontig:GCA_000165425.1:CT868675:50999:52127:-1 gene:GSPATT00026292001 transcript:CAK93969 MILQFKCERLHYIINTNYILTLYKDRLTIGNKSHQKYEYKFTLENVLHWYVDNLKLQAFG IEYKGIIKFFKANTKDLEQLRSFCRNLICFDNIVELYKQIDIDDPSRIQDQISLQQFGLK IVTQQQLLTQVPLVRQLNHHGIISYHECFQYKSQYYIVTEFVGGITLQKFVTQNPKLSHL QCRAIILHLLKAVQYLHQHYVIHATIDIYHIIYKSDFIKIIDFSQAKQSNNIDDQDIKNC SRILFYLYTGKEYNDKDYEQNIQTLQNAQTPKLAQELLLSMMTDQNLSVPQILEHPYFSF SLNPQERKKRFQQFQRVQRSTSEMDDSDAISQSIPELQTNKSKSLKQLM >CAK93970 pep:novel supercontig:GCA_000165425.1:CT868675:52742:53585:1 gene:GSPATT00026293001 transcript:CAK93970 MEILGFESAPQSKLNNILPRFSCSGATAFRDAVVKGNQLMQQLLDLFCKKGAHDKFKFVH VVLTDGEDNRSQISLQEFLAYQVRLKNELPENILQTFYIGVNVENNQTVQQEMRAILQCS GKSAQYFPIHSNGINEIFQKIQMQIGLRVQQKGIIIESNQQSIAFMQKQLQPVLQMKVNN YIVLFTLDISGSMQGNWGKVCNAVSGFLGNLGSNDLVSGIVFNDKVEVVTQLTAQPQKPV QSQQYYNQNQQQVSNRRDDEVYQNF >CAK93971 pep:novel supercontig:GCA_000165425.1:CT868675:54166:54984:-1 gene:GSPATT00026294001 transcript:CAK93971 MLQNNKAIQPSKSNKNPPTKINQRNQQIVSKAQHSTPQSVTILQLEKPKTQKSCFEKYFN KPVIYNIILFLNCKDISSLRRVNSYFNQTFIECLPILKQFFNKQLDMKQQQLQSNIFYNQ VPQLNDIDFEGFKHGLQNELMYACPEKVPQFYLKCIELISQLLLQELPKWRYSKYTYQMK TKLLYEKLEKKVPLINIYDLSDKQLEIIRSDAQNIMNTSHYLKWVFTPLVDFVDNLIIVT RSPHYAELKKFKQIQYRISEIQQFLNTYFKNE >CAK93972 pep:novel supercontig:GCA_000165425.1:CT868675:55365:56646:1 gene:GSPATT00026295001 transcript:CAK93972 MISMHNIEDTTSLNRISSDEDEYLESPLNIQKVQEIEQQSLQQCDEEVPISETPIERSFN RHIDERINDTAVLQNHANEITQKIMKNVKCAFQREIIKELVSKSKKRFKLDGFNLDLTYV TDSLIAMGFPAENFEAIYRNSMSEVQKFLNTRHPSNYMVINLCSERKYKHESFFKVAEFP FDDHQAPPFTLIVDFCTAVHDWLTQDPNHVIAVHCKAGKGRTGVMICCYLLYSGKYTSSQ DALAYYGLVRTLNQKGVTIPSQIRYVHYFSFALKNDLIKRPFLQVELLSVRLVGSAHGSL IRLQNNSKQLIEKAQIISEKEIIFTFDGIYLQGDVLLQIFQKSIVSESKILQIWFNTNFV SLSPNEQVFKASEIDIMKKSKKKHSSNMQLELLLNKTVEIRQRSNCFSKGI >CAK93973 pep:novel supercontig:GCA_000165425.1:CT868675:56661:59552:-1 gene:GSPATT00026296001 transcript:CAK93973 MEKVGVGKLFLQELQIFFALLLGEQLSILGLQQSQGMDSIIPLILNFISGVAFFVAKFKD YNQVNVFWKLKLLIILIQVSMNVETSQFCLIMTHLLEDELLKCFCLGTALLINIIQDQET NYITTIPNSILLFFNIVIWFGFLFMKCTNTKREDVYLEKELKQKSTQLKESINNRDIGSR VNQQQSMLQELNPLKIESNEDEQILQHVAWIQNQSVLVYNNKLNIVYQNIFLGKLLKQST LDELQTQQQSKPDYEEQFLSSQILLGSKELIEINNVVDQSLNSDGSFLLNRVNDYHKCRL KSKLTIRDLTLMMQNEFDKWRTCMVTLFALKSEMLDFENVQVKAFVNKINENSYVFFLFD QKPVQKKSDESNLPIINVITTFVHESVSYINCILTLILLTQSDHENTLKISLKQNYYTPI KLISQRFFLFVNSMRDYIFYIQNQLFLKISAFRVSDIVEEIVNLYEDNLKIRDVNLITSI DLSDNNQVLFSDIDRVHYNVQKQLKQILACLLTYCLKYTSGSSLKLDIKSYTVSGIMISF KDSMIAKDESLRKSISALIKTLNSSLKTSSFYQVDLNNLLELQICVILCFQLSGTFKRGI DFLYDSQGCGTFTFVVESQSSSQRMQSHQDTGPIKIIGQRKYYETSLSLMLAQDSSGYRE ESKYNICIIRYYTLSQLSKQFSMKPGEGLDAHSAYFSQISRIKQDSSNSRQVQNSGSVPK QSKEQTISYSGTWKQGFPDIVQQTYKKSRNERSESQQDSHSAYEGLEQSIPSIHPPEFTP KLLPSVIKYRLRLTCCSKVLIVDNDHYSVLSLQKVLEKYNIKCDRAFNGVEAQQMISNKQ IKPCHCGNRSYLLFFIEFHLPLLSGIELMKFLKSQMKMGLIDKGFAIIISTFADLNLKLE CFKNGADYFIAKPFDLIDIGAAVQYLDF >CAK93974 pep:novel supercontig:GCA_000165425.1:CT868675:60495:61346:1 gene:GSPATT00026297001 transcript:CAK93974 MSSNYEKSGIRQYHGWLTKTRLGKRIDEAKAQQQRWVLGKDEAKVKSYVNTRMLNQRLQT QSNENPIRTDLSTAEGLQLQENKSDDKKQKFDKLKRQPPTFFEKIPKDPITLMNSTRKNK YIQINILAKSKQAVKDHIVNHNLQQQSPAQDSAVRELLDSFQTQFNFRTAIDAKKKRQVE DLKAKYLKTFFIKNIPTRDKVVYKYYGENQFNCFTNRDEQYKLFRSQMKNECSQKPFTQS FQTQTQTFCNESNGCTGYCQYLKELYKKNHQSLKNLSELTSSN >CAK93975 pep:novel supercontig:GCA_000165425.1:CT868675:61376:64653:-1 gene:GSPATT00026298001 transcript:CAK93975 MIKKKPQIPQIKDSELIDKNQTKKQMRTVPYSHSQSIQEEEEHSSLSQINIYGHSKPTFL MTFPQQPRDFQGSEDLRAPTIITNLISNKSQEQVAIKQDGRKQHQVHYLDESSQFSSQLN SMRSILENKKSMQINQDRVKLLVNHMKVKFLNLIHYSKYNDPILTQEYQELSPLKYHYFT MYIFLSTTLSNILSCIFIPITQFFDVSQIIKIISYMAYGLACLTICQDLIFQRGPYKLSR GIIKVNYKDNKKKIFDILRLVLLSLSSVFEIDNRAKLVAILLMMLFQFFRQVENFENIYK STHHFIFVFQLWVSIVVSFACIYQVSHCEEDPSLYFCITFAISLLTHCSNICKIDISILA IEITSQNSLVISIYMVLSYLCLAYTTMIIYIWIKPDIEIEEEKQKLLKGFVERFREKCEN DGLLKRCYSYLEFRIDEDLNKTKDQLTKKLSPELEDEIDLSLRSTMIDKIELMNRFSPQF KQQLLYEIEQVTFNPEDNIIIEHQIEDLGLFYILKGQVKVQFQGSSFGTNKRAVTTLCEG QTFGQYSFITGIPSNISIFSSGVTTLMKIKRSDFTLIISNYPKDNEIFCTMKDNAFYNSK LFECYYCKIRGHYVVECRHLQYFPQRINAIEKHLYTEKQQRRKYSRRLRKYFAWQDMSLN QDKARQYANRQSQEQVSDDLPETSQLPYSENQTHQSVSFVSNSLAQKNYSPDQQQSASNV NVESLDQFNIEQECQDEQTIPIQEQQVKSIRKNSNKTTLKTAGFPINFDPNNITNLGNNL SVIEKDDREILNQLQEAQMTKDRTVLFPAGRNNNQNKLTFQYYKEASSGILLKEDPIKTD SYQQQQIQQQQQQQLTHNTLKQSIRQPSNRSYTYSNSLSKDISNNPSSNSRQNKSQKLSI LSSQNRVVDQPGVKKINSSKSLSEQNKLNNNKSLKSGTKQSTPNQMSQFQALTTPENQGY FINDILFNKFEKMQEYKIYYPHNNYNQVIDRYVKFLDSNRKNYFKRKKLNSGTPYSIKCF VASKIKRVKKLLQN >CAK93976 pep:novel supercontig:GCA_000165425.1:CT868675:64655:65228:-1 gene:GSPATT00026299001 transcript:CAK93976 MQIHSEYTFNFDWETVVKGFWKKYPCKEFDFIKFNQVVDMMLNDNNTMSIKRIVYARKFM VWCLTLEEITFDIENRSMEMQTKLLKACKYYPNLSGDESIIYKAIDDQKTHYSKLLSNFH QTFVSKLISQFNSSFKKGIEVVEARCRELQSNQ >CAK93977 pep:novel supercontig:GCA_000165425.1:CT868675:65319:65468:-1 gene:GSPATT00026300001 transcript:CAK93977 MKGAESYTFVKSPLETLQSELKGNHPDQINYIGDIRYTYFNDKSNQQYD >CAK93978 pep:novel supercontig:GCA_000165425.1:CT868675:66603:70359:1 gene:GSPATT00026301001 transcript:CAK93978 MCSKNRMDLKQSVRKCYLNQFNRMNQKPQSRSASAIITAFTQQYQEIQLLDAQREFDDMT KEMMQRRKRLLKQNEKGVQNEKVDTSKAEKFKNMDEHSIPLTELEQRLETSLINGLSSDQ LDEKLKQYGKNTLTQKEKSPWYIQLLHELTNVFALLLWAASGLCFLAYGLTPEDPSNLYL GIVLIACILITALMTYFQNRKSEAIMQGFVNFIPPETIVIRDGKQQKLPAVDLVPGDIVI IESGKKIPADIRIIESNQMKVDNSSLTGESLLLIRSPECTHVENPLETKNLVFFGTLCKE GTGKGVVIFTGDKTVIGQIAGLVQSSMVEETPLKKELDAFTIYIACIALSIGILFFVLGF AVGYPAIQNLIFAVGIISANVPEGLLATVVVALSITAKKLAGLKVLVKNLEGVETLGSTS CICSDKTGTLTQNKMTVENIWYNGKKMRGHNKEKMGPYFNYQYDVQDPTFRLLHETAVIC SEAVFDDSLPQNITIKINNSIGLSQAQKDQKLEEAKAQWNKDFKKLSCQEKPTIGDASET ALIKFFQPIEDILQTRANKNIAKDKNQQIARKPFNSTNKFACIIIEDEQEDSHFTLLTKG APERIWSLCDSIYCNGKVEIIDEKWSQEFDEINGKFGRQGERVLGFSRIPLPKSQFSKHY KFDLDNFNFPFKQQTFVGLISLIDPPKDSVPNAVQKCKSAGIQVIMVTGDQPVTAAAIAR QCNIITEKTVNEIMEEKNITFEEAFHQSNALVIHGDRLTKMAIDDEGLPEDEKGRQLQEW LNKPQLVFARTSPAQKLIIVSGCQKRGHIVAVTGDGVNDSPAIKKADIGIAMGITGSDVA KDAADMILLNDDFSSIVVGIQEGRRIFDNFKKVIVYSLTSNIAELVPFLGFIVFRLPLPL TTVLVLCIQVGTDIFPSMVFVFEEADLDVMTRRPRNKNEHLVGGQLITFAYAQNGVLETF CGFFQWYISFNDFGFTPSSLYFLLNKQGVLPKYHDIYNPKDPWFGNSNLRESYPDGTCEG SDLTGQEDIDWIYPKHGAHDLRMVLLKCENGKIVSNMEWGDCNIDLISPVTQRPYCYHTE ASSYSQTSFFFGVVLGQICNYQALRQLKGSVIYNGFDNVFMYLAYFIEFLITWSLSYIEI FNTGFGTRDILFIHYGICGLPFGIVMILWNEGRKVCIRIFKSKTAFPSWWDRCVVY >CAK93979 pep:novel supercontig:GCA_000165425.1:CT868675:70769:71210:-1 gene:GSPATT00026302001 transcript:CAK93979 MIEVKKGNFFILNQEEIREKNSFLKKNFRLHQQQQLVTSEQAILFLKGALPNYNNLWAII SELHQLLLKYSELHFQTMPYMFQAVKQYLQDITSSTLYQNDMDHEISQQIVLENTLLFRI VEFELENLLGMMDRDTQFDK >CAK93980 pep:novel supercontig:GCA_000165425.1:CT868675:71804:72205:1 gene:GSPATT00026303001 transcript:CAK93980 MQETPTPDITDEQVQQFQKDHYFEHEIKGETLQGLAIKYNITPESIRKFNNLTTNEIYHL KSIIIPNQSSYEYQSNEMDQNSIHKIFLLQYMMKSILDPTDSSEKVAKYYLEVADWDLNK AMKEYQEDREFDK >CAK93981 pep:novel supercontig:GCA_000165425.1:CT868675:72453:75705:1 gene:GSPATT00026304001 transcript:CAK93981 MYNKHHIINFRVITEGLFNALPNLASRASQIKDQTIGILSDLKTTENSPTGIQKQYIQPC LKCQFQRFKYNHKKPFQKATFFQSLQQQLKFLFFLADSSNLKENENIMYNMNHLSNISFK DCLNRQAKYIKQRTENSQKIVDGKKMIKQGQIQEAPNLFEKVIQGSDDPEIRFQIAIQYF DQQEFSQVILNLNHLIQEHPLFNKKAYIILSIALKKQDQINESLNVLTLCIKHYAKYFDA YIIRGKLYLKVQKLDKALEDFMTAAELKPNKAIGFIGKGDCFRLMNNVHMSLLAYGQAIQ LEDQFKRSALIKRTLLYLDQKDYVSALADISKAIEIDNQDSDALYLKGFLCTKRNEIQEA TLAYEQAIKYNNSKKAVSKSIYEQAKILIQQKDFYEAQYQLRRAEHLDVDKTVIENIKQF TEGVILLMKKQFEEGVSQLTELLSNHQEEDFLRRLIISYRSYGYFCLSQYQRSLQDLESL DQLEKPSIYNKFILEGIMATVSNQFELSLGYFVKASKLMPKKMEPYFYRAVAIVKFYCQL IPKDDEVKKNKFLNDAIKLLNLAVKLNENSNLLYCRGIILFSLNRLDDSLSDLNKAIDKS DENVAKYFYVRGLIQACRQQYKQGLNDLSIAINLDESYSDAYLCRAKVFLILKDTNRAFY DLQKFQESCLNVQDQIMIGNLFYQMGAFEEAISSYSNMINSEKCSQALYHRAKTFVTIKE LNNAIQDLQKLVECSTDIAAFVDLNVLQQLKLTSIGNCGQNQLQNSIDCLNQFLRNGNEG KIFNTYDIKFYRCLFQFYLKQFDAALISLLESYETLQGNDAGVLKEQTLINRAYNQLEFQ FNQSILYLLQGQTGLGIENLIKLKDKIQQQEQKNLLQIFLDLLIDDQSEQTQLKKELCQL TDLIILPQNNRLCMIYPMVKIPLKKYKQQLQTRLSFCLPQVEIPEMLPQFDFKLMETLSP IVVENKPEAPWIQRIDDGVIFTQNLQNADDLDLKSTARQSNEESEFQLFRHQLNNKLEKE DFILFGQDVIQQEEETNTSKKN >CAK93982 pep:novel supercontig:GCA_000165425.1:CT868675:75777:75905:-1 gene:GSPATT00026305001 transcript:CAK93982 MLSVLKGERVLVIDFGALYKAIGLWSDDEEDDDNSNMTTKYY >CAK93983 pep:novel supercontig:GCA_000165425.1:CT868675:77024:77933:-1 gene:GSPATT00026306001 transcript:CAK93983 MCLPIGCLKCIVEFEAILILIAGLGSFGFTIYLQIEFNLAKEDDETNKGQGILVAFWIFS SSMILNGIIGIFGGKKKSACLLLIFNLVNIALFLGFVGLTVAGYVRAHQINGLDVSTYCS NNPPLQQTWDLGQNLLCSAECQCYIEDPTNLPTAYFNHTTNSSAANRVQDCSSFDNWDSS RRSQAKLFKSLEKKYDCSGWCTPFSLQIFYDINHKFDESNNQCLLSSQQQIYDTLKLIGD ISAGAVVIMGLMVIFTFCLCCHPTKKDQDYYQKLAYYDS >CAK93984 pep:novel supercontig:GCA_000165425.1:CT868675:78855:79745:1 gene:GSPATT00026307001 transcript:CAK93984 MNFEQELQQTFFEQQISGHSIFIKLNDGEFVAKSCSTENNNEQIFYEWVQHIQGYQDFFS QYNGVITLKDTQVIEQPKVDQRQQKWLESLIAKRFNPNNKKYLLLENLVQRQKNLRILDI KLGFTVEKKSHIIRYQESTSSKVGLRICGMKVQENDEIIVFRDKHWGRRISVDELTEELK TFFNIQKKKMIINLTEQVEHLKLFITQNCKEVVSWQGTSLLIVSDSEDDLKIRLIDFSKA KVDKQSNKGNTDIIDSLNSLLELVKQI >CAK93985 pep:novel supercontig:GCA_000165425.1:CT868675:79863:81687:1 gene:GSPATT00026308001 transcript:CAK93985 MQGAPVIVVNANTKREQGRKAQLGNIQAAKAVSDIVLTTLGPRSMLKMLLDPMGGIVMTN DGNAILREIDVQHPAAKSMIELARAQDEEVGDGTTSVIILAGEMMVAARPFIEKNIHPTE IVNGYFKALEDSVSILDEIAQQIDTDKKEEVMKALQSCIGTKFAFRWGTLISDLSLQATR IVLRGGNINKLNLEIKRYAKVEKIPGGTLEESCVLEGVMINKDVTHPRMRREIKNPRIIL LDCTLEYKKGESMTNMEMTKESDMTDALQQEINEVALMCNDILKHKPDIVITEKGVSDLA QHFLLKGNVSVIRRVRKTDNTRIARVSGATIVNRPEELQETDVGTLCGTFEVKKIGDDYF AFFVDCQNPTACSIILRGASKDVLNEMERNLHDCLAVAKNIYQDPKLLPGGGAVEMEVSA RLLEKANKVEGLGQLPYKAVAYALEIIPRTLSANCGADTVRVLTELRAKHSETGGLYFGV DGNTGKIAKMNEINVWEPLSVKKQVFKTAIESACMLLRIDDVVSGIKKKQQQSGRQGEEE PQETFGDQRDG >CAK93986 pep:novel supercontig:GCA_000165425.1:CT868675:81930:83130:1 gene:GSPATT00026309001 transcript:CAK93986 MSTGLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLSHPFIVNFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTQHAAFYA SQVASIFEYLHSKNIVYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKS LVKHLLVADLSKRYGNLKNGAADIKNHRWFGNLDWNLLTQKKLPVPYKPVVKAANDTSNF SSYPESDTQSPALKPADDPFLEW >CAK93987 pep:novel supercontig:GCA_000165425.1:CT868675:84488:84811:-1 gene:GSPATT00026310001 transcript:CAK93987 MGVCTSKKHHYPLQRSQPQRNIEIDFVKLLEYNRKKEVKDNQNNQQQQSFCQIFLQENKN SNHQTFTQNLAPTAQLDYKKI >CAK93988 pep:novel supercontig:GCA_000165425.1:CT868675:85361:86830:1 gene:GSPATT00026311001 transcript:CAK93988 MNSNKISLLNHFEELKQSQQKQQEQIKFAFDTFNQLVQQFQTLQLPKQNLKVLVQENQQR STIKKEKPKKVYKEKNLFKRFVTQIVFRNIMQFLSIQDIKQLRLVSFFTNYLIETNIEFL LYHKIQRTKYLESLNIGDYQLPIIPSLRSVYISLRKLISQKGNLNKIPQQLLSYLTLLID LNTAAKSQNSKRNEYLSKYDIIQAVNQQLLYYPLNWFNFFEKERRIIKNVAAMQFCKKCR IEQNQYDDLKKYIKNLIDFSTSSNYKVICDAQNKDQIMATISKYLRFLNKLLIKIKAKQN QLKKISNLGNVFFQTVYTYCSLQDIIKGRFVCQKFNQELKQHAIFHFQIQGFRIQKQINL IQTNFNLSQSQDEFLYKNKEQPSLMELFYCIQQECKLQNNINMRDFLQINSQQISEIIFK SQGDNELHSRSREQVKECLGIDILVESNYDVFQLKLQRVKECLMEYYVKLPQLQKTLLNL ENHQNELLI >CAK93989 pep:novel supercontig:GCA_000165425.1:CT868675:87133:87981:1 gene:GSPATT00026312001 transcript:CAK93989 MSFTNSSASNSLLFSQTSINYIQTHFDEELELQELEAEKEIFAQMFQTHYNTTNMFIQTV QESDILKQTKKLRILKRKLRKLMRSQISRRRGMNSQRQGESLSGMTASNQSQYYPYYEGP YYGNSHQETDNSDIKSIPEPIINPDISIISSSSTLHSSSQTKKTDVNNVEVQKIADNNSN IIKNNNNINTTNNQCEGIVKRAFQQYDPNEQCQWFQHQQQ >CAK93990 pep:novel supercontig:GCA_000165425.1:CT868675:88213:89009:1 gene:GSPATT00026313001 transcript:CAK93990 MEQNLIDQSATLSRLSIIDKTSDDLTNNTQIKLISSSERLGEEIYKNQKNQILEQQVVTL QLQLKLAIDHITILQDQVESKQKEINQLQLKLQEQINKNENESRINSQNQFKIEQLQMCL KEYAKPSKDKEVQKHQFFYQLKDLSKKYSKPTLTNQKTNQTEDSSKRYQNNQLEITEDSS MKDTSVSDFRSTYNLLNTKQNFNQSGKKFWSPPQFQSPTNQKQSNLKKRLDITITQIKAM QQHFSQYQPTK >CAK93991 pep:novel supercontig:GCA_000165425.1:CT868675:89109:93485:-1 gene:GSPATT00026314001 transcript:CAK93991 MNEKLQRWLNYCIELYLKNEEQQVVFPSILILILRFQQALQTLSYIYGKNAPSYLTLVSM FARPQLYLPSSDIAQYAISIICLFYLLNIVSTISHFKHIKIYGQLLRSGLLNVFFEYSTG IIKIFTHTLLLLISITETLILQGTLNAQTINFQHTRYTILDFIIQSLNYTIMIFYSYELS NQSIIFLAFAINLLRAINQLYYSPLKNLNTKCMLLTIYIYNLYQGFLWIFSIQRDFISEL VLIPLIYNVFYLTYTRIFKLCILLKKDGVCDMLSILVFLDNIKELKAIQIQKPKNAKDKI IYCSLLMMQGQNYEAFCELTAINDKDLNVLERFKKKVIKKQCINKIDINIQVQSEMQHRL SLTVASLIASEQQNSIIYDDVIQILKDKIRILTLLAQSQTTYHYSQYFSFTSRLLDLQKR LEMQYKNFACAKTQCILGFYYVEVINDYLAANSLFSVMSISDEKVRRININQDVFSNKMV FIITTFKENLIIKKVSSDAPKFFNKSIDSLQGESANILIPPGISQHHDEFILEFLSTGQA KYMRVINSNYYYCSERNYMTNIEFAFDVNFQSDFSFISFIQPVMNQPMSLIINSDHIITC ISEGLISYLELKFSISKYIGNKIYEILPEFQSPNQNYQIIENVEAIFSKNGEDENLFQQM PESYITAYSIYHKKQKDQIIYYIITFEYFKKNLGQSSMIHSKLNNSFRKENVIQQCFNLI NQESFVKIPYNEYNSQQKILSQQDARKLFQLEESSEQPIITNEIKLISQSEKFNLISPNN SNIEMLTFEQAKVSKPKLPKPNVRISIAGEQSSHERVVAYQDDRSSQVSSLLGVRKSKFY KKYEVVQKITNIEPFSKNHQILIILIVLSILIQFAVQILQLVQININLTNLVSDIDLLQI KYFVFQPLETFLLTRYTIVAYSQQRDSKAITLAQFNELIKFPRSNLVLGYDSLDQNLKQV MSRPELQDFLENTYLDIYIYIKSQVGEKYNMSLRNSINVLKSYQYTSKMAYVIDGVAQAD CPYSYYQYRNYLPLKTVFSELNQIVQEQTIERSYTLQRQISIILFICIALLILFQIEIFI YYIRITKRVNRHFHLMHNIPGQYIDFEILRIKYLVERLFKDYNILFKYQFNLSEKERVLE QYTEKLGAIRRSNQKLNSINCTEIRYYLFMIVLMLIMAGNSLLTFLEGWNYLDKYPDTAK FYRAVSDVGTDVPTMYAQRDILYGRANLKLYTEQDCQDLLNEIKQAVNRTSSFLDQSRSF KKYLVSSSFEDLYQSIQTHDLCDFLPDDLKQKSLTICPIVMNQNMKMGLKAILVYMINFI TTDMEINQFKTRTIQNYLELEGAFLVSNIIGYVNQLFNADLTQQTQKIISQINLHNIFIL SVLCLIILFILTVFKQKLVQKYLIVQRFVHLLPVYHLFLDNVFERNLRQLVQSN >CAK93992 pep:novel supercontig:GCA_000165425.1:CT868675:93956:98425:-1 gene:GSPATT00026315001 transcript:CAK93992 MKAFENLRDKIISTLQNVNLKSAVLSNPIKSLLIIQHQFLMLSYIFEQKNNSFFYIVATT TRPQLLLHSNNICYLVLPILLLYIVSIFVKKIPGRQKILVIGQLLRVSVYNVFFEISRGE LQFVVQILLIIISTIDILFILGTLNIRQRNFSQISINYFQIANHILNNLILIFKQYSNYS HLIITMLCMLYSLNGFLNQIIYSPIKSIKLHPYILYAHLITIIQCVIYFADIKLNEFPNL ILCSLILKLTQMSSEIQLKFPVQSLESLLLNIGDGCITSEHIKIIINKKLIQKEQDRILY ATIRIKQKHYYEALCLLYNQKSLNWLNQIKKTLIIDECLQQLEFKIKTSSKFDQSVALAV EQLMKSEDRNFIIQEKIIEIIKQKQHIQKCITERDLKIYQKLMNFVNKVQEVKRKLEKQY SQFPNQKTQAILSFLYAEILNDYISASKLSLSASKQELIKLQNHQAIFNMFNSKMIYFIT DFDQQRLIIKRMSSNTSQLLNLRHDQLINKDIDQLLPWGIREHHNEMIMNFLEEGISKYM RKVDLKYFKSHQSSYLITADFTLDISFTKSSLKFVTFLQPVIHQPMIIVLNQNKIIKEFS ENLIETLNEKPENILNKHMNQFLPSIDTIEFSQVLENVEMISQQSSYSTLKSYSIITTVN VKIKELKGRIIYYLLTFQFIKKMNQNYTFNQMVQSFSNGALSQDKTDAKTTEMKIKVEDD RFSGIFKEDITVSKPYIMDEQNQRHQANSTFFQFQQFSNNYLSITQDKQKRTLQPNQVLP TKSQNNSTLISPNNSVFYDQLLFQQQYFSRNSQKQLSYVKEECSIDMVKELHAADDSNQE EDCKRSQVSSVQNLKQSQHFKKYQILNNVNRQQCHLGACLFLRTALFSLIAHSIIIYIII QSLYSSLNQLVRDIELLQVKNQAFQPLETFWVNRWIIFNYMKMKQNHLLDEDEYNQLLIF PEYTIPLQFLRLQSNLNEIVNKKELLSSMSSINIKVLQYIDSDEGEIYEISIRSCINILL EFQYTLKQFYVQKQQPQTDSAYIYYSYKNSFNLKQQFQILNDNIYEQSQINSIYILTQQR VLYLIESILIIANLIILIVLIKIVEKQHLKHLRLLFYCPKETYYQDIQNYQLLTKFILKD SDNLFKYQFSVHDKERHLLKSNSRHMKSKDQMQNKKNNKDIKIQVGDLSVRILSFLIMFL LLIQGTYVYLMMSSYIKSYQPTAQFYRQLSDVGTDIPTLYSHISVLYGISNFTFLGYDDK EKFITEIQESLWRLENFVNVQNDQENILSQNFMNNYDSLQTANLCNYINEEIYDNAPQIC NLSLNQNLQRGISSVLIYILNSIKTERDLNQFTEKIGYDKYELEGALIFSEFIEHLNGEF YEDLKSVTIEKLNQLIFTVIGIFCVLIFMMFFTLTVGKFYLTNKNNAISQSIFMIPFSVI FFDDYYELKLKKIQQKAFQSKQIII >CAK93993 pep:novel supercontig:GCA_000165425.1:CT868675:98733:100488:1 gene:GSPATT00026316001 transcript:CAK93993 MDNHNQQLDIIVIGTIYVSFEPLVQVECMSIKAGKVSRLGQTAQILSQGQMQNELLQEHL NKPGYWELEIDQTKIIKLNELQMAMPGMIDSHVHPQSGGIQLSRLTIQKYCSWEDAKNAI RNSIVKGEHDKNEWVFAYGYSQGWFKELKQNIQELDDVCPEKPLIIMRDDCHAYWCNSKI FEVTQTRQEDGIYIEEQMNAVRKYIPKLSEIEQQDCLLKGLQHLVKYGVVAFQDAAVKER LFQNYKKLYQNQNYGNQLPYCCMSLCWNDLFLEQTQNVDEEVRFETVENQIKEIRDLNLE KLYCHSVKIFVDGVLESKTALMNVKYCQCSHNGQLMIQNIPQIIEGLNDRGIQAHIHSIG DRATDIVVEAFERIQHKLNKNVINYLAHLEFVSPKAIELLKKLNLGANFSALWFYESPSL SLMPTCLPQHYMEGMYPIRDLEQCIIGLGSDWPVSSANPFDAIEVAVTRQPLGHTQNPIP IGDLKQRITIQQALHYYTEGSAKLIQKPGLGLLTEGGHANFIIINQNVLKIKPSEIHQTK VLSTFIDGVEAYQSQKLEQI >CAK93994 pep:novel supercontig:GCA_000165425.1:CT868675:100535:102114:1 gene:GSPATT00026317001 transcript:CAK93994 MHNYNVDHKYKFQTRIGNWFEEWELDETKKKDYLKNRQNGQLASIVKDQKTNFSLRLASL TFPKDEYIHFGFHIMLQNLKTLGFLSIDIQEKLKITEEAYNVTTAPTTQPTVRSVFVVVP YTKEPNFFGDDVLHYGQHFRLLANPRISNNKAFFLHSLPQTPTRCAKISRKQEVCAIESD VFNTVWKLEHSDPKIRFEMEGQPIRIDDVILVKHSFTQHWLASDDIVYQNDFGREREVFV HSYQCLNKTQNLIAEKEGRTTIDIPLRNQEPQNLWKFAVANKQSEQFDESVMDDNRNVKN LMIRVKQQITGKGSYGLRGLAKVFLEMDQNNNGVVEYDDFKWGLRNFGLNLSEDETKMIF QTFDKKSNGKIEFNEFLDAFRLQMSDRRLYFVQRAYASIEQRAGKVTLDTMGRTINVKEH PDVLKGYKTERQVFQEFVSHWNKGQPDKVISFQEFGEFYQDVSSAIQQDETFEAILKKSW NL >CAK93995 pep:novel supercontig:GCA_000165425.1:CT868675:102988:104025:1 gene:GSPATT00026318001 transcript:CAK93995 MQTQTNIPTNELEGKKKLFMKWALNSVRKFFNNNQLPYHLLSTCVSIKPGSANRCATLQS YPNQGVWKYLKELPESVRRDLTMHLNNEMKLVEFKFQQSILIEFKDRILKDFDFSSFYKE SKNFNDNNEIPFIESDQNEQQVKQKKWCKGQDFVSESKQILIQMQLCNRKPPLIHMKQLH YHKLRTLLEKIKEHKFSMSEEMKQFKHELEQFCKQDFCQESEKKKKVKANHDQQQNELEK VQQNCIIKVEDEDNKNDILRIFKQRVKSIEPGISLFLPDLSKFEQQMRNNFQEVEDNYYK NLYFKEKVEEMELNSKNILAECKQYYEALQFKEPRQKIEVQPDRR >CAK93996 pep:novel supercontig:GCA_000165425.1:CT868675:104111:104879:1 gene:GSPATT00026319001 transcript:CAK93996 MMPPPDRINYAEMKVQRIQIPVQVAVLKPVYVDCPYPSYVFVEGESHAKQLERQYGNWKQ FLNEPQLKTQIMQLFSDSDDSDSEKEKKKKKKVKSSKTKTIVNTKSSKEIKGTQEQQQQV QQQQSQPQQFNQTYNQIDQNNNYVRPPIQLDDRGATFQKQYGNQTQLSRTQTQNFPALNI LQTRNEIIPNNSSNVSRGRQDLQNYKTFLQKSQQTLLPAGEAGKSRYEYQNI >CAK93997 pep:novel supercontig:GCA_000165425.1:CT868675:105197:105623:-1 gene:GSPATT00026320001 transcript:CAK93997 MNLSARSYMPTQKSISPLIDRTTKKRTLTIQELTKSTKTTNKLITEKSTEKKLTSNSSQE TSETCLTRNDSAQDNNRLQQLKIRVLTEKVQQIEKFDLCKTTLLLKELQYYRAKHFNSSN TSVMAALALARN >CAK93998 pep:novel supercontig:GCA_000165425.1:CT868675:105650:110427:1 gene:GSPATT00026321001 transcript:CAK93998 MTLIYILVFYALNQVLAGFTTLNEALLFNPITLYVPECVEQPKFDNIIISIRYWIEILQT DDCDLQTDLETLKQIKTTIPESNLFLSSFIQKDQFKIHGLMQITDDICKDAQDQPGYIID LLKKELQSIIDSLEEILATPNKQRKISALNSQIEEVQTYQEFCFQKEERQRRKAQEAINQ QAQNIKNEAGYIDDAYGTPNTSDSSQGSSTTSSSSSQSNTQQQGTNISGTQQPINCVDPQ ASNAQQNSNQQQGSSTTTTSTSQTTTNQTNIPQPPPQTQQCQPCEIPQPPQNQPSIPNPP PPPPPSTQEQPQVPPQIPPQPPAQPPSEVPSQPPVQPPPPPIEPPKPPVEPPVQPPPPPA EPPKPPIDQPQPPVQPPQPPVQPPQPPAEPPQPPVKPPVEPPKPPVEPPQPPTEPPKPPA EPPQPPVQPPQPPVDPPQPPTEPPKPPVEPPQPPVEPPKPPVEPPQPPTEPPKPPVEPPQ QPIDSPKPPVEPPQPPTEPPKPPVEPPKPPSEPPKPPAEPPQPPTEPPKSPEQIKTNITV NGNRTTEVQDLIKQFQEGKMENVSINITVYQNSNTTTIGSQNGELLTILEALLTTQPACS APAQPVIVVVQQDDGDDCKEPAQPIKPKPDEKPVPVIEPEEEEEEEEELFPEMSFPEPAP DPQPEPEEDPQPVPEEEPEPIPEEEPQPIPEEEPKPIPEEEPKPVPEEETPIPEEEQEPI PTEPASVNTTKPAEPLPLPEEQQAEEYVPEEETPEEIIPIDTKNTTKPSEPLPIPEEEPV EQQIIEPDPIEDPVDTFNQTKVVEPLPIPEEEYVEEEVIVPDEPFVNKTKPSEPLPVPEE EEEEPEIIVVVKNETKQAEPVPIPEEEVPEEVVVVKDPKNTTKTSETLPVPDEEYEEIIY EEYYEEYYEEIIIQAAKNTTKSAAPLPLPEDEDDDWGQMGFDDESDEGEWETYWDGSQYV KRKRSETVIEYEYYWDVDSQSYKSRVKGSGPNEDDYELYWDGSRYLKRKKGSQTYDINTK PSGSYTYDGFVYEQYWDASTNSYQWRRYRVGSTSYESDTFEYYWDESSQSYKFKQSVSST SSSYTYNGYVYEKYWDQSSSSYKWRRRQTGSSTWESGDYEYYWDESSSTYKFKQSGSSTQ SSSQSSYTYNGYTYESYWDQSSNSYKWRRKQLGSNTWDSGEYEYYWDSSQQTYVWKLKQQ QQNEQDDYEWQWDWETSSYQWRRKANSYDTNQYEQYWDSSTQSYQWRKKSSTSYNYDDGE YEYYWDVNTQSYQTRKKSGSSSQIEYEYYWDYDTQSYQYRQKGQQSQGYSTSSSSSTTYY EQYWDAASQSYKWREVSNDQIAQQGTYDDGQYEYYWDASTNSYQTRLKTSSSSNSTQYSS SSGEESYSYSTSYNVSSSTDYWEEYYVNEDGEMVTLNLASQSLNNNDALQLENQAHEKWI SQDAKETDESLESQDNQANQGKFIYKTNQVWEKDDEQIYNSYNLRSRNIKNAAKMKNVNH NGNQKTTQQKKQVVGTSFNFRTIPQDKVEKQQQLKNQPEFIDKKESSDKKENISMLKKIM NIFSHN >CAK93999 pep:novel supercontig:GCA_000165425.1:CT868675:110482:111111:-1 gene:GSPATT00026322001 transcript:CAK93999 MHQSQNQNRVSIGQKEKTELTAQDFKKLLKTRITQTKEEIEKLEAKLDVFKPENAKSQNQ KQYFHDRRKFIFTNIRLLQAHLGKLIQNQAILEDENIEEAKLENMLGSIQDLSHQNLEIM KNFQTLNQTPDDQPLPPDFIMMSERVARNYKVIQTQEEVDDKFDKLNNYFQNEIQKYYKN PISAPYLKYVPKIV >CAK94000 pep:novel supercontig:GCA_000165425.1:CT868675:111122:112398:-1 gene:GSPATT00026323001 transcript:CAK94000 MQNQDQEYLLNVVNPILEQLALYLVKEKPDNVTQASIEWLSVTGAQIETGLHHNQHNENV ESSDDTESDEDDYELYANNPIRPDRASVSAEVYGIYNKKTNFKPKIVAKSHLQIQKIKEK LAQSFMFSELDEHDLRIVINAMEVVQCIKGDVVIKQGDDGDNLYIVDEGTLDCSRTKAGQ DAVHLKTYKPGESFGELALLYNSPRAATIVAEENCVLFSLDRGTFNHIVKDAAIRKRERY EYFLAHVELLQELDPYSRSQIADALKSKNFNIGDHIVKEGDEGDIFYFLEKGEAVATKVL NQSQPAQIVYFYKEGDYFGEIALLRQAPRAASVIAETPCTVVYLDRETFKRLLGPLEDIL TRNFKKYEKYMN >CAK94001 pep:novel supercontig:GCA_000165425.1:CT868675:112522:113291:1 gene:GSPATT00026324001 transcript:CAK94001 MKYIAILLLILALTNATNMRQHKKHKKTQNVENLVELDSKFVGEAQDLSSNVGVISVTEE TNNDFVKQNNIKKAETKERNAVMNAIKKSKSHKSLIQLSDNEIYVEETLDQTPQKEINFA DLFTNDGSSPDMGKQAIIEDSPSPPMVHLTQDENLDYVRAIDKLDLLDENGQPLQVLPEE QTLLEMRQFESRFQQDETPQMDAAPSWDLQSAYEKTPGQSELSPEELQRQTDQMVDSLRG ELEDENI >CAK94002 pep:novel supercontig:GCA_000165425.1:CT868675:113349:113701:1 gene:GSPATT00026325001 transcript:CAK94002 MKAKVSQISPDKLYASLLKRKSEQEQQARLKSPIVNTNKYLVPSNRNGGGNSKIEAKKTR PASGKDLKDISSVLLKKTHARQNSKLIETKENTQNLSANALSILLKIK >CAK94003 pep:novel supercontig:GCA_000165425.1:CT868675:113792:114810:1 gene:GSPATT00026326001 transcript:CAK94003 MELSKQQQQSIVKSKLFKGPRSISNPDISNLQLYITKANGLSSPGMLYNGQTKTNQDIYK LINKFNNKENDWYIQVSDGHGTNGHQVAQFLQQVLPSFIEQGIMGISSCYDRDKQLNTIL KNCFLQTNEELLDSGIDVTYSGATTVTVISFENVLYCANIGDSRAIIGRFDNKLSVIELS KDHKPDCFLEQARIIQRGGRVQAYSDEDGNPVGPARVWKSDEDVPGLAMSRSFGDYVASQ VGVICEPEIIKHSLLPCDKFIIVASDGIWEFLSNEWVVETVYEYYKKDDAQGACQRLVQA AREAWQREDEVIDDITVVIAFIK >CAK94004 pep:novel supercontig:GCA_000165425.1:CT868675:115024:115785:1 gene:GSPATT00026327001 transcript:CAK94004 MINQHPLYLEQQQWLAQFQKGKQEPEEQQDQSQEYLAMGFDDQKRILKLIKKYNGDKAKI VESLIDKTKKKVKKEEIDIIQIVKDTQILYVDCNNVKYANRNWNEFHGKQKEQIVKMLDI VASWLQNVNSQLNEVHLIWDVYKVRNIQQLQGEITQLDLFKNLINLSQEGKKITFWIECG NKKILFTIESSHPRIADDLIVDLCSQNVQKSKETTVVTSDRGLRDRLREYGIQTFIGCGK WWKLDFNNDQHQI >CAK94005 pep:novel supercontig:GCA_000165425.1:CT868675:115834:118389:-1 gene:GSPATT00026328001 transcript:CAK94005 MFYEREDNPMYNLSVFATYESYNQLDIKIEDLEKRQFHIPSDMEPFKSIYNDIINPIACS HYDYETKIDESPFKFNVIRADTQETMLSLYDIIVSELYSEFTIKIPTKYLFGLGERNQKG FRFKEGIYTLMAKDVPQLLEDGKQPGKGVYSSHPVYLMRERSGKYHVLFFKNSSPMDVIY KDDKLTFKYIGGILQLKLFLGDYDPETAVKLYHSYLGGWALHPFWAMGYHHSRWPIKSSQ KLKEYVYKHKEHDIPLDTIWSDIDYMNDRQIFSVDEMRFHKNDFEEIQNQLGVNYIPIID VAVGVKYGKQDKGFREGINLDIFLRSPNTGQRFRGKVWPGSSYFPDFFHPNCSTYWRTMI KHLYQSTNFSGLWIDMNEPTNFCDGECDLQQGRDKWNSTMDYTDVNETYKNNHIRFPYIP GVSPLEKMTLPPNLFHYGKHLHKDVHNLYGLQESFETYQAQKEIGKPLPFIISRSTFPGS GHFTQHWEGDNEASYTFLYLSVGSTMQFNIFGIPMVGADVCGFLDNTTPKLCARWVQLGS LYPFFRNHNNDRAKDQEFYSLGDDVLLAARRNVKLRYSIIKWYYSLFLRSNHTGTIFRPV FFEFNDDVNLFKDEVLDTQFLIGDELMATPILVEGQTIRKAYFPKSYWYHFLSGSRLQKI EDPGQEHFVMCKYTDYVPLYIKGGSIILQQNITQVKSVKDLKNHYYAVIAIGEKDSFGTM IDLDEFSDQKIVEKCDQLNCILNVNFSKQDNQAEFTIVRADNGGPASYPLFISRLKIYGL SPSQTIKCSSNKIVECSYSNENTFPYISNIQLNEGIGLEFGETTGVFKLTLQFS >CAK94006 pep:novel supercontig:GCA_000165425.1:CT868675:118424:120576:-1 gene:GSPATT00026329001 transcript:CAK94006 MLSKLLRSTSKVNQHTVNAFSSKVMKGEYVIGIDLGTTNSCVSIMESGTPKVIENAEGMR TTPSVVAFTADGQRIVGAPAKRQAVTNPENTVYATKRLIGRRFDDPNVQKDIKHLSYSVV RAQNGDAWVSLKSGQTYSPSQIGAFVLIKMKETADAYIGKPQSKAVVTVPAYFNDSQRQA TKDAGKIAGLDVLRIINEPTAAALAFGLEKKDNKIIAVYDLGGGTFDISILEINAGVFEV KATNGDTSCGGEDVDSILSNWISQEFKAQAGVDIQKDKMAVQRVREAAEKAKIELSSTTQ TDINLPYLTADASGPKHCNLKLTRAKLESLTEDFLKKTIKPTENCIKDSGVDKSKIDEVI LVGGMSRMPKVQKLVQDLFNKPPNKSVNPDEAVSIGAAIQGGVLKGDVKELLLLDVTPLS LGIETLGGVFTKMIPRNTTIPTKKSQTYSTASDNQTVVSIRVFQGEREMAADNKLLGQFD LSGIPPAPRGVPQIDVTFDIDANGIVHVSAKDKATAKDHSITIQSSGGLSESEIQDMINK AEKYKDEDKKRRELVDLKNEADGAIFNTEKSLNEHKAKLQPNEVQEIESAIQNLRVLLTE NLTANDVQRLKDAVENVKNSAMKIGQAMYRNTGGASEQQQQQSSEQQGEQQQQQEGGENN NNKQN >CAK94007 pep:novel supercontig:GCA_000165425.1:CT868675:120856:128270:-1 gene:GSPATT00026330001 transcript:CAK94007 MQPNVYMNDIKKHKTWISSFKKEIHEKKEKNKFPNGEFKFKPPKSIEDQLDELSQLCQAC HYDKKITIKGFKGNFKLEHWKMIELRLRGDYSIQQIRIIDTKLEEDNLLILPLWFSWVKA KNLVIEFQHNELDDQSVEKFIFQLFERNINLEKLFIISNPGVYKGFLLRKLKHYLIKKYE ENPDFYYLLLKNKSKYSLAIIKISRLWQVQRIREEKEIALKKIDQTFYFSFHLSNCFTQI NWKGFEHLIQCTYNLETLEQISSLDISDNYLGTQSSFNTLCQNLATAKGLISLKLKNQPL FHNTNAIYSLVGDRYDSFRVNHLDISENSNIFEKAFQLLANTIFLKCKSINLNNSLTENN STLYKIAVLTQAYETQNEEFQKQKQQEKAYRYKHNLQTLDLSKVENFNRYDQIEMLLKVM IFSEHSNVRTHTIQNLDIGRAEIYCKALNQFLSEFNVRKKKLDYLQNFKLTLKHLIMLDS EPEYTMNVEVMKQFFLDYLFTTTNSVLEIESFRFVKSFAKQNRKEALKLAAAYILESIHK NPKIRYSLTCLKFFSSCVELDVQTFKCFLLTPNIRLRTFKYFKDEMKGEGSVYLNQMQNF LNEMPETFVHSLSILKLDTITNISFDVGKLISLMIYSPKIQLKEFHFYKIKLTKSSLTLR ELDLIVQNLTDCNRILGLRQLKFGDLQGTGEEEFFKYIVFSRFIRLKNLTIKAVNFNNLI ENLQATIIPKNVNPLEDLSYLEFEEFQVQTKELWNRLAQTFIFSNRKLQSLVFNSLNLDY NFTLGMTQTSALFIQNTKSSQDPEQIPLLSLNDLKFIDCSLSYDFLSFFFPISQVEKLEI IRCKNLTQAMMKVRENYIEEINLFTLKLFTMKSCSITDTNLFEWMVENLILNFDRQLLET LNLDNCNLNDDMINPICQQLQLIANNILEFKRIFSLRQINLSNNPDISESQWLNIFNSIL HLNQPLHLQKALQDTRQDDINMLINENSLFVTQSLLGLKSKLYYNPQLFKPQFPQQLQQL NIDLEFQNFILKNNGQSQLEYLEMKSQIYTRIIIGLILFPYSQLRKLKLSHLDLDLFMTC CKKSIDFFQKYLESKPENTLQIQFIKLEDIHINQVTTQSKQSIEQFFSLFLCNNQINLKK LSINGFKESFLQILLTLCDKRQSYQLEKLSIENCEDKLTSDQSNKFLNYFILGKVFPIKS LTISKGILFDQIKQFEIQPENICKIEKLKISVDDKGKNHMSSIYKMFTLLFLQESQLEYL EITTPNADSIFQHIFEVCKAQKLKLQSLIINAEISVDMEFFHFLQKSVETLKLLKIYKII YLKQNDANYLLHQICMTPKLGSKLELNKIEHEDTYFFYYNLIFNEMFGFTDLILRDAVSF ANLQLNYSIYKFRYLKLDMGIDYNSKIQLDVDALTLISSKIIYNEDSVLEELVLMNCDLR IPAIKAIVNSAQKLRDRITYTWRQKSFYLTLKRICILHSFHIGQEGMDLLFNNLIYYEYI NIERIELQAIELDDKMVTDMIKYAVDWLHFQKRNQRQFTRIFPLRYLDIGKNELFQDKAV WQKFLRTFVFTDKAPYLEILNLHFMALNDGIANNISYNALKYLNSKSGDFQFPLKRLNFS KNNFLTEVGWKDIFNNFIFHPKVYLIELNMTSTQLDSEEKLNSIMNAARKRAAVSKNKLL PLHTLLCYNVTLKTMIAQYLSQKSQQYQAPEDLPIKIDYKCWKQGIYDEIPINLGDQIEI LNSLIYNTTELIIPSHIDCTEILKFSQFHLNFLEHYLQILKLSNPEDSHLIINLATLDKF SNLFRYTKEKPAKPYPYYMIFQRETYIFLSQKENKIKKISLIQADSQSLEKLHQFDVLQI WENIQFYQCQIDQIMMEYTLNDDLVEKMLQKGYTERDFISLCRLIPPSKIRIQGTLSLQA IKGLYSILYDTYYFQYSVIDYKFDDFLNIGIGYGLRETAYTEAQGNYWSNLLRMTKYNFY NTFVKPTQKYVFDDSVKKLDNYLSTKKLNLLLLVLTNFLFFGAALVAPFFLIEFATHENE QQCQVTKGYLQYYCYAGFAVISALVEGYLYFSISDVIPEYVTALEHAVQFNESEIEITEY KLKSSALDTNGGQTIERQFKEKRKSRIELSIEQIISRLQSAGQSRQAAIVNRILQFFMSQ LFKFDLFGDVTFILVLQNCNYNELFYLTIAITAATSGVHFLYFMYLILKRISKQNRQIQQ LSSQYINDFYTIGFQGRNAALSNLLDSIAPYNVSVIPNNKFTRAIVPNSAGKSMSNLVKG YFLQFIFEDLPQIVIQTYFTVSQAVKFEGELEILTYCRIAISFITVVTSFLKFMSIRPTI LVQDDFDKLSDRKKQNYKVERRDLLQQENWQLKKYSQYSQKAENDQQRQSLDETQPLRFQ SP >CAK94008 pep:novel supercontig:GCA_000165425.1:CT868675:128615:130307:-1 gene:GSPATT00026331001 transcript:CAK94008 MNNSVQNIRRPVTSQNYRSIDKLGSNSQQKLPNLSDVMNLKLKHQSEKELQELIQKLVKQ VQQLNSAVIQKDKQIQQYEFMIKSLQIAIEKRDCIISALRVEKQQIVEVVNPLKLMIKTD DSARTPSLGPKKSLRTRTKDTNFDENLSAILDSDYALLSQYVKCYEELNHLTQIKNLVSN EDQFFANIQKMELSNIVHIFDAIQLVLQEHKLLFKNVIKQNKLFDACLQIYEQIPLNDQL ESLQGLLRDSLNCDKVQIYVLDSEHQELWTKTKDRVLRIQANEDIIGACFQERGLINVHN AYNDPRFKKENDKGYKTNTILLCPILDKQQNSNGVIMGVNKLIGHFNNDDQLFISKTSEM ISILLRNHQQSSESISIQNALRNIIHAQLQLVYMNDTEQILFEAENLLKNLFHTQKGLVY VVNNNRLLRVNEKKLLEVSQLGIGIVGEAHRVKEFLWVQNAYNHQSFNNLVDIQTTMPIY CIEIEGKNQSVILQVINNKGIRSQKVSSFDQELLEMFGKIILSKIDFLQL >CAK94009 pep:novel supercontig:GCA_000165425.1:CT868675:130327:130865:-1 gene:GSPATT00026332001 transcript:CAK94009 MQEIEAKKQLKASEGAHFFYTLIFLSASGIIETQFIEQKCNQNLQLFVHLVFYGLIIWGT YILITLIPRYKNAAINLFFNFLDICFGIYIALLLFYGGRMYMAPNDCQSEAPALYFFLET FLLVNGIIFAILFLAFVSYVLKRFSKSQQVYEEGKDEFYDA >CAK94010 pep:novel supercontig:GCA_000165425.1:CT868675:131119:131801:1 gene:GSPATT00026333001 transcript:CAK94010 MQYQFDHRIIQFVQGGKIFQIKIVNQLLQNTFFLKMEQFPEDEEVLQIPIKKKPKIQEPR NTNLNSKQQNCSQQTNNKTNLKRIDLKIQASLLDSLKFTENIQPNLPESSLKVDFTQWEH QNFVFKLRQQSQNSKVEYDDRIIQYFSDFNQKWNIYPYKHFVDLKPETDYVIMKQPLCDS KKVNKKYKRQKQIKVIPLKQSERMAAIKIKLELK >CAK94011 pep:novel supercontig:GCA_000165425.1:CT868675:131802:133567:-1 gene:GSPATT00026334001 transcript:CAK94011 MQQDQNQGMDNQMADKEMTVQEQLLEQQKKFRNLESDRKAYAEETVANIKKQRGIIDKLK NENYILKDLIAKMNSQKLQLNQTTYSKGPNIDTIIDDLKVAINEEKKQQEEIDTHVADFQ KKIIEKRHALGGYNAGAENESALQKQIKILENRLDKTNQKFNEAIAINKQLRQQIDSLRR ERVIFDNLYKKLEKELHEKRKEMADIIETANTAYEERDKANDLIQSLKQQAKRESADFEK DLRELSQIMEKNKKTLDYMKLTEKNREMDTQEVVDPEKLTKPKTTKLTRDKTVNQTIVEQ IMKYEEDFAKIQAATQIKVFDELIKIFIQNEEKNFQMFKYVNELSNEIEDLEKQIGELKE EASQYEGQGSNVDVQRKRHLKDLEEKLSRAESKSEQYEFKYNESLKLINSLTNWIETLFN TVECDKQMATEIAGSHGVTDTNMMIYLGLIENKTNKLLQYYQQIHQKISENQINSLQQLA AMNEKNLQNKNRAELPQFDEHEEDDIEGDKILSVEEFKKKALEKLEKQQNQTKNKRSGPN KLRKNK >CAK94012 pep:novel supercontig:GCA_000165425.1:CT868675:133883:136988:1 gene:GSPATT00026335001 transcript:CAK94012 MINHFLHKWHQPLGDPIEYEEIKRYDDGRRRYDKTIRDKGGIEYYLVSKKFIKQWKYYVD YYEEMGDQQKEARKKPDKMNSDLICNEKVFKYIPEDHVYNSSIRYLENEWDYEYILKEVF DIFKEKYSSIEPQKRIGFYSENEKKKVVYPNLARFTLIYQSVRDNKFYSVKAQVDYHSEI KAWLDLLKATFQDEFKQKQISNIRIWLPRHQHLQVDLLTEQIEQTMLIDGDVLQENLMIF QLQSNRDNCLILDFESEQWQFNKMDQQQQIEYDIELLFNRASKGCGKYVCDFPQCKLNNG FLEQDFSQDDIKGICQQLVENEEVNWEQLCYPVKSINDKLSPFQTSEFQLDLREATLRIS FQLEIFGASFVENYYNKAGIYQIDPQTAEVDTNLIIETQKRFIKYKGDFSLIINNLFSQR EKNLEPLTNLYQLRALYLILQFRKFLESIIDEKSQIILKIIRRLNKPQKQQLSRWFTNLS KAEFEETTKVVKKLINSEILRQQHTPLMPFLEIDDIQKMVGLFELFQILQISNKMNTRIQ SSDFVIDLITKLYKQDADKEEFSQFRYYAAKQWRNYSFTFCLYAWSIPIEFKSKLLSLDC KVKQHDSMSHSVKYHFTGQAPYLNLSIERNNIIESAIKQLQITHIPLKNPLKVQFIGEQG VDEGGPKREFFRLMMEKLISPDYGMFIPKNNGTMYWFNPQSFEIPIYYSLIGKLLGLALY NQVLLDVRFPTVLFKKLQNEKVTEEDLKELDMEIYTGFQYLREQTDSNLIQSLTLNFNAS YVVWGEAYFDDLKPNGFQINVTKDNREEYIELYTDWYLNKLVKHQFDLLYSGFKSVVDGD GIKLFSGEELQALIIGLPHFDLKDLELVTKYDGFDDKSEYIRYFWSCIHSLSIEMQKRFL FFCTGTDRIPVGGLKSIKFVIQKHGEDTEQLPSAHTCFNVLLLPLYKKKETLKDKLMISL DNAEGFGLM >CAK94013 pep:novel supercontig:GCA_000165425.1:CT868675:137075:138645:-1 gene:GSPATT00026336001 transcript:CAK94013 MSDTLEIRDNYIIKYSESNKIGMGAFGEVYKCRRKDGSDDDDSLCVKLVQKDKAIMKQQQ QGLTIQKLLINLKPERLVRIENYLNLPHQFEIIMERCDMDLEKEFQSLLRQKQWYNLDQC LNIVHQIAEGCRILYEHNIVHRDIKPSNILVKVENKKQINERKVYKITDFDFSKILEAFH QPNALITNVGTATHSSPQITFNNSGKGYSGKCDIYSYGTLFYQVFFEGKLPNTQIKQQRQ QSCKDNELENIRLFQKAIQTQKFKCNPPKYDRAQEIADLLDKMIVYEEEERISFDQFFLH PIVNLEEYEIFKIQTVQFRKQQFYNSIWKKYQNQNDINTITFILCLQQLGYQELLYCMGF IHSIITDIHPSYKLKKDITTIQRILSQCAKDINFYPMYQKQTMEIRKEYFQMKEILNQQE LTFLQLKQKISPDDQQIQPQMVLPLQEGKRIEPQLIFDTLRSLLDQNLYEDLKSEIRKVL QFQEKYPYEKYREVNPDEIFKMDISV >CAK94014 pep:novel supercontig:GCA_000165425.1:CT868675:138707:139654:-1 gene:GSPATT00026337001 transcript:CAK94014 MCEPMHLMNKEIIGIIENAGWSCFTIRSETNPDQYLFIADDFSNQHEGEFDVRTHSIKDQ EKNLWFIVTIPNIVHPYGELKQHLIVQFRPAHVNQNYLAISDSHPQFKSDFAAKVIGAKN LATNFYLELVHENKYIIRSASHPLQMLFAANDKKFNQWGDFDVRFHSFNETRNQWIIEQA GKNLWTIKSASNPDQLLFAVQDEANGKEIPIRTHPQNEERNKWHIDGFTQ >CAK94015 pep:novel supercontig:GCA_000165425.1:CT868675:140281:140956:-1 gene:GSPATT00026338001 transcript:CAK94015 MYYNYYSRIHYVQPKQPQQCCNPYIVSPRMSVIPTSIKQMRESIQIPYNQDIMKASAILE VGQRTRNTHYKQRLEELYLKYEDGCIYRGQGIPPSQRHGMGVLFNTENQEVYSGEWFNNL YEGFGILVNQSPRVIKHKIDPKDLNTIEDGWHDYKGYFKSNKMHGEGILTLTNGQKFEGH FINGMIHGKGRFYGDQIIVGNWFEGVLI >CAK94016 pep:novel supercontig:GCA_000165425.1:CT868675:141105:141734:1 gene:GSPATT00026339001 transcript:CAK94016 MSEYPKRILGKRAKTPNVIFYLIEFETPTHKVRTQWIEMYKLGNYLDLIEQYEARNNQNY YMELLKEIIEDDEQYEQIRELDSLKTTEDQICRQTTTDFMIPQRENQEFVYHLKKESNEQ VELNKELKNITKSFQKGGSRVAFTEEQIIKIITYAKDELDNNKYIFLVEWKPRESGQPVR PSWVGQEKMMQYAPQYLARYANEKCFKLG >CAK94017 pep:novel supercontig:GCA_000165425.1:CT868675:141780:142352:1 gene:GSPATT00026340001 transcript:CAK94017 MSRDPQLELLNIEPTLREFIQIPLTNKFFRQIDTDFIAEPWDVPIGPAKTSQQKKRIITF KQPISRLQPRQSTTETPTNISRPKSCHNKSTFVEQRIPTPQPNYPKAFQRIVISTQHKSS RKNSTSQYRQKCLKSLLLAQKQNSQQSYCVHTSADYFNFISSINPVSQKNKTTTEPFLKK FKLKLKNMLN >CAK94018 pep:novel supercontig:GCA_000165425.1:CT868675:142366:143335:-1 gene:GSPATT00026341001 transcript:CAK94018 MKAIQMMELYNMMNDNRHLVIADLRKLELFEEKQIRGSFCIQDSVQTIASHLEKLDANAK KNEEKYHTQPIRRMVIINDDYTKIEQDAELIKNLINSLKMNEYKVYYLKTSVEAFLGKYP FYTLSKVMLQNYENLDNNEDQQKVLFSYSQFPQQLEESKLFLGSNLNANSKKQLDALQIK TIIDFKRYEPDAKLSAWKKEQYNYINYPISEEGEQLIDFNQLTDLIEKQNGPVLLCCPDG YSTTSVVAIGYLMTQKKQNFNISSLKVFQIRGNTTVNKKLYTQVLTYDPKTIVNKITQQL VLQ >CAK94019 pep:novel supercontig:GCA_000165425.1:CT868675:143529:144750:1 gene:GSPATT00026342001 transcript:CAK94019 MQEQEIDLTKINQGNVDRIIQKIETSIQQRVEKYGDDDQQVYNLHLKLLQKMKYDRILCK LLNQQALIALQVENFEASLKYLKKAELLTSSVLELKAQTYSNLACYYRKIGKTRTALSYL QQALAIELKNDKSAQLPELYLNLCAVFSSLERHEEATQNIYLSIIMLQHELLLQFLKSNS QIDGDLMKHQNSIDLSLHQISTQQKTRQTEQNSLNKDQQERMQILIVAYHNLGVEMEHLK QNVESKKILKSAYQLSQFSLAQNHPLRQTLGSIVKKHQREDSKDNFQPVVLKPILPKVSL RIQDPRQVQKSGSPHFKSNSKHFSLPKNKSNKGSKEDRNSSSLTSRIEGSKFVRISDLNQ EPMVYFDNKEVNNLKELQISLDMINQTTMM >CAK94020 pep:novel supercontig:GCA_000165425.1:CT868675:144808:145501:-1 gene:GSPATT00026343001 transcript:CAK94020 MANFSIDNLAYVNEHLAANNYLNGDHPGADDAKFFIALNGMPPKKQFPQIYFWYLNLNLF APATRAQWISAASPKKQELKKDAHKKKQQKQAGNDDINHFENDNVDAEIKVKAEQRKAKT LAQNNQQKPVVKKMVIFEFKLFETIDQAQLEQIVKKIKDNINPNGLVWGQNVDYKDIPFG AKKIVMSMIIEDDKIIIEDIFDQITSLEDDISVVDIVSPCRL >CAK94021 pep:novel supercontig:GCA_000165425.1:CT868675:145656:149038:-1 gene:GSPATT00026344001 transcript:CAK94021 MYEKVKVAVRLRPLLDEELLTKDKSVCVDSIDTSKKSIIIKKDFEKRQFHFDAVFDPKVT QSQVYNDVARNIVASVIKGYNGTIFCYGQTGTGKTYTIMGKIDTEEKGITPRTFEQIFHD ISLDDEYTYNVQMGYLQIYMEMLLDLIRPDNSEVKIRESLHQGVFISGLEWLKVASPQEC LKIINLAEKNKVVAFTNLNAHSSRSHSILIIKLEKQSQRQHSKSITIFQKSHKQKTNLSQ DITFEQLDLNNNNNGGTLYLVDLAGSERIKKSKASGDRLNEARSINCSLTALGKCIHALT GPKNSFIPFRDSKLTRILQEALGGNCKTALIVNIGPAGKHVEETLSSLTFGMRAMKITNT IQINQTVDFEQLVQQLKVELQMKDEIISKLETQKSRANHSDNQVSNSENQYKIKLEKVEE QHKGFLEEIDKVMVEQEQENEQLKKQLAQFMIEFEESKSREKFLQQENLILTQKLNKLDI ELSKAQSSVIIFLFQFQNVNLRNQVQQSKELAKDQQLQEITFRNCPLIQVSREKQNQKWR SELQQITSQYANRLEEVKRFEMHHSGKENENMQHEKQQIDDLTSQIKQLKFDSTINLDKQ SKQNLIINQLNQQILKLNQQRESDNFHSLEQIKQLESEKFEISFLLEQSENKSNELLQKL ELSELYYKQILDVNSQNYHKLQEENFCLKQQIQIIQEQFDNLQKIQQQQFQQYNYEKQVL KQQNDEYQLKLQQVVINNKDLQLQSKLERSSMQSFDNKSLKIDFLNTQLQSNEQNFDIEL KKSITVSGQNEGQIVIEMQIRIQQLEDYIENLQNQIQQRDLQEQTICQATVKSLLEELVN QVEQNILITNNTHQKISDNDQIFENGEQSYNKQNIQQLVQSLLIDSRQQDQYITECEEFS DSEIEFQLKNFEQQEQQNQSQLDDCNHKLQPAFSFSQEQKRVDQPRSQNNSIRIQNQQKF KIKESQFEANEINCQIDYNESFEQGILDKQVDSSLSLQNQNKNYDQLGEVIERKQQNEMK SKQTKKIEKENLYQVIKYMSQLLKDQNKKECNTLDTLRSSMLDFNNLCNTLTTYFS >CAK94022 pep:novel supercontig:GCA_000165425.1:CT868675:149100:149986:-1 gene:GSPATT00026345001 transcript:CAK94022 MNINNQLLKIVQDIQLAIKSDFDLKPNVDELIKILRQQVTILIELVQQQKSVEYDQLEQA VQKAEAEIRNHVRVIMQFQPIQVEQQMKLYSDSLQEKIDQLEREKQELLDKNKTLLQSQE RKISSQNATRESSPSLFKKSYILGNQVYNRQQATSQDNCASVIQNCDSHQTRDKRSSGTQ HSYIMMKNIREKINMNASQEKVNDKSSTILKSQNSSALIKMFNIQKLIIKNQQDQHLQQL KNKTLNQILDDSQATKKKP >CAK94023 pep:novel supercontig:GCA_000165425.1:CT868675:150004:150931:1 gene:GSPATT00026346001 transcript:CAK94023 MNSSQVYHIISDKFHSLYMSNIHAADQLNLLRSRNLRTIICVDKSPSKHYTDFARYYQVF LQDNKSTQQLQNICELIQNGLKLSSILVVCNNGLQGAPTIIIAYLISRGWNYEKAFYYVK EKHNLINPSLQSKKQLIEFYGSVSNQQPKEDEFTRFTQVLKEMWDKQDYFESSPCFDPLT DSFQDDGTKTPGFISPTNVLKMRNSIRKPASRKSSMIRSTSRNQKSDTNMNYSFHFDEIK ELNQEQKQEMLSPTFLQVQEISEEEEEELVRLRHRRHRRNITRNKSANQKIFD >CAK94024 pep:novel supercontig:GCA_000165425.1:CT868675:151177:151455:1 gene:GSPATT00026347001 transcript:CAK94024 MEKWRQSIYQPHSLFLERKRTAQSRRLDPLLVAPLTKFDHKAFDQLFKSQANQHLRIRNL SLQSERVQETPKQLGLENIVYKLQKIRKLKNI >CAK94025 pep:novel supercontig:GCA_000165425.1:CT868675:151645:152454:1 gene:GSPATT00026348001 transcript:CAK94025 MKVELRPSKQGGLYSLNLGQNNGPYLPKDIMLTNQKSLKLLQGDEVSKSVSQVLTRAGMG QLYEPPDIYDEKSKQFVNLDEKYKTRMSASDNVAAMSTLYTTSIKQLQDSLQEYKELIKK TEEELKNMNEKINNDNITNIRELQPMLKSFHSKLKERLHDEKNENYKLMREIEGLNRDKL QIQQSILFSHKRIMELEKLVGIQHKTDSLFAQKIQEEDANENENEDELDNSQKDQDSQMS ESYD >CAK94026 pep:novel supercontig:GCA_000165425.1:CT868675:152507:153201:-1 gene:GSPATT00026349001 transcript:CAK94026 MSACKFWENQLKTPKKEEESTVCSLQNPPQPSILEVSAQKCEEYLFGGQEKIQSVDESIE GVEQQVEEKKKSKDEDSSSSMSEREGRGESRKSKTTSSKRKGKKRLTIQQNHLIMENMRR GSNLKDVVQQIPGSSVSSIRRQFRKKLKVLNEESENGEIENTIIRIQELIEQVEIVIINR DQSIEADVKIRELKILIPNIENHLHQTKQLILKKYLSLFQQE >CAK94027 pep:novel supercontig:GCA_000165425.1:CT868675:153704:160833:-1 gene:GSPATT00026350001 transcript:CAK94027 MNKNVYKSEKDYMKRKQKLYQDKLNIILSDIEQFYQIRLSRKQFLIRYFQYLLEFNERQL KGDISPFFYEMVDYFKEFNIKYLFPKQIWTFDVAKSFINSLYNVSDSDIINIFIMLNLIL EGKLNYSSESVLRVLPYEGGNVKFPFEYDYVVGFNFQGFLKLVIQVIPKLFPILNGEGKL THFEFRHLIILVGLGPENQKNSIGYYEIDKYFRNQQTSPNFFANMKLVKEQIKDEKLSHA TKIGEKPKSWKDLLLPRKFESIFLDSQDYVYNEQLKRWIPVSTQIILNNKMARINQFSSN HFLIQKYSQQPSAFQLFKIIQNIPNYKVNLTNEQQEIISYNGDGLVIGRSGTGKTTCALL RLFTTDILFKIRSKLDQIGNQTTAIQLNQQQKDCQLKTIFVTASPLLACQVKRLYDKLVQ NIQDVINNKKMRQQSNQANTQEQNDQEGLDQSTFQIIDAIKNDQEQQECQQLNEEVEVDD QDIDEYEKEMGKFLKFSDVNQFPIFVTLRKFLFLVDTSLINSFFAIFGHKQDKSSQWHNE QFGQMKLSQNNNEVIEKKLEQINQKLMDEIDNSDYIQTKMHEVTQDVFVRIFWPKILATI KLERSVSKCFDPIYVWSEICTKIKGHETSHEYPDRYMNYDNYYYMQGQSEEECSFVYEAF QIYEQLKYQLGYYDLLDLVNHINQELAFGDDVIENVHYLLLDELQDVPRAVLILLDQLTE LGLFCCGDNAQNIAKGIGYKFFEVQNCLLSYRNSKKKVHRNLKIFDLSVNFRSHNNILQL ANSVIRMIEILFPYKIDKLKKETSNLNGPKPIIIKSDDVNHLLSNLCDFFSNDQLIVEFG CNQVIIVKDQESKTKLPIELQNILCLTIYEAKGLEFDDVILFNFFHDSTASIKDWESLND LEPQAEYLKKADYEKFITNHQTEIIASSEQKNNNELVEVWQLKHKNMKEYQQISIDLCQE LKQLYVAITRPKQRLIIFDQSLEKRRIIQNIWTKLNAVKVVDSQGQQKDIKFQLQLQNNN KENWKQQGFKMFRMNNYDQAAKCFKFAQEKQLEQKSIAYYLVVNNAHIANNHQQFLQAAK IFEEIGLLPRAAQCYFTAKEFQKAQELYEQLGQINEMAESAFFAKNYEKAAQAFEILGDL RRSIDCYCLSKEWDKVIMMLNKYKNEFSNSERQAFLNSFFPNYLQNLAQEIQIQGAEQID CTQEFQEINQEDKSVLNQSESFVIQNSILDESENQQDSQVGPRQIINQSKVESSQEILNF EDNEQSQSFQIENESQQNMDHLSIFDPEDEWLKLDQKSLIKSMSSKISHQSEFSNIVLLN QPAQVSLLTSKNNPSISNKILQELIQKFQLFSNEFKNHLVSQKYQSVQLSNRKDDDKEFD HIINFVYDLDNIDIDSIYMILDLLEDFKSYKLCIYLCNHFKLASYLGRYLVSLVSSYSTL PKTKALVLIQMITMGSQRRNLLEQSAISQIAINNILETINPIFLTFKNEEELSLNNSLGL SCYQQLIGLGFWKTFVYQLNFKHSIDLCMSFNNFSDGIEIFNKIQLHRKTELSEEDQFKL KKIQYYQQIRLIQGQQDRASDFAKELDNVFQLTKNYYTNKKQVLEEVDLNQLIVNANIYK KGLSFNQQLKQIEAVILSFDILKLRVDCSDEIFIGLFEIVEYFHNSLISFENQENIKEAL LFCYGFSIPQGEIMSHYSHSLLIHISSKIIKQVVKDYNNKEESQKQNSSLIFVDIGYEYI SIPFEQAIELIKNTFSLKIQLILNNKSKKSMEYYQVNDDDSFSVSSQEDWEDTLAELLVF LMLEQSNKRFQSKTPQNQRKEEQKKLHPLSIYYSKQTESQEYSIIDSEPSQILQLIQRII LKNNLRITNTPNYIKQMIREISLNLIIQNKNSKDNYHSAMIRAINLLNLTDQLSFAVLIL QKQKKGNEAYLKYIEFLECQNFGIIEDAIGCFLDYSQYVLDRFYLDEQLSHLIRIGLNIL ISLLSNSQEKLTIIKAYKEYLNNIQNVEEQNCKLEIGVFQLKNQELIEEYLQLIFEFYQS CCSKYYQDQILQFLMVFAINLLNIQQIKTIKSIIEFIKENKQLKTLQRLQDLLVKETSKN KQEIQHKKHIMSTLTNQLDEDIVEVNVVNIKSEQQLDECYDKCIQVWNNYQSDTDKKVIS IRDLITKWKGFRKDHKTYLSELIKRNLLFKRSLQYFRIGDKRSSGLILCQTLKDLNRYMK YNNSNFNDNLQQSVVLQRQLLKARQNFVNSLDVHLINSFLNDLGEQMKLLMQGNDIHKKV KQLEMDLKGWEQNDEERQIEEKMMELNRQLIVEKWRNLKAGIKVQSKQQNQNLQPKAKKD QLSTIQEVVEEV >CAK94028 pep:novel supercontig:GCA_000165425.1:CT868675:161042:161363:1 gene:GSPATT00026351001 transcript:CAK94028 MSEPSIQQFGKLNIVIQQQLKPVYVSKEERKKSSYQQQHQRKKSFNEVKKVEIPVFMGIN QQSHWMDLWDQFKKETSIDEQNSILERILKLKT >CAK94029 pep:novel supercontig:GCA_000165425.1:CT868675:161812:163344:1 gene:GSPATT00026352001 transcript:CAK94029 MKIPLNLTKSEKNKKTLLISQPIIFQSKASIKNDLMADYLPNSTKTSTFRSNETGNFYNT QYGLGDQTKQFMQNQEQIQSQSNFKRVLKQGADTFVLKQSTQNIFEEANNEERKKYIDYT GGPKYDSDKQDKVIKYSVVGYQQFLKIHSEKQRQYQRLRKLQQNPQTTDDSMFDDQPPTR SNQQAQSIIIDKQQGRKTPKRSKAEQRYLSKRDLNEKVREAEKHIQQAQIEQEKAEEKLP FHLRNAISREERAMKKFESVKAHWENVNIQVASNCQREPEQTIMSRADQYRERNQKIQAI ELSKGEDEKNSSRYWYLKLRWYDHKDNRPQFSLLTQSMNTKSCQKFENRLVNRFLSDFDA ERLAQQQQFVLSDIQANFNTKLIDNPFKQVETVISDNKINEIDTAQSQKTRLYTNKLVTL YDQKLQDQPKKKFVDCKNFLFVAGESQKEREQRMLMRETGKQYKMAEIPNEEPEIIINTW NKKNLAKSGEFMLF >CAK94030 pep:novel supercontig:GCA_000165425.1:CT868675:163402:164711:1 gene:GSPATT00026353001 transcript:CAK94030 MQIFLDHYQWNERRLDRCLEFIQEITDYEFIPSFFKNSVCRLDQLSDYLLKYQIETQTYE LKDLISRLGGFEDKLLFSKFQERYLRQPGNIQKKEADITFLRLLFEEIKLERQLDEQRIK VLKRWDYNALVLFKKLSFGTNLVDLQKLKKFFIRIRQTVTDEEIELLLKRIGRGDANIMT YEDFSQAIKTQRQRSSDKIEIPLMKISTIIKREPQHQSYMEKLRRRYKPLQTQQSVVIQK IENKGCHTPRAKTPTATTRTTETKQVRTRTRTPNQNTEPRSFTNESKELNTLMSVYLNQQ RCLQNVMAQLEEVVVLKSLISKNWHQEWQDLGVNSKNISQELFTNVLTYYDDRQPLQQEI KVTDLQSSVQIVANNLFELLSEQEQQLQKAKLQFLREGNLSSLDRYFHKGYMDNIRQLEV >CAK94031 pep:novel supercontig:GCA_000165425.1:CT868675:164774:165508:-1 gene:GSPATT00026354001 transcript:CAK94031 MIKVLPLLLLLTICFSEDAPVARFAYGNLNLMPRQKAPFFSATAVTPDLKFQKISLSDYQ GKYVVLLFYPFDFTYVCPTELVAFSNAIDQFRALGAEVLGISTDSHFTHLAWLKTPRNQG GVGDLQIPLLADISKKISKAYGVLVEDELDELYGASLRGLFIIDKKGLVRTLQINDAPVG RSVDETLRLIQAFQYTDTHGEVCPANWKPGQRTIVPDQDKKVEYFAKSEL >CAK94032 pep:novel supercontig:GCA_000165425.1:CT868675:165520:166129:1 gene:GSPATT00026355001 transcript:CAK94032 MQELQEFLDKQKDLNFKMLEDMLSSQSLQIHNLSMGLKSFQHCLENFQLKRRSRNKNLFK LYSNDEASIFIHLEKDIQNIYCKSEFALSISLRDYSGNLTKNEEYTVYIKLFSADKFPKE ITVNSQGKPLLLGRTKLLLKGKGEFKKLQITEQSSNFPLGKFALVLYSNSPDVQSLILEN IVVQKKK >CAK94033 pep:novel supercontig:GCA_000165425.1:CT868675:166520:169099:1 gene:GSPATT00026356001 transcript:CAK94033 MIGKDQLQQEELQYDSNISTINCHQLKQQNAFHNLIVVQLFNSQEKINDETIQKIMFEAQ KLSNTRYLLIKTKKDYIGINYYIMLFDHHNNLNTITEGMAILVYGNFNNDNTIYFNQRIK NDHFYVLEPKTLVSATKLSNLAYCRRKQVLKDFFKNQVFGTNPNKLRGNFLHYLFQNVIK SINDYYEYFQYVDEQNTEELDLTQIPVLLRVLFEEIKSKFLIEIYSVKQKLDKDMEISLF QGIINTLIWIKKFVIKQLPIIQQVAEQKYEIKFIKWIENEKNIQSSIFGLVGVVDSIIEV NLTIDNVEQRVCKIPIEVKTGIKLASDRIQVYTYLLLMNEYYQQKTQIGLMLYLNNLDYK IIPFTFFQQKDLLFFRNHFISELCNFNKSEEDYHLLPSLQLEIKTEEEQIKCQKCGIRVV CYGLNLLKNQPKFQFTIYKYQQMEKQFNDQTRHYLNSMLQNLRSEKQAQVFSQIEYEIKQ KQRFNDTHEIHYILSSKNTFLEGQARKILKEQFPTNKELSLECKRTQKSYQFILKKQPNI IQKYLLNQTSRSEVLQDDDLEYLIRMHVQDKTELSTFGSPLEVEDTLIQKIQPSNPFKHY NFITFELATNKKWQRKKEILMLGYTPQFKSKSQLDEGIQKSVQLILDDFKSDLNENQFKA IQLSLLAEDFVLIQGQYGKRRMLTYLLFLLGSTKKKILFCSVDDDILDEQINDFIETFPN ESEWILRLQREKDQIPENNQKYTFEFKRFQDMQEINNKLENKHLYFSTCQQCTDILQSDS YDYCIVDQSTKIIEPQCISCILRSKVFILLQDSEQEQPQVKSQKAKLLQVSLFQRLSQQF KNIGCLVDLDQEGINKQFQ >CAK94034 pep:novel supercontig:GCA_000165425.1:CT868675:169645:171034:1 gene:GSPATT00026357001 transcript:CAK94034 MQIYQVLILIVSALASPITLNERRYFQDQNGAIRIFHGFNVVYKQAPYIPKTEGFDYMES FSEEDCQILSKNGFNVIRLHVSFEGAMPKRGELDEEYLDKILMIVKMAAKYKIYVILDAH QDLFNRQFCGNGFPDWAVDKKDFPFPKTLQIRFNEQGYPILEDCYQQKFALYYSTNDIGR HFEMLYSNQNGLADYYGQFWQRVAEKHKDEWNVIGYEILNEPSPGNFQKGIIDFFWPGLA NQKYLVPLYKLIHNYIRKVDNEKLIFFESDFLDVLGAGFDSNIGGQEYLDKEVFSYHVYC GIEKISSVFCNRINQLMYYLKMRNVNDLKIGGFLTEFGAVGDDEQSKEILDYILDKADSY QQSWTYWQYKGYNDHTTMSTMYEEGLFYEDGSFQKQKLSALRRPYAYQICADSIETNQYY SETREQVVKQKCLFQMRLINHKSLIINAQIANCF >CAK94035 pep:novel supercontig:GCA_000165425.1:CT868675:171118:171836:1 gene:GSPATT00026358001 transcript:CAK94035 MEQTSLDFNIDIQPKRITDHIEPDVDLTVDKYDSVYQNNQQEEEIEAANKDLLVQQYDIT EAAHPFLCVAAVILTGLPGLCFIVLQDMSNTYMFVGLLQLVQFILMKNYFGLKLVGLCWW LEVKGKKWSVQTQSQEQSNQVDQYFFWACLVYGTIFWGIMCLGDLIGFKAIWLPLPIMCL LLTATNFQGFYKCRGDHKKKLQQLKREMAKSGLNIVGMIMK >CAK94036 pep:novel supercontig:GCA_000165425.1:CT868675:171875:174160:1 gene:GSPATT00026359001 transcript:CAK94036 MNDSVQAIQESYTRIKLLSNDVQIKDQTINILQERLQELEEQLSQAIKQKEYGDRQFGIL QEEHDQMFDNQQKKTKQLQQFNQQLLAALKEVQDKNQELLEKVKFQSQELSQKQMTQQEV TEQISLIKDAVKGLEDELQQLTKKNSILKKEKQEAETQLRQITSEKNKFENSIINMLKQL ETENELLKQELEDYRLRLEQQHQELVELKYVKISFEDLEKKNQQLNEQFNIQVQTAMECE KNYALQFDEMNRANEKLRKECEIQHDQYKQQKDYSNQLEQQNKEFSKLFNYIQQQIDETI AECLVTKKKSNVYERLYEGRKSSSATSRKSVPKKKKKYSYNQIQIEEFFIDIKEIIQSLI STNTQLKEENDQVCKNIQDMHKVGEQLRYKIEQLNSEHIKARDQLQLEHQNIIEQQEDKI NQLQDALEQQNLKAQKDHQYIDQLIESCHQYDQQILEKERINQQFLQQKQDLAQEFEELN NQYIQLQVEFEELLVRKAISQNKVALSYSLLSYLLKNKRTQFLFSQLIQYSNYIHYFVLL RNQFQIRNQNIYLRFRKVAYAIIFVKKLKKALYIKDEVVILEFDNNEIIDQTFEKFIPIL HDIEEGKQTGLNIVNKMIKELNFQINKYDLKYQGRSTNSQNYYQILNFAKEDIQKKNSIG SQIDYYQQQLSSLQTRVYQLDEYVQQLEQELSEKVSFSTTQQQQVYLQQSEEQSINKFRT DSSPPQKNNLSVSLQNELMSILSKKSSANLSKAGQIVKQGL >CAK94037 pep:novel supercontig:GCA_000165425.1:CT868675:174201:175772:-1 gene:GSPATT00026360001 transcript:CAK94037 MNQQNLPFIYTSQEGRSYVCEEKIGQGAQGMIYKGYKQDQPNLKVAIKFSDDTRDDELQF LYRLKKTSNQFHHIIQYYDVDYDKNSSFKKYCFVMELGSKNLLEEIQFTNNKDESQKIQI IQQIAFGINEIHQFKHIHRDIKPENIIQVGDKWKLCDFGFLKHQISKNVTQKVGTPYYIA PEIAFQDPTKNVQYNTKVDIWSFGCIIYEFLTGDLFFQGDSQEEVVQQIYSYCQSQISNA REKNKDNRFNRIKNPKLQKLCKCTMTVDPEQRYDTNQVIEELNNLIQDKPIIPKDDANER KTINNNTPNTKFVLQNQANMNTQPQIQAPTFLQSNLGQNSTFISTLQKPQSFQQHNTFPS IQSFPTKDQKAQPQNLPQFQLSNNQQAQQSISQSNSKIQLNTLQPQQFQFTQTFPKPPFQ PQFLTNTQPQITSIINQPNIESTQNFQGNNNQNQNQVQVQALMTQHLKKIVQPNYVDCIL NYYQNQKIDNNCNDAIDYLQIIVNYVNNEVSSKVQNITPLDNK >CAK94038 pep:novel supercontig:GCA_000165425.1:CT868675:175878:177232:1 gene:GSPATT00026361001 transcript:CAK94038 MFGISSIYILDQKGRVLITRQYRNELPMNIHETFNKKLLEFDEYTQKPVMIDKDGYTYIF IRHNNLIFMTVCSQNANCLMIFSFLFRLVQVLQEYFVNVEEESIRDNFVVVYELLDEMLD NGYPQTTEFKILKEFIKTESFQLKEKKQPEQTNFNVVALVSNKISWRKEGIKYKKNEVFL DVIEKLNMLIGQQGNVIKSEIIGQVQVKCMLSGMPELKLGLNDKAFFEAQGRQSRARAVE FDDIKFHQCVRLSKFENERVIQFTPPDGDFELISYRLDIRVKPLFSVDVLIERKSATKIE FLVKAKSNFKPKSTANNVEIFVPVPDDAEQPQFRTAHGSVNYMPDKEAMCWSIKQFGGQR DFMMNAVFHLPTIVSPNRDKFQKMPINITFEIPYFTVSGFQVRYLKIQDKSGYNALPWVR YITQNGEYQIRMN >CAK94039 pep:novel supercontig:GCA_000165425.1:CT868675:177484:179427:1 gene:GSPATT00026362001 transcript:CAK94039 MRQRSGQIMSPARIIQNFQMSTRNQSNKNASQSPLKFLQRNLSQIILKDLVKQDSARKVN HGSLTERVHSQQRSNSRDKPKPLVKSSKVPTESNFPSQQISKGINLILNQKLTNKNKLVL EKGSMTRRNSVEDLLTSSVQVGKGNLSSIDKMELIQEISVYIHYSSEVTQIHRFHPNVTT DKIVSVLKSKSGNYQVVGFATLDENIGFDYYLTVPSLPMNHMIGKTLRLKPIIGISPPKQ LNLSCFQFLHVIGRGGFSTVILSRSLIDGSFVALKLISKSFVIQNEKQDLVQNERDILIE TTNKGSLFTSKIEFVFETKNWIIFGIEYCPGGEMFSYMKRAQKMTENQARFYITEVCLAI GFLHHQQMIYRDLKPENVLIDITGHIQLADFGLARPNMQPEQNAYSFCGSPEYMAPEMFH NDGHNYLVDYYCLGALLYEFVTGLPPFYCEDKNIIYTRLLNEQVTFPKQLSPEVKDLIRL LMIKDPSRRLGSKSGVDDILAHPWFQDVDISKYIQKKVDPPYIPELTKLVFKQPTTNDRI LFEQLNREQKLIIQFTPMFESAFFYQQKRYKAYEFDKNSSTQVTKDTIDSLVRKRTKNSQ NSQQSLLSQQSQSQLSSVICSNDTLKNTKSVTNLKFYQSLLTEPSKK >CAK94040 pep:novel supercontig:GCA_000165425.1:CT868675:179456:180160:-1 gene:GSPATT00026363001 transcript:CAK94040 MSGNKKMDVLISMKAEIQAQKEGQEKIQKLCFENINIGQFTNETIKLLAKYNDLSKNDEQ RKYVWNSMIANCHLQIIQQDSQIQQNYDYAIIIWIRIVSNILFSIFPKVTYLDISGNKKI SQDDLKVLVELKELQHLVFNEKDTDRALLFESIPQLSYIDHKDKAGNEVEDEENEDEEDE DDDDEDEEDEEEDLEDDEEEDDDEEDAEEAEESEEEQPVQKKKKQ >CAK94041 pep:novel supercontig:GCA_000165425.1:CT868675:180201:180940:1 gene:GSPATT00026364001 transcript:CAK94041 MSRPLSFREDSFKLDDPISNLSERVSKKEQIEMKRQLDIMLNRIKLLSIQKSRLQAKQQQ TEDRIQYLNNIRSYNHSHFERVLSIYLVGSTKQGDEKLISATLKGYQKIKLQQQIRLSIQ QQREQKFQQMKLQSLQYDKFITLQRERSKSFNVEKKHKVQLQEQQIQQNLEQFKQIKQQQ FKSQHKQVVLNNYLQKANDFVKINKLWDLEKSILNSLRSSTKSKFKISLTKA >CAK94042 pep:novel supercontig:GCA_000165425.1:CT868675:181082:184475:-1 gene:GSPATT00026365001 transcript:CAK94042 MISQKQLPNISRTPQAKSFHSIEHLFNSSQKQSKQFHDNSLKSLHNISNVSFIRNDKYEV KQDQYYASPLLSKSQMFEVERQSRQRKNEVYSQMLSENPFKFNNDSQEDIVPEYPRKVSS IHVNLFDLRDKEKLAQLLHETSEFSDKLSQHIPQTKPANVIIQRQDVIKLAQWIDYQMKI IVVDSNLKEEKLLFQLEQVFNQSLKELVREISIDCVEKGVLLEKIWNQYVKFNNIVMQAN QQDKINQETEYLNQLKQVHQTYQISVQVYEDKVKLLEKQIQQQQQKYEEKIMDFDNLNSK YKHIISKFQDLKQENDELKNKYCITMNENEDLSIKNNALLTENQKIIKQLNDRINYSLSK QKRGQHGFGDFEDHKSTSCYDLYSTMEIHVNKLTQTNVDKIQQYVQTDYRYFKQVGIQCD NLDMDEQPISTPQTSVNRNNSNPPNSELRKAKEINYALEDRVRMEIQIQDQLRKQKQLIQ REVESQQIKLTNQDNLIIQLQKDIQILQQQLEKQIENKQREMTVVSIEQQNTEQQMTLGP QQTTSSIKTSLKIETQNKTHENASDLNKLSQSQKSKQLINLEASKQSQSSQQLKQTNSTG LSKISTVETKDSKKQIKSFKNSSQIIPRRQSQNSLHVSNSQELDKNQVNEDPLSKLVNDF MIDTKTNFNQRGNIITKLYYDLIGQVIIEIIKIEKGKHLCLMSLQHLQQNPEQIENTISL QNLLKQISFFYKERLEQKYPLYAICYEYFMNQYGLKNVAEQKMTSFCQSLIFYQQNTRIR LFSRFLQLYEGISNEDLDFYIQSLSTLDEHSNPLNLLYCNQTNESIYVKQSKIKKQLMML NEKGQEILEQLNQYHKIIKGEIYVDLDQYYQFCLEQFQYLRKIQKDNFNELFLAIDVDDN QIISIEEFEILFNLIEDNQNLLQYKKLFLKEMQNNDGLNFYQFGMFCMHYNLFTKQRQDI FFFSNQDSLNAIYNNWGNQRTIITSRLKQSNCFNQYYQNLIRKLDNALKNNLSFTWNLWK ILEEQSKKVVLDNIILNIVGNNLNSITEKYEYLFSKD >CAK94043 pep:novel supercontig:GCA_000165425.1:CT868675:185404:186924:1 gene:GSPATT00026366001 transcript:CAK94043 MESQLSFICIRQHTFLDQKYVLQLLPTKITLNQIGKEPKYIIELELQNTISWQLNQMNQL ISFSIMWNNIEKQFHSTHEDLLKLRQTIKNKIMYKTVRHLYKPMQQLGEGNFDEVIYSNI QVYLCIDRINGNSYEIKCLAKNQFEDSITRIHNEISCLTNIRSDQVQNLHEVFNGENTVY LIQEYLEGLNLYELIMNVALDRTQILIIMKQLITAVRDIHSSNIMHRDIKPTNIVFKNKD SIEGLKLTEFHLAVHIYPSQDLRVCGTPGYAAPEKFKDSYNEKVDLFSVGCIFFKLVTTR DVFPGKTSNEILRMNKICNIDFKILQLYKLTPEETDLLISLLEIDPEKRISAEAALSHPY FQSDNSQNDQQQLAKKKSIQGQTTGERSNNLLQKEINEIEMNPEELQVDQESPRISAIPN FKVLKKDSQLQSSQAVRKSSKLKKSETQEYTQLNLNKSRFQARNSVHQTIV >CAK94044 pep:novel supercontig:GCA_000165425.1:CT868675:187111:188627:-1 gene:GSPATT00026367001 transcript:CAK94044 MRSRGLDKKQLKLWYHQAQKYVNNWNYKIEKQVYDFISQNRLLENKNELTAFQKEIIKLS IQVGHKQLQKYLKLYFFQTPHNSCSSFATNNDYENFKISLKNKNVKRNFQDFAKKQIFDG SLSLNYIDSEDDDQPEYVQSNLNKSFDLSNHISLTNIPTQNTKNKPQKPQFQKQISSKFS KQTYSIIPENMFESVESQRDIVCQFKRVVNRPMQNLNEKKIIKAPIVQIYGLIDHLSKNL PLLKQTEIGDQMKRKKDHLNPSLEEFVDYISQTFNILDKLFYNNNNVLNISSSPQKQQFH SSDKQASKTKLTQIFSSSLKESLKDKHYEEKQIEFKDYYTIFGLALNDQDEQQTFNQFLK QESIFLFNCSIIAKRLKQYKLAQKLLQKINDRVISIQVSYSLLNFCKDEPTQLILLIQNI LMDFLVCGISKIVSIPLWIEIFLIRLIKLKGCNYVLGLLSALEDQDTFQLIKKIVLQTEN LL >CAK94045 pep:novel supercontig:GCA_000165425.1:CT868675:188725:189965:-1 gene:GSPATT00026368001 transcript:CAK94045 MQLLSIKNIFEYQDKKLDGVKENLFKNINQLQGLGVPDICIITKQQPINIIKSSYETSSS FHFVQGLCPQSQADVFAYLESVVQNQEKERQNIFFSKVQSITKVNGAYIKVTYLCYDIFS KAFLVCEASFEGQKNKSVFLVQENQRMIQPTQQHWNGAYISNILRAIDEDFKLVGVGRFF NNLCLKNNTKLCKMIESMLELITINVNQFQDFDKIRSNQCFCDINDVLYYLCWPLDILVS HLVKSHQLFILVKELDKIQSNVIFHLIKSMIFYKMKQSQMQIRQYQRSLSCIGNITQKVQ QFNLVKYILGKVLIKLGKYQQAFQILQDTLTNSYENQVVWIALVKRAKLILGIHIQKIKQ LSGFSEPIKQSSRPLRNEDEKINVVRDSSHKLPSDDSISFINQSK >CAK94046 pep:novel supercontig:GCA_000165425.1:CT868675:190404:191481:1 gene:GSPATT00026369001 transcript:CAK94046 MSRNPNLVCSREHHEQAPISGVCLNQQCQQNRAFCVACKVEFHKGHEADYMHFQAYPQWI ATCLKPYQEFTPFQDTVLQTSNKLNDVLNLANNFRNQDISTMSIGQLEQYTKGLLTLKSS EAQLTSLINKVKADIGNLLLLLKPITGQQSASQQQQLIQSQSTSGIKQGGQSIQQVQPPV NAQPTQLNLTFSDKSKISPNIKIEKGGKQASGIGFVICDFVIPKDRDSIFAFKYNSGFSI ALGLCYKERTVEQNYSEKLQKTGHGFYLITSASMSFSHSDEQVNNKSHKFKINPPDVIAL RIKSSQKSLTWIINKEEVLVILQIMQTMTIDLSKELQPFIDICGVVDLVDA >CAK94047 pep:novel supercontig:GCA_000165425.1:CT868675:191528:192127:-1 gene:GSPATT00026370001 transcript:CAK94047 MEYTQVEADFIDMIQIVLKIIYSTQQKCRFSRNEYDFLYYINLRSKVQQILEAILKNIKT SLKMSQNQILDSDSILGSTNSYDKCFYLLVQEFALKLLEKTVKDLNESAFQNHSYKIMDI LSLQHKQKGDFVIMLEINQRKVEELTKESTFQHLLKEQQLRIRGKSLDLEENFELSSLDI YYQI >CAK94048 pep:novel supercontig:GCA_000165425.1:CT868675:192187:193566:1 gene:GSPATT00026371001 transcript:CAK94048 MGNCNINEKKEDLIENNVVSVQNFQFIDAIGRGGFGKVWKVRQKKNKQFYALKVMSKPKI IQKKSVQSVMNEKTLLIKIEIESSFLINMQYSFQDREYLYLVMDLLSGGDLRYHIGRHRK FNEEQTKFFSGCIIVALDYLHQQGVLHRDLKPENLVFDSNGYLRLTDLGIARIWKPENSQ DTSGTPGYMAPEVMCRHNHGVAVDYFALGVIIYECMLGRRPYLGRSRQEIREQMLAKQVV IKRQEIPPGWSLEAADFTNKLLQRKPQNRLGNNGPDEVKEHPWFRDFNWDKLITKQIIAP FIPNGNEDNYLPSDNRRDSDDSINEEQQIMLRRNSEQVDLFNGYDFDNNPVSVPSSQMVV SSTSSSRMTKQPTTTNTPRSAKLALKLKN >CAK94049 pep:novel supercontig:GCA_000165425.1:CT868675:193660:194017:1 gene:GSPATT00026372001 transcript:CAK94049 MVSCAQYCMFISAPGCIFMTYLGFMIAIGSETVYVVPHSRIEGAFALFITAVLYAIFFAI SYNSEYSQVQRQQNVDEWINSQEIREAELEMQDIPKQPQSTLQESAEQLPQ >CAK94050 pep:novel supercontig:GCA_000165425.1:CT868675:194306:195404:1 gene:GSPATT00026373001 transcript:CAK94050 MGNLCGEERQEQKQLMKSKLCLSKVIGKAFVQILQGDILSESASAILNLAHDPYSAQFIK IQVSSINTRPQLIAGIPTITPFHQNGIPFVIHLKIPNNFHLQDQEDQIQAFVDAFELANS QNMECISFTEPPKDIAQNQKKDIYAKLILSAFQFFIFNSTDSKITLLKIINPDQNTTNIY MKELLQIAVISAKQSYDVDHHNNTKEKYKKQNDQPPTQPTLINIEQKANIENIENIENTE QTCFKEQEQKQEEIFQSEDQQDKQQEIKIENNTQEIQQQDTQVQNDKFVVEPPQQVNNVE FDQTNFINDYSKVLVSEILQKSIENLQSSVDS >CAK94051 pep:novel supercontig:GCA_000165425.1:CT868675:196094:197299:1 gene:GSPATT00026374001 transcript:CAK94051 MKLCSSYEVQGLLNAGLDKKEQPIYVLLYNQIIQNKNEELIVNKLQSYFQQFRPFKGFVL IICAQDDLEINSKKVKKLIKQTIKDIIDKLIVISSNQNYGKKEKTIPFTLKLVLKDKIHL INNASYLNLYDIELNQSILRFMCNQIEFQEQQAFYGRDLDSYPVAQSGLPVFLEEILKFY TDNTVHLQKQGIFRLSGSYDEEIKLVDQLLQSNYEAIKVSDPNVIATVLKNSLVNLKTPI FPFEIYAILRETDPQAPINQLIDMFSIFFAHLPKVNRLIVFRLTEFIKFVAQFENENKMG LHNLSIIFAPCFFRTKSANELDIQGAKTIVLHFKSLIQNIDEFLEQQKKLSQ >CAK94052 pep:novel supercontig:GCA_000165425.1:CT868675:197301:198312:-1 gene:GSPATT00026375001 transcript:CAK94052 MLVCLNKSSIKSLFRSTNHSCESLTERDRRRSTAENLDINAYLSSLQMMSDRKDVDKRIF KNLILSKTKTKKTPLRQNLFASNSVKELNQSTQQSASRQKPFTRARFASQRSTILGKQAQ VQDQYDELNSEKLQMLNESLFKLVGQLLKLRDEMNNNRKFYLQIYKLIGLKKSLSKLLFN LETQTIIEPLTVFHLKQNICIKEHVMDGTTLLSLDTYNFNIIRDINFLTEKIDELQIQTE ANGIRQLEHKITDEIYNLKMEQNKMKHKRVLSPKLLFQQRIMRNQLLPEGSMELIEQKFG PFPLIQNKNKIIHNQIQTIINNLNIKFKY >CAK94053 pep:novel supercontig:GCA_000165425.1:CT868675:198963:200520:1 gene:GSPATT00026376001 transcript:CAK94053 MNTLQFKIIPQALPYADEMWQGPEIAHNDVFGYGWLTWEWTRDKPLRGPIYHSLFSLLYW VLSKLNLDHPQMIAYGPRILQCAISAIYDVYLIKLLTLEGIRNKAYVILVNYTLWFSLNC FSKTLINTFETILFTLALYNWVQYLQTNHNKNNLLCRLFVILNVMARQSAIIPWIFIWPY HLITAKTNLKGRFVILVINGITLLLLFLFSISIDSLYHGKLTSTFYNFLEFNLLSGMSSF YGVHDRLWFITQGLPYVQLGWVVSFLIGIYSYAKKGLFSAQPLRLLYYMMFTILILSLSA HKEDRFLLPLFPIIIYFICLGLEYLDKINWKKMKSVLIILAIISNVSFFVLMNTYQDVGA LKTMAVLRQRNATEVQFFTQCHRTPFYSFIHQNISMTFPDCSPTNDVSSLETYQLHQDSA NYIQKKLQQSKLPSHIVIYNYLMNENVQKLLDQYDKIESIYHQYYTDTYFEDQKDIYIHI YELHQ >CAK94054 pep:novel supercontig:GCA_000165425.1:CT868675:200817:201382:-1 gene:GSPATT00026377001 transcript:CAK94054 MSNQIRSHNINDYYHNRLDSSFNNVNITFISTLREPIQNTDLNKTVHDIPSCFGRKTLSM EKRHTTKDIEKLGNMSMYEHLIRNRIGIKPHLGSVIFETSLRYSKKRSFSQNQDQKPQKG VKKERTILPKVLKDGYLEIQEKNINQFLHCLKEEGQGTIRPSQVHWITSLKSERKKKKKR >CAK94055 pep:novel supercontig:GCA_000165425.1:CT868675:201503:202939:-1 gene:GSPATT00026378001 transcript:CAK94055 MDELEKKYQDQINTLQKAIKTQNEVLINKIQTIINQSTLDVFQTLDRNFEEFRLNYREIR DFKQQDQQFINYEYLWDEIQNYGNQNLRTLREQISNSIGGLQENKLATNADQQVSSLLDV MQLLLKAAELYMKNLREAVSLPNPISLKDKFKQSQQVVGGFKDGRIGRFANTVESIAFKE CQILNCSQIFDIFPLLNKDQMLIATYENELFLYDANLNSLIQRIDSMRFVPCSCLQLYKQ KEKELIPSNLMLLGGSEFDPNIYLFDLYNGKKIQTFPGHEKSVRFLCQLGDINTFVSLGR DSKMFFWNLIKGEPHQILPIPTIEIIEMQFQVSTKCIYTLSIDGRICIIKIFDDLQTFGK AEIVNLIELAQTSSLSQMIIEERLRVISFSNGWLTGQNSIRIYDEIPLQTARKMKKIMEI GLESEISQLNTILTKDQLIVISIDKESEYQFKWFTRCLR >CAK94056 pep:novel supercontig:GCA_000165425.1:CT868675:202963:204704:1 gene:GSPATT00026379001 transcript:CAK94056 MSDEEIEIIRSEEEFQRQNKLILSLQTESARLYQVEKQLRKEIQQLHQKLKNIEMDNATS SYENKNWRAQLESNLKKSEAQNIQYELDLKSINKKQEKDRLEILNLKQQLQESQNTIEKD QQIENLQKELFGLNEKINELNQDHKQHTDGLDKQLEATKLQLKQEQQNSVSLSDKVRELQ DDIAKLKEINSQMVTQIESLNSEKIQMINQNDEMHTKLNQALIENQNLQNQFGFSQSQHQ ETQYLLQKMAAESQQQYEEKRQAFQRQYEQLNKQNQEMSIQLEEIKQERAQLLKLVNELN GLNSSLAQKEALIKDMIDKLQISESERIKVEKELQDVIQNHQVINSKKDTEILRLKTKIQ ELDASREKYQKIKIKLDETIQNLFLQLDNSQIDIKNLKDQVNRLQDELSQQQIEKEGYLN QIEDLKQQYESIQQVNIDQQKIFQQGYNQNQQINQKNKKNVNKYKQLQELDFEMQFYFLF LEGLPETLGFIIQLIESKIQEFQKQDEQNQDAQQQDFKKITQDFIREHIGYFFIRMNQVL EKVQIKFVHTVQ >CAK94057 pep:novel supercontig:GCA_000165425.1:CT868675:204879:205318:-1 gene:GSPATT00026380001 transcript:CAK94057 MSTMSRMLIDYTVSPEEVQAKLKNNMLRRFKEIHREHEKEVFKLKQAMKINIQKYELFKE ALKNQNTTGNQKYKRDYQLNDYLSIGVNQASYVLDKASTLHQTLQGQEYRVASISNKAAD YLSIIFVDEQGNLKELTH >CAK94058 pep:novel supercontig:GCA_000165425.1:CT868675:205961:208457:-1 gene:GSPATT00026381001 transcript:CAK94058 MMFFNFQRFFAGFGSVLDPLLAQENCTLETILDDDTLQEIKMNGAQKFGNFVTKNIDTFS KMIGYLSVNEYEEYNEKTQIRYPFMISEAIGNENGPIIDYLFEADKSSPNYEKNEQRRQE FLPKIFDILDRDYLNVTLASYLSKVVCAIIRRRGFDLWRFLSLPIHKSILSNLIKNLDVF HVAEIIEKLIILDTNQEQSDNQTNFLEERSELLRRVIRVFEYKTHQNDITDNCSHIIIEI LNKSELFLQVLNIPEKFFAIALNSSSATVVSVLITLIESIHRYVQQQQEKNPAYTFDYNQ FYPIAQEFKNALLAEKISISAFNTTYGISQQPFSQMKLKLIQLYLSILRINNVQWINQFD HKGIYESLMKFVIEYEFNNQLQNHFYEITIFIFDRPQFEAIQEEFLSLGLLSFIMKHNRY DKEIIGAMNSQITRGYVGILSKIAYYFINKFENNQEWNQYVEKVLEPVRTIENQFMLGVN PKPKIPIDTGDDNMNEMFKNFSKNKYSQSNQQPQIQQQDQQLTVEVEEETEEEQNTDENE ENIMCHHREDDETEQFQDGNSKKPEMLIDVEEIEKFINSPETSPKVVEQIIQFQEQNLKT SPQASEIITDQTVNKYWKAHEGLEHQEPGRKGSHDDTHKHQVDHHEQVKQDQQLNETTEK IEEKQQVETHENLKVEVQDVKVEIINSPEEQQQQGEQQQEEQQVEKQEDQQGEKQEEQQG EKQVEQEGEKQVEQEGEKQEDQQGEQQKAQEEQQNKQEELIS >CAK94059 pep:novel supercontig:GCA_000165425.1:CT868675:208535:210959:1 gene:GSPATT00026382001 transcript:CAK94059 MQKDETYIRTPPIRKLNNGLAIQQKINQLNKQGSIKFSELTKEQPSNQKISYRRQSQPRK PVSASSSLTLAISMQRNLSQEPQTNGTYSVVFANSAPQKRDKRKVQTTNCDQIEEFKNSQ VRLVKGFYPSDINNTKLILTNILQGMQQRISAEKTFGVMGLQVVPFNKIMESNTSTVLTQ QTIRLKALSHDKDREKSQSFTEEVEKKTKCKIPQKCKLKHSINSNNASPVPPKVIAPQSS STQINNFKFSLTDYASLSREQLFQTKLQNYQHFLFLVSTQSGFYTVPQDCLIDLKFKIGR GNNSLLIKEIFKLRWWWNCYKYSELIDSESNFIWTQIKVQNYMDTQNLSTNEIKSYMRKK INPPQSQTQIKKKIQIDHLLRLMNDDSDYKQIERNQKAYFKLINQKGFTILNVHPNLKIH NHIEKNYHLGNKKALYHNLKRYYELTKQDLHSIIPMTFHVQKGSKDKVYLQFLENYKKLP KGSTWIVKPGEFTNRGTGIIVCQNLSEINKIISKKQVHPNGKPFTYLIQRYIEKPFLYNK RKFDIRCYFLITQLNNIFRAYWYEDGYIRTSSEEFDLDDPANLYVHLTNDAIQKYADTYG KYENGNKLSLSEFQRYLENQSKKYNFYKDLYPQLIEIATISIKSVYCKLAPHKKEFNFEI FGLDFMIDSQFKPYLIEINTNPCLETSSPILQRIIPQMVENAMRLSIDTIIPPPDASVWP PCKKHLIFYDNLLENNKFQLIFDEREDSEELIKLYGGLLQHDEIDEMDEEEEEYQSDDEN EKNEQ >CAK94060 pep:novel supercontig:GCA_000165425.1:CT868675:211037:212734:1 gene:GSPATT00026383001 transcript:CAK94060 MQAEEILPDHINDEIYRTLEHLYLRLNEIVRKAEDVLDLSQSVSFQATLKRLNTASRGEN YQKVPKNYFPPPSDQESFERKFKLLRRCGHILSDTMLKVRTSLVELHDATRKQKQDIVKM KKDEFAIKHEKTFPINQMQRNGTQQQQKPPQTILLDEDDDDLMILPKIEFVIEQPQLLTT SIASDPVYYTSCTCLLENTLQQQIPEELKVCIAVESLQYYDKFYLNSTIIQECKTANKIL LQLNGDTNCITKNCIFYEKIYLNMIKYPIVQLNFARIQRGEQIYFPLFSDITDLFNEQTL FLYGIYQFSRSIGRTTCQKVGNIKYLLNLLHTQSTSGLVINAFSPGLDKCQFLIDLLTAM VHLNGKEIVTGVNRLTFPECKRNPRIVLVRYTHKLSQQEQDLLEQNLNDGVDGGYRINES SCKLKRTVIIFSKDKMFIPEVGRSLRHWEIPIDFLRQQCETYHYQYLIHYIDQVVKCKIQ ESLPKFFTVLITLINWKNNNVKEFNQQIIRDFDYFIKNRNYVNYFCLKTYHKVTQIENYL QESLKSQKLICYCKDIVRLLGVEEE >CAK94061 pep:novel supercontig:GCA_000165425.1:CT868675:212774:214560:-1 gene:GSPATT00026384001 transcript:CAK94061 MQSLVSSARFPSASILHKKKSSDFHSLNSNLTRVQSPSGNKMNQAFGRCQTMKTLNSERD PVEITISLILNGQKFIVPISIYQNTTDLYKKLIAKVLQVNPSIVNKIKHFQTHSSVKNYN IDYYLSLELKPLEVFEEQRHLKLEPYYSNPVGNQMSIEDYDILKCIGVGGFSRVYLVRKR DNGLFYAMKLIDKNFILKSNKEIIICNEKYVMEHLNSQYLAKLFYSFETKFYLVFVMEYC AGGELFFHLRKWKRLTEPLAKQYFVQVCLAINELHKFGIVYRDIKPENILLDLDGYIRLS DFGLSKPNMNRDETAYSFCGSPEYMSPEMLKREGHNFMVDIYCLGALLYEFIFGSPPFYC RNIDQIYNSILNDKVQFPPFKDINPDLKDLISSLLIKDPSKRLGAQDGIRAILQHRWFQG CDIEALVKRRCEISYKPMPLKYNFDEMEFSKGDNEFLKRLYDNLRLEKQGKFTRSFPHFE YTNKRMIDYRQVILDQLTKSSQIQGQQGLPKKQQKTQICVSPVSQVKEHSNILKLISGSF KQSSQGKRFNTDTDLIQLMKQV >CAK94062 pep:novel supercontig:GCA_000165425.1:CT868675:215549:216871:1 gene:GSPATT00026385001 transcript:CAK94062 MHQREQRITQRAFSSTSPTTPTMSNAYASAMKNMQDKLKQDGIRKSVDISPQDIIIEHLK QQISELSGEKERLQFQLSKIQQELEMNNKASQQIEQLQVERLQHLKDYQDRVSELIRKNE ELKHDKHELQSSNEYLQRQLYAYKNNEKGLIHKAELKKFEENSALLNTIQDYKQKLEYKD SMISNLETRIDYLDKELQRIQNEYENYKLQHPQIRLNDFEKQINNLRYQLDEKDRVYYKS IDEVQTNRICREEQMAKRIQELVVKSLEYQDIIQQLTLDYKDLNMKYQSIRIKFDQEERN QRYLKQDFDESYVNQGYKNQKTLNKLNLDQILPAYFSPRVNENTRQSQLKQVIRDCLEDM KNTGQSPEKKNVARKRLTSPISPQQQNEIEIKLKFLNERYETLIRQAQQENDMKSKANIR KQLLEIAEQIKEATKINKNI >CAK94063 pep:novel supercontig:GCA_000165425.1:CT868675:217034:217906:-1 gene:GSPATT00026386001 transcript:CAK94063 MNAQLELTMLNENRNQQKAKITQLFIELQQLQQKYLLISGKNIQAQNSTLSQNQNLIVQY KKTGNLFSKFRTEIIVQFTMYLNVKQILQLRKVNKLFNLIICSSLPTRIIQYQTLLENIQ NSFKNIPTTINKIPDDYQMEQNALIDWEEVWSFKEINKIYGSKELEPEIFQVFKFMYISI YPDFPEDINLDKIKKMLTRESLRYDLPEWSRILTEKQISALQDVMLLDAQKINAQYKFSG YVCLYLQRYVKYTKTDSFKFLVQSSELRKREKQIQERLQILNKLNKKINK >CAK94064 pep:novel supercontig:GCA_000165425.1:CT868675:218079:219607:-1 gene:GSPATT00026387001 transcript:CAK94064 MSFVYRSRNQSQILDPSPGPGSYELDRKWERMSTQIPSLPLVDIPRKLSPGPGAYDYKGS SQNSQAASAVFKNEEERFKDEKCGLVGPGYYDIAMLQKHKYPQSHRPKVIEQLMYAGTYQ TANSIPYEKRQQANVGPGYYDTQLSQLNKHASLVSNWSRKSSKHEEAENSIGPGHYDVDR FYRYRDRKVGQQVKFSASQEPKFYVKKGLDNKIYYSFQKSSTPDSDSSQYEYLDDSTPGP GYYQTQSTAISTGAKLLTKAPRFALKNQNIPGPGQYNPAMISISQQVEFPKAERFKQNSN SVSPSPVTYSPKTSMKDKIVQKLIQSPNQEVGSRLPRFCKKKPKNTPGPGYYYSEANKTE KKEISSSPFKSKVKRTSLTSTEDVPPPGFYDTSLGTIQEKLKSQIEYNLEQLIDKPPFGI GEERWKQAIIEESEDERIERTSNYRKPMSKDPPAFGQGQQRFQHQINESPDPLSYNIQLQ WVKKSFNFQQIKK >CAK94065 pep:novel supercontig:GCA_000165425.1:CT868675:219628:221138:-1 gene:GSPATT00026388001 transcript:CAK94065 MSSKAGVDYVLRNQSRFQRANQRRQSGECYQIKGAIPTIKKILEQNPKYVTLMSHIRRPD GKRVERFLPQNSCSQLTSQTIVCKCWKCQINLLENLRFHIQEEGEGRDANAAWIKTDKQG VKQFRKELSALGDIYVNDAFTTAHRAHSYMVGIDHKVRKELEYFLKALETLQRPFVVMLG CAKVADKIQLLESMIDKAWHLHSYQNISIFLMEKVRFDDVYNFVDGILTKAKERILKIRV PVDYLNDGWFGKVEGSLTKRENVEVFGRAKTSLYFVDLIFNLEFQQVKDGSLGILNALVK QTSSGATTIVADIVDIVDSYQANDKLNYVSTGSASLELLEGKILPGVEYLTNIKDLQYMI LITQFNIINILNGQAIAITNRPHYARQCLRCLDSNKSINPQLSQYVKSIADCATALKDQV PIIAIETGEQKTYVIKPGSKFSLCLLA >CAK94066 pep:novel supercontig:GCA_000165425.1:CT868675:221200:223497:1 gene:GSPATT00026389001 transcript:CAK94066 MLTLLLLQVVLSQKILDSPLEQVVWCKSETFILTAKGMLYKNFTSEMPKFISNFTIREIL QSEADAQVVYFIGDFDTSYVSQNCGKTYTKFKHDKTLHDFKLNSLEAHSLMAFKDFRCNS STTLCKDKYKKQIYVSNDYGANWRMVLNRTRDAIWDKLIELPEIPDSRIVASYLNDKGQL QVSYSDDYFNTFKLLRNNSYGFYQTKEYLFILIDADSFSKGYDLEVIKQGTLTPVELVLP VEDHQKYTFTVLDTVNGSIFISISHIEDMPKIMNIYLSDSTGTKYTLSLMNNVRSLDTGN CDFEPIIRGTYIANIYDNSEFEKFKMRRSTKNTDGIKRLENYKQTRITFEQGGEWHALKA PKYDYRGQPIQCNGDCSLHLLGRSEAPRNRIISHSYIAIGTGNTGIYLGNEYKTYLSRDG GHTWFEILDGMHIYDIAENTGVIVFVSDEEQANEILYTWDEGLTFQRLKMNATFDITNVV YKNGKFLFHGIQDSKTLGVAKEDDFTSNALKGVVVSLDINQIMVRECQGFDRPGEQDSDY EFWYPSNYAGDKCLFGQKEKYIRRKRSSQCYNKLPNLPVQTENCPCTKADWECDVGYKRD IYSNCVPMKEIKPKACKGTYLKSQGYRKISGDECQDGVYLGPIETKCSEEDQVTQKDNIN IKQGRVSDIPTYTEPIKPQINKPKTTTIEKQADGGIKYSYLIIGFICLGITYFIKKKYFD VKYQTKKRQPAYYYPVKSQEKQRLFAEP >CAK94067 pep:novel supercontig:GCA_000165425.1:CT868675:223538:224257:-1 gene:GSPATT00026390001 transcript:CAK94067 MQIDQGSILQQTQSNSEKEQSIIQTLLEQQNEQDHIQIQQSIQHPTLIQQDDIQNLKIRY SNQSKHLWIKLNQTRTEAKNVLQDLAFALCEPSIPNNSIVQFTFKTGEFAGVIIGICEQK NFNCCTISPQDAYLLNDNGQASLNFDEDDESEQIKSEFEWGCNEIINVQVDTKIGKMKWI KVRTQESYIVDIDPTKCYFPFVGLNMQSSIQILDQT >CAK94068 pep:novel supercontig:GCA_000165425.1:CT868675:224405:225583:1 gene:GSPATT00026391001 transcript:CAK94068 MSASPLIKVASSAFTNGSALTFKKKNLSNSQTQNFMVGNSPLKSLDENEQSPSKLINIYS DSALFNVGNSYSTVKNAPIIEEEKTHKTYTYSQMPPEEAEKAYCERQKIIKEQIKRSKNS GKFKAKKRVDTNKSTLKSALKKQQLDYSTAQSQQIDISRTSQTFRRKSIDQPIQTVPKPH PKVNKIIENNNSNKSTKQSQLNSSDFLQQSFHQQLQSKKQQLLTEIAQLDKEIVREKEKK QQSLVQSINISPRGQKMKQNPTQKDDLKSTYEKRQQMKKVADKEKQRKEMEQCTFKPQLN SNTSRKLNTSQSSKFTPLTSPKQTKVTHPIKVPTRSQSQEERVVKKDGLQNSKEDLNCKE FVLIVERLQRMNEKYNMILEQSNKIRNQLKQI >CAK94069 pep:novel supercontig:GCA_000165425.1:CT868675:225605:227675:1 gene:GSPATT00026392001 transcript:CAK94069 MDIDELLDEIVLDNQYKPSNSRDRSRRSFVDPPKLETQKISNDPYEFDSILKSSDSPLDK QQTYASRQRPQTAIIDESKKQKMADLFQLPKPNVAKDQLPIQPIDDSTNATSDFQQTQSR RGKMRMLSQNKGQPQKSGMDLSQDPVFNYNQQSEIEKQSIQSDYSNKQNKQPDIGFNINN NQIINTNNNNNINNANLTKLQDEIQSLKQDRDKLNQEIQQIKNEKNKLQDELDIEKRERT RLLQDKLDLEKQFIKEKDYLKSEFEREKQRILDTAKLENELIKQQLGEQKQLDNLAEKIN VSAKELELLKNQLYQKHEQQTQEKIKQLDTKKLEIQDKESQLEKEKSYLENEKRRLQNLQ DDLSRREQLFQASIDGEKKSLQSEKQLLQDIKDSLRNLELETKKRLEQENLLLQRQKNEF DVQKHELNSQAQSKLRQLEADRQIFEQQKLEYSDFTQKNNEIIQQRYADIEKQQQKVAAK EMQLINQQRDLDMKNNQVNSLHAEYSRKLNLVENERQLLLDKLKEINEKEKLYQQEISNV QEFRQIHQQEKEQIQKQKIELTQQLTMNQQDKIQIEQEKSQLNMMHKTLSHLRQEITQNS LQGFSQTTAPPMPIRLGQKTKMEKPRSAQKIPFNTTQNSSMNQTSSNFDVGSYMKYLKNV DYLH >CAK94070 pep:novel supercontig:GCA_000165425.1:CT868675:227858:230081:1 gene:GSPATT00026393001 transcript:CAK94070 MNQQRCPNKQHNLYRHQAIRYKEDTVIDSTHTKSQTSDQKISKISEIKVVNDFSIDSQCL DRSSILEQSLEHDLRQWQQAYKIDNLIVQKLEKGSIYELSIEIKDIDNQIVQIATQFDVC MNLQQKTEAKFREFQQLMKGTQFIKFSRSNCKKWDQRTIFYCSFLDSICWKSKTSKFPSA KQTIPIDSIIQIHTNYNKYKLIKKNFVLPPNAEQDCFLAIEAKNGVRLELLAENKDDQFL YAKILNLLINKERNTKNQNQFIIEQYCLDINLIRKNLEGSKKNFLETIDKLQQSFISTTS TLLKQEREKTKTIKKKSQHLAFQSKLQLEDMNKENKIQKKQIVELQLENDYLESRIEQLQ EYYTSRQLQIKSSANPQQVQLYQIIKDIIGMNGDQSIHNESQYEYQPQDYHEENVKNLQK LSTLYDRQQSTEMELRSFIKELQEEKSSIATQLQQTQLDLHEQEMYIIELENINNLLQTK LQDVNQILEFKEQQFSNLVQDMQKLQQEMPKLVNSNSQHSISTETRRLQTENDKLKDTIQ YKIKELKNQMMKEEQNWMNFFVQIISYICYYISPDDLSSDINITIQGLYYKLCEKAYGES QSQLDNLINVIKQKANFFQKQYNEQFQQLFKDQQAILCSVASRLPSPKTTNSRQSSNLTL QLDKTFQSPSRVFVKNKAKLSKDNHPLDSIQIQYLTQLIK >CAK94071 pep:novel supercontig:GCA_000165425.1:CT868675:230105:231185:-1 gene:GSPATT00026394001 transcript:CAK94071 MTDTGFECSLCMKLAIKAQICEKCGSMYCKVCLQEIFGKNYECVQCQSIQFRSIKKSALK EAYNEILTKSQLVQAKKVEYAPLKQVKQAIVDQPMQQILIQANCLNFEICHQSINQQFIN EKVCSLECLYFCKLFPFVEQKDFARIRKEIINLEQSIKNKEVIPIFPKMPQSLAFSQTGL TNFNFDKCGQGIVIKQSVITLQEEDYTFKTVTSSVGFQKGIHFWKIIPLAMTKNEMKIGV STSDKYELKTAFSDYNFGYAYYTVGQFRNGSNANGFEYGEKFKNSGEVGILLDMNRGVLA FSYNGYFLGKAISSDLLKKGPIYPCVALLHQAGFEYKCGIPIPQNLLEQFLK >CAK94072 pep:novel supercontig:GCA_000165425.1:CT868675:231222:233459:-1 gene:GSPATT00026395001 transcript:CAK94072 MYPQQSSLARLHREYRLIPVGIYSPQKENLQRLLPRYPESVDVASNSSKFQGNVSLPALP PMKKLSRVEQTVQIYKGKANKLPSLQLSDQQIQRKKQISETDGMRKSITPERRQGKRNSV QIATRTKAGCQPNKATKINQDATILSPKNLENMGYKFFAVCDGHGQYGHMVSNQIRQQLP KHLGRLLKEAGDLEIQISKAFAITNKELCNSEIDTNLSGSTTVSLLITKDQIYSANVGDS RAIMCRFDDGWKVVELSRDHKPDDPQEKVRILDAGGRVEQQKDFHGNGIGPYRVWLSYIQ APGLAMTRSFGDKVGVQAGVIAEPEIKRFSISAQDQFIVIASDGVWEYMSNEEVMSIVIP FLEKDSPDQAAERIIIEATQAWRRQGTILLVQLYSYKNENFNQSQNIKVSMSQDLFPNEP NLNTANDVESYIKTLLQYQDSCEKSAEYMQADAAQKRIVELKKQLVQRRRKEMQQAHYQQ EQEIERAHLEEFDQFNRFWDEKMQKFNDEASAVEQELLNKQQNEFNKVSEELERTIPSKP KESSDVLNLKKREEYLAKQKKYPFSQFFVMLRLRELKNREYQIERTNKINTQLKQLKMRY RNEINALQQRIKGGQDEQKKNRTLELEKLLQKYQNIKKELEIKQKMDKLAFEGQFTNKGS SNQSVYMSQM >CAK94073 pep:novel supercontig:GCA_000165425.1:CT868675:233502:235708:1 gene:GSPATT00026396001 transcript:CAK94073 MPAECVKVIVRVRPFNQKERDNGSKLCVNANESTNSVELFRSSESDSKQFTYDYVFGPET PQLQIYQQTAFNLVESVAEGYNGTIFAYGQTGCGKTFTMIGDPLNDNMKGIIPRTFEQII SIINNNSDSNKKFLLRCSYIEIYNEEIHDLLSKDVKQRYELKEGQQGVYVKDLNIPIVKT LQDMDKFMTLGAQNRSVGATAMNKESSRSHCIFTVYIECSMTDDKGNERITAGKLNLVDL AGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDGKTQHIPYRDSKLTRLLQDSLG GNTKTIMIAAISPSDFNYDETLSSLRYASRAKMIKNQPKVNEDPKDAMLKEQAEEIKKLR ELLLKQNQDTGDRQKVDNNGRLNNVDNSHHEQINQFKEINNQLLQEKQRYENEMKEKSEQ AEQERLARQRLEELLKEKEQMMIKGGKGTDDDKKKYKKMQLAIEQQKKDHEQLKIQQEQK EKEMLEIEYKYNSVQDEVEKLRKQVKYLKNKYEQQQQEQNEMKQDFEYDKEEFLDTIRSQ SKEIKLFSGILRMILLQEDIEKITNCCEWNDDVEEYKIPPFNIKAKKVNFPNLPYQKAMD LIDVEKQERQVEIHHRPLPSSYRDVENTRIASPGRQRKGESQPRMNGNNDNKGMSIVEKT KLNQQLLEIYEGKSNKTQKDDQLEDYIKSY >CAK94074 pep:novel supercontig:GCA_000165425.1:CT868675:235944:237261:-1 gene:GSPATT00026397001 transcript:CAK94074 MAANQDQIFDEQSQEATINEEYKIWKKNAPFLYDLAISHPVEWPSLTVQWLPKKETPPGQ DYSIHKLIIGTNTGDNEMNSLMIAKVRLPKDSDVQQDPSEYKQNEPSGIGKATGESRIEI DVRINHEGEVNRARYMPQKSNIIATFTSKGEVHIFDYIKHPSQPSNNLVKPDLKLVGHQK EGFGMSWNEQKLGHLLTGDYDGKLCIWDVETNAPEPKQTFQANNLQIEDVCWHRFHPEIF GSCGDDRHVRIWDTRKPSPLSDIQTHAGDVYCLDFNHFNEFCFITGSEDKRINLFDMRNT EKPFHTFESHGDQILSLKWSPHNMRIFASSSADRRCMIWDFGRCGRAQTPEEAQDGPPEL LFVHGGHRSKVCDLDWNLNEKYIISSVEDNNILQVWQLGAHIYQE >CAK94075 pep:novel supercontig:GCA_000165425.1:CT868675:237303:238290:-1 gene:GSPATT00026398001 transcript:CAK94075 MNKLSPKEKRSIKTIYSLAFKFVGYLLIGFFMALYIGIIYSFIYDTMLLYYLVYNNKLLV VVLMLVGLIEGFNVIFNYGLVLIVSPGLTSEIFTKRDDKEEGPFIYDPIRCQFNKSQWAI KLDQSTLKYCDKCCLPKPQRAHHCSICNKCVLKMDHHCPWVGQCVGHQNHRYFILFLTHI AIGTFYISILNLNLVMSNKFEEYRVHQTKEFSIIWPLNISLFFMLSAFAGWNWFLAMRGV TTLEFWDKNNDFRKSKRIQNLQQIFGQVKNWIQILSPSVRDLPSNGVIWYETQVEHSKIL KELQEEDEEEIIG >CAK94076 pep:novel supercontig:GCA_000165425.1:CT868675:238412:239395:-1 gene:GSPATT00026399001 transcript:CAK94076 MQRLQGATLSLWSSFTPVPQYAFATLNLKQLKQRMKSVGSIRKITKAMKMVAASKMKQDV QRLENGKYFGVRSLQDLFAQETYLQKKQLTFKINKTLIVPITSDKGLCGGINSSIIRETR SIVRQNRNAYKLFLIGEKSLGALQRGFPELLTQAITAIQTPINFVNSSSIAHQIQFNVTD DIDQISIIFNQFKNVVQQVIKRVDLLNRKNFIAQFRLVTKYDTAEPEKEFVQNYMYEYYV ATAFYHAMLNNFASETSSRMNAMENASKNAGEMLDKLTLEYNKVRQAKITVELCEIISGA SAV >CAK94077 pep:novel supercontig:GCA_000165425.1:CT868675:239449:241070:1 gene:GSPATT00026400001 transcript:CAK94077 MLHTLRSIQAPFTLNNTARALFAKFVRDKPHLNVGTIGHIDHGKTTLTSAITKVLAKQQL AEFQEYGKIDKAPEEKARGITINSATVEYQTKTRHYGHVDCPGHIDYVKNMITGAAKMDA AILVVAATDGCMAQTREHVLLCRQVGVETIIVFVNKIDLAKDPEIHELVEMEIRELLSKY EYDGDNAKIVKGSALLASNDQEPELGEKSILQLLETMDKEIKIPQRPIDKPFLMSIEGTY HIAGRGTVVTGTIDQGKASIKDNIEVVGYGKPKQTAIVGVETFKKQLDFGEAGDNVGILI RGLTRDDVRRGQVLCKPGSLTTHNCIESNLYILKEEEGGRKKPFPNGYRPQMFVRTADVA VTLYRYARRYLHCKLNLSYNLPLYQGQRFALREGGKTVAAGVISKILPDEVAPTSKKQIL DKQEAAEKKQKEADDSKAAAAAKTAAAAAKPAAGAKAAGGAKPAAKAPPKK >CAK94078 pep:novel supercontig:GCA_000165425.1:CT868675:241102:242387:1 gene:GSPATT00026401001 transcript:CAK94078 MLRLDQQQQVTSHPTPQQLYNQEFEQPNEPAMYMSLNYHKTHQQIYSQASQFSQHSKLFD LRKKYLKNREDTQRRSVSHHSRIPPNRSPPKTQQTKKQYSPKQENCPLQQKKKTPPVSKN LGSNLRNILKTNFAQQPIYEENPQNENIRKEYSQIVQIPEEYQSINSYTFLKTLGIGATA EVKMARHKDLEIDVAIKIYDKKKMNNMHLKNLEREVEILNQLKHPNIINLYHMYENEKSI YLIMEYSSPTNLEMFMKGRPFKRINEDEAKILFRQISDAVYYMHEQDICHRDLKFENLLI DYNTRKIKLIDFGYSIKVNGKQTCSCGTPQYMAPELVKKGSYDQSVDIWACGVILFKMVT GVFPFRGNSEKDLNKKICLGKIEYPSFVSFQAKQLISNMLKVDPKERLTMQEVQQSQWLQ >CAK94079 pep:novel supercontig:GCA_000165425.1:CT868675:242396:243435:-1 gene:GSPATT00026402001 transcript:CAK94079 MIEERDIACQTTLEYNEINENNTDVQQQQIQSPIKQIQVRQRSLTTQQNTKQLVILQTRT PKKQSMAHIADLKQQILDIAQKFDEIINKEQSQKKQVPVKSQEIQDQESIIKDQMIEIKS LQNQIEINQRRLQISLEDKEITKLEDKLKYLQELERILREENNSIKKIHNQQQQALNQLG INEQDEKLKMDKLNILKQEKQKTKQLQEQLRQLENQSKQNHNNCYKQVEKLRWIDNRVLE YNKKKKKKPISKDDVEILESQLHELVQEIEQKNSINSSKLKELERERKQLTMDVEIRERQ LKEKDKELKLTIMKFNENKRFIIKNEITKQ >CAK94080 pep:novel supercontig:GCA_000165425.1:CT868675:243541:244505:-1 gene:GSPATT00026403001 transcript:CAK94080 MEQEISGWIDDADQLDRMKFQRQKEEKIDKVPLHQNLRSYSLCQKKEDSEKLLTSKSNLF TDKENSSQYVKYKMQKKQNSTIEELKKVMEFLSINEQYRYETHRAFDPNDDRFIKQQQQL IEKQKQQQELKKNKFKLQLDIKDNYQSMPKSKRFLQPLTDVQSTQKMNSERSQCNQIQQN GNLESKSQTQTKFKFPKFIIQQQRQKRQHYKTDEFIGNKDQLEQQTKHFIQINRIFSNNN LTDSKQTIYTPKTVTSTKYQVQLQQTISNLNQARDNAYTYDLLNRKTPSIQENKEKQKQL KLLEIALKCKNIK >CAK94081 pep:novel supercontig:GCA_000165425.1:CT868675:245188:245583:-1 gene:GSPATT00026404001 transcript:CAK94081 MNKSSLGPKLQLQINIHKGHSDDLIPEEPFIDMNKKQQSVESKQRYDTKGRQIVKGKSYS IDFQNCVIVCVYDPNEEVLQFKETLSQLSNVELTKVNFKYHESFKKQQQYAILPSKSILK KTNQLNAIKQK >CAK94082 pep:novel supercontig:GCA_000165425.1:CT868675:245823:246877:1 gene:GSPATT00026405001 transcript:CAK94082 MGSTCKNICKPQLAEKELNINVVKKAKSKKQQQQHSNGDSKTDPYCSSQLLIKEESIGDE SKISQTLKDQPQFVKTKQINSIAIPTDRKNRFLNDQEQEVKYLIKQNLLKDQEELKQLQI EFEKQKQLQQEEKDRQEREMEKTQQELENQFSFQNSIKQTQIEQTYVQNTSNPYLPRQTS QFAPKKADCDTVSQKSQISKAPTIPLKDQQVSPKSDMMRKSALKKSKQSKEEVASNNASI GTPKNETKKKYQQDTFDKMFSECSFDERSQSGSHKTVKSVKSILKKNRSFSQRSISMGKN SIQSSHTVFKMKQTKKVRFSNDTNFNNERKGVPKLKRNWWEF >CAK94083 pep:novel supercontig:GCA_000165425.1:CT868675:246909:248234:-1 gene:GSPATT00026406001 transcript:CAK94083 MDAAEVQHDGSSPSKKVKSNPNALNSLREHTVVVADTGKINDIQKFQPQDATTNPSLILE AAKLPEYQALIDDAIQKGIKAYQLSKQPVQKQPKRTNSRKKKDEPENQEIVEPELPQFNF NTLNPEEQKSVIGLVTDQLSVNFGLEILKLVPGYVSTEVDARLSYDKQATIDKAKRIISL YEQAGISKDRILVKIASTWEGIQAAKALKKDGISCNMTLIFNYHQALACAQAGVRLISPF VGRILDWFVKNKSGEDYTKQNHPGVKLVTSIWNSFKKFKYDTIVMAASLRLVDEAAELSG CDRMTIPIKLLNDLQGLEGTVLEPKLRVENLEKLNIEKITVDEKTYRWEMNQDAMGTEKL NEGIRKFAQDLETLENVIKQKLTQNNQ >CAK94084 pep:novel supercontig:GCA_000165425.1:CT868675:248312:249626:1 gene:GSPATT00026407001 transcript:CAK94084 MSAENEIQEERNNEEQYRNWKQNIPFMYEICINHQNNWPSLTVCWLNELEIDQNDNEIHR LIVATQTNNQEQDYIKLLKVAIPKKLDEQLDNTLLNNIWKTQAVGKVQEELQIPVEVEIN RVRQQPNNQFILAAQAGDGEVGIYDLSKQSKVFALKGQEKEGYGLSWNLTNSGQLLSASY DHNIYHWDSNTGQLIKQYNFHSAEVEDVCWHPQDPNIFISCSDDKTFAICDIRTNQGVTI KQEAHSQEVNCAQFNNFQSNIFATGSNDAQVKMFDMNKPEEDIHTFSNHEDAIYSLQWSP HQRNLLASGSVDTKIVVWDYYKIGNEIKAEDEKDGPSELLFYHGGHRSKVNDLSWNVNHK HLFASVEQEKNILQIWKIQQQLWEEDENDEYIQSLV >CAK94085 pep:novel supercontig:GCA_000165425.1:CT868675:249718:252305:1 gene:GSPATT00026408001 transcript:CAK94085 MSKFLQISDIESEIQSENQFNQQHCFKSKFFQDLDLESDHENQINNQNDFKQQNCIKPTL KQDNQNKSEDEIYNHYLIIDNIHNQVSDVNQIDQTNEELKRIEKKRKKKQRLNKKKQQNQ QIQQESINEGANKAEQIKHGAQLALEMQEQRRIIQQENRLYLIQKQKEEQLRIDEQRRNE IYNREYQGIFRCACFDKPQNPQNQKEKQNKLQDYTKKQQFIQQQYMNIQQQQQQQQQQQQ QQQQQQQQQQKKEFYICSILGDFESGKTTIIRGLVDFMKRMGFQVELFGYSYVCQPTQNN NNLFVQMQSYFPVRYENQKFIFYDQPQQLKNLQTFPNCDIAIVIIDLMQGLESQSIKDIE YLKLRKIPFIIALNKCDRCFENRNFHQLSYQKLQDQTKNSKCIYHNHLHSILNQLAQLNL SAVQYWEQVDGKANIPLVPTSGNLAMGYEAVLATLVRYLPVKSISNNKINFLVLNNKDIE ENESILECLVIDGHLKRGEYLLISDDGYPTSVIVKNLQVIHQEISKKYFDKNWVSVEEVK TNLAFVRVITDKLYSVLLGCQFKIANSDEEVQEAQEFIKNQFINSLRYRGFCFRRGFTSQ TNAIFIVKQYLDFLFQYWNGFLRSCQQQQFNQKFACILAYGINIQQDILEIAKYHQIQII SDDNCLSLISNYQKYVKSVIEVKKEQLKGTTIFPCLLNVNVTEIIQMSDPMILKIQVAQG ILATGTPLCQFSRKTAGLKQIGVVRRIEQKKQVLNWARIQTGSVMITVENNVDMNKFQCQ FLSMPQLASIISRKDIDIVKEYYREDFTIQDWQMVRELKQYFSIV >CAK94086 pep:novel supercontig:GCA_000165425.1:CT868675:252786:254643:1 gene:GSPATT00026409001 transcript:CAK94086 MQKVYRRNSGYSSGSGSEQNDFLSQPQQQQIFQTSQGNWQIEQEIVKSLLSEQQGMQLEE KVEEQTVITIDQEITDPDALQVELLNSVHLNVLPRQKAIQVQEYSQILPVVLQIQSLKSQ LKKQRANIDLMCVVDVSGSMNGEKIKLVQNSLRYIQKILKPTDRLALVTFGTQAGINLQW TRNIAENKKKIKKAIKDIKIRDSTNIASGVALGLRMIRDRKFKNPVTSMFVLSDGVDDDR GADLRCQQALHQYNIQDTLTINTFGYGSDHDAKVMNNIANLKGGQFVYIDQIQRVSEHFI LAMSGMLSVKAKNVILTVKQLNNEFKLSKIFGDDFLWNKISETEFQLTLNYLVDEDKKEF ALEIEIPGFKQQELVLENIMQIDLQGVFIGLNTAFKKSSNLELEFSKTEVQYQPVELVEV NYLRAKAGDRIGQAKELANSKKYDQSIQLLNQMIDEIENSLFKDSKQLVVVLKDLNDIKQ VCKPQTYERDGEAMMLHKQKNHIQRQRSINNSEEWCEDEEEQMNQFKNGNLNLSQSYSGG SCKSIGNVKNVVMKLDSQSDGSYSARNIDPNEDEDELEIKQSPKILKIRGSRRASPVIRK QRDSRGSSVE >CAK94087 pep:novel supercontig:GCA_000165425.1:CT868675:254807:256762:-1 gene:GSPATT00026410001 transcript:CAK94087 MNEDWTTKKVDKYVVVNKTLGQGAYGIVYRGFYQEDETKQVAVKTIKIATISDTPKMIEL IKREIAILQKINHPNIVRLYDVARTNNYLYMFLEYCADGDLKDYMAKKEEKRLSELEAVI FIKHIVEGFKRLYKQKIIHRDIKPANILLHQGVAKITDFGFARVMDSEMNDPAYLSRLGS PLYMAPQILEGQPFSAKCDVWSVGVMFYELLYGRTPWSAENAYSLLENIKKQSLKFPPKP VRSQKIKELITLMLRVQEKDRISWEGVFEDPTIKIDEETIKENMKNILKEKDEISKSISL NKLYIDQNLVVGYLAKNPINTLENSNQQTLEASAEARSQSAKFSSQFDSDSNADIIMSNY KNEKKRRDAMLKYNNYFLFERNIAFFFNFVIQKIIKLQTKLQLANDNYFRLIYLIAKNQM VHLERVNQQLQRKDHDKFDKETWGRFLASQEYQKLISLISMDMKHSLDFYTEISKKCNIV QQEELVNGKATGPTSSLIKNFLSIQNNNFEANDTFHMLYRDIIVENLKNIKNLNSKETEI NLLLLYLLICQNPYEEFKDINYDFNTFYEEIENLTLSEVQEKLQKKGQK >CAK94088 pep:novel supercontig:GCA_000165425.1:CT868675:256977:257789:1 gene:GSPATT00026411001 transcript:CAK94088 MLIFFLTLLNSLLAQDQASLPAPTLSYLKGSEFQQLMETLIGKQYGYTKAQLAEIGGQSV AEYILYLSRIDVPLKRVIFIIGQDFSGSSFQNGYFGLAAARYLAQRKVEVDVVLLYPNDP LRSRLAMQCKSHSATLHFDILQNLQEQLNVQMTKNILANWLNDYDLVVDAISLSSIEQPK TPVREFMHAFSEVNKKVLAINLPVGWHTDNGNLYNVYVPQFVISLGLPLKGIKEFEGQHA IGGRYIPQTNTFNYVLPKYKIEDSFHLLQK >CAK94089 pep:novel supercontig:GCA_000165425.1:CT868675:257812:259144:1 gene:GSPATT00026412001 transcript:CAK94089 MFQKFGQQLKPIINRSSSENRKEQSITKPNYHFTQKRERSESKVQQSSVEDQNQNSLKQQ SNSNQVGPAQRLTVKLPDLKGYQKQMEKSTSGADLTKKPPLLKNRLILDSKTKQHLQGQQ SSLTQTLPIKSQREESQTTQQQAKNKIKITHCSQSRAGFDGVQEKTNQDRELCLQLDDNN YGFAVMDGHGMDGEYVSSFIKELLKYNLTKFYKAFDFQKVFFEMHQKMKFQTEFGCQFSG TTLTVILIRENTIHCGWVGDSRAILVKRNSNTNNLNVVELSIDHKPHQENERMRIEQNGG VVDTYHLPNGAPIGPSRVWARGAQFPGLAMSRSLGDTVAAAIGVSQTPDIKSVEIDNKED IFIVLGSDGIWEFLENQSIAEMVYPFYQKNDAQGACQKVIQEAVAGWKEHSEGIDDITAV VIFFQNEL >CAK94090 pep:novel supercontig:GCA_000165425.1:CT868675:259157:261234:-1 gene:GSPATT00026413001 transcript:CAK94090 MISASSQQKKQLQKYTMLEGERKQGQFPLLEMSHLLYGGKDQFDKFLERQNFFDTNPMFK VNHDFYNKSRQDQILLNAERTVEAMKKLSLGDPNYYTPNVLCPQGIFISTVHFAMVIPAF QVLASDDQITKWMTSLKNFSAIGCYAQTELGHGSDVQNLQTVAVFDKQTTEFVIHTPNIE ATKFWPGELGLYCEFALVFAQLQVDGKGYGVHPFWIRIRDKETHKPLSGIEIGDIGPKMG YAVKDNGFMSFDHFRAPLDSLLNRYIKVSRDGKVERQGNPKVGYGSMMYMRNILCDQYTK FGGRALTIAVRYSLYRKQFKDDNKQEIRILDYQLQQQKLFPLLAEFYACVFGSIKIKELV NDNFNRISQSNDFSLLGLTHAVLSGAKANYTYFVSNCAEWCRLSCGGHGFAHYSGLPIIF FEMSPNITLEGENTILNLQLARYLLKQLQHIVSKPSAVPEFFKFLSLETVKVDDVTTIDN LIKLLGLNCAILTKYAAQKLMTHSDMKESWDTKSGIALAKAASTFIPYFNMLCFLDTINN KAKETKEILTILARIYGITMLLNNMQGLLLKNQLNSQQIKTLQDTRESLYPIIRTNALCL VEAQGLSDNSLQSLIAPKEGDVYMNMYNFASKENSLNKQQVHNGINYIQKMREVNAKL >CAK94091 pep:novel supercontig:GCA_000165425.1:CT868675:261981:263027:-1 gene:GSPATT00026414001 transcript:CAK94091 MSNNQIIYQQQEDQRSLFSRLCACLHIFKGNKKRGSQNQYHHEIDTPKSSFILGQKKTIV LDLDETLVHSQFQPIDNYDLCLDIVVQSQNFKVFVLVRPGAKQFINELSNFYDIILWTAS LKEYAMPVMDFVDPDKKAIERLFRESCTIIKGGLTKDLSKLDRDFKDIVIVDNSILSFAL NPDNGFQIKDFFYDKQDKELELILPFLIWISQLPDVRPVSLQYEQFINSSPEQLNQRRNG SIVPLDQQKFYSVSRSFTIQREKIKQGSIIKTLTLSKNDEEEFDEIAFKKKLNSGVISKQ DQTNDSEKETFEISN >CAK94092 pep:novel supercontig:GCA_000165425.1:CT868675:263065:263539:-1 gene:GSPATT00026415001 transcript:CAK94092 MHYCLPEIVLLIKFKNLKHQDPHIIPSSRIRNTPQTQEQPNLKKRTISQIRRFGNPKQLA QNQHSDVLDFFELVEEQPLPVRNKKHTILEPLQSTPSPQMANAISPKRVSFNKQIQVKLI SDDYVDSNEKRLQSRHILRRQMTDFIN >CAK94093 pep:novel supercontig:GCA_000165425.1:CT868675:263717:264268:-1 gene:GSPATT00026416001 transcript:CAK94093 MLQEKIKNYIKSRECATNRVKTLLRQPCPIQFQVRYDFSPQKTIHQFQSQNGRVRSVLKL SRKLTRQCSPPMKFDEVITNAKSNIARRTSQKQQSAPLLKQRVKDANLQTQFPQVPTFRM SSQWFGKQSRSQSNQFAFVTLSTLIDDEFNYNKERVHKFNHMENRLAEHQFIINDFDPIL NVN >CAK94094 pep:novel supercontig:GCA_000165425.1:CT868675:264304:266215:1 gene:GSPATT00026417001 transcript:CAK94094 MFHEFLQELGPLKNSELPFPFRNQFKIKENFINPNSKHFDTIAQKLLSEEKASIKRKWDK QCKMMFIWILGKFFQLKNKKTINPTEEEWRQLSNILKIDEVTLKQRWITLINPVSKSINW DPEEDEIIRSLMKYTLYIFDEKHIWTHIALELYNQNNGQYIRTPKQVRERWMNYLNPKLK KTNWSQQEDLQLLNMVVKNGKRWSLISSLLDGRTENQVKNRFKSLIQKIYKDEDDDDIEE LEAIKEYLNKQNVQQIQEPQKEESQIRVTYLRQVKTKTNPQQSNKIDEIEVLTKRRKNIK DILQIGEQQQVKSSKDSQIKQKQKATKLKVEENIQEVQAEQLQQYYHNNNYYNNNNNYNN NNSHLNNNQKCSNSFNPSYYVRIIKKISQLPTHCIITSNPPFKKWRTQKTINFNLNKSSN LQFNNLISIYIKGFVHIKRMYNNNSNKLLCRCLWASTQRITNKITILFLLSHKLTKHLWL YTPAQAMYMYNNNNPYNMGVSPLLIRSPYPENLSPNIAPQQQPPQAFWQTPQQTTLDYQQ NEQKFSLNKLEFLQSNNLVDKWKQKRINEKQNASFLEPFQSLDQ >CAK94095 pep:novel supercontig:GCA_000165425.1:CT868675:266726:267116:-1 gene:GSPATT00026418001 transcript:CAK94095 MKNHYITLGLERNAQQPQIKEAYHKLALKWHPDKNTNCRAQAITQFQEINEAYNTLSQSE SKKKYDYKLEKNDHSQKLKYFLEQIEEMNEEFTYLSKDDQDTLNKFINRINNQSKRIRKH >CAK94096 pep:novel supercontig:GCA_000165425.1:CT868675:267173:268432:-1 gene:GSPATT00026419001 transcript:CAK94096 MKIFVLCLIALAIADKFDQFRAFDEDEFGRTLIDTLQMQMSTGEPIARFIEIMRNLETSI ENEQKEDDKANNEYQNQCTEDIKVLQQESANLERRTVEIQSILDELEPLRSYKQGQADAK NAWKVETEKKLADLVKKRETEKAEFDKKVEEHDYATFVIETVRRMFSDKNQSFLQMNNEA QWQKVRDYFINASEQAKKFEIKKSYSQHDVSMRFLLKLPMLLKLKTFRIPQLLIELLIYI EDSKALETKAEQKRLNMFVLEKGNFDKDLTSLNNALAQLTAAILGLDNRIQDQKRDLSDY NARLDAKNKQSEDRGGECREKAYNYQLTREKREQKRQLVSQIIGAFSANQRDFAEYVKLR GQAGDFRGKNFQVLGNPTED >CAK94097 pep:novel supercontig:GCA_000165425.1:CT868675:268495:270571:1 gene:GSPATT00026420001 transcript:CAK94097 MSIIENEAILNDYMVKNLQKGKERENHSDFYDYNPLTSTYQCYGCQRQKPKQASLLLANQ KSKKKRRTLETYKMNDIIIKPWHPFLREFQKIFKNSIIPQHKQLNYQVEYHKPDVVLQFY EIIRRLREQLEDNVKSIQKGDISVAPILETLAIYSIDEIEINYETLHNSTKSIQQDSTKK SLASSSIRYQMQEKKIQHYKEQWEIVKEQVELLEQNNTIGLKQQIENTIKAQKEIQYLKS ILKETQDHLMIIERDKEQLIRENYFLFQYAQLDRHYLLIKIMEQYPVEQLKETDVSYFEI YLKEQEKELARLKLENTKLKIHSNHLEKESEVIKKESFSQFSNEFQTQEQKWSNEKIHLE KTLDQLKQELEISQRFEKELRILNQTMQEEQDKLRKQLFDYQAGMNNISHQHLIQRQQND QLLKQNQELQLTIQQLEQQAIGNKQQFNKMNPNDQAQQLALIILSKERPTQEQVNLLRQV FGDFTDEIIKNQVEQNQNEQAQELIEQMQDLRNFKEKLESHNRLTNKQMDEIRKELEFAF QMNESQQSQSKIGRKLNYDIQDSTSYFQSLVRINEILNSQEEDLQKFNRLKSQPPQIMKQ EISQLHCLLLLQALSLEEMIVNSEQNQIEDFSDQEIRSSNGKEQQPSEFQPIDEESSQND QDEDVEESSIHQPEPYQEQENQRK >CAK94098 pep:novel supercontig:GCA_000165425.1:CT868675:270620:272913:1 gene:GSPATT00026421001 transcript:CAK94098 MNSSINISKCSIHNESSIIFDTDQQCPEKKIYCIKCLAQNYQNLIPVENILDFKRELIQM LIQEQKNKNENNIEKLVEIIKTQSDTKNNTIAIVDKNILNLNEQIEAIHRESTLLSDLNV DIQDEESLQKLFYQVQQQSQRALQIKELLNQQLHNLKYFEQAQDYISQKMTEIQFENSQQ VKEMNQNFQQLLNRKQKNQSVKCQKHTQEGLMIDLNESSVILGRFACQDCIQDQKISFTK LEEFNIQCKKHLEAKKIELTKFECFTQKTNLAIEKLNDHIARIHQHLSNALSELKGLSDA HLDELSREQSRNTKDLKDLSQSELTELAEEISNKQYLNEYKKKIQQQNETKVRKFQEALN SAYNDQLKLFLDFYQEIYNIQMCNKNQNIERIRQSDRLKICSCTIQLQLYNNKNTQSKIL QQSKNISQQIANQQISTIKQEKWCFTISFYRDGSQMVVSSNEQIKVFKNQNGQFSLTQTI SQGHTENVQCLKFMNRSQRFISGGKDKLIIVWSLNDKQQYFCEQKLIGHCYGVTCLDFNK DENLLISGSTDDKVRFWTLKNEWEMSETFIQHEDQVQALSLSENQQLLLSCSKETILVHQ IIDRENLKCEQIQKISSQFPIYRISLINNRIFVFQSSKSTLQIYLQEKNSQIFTPKDQIQ ITNTENCYLYSPTIYLSDQGLLMFKSGQNIYLLKRTNDHHFTIQEKIQYQGIETVAAISP DGQYLSAWNKELKEIQIRKLV >CAK94099 pep:novel supercontig:GCA_000165425.1:CT868675:273629:275439:1 gene:GSPATT00026422001 transcript:CAK94099 MNSTIRQPLSHHNSIDKRENRPETKQDAKPFSFIKPGVSNYQPLTSSNSQLTPQSPIINP QQITKQSKPNCSIQDLETFIKEIDRLQLENQKLKEKTQEPQVVVQQDPKLALENQELKDQ LDFHKHKCELQEKGHNDAVRQIDLSWKQDIANLKYQMEQQESKHRQALRQEQQQAKQEIA RLQQNLSQISSSDNKNQSLVQALETQLINLKKNNNTLEEKLSYAIQQFDSQSKDQEFTLL KQQAVIGSQEQHVVELKNKLTQQREQNKEVSQQLNKYKDVAETKTASESVLQKKLKLIEG DFEHLSQTYNEHKDSLQSKINELTSMYGKSQEQICLLQQQQTKEQNQIKKLQTSLENQEK NHQNEISDLHKQKQMLIQFLEQELLGYKEQNQQLKLMNQKLETQYKENQQSYQQNFRELE CKTNMLGDECTRLNNIIKQKDEQVFRLQVQLKDLMNLNGKNQSQEQILENLQIKEGEINI LKQQNDSLISDLSRQAENYRNLVQQHKQLQKQSEEVKMNSITINEFQSKCELQDKEIERL RQKVLEKSQLLEKVQKENLEYQAKLKSKLR >CAK94100 pep:novel supercontig:GCA_000165425.1:CT868675:275540:277482:1 gene:GSPATT00026423001 transcript:CAK94100 MLIEQGEPKIIVAVRKRPLNKKEMNKGDIDIVDVYNQQSQVVVKEQRTKVDLTKYIEEHQ FNFDAAFDENTTNEQLYLQIVRPIVEAAFNRAKVTCFAYGQTGSGKTYTMLGDYSERVPG LYLLAAYDIFCLLNNECYGHLQISISFYEIYCGKLFDLLNERTLLHAREDAKGNVNVVGL QERKVQSVEQLMKVIEQGSASRITASNSSNNDSSRSHAILQISLKDGNKCHGKLSFIDLA GSERGADVSDTNKQTRFDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSFVG NCKTVMIGNFSPSNSSSEHTLNTLRYADRVKELKKPNDKELKDQVTSLDKLARELMLPRQ QQPVKKQSQVQQQFNPFKNNPLQNYQNQNLFQQQQQPQFLNLQNSIPGMQIPQTQQSSQT QQQYSYQNYIQPPPPQPQPMIPLQQQQQPQLKINESKNKHSFQKPTPQLNEPRPYVNENV FPGPVERKSKVNQSMEDDLMKIGQKHEQLISVILEEEENLISNHRSHIDSMVELVKQEMM LLHNIDKPGSDVDEYVKGLDQILLTKMEEIQTLQFQLQTFRSHLSEEETLQKQFYQQRQQ ITQQESEGSDIFK >CAK94101 pep:novel supercontig:GCA_000165425.1:CT868675:277530:278696:-1 gene:GSPATT00026424001 transcript:CAK94101 MKKQQVQAKAKAKTTEKQTKLNQNSNDRYYPDLLIDEDAYLRNNYKVVRELGRGGYGVVY KGYAYHNGQEDKTKKYAIKVNFSTVSPELIFAEIGFLKLVQGKENLPQLVNLFLTNQKIY IVTEYFSFDPFITFFSTFNMQEIKDYLRELLKALLILKQNGIYHRDVKPGNFLYNPKLKK GILIDYGLSEIDKSFVNNMVENGGNTQEIRQRKQLYEDIMKTIAQIGHNKIGTESFMPLE SILHYQEQSYEVDIWAVGVIFLQFLTRKYNLFSNVRMVHKPAVSKNLFYVNFILELTSLF GAEAITKICNKFGYSLNLPSVVAKTPINWRSVIHVEGFDDKAEDLLTQLLELDPQKRIKV EDALQHPFFEQ >CAK94102 pep:novel supercontig:GCA_000165425.1:CT868675:279460:281214:-1 gene:GSPATT00026425001 transcript:CAK94102 MNYLQHNNSSIFPLINQLPLTSNERYLYGQLYGINYQQQQQQQLQQQQQQFNPYLSSLNY AYQTNFIPAYSNNQKLPFIQSQIIDPLPLNYNLGLMVHQPESQIRLAFDLSDFGLPKEPP KPQRVIVKKKIPQTIYKAPEFDYFEQTDIPKIYLSNEQQFRIIKNRSTDALKKQEYLERE IQLERLKLETFRNKQQQQQETVRGKQQQLEKDSQNSYREEKSQDEIKAEKKKRRLAKKVK EDKKQQRQFGDYKKPSVMKFKKIVKRVQNMQWFGRTFLQTLENEIKIKKAQQQKQQQTNR DEANEMLQDWIDDLTNNFLLDLLNTSDSLIVQVGQDKQNALSKKKISTLLDTLSIKLVKE FKAEEIIIGPLASYLNDITQSFQFPPLKTYTKFEIVRIPTTKFGSLGQMNENCQKMILII FIVLKQIAFNNIFKAWEQHPDQSQNNQQQLLRIQQNSIILSSIIHELVVKWVEKNVPIQN EYKLNELENNFQFLQKPFSTEKEQPNKEYPISFLIEGVMASSLIQKYINNAKGEKEPWII KLEDNIQKFINSILQQMNKEYANTRKLIKRQKLSQMMINYLNSE >CAK94103 pep:novel supercontig:GCA_000165425.1:CT868675:281377:282564:1 gene:GSPATT00026426001 transcript:CAK94103 MIRFISVQTKPYQGQNNLDILVDNQIYQQYFNGGQNLIIEAEFGNTLIQEINLHLCPEPE KDILYKCYNLNELDQEYKRISGKLIKIRNEENYITYAAFVKSEVPFTRLTITIQSEKSDS FKIYYFGVRGKNIEEELQQQESIEQKNIKVILKRGRKTETFNNRIVDNDKMTPSEFFNRV KNGIPISNRDQQPEKIIYSNYDEKMKIEQSQINDQNLKFTDKIQEESKVKEDPDNKKYIT LYDDDENSVQFIDQKVKQQQQQQQQQQQQQQKQNNKSHFSSQKCCFSDTKQVSSIKIPSK QLNITDFAYSSKQTANQDIKVEYNVFHNMMKYTASEIDQQFLQFHKTIEESKNVPAKYRL IHRINTQQY >CAK94104 pep:novel supercontig:GCA_000165425.1:CT868675:283100:283387:1 gene:GSPATT00026427001 transcript:CAK94104 MEEEETQLFQIRDSDDDEDLIPQFKIMSLRDGKHRKVQLQSYNSNNKSDIRKQQQNLMEQ KTVTNYYQSVNTLLYDLKKDYLEKVEIEKKKINEE >CAK94105 pep:novel supercontig:GCA_000165425.1:CT868675:284046:284618:-1 gene:GSPATT00026428001 transcript:CAK94105 MSQLSFDDNNESHFRILYTIYCQLMTTDYCLRYGSHWEMIGFQGTDPATDLRGAGILGLL QMLAFITEYKIYIKQTLKIFQDIKIPFSITLINITTFVLVSLKDNKLNQLINQEDSVISV INKLYFAGFHLLTKILKKEQITFHTIGNHLTHIRKLIHEQPQKLIREFHSDIQRFYKINN I >CAK94106 pep:novel supercontig:GCA_000165425.1:CT868675:284654:285321:-1 gene:GSPATT00026429001 transcript:CAK94106 MIADQRAIQKKRNIQNIIDNDDEIGEVAEWAGVSAAQAKDDDDFDFDHKQVFQQSNDHIE QARQKINQKLNKKGTNVNQRNGPLVNNLKDKAKSIALQNNQINETPIKQQCQSSDDENDK PPVGQMEKESPDKKVFRLSERKHFGKIEQEFEQAQQREK >CAK94107 pep:novel supercontig:GCA_000165425.1:CT868675:285333:286946:1 gene:GSPATT00026430001 transcript:CAK94107 MNQQAKEPLNPAVCEVFQKLLSDVFIKKIWKEFRESGNLSEGKMTKSNFTKEMLKQLKLH VKSREYSYISSQFFLILAPEEFTRYQNKMKNDFEAIVERSCAESYRFDPDTQLVREPAQR RDNQSEKHQPPDQAFENWFKQNYDQQFLKWFGTNYNSDKKKMKISALDGLFERWFRSNNV QPIFEKAQQEKSQQEKTYFEIWVGKKYETLFEKLFERFQLEFDDKIEIQDLVLSLGVLAR MELEKKLELIFDLSDVDEDGCLSIDDIQQMIKRIEKNFTRETSLITSDSQALQNELAFKR AMRKFSWATIKMDKQIEIKNDELGLIEGKKFLDSLKQNKMLFETFLPGQLLLYDVLMTDQ GEKEFQIQEIDNKILDENQFKQEPKKEDIKKEEQVGQFELFRKEIHAQLRNNYKDKLSKQ YLQEHKGQVRYPGKMEVVQVGNQAKRVPKLEKFEEKKEAKKEKEDTQQNQQKQNNIESHG IIPIFIDIEKRLKDMREQRQQWNIESILKDYKAV >CAK94108 pep:novel supercontig:GCA_000165425.1:CT868675:287066:288179:1 gene:GSPATT00026431001 transcript:CAK94108 MQISMRAVVMEGPGQKPVVKEIPIPTPQSGQVLIKVDSAPINPSDISFLHGAYSSNKQFP CVPGFEGSGTVIANGGGIIGWRLVGKRVAFYSQSQFGTFGEYSVADALGCLELENDITLQ EACCSFVNPLTVISMLEVAKEHKTQAVVHTAAASQLGRMMVRHFQANGVRVINIVRRDAQ VEMLKKEGADIILNSSDSDFLEKLKNVLQTLRATVFFDAVGGEQSGKILEVMPSHSTCYV YGGLSLKPVGNVSIMDLIFKDKKVVGFWLTQYVKSKNIISQALLLNQLKGLIKTNLKTIV AKTVDVSEFKEGLDFYKKNMSEGKVLIRFDQKQQQEQQQQQEQQQQQQQQLQQQ >CAK94109 pep:novel supercontig:GCA_000165425.1:CT868675:288827:289404:1 gene:GSPATT00026432001 transcript:CAK94109 MLTSFEDCLYGENTAPGFCNLETDTFPFTQIDEFENYSGMLIEAQLQDQLSFNIDQPESE SQSAFHNKIPKSSKQIHKKKYRFPRESKNYFKNIGPKLKEFIIENFSHIPQIMNQPLIKE FLDIQNQRHIKLHIQRLCETREGQAIAKSYFKNYRWAKSLVNDDLSSYFRLNSDWNN >CAK94110 pep:novel supercontig:GCA_000165425.1:CT868675:290408:291340:-1 gene:GSPATT00026433001 transcript:CAK94110 MLDQQIENDSPKVKNVELAISNNEFQILKISTESTSYETRSEQPQGMRIKTLEYQFKLDD HFNQLYRCTLQQNNQIIIYEKKTKKIYFQGNTEKAPKDAYFSSEHITKDNQGQYYFNQLI TKEAITQESYEIIDAFAQYLNQQNLNQYIKDNSNQAKKGKTLIFFPSSILNQEMNQKQFM EKYQNYVFQYEQIKYDLELIYENLFFITTSSDNREYFYIELNFSNSIMKIHLLNEKQTKY YQRQIELLQGLYPKFTQIIICAQCKLNKNSKQPYLEVCMNMLRESQNKELIEKIKQKDQL LQHLQSLINL >CAK94111 pep:novel supercontig:GCA_000165425.1:CT868675:291534:298703:1 gene:GSPATT00026434001 transcript:CAK94111 MLGKQNNDQDNNYYINKFRKMKQKLSFSFVPSLPLDAQIQDLEKRILEEQNLKKICFKEF QNQYRIDHLLKVQSNLRQINTIRQVKFSSTALTIEHFALLAQWLKQLQCNSFIIKLNNNK LDNIEIQEFLNTIVKGDIQIKKILITNNPGIYKEQMISDLKTLIRQQNANNYQQEYKLVL NKQYLSIAIIRQNFNESQAQREIREKKAFQKISKLNFFSFSFNSTFTQSNFRALEQLINL NFNENRIDQISKLQISSSLIVNSAIMCEQMSTSKGLLKLKMQQQQMLNYSVPIENLMGNR YHKFIVNELDIEKNISILEKTYKILSDNIFLHCKQIKFSSSLPQQLSTLYKMFVMTESFQ QYSNQLVQQSKEQGRILKQNLKVLDLSCLENYNRHDLIVKFLSAFVFTETSNLKKLSVQN VDIGRTQAYIQTAQRFREYIQQEQQKYPFYQNFKLPLKSIKLPNQTYRMESATMKQFFQE FFFTRVGDILEIEEFIMEKCFKQSNREEGLKENCAEIFQQLQANPGIRYSIKRIKFIEAS YIFDSVTYRCLFLNTCFNVEELMIQKDTIAGEFIQFVNETEQFFLTQPLEFKLPLQTIIL DSIDEIVFNISDLLQFFVFHDRMQIKKLALKSIVLLYSQQSISKLEEVIDSKHKNKILPL EEFEFFNLIDDVGVLQFFKYVVFCKNIRLKKLKFGNLDFSACVYFLQQFIEQQNELNLNH SLQDLNFLKISQVHFEDEISWEFFLQQFVLHPTMKLKTLKIQEQNLNDAFYKGISQCFEN SGEILKLELKELKYKKCEIMVDPLTAFLYTSSLNHLSFVSCKNMEQGLKMSMDNYHQSNV KQYNNFNLESFSLKLSKVEDTKIFKWLIKEIALNLQHQKLKKLNLSDCNLTNEHLRILQE QIELIKENNQKQKQAFHLYNLKIDSNQSITEQTWIDFFNVLMKSKSIDELDKISEKTSTH QQKSSSQKKKIKQAKSIHDKEQQLSINRSKIEDQQDREIKEKIDALQKNIVESTLYKNDL NLKSKIVNNYHIFTPNFPKQLKSLNLNMNFQELNQNDKTQIYERLISGLIMHPENQLTEL TLIHLNLILFMEKCEQFLIYSNVYQNKCIKTEDNKWSSKLESLSLKNLATQNQKSTEQFV KTFICSQSVNLQKLLLDNFNEQLIVSLMNQIHNRQDYQITELTLNCNYESIEEKYFDMFV NDIIYCQRFPLTKIELNGIGVQQKNKQISSTQTKCSTNLQQIYQKQKQISLNQITIQLID EQQAKTFIPILGHLLQQPESKLEVIKIQTKAQQENLSSNFFSQLTNQLTDIQELNLKEIN IQGKVVFDEIFIEFLDKCITSLETLYITTVDFPYSQKLAESLNKLKRKEKKSKFTVEIKQ VPTGTEHFYEHFTFNKNIKATNIVLNSHQNFTLLRFDTMSPFIKSIKLDMSLLYSSTVRL NRDKLTLLSQGFIYNEQSHVEEILLYQCQLKREEIEAFCQPAAQFRQQIIQQQRESVCQL KLKQFSIYYSLYIGETGCELISKDLIFFEYIDIERIDFLVTNFNDQMCDHFSQAAKNWLA FQQEHNRKYKDKYPLKYLDIGRNEFVQSRATWANLLQTLVFTDKATELSTLNIQFMGFND ETISYLVEQAQLFLDQKPNGYVLPIKKINFSQNNALTHKGWKNLFDNFFLHKKVNLIELN MISTMLDTQIKLNTIYECIKKRALQEPNQKLQLQVFLCYNVSLKNSIEPYLQVPAKDYPK PKHLPVDIDYDSWKYGIFDGIPEEIGSIIMLVNTLIKKRNEFIETNKWKLFEDIRFPNYH LNFHQHYLDILNSYIYQRKNKLANLYLNLSTLDIMCQFFNYTTQIKATPYPYRLVFSEES LQYLSEQQIKIKKLKLIQTPFAKLEKLSQLDALQIWQQIRQLNIQIQKIEMEYHLNDELI EEMFKQDYNESDLLDLITLIPPAKIRIENTLTLNTLKGFYSILHNQYYFSSSIVKYSFND WINTGLAYQIREYAYNYVPKSSIQSKLKTIGYNLSNWLVIPQQTYTSYNDNEYLQQYLEK AFNPILVVTLINMFYLILLIILPFQHINRYSLDHQLNFSLLNPFQFIIFASTAYECYLIH NLLRNRPTSWKQLILRQVQDIPKMVLLKFIPQFNAFSILMFYESESYIIFTLFMIIYLCH YFSLLFQNSKILYQQYKNKKATLQELYQLSRIGKFTEVENIVNEILPFNSTVIKKTKLAQ RMLPKSVWGKAIHNKIYYNLREFLEKDLPFSILNTVFILSQLCMNAITLFYQLSYIGQLV QIYISFKNFISYRPSVITQDDFDVICKRNKNSYNKTKLHLLEKEKQQLELYATIYNEKEL KNKKKK >CAK94112 pep:novel supercontig:GCA_000165425.1:CT868675:299316:300125:-1 gene:GSPATT00026435001 transcript:CAK94112 MQLKEKIGDGFSSDLYLCEYQSKQCVVKIYKQNYSDKLRQKEIKILKSLDHPNIIKIVDQ HPDYNYFVCEQLKTDFYTIVKNGTKFDVRTVKQILLELGETIQYLHKLNYVHRDIKLENV MLNNHLQIILIDFGFADIVDDKKQYIRNCGTRNYMSPELLISEKYMQSNLLKKSDIFALA VLIFILLYGFPPFSEASLNCPYWKIISEKKWNSFWKLVNRNIKINDVAFQELFEKMISPD PDNRYTIEQVLNHPWIDGNHDLSQLINIF >CAK94113 pep:novel supercontig:GCA_000165425.1:CT868675:300227:301265:-1 gene:GSPATT00026436001 transcript:CAK94113 MASKYLQKYPVPEGFHQILHDFAREVLRDQPDDIIKYGADYFECMTQGKEFKFESKYNIA KGEAPARVHKPPKPSNMTEKAKIVVEMSNNRPDSQQVKRVGSGNPNNVSHVSSQGSFPEE KKAAKEYVNNLYDKVTKDIDEFENPIVEQTEELPKLTSFDDRDMQNIIKIQAAAKGKIVR NQVNKNQKKSKEEDLKLKEKIIDEQEQEQEQQYEQQQEQEQQMNEQQEQQMNEQQEQQMI EQQEQQMIEQQEEWIAEQQEAQMIEKQELQEDGDQQKNEEDNQTGQVEEASILETQEVNK NFNQHQEFGQEEE >CAK94114 pep:novel supercontig:GCA_000165425.1:CT868675:301578:303305:1 gene:GSPATT00026437001 transcript:CAK94114 MDQPRQPFENQKFNLLWEKLQIRKQNKVIFYYPSKNNQNQVQKSNMGEYEKIISQTHKYN ELSEELFWGNQSDTIDVLIFNQDIDDNPEIAFKMLAKNHVISYYYNVRLSFEELFFKHVQ IILISPKNNIIYNFENKKIISTYSSPYLQKLVNIFIKDQQLRDQYKLEIVKDFYINQFPQ VQVKEPYQDLKPQIPECRLVDDKQTIIWLVDRKCLKYYLCFEPNFITYYGQLNKNYQKHG RGILFQTSWNDCNPNYTILQGNFEHGKKNGIMMKFSSRSQTLLHGLEYLDDEFKKQLDLD ENGKIKVPEIVKVETQDKKIPKLPKRRIVIMEDGVIINNKDITENDRQRTQKSDTFVKFN QQFNSNDANILQSRDQWLNSSIIDGFALVLYKKHYKQAYKSIDPIKNLNTFVIDSTYLTS AAIDYKLVTSLKALCFEFKGITIFDVYNNIAFIVNSNNSHWYLVLVKREKQQGVYQMKFH ILDSMLGPRKKYKHVCEKIFNLLKFHLDLEDNYRFGSENNIIVEDVQQQKDGSSCGDHTC FFLYQIFNGKNYKEKNIKQIGEMRGMIYNLIFGQK >CAK94115 pep:novel supercontig:GCA_000165425.1:CT868675:303335:304582:1 gene:GSPATT00026438001 transcript:CAK94115 MRLGLKLKKESKPAQEQPQEQPTQEFKFDFVFFNSNSQQQFDNQDSKQFKLIVQKKNTKE QMIIQKDYIYIGEIQNNKMHGNGKIYMKQYDAYCNNNYFVYEGEFKNDQIDGKGTEYYGK TNNKKVDGVFSKLSLIEKIQEYNSDGSVLIKQQNLQQSMLQQSFIQEDRNTNKMFRIKSG VKQTPPQSQSQKPLVKQNDKWTKFDVELSKDHLSSLLSEKAINESVIEAYLNYINYMDSE KYFNLKLLPEIQAYKRTLIIPYFLFEQQKLDILTYFAEFSYLKGQFWQVYQKIFVIVNQN GHWILLELLFEGQDIKMKVYDSCSKKKAIFYVTMCKHVWNMIEPLIKQHNLTISWKSKDI IVADCPQLSQPNDSGIFICAYLKYLISNNTLDQITQEIINNLRKTELFNIVKKEK >CAK94116 pep:novel supercontig:GCA_000165425.1:CT868675:304611:305815:-1 gene:GSPATT00026439001 transcript:CAK94116 MQSETQNKKITFKFLNQLKLMIKPSQKIVQITHALVTILSGTIASIQGNQIKSDWQSIKH CLRNTNEILEIINDSQTFQKRVRFENLEKSTLIQQSIQQQNEDEQYDETFELIQDTLNQL LAIARPLFKNLLLCKRNNSRNRSLSQQGLQKVQQSQKSFSNKTNISLSQLNQSHGKINRP KSQQSNLSMSRSSSNSKDYQKRVIEAQTKKQSRLDRILKLQTNKPQAKSQIKSMIEGQQS LNKSYRSNSKESIMRQEIEKNKTIIKKLKQQENAIKWEIERESKRIRENETVNQIQDQIN RYKESWQKDQEIKQERRKSNQKQNSLNKQSNQKVSGQRNNSINYDENKGNALEKDLLISE QLKSEKEQSLNKILKTINNSKQKQLK >CAK94117 pep:novel supercontig:GCA_000165425.1:CT868675:305833:306499:-1 gene:GSPATT00026440001 transcript:CAK94117 MQDAKASEEFVQNEQEFKYISEQVKQKLRKGEYSTDEFYKKNVDELRRCVKMMETEAQMT STHSKKILQNKILQYKKQLDVIEESINELLIKQKKTDNLKGNLFENDLIIEEIDRLTQET EQIALNVDSKMNAGTLALQQSKFKKQDLKSNLRKSDFTIQMMNNKITLDKASLLVIIILL GIIDIFAIYKKFL >CAK94118 pep:novel supercontig:GCA_000165425.1:CT868675:306521:308199:1 gene:GSPATT00026441001 transcript:CAK94118 MSDSNSHRLKLSIDIHSIKEHSFRGLIYAHYSHYPQLGIKQQFRTAPVIEITKPFVEISF QNSFASYIFDTNKEELQKLFEETYLQIELFHQDRLKKDQFIGISFINLAELLKSPIRKSS KSYARALDAYYPIDDNNDQDTKRIGLLRCICYLEDLGPLDQLQQQEQTAIEQIVGDPALP IISYPNSDDPEKQQVILELHSLEHKVIWELDTWKKSEEAKFRVQLKQKEFELTTKLYQDF KNKELDRERQLKQALTQIQVIENKIKNKGTELLKREQKIMQLEDEVKSKLLETAKIIKIK DEEMGILIKRQKDEKLNLEKEKTLLSLKLTDAQAAIQKLEEENKSLKKEIEKSPVAMVKE NLQEKIMEITELKKELDRTNQIKEQYKQYYEKMRDELIKEKKQTQDNNSLTQNTLLELEK LKLELLEIKQKQQLNSQTNSQMNKQHKEILQYEQQQQQSQQQQIINPPKVEQEYGNQSNN PFKNGLIDKSSQKLGFSKTSKSYKNYPNLENKSDLQRLLQEREYLIESGEYQVDDPLIEE LTKQIQQLGGH >CAK94119 pep:novel supercontig:GCA_000165425.1:CT868675:312067:312560:1 gene:GSPATT00026442001 transcript:CAK94119 MQKRESMIIILNMKLINFIIVSFKHNMEIAKLYPLMVSFFKLEISSFLIAIRNQHSQVVI LAAKIVIPEYKVCISPYFTNDNENQCQNYKDFNLKLTKDDTIYISKNCEYGYFEFDGVCL QCPSIIKEGVITCYECQINPKNWYQNPTVIHHQFYR >CAK94120 pep:novel supercontig:GCA_000165425.1:CT868675:313150:313920:1 gene:GSPATT00026443001 transcript:CAK94120 MSKYDALFAKKIIYLTFPQGFVFSKNLKQKFVQVLLLIYVVRNCVFYQKMMTFQQHFKFP ILRTQLLIVNNRQKLDVLVVQAITLQKIIIAIKMMNLILTPSLLKIRQTKFKVFFLLQFV PQLQSSMYNQFLDPQPILYDPCNPQCGQCITQDYFSNCFECPLNYFKNQLSENQVQSVHS VLHYVKHVLIVQIWKYKSENKNFKQNISPNFQVNNYNQIYCKKCNYPHKDSVIMYNPYSH LIKYCLNSG >CAK94121 pep:novel supercontig:GCA_000165425.1:CT868675:314536:315710:1 gene:GSPATT00026444001 transcript:CAK94121 MIFESYLNNSSMISILNNQPQEGILIGDDFTMRNTSWVNSNLINRTVQIRTSLNNGHIYS NLLENSSIISISSKISLSQITISETSPLFSQFLSIKQITQSSKFRCNITNLDAINNDLSS SNIILIFSSLQTNSIQIYLINFSIKHNNSNVLVQIVLIIVLEKFQYILNNVTISRILNVD ESIVQISPSQQSFSFLYSIIDITNLQFIENTLIQSTLFDLYHAQLQNLITRLTQICIIFH MLKTFSTLIQVVQQAPQCVLYPYYIKNLLYENNALTNTSNSLMIIRSDTLMMNNFTLNNL NFQELWINHYDIQFNGELNQDSLNDFVFEALQVKNNGGGAGQFLVSNITCINCSFSNILV IDCFILDIITKSDGNINFMNIKVN >CAK94122 pep:novel supercontig:GCA_000165425.1:CT868675:317269:318269:1 gene:GSPATT00026445001 transcript:CAK94122 MKRNRILLILDHPNEQTYDHLQIEINCQVIESRTELLYIIKAKSLKCQIGEYYVNGRCQT CESKFGYYSVKYNATKCVIFDKEKYSDITSNMIKMHQDFGDQIIYQIMLSLVLRIINFAI EVGRWVIIHVKKFILVLCVKNVIFIILGDLVSMLKINGIYNVYNIISFIIAIWAFASIFL SLKSIHSFNLLYVQLMIGQRYKKLLFKLNQNHESILIKMLLNYLWIFSVIFTFNLDFSFS FLFIEQTSDSSYFMSQDLDLLCILYLIDTKCISENIDNDNFDCDVIQYDNYSIVFVFTIS QTKI >CAK94123 pep:novel supercontig:GCA_000165425.1:CT868675:318305:319082:1 gene:GSPATT00026446001 transcript:CAK94123 MFSILQDYLKCFQQLFLKEKYRIFIIFMVRFPGQYGTQDHQLWMIYFIIPGLIIINFIIP LLILLLLMINKNRLDKIKIRRHISYLLNEYRIEKFYWEQIKLLKKAIFIFILTNFETEVV LNASLLGLSLLIYQKLATFHQPFINQKLNNLVLQSSQICSISILLALIKQICEQNDYFGS SIMIQFFIIACFIKMCCPFIFDFARNYKKFYKYLFIQINFCIRKYQISFLQFCQKIFWIK KNREIESIIYL >CAK94124 pep:novel supercontig:GCA_000165425.1:CT868675:320270:320621:1 gene:GSPATT00026447001 transcript:CAK94124 MSYSFKNEPCDPFFILRFWRIQIHAVHKQNAVHRICDVLLLGNENSMVNHLIQSAKDDAQ NASVIHHSVQVFNITILHISRFCSQLLFSIFQDQILLVNSFIYSIVQNN >CAK94125 pep:novel supercontig:GCA_000165425.1:CT868675:323555:325407:-1 gene:GSPATT00026448001 transcript:CAK94125 MVIVAVQIQSASHLMVLYQHLVVMISLFVYGMLRQDNXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXSFSPDGSSLVSSSEDKSIRLWDTNTGRKIAKFQGHSDCV FSVCFSPDGTLLASGSADKSIRVWNVKTGQQKTQLDGHRDFVRSVCFSPDGIILASGSDD RSIRLWHLKKGKQISQFDGHTNYVFSVCFSPNGTKIASGSVDNSIRIWDVKTGQLKKKLD GHSSIVRSVCFSSDGITVASGSDDKSIRLWDATTGQLKAKLFGHISGIRSVCFSPDGRQI ASSSVDQSTRLWDIKTLQQTAILEGHSKTVFAVCFSPDGSYLASGSADNFIYLRDVKSGK FKVIKDAHINYRRSIILSPDGKILGSDNGDKSIHFYDVKTGQYLESFDNRYNDILGQFQT NIFNNNPHRNATSNITLQLISQQLIFQSQGALIWKGKFVNQLGMDLETLLKQRGSLILES LIELQQKQC >CAK94126 pep:novel supercontig:GCA_000165425.1:CT868675:325414:327067:-1 gene:GSPATT00026449001 transcript:CAK94126 MNCKYQQKEGLSEILAELKDIDESILKVIFKIFKREKIQDCLEFLTITENQRRIEQYYIQ EENIPLLAKENKFKEKGSVQKITQTMKKIINHDFNKNNYSQQKYRTIRLELIEKIQEDKK IFEFLQFLVHITSLDNRFIQCGSNSLNLLIQMKVDLRGKVFENIKIKDTSLTGANFVGCN LSGSQFENVDISGMNLNGAQLFNCKWNRIQIHELYKLEGHISNVYSVCISSDGTTLASSS ADKSIRLWDIKTGQQKAKLDGHSDNVRSVCVSLDGNTLASCSYDKTICLWSIWTRKIILK LQGHSQSVISVCFSPDGSTLASGSGDKSICLWNTRTGQQRAKFKGHNGSVNSICFSTDGT TLASGSEDQTIRLWDVFTKQQKTKLIGHNGGVNAVCFSPDGTTLASGSSDNFICLWDVRT TLQKAKLDGHNGCVNSLCFSKDGTTLASGNANNSIHLWDNKTGQQKVKLGGHSDSVYSQV VVQISQSIYGMLRFVNKKLNQIAILVKQIQFAFHLMALHQHLAVRIKLSVYGILRQGNKK >CAK87955 pep:novel supercontig:GCA_000165425.1:CT868646:3:644:1 gene:GSPATT00039837001 transcript:CAK87955 NIDAKVHLHFFFNFQINQFNFCSNLYFLFINIERILNDKVDLHHTSSILLPKNTTQLLQT SISSLKMQLILILQLTVFIHLIITISNFEQSIKFSTFKIFLVNNIFKFSSKIFTCAYDIS TILHSLTSLFFIALNIPSLLSFKISYLYYQNQLQEIVLKKSNIKFRQIRKKLPHTNYSRN LSSPCQNIVFNTLLRSCLNIPQCINSSFSNLLG >CAK84033 pep:novel supercontig:GCA_000165425.1:CT868493:2:2410:-1 gene:GSPATT00039666001 transcript:CAK84033 MNHSNDQAVIQLNYLQSTQLSKMDRAILESNFKEWEIFLLLKDFFINEQYQNIPFTFGSY LKTKLEIEGKELQQNETILAIHNIQRFLGFLISNKLLNLTKQNDENLEEVIKISRNFTKG KQYNESTTLKISLQQIKKIIQKLKDYFYNIQDVIKIMRLNQSNQKKSNEKHNFEKLDKFQ RVEEILKLYVIQDQRNQSQNQNVIDDNDIIQEQSKSILEELKNIDFKEYKFSFLDLPITP QNRSKIRRKAEKLIGIYQKEIQRLETDLIQNNLLQYFQNLVNNLYLFYESKCNELQEKCS YINLHLKEIMIIMYQIETVPKILSLKTIKQSFANMHLLKCLENLRYNNLKLKLKLIDSKR SFSFIFEKAKPEELGRLSEDINLDEFLLNVVKDFPQRIMRENTNFTSLIHSELQNIEITR EDFEHRLSKQKGILTYVMFQQCVNEKLIEQERKDLELIEKEFEEFFFKETPSELLMETIW KIVEDLKVEESLKTVMDDKFNFQELRLEKEKYVNFLSQLRKLEVITKGQPIGNWNLLITQ TEAVIKTMETFGQTDKQIVKMLINEELVNLKTILSDPKITQKEQQISIIQEQIRQVANEK SNDTEQIELNLQIERQSGNREIGRVNQLSKKFKFCSNDNYRTNLTFMIKVVKLKKLKLQY EMELLQKLFAEVVLLSEKLDQIQKYEIETQTKFQERLQCYILEFIKKFELQQLTEDNLYQ KEDENFHLYLVGIETKLIKRVDDTGINQANINIPDFLNCLQLYIKEQLVKQRCFSNIQIG QEFLIQQIKKIYLEDIKEEDEES >CAK80818 pep:novel supercontig:GCA_000165425.1:CT868376:6:2519:-1 gene:GSPATT00039409001 transcript:CAK80818 MILQKASPEEQKQLLEVIKFEEFLLNVIRNVPKRIMRENTNLDPPLHIELRNISNEEFRQ KLLKQKGEIAYHIIRQRINGKMINQERKDLELIEKELGELFIKQPPSFRSVEKIKTIFGN LKVDEQLRDGKFHLLEKDTYLSQLRQIKNLSKPQSIEKENWNSLIKQTETVIKTIQAFGQ TDKEIDKMLINQELLILKSIISKLSNEEQQQEQKSQDPMNENYRTYLQLMIKIVKIKTLS LQEEMELLNELFEKVLIFAGKLDQIQRYEEENQTKFQNRFQEFIQECIGRFEKSQLIEED QNFCLNKESLEFQLFKRGNDNTQIKISDFLQQLVVSVQKNWLNSSIAPTPNSNKIFQFNK YKKYTQRIMKKKMQKYQKNKLVQFKSSKTSQIMNNGKSNKVQCLLQFRFLLIVFQILQLL FVRKSQSNYGFRKRIKESEIFQKIKILQKDWQTQHDRIEGKMQEMLRRIDELQEQISHEA NLNKRDQYLKELDETTEQLDQQIENISEMGQQLRLLTDFVNHIRKGLIRVEGKINEMKEQ LKSIGNDIKFLRGKSVEQLFEIRKWKVLKEAALKNAKSIYRYSHKGEKKEDKLSILINLE QIDDTNGEVNEFLLEEHETVLLIHGVAGSGKSTTAKKIEEFIWKLHNNNKKIRNQILIPV YISLPSLKNPVFQAVEEALHQDEYGFDELQLRECKEKLEKKEFRLLLIMDSYDEMKLENI QKNLYMNNKVKQNWSDPLVIFTTRSEIFTSSNYTFWFAPDKKENLNDNKDNLKEIQLQKF NPSQIMEQFKVLKCWLSKYMNGKHKFQIKEDWISIILKRIGKN >CAK80819 pep:novel supercontig:GCA_000165425.1:CT868376:2975:3988:-1 gene:GSPATT00039410001 transcript:CAK80819 MLQWEIISYFKNDRLQNFDEIIVQIEGVYDKIVKNSNEWKYHYLWVQMVGQIIQYNPFLT KHKLSQQISELNIEGRSDQIWKEFQKKGLLILMNHTNGQAVIQLEKLHNKQLSQIDRTIL ETVFKESEIFLLLKDFLINEQFQNIPFSFGSYLNSKFENFEGKILQNNENILTIQNIKRF LCFLIPSKLLTLIQQNDENLDEVIKICKNFMVDKKGNETTICKISQQQIKRIIQRLEDYF QNIYDIIKLMSLNQNYEKEMCFDNKDFEELNNLLRLQERFQLFIILDERIQAKGKNGFDH NHVIQDWIQLILEELKKELNFSFHNKPTIYQEKKQNS >CAK87948 pep:novel supercontig:GCA_000165425.1:CT868641:236:1102:1 gene:GSPATT00039830001 transcript:CAK87948 MRRKYRRCTISLFITSGQQCVEEQKHWELKHVQLEKEVQTVLNSIKNQQENQQSLFSMRL GLNMLCVFDPKYKMKNAFQPQIEKYILRKAFEDLDNPFVPQEILWRQKEQYMDGVGYTWR EGLIERANHLVSDQNSLKTSTTYPVSTTRDKGQYWFKQVYSPAYPSDSSSLIVIKCHQFI FKALEWDEAFKKNADKSGRAVFLIHNYALKKILLEVDKIKDESFKVYNRYQL >CAK87949 pep:novel supercontig:GCA_000165425.1:CT868641:1225:1644:-1 gene:GSPATT00039831001 transcript:CAK87949 MKFQVLNSIQVCYYPNKDALVREFNLQKVIQILMRFISFILINRSQQINIFFTNIVVLIV NTLTQWILILVFLLLNSLLFLLYRYLFVTLLSQCICNLQIWIILNLQVKLLMLPLYRLQQ FYIRKNCKINAISIYNQSV >CAK87950 pep:novel supercontig:GCA_000165425.1:CT868641:1897:2019:1 gene:GSPATT00039832001 transcript:CAK87950 MSQFSKQEDTYTNGEFIIHASQLISRGQFDFMLYEQIQLAS >CAK77052 pep:novel supercontig:GCA_000165425.1:CT868257:4482:5039:-1 gene:GSPATT00039186001 transcript:CAK77052 MNSNSFELTNKCQQLLTNLESINQQTDQMKQINIIQEQQQIENVILEQTDVKIKLIDDFN KQTDFCYGIVFNNSGSIMISNHCNDILVQEFQIRKIAIIKSIQGALRLNQLFSIQQKNEL FHFWELGQISYLLELLQQQRMEVVKTIYISYQSGIVFNIKYTRRLTYLRRKRLFNNNMES KFYKE >CAK77053 pep:novel supercontig:GCA_000165425.1:CT868257:5212:5559:-1 gene:GSPATT00039187001 transcript:CAK77053 MIKQKMIEKEEDLVCSMNHKLPIYMVVCDKTIDKKKRLLCNLCMDNLETNLNNVMSFKKV AQLIEENQKKKVEQMEQDIMMNINQIYELQKTFDQLKSYIIQQLDQFISNTNEMG >CAK85061 pep:novel supercontig:GCA_000165425.1:CT868532:16:886:-1 gene:GSPATT00039712001 transcript:CAK85061 MFLEVKFPCGRYDFNQDTKKVVIVKAKGLLNLYLNEENELWLKWYNVDLDNKLEIVLKQF KVNQERVLIKGCTFFEKVKGQNRVYLLRFTDDDYKYFFWMQSDDPSLDENYCKQFNNVIN AQVLDDPMEIEQQPPVQTITQQQSHPQPPQQMDLQQQQLLQLLQQQLTSRIGPGLSLTDL LTTEFLTQIAKDQEYFEALKEQEILFIRDTYLPDQQNLEQFRENLLSPQFKQALDQLTHA LKGRERSSVIQQLDLDYRILEQEFDGVIAFVKAIIRKAQNKN >CAK85062 pep:novel supercontig:GCA_000165425.1:CT868532:1071:1603:-1 gene:GSPATT00039713001 transcript:CAK85062 MDYNMKFVELLKKHENHQMILKVTLDVMLQEKNYKSQLVKYRDELRREDKIITLKELAMR SQQDQVKALEKISKIDQQIMLYLKDQVLPIIHFDRKLNNYTFIKYYTDPENSINPQETII ETQYKENTNLESFEADFSKLEWKLEITLSSIKMRKVIINFES >CAK76383 pep:novel supercontig:GCA_000165425.1:CT868233:2158:3089:-1 gene:GSPATT00039131001 transcript:CAK76383 MLLSKIQVHEFSDQNYLMDEYEEFKKNLIIKILQDKKIIEFLEILVHLTAIDATVLIWQD VTLKDQNGINLNQAKLFKWKNLRINELSKKNVHRGKVNKISFSPDGMSLASCSNDNSICL WDLKTGKIQSVIRAYGEVESVCFQPNDPTLPFSSGECYTYAILKQRKTSQNQMVIIMSIQ SISLQMFLHQHVAVEIIQSVYERLRQDNQSNRQTIAIKIFQLNFNFQYLKIMFFKKVVFI FTYSILANIAILLISQNIHLQAIGALILKCEFVNYQGINLKSLFKSKGGCILEKQIKQQK QQN >CAK77968 pep:novel supercontig:GCA_000165425.1:CT868286:54:1833:1 gene:GSPATT00039245001 transcript:CAK77968 MYNFLANTLFKMNRFEEALENFDTAISNNPENSNFFHNKAVTLYKMDRFEEALLNYDLAI QKYPENSRHYNGKAVTLQKMDRFKEALQNYDLAISNNPEDSIFVHNKAVALFELNRFEEA LENFDSATQKSPEDYNFVHNKAITLYKMNRLNEALESFDSAIQKNPEDSMNYNGKAHTLY KLNRFDEALENYDSAIQSNPKISEFYYNKANTLKSMNRLQEALENFDSAIQIQPDDSRYY NAKADTLYIMNRLVEALENFDQAIQKNPMLCYHYSNKTEVLFTMRRIEEAMIYCNSAIHA KNLHSTILNNSIHQKDTNEEALEYHDFEIQKNPENSKYYYNKGIITSNVVANTLVKMNRL EEALEYYDLAILKNPGGSRYHNSKGDTLDKLNRFEEALRYYDSAININSNYSLYYFNKAI TLIKLNRFEEALKFHDVAIQKDPEYSSYYNSKALTLIKLNRFKEASECYDLWKQLMTHKA IN >CAK77969 pep:novel supercontig:GCA_000165425.1:CT868286:2187:2562:-1 gene:GSPATT00039246001 transcript:CAK77969 MKPQFNDSYLKNKKSLQDLFIILRNFTLKSFSETWGSKGTPSNDQFSQTYSNINSLRGQF LAQQLQKVKIEVERLFIMVQMEQENHFINNLKNRMRSRNFHCFKLF >CAK77970 pep:novel supercontig:GCA_000165425.1:CT868286:4153:6638:1 gene:GSPATT00039247001 transcript:CAK77970 MQLPGILLDYWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDNF ISISITFHNGYNQEKFSITLEVVQFKMMQFKLLYGNVLQQKSNYLTIQLAGFQLFNCPNF NNLQINCHPTCKECDGPTKDDCLSCYEYSNRKYISEFKVCVCEYGTIDQNNECVNYQTLQ FNKSEVHPFEISCQYGFFQIDDDCYKCPSIITNNVITCLECILDPKQWIHSLLCQTSLLV PQDGTVSTYLKDQNLQYIFAGDGLKYCPGCDLNVRAPYDLIEASLIFKNFCTASQLINDN CYLCINDCDKCAILQTNGLCLDRTPNISFLNKTCYAPNFINFQKKCVNCQIKNCLYCFEY LASDPTRSTLGDLEIFSLSDEEIKQGCAQCIEGYLFEFKTSTCINQKPTSQNCLRSYINF DDREICTLSDDFTNSLEIINCQNLILHCKQCIKTIQTTIKCVLCEDGYIVSSITGICSIC PILNSKQCQQDNLLEPGKWQFQGFVIQYLPNKPKLNYDISRSYPMVIECLQGFRRLENEC QQYCDEICSLCKIVEEKPTSSFQCSKCKRNYYKELITFQHR >CAK87021 pep:novel supercontig:GCA_000165425.1:CT868597:777:2114:-1 gene:GSPATT00039785001 transcript:CAK87021 IQHVELRIQIRYYKIKKSYFNNREQSLQVLQKVKNQQNSIFIWQIQVELQSYLYSRDVCR LQKQQILEQISLLQNFKIDYQFSGISQLHIQKFHKISKFLIKMICKAILHVRAAFQQQIN DHLPVFLITTLLLWSIKCYQIYLQYYHTQLLPLKLDGQFFFQSKITSYLLLHSIHQQQRS SAKLQHHIFFFCNFQEHLQSSVESVIYSLFLFIFNPYYSEMMICILDNLNLIQRVYQVQF QVEQRNYKHKYYFLDEIFNFFQPSLFQRFYHQKFQFLNQISIPTKIFILWSFQQVSFQHS THNLQKQLSEMSRKISNTHQIQYYLCDHIIPFRLCTHDHIQKYKLHLINLSFLITILTYQ TIIRITSLFTFCLAIKKTQGKQRQTSIIILFIIVLLPSYLSSNMENMNRIPNNWQVQQMT KIRYDICHQSKLKKLD >CAK72108 pep:novel supercontig:GCA_000165425.1:CT868113:538:2205:1 gene:GSPATT00038725001 transcript:CAK72108 MEYHSYSVVNCGLSNIVIMNQCLNYYFNGLTSKTFELPLHDLINLSFKLWIKSSYQYKFY LYVDNNLQLLILTSQLSLTNNCPPYGSYQISQNIVHSSSSVQITMVSNENYWGFSEFNLN VENTTQNLWELVYQSFNKQVFSSISLDDGWMTNNIISQQISSCTDFNYLKSQGDNLIKDF ALKHHSMISFNLKVLIFNYPTSTAKIKIDNELVATQSSNSQVLSSMIICQNFTVIQVEIS NFEHKSENLRIEVLTQTDGSTSWFGIRDFSLFTDIYEVYQCKDFNIQPFDGCFSNQYDCN LGCSNCINGICINCLDGWYLHTKNTCIPFCGDNMLMLNEICDDGNSIPYDGCHNCQFSCP LNCILCVFGTCKECEQSYFFIENRCISSIDYFENKGYQYQPTSQQQSILQKSNQQYLVKK NYYGLNIISSFLNFYFSDYLITRYYYQDRQTECEEDSKFSPNKRQCVQTCNCQDLVSQQT NDCYICIQNCQLECLICLQDKCYACFEGWQLVDNKCQQICGDNQIALTSNEQCDDGQSDY >CAK72109 pep:novel supercontig:GCA_000165425.1:CT868113:2238:4319:1 gene:GSPATT00038726001 transcript:CAK72109 MWIHFVNFVIRNQIVSYVNQILNCQTINVSPYAVIKQLQMDQRNVTMEMTLDMMVVLNAN FNVIKAVKFVNMANVKINVKLKKNIQMVNVFLLFQLNVNQKNLNAIMIAQFIMGLIVSNV NQIIFWKIISVSHVEMELQPKTKNVMMETGSILMDAQINVKLKKIGIASTPYHFQVNVFL CLKFRLYFQIQLLTYNMLNYHILIKLNQINRNINFLDFNLNSINIEPTYYNISIFPVIEI VSNETRDINYELKIQINQQLSQNPILKVEFNLILLDENDLLVPPSSQQIVLKAPLVLNQA QVEVSQNFQKFGYNIMLALGCFAIFALLLGFTLEFLEILDTLQFYSYLKFINVEYPENLY IYFQSSELISIEPILQFVGIKDNFEDYLGINIIQCFGKFYQYQINADLITNIYSQLIQVI LYFLSLVLLKIYLNFCLKFCFTTYITYIRRQGIPKVVEWITIKLYQLNKYIKKCINFDNI NLIINCYYANTWDLSFKVLLYLTFNQQSGIRTLFSQIVCFIYFILGIVIILRNFRSQNTK IDIKELRDQQHQLTIVLKKFTFVLILIAMQDCSIAQSIMLSFFTCMYIGFIMIIKFTNTK LELMNILSVEIPVLLFTLINLSFCKDFNNHFTPDQIIRIGFLQIGCLILGFLGPLINCVY QFSKKLKLIYLKIRPKQVKIKFPVPNILQEVKS >CAK72110 pep:novel supercontig:GCA_000165425.1:CT868113:5115:5243:-1 gene:GSPATT00038727001 transcript:CAK72110 MGEVVRNQLFVAGYNGNKIADDKGIKDIFKKYGSIKDVAYQG >CAK72111 pep:novel supercontig:GCA_000165425.1:CT868113:5269:9022:1 gene:GSPATT00038728001 transcript:CAK72111 MNNLLKRFEPCLTDVIKYLQEHQEMILVERNPYQADRLMMMLDNEKLDALDKEFHEHPNG IELPNFIWLMKCAISHAPEDKYELVNGLIKLFQDIDINGDGHMEWAEFTQYIIDAVIGSK DAQLYDTRFEKERELTEIEVLDRAYSRKSKRYIPMAQVDDSNHKNPIKNIAYCQQLDSVI CLEQNSQHLRFYTPECQDKKFTLAPESESGKIFIIHFHTVDNYIAAVTSDRQLIFWDATT FKVIKQFKQEVLQTGIWYFPYHELWVTAGSDYNIRGWSIPWNEKDSKGQVIKFLNAHTKQ ITDIVELISPKLLASASQDGKIKLWDMQDQKFITELKTPAPSKRGVKGLSYNQDYGSNLI SYGFETFINVYCPEVSITRAYIGRLEGHSSLVVTCKFIPQSPNCVSIDDHTNIRIWDIRQ MSSVQVIPNDQQSLVTDLCIITRTDRFVYSGKRLNYFYNAAQMSSNQKQKGPNEEVYPIN VEFNMYFNQFIVLTKFDLRIYDAMGGRLKKVMNEVFDDKIQLDLSTFCLGGRQRKLFIAD NAGLIRQYNMKTGEYLKKVNMHNEIENSEFANKLANIKKRDTLDISSIIFLQEEKLLISA SQDSTIRIYDETDPEESILLKVFCGGHQNSEILSMAFSPNFTMLATGSANGLISLWDFET SKLCGVLNTPQPLAEVTALEFADPYPVLVSLQGILISIWNIKTNKCLLRINTNPQHPMLS VAIFSDIASGPSRQELLPEFTAPLVDKTAQDKKSKLNLQEEQEGLMKDIQQYDTEQHQNK RRALLYMGDQKGYMHILSLTEFLQRKGITEMEKLKKGHSYQLKRKDLIDVSKSVETFLVQ EEKQQNPVLTCNISALLIRQWVAHSSAIVKINKIKELVSFISSSMDKHFKIWSMKGELWS DISLAKYDGSNYWKFPFDWVGQKLKDIELVFDALKLIEKENLSPYEKERVKVRFLVNKYF NEASLDEMQRNYAQPEQVVVQREKKRLIQSQSQPMNIALKSIAEPYKELTQKMKDDQLKK KKLELPEVQEEPKRPQSQHGLVQRLMLAFNDNKDIAKEDGIDPKKKQPNKNAHQKRIQEL PQMKPSTSHSTFLQKYQRNYRFIQTQVQTRPHTESKKYKFVHDIKYQFGESQRAMKIKYY QNSLKFQSVSPQLLCDDNEILQMKCKFYKKQNEDLIESESSDKSYEEDDLGHPKQHKQII ASHNFLNNAQKNDHKVLNTKKKIFVV >CAK72112 pep:novel supercontig:GCA_000165425.1:CT868113:9578:10838:1 gene:GSPATT00038729001 transcript:CAK72112 MYFIESDVIIVNEDLNDYENDNCKRGFQLKINSRNFEINQNSNCTSEQMDPQTIQVIGFN ELPQIDPNQITQLAAETQQLFTFGTKAWLQLFQAITNLRCINKYVPQYVNQLFEMFGQQV MVALDDSKPKIQRNVVTLINEVFSLGRSVQICPQIFQVFVPKLILKSQNSEHKQIKEECL VVVSNYILNCSQYEAGLFAVIQLTGQKKSTVPLQKFCLQVLAKQIQTIGSFLQSFSVNTL TLLLQALSSFLNSQGGEIQTYAFQICMYLHQLVGDQNFKNWMSVSLDPQSTQIMVNKIQE VLRKKSKAKQHTTMTDMKQRMTFGVYENQEQVENQNHFNEFVKDKQKANNFLDLNQVQPL QPSVYYNENLNYQQQSQHLMQNLSQQQQFIQYQ >CAK72113 pep:novel supercontig:GCA_000165425.1:CT868113:11170:12718:1 gene:GSPATT00038730001 transcript:CAK72113 MICYFCLAGLAIFLYVFVIHIFVKLMLLKLKHGKDVYISFIPLFGDYFFLQQSFKKYGDA TEIFKQRCQKNPNIKFVASNILNKIFLFVHDPEYYKQVLVNHENYTKVEILYHPKLSSTS ILFGEPQKVKQQRSLLGEAFTFEKLKQRTPIINQVLLEKLQKEDKTNVLDFLTKTSSEII IKTFFCKELQEIIVQGNDITTEMIDLITQLGDMQMNDLYVSIKLALLGRRSFGLFPSKNE KQLDARIDRLIDGTLMPIIKNKIANYKPNQNSDFLDIYIHAYLNQKPNEPKITELELCQL FVSIVSAGTDTTSHQIAITLYYLGVERQAQEEIRQEILNVVGNEVDIQPQHLGKLVLLNA FIQEAFRIKNTVIQPLTRIAKETHYIKNLKVEKGTYVAALTTAPSWNDKYFDDPNKFDYK RWLSQRPIKEDNGYVFVPFSAGGRNCIGQHMAMMTMKIMIGQILRQYDVVVDPSVKVTFP LVLFIQYSPQNLVQLKKRKE >CAK72114 pep:novel supercontig:GCA_000165425.1:CT868113:12750:14262:1 gene:GSPATT00038731001 transcript:CAK72114 MLLYIFIGLLILFIYFTCLRTYIKLILLKLTLGNKAIIRFYPLTGDFAQIPKSNRIYGDG LKHFQKRVFDNPNVKFVLSNLMHEPLIEIYDPEYYKEVFINHELYQRADVLYHEKFTSRG ILYSEGQRFRQQRQILGNHFTYDKLKERMPKVNQVVIEKIKNQDINQLHQFLVDLTTEIV MRSFFGSEALEFKLNGQSVPAEILELDEEMAAQNLFNPYVVLKRLIFGRKGDKILPSPAQ KHLNDRVDRLIDFIISQINKKLSNQQNKGDYFLDIYVDAYLKQEKEFQIEILEICSMFIT MFAAGSGTSVNALTMTLYFLGLEQEAQQEIRQQIVQVLNGQTEVLDIHLQQLTKLTAFIQ EMFRFKAPLFAPFIRKAKKTHYIKNLKIEKGTNVLVLFAAPGWSNKHYDNPEKFDYKRWL NANPIKEDNGFVYMPFSAGMRNCIGQHMAQLNMKIILSHILMNYKITLDPKQQVRFPINF QIDVEPGNIIQFERIK >CAK65019 pep:novel supercontig:GCA_000165425.1:CT868036:1350:2207:1 gene:GSPATT00034497001 transcript:CAK65019 MNYLEVRKANSKLIDKPFQEQNNRNLIITEQIQSVTNITLFEISNYQIDYHNLVSSIQLR GGGCLKSTPTRSDLISNLQQIDNRFLTQLPTFANVISEKSLSFQDKLYQDEVLLAFQWFQ NNKEQFYILCNNQSENTKNYQLIEKITEQLMRSLTIYIKLSGFLFKQLLQICNDFWRIIF SHQLQNEDRYMQDEIQKNFLEIIEEVDSQMQVEAVNIWMNGAKFELQLIKICVSHCRTNS QKGQELVISIVSGLFSSISQLRPSEELIDSLIEGGKFLLLNFYHK >CAK65020 pep:novel supercontig:GCA_000165425.1:CT868036:2310:12783:1 gene:GSPATT00034498001 transcript:CAK65020 MKQIQDGYSKYIKQSKDWRIHYFWVNLISDIMCYRPIFLKTEMKQLSQSQTVDEETWNQL VIKLPYNKFAGKLKLFSNNNFTLKKYATLKLFQEYLIQKNQSDIQLLPNYINFNFNAQER KKFQDEDLFIQSLTNQSNLEILKALMKQLRYQNDQATSNFVIAKEKVSQHDTYLRGEKHI EFKIFSQELQFLMKQIKQSSLLQLYLINEINLLVNKELQNNLIIQTIFQEQFKILGQDSK KIKEQTLKDIQEIENKYASEFLGNLQNVSQFWSQIVQYTTFSHIELIEGNIELEKSLQIQ LDQNIKYFTNINNYLDKFQLQISNVKTKFLKILEQQKHKDLMKESISQRQLLDIMIKLFN PKLTLKLINESIQYYQKLLQEEIQIENVKDVRKILIVIQSNINIYKGLQMLFKQHHKKLL SIQTQLQGAFEKISVAHIKTQQNCEIDIKYHRTAFILEMMDQLQLLFQKYQNQEXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXFETIRSNQQNTKIKNLQKNNQQTSTQYQIKLFMDWPDQIKTHHILL LSEIKLQLELLSLVQQDRNIIYIEISKLLDGYLKEINSEDKPNEHQPLTKGIKQSSTNDE ILILKLKDFFDCEGPLNILEKLITKSKKIKSIFRQNHLNAASQNLQTNFDLLNKLKDAQT LSLKQAITDLLQQTLVFCQMINTHEQIIFDQGFIEKSQNQPNLDQISALLHILNFDTLNF QNQRMEHGVEKVDIIQNMIAELEKDMKIIQEENIFDTLSDQSYKVRELVVFNLIKIQTII QEQPIQEFCENLLKQIWIIEKHSSVRSLLKNEEMIKMQKKLFSQDLQNFSLKIKKEMQTK FKQIEQLETQVLLSGNQDEIKKSLQQQYDEFEIYLDNITDMSQRLDISLVFLREISKDLK NIKSSIDSILISVKGVEDDIRRLRGKNFMELLTIRKQKVLYQKLENEQDQIHIEIKTQEY DPISGNKKKNKGGEFESFLMKSQCDNYDGEVNEFLWSGYEKLKDVMLIKGKAGSGKSRAS RNIEEFIWICDSISPNWIPIYASLPQLKDPNHNLIEQALESENYNFDGIQIREFKDAVIN GKLKIVIILESYDEMKYDYIGTNLYNRNRVAYDLNLQAQDLKVKFIITTREEILNTIGYQ TWFYGSSLQNLKEVEILPFRQEQSSQYLNIYAEISIKRTIKRFYEFLKQLKGQNFQLEEF KLIWSQIENTINSIILQRRKTDMLFLNSDIERIIKAIQNVQFFSFIQSNQMLSLKKELLQ LWGEQKFQSVVKNVNITHLLSTPFMMEIIVYVLPKMSSLFSKANRIRELLKKNYMILKKE ANNSQVQLEIYQQYSNNSINFEAENYRKSKTMKEIEITQQFTQILEDLDNSNFFESFSIA DSLECVNNTTIFSNKTFNVRFDANYVVSAFKLQQYTAFDFYEIFVNFYHNQQLQKLKDLG ISIKEESMLQDLNDFSTFLAIDMSLRQLTQVNYKQKRKLYISSVKEERKVEVSWDDSYFD ENQDDFEYKSLLRKCMLINSKRSLYAFNHKSIQEFFVANYIINLIEIIFSQGNGEVNQNF LNQCSFNKNEFNLSLEHYSGTLELLKPKIIQIEDIKNKLIQITQLSRKNSQNNLKRSASN SIYILGSLREHFEKIDLSDLCIEYTKLDGMSFYQCNFNNSQFHNVSIDSCNFNCSTIENA TWTNLICKEKPSIKAHTSSIKYVTFSDNGKFLISGSETGVIIKQELLADSEPKKTILPNN DNDKLRTLSTGKNLLACLSNKSLYIYSLSDLKELQSFPATSIYFKDVDIIRFSPNDKYLA VTLRKENLLQQQAQQFKFVSQQNQDYINRIAISPNQQLLATGGYKVKIWNSTNISDVQQI LELPGEKIKINSIAFSMDNQARSQNFGIFRILIKFFQNIGLTHQMKQFKYAYSKNGQLFA SKSEKVLKIYEVQKLPDQQDFFRINSELPVDLIEISSDCQILAMSHIQISKNDSPKITIW DIKNLHNVKMISVLKEQSENISALKIRNDNLVLGSGSLDHSICLWDLLKLELIVKLTSHK VKILDLAFSSDIQQMASCSVDETIIFWDTTNIGKPQIKMTQQQQLGVKKVLYCPNRSLLV SIQGETYNEIKLWNSAEFKLISTNTNQAQLIDINFSEDGENMLSLNQNTILTIWKIDDQG FEIQKQIKIDGEKYVSQAYFLNSQSIIAHQEIQKKHKYGFSNTPNSIQVSQNKKIIVMKD DGDPNKIEIIVIENHNRYNNVDFQDKIKDFEFSNDASLLAVATDKGAFIKDIIKNQILQN FQQNQCCQLICFIGKEQLAIMLEQQLVLYDIQDINSSKTISIIQLYDKPQKMIFLEQRQE ICIYSKSSFVLISLLELQQIKLISFEEDAQSSDVIFDSEQLFMGIGIKQHIQFISLSNAI KIEKIIKLYDTNDDNPHTYTNFSQDCNIFSILTPKLLYLQLDISSNQILKKVSFKSYRNP CALNNQETLIIVNDIGIQKKNLFLIDLETQKTVTCFEEVDQRKFRCLTIVFSQDGQNFVT SYSNLIIKFWDTKSCKLLSTFKTDTNSIQILTISIKGILAQTSDDIIKLWDLNALKQQQQ EMDGHTSSVNEICISSDGLQMVTGSEEEIIRWDFIELKQLDILIKGKSLPSKFCFSPNSQ YFIALGEQSIHIWKFITKYIIEFHKIYWCDSIKEQKLGVGQNHVIYKNGESKIFIMNLEQ ISKQIQIQFQSDLKNQTRSIILSKNLLIKTNPLEIIHINNKLELKNEQMVGISASQITKI AYCANSSRFAFENEDQSIIIWSIEKKQQLGILNSNENKKTQLVTMIFSGNSKILFSYHDD KKIRLWNITDKFELIEIQDLKNDKYLVYSLNLHLHSSKDEEYILIWGETINWSDFEVGAI FFQIGTIVKQLGQASVDCKKKFSAAFNVSNNVIALQTESILQLYDISQEEIIVIATFEDN PLNDLLCKSNLIFSSDGKILLSLGTDFTVRLWDISDQSSIKVKVNMKKPIQAVAIQFINS ETIRILSESEYLEIGVIKDKDQFDFRAAKQQLGISKYSGKLNNRTLEILDSQTSQLQYTL NKFSSKINAIQFTPSQEQFILGMEDGSILFYKINQQTIQFYGIPACYHIFAKNPLLSAQN CNIRQSTFKTIENENFEKVLCEKGAKK >CAK65021 pep:novel supercontig:GCA_000165425.1:CT868036:13471:15678:1 gene:GSPATT00034499001 transcript:CAK65021 MKIVQDKQICQEHGKKFLFVETSLTTSKLKKLCEKCILQQNRSLIAWENVEYKYYNLTSK IAKESQTKIANNTFIFKQLKDSLLTFYAKFSSQLEQFSSQLQQYENFIIRQKVDISHDFT IEAIQNISKQLSTQNQNLKQIKQSILNQLKNFYFQDFQNQTQNLLDQISYWKADSEQVIE KQLFEELEICKSPTNYDWRCKKHDKDIIFADLNLKQTVPTRLACFDCLSEYQSLFTELKQ FQLRWENHAIQIKEEIIYKQNILQADLKNKQNLLCQLEEEFQVKVNSIKSELEKISTKKQ IDSQLYLMNKEWSSLSLEELSQIADLLSQNQLSTSEEEQKSKIQDQQINEKINNTYQQLN DLIKNCFNTILQENFNLKEENLSSITNEESNNQEFEEYIIQQQTNHNQLNINNFQNDNSA QDQVTAAVFDSNSKVFIVGYSSGIIQVFTSNDKILIVNEKLKQHKGAIHSLCFLKDSKSF LSGSQDETIKIWCQKNQGFQIESDLIEIGYGSVYCTIMNKKQNLLIAGCELSLVFWDKIE NRWKQKQTLELQNKSVRSLSLNASTQILVCSFQSCSQIDVYWYQNHIWEIKQRIEIKEMP SSVCIINDFIFTCQVIKKNALYVFKKEQKTFTKKEEIKMVVGENFKQYQPQQFVVSKQIL LNIIGNTINLLKIIGKETCILEQTIQFQNNDIFATLSESGEHLVTWEKKSQKFQLWKLEN KIKFIRADNGTGQQE >CAK65022 pep:novel supercontig:GCA_000165425.1:CT868036:16449:16692:-1 gene:GSPATT00034500001 transcript:CAK65022 MSNTSKLKPNNHLLAYEAAEAKCKEKYPKIEECMDISNTNVDMKLCKQMLERFSECFNVH KPVAYMQLGKHRD >CAK65023 pep:novel supercontig:GCA_000165425.1:CT868036:16698:19153:1 gene:GSPATT00034501001 transcript:CAK65023 MKILITLIVTQSISTIIGFTEFKEPQEVIALALELFGLAMLLLLSRMKYSELIQRILLIC LATIIFVLMPNSQFKFYSGVYHIVLRHSQQKQLNRGLLLEWLVLLLVILIFGTSLEIEQI CRSVFAGIAIMLMQCFKYQDQLQKEANKQQIMIQSKINYTMANNDGSNPRNIGERIVDPK VTSLFLDENYNVLDFQRSSAVYKLTDGDVQNLLQELHINKIDQKSKQLLPWKCNQISLKY FLDSVKNNAIPMVIQVIDYTHPKLENHIIKIIYQNDFEIQFYDVEEIDKYKKRNYVYAIL KQLFNTMSHEFGTSLNYLLALSQVAIDKYNDVELQSYFQPIKATGLIMYHFVLDMIDFNA LLGKKLELYFEKIDIVEILYEIKSIFSHSLEQKGLTINFDVFLNEKTIFTDRRRLKQILI TLISNAQKFTFKGGIRLVVKSVEKYVQFEVIDTGIGLSTSELEILTDVLKTDYKNEQQIS KNTAGFGLGSYLCNKIAMSLSNLKYEDGGGIRYSNNQGEIGTMVTFKILNEQLNYNFVSS QDNSSGIIKIGKNVIVDLKQSQIELSLSGLKKPLTKRFSTVMVPKVKSFHDDQHREHSYF KQPTKDCFQGMQMQYTKIDSDSVNEETNEEDYQKRLQIQNLKLRYYPSDILRELRQQSVG ISEHYVLDCKCKTILIVDDEMINILGLQLMLKSLFFEADHAFNGLEAIKMLEQAHCMYSI IFMDINMPIMDGYVTTKAILNKYKAGSPKIIACTAFTDSQTRQGCYEVGMSHFINKPVDK TELQKLLCYLIN >CAK65024 pep:novel supercontig:GCA_000165425.1:CT868036:19181:19906:-1 gene:GSPATT00034502001 transcript:CAK65024 MLPLPLQTLKMPKLDLMDLIEQGFGQNMSLYSEIPSELQYCQFHKYNQKNDECMIFKEDY SLYMYAKKYKNIWQIYTRDPSDSQFDKENFQWTLKIQDDVFSLWKRPNELISVVKIIHCN NYAESYNRMRLLITHEKIKTVPVLFDADYYPQGCDYISTIPPIYNSKNRLWHMQDSERME KASKRNFLLGLHCNEEKKQVLKMGKIQTGVFIVDFRAPINFVTAFSVALATCELKSRIRE Q >CAK65025 pep:novel supercontig:GCA_000165425.1:CT868036:20453:20938:1 gene:GSPATT00034503001 transcript:CAK65025 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGATGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK65026 pep:novel supercontig:GCA_000165425.1:CT868036:21453:23311:-1 gene:GSPATT00034504001 transcript:CAK65026 MFREFSSPNYHPNQPQQNPFKTAGMQSIDFYGNIGYPKPDYPVLMQQQYYDNYQYNKMFS SQVFTPHVQPIFIAGPIYKNSPVFQGPQYLSQSLFQPVQKNRFYNYNQPDEIIEDHNGLN LQSKQQLEENIKIRNEIINQWNFEEEQRQKESQQSSEYEYVQETQHKRPSYSDKEKHHKR KHQQNQQHQYQYQEEGKQLIEKKHSQKQSSHSLKSLDGEKQRQTQNLPSIQEEVEQPITI FNKKPEFQQTVSTKKPEQQQTKVNKKPEMQQSKSRKRGKRNLKILLKALTFILMYFLEMK RQILKRKKLQANKENGLEIVNQAMEEGADWILNFAENDLNQFWSKKESLNWLENDQLSDK EKTNRITKVKLFIQKISIILSDNMKESSFKPEFLSFLAQLTQNFQFPPDQYFFNFEVQRL EFNSFGAIKNIKNPQQKMVLAFFIMIRLLGFTILYAPWTLGVSNISKSSILESNSLIIVS VLQELVVGEFREIKILENNQNHLSNDLKINPRPQGPLKIIPDPKTTQEKTFLKTTLEPLI YPTYKREEMKDLFEKQIEWVDNTMSTFDQIYTQLVKMTNSWHKNNKVSITAGLKLKKKQR Y >CAK65027 pep:novel supercontig:GCA_000165425.1:CT868036:23361:27176:-1 gene:GSPATT00034505001 transcript:CAK65027 MSVFKELINDEDDKQGLEELDEGQLIFKLKVQKIRVRLSRDDIVDKWNLDKLKKTNYYEL ELDQLNWLMTKEINQQQVTSVKQTRIEIPTIQLFHYEYCRLFPDNSVNEQQKEDESQEIF QSIKDEYSSNSQTQESQMFHSCYNLSQNDVLEKITSKKNELQKEQGNYYYKKKCLVSIYS GAKEEKQLITKIDNQFIYSNSKIISYGNGLQIQMHHQNNSQAISIKQIDINVNIDEILEF VQILKINYEKKQIKSIPNLNLITIHQIQFDVQIQEVDYVDFYYLQQIIKNDPNSSSVIHQ QQKISFFTFNIIDLQIQQSNSGFITFNSITCLKKEYDRIKSQFQEETIAEIGAQDHAQIL IRPIIKLMETKEVRVAIPKVEIFVNMSYMEYVKTLFTVIKDFQKRLLALSNESKENKQII KLLFDEIIVKINLEQQANSHQARFIIHASKLAAEIIGKDEIMLTLYNCLIIDTNWNSKRP KQDIPIYGSPNDAKTEQPFQILHFRRQKYQANQVLFYKQERFNREGFKSLVQNETIKSEP NMAFQYTTVKLKANKYINPDCLDALYGKTNLLSIKINKDKKIEIQYQHGIIQVDEQWIDT ITTIIDLAKKWEQTLILNKSNATQTKPIPNFTLSVQNIWLNYLPTYRKSTLLKQYLQKKE PIKSLIAIQSEQLYYSWIRVLLRIKSATVSSDLQAHLSSAQIYVKSTSKEIKHANLSFLN PILLVPPQIGLFDENRKFSDGFQIDKDEQGLLRLLCFQKLFKIKGVTVSGKTINIGQISG NLKKDSIISLWDITSMTIDRIKKIIPEQENDKQIRFLQDGHQYNPSENMNIFEDDEIELP NKENVPNEKQFEITLKSFEIKLTVGQHFPPEVFSDNNPFNTECQLHNQEQYYLEHSNLLQ LEEFPQVNDQQCSSILFSMKELKLVCCGPSQKEINQFYLTLLHLQIADRIQNSKFSKLIG KEPNHDEQNFAYVFYQIDKKNNTIDLEAKFVPIRVCVSGAALEFLQETMNSNEQLSPKID LLSKLNVGNDKESIIEFCVQEGYQVTFSRISELKKSIKVNFLNIERVYCYITFDGSGVKL DGKLKGLVRLTSYDSLFIFLKRAQIHNLVFENERDLIKFMFEQYQHLIRNDSQLISKLIL SFKAFQNVTNIVLGIQTMFMTIIRQGIVTGVCSGSIPLAKALGDEIVYLAKKPIEVCTTM TEGIGLKVANVVFSPIERVLDQFQTLVFEYLNYFQTTSDRIPKEFLKKNNPDQGNS >CAK65028 pep:novel supercontig:GCA_000165425.1:CT868036:27271:28302:-1 gene:GSPATT00034506001 transcript:CAK65028 MGNFFNEEIKKEQFTSMGLKKLTLKVGSLNQQMAPSIPFVLESGSISLLKLDLHKLTCVI EEVELTLNFKTIEQYRLFVQTYTKQKMTADKLQKIKDEIIKAYKVKSETSNNVNQNAWNI IRQFLQKITFTVNKLVLHIVEPIHKDKLSLAINQISMRVRKLQEEKDQMVSTMIIGGCSL HINEKNQLFDVNNFQKESCIFSLQQQYINKYDQHVVIHLKTELKERLKFNSTLCIRLMQM NFNYKQFQIAIRMVLSILDHNKLIQSQDKIIIESIRQETPQFQFTWCESQIHLNYCDQMG EPKQDDVESKSLSEEDMVEFNEDLEQRFREVSQFNRRRRGRTI >CAK65029 pep:novel supercontig:GCA_000165425.1:CT868036:29876:31656:1 gene:GSPATT00034507001 transcript:CAK65029 MEQFDLEKFYQVIKDQDTVFKKFNENVNDKLNTILLIGDTGSGKSFVFNWVCGAKFKFED NVLKLTESQDNKFSAQSNAMQSVTYIPNFNKIDDHLIIDFPGFKDTKGKYSQLSIKLMFD EIVTKTNVKIAVVIPQSTTKLEERGTHIQELIKSAFTSKNTKFDSIGLIINSFQDDPENQ TYDEKIVIKKFQEQLSLKAEQDKENAHIYQALSKQIIIIEDIYELNLSTALSDDTKNSVI SKLKAISAVSYKPDYQDENQVVGSYITQELRKSITSYQRLLQKKKEDAKLNGLQQCLDNL KSEIKKMKDISKDDCNGQSEWIKNLLSKLEQSKDKKESSEKFLSIFQYFFKYKESISGYL NFELSKKQLKKSIKQYIIELEEEQKRQKEKKEEEEKKQAEINAKHDREQQNLQLKIEEQN NKFEHNLELKKLKQSEQAEQIKILNKSLDEKTNADEKIQQSKNQELQSIKDEKEKVEKAW SEMNKEQKEKEMKKKDIRSKLHEAELEQKMLIKKKEEYLETCKKSWFNPSDYGTQVKDFK DKIQAQEDIIEKSKSELIYDN >CAK65030 pep:novel supercontig:GCA_000165425.1:CT868036:31931:32597:-1 gene:GSPATT00034508001 transcript:CAK65030 MLRQRDFLSKKINTITRTSKAADEDKTSLIIRVQKENTNLVKSCNELREQRANLISHLSN MQKALDILQKEIASLNNGESIEFQQSDEDVPLYIEKNKIQKQISAPKLTPFQQYHQEKEI SKIRKSDTVLKRDKGQLDLQQLIKEIKTYNLTDQELRDQVQQFLRNDESSSILPQILKYP FNQSQEETQLAGLEQSIINTSLETQKLQLPLIKQ >CAK65031 pep:novel supercontig:GCA_000165425.1:CT868036:32654:36057:-1 gene:GSPATT00034509001 transcript:CAK65031 MMEDEYVMVNGRKTIPRKMYQLNKIYNGITLNLHYSIGLKQRIQSFIYQEDSLVYLNGHH LVLYDINKKKQQFILRPKDEEDAVVMNHCVNDKMILSVGLGLKNSIKNYATVRIYKTNHK SFTLLHQHLPFGTQVKDVAFLHTAKYTITLCSNINSHDTSYISVFKTNTEQLITYSDVGE NYAGLFGTYKDFEQFGTFGPNVLKLWKFNPTEKQLDDVFLDYEGVITHVVQSNKKIFLMN KKGILYFYRKNKIINSANIPADLNEIPTVIAAYSEGVVVGFENKSIIAMYEAHKGIIEYR KQYTLNITNLQKIVYIHVGKDDSYISITAQHKTRQTENEEINCEIYLFNLGFVDFINSAF RDPFEQLFPQGVHKSKILNIESSPSKPIICVLSEERVFKVWEFTYEEGKFKCLYSSTLHE VPQCMALHPMAFQCAIGYREGLKFYFVLHDELKQVYYESLKQCSVVKYTPGGNQLAVGSQ NQILIYDPYTYRLLQTISGHMGVIASLEWCDNTLISTCSQGLVMVFNQTRLVDHSFKLHK GFCQTYDTEYDFLVGSYSDNKVRIFNEKGQNLYYEMDIYPSQYVSVQILRFLDMIAFGTN CGKVRLYLWPFTQFKNDQEMFEFQLHQGPITQIRMSSDQQFLITGAEDGSVQICKVKEWY DGKDMTPQEMQKGQRVNSKGLVVSNLYSFSILAFVSKNSIEMKKDLIKELDFRISNQKSD QEDQKQDITQKYQKQEKELEQQYQYQIARYKEELSQIMEAKDTRNKNLDIDLIDLRKKYQ VESHQIQEKTEKDLLQLYQKNDEVKQRLQFTNEENLREYEQKKAHLESIRTNIKNDQDTK FNDLFSRFQSSKESFELDEKKYLEVFKETEKEFEQLINEQKEKKLIKLKELLDKSEKIRS SNTKFKKECDRYQLRKQELENMIRETRTQKDLINKEKDNFKLINQEKKNQLKDRERQIFQ KEKEIKNYRNKNGHLQNFKNVNSYRLQSLQEQKAPLQEHLQDLDQNVKIMYQELTDEAEA EKNLENLIEDTSNKIKELKNQYLIKRDSLFSKRGEVQEIENNIFKVLADPEITNFKEMLQ NVHKQHFINGKINKGRCQ >CAK65032 pep:novel supercontig:GCA_000165425.1:CT868036:36113:42788:1 gene:GSPATT00034510001 transcript:CAK65032 MIVQQSILHNEDEKEQIRRDFDVFSIEELNNELRVQNNQELLRKVHDLFFDVKAEDQDFY YEELQSQMQDEYEEMFSNYKTISNSYLKLIPQSDLQLALNYTDQYPFLGIRKQLLLCQKK ISLIANKIVTNTIFEIITIFIIIFNSVMLAMDDPTTEEQTDFANLMDQIFLIYYTIEAIL KIIAQGLIWPKKAYLRETWNILDFSVIITAYLPYFVSSNSLNLNSLRSFRVLRPLRTISS IKSLRMILLALFASLAQLRDAAIVLIFFYTIFAIAGVQLFSGYLKRRCFSDIGITLMTSI EIDAFCSADSDCPTDYLCGKQTSNPQNDLINFDTFGWAFLQVFVITTLEGWAQIQEAVIL TFSQFVIFYFLLVVIVGAFFLVNLTLAVIKLNFKPEKIEEELKLIQEDIEFYDYWELRRL GLYKAKRYKVDTTNYGVTPSKFTNAYQIRRRSKKMNTVNFNNLEHNHRVASRKNITFKAI QQAKYYSKPINLKDKVKLQGIYGMGNIDKDQLATNSKFDPLLKQTDNYSISSKTNEEKNK SSENLPPSETNQEKQEKLLSKSKNQTNSIQSKNGDYSKNGDYSKNGDQDENQKLEVPNQR KRKSTSQQFQNFVRSPSKYSKTVRIVNKQESVDLLSNTEFSSINLSELSEDQLDTRLQEI AVDLDQIRLEGTSQNLKENEFKEMKTLRKTDAKKMKDFLQSEQYDNQFDEKNLKLKSKLH NIQFYPIIKLEHEQYTSTEEVLETKYIRELQLQKQDQEHKFKTMSVKIQYCFKNSKQILF SQQSQKSIAKKLQTTQNLKQSKSKKFKIFHGLRRVKPILDDVHPIEEFLMMSDLVDSETR REDDQEIIDVQTSQNPLMDTFDHSKRKKKNQLNQKQELTYQELQSHFNDSNDCIVDLNKN NTVIKEIEQSNKNIFLSYHEREAQRAVFYHDYYEIRKKDTLSNGIIQAISSIEDVLLVSD YTFFDQKFATQMNSVMKAMNYDDNAYFKWIKGFTGLLLVCQRNLYHLVQSSYFEGMMNLA VALNTLILGLDGLISEESNALLIQFNFTFTILFTIELGLKLMALGAINYMKDTMNIFDAL IVCLSLVELFILGGSNGKSSLSAFRAVRIFRAFRVLRVTKLMKSLQFMGFLIKVLSNSFQ SLMYILLLMIIFIFIFTLLGMSFFGGQLTYTPSRENFDNLQSSFLVVFQVLTLENWNSLL YELLLQPLSPVITMIYLVLWIMIGNYVFLNLFLAILLENFEEEYKVDKTGLESDLQMSKN HSTTQALPISTLQHSGVNINNTQNQLINQPILRRRSQVKITFHHDDAIQKKKEPAFIYFS EQGICQSSLFIFSQQNQFRKIAYRIVKDTKFEGFILTLIFLTSMKLVLDTYIPSSGDLKF YSLQFDIGFAILFGLECILKIVAFGFAQEEASYLRESWNVLDFFIVIASFVDVSVSSINL SFVKILRLLRTLRPLRFITHNKSMKILVSALLQSINGIFNVGIVIILSWLMFAILGVSLM KNKLHYCNLNDNQTYYYGKDDCINKYQGVWDNRDINFDNVLQGMLTLFVLSTLEGWPDYM YYFIDADESGPIFDAQLQFSWYFVIFILFGAMLLINLFIGVILVNYHLAEEASRDQNLTQ TQSDWLDLQKLIIHSNPNMAMFFPPDNSFRALFFKLIKNKYFDPFIMTIIVFNIITMGLA KEEASKQYDQILSYLNMSFTFVFILEAILKLIALGIIGYMRNSWNQFDFFVVCASILDLV LDFSGNSFVTFLKVGPQIARVFRVLRVTRLFRLVKQFQGLQKLIETALYSLPAMLNVTAL LFLVFFIFSILGVFLFQDIREGQVISESNNFQDFHHSFEILFQCSSGEDWHKIMFDTMKG GQEYNCVFFVLFIIIQQYIMLNLFILIILDQYEVNYFNSDNPLNKFQEFENIFVESWSKF AYQDKGMKMHGTSLVNLMFEMEQPLGYELNKRLQEDIQEWKNINPDNKDATQLDKFKQQL LVVAKKNVATQIMMMNIYSDSEGYVRYHQVLFAVLKNYLQKKLNINLTEIGAKKIQQKED ETRQKITKFDASKQENLVNPIVQYLFVLMAFKAMKRYKCKKKLQQQKLAQLSIVGQEYFS DENSSNTSYDGNVEILSRKTRGSIIRVEPEYGQIKYLSVPNTMIYQNNLALNQQVVSESD NDQSSDNQSSDQDANKLKRLQTISQTIEGLKRRKSQKKTYKK >CAK65033 pep:novel supercontig:GCA_000165425.1:CT868036:42858:44943:1 gene:GSPATT00034511001 transcript:CAK65033 MSVFLLTLLRLTSQEPLTTSQIKVCDSNKNADCSENMLISLTIENSFSTSTEQIQINSTI LNNQTVQLSTPFTLTITKTPVYAYYPLKYFQNYNSQPYELQIPSAVNPCDDNWTSNSPTC GFQYSSTNKVQDSQGFCCSCGSSEYSGQNDQSVRINICKNASVATMAFCLRYSPLWYSSY NISKFVIHYNITISIKYSNDEVEQYTLGSEVKEVKGESSIAKIISDYIPSNQPPSLESFM LMKPSSPTSHNRVQAGSAAYMFVPKEFLGQGECNKIGVSYTSFKNERNSCKKLIRSCLQN QLEDLYQNDIAQLNNNSQPTYLIQKYGEFKQININNDQYLQFSIDQQMFTTITLEINTTG RISYIGNKQESVKGQIDLVEIHNFSIASGSGLLYAQITNTGGSLSEFKSFFNCSTNTITI NSTELEPLQSIIIQQDINVSIDIKKSTSCNFSLLSNEGALLDWKIVYLNQFDNNTNQSNN YNQTITSEGKVCEIKCSQFIDISCYLQNNCEKDAITFFTVLGGILLTFSFCCLYVGQKRN SCCFWSKNKRMSIIPPLESVLYQQNSQMKRRIGGSESSYEQISQLQISILSANLNKIMYL NLALGTDPISNHLQSDASFEVLATYQNKDLVELSIKRRSPFVRIFKEIYGLQDADRNVKK YLEGKRESIYLFSNLLTEFPLFSIY >CAK65034 pep:novel supercontig:GCA_000165425.1:CT868036:44975:46749:-1 gene:GSPATT00034512001 transcript:CAK65034 MELAPQLRFKIYKSILDYLLPEEKIDFKYLFLCRLYVKEKYVSDPYIIPSNFRLLFLDKK NFQFFEVDPPILFSDIPQKFFDQQRLPLNQRQQFTKLKVNNKTQLQDQTCLKFMEFWSHI IIEMGKDDIKRFQAFLLKLPELHKIPFQLTLQKNLLQNNQEVSDNYKGDIIRKKIKIVSQ EQFWNHQKPIQEMKRNLISECQNAYTNNLDAVLQELMSYCSIYKLSPNDKLKYVDQLQLI NDIKLNTEQDDNPYMRNRPPKKTKGKEQTQANKYGDEKGAQHQSYVQSFKNIHEQQLINT ELGKQYIKTFGLKIIDELACNSQLNQLEQTNFNLVNDYNYDEEAIAISGLKTMQQDPMNE EVIYQRAQGTILDPSFLGQLELENDGVQLDEQPKSKVKALQKTAPLVKKQYQTNSEWERD RNYILQSINSFDYDKKFTKVDIYQAIKEDYKLSFKISVSTIKRQEEREKEFYAEVRKVYS NVRRLLQYLFEGLEQKKSEQQMKEYTETLQEEIKAIRNKINQLQTSATEKDKFKSLLYED LQKQIEMAINETIYK >CAK65035 pep:novel supercontig:GCA_000165425.1:CT868036:46749:47335:-1 gene:GSPATT00034513001 transcript:CAK65035 MLKYDQQTIGEVLLQSCPKITNTIKNLKEFQTEQELNPNEIVIDMKDKLLKEVLEAKKIN DVEQVLRLYNQITYQHFNKLLPEKYVSLYIERNNAALNENAFESSVLSKFWQKSRLLEIE IKSDIIHVKEVQVNQRDFINQLAQMNTNLEKSILELSRNL >CAK65036 pep:novel supercontig:GCA_000165425.1:CT868036:47350:48449:-1 gene:GSPATT00034514001 transcript:CAK65036 MYEQELPSSLDDFPNYNLKQSAESEKVVVDDDQKKKAAYQFMQSLEKNLRTKISSPQQRV QTLAVSLELYDTVFNKIYCNTLYKDTLFPLAESLEEAFNDEFDSITKLLFNESCYRHAFQ SVQQQFNLQTSIESWQNYQLLFAALQQKQNIDLPLPPSWIWDILDEYVYQFYVSSRWRKL LKNDEITQLKNIQDYWNLEEMLKTLEGFYAQRNSSVQNTLQYLAYYSYLATAKLHVMSGN FNAAYTMLSQIQHSELIIYSKSGGAYQSLFSYTGFCFLLNKEYKKANLTLTLIVNYFNKY KQLYTKSYQYDSLIKQHEKILALLAITSLFYP >CAK65037 pep:novel supercontig:GCA_000165425.1:CT868036:48460:50314:-1 gene:GSPATT00034515001 transcript:CAK65037 MNNNGRVQVYEKLCQCLTTLELNRYNHHARTPEKDITLIKEQINQQHFKTLCYSTELRKT LKKIILQGELHEMNYNWVLLELSGARTKRVQNPDVYDEVTKLRTDYPQSYFQTIQLDVVR TVSPSLRIKNNDRTYERNHEYEAKIERILVAYSIRNPFVSYCQGLNFITHFLVNKLKFSE EDTFWALSSLIEEIMPLDYYTNMISVMVDTKILEYFSKIYVPQLLQHFKEIYLEANFYAI QWFVCLFTPNLHIDIVKEIWMRVMVQGNRALIASAIAILFIFEKDLLKFSDFGDLLEFFK KSLKEYNNIHEFRFIVNQIQIKKKPLFEARDMFRKILEKEHEQSLGNESPSLDKVTCNPQ WTVCNKVIHLNRTLKRSFSFFVYCQKEPCRVINDYWDRYDDSSSRCSSTASSPKKKKQKE QGPQVLLGRQFHICQNNDLDENDKYLFRSQLLVHQDINSEVQSNEDEDDDTVENNESINS IEQDNNRGRSVTMNRYMPRLSEQGYDGFYKQAVYLMKQQQPAEPRKNQWGIKAYIPETKN EQVQQKFRVARQSISSIAFATQFVQK >CAK65038 pep:novel supercontig:GCA_000165425.1:CT868036:50493:50978:-1 gene:GSPATT00034516001 transcript:CAK65038 MKLRQCYLTKDLNQNKQILTNELIDHIILFFYKLISIVIIGRTSVSAITIGDLVFACYDT QHIVVLSNIFVGFNVGCMLNFREGELLKVDSDMGRTSSRSFLVGLQFFSGVIIQLHQRTP LKL >CAK65039 pep:novel supercontig:GCA_000165425.1:CT868036:51050:51404:1 gene:GSPATT00034517001 transcript:CAK65039 MISQTQTISQTSQTILHLNSSESHEVEQQTQKKEKKKRSVQWTEDTVDNENLGKLKSNIC CIYHKPHTEESESSDTCTSDDEINAIERDKQSKLRHKMKCSKKNKKCC >CAK65040 pep:novel supercontig:GCA_000165425.1:CT868036:51449:52138:1 gene:GSPATT00034518001 transcript:CAK65040 MLKSITELYDQEIQQIKYFLQCSSVDDQQKGFVRSDIKQRCQHSQKHLKITRSHNISPSL GQYDVSYKGLDTHRYEVNFGSPRGVQKPTDEMPRTVRISPFNNQLKQHSIKGGTDFEKQV SREQMYLIRGWLKFDKSMRAPKRSLEEKLRQLEVGQEALLKFPSIDVKENPLLQMKVSHL PHDYEKMRQISRQYLQKYHIKESALRFYSP >CAK65041 pep:novel supercontig:GCA_000165425.1:CT868036:52304:53185:1 gene:GSPATT00034519001 transcript:CAK65041 MSSEMDFLSIQKVIGEKKSDILDLVRNRKERQESQRDYKQVDKHSKRQQIHYLKTNQKLR QILKKQQMDEGDPQDQWKIKIPGKIEHNQISQEELKKMIEDDEQLKTLPLLDIQIKEQYF LRKIENHNNQLQLKQQYQELKLKNDLLEQKSEEQLKLELLRIKYKHKEILDQLEQKQEKK WKSFSEFQKNMKQLQEEHNQFIKQQQYQQQKQKDEQKEEQFKNEQEKEKNYIDILFKKTK VLSEDNLECSICSQEFRNGEKLALLNCIHRFHEICFKQWSQKSNQCPYCQYRI >CAK65042 pep:novel supercontig:GCA_000165425.1:CT868036:54699:55226:1 gene:GSPATT00034520001 transcript:CAK65042 MSITQSFEESLTLTNKNNESCDTPISSQRRINWKVEMRKMVLTKLPIKKPSPRLCESSKS KNIPKNISKVITQQILRGYYNHLIRCNPKTFFSFIKKNKNIQNLTTLLKLIKPHKNQDVN SLHECFRNIWYLLLLISSWYFLKKQYVGYVFNSKIKDPQWHLQYRNALLKICRDF >CAK65043 pep:novel supercontig:GCA_000165425.1:CT868036:56383:59910:1 gene:GSPATT00034521001 transcript:CAK65043 MSSDFFLNQEHNYQGEEHHDESNNWQFRGPIRFTFKIDPLEDGDCTVKPPTVGSQYMDNS ISQQSQQLEQINSPKVVQFSPPDSIIEDIPLFSTQKQELQKGTKDFKDRPRTNTTQNEFM KNFLKAVIAKSYSNRFIENMLQKSYVKQPFHFSQFQNSLMDDLRYIYQHNKSSQHWLSAI CKHLRFFPILDQSSYLVIGWQIIYILTIITVFFWTPFNIAFGITYQQIVFGGLSVKNVES YFLITILFDVLVVINTSYIEKGIIIKSRRQIFTNYLAGQAVYDLFSFCALLIAIEFEIET SSEKLGWQLCPYCLYYCCRLFKLQERVHKLEEFFNLNASYQDFIELIKLLFMVLYVGHLF ACLWHGVAFYQKGYRQTWIDVYVNESDMFSVYNYAFYWAVQTMITVGYGDITPQNNAERM CANISMFLACGVFAFSFNSIGLMLSNLNSRQVLYKKSINLLNQYLVKNQIKIDLQSRIRN YYDYIFQEEQEINDEEVSQITAKLSSSLQEELNFEIRYNVMKTNKVLTKFSQKVLKQLSL QIEEVRFSPEDQILQQGICDDAALYIITKGNVCIQFQDENKGSNTRALSNLTKGQSFGEY SFFTGMNRTATAKSLGFSRAYKITRQQLLIVLAQSPLDLERYSEVRDSILLSSNYQPSKL SCYSCKKFTHLIKDCPVLHYVADQERVLKKEYFPIYQDRSQKFKRKYERKRFETLKECKK TMVLVRDFQTKQVLDNVTVMDDELDVSYDDNELDISPPEYSSLTKSLSKISRQQSQNRSY SQDNHLQPIQESDSSMESASPNKQRVKQVKQTLQTAGFGVSESLHNRLVSIQEEQLTESI HDKPLQNAAQSNLQKLEDYKFSMKNDSLGLPTLAENARSSGRKITLVRKQKKEDSQKLFS SQTQVDSRERGQSAQNSNRKPKLKDIREMSQEIAINPSVQSIDKRDQLRRKTTKTNRSKT AKSLKTKILDNHTHTLNQELSSPNYDEQHVSMELEGFEALKNFQCYFSWNNPKVIVARAM RTLKMNMDKRKNFGNIFSLYTFNNLAMNKALRIKRKLKLIDDPPIQTTDIKKGHPSKSPK QTRISIKRNTSTQDVLGFQPKKQILESQIQVQGHTFNKIQRNEFELK >CAK65044 pep:novel supercontig:GCA_000165425.1:CT868036:60218:60814:1 gene:GSPATT00034522001 transcript:CAK65044 MRYEFIQAQSQQIYQKITPLLKPTADVQSYVRALIFLGTNQLEDDWLSAKQHFEFAINRI LQGSVDRNNYLFCEKMLLKPFNKQSQLGRDLYSLLTNCLNFCKEENKFKRSKSGQTGVKA CDHIQLNNSTKIGALVKSFKEEYQKMIDTRPSLKSRMVEERVNKHIEQIKEKIKRTNKTS YFISPRREQQEKAKSLNK >CAK65045 pep:novel supercontig:GCA_000165425.1:CT868036:61342:62465:-1 gene:GSPATT00034523001 transcript:CAK65045 MKNIISIIKYQFATKKIIGLGSPLLDIQAEVSLQFLEKYGLKLNNTYFAEKKHIELYEEL IKIPTHSHVPGGSALNTIRLARWMAQAEKDQVKFIGCVGKQDKFAQMLIETTYQDGVTAL FDEQSYPTGKCAVLLCNKDRQCLVPLIGASAHLSQEFVEQHIEEVKTAAVLFCEVYFLYP RAELTKYVFKVAQENNVHTCLSLSSVNAVNDRFNEILAVLPYVDYLFGNEEEVEQFGKNF GCGFGLQESMLRIAKFSKVGSKDRVVVCTQGHKPTLIAKKNELLNIQVESVDPQKIVDTN SAGDSFCGGFIAELLNGADLIKCVRAGNYSAAQTIQHEGSTIPNYQPDRSW >CAK65046 pep:novel supercontig:GCA_000165425.1:CT868036:62574:65322:-1 gene:GSPATT00034524001 transcript:CAK65046 MLEYRQNNIQVVVRVKPEQGCIKVDECDSTVLLISNKQYHYDHVIPPSASQQDVFTLIGQ PLINNALQGYNGCVFAYGQTGSGKTHTLIGNNDGLLPQCLQYIFACSIEDPNVIIKGSYL EIYNEQIFDLLSSSSNSLQIREDPKKGIYVENLNAVVVSNYDEAIALLKKGNSTRHIAAT KMNSESSRSHAVFLIQYSTSIQQEKCEVHLYSKMYFVDLAGSERQKTAQTEGQRLKETQA INKSLTQLGLVIYAIVEREKGNNKIHIPFRDSKLTTLLKDSLGGNSKTFMVAAVNPLHEE ESISTLKFAERVKQIKVKAHVNKEYSGEEITKLNLQISQLKEELAKKSRELENVQPSDAL QSQLHMQFDEIQKLLQQIHQSAMQMELETVEGQLQEYAEKKSYIVQILKSLNLSFKEEDT TNYQTLNENIEYQNKLKIQLLQQEDVIYKLQKKKKELEQNISDQQQAHKTILASTLRGFE DQMKMKDYLYDQIKEDFDQMEVSKLSLQHQYDGLKEEVQQYIEKIEQMQIQLFQNQQNQS SIYEEKDQNIQKLDSDLQKQIEYNSQLRLQLEQIHVEIYQNNQSFQAETNQLNAQIEQQQ LEINRLSEYVQKIEDENINIKQNNDFKREYDQLTQQYTNLKSQYQHLEQELKSLQTENQY NLSVIENLEMSIKSFELFEDNWKQENQLYRNTILELQEQLNQKQEIFLHIQKSFKLDNCE NIINELNNKTNQLHRNEQKLRQEISQLKSEQQLYIQKLQIRDQTISDLQNELQDLQKTHR SSEVQKQIEQNEKTQSQRQLEQKIATLKLEYEKINKSKNALLVEYTKLENNQKEYESFLL ILEKKLQDYVSNTFRKNRVMSQEQQILYLKTTQIKQSKFVIIEALVDIVILDTIDRQIRT FSQIRIN >CAK65047 pep:novel supercontig:GCA_000165425.1:CT868036:65614:65873:1 gene:GSPATT00034525001 transcript:CAK65047 MQIFIKTLAGKKVSYNIEADNTIQQLKMQLQEKEGISSEQFKLIFKGRHLQEDNKIADAQ MQAGDTVHMVIQLRGGF >CAK65048 pep:novel supercontig:GCA_000165425.1:CT868036:66321:67867:-1 gene:GSPATT00034526001 transcript:CAK65048 MRKPPLKILLEDNELEIIKAKTHDVQSQNKTTSFYSNISDGQEQLSEGKLYQCDTSYSSS SLHSSRTLLEESQWQNYQKRIDSESLSEQAKKVFLISTQSSEEWLANFTEKCLKVECFFK DSTFNTIIETTFNSDDQQLKQTLVLTLDYFTNLVTFTFFLLWKAVCNENQQDMAQFVSNS NSITEFLALMISLRVNEFRIDFQLLTIFESIIQPFPDKSIFKECTDSQRSSLSIDIIQQK TFMPLMKHNQVLPWSTEEVAFVLSFINSQFYAELQIRNIIVKGGLNHYFRRINTISQFII YSVVRNPSKHDRQDALGYWIDLAEKLQQNYDLEGLFIVYKYGIQLLLKDYIGTMPVLFRD LNRIPKINAFYEEHIKHNFKDSDNQKQHLYIPSFHKFITQIKRLELQIKTNKSLFHQISD IMFSLVKMSKRQQVLYQQMCPKISENEEQIIHHFTKGIEKELEANLQTPLDKETLVYIEL IKLAKTIH >CAK65049 pep:novel supercontig:GCA_000165425.1:CT868036:67931:68855:1 gene:GSPATT00034527001 transcript:CAK65049 MIQGAQTDFIYVFYLQDIDYDRSQRNIKKVKGIPQYKKYTSNVRLLFYLIAGIIDELTGE NKQEHHNKFFEILQSSLTTIGSFKFLILCHKLIYQLQQEFTSRFISNKLIPGDHTDKSRL AIYYYNFLSKLCENFDYYKEVIDFIETENIVKFLQYELLVQIQILFPLASLLQELSQVTF PIYQVVKLLDYLLCRESPLLLQLGTCILKDFCVIYNFLSAAIQELQIQILKVPLKEAKVL YHVYQITIQMTKCMKRLQQLKLYSNFKQPHYFIITIELNKKIELHMLNSIKLSNIEISI >CAK65050 pep:novel supercontig:GCA_000165425.1:CT868036:68875:69216:-1 gene:GSPATT00034528001 transcript:CAK65050 MEYCDSCRAVLNERHLLEEQLARLQNKLEIVATQLKLLREENELLKSNIKVPNKQRRKNN RTKAEVEQCQKEIQKLQVIIQHKDVIIQSQVRCDIISESSIIAMDRVRKKVNL >CAK65051 pep:novel supercontig:GCA_000165425.1:CT868036:69255:69614:-1 gene:GSPATT00034529001 transcript:CAK65051 MRLRLVCKHLFSTSQKVPPKPSSIMGAQLSQKQMDELMNPLGFLKENEKGEVQEQIEKAK ELKELIQKYKITKSQQSGEQAKPYELDKNGMPPEVGFKVKGPEPTRYGDWIGRGRVTDF >CAK65052 pep:novel supercontig:GCA_000165425.1:CT868036:69798:70616:1 gene:GSPATT00034530001 transcript:CAK65052 MQLRSCKFNQPPRRQYISPYQIFYQEQLNILLSQGVCINMVGGPISKAWQELTSEMHEYY EEQFEQCEEQFQEQLIYFYGGNQKHINQLNELKNIPSKPKKPLTPQFEYIIKNRYKFQSR IINWKQSFSFLVQEYFKQSQNVREQLEFDFERKMKDYKEAIEKWNQMYAEKYKTLENNTI EIYNKQKTENDFEYQELQFFRPIRKLRTNKKEDPAQTYIDFKNVKKYPNRNEDFGLRELQ TNTEQFAEEVQQLASKQTKKARQF >CAK65053 pep:novel supercontig:GCA_000165425.1:CT868036:70713:71068:-1 gene:GSPATT00034531001 transcript:CAK65053 MTQNDSEATKLTNDILTGSKKINKKQGHWNEAEHKAYLSFLEENNNHTKGQRLFKKMSQV VGTRTPSQCRSHHQKFNPQKPHCHSETGILRSKQYARKYFAKQRTLEHDSN >CAK65054 pep:novel supercontig:GCA_000165425.1:CT868036:71173:71439:1 gene:GSPATT00034532001 transcript:CAK65054 MGITCSQPKKSTLTLTTTQDEEDPNYEIKKLLSEERITKLRKTFPRPSKTYESYFLSQIN NDNTMNINKCCDEEKFHKSSKLGVSLIC >CAK65055 pep:novel supercontig:GCA_000165425.1:CT868036:71626:72634:-1 gene:GSPATT00034533001 transcript:CAK65055 MNNKAIAQIRKLQTGENRYCFECQTGSPTWASLPYGIYLCYNCSGLHRGMGVHLTFVRSI EMDSWTDKQLAMMHLGGNEQLRLFFQSHGIQITDSHKWKTNAAHYYREQMRALVNETQMP EEPDDWAAIQEAPKPQISQQQSQIQPDPPLTQEQQNFWEQASKSTKEAFAIIDEKISKVQ IKEEAVQLGQQITAKTKQLGEKSTQIADKAYKSIKSGFNDAFGFIKSKADQVIGKEKQTQ PDNNNNNNNNNNNNNNNNNNNNNNNNNMALIVLDGLLKLKATLRFFFF >CAK65056 pep:novel supercontig:GCA_000165425.1:CT868036:72692:73284:1 gene:GSPATT00034534001 transcript:CAK65056 MNSIIYIYIDSQPLEIVINFSSLSNIQYNNPHYSVGKVFLQKKREIEEALMIQTIDPREC FMKNKIGHQGIKTKMKYKLTILVNSQVKLIIVWVATQLWSSLKILIKYWLASHAFSKIAQ NLLIEQGQGGCLKQRRY >CAK65057 pep:novel supercontig:GCA_000165425.1:CT868036:73539:74648:1 gene:GSPATT00034535001 transcript:CAK65057 MISIQECPTLRPTQQEFDNFYEYIEKMDKQYSANFGMVKIIPPKNFRVRQQDYNKSLDNL IIQGPIEQNVYGKGGNYECLHILKKSMPLKDYRNKQLDIDKQHEKLTPDQFERLYWKSLA FSPPLYGADIKLSLMDVNNAWNLNNVTSLLNYGLKNRIPGVNEPYIYVGSWKTFFAWHKE DLDLCSVNYLHVGKDKFWYSIPEADSHLLEKYTRQIYGDHFNKCSEFLRHKTTVINPYLL KEKVPEIRISKMSHHEGEFMFIFAGAYHQGFNCGFNIAEAVNLATLNWLPLLLEAKTCKC VKDNVKIDTQAFAENLKKSTLYKDHEKVKEFVEKAKNMQKILHKPIKKVKM >CAK65058 pep:novel supercontig:GCA_000165425.1:CT868036:75095:76504:1 gene:GSPATT00034536001 transcript:CAK65058 MYDNPPEYVKIPPFRFVKPYQHVYKTNVKSRWLGMTLLDAMEKEFRAYNKEYYENAINEG KITVNSVRVSPEYKLEHGMQIEHATTRVEPPILDLPVDIVFEDDSFVVVSKPPSMIVHTG GGYHYNCLSAILHFEHGLKDLYVLHRLDRLTSGLLIFSKNRLLAQKFHDANSKLNVQKTY LARVQGNFSEDIKENNKPQYCVSMKDAIFACCTEEDAQKLDAKSATTKFEKLWYDPISDS SLLECKPITGRTHQIRVHLADLGHPILNDIGYGGKFIGNEIVNRNFKGLKQESIRQKDVL EGEVVEIVGKKVKLNTQEDEQQKEKNKEDQNQEEPSLLQNEQQEVAGQEKINIDKNEKVE QQIQQGQEQQIEKQQDQQQIEKQQEQLQQQQQQQQQQDKQQNQQQQVQNNIKTKLHQQQY GNTSLLNDCLSGKEEWDYHKHPLEIYLHSWKYLFNGQEFKSKEPYWYYI >CAK65059 pep:novel supercontig:GCA_000165425.1:CT868036:76540:77121:1 gene:GSPATT00034537001 transcript:CAK65059 MKQHKYTAYYCEENIYNLVQDMMEEKQDHLTQHYAVLITNPFQQTYIKCQKSSPLVVWDY HVIMVVKHTYQQEGKITQNSFVYDFDSTLPMNCDFNTYFKQALGFQKPQATLKSWFRVVD GQKYIDYFASDRHHMIKNGKYLQPPPQYPCIKGSKQEKNNNLQDYLDTTNNDPLLGKWMT EEQFQQFFAAKKA >CAK65060 pep:novel supercontig:GCA_000165425.1:CT868036:77149:77394:-1 gene:GSPATT00034538001 transcript:CAK65060 MVMCLSKQSLTNLILKNQTVQQAIIKKSQRFNSLIQQYKQEDIIGDSQDSDLLSKINFDK IYKIDPFQSQRKEDQSYQDEN >CAK65061 pep:novel supercontig:GCA_000165425.1:CT868036:77463:79007:-1 gene:GSPATT00034539001 transcript:CAK65061 MHSLLSKHLCVSIRIEAVKQRAIKLFNLVKTCKSFQKNIQRNKILASCKEKQLYVDPEKE YLKNFDLVFIVQGLPQVPQEQIELILHVKEGVINWGGVEINQIEQPEELQDDFEERMDDF LPLPPIKRQYSEKQFNYAASERSPEPCPYTIGQLTIKEFILDMDFDFEEETIIFKEIYQF ICQMNSQQEIHLIIIKEAPKSPFDLEVERIQHELCCLKNSRKQLKRLCWPRNTIREPRKT HIKLKLKNTDQMNLEIQSKRKIKLLFNINKRQLSDAQLQKVGSGILFRMFLDQLQTESTY LTNPIFHRVTKSKKILIKQIKFWQVQEVKEMNKFKIESRSKQQALPNSLIFDDYDWIEMR AYFAQLHDQQLIVDREHKIIGDASDLREIQNNEKSNQVQVASIFKDECQLVQQKIIILQF EELLKENQPEQEWHFGDRIYMERHNRNTLRQKFYQALLNDPQVIIKDLPDGINQRSLHSN IN >CAK65062 pep:novel supercontig:GCA_000165425.1:CT868036:79061:79974:-1 gene:GSPATT00034540001 transcript:CAK65062 MAVVEAAIQTEGVGRFEYQDGTVYEGQWKLIEGKKVKHGKGRIVIASSLSTDNDLKEEYN GEFENDQMCGEGVYIYKSGATYRGQFRDNRHNGIGFYQFPEGCTYEGEWVNHKMHGEGVF IDKEGNRWEGEFVEGIYQSKMQKQLKMEKMLNKKEAEVRENASHFFTRFVDAYAHSDKKT MKDNMTPFFATTEDIKLYVKEPYPQFADRPPEKWEAGIKFLSAGSLNIPRSNTAAKILDP QNILAAQFSGVGQVAEVQVQENTRIVRLAICNTAEDKWVIVFYHDNEEQEIKKKK >CAK65063 pep:novel supercontig:GCA_000165425.1:CT868036:80068:80502:1 gene:GSPATT00034541001 transcript:CAK65063 MSASPVSVSSQEEYMVEAITNKRVKNGRTEYEVKWQGYSENEKTWEPIENLQSVMTYVLD FEQSLKTKPQEVGEGSYEDGDSADEIIQIRKDNDGQNLLFQVSWKLKNSRAPKVSWINQN SLKMHNPEILIDYLLKKIKWPNNK >CAK65064 pep:novel supercontig:GCA_000165425.1:CT868036:80780:81533:-1 gene:GSPATT00034542001 transcript:CAK65064 MEPIFVRLFSKGNRISVEGECLVVQSLNESSREFGNFVNFLPTLLALTQTIFRRKELFGL LIRILHCEYNRKQDQSEKNMTEIPNLSANFYSIYVQKGKQMASPKQMDNRSGSQVQNDSK ESQSDLKITQGRKIVKQKGKKYMKIRLNTKQQLYTMVQRQGMKIKDAAQMLGIKYATAKT IIFHQRQKRKAKRKCGERMCGYTGIVGNRVSRLKIICIIANQIVHQQDYNS >CAK65065 pep:novel supercontig:GCA_000165425.1:CT868036:82127:82588:1 gene:GSPATT00034543001 transcript:CAK65065 MKMKQFLECEYALSNRIKCATCHTVIYKHDLKIGHIFLRKDEGQQFDKKVWYHVDCVKKW PTGEKGQELPLFRLQSLKAEDQLRIKELYRSLQEKPKSKKEIKVLSKQEQYEKYVTVKNL NDPGQIEEDDDCIML >CAK65066 pep:novel supercontig:GCA_000165425.1:CT868036:82764:83455:1 gene:GSPATT00034544001 transcript:CAK65066 MQNQIEFGYWNIRGIAQPLRYLLEYVEIPYTDKVYNIAESEWSESVAKPPLNQEVLVNLP YVKDGDKWVFESQALYIYIAYKANRPDLLGTGKEEQVVVEQVKGVLIDLYRGFRSLIAIP EADYQAKKDEYFNTQVLWIIEKLNKFIQGRTWSAGDNLTYVDFFQFEVEETLEAYKPGLL DQFQNLRKHRDALAEIPQIKKYLASDRFIAKPFYPIGRWRWW >CAK65067 pep:novel supercontig:GCA_000165425.1:CT868036:83955:84889:1 gene:GSPATT00034545001 transcript:CAK65067 MKTNGFYWRNEGLSMFYDHELGISSVLERLDGKIPNSQGIRKKNIRILMNTIIGNLQSAD FFLGQLNNNIMADQLLFGYWGIRGLGQSLRYYLEYLGLPYQDKRYVKPEEWFGEVAQAPL NNEVLVNLPYIKDGDKWIFESGALYVYLAHKANRADLLGSTPEEQVTLAQTKGVLQDLLK SFFTLITFSEEQYAAKKEEFFKTDILWLIEKLNAFLGGRQWAAGNNLTYVDFQLFEVEET LKAFNIDTFNAQANLKKHHDEFSNIAQIKAYLGSDKFIAGPFYPPGAFRWG >CAK65068 pep:novel supercontig:GCA_000165425.1:CT868036:86010:86783:-1 gene:GSPATT00034546001 transcript:CAK65068 MSKDSLPMFKFIVVGDQSVGKSSFVKQYSESQFVEGASRATIGVEFIKKLVVVDKRRVEL QIWDTAGQEQFRSMIKSFYRGAAAVFVLYSINQRDSFEKLQDWLTELHESAHEEIVKILV GNKSDMERSVQKQEAEKFMNANNFSLFFETSAKTGENVEKAFVEAVKLVIMRMFTSESFK NSIKTTKKTPASSKQNTSRSDQPITEVPSFTSKPIQLQSDHTQENKHAKKQCC >CAK65069 pep:novel supercontig:GCA_000165425.1:CT868036:87097:88389:-1 gene:GSPATT00034547001 transcript:CAK65069 MNIDKYQGDSIGLRLRVQRKTNTLWNIKFIKLQQIIFQQFLSDFLTQFQHVKKILSYSLL LNSLEQKYHFMFKSQLYSEQIPTEKIKKRKNSYIDSSHSTEIQENGFIEMLELINLENKV QVESDYLEGLLDPSVKRIVDYNNCYNKELFDSLIQDRIDLGNSLAKHLINDKSRALMVDW MIKVFGCRNFTNENTFFRAVNLMDAYLKNTEQQYNDSDIYLIGVTCIFIASKVEDIECFD IETIIIDLSHNKFSAYQIKTMEKDILETLNYNTNFPTLNEYLQYLKFQLFGQSQNQSVQS IYDTASHILKLCCHDFQMMQCQQMLLAASILGYTIYKYIELHQSSKEEQEKKNKNQKQQI NNLIRIGQLKFDEYLNCFKKVEQVISFFERQYPRCVNLQKINIQN >CAK65070 pep:novel supercontig:GCA_000165425.1:CT868036:89266:90675:1 gene:GSPATT00034548001 transcript:CAK65070 MQLRKVRSQENSCTNQDQQRKIDSAQFKTQPCTQQHPSTHKKFCPYYHDESDRRRDPHQF KYKCQICPQFEQCPHGDLCAFSHNKVEQVYHPNRYKSKYCVQNKDCEYGIYCSFAHNEHE LRVPLKLEQLVQDKKFWMFHYKTIWCPYIVGHDRATCVYAHNAQDFRRDPHQLQPKECVY WNKTDQIQRYDQGGCPDQENCPNCHGWKEYEYHPLIYKTKPCAQPNCIKKECPFFHNDQE RRIPKQQNEKQWIIQEPNTQILSRVPYKSNSNYQGPIIPSYIPQDLNREKMEVGQPLELF STMTSSSNPLSRRGSDFSDRQKKKWNTQRKHHRTAPTTPDQKQQQQTKMPLIKYSRRLQD ELWKLSGSDFILHTLEGMKITETSLMHMNEINLLSLNISDQQKQYLISALFNIKQEKNYD EKHDDELLKESAGQF >CAK65071 pep:novel supercontig:GCA_000165425.1:CT868036:91501:94763:1 gene:GSPATT00034549001 transcript:CAK65071 MKDEYAELTTIGTSSSSANRRLLFLHKSEITKSYIDQTKQICNQQKKKKQPFQKRYDQEQ EKNESIEIQKGLMKVEILDWQGFMDQEQDMINKVNNEEEQQKTLKTPLTFKCKTNPCIKQ IGNDRFNYFYKMKLANKQLSAISTQDFLKSVRHSQKLDDYTKLYKQQPHNLYMSLNFSQP ERMPKTFGLIQNTLQLTNANVSHSLRNGEDCNAFSNAMLTQQSRQLQVMQLNHNQFTPNQ LRNILLTFPTTLKDLELQNCKLNYMHMEVLMAFASKNQIYKLNLENNNIRDLGCQIIMKH LLTNNTLQCINLNNNHITECACNAISNLLKQTQRLLELYLGYNFLNGSAGTIIWKAMYKN TSIKILDLSHNTIASLECAQSINKAISRPYNELLHVDLSYNKFTSPQAQLISEALQKNET IYGFHFEGNQQELFVNPNGFLINSVQSFKQRQDKLQLLYKQPQYFKLLDEPKKENLLMKR EEILIMPFSRSRKIRSTKLNKQNFNEINRLDTCWICEGWQEIKFEWTAHKSGSLYNEPIF IHFDFEEYRPLLMTFLNNEFFYVKMCPPNKEIHYFFTNPILSIQQPAMDQNIKQMNIQSI PFLYNDEILVDGNVMDQVNVYHKNDKQRLFDKYMPMVQCKPREPLAKFDFSPYLNIKKHC WSVENSIFKHFQPDTPSLIDECFEFDFQNSKVTRLVKDTELNEIKDNLKILYRQLFHCYK YHASGSLNTPIPCITIQDYIDFLLQTSLLDGYKTNDIDISFTSTAGAKDVQFPQAFDKGL VRCQLLEIMIRMCNDKFIRQGTCATMSEAICLINKQAQEYFSKFDQAQMWRKSRLWNQKC DIILSDRLAMLKSLFKYISRLSKKDKQLYKYDYISVQDFKDWIVQCKLICDDLSERECYL IYLQSMITQKDELYSTKHYQMNFHEFIEAIGRLAEKLSIIRGDKPLDVEDRRPFDLTSKI DGFLLLLYLIIGNDMKQALTTNDPDIRGLDKCMINDFKSLKQKLEDSFTDGDEPPYDPRV ELPHLQSQITNLLGNTIGGKKQTLRQQLKQVKREEQKFSLINFVQYFKSIQQVHVDHDD >CAK65072 pep:novel supercontig:GCA_000165425.1:CT868036:95739:96590:1 gene:GSPATT00034550001 transcript:CAK65072 MVVPNEVKDIGIACKRFLFTTEFLGFLILTLFQNEMVLPIGIRLLIEAILAAYFYINCSD SPGSPLSGDDDQELSQFSISTSQRDNSQQESQIPERNVCQECKIIQPFRTKHCQKCKKCI PKYDHHCFWVGGCIGELNHRTFWLFLFFQCLLCFDGLFQFNKQLGLYSIYEEEQSDNKYK YQYFMILLFGTISFGFGIFTGALFLYHTMLIITGQTTWEHTKRDKITYLKFYPKFYHPYN YGLIKNIRLLFFHRNVQSHWIPPQKDQIQEQCNIFDNKYYSCC >CAK65073 pep:novel supercontig:GCA_000165425.1:CT868036:96621:97332:-1 gene:GSPATT00034551001 transcript:CAK65073 MNILDYKKYISPQYRSLSLFAKKKIKLKGRSNSFEPRNQLNIFNIKGLIFQRDSLRRKSC YCNECGSLSKFQYKYLNLKQVPNMKKKIEIQLRVQKRRQTKNSIFYKYRTIIFDQVKRNK VEQQQVESVDATPKESACLKESMHKLKEYFEKQETQKKEIQNKARNYRYFGNKTPLSSPK HYQSPRNKESIPYLSYRRIELVQLKPLKFSDTLKSFQTHKKTLSTQS >CAK65074 pep:novel supercontig:GCA_000165425.1:CT868036:97570:99036:-1 gene:GSPATT00034552001 transcript:CAK65074 MDNNQPKEARYSRKLEQRCKISKYKINKQYKSIQLGKQYFIRMDLLSFSCVRPHTIFSKT YYLKVMEDEVIISAFNNFKNPKYIIELKLTTQILWKIGKQNLKAFGIFYQNKIKYFEAQH SYLEQFKKMIGGRVIYRNMASFYEPIQFIGEGMSAKVFRSIEKQTNKAVAVKMIKQEFGR EEQALDIVRTEVKILQSLDHPNIIKVLEVYENDQTFWIVQEFVQGTPLSEILKQKLPTEQ IKMIMIGLLNTVSYLQSLRIVHRDIKPENIIVQKDSSIKVIDFGFAANLKFGSVSSVCGT PGYYAPEVLRQKESSFNSDMFSVGVVLFNLITNQPMLKSKMYKAQQYVADEEAADLLKQM LEVDPVKRFTAQQALEHPYFKGFQSINEKQDNQCSSEHQILQQQMQNNQQQQYQPKKSNK SLDAKTIKSLRTTNIIDL >CAK65075 pep:novel supercontig:GCA_000165425.1:CT868036:100662:101142:1 gene:GSPATT00034553001 transcript:CAK65075 MNNSDSVHQEDLSLKANSEILQSPEKKEQRTRNNVIPGMFQEYKRTYIKVPQESKERLHQ LVFEEGFKIKEAAKRLLIKYATAKTIVFHQRKKFEKEKESQLKFCRCAKLEGKISIKLRI ISIIQKNLISNVEYKVQHQSNGQCYEQIRD >CAK65076 pep:novel supercontig:GCA_000165425.1:CT868036:101722:103176:1 gene:GSPATT00034554001 transcript:CAK65076 MNLYLEQAAEEIEQAISDADQLLALSKATIQKFTNYLKRKQQEKLDRRFHVNATMDESNS MKQLDKQQLNQLKILEFFNKAHKDIDRQLRELKEMIPSNPQTFIIEDINEQDYTNKQTEL KQIQKGNPQETKYFPIFKQAQNGGQKNQNSLNLNQHNQITNQLNNQPQQSQQNVLVFQQQ QMNQQQKENNQLKIQSAPQLDLLCDNQKPKLKQTKENKDNKDQTITFGRLQKFSYQKLLY PKKDEGSCIFCGLEANDFNLGPLLQIPSNRNLNEKFDLHEMCGLWSQNLVFFNKQGQCDP SNIDDEVDKSKQTKCFLCSRTGATVCCAVCPEAFHFTCLMKSSSTGKLVESQFKIFCDIH KKKANQNEKTSSEENSQIKRPKKTCYKLNQSKALIKTSPKRSPRRSPKRFKSPSSKQIKI SKCILPKDDQEVHQNNLQDLMSQLLKQYETTQQQNSSLIQESDLKLEA >CAK65077 pep:novel supercontig:GCA_000165425.1:CT868036:104168:104861:-1 gene:GSPATT00034555001 transcript:CAK65077 MSNIEEFIDLISYAPIDVRRAFSKVRELANLEKKYEETLESTQKLVFQLKSVESTAEKEK FILQLKDQIQRHHLQLLALHRERIAALEIIQQNYQKYIATLDIEGEKFLEELKQLPREQE DISRKSQRGDVKVEPPVIVQKSDKIKKTKRPLQDEQAEDAQQHTYCVCSQPSYGCMVACD SKNCQIEWFHLSCVGLSDVPDEKTKWFCPHCRMTR >CAK65078 pep:novel supercontig:GCA_000165425.1:CT868036:104992:105507:1 gene:GSPATT00034556001 transcript:CAK65078 MHWINYFVLSHDLISYPNSTHHLLQQIIIWIHFELVDELLFINDVGLKQYGLILYDHNYI KYTNNQIGCIHHHEDKGQHFTQFKKLEKVGSAVTFVAKSMELEKNYKCKQEIGEIAQLIM KTILIDTFNFQQNQYQIRWMDKDKQILDLCHSSILNLMLKRVLISYRFELK >CAK65079 pep:novel supercontig:GCA_000165425.1:CT868036:105869:106645:-1 gene:GSPATT00034557001 transcript:CAK65079 MSLTLNELIRKLKAKEFKKITIAAGAGISVAAGLSDYRSKDTGLYDQLKKFNLSNPEQVY DINVFRKNPSLYYSVSKEFGTHNLDLQPTFAHQFIYHLDRNDQLLNCFTQNIDGLELVAG VRESKVIQVHGHRRTASCIDCKKTYCVKTFYQNVDKSEIMKCTDCNGLIKPDVVFFGQSL PQLYFEKLPEISLSDLVIIMGTSLQVQPFSNMIYRINENVPIVLINKETNLRRLDPINNK LLIKGDVQDIISSIMKQL >CAK65080 pep:novel supercontig:GCA_000165425.1:CT868036:106860:107615:-1 gene:GSPATT00034558001 transcript:CAK65080 MKNNQSSKDLHALTLIDSYCVVLNDRKRIRKTTNFLVKRNKFDEKTVRIRRKSCHCQLCG EMSAMQFNMMNVPFQKKEQFVENPKPQIQESLSPSKERRSIFYQYQSLKSTEHHKLRLSL QIHGIDKNFSRQSTMKRLIAESNERSRRGSINGSYQVNTSTSDNQSVKSLNVNIVQDQSP LNIQSSNNRAITNRNILIRSKKNLMINTYFSQSARSLKTTQFSQFNKQKVCALPKLIQKK QED >CAK65081 pep:novel supercontig:GCA_000165425.1:CT868036:107660:108511:-1 gene:GSPATT00034559001 transcript:CAK65081 MRHFNANKKRSGSIQCIEPDVLINDNRKSRRKSCTCTQCGKNSEFQIRFHDVQISQLSET SNNNELIIQQEQETRKLESLLNRLKTCQEDFEKIDLQTKKRRKSCYCSECGSLTQYELKN QSIPLLKINYLNDCKTQRKVHKFVSNINMQTKSQFFRSFKQQNPSLMYQFSHQTSKTTTR LLKQSKLKLSNLETRLSLTPQLIISPRSDQSTPKQSQRLKSFDHTLNGANLNPYLGFPKK FLSPPKNLVPKLPPIAYKVSNDLLHLKKSLYRKRN >CAK65082 pep:novel supercontig:GCA_000165425.1:CT868036:110021:110473:1 gene:GSPATT00034560001 transcript:CAK65082 MDQTNHQVLELKMEFLTCMKNSKYQEAHVIIQKSKIIMIMRVLKIDPNNPLIGKCNKFLT EFKTKLEEKEKELKEEEEEEEEEEQQQADDDESDGVDPNDVPDLEEELGIQHNEAEIQER KKRLFQLLQNVDAGDKEAVLDAIRKSKQQG >CAK65083 pep:novel supercontig:GCA_000165425.1:CT868036:110502:111645:1 gene:GSPATT00034561001 transcript:CAK65083 MSWISAVIASAFCWASADTAFDVILDSQESELPQKKQQMPSSIHLTHSQTMLLCALTTYI IIFGYFIYIELVGPQYSELVGNYTVFGSVQSLPLLIISGIFSYYHYHFIIRAFENSSSTV ILPFLQFSSIFAFLFSILQNYLSDRPYLSSYLHLLAYFLILLGGLAPATDGHLIKIFQFQ FWSQPFVKFAIISDMSHAIYTNIISYVGKGESEGQLYNIYGNMEFFILTRIMFVLIQTAK LKFNRQMQIEMIQLFDKPRNLIVGTIIGEIMTLFGYFSSVYAYQTYYQAGVVSASESSLN LIINLFLAYLLKKYLNIGKQASLHNLGLKILSCVTISIGLTIATI >CAK65084 pep:novel supercontig:GCA_000165425.1:CT868036:111645:112550:-1 gene:GSPATT00034562001 transcript:CAK65084 MNLEETLLILFERTLESSKDDHLQTILASQAKIIQQKKENVTPMQSQTSAIQKKLQVIEK NFINQDAFKKRRKSKYDHRSNTGSQHDNEDAPTIMLDEDNQETITDQSSLQKLKSENSKL QKQITELEYVQREKYLKNCSEMEQITKEQKLLLENDKKLNEKQRKSNQRSQLLQQKQQNL HKQELNYYNQQLNIDECSQEQLRYDNQLNNRRDEMEKLQIKLNSFVSQLLSLQRNKNKVQ SQLNVQIDAVEQLAQFILKERSNLQQDQQYLRQFKEKVLEEKKQVLQMQEQLS >CAK65085 pep:novel supercontig:GCA_000165425.1:CT868036:112774:113535:1 gene:GSPATT00034563001 transcript:CAK65085 MKTKKGEMRDYNYYSHTPKVLCLLQSNWKLYLVPNYYRSCKQQNDLNSSEMSIDEHQHQL RKQMSIEEVSPSPLKRQKEKVHQNPIEMKQVEQVEEIPHIQTRRKANKTNYNVDDSPTEE DDFKACHCSKTHCLQLYCSCFHNRRPCTNECKCNDCFNDGKHEDEVIKAVEQIKLKEQRA SHHDLDSFDTKQVWGCKCKKTKCVKGYCECFIRGKKCTSHCQCTECENKRQPKQHKQKPP EEITKQIKKKKYS >CAK65086 pep:novel supercontig:GCA_000165425.1:CT868036:113591:115433:-1 gene:GSPATT00034564001 transcript:CAK65086 MLTSYSIECLQGQHLQGQMGNTICQLSIAQGLLAVSYEDFIQIWNLEYYCVSIQIQLEDN AIVTSMIFCDSLPLLIIGTNQADIFIFQLVQKNDKLQCTLEGHFNIVKAVLIQKLKSVYP DRISIMSNLFSKTSLELIELYDEGDEQIEVKHLFNNKYKLMTHGSFASRLLVQFHQEELK LYIATNRGSALVFNLTQLLEKKNVAKKLDQINQRPNYNPYRVVYNKLQGNFIDNYQIVQN RLIKDQFKKRESKFEQSSKIKQKFRNSLIRFSILKGLKDNPELDITHTFKDSIFSFDEQL ETADQIHNDMIIQLNFLYIDPYEILFRKKTQLLITGCVDDTVKVFTTEFIQICEFSIHNP LPFQWQSLLNEKNKVKATILFSLELLQQILPQLSQEKRKAYEIENMLKQNSIKHINKNYK LSNILLKKEPIGNQQKLKDSFLNKRKISLCNNMKMPQISPKLPPSLRYYDEKIKQSKYQQ EIHEQDLANQQNQQKRQQGIQVELQRQQKKEIIDYLTPETNTNPKLFEDFSIQALSARLK TESRTHQSKITKTTNDTKRTFTRQSLDFFDYAEKKEMLTTRISILNKKRTS >CAK65087 pep:novel supercontig:GCA_000165425.1:CT868036:115471:116650:-1 gene:GSPATT00034565001 transcript:CAK65087 MNNQVIYGIKGDNDMFLILQFCESVCMLHEFQFKKMLQPRNMIDGNFVVYGVLLRNNTLI FWDKRDNYQYDYQIETQLELQLISYLEIQDQWITSANNSLQIWNLEQGKYQNVQNQVFSG GIIQLLEVMHLQMLLVSSNPNIISCWDMFEQKFLFKFQVSHQILYQVVCSRQFQLIFTIG FNNYISCYELHAQYNDYNLKCQLVGHSSTVQCIEHIEQTALLISIDNKNVVILWDIRSQQ QTQTINLQTRILTKQLMFYCNKLCLVANMLQTLSFEKQLHLNKQIKLQQVIYDQWNKRNI IITKADIRIQDIINGNIQYILSDFQNEISASCLIEHGYSIIIGTIEGDLYKISLKHGQIL EKWESFYQDEIQSIQYDEAYKI >CAK65088 pep:novel supercontig:GCA_000165425.1:CT868036:116661:117110:-1 gene:GSPATT00034566001 transcript:CAK65088 MDEVLSQISNQVYEFDTLGLVQQYIFELSEHFKENHNAQVDIQQFVIFFINKTDEQGIEG LILISKLIELYQDILSKQNEKILKLKHITDYLISSQEICSEYFNAKFLPSQNNKFQLTRR VLTDIEIQPPEILGPQPKHAQKIANLAQN >CAK65089 pep:novel supercontig:GCA_000165425.1:CT868036:117135:117794:-1 gene:GSPATT00034567001 transcript:CAK65089 MQPLKPTKLNPASNIDYKELQKTLIHFQRAQLELEKTRQGSPLKSVSPMREMINFQALQD AGLIELNEKSKQVDGVKITLEEIEKAFKILDEKNKGTKISLLELKKKIPAINPNFPKSEY KALTQGKPELKSKDLYELLKQNELQDFDPLEQAFSLLDPKGEGNLDINRLGEVFSVLGYG KIDKRDQEILLECFGCGQRWQKQDSRISERQFESERHKQ >CAK65090 pep:novel supercontig:GCA_000165425.1:CT868036:117853:118433:1 gene:GSPATT00034568001 transcript:CAK65090 MRRRICDMILLQIAWNNISRCSRGGRSVISCCVFRISKKGYSCVLYWPHDPFYHIASRGV GEFEMKDTWDPPISIYMFSRSDDLLIDVQLYLDGKVIEEFTIQERLVIDTRYMIKSEKPQ SFTVRLMAQFDFVPASYLIIIVAMLVISLLYSILTYARYRQL >CAK65091 pep:novel supercontig:GCA_000165425.1:CT868036:118435:119877:-1 gene:GSPATT00034569001 transcript:CAK65091 MIVTFVEAIEDMLRGINVVNLELDLLILILYFYLCIPLGIVFNSLRCKNQRVLYNLFFGL FFTMIITKEWFIYILAWAILIYFVGRMFKDPYYPIFIVGFLIMSYCHVYRLMYDYLSWKM DYNCIQMMITARYIYYAVDRSKIKEHDSFLMFLSYIFMYPNLYLAPLPYVSFVNLIIRKE DFTHYSIKPALINLFKAMLFTAGELFIRPKFDTEVYFSENWTHYNLIQKLCITQAVSVVL RFQYFTAFKHSQAAMDAFGITYNPETKKCDRFPVADYHYDMEKNIMKKTMMWNSNVQLWL RYCFYDKLNHLFGSHTYYVTFLISCVWHGFYMTYYLFFVFWAISVQICKYFYKAQSKFYF IPEKIRHAICWFWSCMTIDHFATGMKVLDWNKAIEFHQSLNWVIHIQALVLFGFFAITGF GQQKTMKKKD >CAK65092 pep:novel supercontig:GCA_000165425.1:CT868036:120323:121612:1 gene:GSPATT00034570001 transcript:CAK65092 MQQPGEDIDQFILGYNNQTNNSLIQPNTNQEQLLAQNARLLQQLHEQQRMLNLQSLFTQN MLQNPYHNQQITQNSKKIKRAKSTLQHIQSNASLRDQQPQIQEIKGNVKNAYIKKITSLL CVEGDKLLDEELQEDLQEDSSSEELSKEKKGGLAGLLGSANDLLNEDCKNKRLRQSAKKS RLRKKVYLKLLEKKVTDLDQKIQEYKKTTKKSFEYLTQLLISHPILNSMIIGNSNAIDQI MQCNQPEQAQLVIDSYMMRYGTCGIKRKDYIKYAIKNIQRNFLKGNYGLLLISTNDGGNT YDEEFNNYVEIVKKFTKIEDNNLIYKVLPIIDKLLNHRKVSQKYVLFQVHRYLLQLNKEM KNLKLIQQEVDETVCQFTQSLTFMQMVEFVKEVERLRNFNRTQF >CAK65093 pep:novel supercontig:GCA_000165425.1:CT868036:121633:122360:1 gene:GSPATT00034571001 transcript:CAK65093 MELLNNEEREMLAKYNRYLTNDKIQEPLKYSDIFMGKHDLNDIPQKTEEKKIQNQKLQIK TSSANKRDQFKNIINELNNVQSKQLENIFKSSSVFEETQKEKQYEECEVQVFKDTTQQKT NKNSDRPEKQPKQSKSSKSLSKEQIKKKQDSKPKKNMNPSNSQTTIEIQQEFNEYANYSQ SFRKLLQQLNKLIQLKKVDNKFFFSLISKHVNECPSFGKRLKEEIKEILNQLL >CAK65094 pep:novel supercontig:GCA_000165425.1:CT868036:122388:123819:1 gene:GSPATT00034572001 transcript:CAK65094 MTQSANKPFSSILMTINIEILGDFLLPKEELGGKLLMIEYQSQYIINIPMVMRNGSVYRR AIYVLSVAIKVKKEQFSKNQDAYFEIVRKLAYVISQLEVNRNYIYRNDENKEEIHTLIKQ IYQDINISAEHESFVEFDDSQYMFLRLPQQPLPPQNIIIKYSQVPFPIVNIKQGVQTAFN PILEKLIPEINGINCVGAICQKLKEFKAEQIKTAIKEMIEYNLVRLVDMIKITNMYVINE NFFTHDFKQEDILQIVNTTHEAFLLKFGQALDFELLKQYLQTLYIEMKRGLILKDYIENN KPSLEFISLTKLIRYGQLNNFLNRVHEYFFICASQIQKAQNLENIFLVAPSNYIKMSERF NEEDKNSKEQQEQQMECSLEYSKRFEKGIEGQFIGQKLKDDEKLKDANETIKNMIFNQET LDEICLVFNLDLEQCHQLVESKEYVIIHK >CAK65095 pep:novel supercontig:GCA_000165425.1:CT868036:123839:125526:-1 gene:GSPATT00034573001 transcript:CAK65095 MQSRSQRRRRILKQCIQKKSKIEDNAHVTQEIDSDYEDVSEMEEDEHIQYEDEFDDEYES EEGEINIDSEDEEMLQKEEQSQEPSEQTQQQQQPLQQQSKLVRKKKGMEQETQENQKRVW FYDEAENLDFDNRAYNMLHRVTTEWPCLSCDFVLTEEEQLQYKNKEYHKMNKYPYTVYMA AGTQAAQPTKNQIYLLKLSKMHKTKYDDDDASLSEDDSEDDNLSNDEEGQVHLSSVTGLK CGVNRIKTMNGQAIAAYWNENGDVSILDLNPLYKKLLTNQQSQFNLSQLHHKVFKNQHEG FALDWSRLKLGDLISGSSDGKIYLYQLNNNDWIRENKAYEYHKGSVEDLQFSPIESFVFA SCSSDGSLCIVDTREGKHKQAQILVKAHNCDVNVISWNQVSATLVATGADDGCFKIWDLK YPKNDAISEIQFHNKAITSIQFQPNSDSSIAVSSEDHKLSIWDFAVENENNNVDDIPDQL MFVHQGQKDLKELRYHPIYYEMIVSTSANGFNVFKPTLDEEEKKSEQSEEDQSQIPVIKE EDLNKYFQQMSIQ >CAK65096 pep:novel supercontig:GCA_000165425.1:CT868036:125801:126676:1 gene:GSPATT00034574001 transcript:CAK65096 MNRLLITELGDAMRHELIKQEEQKLKKTVLVSKDSLPEIKSPSIINSLLLDVDDNPLYRK SLSDVALMNLTKAANISLNGSQSKDVLEQHIREDLQIQKKNDYLNNYLNKQVEKILDDKN LMTKRKRYLLGELRRIEIKENNLNIKNRISTDQSQLSTIVEDTDRENKLMAIINTEGKLL QLQRRYEKKKQDDEALKLQVKNNYSKHKDIEDMHIEAMPILTQEQLEQGIQNLYHNSDLS EHRFQQRLLDKHKEIATKVWKQYHYPKRHKKWIQIQKKVLM >CAK65097 pep:novel supercontig:GCA_000165425.1:CT868036:126982:127992:1 gene:GSPATT00034575001 transcript:CAK65097 MEDIYSFIKHEIGSERMVTRTHLLQKFPDQRLQAIQAFNKYYEQNVQQVDAVFTVLQEAN DGYGLVSALLSADQINKNMKIKDISLYALADKNQIVDEDLFPPSFGGQAPLKVTVHEVNL SSLVQAMTVESQKVVVNKKVDGLDHKRKNDSSDLNTQVNVSKQLKSTDPVKNDSLKIINE NNTIAQETINKESKAQQDSVMKKPKIILKSKQSDQSQSQNQPKEQNLLPIIEEFVIKNDP IIEEPVKEVQTKNQEVKPSEPVVSIPNKTSKPKTSSTGAGSMKLDNFFKKK >CAK65098 pep:novel supercontig:GCA_000165425.1:CT868036:128455:130915:1 gene:GSPATT00034576001 transcript:CAK65098 MSKPKFLIQFTRKEARQQADKLIEVEAMNNVEQEIQLLENNDVWKLKPLKIIQKVAIFIT KMKHFSTQYRFKILNKDIFNLIHDLSSSFQYYLYNGLLQTKSTRYGEVKYEQKQKNEFWW RIWTFLKSNQTVLLPADKFLFVWDVILMFVTIVNILYVPLQLSFDLNKEQIGNAYLLFST IPSCVFLMELILNFFKGYYARGILHTSKKDIFWHYIKGEFFLDLIVVLPFIISWFGYQFA NYLMLIRMTKVRRTMVVIEEISNFKEKTAVIYSLFCLIYSLLLISHFCACLFHYFAILEV DFGYSHTWLHQQDIYEANAYVKYFTSLYWVTITSMTVGYGDIIPVTTPEKILVTCFTFLV VGTFGYALGMIQSIFYKLAEQQNINNSKLRLVSNHIKQRGLNTQLQFRVRKYIEYYLQFK QEEELDLDELMGQLNPKLKQEVQIAMYYSYFKQSKIFGQNLSDETLMKLCFCVHEKTYAP EEFIIKKDDYSDKVYILLAGKVKSVLLDRTIKTYTSGKLFCEREFFFQDYMQFDIVAQTF VQVAYITQNEFLSILQKDKSQYEKYRLILDKTQFGDNSTQIKCEACSSHHQFKHCPLLFF RKNRNKVISAYNCNIDHSREQFIRQRKRKRVKLFHVREKALEKMLDLQKSILQVDTKQLI RLGFPKLEEEEIEQSEQIIGLHSHQQSLNQQDIKNLFRNKLFPQLSNRSLLISNNNVNNQ FTPNNNQNTNLEIQEDPHPQISYIDLNIDKVQVFEKYYPHFNITKVAKLINNYHIYAKVL KKIRGHKNKFAHYIARQIMYKII >CAK65099 pep:novel supercontig:GCA_000165425.1:CT868036:131324:133378:1 gene:GSPATT00034577001 transcript:CAK65099 MNAHDIYKVKSYEINKGLEIGRGQFGIVYQCTDLQNPHLKLCAKIIEDSLDDPKVQREIE LMKIIMTNAKGNKNIVRVEYVEYTKDRIVMIMEKCECDLQSVMEKKQKGDDKYFKPSEAL NILKQLVNGYKMLYFNKIIHRDLKPANILILNGVYKIADLGLARVLEENTEFTKVGTPKY VAPQLYFEKYFSNSADIFSLGIIIYELIFGKLPYVAHNQTQIKRALKNLEANPIVVNREW PEMTSEFADLIESMLKYKEDNRISWERLLKHPLILEESNLVVNQSGLIQNTDSDDEKEEE TAQIPDQKQVQTQNIDQQVPPICQNLPKPTFTAPLPQAQKQLCLIDSRTQPVQFPQGKVF STPFPTNFPVPPNTNSFIAPQMNQQPKQFMNPQPQRFPTISQMHILGQPLQFQQVKGTSD QLFQTGFVMDQALENLDKYLNMQSQLKAEFFGIKLYLHCLAQCFFEHSSAMQKQNQKNPN NQSYYQLQVIDQELQKKIKYHSVIAQELERYNLTAYYPVTDINPDFGSYILKLNRITANK GLFAYSSDTKYYPDYLQLLYFLEKVKDINPQSFGDIYLQIVDICKNYKNEQIMTDYLGKR L >CAK65100 pep:novel supercontig:GCA_000165425.1:CT868036:133383:134485:1 gene:GSPATT00034578001 transcript:CAK65100 MILQPLQQLVQTNRDKNNFQGLTPHQRKRYLDHRQAYKQNRYKPPKKFPTQFPLIKKDDT QYQFRLFHQVQNMKSAHFQSKYTLSMGSIPNLLLHTHFDQIVFYDVAKLKSDTTRIPVEA LGLDVIDSVCAVAGMSGDILIINLLNGKLEKHIVNQKRDFINHVHFYQNYLVSNDNGGFI RIFDYQNGLKEIFSYQDKASVNLTSVNDSKQIAYCGDRLGLNVLDVQSGTIIHSLEPHTE FGFAVAYHPSKSYQLASSSEDGSAVIYDLRSPKVPIQQFIGSEHPIYNISFSKNGSHLFL AESNSYLHILNTSMYDSVQSIEMHGEISGIANDTYDENRFYFGTSCTFGDGICEFFKMN >CAK65101 pep:novel supercontig:GCA_000165425.1:CT868036:134661:135362:-1 gene:GSPATT00034579001 transcript:CAK65101 MLQAKIAMFPKTFLPPFFARGLSNFITNPILLIETRAEVPGYQYISLWKTASTIAKQEGV MSFFKGGLTCSIKDSLFAGIYYVVYRKMHEMLLPADSGFSPSVTFLSGMVAGLVGTCASH PFEILRARLQTNYYTDQMTIMQRFRSTYQNEGLYGFTKGLSPRLVRKPLANSLSFLLVEQ LNHVFYGTQFHF >CAK65102 pep:novel supercontig:GCA_000165425.1:CT868036:135396:135654:-1 gene:GSPATT00034580001 transcript:CAK65102 MVEQQRVHFQGLLGAAASLISTLAVQPLEVIKMVMIVKSNSTNYKLTSAYGSVEYILINE GLNGFYRPVCALHQQEI >CAK65103 pep:novel supercontig:GCA_000165425.1:CT868036:135741:138285:1 gene:GSPATT00034581001 transcript:CAK65103 MCGNTCYYIYVDAILAQNHKYFQCIRNRKAFGLLLLFMLSGVQVMTQDLTEEQKKLLEQS QETHEFQAETGRLMDILINSLYTQKEIFLRELISNAADALDKIRFLSVKNPEILGDKTEL AIRIEINTEEKTVSVTDSGIGMSKNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVG FYSCFLAGQKVTVASKNTDDDQYIWESQAAHSFAVSKDPRGNTLGRDAVEFAEESTIKEL IKKYSEFINFPIYLKVTREISKQVEEEPEQQQDQQENTDDDEVKDTNKKATKTIKEKVSE WVQINENKAIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYIPK RAPSDMFDNYYGKQTTSLKLYVRRVLISEEFEDILPRYLSFVKGVIDSDELPLNVNRETL QQLKMLKVISRKIVKKILELFQDAASYDDEDEEDTEEEQQRLKDEKRKKKIDEYNEFWKE YGKNIKLGVIEDSSNRQKLAELTRWYSSKNATELTSFDDYIERAKPGQDSIYYLAGENKE QLLSSPIIQGLLKKGYEVLLLEDPVDEFTFQHLNEYKQKKLTNVGKGDFKQPEDNDEQRK KQKALKKVFQPLTDWWRKLLSENVDSVIISQRLIDDPIIVVSSESGYSANMERISKAQAY SSKAGSQQFGKKIVEINPNHQAIQELLQRVKDDPDQETEEMAKVLYEAALVNSGYSIPNP EKFASRFYKLFNSALGIDRDAPVKEFEVEIEEEPEASSEPPQSDDGTKWEKVNTDDAKWE TVSNDKRDDL >CAK65104 pep:novel supercontig:GCA_000165425.1:CT868036:138297:139225:-1 gene:GSPATT00034582001 transcript:CAK65104 MRKFISAFGFSNTIGFIGLGNMGIGMAHNLAKTRVVYAYDVSPAWQSNIESSNIKPVNQV ADVAANADTIITMLPNDKIVKSVAQEIFKKSKKILIDSSTISPYTSYELAKMAQDTQNIY ADAPVSGSVSEAKLGTITFMVGAEKELYEKISPILKEMGKNIFNCGKIGEGQIAKMCGNM ALAIQMISVCEALALGKNMGMDPAMLSSIMSVSSSRCWTVDTYNPAPGVMPNVPSNKDYD GGFMVELMLKDLGIGIEASRLSGTDTQLGQHAYQIYNKLNEHLGKKDFAIVYKELIKCK >CAK65105 pep:novel supercontig:GCA_000165425.1:CT868036:139272:140075:1 gene:GSPATT00034583001 transcript:CAK65105 MNSNSDQQDFFYNLLSHFLFKVLLIGNSGVGKSCMLMRYSENQFTNNFYNTIGVDFKTKT IALGEHNVKLQIWDTAGQDRFRTITCSYYRGAQGIIIVYDITDRESFENVKTWMAEIDKY ASESVNRLLVGNKADITERREVSYEEGLELSRLYQIPFYETSAKSSINIEAAFTHITKNI LNRELHNTRAVVRKTSNLRLQNRQQQQQQEKKKQEDLCC >CAK65106 pep:novel supercontig:GCA_000165425.1:CT868036:140083:142736:-1 gene:GSPATT00034584001 transcript:CAK65106 MIHTNKHSKKARKSKNSDDFTEPNLKLLLERFPYTIRDFQFKDVPVLLIDQTFFNDPFGI VKLIPPPDLVVPNQKFFSQLGSRLQGKRIQTRIQTLNNKRAGEVFSCFRVDFFGSNTKGF TVQEYMNLANKFDCAHRLQGVREVSNQIRQNEIEFCIEVEYAEDLLASKYTTGYQEGQIG NPNGIKKNLNSIIQVLQEKNEINGISVFWLYLGIKYANFCWHKENLNLYSVNYMHAGAAK TRFPLEWLLCKVALMAEQIGFTKQTWQKLYEKFKQMMDLEISKRNFILNLYDQVKTIQFA NQQEKYDRSVCKICSNYMFLSYVFAGNNIILNFTGCLKKGCISHKMHLCLQYLSIFDTIV KNCRGCWLCQSPKSEQRGRDLILFILYFNRKQGIKLTIKKITNCLCMSEIDNTSQENTLE LPKFEETLPDFKPEPRKDLKYEIKHEPKSSFGQSSKDLIEQYKSKFNAFKQLSDDQQPLA MEPSVIEKSEKSDIWRSDAEILKSPKEWDWNILGSKKASTTNNQIQFDVHVPDPMVSEQE HKAQIAQHRQMILGVLDQRIEPGLKLPQSHQPQKPIVGEQQHSNYQNILEKFRSASSLDD LTTLFNTVQQRKVVDQAAPQQQQQEDLFSNLDIRRNKKAETQIQQYKTPSKSQEEIFEYG SGNRTTSFFQKKPSAQKQPLQSPSLGNHFNYRSDQSVTSKVTQNMNFQVDVKDHSKTSKR KEELQSFMGKLGLGKYDTPKVVINLESNAQSNSTIDRMRQYVNGTPISARKSGGGLGDLI NERSLNTTTFKQQIKSYHKSGSKQDAQGRMSADTQNLSEQSLRNLHLKLVHQQKNGIGNS GLKYARC >CAK65107 pep:novel supercontig:GCA_000165425.1:CT868036:142920:145401:-1 gene:GSPATT00034585001 transcript:CAK65107 MLRLSLNLFRRSGFRYSKPCMLFSQDKEPPKGFQKFQRKSRIQQEQSIQPNEEKKASEKP NQEEAEKIIKEEQQQDDETPKVEKPQKKKYQFTYDPKSFKLQEEEQKAEKLKQDQQEKQD KQEEKQEKQENQEEEEQSQQQQQKQEKKKPLLPEIDFTKIQEYLKNPKNRNYIFMFLGVS GLAALYTYLNMEEQITYTEFLKNYLETNQVSAIKVYNNDKSKVNQASIITTRGESKKLIL GNVDHFLENLERYQTEKGVYPEQFIPVSFEIQIDKAKMVDRALNFVYYGVSLLVIIYLFK SFKGSMGNMGKGGGSGGNDVFGFGKSNVKQFGFEQNVKVKFKDVAGLDEAKLEIKEFVDF LKKPRKYKEMGAKLPRGALLAGPPGTGKTMVAKACAGEAGVPFFFVSGSDFVEMFVGVGA SRVRDLFKQAKAKSPSIIFIDEIDAVGRKRDAKIGGNDERDNTLNQLLVEMDGFGTDTNV IVLAATNRKELLDPALTRPDIEGRKQIFMVHLAPIKLDPSKTMEEYARRLATLTPGFSGA EIANLCNEAAIMAARANKTYVDSHDFEMASERVMAVKKKGKTVAYHESGHAVASWFLKGG HPLLKLTIIPRSKGSLGYAQYLPNESSLETKQELLDRICCILGGRVAEEIFFGQVTTGAY DDLKKAYDVAHSIVTKFGMNENIGYVGFQEGEFQKPYSDSTNKQIDDEIRKLIEEQTQRT RLLITEKKEFVNKLASTLLEKETLDLQKIIEVLGERPFAPKSNYKAYLEIKKEDSQTAPA >CAK65108 pep:novel supercontig:GCA_000165425.1:CT868036:145420:145587:1 gene:GSPATT00034586001 transcript:CAK65108 MDYRDLISDKKDEKQLLKKLVLYPLLTGFVYGTGHFLAYLILNQKYFIPLKKAAK >CAK65109 pep:novel supercontig:GCA_000165425.1:CT868036:145612:145776:1 gene:GSPATT00034587001 transcript:CAK65109 MHFSYQSWITHIPQDVIAQDLTKLGTAPKTEKKKREIESLLESQQPGIEINQAN >CAK65110 pep:novel supercontig:GCA_000165425.1:CT868036:147308:148478:1 gene:GSPATT00034588001 transcript:CAK65110 MLQNLQVEGREISQDYSYEWVMGYPELASIEKGIPITEFSDQIQSRDQEMEFRILRRLTE TQYHNDNLYDFHPDILKLNRYNNIIPFKHSIVKLRCDEEENQRESYINADYINLINGKEK MMIATQGPVTQTIGHFWRMISQESASMIVMLCNLKENGKVQCEQYWPRNIGDNLLIGNIT INFVSQEDLGNNIIKRTLKMQEQNGEEKQVTHLQWCGWPDQGVPNHNDFNTIKELLTQIL DRVLNDQKVVFHCSAGVGRTGTLISLANLMIILTMYQSYVGNDIAKISENPEEFRISIFG VVRRLREQRWGMVHTSEQYQYVYKFIDEAIKYMFSQQQ >CAK65111 pep:novel supercontig:GCA_000165425.1:CT868036:148502:150824:-1 gene:GSPATT00034589001 transcript:CAK65111 MQQQQILPPYTLTLKELLSRPNLVVVSEEGMRFRTEIREDTRSASPIPKKESNKTLRMDK SKEFTSGALRIGNSSFYQGRQKDPLLNSSHLKAQIQKPYDQKLMEKLTSKILRAETPIQT ISNRLQELYNEFDKNNKRITRFEETIDQSNKEATLAVIESKLGKLHENYQIELKKREWTQ KHIQEVLRQQKLYNQRQNELYDSNFERPRNKEIEESYYKDPKYLQRKQIRLRDYQKYKYE VENTGNCSQQLENFSPEKKSDQFDIILLLNIYQKFNVYMSQLEPFEHHYSHQYQEVFGCC HQNRNYYSDKVICKECGIFLNNPNTRVYKTLKMKFSAFFNPIKVLQNILSEGFPKEPIKQ RQQIIEFILQASERLNLTLNTSFLAINYIDEYLSKVSINENQTYLFVATALMLAAKAQEL DERVPFISKLKRYASMTNHPEISQYSTREYKFAERSLIQTMEWKLQRVTLLDRIEAILSF GVIDDDDSLGQQQQQKENKESSHQQHVKLRDLQENQILLYVKEVENKYADVALQIIRDDH LYFGTDQTILALSCVAYLRKKAGLLNIWSQQLQCLTGISAQKVSSSVSQIMTLIAKSKSF KTITNLQLTPQEIYFQPLMPNNTLTTISTNHLNNRPFPFETKRQSYGDIMMQSSKLKIPL YQSQSTVQIADIQKQNHLFKHTNFTTATNYTYLNENPVTHIVQQFAIPQSQELDKKYEQV HKVSGSMFRPVQ >CAK65112 pep:novel supercontig:GCA_000165425.1:CT868036:150849:153777:-1 gene:GSPATT00034590001 transcript:CAK65112 MSSKDSLQQPLILEEETKTIFLEDRSATEMNMEQIVNDSAFEWGSTSLLEDSSKVKVIPF VANETIFYRRTLEKNNKELNFFERCFKSKKSTNQVTMAQIIDLRQKEIFKDYAYETKKTG FLTGMSSYIHKVKVLEPDSQFYIYWQVLNSILVLGFFFQIPFMLAYQPLIDDKYAFHYKK YEEMSLYVGISIFIVDIIATFNTAFYKQGQLINGRKQIAIKYFKSYFFLDLIPLLCLVEY RCRLYEEKQLGFEQFFFILKVYEVNKTSNMIKEYLQLEPSKLARYRLLTVMLTIIWLCHL FACLFFFVGRRQLNKQLGNVSWLNHCDIVTMNGGYEEMPISELHLYSFYWAVTTMISVGY GDVTPMNFWEVLVTVMTQFISCIVFAYSVNAIWELIYSQNESKDKFQKYVNAIQRFMVEH NVDRKLKARIEAYLFHLWQTEKARDHELEQAMILKLAPALREELIYQTLGKMLKQNNFFS CFSQDLLMELAQEIQQQYYSQEENIFLESEETNDFPLYFLDKGQVEIFLDSDKRIKLHII KQGIFGIIGFITGYRRTASARCLTYSVIYKLSRISFLKLLDKYETDRQRFYEIRHNILFN NDYEHLKLRCYICESRSHLAINCKRTLYLPVKDQVIQSTYNIQNNRESSFRRRIVKQQFK ALSHIRDVQVNALAIKKYFQITTFNLDSNDEDDEYSSDVDDYEEEIVNIKKILEQEKEKA QLRQKGKWLADDNYEMKIDENRDNQSLELSDGLLKSQGNLKNSEEEQKKVKKKKQFQSFV KLPQPKRVRTSSMSSLTPIQEQIKKVVKGQNSNVTPNTVQILRRKSKLFQGNEITDKQLE KMPSPERQTSQESKKQELLRKMKFLQIAQPRNKEVSLIIDELRNYIANSQSNNQPPKIED DANENSDVDSQFSIDHMANYECFFQEQNPEKVIRKYKRIFKERVKQRRTTLIRYQDE >CAK65113 pep:novel supercontig:GCA_000165425.1:CT868036:153803:154456:1 gene:GSPATT00034591001 transcript:CAK65113 MGPTQGKELSPQMRDRVLKLFARFDVDGSKSIEKSETIKYWKSNFAKLNTEELFKSVDTD NSGTISEEEWLNFWTSVLRSGHTEEDIADEVIGKHRVRVIMGQIREFGQKKRVKQIYYII IYIIQQYIENKFKLVDDVYHSFKDSMDESCSEEWIIILSEKGWRQIEDQIYYYYQNSLQL LNLFVLHNSDQ >CAK65114 pep:novel supercontig:GCA_000165425.1:CT868036:154464:154913:-1 gene:GSPATT00034592001 transcript:CAK65114 MDNKKCLFERFGGDQQVSELIDQFYYKVLFDKLLRDKFLKADMSRVRYQQKRFFSQMMGD KNTQYTGRDLIEVHKELNISNQQFDKFKVHLKNIAQDMEVGGADLDELLDHVEKYREQIV FNKAA >CAK65115 pep:novel supercontig:GCA_000165425.1:CT868036:155097:155517:1 gene:GSPATT00034593001 transcript:CAK65115 MSLGVPLKIMHEAVHHIVTVELKTGEMFTGYMAEAEDTMNVRLDEVQMVTRDGRPMSLEQ VYLRGSQIRFVVIPDVFKYAPMFKKIRANAKSKNMQQIREKARQVREELVPRIKQGLEQQ KK >CAK65116 pep:novel supercontig:GCA_000165425.1:CT868036:156674:157678:1 gene:GSPATT00034594001 transcript:CAK65116 MSNKQKDYWTSFISGGCAGVCAKTVISPFERIKLLYLTRSTQFTYKAAIQDAIYVYQHHG LINFWRGNQANVLRIFPQSAINFSTFDYLRRRFAPDREDKTIMRKIRLFLCGLTSGIVAQ SIAYPFDFLRTRLAMQKDAFLYKNIYDAALKIYKIEGFMSFYSGLPIGIIGVGIYHGSGF FSFTLMKEYLLEQYPWVAKHKSTDFAIGASGAIIAQVLAYPFDIVKKRMQGQNVLFQQGE IQAMTSTWMQIKTIYRVEGIIKGFYKGVSLNFIKAPLSSGTAWTVKNSVNRLLNKNYDF >CAK65117 pep:novel supercontig:GCA_000165425.1:CT868036:159120:159500:-1 gene:GSPATT00034595001 transcript:CAK65117 MGNLCQCQKPELQLDHQEVNTQHSKVRQESVDNDNIFSELIQQHSSLYQYQNGLTLTSTK PLSPRSQHQIVFLEPKDLFDERINYQPIILQGILASKSDQQEFIYESPQPEANGKEKIKK KVNFLK >CAK65118 pep:novel supercontig:GCA_000165425.1:CT868036:159754:161141:-1 gene:GSPATT00034596001 transcript:CAK65118 MKKFRELKKTGDGTFGVVIKAEDTQTHDLVAIKKMKQKYHNFDECTNLREVKALLKLQNH PNIVKLKESINNQQVVFLDNDTLCLVFEFVEKSIYQIFIYYQGKIISEDQIKSIIYQVAN GLSYMHKHGYFHRDLKPENMLVTNNGVVKIIDLGCAREIRSRPPYTDYIATRWYRAPEIL LKQVNYNSPVDIFALGCIMAELFLNRPLFQGNSELEQFNKILSTLGTFTQTEWPEGCRLV SQMGLALAQFQPLQLQQLIPNASTEAINLLTQMIRWDPNKRITAAQMLTHPFFYNIEKIA PSLIFEEQVKSKDELKFFESDHKNKSYSQKDEPHTQFKQKQQFQQQIKDDDSNDLDDILD FITTENKPMPSKLSTQSAKALDFGEYVPTQPMNRQTRNLQSSQLQEQNKKDNNSIYDFSH LQSYKPNKQPNINSKY >CAK65119 pep:novel supercontig:GCA_000165425.1:CT868036:161361:161666:-1 gene:GSPATT00034597001 transcript:CAK65119 MCEHCRKRDIQKKLGYYSYSIQIEPKKSNISLKLNNNLDDKENQMIDAFNRNQTSKIQLQ KKAEQASKLTLEIRFPNQQQISQQILSHKKPLIKKDNKIKK >CAK65120 pep:novel supercontig:GCA_000165425.1:CT868036:161703:161869:1 gene:GSPATT00034598001 transcript:CAK65120 MAYLILIHQLNQISIIKNSVHYQSIQSFAKYLFNDKIEI >CAK65121 pep:novel supercontig:GCA_000165425.1:CT868036:162242:162942:-1 gene:GSPATT00034599001 transcript:CAK65121 MNNYVYGQNQQVIIQQLFYKIEQLMAEIQKLTNFSNQLIMNLQTQENQYNSLKRQFNDVW AQLNEQQKIWFNLKNNTQHYTLSNEAFVMSNLITDIKSRVSEIKEFNLYQNDYQKQKKCQ LCSEKDYTISNLKAQLKKQAEIVLKLQFDSEPTKLQTQNSDTNYSNQRTHTEGLTRQRFT SQKLFQSNLTITTAPGSKY >CAK65122 pep:novel supercontig:GCA_000165425.1:CT868036:163510:163785:1 gene:GSPATT00034600001 transcript:CAK65122 MNSFSLEKNRFHQKACQKKKKGQSENLTTLSKKQQIDTFIEQKTQTLYLDVNQSYTKASD QESFNLNKIPKSLRANLIGQLNNKYKRRSPL >CAK65123 pep:novel supercontig:GCA_000165425.1:CT868036:164141:164380:1 gene:GSPATT00034601001 transcript:CAK65123 MDAFNLLNNRFMQSAKERKSLRSINLSQKNLETQSKSSEYDLWEKNDGLDSPMTPKIEIP NQFYPKLINTVRLSNQRLL >CAK65124 pep:novel supercontig:GCA_000165425.1:CT868036:164584:167426:-1 gene:GSPATT00034602001 transcript:CAK65124 MTSKLLMIPKTKFNPRFHPTSREIELLSQVIKFEQNVDSKVKLHKRNQAFTLHESPLSVH GKLHFGHFYNKVHKDILKRYKLLRGYKITPSMSFELFGPQIEHAAFQQLIQKGTTQMEYN EVAIRQICSKYAEEQLKSYLEQIQRWGILEQEISLTIDPRYQKQVLYQLAHFLDQNQIFR EQKIVIWSKSRFSEVPLDSVIITQRDVPAHAIKFKFTRLSPSFKKLKCPFYLVLELPSPW KIPSAKAIKLVEQEWLVMKDKEALIMSKQFFNTHKEEFSMYQFVGTIVTSDFLNLTVDNG LNEFIDLRMIEGEENNLICPAHQMDDIPIAKRYKLDRTGMVNENGQLVYEDEEFDILNNE ASQQILKELRERNRILDVVSSAQEVFYHHKVTGEQLLLRSVPAWFVHFDEQLIDNYKEKY NLNEEDTKQNNKDEIYTPYIELMEALCGQWCITEHNVWGIPIPLFRATVPKKFEKLKNGF LLNAEVVRHFADLVEKNGSDIYWKWNIVDLLPDTYKPYAQDLEKHTCVLNRNFIIPQQCD LIYEGIDQNESFLFSSQMMMILFQKKFNRKIHTHTVLQYNKDKISKTNCFTLENIIDGQL KANEQKQYGSGADVLRALVTSINEHDEFSQFMLDKKKEQINYIRKIYWQIIGCLENASVT PVDFKDLEFCDSYIYQQLILFVANITKAYEDFNFGLAYQLYVDFMQNYVSLYVASTRNKI IAFHSEYQNSLYIYYKIYDITLNVIQPILCFNSRDIHTKLPTAWPKIPVSHFEEAQQNIV TLSLLHKLQKEINTQIDEVQERIKQKSISKKLEIVFIIEPNTYEQQLIDIDPDQLALFFS CGKTTFETDFLNKKRPNHVCMSSFFVKEQFYHQKINFHVKFYEVTDSQCPRCLEHKPIVS KICQDCTDYIQIEEEKLKQIN >CAK65125 pep:novel supercontig:GCA_000165425.1:CT868036:167525:169105:-1 gene:GSPATT00034603001 transcript:CAK65125 MRQQNHKNTFLKTIPFTSIFVEALKQSSMWVSSNYIDGNSQFQGKLLLKVDSQWESVQLN LHPEKLYRKGVGSDDYTSYCQLQACFLKKFTHFDPTMPNYRYGIRLQFGQQLSHLLCENS DVFKKWFQFLRRFCIMDKFARKYKVLGKIKQCDPVFGQCLFSCVRVQDAEYHVVKVIDKN VITAGQKQNLYRELSNLRKLSQTQICYIVEVFEDEQSVYILYEYYLGIDLRTYIKESQIY QMAEQSSQGLDEKLVADILYGVLQAIQHMHSKGVFHRDIKMENLFIPEKKRLPFVVLGNF CYSETTDSTQYKKCGTPGFVAPEIFRSKNYTSKVDLFSLGIIFYLLVYGKLPFEGKDQEE ILRSNEKCDIDFKFEKKICKKISISGMDLLKGLLNKEPIKRLSAAQALNHHWFIKMGTRN QRNQYLQVQRGKSLSTIIENSVDITQSYYQSNSQLYQSTKEDDKLDRVEKEFIKGSLYDK LLYFNSIQYQPSKIRRIQQST >CAK65126 pep:novel supercontig:GCA_000165425.1:CT868036:169898:170500:1 gene:GSPATT00034604001 transcript:CAK65126 MARIIPVLTLILAVATARVAKSESPKEVLAQINKDSFGNSVLSVLQLQLATGGPVGEIQI LLNNIASQLNGDQKKADKVHESDTVAFEKIIADLEQEIAYHSNLNRCSFKLERFNN >CAK65127 pep:novel supercontig:GCA_000165425.1:CT868036:170500:171118:1 gene:GSPATT00034605001 transcript:CAK65127 MESKHALFKPLINALTQLASKVDNKSIIKILELLAQIRQQLVASRASLLATEEKQAANWE VQSGHLTEEHKRLAERKAFLENSIVQFKVTIQEAVEDLEDQTLFLEDAEDSLAIQERWAA EQESQYEAQTFEREQQLEVVERLQEVLTQKVSAASEFLQVREEVF >CAK65128 pep:novel supercontig:GCA_000165425.1:CT868036:171150:173328:1 gene:GSPATT00034606001 transcript:CAK65128 MENIQVVVRIRPSNVQEKDNNDLEIWSVQNQDTITISNDRFNDLVRMRKFVPGQRVEFTF NQCFDPKHTTKFIYQQQIQRITLSSLQGINGTVFMYGQTGSGKTYTMIGYDQEEGILKQG LKDLFGEIQRQQDKQFFLRCSYVEIYTDQVYDLLSTQERLSETLLINEDYNREFVIKGAI EEVVTTIDEIMDILQFGESNRHYASTVMNHCSSRSHTIFRLYVRCVPNTIGPNSVITESI LNFVDLAGSEKINIHDSMLKKRGTSAGGNRQNESKHINKSLFFLTQVISLRAQGRNDQHI PYRNSPLTKILRSSLGGNSRTAIILCVNPCYSQFEQTLSTLRFGTNAKKIENNVSKNIVG FDNDESLNRVIKDYEIQIEELQRARVDDKQQQEMMLRIIEKLEEQRKIFKSQFNTSDHIQ AMITKNVPYQWTHLHYHDVGVLWVPEKGSRDVEVKQEIIDSFGMIKKYQNLEEEFIILKS EKQTVENQVNELKQKQQEAVIQTKKRYANQKAKTRKYKQLSKDLQDERNKLQKIALCYHN MIDVDEMMLLNNETLDQMLDNITIMMQNIYKVKLRKEMKLKGENMEALKLKTSKPIKYPI YLFDTSAINVQFWDANENKKTEETSKSEIESFQSYFQSQLNQSSPTYADMQSSVQQFKEP LKHICSHEKNPQSKCGKENFEPLFKKNQSMEIADELNFRSYFR >CAK65129 pep:novel supercontig:GCA_000165425.1:CT868036:173350:174246:1 gene:GSPATT00034607001 transcript:CAK65129 MFSLLFLITTSYATDKNCLWHDSFGYTYDISKLHNINNYQVPDTDTSMGMFNMVYEFNFC TGAVKCQGRDVAAFEALEVMGKVTENCDVLGLKETQEFGYVNPVYPELGISVTYKQGDMC FDVKDDGGLQKIIADNEQMQMSPRSVTFEITCGKDEPNFRVIDSSKCNIRLGIQHSAGCR SGSSPSGGSQTMLLYIILGAGLYFGGGIAYNKKQYQLSGVDALPHSHFWKDFPHLVKDGI NFTVIKVFGIIKKFRGLSSEGGYAVI >CAK65130 pep:novel supercontig:GCA_000165425.1:CT868036:174508:174867:1 gene:GSPATT00034608001 transcript:CAK65130 MIYIIGLLVVGIIWGITNPFMESGVGNKQQDLFGFKSFIQTILNYKFIIPFGINQSASIF YYYLLGHTPLSLGPVIVNCVNSATTVITESRLKKQQLKQKTWIGLILIFIGTYLVCSSK >CAK65131 pep:novel supercontig:GCA_000165425.1:CT868036:175962:177458:-1 gene:GSPATT00034609001 transcript:CAK65131 MLKAILVLFLITSLQGSNIRRHHNNIKSQEGLQSILAENKQNIKKSNEQQKNLVGDLENN EENQVGQFEKDSLLQKGSSKKQNKKNVKEESIKEVDREEIQLIEEMQIKGNEKKTKKHTN VEKQKDKKKHQTLLEENVVADGRARSNEEWMQKIANDVATIKMNIKSQLDADHIAFVEEN VVADGRARSNEEWMQKIANDVATIKQNIKSQLDADHIAFVEENVVADGRARSNEEWMQKI ANDVSTIKQNIKSQLDADHIAFVEENVVADGRARSNEEWMQKIANDVSTIKQNIKSQLDA DHIAFVEENVVADGRARSNEEWMQKIANDVATIKMNIKSQLDADHIAFVEENVVADGRAR SNEEWMQKIANDVSTIKQNIKSQLDADHIAFVEENVVADGRARSNEEWMQKIANDVSTIK KNIQHQMNGTEFVQQNHIDSALVDEQQPQSQDYWSQKLKEDINKIKQDDSNKKLE >CAK65132 pep:novel supercontig:GCA_000165425.1:CT868036:179173:179984:-1 gene:GSPATT00034610001 transcript:CAK65132 MANRCQFENSNDIGVFSKLTNSYCLVALGGSENFYSVFESELGLSMPVIHCSIGGTRIIG RLTAGNKNGLLVPNTCTDQELKQIRNSLPDEVKVKRVEEKLSALGNCVACNDYVALIHSD LDKETEEIIADTLGVEVFRTTVAQQVLVGTYCCFNNQGGLVHPLTTVEELDELANLLQIP LCAGTVNRGSDIIASGLVVNDIAAFCGLDTTSTEITVIEKIFKLQDKNKQNMEVQIRQDM MQELE >CAK65133 pep:novel supercontig:GCA_000165425.1:CT868036:180095:183313:1 gene:GSPATT00034611001 transcript:CAK65133 MNSIITILTTLLIKSLAQVIIVYDQKNDTIQQTANTITFNITATFPTSSDVQVDVQLPSQ IIGQENIVCGVTGLTNGACNLVYSKFIITFKSATPTFQIIFINVNNPITTYTDQVQLNAS VIATKSVIFTKLVQLKFQFKNVPLSIIQPPTTYIAAPLTITIDGTSITFPTQSQIIITTN GVIDNLVKLTGIVFESIKLQDSTIIINNVQLPSNAKSTFSISKFIIKSNEPINYKVEILD SNNGQITMFQTSQTVQTINNNYDYTLSAENQVVGMPTSIKIILCNLDEQSKFIFTSSIAK LNYVTSYESSCHSTLIQQFTNPFSLNSISFDLQVTLNNFNSIKRSISYTPIKDQISMTLT QNTTIMLNYALVILSLQLNQQYSNFFIELANSYTTDSCKIQDVDCSQMNNIYKSNLLEQK LNKVIIKLEILLPGCDSYYFQAKLIYQNQELATSNQITPLLVKYKLTSTLSTSSFNIGEE SVFTINGLDSKQITKYYVSTTLTDLKCQDCIQNENQLQYGQVNSIQFSANNLKNVKPYTI TIIAYNDQCLIAEQIFRQQAIAQKLQLSVITDNNYQQAQGNWQITISPDIQDKGIMKTPF ILPNNQENKINATSMYINQTKYTLNMINWYFDKEENYWEVGYYREGYLYAYGNLTLPQLN IMDFKNLKITKQNAQINETDKVIITFDTYFDIPVDGQLQLDVTDVQLSNLTLNGVEINNQ VVIPKGNQVLNFQISFMNPISNYSKQFTLTSFNELGRILQKSNFQYAFNYGCSIEQCGVC NLTDCISCKSTFTLVNNTCQLICEENQNIVNNTCVNNQNNNTHNVNDTNTTTPNKTGDIT IQHDEDSFVFTPPIISPIVVLIYLFALSRKCWSSESETFIIFYSLASVMEHIIHIFLFIY FFVTLDLIYGFCMISVIVLHFSSQIYIVFMNLFKIDPEYIGIRQQNNWLKLLHIISLTFC GRLLLLLISKFDQSYVWSTPWTFKISVLQRLSNYLKFQIIAQIGVLTLVILAILQKLFLL YIEILSFQIIQTVVTLYTFNHLRNQKNQEIRYTYV >CAK65134 pep:novel supercontig:GCA_000165425.1:CT868036:183378:184503:1 gene:GSPATT00034612001 transcript:CAK65134 MLNQSNPSPKKRMLWTENEDKLLQQLVNKFQNERLGWKKISQSLRKQGYDRNTKACRERF FNHLDNTFNKTELTTQELDKLFELIKIHGNKWTCIAEELNHRTDQDIKNKFYAHIKKVFR RLLKATFQTTESSIMTAKLQPLLISSIFCYENELDQKQILIQNDMKDLFQSLIRKNKSIS QGEQIDEETREQVKQITTYLDKENQLYLQNKITRKQNKQIIKTKHIIKKTQFAHNQFQQQ QILKKIQMKQPIFVCSQKKLEFPIMDLDTKFQNDFNQFEFDKILENGSLFQPQQIISSVP FQWHQSSYKLELSHQSELSYMAIQSNSYNYSTIWNI >CAK65135 pep:novel supercontig:GCA_000165425.1:CT868036:184623:184928:-1 gene:GSPATT00034613001 transcript:CAK65135 MDKFINIILTGLNFNKMNNNFSLKRNKFPVATYDALNNILKQNQYGKGFIICLAKFQYKN QLQLLILKLLQAFITYRLLNYGPLHLHPMIKNNCFMLKFKP >CAK65136 pep:novel supercontig:GCA_000165425.1:CT868036:185359:186903:-1 gene:GSPATT00034614001 transcript:CAK65136 MNSITFKWENSSEVQTIPIQGIKIQVRDLRVKIEQMFTSKKDDIQMLYEEKILNISDEIR NKQMIILVRVPKRRNICLSCFSRDFEISTPCCQKFICSTCENIFCQKSKCFFNINSQCHY KYTESFQTFVPEPPCNEFITFNSVFYLMKSNKNNLAHAKRFSVWTVKEITYFKLAQECNI PANVILIFINNDEFVGCAKMINQLVVYDQQFPKLKTFKIQWLRRQSSIKTIYDFPSFELY EGFKIQHSIAKAICQTFPIGQMQVEVKQQSSTADEWDIDEIFRDQEETVKKDEQIQNNQI KTSDFCQSKQEVKEISKQEDKETSKQEDKETTKQEDKETTKQEDYAKNTNNLSNIVQILQ TTQVLFDKINNGQIPGIKGDNKYTHPIVKQLCYNLLSNTLLNKNNKNQFKKRKYSRSSSS SSSSSSSSNSSNSSSNSSNKSVISSSKKKEMLNNKQSKEKKYSCSKREKKQKKWRSSYKQ KFQEDKLKSRKEYKNQKYDKKQSYHSGSRDKSKK >CAK65137 pep:novel supercontig:GCA_000165425.1:CT868036:186999:188626:-1 gene:GSPATT00034615001 transcript:CAK65137 MKHRAATNSLNQKENEKYIGNQYALKSRPPLQQELLMNLKNQQLKNLDAISQILQSKFCK VEKRDNVEYFKFDFKQQNICDRVKFLINQPDDDTNKTEQFKAQYKVGSRIGQGAYAAVRV AIQIESDTKVAIKIYEKTKIKDLQRRKGVRREIEILEKLDHPNIVKILDTVESNNHVNII LEYVSGSSLHHLVRKQPERRLEEEIAKGIFKQILDAIQYCHSKNIAHRDIKLENILLDGL TPKLIDFGFSTSFPIDKKVKMFCGTPSYMAPEIVTRQEYRGDKSDVWALGVVLFTMLQGV FPFKGDTDQELYSRIQSGEFTIIHDISKEAIALLYGMLTIDPDERPTVVELLNYPWFKKY QQNLETEELKKKHKLPEDLIEDLNTITKNMSYITPTSQIKQQFYFDFSHLKNNSKQNNTK AIIPKPSYFTTTNAQAKQREPQFFKVDRILTKNERHTTTHSKERQPTLSKERQSSYSFES SRGLSQKKNPHQLTVHPSFIPSEKSFNSVKSPSVGMTEKRSFNFIYN >CAK65138 pep:novel supercontig:GCA_000165425.1:CT868036:189383:189670:1 gene:GSPATT00034616001 transcript:CAK65138 MNSLGIQNNRFHRQAIENRTKNECSEYYYLNNVKKKQKNEYYEKWLKQREMQSNASTETY LDIITPNLPQAKIPNTFQVKLMNDILKENKQKMHY >CAK65139 pep:novel supercontig:GCA_000165425.1:CT868036:189866:190546:1 gene:GSPATT00034617001 transcript:CAK65139 MNQIKIFETRKRKSQSESEIKSICLITFEYQSIKKYSNKRSQSQEQLIDYSSTIHILLNI GDEDSVSDQESQLECQINSTTLMNYQQVPSLLSIYTSESNISAEEEFEIWDDNQDLRVLK IVLEDQQPSIKQFLQLYKARFGAFPGQQQCIKNEEVIKTAITYASSSKQLFKKYFPSKRI VFEDDIDYSEPYKIEEDHHFQTFNDFFKHKKTKILMDF >CAK65140 pep:novel supercontig:GCA_000165425.1:CT868036:191262:192884:-1 gene:GSPATT00034618001 transcript:CAK65140 MKAEVTKSKMSKEEYEKFKRAERRKNNKSKKKCTKEKQEIIEEVVKAEEEQVVYDEETFQ EIEIDALITGKHYDNFKQVFDNFNQHNERLMMKTYEKVYENEKEKEKEQEEQQAAEQQQQ QQQQQIQQQINEPNQTIQNYLQQGKKKEAKLSKKQRKQLRWLKVAELKQKVRRPDLVEFW DITSPDPMFLIQLKSVRNSVTVPRHWSQKRKYLQNKRGILKEPFQLPDYIEKTGIAKLRD PFVDRDGGKMVRQKLRERMNPKQGKLDIDYQILHDAFFKYQTKPSMTKHGEIYFEGKEEE MRAKNFCPGKMSAELRSALGCTDFQAPPWLPNMQRYGPPPSYPHMRFIGMASIFAEPSSY HTSVKQVIEDSCKKADQPGLYATFKYYDEEDLNELSQKTGLQADAPVDKSLWGKIEEVED EAEVEQEEEEEPEAQQRQEQQFDQSYQFGDSETRLPGRSISGLQTPDVDLRRNLQNDPRP LYQQLEQVQIDPSSGNQIFMTGHTYVLPKQ >CAK65141 pep:novel supercontig:GCA_000165425.1:CT868036:192932:194130:-1 gene:GSPATT00034619001 transcript:CAK65141 MNNSEYIVKFVTSLPQEYQISNNEIAVQGDMNQGDLSSLIQQLLLAENPDQEYNQLFDFF INGISLRSTLHEFITSNEIATEKTLQIEYLFAVPEPKLKQTINMNDWIIAIGRLNNHILT CLSNGDIVVCSEKGKILKTYKNKLAKSFTIYENIIISTHWDCTVRVQRITDNLELIAQGS LPSYGECSCINKKNTNEFCVGTANGELVFFDLSAVQKQVIEMVQNFKVHQQQITCCQWIR NSTILTGSYDHSICLFNKRTESINKQLFAKDSAIIGLCYLENNQQIVSVHEDGYIKLWDS LSEQLIKIYKSSLSQLTSISANANGQIIVSSMDGNTYLWDLRGEVPLYQLNGEGKAMACT WIDNKILFGGSTNKLYVYNC >CAK65142 pep:novel supercontig:GCA_000165425.1:CT868036:194144:194484:1 gene:GSPATT00034620001 transcript:CAK65142 MLFFILISLVQSIDVRPNVNLTIGLDFMDNSHLPFFQQFQSYFLDFKQKGLNLIIAQHLL PCYSCNTRHQYKQPEQNCFGGGQYCCMKYKYCKSFLNQSQVKLY >CAK65143 pep:novel supercontig:GCA_000165425.1:CT868036:194490:195062:1 gene:GSPATT00034621001 transcript:CAK65143 MLRQQCVLEEQPQFYFNYTIYFSQQCMQLPSEMKSCSENYFTNNNISTQSIDNCIQNSFE GPSEQIQSLKTNRILDQYKQLQYNKTKFALNLDDQDLTNTPFKQIMNQLCKKFEYASIPA CGVIKADSIQKTNLLEQIFLILFAIIIILIIVQGSLQLLQNIQFKTFFTPKSRITIPKLE KDHIIQEDDV >CAK65144 pep:novel supercontig:GCA_000165425.1:CT868036:195252:195746:1 gene:GSPATT00034622001 transcript:CAK65144 MINCQQNQRQNFYNFVFGFNKEQRSTSMKVERLKQTTEINEIKQDLYKGLQLGKTSENAL RIIHLKLEKQRFRRKSFETKTEVEDEHLPQVQQVSKPPLPRKTTIANKENIIQLPNIISK QKEVAKQTQQKKRRLSQRIKMKKSEPTLEFTPWENYENQEFDFF >CAK65145 pep:novel supercontig:GCA_000165425.1:CT868036:195775:197273:1 gene:GSPATT00034623001 transcript:CAK65145 MQQQYSNSSIQDFNILEKLGEGSFSSVYKVQRKSDGQYYAMKKVNISQQSLKERENALNE IRILASLDSPYIVEFKDAFIDQDGRILYVIMEFASGGDLNQILKQGKLQGGVQEGEIWNI LTQITLGVKILHDNGILHRDLKSANVFVSKTPQGNIYKIGDLNISKVTHGANAKTQTGTP YYAAPEIWKGEQYSWPCDIWSIGCIIYELATFQPPFRAGDLLSLNKKIQAGYYDPMPQKY SSDLSDVLKTLLQVDPKSRPNCDQILKNPKVIKNSGSLLVEVEQNGVKQAKLLQTIKLPF NLKQLKDNLPKSKYENKMKRSNSQQGLKIETDHPISQQPRINMEIQQQQQQQQQQLRKKL ISVPSQGAPLKCKPPLAKPQIAQAQKIEYHPQTPQYYGQKMYHQDQKQQQLNIVNQRINA QQYCKPTFLKQNYGIRDNSPRNQNNPYLQQRVGQQPQYNAGKQVQQKRQYSAGIYGRQQI YK >CAK65146 pep:novel supercontig:GCA_000165425.1:CT868036:197293:197853:-1 gene:GSPATT00034624001 transcript:CAK65146 MKKERERMNAMLTEFLEHDQHQERNLQLKARRNLIMDLNKGNKRFHSFEPDQYNLTDPLS KDAKLYAYMFMKPNNDDPIFKPSSHLNVLSQSTRSQKNFNRHYLAYFNEQLWHSRDKSQN EDSSFNQVLEMNIDNLYKRSANLQSKILNTKSEKLVRRIRQFLKVKQLTTQIIKVNELKL FKIKLL >CAK65147 pep:novel supercontig:GCA_000165425.1:CT868036:198038:198631:-1 gene:GSPATT00034625001 transcript:CAK65147 MYIFNEKNNYQIQVTQDNTPSIENRTQQDLISSVRRQGTPRQSKVRFVKDPLENECHFEQ PNPFLFNEQLKHSFRLFGIENCLELSQRVQSCNWSDSQNRSIQTISKWKSISNLVEIKII NQNVYVVVKLFADDLLQCKIKVQERYNKIKQLIQQKMKSKNKNDSLRDVQMFKHLFDTLQ PIIDQISFNCIVHVKRI >CAK65148 pep:novel supercontig:GCA_000165425.1:CT868036:199423:199816:-1 gene:GSPATT00034626001 transcript:CAK65148 MIKLLIVIVILNITLSAPILKQQILASKKAKKEPALDWKSASEYSNNPTYTQVVEVAKKN SSQQCKLSKTVKLKKVLKVAKQLVQGMLWNLQVEFSDKSTKVLQVYEDLDGSFEFDTCKD TI >CAK65149 pep:novel supercontig:GCA_000165425.1:CT868036:200140:206666:1 gene:GSPATT00034627001 transcript:CAK65149 MQHNDDFDFQGGNQPQIEQDQKDIKIQELNDDDDPVFMILLEESNITDLEYAILLDDLLF FKREARLYNYIAYLFQLTEIDKQNAPEHIGQLTKLLKLLFQIHEIGQHSEYTQIIEQQHP FGLFINSDLSMIDLLKNIILNQEINKKKDDIFFQIRIYLPRFLIFSINPPKPFVSKNQMK SLQNQIISKINNQVFETNNVTPCQLDLLAFSYISDSKLNDQLYNEIIFLLKSTLDSLLEA NSAEQGYNQKIKNLLEFFLVFTTNEKILQSFHQITFHTTLYNSIKNSFSAYGIDNQPSWF QDPLIIDYMVNLITRILSSYQDNQQFTDILYEDFINCLKHKELDLITKVIIPILNSQQAP LVLVCFHPKVSVQERKLQSELFLENYSKMMEDSLIEQNVQSLEKNYYKKLNYYYSQLRMQ PIHFIPKTETLTQLRQLYDENINITNLREGSINDIEVINPVTKILYLNSNYQKSLLVSFG KSMGGFLRLFDEIKLYLGEDNVEVKELLNELQIFCQIEEFQEDIVQLEEFQKYLISLVEM NKNKDITEIYEKLNSMIYQKLDQFFKEKPYLKFIAILKYRIFEQIVITAEKQLNYINKNQ RMDSGNPKDVGNGKDQQFQQFKQNQNYKKAKFENILNCILQLLDQPVSIKILQRIQSSDV IKFVIKYLQSINLTSSKSQKTLGQIVKLIYCLAQSEMTLKLFISNENICLFRQMESFNNK LIMRLQAGSYILNTHKEFMQLYQYVEASLYAARLINIKFLDLDHKHKEDAKLSKLQLYST FMKILAVGKCEIKTDAKYLSNMKEYYQQTKSRSEYKIQKFLNQISTIEQNIPIQATNSIF IRHDNARMDCMRIVIFGGSGTPYAHGAFLYDLYFGNDYPVRPPKIKLATPRHDKVGFNPN LYNFRRVWLDLLGTWDDSWNVDYSTILEKLFSVKSLVMSENFMINKPESQMETLNVGQAN RGYCNFIKINNIRYAMIEQLQNPPRGFEEVIKKGFYLRKELIMKEIELWIEQADLPATYN QIQNEQTYNLQPSFYKQDLIKIYEELKVELEKLKFDIETDFQVNQRKKEISLNFETPYSQ QEQKTKKQMLMPQGLNINEIDVNYNENVQQRQFDLNDKNLQNLMSRYIAVVGLDAVKKQS ESTIFIHTLNGLGIEIAKNLILSGVKRLILFDSELAQMSDLGSNFYLTEQDLKKRRDLSV LNKLRHLNPYVQIDVLQNSLDELNLDEIQVFVTQDPDIASKVSTNNKLAVILAQTRNIFA RIVTDFGDEFIVEDKDGEQSSEVNIENISNNVVTLFKNQNHNLSENDLVIIKEVNQEQGI GESYNQVFKIKNVKTQSFELETNRVFNKYVSHGIAYQQKQPIRLQFDRIQKAISSFNHYC DNVGIFDGIDLIKRDIIHFCLNTIAKDQLTHNWDIEKIKMFITSMRLSDLNQKLYFKYNE CVLTKYQEELLPLFTLLSMNTQFQPLCALVGGMAAQEVLKAINKKYSPIHQVYVQSFEDV LPFKLTEFNFVQVSQPNNLEINLKKYEECMSKLGFQQNQNTRYTDLANTIGNINQIFNAD VFVVGAGAIGCELLKNFAMLGVSKNGKIYVTDPDIIKNSNLGRQFLFREKHIRKPKSVTA AAVVKYMNPDINIVARQDKVCPETQDIYHTNFYNQMKCMTTALDNVQTRLFMDSKCIENG VPLIESGTFGSKGHVQSIIPYIQTERYVKKQDPEEINDIPYCTLKMFPESNIHCLEWARD KFEQYFFRKPQALFQLIQDPSPLQQTVEMAIKVLNKYPTSFQECVIMGRLKFQKLFNQDI ITLTSAFPLNSVTEEGQPFWAPPKRSPQPIEFGEKFAFEFVEDFAILTAQIYNIAIPNQY DLNLILQNVQIHKMDIKQNKIQQIIEIQDKNNQLQKQIVIEVKNYDQLIQEAKSLLNKVQ PKLPQPQKFEKDNDTNHHVSFIKNATNARAINYGIQRVDWMWTKLKAGRIIPAMATTTSC IAALQTLELIKILQKSTQYRNTFLNAAIPFMMQSQPGKAQEFKLNNGLSISIWKKLNLNI KKLTEPLQYIVYEIEKMVGEEIINLQQRGKLFYMKKMIPKDEIKRYEYINSPINNCIEFI DGLSQINVQISNNISFLVLITLV >CAK65150 pep:novel supercontig:GCA_000165425.1:CT868036:206882:208431:1 gene:GSPATT00034628001 transcript:CAK65150 MFRNRKYFCQSITFYQQEQLLNKVLKDCEDCFKQLDQHGKSIKRDTKDMFIKFTQAKLQD QKKLLETDSVGVFQMLLDIIENVAHDKPLMTYILTTIDAIISENQRLFKQFMRALTPQVV PKLKQFLFLDGYDKVVYEAAAKIVTMIIADEGGNDAKEWVILFIGGIGNKLKISDQMIMP ICVHFLKHDSLAIQFIKSGGIKIVSNQLTKYPTDLHIAYYTILALWLLSFTNESIPLFND PQIGLIRMIIESVQKISREKILRVSFACFKNLVDVSAQCIELMVDNGLIKVVDLLLKGNL KDQDLIDDIKYVGEILEKNMKILTSFEKYVKELNAQNLTWSPVHTEKFWKENVKKFEEND FLLIRQLMKLAEILKSNNNQNIAVACYDLGEFCRFHPFGKVVLEQLNAKQEIMKQARNDD QMIRENALLSLQKIMLHNWQV >CAK65151 pep:novel supercontig:GCA_000165425.1:CT868036:208434:209826:1 gene:GSPATT00034629001 transcript:CAK65151 MSEQQSGQDQLDVTAMMDELYDKLILLNYEQSYLKQKGGKPLNRAYFVNQTNSSEQFNQF KTLVKWLFQQNDVQTSDFNKLDDPVTLSQNIINELKNIGIEVDFPPIKLKQGFGEYVVYV LLQLATKALQKKKFQYKKAKIEQQSQTRQDDEPVQETGSVSSDSDPEVASEEEPEDVFTE QGFQKDEDKMVIESNVNPKEWAKEVERAAQKIKIVIKPDAGEWRQHFDATKQYSNQIKTI LPEARIKLERMGDELGEILDRITKREYNINENMSEMSTEFKKKNEEYKKIELQYQNYTNA KKEMTDQYKQIQEKFETVQNKLNEHGSVSTNQSPVISIKAALTKLRLEIKQMDLRIGVLS HTILQRTFHDAKAIQERDFHEHGLILNESDELTD >CAK65152 pep:novel supercontig:GCA_000165425.1:CT868036:211095:213175:-1 gene:GSPATT00034630001 transcript:CAK65152 MNKHNIHSLSAHRRVISSSIKPSVSRITSSQSRSKSNYKTLNQSPLAFILESVIPVIPEK SNVPLKIIEKYLEEGYLIKNHQTVVSTLKHVSREVRKYLQYNFIQVQELPQQYSFQVKDP KLLFRMYETLINLDQTIIQDLFDKKQQLDNSDLNKQHPKHHFRFDVQIKCIEILNEIVSS ILKTIDDKAIAIFIEQIWKAIVIILDLNTQWQQDRFQSLVNEQIESYKERLEQMKSEYKQ LEEKYQMQLRAYQLKLVIEQKKNMALREENNKMCQQYNNLEDQMNELSNIEHAKGDLQNI NQKLHEMDLNFMRFNKEIYESHQQASTSIKQLAKLLTIKPILPKSFVQQKYEQLNISFKE QKPCIELMVNPLISQIEIEFYHVDQFNKKQLASDFLQFLFQNLNFYSSQSGPELFSLWIH ADEQQFVNSRIELLNKLNQNNNNDYYINVCQQILGINSQYPLSHKMIEETLRYIKIIELT FLQQTSPNQNQQRSSLFLKQSSSIDFELEINLANYSTILPQQLYEYVESSSQSNIRLINF TYLAIVFLQQQERLKWNSFSKFYLSNINKFSYRLDTVEPFYNIDVFLIWQLIITHQRSMI NKKQEEVVSNEDFFEQLYLLWVHHPRCLIKPSNELQLNQSSSPTNKKVSSQQPQQKSSPQ IRKQGTMQSETSRKK >CAK65153 pep:novel supercontig:GCA_000165425.1:CT868036:213382:215087:1 gene:GSPATT00034631001 transcript:CAK65153 MKSSIQSYGFQISIIRFGDEKVYEYKSINNNIAEEFLMCDLFIHSPYLRQHTKMINKEKF IFKFETDKLLSSLQTQDENLLVKIARQLLEAIQILHQHNIPGRTFNINNILWNETQQKIT LMEFGLSSPLIIYPPELLNKKYRCYGIEIDQFLIGCVLYQLYFRKPLELQEQLLDMNFRD LNMELSKIRSPLIKELLIGLLQFNLSKRMQFKDLARLLKSNQGIQEFYSQIKTFKPKQNI IDQRIFFRESRQEKSSTYILTDTALQESLIIPLDQSLSSFQSTTQESFKQVKKFSIVENT LMEYQFKLEVSKFQCFDEVISILKDYNQDIVPELCLTILALNFGKVYFHLYLIRELEIEN STCFSKDDLEMKLWKSYKTFNSKTINDEVQKLKTNANMLKVSFDRENEIKCVQLQINKNE VDNLDLLQLQLFNLQYFNNSLSNQEQSNKFLDAYRTQCLIQLQIINENLDFTMNLQTAQK LRQGLILCAFADILFKPQLECHKYILETLNIKEISNYQQFIEYIKLDINSFNEWFQQVVN YLMKHEIGRKYINYLNLIL >CAK65154 pep:novel supercontig:GCA_000165425.1:CT868036:215169:216965:1 gene:GSPATT00034632001 transcript:CAK65154 MNINQIGEPSRIKSCTFDIREVSIQGQKYFAYYKKNTMNQDLDEYLALDLNLVHNCKYLR NYKRSADGHNYKAFEYPGEYKLLRDLIKPKEETILNIVSDLVSALMILHSNSLLGRCFNI DNIILINGTTPMLMEFGYYPKMDYKVPEQVISTQLNEKVDLFLLGRVIYYLTVGKELPNY TLNNFGENEDKINLAIAETNYSQKLKIFIQGLLQKNRSQRTDYLKLVQMFDTPNSSILLS FYQNEFQNCNIIINEQLQARQNQDVEIEEIVPFIIVPIINPNKIKGPYVQQRQKPNIIGD NLEPPESPSFLGDEDNFNPPILNTQHPLINCFEVDSEEINRELQNILPFYIESFDQQSIW NNIFFSLYRFGLVKKLNEMIKNFKRLSDDLTLWKTSFALSKIHIVLQIEFINDLNQDKNV FGIHQGDWVQFISKNKDYKIMKHKLQYELEKDQKLFIGLTFSQLYKGYSIFRQNPPQNDH FLNNNLQYETFEEYKLAYRGILSESLAFFEKCQGREYSLIKLFLLICLKINNICDIDAIQ ENFKYVGQVLNLPQVSSIKEIEYFFKNKSETNFDEFYNIIKSKFFAQNQQ >CAK65155 pep:novel supercontig:GCA_000165425.1:CT868036:217245:218703:-1 gene:GSPATT00034633001 transcript:CAK65155 MDVDIKQGRLRPVRRNGLINGVNPYQSLSWIYTLYDIIVANFFAFEFEETGMKELQLILL TAIATCIIYSCLRATLIDPTDSLVKEEKLSKLQGKEFKTDIKSYCLVCQAHVQEKTKHCW SCNKCVSKFDHHCIWLNNCIGDKNYSYFFVLVLSLVALKIFRIGQDIKLLVLHTNFEVFV YICIAVDPPVFIVLAYLLIMHLYFKWNNISTYEYIKAKKESKDKVMKTQNVQNKQIQNES STGYGQLLSTSKRFDLKSQLSLKTGDTKKSNPNYFSNKQEDGKKNNQQTQQQFTQIPSLF TSKPTTPGNDQDKKQQIKQLSKPKDLDDLKDKQIYNQVIVEDSEPQLNESSDEDDIDNEN QNHCPQNSHVLGLPYNVEQVVAKEDQINEKDMAIKQEIEIELDKESENQDKISDKQSLHA QEPPLPSKWHAFQLGLQGDPNNVTSPKNQKIQCTQ >CAK65156 pep:novel supercontig:GCA_000165425.1:CT868036:218983:219336:-1 gene:GSPATT00034634001 transcript:CAK65156 MSYLLDKIHSATIYKRPKEGSLEVVDIVGHWGIVVVNDSGSYLVHNMPDTGTTATSISNL SNNWTPVAQLQVGPNKTIQGMFNSTGLVAYLPEGFVKYVSQGFCTGATGSMATYLSQ >CAK65157 pep:novel supercontig:GCA_000165425.1:CT868036:220156:221539:1 gene:GSPATT00034635001 transcript:CAK65157 MDHMEQLCQSEQQKPQYQQLVLPIYQQQLFVSHGPKLGRSVQLKCKGFDQVDSHQSGDPN YHQISQNQQSFNGKTVHSQMQSMVSQEIKTEGLKVLVTEEARQKKIILRGDSGLSWQSEL RLEIKPEEQSKESKDLQECQICYVDKPKEQFIAPLNCKHDFCSDCLSQHLTQNILKGNVL SITCPQTSCTVAFNDEQIKGLVQEKIYEKYKRFYNRQVISQNKNVRWCPKPDCENYVIGN GNDLLTCICGQSICFQCGNQYHKGMNCIQAMDAQYLQARKDNLIFDCPSCKAPIQKKGGC NHMTCYKCKYQFCWLCRGKYSSYHYVIFNVFGCVFPGGQGSNLQPFKNPMLLRVMMIIPK ILLTIVLVSVLLALLPFFLMYLIVAAPYELSRRLCGFRLRRYRLGGKIGFGILYFFLGVL LSPLTVAIAILVSPCFLIFYLLDNI >CAK65158 pep:novel supercontig:GCA_000165425.1:CT868036:221540:222292:-1 gene:GSPATT00034636001 transcript:CAK65158 MDQDQEIEQEINALTIQKFWRLRKHIKASRQVVPSLREKFHFYYSSISFNMKATTSTQRL LTFIKNKKLKSFGFNLCDNPMCQQWVKQQRLNQDPLPFIFIHKVYIGSMDELQLLIDFKL FDPIMNQDYLKHCLACMTPRESIENPKCQNCQTNYIFFEKKGQGVKICPKCREKQDEDKT TCEKCQLECIRVNEIFIHLKDIQ >CAK65159 pep:novel supercontig:GCA_000165425.1:CT868036:222322:223440:-1 gene:GSPATT00034637001 transcript:CAK65159 MGSVVCTNKKSPPSTLADVPNPYLIDDNHTEVKDNNDKYFDDGELKELENKEFKVQKIGN KCIKQNQNHYQRWQSLNQYLGSGSFGSVELAKDIEQGQFIAVKQLSIKGFNPKQIQAKID QFEQEIRVLSKLDHPNIVKYLGMEQTQSHINLFLEHVSGGSIKSLLERYGKFPENLVQIY TKQILSGIEYLHKNGIIHRDIKGANILVDGAGVCKLADFGSSKRLSFAKEECKTFTGTPN WMAPEVISGKGHGRFADIWSLGCTIIEMLTGKPPWSDETKNQYQIIMEIMKGQPPAFPPN LSSQIKEFLAHCFQQIEKSNYNRQEPHKRWNVIKLMNHPFIPRSGNTTCSVDISNMFKAL QKS >CAK65160 pep:novel supercontig:GCA_000165425.1:CT868036:223479:224131:-1 gene:GSPATT00034638001 transcript:CAK65160 MSDKFHKLSSKWTISEKYNASQNIDYKRTIVDICSFSTVEELGFLMKKTIYSKLSDILSE PQKCKIFKQFPDENADAQIEAIQFFKNDIKPWWEDENNKNGGEVQFDISTQYYTVYDQIY IDILLQIIGQATEELRHINGLRVLDKINAKQGNRIRIELWMDIDPKDQDESIGKLIEWID STLKKHKIDIDQTQFIKVSHRK >CAK65161 pep:novel supercontig:GCA_000165425.1:CT868036:225581:226661:1 gene:GSPATT00034639001 transcript:CAK65161 MLNNPNSENKKRIPWSNREDSLLQSFVDMYASKKQGWKQISELLIQQGYERNPKDCRERY QNYLDSKFNKTKLTEQEVDILFELIMKYGNKWTSIAEKLNHRTDQDVKNQFYAIVKKVFR RLLKATLPKDQRNKCSKITASLRPALISHIFSNNKENSPKQFNISKQMKDLFKNLILDNK SIQLGDELDEKEKKRVRTVSDYLGKQNQKYLDNKNLGNINQRKIIKPKHNKKLKLYSNQN HQNNILQRILNNQHIFDNNFQTFFPDQNVVNEEKQFTQTQDQYNLPQLFISSRPPFYLLS CYKQKQNNLNLSLNYSPLYEPYQDTSNLNI >CAK65162 pep:novel supercontig:GCA_000165425.1:CT868036:230882:232569:1 gene:GSPATT00034640001 transcript:CAK65162 MTNIQVVSQYRIYKDSLIGEGQYGKIYACEDTQNLNLKLCAKIIEGQVEDPKTGREMELM QMIMEQGRNNKHIVGVHHVDMNKQRIILILERCECDLQTQFKERRKNNKYYTPVEAINIL KQIIKGYKLLYDNKVIHRDIKPQNILYLDGVYKIADFGLARVYQTNDELTKAGTPKYASP QLFDQGSNFTNSADIYSLGIVCYELIFGGLPYQVGSLPQLIMKLKQLESDPIKINLSAAG MTQEIANLIENMLKYDENKRITWNNLFSHPLLKDQPSSQVTQITKTSPTPQMIQIPQFQI KPPQFVQSANPQKIDKLPQSPSLPCFQSTLEISDQLYQIATFMHFVLDSLDKHLNQQRQI HADVIKIKQQLYYLSSCFYQHSKAIKHKSQHKSNTNHSLADVERAIIQLETAKQLLVDQT INYGLSKDFPFRETLADFTGYFVQLSNLLAIQFLFPYTNKFSYYLEYLQQIIKIYLQRLL FCLTKLKELKEGKDTTIIHKYIVDIQIQLQNEIVIKQTLNSKINN >CAK65163 pep:novel supercontig:GCA_000165425.1:CT868036:235730:235834:1 gene:GSPATT00034641001 transcript:CAK65163 MFTTTAQQGQMGPNQGQIGQGKMGITKDKLDKFK >CAK65164 pep:novel supercontig:GCA_000165425.1:CT868036:236028:236378:1 gene:GSPATT00034642001 transcript:CAK65164 MGSNQRINWIRIRMNESKSRINGIRIRSNWCNSRVNGLKLGWMGQGLGQGYKPQGPSQSK GQWTQDKQEQEKCVEITCADNQIVFKDRCVAQTCENFDKVQAKQEGGQKKPPLKFD >CAK65165 pep:novel supercontig:GCA_000165425.1:CT868036:236504:237007:1 gene:GSPATT00034643001 transcript:CAK65165 MSSFLSETLDDSQITSSYNDNKQLRFWEFNILNADTDCKLFKNEQAAKIVYTCYYSVKLK IQEKKIAQFFFTFFIAVDLTGNVITAQAKSEAQDDTPACASEDNCVSRADTEVGFCSDQT CSTLIDQLQVYAGQNFYVVQRVKQTGFEQWKVGDADITFTVDGVYKN >CAK65166 pep:novel supercontig:GCA_000165425.1:CT868036:240054:243506:-1 gene:GSPATT00034644001 transcript:CAK65166 MLRPIHFKNPIFIYLQSSLTSVQFLRKLCLQCLDDHGVDVEQTVTIENFKEMIIKILNEY KLDGIQELIKQKMNLKSALSQIQSMLKKFWEKLSESINQIQDVIEMESASYFNIINNNIN LADATYTELETLVQIFKASTLNDWISQENSCLLKLERAKNQWEEEFKAFKQKSIEKMKEI QSLIKQEINLIKDSTKSIKRQFVRAFYSAQKILICKYSKKNISDCIEFLSKVQNQNHYQQ YFFQDQNLQNFQNQNKLNAVQNNINKVTNVLKQIHEHEFNKNNYSTETYTECRQKIINII SKENKNIDFFLFLIQLTSVDNKFIQCGSNSLNLLVQMKVDLTKKCFQNIKIHNTSLIGGN FVKCNLSGSEFENVDISGINLNGTQLLYCKWKNIRIEELHYLDSNSGAISSVCFSPDSAT VVSGNDKGSISLWDFRTGQPKFKLIGHSSQVYSISFSPDGNTLASGSADNSIRLWDIKTR KKKSKLIGHGGGVLCVCFSPDGSKIASSSDDWTIRLWDIXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTATKADTRR APVLLAMLQSVVGINQFAYGMAILSMFTSCSEDKSIRLWDTIVGQQKFKFQNNGIGVFTI CFSPDGTILASGNEDGLICIWDVKLGQLKSKLKGHRSQVCSVNFSTDGATLVSGSKDMSM RLWDITGQQPYNLVGHASGVYSVCFSPDCAQIASGSGDNSICLWDVKTGKLNVKLNGHSK YVSQVCFSPDGSSLASSSGDMSVRLWNVKQGKLTYKLDGHFEGVYSVCFSPDGTILASGG GDESIRLWEVNTGQLKSRITNHDGGVFSICFSPNGSTLVSCSADESIRLWNVKTGEQKSK LSGNSGWVFQVCFSPDGTLIASGSRDKSIHLWDSETGQQTYKLDSLDDAVQSVCFSSDGT ILASGCANNNILLWDVKTGQQKFKLVGHYRNVTSVCFSPLGTLLASGSMDNSIRLWDISS EQQIYPLNNINCDTKNKFHASLENLPFSVEVNQIITILLISQQPLFQAQGAKIFKGELQT HSGIDLQKLFSQRGGLILESNLECSQNTDQN >CAK86294 pep:novel supercontig:GCA_000165425.1:CT868566:449:2162:-1 gene:GSPATT00039750001 transcript:CAK86294 MKPNFRKSGILHLGKLRDGSKVGNWEIWYKNNQQIGGGLYDQSGNELKYGKWTDIDDNFE RNQINYTGEYEKGKKVGLWKSFIKENNFIQLLAFVTYNSDGQEIFRSEGNSGILHLGKLK DGNKIGKWEIWYKNNQQIGGGLYDESGKEFKVGYWVEIIDCYDFIKQIIFKGEYLYGQKY GKWSTWYKKYEETEFKQIGGGFYDDGIKIGKWIELHDNYGNFFGQSQIQHYGEYKCGNKI GLWQTSFMDELIAGGFYDENGNGEKNGKWIELSDHYGQSQIKYVGEYANGNKIGFWNTYY KEFNTTQFQIIGVGEYHQGIRIGKWIELIDNQGQSLITWQGEYLNGKKIGQWEIKYKENY DNQFFYGGGSYDQLGNESKIGQWIELSDGFFEYSQITFNGQYKNGKKYNNWEIHERPKNY QNFSLMQKLFLLYFRGGGVYCENEIKIGRWVEQNANFRQQEIIHVGKYKNGSKIGLWHVF YKGKDMQIFKYYSYIQWWWFL >CAK86305 pep:novel supercontig:GCA_000165425.1:CT868574:2770:10515:-1 gene:GSPATT00019986001 transcript:CAK86305 MSNSKERLIKCNIHPQFQLHFRKGEVIRLDGYSTYSNRINRTSSILSIVVFAPFRIARNN IIYITLSIISLIILASIWSMQLSQYCRDSPQYEFYFIFSFQILAHISVELYNKFKINGLD NKLNNQENKILKQWDECISNKEILKRIKQKASQKPKNDEIKEKDRVIQIMNDQLQRQQSW KSRSSVQSQFSRQSINQGRPAGSPRQDRMDRMGSRVSMMSPLIHEDMIIKNELKIKFVQD PAIRKFMQQVPCIFEKNTSRSKKIIDLKAANTADKIDLIKSITAAEIKIGDVIIVERNQI ASCDILVLYCNDENFAISNQLCEYSNTTIRKPLVQNKFDSHNLVLFKKSFTGNIQLNENA NNISGYFQLKKDPQSKVIEDQNFIFAQEKLLNTPWVIGIVIQVGLNCRCYKQFKIKPQVP NYSSRLIMSMILLYFAFLISMYIITYQNRFVNKYQDMISYLVQDLVYLLFLLPHSFKLYY DLIQIFQQRIINKISQLEIKQIKAFHPEYYQQYKRLTMNLDSLLEGTFELRAIICNQRIC HCREHDLMMQAQVYATSISSQNIFKSADSKDESKIVDIQASYTNQNQNTKLQNNSQNSGR YLEDEIFSYEAQEEMVLNIPQSVGFRLISNFTINDNTQQECNGLIQHQSINPDKSEYQAK SSTNKVHLSMQSKSVRQMTLKDSRYDKKSVLLNDIIKIIISEGEIYNVILLQLAMNHISY SKLTYGQTGEEKVKNTQVNLLDEKQIYIAKAFGFEFICVNNVQNISAYVVQINQKLYSFK LIDFQIHHHTQRLYMLFELDPDFEKPLNAEYILLVREANYKKSDSQDENKLSQKSSINFF SQVDLEQLHQIHYYSCLLNHEDAAYYVNQQQLQYQNTYESEEFIYSYLEPFLQFNITLGF KYNLKPKAQEFIRNFEKSELSLFFYTEQHFSYAYSTIQQTDIQTHFKLIFDQENDDDLKA YLKKSLSQFTQLFSEQNNVSNSQVQSFNKLVNPISSQLSNKKVQEDLNTTTPITIMLNSQ ACKIIENSNYMANHMKLLFSLSKVILLYGANNKSLNFIQNLFDEPNLTLNLIFENQTLLK CHLGQHLQIALLQEFSYFQKVKYENINKQTFFKNMIQSLKVRLIYERCLFNELLIYHNSD AILQGFNELNDLLFYQVPLLNILTKYQFLIAFQRTMYFSSFAITVYITTVWFFNNQKNLI LEFLFYSYVYSLIIYIVTSIQFIKQQGLNTKRDQYQLKIIKSIINQSKNKSYYIQIGIKS IIQGIMTQLIFIYQSQIQSVDVVIVYCFLSITLNDLISLLLQIGYQLKVAAFIIYSLSYL FYLLIHFNIVAQSIEFKFEITNLFEILLVIFVFQVSESFFQHLFVLQLPEMKELFQKIAN SITHQKESINKKQTQILNLQREINKIFENIEQVDFNLQKLLLSQKQLFQNLGQWQSQIFQ KAQSIMKWKNKSRIQGLQLIFFHCQMLIIIYSQSATSYYFLITYIVYQIFLIVIFVFQLL YHLNSRQMQYLELVKYMMSGIATFMAVFGLSITEMSSYYHTFSEIIVTFQISSKLHPIYD NRLYLIPIIIVLIAYFVIFLLNVDISPYFVIFKISIILFFSIQQYIIKSYVININIQFIL LEEDQATNQINFIEENNKINDILGILLPKFVRHRLNETDQYNIHQNQGNVAIVFCDICNF DQIIMEEQENIISFLDDLFRTFDKYCEICGVQKIETVGKTYMAAAGLKACEQELAYLSEI QPVQRALNLAEMMITYIRSKLWGHQSQPLIGKIGIHYGRAISGVIGFHKPQFSLIGDTVN TTSRVCSTGQEDRIILSEKAFEQLKNEKIEFEVRYVEMKGLGQRPTYVYIQKGNTKNYIA KNSMNNSNSRQNTINVANRVRTQSFQKGGLKKRSIAIQDNLNQRSKQLLQFQLSWNFQQQ NAQQNSQSLSEENSIKNGLQNSLSLSNNNLNPLQKDHDHDDRLSRNIKKPELIQQLACVF HRKFHLEEYQPEVDHYIDYDQLLNVILLKNENGLEETLILQNSFLSLLKRSYNSNQNNYL EYHEYIYKQSEQVTNRIIQIYSFYYLIKQFCLIGEYNDISLPLIILQWIGCTLNIISLMI YKKRMIGYWVQLIYIGLSFQLIIAGVFVIVDMSLFLRYSHIIEMIFIQSFFSNIQLLHFW IKILFCICSFIFEAFVLIFSNEHRISLFFAFIVMIYNINYCYFLEEQQVACFNQKNIFQS QQAKESQLLQYLLPKHILQTFLDDNNRTRCLSDKIENVTILFADIAGFTEYSSKVTPEEV LLMLKNLFVEFDRKCYELNVYKLYTIGDCYVAFGMIDYNERNPTEEAKNIVDLAFEMIRI IEVVRKQINFDGLDMRIGIHTGCVLGGVMGTDIVRYDIYGPDVLIANKMESNGKKGQVHV SEITKQLLEQDYEDVYSFTLNTKVTLNAINKSIDGYIIENLAEDDFPSDQINSQQIQVQS LHSEH >CAK86306 pep:novel supercontig:GCA_000165425.1:CT868574:10578:12343:-1 gene:GSPATT00019987001 transcript:CAK86306 MRKKQQLIKMEKENDSSLPTTAIASQKKFPNKELENDLFEYKAKMINHIENRILQSQKKL EDSIREEMEQFEQQISKTIHELRDDQFDHKVSSTNHEEKQMEKVFRYKPSLLSEMMKQSD YKTLYHMIMASMFILMGNLWIQDYLERGIIFDMDTFFWCFQTPLLVAEIWFALVLSSYLT VYWVIYCNQNKIKPFTAILVFSLHQIISIMAACYMTTAWVNGFGSRMIVMCEAVRMMMKN YSYARNKMLYGTENKYKYFILQSFEKKGITKQNALLPDINIQSLSQELKRYTYFSLAPTL LYRDYYVKAPNYSRKKVIIEFANFFLCIYYGFILFRSFCKEPILQLRYQNLTLMNFIVTL YKLMMPSTFSLVLVFFGLLHSWFNGWAELLRFPDRTFYHDWWTASEFGQYYRKWNVIVHE FLYYYVYLDSEKLSQGKRSRLFRQLITFGCSAVIHEIILTFALGFFYPICFLLFTGPGIL FIQAKEIFKQKGWFNILFWVLMYIGTSVMITLYLTEYYARILINDSLVEQKWGIIQYLIP RTFYLITGI >CAK86307 pep:novel supercontig:GCA_000165425.1:CT868574:12719:15252:-1 gene:GSPATT00019988001 transcript:CAK86307 MESNIFESKSLKHRGKDEKMTIRMNQNQIIVVLEPSDLQSAMKFQIEQIKDKAANKNYNW EIFDIKQEIGAGTFGQVFASLDQKTGKKYALKFIGYNDESDQDPEFKAAVREIVIMQTLS QTNCYGLLKIYDIYPCIKDDNKYLVIVMELCDCNLMELLKVHFNLQFKVRIEENKTKWTD EELLYILHQLVEGFVIMKKHNVTHRDIKPQNILYCQADKSYKIADLGGAKFLKPKQTQLN TVRGSPAYWAPEIYFFCDLQKDKEGRFFVGKQHLNYDPYTSDVYSVGITFLLMRKLIPRL DRESLKIEVELLRKLKSPSIFEQIIIKMLEYDDQKRLSFEDLLQLISSYKDLFKKPNEDV FVNCLKTQQDKKNLSVQDLMMREVKLGEAYEKLNLPEHAKSHYELALKYAIKLSKDSAKA DIMNSLGTVNCDLEQYDEAEKNFCESVALYKKLNGDKHSSVADGYNNLGIVKRKKGEFEK AIKHYEQAYQIKEQIFGENNLGGAVILQNIAMAHKKLKNYDQAIKYFKSALQIKKLLCGD RSPILCSTYDNLARVYYEKQQFDMAISFYSITAEIYRKHQQSNQQRLSDTLFEIALCFQK IGRYAETIKAAQESYNLRKLIYGQYAKQTVKSQELLSQSFLEEGRYEEALQHYTQLKQFY LDNLVKCTVDERFDSMADILKNLGASYMGVQKYDKALEHYKEGIEFLMKRNDNESTRMAK IYYNMGLVYRKMKDFKSAEQYYQTAASLIAASKSASDLITLGDIYNNFGVLFGTQDKFVQ AKKYFQMSLENYQKVLGPNHSICKEVQINIKELQKWISK >CAK86308 pep:novel supercontig:GCA_000165425.1:CT868574:16378:16533:1 gene:GSPATT00019989001 transcript:CAK86308 MTRFHEEYSLDNYDETKCLQHANVYEELTKEMKQDQIYKDFCIILFKSLAN >CAK86309 pep:novel supercontig:GCA_000165425.1:CT868574:16754:16891:1 gene:GSPATT00019990001 transcript:CAK86309 MSLEPGEGYIIDDQSLWNYASSHKPIDQSQPSIRNILGFVLKIDH >CAK86310 pep:novel supercontig:GCA_000165425.1:CT868574:16957:17754:-1 gene:GSPATT00019991001 transcript:CAK86310 MLYDKMLLSTQNIFSQFDNCQQKQLLLALIFSVLLGILILFLCYNSKKKLIYRKKLIMIG QPGGGKTILFNIATEKKIKRQKCISDVDQIYEFPINDHYDLVDTPSIEFEDSLDKREAII SQFNRYFKLHSQDIEKFYLIVNFERTDLMKANCLKTYKYFKKFDNIIDIVITNFQLSEDE IKDKEHLLQALYIYTKDTLRIHFVRNDIQSEELRQMFIQSANQVDLQDVDFEKAEDKESK KSQQNQTKQILNGKQYQCIEECNDS >CAK86311 pep:novel supercontig:GCA_000165425.1:CT868574:18199:19308:-1 gene:GSPATT00019992001 transcript:CAK86311 MGAKCCAQQPILSNQVISNSQTAAFIEQLQYVTTDNQKIIAQEVSAEITDEKKQELDQRK GDEDPNIFKSLQQQSQKQDVQQPTEVPQQLQHPDLRLYEQTIEITNNNIKRTLERIGKYI PIQQMQIGGQIQPPYQIKGEVIYVGTWEGIKREGYGKQFWQDGSFYEGQWQNDMICGHGR IIYADGDAYEGFWMNGNAKGFGIYYHFDGARYEGQWYDNLQDGEGKEYWPDNSYFEGQYK QGKKNGNGTFTWNDGAKYQGQFLDNQIHGQGEYTWADKRRYQGEWKENKMDGKGTFVWPD GKKYLGDYKEDKKCGYGEFYWQDGKIYKGYWKDGKQHGKGEVIDPSGNKQTGEWLDGKKI >CAK86312 pep:novel supercontig:GCA_000165425.1:CT868574:19338:19883:1 gene:GSPATT00019993001 transcript:CAK86312 MSQRKLFRYYIDQSIQQQNEQKLFLTRVNRWRFNTLDKSNFLKSTRPIKPILFGLACLAV YGTLHLYKQIGHTAFIVLMNIIDLLLTINIQLQQHVQSCQSNITEFNQSKLRSQSRKSHQ IEKIVHINNYQILLCRFAIFTAQSPDLISPGCYFHFRAFSNVPSD >CAK86313 pep:novel supercontig:GCA_000165425.1:CT868574:20097:21332:1 gene:GSPATT00019994001 transcript:CAK86313 MNFYSLYQDRALLESLKMLNKLSLLTRIYVAICSIFITLKIDNIIIWNWTQTLWGVWVGL ICFIGISAGCLIVTFSKIIQYLFDRQNLQKSELISYIWLTQLLIFGSVSITLSSFGYLEY LENQSNYSHNFVVQQYFIIAEFFIIFIYSIYFRIDICEQIYITLQDDEPVNQQQFSVNMQ GSNQTLQQINPTSSRTQIPSVVQKISKTYFGIPTRVKKGGNQDSISGSPVLKKPSKGHKR AFSSQGVASQMFQEMDQIVIDKQQSNILNKNSKNEAKPQIDKKRCNSQLVTIGQEESIQN KNHEMSLSQTSNICIVCYERGPNAVFMNCGHGGTCYQCAIDIWKQKMVCYLCRSKIEYIL KVDLEDRYGDLFKVVSTTQMIDQFNK >CAK86314 pep:novel supercontig:GCA_000165425.1:CT868574:21611:22462:-1 gene:GSPATT00019995001 transcript:CAK86314 MLQKRIQQCFGQIVKQQIQKAESLKQMLDPKLEKPTIVTEQDKDHKYVQDYTKSSSKMEF VEEDPLSNVITAKIVNDDGKEITYTTNTKTTIEMKHNPLALKAMTESQVAIDEVNVVSMK SNVIGQQFRQADLGSVFVMPENTIHISEVDVNNIPADKDIQLDREEKVLYAIGTKSNEAS YSDKLALNNTIIEDVTFQEYKDKYLYKIEQPFAYLSRAKALKRFVRWLPIYFVMFCFLET WWELHHLKKYIYSLEVQELDQTKEVLHAMQIEMLLNDPLFKRF >CAK86315 pep:novel supercontig:GCA_000165425.1:CT868574:22475:22876:1 gene:GSPATT00019996001 transcript:CAK86315 MDDSFELDILCKQNPNNSFLLKEIEIVNYLRSLGIQMKTDPTIKQELFHSKRKQQFIKSN TAQFEVSSSKQLEISSNSIKSRRTPSHTPQSSPLMVNQEVISQTRKSIFSIRLDAFGDDN FEGEEVGDYFTNM >CAK86316 pep:novel supercontig:GCA_000165425.1:CT868574:22941:23985:-1 gene:GSPATT00019997001 transcript:CAK86316 MKINCQIILLIQLIKYTQTPNFYPRSQISRRRKNKEYSKAKKKSTLVRTRRTKKKKQKLT MRNGQIKGIAQGGKGRITKTQGDLDKKTLAKNNGEILQKFLTSSLKYSNYREQQHTMNLL KMAFYKVPTQKVKIIHATYELLTSQDNILRQKKDKLRSYLQFNLQSMELFQFLDRQQRNN EKILSLFIGHLDKQKIKITEVKEILILRALGMAFIKNSHFSCEVTGTFVRILIQLANNSE IIKFIYALCYCIKLVKQKYWKTQKVLKEDDNGLGMNSYNMKCDDPSCPNALNSQNFEEVK EMKNVFCPIIKTRLQKFAKIDNHCMKTTKK >CAK86317 pep:novel supercontig:GCA_000165425.1:CT868574:24718:25520:1 gene:GSPATT00019998001 transcript:CAK86317 MSKYSVILPTYNERENLPIITYLIFEMAKKNNLDFEIIIIEDNSPDGTLQVAQELKKVYG DKLIIHFREKKLGLGSAYMDGIKLCHGNFIVIMDADLSHHPKYLVDFIKKQKTTNCDIVT GSRYIDKGGVMNWGFDRKLTSRGANFLASTTLGVKCSDLTGSFRLYKREVLEKVIKDVVS RGYAFQMEIIIRARQYGYTVEEVPIVFVERIFGESKLGASEFQIYLKGLWKLLWTF >CAK86318 pep:novel supercontig:GCA_000165425.1:CT868574:25520:26132:-1 gene:GSPATT00019999001 transcript:CAK86318 MGNLFEKLKNFFSTSKLEVCMVGLENVGKTTMLNQLSMGDPSFTVPTVGLNVKTVKKGGV TMKIWDIGGQVQYRPEWGNYAQGCDAIIFLVDTSNQATLGTSKKELHNLLDNKSLRNIPL LVIGNKIDVNPHLNEKQLIEGLNLDYITSNGWAVAMCSALTGNNITQVVDWLIAKSKKL >CAK86319 pep:novel supercontig:GCA_000165425.1:CT868574:26459:27115:1 gene:GSPATT00020000001 transcript:CAK86319 MKNNILTTSDSNPISRRKHSHPLTTTRLNSSQAQIVPTIYKTPEKSRIFRQKTPSTKTKV KTQNHENKENDNSTINKNSSSLKSNRQAEEKQPSNLMKQINLKLNQKSVSVPDILEDMKE DNQTNSLGLTYFQRAFAQNRVIKIQDLFSQNQITIRELERNWEAQITQESELLKSIQHHE NFQYSKNDSKENLLSSLIQIENSTGLQPQIYVIDLALL >CAK86320 pep:novel supercontig:GCA_000165425.1:CT868574:27470:28269:-1 gene:GSPATT00020001001 transcript:CAK86320 MMEMSDSDDEQQPEQKNEETQTQESNQTIQQQNDQSNQNQKHKKKKEKNSNEKQLNTLKK IKMMISNFLVRFKQNQLNNNTNNNQYNFKNHKKMDLWQLISRDQTTIKNCGQQKKGHKNV KQSYLTYNPDVNIQLADKFNVKFGNLNDQGLQIFFLVASQQYERLQQEYTIVEGFYDPQA IFNFLQMNPSHCEAQFDVSEYYRLKGDYIQAYEPPERLLYIYESSLGYGLNKFFEDASIN LEIEDKFTAKYFLWN >CAK86321 pep:novel supercontig:GCA_000165425.1:CT868574:29022:30217:-1 gene:GSPATT00020002001 transcript:CAK86321 MGNCKSQCDQMSLSSSNNVDCTRLIIIVKTWQKDVYQLFDYENAANIDEQKFAISNEGYL VGRNNLEWVETKQLVVNQLCKIKKRNENYFLIIPNLKKNYKNQAIQKYEETNTIINKTGF EKIGKRVWNVIHEGGMLLQEGDVIKLGRVKFSIRLIALEVKAQEQRCDYESSESISPDQI TCRICCSSQNSSNNPLLNPCMCSGSIKYVHLECLKIWLRMKLESRQSDNCLVYLWKNLEC ELCKYNYPSKFKSDDTYYDLVELCKPNDYPYLMMEFKKKQLDCHKNSGVYILKFQNVSEL RVGRSNDADIIINDISVSRNHAKLVIIDKKVYLFDNHSKFGTLNLIRSERMQIQRGMEVQ IGRSLISFS >CAK86322 pep:novel supercontig:GCA_000165425.1:CT868574:30543:32420:1 gene:GSPATT00020003001 transcript:CAK86322 MNKKIVFSQRKMQIHQKYDQFLIKEHEWKKDKALYEQKIQLLELQLEDYKTREINQKKLN DTITQAIDISGKTQQKSYSEFQKSMEVQFSNNKKYQECVTKLEEKLRYLNEQLNDKENQL KDLEILQQKQQFMNEHKIQTLEQDKQYLNQEVNTLKDQLQKLEENYKSKEQLLKLQCEQE IQKIKDNSFKDMQEKQSDYDQRYQQLAQLYEKEKDQLQQRLLKSQNTIKKYQDHIESNQE NQQMQQKYEDEIAQLKQQIEEQQISFQQERSALKKQLEDQINTQNNCDANSKKKSVEVVK LRNSMISQDSPIQCKSIHIPQPESKQTIQQSKSQTNTFNKSNEKQKQQIFKNNLSFDKIK PNNQDTIPISIDEYNIKMTKKSKSQSTNSLQQNHNINQYLQEACIFQEEMENGEEFIKHK MSHQLTTRYSNELEQMRSYSQQGVTKNPLQSAANLSKMLGQHDYCNLKQGTQLSTQSKTH NNTSFSHFKVPAFTQSQLNNLKHSLYQQPWTTLRNNDSHSNHIESIKARYNAQEDSIQND SVTQKNNKENQYPPKSVHINNEIKFLIGKLLQAKGRLQSELENTQKSCRFRT >CAK86323 pep:novel supercontig:GCA_000165425.1:CT868574:32465:32908:-1 gene:GSPATT00020004001 transcript:CAK86323 MNSSESEDQEINIEEEELSKPIILAQANKGMEIQENSDPFNGTFVFFDEDHTLGNSLRYI LANQPNVEFCGYSLPHPSENKMNMRLQTVNETKEKVMNDGLKCLSQICDIIEDKFKQALE KK >CAK86324 pep:novel supercontig:GCA_000165425.1:CT868574:32949:33620:1 gene:GSPATT00020005001 transcript:CAK86324 MSEGIFVSIMLPAFVVKNENQINQLLKQLLCISSQSYEIFEIPSKFGKEFGVKLIQNSLN LRDIEELIEENKQLHASYQKCKESLQTLNCEFEKIVNDPLIQSESDKIQYQTKKLKKVKE ENKRLRQLLKSYLDNSDNRRMETHAKVELLKEELHSLVKEFAEQEQQKKQNDILISN >CAK86325 pep:novel supercontig:GCA_000165425.1:CT868574:33697:35456:1 gene:GSPATT00020006001 transcript:CAK86325 MENDKQSQFEDHILQRFTLLEFKGKGAYGVVWKAHDKQTKSIVALKKVFDAFHNKTDSQR TFREVIFLEQVSKNVNIIKLLQVIKAQNNRDIYMVFEYMETDLHKVIRANILETIHKKYV VYQLLKAIKFLHSGDIIHRDLKPANLLINADCMVKLADFGLARTVNNSSEDDEFLQVPIL TEYVATRWYRAPEILLGSQKYSKAVDMWSIGCILGEMILGKAIFPGTSTMNQVEMILEIL GTPTEEDIKSIAAPLAKHILDNFQYVKPKNFKTVFSQESDDTLSFLKNLLVFNPQKRLTV EQALAHPYIAEFAGSEDENVIDFPIQTFMDDNVQYSIEEYMTTLYQHINQKNTQQNLFSS HQSPTNAMKPSSAIQQSTTPMSAVEKRNKKGDSIEGSNYNSENKQQIIKQEVKKKVSEDN QNQKMSAFEKFKSIENMIKMQKAKLGTSNGQITVQNSSKLQYGNKSVNITRAESLKKKEA SCVFYFVEFQELLVPSPAAKSKIQKIVSQNTFKDPQKIQTIKSQSKLPKHESQHDKFLNK KTYLQYILSGKAKLQK >CAK86326 pep:novel supercontig:GCA_000165425.1:CT868574:35712:36452:-1 gene:GSPATT00020007001 transcript:CAK86326 MKLNAQQLSEIKSELKKFSSAQISKSYKLIAQIYKSNIDTQALIESEITKSIVRIGQKKY TEEDEEKYSKAIKICRKLVKSWKQLILSNQQAFTDDINKRESKKDVQKTEQRQKDIKREE PIIQKKVRLEQEKKEIKPIQHKNGTSHNAKQQNNDSQNGNAESVDDDKRKKAVEGLKKYF LQQTGKEEAEWSKKIEQTIYDLNKKSVQNYMDKVKSAVKQIDRNAEFRNRLLNGEYDLVS EINNLK >CAK86327 pep:novel supercontig:GCA_000165425.1:CT868574:37040:37803:1 gene:GSPATT00020008001 transcript:CAK86327 MFKLIQRACFRYAVASSKAEAEIKSVAGHKPPSFEDTVQGKYAGVLFSTASQREALHLVL QDMKYFKELAEKSPVFAGFLLNSAYKRNQQRNVIQALTKEGFHEVTVNLLNTMIDSQRIS YLSKTAEKYIEYYRIFNKEENITIISAETLSEEQRAQVVGALKESSPNVQFSVQYKVDPS ILGGLQMYSGNKFLDCSLLSRVNKLRSELQKLSI >CAK86328 pep:novel supercontig:GCA_000165425.1:CT868574:37803:39336:1 gene:GSPATT00020009001 transcript:CAK86328 MDSLKDPANDRQVKTLKPPPHRPLAKNLMFPDKLKNKPDWKLLKDHLQKEGRVAKEELFK LVADCNKLLKNEGNVLYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPETTKYLFLGDFVD RGSYSIEVVILLYAIKINYPNTVYFLRGNHECRQLTAFFNFKDECLYKYDQETYEMLMDS FDLFPLSCIINSKFIAVHGGISPDLKSIEDLKKLDRYHEPPRSGLFCDLLWSDPVDQDQG NLDGQWKGNEVRGCSWFFGNEASNKFLQRNNLISIIRAHEAQLDGYKMHRWNGGQDFPVV ITIFSAPNYCDVYNNRGAVIKFENNTLNIQQFQYTPHPYLLPNFMDIFTWSIPFVAEKIT EMLYNLIQAGDQGDDDEDINQEDIEQFKILTQQNKQFSKQQSTGSTGSTGKSTEKFKKSK LKFVATMMKMQKTLREQK >CAK86329 pep:novel supercontig:GCA_000165425.1:CT868574:39344:39636:1 gene:GSPATT00020010001 transcript:CAK86329 MKLKGACPDKRLPKGILSAGKTAITDALADFNIAKTADIVNEKMPSQAQIPQQSVSIKKP SNTVQSKKK >CAK86330 pep:novel supercontig:GCA_000165425.1:CT868574:39660:41591:1 gene:GSPATT00020011001 transcript:CAK86330 MQDKQIIQLFEKYSKLNDPKLVFQTSEQILERVKEDDITFVLSKIMNCLQSTEYDTTINY ALLLKQLIQKYSSKQQKLQPKAIFDQLQQTLSKKNSYGKSEFKHFMLTKYFVYSYLDFQN LLNIILEDRKTEDSEYLTYVLLKHLKPENVKQVSNSIKNDLQNLTPRVFCILVKLQQLSQ KGQFQWDKYLQEQIFDRQKFHKLLQQANDLFPKKQLFYQYLAIYMGKFSQSSENSKIFAE FWEYLFQQEDLRKLNYIILSVFKYFLKSTSVPLSLMRNQQLVELWYRQFGNSNSVMKRLA NKIEQYLTQREITFEDIVYLRNIYGYRIHPKNGIAQKILAKFTEEEIDQYFENMKQQEQS STDPINKLYYLNEIYVLATVGNTNIKVLTSMIKYIYEIGCQNFEQIYEQLDEESKEAYQF EEIKKKFLDQCQSFFYSLVGKVAANVQEFDELTKSLLKQLKKSELIKQYKLITGKQPKEC IKHLVNSILMFSLLNQEEGLQILEEFQHIAGDIQDEEPQIKKKVKTNPEKAKVVLTEVFI QLLTKSPQFLRDAVNQCCKSLEFTTECLEILLDRCNNKSQMSEEGGDESLEEEEEENQ >CAK86331 pep:novel supercontig:GCA_000165425.1:CT868574:41826:43832:-1 gene:GSPATT00020012001 transcript:CAK86331 MDDSFEINHESEELSEESVRDEDDFIERVNQLKKIVPNKQDDFTIMEEGLPDDLLELHEK IQRKQQKKIEQKPKKQSYVPVNQIRTTLIIHLFKLYRDHKTMNNQTEFAFKLSNFLYPTI EMIETKLNQAKNLQEQVSALYQGFALTFQLKNQFSKGIQIYNLSPSEYIITFAQLCKYYG LNVRLVRAFDVGFLGLELKFKIRTIKKTDQESEETEQNTKTIFDLNSQFMTQSDNVESLN KFIFQKSNKKDKTLIVSQELQKQQQQQNENKSQNDLQYELKKSKKKDNKKQQNERQINQD IQTQNQNIWLEFYDSNSNSWIPFDPISDRFVLMDINILKAKLQNSFSHFIIAAQDLTFKD PNFQINRLFGNTHFVDVTLKYSYYFFGQRFQLSLERWFNQLAYNAKLIYKGLQQLVNEPV SANIIVPSPGSYTNEKEFKYSQFYAIASQLSQYQMIHPDAKPIGVKFKDEDIYLQSDVII LHSRDKWREYLREVKLDAQPIKEVSQKFDKTKTTALFALWQTNDIKVSLEDNDGNLPNNA YGNYETFSFPPPKGTRLVRLQGIKQLLSKNNIKFIEAVDGFDSQNGRMFAQKCGYLIFNE DYDKIIALYEQFKIEINEKNKINKKKELLKQWSDLFKTILLKRDLQAKYQQIN >CAK86332 pep:novel supercontig:GCA_000165425.1:CT868574:44005:44987:1 gene:GSPATT00020013001 transcript:CAK86332 MGNKPSVNQSKFQRQMTTNDKQFKKDQSQSNVIAPYREGTTLAVESKLKAYLKNANEGED LDDDNQGGRNKLQQFKEDQHNVENIQKEIIFLEETVFSKEKLQRVREDFESYSKENKMSR KKLLEYFGMAELDNKRLGNRIFQCVKSTFSQKKTGPFLDYAKFLKAISMLSQQNDEGRLR FLYSMFDMNLEGSIEKDEMYNLMMMFLEGMMSINYENQDLNDLKQRISESHDRQIELALE EIVNEIYQNHASKQNVLSYDDWRNWLMEQEGIQEILKFNPHTDFQD >CAK86333 pep:novel supercontig:GCA_000165425.1:CT868574:45095:45724:1 gene:GSPATT00020014001 transcript:CAK86333 MQEAFVGHTQKSQNFYTQIIKSQAKNAWEVKRRISKKCAFTLNPLKVPIRSIQCKTLKCV YEQDVIQVILKKYDKDNDIFKCIQCEEEIKKQDLFFDSELSKALKLQGPCYLKNNTQSQK DQKLNYIIVNKNNDQAKSTIEMLDESQYPLIENSENQQLLQILALSVYKQRMETLHFLMN QEKEMSLQRYAKQEFSQEKQYMDSWFRNQ >CAK86334 pep:novel supercontig:GCA_000165425.1:CT868574:45918:46475:1 gene:GSPATT00020015001 transcript:CAK86334 MQVFNQDQNEQCLICFETLSQPFQFTDCQHAFCQVCAKDYFEQRIDEKLIDEFTCPLCQK STDVKQVLEIIDQLHQERYNEQKNEKFQFQQQRRDMIKFYVNNKKILNLCRCPWCEQIFH RAESGCNYIRCHSLECQGKNTFCAQCDVALTDLDHEKHYENNNPFKGKCRILRNGVWVDR STVYN >CAK86335 pep:novel supercontig:GCA_000165425.1:CT868574:46827:48494:-1 gene:GSPATT00020016001 transcript:CAK86335 MIKDLNPSSEYKHSKSFFQIAYSTMQWTKTPIPIATTNQIISDEVRLINQQGVVRSKTLK SVENYLFYETKQGKTKWIDIENAIIEVFYHSKFGSGLRLIRCFDVVEIFCDAKSWLGYLK RFTIQCEFNATYLTKKKIGKGQFSDVYKCINKYDGNEYAVKIYNKQSFIYETDRAALQKR TSVHRRLQSEFALKFYEIFENSEQVIIVLELINGGSLMDYIARNNCFHEDQAAKIIFRLV KTISYLHSKNIIHRDLKPENVGFRILDNVETLFLIKFQLADFYDPEINYDQVYYGAHGFV APEILLHQNQDFKVDVFSIGVILYILMTGKSSFDDTRLQQNGEGDIDFSSINLSPLGLDF LTGTFQPNPEERYTSHQCLNHQWFIQEQLAKLQQAQMKKPILFQNQQIARRIKQSKTLTF STSSPRSPLSIQSPRYPDLEQNQKSPLKLTQYNTEKSIGPSVHNKDITNNQEVQTQIHDN NQNQEYNNLKASIYSQARLSIKKTQSFVIKSNSSAKQLNIVKSKQKL >CAK86336 pep:novel supercontig:GCA_000165425.1:CT868574:48736:50447:1 gene:GSPATT00020017001 transcript:CAK86336 MKREESYTFCLMTLICDTCYKINQLKYRIRMDVFLIIISCIIAVLLLGINIYILALYCHP SDSGFGASLFCKILVVLGFTLAWGQILLVSADISASKGVFTTEGESMMIVWYVIYCTILG MVAFLIPCAQFYYESDEDKPLMKRLLEVMCYEFILLGVLLTLLLVGFTYLGTARIPVHTI TQTFDSQISATFPIVLNNYAFNQIESDTNVEISVSFPVFLMGFLAFIGWFLLVLFGGVGL SALPIDLIQEYISRPKVMKSSEAMEKKMRLKKQAVELIQFGQQIQEEEKELALVNGFMAE RKVKNQIKQKAKKFQAATEALANEHEIFKMELEYQNVNPVVWVFKLILGIIFIILSLLWI LQILLYMLIKINGYPAYSFLNKMFIYLEGTVMSFIGTILLALFTLYLLGCTYKGNLKFGL RIPFLFTIYPMKINETFMNSFLFNANLLLITSVAVTQFSIQAFSEYTQNSQIALMFLGQI QYLYFFTWFFDYNVFVIALLSWSGLVLIYLLVRKPPKPYELQQIEKKKTQDFELQTK >CAK86337 pep:novel supercontig:GCA_000165425.1:CT868574:50754:53461:1 gene:GSPATT00020018001 transcript:CAK86337 MKNQNKLEISSVYPNDSDSQEIYPLYDISRARIFDTEDFLQQTLYTIIRQCYGCRNLTRM WPKLSQQQITKSKYENYYQKIINNRISRFPQLNVQAIQQIEGKRYKIVLYSELMFRLHPQ KMVCCICEKEILLSIVEEHSQLCLKKHMLNQDIYAIQDKIQELSEEINNKEIELITKINL YTKQRMSEKNLSHQNSPVMNRSKQQITLSKFAQQSDQRQRGLQRAKTINISEDNSISEFP TFHQRKPGRNFTRLESSMSMSPDSQKQIKCAISLLKVTQQYCQKILKQDNSFVLDIDAKF QSLIKLGLPEINHLNDVSELMKRSLSLITQRLALNKQANKIDAAQQQLQCGSIEKLKKIF QKGLSNSYKQAGTSQNSRNKKGGHSGGKVRIMDLVKPSKFNQRQYKEDQEECQYQIQQTP IQEQKIDSLEYITFSPSEENANQNTQQLLNIHIYSQQEDDDSDDVQQNMFIRGYYSDSGI TLRIPQYNQKIMTVGLKDFEFQEVLGVGAYGAVWKVRKLKTNDVYAMKVIDTQQQSSQNF FETLKAESTIFSVLEGDFVAKAYYSFSHSDCLFYVLEYVKGGDFDKILRKYGALDEPIAK FYIGELLLAIEALHKKQIIHRDLKPQNILIDGKGHLKLTDFGLSEIGMKLYMKQSEPSQA LKLQQQLDNKIKDLVSSEPKSPRFDHCISIKASNHNKHRVVGTPDYIAPEVIRGESISNE SLDQWSLGVITYEFLVGIPPFNDDTPEKIFDNILQRNITWPEIGDGENQLSPKAQDFIER LLDPNYKTRMTLQEAKRHSFFNDINFDTLRKQPAPIIPDNVPDDQPLLLIKKQREKDKLT KLLRDTSSENSTISKLGVDNLLRLDLLVEMNQQKAHQLRKKYH >CAK86338 pep:novel supercontig:GCA_000165425.1:CT868574:53555:54345:1 gene:GSPATT00020019001 transcript:CAK86338 MRPLTSASPNKVPISNFASVDRDKTRGQTYISQYTKVEDVQSPKSQNNNLNVSQQNEIQT LKKLVIQLDSRINQQNETITQIKTDLEKMKELNSELQEKLKMAASPTFPNSNNLDRRAVD DQKKIKQEIMQLGNKFSEMKVDYETKQMSLEEKVRSIQISFENRMKIFFESKMEFEDIYN SINQLANELSLLKEKTSPADTINETYHVDQKGYLMDSQNNYLLDKGQMIKLNEKQISYLK QHHKLL >CAK86339 pep:novel supercontig:GCA_000165425.1:CT868574:55099:58223:1 gene:GSPATT00020020001 transcript:CAK86339 MLRFVARNLNNVYKFSELQKFGVSFLGSGNAEYLENLFDQWYLDNKSVPATWDSYFRQVL ESNNFDFTPEPLKGQAVSLKLDGQSGVRKLLSDHFRVLLLINKYRHRGHEKSQVDPLDLE HIQQIGKVKGYTKLDYSEFFTEEDLDRGFYIHAIGSTGITKDKQMMKLRDLINYLEKAYC GKISYEYMHIQSNEERDWFRHQIEKYDEFMPSKEQKLKTFERLGQEHAFSTFLQKKFNTS KRFGIEGCDSMISGLQSLIDEAAKAGAEHVVFGMAHRGRLNTLYNVFQKSAEEIMVEFQD LKSTFNEDIWGNSGDVKYHLGSVHNVQFGDKKLRLEMLPNPSHLETVDPCVYGKVRAIQD YFQDSRREKAFGVLIHGDAAVSGQGIVYEALQMADLEGYKSGGIIHVVSNNQIGFTTVPK DSRSGLYCTDIAHAIQAPVIHVNADEPELIATEFRYKFKRDIFIDLVGYRRYGHNEQDQP KFTQPIMYEKIDKAPPVFIKYSDKLVAQGIVTKEQVDKLMKTHEENLELAYQKSRQMDYN LKDWQPVPWEMIKVPVLWGRIKDTGVPLNVLKTLGEKINKIPNEFNAHPQIRKFYDERLS WIQKDQPIDFASAEALAFGTLLHEGFNVRLSGEDVQRATFSHRHAVLHDQKDPNGQDYVP LNAVIPKGQEHRLSIYNSHLSEYGVLGFDYGYSITNPNTLVLWEAQFGDFANGAQIIIDN YIASAESKWDVDSGLVMLLPNGMDGQGPEHSSGRVERFLQLSDDDPAVFEKNLGVRLTRQ MRNSNMQIVQCTTPANYFHALRRQLRRDFRKPLIAMTSKRLLRLQAAKSKLTELTTQFNQ VYDEAFPEFLVEPNQVKRVILCSGQVYYDLLKKREDLKQNNVAILRIEQLAPFPYEFLQK VIGKYNKAEFVWVQEEHMNYGPWAFVRPRIQSVLAKTTNVSNSPIQYIGRRPSGSPATGF HQLHEKEFQTLLQKAFEI >CAK86340 pep:novel supercontig:GCA_000165425.1:CT868574:58284:60182:1 gene:GSPATT00020021001 transcript:CAK86340 MLTQDVIFYSIASTILFLYVLYLIWEYSAKDVPLYIKILTFISWTLTFSIVLVLPIDIAN STKQHDETQQLETMGNIKVLWRIMYWGNFFLAWYKFILCRLVLPFFQDYEGSGDFDIKGK IKYSLKKNLTIYGVGTVIIIGLAIYLLIKDNFDSAHVEGVLIGVSNFFGLLLVVILLGQG LVAIPKLYYREHKEEEVLEQCYQQAVLLDEQRTEKTYELEDICRVTLQLLQNEYSDSEFS RYLMIILQNIPQEFQKSIRHTLQKYNASNIPDKYKPLNLDVLASIHKYVKCLMFDLQRIQ TKLNIANQQALKFERKDLADDETQFETKLSKMIYKISIIWNLRLRKYYCVFLAITYALLS LLILFCELITFVAKTNKNLNPYYLLLSSINRYYLTELVLLLPLLYMMFCTYYGLFAMKIS GLISFNKHHHTDAPSLMFGSINFARVSFPLCFNFIQITDILEDQATSFSETVGNLAESLF VQSYKTILPLMLLIMCFFNLFNIGDKLMRTIGLGQYAQQERISGMSLQGKKIIEQERELR KKSNVNESNSQIVFQELQIMPKSKVQDEEETSRKNSQNQGIRNFDQILSI >CAK86341 pep:novel supercontig:GCA_000165425.1:CT868574:60210:61251:1 gene:GSPATT00020022001 transcript:CAK86341 MDSQQQQDQKQPSSIGQAIKQQVLKAWQPVPTLNSTIILFGILSVYFLSMGIVLNVYSGK INQQSFRYDAFCQGYPICDFTIALDTNYTAPVYIYYQLDNFYQNQRRQYITSKSVEQLSG TKGLGVDDLSSCYPVITNAQMNKTVAIDGTPLTPTAPAIPCGLIAQSLFNDTFDISYELN NGTLIKVPVSSQGIAWPTDLEVYQNTDASQQWYNVTDERFIVWMRVAAMPNFRKLWGVIN QDLPQGRYSIVITNNYDSSQYGGKKYIVFSTTNQFGGKNEFLSVAYICVGVASSLVQLLD FV >CAK86342 pep:novel supercontig:GCA_000165425.1:CT868574:61913:62446:1 gene:GSPATT00020023001 transcript:CAK86342 MDEYQVFFKAKCIEYQKNKVDISREEMMIEISEMWEQYKRENDSKQINGNEQIYFQIFDF LLTKQQKEYERQQLDSINYPASQPCYQRKKKIQAPTIQISYCTSEPKFVIEARNLAMEIL REFKVNIIKSQIHHSSKNISVVLYQETKNIELWSYLRDGPLDYTKIFALISKYVQRL >CAK86343 pep:novel supercontig:GCA_000165425.1:CT868574:62735:63662:-1 gene:GSPATT00020024001 transcript:CAK86343 MQTLVGTKKGQIYHLEINRPKQLNAMSGQLFQDFKAAVDIINQTDDVRVVVLTGRGKHFC AGLDLKEAPQMFQFPDELDQARRSIRIYDLIKDWQFAMTSLSRIRVPVIVGIQGYCLGGG IDLITSADIRYCSEDANFSIKEIDIGMAADIGTLQRLGVQNANTSLFRELAYTGRIFNAQ EALQLGLVSKVVKSDQLNDEIFKLAETIASKSPVGCYTIKSIVTREQNLDSHLDVMARTN MAMLFTNDMATAITASMTKGKAEFPKL >CAK86344 pep:novel supercontig:GCA_000165425.1:CT868574:63765:65246:1 gene:GSPATT00020025001 transcript:CAK86344 MGFAFGDDKGNKFIGLSRFAGAGYGVDASRDDYTNLIFQSVGAIIVSSLFGLGTLERSRF FSVSVCLAVISGFLYPTALHWIQPTGWLSKFGFIDFAGSSYIHFFGGVTALVVSIFLKER RDQAGNVHPGIFPHHSPINIGYGSIILSVATLIFINGANQEGKTDKYEQGLIAVNTLIAA TFSALTSFVAQYLKTHKTSLIAIARGSVAGIVAISAIANDVRIWESALTGTLAGLVYIVL ILVIKRSHVDDPAYTLATHLGPGLLGTLLVGVLTLSNGFVTGHGFKQFGLQLVGVLALLG WGLLIALFVIPLKGTGVFKINPFQESKGIDTSYAEGEAIQFIDEQPEPTLLSSTPKKGIF Q >CAK86345 pep:novel supercontig:GCA_000165425.1:CT868574:65331:67558:-1 gene:GSPATT00020026001 transcript:CAK86345 MQQICDFHLRYIKFICVDNTCNNKLLCDDCSRTSNEHSNHKIVDAAAFLQQAETFLKGGN PDFALYGSDLGNKTKIFLSNNGNIRHEIRMNYLRKLEDSIEKYLHQMIDSLQSSKKMVVD FINQSIEDCFSAQVLNNRETGHMIVQTVMSALNAKISTKEANILLYRLHTGDLEREYYEK KIQALVELFHQNVKLLLEPMANQVHKYLKQFHQEMERIRNQIKEEHFQINKINMLPKAFK IKDVTSNPNLQLKVPPTSFTKYQTSQNTQQQVYTLATDYDQDDVEQLSRWKMNKLTKSRK LELLKSLDYTHHTMKTEQSQSIVKQQVPITAPYDSTGTEFKRIIQVNTQHTYLLKIINIQ KNVCLTAGKEGTLNIITIEIEQSDLSIVNQHQLKPHQELKDVELCQTPGMFLTTGRNIKI LNDKYIDLGFTVKLFLYQDMKQIEQLTEFRDLHQQPIEQLKFINEKYLRQTVEYSKYSNE LDFATICADFIKVWKFCFDSSKIYEGEMLEQYKVELKPSIIKSVDFSDGLTIASEKSTTY LFHDSQLFKQIKNVTGKDQVLRSKLISNHRLLIMTQQGVLTIIDLKKIQLPPHLTNSKDN KPYEPQKINVKKYLRQILFEKEDKELELIDVIQVRKKFLCLLHSSQFDHYLILNEQFELE NKYRIAPSETRYLKFLEIQTHDLMIAIEGKGDYSIWDVSK >CAK86346 pep:novel supercontig:GCA_000165425.1:CT868574:67660:69735:-1 gene:GSPATT00020027001 transcript:CAK86346 MQLYEEHLKSFNVSLPFQRLLQEKIGSEEVVLTTKCQFSKLPINIPVRHSPISYVHCIFD LNSWLEHFKNMQNKVEPTGFACPGCRKYTNFKDYGVDYTFYHVLEQLKQFKIKNPFLQLN ESQLIYQQSKNIYIVETKVANKLTSSFNHKIKVVPQRHQLPGSTPILGVSRRMKEINNGP QNEEQRRILDEISQTIVKLQQLLFSMLYKLYKKNQNFTFKVLQDRVSAKKQQFKQALNQS LKLISLGKKSLNEDYVFALKKIYSNNQIYSILIVYLIQYGIWYEYPLTFKGQPYVQLEQA LYIKGEGNQTQNIIYVIGGQKAERFSQSNQCLQIAFPKNPFQNVDEGVQITQLPDFPLEG YNFMGTSYKGNLYVFYGQKQLRNNDNQIRAQLLNSQYVLKDVAYNRRWEQMKNNLVERFD GSFFTITHQQFDKLIIFFGGVTKDPDGLTNYRCQQQHSGQIFVCKEEKFLGNVKQDFQIQ FAGDEVYHKSVLGSPVFSCPYYGNNQLILSGECLKHKNTEQREIYTFDWANAVVKQNDLF SLEPPELILTPYRRRTVQYEIFSPVQDPQGGISYGFFYVIHQYEVEQSYNDKQPKILTQL LKINLTNGLFFTIPYQDSQTSRQLALQNLEKLADRPNQYL >CAK86347 pep:novel supercontig:GCA_000165425.1:CT868574:70294:73061:1 gene:GSPATT00020028001 transcript:CAK86347 MSKQPPLQSDPDSLVEAYEQLQCKMSHNDHDVVDQIGQICQSVMWGDKNNDTFFDYFCDQ KTMSLYLDLVHTGQREVVVAVIKAITMLLQNIQRPVSINYILSHSALNGIIIADYNFRDD EIIAYYINLLKCVSIRIDEQSLQLFYNLKFSTFPMLMKAICFYKHKENLIRTSVRNIVLG ITKINLPPLRRYVSSFPFTMFYVQFSCYMRDALFYIGGLIQEGRQESHKIIKLLLDDQLD ILYFLEDLLKTNIDALPIIVNSIMAYGFVPTIIMGVIKSGQQSIKFNQQPQRQSMNHQQS QKYQHNRGQSLGNFTFSNIFSSSQQTNNQQQQQVIDVKFCLFILIQIYKSFSFSQFLDLL TLFILGKDPEIVDFITQLSIVTLMLDSNSKLNSYEKDVSMSETWVIKEKTFIQAYSQFIY KDCEISEDINVNDKEDLLKGVSSYQTQSVKRELNCEHFKSLINDSKRYEDLLSITDMCDI TIVKSQNRSKKSALSFLERNEQNKVTGNTINTKTPFYALMKHLESNDDTVVFMVLLFIKT LRHSKNISHSVLEQVGMITPDKNIELYKNCSETSFFMQDKLLGILQTGLPPFRLGTYILS IQMLIDFCRTDLETYDLRYKDRFKEIVLSHIHKINEYIKYPLEAHYVIEFFYTEYKVLNK TSISIWPQTHIQLLYEMTQKGVCQIDIKHNESVYFMEPANQQDKVRKDMMILLILKYTAS VLIGFEEEEQKILDNPKPFGDGPTLKYSPGNAYNLQEEQYCQLAQHQCKYQNIDCCILED SQNLVLLDLTQGQSAAKCVFSYNLKYTDEMVERLNLKMLHVTYRFENQNNVFDLEFQNKQ CCQAAKKMTEQKKADLRKEELDTVTTFLQALTKSLNYNK >CAK86348 pep:novel supercontig:GCA_000165425.1:CT868574:73206:73907:-1 gene:GSPATT00020029001 transcript:CAK86348 MYICKREREELYRPQLTLYEKRELWHTILENRGNVSLVAQRMGKPQEIIEQDILEIFVEC LLIVNDHLGQSKNEAIIQKLKAENVVSVIQLASRNNSYYTSVKNLNLLLNMIQCALQQDD LEKNLGDLQKQSKFDCLFFEIKCLFWDQINDLSDDEQPQMVIVNNDAQTNGTFRDMYIEL DLPQDESSKQEKKNMISDKQFLTQLNKIMAEKQIPYWEQVVVRWNLMQNIFNN >CAK86349 pep:novel supercontig:GCA_000165425.1:CT868574:74001:74668:1 gene:GSPATT00020030001 transcript:CAK86349 MASSVLRSQIPLGGICDVESAKHVARRLFESYDRDRNGILDQGEVAPMMVDAYKGMSKGF NPSKSDVDTYLRILDRNGDGKITIQDIEALAIKYLVGITGESPKRPKRQYSKFVEERLDV ARRLFRKFDQDGSGFITENEVPQLLIETYRSMGIQYNPTQEDVKSWMRMTDLNDDGQVSL EEYEDLVIRSLQHAGIQLE >CAK86350 pep:novel supercontig:GCA_000165425.1:CT868574:74668:76313:-1 gene:GSPATT00020031001 transcript:CAK86350 MRQQLRVYFSLRLVEYFFLFISATFPQFKSLSSINIKVILQRRNFEREKSLQQPEGVGSV KNYNVWISLICLFDSGLDLFYLIFMQDFMDKRCDSETNQLENSFFGRNRLAGEAFRWSDR VYVFVYCLVLNSSICSRIVRSWFELDLIIFNMKVNKSHQSNVFQLAAQSSERIQKYINSN HNSSFLKSIKSGSIPLLNPDLHEFVDRTHERTPVRYKTQKMRAQEAQDEFLRGHLKAGPY TKLPLNMRNEILAKEKMPLRFGKNPRTENQRLQEELDHSNYIDHTQRDLSMAYRPNWKPT QREKWVSDSDFKQAGGKFTEKTAWQQIPFRNPDDNYIYMEQENSLVEFKRMRSEGLEKIG KLPFNPVIKKNPYTQYSTSIRTFKQDQAFGIENKTLFSQNSQLKNYKSALISTKSMDHIM PYNHSNNKQQITNLFPNVEKQYLKSSVQLGGELKEIKLKTPHEDVDEFPKSSKGQPSPIR EYPLSDDFNNTNFEKTNTNMRRGFQPFKVYDEEETKQIVQKYFQKGNF >CAK86351 pep:novel supercontig:GCA_000165425.1:CT868574:76347:78247:-1 gene:GSPATT00020032001 transcript:CAK86351 MNVNLNFLNSVSNYRIKTFLPDLIVKIYHINHPFQLRMQYKNYCQFYNESSIHVQIQCNN LITKIFSVLQQILLQYTLLALIVFKFIKMYILNTIGFKNIFQIFSKMNFFLLSILKKNLR IILNKRCYKILDQIQIQIKLIKTLRIYYFLQKLFSLFYFNYVIIVLHLRYNEAFKCGFKF QENQDYQQFIVNAILSDGILGNIFNESEDYQQEFNQYIMQILKNRNNLVELLQKVNNEFE TNNIITLKRIEVFTLILMMYFNLIDKQFKCGNFYIINMEELKVANVEVTQEKLRCITNYI KLFFSNREQIELEQIQYVKNSINEQQYLNRSSILKSNQPINFRFTRQKNEDQRQSTVVDF ANENIGGQALHYKSCTQEDILMLLYPEAIISMLFVQPMKANEAVLIQNLIKYNNYTGYES TFKQKNLEQFRWEEKYNLLAIDAEEFFDDDDQFSQQNINRELIKCYCGFEISLMREPNYA ISTGKWGCGIFKGNPYLKTIIQLICYGQACDAAKDRTNEIIFNVSSDVELYNFGQELLKR KEFVTLNSLEVTLKRITNAKFNSNEDLRKRILNELQKKQSSVRYPLRNALLGCVLISFAG VLWNWQMQLMARSNV >CAK86352 pep:novel supercontig:GCA_000165425.1:CT868574:78742:81529:1 gene:GSPATT00020033001 transcript:CAK86352 MNISNFIQECVNTVMDSHPIQYQPSMEISEGEILVKGKTFGRWESKKFIIDEEKKVFALR SSKGKTRLKPYFLSEYQVNSSDSNFQVENKERKKDKIAFDLTSKTGGKCLTLGTDDEQTA DEIIKVLRDLCSRIDARPNQDEIIQKNKLDNINNNQHCTILINISQKSFFDIGEIRERQY LYQSSIANIRKGLYNLENFKLVVENKSLIYRNENNHHQFKVFVEFDGNCQDAIIQNLTDY NKINQWNAYISAKDSRMVKELHEDKSFLICEVRELSNLFIFKREFQYLQHHFKIDNLHFI VQKQIDQKSYTKNYQGSLKYGVWGIQTSEKQTKVYYFSEQSMQGLSYSDEDAYLIQQFLA QIINLKQLNSITQNANLQNKSNEIQFQDKQQLQQEQKNAETNNKEDDDQFFDCEELDAMN DKLFMGENNSNQDEVARDVYEQNVRKQTILPQQVDALDNIQDWVDKKMKLVLSGNYNSLS IKILHQLQPKEYDPLQRQLLTQQEGGHYIFKKDFIREEKSGGLKVINEEKLAAQKAVIKF LLTRIGASLMLGKSITSISMPVTIFEARSNTERVCSSMGFAPIYLEDAALSQDIYYRIKQ CAAFQFGFIFMYLSCEKPFNPILGETFQGFYDNCPIYCEQISHHPPICAVQMYGRQYRID AQLELIANFNSNSVVGRNVGTVKITFQNPHQVVLITLAPGSLNGTTYGDKVMNYLEKQFI IDIKNKIFAEITFNPDKKYCQFFDVEYLNQLDYLCGAICDVTDAAIQKFHKEGYRKYKGL DLKSDIKQIRHKIKGIWVNEIKIDNQKLISIHNDFPVKMQLAQYPIPSDANFRMDLLWWK LRDFDKSQEWKEKLEIQQRQDRKLREQKGKKKK >CAK86353 pep:novel supercontig:GCA_000165425.1:CT868574:82418:84163:-1 gene:GSPATT00020034001 transcript:CAK86353 MDKLLIGVRAEDKSYWERRTPIPPHDCKYIMEKHPQIQMVIQPSTKRIFTDEQYLEVGCL VQEDLSQCKGIVCIKEIPLDKYIEGMTYLHWSHTIKAQPHNMPALDMMLKKNIRHLDYER IYDEKGVNTTAFPYAGIAGIITFLNEYGKYLLKRDIATPFLQIGPTYQYFNKKDAYQALN VAGQAIKERGLPKEAGLPIIIGVLGSSGLCGKGSMEALSNLHVTLVKPSELKELVNTPND PKHRKTVYVCPFKTTDLVRHQEDYDKEFTSADYYNHPNQYTPVFHTKYLPYLTIIVNDIY WDHKFPRYITNSQMRDLVQSGKSRLQAICDVTCDMEGSIQFLKKYTTPDNPVYFYEPISE SIHDEFDAKSPKDIMYMSIDFLPSQLPYDASIDFGIALRDIVPHLAYSDSSKPLEESGLP VFLQNATITLHGQLTHKFQYITHLRNINDQLKEAESFQPKKALKKVPSYLAIRLTGHLFD TGAINKILSVVQSGCKFNIVDIQAGQSDNQQTSCLLQLYSKDKGQLMDEVDKVIQLCETL DIQVETE >CAK86354 pep:novel supercontig:GCA_000165425.1:CT868574:84798:85400:1 gene:GSPATT00020035001 transcript:CAK86354 MYRYVSSELGFRTPALINSIKIFVRDFSDVPSISVSRLNTEEISQALEIHSLSWQQSKDS TKLIKEFTFTNFKQTFVFMGSVSQVADQMQHFPKWVQKGNKVTVEMTTQDCRGISVKDIL LAYTMESIANDVESQTVENVCDTIKVQTNQLLNNWNSNFTKTEELFQGFQKNIVQL >CAK86355 pep:novel supercontig:GCA_000165425.1:CT868574:85559:86193:1 gene:GSPATT00020036001 transcript:CAK86355 MHRYISSFTQNHLIIDQIKVFIKDFRDVPKLATSKFNLEHINSLKDIQQLSDEWQFNPAL TVIKREFEFPTFKESFAFMSSVSDISEKMEHYPKWYNKGNKVIVEITTPEVGLTIKDILL AYTMDNISDDIRNEDIQFISQQSKLTTQSTTLLNSWNKNYSKFEEEVASQLQRNVNQF >CAK86356 pep:novel supercontig:GCA_000165425.1:CT868574:86306:87896:1 gene:GSPATT00020037001 transcript:CAK86356 MTTEQLSASKKTWAELAEEEDDEIENGQFTTNSETLDKNEIPQQPALTKSISEFQPKTRN DKQKIHKQRDIDSGGLKNYRQNNSHFNKGNNKQNRQTKSNYDEVYDALFETTNQEILLKI KPLNKNENLEAVKEYFEKQYQNIKAFPRNDINQVDLLTSPKIGLYILDDHRFKTHIGDSA LIIHYPQFPNEPLSDYYAKKRYLEKEKKQIQPAPVFQRNAVSSKANDQQDCNEKSENSSK QDINNFDKDENDQHQVYKKKGSIEDYQYEQSNIQSKPKYENKDFNKQTNYKNRKHNNDFE DYEDDCREYKDERQSNYKSNKYRNEQHQPKEIYQQQKYFQKDNYKYTDKATSDNNKTPYQ EKEQHKDYRNNQNYQNYNNANSNNHYNTKRQFKENSKQKNDQYVIYEEKQKSNYDEVTDN VTTKHPDNFERQAEVPQNVNKPFQETSVNEDQNEDIQFDSENEQGQEQVEENKTPNKNKK KKKNKKNLKTSKPNDTNFFGILQSGTK >CAK86357 pep:novel supercontig:GCA_000165425.1:CT868574:88660:89668:-1 gene:GSPATT00020038001 transcript:CAK86357 MNIIKVGLKFSSIKYPSLDIHNYQLYKYHSDYGNLLKGLKIGTSLLPNQYEIVPSKLKGF LIEQLKQDQQMLKKPKEVLFVGRSNVGKSSLINAILGQKVAETSSKTGSTLRLQFHNIQT INGFVVDSPGYGYSQINVDAQKYMQGMMYTYTKLSSRLARIYVLIDIEHGIKDKDKVMLN MLQEQNVNIQIVLTKCDKIKERMLYDRQLYLAREIKQFKNIHPIIHTVSTKDNFGINDIQ YSLIDSFLVHQSRQLFNMEDRLIKLIQARNRPQKLDLNKLTKQFKKGLQLPTNQNGLVHS KKPKHQLS >CAK86358 pep:novel supercontig:GCA_000165425.1:CT868574:90108:92843:-1 gene:GSPATT00020039001 transcript:CAK86358 MDTDSLQQQLQLIYQTFSLDQSTFKLMQEMTNNYILNNKTNDYIVIPRLALWLASKQQQI VAIDGSIVYGSGISLNSIINDCQNKGITNIDQLIDVAINMVTELKVDQTCQKTLTLLKHN NQILNMFYQKMEALLLHFKMNDQNILIKNITWLLFLITKKSLNLQDVVQSTCILISILTT ILSQLLNIFTTTNKILSEIKKSAAGEDEQQLQIQQFLINQFKIQDLDLFSSINLQAKQQY AELIKQNIIKQLKSNSGFSIFMVSIINSNQYKLDQQYKHLLKSDEFDERLLIPDRQKNLT PKKLTPNLNTLKSNNIKQLAMQSKHDQNREQQITSQQQQQQNRYQKLLNYELDTKITLTT NLREIKLPQTVLMSPYAQQSIVATPMTEAMEMYNWMHEKQQPFKKLFKNGTLNVNQLLSQ NPQLFQNFQNQGKHFDLIDKTFNEYIQYCQDSNLIDENKSKTNQYWTLYVLMLEKSNFQI DEQMLKSLICFCIECVYFVLNKQSQLLDQLMQKCEIQHYQLWKWLDFFLNQFDTKVPHQL KEHILDIEVKFVSYSIWSCDSQSELIKFLNEIHISRFQQNNNQMNRLFKRVLHYCAFQIQ VICSNIKLQEQLQEYIWITIKYIISEKTDHLRYHSLDQIVLASIYAVTKLTGNQLKFQQI INAYELTNTWYSKQFIKKIVCNTYISNDSMGDIVSYYNQSFIPQIKSFMLQMSHEIKSNN VPQSPMNNKNPALNNKILDSPLRDILPRQQNFTTNLQSQHAGLMTPATQLLYAYQESPLL KQQVKQQALPVSKENVYNNSNINTKIDYSQETQDFILKKFEQLKNTKSKQINSKTSFSIL QQGTPKILNSSRTNNSAMLQHNQTQNSQQSNEQNSTFCKLLNFEQQ >CAK86359 pep:novel supercontig:GCA_000165425.1:CT868574:92876:95282:1 gene:GSPATT00020040001 transcript:CAK86359 MTELGNYLIGKTIGEGTFGKVCHAKHQVLGHDVAVKILEKKRINDELDIERVKREITILQ MLHHPNVVQLYEMIETDSHIYLFMEYADGGELFDYIDLKKRINEVEACKFLHEIISAIQY IHQLRIVHRDLKPENLLLTAQKNILVVDFGLSNTYEDTLKTACGSPCYAAPEMIQGKPYY GIQTDLWSCGVILYAMLCGYLPFEDNNTQVLYKKILNADFHIPRYVSLDGKDLIKNILTV DPTKRFTIEQIKQHKWWQLSKSDNVQMTPFKASFSKISCTLMPNSIYRSCVKKNIERNIS EDVKTCCDTAQQSIDIQFTDKEDFINKSNIKDIIQENPPLEKATEEQVQQFTNDEDHYEF QQSMRSLTRQKSSQSIQSITHLHTQIDSPLKQQNPTTTTKQSIPYKQQIPPQINKVIPKK PVLTQQQQQQLQQKKPIAKTVPSKSLHEPPNFETKFSKQPNTPSNKQQNNSTINSSNPSN NSSFISHQLKTEIPKKNSIPPVKLSVIQEQLKENKEKSHSLKTQPAQTKQQQIQQQQQQQ QQQQQQQQQLQQQSQQQKQQINSNKPQTLFKTPIKQTPPQINSTKRSLHQKQAASLQMER QSFHTQQSTVEDNSIVQFNDNPKPPLENIKLMVEKFTSRLNNVSIPSSLKQPQKQGVQDV KGIENYYGPYNTSFITTKHPQLFLKGIQKYLEERYQLMQIQNFYNGFIFNLNDLKIDLKL YRIEQQEIFYCQINCETSKNIDNNADFNKIIYDLQTNFKF >CAK86360 pep:novel supercontig:GCA_000165425.1:CT868574:96055:99843:1 gene:GSPATT00020041001 transcript:CAK86360 MQITQTQLLEWVKDGDINTLKKHFEDQLKFVHQKLQGFFKMVDDQNRSVVHWAAYLGQFK LLKWWCKEYKDLIDLNKGDLHLYTPLELASIKGYSGEYNSENQEKTIRLLVENGAQIPCQ CCYKPNSLHWACYYGNKELVDFLIAENFNLQLETEQQGNFPIDYLFLDNKPEQYKKDVWD IFQNVVINYAQVTSKEELKRKQKKRKQRKGQTTITSQIPFIQVNKDDEEESSPESEPSRY EIPCLNISNINRSYASISQISKNTNFKSLQKTPNNQYVVKSQPKTGCQRIQQKFLEEIAD IPLFDDSHNEMKEPEEEKIPEIRVFNSRNTNNSKIFTEANQDDLLHVQQYTSNNNQLTLI EPNFELPQPKRQHHKGKKQKTTIIFNNDDDLENGTKEKYLIFNSKADLTIHQKYECRLQY WSSRQGIQEFFIYFLKRKCNPFLNIYQGFNCLHIAAYKGKPKMIKTILENDYEYYDSSEG ANSKAKKKLKNVKFYKYKKECINILTDQNPSNALHLAIEQEKYHCMKTLISHGISVDAVN SRCLKPFELTYNSKFMEFYEENIQVKQEIKSLAELGYQYVIQTKGTSSVGQDIVFLQLQN IGQTFKERGWNFEFLIFHAPNLDELELYKENVHNKRVRPLYHYYVLKLPPDSIYRLADLY QISCYNFQTKCICQFKYEKSRFFEFPKDLQVQMLILKTLNDEFDVEKFVLEQLIISHFPL EDKKKCERITQFWNEQQNNCIRDSLRYETQQIALRPLHAISSYFGPVIAWYIALNVQIIG WLMIPSLLGAALGIYIIIIEQVNSSIVPFYALLMTLWQTLFMEKWKNRESELKFCWDMHK FKQTQPQRVMYTGQYIIDQATNKIQIYDYFTTFKRRLIAEGPVILIGIAIIVLSFYAFNI WLKENTNDPFMTIVINSLNGVSMTVFCDLYKRLCKSLVTWENHMYETEQEYSYILKVFLF EFLISYVSVVYVAIFESDANSLSVSVASIIITRGVISNVKSNLLPFAIFKLEKRSFTKLF SQFKLIFSRKIQEKKNIPKICNQVFSTEKLNHDQQLLFLQELEMSRIKGPQKVLYDEYTN IAIQFGYTTMFAPTFPAAPIFFMINCYINLRSSIYNYQHILKRERAQAADSIGIWLYIFE IMNYSSTFMNCIVIGTVNKEQFKGIIGNQDALYSAFFLAAVEHILLLIKYILDVSIPDCP YWVEKELRRQQHLELNDQQMNK >CAK86361 pep:novel supercontig:GCA_000165425.1:CT868574:99886:101863:1 gene:GSPATT00020042001 transcript:CAK86361 MKNHSYEYVEAQKAFRFNPIRENSLFRLDEFFQAYQKIQNVVSLKLPIGYHFRVAKISSV EMSGNELFVKFYYDGPYGNKLQVIYGQQQFARFLPTGEKSQEKSPDKSKIDVLGIKSVFQ SLIETFHWCANFGLFVDPQTENLLYKEAETDFYLYIPSFTHIAQFFADKQQTQLKIFDEF RNKEYQNLTFIFLMRFLNWFFDLIKQQDEDINLYKKIKEKYIFNESLEAINPILLNESCF GVDHIYSEDLVKKKMIRYGLKQTQFLYQLYQNEILRTVIVKYLDSEIQLIPRKNQPLFDE SQSDFQINSPDDNQNNLSGNNMRIEQSIQDSTRNKLTQNTLSFFQELKCIKPIQGISQDI YTPFLRSLANKENGIQIAIRRNWKKIGTKHELEIITNQKSQEQIQQSNQNSQSKSAPNQQ IQEQQSKPVDQQSRSAANPPTQDLSNSDVFEIKWSSWINTQNLIYTAITQSGVLTDKEVK KFTIQYKIEMLEDKNCYLVKTCIPEVVFNIDTADDIAYFVNTIQKERMPTNVYVDDTRKL TFYTALVLGSQGPILWDILKIMDIHQIYFYHCLDFYAKNISPGKQIKDAKYSSFLVELKN LIKDYEYDQKQIYSKIKGTPQEREGF >CAK86362 pep:novel supercontig:GCA_000165425.1:CT868574:102242:105857:-1 gene:GSPATT00020043001 transcript:CAK86362 MSVKVAVRVRPYNARELELNCQLCVAMNGPTTILLDIEDPKKNRDFAFDYSFWSHDSFEN DENGYSRAIPGTKYADQQMVFDTVGQEILNNAWQGYHCCLFAYGQTGAGKSYSMVGYGAN KGIVPISCEEIFKRIKKNPDSQLTYEVQVSMLEIYNEKVQDLLVPVPKRPTGGLKVREHK VYGVYVEGLTKYPVDSYEAIEAKMDEGSKHRTVAATQMNASSSRAHTIIQIEFKEIKNVD GRKSEKLSVINLVDLAGSEKVGKTGAQGDRLKEAGNINKSLSVLGQVIAALADKAMGKGK NAVVPYRDSQLTRILQNALGGNSKTLMICAVSPATDNYEETLSTLRYADQAKKIKNCAVV NESDVDKKLREQQEEIHSLKQQLMMLQTQLKGGVINPEMLKQLSQIQDDIETNETIMQEN QESFESKLQKQKQKDEEEDDEDIQNEGIKEHPHLLNLNEDPLLNRKILKSLNQEETHVGR PNGNPTPQIVVNAMCVQPNHAIITNKEGVIEISPFTKECGDFIFVNGSPITGPTLLNHND RLIFGTTTTFLVQIPGSEQVEQGPEIDWELAQQEMQKKEEVKRQEQQKLAEKQVHEEMQR KKQELEEQRKEEQRLYEEQMKKQQEDFDIKMKALEEQNKDMLNRALMAEEERKLIEERKK LEEETLQKQLQREKARKQYEDEETMKKYRIKEKNFIENKLQKYLPKVLEVNLIAKELKRN VTLEARLKYVYADDQEYSQFTEENAQKGQIQIQVNNKEEGQIYYWDLHKFSNRYYIIKDL LERYFESNQLLQLPKDQDPFWDPPEARVIGSGFLKLQALAYLMDNPCDLTLVGEHFQCGT LKVNLIPTDETGQRNLSEDIEDGIEPPVEDPSELVGRRFDFRVSIESARLPENLCKDTYV EYSIQVEEKKKESFKTKTLAGAHPNPIYNYDQQHTFDQLSETQLNYLINGTICFKVYGYD ENKNKDAKKREIPVENLIPQQQTTQQQQQIQQQSPQPTQQIDQAKKQEEHIDILTKTINQ TKNIQQQQVSQQQPIKQPTQTTQVKPPIEKFAQNQPVTQPISRQSIKEFEDHTSEQQQMK AKLQQQQMNAQKPRSSSQDRTPAMMSKGKPDPKKGTKKDDGCNIF >CAK86363 pep:novel supercontig:GCA_000165425.1:CT868574:105912:107223:-1 gene:GSPATT00020044001 transcript:CAK86363 MNDQTPIKEVDLNKQSWTSPKDKSDRFIPRNVQSNLYQLFMSEENSQGTLYNNLLQSSIL GKSPAVNQKLFNYKTENKQNEMNKIINNGLKYSATPTKVEPEKPPRNINKRPYKILEAEN LQDDFYLNLLDWSPFNALAVGLENSVLIWSGHTSKVSRLCTLEDPDMVCSVAWSQRNQHL SVGNSMGEVEVWDVVKQKVIRKWNGHQGRIGSLAWNNYLLATGSRDRNILVRDVRSPNES IQKYVGHKQEICGLKWSFDEQLLASGGNDNKLFIWSLKNQGEFTHFSQHQAAVKAIGWSP HQHNIVASGGGTADRCIRFFNTQTLEQVDCIDTGSQVCNLMFSKNSNELVSTHGYSLNQI IVWNYNNMSKVATLTGHTQRVLYLSGSPCGQSIVTGAGDETLRFWNVFPQSASKNDRGIT RAETIDLR >CAK86364 pep:novel supercontig:GCA_000165425.1:CT868574:107353:108243:1 gene:GSPATT00020045001 transcript:CAK86364 MINTINIVSLSQLLNNIHLSQSHIDEAARFYIRHSNDQNSQQSLCEEWCNHFHFAKGNVD GDKVIVSLLYMAQRVVESVIRFQGSYTSMSDALKKQMIKAFGLLQDYYWTQELKSQVKDL IKQWDEKQLFTKPEVQSMLETIEPSKTHKEKFKNQFAPSLFLVNFAKNYKELQIRLQQTN EYQQRLDELINCGAQDKMNLYDSLLDQYSKSVENVLKYRKLVIKDILDKLKDLDKTHSKS LIDMKYLVLRIQDLKTRKEKRIQNEYYSE >CAK86365 pep:novel supercontig:GCA_000165425.1:CT868574:108249:108954:-1 gene:GSPATT00020046001 transcript:CAK86365 MNQFANYLEYYTQRDLPFSQRSPKVKDAKKEWNFSPQFSPHRSRYNINDTQLDNEPQISR LAMNSKIKQRNKIEDKENLINRQNVVLNQQIKKCQKIMSPRKEKKNSIDTSYLLPIDQIL TISIQSSTDENIVQIDSVKKQSDNTINNYQVQEFDNDSYFNESMRKSFKKIKIQDDYSRD YNKQLRLEDDFEQINSFRQHIQTPRQQQMKNISFVMYKKQVEGRF >CAK86366 pep:novel supercontig:GCA_000165425.1:CT868574:109276:110600:-1 gene:GSPATT00020047001 transcript:CAK86366 MLKIFRNLSLISKDEFDYSLYQCTSSENGDVPKPKYMKVLLILIQPIIECLKGQDQKILP LDAFNKFYDILCQIQYNKWISALKIGYVLHYCLEKQCNIFVDAVCNNHLYDKIKNKQQKK PEDPIAQLHINIVQNLYSYLQIRYENYLFFLNALSDFEEKEEYQKTFIIHKIVSTIQVLV KIYKDLDISLTKFQNIDVTKYVCVQLLNDLYFFYPKVQVYLQSHINQMLQMESEHSMKLY QVYTETLRLGRSVTQMLKLCNEVTAIQIFPQLTLFTVEQNINRQIELHFKEQKRQPSPIK FDIIKFDDENLFEQCKDDQIPTPKVLQQFQKMVTQLTEFQEPEPDQIEFRISQKPKTERQ LQQFKVKKSESNEQSMVQSIISTSDNGSSIRNIQFSHQNSFRNEFFTQSESPLNFIDFSH QQYHQSKKKQ >CAK86367 pep:novel supercontig:GCA_000165425.1:CT868574:110984:112148:1 gene:GSPATT00020048001 transcript:CAK86367 MSVEEIKRQVEYYLSDKNLSQDEFFHKQISQSQDGYLQLQFILKCNKVKQMKVTQEQLVE AIKTSTEVELGPDQTSVRRSNNKPLPELNITQTNKKVKTNSGEAVAAQQQQEEKPQEEVI DNPYHNFEPLIFTVKAPAGIAVNWTQITEALMKQHNISSPYVRYGKTEGNFALSKPRTPE ENIVRLTSEGIKIGEHQLEIHVTNGDDLTQFWAHHGKHYETIIKRAKETFAHGGAGKGGN KKYEQRKQKKDIVFHNQKYRDISQLKNIFKTILARSENNTPLKEPYHSMLVSLLQYHDKK DQKLNGLKHFTVGQHPDHAETRCFFAVKEDGSSEDFSSLKCIKNLETSLGL >CAK86368 pep:novel supercontig:GCA_000165425.1:CT868574:112465:113007:1 gene:GSPATT00020049001 transcript:CAK86368 MGFLTYFFSNNNKGVNNKQNEEEIWIYDLVYEHLTSPIWKIAIMEFVDENCIIFDDEEQY TLEQEQKFQQFKELISSQFDNMLNEYGLTNQQLTKQILIGLAHPQHKNIFQQIIAINDYK IFRKQMITRNKELELEALEELEKQDRILLQQQQHLNIQQYINIILLKIASSRI >CAK86369 pep:novel supercontig:GCA_000165425.1:CT868574:113111:113701:1 gene:GSPATT00020050001 transcript:CAK86369 MELQNDDFLNKILEQSLQEFNELQKKLEIVQKRESELKSQPQYKQSEIFKSIKNVKYPSF TSYTQRQEEKFNQNQNHFNQEPQSSHIVHQQDFQNDFHQIQQAKDFSYNPPVIERVSSEL IEESAIIPNEAMHDQKQKMEHQKNILIRKKNEIIKSELLKFEQDKKPQTINQTQYIKK >CAK86370 pep:novel supercontig:GCA_000165425.1:CT868574:113824:115391:1 gene:GSPATT00020051001 transcript:CAK86370 MGCQASKKQRKTSRDNRPSLLDGSTDLQNKKLIAVETKPQERKSKQPKSLDKNKVVELFQ AEHQQIYRNKKFQQDYFITEEKVLINGFQGTIFVVEHKVTGLKRIAKIARNVLTSNQIAE YIEYLQQLKKIDHPNIIKLFDFYHDEKHIYLVEEYIDGGDLSQRLKTEMHSQEKIHVAFV FQQVLSAINYLHQQDIIHKNVSLNGVLVAQKSNLLIKLIGLDDLFYIFQDFNTNFSYRAP ETFAENYQYSKSADIWSAGIILFELMYGIHPFQDSTRQLTIQNIKRNNINQEIDVNSISD EAFKLISDMINPDHNMRPSAKECLKYKFFKTIRKSSMKITSALLRVKEFESKNELRLILL SLMIEYLMSKEERDKIAQTFYKIDLNNDGKISKTELYQQYFESSGDENLARKEVERIFSQ LDVNKNQFIEFNEFLIASCNKTALFSEENLTSFFNKLDRDHSKQISANELKVFFYNTQLS QSDWQRVIQLGEKKEETNNKISYQEFISLLTEND >CAK86371 pep:novel supercontig:GCA_000165425.1:CT868574:115421:116822:-1 gene:GSPATT00020052001 transcript:CAK86371 MINTFTFGLLLLYFYEVFAVQFELFISKGFLYSQKRIVNTNSSNTTFIVNCHPQYANYCT IDLNQTSQKAAEIALLFLEAQPPYLDKDDGFIFDGMDYDSYVQKKRNHFIQIPSSYSKIY FTVLTNIPISFDIYLTGSSSMLCPNNCQENGDCLQGECKCGKGFISRDCSMRALQLEQEK PMPVNGSHDPNFYCYYEYNGTQDLRLEITTENEAQIYLLVPNLLYLPTPNFFDNSAIITR TTPLNITIEQRKSNSYEWGSQIPDKLIILIQGSEFIIRLNRIDDESKSEQVKSIIIVVCS VTGFLLFCFCIFIIRRARQRKSVEKQTPDDFEIKENQFIYGRSQSKKEDDQYATIDNQLQ PSNLQDNCAICLDPLCNQQPVNSTPCNSHIFHVYCIQQWLQKNQFCPFCRFDLKINNLQQ QNQQPIKVPMAIANQLRIVRRNI >CAK86372 pep:novel supercontig:GCA_000165425.1:CT868574:117222:119911:1 gene:GSPATT00020053001 transcript:CAK86372 MKTKEKSKNRTQFQCYTKDYSIVLFFLFQILISILVVVYDAKNELISVVICSIHGFFALQ QLILPKDKAIIYKQICMLLSKLASLILIVIVGSELAILIIALFERLDLISSKNNTFKQFD QILFRLITLIIMIFDFNLLLTITLAYTILIEINKLILERSIENKQIQYNITSSYHNTSRT TDQEWNYRLNQIPQCFIVINLPSLKVSYKNNYLQTYFKPCYENDEELDELILHKLQFSIV QDKIDTIQQLHAKPKVNLRQAKKQQMWNYKSDSISLQQSPQYRQEQSRYSLEFQTTSQLS IFEILTNRTSDEQSNDGHIEIFSDQSWQSIAINYNYSFSRQKLQLSGQIIFSEQEGEVLL TLIDVSKQNQLQELISNSEFKSKIIESFSHELRTPLNSALNFLVSCQYDKEVEEFIKEDY LQPAINSLKLQSYVISDIIDLSQISSNNFIVSAREFSISEILNEIIQLFKTQFEMKRVEL KINLLDCTISKFTSDYVRLTQIIINLLCNSLKFSSDGIVTLQITSVQNQHLKISVSDQGM GIESDNLNELKSLLQNIEHYKDIQLNKTWQGFGLLISAILVNKLAPKENKYLQIDSSGSQ QGTNVWFYVENQLSIEIPKQNTLRYSVPRFQSSHDEQLFNLDVNCAIFQATDFSNVAFSK QFNFNGKGRESQKNDFNYTDSQSFESLQFKYVQLSPLSPSLITDKLFMPQKNETDHKSNL QIVNLKMMIEARVKEDQEFLNTFQKKKKCTCQNLLSVDDEIFNQKSIQILLAKLGFDVIL VQQYKISIKAFNGAEAIRVIEESVPCGPQCQLFTLILMDYQMPIMDGCTATIKLIEMMNQ NIIPKIHIIGLTAFTNATDVSNCIRAGMSDVLQKPLNLKDLKEILILV >CAK86373 pep:novel supercontig:GCA_000165425.1:CT868574:120124:122897:1 gene:GSPATT00020054001 transcript:CAK86373 MFQNTSCSQSKNTQDNLLIQGRGLVSKQNVIQMVIFNILNTLVDLILLIQYPHQLQYIIS KMSLSVLMTIIIISFWRKITHVIINIILVVIKFSSILILLLIGSDYILIIVLICEQNNFS TNFEHFEYLVQKVMILIGCFIILIIKFNVMDIVIIASVLIFDIYQLSLIFNKQKQQNNED LFSLSQNKINREVITICNKENAWLSRISTLPIFFVMISKKDLKLTYKNQIVNKHFSSVCK SDSEYDNLILNQLNFSIISDSFEDQKNITTDQHIIKNRLRNNHSNKSNQDKKDFSDLDSI NLKFKDTNKLFEILQNYKDGRLLDTINQMNNTLELFCQHHWEDSRCSTYTGQINFNQDDD EIILTLIDISKQNKYFDELTKDQFKTQIAQSFSHELRTPLNSSCNFLQYCLNHKGVEEEL KINFIQPAINALRLQSYLINDIIDFSSLCANNLELDIKDFLIMDLVDEINKLFKSVIEMK KLILYVDLLENQINNICTDFQRLVQIIVNVLQNSIKYSNSGSILLKLTSYSQNYLKITVK DEGFGIDELRLAKIHQMLLDVESKQNFSQYSSWHGFGLLISQMLLSKLCPDDFKSLLIRS GGIGQGTKVTFYIKNHKLIKQSSSVHYKDKPIRLNSKLRYSNLSGSCHHLSINGTFIQIS DLFASNQKVNNNVPFVKKVTLKSLKSNENSNFSDSIINLDQDFQISEMHHLQPFLFTENV HQRVKLNKQEQSPRSNHPQTKIVSYKQLKLQEEQESEQNLKAFMKKKKCNCRRILSVDDE IFNQKSIQFLLTQQGFEIILAFNGQEAIQIVKNTQKCSLNCSLFLLILMDYQMPIMNGLQ CTKQLKQMMDQHQIPLIHIIGLTAFNSKNDILMCLNSGMSDVLTKPLIIKELFEILQLI >CAK86374 pep:novel supercontig:GCA_000165425.1:CT868574:122990:124320:1 gene:GSPATT00020055001 transcript:CAK86374 MNSNSELVQMLKDLQIYNSIDNNFNPDHSQLVMIYEKVSVLISCQFCDLINFDDNFTLEN STLQLFYRCKLMCKTVGVADFQMMDLTDPDQKRMKHILTLLCKYSIWKREQSQKWEKRDK EIAQLEDFDLQNTRKQKSELEDLIQMKYKDMDLKHKKFEQYKQMKHKAESELLAKRNLNL KLQNSISQNQNELKDAEKKFKNLIEKLQVAQNELTILESMVVKDPKNLEKKVNDSLRRVE ALQIEVDKCQKELSIESFKIGKIYKQLYIDYDIFLRVLEQIKESEKALIQEDKNFEKSND QIFTASNKILQENLALQKLQQNKEQLQSQKLSESEKNNSSNQYSLQSLIDLQNKLQDLQT EVKKEQQDYLLIQQMKQEQLKITSENDQIKTLIEANLKQVVTQQNKEIEQYTKNIEILLN KIEFE >CAK86375 pep:novel supercontig:GCA_000165425.1:CT868574:124804:126002:1 gene:GSPATT00020056001 transcript:CAK86375 MGNCFLQEEEKNQKSSIKKVDSFLAQQMEKRNEKSKVTLKDFLSQGEIGRGKFGKVLRVK MKGKDNREYAMKVIKKADILKYGLVEHTMLEKNVLGASKNPFVVKLKYSFQTEQKLYLVM EFIKGGQLAKVLRKRQQGTFTEEQTKFCAAEIILGLEYMHHTLRVIYRDLKPENVMVTEE GHLKLADFGLSKQYENEEAKFFTLAGTPEYLAPEILFNQGHNHMVDFWCLGILIYEMLVG YTPFCDDQGNHKTIENNIRNGQIIYPKFMSESSKNIVDRLLNKDPTQRLGAKSIQEIKDH SFFCDINWEQLYNLQIPSPILENTHVYPQVKKDQFGKRIYETPSSHFIETNGDFNGFSAA QE >CAK86376 pep:novel supercontig:GCA_000165425.1:CT868574:126080:129132:-1 gene:GSPATT00020057001 transcript:CAK86376 MNQQEYTKMIVIAFQAKDSQARSKAEELLIQCCQNDIGSIDILCELSSQQNDVLLAEQAA ITIKTAIKKFIANTSKAILNYNIEPYAAELRLHHVDLFVQMFTKQIPNKIKDIVQQALQQ LVSYDKCKWIYNIQLQKITSKLVLKNMIVSACFIAETLHLDNQFEWFQSIIKIGKIIDGF SQSTKILWARVLFSQLDRHLTTNQMRSVPDPIQQYLIFNEELCQVLILKIPQLTYVGDDL EYLQLIVSLQRLIYGSIFTFYKEAIANKCPFQEVLCVICDTYIQSLVAFTMQPSFSYENL NQNQQKLINEIIKILAITCKSVSVYHIFAEYRMAIFVDIILPFFSSTYKEINDLNEDPNE FVQLTQDLLDEQKSDIIKSSVAQLIIAYSQHIDGSISFFASFSTLIASYCIQKLKNTELS QQLGLIMEFREHYFLKSMNINILLETSLLVLSILSNFLISRNEVGSLFKSFIGEYNDYLI NYSIPLVKARLCTFIGAYCKTILNEKDELSYQLLNFLMNQIKSSQTELYANCYCAIEAIK NIIEEPNLEKLLEPNIGQILLVLCQSLIQSDFEDHFDTIKQIFKTFPLEPSVLDQALSLI VLKIQQEQQLFEQGQTERQIYINQTWNILKSLPEIENIIPVHFTLLENRVSVLYKYIINP NIIDFDEDIVYFISQLICKTKFISDFQAEMFFQCKKVIEKQKFTLGQLFELFNYYIYYGK SLFSNINAQEFLIQMLETVFNNPQIGESSQGEAILLLHLLLQEYQLNKEVLTYIYTKILQ RSQLLVKNDFLRARLMGIYISGFIKNSALTLEWIEQQQGFIYDHVIDSSKYCLPEYDCQL YLFGFCQLLLQNPRFLNLTLLQNLVGVLKNQYNHDLKKAKDDNEDTEDMFVFNDELEDAK IIMETFQSNISKHNEFEQFHQTYLHLRKTINIAELISNDSILKKDLDDMLKINKFNSEAR IILKLKKRKET >CAK86377 pep:novel supercontig:GCA_000165425.1:CT868574:129189:130424:-1 gene:GSPATT00020058001 transcript:CAK86377 MNSRDPPSKILLLIITYLPQSFPLTNDFLFETFKQYGEVKKILIFERGKTNKAFVEYNEV KHAISARRNMIGKSLTPQGGRLLIHYSRLKQLDLEVVDHTRGTEYCSDDEETQPEQKYPL KSMTLPNSIQISPPLIKPQSIEQISNNGNQFKGAQNIVQESPTKAIMETQLRQLERILDD DYEQEVAKISLTQLDSNDFAIQELLNQQPSKFLRVSNLDERVTPRMLYNLFNRFGHLEAL ILKKHIRQSILQFVNKENAIIAKELLNNVVFFGNEVLHLNLLCQLRILFQNPQNSHLQTN NPNEEYYQGSQTKFKIIPLTRVLSFSGIPQLLEIQDMVKLVGKIQEIKLDSQAIQITMVD IQEALKVISVFSEYDYKGNKISLNLK >CAK86378 pep:novel supercontig:GCA_000165425.1:CT868574:130726:131460:-1 gene:GSPATT00020059001 transcript:CAK86378 MNRQQQEAAQILLKRVRDALQARGSKTIRQLGVCFRCLDSYDGNRKLDKNELKVGLAENG VQLSWNEVDILFAAMDRDRSGTIDFDEFLVSIRGQLNPTRKAIVDQAFRKFDKTGDGYIT ADDLKGVYNTKMHPKVKNGQMTENQVFEEFLVNFGDVDRNGQLTYQEWCDYYAAVSASVD NDEHFVLLMKMAWKL >CAK86379 pep:novel supercontig:GCA_000165425.1:CT868574:132491:133506:1 gene:GSPATT00020060001 transcript:CAK86379 MESKSQNQNSSLTDDKQFKVNQSSDSDDHEQNMLKKNQHKKIRKSLTKRKSSNWGSGRPS KRTETNDDHQWSHQLKNEALSGLWFGRDISMKMIIYLCKMGNMIFKVVTGIPASKIRKGF MKFDSNSHQDKKKYSLQLKKDLKNKNSRLLEYLNYPADTTYMFLEKNNNCEDKLSLKNIS EESQIGLEVVMKSLSKNFVNTYKFIIREYFQNSQDFLENNLVDNIVRQLVENHQDHKMLF DRQLIHELTKDDYALINEIESDPYQAQIHQYQNSGIRNINFFSNTYKDEVEEIKKNSFWI REFIEGFIEILQGSNIQIQHF >CAK86380 pep:novel supercontig:GCA_000165425.1:CT868574:134208:136953:1 gene:GSPATT00020061001 transcript:CAK86380 MRLIVQQYYGFGQKKTFKIEINEEDSSDQLISLIASKVGRLVQELVIKCKRDQYSIKIIE GWPIEFYELQENQNILVEVRENESDTKQKEQKRQTEKYLNKLFINQREKPQKLSEVSETS ENSDDEGNSSKQKSSNTNVEPIQSDYEDKIFQTVKSGNLDLLQQLCQKINPSLLNQATFG GWNPIHFASFLEYKLIVEFLISKDIDINKVTDEGWTPLQIAVHRHNIEIVKVILNHPQID VNLITDKGIALNLACKSGQIKIIDLLLQKNADLKLQDKTERTAYDYCNQETKLYIEQSKK SNQMKDQLKSADDFIPPRPPIAKGFIYKTGQMLVTLNERFFVLNPDEGTFIRFKNISDYP LKPLEIIPLRSVKSVLMTQKGFISKSGYHYFELLYSTRIILACKHEHIAKKWVEYLYKAT VYYQYIEEKMKEGSLDPNLIDKNTEVLIEDTNAKAPSSDPPPKQISPEQSISLPRDEASI IIQKRLSNPEPVHRYSQSPPKNSEIEQPRQISQSQQQLTSSVMDSQNELLKDSKVNFDSF EIIKELGSGAFGKVFLVKHKADGNIFAMKALKKKTLILKKQIKYAITEANVLKMCKHPFI LGLHFAFQTPNYLYLVLDYCQGGDLSYHIANLGKFSEDTARFYAAEILLAIEFLHTKDII YRDMKPENILLDSQGHVKLADFGLSKEGVSDQDKAKSFCGSPAYLSPDILSQKGAGKPSD IYGIGCVMYEMMTGESPYYNDDIQQMYKNIQSGTLKWPKKMSVEAKNLLTKMLERDPNKR IGTKSKDEIKQDPFFKGIDWDKVYKRQYKPPITDFSEMHDDDDQQDFDGDELGYGGKAIF QDQDYEQENNRTNRVKNFSFATNSS >CAK86381 pep:novel supercontig:GCA_000165425.1:CT868574:137030:137586:1 gene:GSPATT00020062001 transcript:CAK86381 MQTPEQIKVESKTWKTIYPPYIDSTLTTAQGRRLGKSNCVPYPQLMEISQCLSSLGLRHV IDQHAGFPKDIFKQGRIKVRLYAEDKKPYNPQIKCKHTLLQTIAKLIKSIPNRKVEVPPY IAQMEIEKQNKPPQKKQTSTKKKHKNG >CAK86382 pep:novel supercontig:GCA_000165425.1:CT868574:137627:138497:1 gene:GSPATT00020063001 transcript:CAK86382 MKNYNPKQNSKPNLTQIITDLQHLEDMDRQDIEQQKILKLQLSRIENLKKEYSKKEQEIC RLEQQVEQFRIYYDKYENVKKLLESALEQLEKIENQNKSLQKKLSDFQESYAKLELTHQT LLVDYNNVIMEKEVNQISQNTNLRLELNDLKSQYNQKIQHMEAEIQKYKQTIQEREMQNN NLTIQLNTQTKQMLQYKQQSKNVDLILKNHLNSTKQQHNSYHQSICDTEIYKEDQTLKIL CSQLTQDYDEAVKRIQQFERQLTEYRTENLELKKYIQYLTDK >CAK86383 pep:novel supercontig:GCA_000165425.1:CT868574:138500:139543:-1 gene:GSPATT00020064001 transcript:CAK86383 MQDFLDFTGITYNEKSMLLDISAIPLIEEKFTDDLINQQLILLRSQEIVKEPDNDKQLTN SAISLKKKVIASLEKYSQMLINKDNSIRQVIKQDLMLINSEYQVLMNMVDQGEKVSDEVQ QIVKLLGEFMEQMTIKSNEKKDIQYSKTFSDQQQIYKNHTESQQINSYESFQKQRSLPIK LYQKQSNNSFESDENSQCYQQQNIKINYNIEIQLRLLSDDKFGYNNDTFRRLRQLSKQYE DPEVKKLVKVCYLKQLAQEQSQTNTSKREFYDLVIKIKSQIPENNKIHKMMISSLYDEVV KKGVPNSEWEQYMKQLYN >CAK86384 pep:novel supercontig:GCA_000165425.1:CT868574:140165:141050:1 gene:GSPATT00020065001 transcript:CAK86384 MLTKLLLKARQARFCVYIKNSVNYHVANSQDPNSKFANPQFNSSILDFDKDQENNVQMHY YQGKFMPDELDWTDVWETAYQNFTQPEYLYHFWFCGVLYCFEPEWTINYPYEKGPLSPLF RGEHALRRYPTGEERCIACKLCQSACPARAITIETEPRPDNSRRTVRYDIDMTKCIYCGF CQEACPVDAIVEGPNYEYTTYQHEDLFYDKFKLLENGDKWEPQIARNIEYLITRKY >CAK86385 pep:novel supercontig:GCA_000165425.1:CT868574:141051:145590:-1 gene:GSPATT00020066001 transcript:CAK86385 MFEMPLELVNISLIIQNQTKQIEKNRKIKKFISIYLQLYQPIIRIPLQYSHFHILSYYSM INSPTQFMHFVTIVCVLSITLQLVFSFFIDLHGFEFKMKKQDFLGTFNTQKVHIIFVFQT LLIILLGLEVQSIIIQVFYIIFLFVNIIMSYNQLIFVEIQFSRLQLHVSCYILIYQITLL IAQYRHIGFDLNLIGLMLFGYPFVSYILKIIIERQKLKSLNFSSQSVEKQLRVIYYLFKK QIKLKKEQRSLDPLEALAMYSFITNHLRYCSLNRERKVQKQIKMKFKCFCEEFADRDNFR FDSIDEMKLFAKELIAQTLEDEILETQDTYLALIYIYFLVQVKKLPTQAIYEVIRLSLST QEMALKEKAIIYKLKYDALEEFDNLVKKNDLKNQKYVFKRVYQYEESLSVIKQNIIFIVR QFKEWYGLILTSTIDIDSLVLIGFNILKNIKFVETQLQNAFQINPLSNECDVLYNVFSKY LQFNKSRPKLYRQEGKLVQQFMHSIEKTIFDPGSCVIQITLLQPRGNVLRYTRSFQQAIG FKDEEIKDQNIHRFMPQIIADDHDKYLDNFVERGRIIVVRSEVRVILGKNKGQFLVPINT RLRIETSPTEFGATALITPVNLTFGYMMLNEKGQIEEITQNIFEEMFQKNLGIQIGQLRG LDCLFFIPDLAKIWDEIYDENFERLDKKFECQFIIPMVSQCRSMSQSQISKGISKNYIQK QIYRNFENQPTENAIYQVSLHVMSLVTINLKLVILELQDFRLLPNQKITSKQIIQLRGRT NQQFNISQFQNTTSYREQLSIQNSPWVIDCDLEYDLLQEEQRMIDEVKHQLATVNITNTT NHQQIQLIQYAQDEEFLLQEQQLQQQDEQIITSVIKLDEIERQGKFQNQNNMSIGSRSSQ NNQTSLKRQMKECISDQTIIHKKLAIILIIFYSIIIGSYVVNFLVFQNNYEQVQLNQLNQ NLPYQLSYFYNEFIISHYYKNHQSSFQFHELQQIGLDFFQQEIKNIDKTLQNIPEISNIL SKPLKQKIVDMIQQMANLMQENSIVNDSEYLSNTKDFQSIVQVLYQSDTTQNITNIQYIV IAEEIALVLFISWYAYKCVEIIQMKTKVYKLFSTFTTDVIQEQYIIFSTLYSLMNSSKFR RGETNEESFDSVINKAFMRQNSHALLEKYQKVGKRIKQLKNNSIQIIFFLFVLILIVVCS VYFVGSYILHNNTIDSIITEFNDKILFSKAYHEITQAFAQTAVFINTNITADKIAIQNST VIKLTELKKKLSLYQQDQTIYNIVTKAACDIFNYSLSGFQAYDELFSYEQCTSYSILMRG LSVTYADLCDQQLRFFQDIVGNSSQDYLISNYYQVTSQIERLYDSMGFFVIVSTLTNQIQ EQIQNNIDINIIMVAFSGLLMTISMIIMILSMRKVRHSYQQSKRLLTLLPYDRLVENAYI ISFIQQDIRLQN >CAK86386 pep:novel supercontig:GCA_000165425.1:CT868574:147317:148672:1 gene:GSPATT00020067001 transcript:CAK86386 MSAKGKNKAKAFATLPNAVPTSSTKIHEGALDNSNYVLAQMKNGKWEKAKIIECRLSKDY DPKQKKVDSSYEYYVHYEFYNRRMDEWVGRERLQLTEDPIIEDTQPKKRPRPSDKREVTA ENDEHEGMDQQSLITHEMHTKFKTIEKIEFGQYRCDTWYYSPFPSGYHNIDCLLICEFCL SFYIRKSELQRHQLKCVLCKLGHPPGDEMYRDTERRLSMFEIDGHKNPTYCENLCYIAKL FLDHKNLYYDVEPFLFFVLTEYNETGFHIVGYFSKEKESSQGWNLSCILTFPFHQRKGYG KFLISMSYQLSLIEGKYGTPERPLSDLGRESYLSWWTQSIIDYLKKNKDGEITIAGLTKE TGIKDTDAWWTLEQKHLIKYQQSQPLICMDMAYLDLIYSKAGRPGLPVKKDCIHWVPYKR PKGQDKN >CAK86387 pep:novel supercontig:GCA_000165425.1:CT868574:148761:150264:1 gene:GSPATT00020068001 transcript:CAK86387 MASTNQTQKDKYKGEFQPLNKVRHGFGTYIYENSFFTYEGQWENGIKQGQGILKMKDGSY YQGNFEKGEIEGHGEFHYANGSMYVGEFHQGEKHGQGVFSSANMTYEGQWHLNCMQGSGI LQTPIYRIEGTFLQHKPHGHCNYYSEEYNYIGEFDKGKRSGKGKYESNLEDYEGEFLDDK KHGSGILVKKGDYPYYYAGEFLQDNPTLQANKLNFKLDPQPEEPIDPKAKKGEPVIDLTQ VLVHELKSGKTINFELYLVYQGPDIEDPVQPTQQEIDEMVKNWQKEAKKQKNPPPMPDFG AKRMIPSPPVQIKQESGRILELKMIQKTDQGDKLFRIDYREKLAVRREEVTRLKAEIEAQ KQLEEQQAQQPVKKKDAKKQAKKQPEPDQIDLSVKLFVEGDNEPLTVETKEGMVRIENLE YPEDFPPGKYYYVISNKSTNSIGDFVPIEIEVIINPEGGLKQQPKKK >CAK86388 pep:novel supercontig:GCA_000165425.1:CT868574:150427:151513:-1 gene:GSPATT00020069001 transcript:CAK86388 MDKQIRLNQEQILQCIRNHRDLNEILSKQKDHSSQELFDEIERITNNMSNESKESQESKS NSDTIDRAIKMFAEPKVILYKKENSLGSDQIMEQISQVFNNKSFDQKVGSNLSTEISNEP CLQLGSSRQSLLTSGSETERRKRKTISEEESHYFVVRLEDVINYSDERTTIMIKNIPNKY TVQMLQDLIDLKHHDSYDFLYLPIDFKNKCNMGYAFINFIHPLYIVQFYKDFHDNGWPHF NSEKICELRYARIQGRQALVQHFQFSSVMNQKDKKLKPVIVPQSELSRIHQLIQRQKQ >CAK86389 pep:novel supercontig:GCA_000165425.1:CT868574:151593:153134:1 gene:GSPATT00020070001 transcript:CAK86389 MTKSYFQGDLSQSDMIVLTESELKRIKNLSVITTKEEQLQHKRLMEEQKEKQLAAAQAKK QRMLQIEEEKKKSIPLSQQEQEDKFAKDSLLSRAQEIINEQIDDVKEMNKMVMYAKCVTI RDKQLQEKKELYDQYKMQEKRKDLMMEIERLRAIKFHEEKDQKQKQQLKHGHEIIIEQIK ERELIRLKEKEEQEREGQIMLKQIKQLQQEEVHKTQLRKSQQAKVQDEILVANHKAILIK EKRIQEERDEEEKILQYNLQKAQKEAEFLEEQRRIKEEKEREVQRLREMQEKAQDRAAEL DLLRAKRAMEQNERQAREKERRELELKMKLNNEVTEARKLQQKEKLERYQEQAKLERDEF QKVIQKQKQERENEMKILQDKEALRKKHAEELRKQIIQNEEKRKQEERDKLEEGKKIKDK LNQEKRLLESIKDNKLKDLNEKAIPDKYKAELTKKKISINI >CAK86390 pep:novel supercontig:GCA_000165425.1:CT868574:153198:153878:1 gene:GSPATT00020071001 transcript:CAK86390 MNDLTRIQSEINQNSNESQNNDDNRLGVRKNNTFPYEQKIGIGQPQSCYDANQISNLPNQ VNQTDQWTLLSQDENLTMIFKSINQQSIVLIYKQLQVQDLDTQIFFMNLAMSIIDSFSFF LFLGDTNQSLRMNKLVRNGTQLIELHKLFKKSDKDDQKNIILEYQINYFSSEIQLRHYIE KALQEIKLIVNLLQKQYNLIKKIEYDKNNDEFDKIISDFIQEMKKI >CAK86391 pep:novel supercontig:GCA_000165425.1:CT868574:153922:154584:-1 gene:GSPATT00020072001 transcript:CAK86391 MFNKQPFYLHTSRFQRQSQRANVLPSVAQYLQKVRTPEKNIPKQKESPSYRIKSNPKINQ QRQASSSSPSREKPQLKYNSFHSQQIRQKRKLQEMVNDSQLISRKQKTETALEDQVSSPK KNVLQESQEIMLKLQKNAAVRRVKTEECDRDDRLNSIRGTVQRQKRNEKFENQNLLDLLL LSTVELKHHFEQTRTARQIMPTKAKVARLSIKQFPKDFFC >CAK86392 pep:novel supercontig:GCA_000165425.1:CT868574:154659:155390:-1 gene:GSPATT00020073001 transcript:CAK86392 MQQQNNLDEISLKIRQRKQTLSQIREVLVHDKYFEDTVSISKSVDILISRGKIDVDIFLK KIIIFGMLITQSLEIRNNQDKINAIGDYCKMLRDANLVKLSQKEEMRALIQQGLPDVRLK LLNDMQLIYNGMIFPQNLSFKSVTNKICLIIDIIYNSFADSIYLGQDFLDQMEKSDQILQ NLVIVPIQSYIEQLVSIQFEEETKHLLSLNGICVNIDLDL >CAK86393 pep:novel supercontig:GCA_000165425.1:CT868574:156165:156847:-1 gene:GSPATT00020074001 transcript:CAK86393 MSSDYESDSEHQEFVQNFFNLVQDINKCSLYLSYQRLPNSGIEKQNCQIHKKCQSAKKQL LLNSLILEAGQINFKMPQSLDQNVSEIVSFNKNQAEKLVKPMRNQTKNLENSQVEPFICN VHQILRDIKLKQQILRNVQKQVYLNLIEHHNKKPSKVSLRTSCLHVYLAEKIYADKIKDA AINQTIHETPHIVIPLFKNILQTRKGSMD >CAK86394 pep:novel supercontig:GCA_000165425.1:CT868574:157385:158397:1 gene:GSPATT00020075001 transcript:CAK86394 MELIILKTQECAKVIETKWIRAAPIIYILIGTFLISINSLLGKTVGMNANQIVYVRGMVM CLLGQVIANTSKVELYGFSRDVYTKLFQRSIIGCFATLLFYTGLKYVNIAEAQVLLQTSP FWTTLIAIYYLKTETLSWKLFTNLIVCFLGIILITQPPFLKTILGQSAVITKDSETQLFG CVLLLFSAFFFSLVQVLINNLSNKVNQLVIPQYFGITSLIISAIACIIDPSLIWRNPSLA EAVLLLSLGLVSYLQQIFLNRAYMKGDLTEMSMLGQTQILYGYIFDILRGAHISYLSVLG SLLIVGALFKVIMDKKKQKERLQKELPK >CAK86395 pep:novel supercontig:GCA_000165425.1:CT868574:158473:161193:-1 gene:GSPATT00020076001 transcript:CAK86395 MSHPIDARLHFTIHSHLEPFQSYTFHQYQKGVYSEKYSKTICVNCLYEQILETMQKYSEF TYVIGEICYFKEFYENQNETQQNIIKEFIHQGRLEIVNGGWVQNDQASSNYQDIILQLQL GHQWVYHLFNQSIQTAWNIDSFGHSYTQSALNYLFNMKQQIIERVDVNDIIQRVKKGRLE FIQHIQPYDYQILTHLRFKRHSQIHINQSKLSDNAQCIQLYNQLKEFEQLIYYQKQGGLG NILFHYSGDTFQFINETNIESQNLVIEFYELFQCRQLKIVWSTPSQFFNDLKSSESFTQI SHKHSDFLPHFEDGVYFNAMYTTHPQFKRFLRTISYQLQAIHLYTIQHKLMTISPQKLTE LIALSQHHDGISATARYQVLEDLQQQIDRQFEDLRSKINNYSHSKFELIGQLKHTYKQTK RIILDCSLNSKCVLEYFIKNRRSKSVIVLIYNPQHSQTKIIELEVPSKNVQILSQDLIVI PQQEMKVINETNILTFQTYLYGYSEQQFVLNFDCQVECAPVNEFQLHLDLSEQIKLLEKQ FSYGEPIETISWTEVIQNVTLEIQRQGIDYEFIFTHLNHSFSVKYNYYPNSKSQSEKYTI KSPGKSVLMSDFNNGGLICEFEKMYTLKQNSFFQEVILQCGKIYALSLLFTQDGIELRTS MYANTLTMGDYTMMITFQNITQDSLFYTDSNGLYPIQRERFKREDYEPICQENDQINCNV YPMTAYALLQSDNLVASIITDRSQGVFNLNSQTIEIIIEHLAGDDGLGEACAKRNNHFFR HFIQFNSECLRCKWNEFLFTNEFIRLSNEFSSFQYKQIIHSRQLRLQFHRTNFDQILLYA ENLLEIFDNSTVQNYTITLNTTLIEDILSIENITLQDTYLNGIPKQQTEPSLILLPLEIR TYIVNQ >CAK86396 pep:novel supercontig:GCA_000165425.1:CT868574:161253:161913:-1 gene:GSPATT00020077001 transcript:CAK86396 MYFALLLVGIVKVMADNFGFIVQSGEQQCLQDQLLKGQMMALQIIANSTEFEFTQGQKDP QQIESRKNEILIKYTLTMWSNEYLKYCFRVFGSKNAKFDITYKKGDETVDREQMANKEDL DLIDGYLKQLDRVYAQMKDLNRFQKGSQENNQGLGETLGLQMTTFTMLTLLTLCISTYVM VKQIKVTIRKQKEK >CAK86397 pep:novel supercontig:GCA_000165425.1:CT868574:161971:162315:1 gene:GSPATT00020078001 transcript:CAK86397 MSNYQNLVAELIGTLEDLREKKEELKCEIYEEEEERRRIEKELEILSERLDRVNETIMRT ATEKRELEKTIQETEHTKNKIVESLKTLLHVLQKESQYHIKEQ >CAK86398 pep:novel supercontig:GCA_000165425.1:CT868574:162316:162906:-1 gene:GSPATT00020079001 transcript:CAK86398 MLIKVLYSEQLGIGYCIKDDSINTLIRRHCGLKIKGHYFLSLFEIYYLLNYKECMVCQYN EELKEQYDLEQYHWINDPVDFLNLFKPDVNRLCAYMHIRNMDHYLRLQLPTEQMKIINQQ VNNLPRKKMMEEDQQQNRNCEYLLYRVMSDYKNNVRGLKLSLALFQDGVDEEMLKSADVI GLMENGELKLIMLEDM >CAK86399 pep:novel supercontig:GCA_000165425.1:CT868574:162943:163753:1 gene:GSPATT00020080001 transcript:CAK86399 MNSLDKSPLFKFIIIGDAGVGKSCLLMRYMKDDFTTEYNVTIGVEFLSKIVKVDQNTQVK LQIWDTAGQESFRSVVRSFYRKVAAVFLVYSIANKQSLERLDSWLKEAKDHSSPSIITVL VGAQNDRESEREVSYEEGKYWMETNGLNLFFETSSKTRENVEEAFTETAKLVFLNHINGG ADNEAKLPALNQTDLTVKKLHQPLEKPDKKNDENKSCC >CAK86400 pep:novel supercontig:GCA_000165425.1:CT868574:164040:165487:-1 gene:GSPATT00020081001 transcript:CAK86400 MDEAVVLKQKKLAAGMVIAGKYKLLEKIGAGSFGMVFKSQYLKNGDLVAAKFEKRDDSQK GVSLLIREIKVLSEVNGLKGFPQIKFYGRDENYNFFMETYLGLNLEQLLRKSGNRFSLHT TLRIGIQIIERLQAFHEKNLIHRDIKPENFTISRQDVTQIMAIDFGLAKYYRDTAGKHIP FVNNKGLIGTARYASINALLGNEQSRRDDIEAIAYVLIYFHLGELPWQNIQVASKEEKYK QILVLKQNNELDKYSDKIPKCLMKMLKIAKSYEFNQTPDYMGLTKLLQDELTTDAKMDWE SLLETNNDKMSVSIDMIENDNQFDDLQDDKQQEVLNSMQKISQQQTKFINGRLNNSRNNI IFLKVPKNSIVLNDAQSPAGTIKSFNTSKVNHYGGSHINLNTQKQFSDLRVKSCENFQYN DEDNYEADSDDQPCILFKNLVVGRSSSKM >CAK86401 pep:novel supercontig:GCA_000165425.1:CT868574:165518:167243:-1 gene:GSPATT00020082001 transcript:CAK86401 MKRPSTAGVPKHSQSPKAFKLNSKLSEENLQQKQFQLSKTLSKLQFEQRNLQQQVNYIEQ EKQKSKITQDEKSGNQSWLGIKLKIQINQLNSQIEEKQSQIKQLQKNPNFTRASEQNILI EYLNYCSSLLKQEQNLMPNEVEDLKVEIQELEITKKLLEEQSENLSIQKSLLEKKISQQY LDLDQTKNEMKEKERDLRYLKKEMIKFEEKQKETQQNQKVTVKKKEVIDIDDKKAEIIEK DQQISQQEQIINQLKEKLQERTNYELRSKNALIDELQGLNQQVQQMREELKELNEYYLAE IQPLETESLPIIQGNRDHTFTILHDVYNKQEKKFPKAPRVRYEQVQDMGKELSLRLQLKQ ITVSEACAILIQNATNNAISVEQLQEQLLQEPFTMADPNEAKIIARYIVEDVNDEIVSLD PQATVKLPVVKSVFRHLLNCYDLLTQEQDKQLWEEVSVIVNKYETALRSQFDLLNKQQHG FMKPKDLFYCLDQLFLDLTDVQKEYVLLRLFAYTNNINKIMHMKIFDVFKAGSYIDRVSK DTRKKKKQRQTAKKIEIQKQDIDDFD >CAK86402 pep:novel supercontig:GCA_000165425.1:CT868574:167336:168977:1 gene:GSPATT00020083001 transcript:CAK86402 MFQPNQSQIKYHNPFGTTSAILFSQQQTSRDKFIQQRDGSENKQYPGSQIVYGPKMKIVP SAQTTTAFRKISKDLNITIEEPGNQHQPLRQPSPNMKKQREQMEKDWNKLIDKSKILQEN LVLLKNSISINLTKKLPDQRLSKESTSTATGLSSRLKLQKVNINCKLNSPFKIQTKQELS KSLSQNTFTNLKYVPNPVKNATNNNNSIMTLTNNSSIRKSQDSFTLQQKVPSQFPYPKQT SNLYKSIEQKLNFKISSFLGRGKFSDVHMAIDKRSGLIFALKIIKKQTVVEHAMQEQLAR EIGIQSKLSHPNIVKMYGQTYDDSHIYMMLEFCNNGELFQHQYKQPNKRFNEKDASTFIM QILSAIQYMHKQGYMHRDLKTENILMSLNYVKLCDLGCVREIPSKEDRRNTFCGTVDYIA PEVIKDKGYDERCDAWQVAILAYELVAGNTPFSEYPRDDDSIMENILKNKFELPQTFSPA LKDFVRRGLQQKPENRITIDQMLQHKWIVDNKKGFDKEFIF >CAK86403 pep:novel supercontig:GCA_000165425.1:CT868574:169679:170249:1 gene:GSPATT00020084001 transcript:CAK86403 MYKKQTRPLFIPKPENGEFPFDIKNVNTNDVKPIDFFRMYNSLQMMVEKLIQDQTVQQKV STNIDAIFRVFIEKDLNPPPPQKLVGRRSSPYTYQKQEFWLLVEHLNSLGFSYKQISERL QVHYVQISTHHRNTVDYDDDSEQESSQVKKSIKEKSKQVKIIEKQPIQNFDVFQSDDEQG SK >CAK86404 pep:novel supercontig:GCA_000165425.1:CT868574:170325:171465:-1 gene:GSPATT00020085001 transcript:CAK86404 MEQKEQLPFKTFQLLQIQCQMNNKFLAGKLQIKQQILRKQIETFQLKYLGDANDPDTHFI IELQDDFQNQFLYYGDIIAIKHFKSQLYVNVNHDQRHDHSGKADVSLMEKPEYFVIQPPE EDSILLLKYLNKKIDDPFRLLSSDNRNYLFSHSQKEQNKTLVKAKQKLDVDNKNEGVWKF VYFESDNHILKMFNIVKSQPIFVESGSKIIIRNWWTGFTLHSHTNMVQLGEVQEVTCFSH PRDKNDYWSIVKQQSKNKSKYINREDQIFLQHLQTALYLNVSDEESFSQLGHLVLCSLQV QFFSLQSKFSLLIIAFDEFILEMNKPFTIKFNNYFLAQSPQAAESKIGIQQECIFVENQT PACLWIIEKMI >CAK86405 pep:novel supercontig:GCA_000165425.1:CT868574:171659:175011:1 gene:GSPATT00020086001 transcript:CAK86405 MYNKFQPIIMDDTTLGSIDSKSVMFLTASPSQFKTKSQVVLSRKLSKLEPLIIEKDKRND SNSQEKRLSHDLGASQVLDWKSFMQKDAEYIKEFNETTEKFRRSSIQSQNSQIFMSPSIT DKFNHSQFDGRRSSINLKSPDNDDFLEKMKLGNKQLPNLTTSEFMKCVRQKEKLTEYAKV YQQSPQNIYLKLNNSQSFVPKTFGLVTTSGNQLTQVNASRYLRSIDDCKVYAEAMTTTQS QGIQKMQLNSNIYDPRQFKELLSSFPNTVRELELKDCKLNFKHVDTLMSYINKNLILKLN LEQNLLRDQGCNMLMKHLMNNNTLQCLNLCNNRITETSSVALSNFLKQSQRLLELYLGFN NLQINGSIQIWKAMYKNTSIKILDMSHNAIASLECAQAIAKALSRSYNELVHIDIRYNKF NHQQSQIIAEGLIKNETIYGFHFEGNYQDIIVNPNGFLINRKEELKLKQQKIDLLNKQPQ YFKLLDEEKLENPNNLKTDEDLCLAYHRSRRMKSTELNKQKVNMINKLDVCWICEGWQEI KFQWNQKSGSLRSEPIFIHFDFDDYKPYLMTFLNGEFFFVKMCPPNRSIKYFFTNPILGI QCIAEDQNVLYLHQPLPSIPFLYNNEILVDGNTMQMINELISHSNQVLFDRYVPLVQAKP REMMALFDFSPYLNIASTKWSVETSIFRYFPPDTDKLIEECFEFDYQNSKINRLVKETEL QDVKEILKQFYVQIFNCYKYFASGNPTAPIPCLGPTDYVEFLISNDVIEGVKPNDIDIGF TSTAGAKDVSFPQAYEKGIVRCQFMEVLVRFCNDKYIRSGLCQTMIEGLTQLQQQCREFL SKFDSTQAWRKMRLWNEKCDILIHDRMPMIKTLYKYTCKLSKKPQQYKYDYVSPQDFKDL LKQSSIICDELTERECYLAYLQSMITQKDELFQPKHYQMNLYEFIEAIARIAEKVSVIRG DKIIEIENRRAQDLQDKISGLLLLMYLTLIDEIKKALPNEPDVKHLEKCMNNDFRSKKQK LEDSFTDGDEPPYDVKVELPILIAQVPNLQGNANGPAKRMTIRNIKLLKPSTTKYSLTNF VQFFISLNERT >CAK86406 pep:novel supercontig:GCA_000165425.1:CT868574:175113:175902:-1 gene:GSPATT00020087001 transcript:CAK86406 MIAKIPLRVARTLLPMNYSGLRLYIPESIIEKNHLYQLIERGMIFETMVLKSTQSNSDQT EINGFKVQDLEDDLKLELSKTVENVQILICIECLKKEESFDEEQQETQKFAVLNQTETLV QNFQTLSLNHIPFSIYLTKGNGLVSCYECSAYRSKIQINMVQIIDDIEEHKQIPRQERGV AEYVGRNQIIDDTQQREMLNYLKSFGIDSELAQFVENLQNDREQALYLKWLQNKLNSP >CAK86407 pep:novel supercontig:GCA_000165425.1:CT868574:176298:179427:-1 gene:GSPATT00020088001 transcript:CAK86407 MSDQEYGEDYENEEQQNEQENEEQNENMEQSNKNQSQANNNEDENNEQQQIFRYQSQRQN DNDGDDENQDNNEQDQQQEEQQNEEENQDKENQDNEGEKEEDQQADQMEKSQQKEEEQEQ EVEESQFEQSMQSEKNVNLESIDAVSVKINKEEKGTQKKAAKKATKTSNQQDMVNQLMKK HKEKQQKMQKREDEIYVQEKIEKKQPKPQPKPKVITKQKLTFDKQTKAQLEDPQLNNQQK AAILTKDLGKKLDVQVVVKDVPKKMEVKKPGKGSEAKPKKNLFDVRIGAGIKSKLDIESE DKSQRDKEKERNAFLEQKKREKEQAIKFQQEQDELLKIKQNNIRKAQEKALERDNKVLQV NTEKQQEIKQVYEAKKKELIKEKEEDNKDQIKKDLDILRALRKENNLLNDEVKNQEIRIK ELDKLIELESRKENVIQINGEYQSLRKIRLKQGLDDLKTELVSGEQSQVLGDGNISDPDI ALTVANQIAKNDLKLNDAYALLDEDKDGVLTIKEIQNNICRLNLNIKEQEIQQFIKMLDN NSDGVLTEQEFITFLTPGLENQKQYIQIMGDIKDILNPIILEERRLHLKYLQKYLQKEIE DENKKEREQKPKYDKLVKKLKKIEKLVESLKGTTSDKGKVKQQCDSLRQELRSIQDKRNK VIAELDDKKQMIKENIDQLMKDLGDRCQEVLLMKEKLNQVQTQCQRLQLKLLSMDQMKNK YKKELKIKNEIEITGGQKQNVLQQLRLKEEKIQQLEDVKNLQYMHYVIIVQKCTRGFLMK KRLTKKLQDLKSCAKRLMAFIEKKHRHQKIQGLNLLEEFIKQQKKLEIARKEQELLKQQE QQKKKLKDKKANKVERFIAQQFVSIKTEERLNNLEQTLSIIPRVILIQKIFRKNKRGIPI SNQAINVCFGLGYSENCQICKTDLAEVICKQCEKPIQCDSCFRLSHKNYKRRNHEFYLMI KNKFRDANEQFENKKHEKQNEMDEVLSNQ >CAK86408 pep:novel supercontig:GCA_000165425.1:CT868574:179835:181065:-1 gene:GSPATT00020089001 transcript:CAK86408 MSEELTLQKVKENIFWADYYKESYKFKDFNIRKHGVTINDDFLSVLANNQYLTAQHFVFF QGMFSYYFGEFLVLSLDCHVFTEFIPDVSNTILSNMMVTQYQQKSTPNLFQMFESQYEQE QQSTQETQSQNNSIFQKTLNLIKFLNPLRFLRKSQPQQDLNLQDSFSNQFSFSYQNEVSQ QKELLQKYNFQVKSISYEKKDQQEPTQGIAKGEMKINNVIILENEKGKYQFNKLNIQIKN LKEKYKFSTLVQKNIFSLFKYTYIPINLQNNHWLCAIVDFNENQIQYLDSNYQIQNNVVE GLEQMQNYQGEQTKWQIIEGSPKQENSFDCGIFCLMALYQLYKKGKLIQPNSYNQQDINS FRKQLLHLAVIESQHDINQKLLNIILDFKHPN >CAK86409 pep:novel supercontig:GCA_000165425.1:CT868574:181090:184552:1 gene:GSPATT00020090001 transcript:CAK86409 MDALAKIAATGERAISELNKHTLTQWNGTTTTTMKYIIERLNLCFDEINFHLQTKGLNDE SNVISKLCNHYNLIFQKVEEINQRKHQNPPELPLEYCQRSFSTNATSKTIFGGAEIQSFS ILSSQKSKFISAEQEFRSNKPKFKKNVQSKGTDTGEDAMRYLNVEYLGEKERELQRVKEE NEMNLREIEKFLKFKGLWSEIHASNAPFKLQDLGKTLERELQRNEIYINEQIRIANQEPE FPPRDMKQEIKDRVVVGVQKSTADRILKYAERKMKEELEKFQLKKNSGLQMHKRKSQNMQ RIDELEHLINTKNEEILEKESKMSALFSKFEDQEKKLVNLMIQMEQIKANEKHNNTFTSS KVNDKQSHVEIQVSMSDPKIFKLELQLKEIVEENQNMKGELQTIYEQAKNDKQKVLLQPQ LIEEVLMMIIQSELQAEGKIELLQTFLQYLIENSENDIARMPPNELYRTVRQKLQNNNNS NRNLEEVLEKFEEMQSDDEDYSPSSIKKTKKVKNKQQKSKKIILERADSQFEQSISPEKS EKVIGIRKTKNKFEDQSNENSESQIVINNNTINDNNNSISAFKKKTLNKINEENSIIQKN SPRKQEIISPPSGNRNTTNNTSSVKQNQSDTKINKIITNQTSTQNQTQQQQQQTIQQQPQ NPQQQPKESSRFKTEQQKQLSSSRQQANKSRGQIEQSNQKPVIQSHSTTQFTNKQVRQIK QRHSIKVEYGEVDYDEIDEDVANRGVQVNFGNLYIKASMINQSEDNTNMMGSQIGFQTPL MRQSYRSQEEKVTAKFTQTDDFFMWNLFQKMQVDLGLTEDQIKKLEQIFLNEQQFIHYYE KTPSQRQKSRASTIIADQTTKAKQDKFIDNTINDSKMTTMIQQKNETTDSEQQNRRPTIS IMKTTQPPKGLESPMNLVGRQKSQVLLSYTNESSLIQPNQGRSISQTSDVHIVNYGSVST DTIAKKQKQTTSQKILEDMLKNKTGLTTQFDVETKEQKEQKMYFHVFGDEMQTDADFELE AVRANLGKPLEVIQDHLKEDAMKKIYQQFVNRPKNPWQDQLYMIISQFANKSPQSITYID FKKYYENYMRVHKRCGDGCIHIQRFLARIGFGINSKRKALNMSKQSVSPFELPKLK >CAK86410 pep:novel supercontig:GCA_000165425.1:CT868574:184599:185129:1 gene:GSPATT00020091001 transcript:CAK86410 MQQYTNTYESVVRTQVVSGQDQKPLNLGLTDVEGAKAVARRLFDTYDRDRNGQIDNVEVV PMIVDVYKSFNRIFSPARGDIDSFYKVLDRNSDGKITYQDLEDLCIRYLTNQTPTNLRAS EAPRQSGIQQPSQTVTSSYRRTQY >CAK86411 pep:novel supercontig:GCA_000165425.1:CT868574:185563:187546:1 gene:GSPATT00020092001 transcript:CAK86411 MNYQQIEGAQEIEEFNFVQAKKKIKKPKKVIAQPQSDITFTCPDAAKPQQTLLDIILDNL PQSELYERSLMHRENINIVLSAFKSHIIITVSTDGHIKMWRKVFRLVEFIKHFRAHTGIV TCATLSDGHDKMASVSPADRTIKIFDILNQDLLDMIKLPFQPYGCEFVDTADVQQQLLLI SDGNSGDIYVTESGKILRMVKIHNQPVKQLKYLSNFQFMLTIDTTGRMEVWDPITQDFPK QAYAKPQFKITYSSKVGTDLYELMQHKLQCFGLCVSNKQNLIVLYVSDKKLRVFNIQTGK IILVMDESIEAITSRQNDQANYPILHIEQQDFERRLLIEKDIDKQPEQLKQITMCFDETD SILIYPTFIGIKFIHIKTGELVKLLGKMESSSRFMRVALYQGPAMKNTQNEQSHSSLNRK ETDPSLYISAHKSNKFYVFSRRIPEDLADKPWAVARDVLNEPLNMIQQSISVMSDNKNKT LSGCQAIIQTTFGEIYINLFPNETPKTVENFIQHSKNGYYDGLIFHRVQQGFMIQTGCPK GNGTGGESIWGGEFQDEFHPELRHDKPFTVSMANAGPNTNTSQFFITVCPTPWLDDKHTI FGRVYKGMNIVVQISEVETDDFDKPLNDIKIIAIKIL >CAK86412 pep:novel supercontig:GCA_000165425.1:CT868574:188556:191650:1 gene:GSPATT00020094001 transcript:CAK86412 MNDDSQILTQEFQINLDNFELDSYFGYGLWSRYAPLGNIAQVGTIGILDSNCFHLHNAVS KSTQDLNLIVYDCLNYETLTIVRKIQFVTIDENWHKIEVKLDNQNFEYVWHYFQITQWPK QKRFELLIIQYPEVKLQQIVEDTLYPYKDAELILTFGGGLQIQSQNMIQILDGISKISFY PGSFLLYPLSFDKYEVSTDTAISTIQFKVECQCFSNWQTYLQNQELTWLDNILFTSQNPN CNSFSYTTWIKITNVHQTSQEFLYQIIKLSSNFENPQLTDDNLAAFQLFYKFMEGKTQLI FTTYSYTFPLVSLNLQNDPFLIKKEFDLINDIKLWHFVQVILKNNQLNISIIFYGNFIHY EYKSLLTVNQFHLVKFKLQYGNLLQTPNNYLSVNFVDTQFYNCFENIVVPEVHCHISCED CDGPTSTNCLSCSKASNRIFKSEQKSCVCPYNTIDDQQCKDFQSYNAVLVKENTNDQKCL QGYFQFEEKCTKCPSIIRSTSITCLECYLYPQTWANDAFCQTNSFNNLQGTVTQYWQNVQ QNFIYDGNDLLPRYSSYSEDLNEYLNIEFEQSLAQFRNFCFQGSSPFAIQRDQNQCYNCA MKSCLNCQIIASKAICLKCELVSELVDGICNDKHQPGYTEQKICQTPYYRTSTYECKLCS IENCQYCFEYLSNDLTKCTLYKNFQPFGFDDSYHLGCALCKDGFIFDFTSGICKYQQPQI INCIRSYINLQGQEICTLSTEDNFNVAPEIINCNRYIANCNQCLQTPQKVIKCILCEDGY ATSVITGQCDKCKIQYAKICIEGDFFLMDEWVQLIQSFLMQFLPDQYLYPKPELELMRKQ LPFECYPGYKPDHTGFCIKYCDSDCLQCVLNQDTPNSYQCVQCPLNYYKSPIISSESGKC MQCPQLCQLCQSRTEQEIKNINPYFVVTDQTIKYTYKCLEKAPDENIVIDPYNNIAKYCE NSICTDNIQYQVIKIYDHSLMLIVIIVSLFSYNGFHMIIMAHKLNWIMLINQVELV >CAK86413 pep:novel supercontig:GCA_000165425.1:CT868574:192298:196394:1 gene:GSPATT00020095001 transcript:CAK86413 MNFTTFAQNTNQSTLSFKNIEFFLNKVYQSKVLVLTSNLSISNTQFGFNQFIDSQYLFYR SQQRKLKAVQIQTLIVFNNEFTNSSMINLQSIDATDNIQVTMSDIQLYDNIRNLNSEQLY LFILTCSQILIQDCAIKTSKNMQHFKLFDTQSIMIENMNYINEEQSKQIPLSIECFNSEE KYSQLLYISGFQKLVIHQIIIQNQFSIDYSFVHIISNILYQPHVQEIIEIKDVLFQGNIL LKQHLGSIFSSLLIYSEKLQEIRLENIIFEENFFNEQIDDPSQTSAGLLFINSLQSSVFI SNITCLSNALTNSSNSFIFINSISIQIYKGGAMSLTSSNINIAIGQFTYISSQSSSIFDI KTQGLGIVQLNQLQISQSEVDLVSTTETQGCISIYSKDSQLNLLIRNVKFQNVFNRLSSS ILSIIPSQKYNKIDINDVILENCLSLNNQFMKIEFTLQKQDQNLVIIQNLSIYQNYQDWK EYFNKISPISISEINKVIIDNALINLNGCQLLINRLISEGIYISPILKIVDSQITQIKNC KINSIQTFYSFSIFYFGQIKNIKTSLFLENILIKNVTILQLSDENITQFEDFQIKFDVDK CSIQRSDSINQILQSESFWSILQYLNYNITSQGSLFFIQSISNENSIILRSLSLIKNNYS RNLNGLIYFDLYGFQDLKIIEVHCIQNNIDQFGCLNLIANKNLEKKIQLSNSKFILNKGI YGSAIFAKQVIIHIKHCQFLQNIAGQQGGAIYFENCSNNFRIINSLIIENQAKEGGGIYF NGVNQINQNNMNNSLIKLNSAEKFADNISQQQILMKCILPKNQVEIQQQILLYQYSYSTI EQGKLLKTNQLYLPSNQQILNFKIFNQNQQGFLSYFYDFSLEFQNSIKEKITNFYNFTCN LQMMSQTQSNKQLSDPYPIQVLPYDLTKNSYDLQQLSFSFDPYQNTDKLLLVEINCSTQL NVLKYIFTAKTFKCQLGEFYVNNGCQVCQSIQGFYSVTYNATKCSIFDKTKFQNITSNKI QLLEGFWRPNILSDQTEQCVQCKNCCLGGWRVGNNLCAIGHIGGLCEECDNYNIQGNGPY FRILQSTSCSQCFYFLSGLLPFLLSSVWYLLQLYQGTNQLFSSLKLKQKFGKILFKLNQG NNNIILDHESILIKMLLNYLWIFSIIFSFNITFSFSFDFVDQTCNTSFFMANSLDCYLIQ IYNTEVNYSRIITQFILIFIQLLIIFAGFQVHSIATKTKFDKSILSNTALYLYLSNFAAI VKQFFSLLSKRTISDIEYIQGNVSLEFNTQSHYLWIYFFILPGLSFIGCFIPFILFFVNV FNEKKA >CAK86414 pep:novel supercontig:GCA_000165425.1:CT868574:196426:197110:1 gene:GSPATT00020096001 transcript:CAK86414 MFNEYNDESFFWEFVKIWKKTLLIGILTYFESNVFLKATLIGLCLLFYQLLAFKIKPYII KSLNLLDISTDQICSITIFLAAVKYVSEQQENQAQQVLLQVLISILCIKLCYPFIYDIFR VYYKKYKIIYLNYLITIMKFISPNSYLYNYLNQQLVEWKDKEVQLKKNFLKLKQYLFNAS KVQAEQKNFQSILSPSITIRNRLVSKENETKRFLIQEKE >CAK86415 pep:novel supercontig:GCA_000165425.1:CT868574:197531:198369:1 gene:GSPATT00020097001 transcript:CAK86415 MEESQYDLGVLEDIDPSLDGGFKIQFNKEINIETRLIIQNLMVRIQNANTGPQEIGTLEQ IQVKILVQGDQQAFENLKIELTSETDLFFNYISIIDKENFKKIKEEQKLTIEYPQFLQML IKLLSSSHKEPNHFFCVFFMQQDGAAKLDFIENLEYKFMEMLSLKFSSATEETMRQNISF RYNFIKAKLQFVQNRLNDITSLIKLKNPSLLAQLNKVSISSNQSQSNQNVSKYMGQSRKN NNSSKFI >CAK86416 pep:novel supercontig:GCA_000165425.1:CT868574:198739:202077:1 gene:GSPATT00020098001 transcript:CAK86416 MDTISEDTPSQSYIKDASMQEKTDNPQNFEKLEACYLKRKVKAIQNICEKYSIFLYFLLG IDLNKHQIDILQQMWGNFSMETQETQLPDAIIKFPNPDYGQSSSHSISVTEGFELVKTLV KFNKGSMEEKILLKACEGVLQILDDSKENSKHNRKKLFRQKVRRENQFIQFENTEESKRL ETSATINEMDEKEKLKDQLVLKLSNKLTGSWQFDSDQNQINEAFEIDYKLLKFLPNKKVN KGGYLVKYENEWHRTKRDTKDFSTLVRNLICLILCRQGYFTQQFLSKNGKYIFCSLFATE ESLKIQAESQGLFKLLNIQFIDLYSFEPLDKSYRPLRLNNRLWKPQNYKNLSSMFLYFRP ILVELIQEIDFKRIAREVNQSGINQKLFNYGFEMYDDQDCPSDQEWAAYYFFLTHLHQQI TATRSSFKIHNDIAALINKQITPLELYLRRVQSENWVKAKNTKQSNTYYQDLEDIKNKKI EQLKKDASGVIEEYKNIFNLTTAPYTKLIKVLKKEQLAKKYLEIFKESLKVANNEKKYLK CLWDLVYLDQPLNMKTKYSNPQPDRSLHHKHCQLMCWKKYQLNQGEKVTIFQSSERLRLA YLNIYQNFNISLLTQKGLIKQLFCLHDNFELYGIYYSSYENLSRNREQFYQEKLFDIATQ LKFHLLNPMKMKFDLLCQYFGESVGTYFYFLCFFSQMLLYQNCLGLLYYLIQIPSKIIYL VFQLQITSLYTSKWEQQLKIFNQSFGINSQEDIDTTSNKQIGEPKRSLINDQMNVPSINE LDQIFRECVSMLIFILLQLLYSVIFIALYFLCAWFQSLFKQTTAINNSEIIIIAILHLGL NKLYTFHAQKTIQQLVDFEQQRTIQAVKLSYNLKLYSFSIIQQLGPLLILRFFNHSFQLV CQSDSCEQYIGYYFLTYIIIKSVEKLCVFLFNFYSLRRQPLFIYKFQQHDINEYIEVQSK KPSNIKDQYFNCIEENLQQNMVELTILTYFAYIYPITYFMQWLLFVVQIYADKAQYLYYY QRPWPQIDCFVKVWNNILQYIILSSVIITSYGLSNSLVFQDKSQIFVFVSFMLLSYTMNF VYQVWYSETPSYLQK >CAK86417 pep:novel supercontig:GCA_000165425.1:CT868574:202174:207513:1 gene:GSPATT00020099001 transcript:CAK86417 MPIYKFYGSKLQEQSEEFETMSSDSDLDKYYIRQDDLAEPILQDDDTTVQKPKLKIRDRK TPIFVRSSSFYEKFMIPKKKKAKNEENIQRLFHKVNLLLSYHKSWTDLRIIQRNYYIPRK KQFVKNFDILRYNIIQRSLQTQKIQAKLFIQKFYRKKITKLKDSKEEHDILELEGIYEKM RKYYNKHKWLNAQKFIFIKIKKAVWFNRFRKTTIRLNSKILVNVFQKRLLAKQFPGEETN FQHFEKNNKGFEFKEVSLLNIINFSQQYSQNHVKMIPLSAGYTNLKYYSASYKKSSTFKD VIEKVRDTYVFDTQKLILQSVMMEYFEEEMFAMPEINFVKQLNDTMWLANINEKKQPQLI QFLQLKHGQKLKYLQSINNDRGISYIQGECYTRLSNHIDKIDDFYVKGYCVIVYEQSPIT TLENVIKYRKKYHIHYSQDEILSFFYDSLLLLLREQHGDISTKNYLLDNRGYYLGSAVKP ETDDDLFCLAKVLISMITLTSFKNSLNSLGDMEKHDLYDVIVKMLNGSSNISELLSFVKY QEKFEESFIVERQEIIENQQCDFSEYLQLTLHRGNFSLRMKQYEKGLLYIHQFEQILHRQ IYKCGNNALEAFQGYVINNFMKYTIEHHDMEEIIQVAMVFYIKFCIQMQVKVRRYNHSTY NINNIQENVKHGNQLNQQFDLIQNCLGDLIKNLDLVLIQLQININLEQITKQEAQALFGI TKNQAGNVIKLKHIERIQLINQLRLNKKLIENLFQFRKTIRRFQNQFNALNCLAHYFKME MPIAAKKIDDCIEIQMELIRSKNQLELTKELKDLSPDLYNYNQMSPKENKIDAFTFQINF SMSQFCQDDPTYFHQLLYYYFLQIEIWHDSENDIYERKAKSFLLMGIENSPTFEYFCSYL KSITFDEIPIQYIRKEQECEIGKFECVKLQKWIEQTYSYQSEYILSTDFQYMWKQVMTYS NLNQNIHNKQILHEELKISPKDLKVQLYLIRIIQQILHLDCYFPLNFRISQLRYPVDQTD RDIYSNSYIAQLQSLHHSITIPFDSWFHSNGLLQFRLYHIQSIFFAFSPKNIENLENSDN SLREIARNAVSILNLQKDNRDILMKIQQILLEDALLLIDVNLKKSQLFQILYSYLLLKIH QNQDLVQTMNVILKEQAINIQPSIIILMILQILLQSQQYDQMEFLIQFCQKSISTKSGLF AQMHENFENDLNIMALYIPNKKIDLNFIAPYDALYQRSHSVIFYDDINIYTRHLIHHFFY IQNFYEVIEYFEDNLNQIENNRELTHLKQLYENFLIYIKVSLGIIKQEKAQDFILSIHSQ IQDDNNTLIAAINKHILIRIYLNQKEYHVALQYSYQVLQFFYAYENSKQITLGGDFQIKH SKRLSKFQEILSNFLPKDLVRKTQINQQSNDVYYCHLIDDEFISEILLNHIDILTNINQF KFSFFQVLNLLDKIKNYYQIAYLYKLLSLIFLENMSLEKQSLVQYDQQIMNEAKETIRER KLLINCYQSFRHQHTQQEVIAYNQQLARLTFKQIVYQKFAKKPAKEDVLFLIQLSAEKSL EFFQILSQQQKIINHIFYINIYLILAECHLNQLNFTQALANVDLAEEHTIEIYGKACHPQ IGYLLLSRVQFRKKQQELQIQIVKEIRLKSFFDVSQIKTISLLLIQENKTLTYWLDIYKR SRDIQTFFKNVKDVLKLNQNFCDSFLFCDESSSVEDIFREITRLFPSLNYISAQSYLSAS HHFIYLDENNQYRQQINQLLNNHI >CAK86418 pep:novel supercontig:GCA_000165425.1:CT868574:207547:208501:-1 gene:GSPATT00020100001 transcript:CAK86418 MGNDGGSFAERAELVKLKKPEKRVESLLVAKQRAQFCSLTKEKLRKPIACCRIGYLYNYD SLLKAFMEKKIPQEFKHLQNLKKIKKLNITENPDQNNEFPFVCLFSQKSLNGKEKFQALW TCGCVFYKKLIKDLKIKGLKCPICTKPYTEKDIVQLCPTNEEAEIKKAQLYKEIELKEKQ KKDQKKQLKVSDAVNNLELQQKKKVCTEEQKFKGQTMEDNKLQNHTQDLKNNEIYQSLFH QQHKLEDNLFTRNVRFGNR >CAK86419 pep:novel supercontig:GCA_000165425.1:CT868574:208586:209559:-1 gene:GSPATT00020101001 transcript:CAK86419 MDQQDQDSLLEISDSDRLTSMLLSNNLTDIFLNDYYSKFKNSQNILEPSMTMSRVSQLDK MSEDNSNVTHMKVVHASDRLVIEIQKNQLLENKLGQQAKLIQDLQEQIDSKELQLQESIQ LCEQLQSQVEKSEKINKQKSKEISELKFVINSLSKRLNFTNLKQETLQSSMECLSFRPSS QPRGNKAHNNHHSYSSSRAYFQKILTEDTPNISNDKIVKDKVLSFLNTKLKDTKQTEKND IKKEKYYRSQSNFWSSLTTSPNPSKSNHTQNSISVGKSQLSDKKIENTLTLLKAIKIQCN QFQNHKKLY >CAK86420 pep:novel supercontig:GCA_000165425.1:CT868574:209626:210769:-1 gene:GSPATT00020102001 transcript:CAK86420 MNELYQNKCYLRILSEFRELKLPPKVTNLKKDCQQTNQIIDLLRKPTSHRKMLSEHCPKV PQLKIEYTYSKNTSPRFRINKNISPTNTARSSRPTTHKTIRAYLTQTKVGKFKTLPQIQQ SQQAIEGPPQLEISSCQQLTALQSPKETVISEFQSPRIMQRFDFDHQFVKAKIEPPKRSK KQLRMILLRAINKLKAMNIDPQYMIENKVFSKKPYQRKMSNEFIHAVKLNQMDRVIELLE YNRYLVFDFDFYNMTALHWACKKGLVEMVELLIKNHADVDAVDILHRTPLYLAIQGNHLV IVETLLKNKAYPWSTYYTDLAEVVQDNRKVQKLLTLVRRIDIINTWGDKKVEEDCI >CAK86421 pep:novel supercontig:GCA_000165425.1:CT868574:210781:211827:1 gene:GSPATT00020103001 transcript:CAK86421 MQKFKKSQTIPPRTKEKSSILALCVNCEEFINVDRIDLHAQMCTQVSNKVLKFAVSSFYF EENDFKLKKLKQILQNKYPEHSQRLCRICELVTQINSIGCVEEASLIQFEQELITMSKEP ISSLNLSLYLERLHSLVIQRISIIQNSLNSKVIRPSQSQQNFYPQTSTAFNSKLSKISSG SMQTPSNVNLQNLYPNQVEQSEIVFSGHRFSQGQSSENRMTIMTRFSSDSGNQQQGLISQ QKRGEILLTNNSEQSPDKKQEHDNSKTFAQRCFYSKVLNLKLGYPSNSPAQKIPVFLLWR QAEQKQIKSENWDVFIKQCLGNPFEYLDPKKLKNNLNNSNQNQQIFQQ >CAK86422 pep:novel supercontig:GCA_000165425.1:CT868574:211920:212182:1 gene:GSPATT00020104001 transcript:CAK86422 MGNSESNKKNDPNGLNGQEISAQLDPELSQSLKDLDSSFQILIESTNQIGTKLNSILEGM HEKASKFQDKT >CAK86423 pep:novel supercontig:GCA_000165425.1:CT868574:212321:212698:1 gene:GSPATT00020105001 transcript:CAK86423 MYQYSQSYHQQRKENKQNQQYNSLQLEREQVKSFIKQQELNHQQQSQFIKEQINKQTDSI NTRIAQRRNSLQVDQEAKQIQKIVAYFNLRIQKIRDQVQNEDQLILIQQLEEEKLNKIIQ IQNQI >CAK86424 pep:novel supercontig:GCA_000165425.1:CT868574:213278:214681:1 gene:GSPATT00020106001 transcript:CAK86424 MNDVSILIDELNDQILTDQFVYIDLLGGGAYGQVVKAQSKELNQIVAIKVNEFGLNVQII ERIKNKAQDQEASLLEKCNHKNIVHFHKLLYTHNHLYIIMEYLQGITLQEVIQKQLLENK VRNLIKQILEGLSYLHNQGIIHRDLKPSNIYLVRENNKSIVKLIDLGLSYQISSHKIANK QCGTLLYMAPELAQDVPYNQTVDIFALGIILYQLFHDGQHPFFVPGMRSSEYFRRLAKLE FDLRFRENIPPMAKDFIQKTMALQPDDRMSAYQCLDHPWIKNVEQQKFPITTNEIISTFI VKQKFIKIIKALMVQTKLSQLSIKKDPKDSQSNQYTKSNLTDVNSQQEQEFIHLRLPMSQ RDKPPLSKSKMLKTVQGTFNTPQMSKREVSRQSLALSFINSPQNMTPSSKSKRTLKTPSP TQFRLPAIKSPKQAQSPSMANNINKSRFFQQ >CAK86425 pep:novel supercontig:GCA_000165425.1:CT868574:215105:215410:-1 gene:GSPATT00020107001 transcript:CAK86425 MLNRKQLTIQLGFRSRQTSPSSQGSATPKHKKSNSYLQYSPKLDCNNGLQFVNEIKELKI ISPRTEDLYSPKSKPLQQKNTVKDEQMKKSQFSKTKNEDFI >CAK86426 pep:novel supercontig:GCA_000165425.1:CT868574:215633:219178:1 gene:GSPATT00020108001 transcript:CAK86426 MATKQKSIEDYRNAVKLLKLKVLKLQKHNEELVDKLREQGIPLDQQHDQVDLSNQAVMGI FSRSCRRVGLTPEMMFRASDREGQGQISTEDMRLFLSKVRLGLNNTQLTMLVRIFDEDCS GVIKREEYYDCLQAYGINEEKVSGQARTYGQESLLKYARLLLMNRMSVEDSLKRMGDNII PSHFVSFIHGIDGSNLLNEKEIIAVFNHIDVNKSGVLQATFYDQEVRKAMRVAQSDIGLG VQINPNPNAGGGGGNPIPKAQPVGILKPTQASQQLDPFRMTQTQMGQSKSGLNMSSSHAF LNETIIMLTDEDRKNIQTIITKLKAQGVDAIEFIRNVIQQLEVPGAGILLYDFYKRFDKK IPKSDQLSFFNAIDLNKNGSIDYDELMVFFQEFKSPKDNYDLLFEILTRKLQALEIGIVQ HLASEQIYEDTQLNQELFYQLAQILFSCPSKKHSDALFSYFDIDGSGVLSAQEIIDQVQK ILLIYVPQKTMNLDNTLNKSMRASLKSVGEYATTLSKLKPVLIEEDYFSKYRMEGSGRTL HKEETKWTTIFNSDLACLRYVYEEISKLEQSPGTKWEDPDFGPTKEDPYGSKSMYFADND VPEGAPQPKECKWLRPEEFLAALVENGDEQYKNCTVDVFDEDGAASNDVCQSKYLGNCWF VSALSIISGYEQYLQGDFVITQESIQELTDEEVNGMLIGVYPPCFKFLRKYGLYVMRFFK NFGWKYVVIDDKLCVKDNEYVFGKCRKPTECWVNLIEKAYAKLHQNYFSLTAGDIAQGLA DMTGKVPDKIKLNEECTKAEKEDLWNLLLKCKKDGTMLGCSAEGGTELYLKINDEDTGVM SGHAYGILDVFEIPDQSCDNYHKSHRLLKIRNPWGYGEWKLKWSENPDYSAKLDKWIDWI NDYYQKEIEKAKLEGKEPPEPYVYGQDDGTFFMCFKSWRTVFSNLFQCIDFPDDWSGLRA FDQFGLQSSGAPMKTEASLIQYAQKNPQYILELKRKKGDKTSMYIQMQQMDGIYQICILI GRLFLGERYPFPNVMKPILLCVFSLGPTEKCLAKFDDKKVVASSGKLNLRREIDTNDISL SNGRYAVIPCTKEGAQQIDFTLSFYFDCDKSEINITKYGDPRFKLYPITEEEEEVSKVPD ELKKLLKKQAQEVLSQQ >CAK86427 pep:novel supercontig:GCA_000165425.1:CT868574:219191:220690:-1 gene:GSPATT00020109001 transcript:CAK86427 MDQANKLQYQQSVEKYLERNKVYHIFEDLLKSLIIKKPEDPIQFLINKLQEPETKKIFVV GPPGSKLRELSLTLADYLNFHIVSIGDLIEKELSKKSELSQQIQESLDKFQYVSDDIVIN IALNQINHLENEKKSYIFEGFPKTRVQGLALQKEGIIPDAFLILEMSQEKVYQCCLKKLD TEPFNKLNNKEDLVKSHSLEYQLNLKQVKEIYKNQYFSVDGEKNYELEDMAQLLKYKLYD NAPKRALRIVVIGPPGSGRSTLAKKLSGKYGFVYVSTRELISNLVNQKGTTGKEAFEKVN KGDLVEDRIVNALIKERINQTDCQLQGYVLDGYPKTEKQLESLNEMNIQPTLMVIIDVAD DIITRRLVQRRTDPLTGIIYNSIDEAGKEVRPRLIIAPNEKREVVQTRLKRWDDLKQLID GTPKYASIIYKVSGENPVDNMIESVCYHLEKMN >CAK86428 pep:novel supercontig:GCA_000165425.1:CT868574:220745:221083:-1 gene:GSPATT00020110001 transcript:CAK86428 MDSQQINCPLSELMTNEEIREWAFSLDPQPEKKSKLNSSMPQISSSATINRACQKQQEQK VKQVPRIIQTQPIQVENQKQKKEIIHRLKLQNRLMKEMIQQQKDLLEQMKKS >CAK86429 pep:novel supercontig:GCA_000165425.1:CT868574:221183:221712:-1 gene:GSPATT00020111001 transcript:CAK86429 MNNKLADLISNYLNEQKHLTDKYTRLFNQIKQADNYYGRNSNSILNLKKRSSNSDYSYSS NKEKVYCQYCGKQYTSRLPLKNHIEKFHFQETYPSKQELSTKQITPYEDDDDCANDDENS YNEADEELRQKLLRNVQAIEKRECQRPPQEEVIISGIDSDSQN >CAK86430 pep:novel supercontig:GCA_000165425.1:CT868574:221820:223198:-1 gene:GSPATT00020112001 transcript:CAK86430 MAILRIKEQEVSYSYLYIVVSYIVIKYLLEQFINLRQLDQLSVKQMPIHIEQTLGITQKQ FKRSQRFYYDKLSFEMYTKSIKTAMEIIVILCGVMPFIWERTVTFFKMDPNSEFQRGLAY IFVEFLRLKLIDVPNNFYNTHVIEKRYDLSQISFALQFSDLVIESALWVVFVPILLYSYL YVAELGGDYFFIAMQFFVLIMAIVSSLVYPNYIQPLFNEFEELKETQLKQAISQLAFRMN FPLEKILVMDGSKRSDHSNAYFFGMYSKRIVLYDTLINNLTNEEIVAVVAHELGHWKYRH PYIKLVFFCIKILITFYIFGFYRDSDVVFLSFGFNEKSIFIGSALFFSLFEPMNTLFQIF ELHLSRFFEYQADMFANRHGLGSYLMSGLIKLFKQNSTNLMVDPIYQWYYNSHPSLFERL KYLNKLR >CAK86431 pep:novel supercontig:GCA_000165425.1:CT868574:223230:224216:-1 gene:GSPATT00020113001 transcript:CAK86431 MQILESALKKAVGLGYMKWGLIFGLANGMAVYSYYRENRNKYDVEAYQQSVTRYVSYQSG KMAETYIPKWLRSPLFSLFGYVYDVNYDDMLEPLENYENFQQFFTRKIKSREFDKNVNKL IVPADSKVLSFCEVKDDSPILVKNVHYKLGYFLTGQETFEMTPKILEDARKRKNTKLYSV IFYLAPGDYHRYHLPSDFQLKSRSHIVGHLAPVKISYISSTPKVYETNERVALFGTYNFG LMSIVLVGATNVGSMTLNYDKEFQTNQKAQELFVYKHYDPTISLRKGDELGMFRLGSTVV MMFEAENVKWNIEEGQKCKWGDVFAEVS >CAK86432 pep:novel supercontig:GCA_000165425.1:CT868574:224364:225338:1 gene:GSPATT00020114001 transcript:CAK86432 MQALKTVVITGANKGIGFGILENLIQKQSYKVIMACRSLELAQQSRTKLLEKYNLSQDRI DTIELDISSSGSIDKFIQEFTTRFHQADILINNAAVAVKSDDFNLEVVQYTFKPNFYGTI ELTEKFIPLLSQNGKIITVGSSAGKIKNLKSEDLVKRFQNPDITREDVFKLAEEFQEHVK NNTYEQNGWPSWGYGISKLLINTYVKTLAQNAEVKNKSLQVYVCCPGWVKTDMAGDKAEL TIDQGALTPVYLVELPHEVNPEYQGKFFYLKKVEEL >CAK86433 pep:novel supercontig:GCA_000165425.1:CT868574:225617:227125:1 gene:GSPATT00020115001 transcript:CAK86433 MNTKQKGLAQLFYRSIYNNTHGLLKQRMRDLVPVKQALLRDVRKRYGSKEICKVTVDQAI GGMRNVFALFYDASLLDAKTGITMRDYNIPELQEYLQKGENGHEPLPEALFWLLCTGDFP SEQEFADLQQEWKHRGQLDSQTQNFILSLPKQAHPMTMLSQTLLYLQKDSLFQQNYDQGK ISKPQYWEYFYEDAMDLLAKIPRVAALIYRHKYKNGEIISADNNLDWAGNYAHMLGYNKF EVRECLRGYLSIHADHEGGNVSAHTTHLVGSALADPYLSYSAGVNGLAGPLHGLANQEVL KWLLEMRDELGENISNEKIQDYVLTTIREGKVIPGYGHAVLRYTDPRFIHQKEFAARHIK NDPLVDLVRQCYHVIPPVLKTIGKIQNPWPNVDAHSGVLLYHYGMREFQYYTVVFAVSRA LGCMANLIWSRAFGLPIERPGSITMRWIEEKYGENQNSK >CAK86434 pep:novel supercontig:GCA_000165425.1:CT868574:227199:230423:-1 gene:GSPATT00020116001 transcript:CAK86434 MQLSKKFEEFQDLDPIENIPQRRVSRTMGYQNSESIQEEDEEQSSSSVNMPELINKFKNS SIKQPVDGSGSDMKIPTIYTNMHSNNSLEKNPFQIEQKIRVYNSDSRLMDVSVLDPQDSQ YSESLQSEVMDKMKSVTLNSIHVKNIVTKFIQKMRPNINWSQLKDITLIDDSTQGKYVQL YVVKPQLYKWFTFCYFTLTSAIILTSSIFIPIHIAKEPGFKIPAYITHCLALIQIIADIY FKRGPFHLSEGKFNLEYVDQTKIILDLSRLVSAIVLIFPYQYEIKYLLIPYIALQLVRQS ERFENIYNSTQLIMYILILWVALIMTFACLLDSEEDLNYSLTLAVSLLTHNGAISIDVTQ SNALLLQGFMIVSSFTMIYTASAIFIWIKPAMKLEEEKEKHLASFLNGIKDKRIDYGLQC RCYSYLEYVVDEDITKTRELLSKKLSPGLQEELQVSIRSKMVDKIRLFEKFSSSLKQQLI YWFDIAQYNPEENIVQEHQVEDFCLYFILKGKVKIQFQGYFQGKPKRTFHTLSEGQTFGE FSFVSGIPPYISINSQGLTTVLKLRRSDFLEIIKTYPQDNEIFCLFKDNCYQNHNMFECN YCKIKGHLLFECQYLQYYPKKLNVIEKHIYPHPQQRYKIDRKNKEPKALSMLFIVGERAK QYQQKLSQEVMTSEDFPMSSQLPYSESIYTLDINIYQIYIDQTQQSASYLSKTQSVHKYS PEQLSENPDSEEDQLYEELAVDPLLSNQLRKQQNKTTLRTAGFPFMSETLENLHKEKLQV ITEQSQSKQFDSLNSKQEETNQLSKQSSGSQAFAKKNHHLYTAARSMHAKLTFRYQQQQS GQIDDQIQYQQQQQNSIRQTSNRSNTYSNPLSNNLSNNPSSNSKANTKSARVSPTQFQQP PPQKKKEERGSHSDQSLSEQQRHTNRKKSTKTGTKVSILNQMSQFQIINTPENHHYQYND VVFNRFEKMHLFKHYNPHNNYDNVIIRMNKFRRTKKSKPIHYTIKCFVSSKIKRVRKILD SQL >CAK86435 pep:novel supercontig:GCA_000165425.1:CT868574:230449:231581:1 gene:GSPATT00020117001 transcript:CAK86435 MKTHSVYPLIEDPLPFPLLNDTFFSEYSQDPKLIGSLNQEMLHLNHKCSYKWLNQPRNEW NFENDIRMLVITWNMHGMIPNHSLKRLFNIDILHHDLIVVGTQECQRSIVTSIFCESKNE WEVKLQNELGKSFIKVQSASLNAMHIIVFAHKSLIPKIRNGKSYTFSQGFMGIVGNKGGI AVSININEKIFLFINSHLESGQNSESKRQTQFSKLETHFESQVSSNHNHVQYDYLIWTGD FNSRIDDRIIPQTIKTHSDFFGWLGKDQMYLSRRNKLNYQSQFQEGMIFFPPTYKLLQYY NSWAVDQDFRVPGWCDRILFKENKKMTLQSTQQSFQEITSKLKLQNYDANFDLLGSDHRP VFAQFTIAL >CAK86436 pep:novel supercontig:GCA_000165425.1:CT868574:231623:234243:-1 gene:GSPATT00020118001 transcript:CAK86436 MACHFVNEQEVLEYASMTSSHHDDGTENESQSEDARQSNQIKNPILLKSESQFSESDISN KIKLPRGGSVIMTKAGAIQFSMVPETLKDSINLGLDVPGIFIVPSHRFDKRFCLSVAEFE FPAYFSFFVKRRRVTLITDKEGEEAIRAIFQETLLGPKDLSKFDQDFSNDYNGKPDIVKE LGHFAKNPFNPSEPLTVDLLINFVIFDEQNQVNLGQDVIVKKVDQKFLIFENGKQIAKMK NKVEIMIDDVKSNAYIKYGLFSNPNDQQYVEHYFEQTFTPPTFGVTGSVSGYIFWINGLG VMLDPPPFTTLLLRKNGIPSRLVKWVIISHNHADHDAGTFQKLLESEKTVLISTATIKES FVRKYAAMTGFSVDYIESLFNFERVIVGNPLKINGASFEFHYSLHSIPCLSFTVRLGGKS IYFSGDTFYEPNGLKAIFEKGVISESRYNFLMSEDKWKNSLILHEAGVPPIHTPAKLLAL LPPEVKENLRLIHTAAKDIPPDSGLRVPGVGLENTIVIIQSSEDSRLNTIQELSLIENID LFKHANIHQVRQIFESSTINTYEQNQNICVQDEVGSEFYIIRKGTVKIHSDNPVFVKYMH YGDYFGEGCFFNQNKRRANATAMNQCELITLSKADFLRIFQYGEVMQKLENLQVVRSQIQ IMERNKFFSQLTSYQKIQLEMISNQKRFFQKHSMIQQYGVMASVAFFVVSGKAQVILPSD YVVQQYEGQSVKVNRQESTTSDHNKQQQQIIDQKKKRLSLPINLAMLEQQKPSIQTPEVS EKSSINEIKTYPLTSGMFFGEIDSIVRNRRTSTIVEAVEDTEIIFLDSQSLRSFLADNPG LMVLFQESIIIE >CAK86437 pep:novel supercontig:GCA_000165425.1:CT868574:234370:235875:1 gene:GSPATT00020119001 transcript:CAK86437 MNQSQEFQVQKFFEPSQDVIKMIAQNEFLYIAYANEIIVLSLKRLTQRKDIIKKKISIPK FQTFDALTSAFNDNEQTKFIALAYREGSYPILYSSNLEKRIISLNSEQPQIKDFYVMQEQ VVVLWNNGALVTDQQFMFDNVKAIACWNNNLAVYRNNELLIGNIKLTLNQEYKDEMMYSR SILVLYNSSSILIYDDKSTFEIPFPGALQIEIIDENTLYILCGTQIQSLKIRTQEKQIKL QMSFMSKFYPINEQDFLFKNGKILINNKWSTRNRVISVCKSYNQVLEFACLKEQVGQSIN CVILEKDPQEVFRLMIQPQLSSNFQQPLDINDFKIYYYYAKKEFMCLEIAKQLYEKKRKA QDLQLQSYKFEDLQKSTSRAILNLLMLMEQHEVYKDFYNHVYITYLESIKPTNDKIKIQI AAVKNKFVPSLLRKEDVCPICKQQLITWVTCPTHRFIRCQTTLEPVYQQQLYVCKGCDLQ SVESIKVCPVCGCEMKRLLKL >CAK86438 pep:novel supercontig:GCA_000165425.1:CT868574:236374:237552:1 gene:GSPATT00020120001 transcript:CAK86438 MIILSSKNSIEIMMINQIKNASLQYKFKRQYACQQALIKEIYQFMIQFINIQQMHSLHVK LSDEPELSAVCSALLLAFIENSRVLRYCSGGGDYTNTQNDFGDHQLEMDVQCELNVNTEL KKTGFVSHTASEETPEMKLLSEGGKYIVTFDPLDGSSIIGTNFAVGTIVAIWKSDEKLLI GKKGRDMVSACCCLYGSRTNVVFWNEKEQKVQEYTLFDGDKQGHWELTKDNIKIKPKGKL FSPGNTRCIADHIPYREVVDYWIHNGYTLRYSGGMAPDICQIFLKEVGVFSCFGDAKYPS KLRYLYECAPLSFLTEKADGKSFNGKHSVLDTEITGYQQKSEIIVGSADEIEFFKSIWIK HGLLKE >CAK86439 pep:novel supercontig:GCA_000165425.1:CT868574:237553:239273:-1 gene:GSPATT00020121001 transcript:CAK86439 MSEFVCFVVEGQTHYIEASKCLSMTLQTEKKGLVYTATMPKWLTMEAFSVFQQFLNNQLD IQRKYTDQTLQRLLWLGDHLQMEFFQETVIRDLILDRVSVQNCILFLNEAFKKLKACEDS QEVWYQMLNVCMNFTARNLLLIYQSNPIDLNKINQKVIEEILERALKHYRKKNNPELLQI VQKIRNCQDIVQIITQQKQTVLAKKINYQTHPSINWKLSNLSSIINKETQQFKFSDFTWK LVAKMEDKVLKIYLKLEDIDPELNLRIIALYFQLQLSQFGLEPLKLVNLVASKGNKILLC EFNDLNKFDQQKLEFTVYLNTDQVLTLCLNHLYYNLNPTYNLSKLDLDDCLILLAATPQV QQAQEKSFQLLLEFVPQLNPNDYERCINQLNLSLMNTEFLMKYVKCPLNQFIQYELDKRQ PQQQRSYSVSKDSKSSSRILRQVSAGGSKNDKSGDQLVNRSQSSEKLHNEMPINKLQQLK KTPSQQRFEQQQIVNSGISNQSALYSRKQLFDEINIVNFLKVKDPQLIQELNELIRIYY >CAK86440 pep:novel supercontig:GCA_000165425.1:CT868574:239989:241663:1 gene:GSPATT00020122001 transcript:CAK86440 MNKASEMLPKKFRNLIGYKVQSQQKQSQSTDLTVIDESLLILEQKLIQKCALKAQPKSMR GKPRAKIEVDLRNIRGFLGKKEQKQPECILAQMMEDKSVVFEDDIFTGDYLVRQPIKHGF FNLTDGYNMQDVIEDLYKLTLYGLSCKLEVKNVSDYYCILVIPDIFQRVQVKMLVDMIIR QLGFKGIYLHLESVLSSFGANLQQCCVVDIGYEKINITCVDDGVILPGTYVRKNFGSKDI DLVLMRQVTKRNACDQAVQLQSNNYGDLLQMEKLKEKACQLTQKEDKLNYNYELHCLRNQ KEKRFFVQHNDGLYISANTLFESEPFNEIRKQDMHECFDFTGRLFEMQYDPEDNFEELSG GIQLCWYWGSKEKEQQYFIEGNQMLNPNYMIPLDHMIAYSIAQVQDPEIRQKLANNILFT GGGAHLIDLVDEVEALLIEKFQVMELNEIERVEVKTIIRDVRPINMAWVGATVLPKTESV SELWITGSRWLGNLEPQKDELEDMINQFDGDVQQLEKKLIAFAKKDKEKDRSCEYGLKHL KEKIPFIW >CAK86441 pep:novel supercontig:GCA_000165425.1:CT868574:241702:244508:-1 gene:GSPATT00020123001 transcript:CAK86441 MGDLTTLRSQINQWTLDQDNRTFNKLDQMSTNLLTKFGAVSSDIRSVQHQANSIHAQINQ AFNRFLTLSDSRFTEQRMAPPQEAPPKAAPPQNKDEGLTTAQKYTKALGIALQSLDLQQM AQDDDIFDDRDDKSISVFSAATTSGTVSKSVKLPAMFGTPQFNNNKFIGLFDDFDEAPIQ EPVRQTAPAPVYRQSEAQPQQQAFANQQPQQAQPQPQQQIRQSIMQGQSQISYGGDNNQF ITRTNSALGNSMMNSALGFADQQQKEAFNQAFAPPYQQPQQGQQPMPPPQTQRPTMVDAP PPPPLPPSTQQFQYAQPQVQNPVVQQQPPQTLQDQLNSLISQKREQIPPTIQQQQQQQPI YNTQQQYQQEQPPLPEQRNPQQPIKVFQPPSVIGAPTYAKEKDKMMSLFDEDDDDDNDGG LFKSTTTNKNKFNNLLTDGVAKQDQNYKPAAVVIKEEEEPDFSVQPRVQSVKPPPKKQLF DEAEEENLQKANADKIAAIYSQQQQPKQEQPKQVPPPKFTPARNAFEDSDDEKKKQPQVP VKQEEQLAVPTEEPQVRPSIQQLQNRLNLNMFMKPPGEQVTMKDIKKNEKEHESKIVEQQ AERPIVQKKKARGRQALDFDDDDSTKKSTKPAFVIKERTQQAQKAEVVIDRTSYRESIKL SKQSDFEVPITLEVKTEKKDIQVTISQPTQQLPSLSSNKPAQQQDPLGKKNIGKEFEQKG LPNFFNDPLGGGGKKEKQQPVQISNLPGFGGKSNTQTTTVQAAITPTIAKPQRKNMFSDE EEDAVPKLPMPGQRLTTETFFAPPQSKPQMKKNMFEDDSEEDLKPPQRPTIQQQQVKPPE PAKKPEAPKPTTTQQRNQRMQRALFEDSD >CAK86442 pep:novel supercontig:GCA_000165425.1:CT868574:245739:247665:1 gene:GSPATT00020124001 transcript:CAK86442 MFKYQKREAQFCKNTSRNLFTKLDYFAQRPQFQVLKKTSFSTAFGYLLTIMLSTICVFYL YIQMDELTKQSNPQVIISDNQPLNTPVIYLSRSNFTFVISITNALIQSFDKNLKYYNLTV NQCHRQRSYDEVNSKANVTLECFNYMIEPCNLERHFVTDLQKTYFQKFKLSTMYCLNPEQ WDQRPIQLQGHSQSDNFQFITINVNLCKNSTSYKECSPMEEIQKGLVSSYYAIYMSDVLI KMQNPGRPYDDVITIQNTQFSYAKSQQLHSLFKIANTQTDVGLINKDVQVDETILQSATK EYSESYNGYYLANNILYLDQRETIYYRSYIKLQTILGNVGGLWQIISLVISAFFGPILFT YMNLSLVSKFFRIEGNQTKNLFDKKIIKDDEIKEINMMASDQQTKNLSIIQNSDQTKLKQ YLKKKKNPISISFKDALLMHLGCLGNNQKIYRFAIQKIMMKLDVAYIIKKLHEIDKLKSI LMTNEQVKLFNYIPKPLIPTDIFDIGFKKQYTPNSNQAESISIFEEEKTEIQKLEDSFHA YQKIRQKDNPSDLDQTIIGQMDEEIKAYFECMMKKQSGLMYPFSPRCDSIPQSQREEENL IINQEPQAGKVLTRKK >CAK86443 pep:novel supercontig:GCA_000165425.1:CT868574:247863:248231:-1 gene:GSPATT00020125001 transcript:CAK86443 MSSQTTAQYRMYLGARVLWPPDCPDDILEGAINETQSCLKTYEAKDGQKMAEHLKKYLDT NFEPYWHVFFGKNFGCHSIHEKRRFIYFYIDKTAYLFYKTQ >CAK86444 pep:novel supercontig:GCA_000165425.1:CT868574:248464:250748:-1 gene:GSPATT00020126001 transcript:CAK86444 MNQANENQMQNQRTLNPELFSAPKGVKNQIIDPIIKIEDQLKNIKLTINEATFQGRDTNQ LQQQQQDLLNNLEEKFYQLEICMKDVLLKFISYEGKIFIRLNENYYGNNQRIKSTMQGLA EILNLHFLEINTTQRDLVITKHLRQDGRYDINIRSPRNQGHGQQNAPEEEEAPRPQPKVI DKLFEQIQQPLPPEIIKEIFVQSDPEKQQYSIKVSFNKPEEYGCEITLYRIYQLDIYDQS LSLQPAKRVVLEFQNNKEQVQQQTLTFTRNHPLTKGELPFNEMVYLSVEAQNKYGWSSDH ELCPIKLYVKYSEPLSLYLQGTISSVLIEDSNQFLELFQIKQIVNEEDKSYLIIEDYSLV LLEKQQIRNVSIKKDTVALVSTRFQACQWGSILNFYDENITQHFDVDPIKDFDLSPPYHI NTDRAISKIACGLYHSLALTVDGNVLSWGYNKHGQLGNGMTISSMQPQQISYFKNNQIFV VDISAGQEISICRSDLGDVYTWGKMQNLFGDNIIKVLNAQKEAINLQCADQTNSQLVPRK INLKAKQIQAGYSCFAALSLENNLYMWGCNEHEVFGFLKCPELDDQIIIHLLDPIRINIE NEYEIKDFQIGAYHCVVRVFCKSNQSEQYLSWGYNKYNQCGQSVSNKNSAKSCKRNQIEQ VQKINKYSILPQKKFKSYSCGFDRSIFASFDNHIYTLSKNDTQNNDCSVFVEEVLAGDLI SLVLGRE >CAK86445 pep:novel supercontig:GCA_000165425.1:CT868574:251232:254918:-1 gene:GSPATT00020127001 transcript:CAK86445 MCGNSKKEPYETCDDGNTVSLDGCSNICQIEPSWTCNGGNPDICNNCGDGKKQQIEDCDD GNLSSNDGCTSCKVDQYYECSGGSPTSPDTCVKTCPNGIVSGSEECDDGNNASGDGCYLC IIAMGFVCDNSVQPSVCGVDVCGNGKSHSLNEDCDDGNTVDGDGCSASCKIETGWDCSTK IKCAPICGDNKKVVDVEECDDGNLIDGDGCSSNCKVELSYSCEGGDLTKKDICIVTCGNN VRDAKEECDDGNVRNGDGCSSLCLIETSFGCSQVLMQDNVTRDECYPCITNCAICTDTDI EGCELCYHGYYKSEKTCTDDCGDNYYADPFLQACLKCGENCVRCYNNAQCFRCNSPYILD GTQCVLKCSDGYYIKEHELKGQWCKKCPLHCTVCKTETQCTSCDDYYSPFGSCKFCDMGE LCLACNFELNTCTKCQQGYRPLEDKCIKIEDKCGDGLVSLNEKCDDGNLANDDGCSRECA IEEDANCRLVQNEGPSECFDTLGWEFELKSFILDPQLIQLTFTNQIKYDDVITKNISTLF TLSINGFTEKDYSYEFVNSSGSNQTIQIQFTFLKNVVSQTLTVTLKDQKKLTNYYDVPIN PDKITKSIDLEDKEFYNSAEIEFFTLLRNLAYGLQILNWILMIGAGFLDQQYRIWKTIEV LQVICTLYYINLRSYPLNSEMLLVDLRYSNLYILPNFLQQQTDQYLQSLRRFSMAGLTYQ VINNTGGLFLFYLGVSLAVYAFTKLVYHKYVFYVFEVFLDKLEFSYFIKFYEVFLLELLI SCLHNVRHNSMASNWNGTNTVIALILLLFILLVQASFFLLAFFRDYFGTEAEVKSMFGTL TDGCHDHDRKRRVFMSQQDFIKKVFIAYAMVYVDDPFQQILLIIIPRAVIGFATCFLMKN WFLRVKEVLSELILYGIISQSYILALGDFVGDLEKKVFYGSLWVYLVLAFELVHGLCNTY LVWRLLYVLESTLPEDVNPNAGINTKIDLFKERGGKKERGKTQRELQEEADRLAKLEEAK RKKKLEAEQALKNQGREKWNVWNMQREAKPEERKFVSVKHTEYGDVLEPIQPQIPKLKLI QDANNFDDFDQMDDFFGNPSKSVVNKSSAFGQTGQQFQVPSLNQTQQMNQTQQMNQTQQK QMNQTINQSSNQILNQTQKSQNIAQQWLGNDNQQPLFQEQSFKPASAAQQQQKSQISAFQ FQQEAPKKKDDANDSEEESMNNDNPFDF >CAK86446 pep:novel supercontig:GCA_000165425.1:CT868574:255312:255647:1 gene:GSPATT00020128001 transcript:CAK86446 MAQFEHPSFNTLLPSSHSSVKLKAAGVRTSSLTPLPQYLTQVVNSQSVAGAQLYPFSYTQ VALHPSPLDVPESSQSSLGGSLYPLPQAACMQTQLGFTQQLYVESQNEQKL >CAK86447 pep:novel supercontig:GCA_000165425.1:CT868574:255763:257185:-1 gene:GSPATT00020129001 transcript:CAK86447 MLTLFLLLHYLNGQPCATPVTLIGTTQTSGVCTPPVPGASLIKFPFATLGATTENAVKFS VSFWLKPPSAPTLGGKSYGILRVSTDTTDTVPTTTEGIRLAVYFSLDSVNRGFQLGYSES PSDPILYTDITQMGAAYSGDWMFIYAGLDYTTGELKLSTWETKQIFWQQKKFSASKVNFN TPLSTNTAIEALYWGAQDSFCGDIKKLKWMPNYYETTDENIFKLAYFLEGKTNAGCYLYR FDRVTKTTVTNLASSGTLTLQQAMKFTYYELDITHPVLTIPIAKWTTVTSSYTFGTYILQ KSLPQFAADIILLQRQTSGAVNLFSIKLALQASGKLGIVLTLETVAKLIVQFDCQVDQWY FLQLSVAFAGSLQSQVNTLSHYHIVAQDGSILSGYVQITYTATISSKYSGTDKSYIGDAL NPYQDLKRDSKYLQTILAKQIAQSVDTV >CAK86448 pep:novel supercontig:GCA_000165425.1:CT868574:257300:258090:1 gene:GSPATT00020130001 transcript:CAK86448 MQTLRHYEAMAEEVRKIMISGKPIPPDYQEVIEEFLPTEDKYPSRRVITIYEYPDSNPAL FLENKRGFRLQFMFLFLSNELAAQFYEDVMNNGPLKWQIARFYLEVADNVVFIKTRGQRA TNMLQKIQHGIQTQPLCVFHYNMKMRTLLHILEQGNWCIRVVWGPPVDVPLHGGDAEKVE NVIAKTEPKLEIQKKEEMEQEVTKKVQINEEEIKNKSSIYIKSIEYYSRLAEQTVQKLRD ENQKKKA >CAK86449 pep:novel supercontig:GCA_000165425.1:CT868574:258131:263198:1 gene:GSPATT00020131001 transcript:CAK86449 MQIRENLYNWLCSLKVLPQDGKKYADKVEISKASLSQLENGIAFGQLLKEIVKLRNRPST PLAKLDTLKDNQQKSSILYNWKILCEEYQKVDIQIDQDTKALILGGDKEMIHQLLLEIYD KYYRGQQQTQVLQQSQMLDDSLLSLGGNTKLLANSKISQANQQVDLSKLDPKKDLNKTSN CLEFFIVALAKNLQLSIKQSASLFTNNNKYLAHILAKGVKGVFEPIVAFYKETYANGNQL IKLFNEDSTKKSLTFALQALKPGLISKSFDVAYESVRLFTRLGSMIPVQLLREWFLEEQG GLHTALMGTRRHPELVSFHVEMIQQFARNNFVEVFTTGIRTVLPETKDFINSIELIYIPL SHNQELVSTGILNDWVTSAIGIAENEFKNTIDTRISSLNFLSEVLGNTELDESLTNTIIA LLKKGSRDKSQSLSLMCLNHLFKLLNHFSLQKSNFAPLIYKNLTLSLVENHDNQQIREYI LNNFIHIFSTMEAVPINLVLENLIKQMQTSEGVTYILNTFDMHFFMFVSNLNIGIKNAIQ LLDFLAKIFLNNLVYQSISSEIIINILSKNVENQTMQEFILKFVKIALAMFFASEKKKQT KDPISAQKRNQIVEIVRTIIQFQQQLLNEKIKPLIAHTNIQIKQFSKRNSKGMMAILELF GNAERILEQYEIEYREQQASKLQGPPEDDDQLVPFNSRSIGSIKLSKEEQYSLASLKTTK ADPKVLEKLETLKKQFDDRATNEAQKIEQMKEAQQKQKANLRKQLEKRSVEQGVSIVKDR ETNLVFKDGSKSAAQPNKHGLTEYEVWDLQLEEDRERYLVEQLFRKYHKIFKYVFFKYAN SGNKIIKPKDFDELKDQSDTINEAELWAFLKDYELVFNVSREQVHALMRSIAIQLLKCKN ELTNFNYEGFKHIVTQYACILFTKRTKYIQPHTCIEMMIQRMKQVTAAKGQTTQLYEDPD NMFFDQKDVIKEFNKKLAEDPKYVLPEGYKTQKEEIIKFNYAFEFEDSNTIAYSILDDIV FKVCNCHIIEPITSKEFQLVCRPNMLGYVESKVKQNYEKDHYMEQKNKTTMKQTQVAQSL PNLPTQPKRNLEIEPFRQYGLGIKLELAKIPFNQPKQRLLVEQVADVLEDMIFAVENNQK TITRKWNILNKVQQDKLDEKKKEDEEAKLRDEKIQKNHEQAKAKLEKLKKDRQQKQKEEE AKAAKKDPKKIRQEEKQKEQEAYLKQQKDKIAQKQKEEEQERIKRELLEKKQRAEEIQKK KEDFKLFNQKKIEQYGEIFKAEQIKIQMAAEERKKMEIDHQKMHEALYKNIEKKGEQIKV KEKGTSELIVKLFRHQTYQTIFTNNNFRLSYLYELLQLEYYKSIEMIDFKQIPLKLWMWF GDRFRIYPDIISQIEFIRVFNAITYRSNEIPASLDYVEFLEALFRISIKGYEFFNKLAKS IKEPKPQKQDNQELPQDQSPIKRTQQTQEFMLKRIKEVQSEQEKRLEAAKKELEEKKKMD KEALILDNYQALNDIMGTDNISGQNYNDQTLDALITYLALPNDKTGIELRFRYLMEVEAK KKPNKVRKQEASKRLEEDVNQWKEIKTVEQNRQPILSKKSNFKQSQQKPKEQQEPILPQN NEENQDQEQEQEQQDN >CAK86450 pep:novel supercontig:GCA_000165425.1:CT868574:263315:263735:1 gene:GSPATT00020132001 transcript:CAK86450 MSSNNFLNDFNIQKIPQFSYYFPKYQLLLINKSNGKSQKKSMGCIQNKGPSVEITIIILS PPLCDSPSNEFTLKPYHNDQFPAARLPTNTSVHCFHTEYIQQKGLAWSQRCQTVIDGQES YNSQPSNSCPYF >CAK86451 pep:novel supercontig:GCA_000165425.1:CT868574:263994:265502:1 gene:GSPATT00020133001 transcript:CAK86451 MQDFKPGDIIAEDYQILSLLSQGSFGKVYLGRSQSMNLKVAIKVEKSEVSYFNSLNQEVE VLKLLLDVPQVPKIYWFGQEMNLKIMIQNLLGKDLTHYYKKLKKFSYECVCNIAYQMISI LEQIHARNIIHRDLKPENILGASLSDKIYLIDFGIAKNLQQNKKSKEKISFIGTSRYASL AAHLGKEQNRKDDLESLGYILIYFLNGQLPWMNIEKQDSERIEKIGLLKQDISPEELCEN LPSQILKYMKYVKGLSVKVKPNYIQLKDLFKVESQNDAMPFDWNKKVRMSKRMQSNKSIK SSKSAKVFNKSKSQFHKTQNNIQQESSQKLINQPLTPIQKQHQTFVYPDQNDKTLYHSSD DNQQQNSISDQNKEKESIKVGYSISYEPSKFSRYSIHNKSPILQQQRERARVSTLDANPQ DYFIMNFQTEQQLQEFENQDLGLKYDMLYYQSILYNHKNPILEFKVSKCHIFD >CAK86452 pep:novel supercontig:GCA_000165425.1:CT868574:265949:266146:1 gene:GSPATT00020134001 transcript:CAK86452 MGCVNTKTSLKSQPQSTTKTQIQIQSNTQDESPYVIKKNPIFQRRASSKSIVSPLQTASF VHRNK >CAK86453 pep:novel supercontig:GCA_000165425.1:CT868574:266380:266794:-1 gene:GSPATT00020135001 transcript:CAK86453 MKKLTIIINNAKLETAQDLDDTLNLQQNYRTFTTAEALNFEFAVLFLTVIGALFIFKGIA IPIQIVIGGYID >CAK86454 pep:novel supercontig:GCA_000165425.1:CT868574:266908:269162:1 gene:GSPATT00020136001 transcript:CAK86454 MEHKIICRICNLPIKIDFLKLHNETCKSRAEFRRKEIRLNLQVAKVCENAYKTKHQIHSR GQKYLNSLRLKWDQISPEYTQEIYQEYKILQVLISYGERSLNSEVDAKYHLISQNEFIQA QQFIRNPQILNLIEQMNSLINQRLELCYKKKFQAIPSISFRLSKFSKDSPISNGETHNRM NSFSDYLNSSNFSEDTQSDRKSISGQDTPVKSICIIQSLRKRGKLSEWPKRHSTFSSEED QISEKPKHKQEIQKKSYFAKTGSSDSEQDDIIDTIVQVNEPKQREDDLNFESACFLELQR GYFSDTEIIRSDIKNKIKERNIGLKDFNFIRQIGQGAYGSVFLVKRIITGDLYAMKIINC CNKRFERLFEQLKQERNIFEILTGDYVVKAYYSFQHESSLCFAQEYMIGGDFSKILINEG AFDENIARHYFSEILIALEYLHNNNIVHRDLKPENILLDQYGHIKLADFGLSELGINKKM IKKCSQQSFSQSDSSPSPIYQMKKGRSFKKSNISPENADRRIIGTPDYIAPEIIRGQSFS HKSQDFWSLGIILYEFLVGIPPFNDESVEKIYQNILKGDIEWPEIGNDPEEQISQQAFDL LTKLLNPDYTQRLGYGSIEEIKNHPFLASINWEQLRNTPGPIIPQINLNFQPFENVAEKV QKFLIKGERKQIQIINKLQDELEYLERIDLLVAKNENEAQLIQQQLQLFS >CAK86455 pep:novel supercontig:GCA_000165425.1:CT868574:269231:269787:1 gene:GSPATT00020137001 transcript:CAK86455 MIAELINQNILQKIILVPCGNRKDKQLTDGLHRYKMLQLLVETKDQLKNIDSVFIDDYEL QNGQLVPTYYLLQKLREKYQNVHFVIGSDLVNTLPNWVEGQKLIAETNFIILNRSSHKIE QITNLPPKYELVQNFEYGISSTEIRKRIKNSKTEYLDCLGVLTPEIIEYIKVNNLYRS >CAK86456 pep:novel supercontig:GCA_000165425.1:CT868574:269900:270649:-1 gene:GSPATT00020138001 transcript:CAK86456 MYQPSIDQNIIQSTTVKTIKELKKLGDSRINLEAEENKINDSTLSGKNSPGGETSKTQHT KITIIKKPTFKSNAQTQNRIVSMKQTINKPTEEATEQSNVFRRASLRQGSIKPLKPNTQL QLEGSDPVDLSNALNLVLTKCKNLRDQFEELQLTNTLINKFIKNDKQFHFTFQAFLDDLV GKGKLLQNLTNIQKPILQKIEQFTINHVKRCIQKSQINQQYEEKTKHVDTLFNRYYKELA SFGQNVKYF >CAK86457 pep:novel supercontig:GCA_000165425.1:CT868574:270924:271267:1 gene:GSPATT00020139001 transcript:CAK86457 MEKKSQKQLSLDTSYDDYNLREDEEYSDDDRGKSKKLDFRSKQTKKLEKQLIKLDKVQNS QQPVSMEIYYLLKFQQNLIYQVTSLHTRIMRIIENQFDYSTLL >CAK86458 pep:novel supercontig:GCA_000165425.1:CT868574:273342:274753:1 gene:GSPATT00020141001 transcript:CAK86458 MSNTIYSLQEFRNLDVNSMIQAYDQGLQHINELQIQIEQLEKSAIDIIVEKDQMIEQLEL QLQHSSRRPSLNNQEEVSQYKNHIQELQTRLEHLQTKHYEQLQEQEKVWNQYLLDQIAQN EFTVDQKLKSHLEQIFILEDKINKLENELEIKENMHISLINQNKQFKESNSKLEEIQITQ NRQILRLQIEIEQLQSNNCKEKDQFLEQINNNNQELQELLSQTSSFKIQNQKLNNQNKIY TSTINELMVKKAELELLIQTQKYEKQESIGNGKTGAFSSIDQQGDNHYIREDINTTAFDI NEDEIKQELASFQFDENNVNFNHLKKSHSNYSFHSEKKDPTELENHLKNSIKTIRQLNAQ VQLLTQQIKIQKRQSLNQKNAKEYLNIMEQNYKNSVQLKQEQMKTLEDKLTIQNQEIVYL KQKVKQYTQKLIKYNKRLINNNNQSK >CAK86459 pep:novel supercontig:GCA_000165425.1:CT868574:274837:276227:-1 gene:GSPATT00020142001 transcript:CAK86459 MLAELQAKQTKLALQRMTPEERAAFEAKNKPPPPPTNSADFQAKLAMLNQQEPGLAQSQQ TAPPNTQVIVNKAAPPPPPPPPPPPPPKGAPLPPPPKPPGPPAAKSTTNPPNSTPTKPAY QPPPPVQNLPPPPPPPPPPPAKQNIMPPPPPRPVQQQFQVPAQQQMQQAGNVTNCQNLGS QKQQSNDAPLPVTKNQGEVVGDTKQVEIHELQTDKKQKIGLNYFVVIGGVNLQESLQATT FENIDKINQLTAPSLNENRHPEFYSIKKGFRKLDQNPNQAVIYFSNSDTKQVKVTRNFEK YLKNDNNSWTCSQNKEHLQLLKKKYRKDIDKYKEDQLKAIQLALQQALQETIQETVEENV LKEIDENRFQAASKKLQEIINIKVSNNDYSSLQTLLPQIQKFKDTKQIYDELNPEARQDL AGTLESMMTAIESMIL >CAK86460 pep:novel supercontig:GCA_000165425.1:CT868574:276239:277128:-1 gene:GSPATT00020143001 transcript:CAK86460 MYIFPISYQSTVKEVILSMLASPIWQNQYNSFVDEYCIYFDDDEENSIQQNNFFKQFQTE MAAVYDSFFSSLGLDNSDELQIKVIKEILNSDEEEEIDVQQLLALGDFQVFKAEMSYQNK RREIAAYQQLVDDAEDEEDDEDEQEEDPQAEQAQKMLILKIKLEYEQLQDSLELKQAMQI SFDNPNQQKLDQIQQMLEVVQARLQILEKEEEGMQQKQQMPQNNDRKNKLLAQLNNLPLI DLDKEQEIFTKIKVDASRI >CAK86461 pep:novel supercontig:GCA_000165425.1:CT868574:277129:277941:-1 gene:GSPATT00020144001 transcript:CAK86461 MSKARQMNKKKKFVADGVFQAELHSFLSRALTDAGYAGFVVRTTPVQTDIEIKAANTAGV TGPEGRKLRELTSLIQKRFGYAKEALQLIVARVQSKGLCAPAQAESLKTKLLAQVPVRTA ANAVLKQIMADGAIGAECIISGKLGQQRAKSMKYRAGYIISTGQPKKDLIDVAVRHCFFK QGIIGVKVKIYRPYDPSGKKGIPIEVPDKVRFPEQKYEQEEEEIRELINQ >CAK86462 pep:novel supercontig:GCA_000165425.1:CT868574:278033:279792:1 gene:GSPATT00020145001 transcript:CAK86462 MEKQLCLQHMQIIQSVCLERECYKQYYCCCECLLETHQNHQLMNANDFQDLEKSIKDSSQ QLLQDIEFTQQIVFEQFNSYRTLVDNYISKQNQINKKEFSIIQQSISQRLLKIRHLILKK VINEFQIKLLWTEDEIQEISQYLQDFDNKDFIQKLADWQEKLREEHNSILSSIQIDIETE QCEITTLEQQDQSIYYGQNVNGTLHGRGIIIGQTFIQDGLFKNGQFVYGLWLVENQAREI ISKEGVVDEQVQRQMKSIIVQTNYLKTIKDYDELKTSNIKRFQWDEQEQEKISQILQNVM NPKVVNQHRQLLLYFEIPEEYHYLQFIKYQETKQINKDQLYFGSLMEDQQTLHGRGILFE GNYSIKDGIWEEGEFIWGIWISLMNNQLFQYYGTITEEQIKQYEEFKLLQKEQEKQEQNE IQKLNHLKKLRFFKRIYQFMDTLISGYVHNIKLKEVYNKNKLDKEIDVNEKYLQFENEPD IIRNNRQLYIGFQNADGSPNSIGFYCDQNLIAGGYWENGILLWGTYHKYISETDQINVQQ NIPKDIQEKIQIIK >CAK86463 pep:novel supercontig:GCA_000165425.1:CT868574:280061:280642:-1 gene:GSPATT00020146001 transcript:CAK86463 MKERFKKKDNFNSKMILTQLFSLLCTYYSGLIVVCLFLDFAVGLQFHLGQVWDFGIYSHD TYFSVNLLSNIFNILVVVSGLIFIVVKGSKVLDFVFSLYLWHFLICCILSSSEFNKLWLI INTILSILTILLGEYLCVRFDQQDTLIIDRLFKNKKKPVAEHKRNDDIIKINIQ >CAK86464 pep:novel supercontig:GCA_000165425.1:CT868574:280709:283493:-1 gene:GSPATT00020147001 transcript:CAK86464 MSPLKFEHYLSTNQKQQLDIHITHTIDSISNNFKHNPFKSDIYSLGLVLLQLLLHNDKIN LRKQIECLFYEQRQQNLPGLIEKYEIYLYPEKFFLFDQKLKRIKPLLKLLLDENEDTRIS TIELATLFNSQICTSIQTSFTQQFVPTVWKSEQGSSQLAYSLKSQIYQGAYNIEKQEREG QGIMYKAAKNDLIFKIQRHKKDKKSICEDDQDFEISQVTQLYESKQALSTKQSLVQNQLQ ILYSGVWLKNLPHGKGELHIYNTVSDLNYKEVNIDYGKLINKIDDHHEEHQLQINSQFYF GLLDQKTTINRLQVQYFLNISERLAEDDFIVGKYYYKFEFDATTQKYYGYQQPYDFTVID VLGLNDKEIICLIVSRFIKNLRSKISHFEIRRYVIEKQIYLKDSNNNYIYIRFDGQHYKE SHQKQQKEQSIRKPIQPPMVQNKSTTACCVKQNKKINQKQQIEIEIQSDVLESQIVLECL NQQKSNVSELILQTASLSSHALEWLFADDIISQIEILSFSNSQIKDNQLNLILMSQSVTQ VRDLDLSYTQLSVQTITALINSDNLNKLHKLRMAGCLWCQQFEDFIACDKSQKLILLDIS HNKWVDSNLLKLFTPVYLPNLNQLNLNKTSLTDKDLKKFSETPLGKKVNMMPLTSKQQEQ QMIDFKISIHTTNSLFANVRNLEKYGYMSYHDMQENNYIKDETLKRIAHSQGLQNIEYLN LKKQDITHYGMKHFLQSQFITNIISINLSNTQINGEVLKQIYSSKIQHLKILKLKNCNSI QFDDLYLFLKNYKAAYLQKFYISSKQISTKKLVTELKNLQSLTQTQIIFNDQEKQTDISA LLTTILTWVAILISKQKLQKLTLDLRDCKGINNGFDLFLEEIQQLKGEQSIRYCLIKVRQ CQLL >CAK86465 pep:novel supercontig:GCA_000165425.1:CT868574:283621:284520:-1 gene:GSPATT00020148001 transcript:CAK86465 MDYLLNNIEVEIDLDQSDDSSNQICFKVYNKNLQTFWMVEHKCEEIQRFGLDVFNSQMPE ESNERFQWLMDLCNKVNKVQNNEQCILEFFKSKPIEMKRKQSKKRSLSTFSHLLKMSCIE ETRTHSRNTVSTKQKQYTQIILENSSRFCDLKTTRDFIDLLTLLHYRDIKKVGSGAQSQA FKALNQHEHLVAVKISQNTQENLYAALMQRQINQDVAENFVGNYLFQYQILEVEDILIIE EELAQNTLEDLIDKKQNQKENFTNDEILQIIKDIVDQLYNLHIGRCKQYPLIINRIGSQ >CAK86466 pep:novel supercontig:GCA_000165425.1:CT868574:285467:286557:1 gene:GSPATT00020149001 transcript:CAK86466 MNDMTSLLNLKREQFHVHIRKQRNEKQFKESRKLYFLSLFPQQTQMQEISAKIRDIMERG EQLCGEPLIMIVEKIEEVLEKESNLKLLDTIDAAIWMLKSVDAQIYEDELSDNYKMQRII DKMIPLSQGYSIHQGHMNQQIIIYAAKFLKYWTLIDDKQIYHYYGEVAETVYFLLNKQEV IFIKRGVEIMLNLFECDDGRLLSKLHELLICGQNLVKPICILLQNHQNCDIQTTICKIVL QTFLMQDKNGMNQYLNYTNQENQLTFFDILIELVQESEENSRKLFRYQLHIIHLILEYSS SERELLYEYYKQKMNSSEFRIRMKDQWVFHEWNSIQNVVNQIQTHLEE >CAK86467 pep:novel supercontig:GCA_000165425.1:CT868574:286569:287123:-1 gene:GSPATT00020150001 transcript:CAK86467 MNYDDPQFTLLNIDDDYELLNKEQTNGLNIEPWNGNSDKAFLAKKQLKLPKHINFKIKTP SRTTTQQRRRSIETIQPSHQESCPKIEMKTPTQSFQMTSLSPRKNSTHQYKQSCLKLMFK KQVQSNLLTWSDYYKLIQRNHNQQVVNYNLIGYNIQPLKLEERQNLFNKFRMKIKQNTKN KTDY >CAK86468 pep:novel supercontig:GCA_000165425.1:CT868574:287464:288813:1 gene:GSPATT00020151001 transcript:CAK86468 MEDIQLIVRRIKRSGEPRLNLSAKQMISIPPEIYQLKLVHLDLSYNKITSIEPRIFQLTT LQELDLSNNCIEQIPEELLNMPNLQSLNLSNNPLISKFQTLNGHFHQPQLNQILQKLFQI SPGSNQQQKQEQQIVPERPKTQSRAIRQIQELQHTNHVLEVDSNEFEVQEIISQGGFSIV HRGYFRGTEIAIKKIFNPNITQQLLDEINNEIEMLSLLRHPNIVLLMACCTKPPNLVIAT EFIQGGSLYHLLHKTNHQISDQFKYTIAIQIARTLQYMHQAGVVHRDIKSHNILLQGQTV KLCDFGLTKRCSELNQGYQQFSGTPTYMAPELFAKRAYDKGVDLFAYGTLLWEIFAREVP WDCLEMQEIVQKTMKNEQLPARNVPKNIMQLVNELRSKEETKRPSMDVVVKQLLSR >CAK86469 pep:novel supercontig:GCA_000165425.1:CT868574:288904:289815:1 gene:GSPATT00020152001 transcript:CAK86469 MKSLKERMQKIDKICQKLNIPDQNKGLFKRKKNNSCIMKEQDKNINNVSMISSNAGCIIS RTQLKKQQSIQMLQCDTVRSQTLSLKQLKMIHEQDQIQCMQSQKEELQNQINQLVQMKKQ IQEQEEKKKKQFITQDASLLPQMLKLQQEKVSIENEINQIDSKIEQVRYCNINKLQVLQK RNWKEEKKSFEQKWLDLEQNESKIQIDDKLYNLFIHDSPPQEQVDQKTIDTLTKEVEDLL CLKLPDEQEDQQVEQSQRSKLNQNYQSPLYIIEEEQSVELSFQKL >CAK86470 pep:novel supercontig:GCA_000165425.1:CT868574:291089:291899:-1 gene:GSPATT00020153001 transcript:CAK86470 MQISHQSLSLTRWLHEQDQQNSPQIYQVVNQIVNRKQHAQKRSVSLCQRRYDQEPNKSNL IDQSRNKSQIFRPPRVPTTQNKILELKKVMEFLQHNQQYKYDTHRTFNLNDPKFQKETLS IIEQKKEQFKIRLNQQQNKRQLQLLSEINSTNISADRRNYSKTKNQLKKTHFKTDQQIEI KKSNSINRIQSIKDIMPKILKHNEIPGPLNKQVISKGLEFLQQMVERQKENIQHYLPKPQ KYLK >CAK86471 pep:novel supercontig:GCA_000165425.1:CT868574:291939:292793:-1 gene:GSPATT00020154001 transcript:CAK86471 MSRFSILSNDMDKVHSVQGTSLWLGSLKAAKNSCLLRQNNIKTVITVANNITLKIENFKH HIFSIEDSTSFRIIDYFQQINEVIDEGLRNGSVLVHCMAGISRSSACVIAYLMQSQGWPY EKTYYYVKEKRLTINPNPGFKKQLIQYSKKLEKLQNTKSSNESTQSSRQLPSPRNIHQSP QDFIMQLIKNNIKSPSRKIEQLDQSSTVEDKEIYRLQLAQKLFAQSLQNNCQKTVQNMSD HFKYNTPPIKMNISNTIDYFSQTDRLF >CAK86472 pep:novel supercontig:GCA_000165425.1:CT868574:292966:294515:1 gene:GSPATT00020155001 transcript:CAK86472 MEELKQNYLDRLNNLHQFLYCELPLKLMNHNEDETCIDLQNRLYTLIETGYIKETEQQLQ HLIEENYKLHNKENDKQLINGLSTSEARYVKQIAELQHQRDLLQDELEEKNFILNEIQSK MEKFELLSDNLVKAEQAIKELSDENVEISQQLQYEQEMNLQYKNQIEELQQVVMNKETSQ RRNISPSQLYQLHEQVGSLRIELSGLQAEVKIRMQILEQFKTQLMSDLVKGFHTMLSKTK QELQAETIEVKKQHQQLNEVTSQQINKLRKDVQFYKVLEEEYENKIKEVLNQKSEQESII KLKDEQLQDIASKLDLIQQNIEQTEQNFQNQVFQAINSKEKYKIQLKNAKQGLSTLTDKV QSLLSFNQKQMKFIEDKVLLLEQRIREENQELIDGLSQELKQHSSIRQLELQCLKSNFQK VDHINRGVEQSRYSETMNKHQDIEYVKNDSSFIGERSLCQRTGRLDEQRKYLDDLKKLHR TTKSQLKFNRKY >CAK86473 pep:novel supercontig:GCA_000165425.1:CT868574:294591:296921:-1 gene:GSPATT00020156001 transcript:CAK86473 MLYAKLQSYVGEEFLIKTLPTQLSNFPSARGIRVMKCPNNPLIIGELKLSEELYQFSIIP HTELQIENQVVTKETILTNFSRIYIPCKECRTKHYYTFILPLREPLKYEAQRAAQTQFNR VHDKVLYAKWTQEDKEMLKKLILQFGYGRWNKLQQLKFANRTRQEIKAFANSLLRSIVEL LVNYDLGAGIVNLIEENPEDPYIETNQKDWELQNLKQKLISISKRILMLAKIREFIKKFK EHQLKNLGIKDKSQRKNLCRQIVKWDYLLGFIPSSSFYSQRPSIWWTRKHDSDLIRGVYQ YGYTNHLTIKEANDLCFKDLSTSQNYQEFPFPETLNKRVKKLVQIIQKFDGFYDFDNLAQ SDDEEEKSWSIAEKQALFNLLCDYGVPIGVDGRQNWQELKDKLILKINKFDKNLNQLEKM VQTIRTRCEQMLMKHKEREFLESDSSDLEIEQPPSSIGEIREDQGDEFQISYSDSQKFSK QTNMLHFIRKNILPQNQALFQQHIQQVEKELLIDIPEYDPKTHDIQIIITLSQTGFNGLQ PITLSNINTIMATEQILNRIEQLCSFFKKIRDQTNIKRKPELKDDQQKKIKPNSTGGVNE IQLPYQVSTSLKLVSLGRIIPSPAYHSEHNLFPVGYKSIRTHASMFTKGKRCQYTCEIQE GSDGKPLFKVTSEEDLENPIIKNSCTGCWVHIYNRINELQEHKKSKVTISGTDRFGLLEA NVQRYLEELPNAEMCSKYKFKYRNVAQDF >CAK86474 pep:novel supercontig:GCA_000165425.1:CT868574:297069:298385:1 gene:GSPATT00020157001 transcript:CAK86474 MSNANTMSCLWKESKDAKPYVNRVPIPTVEEGQLLIRMDYAPINPSDIKFLLGQSSSNKQ FPCVAGFEGSGTVVLTGGGIASWGMSGKRVAFYTNHEYGTYGEYCIADTNLCIELDNDIE SSQAACSFVNPLSAIGMLDICKKNNAKAVINNPGASQLGKMMNRLFNERNIKVINIVRRE EQVYELRYECGAELIINQNDPDFLKQLKIMCETTQASIYFDAVGGEQSGQILNIMPKGST LMMYGTLDSWQIGGIQANDLLSDKKSIQGFFLNVWLKEQNKLELIMTLKKLKNFIKTSLK TKIAKEFPLDQFQQAIDYYKTHMTEGKTLICLKKTIQSNQDNSNQKQAQSQNEEQLSQQK EQNKVQQQQQQQQQQQQQQQQQQQQQLIIIIITIIIIIRRITLIIRITLIRIRIALIIIK TQ >CAK86475 pep:novel supercontig:GCA_000165425.1:CT868574:298486:302867:-1 gene:GSPATT00020158001 transcript:CAK86475 MINQRSQGPLRGQHFRQANLTQIGTKIIDQKSESIYVDSEVSLKKRKSKSPLEVTRGLYK SQTYKEICPQDSFKEPDRFDSPLDQSLNQPLKITFKVSGTALRFHAHQVIRIFIQKLLYY LRVQKQQQSKMKCFERIIEDKGSGTQIEEQEIKKSYNCSLLKKDSYEKMLVNLILIMILL AYCVVAPIFNMRFEPILIFIFIYSTYKVVDYKQWNILDILIIGINIGSLFNQVFLFMNII NMIKVYQIMQSLNVLTSKKLLRFINSILIVVIHLNNSVHFWQFQLDNEQTYDILLGQQIQ LFFNLDLETSDDQNIYYISLNRIMSLLILLYFTQQFFTYMKISDEMIKKEEFFELLLSKN TMNKQPFRNQHQVRQLLWSEVENQKYQETYLSQIDFNLPKELRKSLNLHSYLDIIQKSKF IKQKFTESFQKNLSEFVKEQTIEEGEIIQQQNQRVNKLIFLMEGEVALQINQKRVQVLKK LNIVNQYEFFACLSSPCEITTQQKYYESFYDLISMHEEDFQKYKMWLDKMQVQQSRCVYK ICYICMDNHVTSMCTGVSYQPNYMKIISNSTYAQPSSRIKFRRFERKRTSSLVQQNLVSI TALNWAQQFNLISKNTELIDQFLGKQEGDDEFQLFANDDDHTSKHQTQTYTHQQSVRSPK TNKTGITGRERERVYTDSNINRTLTIRTLKASENNTSRLKQNNNNDSIVISNSPSPIQQQ NKTTLMLFTKEQLKRQSNMGSSFTIERALDKKSSIHSQKQLPQSTSILQSKEVIQQLQSN IGSPHMYTTSVRMNQNDVSLNLRRSDSQPILSELVGSARQLILIKEFENMQIFEWYFPNH NFNKVIERFQNYLNEFDLLISIIYKHILSKINESQQSSCICNRKFTRNKGNQCKTDKLEQ LLFKITNTFQKTINEDADMIRQRCLQILTIEPDKRSIIQAKYVQKYFERDFAYFSKIRGH LPDDLYIRIFKDLSIEKCDAAEVVFNMGDIGRKMYFIMDGEVAILIPQQEQQHHNNHHHQ RKSLTIKKFDDLLKHKYAHYTLIAIKSKNEYFGEIAIEQRIPRTASVVAKTDCIFATLSY DSYQKVLGQYQEKILEEKLQFIKRTPPFKNWSQSGQSVLLHSCQEVSFEAGSFIYKRGQK GDTVYIIKEGEVLIERWEKQQSVTQEGDIYSKRNIIVLGLYSTGQVFGDYEVYQTNMKCR YLTRVTQAKARVKTTVLALSISQYIDNMRLNERDAWIKDYFEQKFSKKWLNKPEIQRQQD SKSPIPQSNKQYIKSKEDGRQPYKVSLSNNYFEELDLDSKKDSKDLQLLSPTQLHSQSKS NKTIRKFLNLQGNLFKTQQNYEAPSMDVIIRQLKNKVIKSRDNLTSESNADISQSKLYSV KKHSAPKLKIGLSFKSFREGERNKSKFLD >CAK86476 pep:novel supercontig:GCA_000165425.1:CT868574:303466:304399:-1 gene:GSPATT00020159001 transcript:CAK86476 MGSCNSCNKYEQALSNQELQEGTNSTHQVKKIEQLRDKTLKNDYEDFPVADESCLENSSQ LPIFIKQKEIRDNLVQERMNRFMKDIEEEVKYRIKQQEEQRQIEIEQQNQLRSKPVKNQQ KDSSDFNNENHHQTISFQNSQNFQALRNIPGKTYLPKQFSQFAPKKDTETNTLNSQMSLT MNSLKTKTASNKNKFITILQENEKSSQASVGSLNESKEYTVSQEMQCSDNQPELFSSNTS KERSSRSILKNSQRFLSLERNLSLKSTYTNFKQKKVSFSQDTKYFITRGLKRNPTTLFNQ >CAK86477 pep:novel supercontig:GCA_000165425.1:CT868574:304407:304996:1 gene:GSPATT00020160001 transcript:CAK86477 MKIYFIIATLSILINGKDTHDEVRTCIMQSLSSTAKCKNQYTKCFDDIDCNNQFDDLFRN CVIQGGSQIYESYCVNMGFIQISNALLSDLFDCLVRQCNIMQGKLNHIQLFSNVSGCFSE ALLDSSKCWIQSKNCTQQCEEQLNMIADCETHISKDDVANTRKCLDSKSFTDTKAKEIKQ CLMISCL >CAK86478 pep:novel supercontig:GCA_000165425.1:CT868574:305076:307339:-1 gene:GSPATT00020161001 transcript:CAK86478 MKYSIQGRYILYKDQLINGYICIEDGLIKHILESYDEMIIQQYPIKYVYENQIIMAAAID TNVSLSIWDHIEDFTKMAIIGGVSLIINKPFVFDMELSSQETYTSQIEQLNLKSQTDFIQ MAKLQNYQDINGLLKLGAFCFDCHLVPSYSGTYCKDNEQIIQIIQNLPSKSCLFIHTQSA LDKDLGITSPFRSKPFNERLVTSQLELVDTDGISGSCTSDDESIQNNDLGFRSQIDYLNE SNSPIKEIVKKYKNSDENRVSYFDEQNDIPTSPKLQSNIFKYKSKQVTEQSQLSLISRAE LITYQRTPKMEQNYKFPNEEELDNQLEQNKSDNNTVIENVQVIQEQESPLSLIKDQQEST RKNTPQMSIQSSDLSSPDSSSLKSLHRIRSRAQTTQGLLYRRQSKNGFSLVLHQVENKES QQMHFNFSESRPKQNNNNNRFNRDYLCFLAFRPATWEKLAINKVKKALRYQSKCNIILNG YSSSFALVEIKEMINNQIYSDISYPYLLLDSEDIQDGVTKYKSDPPIRQKYDKQLLQKAV LQNNWISSISSSHLYVNETFKFVEAGDFRRAVGGLCSLGCTYQALWTLLFCKKNQDPNFI KQVFQKKDLNNIRYKRMVEKLVQLQQLVSSGPAQYLNLQNRGSIDIGKVADLVIFDPLKA WKFNFDRINHQFAFSIDEHIFKNKLFLGSVDYVFIQGQLILSQNNQIITICNRKGQQIFI >CAK86479 pep:novel supercontig:GCA_000165425.1:CT868574:308213:309598:-1 gene:GSPATT00020162001 transcript:CAK86479 MIIFVYYNNKKIKIVLSQPTLQLLYKLVQDNLKLPFDFELRDKMNKIVFDLYDGLELNVS EVSTINQQIKSKTVNDQQSFRLGRERIHSLNLLSSQKKSYSDFSSPNDQTQIQQNKREQI IQKMMEDKLYREEQQKLNHDGNKLIKQIQKRIKLREQVIKLKDEALNLSELEKKKVILAQ KRELSQPIRLDMLAEHQKRYEEEKFQKLKQRQQQKQEQEEEFKMKLIKFPKSSTLVRLEE EQAKLKLSQQQAGEQKKILKQKQQRYGESVKDSFLKDIARHSISPIKPTQEQNSIQKNQL DIAKQNIKKLQSLLGERKVDKILILPERRSVNDIRQRGQNSLIEMKKSDKIEHVEKPYTT DHKNLISKRKLLKSGLNSANFPSSLNDNKPIHFEEKYQTVLKSIMELEKKCKEREIRFML NKQQQLEEEENEKYIEKLRQKLALI >CAK86480 pep:novel supercontig:GCA_000165425.1:CT868574:309689:310498:-1 gene:GSPATT00020163001 transcript:CAK86480 MNKITENKKYAKEIEKKTLINGEDFMIELDVFDQKQERQVPKDSISKINKKSQSKLQEKN KEIFFLDLLREAGKQQQHQHQFQFQEQQQQCDEDVNKEEQKQEKENQIKPDYWKRKTDYQ DLSNLQKTSKVMIIQDDSEEKFTENTNSVIPPKINGQQNYTIQVSKEQKEKQNEKTDQVL RNQRLLAQYQSELQKIKQQKHPKIQIQQNITPKQHKKMPVMIVDAFVQTDDTSDIHGQEI LNLILLEQNTVYQLNQLHEKILRLLNNQI >CAK86481 pep:novel supercontig:GCA_000165425.1:CT868574:310836:314008:1 gene:GSPATT00020164001 transcript:CAK86481 MNQQIPEILDTQNAQNNQQGVPCNPFGQQASSNFLGQSNQQFSQMQYPPIQFSNNILPQL PPQFPQSPNQFQFQPNQGFPQAVPPMMPRMNTPNANSMMFQFPQNNGFFPQPPMLQKNQV KNNDDEVQKLKEKVRDQEAEIQRLKNKLSTITEESNSLQQNYKNKDKENDELKGSLQKSK ISFNDEIENRKQQLYIYQLRCEELEEKERKSAQANKKLQNEINILNDEYQQYKEKINQLH QVNNESSIKTLQQSKNLEDLRNEIDKLNDKVNSQNNEIQQKDQLIETLKKIQSDYIQNKS YNQDVSSTTDKATLIQLNKDLENNINILEHNYKELETKFQMLEIENKMHQDKAKFFEECT EEMRSKLEQNQKKNQNFENDETQQFIKEFQQRQQDTKNSQQDEMKKAKEKLLQKKQKEQQ EQEQRNREGKQKMLQQIEKAGKIQCCFVMDLFKSNDKQAKAIIKAAQSCQKLIKINTNRH SVWGAVCYGYSKTGLQIKTQEFSQSSDDVSNFLTNQKLNQDQKDQPEDLKSALLAMLNLK WTEKYKLAILIPNSPCHGKIYHHPKKYSTWFGLFSVNYDTKPNDNLEDIIKELIKREIVL LVIRQNDETTIMCNQLQILYTKSNAAPLFYTLSVKDLGSKNSDQNLNQKIQHMVGHMIGT SNERTKTKINYQMRDQNFKEGLIDKRNLKEEVIIINKDLQQEFQKQGEQQKGNFPEASVQ DAIEDKNTFEKEIEKNKDCQDGALQAICKKKGDIANFQTQVKCEDFNCKVYSVELKQASF DSKIQNIDKIRYEENDFDLKEQAQWQCVRTKNPFALGMMKKVYLMKKKNNTEEIYVVKIP IDKGTYNKLSDAVNDCRSHLIAKNMMKLFIEKLTSVNAKTPQVQYTQFLILEENQSKYWI AERFFEGEFKKYNNNDGYINDENNDLNAISQGFSFFTYQMSSFNYIINDIQGIKNYFTDP AINTVKGNFDETDVGEEGISNYLTIFQAKKDICEQLLNSIGIDID >CAK86482 pep:novel supercontig:GCA_000165425.1:CT868574:314052:317180:-1 gene:GSPATT00020165001 transcript:CAK86482 MAELDDNYNHWDLESDEYRMPGQVIKNYNSHHNEPQPVSNYIYTMLKNQTVQLKIRVDPL TLKIIILMLHLQQITIYSIIQFTEKPKCTPLVKKVIIEFEEEEPRKMGTPSSHEAISLQT PKSMRSQQPHKTKAAEKIRARLSKPQILSKNQIRQKQLQRFIDGWGFSLLMALVTIYALF GDDIRILSVNKDGDDIFFILTSVCIACFTIEIVLTCIVNPAYLFNFYFWLDLISTATMIL DIGWLTDHWYGDEGDVQNAATLKALGKASRTARKAARVIRIIRLVRLIKLYKHARQQIEK EKQKSILQELLLKDEQKLNSSQQQHQQQQNKQKQNYLIRISVLQQNKKKHKAGDLNSQLP YINKSKNSRSQSEHDQNSSNNEQLKQSTHSQSDQIQESHVGSQLQDLVMRRAITIIISIL ISIPILTLDTYSEIINSYDSGILRISQFRKNLPITDMLIQQYVQFHNHEIYPIQSVLVLQ DDLNQIYTEYNYTKNPEWFQSTEMVKSQYRFSDQQYFVTTDANNQLITYSVSDLVDYNKI NAILSIFQTVFVCIVLALSAILFNKDVTELIIEPIETMIQKIELIANNPLEAVNIEEQED LITQEQIEQTTETYLLQELIMKIGALLAVGFGEAGSEIIAENIKKGGSVDPMIPGKRVLA IFGFCDIRNFTDATEVLQEDVMVFVNEIAEIVHFTVDTYGGSVNKNIGDAFLLVWKYPDM KYHVDPQTNKGDMAVLSFLKIIISVSLSKKLEKYKRHEGLNSRIKDYCVRMGFGLHLGWG IEGAIGSSFKIDVSYLSPNVNMASRLEAATKQFGTYILISGVLKRNLTQACQNHIRLIDV VTVKGSIEPVELYTVDLSIKNLLNKFKEPRDIFDISRMKPREQKQFRVVNRYKRNLLIRS VEDDKIQLATLFEKDEDLIAARELYTQEFYDTWISGFNSYIRGEWDEAQKIFMKTLNMIP EHKDGPSNTLLEVINSAGGRAPYDWKGFRELTEK >CAK86483 pep:novel supercontig:GCA_000165425.1:CT868574:317210:318340:1 gene:GSPATT00020166001 transcript:CAK86483 MKIIFLCLMMTLVLCHIQPDIRSGKVKSKGVNLGGWFVVEHWMTSSSVIWEGVPEYYHGY GEYGLMSYLGHSVGDARFEKHRQEWITEQDIAELASYGINTVRVSVGFWIAGFDKTGGSD WKIFAPNGLKYLDQLIKNWAVKYNVAVLVQIHAAKGSQNGLDHSAPPVPGQSYWAQYPEN VRNTVDLAVFLAERYKQEIAFLGVGLLNEPAGTTDEATLKQYYLTAISEIRATGNDCILT VAPLLYEQDPDHFNDFALKEPHIWQEWHKYLIWGYEDMNEEQILSIGIPGVQKQLDIWKG NPIFIGEWSIATTDNAPFASVESFKSFGNKYRDTITSAKGGWTYWTWKTSYDETQDISQR NAWSLRQLLRNGWFTV >CAK86484 pep:novel supercontig:GCA_000165425.1:CT868574:318364:318885:-1 gene:GSPATT00020167001 transcript:CAK86484 MQQGLAQKRLLMDFKKIQQENQEDFMASPQENDIFHWEAVIFGPEQTPWEGGCFELKLAF TNDYPTKPPEVRFAPPIYHPNVYPDGRICLDILKEQWTPILDVWAILTSIRSLLCDPNPN SPANPEAAKLYMSDRAEYYRRVKEQVEKTLNGIEEEK >CAK86485 pep:novel supercontig:GCA_000165425.1:CT868574:318916:320659:-1 gene:GSPATT00020168001 transcript:CAK86485 MDQQLTKKLKKQQVQKIQFHQLHEFAGFQVAKDIQPSDSEPQRRKPQQLTSYQAQIRQQS YMKSKFQLVLLQQPIKEVRWDDVYQVIYSTTQDITCPICISDHDIITPYITPCGHIYCLP CYQRHKLQSKFNQKCPLCGELAILSELKSVKIIKHKIKNVGDTVLLNQIQRYKNETELYI NGRESIVYARTLIANESYLLKIFEEEIQQLQHYIEFCETEIQEPIQQSIQFLLERQDKLP KRNLDNKPEIALKSFSQKQNEVIYRFFQDSNGLLGFLHPLCHKYIALQFGNDNIPHQIES FLIQKDQFTQNGKTQTKYKFLSHIPLNTEFYFYEIDLKQILNQDNFKEYSREISDLRERQ RKEKLRQEERFNQIQAQQIQKQYIIENGGDYNYQNLQEDELEFDEQNFPELPGVKNISQQ QNDVQDDKTEIDQQQQQQQQQQQQQQQQQQQQQQQQKQEINLDLESQFPSLNEECSQIKI NPFSKTWGLQQQKTEQKVEIEQPIKKETTNQEVVKLSDLDFPVFVKQKNKKKKQNQRD >CAK86486 pep:novel supercontig:GCA_000165425.1:CT868574:320734:321294:1 gene:GSPATT00020169001 transcript:CAK86486 MNHQGFFGTALKRNSKKLNSKRGEECLNNKLFANDNLRKIQLPKQLTQQYCFSFEQGVNG IRIETKSPSKQLRVSNNSKQNIENTSFQPETLKFSYNQQFLAHDISPLIRIRKLQLDRVS QNQKQLTVGQQCFDFLTNKRIRAQSFVEERCLSPDQKKTRPRLNLLIQRNLHSESFRQSD NQLENY >CAK86487 pep:novel supercontig:GCA_000165425.1:CT868574:321856:322928:-1 gene:GSPATT00020170001 transcript:CAK86487 MNKFLLTFKDSKIEKDYQENKLNTLQKPIFYWLLVMSFALNLLKVILDYTYYTVNNQSWM NVGFVILVIFELFLACYKKQYIKYALIISNLSAGLLQINFDENNTFPQEYYSYGSYYAML SVVVYFMTDFPYSVFQVFIHLSMKIFFTTIKSHRIDMLGIILGLITNVFLVLTLYICDWN SRRQFLLNLREVRWEESLPFIIKKPFNLFTYKDKNMSFQVNRAYNQDFFPYYNQDDCCGC NFRHFLRKCKVENNSLEIYLFDKRNEKLDFDLGLCNWIGLNQLSHYFRETQTLNNKLHSQ INKSSSKDISNQKAPNQVSTLKTFFIGCLFDVSLQQQRNYFYKLS >CAK86488 pep:novel supercontig:GCA_000165425.1:CT868574:323026:325843:-1 gene:GSPATT00020171001 transcript:CAK86488 MQKDLNNIVITFWITYTWIKQSNLSVTRNWITQFYCVECLTPNIQSSQEFLLFLIAPSTN PVQFVQINESDLNIKIEQKESLLEQQNQKASQVNQTLYNDRIEVNDLNTKLETLEQELKE LRQSNRNLEDEIFQQKFQKDNEIAHLLKNIKQSHKEKSQLMIEIKQKQEYYQLQEQMIQN NNKQNEIQEKNLQMQQSEIVKLENENKAIEQQLSKLSLQQKNSDVLDKYESLQKQQQTLD QEIIKKQDEIKEQEEKRRTLKQNMFSKDQTITMMRDQKKKIDKKIKNSQEDIEQQKLEIS RQENSLQGKDRIIQQEEELLNQGKFELPNEYQKLQQNDQMLVRQIKDNSFQAKQQEKTNS KIMMNQKKQEQAQLEELNKQARAKQLQELQQKFQQTHGLLEICFLMDCTDSMNPYKKQAE LCVLLSMLSVKKQTQRDTLWSLICYQDKAELKKLGAYQQLQFTDQAEEVANYLSAVKCGG GGDAPEDIDGAIRQMINNLKWKTQFRIAMLICDAPCHGTNFHEFDRSQDNYPDDDLTGAI ELMIQNDIFFIGILFTNHTNKMFTQIRNIYRKHDKEEYYFHYDLRNAQPDKIFEELVGVL TKVSQTATQTNVRTTKVKNRGKVPIENPGTKGAKEQQNPVEVLCILLIRQQPNQNDFVLE TFRVYRIEFSDQIFNQKYLEIQTIGVDDFKQIEEGQWSCLRSKDPFAQGAMKSAYLMIRT HQNATKQKELYVCKTPNDLKPFKTFQSAVQECLTHLITQKWMKKFNRDLDDAIAKKNIKK RYPQVLYSDLLIFLDARGNHWIAERYFEGEFVKYNNNYGYVNQENSDLNKIANSFSVYSF VKSNFNYLICDIQGVKCCFTDPAICTMKNHRLEPTDLGQEGIAQFQVSFNLVKNTCKEVL QILDLNV >CAK86489 pep:novel supercontig:GCA_000165425.1:CT868574:326336:328437:1 gene:GSPATT00020172001 transcript:CAK86489 MEAITQLKKFIGHHFNVKSFHRYMINNDISDIETLIKFCQLDNTGQRFNLWRLFFNVYTL EDTVYDKIAKLTKTRETYKQLEQKHSRSQDVSSTTKIEDQPKKGPLGGPLGGPLGGPLGG GSKIKASTQNPMEDAELRNEIRKDVERTYQEIQFFANKKVLQILTSVLFIWSKENSEISY RQGMNEVAASLIHVYFQEALYSDEIDKIQGATEEDKQILLEFNSWEYAEADIYTLFQKLM NDAQHMEMFRPNYTEAQKIKLQSKKPSAILTRVSKIQDILLKQVEMPMFRHLKLLQVEFQ IFLLKWIRCMFTRELHLLESFKAWDAIFYDFYEQKTETLFFVDCIAIAMILYVKQPIMEM EDSSQCYQRFLKYPPVSNLPALLESAINVRAILLNQKSATNLDDQNESNQPSIYSDRQFN PVFFAQITDKVDGVDAKVVKITSEKPQFRMKGDVDLVYKDETSPDKSNQNQQQFINPLQP KQVPQQQKPQQFYQQQQPQQQIQQQQSQPSNQTTQSSNQSSITTNFFDSSLSSTNTKTIK TQFQVEEQSQQVKKDKASAPVKNAISIPISQAEEMFNTLEETLKFMKSFKDNSNYQDLIR NLTEMKDYFETSLNDNSSSQQQNQQDDSSLSNKVIGFLKKKK >CAK86490 pep:novel supercontig:GCA_000165425.1:CT868574:328602:329207:-1 gene:GSPATT00020173001 transcript:CAK86490 MIYCVSRTYSKTNDTLHHKNSSLSTINSKPPETNNNSIHDLYVSNNMMLSMLTVSGQDSN NQSQITSNTQKEDSQFYSYRQQLQKQLSERKIKRNYEQAPKTRENNSRQSICTPQQSEPQ ESQRQSSRTVPNLFKTSQLYTQNQKQLNPLLLNSSSNEISFGQYKFKKNEILQDVNSISN APEDDAQIHLPMKFQPFQFKQ >CAK86491 pep:novel supercontig:GCA_000165425.1:CT868574:329222:329671:-1 gene:GSPATT00020174001 transcript:CAK86491 MKRTNLWSSQQQETVKKEDLSEEEEVQDNEDDQELEQFKKRMQSAPPRYYIIRLSEDEDQ SSSSHQLSQVEEQLEEQQEDDDTTRLSDESSSNQDYDQVPDDRPNIKPKSLEPFSFKKQY YRTDQS >CAK86492 pep:novel supercontig:GCA_000165425.1:CT868574:329822:331437:-1 gene:GSPATT00020175001 transcript:CAK86492 MKSPIRKEKKHQTHNPTSQQEQFQQKLLYPGQIIAGRFKLIEKVGQGSFGSIFKTENIET GEICASKFEKRESQKNSMSLLVREIKILLELKGIAGFPQILHYGRDENYNFFMITYLGQN LENLIRKTKKFSQINCLRIGLQLLERLEQLHLKNLIHRDLKPENIVIGWQDIDVVYLIDF GLSKYYKDNHGNHIPMIEKKGIIGTARYASIGAHLGREQSRRDDLESLAYVLIYLNKGKL PWMNLPIQDKSIKYSKILEQKQSISHEKLCDNLPKCYYLLLQHAKSREYTEQPNYAYIKE QFSKALGDLEYMDNISFDWEKLPEMKSKKSRRSNSQKRTTDKKPRISITKEHSIVHESKQ QQLPPLQLVQQTQQKSQVSFLNVPDLDSQSPDKQSGLERKSRGYLSRGSAGTTRLMNYDL SQIEEDENDGDNQNHTPFLNVKKQRKDLKSLSVMSQNQVLKFTTFCKQAGKTMKEQQIEE VNQFYGSFDNLDLIANDEIHIQIHNIGAFSFKKH >CAK86493 pep:novel supercontig:GCA_000165425.1:CT868574:332856:333647:1 gene:GSPATT00020176001 transcript:CAK86493 MFVDSSQALASPSFVEPNSPQAKEKRYFFHEEVVSPSKKDDKSTENYSNRKISQDSIFDS KVEMKLTNNQICLVNLFEQQNKDKDRDTITKLQQQLSQQSQLILSLQNQIQILKDLNKKN QTNISELLGQIRKLEQENQFFQDQLLKNRLSLQDQIKQQQQIKIDMENTKIQLLNKDQMI ESLHQQLSSFRQDQSRCRVGRSPNRIIEQIVNCHHPSISYINYRIAPQRSHSSNIKNF >CAK86494 pep:novel supercontig:GCA_000165425.1:CT868574:333682:334102:-1 gene:GSPATT00020177001 transcript:CAK86494 MNEKEDTIYQSFINSEDSVPYENQMEQCQQCVQLQKYVYQLEQEISELNKMMQTQSKNQK PQNFQIPKKLSFCKLQCVSVRHQNHHYLSKSLHDDQNQNTKSMYTSSGHQKRKIFDEVEE KTSTKALQFYKL >CAK86495 pep:novel supercontig:GCA_000165425.1:CT868574:334324:334864:-1 gene:GSPATT00020178001 transcript:CAK86495 MQSSRDPYHQVPMIFFDGQKKNVIKVFGNNCKNNHQFSIGWDVPSLGTVQRVSNKVGIET GFVIPDYTVITYKNINKEPPQAHNPQVNQPQSNKFATLAQKNLVQDNYKNEIIVQKSIDN GIEKFKSEENLKEQTNKQIRDILAKQRRENQQHLKYKA >CAK86496 pep:novel supercontig:GCA_000165425.1:CT868574:334897:337890:1 gene:GSPATT00020179001 transcript:CAK86496 MKQKIFEILLSELLLLAVVTGLRLNSNQTILVLTCLNVFLTIVIGFVRIYNLFLNRELTR CFTLLLCLRLTLIVIQDSVSNKQLFLVLINHLEMQYEIKVALLVNYGLSSILNDVQNLIQ TPQNVIYYVYWFLVLLQLKIKFFNVKEPKPQTISKSLKQIPDAPPTFQAKSQEMIKQLDE QSKVLSSSEIKPLQLNSNNLNEDDIIMTNMSWLSNQSVLIYNGDLSIIYQTFYLGKLQKN VGDKLDCELLFLDSIIIIGCKEINELLGQSGYSDSIFSDGSFLCNNINEVHKCKIKGQFT IRELITCLFKDFEKWRFFTLTIFKVKNDFLDLDGIQIKLFITNIDGHKFILFNLEQAPVL PKTNNSDSNSFLQNIYTTYSHESINYVNCIMTFILLLTHHNDSQMSEGSNKTTMSQSKKE KEEYVANSLLTMRYHTQRYTLFLYSMRDHIFYFQNELFFKMNAIRIEDLLDDLLQTFDPL LKLKQIKLTTSIELQEGNTIIFSDQDRIKQIISCLLHYCLQSSTKSSIKFDIKSYTLQGI MITIKDTKADFDETAKQKIINLTKLVNQQLKSQKSANELDLSNPLELQMCIILCWQLSGS FKRGLEFLIDSQGFCTFTFVIESQNTQMRYQNSDTGPIKVLGKKKYFETSLSLLLQDNIN QGGAGGESRLLSFTQLSKQFSIKPTDPIDLQSAYFSQLSKIRQETSNSRVFPNSGTIHKQ SREQSGSFSGTYKQGIQQDSIQQITKLLNRNNLSVAGKIDSPDESQRTFTAIDFGAAEQT INQISLPEFNPKLLTQVIKYRLRNNCCSKVLILDNDSFQIIVLEKVLQKYDIKCDYGFTG SEGLDSIEMKRGRPCHCGNRYYLLYFIDINLPGLSGIEFVQRIKKNMQQGNLDKGFAIAT ATVAGLNSKLDCFRNGMDYFISKPFDLIEISAAVQYLDF >CAK86497 pep:novel supercontig:GCA_000165425.1:CT868574:337935:339175:1 gene:GSPATT00020180001 transcript:CAK86497 MRGHQKSLPNLKFLQHQSTAQITPSNSSIRQKFQENRTYRKFQKENSLKIDKNQSVTPVM NNAVKLFRQNYIQKTEPNLTSQKQNGIAENQEQSNQKPTSFKFLYRPMTKQNSELMQTLR ITSNYPEINHVYYVSDLIRAYESKDCYFNRLFQDHLQASFMAFKQCATSKIIFRPKPVVL PKDPKDQRKYTLLLDLDETLVHCSLDVRLPCEKKLNIRISPSEILQVGLTIRPGLQNMLE NLQPYFEIIIFTASHVQYAKKIVEFIDPKKIISSVLSREHCCFTSLGQYIKDLSIIKNRS LSKIILVDNSACSYFYQIDNGVPIIPFYDNKQDKQLALLTKYLIGVVGQEDIREYNQYYY FQYFFRKYLKTYLLGKLDSLEQIIDNYKAQFNSKKT >CAK86498 pep:novel supercontig:GCA_000165425.1:CT868574:339334:340426:1 gene:GSPATT00020181001 transcript:CAK86498 MGPYLSQPNKNKTTTSGEGKSIIFAASEMQGWRNTMEDAHIHVCDLQQDLSIFGVFDGHG GKEVAQFVEKHFIEELQKNKNFKDQKFEDALRETFLKMDELLLTPEGQKEIIQIKGGDDE ASYAGCTANVALFHKNVLYVANAGDSRSVLCRNNTNYDMSVDHKPDNYEEKSRIERAGGF VSDGRVNGNLNLSRALGDLEYKRDSKLRSNEQLIIALPDIKKVELNQTDKFLLMGCDGVF ETLDHQDLLKFINQKLGNQQITPQLLGRVAEDLLDNLIAPDTSAGTGCDNMTTLIIYLKG K >CAK86499 pep:novel supercontig:GCA_000165425.1:CT868574:343062:344079:-1 gene:GSPATT00020183001 transcript:CAK86499 MGNKIQNPPDRSKNTKVEETKHFIYASSEMKGWWEDMEDAHINVCDIVPDVSIFGVFDGH GSKDIAHFVEEHFIEEIQKNKNFKDQKFEEALTETFLKMDELLRNQETQMYKNQIIDEKP NLICTGCTANVALFHKNVLYVANAGDSRSVLCRNNTNYDMSVDHKPDNYEEKSRIERAGG FVSDGRVNGNLNLSRALGDLEYKDNTALGLNEQLIIALPDIKKEVLTQNDKFLLMGCDGI FETLIHQDLLNFINSRLGNQAVTPQFLGRVAEDLLDNLIASDLIGNGTGCDNMTIIIIYF K >CAK86500 pep:novel supercontig:GCA_000165425.1:CT868574:345301:345537:-1 gene:GSPATT00020184001 transcript:CAK86500 MGICQSKSKLKRSGAFHFIPIDFDKLKKHNKEREKNDPNVAQQQSFCQLVWQDAIKISNP QTYTYNNVQTQQIELKKE >CAK86501 pep:novel supercontig:GCA_000165425.1:CT868574:345638:346002:-1 gene:GSPATT00020185001 transcript:CAK86501 MQQFKRLVPLMNRVLIKKLEVPTKTQSGILLNSGDTKNPAGVVIEAGEGYYDHKGEFVKI CVKVGDTVLLPDFGGQKVKVSGQELLIFRDTDLLGILSR >CAK86502 pep:novel supercontig:GCA_000165425.1:CT868574:346032:346849:1 gene:GSPATT00020186001 transcript:CAK86502 MDPNQFGIEIAKKFQQFFAHMNKFCQQFLKDQNVPEIPTTTEKKKERDPNAPKKPLTAFF LFNQKYRQKVVERNPEIKLTQISQMAGNKWTSMSEQEKKPYLDQYNAAKEKYDQELKDYN EKNGIETNDKKRKKSEKFDEKSMKSAVDHNVDDFESESVQPAAKHQQQIKQQQQKQSNND DQVKQQPGKQTKQQPQQKQNPAQTKKGKNVEIDDDIQRDIDQAMSNPKQPQKKQKK >CAK86503 pep:novel supercontig:GCA_000165425.1:CT868574:348087:360153:-1 gene:GSPATT00020187001 transcript:CAK86503 MSRNIRVLDLKNVAKQRLSIKAPVQIRKFDLSRIREQELEFKSLVDSFESDQSINSPING SFVLKSQRSLSKKKYVNSKEEQNIYGLKILTNQRSSQKQFDSRRSSTDIIYLEQQQEKSN LEWLEHCKEIEPPQAKCQFFHQKSKYLDLQVDSQYFWEDVAILDLDIQQNKFIVQKYPGG QIKKVDRLSLQFVNQNQEIYQKVQQSNQQRQLLKKIEHKKLQQIMSVHSTEVSQFPKTLR QKLFKKYINASMTTSLLDEISSEYIVAMKKCAVGNFDNKYKFIPQDRYQFYTSNRKQLKK LVHFYKKSAICAVQNMIQRIEDYTVYTYDFRLIHLPLKLEEFNHHIDQQIALQLNEFKNQ RLFMLSNIQDCLSKEHSFVTTQLDQFKGGQLDKLLTKINYIYLTFLKKQIEKEYYILKLF LKQYTYDDKNEIKIELSTLLELSVKIIIPKGGKMSRKDIRLSNVQMIKQNDPIIYIQYSL NDIIEQLLKPCRSLLIFVQKFQTIRSELMTLLLLKETLKDIFDQKQIHDLEEEIIQIITM EYQKCYNLVERFQKFKKFLIPLKSKEIAEILGIEPKEKSITSINKQQIVTRLQQSKSALL EIDHLCNTRSRLGLFSVNLNDLKFVLKEKVQDQANQITNKIIDLIKHNTANIKSQFDEIQ SKSSTLPNTEEKLVELKLLLGELKMEFSRKKVEILQIGQMLEVLNMFYVPFDFNLMVDFF YLKYWPQDITELVQMNKNMIEKQEKQFLQKLQAEKYEFEEHIYKISELFTEIKTFHSYEE VKHKLPAVRQLNLYFDDAKEMTHSFHQREGLFGLPNSTYPQLDLLISEFQPYQKLWELAS NFEQQKDQWLYGSFKKLNSQDIQIKINDYVNQTIKMTQYFKDVNNEYAQQFLRGLRAAME RSKEFIWIIEALVIEAFQKKPSFWRDLFRECHIANFDPKEDFPLQILITRGILNVKEQVL AVSQRAEKGWNIEKRLNEMQDKLSVIVIEIVPYKDTYIFKNYEEVQMLLDEQLNVLGILK AQPHVKMVLSKANQLEYKTVLIQDTLEYGMKCQKHWIYLDPIFTSEDIKKKLAKEAQFFR NVDQSFKMCMENFNQNRKIWECIDSEKMKVEFSNNVLMLDQIQKSLTIYLESKRVLFPRF YFVSDEELVEILAQTKEPVQVQRHIYKCFESIQELKFEKYSLITGFYSSLKECVPLEQAI NVAQDDKSGNVELWLQELETQMKKSISRISNRTLKDLNCTKQEFITKWPTQSILLANQIK WTRSTETAIRQQQKMNLKLYLSILHKELQDTVLLVRSDQNKLQTATLEAMVVIEVHQKDI VDTLYKKNVQDANEFVWISQMRFYNEIVEQEFVVNVKMVNSVLQYGFEYLGNLTRLVITK LTDRCQRTLLSALNMNYGGAPEGPAGTGKTETVKDLAKAIGMPCIVFNCSEGLNYIAMGK FFKGLASSGSWCCFDEFNRLDPEVLSVVAQQIYTIFQAVKEKRKQFNFEGVHINLTLTVS INITMNPGYAGRSELPDNLQILFRPCAMVVPDYAMIAEIYLYSTGFEKARELSQKIVTCL RLCNEQLSSQEHYDFGMRALKAILSAAKNMYEGYEEEICLTAIINVNKPKFIPNDVDLFM AITKDLFPDIKPVESNQLELEEACSELNCQMDKLFLSKIEELQNNINVRNGVMCIGETFS GKTTTIQVLAKALNCQIFKLNPKSINTDQLYGFLDPDTRQWSDGVAPILIRENIEKPQRI WIHFDGPVDSLWIENLNTVLDDNQKLCLTSGEILKIPTTMCMVFEVEDLSAASPATVSRC GMIYYIPFKWPSLIASLQLPNGFDLDYFIRRMRFLIDHTLAWTKLHVKFPVMYIETILVS NFINLTKKCLTFLSDEEKTPNNMDNMMIFALVWSVGAAMDEIYRPQFSKFINQLIKTNKS DLQTIYQDEATFQFPNNDGIDYFLYCFSNGKWVKWINLSNKKQINPEMQFHQIVVQTQSS IRNDYLATIGLHMLYCGPTGTGKTLSMAAKSGFQIVCSGSTTGNSIQRLIETKINKRRRK GYYASEEGHIFIFIDDLNMPYREPEGAQPAIELLRQWMEMGGWYDLDNKEFKYMCDITFL GAMQPNVSGRNQVTKRFLRFFNILYIGGFDNESMTNMLEVFIYWLSIKIENGVEFLNIKD QIIVTTLFLFEKVQTKLLPTPSKSHYIYTVRDIFKIFQGMSKVHKIINQQYLVKLWCHEC ARIFSDRLVDMNDLKIYNDIMKESLQQLYPDHLQHNLFLPLFNNQYEEAPLMHRVLDKVK WYLEQYNYQQQQYKMELILFTNAILHVARISRILLSGHALLIGMGGSGRTSLSKLANFIL FQQSAETIDSKQWDENLLTVLKDSGMENRIITLIFQESQFHQDYMLEDICNIMTHGEVSH LFPPEERIKVQEELPYSQFIKNCKHNMHIILCMQPIGETIRKRLRSFPAIINSTTIDWFM SWSDEALESTAFGFLKQNALVKVAVDIHHKVLDLTDKYKEEMRRYFYVTPTQYLQMLKIY QKIYEERVLRSRKAIDRMETGIEKILHTEQEVDKIRNMLFELQPQLEKATNDNQILLKKI KKRQQDADQKRMVCEQDEKECSVQREEANSLRNLCQTQLNNVIPLLKQATDALEKISRED MILLKSFIYPPPSAAIVMEGLCYAFEIDDQVQSKNKDPPTIQDFWDFAKKNLLNDKLIKR IKKMKLEEIRSINIVNIEKLEVFSQNPLFERDKVFNASTAAGNLSDWIRAVLNSYQAVEI IEPKKQQLAEAEVRLKDAEEKLGVKRSALDEVMIELKRLSNEYQKARIEKEQIEQKVESI TIQLQRAEKLTNNLSDEKVRWKKKTQKIKAEQNTLEGDSILCSALISYMGVFPIQYRENA IQLWQMKLLQEGIQSSSPFQLQKQLSDPLQINKWLQQKLPNDQFSIDNAIILKQSSKWPL IIDPQLQANQWIRNMEDSKSLLIFNARSPIQQVQLQLEHAIQIGYAVLVENVTEDIDPLF IQILSQKDFGSTAQIKFFDKVIEQSNDFRFYLTTKLDNPHYQPQICVMVTLLNFQATLQG LTDQMLNVVVKIDEPVKEELRIKNIFYFISNQDKLIKTEDQMLELLSQAGGDLLQNETII IALQNTKDEGNNIEERIRKLETDSQAFNVTRQSYQQVAETVANLYFVVYYLATVEPTYLW SLDFYVALYSKAIKEAQQGKLKRNQNIIDKFILMLYNAVNRSLLEKDKLIFRFLLCQRLM NVPINLVRTSVMGCSLTSTEIPMPKDYSWLTYKMWLALVDLQQQYPHIFKGICDSFSNNK PFWDHFYTTSHSFKNQILNLDQFQINMIVKIIKPEQFIQATNEMIRTFMGQIFLESIPIT FEQFYLESDQNTPLLCVTTPGADPRSEIIGLAHKYGFQDKLNVISLGQGQSQLAIKLILK AIQNGNWVLLQNCHLAASFMPELEKLYENQLKQNIHTNFRLWLTTLPTNLLPQNVIIRAL KMTYELPRGIKNNMLRSYLSQDPEKFELCKRLKEWKNLFFSLALLHACLLERKKYGPLGW NIGYNFSQHDLEMSKEQILYFLDQNNDIPWDALHYLIAENNYGGRVTDPVDRKLLNIYVS DLINKDIVEGFSFNDVYKVPSEMPLKGYIEYIATFPIADPPQLFGLHPNAEIYSAILDTE NLSSTILQLLPRTLGDQSIHPDKLVQKKGRSILNELPAQFNISLVESKYPLLQDNSMHTL IQQEVNKYNKLISQIFNSLEQLMQALEGYINMTDQLNDIYNSIFDNKIPSDWSKLSYLTT KPLGSWFSDLLKRIQFLDSWINNGEPTIFWLGSFFFIQGFLTAVLQNYSRKSKIPIDQLK FDFEFMKQIPNQGSQHGIFIEGLSIDGAQFDLEEQTLLEPDLNILFFKCPIIKFIPTNQP KKYNHYSCPLYNTSQRKGVLTSNGLSINFICNIKIPIKNEKKHWSKRGVALIIQID >CAK86504 pep:novel supercontig:GCA_000165425.1:CT868574:360508:362536:1 gene:GSPATT00020188001 transcript:CAK86504 MIYILLCVALAIGAEIDVNTFSNYIEVSQEHVHIEWLLDLDEQYINATSQSIFRVNTNKL KKIDLDIYQLSIIAVYLSSSGNVLQHEIINKGDQSLLQGDVLSIQLDRVYTRGEYIKLNI KYNLDSKGARALGFLTKEQTYSKAVPYLFSQCEDNNCRSIIPLQDTPSIKVFFTATVLVK DPRINVFMTGNKLYNTKFKLLNNYENLQALTLYQFELDIKIPAYLIGIIAGEVVEKSTGN GTYVIAEPHFIDEYAQELSDLPVYMKKMQDYIGPYIWGDYKIVILPASFPFGGMEHPLLT FASPTIIVGDKSGVGTAIHEIAHSWVGNTVTGRNWANFWINEGFCVFLERKILSRLNGLD SVKLDAINGNSSAFTSMQTFGLDNSFSSMHPNTTNRNPDESTSRVPYEKGYQLLTYLESL IKEDPFQQFLRDYIENFKFQSIDEDQLYQFLLSWVRKNKQEEAQRIIEEIQKIWKKWVYT PGLAPITIDLSTPLFTDANNLAKAWIDGKGQAPEKANDFQQYKPNQKSVFFQYLIDNYKD VETAVMTKMDEKYKLTFYKDQKTVYKWYRLVLLVKYDQALEGVHDFVSKVGVSSYLKVLY DLLGQNYNKQAYDWFDENKTFYHPVVVQAIEKILQKYPKKLIVE >CAK86505 pep:novel supercontig:GCA_000165425.1:CT868574:362727:362996:1 gene:GSPATT00020189001 transcript:CAK86505 MGCTIQKKVREKHVNSQQQLSIATQEVQKKQSSPKIENMTIETPSQLNLRAQRLKDVRHI LLNGYPNSPKSKISRKESGQISQIEQRRI >CAK86506 pep:novel supercontig:GCA_000165425.1:CT868574:363424:365989:1 gene:GSPATT00020190001 transcript:CAK86506 MYKGYIEKKFKEFQVQPIYLPQEFQKNGGEGNILMDVDIVKQLEQFIKKNMNQGNEHKIQ QFIRMFSVQLLLPLHIVDELLSNTIEASEQQFEEEAIDLIQRQSINRVREQTQVEEVRFI TQLQLLSEQKPEQELFVEEIQEAQLIVPLANLKQYPLGRTQFTIRAKLFNKPIIEKEQLQ VIGDYSLQFRDDSGMCSVFFTKNRIKEKFQLYYENLQVQTIYDISEMEIHQYKQTYEIKV TSTTQFKQYAKVPVLEQLQSALSYNLKNGEEIIVAGMVAEIGELRQQNKKIIIYTLPIDS NTDYYKIPLILWDHHKLLSFKVGDVYVFEGVLLKVYTDVIELKSLRTRFKVYTEEDEKYQ DIIKLIMDKKHNWLQPNNQEIQIEQVIQQPLFLSDIHSPINYPYFNTVVKILKDKPAIFQ MKTQKCIKQIIVTSDNNNSQTIELIGDRLCQKIYISEQALIFISNLQFINDKIVASEHNT QIRVLPDDDIDFAEQIALLKQPFSKPLSLSLNELEQDVQINVQKKNPIKIKINQKLSYFD NQKCVLSESLKKGIDRDELMDDIIPLLEGKMHKSRFNVLVQIDELFEVRYQKGNVKLQDL RISDESNSGKITLWNNQISDYKIGQTIRIDNIFYDQSKQEFKTSFHTKVFTNVPNKNLPN KQRRQIQKQLVIEGIEYQNFTYNQEVKLITDIIKLQCSLSEELPYQYCRAMISEILIARL SQQCPQCNFTKLVQNNDVYECSGQNGIKHIVTLPKIFCYLKCKLTDFTASIEVVFGDEIC QQYIPQNSINELYKNQMTVVPYFDCLMYKEFTFKLWLQKQKDQDMKKIKIMKLFQTNYLK IIDSYL >CAK86507 pep:novel supercontig:GCA_000165425.1:CT868574:366014:366429:-1 gene:GSPATT00020191001 transcript:CAK86507 MQNSMLEDLKNIMKEGWLEKESRVFKSWRKRWFVLTTTTLYSFKAEKQYSNPTEIIQLAT VSTIKSCQEETNKENTFKIDTPDQTFYLQASNNQEKEAWIGAVGKAMVKLNLKKKRNDDD D >CAK86508 pep:novel supercontig:GCA_000165425.1:CT868574:366605:367256:1 gene:GSPATT00020192001 transcript:CAK86508 MQKTINPIVKWAQRKDNIFLTVEVRDLKDEKVELTSTSLKFSANAEGVNYAFEINFYAEV IVEESKWTNYGVNVRFILSKKDQAASYWTRLIKEAHKLQYLQVDWTKYIDEDDEAEEGGK GLEDWNANNFQGFDGQGQPDEDDEEEQEQEEQEQTQEEQQQEQAEPQKGQEEVQNQ >CAK86509 pep:novel supercontig:GCA_000165425.1:CT868574:367265:368769:1 gene:GSPATT00020193001 transcript:CAK86509 MIIQSQTKTENKLDHGLWYMMKDLRLNILVLNISHFLNMNQNSVDYKSLCWRSFDWLDVI EPRRLMEMLMQQILINLLVLFNQNSFKKVQYTGRQSQIKKRLYQHKEAVDKLNSIPKLWK AKAYTITFEGKSLFVGIDKMAGSRRHYQGKSEKRASFFKLKSSDVSDLPKTYTLERCLDA LRQYKQCGSCYAISTMEMLSARLKMKVEKVILSPQYSVDCNYHNQGCDGGYPFLVEKLAF EQYLVTEEQYPYKGDAGIWKKIDFSQSSKVYGAKNYKYHVGIVQGWFCYQEFEPGQDFMN YQSGIYHSEKVDHSVLCYGWGEENGVKFCLLQNSWGEQGNFIINRMKRGAQESAIERMAQ AADPVIYSKSSGEFIEISQSNLRKQ >CAK86510 pep:novel supercontig:GCA_000165425.1:CT868574:368793:369185:-1 gene:GSPATT00020194001 transcript:CAK86510 MRNSALGPKLILSRAQVDDTEDIPEEPEDFDTIIIEKKKIKYKAIREDRFDAKGRQIKQG TGYGIQFDNFITVCVFDPSEEVVQIKDTLSNCTNGIDQSKINPRLDIKYKAENEGDEIIL FSILKKQKNK >CAK86511 pep:novel supercontig:GCA_000165425.1:CT868574:370699:371893:-1 gene:GSPATT00020195001 transcript:CAK86511 MNKFSLKFKDKDLESLYQSFLLEKIQYPVFMVLGIFSIVILGIKNIDGLINNNQSQFTLK IVGFCVFLVVSIISFCYKKFTRIGFYVINHFLMLYQINQRENVVQHTYLFGQNQMFVHSV VMLVSDFPDGILTNFTWTVIRMTIANTLDTNLDYQDQIYSIAVFFCLCLCIYIKNVHLRK AYMFSIRDSSWEVLLPQLISKPTFIFTCNEEKQQFQISRMIQMHFLMNIENPLLHFLRNT RLGNKTLEQYIWDKAKQRQNTSIRYTIEKLSVHYNKKQQQITFSECLLDTVTFILVIDSE DKGFIELRKKQQSIQEQHTNQILKVFKSQQNILRKGLKEKSFDLFFDLNIAMMLIEQDLL EISEFNKFARSYNKIILVNLEI >CAK86512 pep:novel supercontig:GCA_000165425.1:CT868574:372228:372455:-1 gene:GSPATT00020196001 transcript:CAK86512 MRRINILASILKSGVVTGMKSWNGGLEIAIRIKNLRNVYEKRGNDNPSLKNIPSQGKLKK LPQQNKSLIRATKSR >CAK86513 pep:novel supercontig:GCA_000165425.1:CT868574:372693:374496:-1 gene:GSPATT00020197001 transcript:CAK86513 MQSEIKNIPLNNGRYITQQKLGSGSFGEIYLVTTQQNDILAAKFEEAKSKHPQLVFEAKV TKALAGGIGIPALHYFGQEAGLNVMVIDLLGPNLEDLFSLCQRKFSLKTVIMLADQMIQR VEYMHSKSFIHRDIKPDNFLIGLGRKSNTVYILDFGLSKKYRDAKTHQHIPYRENKNLTG TARYASINAHLGIEQSRRDDLEAIGYVMVYLLRSYLPWQGIKANNKQEKYHKIMEKKMTT PVEVLCKTLPIEFSTYLNYCRSLRFEDKPDYSFLRKINMDMIGIIKYDWCLAINGQKTNT YNNGKITIQVNANQYDADENQPPITIQINEKVQDKQAVESKITDNKFQRVQNPDNDIDQT EQIARNLMGNEQFNKQQEQIKKNLMGDSEIKLSIPNNTNQQNQAPIETVFNPRVIIQKKT IYERLGQSMAIDFTVDKLLQKLSNDPKLKNFFVKADMKKLNSQMQAFLTMLFGGPSNYMG RDMLEAHRGLGIDDTHFNLVVKHLSDTLVNLALPQEIVAEVVEVCEALRDDVLGKE >CAK86514 pep:novel supercontig:GCA_000165425.1:CT868574:378899:380272:1 gene:GSPATT00020198001 transcript:CAK86514 MRLLLSLVLIELFCGNIVNTTENLSEDNEEIDIGLQTYCKKIHCNSYTTCHSCEFKNCCQ FNLEKNQCFRLLKAPFNYSELFDRSKVEVKNLSEYPDPQMNVTFPKCNIVKEVPLYNATN YLYKNHDICGIPKYCPNQSYYVSAESRIIFQNVKSQVGQGELCTIYFQGRMGPEFLIQIG IGNLSQTEVKADLSICLSVGLFDDFPCIDSLQQIKLTKSLYYRIRANQFRITLQFTEDAE IDFSQIFYQIISIQKLSQQNQQQLFQFIIILLLTILGSIVIMALIRTILIRIFGRRFVEW LDPEPIFPHRRRHFNVLSEFERLKSQKLIHQIKQNEMKFEQDRCAYCLESFQTGIIIEIF CGHCFHEHCIQNHMQIQKNVGRCSICLVDINKMNQTLQQQQLPQESLENQSQIQENQGMI NDNLQ >CAK86515 pep:novel supercontig:GCA_000165425.1:CT868574:380309:383372:-1 gene:GSPATT00020199001 transcript:CAK86515 MNVKNYILRKLVGDSSNTLTVEQVQRILESQKDDDRIFRAIINSSLSNQKLQAGNSEFHI NTSTDFGHLLLNQLQSSLQSIKSKNQLLSFDQFPIDINQFNIDISQTDLMKQQLQYIYRL FKATIRQNGDGLKETQNYLANLNQAEQIIESQYAQQCIMKAPQYIQGIDQINLWCEKSST LYQTVISTRQLLQESKRTSLKKQLQIVEKYKSIQRIKYTLEKLMILKQTFGQLAQAMNKY DDNAYEIIPIMKETQNNINKYKLNELKLACISDNFFENKIESLKERIKSGILANFMKFDE KKYYSLLKSYYFIEKHYQMQSYVTQIWGLAKKSISVTFKNAIKLFEQSYIPHKIYQNCQD EDLLQFYKLLYSELVEQMLNFHYCNRFHQENDFKIEEEDGNSQFEEYTEQIRQSYLEMRQ LIWSQIQKKIVKIINAHLINIKSLVLEQLIYFLGYNCLLIKRAEDFSHSDSQQLTKCIID VYMSFVQQFHKTNLKSISTYLQNELYQRLPLTKDSKLIQQLEQLTNLNQVNTKLFEYLIE KREENYHQETKKTVDFQDLINNDKEMQLFYLFEYQNPFVLQQEEFDLIIKAIWIKKYATQ STGVLNQIESVLSSSSIRILELLPQYALLSFYHPSCQQQIIANFLQLLELYMFVSYNQLV GITQQKQILENFSQIMKFDESKEIEFFVQTYDSFSDCLVLQERFCYFKRFVQKFCKEGID FKEIDIFASKEKRQFVDIAERAIASESLAYLLSQTKSVFSLIGITSQNFDIFKEVYNQYK EFIYRNFVQTYIKIDGIVQYVPQQRWDLKDLHNENNNIYLDRLIQFILDQRDRLKSIGGG VIPPNAQKDIFSFLAEHCLNVLLETYGKIKKLNSIGRDQMVFEYTTCCQSIESSQKNSKE VVLNPKITLMQLEYLKVFNSQQYEEIDQYIQKSKTLIPLRLLYNLMSSSSSYNKLSKQQK KDIQVKFFKDYYDTFKLF >CAK86516 pep:novel supercontig:GCA_000165425.1:CT868574:383548:384027:-1 gene:GSPATT00020200001 transcript:CAK86516 MHSQIFLPISKQDTKQFQYWTKFKEIIDPINQTIEVSKIPIFFQSIQNPKSNQENQTSTT IQKLQLKNNLSAQDRICCINDNQIKPCEFEMKLKEISEKINKTFHQNNTHLEILLKMDDT TQDAKVKLEIGNQRTTSTTRRIDFKRRNTDYYSLKPINI >CAK86517 pep:novel supercontig:GCA_000165425.1:CT868574:384454:384754:-1 gene:GSPATT00020201001 transcript:CAK86517 MESKKLSKIIEFIFLSITRQDLQCPAQQGMTLISLCVFFCYYLWISRNDKANTLMVFNHS LSNVVNGFEWNPNLGRSNLAIIYRIHLDSN >CAK86518 pep:novel supercontig:GCA_000165425.1:CT868574:384826:386069:1 gene:GSPATT00020202001 transcript:CAK86518 MGQLSCAQIEKPDTNLYRLTLDFNKQENKNSFDRSNSFSQKYEIGKYLKVGKNPKLDEMW AEKLNSFKVYDEPTRTSSNYRNSLSKPDNIKQFFQDCPLITQDYEYYMFRFFIQEKQGFK YYGLIGLPQKYRWGYWKVITLQKGIMHKKMDKQISEATYCIKKDINRTLINDVFKEEAVI DKLDYVLNNLANLYPKVGYCQGMNYIAALFLMVSGVQEKETVCVFAELLDSSFYMFNLLF QDDLPLLFIMEEIIMKLIQVRLPKVYDHFIQCNISPSIWISKILLSGFVYLFDLLDCVLF WDYIFIKGSVLGYTDLIISMVTIHQDALLTKDEADLSSFFNFQEQKVRCAEDIIKQALLK PIEEKEIRKIMRKTDKKLDLVELFKFFGKEGFEKLYQKFSNGITK >CAK86519 pep:novel supercontig:GCA_000165425.1:CT868574:386135:387344:1 gene:GSPATT00020203001 transcript:CAK86519 MYYKQNKECRLCQGSLSQIQIDNLKKKYAIHKYNRYYNAFHFYFAKPISEILGNLQIDHV ILFKDLLYFNDDNEYLTKCYDQLEQKQIMRKLQFKIPIPNLLIVTAHQILQKRNNKLQKL QKQPVNIVAATNKYRILFNTTQNIELSSEIEEYENKIISWETSEHNIYSNFNVSSQKMKP VTTQQMNHLNTSNSIHQLYYQQNDKDIVMVIRDINKFTNQQLMKLSPKKTVINNKINGNQ SKNKNVIIPKLVIPQIPENKMNFQQLLNQYDQIMSQRNCQTERQSKGKTKEKSKGQLEIY QSKNKYQQSIYSVPSSSNFQGKGISTCSASILLKSLSKKSMDKSPIASRRLEIKQNLTKL IKQQERDLGFYTQR >CAK86520 pep:novel supercontig:GCA_000165425.1:CT868574:387402:388193:-1 gene:GSPATT00020204001 transcript:CAK86520 MLRAMLLEPGAICQVILCLNIEDLIEETWRQWDEYQQQEKERMTEQRQRRSQRSVKTFKT ENDEDRSVVNMDADENLDDDYNIKKDITQKGQEKRFCKKCCIPKPLRTHHCSQCRCCWQR MDHHCQWINNCVAKDNYKIFICMIFYASCLLVWVSISQYRVFLNVIETDMPDLILFLIVL HYYFILLIAVLITGFFIFHLYLISQNKTTLEQLEDKPDRLKYNEGVWQNFKSIMGSNILL WFLPVK >CAK86521 pep:novel supercontig:GCA_000165425.1:CT868574:388754:389317:-1 gene:GSPATT00020205001 transcript:CAK86521 MNRLTNGVIGLAIKNSFFFSTAKKAKMELTVRTPYKTIIDKFDGFSRIVAKTNEAALIIQ NRTPAAVYILPPGPLKIKFTQDVKGVTGDFLHLGGYVFVNPDNTCEINLLDVVDRKEAKV DQFDKADVKDADTVAGRYAGKIRRAAQRTFIKKATA >CAK86522 pep:novel supercontig:GCA_000165425.1:CT868574:389361:390551:1 gene:GSPATT00020206001 transcript:CAK86522 MHQENIRNELRALLFGKQGLRIRRSVDIENVIVQQEEVQSAVRFKDAYVLQEKIGEGAHS VVRKCYKIPKQKSKKSLMSKSIQVYAVKCFRTDDPEIVNTIIQTFNLQRQLLDIPQVCRT YDLFIDQQTKHHYQVIEYCDSPNLEQVYRNLTLRQKQDTIKQLVQVIAQIHARGISHRDL KPENILIQTEPNIQIKLIDFGVSKRFKQRDNFTEMWTATGTILYQAPEVFLGGGYDEKGI LTFVSAVDIWSIGIILYQLLCSTLPFYAETISETIEQITSLDPQFQYSQEFLNLNPIQRD LIKRLLKLHPHQRLSAHEIPLHPWFDQENHVDASSDDMILTQDTILEERTMSNQIGTEIT EQQFQNLMDSLEWGRRIHFNQ >CAK86523 pep:novel supercontig:GCA_000165425.1:CT868574:390602:391494:1 gene:GSPATT00020207001 transcript:CAK86523 MGNQEGICCLQRDKEYQVLNNELVIFREYQNIKITVKLGDIQNESAESVICLYTNPEKIP LQPSYTQQVYDNLCKIGYENVYSQNLDMSNYKCITYYRVRAFESEKDLFQYYNGFKECLT QLNDDQYKEILICDCPIKQSRSISSEILVRSVIDFIEESNNRLHIKSITLMNCDKKCSLV SSNMNQSNKLKSRNRQNKFMKFITSTKSEISEMGRQNKCDFSLKDFDLGDESKLMNMKEE E >CAK86524 pep:novel supercontig:GCA_000165425.1:CT868574:392100:392539:1 gene:GSPATT00020208001 transcript:CAK86524 MQKTHYSSFSITSNSIDNSQNNASLKGKISALESLMYEVADSVEIHRKEYQSLKQLKDEF EAILSNKTEDMLKTLQNELIHLDDEMKREVGYQLAENSRIQTQLTHLKGEKTALAIKLNE LHLRIGNLEVQVGNHEQN >CAK86525 pep:novel supercontig:GCA_000165425.1:CT868574:392605:392889:1 gene:GSPATT00020209001 transcript:CAK86525 MSNSIAKINNKKELLEVNKIVNSVKMFINSFLNQDLIAQAAERRLQNYEKRGLTPQSQVE FEQKRQKQEEAEQYKKEGRSQNCKWD >CAK86526 pep:novel supercontig:GCA_000165425.1:CT868574:393275:404203:1 gene:GSPATT00020210001 transcript:CAK86526 MNIGKTNSKNQFFSQDDDQRSLLHYKSFDQKKVLSEIKEYYQINQSLKGILKELLHVSHK MPLPDECLDHILELLQSEMNNQFEQLTYCQILRLSIKKGAKINIQKFVTIFKVIIDNDSL FELVSIFSIMLDQKQQKEEDLNQIFNQILNFNNLNVEIKKSLNHLKYTLNPKYNSSNQQQ HLFKKSGINQVIATVESHVDHERVQDSEYVQENFQSIIHDQLTESEFQYQVAVENIEIKD DIKQPTLFKSTYETVKQIYEYACKHKQIQKQDKDEYLPNKLLDQKYFSNGILGEFICDRM IIETFLIISQKQELNEKTIQKVFQLCKGIDEVKGLFLKNQSESVYVNSLHRSEIQIGNLN QIEEVAKNILKQFFNQNESHSKLSTNHSSIFGDSELTCFGQKSQLVIDEVMKIMYKQFQK IRVCALQIIQNLINYQKLQLSHEQMQFIEGLQENDEDNDCFREEALKIYILTFDQNNSKN ALKVCINKLERNHFEGIQYILNQSKNEERANFLSGDKIKMIINKITTDQQSISIDIRKKL TQIVQNFLSHLQLNIEEEDFEKLFKLFQNSTKIELEKQLQFNLLQSIIYFLKKNPTHQEV KYNELMTDLEKFDEQLQNLIILSLGTILKRCLEQNRNTIKIKISDFLSKKLSLSFKINPQ GIKDESDSNYPRISFIVAEIIYYQVSQSKIQLSENTISDLIKIINNQDKDLQFLSVKILD ELEQDISKENCDNLVPQLFKILKDDYQRQQQLIYSSLYTKVILTIQTETKESIDLQYIEL LAQICNLDQFVENTVYQKIQSNIFEILKKLLNGKKKIPLEFMKMFENILINELQTQQDVI EILKVFTEYEKIPNNLVVALEIHLNKSEIPMDFFLILEQVIRNGHRVADSTLQKYIDKIS NSEKDSDKCFDILEIAEKNHTLSEHIFTSIQLERAGKAIKKKQDDYPDAIEYIYQKVQEG YKISKNIFEIIATILDEANDKLIKILECASSNEQIIPNGVVKKLQDILNKQDIDENVIRI FMNMLKNKQELSGDLILKLEEMLDCQTISELIIQFFAISSQNCKLFSLQIIEKLCKHIQN TNDLNLELSLLLAINTKINANNSFHQDITLINRVNEVLISKLQVSKQTLLPLCLQITNYI QLHANILDSKIQETLTTLLYEKEIQYEIKTEISKILKLCKLDAQTSQFIKQFDFTQNSNN NNSNDTNEQIELLPETLNQINLIFQDDKDQEQLQKAISLLNQCRNKDQIPFELTKSIMIC ISQKDFKPDYFKLLNQIVESNKLIPSAILKFLIDNYADDLERGSFQSQENEVLTRNLQMI KQLDAQNQAWNSEYSINLLKSINQSMKSGLLLSKQRTQIICTIFQSTYINIDLVYIYSKI LSKLVLNQFNMNEIIVLEVVKLIKRNDIKLTTKMIQAYTKIIEEKKYKELQNCIDSLLTR KLDNKKMLALHECVSYACQFGDDLKKTWLPILEDNLVQQPIISNLSFKGLRAAMDKNLSS DQFNGYCNIILKVLKNVQDEIKENYDLFETINYLLQYDLAKVFQSPEDNWHRELLEGGFE IKNKGCKIEKIKEIIQENGINSEHYIPLLKIITQIEGLQDMQFNDLIIFLKTIGLQDTNQ LLKQNIIYDYEKCQIKLIWAKVVQGWLRKMIVNKIKNKIKCGPYIEIVFFFLIGLICNDE KQELNTQQCNFLFSQIREIDNLNKFQSLLEFIIQNGCIIKHSENQYTINELQQYFEIEVI ILRFPDHKTDKQLRNIIKQLLMKGWTFQKQTKILDNPKVAKLISQSDKPLVELFKTLYYY QVSPNTDLNNIFDQPEPYKIWSQVLQKLFLGSFEGNAGEKDIQKLVDELEELKFPKHFYI EKINQIQTLSEEKKKYTEQEIYQWVAIVKNQETEQFKDINFIVELLAVINYAIFKIKEVN LTCTQIVTALIILQQEKDEGILCQVKTGDGKSIIIGIIAIYYGLQGKKINIHTSSPILAE RDSKSMKNLYQFFGLSCAENSDKTKYKKNVKKCYEKQIVYGDVSQFQFDYLRHHHSQLNT MGDRNLEIAIVDEVDSMLIDESSKFARLSSTVTGIEHFQSIYILIWQRIKQLEEQIYILQ SNYYSQNGNIVKKKEGENLHFLDSESIDNFEQLKQLREIETIENFDDFMKDHLKIYINAI LEKEDFIILPKYFRQYLDLQLPNWIDSALQAIKLEENVHYVIINGKIKPVDFLNTGIIQN SSFWGNGLHQFLQIKHNLKITPESFLTNFLSNVGYFKKYEKRVFGLTGTLGSQKTKEMLK QVYGLTSVIIPQNKYKLFKELPLIVVDNDEKWLKKIIASANKECNNKRGVLIICETILDA RKIHEQLLSQKYSRNMIKLYDMNNKCLENEIDVIKSEQIFVATNLAGRGTDINSEDIEQY GGLHVILTFMPANQRVEEQAFGRTARLGNKGTGQMILNKLSLRHLIQNVEDYSQMKIKRE EVEDERLQKYVDQNLKEIELKEKLFEDFCNLLSDQTKKINSLPKLTQHYTILSIEEKWAI FLLQNKDMKDDIVANFEKFKNEVDKLCETQQIICNPYYKIDILQNQKKKKLQDKLDALNE IIKVDGKSFASVYQEIGLLYLQQENQDKNKALNYLQEAVELLSKEVAQWQSLYVTLSKYL KNFQGSDLQKQIYQKLQIIEICANSIVENKKVIQKSQRLIDIVLQQDIKQENIQSKTINL GLEKQNAIQLCRNQNDTKYNLIFNDLCYYQDYIDQDSVIKTIEQIYENNKPIDKEITIQL NEIMASKLNLYFYQKALEQGCFFDKQTALKKLKNNERDTVTNSSILLKTSQNKFESLAIQ EAIEKVNTVNETYIDMIIINKQSAQWQIELLKNMQIDIEFINLDKRNVEQDLQIIKSDSI DLVITSDIEDINKLLDLDFVQRIKHLKKQLHISYTKEKAKQSLFNISQNKCTQTISISKI TEEQVKEVLNKCKEKARFNLQLNSIQGVCWIEENLFINVRFRLKDHKWIKDLIRWLRQIQ LEFQLIFNNLDYNQTKEYIQKADIQQQDVQVVNRKKLKEFLQSQGQTEQQEYQNFKARGF KEIITLNEIKFFPFYNILILGTLAFSQLIVGGVLVSTGLGSILGKMILQEGISDVISLIT SCYRREFSWSDYCYQKSASVLCTVLTFGLNAIKDTSKTRQFVESFGKYRSVKLSSIVQQT WILSFQSIVIKGSNLILTNIISKALIDCKLKLSQYLQKIIQEKFSEKDTIKLLRKIEVLY QIKNENFTENILKYGIELITKIGFINQFIILLQKLIINSTISVNFEFESWILNCCDKLLK VATIVLTQNLSIDLLHSQFIKQLISYANKEYNLQIVYKQWSSNKNVTQVTEIETITKVNE FLGTQAEIYNEIEQKTYYKLNEQLRLELIQNQTSQQLLDFFSDLTERETKLEDESYLKII SELSQQLTKNVSSLVEQQILLPWISSATYQFSESLISNFSNHNIKEENYENMQHNQSDHK EIVEKIEKLIQKIESEAIPQQTSNHELNQNPEMGNQQHQVLRRPFQARDSGSPFIPIDNE QQMLIEQQKILEQQKMLEQQKMLEHQKMLEQQKIIEQQKMIEIGLLQYLQHERGDAEQKE DDDSFYKKTWFISIALAAIPFTLLLCIAKTAYKSNNNPELSN >CAK86527 pep:novel supercontig:GCA_000165425.1:CT868574:405421:406512:1 gene:GSPATT00020211001 transcript:CAK86527 MESQDKKHQKRHDSSDKQKELKKIQKLQQKGQALLREEKPQSKKEKKSKKDKSIINREKV IKAFKSFIKIFQDDLEEFFDLFSQLDDGCVIETGGIENQSVKEKLDKLMDKLKLKNQGDD KAPIFKKVNKNIKLEHYVRGLYDEVVKGIKYQEPESSNSNISKSESPEKVKEVTKNVKEQ EPQKQQPQRLEENSEMDLFLEENFAQGGERKLTSYLNERKKVQTQPIQQQQQQQQQKNDS IYLGELPQKPKNPEEIKQFMIWYDQEFRPKSLAEEHREKLERERLEKLKAGGVQPNQREK NQRKEFNRDTDMNMNTQTSSEVLARIRQSGSLNQKFSSGHLQNQFI >CAK86528 pep:novel supercontig:GCA_000165425.1:CT868574:406528:407649:1 gene:GSPATT00020212001 transcript:CAK86528 MYYLATPTTIEKYTQKNIFQDPIIEIMKNKSPMSDRDLVGPKFSLKKLSEMKTQSCEQSQ IQNVEPSRFEKFYQSIKPSLGLMKLENKLKQQRVSVEPKKKKPNLIQPELINKQDLLYQL KMMKLKNRIRQEQKSDLIDNMKKEVKMVVTKEERILNQFQQLQQNRIETSLVLGNRTGRS LDKTLYQRSNDFRQKYELNLALNDHLIADKRVWYRQIRKKHNSYYENRDPYSVDEKPNYM DSTQVVADIYTNYSTHYTPKQQFTEIIQVNQKLNQPLYSKKTQDDLKKKLKEINPDSPLL SFDHKLDIQLQGISKFDKEYECVLQDDDNQLYQIAENLDHKKQQSENENVIIANWNLKKK GQTFYPKITKYII >CAK86529 pep:novel supercontig:GCA_000165425.1:CT868574:408525:409421:-1 gene:GSPATT00020213001 transcript:CAK86529 MHNSAFLFSVLEDKLHKLYQGNVHVLESIQYFQLKNIRTIIVVGQHNYKQYTDFVTYHKV DDNHTDIIKTFERIAMLISNEIKRSSVLVCCSNGLNWSAAITIAYLIKVKQWQYEKAFYH LKSMKSLVNPSLPLKKQLILFNTKIHNHKQENQENNINYCNLITPSKFQQRIIEQTQKQQ YLEDSIHSQDDIQSTGSCQGGQTPIFYSPNKQSQIQKRKQSSNPKSPEKRTSRHIRNYTF QIDDSTAQQLQNKEQQVQSSPQFKNEEEQLTLRHRRRRITHRTKSALNQK >CAK86530 pep:novel supercontig:GCA_000165425.1:CT868574:409462:410803:1 gene:GSPATT00020214001 transcript:CAK86530 MQIIRNRFMVNHIPRFGFKYMSDSTFLNEEHLQIQKAALDFAKEKMLPYSAEWDKKHHFP KDVLRELAAMGFSTIYVKQESGGVGLDRLTASVIFEALSYGDVTTTAYLTIQNMCAYMVD QFGNEEQKKTWIPRFGTFDAFASYCLTEPNSGSDSKNMKTFAKKDGNDYVINGSKCFISG GSVSDVYLVMCKTSEKDVSCILVEKGTPGLSFGKLEDKMGWNASPTAMVLFDNVRVPQSN LLGKEGNGFKMAMSALDGGRINIASCSLGGASFAFDLTKDYLHDRKQFGQPLAAFQGLQF KFADMATNLVTSRLIVRQAAQMIDNSHPDKTLYSAMAKRYATDSCFNLANEALQLHGGYG YLREYQVERIVRDLRVHQILEGTNEIMKVIISRNLLK >CAK86531 pep:novel supercontig:GCA_000165425.1:CT868574:410810:412101:-1 gene:GSPATT00020215001 transcript:CAK86531 MGGNCSNCNQCQKDRLEIINEIEVTKKSDSQRRVLSSVSQQSQNSQLDVNDFKQIKCAPT EQKNTKFSVFAEDQEKDIKDDKNLNQKAVVIQKIWKGHKARQNYQEVKKSLSEKNQKEED RNQEQQCDQVTIASKDKKKNVQYFNCQEFGVSNSTNQKTREKRPLFVFKSGATYEGEWIG NKRDGRGVQIWPDSARYDGEWVDNKACGKGSFYHVDGDAYIGEWADDRANGYGIYKQNNG AIYEGYWKNDLQHGKGEEKCIEAIFNLGIDQSSYQGDYYEGKKQGKGKYTWPDGSYYEGD WVDNRINGYGEYYWADGRIYKGQWKDNKMHGMGYYQWSDGRSYQGQYVDDKKQGIGKYTW PDGRYYDGDWQDGKQHGRGKYVLPDGKMKNGIWDQGRRVNWLEE >CAK86532 pep:novel supercontig:GCA_000165425.1:CT868574:412298:412885:1 gene:GSPATT00020216001 transcript:CAK86532 MGNICNKEPAVAGIVDNVPAYYNRGINFRSNYVTFDNRQVYTGVRFYTIHYYFRFQCVEF ARRYLIQTKGVVFGDVGCAYHIFDLNTVTDLVTQQQRQFQSIPQGSSIPPKKGDLVIYQK SGKQWWGHVAVVTNVDGNLIDLAEQNYDEDWDSQSYARQVLLKKEGDKYFLTNIRQYKPH AWDLNEVIIGWKRAT >CAK86533 pep:novel supercontig:GCA_000165425.1:CT868574:413565:414997:-1 gene:GSPATT00020217001 transcript:CAK86533 MKQQQEIILKCPMHDCQAEFTCFNQFCKKSRIFCFECVRNGDHNIHSGDQKRIADLFDYI ENNRLQIEEIIQQLHQIFAYITKSFSLLEQGLKNKFLLTNSELCKIDPKQVSSAVNQIFQ YKNFSLNILQDINNKSIVLCESINKCGSVFGLENINIEKQDYQQIKQVDEPHQNNQIRQI VYYNNPKFNEAMENLKKLIPINLYDDVQRLHLTAEFLKMLKYFSEANIKADQALQQDPKN PQLLQTKGMRFQKMLVEILKGLGKFEEAIQFADKVLFIDSNNLQCIIIKAECLKSLKDYE EAINWADRALQIESMNICSLIIKFQSLYNIGNYGDAILCAEQVLNVEPMNLQALIVKAGS LRLHGNQNEALAYVDQALRKYPLNKFLLEIKKKCYNPYIQQPPKQMEGIGIFQKSTGNPL TFQPKSSINFNNK >CAK86534 pep:novel supercontig:GCA_000165425.1:CT868574:416464:417885:1 gene:GSPATT00020218001 transcript:CAK86534 MQCIEDLQSDSHKTTEFNKAMIIEEGRQKLLESLEYLIKINTQKVESLQIEIISLKSSLI QKLDQILDITKDWISNQQKQNIAQSFLKEIDLIIKNQQFQDDHIILGNSIIFLNKGWIHK ITKNLELFKTFSEYQNCNQILSNLGGLQSQQSTFQLEFIDDSIQQKEACRAIVFDPKGKK MVSTSGKEIKIWNFENGKIKLVQSLQEHEELVNCLVFSQKQDYFISGSSDKSIRLWKQSN NKWQSSKKYNDHKGMIQCLILTQKEDQLISCCKEKLIKIWIVDFSSDQLKPQYSLEKHNG IVYSLSLNESESVLVSCGSDSIIMWDKGKNNKWKFFQVFKQTQQEIGCHVKFLKEDQFIW LPERSNHLCVFEQYKDGEFQENQKKRVCFSKTSGYLNEFNFPIIYNKDQNLIFLRHKMHI CILKVQNDGYLSIVQEFDCKHWCIYGTITNNGQYLTFWGGGKNNYETYKIQRQ >CAK86535 pep:novel supercontig:GCA_000165425.1:CT868574:419347:420660:1 gene:GSPATT00020219001 transcript:CAK86535 MQSPSQIFKEQSALRSKSELVQRKQSNENNFAIIQYNIQSEIRLKNKHSIKDQIEFLQSE ITALDQITDQLSEEQRKYRKSRLKLKLMQVLRQSEHVSTLSINHIGQKIEKENKELMLYV LKEALARDQNRELFMTDGIHSCGFDSIIGAFGQDQECLRFRTTQVEYEQLKSDCMLREEY LLNFQEKVSKIFKVSPSDVQILDITEGSSIISFKIQSKADLINEPESMEFLEKTCNGKVD IYNYFFESKHNKSRQIGLSSKDFDSRYNMVWDGLRECQQRGPLNQRYDYYFPKGCYGFGL DITKYGIDQNWIQMDGNKDEWRILFHGTKNENVNDIIVSSLKEGWRSLYEDDLCKDEFGN NVPVGKGIYFSDKFTVCVDKGYAKPIQVNNKSFSAIFMTRVNPKKIRQSDRMKQERYFLV NRSADVRQYRVLIYENK >CAK70480 pep:novel supercontig:GCA_000165425.1:CT868085:1425:2247:-1 gene:GSPATT00007615001 transcript:CAK70480 MKHSISFLKILFLRSILYQNCITDKEDDCKSSDFQIAGTMESNTGICGSGSNKTFIGPFG KKTLVTYNVPYLLANKQVQLQFGIWKFDSWDNEGFEIKINNVLMDNLIVGNFDYPNQCRQ TQLGDHLYPFSYNFLLTDTHLTLTLQDFLDSSLLDESWGLRDFVLSLSSSCVNFYSECNY TGELFQICKGDKTTRSTSLPYEIKSIMMDYGIIVKIKDPNQLGGALQEYSSSQPCIGGYK VLIQESTLVPKIYSIMINKDINTQNK >CAK70481 pep:novel supercontig:GCA_000165425.1:CT868085:2755:3274:-1 gene:GSPATT00007616001 transcript:CAK70481 MPLVQMRLVALLEVTQVFANFLTDSNGSQNLTSHTSFRQDTNFYNTFQMQRMDMLTQSST TNQKIYKLYSQIPF >CAK70482 pep:novel supercontig:GCA_000165425.1:CT868085:4253:5403:1 gene:GSPATT00007617001 transcript:CAK70482 MKVDMRQYSFENVKIRDTTLVGGNFVRCNFNGSEFDNVYISGMNLSQTQLFNCKWKNIKI HELNKLDGHDGKVNQVCFSSDGKSLASCSDYNLIILWDVKTGKEKSKIRVKENVKSIFSI GKFVYLWNLKTGKEVIQIKMVILNDVNSICFSPNGTKIASGSEDKSICLWDGKTGQQKAK LDGHFINSLFSQFVSLMVLTLASGSYDESIRLWNVKASKKIIQSDSSYKDLLTQIKIPLQ KSSLFANVNPDLTILRICQNPQLEAQGTLILQGQFINHQGEDLKSLFKSKGSFFLEDLKQ NEIEIFIKNNTINNFNQFLMPFFQQYYFFVSILNPHFLIQIITYFSKVLISRCLLGHCSV VSTI >CAK70483 pep:novel supercontig:GCA_000165425.1:CT868085:5799:6271:-1 gene:GSPATT00007618001 transcript:CAK70483 MYLHQYSMNAESTFRWPGVIRIKGPRHQIVWELLHYIHSIAWFSLTTKTLNSSNRILELT KKIRNYIIGSQYQLPCYKTQVKSISIRIPQNNKHREPQSLNKFQDEQIKDQYVKEAAYDQ QISFNFETLLTSVVTQTCTIISRTPIYA >CAK70484 pep:novel supercontig:GCA_000165425.1:CT868085:7394:8483:-1 gene:GSPATT00007619001 transcript:CAK70484 MGAFFSFYKQHKILFLGLATSGIFLSAGFVYYRNQQNPYIKKDQECQGPLNTSNTRYDNQ NKNNKKTMFIDLEKDTMQTRFTAAMNAFESISNLIQVNYIPNTNCLEPQTIVDILQYTID VAGDEYIRITLVNRKQRRTYKSNSQLNLYKQQILKYNEDIEELLEKSQAELLQRLGVTKE IFEESMLVLMERGFFQQLYMLQASVKQKIKEKILSNKDLSVQQVKEIIRYNIKILKQQPE PFQYIVNQMMNKNELKEYIPNAINMIVQDFTYQKFKVEEEDQIKNLQKPECMGDSEIHQL LGEIETTMAEVMNQLGLGGIDSIPENFENFT >CAK70485 pep:novel supercontig:GCA_000165425.1:CT868085:8863:9168:1 gene:GSPATT00007620001 transcript:CAK70485 MAHLYDEAQTRTGHSYFVLQLYKQPGKDNQSHTPNHFILQGALQSLNRFDFMNHIIIIAP IRKYYSNGMIDLKKLIVEKQAYSEVLLSSSKQFIPKSQWYL >CAK70486 pep:novel supercontig:GCA_000165425.1:CT868085:9477:10181:1 gene:GSPATT00007621001 transcript:CAK70486 MKNNRRGLFIVLEGLDKCGKSTQTELLSEALDAKKMQFPDRSTSMGLIISDYLKGKRDIN DQVIHLLFSANRWEAQNTIIETLNKGINIVCDRYAYSGVAFSSAKGLPIQWCKQCDSGLP KPDLVIYLRAPIKQLKDRGDFGAERYEKQEFQQQVQEVFDKMAQEEQFNIISAIQTKEEI FSQIMELINDYPQNAQINTIEQLWS >CAK70487 pep:novel supercontig:GCA_000165425.1:CT868085:10492:13769:-1 gene:GSPATT00007622001 transcript:CAK70487 MEFELTGTNHKAVQGWRFDNNGQEPLKQQLIEPQEPQIQTQGFFEKIKNQFNDLLKPPDK EVEQRIIYLDGKVYPQNNLPNIVKNQKYNILSFVPMVLYQQFKYFFNLIFLLITLSQFVP LLKVGFLFSYVAPLAFVLILTLLKEAYDDFQRYKRDKEANSQEYTQIGKDKTLQLQSWQL KVGDIIEVHANQRIPADLILLHTNDVTGTVFIRTDQLDGETDWKLRKAIRHTQNYGQNKN LTTLNASITCEPPKLDIYDFKGLFKLEVGGGEGQREALSLENTLWCNTFLASGKIQAVVV YTGKECRSALNSREPRTKMGRLDDELNQLAKLLCVLLVCTAFTIVLSSGFQNEWLLQLFR HVLLLSSIIPISLRVNLDFSKLYFSYCISNDKDIEGSIARNSTIPEELGRISYVLTDKTG TLTQNTMIFKKLSLERMSFSVETLGLLKKMIKKHCTNSKYPMEDILKKYQEQGGKKIKPF KRNKDQIVRDLISALSLCHNVTPVEEDGQRTFQASSPDEIALVNFAEDVGFKLVNRQFTE INIQNAGGTPESYKILYEFPFTSERKRMGIILQMQGQKGAIFYLKGADSVMKQKVPEVQR GFLMDECESLSREGLRTLVITQKYLTEDDLKNFTAEYEKAKNQMEDREARCSKVLDFYEN DMELLGLTGVEDMLQEDIYATLESLKNAGIQIWMLTGDKVETAQCIAISTGLKSPTQEMF VIKDIEDSLILQNELNQFALKNNSVLVIDGQSLKVALEFQHTAFFHVACNAPAVVCCRCS PTQKAQVTELIKEHTQKTVLSIGDGGNDVAMIQAADVGVGIVGKEGKQAALASDFSILKF KHLAVLLLWHGRLAYKRTSVMAQFVMHRGLVIASIQTIFSIVFYFVAIPIYNGWLMLGYA TVYTMFPVFCLIFDQDVTKEKALEYTELYKALLKGRELTVKTFLLWLFKSVYQAAVIMVY SFAVFQNTFLDLVTVTFSSLIVAELLNVFTEVNNFRFVMFIAEMFTLIVYAGSIIFLQSY INLADIDQKFIINVLILVTISWLPLHIMKLILRKWDPSESDKIMQKIRIRK >CAK70488 pep:novel supercontig:GCA_000165425.1:CT868085:13816:14717:1 gene:GSPATT00007623001 transcript:CAK70488 MFNTSSGQRIRYVKEASGAKSTTQSFKYKSKECDLSANDSTSSAHLKPLIQVKQSYNQPF ATALPLRKLASPQKQVPMDGRIVERTYSSVRTSNYVKRSSVAPEKRVENKSVIYEKRSIK MPICPPSSTNVIEEKLEDDQIQGQGSEMQSQKRRKSITCFELTAYTNQQQDNQEIIEMMS KYSEKELKQVQNIRVIINGQFDEREIHVDISSIPFEWRLEQIAQSLNEIYKSQFNQSLRN PAISVLIGKIQTKKLSKDMKKYELVLMSLKGQLKESLIVLEDSS >CAK70489 pep:novel supercontig:GCA_000165425.1:CT868085:14776:15976:-1 gene:GSPATT00007624001 transcript:CAK70489 METNYQKETKRPQQVLKMAKLNNNYVEPEDTYEPKRRVKIQSTLNSLEIVQQLNEDSSTY NGIDYFESLQQKQPSEKKEKTPQKIESVSSILAKGSLRERFSNLHLITSIDKMLMHSKYS SLCHFFKEIDEMLLFLQSQQKPPFLNTIYELLSQNSKIKVTQQIIQQILEIFPNAYDINW SLNEKLIRLDRSDLIIKANLKSHVQLGERLHYFKQLMFDYIQKNGEQIGLAALPQNPFQQ NLQTKPQETNALTKQIQQQTQKHEIQSQVSKEPTYSKVSQNLIEKLKQKKKLEIVQTDLS APKKQLIAISSLIHQYFLIRDVSNMFLTNVLKYSLNSLKQFILDENQLKTYIDQLIKLCP HWIQLIDNQNGQILRMNREIDLPSIIRSIEQM >CAK70490 pep:novel supercontig:GCA_000165425.1:CT868085:16014:17596:1 gene:GSPATT00007625001 transcript:CAK70490 MFKYFNKEKEKKKSLVIQKIMSVEEFFLRGEYEISSDVWKMNSTQLEETINKQEKFIKHL QTLYQQQLEFIEGDKIKQEDNLRKLKNHLEELEIKNESNIQQKELIEQQYKEIYLKFQPK EHKDMLIQTEGNNQQEEELNRLRNQCEKMCEEIQRLQEENDDLSVALEAEKKHKSSLQNQ NNQKLQQLELHIQQLQLEHNKELEALQIRLTNNFSVDVNSKTKELESKVLQEKIKCDQKF KDCQRLEDEIRELKQHLEQQTTKYEQREGKLQLLEQKRTRDKNTIQKLQCDLQSNKQHLS EQNIKLINEISKANNELYELKQKLEKLEQQQNQKEKVVDQFEVQEKQLKIQQLELIIYDQ QNTINILEKKIDQLESSSIIKISELEKIIEQLNIKRENARKEISELSLKLQSMHQDLNTS TSSKHQAKSSNIGHNKQKFLLFPTLKQMLSDVRKKEDSSYKLYMQAIVTEFKNQKEIIAL ETALNDVSRMTQDILDLLDEMGLI >CAK70491 pep:novel supercontig:GCA_000165425.1:CT868085:17681:17943:-1 gene:GSPATT00007626001 transcript:CAK70491 MSVQEFIANKKMLDVEWRFSIATANSSKENYSDCFLQLKIKTIDKNMIEETTHFELTLAQ FNELFTEIEKVKNLMSLIK >CAK70492 pep:novel supercontig:GCA_000165425.1:CT868085:17973:18715:1 gene:GSPATT00007627001 transcript:CAK70492 MQVLRFDQLVNPSPQLEELCKCMICLEIFIDPMCCESCENHFCQTCLTTQSQNQSARSCP DSVSQKICLMILQIKWMPINFEIFLILFSYQIILFQINILLKERNQHYNICPFKLLQCRW CSKVILRKKLEQHELNECLQRKVQCGECLSEVSHDTKSERQMPRKYCNININYLFQHKCQ LCLQHIKLKDLKFDDNNECPEVIIKCSGCQEQLKRTKIIQHRQSCNL >CAK70493 pep:novel supercontig:GCA_000165425.1:CT868085:19261:21370:-1 gene:GSPATT00007628001 transcript:CAK70493 MLEIRFLPFLLVLTCAIIVERPITQIVWCGASIIYTREDETIPQFQGLEEEKTIFFITEA HQIYQSNGTVWNQIEGLFEDIQISPADSRVIFLFGQNGQKSYRTNDCGENFEEIDTNGFY GFRLNKMSWKWMLAFKKQQCDHFDMDCREPYNNQLFYSEDGAKTWLPSFKNCREASWDKI IEDITVPDERSIVLFSYENQTQLIYSDNFANYHTLMIGAQGYYQTTKYLFVLTSSSNGGY ELHYGHSKLEEFSEKLVELPLQILKEYSYTVLDTENGRIYLSVSHYQQEQSITNVYISNQ IGEDFQIVLTNNVRSTQDGNCDFEKLLGLTSTYVANTYDQRDQKDKSTVISFNGGKKWES IKAPKYDSEENVVECGGECSLHFVGRTESYRQTIYTVDQAPGIVLGVGNVGVFITQEQNT YMSADGGQNWIEVRKGSHVFEIADFGGVIVMAKDYEPTNEIIYSLDYGKTWKTKIIYDDL FMAQNLVTEASGTVRYFLIYGKTDKGEGIILKLDFSDIFSKECKSNLDYDIWNSKCIDGS QTKYFRKKENSECFNPKQMITKKIIEPCPCKREDWICAQGYVSQLEGGECLPIANISDYC EPGKTFFKTQGYIKLTQCLGGLDLGPIETQCPKSFNLNDILLYIIISAVFVFVILIGYVV VKRVLTAEEKSQNLNVNKYNQMEFQEDDEEDQQL >CAK70494 pep:novel supercontig:GCA_000165425.1:CT868085:21399:22175:-1 gene:GSPATT00007629001 transcript:CAK70494 MQNKESTEYDHLFKLLLIGTSGVGKSCMLMRYVDNNFTNNFYNTIGVDFKIKSIFLENKN IKLQIWDTAGQDRFRTITCSYYRGAHGIIIVYDITDRESFDSVKMWMSEIDKYAQEDVIR MLVGNKCDMDDKRAVSYEEGEALAKQLKLQFIETSAKLSTNIEQSFLTIAKNVLEKSQNS IKAESGQNMKIGQITSTQVIGNTNKKSSQCC >CAK70495 pep:novel supercontig:GCA_000165425.1:CT868085:22207:25855:-1 gene:GSPATT00007630001 transcript:CAK70495 MDFLSPENACGQSLLILVARGSSLKTYPRLLLAKHKNTKNMRKSYLTLNTQKNIDVLEDE IQRNQEINELDENFKKSYLPLVERFYRLFESIYLYYSDLMAFIQELEEAVFMQYSVETVL QDSEGKRLFAESFYLYGCMLLLMDSLIPGKAREKLIISYYRYKGGQTAIEFINEVTKLCA ETGYSKNGTRPAFYPEEYMARFQLPEKLITEVINSIKDEDIYKFTSIYPSADHRSIALSV QGSMLYVLLFFTPTILNNKKSKMREIVDKHFYDQWVISFYLGYFVDLSEQWNPYPAAREA IKNTINFEYIQELGAFYQTKLTEQVNKVQEYLYEGQMTQEFVLDKISSLMNCVRESNIAI RWILLHSNTTISKARDLLNKIDKQEVLQLLLQSSKFENRLLKAIKAIIDNKQQYWDEDKV KCVERMQELSDYFSGKALGKVKADESYTQWFIEMGNQIQSLNYSDSTHAGRKIQRLIQAL EDIEQYPQISTSIQVKHYLNETRKDLTHMVKIVHIKSTLPSHISYIADFSYSWQCLKGYK DLMQAKVQSNPHSALSLKTTFQKLSSILNTPTIRIIQAGSPDLNSVTKYYSGELVKFVKE VLQIIPIQVFEVLQDIITLITSVLKPLPSRINKIELKDYAQYEDRTQIAKLTNSISVFTE SILTVDPYLLGSIEVNPREMLDQGIRKELMALIHKILDSQLIFPKKDVSDFQTKLSKLGE EINGFKLAMEQIQDFVNAQGLKMFTEEFNRLIECYTSMELKGLITGNKEYEELSYDEDIP MPDEKSKQYGAINFIGRLLNQIILITNPRDIVFVESTLGFYDSNGKDVLNLKTIGLILKC IGVSGLNGIDLLLSQHLSKTVKDIVKILKLELNQENRNIIDTLIKQVRLFTNYDENYDKV YKQLTKQFRPLISKIIYPLQQIGQYINLRKLICLVLSMKAKVGSTKLFLCLETLNNTIIN DFINRQYDSTMESDIKTQMENNLLFDLTKLFNYVGLSDPLRKIYALCEMPEHTPLILALV ILHQLPLMDFDKKLNCLIKKAKDTQFQYEPNCLLMGIIGFLNQFNQLHSSLFVSYLGFYV KSTIFFMLQSKELQKQPEILGDFFMLLTVIEEIFRIKEDNYENFKQLIPFGLFNYLKRDM IKW >CAK70496 pep:novel supercontig:GCA_000165425.1:CT868085:26400:26918:-1 gene:GSPATT00007631001 transcript:CAK70496 MYTLYLRCRNTEHQSTLFVKHFLLIQNQIENHFKNITCLICKLILDKIVLIYILQGKQTI SNLIQINNSVTIPSQKLKESFERFILHKFRIILVKYWQFKQFQMSIFGCQIAIQNKQLQP IEFALQKQNIEKNIYQQGAYSDYLGRNHINYVEQMKILTSHLKHPFLQKNLL >CAK70497 pep:novel supercontig:GCA_000165425.1:CT868085:26967:27768:1 gene:GSPATT00007632001 transcript:CAK70497 MSNYIRQESFVEERKQVTLPVVQLKTTKYIRSERPQTYQFWHKKGKQNSYQSRVLSTNYQ LQTQQIPGQSILLGGCGQTQQCCTPCGQSSCGPQSQAVVRLGVVSREEIEAPWRDEVLYL QSLIAELEKKKEVQIVKEVDNTRVHELEDENERLRILIQQTRSEVITQRITEVNNEAEVW KRKFQEINHDYSETQEKLMNAEIELEALKKQKIVTSSSTVTRSVVKTSGSTVRQSVSGIK PIV >CAK70498 pep:novel supercontig:GCA_000165425.1:CT868085:27811:29039:1 gene:GSPATT00007633001 transcript:CAK70498 MARSTTDSSSSGSSSSSSSSSSSSSSSSSGSSSSSGCHFNYEIGDKIDKYVITKYLCSGT FGMVLEVTDQQEIPYAVKILSETDHTEVDVLRKIQLLDPFGEAGIVKFYDYFTWQDYHCI LLERLDINLHDYIQSHTLNIKEIQSIFKQVTTSLMFMHSLGITHTDLKPENIVFANQQKN LVKLIDMGGATQANEHHSTVINTRQYRAPEVIMRCDTWDTSSDIWSLACLIVELYTGRVF IKVGNNDLMHLAIIQSIFGPIPSYMKQSSKFKSRFNSLPKVQSQKQLHQIIEDQDLLDLL YLMFSIDHHHRINCKQILEHKFFSKLF >CAK70499 pep:novel supercontig:GCA_000165425.1:CT868085:29176:29442:1 gene:GSPATT00007634001 transcript:CAK70499 MQSIEYHPITKLILNGDTSPINEDEQKFNSPLKRFSNHSIALEISKNKTQRRKRSIQMFT DELISWNNYLHHKFQHSDQVSHGNGMII >CAK70500 pep:novel supercontig:GCA_000165425.1:CT868085:32334:33266:-1 gene:GSPATT00007635001 transcript:CAK70500 MNQPSQPSFQRGFSKDFKAFLDQNYRLWGFHRPDIISGCYGQLKYIFISGAETRPTQKPS RIPIIFIHGNSDVAVGDGSYGIYMTGFSSQIEYFLSQGYEKQDLYATTWGDADSNTASLN YHSENYLKYNRAFVEAVLQYTKSPYVNIISHSMGVTLARKVIKGGNARDELGSGRYDLGD PLTSNVKVFVGLAGANQGLSSCYTSGSTLPTCGMTNGFYPGQPPYVGKSSFLEELDSNSA KEGKYIVTGRANYDEIIGGNNLVWGKYTTRMKNENEEIVFSSATVGHFGLRDEAGPQIYT ILKKQGAINQ >CAK70501 pep:novel supercontig:GCA_000165425.1:CT868085:33362:35227:1 gene:GSPATT00007636001 transcript:CAK70501 MIKRKISKFTPFDRVLDGLEQEELIDEDVNMKIIKVYNRKKNNKMFLLERLISNEKEHLK YIDEIHKYEIINADGLLCIDKWTYDMLIDQNLNKLYKFILVFDTYSQNLNQYLENIQSKK IQLLKEDIVVFFRAIILSINYLQQQQIIAFNPVTMSCILICSDSCMKFNCIPILLTQHAL EKAKKESLLYQAPEQVKDVKNINHIYSQAVYSLGLIFIQLFLLKHIDQLRKYEDIKLSIK EIQMKYGDTYKSYLESMVEQDPHKRIKLQELCQTLSIQEPQKQIKDNLKITFSETFEYYR KQYSILNVPEPRSIAYGIPWSRNIIQSNLFNMKNYFLELLDLFQSQQISEQAVTIIFEQF RVFVIGGINTHFVYELNDEVPALKEVTSIPSCSANRWGFGYVIQNNQLLYICSGYSDQLL TANTFCYNIQTQKWQKLSDCQKPGIGSTLVCYNNSSIFKFGGVEINNKPLNCVEEFKNNE WQLINFKKPHFILPNFSFAQQVNPTQIFIVGGYFDKEHNTKVIVMNIDTQAHLIDSRKDY TYVEFVLNEFLDYGKYGPVEAMQISNNQLFLLQKKNEKYQYLTVQDQSVFVELRKIHM >CAK70502 pep:novel supercontig:GCA_000165425.1:CT868085:35233:36314:-1 gene:GSPATT00007637001 transcript:CAK70502 MKPQAIFYENYNFYLFVNLTMSKWLDLSLTYNSINFKCQQPQSRSPMNSVFEIEGKRLYL GNINAANDSQYLKHHNVGAVLSIIDTSDIKLDRSIIHLWIAAEDREDVQISRYFDQAANF IKDHLQHTNVLVHCYAGISRSSSLIIAYLIRHAGFSLKDAIIKLKSQRPQVDPNDGFMEQ LKQFEVKVRNEKQCKSENGTKSVSKFLNISTSIEKSNNNFQQSNKSIQNYNIYSRLNSIT NIKEKQQHVQNVTIHKAKTSFLDKTCSPTNLSTTNKNGKSFILNNLHEDPLKQYQKIKNS QNLLNQQILGMKNIYSQHGRFHSMNN >CAK70503 pep:novel supercontig:GCA_000165425.1:CT868085:36326:37771:-1 gene:GSPATT00007638001 transcript:CAK70503 MSAQIQVCLDTLAFDQIEEIISINGQPSVQLIVYTPFSQYCFKSQKPIKEFYDYVQHMQK NGFMLLSLDKAQIDLLQTVKDKQKTIIAINKLISELLYRRDLNTKQKTINLLGLNQKIEV YSQIKALIPNIVMGFKITESNLTVSQFIIVNQFLIVTLENQSQFQKFGKIWNLIEPEIMG QMIVFLIGNTTPFQKIFDKYYSIKLFCVENIHDKLIVGTCQGSLILYQITENSIQEKAII QVLTSPIYRIHHFNQEVYLVTDSQVKILDEENFQLIGGGSLKNRLQTAWITCLNFEEKQK LLFLGNNKVIVGTSQGFILVYKRTEKLEFLNQINFNVNTSIKSIQLIDNYLYPCTEYGVA IIQMKYKDNKFQYQEKTAFASQLKLVGFKKTETSVYGFTQCGLIVQWNPENGQMNQAYRI DKECSFGLEQNNQTFIVTNEQMIHIVNFQ >CAK70504 pep:novel supercontig:GCA_000165425.1:CT868085:38026:38679:-1 gene:GSPATT00007639001 transcript:CAK70504 MLVSPYRRLVKKDTKSMRPSMFLNEFDISSLSQLMTVKKDVNEGSTKSMETLGSLTQMNE ISENGSNTFLPVIEEKSKIRFIKPKQSSTQREVLIPKFDSISSLTKSEVHLPQINSIPTS PTKFQKPNHKRHLTNFEEQPKRVEFRKSIQVIDFINNIITKDVIDGSVKPLKKKLQRQQT KFISRE >CAK70505 pep:novel supercontig:GCA_000165425.1:CT868085:38997:39573:1 gene:GSPATT00007640001 transcript:CAK70505 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK70506 pep:novel supercontig:GCA_000165425.1:CT868085:39702:41446:-1 gene:GSPATT00007641001 transcript:CAK70506 MLFIFLQLFILTNCELIQILTRQEAVLDVFSQSGIILEQQLTEQQLCKIIPQQLVANNID DNSITLINSYSDDNFSEVFEYGSYKGKIGKILKFVQIKRGILVLRDDGELYFLRIQGTKF KQQATFQLSIDQQSLQTNVFMEYFYDQNSVLIIGDGETIALDLEFDDDDLFIKQYKVYEQ WQISHINSIATAGNLIIVAMNTGIKMFEFANHQLSEIFLDSQMGGRIQDIKVFNQNKDDN YYIYLLDEQYGVSQYIYNVGRSAILQFNAHMGQIPQPGEILDVYGDILMIVQNQTLFEFR IDYSKNSYELIKQHDLETDIIDIQLTDTFAIIIGRNGHQILFHSIPSTFSQFEWMNQLVI PNLKQLDILSLNLDLKPHKNNIKNTHNIIVGITQHKLFFSKIELQQSYIECYSDSTTQEF ELTYQQKSTYCKSNLKNKVCKLNKTYTIQFVEPKAFGGGKDTYLLIILLYVISIASFLVL MGTLIVLYKRYHRIPQRQKLQEKEEKEEQTFSSTNRHIKMASSKKIIYLPKVDQTPISGV QEQINFQLNGNHNNRPITQDNQDLSPMASEMR >CAK70507 pep:novel supercontig:GCA_000165425.1:CT868085:41787:43254:-1 gene:GSPATT00007642001 transcript:CAK70507 MSELQLIRPLYQQGSVMKELTIASLQTAMSFLLEYLPITITIYLLEFKEDSLLVGALGLG ILTTQAFGMGILNGLATGLETLVSQAYGANHYELCAKLYYRSLFLCTLLMIPISLFLIFS TQIIAYINNNTELAEETGHFNRYMILAVYLNAIFVNTKVFLNGQNIYKYQFYTQFVTCIL FVGISYFLVVKQELRIVGCALSLTSLDLLNNIILFSLIFITKCSKATLFKFKLSYLKNTM KFLKQALSIGSIQWLEWISFDFYVILISYLNTSTVSAHVLMSNFAGLLYQFSYGISIASG TFVGNEMGRKKVDMAKKYTKATFLIDGIFLLITLGLFSIFGKTIIQLLTEDKIVIEEISD SIYLLVAFIMLDGLQAIISGLVRAIGREASTSITFILCYIVLGGIIGYFLCYTVDIGLKG VWIGIVIGALVYDIIQFVNLIWKDWEFQAEIIIDKIMNLHQQQNIVLQIDEM >CAK70508 pep:novel supercontig:GCA_000165425.1:CT868085:43265:44055:1 gene:GSPATT00007643001 transcript:CAK70508 MKRILNLYKYSVQQSQQLILVDFNDKLQKPMDKWLAHSTNYIFNQQSYPHRAFSLFLFDK NYKLLLQQRSDAKKTFPLLWTNTCCSHQLYHQNEEDNVTKYRRSDFYVRKRTLEELNFDL KDTHIELVQKIIYSAKDNEEFGEYELDYIYFANIQQDQPKINGNPEEIKDYKWLSLDEFN QFSKHNKKSFTPWFSLINHSHLINWWTKFKQNDKSFIQPEKIEKLN >CAK70509 pep:novel supercontig:GCA_000165425.1:CT868085:44666:45661:1 gene:GSPATT00007644001 transcript:CAK70509 MQINQSPIPQEKLSFLNTLLDKELDMLKCNNVPSYLQKTQPSSYFEQESIQQSSIRALSN NPTSQTKRTTNGDLNEIQDKIVTIEARIQKNIEKQIQPNQLVQQQEFKDSDEETPLKNKY NHIQSSHKKQIKFTEQEQSTKTLNKSSFHEERKNKFQQLEKNIESAENKLSQSKAQRKSM SQVKSKSKEKSQTSLQRGTSSQQQDVQSLQIKLSQLRKQWEDDRAQLIKEKQKNQQMQQQ LDQLNKKLKKALQQNEKYSQIEQEQQRLQENFEKSEFIRQQQKQLIQTLKLEIEELKSNN NNNNNNNNTNNNKSKQKKRGLEDNSNKYLNK >CAK70510 pep:novel supercontig:GCA_000165425.1:CT868085:45671:46248:-1 gene:GSPATT00007645001 transcript:CAK70510 MISKSRVFLTLSRPQIAYSFSLVNKLKSEVFKGSIYRAIGILAGIQISATFISHFFVDKQ VIFPVEDYLKNDKIKENQHYSIAGIIKPGTIEIKRGTLDVKFILTNFDDDITVIYRGETK YEFKEGETIVLTAYTPDLKNKNRIIGLDYQTKHSMDGYMWEDKVGVNRNNYGLNSKLQSQ L >CAK70511 pep:novel supercontig:GCA_000165425.1:CT868085:46382:47134:-1 gene:GSPATT00007646001 transcript:CAK70511 MQKVDYIFKIVLIGSQNVGKSSLMARFIDQVFNDSYLSTVGVDFRIKTLSIHDKTIKMQI WDTAGQERFQALTQSHYKGAHGCIAVYDVTCERSFEDAKKFLKLVIEEHGLIPEACYLIA NKVDLFNKRIILAKNGNEFAQDLGVNYLETSAKTGDNVNQLFLDLGKIILNLVDQKRTNA QPPENDTRLRTLDTQKIEQEQGCKC >CAK70512 pep:novel supercontig:GCA_000165425.1:CT868085:47161:47984:-1 gene:GSPATT00007647001 transcript:CAK70512 MPIIDCFIITTKVIKQYVIAIRPILIAASGHMKKQILNLQSIMKAIKNKRHQGDHHSLST MIQFLLKYQFKLKLSLDVCLLWKKEEGSETCFIRESSLVKFNLLGWTILRQESQNNYRIQ QNKCIQIEFNCIQFCDTLQGNCKYYCPICFKYYDCMLHTTCCSNYVCHVCAVQSLANKMY NCHYCRNDHCKYVDVDPAHQLKIYIDSHTKL >CAK70513 pep:novel supercontig:GCA_000165425.1:CT868085:48611:51078:1 gene:GSPATT00007648001 transcript:CAK70513 MFFLFQYNYLLTKCSILLNNAWDLCLSMQIKINDFAIPLICLIFRLLMSNDPSDPEDLSD DDFVDEKPLEPKPYKTDHEDETIQEIQSFTVKDRPFIRMQFIKKRKEFGLTFKFSDQETS ERTGEIKALEKDPLQLVKNKVIEMGIQGCNPFQDASSQTVWNRKINKALQVDEGDERQEQ PDEDFKLLKFLETVYPLMEEALQSNETIDIYQDDFNVLPLSEQNGDQNAELTNVIKEIKS FSYLNCKGKKIQCIQFQPTSQAIKSKYIVAESFVENLLFEERAIYQLKSHKSLIVFWDFE DIHSIEPVLLLQSPLEILSFEFNPKDPNIIVGGTINGQVMLWDLSGTALSVFASKKTQKT KQERNEIQELQPKMVSALQDPASYQAGSSNEVLRKQVASHKNFVLGCEMKHFNHLILTSS QETYQFASISSDGQILFWDTRLIDKDSKKTQQDISTIPWKATYGIQLYRPEGGGMMGGGQ IQFRKNQKIPILSGTSDEGEVFILDWGEKQGEEGQKNQLVSAIWQQQRSMRPPIALDVSP FFEDVLLTLHDFNFCVWKHNVTFPIFESLIMKGAHITCGGFSPYRAGVIIVGKTDGNLDV WDMLDQSHKWTIQFQVVACAITSLKFNDNMAHIVAIGDSDGTLHLLEFPQSLCKDQGNEI KAMRLFWDREVKRVNYYSERFKIREQQAKQQNEKEIQAQPVKETKQSGDTKVLDEIANFE NEYQKFRDTLLGIGPKPEDDKGDKKGKK >CAK70514 pep:novel supercontig:GCA_000165425.1:CT868085:51743:53037:1 gene:GSPATT00007649001 transcript:CAK70514 MEINKLNEVNPDDLDVIFRGIQLFIDQKENDLETTIPQLVDKYKYYQLQDHDKKLFHRFQ IRVSELIEKCKNQSAINYVLSIRDILNYKAQNFAVILNKVLKRCEMQATQQNEDNPQIQM KRSVPSWQLNSQQNRTLFQFYDNDFWKLYDIMLLNKQHCSNLIVYHQSKLENVERLFASS LERNQRFLQYKSLPIIEITTLTDKARKLKFLQLTQTMETPYANKNITMFGNQNQNQNQSP TKKTQVTVKHSISISPQRTQSKDPQDQDIRSMFDTENFNLFDTNYKITRAKNLSLHNDKI IAVSVQNKQKGKSKLQQENFSPQKGCKNQNWMLPEIKSPFYIDQNDSLIKPIQIPQNYVY TQSPERRSAKKPPLASDLNSTLCQQLLPQYRNNTRAFQTIKSFIRK >CAK70515 pep:novel supercontig:GCA_000165425.1:CT868085:53638:54205:1 gene:GSPATT00007650001 transcript:CAK70515 MKRLLRNAQILSKQSRYYHHDPASRALVGSPQEIAPPVHFKLYYLPDNVAKTGQGMRHPH FNAYQMEGVTTYDNWEYKYYGQWWHIGSIFWNSLMLFYPLLLWLVIEQSQQEALLAKDDM FKKYFNEAGGFYQYTFIGPETTLNY >CAK70516 pep:novel supercontig:GCA_000165425.1:CT868085:54205:55682:1 gene:GSPATT00007651001 transcript:CAK70516 MNSIPKIGDMQTKSKPKISNNTGLPPLLSPRVKIDPIKTAQIPQAFRSISTVKKKSIEKK EDTRPVTDNTQNQKCYPSGKKQRAQGLIIRKLQNRQSKLQTTNQEPHEQILNKFQSPAVR MLEFPINDFHDDTLIKEEVSQQMEQVTENRFTTMKNQSFSSSQKFALESSNSNKQVQDSS SNSQFDFNSQVPTFTEGEMIGAGSFGQVYIAQENRTGKIYAVKKINLKGDFDQEDLKGLK SEIELLKSIKHKNIIRYVWNCENEDYWLLYLEYLSQGTLTQLIEKFGPLQINTIQQYSRQ ILQAISYLHENNIIHRDIKGANLLLGVDGEIKLGDFGCSKIKEKTIQRSKQSGDILHSLK GSIPYMAPEVASQDENCRASDIWSFGCTVLEMATGKKPWYEHNFDNPLSALLYIITDNSL PLIPDDLDQDLQSFIRLCLQRDHKQRPTAMQLLQHQFIVNQ >CAK70517 pep:novel supercontig:GCA_000165425.1:CT868085:55706:57308:-1 gene:GSPATT00007652001 transcript:CAK70517 MKQESWDDLHLANEKSITKILEPGEHVLFSSLLYKFNEVNKRQERTILITTHNLYNLSKL TVKRKIPIRRVYAITIGLIGTEFVVHVPEEYDYRYSSSERRDYAVLSIIKAYCQQNKGAA LPIFYKDELTLTAYTTTKVDKKKGINRLPTTGSELMNEEQFRKRLDSQTEERLQTRAKTS TLYAKQKGEVVTIDDFDLIKVLGRGAYGKVMLVEKKSDKQYYAMKSIRKEDVADPEQLEH TKTERIVLQHINHPFLVSLNWAFQTPEKLFFVTQFMKGGELFQHLKHVKRFEENRTKFYV SEIILALEHLHTKNIIYRDLKPENVLLDDQGHVCLTDFGMSKILKTNELAKSFCGTPEYL APEILLETGHSMAADWWALGILTYEMLYALPPFYNKNQDLMFKQIQNKDISFPTTPQISN EAKDFIQKLTIKDAKQRLGYNKTEDIKNHPWFKGVNWEKLLKKEVEAPFKPQIQGEAWLE NFDKQFTAEEAINSYAPENNLVNQDEFKEFDYYQK >CAK70518 pep:novel supercontig:GCA_000165425.1:CT868085:57608:58407:-1 gene:GSPATT00007653001 transcript:CAK70518 MSYDTALTVFSPDGQLFQVEYAMEAVKRGLCCVGVRGKDAIILGVEKKSASRLQDVKTIK KVYQLDHNLCMTFSGLNADARILANQTRLQCQQYKIYYEDDPSVDYIAKFTSQQQQKFTQ RGGARPYGISTLIAGFSNNKPKLFQTDPSGACSEWKATSLGKSAKQVKDFLEKHWREGLH EKDALLLTTKALMDVVESGNKNIELCVIRKNECWFLNENEVEELTKITAQLQ >CAK70519 pep:novel supercontig:GCA_000165425.1:CT868085:58432:59196:-1 gene:GSPATT00007654001 transcript:CAK70519 MKSSRKQPQKMKAFNILHLEHVANRIDQLLNPTQREQFTQVRQIKPGIKFVINNKLTPYK HRQSVFEQIQSSRSSIIQTQDRQRKSNNSSSKSSSFLEKKINQLKKELGDLQKARLFEKN KPIHSVHKMALYNNLQEIQQDHKLLIIDKKPQSARKIVDKSLEELNGPFKKKPQQHMFLQ NLAIENSSNQLEPSVICIPSPEIKAKIKVIPSQQRSVSQGLITQQKRPIKIWNNDLIEFK GWDVEEEDDYLRLG >CAK70520 pep:novel supercontig:GCA_000165425.1:CT868085:59252:61081:-1 gene:GSPATT00007655001 transcript:CAK70520 MDKQGSEMRCHFFGYDSNFIGYYEKRNYNKFNAFCLLVLQNKNMIQSQPQQSQFQRRMQK IKGFLNSESNFSPVEETIERKTRTPLLTQQQMQSSDLSDHDTHSNNVENNQIGLKNNCFL DEYTLGEKLGEGTNGIVWLCWPKKDDQTKYAVKVIPTDDEEIISMVKQAFINSQLLKSPF IAKCYKLFIEQTKLYLLMEWVPCSNLETLLKQNQKLKEKHVQQIALTLLKAVKCLHKAGV CHRDIKPDNIQVCTDYKIKLIDFGVSRRFVTINQNTLRYNRNKMMTITGNIHYRAPEIYR DYGYDSQVDLWAIGVVIYQCLTGILPYTSEYTGDLIEILSQREPSLDPFHKQVFLDLPTS CRDFIKRLMMWNPLKRLNASEALRHIWVPSYTFPNKIKIVKDDIDVSKSSQNSDLYNKTL INSAMILNQELANTLLKSRRFDAIQEEDTPKEMNKSLEVSINACSYNQDSLKQRLEQFKL LSSTQIHQRMGNKKETIKYINTITIFESENSISDFNSGMETSINVRNLQVQTSGKNVKDL FGLNLCESQVSLTQIDDIMPENNIQQLQSKQIDADLLQQLTQFEQ >CAK70521 pep:novel supercontig:GCA_000165425.1:CT868085:61708:62919:-1 gene:GSPATT00007656001 transcript:CAK70521 MLEQSEHTKVHTECFQTVFEFDIESSQRKPKSSSQEKYRKLFEIAKVYSNSKVPQAHSDD VPEFDSLEESCQIRPSICTNKSSKQSQEREEKLFEKELLSLLNEEDNRLIAKSEKEKLKL PSIMMRNGGRYTGYWYKKKPQGEGEYKFVDSSRYEGEWINGYASGKGKFFDSEGGYYCGD FHLNYMHGKGVYYYADGSIYEGSWFNDKYNGYGIEVKIDSHYKVGHFRNGLKHGQGVLIF NNKEKYEGLFVNGQFEGKGQFIWPDGRRYQGDWKNGMMHGQGILSWTDGRVYVGQYESDK RQGFGTFQFADGRKYVGQWMNGLQHGTGEFTESHGHITKGVWREGKLFSLV >CAK70522 pep:novel supercontig:GCA_000165425.1:CT868085:63268:68590:-1 gene:GSPATT00007657001 transcript:CAK70522 MEDKINKLFALFNDFKNQQLFSLALAQIVNVYNDYPACLYQILRKTNKLIYSRAQDPRLR LEHSQIAAAIIERTYKIYNERLEEYLLSSSNDSIPENLFHFEPILDQQVDDTMQQEQIRD YEEIKSNIKLKSEQIDFIHLINDGSAILGKDMKYLKRSQENKNQKLDDETLDIKQEEIMR LMGLSGNFNLSNITRLLEFMQGDAEIPIDMHSSSEEIVESSSDDDAVGQYRKRNKTKAKQ PETNLKKKPQAQKKNQNTKYCVINPFNVIYNRAVYELMNHKWQERHASALVLKSIVRQKG FLNLGFSYLIKGDEKEINGILRANLIEYISDKNGQLNKLEYLLTRCCVLIVMDRFADYFG NQTIMIVREAACQTAITLLTDRNYNTNIWIHYPDSFMKFVLLLKDFIEEGQRVQIYEVSQ SALFLVKGLLKDHLQVIFDNFSEMLLQVGQRDNEDEILELLADIWKEFLLLGLNQKYFTT VYSITMEQLKKQDDISFAGKSIFQFLSALFQAGFKIQKQFQGEVEILKMFFFHRIVEVKE SFYSYLLAFSKQQTQKQNDSRTINFMKIIFQTGVFEEKLETFQNLMSILEQLSKHLEEEQ LYEFIQFVHNFSTDHQFKKYFYLFDGMKRESIDIHYPNLAQYTQNPIDNQTYRMIKTAYL IKDINYNHQITFDLDPINFIYTFKNYINNKKDLNQEVDVSSQNGCCFDELDLKIQVSMQQ LNILLKNYMQIEKEQEYLAIIQGFVKIYGDFAIAPAHSPKLRQLYPVILNQLKQLSQIDY EMDQESIQKLQDTTSDLVQSLQSFTKLSNELSLNFKVLTSDHTESLIQRIRIDKFHLIQD IASERLSRQMQLNPNCCDLTSLYVKQSTQQAVQYILSQKNELKRKDYGRKRLIWHLLRNQ TDFQFWHLFFERLFLQQLNYIPNDLRFNSTLITQKTKLTIRVEDLKYNLWGIGLIQNAYS LFMQHPEHPDFDRWAQYLLSKLLNYLPVLSNISDDDNNKIILDDLKGGIQIEFDQTFKKL AVLKNTLLKLCAKFIHFLSVSRNISVFDQVIMQINQMLKRNENGMYEILNIILKEYPISL APISGFLTLKAIKKLTAKDPNTAQAAGKLFGFLVPILTIMEAPYSPTSPQLINKFQKAKE FQLGFGKMTKVDYKVEGGIKDRSILRDYQWDGIRWLGFLIKYNLHAALCDDMGLGKTIQT LVVLANEVFKRKNENLVSLVVAPSTVVDHWYAETKKYISNAVLKPHIYDGVFSGNFIMVS YNQLLKLNQNFLNQEFYFLILDEAHILKNSKNKTSKVIRSLKAKHKIVLSGTPVQNHLLE LWSLFDILLPNYLGDEEYFKKNFSKAFHTNIFSLTEDEILFDEQQIKTLRLLHKKVLPFI MRRTKQDVLPQLPAKIIGDYYCTLSEPLQSQIYQILESNSFSTDIEQQITKTQGEQKNKV CESVLKLLHKLRQALDHPILVRSVILPNKRPKKKVKTESIEMLAEQEINQLLDKQEKPDS YSHSGKMIALKDILQQLGFQSTDDAPQQQTQGDQITIYTNFNKVLVFSRFRAALQLIAEQ LLKTQFPGLQYLILDGSVPQTQRYPLVTKFNEDPDIRVLLLTTQVGGLGLNLSSANIVIM FDHDYNPVNDQQAMDRAHRIGQKNVVQVFRLIVKDTLEEKIMGIQRFKSAISKAIVNQDN ASLKQMEKTDLLSMLESTAQSNNKEKNTEEEQIEKLSGPYSKILGQLKLDLLEQLNFDKE Y >CAK70523 pep:novel supercontig:GCA_000165425.1:CT868085:69194:69967:-1 gene:GSPATT00007658001 transcript:CAK70523 MNMLNPNCLMSMTFNLNELSTHIAEQIKNQSEQIQVIYTEKQKTFEILQKQLEKMNQLIS KMCNKQPPQRIDEIQILKNQQYKYELQMEEELELPCYRNRIFQIKLRLVQNEKTIINVNK PPCRIANVSIASNISWTYDKIPKKLTHNNKNQSIFKGCQQTIIKKGYGSLSRIQIKEVSS HFPKGSFLMIILPIDDGAIIGDESKFLIKKEWIKPLIINDVAIKAKKFSDRHNPYYVKSD GTINILEQE >CAK70524 pep:novel supercontig:GCA_000165425.1:CT868085:70296:71561:-1 gene:GSPATT00007659001 transcript:CAK70524 MALIHNQNDLQNMFSKAGKITSEKYIVFQRKEEIINNRPRYFKGKSSSAASSEEVNQQVD KKMPEISNKKSQEQITEAELIQRKNQVKLSQPFSRQLSRQVGHYTKLSKDSKPSCGQYHV KYSELDKAVHSIHDYDKSLKQTIPLEVPGKHGEPENTEQVMNKYIFSKPIVDRPRFSRTC FIDLVRQTQRPDIFFGRPTPHPDRFTYLNVTDSWSKIPRTPSVQLEKQLSRDQMIIYKKK QFAPDYHPNFEFGKKQLGSCGAAFDKLEQRKDIMTKIPPYNHETYFEFDVYSKDPKSKLF RTPTAPNFKQMLDRESDGKSLLPSFYKYSNTRMGITHLHQKMLEVNNFRDGRFQTVTSSF LPSKGFKKRPQLDESSEEIEQMEDQ >CAK70525 pep:novel supercontig:GCA_000165425.1:CT868085:72345:73378:-1 gene:GSPATT00007660001 transcript:CAK70525 MQIEQDENTHYNNFLQNFTQKVKLGEGTYGIVTRAYDKRREKWVALKKVKLDSRHDEGVP STTIREIAILLKLKHPNVISLFEVKYFIEEKQIYLVFDSMQCDLRNYLDRNNSLTLNQLK PIVYQILLGLSYCHSRRVLHRDLKPQNILMNEKNSIKLADFGLSRVFPFPMPKFTKEIAT LWYRAPELMLGDDNYGTAVDIWAVGCIMAECLTGTPLFQGDSQVDMLFKIMKLLGTPTDE TYFGLSKLPDFTLSFPKFRGEPLTQKIPQLEQNHQALMVLQSMLQFNPSNRPQAKELLMN QWFDDIRNNNF >CAK70526 pep:novel supercontig:GCA_000165425.1:CT868085:73477:76012:1 gene:GSPATT00007661001 transcript:CAK70526 MSGHKQFQRINLQIIKTYKLQRFPIISICLDHQQGNERLYFGTCEEPSNRLVSFHDLNLF VNKAFYFLHRNTQEVYQGLIPIMYYAFYRNQFTNMTFFGPPGISQFIMQTKYMLGVRSLA FGAMDLNKEMIIKQQKFGIGNKMQFLELIKTQVESQTSNLESINQYVREQTHQYVVPKEF VDQNKYVALQYSKVKSLFGNNPNLTQYLVTFKVEQQIDYEKCRNLNIPLSRIPDIKEGRY FINNKQMTIEDVKKFNPQLLQQAILLLDFKTEEEVEDFASNIFEQLQDELKIYRDEFKKF NQNADLNQTLVIVHYADKELLDSQKYQNIIENLKKLNYKLVHIITSQEYYCELDLVSKKD LGREHFGYYYLDFLKFMKTNLPALFRGQEQVNQFQINQNMTDLKSLWPNESKYIPMRMKT IQIISGTLYYEDLKVKRQVSYSLQKEEKQLLIRLCKEISQTQNKSYEVLMLGTSSSIENQ SRNASGIHVKINNVGILMDCGQNTLNQFYFSCQDDGDFKQKISDLQVIYISHSHNDHHQG LYDFIKHKCAVSKEPFFLLLPKPIYLWYSQLFTNLFREEFGMKSYVNQVKIVFTDALISS NFQEKAQPKQKKTFNVNQLESEEFPEINFSSKFDNNLNKTEFQEFLQYKQLHLDIEMTQH CQYSTAIKMSSNGKTLVYSSDRLGQLTKFGTKFSKFAKDCDLLIHECTYPDEMVEKALSA KHSTFLQAFFNGYQLNAKNLVMTHFTERSLFYLKQFFHADGNLNIPAFKKLLESQSIMSS QPFNIDDAVQYLENNVVFACDFMNFGDGNIDKLSQISRIIVKSLLP >CAK70527 pep:novel supercontig:GCA_000165425.1:CT868085:76054:80242:1 gene:GSPATT00007662001 transcript:CAK70527 MDDQNQPILQEQPKPKQKKPLLNTKMVKKQKMQNKKEENLREILNFYTNQVDARKFLQKM KAVVDSNQQEKKYQDDFLNPNEYNEMQDIYEDYNMGDLVIVFPNPDADGVKNPPITYKEA EKFYDETLAQKNDDVSESQLKKEKEAFLLAFLMLDDYQDDKTRKKMKEEEEKKKKEKEET AKKENQDSVDNDSKSPHIDKSPHLEKSVSEMKMKKTQQLKPSPTPTQIKREKQAASQHSQ KEKPERQKFPSQHSQKENKGSNQEKSQTKQRDMDMDLSDFDMDVEMEDSELHEDNGDGQQ KEEVQENEADQENEGKKTKKELNSYEKYLQFMEKYKKIEQEKLERFEKEEREAQNRKMKG QAFKKVNQDKSVSENDISVNQIVQQKSQHGTGDDTQYMDPIKELFKINLTDAKQFTKVKK QVNLGKYMEKVKDENTNEEIWMCNNSQPKDFMTLIRFTIIEKLMRNAFLHCRQFISSNGA QIFLVIKSTKQVVMRQAQKVKVVKQLELGFADLFSLEPVDYKLRPLRLKKYLRSYQSNSE EDQRIQARLIELSNQTNKEEKIISLTNISTHCEQLYDKVVQWKPFDLRLNLILKQLEIDM ILKPLAIDLNIDQSQEKAEILNDEPISIQEWQSYFIYLECILCFGKQIQSIKRSSSEAKE RLKEFEAFLYKLVFRKAISDANELWFKKYKTIWKDISNNRFILFNIWDRLEIYPTAPYTE FFIPQGEYGRQMWRKYEFNEKKQRSEFLNMEKIKLTHAIVLKHINIQKMLQSQIALFYIP IHDPYQLNGEPKNQLFQTLEDNEYINKRKNDQGQGDKKLDEVLVQLKAHAESTDYDCKSV KEDSAFNLRVPWHVPIQTYRDYFGEKVAIYFLFLTFYTKQLWYLSVVGVIFQGLQSLATP GLSDTLTVIFSSLIIIWSTFLIEYWRQEQVIFSLQYGQQNIEQVAAERPAFQGKFIRSIT SDALNERFYSPFKRQITKLCAFGVSLLIIGMVVGCVIAIFIFKNKMIEEDQSALLSQTLP GIMMSAQINIFTTVYANVAKIFNFLENHKILQSFENSLVVKNFIFRFVNNFNSFFLVSFL SGFFPNLNICKVNEEITNDCFLLLSNQLSTIFSSNLTGSIPKLITPFVKEFSMKQIKNKL FVEKQNTHPFKYIDTQIEDQLGLDPYQDDKEEVDGSVLDYLEISIQFSYLILFGVSFPAC YIMAFGQNILKIQVDKLRFLKFVRRPFPEGASSIGNWLIILDIITFLGIFVNAGLIVFTS GFFTSNQELIFPVILVSFLVLKYIIRFVIPSYPESARILTARQKCIIDKAVKGFSSQNSK PLTKTNFYSKIGNVSYENDIDELCVDEMEYLRNMRNVDGEHMDQDHVKEEI >CAK70528 pep:novel supercontig:GCA_000165425.1:CT868085:80596:81348:1 gene:GSPATT00007663001 transcript:CAK70528 MKYCSQIILQVILHLHYTKILRLDLDFVIQIFQSLLFFINSNQHQRHYCKSEITQNRTIF QKGVEIKTYYDIPSYQIHSDPNRIKQIIMTFLSNSFKFTEQGNFIISVQTLNADKSQHIK RSNTPQIIQYQKNITRKILQGKYYENFINKLVYTISVEDTGCGIPDNIKPQLFNLFATFS NQKIENKSGIGIGLMVCKNLVGLLVPSENIDLWSEQNVGTMMTCQIYAKLQDN >CAK70529 pep:novel supercontig:GCA_000165425.1:CT868085:81368:81739:-1 gene:GSPATT00007664001 transcript:CAK70529 MDNISEVFKTLDVLQNGQPKQYQHNQTQNIALLKENYKIQFLFVQNQNQLSNHTSILLDE LVFVQSGSSEDGSLMSFSVFSYTFVQILTRDDLTITQWCLSHSMNHHVTKYSDIRKSVPT QNN >CAK70530 pep:novel supercontig:GCA_000165425.1:CT868085:81957:84000:-1 gene:GSPATT00007665001 transcript:CAK70530 MLNKNGTYTMSKYSSSMSVSSKDNRVRYSQAPEELGKRAKLPLDITLFVMAVEVERLQRE NAQLKSELQSNSDSNLDRVQYESQIRDLMEKIRVQSNSQSTLLIDVEKLQKTVQDLEDQL RRQQQTQKDYDPNWRAKLNQAELQLASFQKQLNQQVNLFGGQSADQVMRELEELRRKKLQ YEDISRQMNGRNVNELLRELDDLRRKQSSLEDTSVLRQQLQQSQNRVRLLEQKLQEMESH LTSMESENKRLSISMSSKIQSENSETALLRNEITKLNKEVSKLWGDNETLVLEIDSLQLK LRTLSEDELRRLRNEVSRIQEQNSQLESQIARLQSQNQSLMSEISQLNMIIEQNQYALSD ANKQKYIFEEYKEKIRQFELKFQELQLQNERLRQQDHKVAVLTTEIERLNSLIKQLNTDA DEWRQKYQKMELAMLDYRQIEKTNRDAATKNELLLDEIERLKKILEQKQYDMDQLIKQFD VIQSQISTYQINANTSVNRIQDYESTQTSTILQENERLKRQLQQFQQQVSTLQTQVQEQN TKNQQLIAQQQQTYMMNNNNAELEKLKRQIEEYENKIAMLSLELSRVRNTKQNQNSYDKS DKVMELLTIIVMMSAELDNLRGITYEKSTVQSQRLQDNTSQSARSQSINNQQANYSYSSW RQK >CAK70531 pep:novel supercontig:GCA_000165425.1:CT868085:84467:86365:1 gene:GSPATT00007666001 transcript:CAK70531 MSRITRQNQRATYSLNQSMTNGLPALTPDNMNLCISQFYGRRPTQRIYESPNNDIYSGRQ HLPYQKDIEQLKEEIIYLKKENARLKDQNKQLQFQIKLDEKEQLDKRTTQEVSDDQINYN QSSRLVEKLKQARMMLQERQAEIDNLKKSTRYMKLQEMELELGNTKSKFEILNKQINEIL KTENDVHKFHKLTIQTVELEEKLRILSNCNQKQKRKILSLRQELLTCQAMKDRYRKQFEQ CQVDFDKYKKNHEQEIQKKTRYKEIIDNLNQQLTNKQNVIQSMQMEIEKQKLLVFQKQRQ FKELEEQYQTKKSWLTNRKEADTSFQEVLDDRVNKNLVLGDDDLSNSYKQQSETKIVLEE DHRDRSYTMQNRLSVDSVRDEQKSPRVQSLQIIPDIEVTKQKLPRVNHIDVEEIGKRLKY QLMYQQVPFEQINQFFDQKSEISIVELVDILQGAPFCMQQQKQALLLARYLIEDNTQPYV EFTPLQTNDNCVIKSVLKNIIGKYQLFDIQSECQIMINISQQIWKFRQSIVEQIKMITAK RSSSQYNELCDEGEFKIAIQGSNILLDERQKEFLGFFIFREFDGSKQFDYKMLIDKIGNQ NPQNSPSKINPQQFQ >CAK70532 pep:novel supercontig:GCA_000165425.1:CT868085:86498:86956:1 gene:GSPATT00007667001 transcript:CAK70532 MGICFAKTKKDKPSNLIRLIKIENENTVPKPFTLAEFQTKILTTWYRMDILLSSLVTCDR TLQIILLQKAINQILMGQSPNHQMNDVIIGILLELMEKLAFQIEELIREELLSEEVSTKF YQSYTQIAQLSMEYSNWKNNSTTEKTQAWSFI >CAK70533 pep:novel supercontig:GCA_000165425.1:CT868085:86997:87252:1 gene:GSPATT00007668001 transcript:CAK70533 MNQQEQEKFNQIISNGYKELITKRPNKPLEHFIYYLLTSLPEEIRNKDQITRDFFEQYQE IDLKDVKEI >CAK70534 pep:novel supercontig:GCA_000165425.1:CT868085:87358:91748:1 gene:GSPATT00007669001 transcript:CAK70534 MDLAPKMSENKKDKIRISCRIMGIEGFLNEIEEFGGENQKILANQLLFIKRQGNVLFFQF VFFLFLMEPFLFVFKPPTSMIKQLIKISYLKPLIFYTRIRYQFLQELCILGMQNSFEENQ GKKLIEAQLISYYMRKLQKSTILESQQQRICAQMILNHLHELQCEKHLLEGLENFFHQKN GHKRGHILQANDLNLKNLISTKLSPSYESVIQKMLQQYIARETPEPKRQSRFESVRNKSQ SLQQLNGGRVVRQDYKSPVEYMRKLKTCFGHALHPDNQDQEDPYIPVYNVIYDRQNMLCR LIRTGQLLLTGDDLGLIKIWSASNGLLLQSLKGHTMAINSMDISYCNKYLASCSNDGLVI VWDIQIGKPVAALKEAQDDPILVLVFYQCSSQNVNYLTVASEKGYVYIYDVQDLIKCNGS ILGTQFNSKIKQSAIKHQADIIRLNVNYNKANKGKVNGILCMEFNKQGYLAMGTDQGEII IFDKPENLSKAINKVEWREHSATVHLARWSKDGDQLLTASFDGSARLWKWQEGGIAANQH NRSQVLLKYKSTEGRRVGEIQCFAIAWSTKSTYALASFSKKLKKKGDEEKSKTQIQVYST HENQVIHCMDQENLPQLKLDSHVVFLEAHPIFEEVALSADENGLIILWNVQKGVPLKVFH ERGYHLKLPNLEVPLKDGCFSPNGMTFVVSTDFGSFSIYGFGVQEIFDQTPIEQFYVSDS EHPIIDESDGFRVMALDSDMEFCYVDRGSICNFYRMPYHYNFQNNFKTLFPYLISQDQQG KKSVNLQKPKLFTYIQMSKGIAIDNMKNEEMFQGYFAEMKQMEIKIIHEIKELMKIQDQV RIQKLEGDIIEVANQPIPERSPSNHNSKLIKKLEQKSGELIKRALIKEDEDSSPQVSQQA HQQAVITINESKKQSQRRKKVIESESEEEVSKQSNQNVKEDVQIEQSPLQTRSRRNQRSQ QIRLIILNNHRQQNELRRNRSQVTEQCTRCRILMDNVVRCPECKSAYHQECSEIRLFLCE LDFGTQKRICINCMAQFQRKKQAQTRQGDHLRDKYLTDDTNSPQIGDDVIFFTKGYEQYL QKCLQNLDLSELSIDSASKKTIVFPGDILTDQESANFVYCRIVNINYIFPVINTVFKRQT NQNTYILQVFELQTKDMTFKCFYSYDCDPYLILEESYASSVRQIRPYLNQQQISLQTDTD KDNGFGHQYQFIAQETPDNYLNASDWQVLKCKRISDETYQLRNQKSMIAYCHLNFWEIAS MMHKSNKSIEIQGASLQSALTTNEAQQINRDINAMIKKQTKIAFFFSNEVDVQQYPEYLD YVPLMSYITLIQRRLENDFYRSKDQILNDVERIRRNAYIFNPPKSEVCDLADKLANLLHS IVNGDSLPQQVIAQVREIQTRKKRKLF >CAK70535 pep:novel supercontig:GCA_000165425.1:CT868085:91779:92723:-1 gene:GSPATT00007670001 transcript:CAK70535 MQFFKEATYTFKQKFGYSQDEKALKLFDQAYHYPSTILPINILDQIAELSFQIEHYPKII NEIIKQLNSSNNTANNILKTLIITDYLLKYGCSGIIDDLKERIGLIRHYSEYKSECQEPL FQSIRQKAQNITIQLTNRNLLYKEKEIAKQMKLKIQMNKLKLQAQCSNVNDETQSKLDDM LENYIYKYMDKFHDKLESWGDQMNEKLDSLINNVATNSAYKKDENGYYYPESCMDDVMVV KDRGLDFKQNSQKSQMTNQNVVNQENVQPNKQVIKQKINLLD >CAK70536 pep:novel supercontig:GCA_000165425.1:CT868085:93071:93730:1 gene:GSPATT00007671001 transcript:CAK70536 MFPVKLIDLYLSPSRSEYKDFSTDISESDLDFDSFKLEQVHKPPQIKKSSSYPRNYYKQQ LIEESNIEGDQIIQECNRFVQDHQVSVTQLLQELALEQPKKNRSTGYLKFFDENKNYGFI VMDSDGSDIFVYADDLVKTGIAKEYLRTAKFGNYIRFTFTCMEYYGKYNKSRKAVDLEYQ KPNPFFQTIY >CAK70537 pep:novel supercontig:GCA_000165425.1:CT868085:94291:94864:-1 gene:GSPATT00007672001 transcript:CAK70537 MLQLHRIRASSVQEQGEQRAKLPNILTPTFADWKIISDSRAHPKISRRNPLFKFGLIPPK KVATPSSSAHQNPQDFRGLKSEEQSQRLKENIVEDRISTTETNTVQQFELDKKYMQLRNR SVSGSKQTNQILLECKQLFDNQKKAFEEILKSNNLYNKYSRVIDKEQSITNQKIMQIFNL YK >CAK70538 pep:novel supercontig:GCA_000165425.1:CT868085:94915:95488:-1 gene:GSPATT00007673001 transcript:CAK70538 MNANYPIYLQEIKENCAPLKDIQLSHKKSLPHIIESITQKIPQVKKQSIHKICSQQEQRI YSNIHKLKKYNLLKEIGHVNPQLHQELQNTRDHQLPYKLIQYQKIKQQQGELITENQEST NNLNDCYGQDDIMCSNRKILLKPCMRNIMDDYENRYNEYSDSYINKYLDLN >CAK70539 pep:novel supercontig:GCA_000165425.1:CT868085:95529:96524:-1 gene:GSPATT00007674001 transcript:CAK70539 MSQNSQSNKQFSFNSTIKIKRFPMLEPLFKQISQVVPLNTQRGRSFKCYLFNTTGGQCKF RRKTCTCSECGGTNIFVEKQNALQPITYIRAHHVKKRKRKMHRNKGGGRQLSAMITRVPL KIEDITNMIPTRKERKAKTLLQNQIIEQTLLKCKRLLTKDDSNIGYEYFFANELKIAYQT QEFMTNSTFEKTPTQIKQQNGNFTASQRTIDESQVTSAQNMNVNQSQVLIAHLPRVNYKI KQKPSLHSPRIYHDIIQSHNLKPNQTVDKYVSQSARCSPVNMNIKLPLISQQKVTQTKRK KSEHVYLKGSPLKLLHELKSQILLQKKMSKQ >CAK70540 pep:novel supercontig:GCA_000165425.1:CT868085:96606:98476:1 gene:GSPATT00007675001 transcript:CAK70540 MNYLILFYLVVCFANSDVWNIKLPSQVKLLQKLREKRNDRKLEDVKTQWESIRIHFEFLE SPPSDVLEQTNTVLNIVKTFFGRFILVKRQTGNLEWKSSYETDWQILTIPASLQKSYDAD LVFFVGQEDNQDVEYIARAAPVIFDETTGRPIFGIMQMNNYYMKEFQGTDAKFEAAVQVV LHESIHGLGFTDNLYSNYYNSTSNEKYDFTVYQRVNQQIDLPTPRLTQLAENHFGCSKLV GGTMEDQMGGGTAGSHLERSIFYNELMTGALMTGNSLLSEFTFGLLEDTGFYRVTKHISD IQLWGKDKGCDFYKNQCFSNQQYKEFCTDPYDDSNQSDNPLNHLSCSYTHTGIGICMNDG LVECPYYYVISDLDCRDAENYNQTLFQGSNFHFGYDSMCIEGGFVSKIKQSIDIGYSCYQ YSCDENNSLTIIVDGVKYDCSVGGSSPSYNTEQYKQGIVCPDNPKDLCESASECPNQCNK KGYCLGGVCTCIAGYSGRACEKSCTSYRDGIECVQSCSTNTFADDSTMYCIGCPANCATC SAKDVCTLCEDTHLLVGGFCEPLPTYSVILVTSIIALFLAL >CAK70541 pep:novel supercontig:GCA_000165425.1:CT868085:98843:100994:-1 gene:GSPATT00007676001 transcript:CAK70541 MRVIYALVTVCLVLVSIPMFYDNTDSALWLEETEAHTFFVTSSNRRKLLQDNHQMMKQQI EAEEIERVLKLQSLFAESKNICLNGKDPKKWSYEQIVNEFIKGPCAPVILVPGLAGTSLE IEIDCEKLQKESPEVFESCGWQTCNSWYFWYSKPDVEYRLWISTTTSTVGVIQTSAKDHC FGNLMELKYDSATQSHKETPGLKVTYYGNTPSTQKYSECGLGGIKEMTDDYLIKYMMCDY KGFLNIGDLLKNMGYVSGLLFQAIPYDYRKGIQQSEAKQLIRQSISNLNKITGKKAIILT HSLGSLHTLNVLGEMTKQEKQDSIATIMTMGAPYLGSSKAIRAHLGGDPSFLDTFLGMTV GINYFNQNKAINSASSQVDIYPKLTFFQYQNEPWMQEILKRIQQEKEFIENKTNNVTALS WFPNPKELCTNLTDFQSACLIHMQDLKEYFIEIEDQKFTAQDIQELLDNYTINGTLISQY YQNYQSNALNTLQNPEVPFIVLYGGFAQTEYQFKYQRNPYHEVLEKLDFYFPNHTSYAIG DQTVLTSSALTPAIKWIYEHQNGLSENPIKVIQYCSNYKGSLQQNLFDSVIDGEKQITQS GYIGLECECLKKGAAISDCKHSCLINDKFVVDLVSQLVRNNQKASVDKTPLSKQQLEDFV KKCSNLQI >CAK70542 pep:novel supercontig:GCA_000165425.1:CT868085:101680:110873:-1 gene:GSPATT00007677001 transcript:CAK70542 MSNINHEQCVSKLQGHLKGATATIQEIEELLMSQEHIIWQQAKEIEELRRRLQEFESLGF QNEQVQHLKNINVQLKTQIEFLRAEIQEKDEMIAQFTSKPVQAASPDILAAQQQLIQQNL QLQNIIGDLQNQILNKDAVIGQLKTQESTLDQLIGQLQSNIQSKRSKVGATQQGNEASNV LNELQQYPEALQDVIERIIQEYRRMQFLIEEKDIEIQNLKSRLQLQQQKANDSISEIKKR DISPKQSDQALDLAKQTATIDDMINDLERKLMSQDNRKKELEAKLLDVGIQNVELEKQLQ QSKDQLPKTVDELVKANLDVNDKINELQKALIQKQNEKDQLQKQLNVSNDNQSQPNLNKQ DNEKLNTSQGRNNIDDLVLENQKLEDLIAELQQKLVDKQAQKEEIENEIKKLNIPALQQD IKDLKKQHEILEADYQNQKKENQQLQDECNQNKEIQQKLQQENKELQDSNNQTQSQIKQQ QDRLAQLQDQKNKNSNKLANDDFQKLQDKFNQTEENNKILEQLVQQLNEELKQQQQDNQP LQEELMDLKSKLQDTKENNSELAQQVQQLEEELNNLKKEQMPNKESAKPNYSKSVEQQRT TPVEKFREPFQSILDKEEFSFDLGSVNQQEVSSQEVTNTPENPKYTQFAKHKTQDGKLIT IPLDIIKNYEYLIREKENEIDELKNELANQGLQSIPLNFQSGNMESNEQDNNNFQLQAQG TDQNYEQNPEDQGATIEQLQKKIRTKDDYIQMLLEQIEELKQFGTLSEEQQREKLEINQR KSDDLVKQNMEIADLIIDLESKLANRKIENEEIKKQLEAANKEYNDQQQRSKMYEVYMLN KELDEDISLSPQLTQEEPLYDNQQGLEQEKSEDLQRKPKMLTIKEKYEKKIKDLKRLNKK LMDQIKQLSEGQNQQIHIPQQEDDIKTKQVQKSVQNQDQSEQPQKSQQQPQTTQDLQQQY EKGLEKQTDLIQEVQALQDIIENLELKVQAKKEAKDELEAQLCALEKKTEARSQSSQLQE SATMASTSKLDQETLQRQYDQEVQISRLKDLLTEKEKELAKLKDLVKEKENELQSYKEQI PSIQEYQNQQFLHSQEELVNTELRKDIQRLEDQLEAQIKLNKGLQQRMDNQHEQAAQLSQ KIRESSLDSSSSNSQIRDNKQKLVEKQQKIDELVKEHLSLDDLIEELEQKLVAKNDYKDQ LLSQLQEGTKQVQQPNQVQPNQLFLKQQNQGQMIEQIQELSDVQQVVSNFEDQISIPLQT GQILQELDGDSQKQDLKEPNPSEQESNQLHPARSQPVFTRADKEETEPKLQQVKSTQGFT SPQEFLVKEGIDGKKLQEVLDLLKSNNDVERRIQELRDALARKNKRKEQLEGVFNKDQEL LGQRVSDSVNEKKQMQDQVHQKNLQIAALNEELSKLQQKIFEKEKAIDEKDREVRNSQLV KTYQDNCDRADELVRKNVEIEETLNNLEVKLAEKQQRLKEIQNHIGFDSSISNIQEAVEN AGIIKSYDQEQDSQLQQQEQVLQGYSLSIDQLKNKIIQLNSELSDKDKINADLRNQVADL KKQIHGFQLAQQDVKVIKKQNQKLQDEIQALVQENLNYEDLIRDSEFKLQEKKSRAKELD MEIKNAEIQIQVEKQNKESQILQQRIQQTDELIKKNLELDEALKNLELRILDKQQQLSQK EARIPNQNSRILQQPMYIYQEDSDDKANNLKTEASPKTQHQHPLIQEHNDNLSELASSIN QAQEQVRQMSLDDSEVEFYLQQKKEKQLEIEALKQQLYQQNEVMQDLKQEQIEMQQQIEQ LQKENSNFDELVEELQQNQKQMIEQEIQKKDYNQPQEIGGPEQTEIIKTKSENLELSKKV QNLKQTQKQLKNQIANYDSLIIDLETVVEDKKNQIQRLNKDNQFYQQQNRKQKGRRDLLH KEQNNLQYQLKLLEPQLQELQQTEKQMQQQIAQLELNLQNLDDKKKQLEGQIKQKQEINS ALELQLSTLNQDIGSQNEKKQLLESDLNQLRNENQGIEQEVKIYRNLNLEDITLSEQIDA LTKQINDDKQTYETLQDEQKATQEQISLLLPQNKEIDELKESAKLQYAQNVEALNNLQQE LQQKIEQKNKIFQEISETEKYVDENNHMLQDLNEKKANLEDQIKKDEVLVAAVASKSKRL AENLEAEKQNYNSLNAELEDFLKKKQVQEQELMKTLIEIQKMKAEQEQFQQLQQQCQNLK DQIGKCEQDIEINLKIIPEREEQLLQLQSIVEKKNDMLDAAQQEVDKLDKLLDEVQQKKE SKEKELEDQIRLNENIENQLLENYQRERELKNQIDSIQLQDLDALLQDRIKQIRAQEGLI GEIQGYKDESQKLQEECNQLKQQNEEQENLNNDQRQELEFKLLEKNDIEQDLHSASAQLD EMKFQLEEKNDELTKLNDQFKKVDEESKMMEAVLQLKEKELKQLQKKKENLIDELERINN DVNEAQKQLTTKRKKQRSLGAEPQQQDEDMEEDIQDKITSLNSRNNNLKDDLQKLVEQSP DTTEKKAEEEQVNKGIPVSVSQFAQDKIQQALQDRDKKIEILEMTIQTLQQQQQEKNSII PSMFQSKMSMQPSFFLDDDPILLELQEKFTKESELLKDLQNKIKQTAENHLGLSLQLKDW AEKENRLAKELDSRRQSVEQIEFELQAIFGKQSDLESQKVSVLQRIYELQDETQELNEQE GNARNKLKEKKDYLQTLYKNLIESDQKLLQLRNRMAIYSQEGRQLAEQVENLENEKENKL QYLQDIQSDLEHAEIEKNEKQTLVQSIAKEISETQQEKDRLEIQYATVHSKNQSLKNQIG FEESLYQKLLQELEIAKKRDQTKFQNLFSDGSTQTEYDLEQFEILCNNTQMFKIIKDDTF QLKENCKQRLIQIYTNENANIQQTISQNQHLKKMIHLQQMLIQIQENENINLIEYQSRRS SKFGFRSLDESIYIMKIELEQIDFMMNKTKLVEVQVSDHQIIVGDAKIAKQWIIDRQFDY DNSLYPLGVLNIIYKVSLYQVINISLTGTKVLNLYQSIL >CAK70543 pep:novel supercontig:GCA_000165425.1:CT868085:111243:114707:1 gene:GSPATT00007678001 transcript:CAK70543 MNTYSSLKRSFDSQIQGRKIQEPNVAITSNRAKKSPRLCSPEQTLDQFIELKPQHIQQTL KKKKVIQGQQGKSKSQINTAKKGFFPSQEKKLKKSIQQQTKKKSNTLFLSNSTSPQKQMN PKKHAQINNIGEMLIKQQINKQNLQKDVKQLPEKKNLNNVEKRQQSKKEDIQLYINCKRA QDTINLKHQEIQMLLEQKKKIENLVKLDQLRKKILSKQKTIDARIKSPKKGKKKLKKNKQ KEYPDKILSPEQEKPGKLIDKLIKRQEQHTKMQQNQFQLKYEQQQNKQTKIKKHIDLPQN EEEHSLSYMQPNDQILPNEVEETLNQFPPSNYLKELVKTLISNNEVLSTANLAQHDFQLL EFYYMQAAATKIQSVWRGFYQRKLILDSYLRYLEQEDQLQQENGQYQNSSFLKESNQSSS PKVANPPQSQNNSELQYEEEEEEEDSQRRQLDVQQAITKILKKQQDSPQNQSSQSFSQQQ SDDLVVINQVPRGSEIEDNDNEIKENGDLQNSIHDHKISKQESIIQEIQHQLNDWNFNLE NLITENRKSYAIKTLKEQMQQAIITIVQSQIQKFQEQQSKQQTETEQSVDFKMRLSQETN TEKNFNDIRKKFKSVQEQSEERLLQSSKDQSTDINRSSLLALQSQLMRREIELLSMREEA IQLRYQAEIKKNENDDNKKSELNLWLKKELEDLQQTRQAIEISTKKEASAMKKIQRDLII AQSFDENNSKLQSLRKRVDEQLSNLKQSKQSISDIKLINNFCIPNENELEKLAETQSEDF NYEEQFQQKQFLKDNFIESYETIEKSAKVNLVVSDLVLDLLQEMTEELFLSENKFDILIQ TLIRQSIIPQIPTSIIEIRYYIHNLFDYILPQHYYEIIKNINLPYGFSPQKRLQFIHGYM EQDESQYNEFLTFVLKEEYFLEYEQYRLQQNEAENEDSVTQALKELEHIHNRAIFDACNE ALNFQRPYYCNSGSPYPWEGKIGHKVINDNDLSEIFKNMELKVVQWANSLCGLLPVEEEI AVSKEKQVILDEKNQQIMLQQMQYQDNPELMDQDYQQDPISQIREQRLYKALIQDFKDLE YRWNQDQDDKAELLMEIGDLIFEQCIEEFLIESVVL >CAK70544 pep:novel supercontig:GCA_000165425.1:CT868085:114950:116094:1 gene:GSPATT00007679001 transcript:CAK70544 MNQLQIEELQRKLQQLKLERMNEDSSEDEPTFQSVQGKLNDKQLFQNLSIDSFEVYTTLG TGTFGRVKQVRIKRDVSRQVYALKIMKKHDILKLKQVDHIKSEKNILNEISHPFLVQLKG SFQDQKCIYMLFEFVSGGELFSRLRKDGRFSQDITLFYVSEILLAIQHLHRKDIVYRDLK PENLLIDREGHIKIADFGFAKIIKNNHTQTLCGTPEYLAPELIQGAKTGYGKSIDWWALG VLIFEMLAGHPPFYDIEPTNIYKKILNGVIEFPRFLHVRAKDVIRKLLNSDINKRLGVED EGAALMNHKFFRGVPWQKVYEKKIQPPWTPFLRNETDSQWFDKYPEEREDIPLIHDEQQH MFDDF >CAK70545 pep:novel supercontig:GCA_000165425.1:CT868085:116631:119218:1 gene:GSPATT00007680001 transcript:CAK70545 MQTEVAPCSQDQNQKDTLMKMDNQINEEDNQNQNEAMDIENNHFNQQNQSKNCKYPFIGK RKQIIVQTQPSLILNEKRQRKPPSTAYAYRDPSPQKIVEKKKPQKYQISSRMDEICTNIA KWEQFQKGLNNLGYPSIVLDEEKIYDLLKDYDQKDEAGKEYLLKMLLRIVGEAMCFNNKN EINTQIKNKIESITQSKNIIINWTLQKWEEQIKSFLEIKAELSEEIQFAQHFDFQALQTI IGNNLPNYIEEAKFNVTLIALKSKQIDDLKKVCDLNELKNVRLIREYLITLNHRVDSLKK IKEKINAIKKYEDENEIIESYNQLDSKILEFKNTPIKFLQKTEVKVKPPTTQPKQKSKPQ NLEKIENNLSNENEQINSQGNVQTESNQPTEQKINENKSNKKKEKEQQKQEKVAKGALDK YKFKKLEIQEIQNQNPKLETQNNQFESVTSPRDNNQQTSGKDVQLQDTNETQQNRQTSQQ PQQQQQPQPQITGKNLKHFFFEKKQQVVQKQDEYQRKQLTPNRMEQFEALIEKMSNMQLQ EEKVQVSLNDYNSDEIEIQETKPQQVRIRKIHIYIADSDKEFNGHQFVQLSQVIRPRAPF QLDDEIDYDKDSLDEVEEILAENLSDINDSDEDQSEESEQKDSFLVDDNHLSQDEVEDPE EMLNNKCIANNTQVQNGIVYIKFSQIEPVFFENYKAQYIPQYFANQQLKEQTHGNTLQSI LTQQGNNTKITQIPKAKMNFSNNNQKKQNKPKKPKATPNPTTDSQNQTTSLQTQKLQSSS KTQVEKSATKQKSQTKVDNKVDEEKIQQKVEDNNKCEQEKRVDQQKEQDNEQNQKLQQNF IDENLQ >CAK70546 pep:novel supercontig:GCA_000165425.1:CT868085:119519:120159:-1 gene:GSPATT00007681001 transcript:CAK70546 MSKKQQAPVAQKPVGKQQQVNRKPQDRPGLTEDEIEEIKEAFNLFDTEGTGRVDPRELKA AMQSLGFDQKNPTIFNMIAELENEGTDIDFDQFLDAITSKLGNRESRDGINKIFDLFDDD GSNSINLNNLKRVSKELGETMTAEELAEMLERAASNGRDISREDFYNIMVKRTF >CAK70547 pep:novel supercontig:GCA_000165425.1:CT868085:120574:123967:-1 gene:GSPATT00007682001 transcript:CAK70547 MNWRKTKVFKSFTIQGALIESLYDGTSKQLILYDTQNIKIYGVGDSEIKFYDKIQLFTQI KKIVFLSSFNHKYLVVQNVQGQISAISTQYPYDHKLVILPEHFTSDALLLASQNRSCIIV QFQNEIMIFELNQKQEFVSHSINIKNQEIKQIDASLDDTLHIMCQQYEPLRENQMIANIE STIFYCKMHYKDKGLQNYKFKFIILQIIALEMEDILALTQNEILCLKNGKTHFSTPAQVS CFATFMKLNQVNNQLFLCNPTSVEIIEIRFDDFNQIQNMVRLQAIYISQIDIVIADNLIW IQTETSGTLNQMNAVRMPSISDLDPSLTKKVKLEENDQLFKALGVFQTFSRIFNFTVVGN SLYILSGNAASSQIYKLQHELTPAITTVSLKIKTGINEQKFPLYFENHIGFISEYKQLTF SKILKDGNFQLVDNIQIPEFQDVFSNGTINANCFYVQFDTYLWFIQTNPLCQFSRQYDIR QVKQLMSYFVGFDDQKSLIVTYLNEKSLISQKINSSEIVSFDLAQQENDILILMIEKEKL SILSVKFQSQQIQVQLMFITNDFMGNLCAISTIPELNLGQNAVFTCPSVQIKCSPAEDED IINSYLVNFNKNKGMAIILITSSGKVSIYSQIKNNSNQLKIFKLIFSYQSNNVQLKSQKF HYVGPSNFQQFIFPGLNLTLTESLGEILIHFIKQEDQYDQVLLVQQSAFLMGFSGSCYYF PQLQRSNLPCNNIIINPVLKDRCTAIMMHSFSFHSNEYLLVINKAQNRRTNQNQLKNEVF VYRSDNLNDPFAQYDQLDNEEIIKVQFLQGMDLILIMTLVNSQLTRDYPNTKLHILKLDQ YQKITEQQQSRLFKEAVPCDFVVMPPKLALVFMQDQLKYIDLHVGQSAIGFSLTPDSLRG ELVQIQIEAVSVVDRWIAVLNGGFKVVICKFEEDNSQPKVDWSIEFGVLRVMDIQLLKNE FQKPLLVLLDYENSIQIYSITYKVIILTNQTKIKKIADCQIMNPCRMLSIANKLIISSLD GSMTMFDQIDDESQASKFKTIVSELPYIGGFQPTYLDAKQINGKGSVWDFEINDLYNQLS FGIQKIVKNRYMYNPFE >CAK70548 pep:novel supercontig:GCA_000165425.1:CT868085:124449:124625:-1 gene:GSPATT00007683001 transcript:CAK70548 MDNKHYDDEIKTLGFSLCYKSCLKNRDAIKTSHIHHTLYPCMENCAIKYKKVVEIVMN >CAK70549 pep:novel supercontig:GCA_000165425.1:CT868085:124886:133236:-1 gene:GSPATT00007684001 transcript:CAK70549 MSRELQNMKLLLSEIEKIQNIQTYQQYEPIVQALQGVQQYMNESRTNEVGQYVPELVSMS ISHIMNHMHYPDQILIMVEKFIKSVLLYINKNIFDEKISIIFCKIMDPNSIIYQNKDLST NWHDQFTTYSYTKNNEYKQFVDNLKEGTYVDCIRSCQTTSRLNWNRAIVEKIQEDLVIVR FLNEQQNQQIFNIKSGYLMPYQSRSQRLDSMFNLQEKQAVLATQNDKWVLSTILNRIETS HLENVITYTIRFHFQSILDESKENEKNYKGQSSYHDEQLTACNPRLALCGDHIPRKNNSN EFFIDDSYDILYEEDYKTKKFYAILRPRYSQSLLLIRLINYFGSLGGFEQILAVQSVDIL ANYMHGLGNINGQLYRYFCQEYVPKLQALKQAILHSDEQSFRNMGREKINLIIQAYRSLL DRIMTEDQRKEWLQSLGLEFAVICFNGKFLDKKIIGLKLLTDLLKQADQDKSPEFILEWI ERQGIFESLFHHSTHLQLLERTKDLIKIYLTIDGAFKLKYLSIVWDLMQSNDKEVRSIIF KLFNDIATFMSDYQAQYFLEQIKQLSKDLILIEHVQLLCKMNKNRINQQHLFSQVIEILW DIIEYVGQQGKTVLEDQSRTHFIELIQFLNDKDIKRQMIQKLITNIKQQKVESSSLKVLQ QIICTFLPYQKLQQGKYRYQPAEAKNPLQESNNNFADQKQEQDLDEEFIKGLIQQQQVHH TLRESIDNLYSQNLGINADDPNETTLYINKLQERLILIQTLIMIYGTAADMIDQQFLDLI WNKHILKPVCKLESEIVSFWLRDLIEKDECFSSIQLNDFYHRLLRSDSHLNENAFKCFKL ILYYVNQKDYRIQRQSLILNQICDDHGNLQSTTNANNQEKDEQMDFQIMVDVKEILGIQY LWHIVLNSSLDSAIKLLVKLNLSQQEQFIKQILDKMNTQDEQIILRCFDILRELLNETEK LGIGKLLSLDGLIKGESFKININSDIDNAQQSNNVTITVHQSLTIFELRKLIAKEIKTSW EQIKLFRNGIVIQETDNGKTLKQLSIKKSDFIKVCRRNTKPIPKVPLIEDTKLSPLFLKV IMDLFNQYSTNERMSLDQFAQFGFKASKNESIKQDEKIYEIFVKYSSQGEYLTLNNLIAF FQDCCLQQQNSQVVWQNLYAFGYRNDLSLMNADDIRFDQTKLPRHYLAQNQQFYQQTRIF MAGTNKVAQECWKLLCSLPIFGAAKERILNFEDLSQDTSYQLIYSLKIIEYLLQNQEYCR HFIIKQGFMQLQFILNNLQNQEGVIRKLGQLTILNIFTKYIAAQFRSKYNKIFEIQQHVK QPMSVSLDLIGQLLQGKCQVQDNKAQQKVKESEEFLELVKQISDLDIKLDYANLLKYLIN TPLDINEDRQLIEYILVLITTLIGFNLDNCTNFFIQEINQIKQRYKQLLFAPKQLLIRKF TINSLYLIYRCQKTILNKSLIQMLKDIFPSLENKDSQCYFDLLAKLILEEKHSDKELANT IILKLYDYEPNESRVTFSTDKTYIGLLSILESLCIVDSSILTTQLIRYLYAVHLFYLKLI QFQLKSDKQISQNYVKSKSPESRKIVYRLIVQHLKQNFNLELIDLQTVLKQIGLYEGFEI KIMPKSSHGFVGIRNLGCICFMNAMMQQFFMTPEFRYCMLRAEDGVDEKIIQHKDNQGFF NQIDDNPFHQFQKMLASLDLSDRADFNPYQFCLSYKDNQNQPLNVSMQQDAQEFLNQFFD RLDNQLKEKGWQQFIEAIYGGYLCSQMICNGCQTKREKFDLFYTLSLKVKDVKSLYESFE SMIQGEVIKDYKCEQCQQKNDLIKRQCLSKLPNVLIVHLQRIVFNLDLYMNEKLSSHLEF PHVLNLSKYTREALSDLEFKQDSYYQYKLKGVVVHQGTAECGHYYSLINTMDDKWLKFND SVIEEFDINLLSYECFGGKQSEIRQGFQPMGSTNAYILVYERTQKETIELKFSNLKQKQE IMSKFECELEQNSNLVVKMNYQTMAKQFISEALYGEIQYDNHKFMMERHIYSDEFFRFVK EIAEAYPFPPQYQLITNADQLPEYYGIYSNYQQVQNGEQVADLLQNLTYIAIELLSRSSD HGSIKDYVLVIINLINIIPSRIIQIFQQIIIQRQNRVFEVLLCAPNYQVRQAIQQILLHY INVITYIHAFMLNTSLLFQFDQDNSEDQFERSIVHFLVNLLQKLQNDVAKNASKFAQYFN FWKLFIQSNQIYVFFANQIELVSILADFFMEKQSPRGLENNQCYEFLYDQKIPIANLNVV PFYSPLFQCINYLLQNENYQLTKNDRICIESINFYKKALKECQDFDTLQSIILKVVFNNL TLSRGIIEILLEEIQNANYENVGPFLKLVESILNIEDNMQQDRIQWILGYPQPCYSDNFQ TGCSINLSQQCITYLTQIGCQNETMSILNQLFSNRQVFLNMAIQMMRMLLNVMNQNKAVF SYIIYLPPPSYLYAKYTDWFETFLNEFKQDCIKYPAMTDQIFFNREQELEQTVKLWEIFK TNFNHMTQLRLYDPMQQNYIIGNILETGSVQRETQVNNVYLETHESLVYFIKSNPNRFSN KAFPQAILKDNIFIQSSKIEPSSNIFFILNSDECFQQNQGFQQSIQRPANILQERNENIC SNEIGYNEQQQVNDDFINELQSNNNSYQNQNVLRQRQVFQQYEQDNKQEQQNVECNLQMQ VDQLLKRFMINNQTDQTVLVTFRIIFYPDSQINFLQVSMNIQISYLCMQDCSSIQCIIFN NYHENRSFDGLGKF >CAK70550 pep:novel supercontig:GCA_000165425.1:CT868085:133301:134382:-1 gene:GSPATT00007685001 transcript:CAK70550 MKQGDHLSSTTIIVSSGLASVISTIISNPFEVLKIRLQVDKMHCHEHQHQRNPLRSKPKF KYIHEIALTGQSTRHQLNHYGMLSKSLKLWRPHRMINVIGKSQATNPLIKIYQNCTCITT NSLVQAFQHIYAHEGASTFFNGWRYAVLQAGASNVCYFMFYERTRKFLQQLELPSSRLVV PLLASSFSRALTTTITFPLEYWKVLQSSTVGYSKLKNIQLGTQLHSAYLITIQRDILFSC IYWSLLENLKIELGKVLHDLPNAVNLLSAMMASSVTATMTLPLDVVKTRKQVSTRSDLGQ SGQMATMEILQHIYNEEGLKGLFKGYQPRIAKVTMHSGLVYMMYEYLKQLF >CAK70551 pep:novel supercontig:GCA_000165425.1:CT868085:135527:136051:-1 gene:GSPATT00007686001 transcript:CAK70551 MQVKHYRLISELYTPQNKTSSSENIFRASKISSSSQPKLSPLFPSKATLSTGQSFTTNES AMKISSIIPKTHDERPYSRVTTGFQKNRTYLDCKRLTSQTKYMQTLDDWEMNTIEEIQMR RTSNKQTINCKQLCDNNIVNKMHFTSQRKLKKNSMSKVIIINHQGKPKIYYYMQ >CAK70552 pep:novel supercontig:GCA_000165425.1:CT868085:136105:136958:1 gene:GSPATT00007687001 transcript:CAK70552 MSQYGKAEYWEERYTRDPEPFDWYQRFAGIKDLVQACFTPESKLLNVGAGNSRLSEEMFD EGYQNITNIDISHVVTKAMQEKYKDKGPNFKYLHMDARAMEFEDGSFDGAIDKGTIDAIL CGESSSSNAQKVIQEVHRVLGPKGVYFAISYGLPEHRLQYLEKPEYDWNVIVKQVHKPTI STSIAITNEDKDAPNVHYIYICTKGQQKGAKQ >CAK70553 pep:novel supercontig:GCA_000165425.1:CT868085:136963:137718:-1 gene:GSPATT00007688001 transcript:CAK70553 MKLFSYNSWLQSQSQKDGIQLENQVKLYKEVYDFSQKLETLLQNGNHPTFNYYFQKALSI FHRFAHSVSLKKFNRHIYMLASLYLSAKDNDMFDHTIEIDRYVKCFIVHCKSKEGDDLQS VLIEKIVDAESHILQMIGYDLNIIVPQVYFVEAKLKITVQHGELSKLHEAAQNCLNDLFF TNACLYYEPKILVLCSLQLASDDLKMTISDLDSGDPWYTIFSSNPDKQQQDYEDMQELLK YYEKCLQFLQI >CAK70554 pep:novel supercontig:GCA_000165425.1:CT868085:137839:139041:1 gene:GSPATT00007689001 transcript:CAK70554 MGNLCETNETNKLTSVQMPSKQQEETMGYTSERNLVSSNQLESAQKKLSIEDFVMLNQLG KGAFGKVFKVKKKDNGKIYALKAMNKKQIFDSNLEQNAVIEKEVLNNSKHPFVVNLKYSF QSQTKLYFVLEYIDGGEFYQILQRTQGLPEPVVQFVAAEVILALEYLNMKLNIIYRDLKP ENLLLTKSGHVKLTDFGLATKRKENNQKSYTLVGTTEYLAPEIIRKEGHSFEVDLWTLGI LIYEMITGKTPFAHPERNQMKIQYLILKNNPSYPPTMSRDAKDLINSLLQTNPQLRLGAN GYDSIKSHAYFTKINWQDLYEQKVKSPLKTFAEENSNRLQNLQPMQNQIKETPGNNPMPQ INGISYSGNEMDRGSSVKNY >CAK70555 pep:novel supercontig:GCA_000165425.1:CT868085:139928:140823:1 gene:GSPATT00007690001 transcript:CAK70555 MAEQEQQQAPAAEQEQAAPVEKKGFGRGGRGQRERREGGAPRQNRGPRRFGGEQEWVPLT KLGRLVKAGKIKSLETIFQFSIPIKEYQIVDHFLKNLKEEPLAIGPVQKQTCAGQRTRFK AYVVVGDSHQHIGLGWKSAKEVQGAIKGAVINAKLNLIPVRKGYWGNKIAQPHTVPCKVT GKEGSVRVRLVPAPRGTGIVAAITSKKVLQMAGIQDCYTQSKGSTRTRSNFLKATFHALK ETYNFLTPDLWGHTKLESTPFQEHSEYLSALK >CAK70556 pep:novel supercontig:GCA_000165425.1:CT868085:140825:142090:1 gene:GSPATT00007691001 transcript:CAK70556 MLKNLLIVFLYSLLIAVKAENVLTIAFGSCFKFYRSHDTDVFLRIQQFNPQYFLWLGDAA YIDYSHTRVKDKFDITNNDKYYAQFKKSVTIKGIYDDHDSNQNNGDKFNPFKESAKQLYL DFIGVDNNSPLRKQDGIYQSFYADQDNQILIVMTDIRYNSDKQTGDSLGENQWKWLEEQF KKKSELIIMTSGIQVMPDDRDGSETWFKWSKKRLYTLIKKYNKPIIFLSGDVHYSEIMKY PCPHRLGQNLYEFTSSGMTFANSDHIPFFGFISQFFRPTTFSNNQDHYYKSNFGILKVIT NNRNSPVRIDYETHSSDDSSVVLQKTIYIEELQQQFYDDSQSCILDVYREERQWQNYLLR INESIFIVSCSLLAVLLFIIFLIYNFISYISKFLELYKQIQMNKLKIKQE >CAK70557 pep:novel supercontig:GCA_000165425.1:CT868085:142378:143104:-1 gene:GSPATT00007692001 transcript:CAK70557 MRGPKYVEQDIIKKVCYAEQFQQHKFKLLNTRSDPHLSKKQVLIDNDKYQQIRANKFYTK LQVDLQKEQRLFKENAKILNRIVDIGNQKTYSSMPKRSVTRQSSANSIKSLNLSYRKQEA KKIVGENEKLMQRLQRTPSSFRNKDTVLKDYKKQKSHICSRTLELKNRISKYSQQNQQKM GKIVERLTKTTTNPKYSKTNQSRTSAPTYKNSALSKLRIEPDSQQQKLQFPRIK >CAK70558 pep:novel supercontig:GCA_000165425.1:CT868085:143370:144073:1 gene:GSPATT00007693001 transcript:CAK70558 MFEKEIVIDGKGHLLGRLASYIAKQLQRGQRIVVVRTELIQQSGSLFRNRVIFEEYLNKR MAFNPRRGYKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKVFEGVPPPYDTRKRQVVP DALKLIRLKNHRPFCSLGDLCASVGWNQQSIVNRLEEKRKIRGATYYKRKITRENLRRKA IGAKELTAINAELEKLGY >CAK70559 pep:novel supercontig:GCA_000165425.1:CT868085:144082:144891:-1 gene:GSPATT00007694001 transcript:CAK70559 METKQRSKHSFIIKTRTPNQLVLSLSPKQKSHRQHPKLPEIVEYEQTLFKLKWFSKRRQE NQNNKRCRSSESSYEGTLNKRYQFMFQTIPYHGTSFQRKQFYVKKWRKLIIAIQCIIYIA KIALQEFKIRSSCPSKMMISHYSILHHPYPQSPSNRKRNKSCCLDIKNRKISFGEKIQTL IIQQKLKADTCKNSSYLQQFKNQNTKLNRLLNSKAISLQSLHTTTDLKPLHSVYTIDQFE KQSTFQKQTKKLSLKLKRSQ >CAK70560 pep:novel supercontig:GCA_000165425.1:CT868085:145016:145880:-1 gene:GSPATT00007695001 transcript:CAK70560 MNQKKLPKVYRNDLENSFIRKFERSFLSQSQKSNQVTRVRLYKQKQPRYQINENLKQLSE WNEQKQYHKKLKYYPRAKSSESSIEGQNGKKVSQFFLLLPYHCPVQQRFNQCRMMWKRLI IGVEIIIFYGKLYREQLISKTASCPHIPPRRSSLKSLNYPASPPKRKFRSRTQGEGKNQP SGFGDFVKAMYESEKQKKELSSKSVITKEFRFNDSRIKRLVTSKICDQTVDLSRNRCASI KDSNQLPILMQIAPYKEENIRIKRVSSTMIRRIRTLRLY >CAK70561 pep:novel supercontig:GCA_000165425.1:CT868085:145910:146712:-1 gene:GSPATT00007696001 transcript:CAK70561 MKAQGLKNIQQKYTQLAFLQRSQNNLLNLKHQQNNNFQKHNELDSLTKLKELNEINERIQ DYQLLKYHKRSLSSQSNVEGFINLKDQQIFLYLPYHHCKQHRRQVIRKLWKKYINVIISL FRYERARNDIYRFYIPRKKLYALPTPDTLPRNPRKRKKDCLLKLGTFGEYIEAQDELEAK QKRKEIPNFKKSPIYLKYQSNQIRINRILTKRNNNNSISADNLRLSPKKVTNKLQCNLTL PPLIKLDKRLQRVFSNLGK >CAK70562 pep:novel supercontig:GCA_000165425.1:CT868085:147425:148947:1 gene:GSPATT00007697001 transcript:CAK70562 MNKTIERNENGTVIMNLRNLKIICDRKGLYQTPENNETIYLHYLGFDKIQNLEPYKNLVA LWLNNNAIGKIENLDELTQLVNLYLNHNLIQKIENLSFLTNLCTLNLSHNSIKKIENLQA LTKLQNLNISNNHLAGYESLENLQECLSITNLDLSNNFISYEKPILELFGKTNLGCLYLK ANSFVRECSDYRKIMIVTIKTLKYLDDKPVTVGERRISEAWQTGGKAAEQQERIAQIEQR KEINYQNYLQTLERQQRGEMRNNLLTQKDNLQREISLLQILLADGDDVQNQLEQKEKELQ NIETELEKYKIDQLTPYQCVLTTRDEFGNIIILNKSEDEVIQIKQKYFNDDIQPLSETDL PDFESGNQSNTLTEDLEDLNNSNLEQQNINNYIYEDKKVKILREVKDKKEGWTLENEQLL QNLLIFHQFDYQIVSKELKKKMEEQNSKLKYLDPEDLKLIWTYIELTKQKNHKPQNLDGI D >CAK70563 pep:novel supercontig:GCA_000165425.1:CT868085:149745:150126:-1 gene:GSPATT00007698001 transcript:CAK70563 MIRILSQKPQKKNSIVQKYTRKEIDKFYKLLYLLQDLENDLINLSDEFLVPQKGAAIEIC KNKSTDKFDGKEISGKKSCTSKKPPLCQQEIQTLDEETNQFDLDILSSIKRDRKKLKQ >CAK70564 pep:novel supercontig:GCA_000165425.1:CT868085:150821:152529:-1 gene:GSPATT00007699001 transcript:CAK70564 MSLNLQGSRKPSQVNSPSSIKKPMISSLLFNCRAPINVPNSSQVSPQNSEQNLLKRLHSH KGSQVDVTNLTKLIKHNKSPTIEAFDTQNNENSSSQQLQALLAKAREITQNKQKPQIVKQ QAQAIQTQSINQLKELFNNNLYCRNTFHFHFVVGIGGFGKVWKVEHKKTSQIYAMKEMSK ALYVYSSLYRIITKKSVNSVMNERILLSQLKHPFLVNMNYAYQDRETLYLIMDYMSGGDL RYHIGRMRKFNEEETSKKLQLQFLRVLQYVHGNNIIHRDLKPENLVLDSKGYVHLTDFGI ARFMKSENSSDTSGTPGYMAPEVMYRQNHTFAVDYYALGVIAYEFMLGRRPYVGRSRQEI REQIIAKQVQIKKSEIPDNWSLEGADFINRLLQRKPSQRLGFIGSQEIRQHPWFLNFPWQ KLQDFELIPPFKPNVNGYYQIRGLRIILIKIKFSQRMKKIMNSSNKTCLYQKIRLLKGYE FNANQSHNKISSTTDQSSSSSSKHSRNFSQQIEKQQFCESSNK >CAK70565 pep:novel supercontig:GCA_000165425.1:CT868085:152653:153531:-1 gene:GSPATT00007700001 transcript:CAK70565 MAEFQMPLSSIPNSKIYINESTMKKILLQNKGTTMNHTKGFSSMFTNVVCDLSNLETSTD SSSQKNLAGPNFAPHKKDNFRYHIQKPQANLQATNIQRVHRMPMDKKIIRTTLMPKIKPE EQPVDPGLSLLPANPIREQRSYSYKERPYYIEMQHYRKKSKVKLDPPQEIAGRSFDVKAS ILCKEEWTETQPFNILTIRQEIEKALQKCSPKKQNQEFLDPELTQQKYNPSYMFIEDDRD RFGKQIYPISMPQPRPGPGQYDTDNTSLNYKVNKIQERNTQKRLVQLWQQQF >CAK70566 pep:novel supercontig:GCA_000165425.1:CT868085:153847:154344:1 gene:GSPATT00007701001 transcript:CAK70566 MFKPTTYSELQKRKKMVIGMHMIDPSDRYHYFNLVGGNNQFKQKTSSISQQHKYENYTPR QMVTLPVTPNSKCPSFFEKSQLLVSKQVSITPRIKQVRQQTKPVLMQLKTQLMDKKGNES FRLKETITSPAKKKQNDLKKKILLELAQPSDLDDFVDYVCNKKLI >CAK70567 pep:novel supercontig:GCA_000165425.1:CT868085:154446:155735:-1 gene:GSPATT00007702001 transcript:CAK70567 MLPFLQKKRSNSFNVRKPTERNSIHADVTPLGEGKGILIIMILNNEEFIAEFIQNPHYLQ FLRYKELLNDQQIKYFVAYLQEQLIQSTSKAQTYYYCTFSQKQKKIDFINTSSREMYEVL IEFAERINKEKQFNYVFGVDFNMIHKSRFKSMMFLSDTRIDLQIIEDIQPQKITRVRTYS QQSINLIQNESSEMFDTDNKYQQLTSHKRNKEIKQYLKEQNKLKYEAKIKDQSIIKKTMQ TEKTEPQKQQTELPSICEQVFQRFQLIFGIKTINMDRDLFCQFLLLCEMTIQYSKNKLLQ TSIQKIEESKRLEVLLKQLFFDYKFLKSMNVKLQQLNPTLTRCLLRFLKQGLEYDRQNRL FWNDFLRFKQYYVDRIWIVSDFRLFLMLLNDENQSYTSKIMKAQPLNIQRTILNLEPLSL QNIVYSLIF >CAK70568 pep:novel supercontig:GCA_000165425.1:CT868085:156021:157117:1 gene:GSPATT00007703001 transcript:CAK70568 MGQACCQKLNYLPSIGQQPEPLYTHTYNDAQFLTPEQTQPNEISNASFLFNFNNQTHTLD LGFGSNVFFCEQPPQIENEIVLLTLSNRGKYKLPKELNLIDVTFYPPIVIFLLTFKQYKK TNNVYVGGWKNYQRNGYGLQYWPTGAFYEGEWKDNKANGQGRLIHSDGDMYEGEWKDDKA DGKGTFYHFDGVKYTGDWLDDLPHGQGKEEWRDGTEYEGTFSYGKKVGKGTYKFADGGVY VGDFQDNQMQGKGVYTWPDKRVYDGQWVQGIMEGKGSLIWPDGKKYVGQYVNGRKEGQGT MNFGDGTQYIGYWKDGQMHGLGTFQGKNGITSGEWYEGKLKVESQQL >CAK70569 pep:novel supercontig:GCA_000165425.1:CT868085:157164:160039:-1 gene:GSPATT00007704001 transcript:CAK70569 MKQSKVSKFFTNLTGSQIVSQVPSLTTSTLSKHYQNASSTASSGNKYLVYSKRTSRFLLD STKKLPLFSHMMNTETQDTPKTVTVKKRKASGNSGDFRIVHSHQRNKTETLANTKNEYVS KLDFPDQQFQEVQQPNLQLDIQQTVKTPQLIEHAGEIQQISLTSSRTENITHIVKPQIYQ EEILRENYEFSKMARVYHKIKRTQIYQQITEIVSLNEKSCHLMRKLRQMLHTHRDKQNLL ELEIKKKKDPFIDSQFQKTLSNMPEERTPKAIESKFNFKLSTITKKQTKIHPNLSIQETE SNLPIKTIIDALKENKEDKIEKNKIDKQLMIQQVQKKNIKVTVAFEENEKQIEFHMPNYI DDVFQAHDQLTDVKDNRKSNIYSLVSKSMLQNKFALRLVKKFGDKVLNTQQDNDAIENFN SFKASQFARYYLQNHVQRCLEIFYEPQQDQEEKQNKNISKQSFFNSNYYSETFQDGSNKT SLQYLKLNKQCEIDTLFYTVACNHQGYPSDNSILSANISQLDISDGEIQTQFKINYISQI VNLISLNDLKQQKLRVIVNIVDDETKNQFLHEDLMRFPEIINLVIQNEDDSENNQSYRFL IIYINNYCKLTKKVQKLNFPKNTITFQQDYLKNINTIKQLQRKRFTFNCVLKMTQSQQDL MIDNLNSKSRLASPIGSNESKLTVKEWNPLFAKGMMLKKNQKSKNLLGNQKFSSSSQNIM FASSKNFQGLLHTDNKSNPNLQQFQCSEIIQNQQQKEVEQQIKSKSEQQAKSKSSQGLIS IKSNFITQQSMEPTSLLFRSMLLQESQQNDKSNIERAFSLIEDHRLQDLKDLLNHDQNIN INTQDEKGNTFLIQAARTGAFEIISFLLRQGAEITIKNNDGLNASQIAIIHLQFEAADEI NRFSRSNSFISH >CAK70570 pep:novel supercontig:GCA_000165425.1:CT868085:160172:160564:1 gene:GSPATT00007705001 transcript:CAK70570 MFTNLKYFFEIWKQSKMVLIQLVLLKLIVQNNTYFFNIINCQLEFGQRVIKQLNNSLANM GNIEMKEEAITTQQECHHCKGIGYVTQKFSRKYLDQELSPTQKRERDQCLDCLGKGHLIL EHYDEKNFTL >CAK70571 pep:novel supercontig:GCA_000165425.1:CT868085:160816:161688:1 gene:GSPATT00007706001 transcript:CAK70571 MLAIFIIILPLSNSQKCSCNEIYIEDLCQEARGCAYDAKSGFCEEIQCIDRMIDDCFYFA GKIRCYWDNKVGFCQELSSCEQFQELAEKDTYKEYCLDINCSWDYQDQICVSKSEQKLCQ QYDAKYCLGASQTVGLKTSNCVLNGEQGDVCTAFLNCEDITYKESCDLESCKYEDGECKT KDCKDYTISTCPNYNKISGQQCYPVSDTGCSEFLCEDFAEITDCQNHPRCFWSQDLNQCY QQACDKATYATQCLSFSYVVENAECKWENDNCYSCYSIILYNLLFSYLLS >CAK70572 pep:novel supercontig:GCA_000165425.1:CT868085:161696:162445:-1 gene:GSPATT00007707001 transcript:CAK70572 MDQSPTQKIQLPKSNQYKRQSTIEYPIVYHLLSTYMLTVQKNTKLNKNEKQIRLVEMGKR LGQNVMESLASTQFEKIRLNPEQGKYTDYVKFFGKDFWTYMFGQPVSKVQINKAGSHYDI EDRYEEQKKGNNTITKLGFQMTRRIRLNDKIQQDEYLSLWASFVQGLVVGGMKALTIDCD SEVEFINNEPSDLRFTIQIRDFLSSKSNFLI >CAK70573 pep:novel supercontig:GCA_000165425.1:CT868085:162477:163549:-1 gene:GSPATT00007708001 transcript:CAK70573 MKQNISNLKENTQIDPRSSQQSFHLLKSKPLITFTPSQVNKPQNLIQSLNGLSKYFKKQD DFKMINYFAPNTIQRSSASLSPRQIISINLSDNSPVMMKQLLRSQNYLKENLNPKDGRNI TEFKSDKKLNKVNDTSSMDKFGIIQKSRIASVQYNEDWKLKYEELQESLCQRIQQLENEL ENMEKNRKIDRMHSDELTNQYIEQLQSIIAEKEQVIHQMDQKHQELEQIIQKQQEEFQKY KQDQFCDNLEIKRLYLIDKQFHILKQQLPVLASALRKIEQILDTSQLQLNDLSMSSISLF EIINDINEMNQGIQYSIENQNLVYEQLNCLVENRSLKFSNILYKNKLQ >CAK70574 pep:novel supercontig:GCA_000165425.1:CT868085:163730:169544:1 gene:GSPATT00007709001 transcript:CAK70574 MLIFFVFACLVSAQTQVKVTGTVMDSQGINIAGVHITLFQQQQTIVSGQTKDDGKYELIT QINQVSNLFVEASLYGDLQTPQRYAANPDSNGNIVQDFILTVDDVTVNGPAVLAKINGQY FNKNAPLNGGASYAPLEGVKIDYSIEYILNGKHSYEFASASNTQGLSKIFTVYPKSAAIL KFLAQSENFWNFRQTKDNDENFVLIVTNQYKVNYQVIMEEGVFTQTVLGTVVDKNSKKPL KDAKVEVTFSSESKGKLSSQSLLTKDDGKIEVKYISKLWYKFTIKISITKEYYALYEQSK TIEMDHDDRTKNLDTFQLGMIEMPVQPSVTLFGFVLDPHLKDKDTNLKPVVNLPLQVIAK FENLIEKFETQTDSNGQWTKDKLLLQPSAKYDLEITYTNSQKEKFTDKFSFTTTLDPVQK IKIDNLYYQDVVNAALTGKFSEAPEKMQFKIPYKITCDTLDNKLNLPKEILAQTGGDEII DKTWSVEARGDKDITCSLQQQDSAQFIQPFSKQFILQKGIWKADLKQIDIKYNLFDIVIG GTVLDKAQLVPFISGANMNFVVYQKDETLHTLQREFNIKSDEKGLFSLKFQIGKGEKSIV KLTVSHPDFIDYKKEELLIINGNGPQTLNGNSITLDRIEFTDVILHYKIDTLEQPATLKL NSCSPFMEVDKLYSPKYDSNDGSFKIQFACYQNVIYKATLEITIKSFSAQTIQSHQFTCV KPTKEKPEIELTSDSLFIKGNLIVKFLDVNNRPIKGQQATFRTEPLSQVLTQTSDDQGTM KFVDTKLFKNQQYTGLLAYGSQNAELKFYPKQDNFEEHFQDQYIGGQVQYKVTGKVKLCR GQLVNPLQVNVKCGKYPEQTGKTDLEGSFSISIQAVGNFNDVVQCTTKISGDVDYSFDST FTKGTYSVYQDVSVCLKDIKLNVLGKFIDPINQPKPNLQITLSVVFQEDQKPIPNIPSLK TDANGLWDLKDQIVKANGKYKFILKYKNGAGDEKTIEKDYQTSVYSVKPTSDYEFPNTFY QDFEQCHVFGKANDYKSKNIVPAALPVVLTCDQTLSKDKKPIKQSGKIGFDLQYDLKVDG LVEYDQPIQCQLKSENEAKAKFNQNIQLQGPKWEIKQDLTIQYITWLITLKGQVLDPLKI NTNLKDAIITITVHQPVPNKLQSKLRGRFHFDVQQTQLESVNSKEQGLYQIEFQTLNQEA LSIDIAAKLTDFNDFKQLNALSIAGTKNEELTFNINLQRIEFSAILSSSVDEIGSILFKT SNPLMQEDKDKKFYGTSVVLQKGKPFQFNVKCNQNVEYVATFILTNEHQQQMEYMSDPFK CKVAPELTVIKIKSTNDKFLLKISGIIKDKEKLFDGIVSGATVIINLTKNTKVVKSIQTT SNNKGEYQGQFEVNTDTYQYEIKVTHPQFKDGDISDTIVFSKNEVVTRDVILERQTNTQK ITINLQDSKKKPIKNSEVGTSDFKPKSNDKKIVKADDTGKATIEVVCFKDVQETFKLEIR VEDLRETVEQTISCDGKSQDHSIMTQLQYVNINGNVIDPYCKGKSDLKLNLDTTPETQKV KVNTDKKGDWEGELLVKLNQEYSVNVNYNGWDGQQQSLKEKYQIKSDQTSLDFQKIYYSD QVESSVQGEVVSSVYHVSLKFHKISLWFDSKEVKESEIDEFSEYEMKFKVVAQCNKEYEY QIQIKETQHFERKTVPLIIKPPKYDYKVDIVVEETKAYINEIKNFFSKFLISGYLESEYN CKDKLFRAVQHAQVKIVKKQESGDKVKAATHTREDGKFQLYFFLSKSQVKNNIFVWLHFE KEGFTTPYPLLIIPSQYEKFQMDDETITHIDIGYQSISPIQDMGCPEDIPKISNNDQSTI LIDYIKMGKKQLKGNNN >CAK70575 pep:novel supercontig:GCA_000165425.1:CT868085:169572:171700:1 gene:GSPATT00007710001 transcript:CAK70575 MNQKQEKKIDNITLQCNNRSEIRTQKHGGRRGWRIIGGQSEFGLRRSYKQKFWPLEQVQR NFKEFMRQTSQIEIEMDNAKERIDFPADMEWPTEDDLDQMFQKSMSKQLLSQLYCRIQGR QNKKKWKDNEKQFLTWIIIRYCLWKTKVCKELNDQDWRYIAQLIVGRNATQCKYKWLARS KFKLVQVPWSKEEDEALAQIYMEYQKQGKHSKWSEIAKEIALRCKTQIVRQGKQCRERWI NKLDPQISKGPWTKEEELTLLQLILKKGKKWSEISKIMKNTRTENSLKNRYHTIMKKERN KQPEVKEEIQQEIVDLLQQHNHIYQADNLVHLYEDIDPKELKIILQVIDKLSESTGKQIR VSTLITDQQIQQEQLYEKQQKVKQESQIDQIQQKNEEANNKQRFLDTMEKIKIDLDQQIK KLGNKQELDQNCTINLLKQILKPQETETNQLQFDQYYDQMILNFQANQVEFEVEAITNLT AQDVEDCQFGIVDFEQSNIFMIPQQYLQEVINKVHGSSTQAQVQQQQQMLQHQQQQQQQQ QQQQLQQSQQQQQQQQQVQQSQIQQQQQQQRLTISSSLRQSIPQSQQAQPLQTSQLFLGD QFNLVNSQYDFENQQQFQQQIPVQILYPQIIYQPIGQSINLSQGLQQNYWMQQSYFQPQY YMKAQDQQFNKSNLEEPK >CAK70576 pep:novel supercontig:GCA_000165425.1:CT868085:171731:172072:1 gene:GSPATT00007711001 transcript:CAK70576 MQNQDKQKVIETGTSKVAARFPFTVFLEQGIEYYYCTCGLGSTQPFCDGQCEGTGFEPVK IIPKRSGNALLCGCKRQCDPKNPNCDGSHSVVNLDW >CAK70577 pep:novel supercontig:GCA_000165425.1:CT868085:172369:173967:1 gene:GSPATT00007712001 transcript:CAK70577 MSNKQQQAKDYLTACFQCKGFEPFFVVTKTSLLRILDNMAQIQFDRDLANELFERCPTNF KGLVKIEDFIEIVIQADIVLNEKINKANQFIVKQTEELAKLTNKNRSQVLQIRVIGAKNL HQGLINASNKPYVVVHFNQEKKSSRLAHNDILNPIWEETFVFPIKSGNEQTYISILTLDS TTNKSSLIGEVHFGLRELEDQMKHNQWFNIYDRHGTMSSGSLQLELQLLLDESMFYEQAQ QVMQQQILNQQEEKNQYENDLKILYAPFQNGVLQPKRLIVPDAPQLMFVHEFSKPIIYQG DKKVDLTVYKTPQLSDSRVLQILNQPPESVTTVHPEIDYPARHDLKQKANYGYDHVTKDM KLTAIFIVLYVLSSIYLCWVKTDFVNITIALCTSLIVGLNYFEKNHLNIFAILIILTLLY DVSWLAAYSGVWWNQSKSENPQQESELITSQRITILVSYFVIVLKIALSCQLWNLKKNIE PLNNHKQFGIGTHLYQANGGHINPFIQ >CAK70578 pep:novel supercontig:GCA_000165425.1:CT868085:173988:174449:-1 gene:GSPATT00007713001 transcript:CAK70578 MIQTQQTEEETFNQITKNINQVNIDNEGTFKYILILLEGENNKEFYYVRGLKQYEFHAQN FEHFCEEAKSSLKWSEFKYNIEKGTIKAQLNGHNYKFKCVGGGRIKHSFVNSSIEIYGYS QSYGQCDHKLSLNVIRTVYPYQDKNVITRNDGY >CAK70579 pep:novel supercontig:GCA_000165425.1:CT868085:174486:177234:-1 gene:GSPATT00007714001 transcript:CAK70579 MSIFESQNAVEEMRNNIGRQQNLSNIYKEVGSPSEHSLYFSPSNSLMMGSKSKVLINKSI ENATTQPLGLTRVWKSNGLIIITMIMRFLYTLKLQTESMKYKLLNKRIFTIIQDASSDYL NIVRYRNNKYHIKGRSELQVQYSLFKKQIRSIFEYYGGFIPIFQPDQRFIMYYEFCFIIS ILLNLFYVPINISFLNQDISILFDAFPAVLQILWILFQFNLSFYEDQIMKKSRIDIASNY VKSYFLLDLLIVVTTYLQILYGYFIPLISVFLRIPLLIRVLDQFEYNTNFKENLAAVFDL IKLIVMLIFIIHCCACSWHFLGVYEMEHYAPGKNWLEYYSISHQSWIEQYIASLYFSVIT TLTVGYGDLTPQSAYEQLFVILVAMSLCGMLGYTISNIGEIYRSMNEKKQQYKTQMKAIE QIIRQRKLNDKLSIKVRKYYQHLFQQEQQENSLGEQLLTKLTKNLEEEVMIDSYKTILMN SYLLRQFKDSTVEQLCTKVKVIKLQPGDEVVKTQQFADHLIFLLSGELTLLGHNSRQPIV LKQLTGGTVIGEQEFTEQGYYDYIIISQGYSKIAAISRASFYEILQYDRQEFEKMCKIRD QYKFSVRKKNVIGRTCEICGWTHGYLQCPMTFYQVDKMKLLTHHTQNEDQERKFYKDFRR CKKFNTLTELLQIQNACLDFMISQKLVDEIPNHDQNEEGTQKMRVNIQDLESSHFSSSRS IQEDIKGNVNTIMKSPTNSSRFQLICKQIDQQREQLQQQTFARKNLKKQSLIVEHNFKRK EKEADIKEYLDEIVEKLNIHQKEKEKSIVTAHSKISTYNPDLEFEVMQSYKYYFPQFNID NILERVNLSYERFMQKNKKNPIRIFVNRRKLKRKIMISD >CAK70580 pep:novel supercontig:GCA_000165425.1:CT868085:177322:180797:1 gene:GSPATT00007715001 transcript:CAK70580 MNCRFDQEIDTIQQNPINPSNSHSSKQKNYLCNLLNIQQPINKEQDKYSDNIKIQQKSNQ SINDVHNRHSFKPRMSTTPNLEEVERIDRISNQFQQTMAKLEEAQELNSTHNQDAYLQKV VIVQSKIRSFLMRRQYLNLKTQFQYRKRVLNELFITEQSYCQALTILINAYLKPLREQNK LISSQVIQQIFSNVEAIQQLSVDILEIFRKKQEQFTYYSLVADIQLQQHISFFKIYSTYL VNYQKAFSVQMKLKKENKPYKQFLDAIDKQNQGKTLESYFLILPVQRIPKYVLLFKDLLK NTPQDHPDYANIKLVLDKFEQVANQNNKSMDSLLKNQKLFDLQKQYGNFVKILEQNRQFL REESLHMYFTKGAQIKPVIVYFLSDLILVTERDQTKQEHNFKTYIKLNYQSKCQQLPEMY KYKYLFQINGVDNSVIFVVLSQNSQQDMQEYINLVNQEIKELQDKKAEREKALQDMIPEK QKVNQIDNVSVSIQIIGTEDVIDATNNKFTQYIFEIDINNNITQKIYLRYSLFKKIQQEV KTLFPKIKISEIKENSYFDRNEAAVIDRRKILMIEFLQILLNASEFKENKTQNQLILALL GLPENFYDLPAILVKQYRQSIMGVGINFRASKKPASGTVDFSQNSVSFSSNENSNFTTMI TQISSPREAHKQIEIQSSALKFSDQKTEQTTGQVAKDPQTPVRGLRAASMKNLRKISATQ LLAVESKGNSRQNSVVDKEFLNSIQVKIKVMIFLSFKQVEKEFFINKDTSAFNLMEEIAT EIQLQSAYDFRLYYIDNTYLKPLDNDERLWNFLVRFDEPFGLFKKSQKVPLYNGKLLQLR KHLYVSNKEETDYYTQDLVRLQLISYQLFDDISNLRLTLGPKDHILYTAFYLYINGHKTI DPKKIPLNEIKKFLPPIAIKIITQDEWSNFLPTLVQNFHSQIINEKQNQKQQMKSEYNLR NNQNEMTETHFALLVFLNLLKSKKFFGVAKFSVKPEKDNINKIMELSTNYWNKKKLQDKS LQNYNTENIKGVSSLCLGVGYNSLIVLSSFISSFELIEIGFDEIESISSLQTTLSIYLEE ATKQSSNQKSNQNNKEKLCLKFETEKSYQIKQLVDEYFKLQMENQQQTDEYI >CAK70581 pep:novel supercontig:GCA_000165425.1:CT868085:181534:182200:-1 gene:GSPATT00007716001 transcript:CAK70581 MFVMVKRKEFKEEIKKANQQINLVERKAIIQDYFMQVDPILKKCQMNTVIYKKKHREHIL WRYNRIYDKINTQSNHFQVRNLLPFGPRQVIQTNHPEFPFIDQNRYITFLLLAQHDKELF YQALSFLLGIVQKWKLGHFYQRLIISEVKVCHRNLRSIDRQFDYYLGYLKRMFILNPSSG IKFLFQQIKTFLNPQTINKISSLSQRNQIIIRIH >CAK70582 pep:novel supercontig:GCA_000165425.1:CT868085:182333:183202:-1 gene:GSPATT00007717001 transcript:CAK70582 MTRITSILLLSLLFVSSQAYFQDKEHREEAKSFFLNFISEFTNQYTAISAEGLDYMGAMK LALDYWITQIRIHNFAQLKVDYDVNHDILEISAPTFNIDLVVENKYTIPIKIEDLFVSII FNIGPTHFRCSEVEIDYGSVSLDVNVVIEYITKQLLSLDIIKSAIKKSEIPIRNVLVNAI RSNHQRLNGLVNELRTGSLNPGVLLAQLPNVPFTRVENGEYIINIRQIFSFESQKEKEKK DEL >CAK70583 pep:novel supercontig:GCA_000165425.1:CT868085:183585:184485:1 gene:GSPATT00007718001 transcript:CAK70583 MQIEENLHHDKKEVFLPGDLLSDETGFISGTNTYEEQGKIFSSTTGTINQTNKLIMIKPI KCSYQPQIGEIVIGQIVQIRDKKWIVNIGSSSEATLHLNSTYLPEQRQKTEDDEMNMRQI FAENDLICAEVHSVNNDKSVNLHTRGLKYGKIEDGLLIKVNHYLIVKQKRHFIKLNNNVN MILGHNGQIFLSNQDRTTNNQKVIYCQNYTVQQRVDIANTGKAIKFLQQNQYKISQISIE KVLNYISEKKPQNDWIILIEQFFLEQKTKQQQDQ >CAK70584 pep:novel supercontig:GCA_000165425.1:CT868085:184754:185874:-1 gene:GSPATT00007719001 transcript:CAK70584 MKLALVLLALIGLTYGISSKISHAKKLEELQRTKLGQAILNLVNLHSQVQGPIQELVEMI EELIQDINNNMEDVQYTFLMRTNEHNSYIVQVSQQLQDADSDIARMMDVIDNLLMPRKIQ ITTRIESLIENDEFNRKNVDEITLLRDQENQAYQQQIQEDNEAIDAADDAINLVSSLSNP SLFQIKNIKFTLKRLSQKQWNRVNQGPMVLALLQIALNQNFADSEILKQIVDALNEFRNQ IVDAMNDLTKQEQLNYEEFLERLDQLDVEHLEFQKQINQAQVDLDATTQKLNDCTQFLIQ READRLQYQQQLDLENETYVVDTDIYNQNFNQLQAELPIAQQGLSLIKSADFSDIKI >CAK70585 pep:novel supercontig:GCA_000165425.1:CT868085:186175:188337:-1 gene:GSPATT00007720001 transcript:CAK70585 MNQAPVIQVNSPINQFVSPGFKGLNEDEKLYAYHFARASWEGAKICFFQRSYESPGLFYI FHKIFSTEKPQAVRVRLLQNGWSEDEVQQLFVYIAAVLQNCGNFKNFGDSKFVPEVSQEK FEQFITTSPGYQVDQVWDSIRKYIYNYEKPYGLIDLQDKNGSNSYYSNNLSGELLEAVDK YLIAQGVSELNTRVVKVGDEIHVLVASVNEGEKDLGEVHHHKVKLVYGDFAPFLNSVVTH LTAALQYASNDNQKNMIQAYIEHFKSGDVELHKQSQRHWIKDKGPAIETNIGFIETYLDP LKVRAEWEGFVAVVNKEESALLNGLVDKAEDIIKYLPWPKEFEVDVYKRPDFTSLEVLAF ATSGTPSGINIPNYDDIRQTEGFKNVNIGNALGKLSKESMNFLDQAEQEIFYKYQTEAIF LVIALHELIGHGAGKVFMKDKEGNLNFNLENTVNPLTNKKVDSYYNPGEQWHGKFGEFSG AMEECKADATALYLTTYDDVVKLMLPNRSEEERKEIVFAGWISIVYRAIQGLEFYNPEQK KWGQAHSLSRNVILQSLIREDPDIIKITETQLNGKPYLHVKFDQSKLYTTGKKAISNLIL HLQVFKSIGAGNEGVQFFSNLADVNDQFLNYRSIFIQNKFPRRLELQPNIILEDGSVKLK EYESTFAGIIESQVEHHQENIETTKEFFLKVQKLFQN >CAK70586 pep:novel supercontig:GCA_000165425.1:CT868085:188424:188852:1 gene:GSPATT00007721001 transcript:CAK70586 MSEEQAVDFTFSSLLPTAEKLMTWLTYSTVIGLISFPLIALTIDQQFAIHFWILTLLNAG LLFTLLYLKGQLSQIEREKKEFTQDESKKPQKKKKSLENEKDKVAQKAKKESQKNNTKNF NKSLGKQKSFQQRK >CAK70587 pep:novel supercontig:GCA_000165425.1:CT868085:188884:190003:-1 gene:GSPATT00007722001 transcript:CAK70587 MTESIQFRGPLEVEGPGTHSIYLDDLDDDDNYMRPGLETTGLQNRKQKDQPTKKSLYFAV FCFFAGLFYFYLTYESPITIHAEYATKTTFGCNRQHSWTKQGCEPNPIGCYLSVEKSSYC SVCEPTYHLSLNGTCVEECKESNGQFCTSKNNTIVSNIYKPKNSSHSQFEIPYILIHHPD SAGILYVSDDNLFKLEPFLNLNYTVVKFEDYFLLHNQEFHPAFKDFQSFIENTLLDGKSI KKNYVAFQDKSQGLLYYLASSNITDPIVLVQPALLESTQFYDDIFEIQSFEKLFANRTFG VTVLHTGSVPDQIKCKKPVRLMPEVDNLEDKDQKDEGEVKTIAEVDCLQVEDSQIIEKIV HPN >CAK70588 pep:novel supercontig:GCA_000165425.1:CT868085:190015:190799:1 gene:GSPATT00007723001 transcript:CAK70588 MSNQQQLKEELQLYRSQLSKLSVERDQTVFELNKVIRLVERLQIKITEKDAEILMLHTQL DEQEKYHQIQLEQVKQHYEYLKESAIVRLKSEMNFDSEIMTKEIQETKQLYQEILVMEAK RKSSCSQEETHCQSINDYQKLFTEKQELQQLVDKLQRVKDSQECDQSDELIQEIQYLREQ LQKLTIELETAKQTINVLQVQILKQQDLLKEYYSNDFQG >CAK70589 pep:novel supercontig:GCA_000165425.1:CT868085:190802:192938:1 gene:GSPATT00007724001 transcript:CAK70589 MSQLQDLIDFSNEGLPQEYLKKACEEFEKANKDVLVDQPKSNFISNLSILLIGLPKVKDE MKQKLITALKGFLKKNNYIQFVDIELNNLGQALLSYDNEDQAQQAVEQINNQNFDAKHQL LAFTQKQINTINETKEYIPIILKSRQELLAFHKDPRAAVTIIQEKSKLSLKWLNPLEKKL EDNVNEKKLPPYDKLQWSPLGQYLVLFTENEFSLYGAQDMELLHKFEHKRVSELLFSPDE LYVISYDGLQQFIIWNIREERQLRQIVQEQSSINSFKFRKDNKLLAFQAQDEIQFYEAPE FYQKTQLNRKAVGVKQIEWVPQTNYLAVVCYGKDIKTQIYLHDYQRNIDVKWRNIAFEIE SIQIFVHTYGKWVATLIKKKQKNKVFATTLQVGNLSKTDPFEIDEHTVNEDVENIFTELN TGKFALSYREKDKQQKPTQQFTFHLYSVLEDPKKGIKLCQLGDQKGKDTNELLWASNGSY FAMVNKTKNSPDQGKVEFGQISKKNEQLVTEITKSTNHFYMSHAQWDPTGIHFITMSDLW HSVNIWSSIGEQLVKDTITKVTDIHWRNKPIHILPYKEEQELQNNSKQFTKKYENEDDKI LNKAKHEKEQKKKEMLNQFTQYLNEKRKIYDDKKEIRKKLQGWDEEDPNSYVSYKKVIKE EVVNS >CAK70590 pep:novel supercontig:GCA_000165425.1:CT868085:193882:194682:1 gene:GSPATT00007725001 transcript:CAK70590 MIKIFFIYLILVQVFGDVIINLDLQYQCDCESLLYASECKMKKCEWTNDGKCTTIACEEH LDRNSCKSNLNCAWYQDKCSTFTQCSSYKVDSSIDCENLSSTCHWSTFNTCVDHEPSLTC QELQHLNCDVGKQGKCIEIQGICKEFTTCEDAAKNEALCKQASPACYSTFIDGNHYCPSI MTCKDQLQFGCVKAKNRINGYEYTVCEKESEEQCKNWDIATANLESCVTKTNYNYHWVDN ECKKCEQSPPLAGFLISSFLIWILME >CAK70591 pep:novel supercontig:GCA_000165425.1:CT868085:195063:197178:-1 gene:GSPATT00007726001 transcript:CAK70591 MTSFVQSTFAISAKPNFEFLQNQIESDSDNEEQKQRLQQIHENQKEHKKFKKLVDIDLVK EELKNRPNVEMKLSSIQKKKISKIKSVRSLNDLIIPTSPILIDQLGNLKKIKEIRSEVPP ELMGRIYSELRYEFVPALNPVYRQGDQNKKFYIILEGKVVVMKPKLKMVGSSKIEFDDNL QQKSQGKNDDDPFGLKILFPDYIILKILFQGDSFGEAAIKLDTARSSTVFTLEDTHLLYL NETAYLEYLSPYLSIALDKKIQYFGQTPLFQNIDPEDYMGIVLESKLVTMKAGEVVYEEG EKTKYIYFIISGEIELLKQVKKKSIILSSYGEFQNFGEVEIMMKINRYTKAKVISPRVNC YRIRKRIFFDNLGSYGTYENMKKHSGVIYKHWQLICNAVQKSINPRDEVYEAAQDDNANK PNLLAKSILNKKLIESQGQKLSQIKVFQNLTDSNLKDLKNSNNDSHNLLQRVYSNTLQQY QAKLLKKPQMVICEQDSQCIRNQNQNKQPTNTSINYDNNSTTSPLNENSVDLKLSSNRSS VIIKRESQQKITLPSLHPSLHLVGPQPQSLNTVLESISKLPRVPKDNLVLSLMYQQAYKA ENPAKKAKEIQQVIQASYRNVQNRFQPKQHHLTEIQPLSNEHRMKKNLQISGLLSMRSQK KQYLNFVHPKRIVGGNQIN >CAK70592 pep:novel supercontig:GCA_000165425.1:CT868085:197620:198045:1 gene:GSPATT00007727001 transcript:CAK70592 MKLRQIQYLFSQYHIGKLFKLERIFTEEDVRNYANLIKDFNPIHLDKYAASQSIFKERVV HGMLSASLFSTLVGTNFPGCIYLEQSINFKAPIFLDEQILAQVLIQDIKHTKGRQILKLN TTIEKMDQKIAVTGQATILIN >CAK70593 pep:novel supercontig:GCA_000165425.1:CT868085:199371:199752:-1 gene:GSPATT00007728001 transcript:CAK70593 MSKKDLLRCEIEINPSELAITKLLNPKPKFEFFQSSMLEKIQHFLPEFKKANEQLENTDN LKQFQIDDQNALIRRNIKDLIPDPKLRKYCRRTGRQQKMINLDLYMGVMDTNKDFKE >CAK70594 pep:novel supercontig:GCA_000165425.1:CT868085:199975:201831:1 gene:GSPATT00007729001 transcript:CAK70594 MSIQKKVTKLTKQQSEQGKFQNMYYEVQLPYFNPQSNSLFATSLLNNPSLLNIYQQAMSS YLQGYYKQAIFFAEKLLCLSQDSTALPYLVFLLGMCHFANQEFSGVYNLFLKHKLTQGDF AVLGARALYSNRQYEMGIEILQEETSSQSDWVRGQCYEALENKQLAVSNYFECLQKTPTN VRVFQQLVDSYLISSDEKENLVQQIQLSSDEAWLKDYYLSKTINYDIVNSKLADHLQEEK RKIVQQLQIVDKVETQQLKPSPVRSPYIRKEEIPIQNDLIYVALEKKNNIDILNVKAKKA YYNYDIASAYDWSLKAIKQDPLYFEVIPTYVSCLLELEQIAELYYCAHNLIENYAQNALS WFVVGVYYFSTKKYEVARKQFQKSIQLDQHLIYSWIGLAHSYAIQDESDQAMSIYRSITR QFPGCYQAHVYIGMEYLRTNNLQTAILSLQQAKDINPTDPMIQNELGVIAYKQKKYNEAK DYFLNALVFCQNSNHKIRESALQNLGHTFRKQKDYKNAIQIFEKCIQLNSVSPQIFFGLA FSYHLSELPNSLSKAIHYYHKSLSLKSDQTFVQDMLSKALQEAADMGLSEYIN >CAK70595 pep:novel supercontig:GCA_000165425.1:CT868085:202143:202972:-1 gene:GSPATT00007730001 transcript:CAK70595 MVYKIRNKSFFWTRAGWKNNWHPKNFNAPRPSSSEFTIGIRCRYDHNSFLRAYHSYRKIS RHCKQYFFGNKELEELFQMGLRTFFIVPHIAECQVTQIKHGGERRMVDQIDRDFELVSYN SHPYQLFTYSVWNQYLANQQEAYEQRKNGGQAIEDQVIDHISELVKDEKAKLGAGKQLSI ERTAEIVMNVMRQLRAAQQRPNLNNRRADGEFDDFLEQRRPFTAPNNQSATH >CAK70596 pep:novel supercontig:GCA_000165425.1:CT868085:203775:204207:1 gene:GSPATT00007731001 transcript:CAK70596 MGKKEKGKPNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIVRFAQKNMLTEDVRVDP QLNEAVWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDSFDNLKTEITKQQ >CAK70597 pep:novel supercontig:GCA_000165425.1:CT868085:204210:204771:-1 gene:GSPATT00007732001 transcript:CAK70597 MFKKLEDLSKPTLLSKTGVKELKQSFNDQYPFFKEIEDEVFPKKANIQSFKLRSEYKVEI VQVDKDYICFTKEDLVIPHLKLVHKFPDLLIKQQVDAGAIKHILSGSDVLAPGLISQGGQ LNPAQKGQVVGIYGEGKENAMGIGIMTANSDDIKKDPKGHAIQLVHHLGDALWQLSFK >CAK70598 pep:novel supercontig:GCA_000165425.1:CT868085:204809:206394:1 gene:GSPATT00007733001 transcript:CAK70598 MQSWQTCALSGELIETPVISKVSGHIYEKRLIEKHIESTGTCPITGRPLNIEDLIEVKVS RVQKPRPVTATSIPSLLSLLQNEWDALLLEQFQLKQHLEQVRHELTHALYQHDAACRVIA KLIKERDQARIELSQLQHKLNHKIEVEANNAPEKLSSNQIAEIEENAIKLTNQRKAQRKQ QSYFDQFPGPEILSNYDVKQEYQQTQGGTSLDTKDNYVLVGGQAGLIQLYSHEKLVYSAQ EHTQSITQVNFFTYDEHLRFVSSSQDGNLKLYQFNSQSQEGQVTQTINIGQSVTGVAIHP LGYVAIIVTTNGVLAYYNLKNGQQLSRVTDFEGQCQFTSISVHPDGLLLAIGQADSQIKV WSIVKSQLLAQLEGQEGAVTNLSFSESGANLASSSSSEVKEWDLRRPGQFSKIYQGQKIV VLGGLSYDPSGQFLAVGSNKSIHFFDVKKKQEFCKIDSHRDLVTGIRFGQLSKNIYSCSV DKSVNIYGN >CAK70599 pep:novel supercontig:GCA_000165425.1:CT868085:206434:207813:1 gene:GSPATT00007734001 transcript:CAK70599 MYQRTSISHCQLCKMQAMKPISLICSHTLCFKCAQNISIQENTSQIQNKFRIQCPLCRRV THTYDINNLLMENNELNLITDSSEMDREILNESQLQNDSQHCRRYSNFDIPNKAQNTAQQ KMSELLTQTNNAMKLMNEKPKQNNTTVGHIHKQSATVAVIPDDSIFLFELLDRSKVQLNQ QPYGSQFQSQIQKTLIQYQQQQQELQLQTQLVNKDILKPNNNTPNASPYSTPLASQFHIN FQNTDNKKQAQNPLQFQPLKDKPYYFDDKKYTQEVKENQFQKDIQKEITEPSRHKRSATG VPNKQLTNNNISIINNVPQNNSNTESSEQIITQYFQIIQKGLQKLEKDTLMIIKNKNVHP QALYQKMKQLNQTKDQDGELIQSIKIILKLQTELNGLSTPSCHRKTSSHASHRYSNNTTN TKSDHSSTSILQQEFKNLKKLF >CAK70600 pep:novel supercontig:GCA_000165425.1:CT868085:208994:209312:-1 gene:GSPATT00007735001 transcript:CAK70600 MSLHSSFGYDSLRSVIFARAIKECEKIQNEHYLSESGSDFKSSISSDSEKHLFERESSME AAESQMTLNNQQSTLSQFNTQQ >CAK70601 pep:novel supercontig:GCA_000165425.1:CT868085:210403:211974:-1 gene:GSPATT00007736001 transcript:CAK70601 MSKRNNSLVERKKSKSKLKTAISQRKNSDSYDNNFYQEFQNFYSQAQNTTFTKFEYMKEF FNLGLTQFPTDEEINQIQLEILTTSGWNSNLKKNWTLNEKKVLIWLIGKLSQIRNEDLRD LSTELFEEVSKMICRRDKEQCKQKWAQMQKVALQQQPFRPEEDQKLYEIIMKYQSADQGQ KWSLISQELNQNSSAYRSSKQCRERWLNHLNPKISKEPWTDDEDIKLLQTVKEIGRRWSE ISKIMDGRRSENNLKNRFNSLIKREKDLPYLQTYNGSATNLDDLLSGCNGPEITDLQRQA IDAVLAKLKWRSAETQNKSNRKQSIEISEQQQSKQAFKQRNQPTVSYTIGNIEQEYNIQE LIPCLVNVNKNIIYFCTNETLVQFHEINQQKQNQFKEQFDRIKSELHIFDSGFHNFKSTL SMIEEFEESQRSHLNFYTNDMDGFLNLETPETFSKPIYANPIEIFTHSAMKCFHRWKTDS QLNDRRRNSIPIPRSLPNLILNYT >CAK70602 pep:novel supercontig:GCA_000165425.1:CT868085:212013:213602:-1 gene:GSPATT00007737001 transcript:CAK70602 MQLEKESKQSFLYQLPLQKTWVLWLALALACFLLFGDAYAFDNPMALQSTIQTEMNLNNV QFNMLYSIYSAPNIILPFFGGILIDKIGVRVSILIFSSILILGQTIVVIGGYSFSYGTML AGRCIFGIGSESLNAAQAAIMSQWFQGGQVSLALGLCLSIPKLGSALNSVVSPQIQANHG ELGFTFLVGLFIVIFSWGCGLALIYLDKKNEELMIIWRELNPEDGNPEHKEAQPSAQEMS LQIRKSESTESDRSVSLLEEEDDDDEEEEKEEAEAHEAKEEIKLSDLKHLDGSYWILSCI IMLSEALFVPFLDNGNAFFQVKFGFSQQSAGVLLTIPYVFAACVTPFVGIYSDKIRQRSL LIVLTTVIFIITHLCLLLIYCDTACGVSALPLLSLGICYSFYSAILIPSIPLVVKSQMIG THKHTIIGTAFGLLGVMQNTALALFPLITGSLYNSHLINEEGQVDPFQGYVYQSYFFVGV SCFNFVIAISLYVFDKNGSKKLSRLKAKSK >CAK70603 pep:novel supercontig:GCA_000165425.1:CT868085:214372:215579:1 gene:GSPATT00007738001 transcript:CAK70603 MQNFIPRYFYYQKLSQHMKIRKTLDRENRKPKKWLQNYFVPFIPKWTQRIVDNKKKSIFF LLCLGLFTYYEGFQCKIAFLLFSDLHHKILYREPFKSKLDRWIFKVKNLPKQSLVEFQPI TTLNQNTIEQLKEIYLKYESEMKYGMSRKLMFEVYESLNLFQKDEERIGFYRQSGYYKKR NQKVSSVHFQQFVKIFEDALKKLNKKGNTITESELVSQFIQLCSDKQSEKWENKIQQKRA EFVKLLPELQDQKQALIRQMLSENPYVTEEHIQQELENKGLYGLGTKDKLQIYDLQLSLH KVLLDSKKAKLYNSMLFGQSTLSAQKEKKLRDKIMIEEEKVNQLQAKRNEVAEKDI >CAK70604 pep:novel supercontig:GCA_000165425.1:CT868085:215610:216577:1 gene:GSPATT00007739001 transcript:CAK70604 MSEEQQEFEGQEGQEGQEGQEGQNEGQHEQQQQQQQQQSQTQQKQKPVVPKSDPPPEGAI IIDFEVCMDCASHAWCTHHDENKYTQLYLDCMAEIHAQIPNSYCTYNAKKKPSTGAFEIS HKGVIIFSKRNSQLFPQPKLLPERIRQFLDDVENKRDVTKWATKKEIKQEQPRQIKERPT FQTYMKQREEQERLAKEQKQRQDEEIRLKQEQDERDKQERERQEEEQRKIEEEKRRQEEQ RLAEEKAAAEEKARQEQEQRELEQQQEEANKQNQEQEQQQEGEKQPE >CAK70605 pep:novel supercontig:GCA_000165425.1:CT868085:216771:219322:1 gene:GSPATT00007740001 transcript:CAK70605 MQQQKLQSTCPHFQRILLQRSIPQVHKSLSKLLVLFKNKQVKCDVCQRKQDYLNICLKCE KPLCDIEKICSAEHLRLNSPEHCVIINIHSNLIACLMCKIEIYDFEQTLQSEEDQFIEEI INPQKIRMEYHKFFYKQEAQNIQFKNQQNVQHAGMLNICNNSHLNCVLQILLNYPVIQQI LQKINSEYEINEYSLKSNRKQILRELSAMASIYSQHQYRIINPSKVIKNLAQIDSKIIGY SRKDAYDAFKTVINFLNEEFKFSNLKGYFKSNLIYTKQALGHDWNIIADPSLYMEYSFIQ SIFSGELISNLQCLNCKKITKSVEQFSALSLELPEIKQQGFFKQFVNNQKQSISLQECLD YFYEPVIDSLTLCSCGARSGKRQYSFQQQSNLLCIHLQRFLNKNQKDTKHVSFPIKDHNF QEYFNLDSEQNYRLFGIIVHLHDQGGDHFETILKYQNSQFLSIKDEIIEIVSQKYVEECE ALLLFYEKVDNQVDLFKTSLRKNLQNQHNMIANQELQLKDEDQCYLPHFWFEQFLHLAKP PSIITSHLICPHNLLKPDYWDFRIEYENAKSAQYNSQLDTNTSFITIGEVQNSNSPAYIQ ESSAQKQLQLTSIIIPKAVCEFLIEKYGGGPIIQKNIKTCSTCIEYAQQMSRRRKLERQL IIKYESLQGYDRIFVVHEEWLKKWQTYLYNSKQILQRNNLFGYPPPGEITNYLLLDKDQQ IIQQKKEGQHFHMITAPIWHILQEIYGGGPTISINPHQQQYEPFKLQTDDLFQIKEIKTL YQNCINQYKQEIQQ >CAK70606 pep:novel supercontig:GCA_000165425.1:CT868085:219804:221141:1 gene:GSPATT00007741001 transcript:CAK70606 MGNCCNGGGQVMERNVQIDVGQNISEKTANQDILNKKAIIIQAHCRGHLVRKKVKKIQEQ DKTGNKKSQNSQSKGKNINNNQNLQNNRSAHESKEENYQNQSDQTRIHAPSEKCKKLDRM PDYLTSKTKSVLSSIEAFVYDQDKDEFKELPFLEPYELDGGSVYKGQWKNGLRHGRGIQI WQDGSMYEGYWYQNVACGKGRLIHADGDKYEGDWRNDKAHGFGIYVHMDGAQYVGYWEED KQNGHGKEIWPDGACYEGQYKNGKKHGKGTFKWADGSVYVGEFDQNNIHGKGEYQWEDNR KYVGEWKNNKMDGKGVFTWLDGRKYEGEYKDDKKHGYGEFQWPDGRMYKGQWANGKQHGI GIYIGSSKVEKEGEWQDGKRIRWIKKGGQPTKEEGN >CAK70607 pep:novel supercontig:GCA_000165425.1:CT868085:221557:222944:1 gene:GSPATT00007742001 transcript:CAK70607 MYDIALISQESQQENKEAYLENHKKFSEQSSLKTDYLSSDCHQQKNQQWMHKSSSLTQIY PSLDDNLTCISQTSSVISVKEPKIPIETNKKIKKRKNHAHRNLKTAVSRKQIEMKCQTEK RPEKSTGQLLHYLHEKDVKIPIPSIETIIQQEALLSEQILSYSNYQYYMHNLIGYLMGSL KDSFMAQMYINHFSQLYSNLQKSKLIVCPQQYSFSIKIQPQKKIQKTLIIDLDETLVHCN EFSCLKSDFFIPLVYGDKSFQVGISIRPHAQQFLRNMAKVYEIIVFTASNPDYANKIIDY LDPEQNLVSYRLFRDDCIQISNNCHIKDLRILNRNMQDIVLVDNSAYSFAFQIDNGIPII PYLDNKNDKELLHLENYLHYVNQFDDVRSQNNKMFNLKIVQNCISIAEAIKCLAASISK >CAK70608 pep:novel supercontig:GCA_000165425.1:CT868085:223596:223846:1 gene:GSPATT00007743001 transcript:CAK70608 MSAVPNYQQSSKVIVLGQYQSGRGFKEEGRLRMDAKESIFERIQINSSEAFSRVQFISIN KNNIQMDNLFQMEDC >CAK70609 pep:novel supercontig:GCA_000165425.1:CT868085:223910:225285:1 gene:GSPATT00007744001 transcript:CAK70609 MIQQQRQVLNDNDLHTIIYKGEIGINQLLISRLSGMHILDALSVANFHSPDAQIKEHLYK TAKQNYKSDQELPKLMQRYELSLAIIENDSLNAKQYISLYATIMKTKIKEFYTNQKNQLF SNVYVPPKYSRTLNIFCYSPKSTGYLALVSTVQMNTLIAMEEMMAQLDQLQQDQFYTFDL IFEDVNLPFKLQNVIPSINFTPEKEQQKLVRRHKKSVSEHQIFDFQREQKEQSIINQIQS LPQDKALFFSQTKQQSFLQDDYQFPSLDQINSFKPFPIQEDKKKTEQPQYQQLSFDQQRY EEGIPNIDDLEFFPPLDLVSTASCPSLNNKLQMTDQKKQPANPIYTGRLKFFDEQKNYGF IVMDEDKSDIFVHLDDLQKAGVTKEVLKTSKQGLLLRFQFNCMVYVGKYKKSRKAVELKL LANQQVNNLKGIA >CAK70610 pep:novel supercontig:GCA_000165425.1:CT868085:225491:225889:-1 gene:GSPATT00007745001 transcript:CAK70610 MVNVPKNRKTYCRKCGSHQSCKVSQYKKSKESPFAQGRRRYDMKQIWVWWINKANFQKES KDNKKSGFKA >CAK70611 pep:novel supercontig:GCA_000165425.1:CT868085:226033:226700:-1 gene:GSPATT00007746001 transcript:CAK70611 MRLVVCILLLCSTVIAIPKKQIQLRTKSNQPINAQEIKLSDVDLALYQTDVQQDGQKNHL KSEITNWAAAKSTLIEQLSSFYNYFEIFLSKQQQIGIFLVCFICFAFYLMVKSEDRNIKN RKQNPKRMVQKYQEQNVVILTDSIIGKEQSPLPLVSTIIGSIIYEERVNKSADDLKKQES SHPLKRSNSQPFIKPQHPDYSAEEIHNLLKDIN >CAK70612 pep:novel supercontig:GCA_000165425.1:CT868085:226928:228403:1 gene:GSPATT00007747001 transcript:CAK70612 MLIIGAILISVELTLGKLTVIAPQELKQELDQRSGDIQYSIANFGNIPWGRRLSGTLDIA NPLEACTELNQTVKSHFVLIKRGNCSFVKKVRQAQNAGYQLAIIEDDKGELNHTITMFDD GTGYGLQIPSIFISKQDGEILTKYLRMPKSNLETEQIQLLIKFDVRKKNNVTALFALNIT SEETYKFLREFQPYYQKLKNEQIQYIVMYPLYQIVPNPDKPIEYQNCISYGKYCSRDPDG SGIATGRMVVEEILRQLCIFEQNSEKWLAYMISFRDNCTSAQQYESCSPLVQEEVGINQQ KVEKCIRDQQESHSFSIKNETSNYKQHNILENQLYLWQASGVQQLPGIIINQQDYLGQIT GANVFLDICYSFTTTPASCGEYIDGQTKQQDSSSNLYLTIGIIIFVMLIFFILLFCVYTR LIQKEFKESSQAQVNEMVTQYIQFYESKDKKSKEAI >CAK70613 pep:novel supercontig:GCA_000165425.1:CT868085:228945:238631:-1 gene:GSPATT00007748001 transcript:CAK70613 MIFFILLWITQISLSQLQNDITCYYEDDDTNLEQSQQKPQIKQIEIQTDSISGNSRGFGF WSKYISNQINSELEIFYKPFDDPNCQFEVCQFNGFYFLKLLDDDEFNFAAIILNMNDNPI SLTHDFYLFKQNSDIIQHASVQFQASKYENHWFYTSILYSSVDHVIRFYTNFNDQLFTFE YQLLSEKITIILGGYLTDLSQNFVYNWNPLLHYKGLLSTIQEYNPFFYDDNFFENLFQKC PFQNQKNTILNFDLFRVLDPNLSKNDFEILNFQLFSYNQRYTIRGWVKQNYFEAFTYYQK NYGLSYYQLEQSIFIMYNLFYVTNKKQGDILLDFYYAVDFENNNETVIHLNAQFYKIPFG VPLYQDEELRKYDTLSIKKDNFYEKTQEWHYFVVDQGRTPKDGALMQLRLYFMNEEPLVY YLGTYNYNTQYSGNLIILVMQLRAENGIPRSRWSDRRXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFINKDQKFIIIRRSPVNFVKQLN LECQYSNEFHLGVQIRMKCKTNRYYDNLQCLNCIQSCIVCQSKDVCLTCIENYFFNFQEK MCLPCPKECKTCQNNPDSNIGYQCLTCQSQYTVNHLGQCQPCGIYCQFCREDFNIQTQEY FLRCLTCVDNKIMAIRFNGVDCKIIDIPNCQNVVLVSQANYFYNAIIYNFQPSNNLSDEK PICGLCQDGFIYNYQQSTCDPFDVIDYQCSIAYYYKFVYLGVDYGYQNICFKSPYSSGFS VEGDFCNTQLQNCFWCYTKKQKTNAYCLKCQQGYYSTRLTGQCQLCPENLHCKTCYHSTI GYNDEWKNNLISLVQFLHSSFDLFYFYWSQDQSQNLDDYEIICTSCYTGYILRDNKCIKY CDDSCQSCIYLDGQYTCQTCGQNIYHNLLSLVQNQCTGCPSYCELCRERTNEEIQSINSL FIKTDINAIQTYQCLKPYQNDETLFYDSILGQFISCQNSEPCENVVTLELNLFCNDEDYL AQLELIQDIDLKNKFKKENVMFSQLLQYNVQGNSFQIFDIDAIYSIMSKKIIKKVKLILV SKSEQVCQVPQLSYITQAFSKNVFQAIDVQLTIKSNIEKELQITIFEELHFIDFTLLRFE NVQFMIQSNIYNKNINAYGFKSIELQLYKIVISTTSLTTSTFFSFQCTQLNEIVFSQVIL RNMNIKNKNQKSLFSFFYDTISKTSSIQIENFLFEHSIFENTNILEFKSTDIQSINFKHI SINSVFKNSSLLNTQNDQILQSITVANFIIQGKLENSEPFFLLNYALSTNIENIELVDLV IIDSTFLQLERSGSINQLLISDCHSYGSVSFIRNQVENQISKQITFIYSIDNLKVKNLIS SYETEILYFQAFDSITSEITIKNIFLEQVMIDEKQQSAYNQLQSYLIYIQLQQAIVSTCK IIRGFGIQEFIFTNLQSLIFTDLIATQSQILLLHQYYDCSSIIKANNKFPSLIQLFDVRN TKLENFIITRMNFINSGFLLFTTQSKTSSSDQDALSIKYFIIKDNLLITSQKDASASLIS ITSNQKIIVNVEMVEIKQNQLHNYQKNLLKASSVALLIYCPSGIINLKNNQIYDNFATNT TDSVINIISEQIMLDQVQFVNNSYQNIEILINNIDWQYPLSQIIYQNDLKSIFDFQNAYG NSYLEADVVVVQNSQVENSQGLNGIGLYISGQIIKLFNITFKNLTTFFKYNEENGGCIFI KIPISGCKVEIQDIVAQNILAKDYGSFLFVSSNQDQLNLSINNLTLSECISRKGSVIHAA FQKNSLQNVIQLQKIIIKNQKDALFNYMKHLINNQKALLDINNFVSIYVENTVLILKDIL IDNIFRESILEGVDQGDVILNKITILGGTAPQNNIILIQPREDLSTVILIKIISFNNVMQ VEKQNGLCEIVQNTISQKIIYMQCNSKAISDIPSFIKEDEEDNVEENECLNQKLLFSDTA IQLSAISISKIKSNDLIQMFDINLSNNYYSNSLSGLVNLYINKQTLDAYQIVIKDLIILK NFCGQAGCLYINNDVFDVTAVKSTQNRLLASNSEKILELVNHDIIIKNYKCQENVATFGT CLLSNQTNIIILNSIFIKNQAQVAGGAIYFSGNQSFLFLVDSQIINNNASIAGAVYFDNS VRQEMKQYNTFVFDNQASYYGQNEVQAPTHLSITLNNYQYIYNTIIEQDTRNSLIESIGN SLESQDNVIYLPSGTPIKEYQKFNQLSEQLEPMNFTFRVVALDDHYSKLNNLTNSYCTLE TFVFDLEKNQVQESSNQGLINKKSVSFDSNTNDYNLDDLIIYFDSENTNKTYLQLVISCS CIKIPQYDENNVIIQSFHNNYKLYVNINTFKCRVGQIKSIQDNSCHECDPSLDQYSNQLN LNKCYIRDEQSTINVTSFGLNLRSGYWRPYFENNIVEECLNLEENCLGGWNYGDISCYEG HIGALCEQCDIQNIRGGGSFSTSQQYSCGSCDNVSYNLVQIIGFSIWSLITIVLSVKGAN SAQNYQLESPYLIKILTNYLQIISSLTSFKLRLPVDLFYFLNGIGNPIQRISYSLDCYLT DMSTLDIHYLRLIWQLLIPCFYFSILSIVYSILISTKQLNYRGPIVMTAIIYMYIYFQPS IIGSLIALISTRTISNVPWIQANVAFKFNTSTHIKWVLTFCIPFLSLFGILIPLGMLVSL CKIRNKLIYKRGKSLLGYLYYEYKPNAYFWEIIKIVDKELLILVLIYYEDSIILKGSLIY FILFSYWSLNLKYQPFKSARLNHLDYQSTIICEISIIIGIGLNMGQQSEFYNISVIYFFT LIIINIFFLIKLLLYIFNAYTNEMEKTFDLVKSTIHKNLPYRFRMSRQCLRLLKTNAESR ERAKQNFQKLKTAYKKLQTSKKQSMNIVLYNAFNSQQITTRVNTFSNRENIPNLSTVKIS SNFEHK >CAK70614 pep:novel supercontig:GCA_000165425.1:CT868085:239101:240668:1 gene:GSPATT00007749001 transcript:CAK70614 MKLREEIKQKFQKNFSILSLKLELLYLCLENNLSQILMMKVISRMSSLILKKSDDMVLFA HDNPIKLGETLKFGNSLGVVMSIFERNQLALLLQQSEVLEPIQPVNSLMPYPQNEICTIA DIKESNENTADSKKLPKRTLPQSQILSHNVYIDLFHPITYGQMLIIKDKELLNKLQAFDQ IIYYGKSPIKQATWFKYADNQGSNYYLPRYALQYAKEKAKTLKTLLVMDQIYDHCVAHQK LQETIQSYVYERTTKTVYLSNLLKDLSESCGYRPGHSNLTVAVLQKDSKDDLLNDELEKE LESLQSHTPTQSISKPSPFSLQIQSPLYYHLAQELYNIIAQHNEKKSEYLQKQQLKIHID PWDFYQYFDCKPIVQMINLFNQQNGQEHELVILVDFSVKAFKEELICQLKGSPKEILDDL LGFSCQIKEFDGKTFAEFYYEKKNELDGTEFKNVINESLGRFYELYMLHLRMTDQLLQYK KDYI >CAK70615 pep:novel supercontig:GCA_000165425.1:CT868085:240793:242986:1 gene:GSPATT00007750001 transcript:CAK70615 MFDNRTSYFNVQGNKASFKQNIKLFQSLRAKLQQAKSKSKEPIRGQLKTLKRFEDVIDEP QMQQRAVTTQKKLTHLIEEQEQRQEEINPMRYNLMQLLVLMGKKEHYFDKLGKDEFKLIR VGQRSKESVDEHIQKIKKFLSNKVRYKYRTSFKMQFQIQLDLLKYVPKKKSMTIKNSQRD YEIDEDEDTSKKKKISARGYQDFSNWKKKNNVAQNQKVFIITNGYHALRDSLLERNWAEN EDAYSPFFDLKWTCGLRSDDFLNLMDFQQINHFDSNQCLCSKFGLARNMRCIPNWESFFP RCYDLGDLVDFSDFIEDFKISKIHSILMEFDQNQSINQNKKVLPEPHEETEHKNTLRLKD FKNITIRAMEEKPQTFIINFRERFKIRLCIMILNRVVCSLGSMVKNILKDEFPFVHPGEW LQLMRDPENAKDVQINEQIEMILKANGYEDQEGDKFIQKYGSQIKDLLKQLNRNPQNSLQ GTRNIWIVKPEYSSRGRGIKCLDDLNQILDTVNKETMNYVAMKYIENPLIIKNRKFDIRQ WVLVTELVPLKIYFYNECYIRFSAEEFDIDQFQNKFAHLTNNAIAKHSQKFYESDIKGNM WTQEEFQQYLVEQYGWDVFAEKIQSKLKEIVVNSLKCCCDQMKNRRRSFEVYGYDFMIDE QFNTWLIEVNMSPSSDTTTPVTAQIIPKMLEDIMKVVVDNQSKTKKKVGGFQLIYNSDTK LQ >CAK70616 pep:novel supercontig:GCA_000165425.1:CT868085:243019:243900:-1 gene:GSPATT00007751001 transcript:CAK70616 MNMNQTKLDCYNKTQATNSGAKGVNTFVSGTLMSNWHEEAQLKEDTGFGRAPVPSHIKKK HTDLMLKPPEEIPLYKEPINQLDTTTRLFGKILPDIPKPASQNVGGTVNRADLIPKVGKK AQLIEQQYLQQIQSELDSREADSFSQTQQRYFETTCKSEFIQKPIENNTIGRRVMRTQNG TAINADKKDVDLLSDMGFYQKPQLSSDQQLASILPQESYLTAKPITFYSEKQAQGIIYQS KPVNEVRPFHKTDEFVKTFHHFTHVKN >CAK70617 pep:novel supercontig:GCA_000165425.1:CT868085:245331:247554:-1 gene:GSPATT00007752001 transcript:CAK70617 MNSFGIPLKNLEKQGFTQRNSYQSQQFLLFQFQVQYESGIQSNFGLIAKLNLINIVSANI DRRRFDQKREIQLLNLIVKLFSGGISSIEIFLRYSPRDLLDKGFTLREAYTLIRELGGQC KYDFPRPSNKEIEDLSYDQDIKQLALFKVPFIQPIAGQKYKYVLILGPQKTGKTSFLFNL INIYYDVDVDSLYRVKKQSKNKGGLFYDEYLVEMKKQDHLIFVDFIGMGDLEKDIFQDSK INFFQVLLEYVQDKEIIAAFFVNSASINRLGQEEVYTIQRFFELFHKSFSNRIFLILTFC TDNNPLLSVYSHNNSPTPKILKNQMNQYQSQIEQKQMPNEELKFWFGINNNYLYFPALDL DQESENILINHRNINFQDFKQNCSSVEVGIDLTQSYIESENFILQENEIEVTQRQILQFY YEIMRKELLKIQSKINNIFNSQNQDKDQQLNHFKKLLKMEMDMHSLGVQPQLDKQQKPNK NLITSTNYIAFENQYEKKVLKATTGKKILFCTDCQKICHYDCELDSKSDLAEAKKMCQNI LINFKFLSQMKNPTRINKESSLDILYYCKMCSQRDMVNQSNNKSGLSCLISKHILINEVA FDVNNDIYYISNEQVQQTFKQDYDYLKKQKQLYAMNQTLNLNRQIEFLQKVKSIKHQVAE LNDSQEGLISINLQVRKDLLEKTQRAGIQIKSPNFEGIQNVYNNVCHSQKQQIIKGNNRI LFQLYKLVQKEVDE >CAK70618 pep:novel supercontig:GCA_000165425.1:CT868085:247665:249274:1 gene:GSPATT00007753001 transcript:CAK70618 MQNPQITSSVYFPKQSYLSKYKGFQEMKQQKFHSPQERKFKEWNQKNNQTSDFEETIVIT NSAKQIISKRRITFENQINSDEESYFQKNEGSLSHRYLANSQMLKQQKQLLPSLPCQTNL NYIKPQARQLFAKPFIKKQKSLDHVVQICYSNKQFAQLKEGASLKCLFKTLVFKEENYDK ILIIQFENLLLKRSCSFWENQNEVTNCGINLGTNNQEQCENSYCICNLRREFKNTLKILS KAFIIIFLVQNANFMIKWHKYLQEQNYYYDALYQIRIAKDVHIGGIQLNKVFNDFQKFKL SKIIYFDSIDISNSSKLHPEDLQYKIPITNFNLETSIFLFKKNIQQKQFDSNLLYNLSMA FFQGKEHVISKKQVYFENIDLHFVFEYYSSQNQIKKTIQLRKLNELKQKYQSILHTLNQS QNIQIEKDEYLIQWISATRNDFINDFKMKKNERVPQFYFTIGDVIVRNQKLKWQHFSYKS EKLQIGYEHLCKQITKLNQDHENFEKHQHSIKINCILCIE >CAK70619 pep:novel supercontig:GCA_000165425.1:CT868085:249342:251946:-1 gene:GSPATT00007754001 transcript:CAK70619 MYSSCRQDIKSSNQDGRESFLNKNVKSLYVTESSRQSNLKHRMISFLTRQATKDFQSKLI YKNLATKNKYVKMFIDRLLARKFFQIHFKEYHYRILNEKYSAMIQSVDENNKVLKKHLSN YCHPIYSWIAKKINKIPLIYPQSLYKTIWDVLATIARLYFLYMIPVDICWTQEQLLFGNY FISTLIMIIILLVDLFISLNTVFYEAGQIVTKRIQIIKNVLWQSFGLEWISTFIVFILFI YSASTDTKVDINQNPYYLILLLFLSHYKNVQNKGKQYEMALNFTRQASSILQLFKFTLLI FYVIHIFGCLWFWGGQFSELYRDDSWIDDRNLLDKSWNIQYLSSFYYACVTMFTVGYGDI TPKSDEERIIAILLIMVSSVQLPYSINTVGNVISEITSQTELQKKNIRIINTYLHKKRIP YAFQEQIRQYLKQYWMQQQEEETMEEKAIINQLSEKLQEQLMWQVNTQVIREMPFFSQFS RPFQKALSKRISVVDLLPENEFRKELDQFVCYVEKGEISVCTEGQNKIQIKQVMVGETFG LKSFVLGIPTNEIYKSVGFSKLLMICRYDFMQLLKEFPRDFELYCKTRDGIQFSEIVWDE QCLSCQSPSHSIINCPMLHYIPQKDLIIKRYQFNHKQRRTKFTRKTRKCNNTLDLEILKK IQNEYYKKYHFDFQEKPQSEDKTLIQIPKIIIQHSSPAAPSRKNSQMNINDPFNFNQVQG LKHSISIIGRPQNPIDYVRQNNQQIINYDPEQDNIINDAIEDQLKQRYYQLKNYKNLPPK DREAISFLIMKYKNFKKFEKKELKNFEQKKSYTDYYPEHNDDKMIYVANLRSRDDIISKF MPYLLFPNVYFQKFQKKLF >CAK70620 pep:novel supercontig:GCA_000165425.1:CT868085:252017:256116:-1 gene:GSPATT00007755001 transcript:CAK70620 MINRDTGFQDLESGIQSNNISSGQLVDIDQASPIGGQQLDPTLEFSQLQQLEAVRMKRDI FDNSHKNAINLGHKADVNEEDCLMSNLSGKRSEPQGSISSISCNLKSQQPLLRKTTIIKS NKTIQNQQKFEQDDFVISSPLQINGTINLGLKSDAKIAKYQTFVQQIEDEQEQNERTKRA QVHRDTIKQQSLIQRGRSKEMKTGLNEVWTQKALIIIRLVSRFIQQLKTKTERIKFRLIT KRIFEVICDNSANFEYMLINRLIKQKPSISLIIFDQIQNKALKLLNWIELINAFIGKYVK VIKPDSLFKIIWDIVLLLFIVVNIFYIPIYISFDVRSQGVFEWIFDLLPSWVFVAEILLN FNTAYYDKGLMHEDRKQIMKHYVKGNFFWDLIVIIPFLISNMDIPFVRYTLLLRLTRLTP LMTSIEEVLNLEDNMQVFLDLLKLIFFLLLTGHFCGCAWHWVAVIEYENYNQEQTWLTKY DPNAMDYYWFDRYIISLYWSVITTVTVGYGDIVPVTTVERVFVIVVTLLICGVFGYCLSN IGNIFKQISDKKAIYKQRIREINQHIRKRGLSYNLQLKVKKYFEYFFKVKQEEDQHAEQF IEQLTKHLREEVLVDIYSKTLTQSRFLRENFSDETIHRLCQVVKETKLYPEQLLFQRNDS PKALWFVLSGAVEYVADHQNEDEHFYTETFLKKITQGAVIGEREFISQTPYEYNARATKF TQLLVVDYQQFYLILQENNEEFEKYCLAKDNLLFNSNYKGFGQICEICGWTHRFIQCPFV FLQPNKNKIASSFAQTKTNKRLVFPYRTLPKSNWKNNLPDVQEAALGYIVLNNIIPEREI NDTYLINLGFELNDREDELQKLVGNKKNSLFKDQKILSQFENNLQQPVPQPSIQTVLPAD QKSQNQTAIFLKDGQRPSMTNESVLDWDQGSQFRRSLNRIKLQGLDRGKTLQSLKGKYHQ NNNGPVDLLDESLIQEEDKIKNANAGSQNYIHSKLKVVKSKLNNNPNIGIRKVSWLEFDS GTNQHLDNKANHLLKQVSKGSETNFDQSPPSYSQEIEIKQRIEARENSIISKKTSNIEVT RRKKRRKTTQLLQFFQQSEVDNKSNKKAEKSVLNNEAYSSSVYTAGVPSNSALVDSNNKY DNNGDSNSVHVGQSMDGIQKRIQDIVRVHFEMDMDNAKSSKFYFPDFNLEIVIDRINIYY EKQNEKRQEFDMREMKRTKTNFTLFDRIRQAKNTTIRGSFVEKDN >CAK70621 pep:novel supercontig:GCA_000165425.1:CT868085:256129:257294:-1 gene:GSPATT00007756001 transcript:CAK70621 MKSKQSKQSSSIIASDSVSDFSSGVSVKSDKVAIVAQLGELACAIEQKPTESENQNEIEN PEEIHSPLEFKLDLSMIIQKNGKTDEFYNLVLQSYLEKQNKPTEYLFIDPKGLDDEERIR FLNWLVEITQAYSMNYSTFFIVCSILDEYQKITLNVTKDNLHLTGVSCIYLASKFNDVIP LRLDQAIDISHNRLARTKILQRELEIFESLDHQLNFSNGFLFLTLLLRLYLSKIKDKEND IIEIAYFTLKLCCFDYKLQSIYYQSEISAGCLSFAVMLVNSNNQGKVSDDIATSLVHLLK TTNVVKSQYITDVGAHIEGLVDRYFYDNDFRGKIQKLIVYNLTQTELLKSYIEIRNNDS >CAK70622 pep:novel supercontig:GCA_000165425.1:CT868085:257709:262016:1 gene:GSPATT00007757001 transcript:CAK70622 MLRDNFEVTLKSILWFRFLYIINTIQVVQLINGQNVFIQEMLTKYRINLLIYFGNSNYLI FAYIIILSIFFHIATTKFLIKMKISVHQCIGSFVVQTIYYILSMPIILNSLYAILNQDQY AHFILFLLNVLLNVMYIYFNCQTSFYQIDGSFSRQSDEIIIYLFRIILCILKIKIPIIEL QFTIILLESILLTIFQMKNTESNSHFILNLRIFLLVFGIAGIAKLDWIFILLLYVFLKNI IQSYKNRVQTNQLFIDKNQGQLKLLISQIVNYNQNDETFKLILIQRYFKLKFSNLQPNPY DDVKLQDRLMIERYFQQNIYKLYFLLLSQNQQKMKFLDFVFVKAYTYLIQQECQSKSKKD QQDNSWLIRIFVQQETNFDILEQARITKLIYFQNLIKTNINESKYFKMIKNQTSQFCIKM QKLKKKLETNIDLKSQTLQNSDIVDLKTIQAIQKYYYVFYGDHEKATQIGMKAKDQLGWD RSLNKKLQKNQIFLIQVSYLQKKWKILNPKAKYLEEYFKNQYLDNVLDLFPQFLRGHYEQ QLINYVETEFVDKYQLKTFILKNNYIISCRISFYHEVLDNDLIINNVIEIEDNNNFLLFD QFGKIFGISEQLFYELQKLDEYSTQEINYSTFLDKAMIQFYIPGIEYQVLQLTSFQQCNQ IEFQSYFSKNLNLDDCLQLKINFNLSEELLPSIKRSSSQNFRKIIQDDNQTQKNKKIQLL NPKFSMQFEQTQQCLNQDQNIFSQNFQLEYIQLNNCDYYYFILHFSDLKNNLESQDRSLK LETKTISKNRVIENNINMSVIEQIIEKKKISRNLKLQIMLIIILIVYVVCSIFVNKQSGN SEIKSYQTSINFLINPQALTFSYAGSFLLQWNRYCVESGLYNMSQYIEFQRQSKLNYAFT LWKGIHINYTLNLSKRSRELGMEMVDVIEYDKNNNKIITQMDYYSFYTISREKMVRVQKN TNYSNISTHDYSIFKANGFIRQNILTIFKFHDIVINDEIEYLKQKVEFSQTNFYLIEIIQ IGCANVLSTALIFFIYIQVKLKNRIFFLLSLIEINSIKAQIIQTKELSQFTNYSSKSKKQ YLINNKDSTEDFQQQKNNHTIQRLQNIKFSTKLYLIIPIVFMVCYGSQMIGAYYYRAFYY NNYKNSLLMTMNYIKFKKLFDSSILLGELIKTEHLIPSNPHYLINETETVELYLMYADNS TDLFNKILDDLIVSDYFNYTMKNLILSAFRKDLCLNFTRFLVFCNISQIKLPYKASDSYL SITQNGIGGVIQNYKKMVQQDYFIERQTLKYSKNNTQFINSQIHQNFYVQYAQDIQSCFF TCFSYFINESIYLSDLFIEAMSDYFNLTGIMQLFLNYLSILLLYILFSGFWIVYQYLQIH QIKFVLLLLEPKLVQKKGIHPMLVRIMNQI >CAK70623 pep:novel supercontig:GCA_000165425.1:CT868085:262095:267155:1 gene:GSPATT00007758001 transcript:CAK70623 MTTKQRENFILKLNYLLFEMLEKIPSFLQKLIENYLDFFAAACYQRNQLAVQLFRIAVII NGLQELSLMEDNLHQSDTILFYSSLKESLPTTQLIRYESKSTLSILLITHIIINFLIFVN LFFIKKQSYFHTVTLSIILVENYILLYPTLKAIFYFNKLQADYEIYLISIFNLIMYVQIA ITQYSWRQTKLIDLQGLVRTNNLKLELLSHLILMLAVGFNELSKIGSYILIMIKQIIKLG LSFDQYNQNSQFMLYQNVTQIIFMTVLYFNINMIYTLLIFAFVIQLIHKVQSLLFENHLI QFEISLKKCQIYELIFNQSENTSKILLLQSLLQKSFQQKQKSKTKKKQTDFLFTNQQDIL QQLIRQSHFKKKMLIQIFCNYDLQNKPQKTLIQFMKYYKVQNIYDRAYGKALQKQLNYII NQNQSYFNSRVQSINMMDNETTVQALIKSENAIDCIQKVVQSKISLLESLRKGFQQQIEI LDQVDDYTNNIFKCRNFILQSYDLKRYKLTGCQCTDILSLRILQMYFGIILNDIKESKVI EKLIEDIIKADKILQDTTINNQILLQNRFMVLNTSLINQRGKLINCNKQQISQFFGCSQE IMQNYQYIHEFMPDFIAQIHDELIDQFIDNGYTQLMKSGKLTFIQDPQNYLMPIYIHLYN PNIQDDFTLYSILTTQFQQQDYVIFDSEGKIQGISKRFFEYTKLSKSFAQDINIYDIVHR GSSILYYFPNVISLIKDLKNNIDHNINNTLNNVRSFWQIPDNHLECLSQTHSLINYSQRM EFQPNLILGQNIKLLDQAYSQSFINTIKKKQNNLDSAENHIEMLHNLSLYIIGDHIFFLI TIKEYKLADFTKTYTSNSIHQQQNPFTSFSTHFTQLDQLMSEKQLTTDNGTIQDIKQFCT FQHSQIIEKLFKDDDMSGRFVVDITQKQQLNASHSQSKLPFLSSRQPLSNRQLLQTGLVS IRMVQPQFHLPSIDSKKKIEDYQLYELEEDMKEIAGMESIKQIQVMQNEQEIANQKQENI NFNRYDSNVNEDSIKMSEKQNDSQVQALVQSQIQQGSQLQMIQQIVNFNGTMKMVNIGII LNLFLALWIFIMLFVNEYLINNEIQTYFHQLTNSIGYQSLQFYIGIPYTILLQLYMNDKE ILNFSPALLQNTISKVPFLYGYSQIQQSSFQDIVCSQSKYYDEHFADDNECSNYFIQFHT AILQSYLQYEIDETFDYELFKANSFYRNQSFYGQKILLDSLTNFISSFQKVTLKDTFLIL LMINLLVVTIIYVTQFQMTKSKQNLKQKFYQILNRLSFDEIVELIARFSCFKVALGENAW KLINYYDMINYNNIAKEKSNLNAHKKYIQLSDSKLKKKTDVFQIITIVSSFCCWILFFVL GFLLFYFLFEEFAPSFRIAQNFVAFKQKLDLSLCIGFWIKTDPLTNMRRDTSEQQQMISL FLNLTDSLQLLLDEISTDLVKSTYLNEEKMKVIQQTLNSDLCPSYQYLFCYPEELSVHHY YDSESYASILAGGITGFVAQVYKFSVSEFTIEKETLQYSPYLDELQVVVQEQVFKNLFVQ YIQDIQYTMYSLFSQFNDGNSYAGETLLSYMNYYSFGFGISLIVVYNIIDFIRIRQGVKE LDQLKFILLVLPEQKFENQIIKQKITEIGKYFF >CAK70624 pep:novel supercontig:GCA_000165425.1:CT868085:267200:268292:-1 gene:GSPATT00007759001 transcript:CAK70624 MLQQLWTESTKPKSLDSLDYHSEISEILRKLAKNSDFPHLLFYGPNGAGKKTRVLAFLKE VYGSGVYTVTEEEKEYKINETSNTTTSCTVLSSKFHIDVAPSDADHHDKVIIQKLIKEVA SSHQVNSKQTKDFKVVIINEVDNLTKEAQASLRRTMEKYIERCRIILICESLAKIINPIR SRCLLIRVPAPDQTQVAQILDKISAQYNCKISQQLINKIAIASNGNLREAILYLQSTRVN NTCIKDDQNIAAQEWKLHIQHNIVMPIVKNQLVETMKEIREKFYQLLVNCIPVDRIMFEM LQGVLNQYKDHANKIILYELIKSTAKCENRARQGSKGIVHLEALAAEYMTIIKQFA >CAK70625 pep:novel supercontig:GCA_000165425.1:CT868085:268341:270953:-1 gene:GSPATT00007760001 transcript:CAK70625 MESVKQFRSLDSYKFKDLLICFNSLIIFAQIIENLIQSRGPFIMISLIFLLVMIIYQVLS YYFSTMRTQLIIYCQYFILTLFSVELQIDTEFLIFLQLAIFDAVFFNRNEKKKIVQYMLF LGAMVINAYVFLRVGFHNQEITDLIKALCSSILLKLLLYHFRQEHEKECNQLKEAQKLNN QEMEPSVPRSINFEYEPQDSQKLFKTFDVLPEGIIILEKNTKEDFTIKYSNSAAKYLVDS DDHDQMLQELFDLKSASQKQKSEEHLYKYSFSIQSQQSVRRNSFKIIPKQQSYCKSGFKL SQQFILENQSSSQKQEFLLQQPQSNHDFCLQFPQVQIVFPSAQTKNDNLNHSNSPSINYA TSHKKSLEDADSFHYLLGQIWQQLHTLVKEKVVNYQNQPLYYIHESNAFVTNIKKQQKKQ CLDLKVFSALHYNKPMLVIILRDVNHKDYIKVLKDYNSQKSKTLSFVSHEFRTPLTCIIQ MLEEVIENKSSLNLSEQQLIQSALDNSKYILNLSNDLLDLAQIRAGKFKIRNTLFDLKSL LRDCLSMFEIQAMKKNIQLNYYYDKSLTTDIWSDLNRIRQITINLIGNALKFTLEGSITL RAYLDAPNEICIEVKDTGVGMREEDQPKLFKAFAKIENKETSQMNAQGVGLGLLISNSIA TQLNSEQRGLGFRSVYQEGTTFCFLVTNNKQTYTNEIENYEDSKKEIDVDLETKLNDFRR QSMNEKKFILQKNFCSCPQILLVEDNHFNVDSFLLRFNRTFQNLIKIDYTISGQLAEQKV QQRFSKQQHICHPYRLIFMDVELPIQNGIVTSKNIKNFYRTMNVPVTIVGCSGYAEEKEK KECLQYMDDYIVKPATKSELERIMNTYFFK >CAK70626 pep:novel supercontig:GCA_000165425.1:CT868085:272288:274013:1 gene:GSPATT00007761001 transcript:CAK70626 MQLKRDYYEILGLEQNCDQEQIKKAYRNMALKCHPDKNQAEDAKQVFQEIQEAYSVLSDP NERTWYDNHKQQILNPDLDKADLETMEGFGFNIWHYFSPHYFGFGDDQQGFYAFYREAFE KIKFEEESAFNNKQLDSEEEDSNTEFEKLPGFGASNMPIEQVLKFYIKWENFTTYKQFAY ADKYNPKDAPNRWVKRAIIKDNKVERREEKKKYLKTIKKLVETVKNKDPRYKEYLEQLKK EQFIKEQQKKKFKEEEKIHMQEILKQARLEEQERFKENEEYFKERQQFQVITEKQEKSSD VFFCEICDKEFKSESQFKNHQNSKIHKSNLKDIISQITVDKREQEELLKKNGVSSVSAQD LEETQKQIQEQINKELEKEQQKQQKLIEQQTKQKEKKKNKNKKKKSQQQQKQQDQKEENN SSDDEQQKDTKNVEDDYVNSDDDDHFTQLLKNKKTNPINQQQDIKQTTNVQQQQNNEKQQ SDSKFLVQQSDSDDEKNTVQDNNKEVEEASTEPKQLNKAKLKKLKKKEKEKQNEQEKFKC NICQEVFQSKTKLFKHLNESGHQKAK >CAK70627 pep:novel supercontig:GCA_000165425.1:CT868085:274900:276161:1 gene:GSPATT00007762001 transcript:CAK70627 MYELNVHKCAKFRTFENKADTRRAMVFLKLLDNSCPREEALKFCKKRICRFDTTKKLEGP PKFRLIRNATTSRGWIFPEEIKYDLGKNYERPKTEEDKAFQKFFQSNKLKIVQEQQHQVE VVNQFMKEIDGQLNMKYNNLKELKNKVQDVRIKQEQYRNTPVNYLKFKGQRFIQLYDVFK KHYDNDLLGFEKQFPVTVQEKTKRNEDFFVRANKKLETDNLQKKFIKLQNEGEIIAKLTQ SEGNKDQGISIKSFQHINDVKNLAMEFNKTQYVFDDDKIKKKKRNSLHQLRLNNKYRKNN NQKDIKIGDFDEKLERIVTNRIDSITRSGNATNVDYDQINSLKQKTETARNKEFNKIKSH FTPRSIKQNQRAYTTQQSTRKLELRSNRSYKQLQKQG >CAK70628 pep:novel supercontig:GCA_000165425.1:CT868085:276366:276694:1 gene:GSPATT00007763001 transcript:CAK70628 MQYLQPVLCTEQPAEESILLQFNQSSQAKAALQSPILQNENYFINSANKDLIKLHDTNKG KGRVIVDPILSYKTFYSFNYEEAKILDKVFENSFQPNK >CAK70629 pep:novel supercontig:GCA_000165425.1:CT868085:276858:279331:1 gene:GSPATT00007764001 transcript:CAK70629 MIPLVYPDDKLKVRWDCILVLPRFYFMCIIPVDLAWTKEQVLFGYAFIPTLISLGLIIID FFFGFACSYYEDGQVMVDRKKVFLHNLTKSYGIELASTVVLIIFLIFQVGYDQQVDISKD IYYLLLLFSLVQYRNIMKIREQLEEALNLSEYGSSIVELVKLLCLVCYVIHIFGCLWFWV GYYGSTYLNEGWLVGQEVVTANFGTQYLRAIYFSTVTMFTVGYGDITPKTEPEYILAIIF MMVSSIQLSYSVSTVGAVLEKITSFKEIKQKKLSIINNFMEKKQINSKLQFQVRQYLNYY WNKQQDEETQAEQTIIDQLSQTLKEKLTYEANSRILNQCRFIKDNFSDKFQKKLVEKISS QYLQPENIIEFQKFFKRIKKIEKTEFQCLCFIEMGKVESFIEDPNTQNVIASNITNYLQG KCFNEISFLTGQDFKEKFKTVEFTKLLILSRRDFLATMKDFPQDFEKYKELVDDFNINKE MSMLKIQCQSCDSYKHLTVDCPIVHFVPEREVIIKRSAYSQFQQRRHIKRSRTRTKPTIK IQRLVAMQANKMYNQVTIDPTYCNIYEIPDEQIFTGAEEPQLLNQNPQHVQIQIIGSQAN SFVQNSISVLPQQPESPKQKKGQKTFNHLSARAIRHKSNPKKLFRLGFNVVSGARFWSKV TKIRKNSSLSLENMNKELQLRRTLINDQMDLVPKSILDDMFFAEQLFQQLLTQQQVEDQF DQVRECKRYKPQDNLNQQLCKQDLINEKCSPNELAFFQKIREKLSQFMCFPHQYILRYKY PLSISSKIGLVVDVRKIKRQSKSKSKIGKSMTGKR >CAK70630 pep:novel supercontig:GCA_000165425.1:CT868085:279412:282098:1 gene:GSPATT00007765001 transcript:CAK70630 MKQRLALPFAFEAKLLSEQNESNLLSSKIQNSKLMQYRSAQFQADFWCLPTNDKKQLNYE KKNDFIRRFIDNMLDHIRKVKKLTNFHISLIGDQGASEEVFVKRKSSTLHHLNQQQLKFF QNVKKSIIIQLEKLPLIHPGQYIKVIWDIIAVTARLYFLYIIPIDLAWVNQQFIFHDLSY ISITFLLILVFDLLLSLNTIYFQNGEAVESRVHIIKNSLYNTYGLQWLSVFQLLIYFVIS AFTDISLDISNNIFNIGLLIFLVHHKTIINYATNYEEGLNLSKQTSTILALLKLIAFLFY VIHLFSCFWFWVGRYSIDNYEGKSWLVSTNMLEQTFNTQYLQAFYYTAVTMFTVGYGDVT PQSNIEKIVSVILIMISSIQLPYSINTVGTIITEISAFSEEKKRKLRIINSYMNKTSLSS GLQVQVRKYLSYYWENKVVSQSEEEKEIIDSLSEFLRQQLINEAHQNIFDQCTLFRIPFT EQFKKQLIKEVEEVLLSPEQDLKQSNDILLYYIEEGSVQICLQQGRKINLGIVNKGASIG MKNFITGTESLETLKSVGFTKAMLLKRRCFLKILQDHPEDYELFCSIRDKLIFQKDDQYF NVKCFSCGKESHKLIECPLIKFIPDKEFIIKQYLYPKAQERVEFARTRRKVQSLNQNRKK LEQCALLIQKDNPQLFQLYRFDNILNIDEEQLKQYIKRSNTIQNINTHKPKIKKKIISAF EQNQDPIKKKFRQLVNKLISINKIYPYFLIQTYVEFEKMIEQRSISLTLQILEQRLKNIH QLDNKRFDIYLSDINFIISKLSNKTYIDDQEFESPQSYKYYFRKDNFSIVKQKNFLQNLN VLKKFIHYVQYPGDLIQRFNLTQIGMLLVVNKRRQNFCLSAVQPIK >CAK70631 pep:novel supercontig:GCA_000165425.1:CT868085:282350:288873:-1 gene:GSPATT00007766001 transcript:CAK70631 MDQQHQPRNNKKRQTISKELAQRKQSIIQQFQSGTQIVKQGSPQKVIKQEKENRKESIDS SLNSRSSLVKQKKKKQDKELEGCEEYNLNSSDYSEETILTIQYISYESRKTSKKFIENLN VKSLNANPQCDYEMQDLQNRDKLLEITKLLAIEQEEPQENEIAYQKIIKRYEKQIQRNPF QDPYYIILVPLQGLSRLFYELKKYQDNVKFLQIRKCLVFISLVIPILAKGMMNTRIYKML MTILILFNVCLFIVVKTEHREDTFEIEQAVTILFIIEILMRIFVSGFFFTKNAFFRNIQD IYDFTLIFATTINLYYPEIFIIDISPLRMITLLFYLGDIFVGLGVMLLALKQSIKFLLEA LMIVGLFSLFFAIFGVFLFQGLFNFRCEYDNGDETDGWIQCHQNQCYQDGMTCTYTEETP KMPTSFNNVIYSYGQILRTITMDDWSWVMFFTMRIFHPWIWVYYLLIIFVGGFFGFNLVI AVLKTHYSEAAEESEKQQEKARIEKLIKDNQEYPERELINVFDVAFLRDIGFFATIQKYR ESFQRRSVLSWNLESEAQMNKTIIEARTLSAAQRKLNNQYNVENLSFQNKLRQFTTKNFL LTKFRVLKYQQQKINIKKYSDDPLELEILQKLQNISYSCLQSQVNQQIDQNYSSQHDILL KFIEIDLALQEEKKFNPEKMRKIKFKHQYPDWQGQVEKLKKQAQRSIEIKKNKFPYRQKK SNKWNTTIKIEDEKEQETSKNSCDDFERSPEQKLNFCFEKNTSIKKKSKKFIKIINGEQA VYVQGYYLSYKEIRDKINLKIPIIKDNQTSNQFKYRKIREKEVQNGKLITSSNWSGKDVL TLNTKNYQMFSQIFLQLNRKDILIWMKGLKGMVLNFNKHTNVIITSSTSQTFFDLIIFIN FTFLALWNIVDSTTIHSVENVSTIILSFELILRLISISIKNFTSNPNYLLQAAIVILNIV ELTIKNLLIGLGQQNLRLIRGTKCLLFYRCLKYNAMAVKIGHIASMTFKQYIYLTFLMFL VIFMYALVGMEMYAGKFDQADSLGQLHSYDNIFKAFMTIFNIMTNDDWYGVYVMGSDINY TFAVIYSYSMVIILNYLTYGLFMAILLDGFGKYLDQSIESTHVHEENAQLTQNSNQELEQ QVYQTILTPQASQTNIMLEQTQTQGKSKIGLITNFFKSIRSLNKELLNKSPKLYTGIECQ TSLYIFEKTNYLRMICTRLATSKTYIYFMDLVLYTSLVTFIMNTYYDYEDTSNIVCDQIQ LIINIQMFLDIIINVIAKGLFLDKGSYFVSVWQILDVIYIISHFITFSNSSDHYAPIFDV CLYLGYLRPMKLLFRISWLTQLRIALGYSLVDITNVLITMLAVWIMFGVYAIILYEGQFG FCDDKMNFKINYEQCIRENRHWINYKHNFDNITVAIPSLFVTSTLDGWGEIYQIAENSQL ASIGPEAFNSYVYTYFFFIIFVFIGSMFFLSLFTGVLYSNLKENQQKIEMTDETQSQKEF QEIASIIIKDFPIFSSPPTNGIRKLASDITNNTYLLICMYLFLILDLIILLLFESDMSEE YFRSVNNIHNALTVLYVIWVILLFLALGIGRFFDNYWRRFYFFLIIVSIIDFIADYSIDW IMIYYKSTPYDNGYQLLRLFFSLRSLRVILIFQGLINLQRLMRVMVFALPFLGKIFTILM IAMLIFALIGCQLYGEIDGGAVMDDQINFQNVAQALLALFKCASGDDWRTIMTDTMQHNP LCLEDPKYCGSVNNQYFFFLFMLLSNYVLLNLFVLGLIEQFEQFFQLQNSLIQTYVENVD KIKTVWCKYSSETQGQAMNYKFLCKFLLDIGKPLGGSKEENLWDVAKLASSFKLKCDHYG YIQYNQLMYELFRRCFINEVFKEGTESSIVKIKQFNNEMQLRLMYYRKNRLLERTNISSS KQLRANFNILHDYLTVLILFKTWESYSKLVFIKIEKEGKFSDSDEISIQNDKVSRQNFNC YDYEDEMSDSENLTSNQQSKQLSQMMFTNTLNQMQYSSSIRSSPKSQIKNNFKKNVKIKH KNKYNQKVSLNERIIDPTEYFGQIKHQTTQQSQEIYEQEQLPIYRNQYDLSLQNEYQQGT LLSARKKQ >CAK70632 pep:novel supercontig:GCA_000165425.1:CT868085:290518:296866:1 gene:GSPATT00007767001 transcript:CAK70632 MLVKDNSSPQKFSAQQQEFKKQKSQRFTISNQMKKKSPTRYFREMKSLKQHRQQTILKSS KSFQPKRQSQDNSSESSECDNIDEKSDMEEKIIAVPNLSFKEGLMVTHEQPEQLTHNSSD PQHSQNQKHHIIKNIKKCQGDHSLMSDMNSQENLLSRDQDSFQNRSFQNFLQVQPIEDRQ DFYEELDPRILKKYQKHAERNPFEDSYYVVLTPLHGLERLRYELNNYNDHLNLLKLRKYI VYSFLCIPMHFQRIVDSVQFKIINGILIICNLTFFTLSNSSPPVTNEEYKQFIFYCFAIE IGIRIIAAGGIYPTIHFLCSKEDMFNLLCTLITFLHYFYPKHISFDLSPLRIITILLYLG DGLLRLKYMLIALKKSLIFLAEAIFILLILSLLFSIIGVSLFQGLFNYRCQPMVGEPIDE WVQCYQNICPDGMICVFSSETPKLPTSFNNVIFSFGQILRTITMDDWSWVMFFTMRIFHP QIWIYYLGVIFLCGFFSINLMIAVLKIHYSEATAECEDYEKLSKQKNDHSLMRQEMFLSK DLITYFDLSFLRYIGFYSVLKKHKLLLNTAKPLTELIDDENKVDKFNNQLRLLSSKQKKI LDEAKQKSLKKSFWNQFKNFSIKQWMLPKFKDLQIEQNKINIKMYSDDPIEISILLKLSE YQFTQFHNSVNYHVDQKFKSLKDVFIQKKRVAHKEKIKIYYQPLFTKKEIKIIPRQQSDV SLSRYPIHKSTRRITVQRSFSIKDDSHGTQSPQVRKRQNDIFGTVNIRSRVERKLPFQIL RGTRKYIYIHGFYIDYEQIQEKINTKIPKTKQVLDSNEEVYLQIFQREREQKLIKSKNWS GNNVLSLNPNRMQQFQEIFNSLNNFNHLIWMPTFGGKLLILRRYTLIIIDNKITQFFFDF IILINFIFLSLYGIADARIISKFEDISTIILLIEIGFQMFTYPLHRFFSSKENVLQAIIM IASFIEFSFSDYLNLTQQYLRMIRGTKCILFYRCLKYNQMAVLIGKIASITFKQYIYLTI FMFIMITIYGLIGMDLYAGQFDQNEPLGQLHSFDNPLKAGMTIFNIMTNDDWYGVYVIGS ELNLTAAVIFSFSMVLILNYLTYGLVLAILLDGFGRYLEEKHDQDGNQQQLLTSQASNNR DEEALNTEIDSMFDQKVKSQYFSQVQIFDQNEEKEQPKIKLDIIKSFQRSLKQLNKQILM SNPKLYQDIECETALYFFAKNSRIRVICCNICTSKRFSWFSNTVMVSSIFLMIVRTYHDY EDEKSQYPYIILAILNVLKLFEDIISIIAKGLFMDKGSYLNYSWQVVDLIYLFAFFIDTY KQNPIIDVCLFFGHFRPMKLMYRIKWLDNIRAALAQSLLDMLKILLTLISVWIMFGVFGI ILYESQFGFCDDKMQFNVNQQECIENDRKWINYKHNFDNITIALPTLFVVSTFDGWGEIM QVAENSRQSNLGPAPFASYLSTYGYFMSFCFIGSMFFLSFFTGTLFSKLRVNQQKIEMQN VTRSQKEFVELCPMILKDSPGFSTPPTRFMGRLSSFIVNNSISQKFMFLLLLVDLICQLQ YHYGMNIDKIRTLNLIQRFLILFYGIWIVLLFMQLGINRYFDNYWRRYYIFLIFISLCDI IADFQYDWVILYYESNVYTPNYQILRLAFMTRQLRLLGIFQGLSNLSRLIRVMGFAIPFL AKLISILIITMIIYALIGCELFGKIKQGAVIDEYINFTNFEYALLALYKCASGDDFRTIM TDTMHHNPYCLENSDNCGSDFNQLYFITFMLFSNYVLLNLFVLGLIEQFEEFFQVQNSMI QTYVEQIDKIKTTWCKYSADNNGESMHYKFLCRFLLDIGQPLGGGNEDNLWDAAKLASKL NLRCDPYGYIQYNQLLYHLFRSCYHDEIFKDGSQESIKKIKQFNKEMHTRLYYYRRNKTQ KRSNICLNNLQFKSNFNILHDYLNVLILFKTWQSYSRLLVQKIIRRQDDYTENDEMTIDM EYFSPQYRQTDFISDGFSSRRTTDIKFQENLTGHHVSRQSHESQQQQHQLPIYQNTLQQE TDRIYGGFDENVILGFKEIRFKNCNQ >CAK70633 pep:novel supercontig:GCA_000165425.1:CT868085:296885:298210:-1 gene:GSPATT00007768001 transcript:CAK70633 MSSKDKDKKKKTAKLEKKDTKIQSVPDLEQSQLIARVISRGGDKETKNLANNEDDPYMQT FTSSYSNGVSQMNNFSGIQSQQQLSNNFQRSTDYNTNQFTSDSTQEEYRSQLNNIPYYQQ QTQPMQNYQQYYHKQHYHMGNQDQNDYRFESIRNQINQNLLPKRQEILYQIQKIENRIEE IKYQTQKIENMTREEFDCIIERLRSTENQKLQRLYQDKDELISNIEYIDSLQSYALNNQE QVPSIKILGDIERMAKVRIKTQIDIYSNDLPQEFVQLKQSYQQSIIQQQMIEFKNEMIWK LMNDSKAEILKIKAELEQQVQQEYQKWQNIEEKYQEELNKYKLQCTFCGINFDDRQINSN CQSNFKNARLQFECEEVPQELYIGTNRHFFSKSKQLQESQMQIQNKKV >CAK70634 pep:novel supercontig:GCA_000165425.1:CT868085:298584:298829:1 gene:GSPATT00007769001 transcript:CAK70634 MNKQQQSVSNSLTSIWSKRTSIETIQNEALSPEFENSTQDISKYHFVIGILKPGQKRIGL IAINKNKLSIAGILGIKKIQK >CAK70635 pep:novel supercontig:GCA_000165425.1:CT868085:299287:300396:-1 gene:GSPATT00007770001 transcript:CAK70635 MKRSGHSTPSGSNSITSNNITSQGDLQEDDEKLNNEDELVNIFVVIQNQVKLIFYNKSKD IDLNGKYTKNGNVKSKGNESSYFYSKLSDTTLSIWQDMGLYVMISSISIGYLIFSIIIIQ TQINTFTPFIDYFDQTVSTSVYTTSLISKLSITTQRYLNMQSTSIPTQFTLLNFYYDQQI SYFLLTISSDTSKIKSYISDMSKFLEQLNLDYGYDSNSSKIIVTSEQYQSRLPQIQQLSV LSEINHLLKDNLCYYTQDTLYQQQQQFDYFNTGLKGTLDILQKMQFNYQTFLSQYYQIQY QEQQINTLQVNAYYNTQEYKLLVMYGQELIYKIFDRLLLLLETFLKDEKESKNQLIQNLF IGIGIPIMV >CAK70636 pep:novel supercontig:GCA_000165425.1:CT868085:300407:300926:-1 gene:GSPATT00007771001 transcript:CAK70636 MSPRLILKMNERKSNSKRWQSFYDSIIEFKRPIIKEMSIQSKEYLKEQSSSLSDQRKNHQ RKNLSYLFQRRQKRLLIQKILLLKLLLLQMLHPFYNLYNNNNKERNSPPHSLFLRKGRTL FQVLQLYLQSCLVDQILGEYQILKQKMINLNHKSKVSEKTLKF >CAK70637 pep:novel supercontig:GCA_000165425.1:CT868085:301102:301203:1 gene:GSPATT00007772001 transcript:CAK70637 MDKDLLGFSLSNESKEEAYQIKLFLNSSPQSNY >CAK70638 pep:novel supercontig:GCA_000165425.1:CT868085:301269:301565:-1 gene:GSPATT00007773001 transcript:CAK70638 MESKNDMEIKYIRRQQMQNRYAYIKQQQNCYHLFDAEFQDFIDNQVRKCYSKALLKEALE SHDAKLLQQVRYKCVSSIDVGVGGASEKDQFLYFVCRL >CAK70639 pep:novel supercontig:GCA_000165425.1:CT868085:301639:302717:-1 gene:GSPATT00007774001 transcript:CAK70639 MVVEQSSSQIRIDWHFQWLSGDLVTLEVSAGVQSGQILKGVSNRLVDILGYANYEDMIQN LRIRGLQSSNSSQNSDKLQISSIMPPYLTASHNYFISRFIQRGYTYYYDKPINSYACDAQ RFVFPINTNLSFNFQTLSDFTLLGSILKIKDDDEYLIFDQWVRILGVSLHTFDKLILKGA LDEFGMVQYKSVNQKMEKLGHLKNALLHNIARDKNAKLFKKTITTHQKLGTTKFQLKRTY QKPSDILLQFGSWKNYYRFTGQRHLLQRESQEQFNQLTDNQKNQDVQQQNNNKLFESQAS NMLQRQISQFIDRQQSLNQVGLSSAMLGKDQYRNFIMNNFIDEKEHVLIAR >CAK70640 pep:novel supercontig:GCA_000165425.1:CT868085:302807:303435:-1 gene:GSPATT00007775001 transcript:CAK70640 MIPLLVEVILSLNSKPLTIQFQPMKFIKNNTINEDLISQYDKRQFHQTIQQLSFSLHLDE VEYLSLNLQSLTKIKQIQIMLPKYKNHNLLMLLKLKHFEDKNSYYDLVKMNVTEILRLEM VKEDIVKSIVSVLRKKITFWENLCSGDIQNMNRFLDDIIKISLQIEQSRNKVDIILSGFT NQVQKNY >CAK70641 pep:novel supercontig:GCA_000165425.1:CT868085:303568:304638:-1 gene:GSPATT00007776001 transcript:CAK70641 MFGTKALILVLVHFVSLMSFSLKFEQNQGYEYFTHYIRKTNKYIICTNLYLKSDTKHVFV WLSTADVVLETLELEQDNYVGKKFNRLHILLEAQLSNFRHLIKFQLSINFGLLYKQSSAW SALPIINIFLHSIIVLIYFYFYRSYQFNQLRLYRTQNLISYCYYLIVIFIVAIDWNTDMQ IQPYIFWLLSIFKLVDIMLFLPYKVTFETKSILSFLGSLSQIYPSIIGITSLRLSSWYFQ YSYIYLIALGQEIEGFNIMDIKKLCSSLEALVWYVEQAGMDSYIFMLSLYVLKYHTQNCN DPNCECKEDLSNPKLKIKKV >CAK70642 pep:novel supercontig:GCA_000165425.1:CT868085:305440:307226:1 gene:GSPATT00007777001 transcript:CAK70642 MSQQQQKSMNDTLFDTKVLDNFEQEFQQVHDDINPKVHSRIAPLVYAIKGLKQEINQVFA DFIRETNRATDVMRIKDMFEAVLMEYNLREKESNKLMEAILQNDSQQIRQISQLLKEIRE LQLEVNHFQRQSEVKRQRQCGIFKGNPTDFKKQNTSIIDETSIYDWVVDIDFITSINSSG WKVWLSPKMINQEIINIKALEGATVAVTGLYDKGKTFVLNSLTMSNLPSGKKVTTRGISF KHVNVDNGTKLILVDTAGTYSPVKIENELSIVDKEATETFISDLVFDLADYFLCVVNDFT SLDQRYLDRLSRNLQQSPNKTFREIIVIHNLKDVESTEILEHVWSTQVTQIYANGTLQKT KVAALNPINKQLLEKHVLWFKTPYTRHVCIVNDDCNLGKGLNPWVFSLLRYWLKAVFIPV NRSFSVLDCLLIQSRQKLVNFFRKNIKIDLKNTDDPLIKVIKTENEFQDKIQIPQGQVDM SGLITGQQDSFQPATDIIAGDQYIILMDAPGLTNDDVDIQRQNVVTLVKGNKQRPYINQG QLEKSERKYGEFTLTFKIPDIYERQWSHFGVEKGVITIKYDKDKDDI >CAK70643 pep:novel supercontig:GCA_000165425.1:CT868085:307243:309087:1 gene:GSPATT00007778001 transcript:CAK70643 MSESPHQLKAKIDFLHQENQQLRVTIEDLQTVIKLNKQSMRSMIDQDVANPRFNQQTTIR ENENTVKALKLVITEQQKEIDHLMSSLEKVQKERDFAQGKALISEEITYAAEKSEKKFIA ELESTIFDLNHTISQQAQKICQLEKQADQRDDTTGVVVRYRDVIHPNSATILLHDEMETL HQLIVRLQLQVNYLKSIQLKLVTLINKEKLSIQMRIMKERSMNSWKSQLKFNVNKLTQQL FLESRLPTVDLPARTNSIQSPMNTDMIHSPIPSPFPAKAPQVFKKNCQNLNQTFDGGVGL NESYQQYGKQDDFKKNNSKLPVPKLNLAKAFQIQQINAKRSTQQIKLTEDQLQNRVRKLE QELEETKKNLSREMILCKHYEIENESLKRHIKQIEGNNDILVKSNLNLNQKWEKIQKSFM FYKTFFVEHKDQFAQLQILVQSEKEKAQSQEDYNEFGFNSARVSHARKGQTQRKKNLLDQ TISLYVPAYQESLMDVESRLARVNQSETYNQNNRIDSSVIENDRDGELGNYCLDRDDSYM ENNEWKNNVKKEWTILASQVHSAKPKDLAQQIKQSQPIQKLQNIKS >CAK70644 pep:novel supercontig:GCA_000165425.1:CT868085:309320:310212:-1 gene:GSPATT00007779001 transcript:CAK70644 MNVSKCSYCQKVPDDILMLTCNHDLCLDCAAKSFSIQQTKKHKKVYQFENNIKFFVCDIC QGNTELDSNSIYELEKINLASALHDRSNRKPALVQKANISSEKQVKSRSQTRQDNKENQK EKTIKFAIQNPEAKSSVHQSQRQMSKQQSENSFIQHSREQCLDHPEEEVSYFCFDCNSKC ICPECIIHGIHKNHEVKTIKKSYPLVRKQLENQVEQNNQCITQIENYRQDLEKKQVQQSA IQEHMRLQIAQEFQVIFLSYKQQTKRIIRKNWQSSFDNRLIRKLCQQID >CAK70645 pep:novel supercontig:GCA_000165425.1:CT868085:310247:310915:1 gene:GSPATT00007780001 transcript:CAK70645 MKQYKLDQLAQIIKRLENILDNPKPINSQYSLFRLLPTFQSEDQTSSESSTNQIIFDEQP TQDYTKNELKTFSTESHSLKLDYTKISTELRGMLQNTFRSLNIDQTNYISQVILKHTQKD NPLIALIINQLNLIQSVVEANSICQKYHASIENLQSQINNFDQQHFIALVISLRSKFFSE INKHKIDTSLVPQYDQFQLIKEQQLKIQHVQRAIDQLIQQIS >CAK70646 pep:novel supercontig:GCA_000165425.1:CT868085:310966:312776:-1 gene:GSPATT00007781001 transcript:CAK70646 MYKTAQNCSYAGNQMKIQYRFDIQIKSPITNDKENSNAQLQKKKQYQNRESSNSSQQKNE NRSNSGLYKSESLQQFYRAQSAAEKNSYYIQKGNQQQINETKKVSYFTLLQQSGFKFRHN YTKSTKEQNNKWIDYLLNESQRQKPSESQSYKSLNEKLENLEKRFNRLKDTSANGSENQQ DKQTDRIKQKQYSMVSKYFPQKLVQQQKNVSQEERKVTKDIESTLNIYAQIKDLNSYTSN SQLLRQSQDKKTGQLKDFVTQIKTNLNKNSQLLKGSNVHSPNSNLNKSERQQSMDSKFFY LKKMSDTQPNEPFLYYISTVIQAIKSKKPTELDELIKDHFLQTYQGLLYASRSQVQFEPD KVTFLPKSNNLKTIVFDLDETLIHCNQNTSIPGDITLPITFPNNETIQASINIRPYAKQI LQTLSRDFEIVIFTASHSCYANVVIDYLDPKKQWVSYRLFREHCIQTKEGAYIKDLRVLG NRKLSDVLLVDNASYSFNKQIDNGIPIISYYDNKEDQELLHLENYLLNFRNVKDVRDLNQ KQLKLKQFLDYSDFDDLQNNLYNIYF >CAK70647 pep:novel supercontig:GCA_000165425.1:CT868085:313458:315016:-1 gene:GSPATT00007782001 transcript:CAK70647 MFYQYFLTKNKPSLSRLSGYKTTERTCDVLQTTVHTNKSSKYIHEKFNTEHSTSEGYLIK RSNPYPKQINSKINILINNIKNTYKCEIEKLKDECRIRQTNQQLYMYYVSIYFFSQDMLL RNRDDISILIDRLRRILLNKSKRSCCIEIIQEINNQISKIHIKILVSLSLSKIARYYNLL HYAILLAKNAKRFSDSEPMLKYKIKAYEILSLCFLKLRLKQAKTYITKYLMCSWKLDKPN EELKGYDQMGKYYYYEGNIEMAQFYHNKMINGDTLVIFCQSYQKSNSSLKRLAVAKFEQG SIGKSNREKQSVNTEEADFNISSDDEPFEVIFAQEKDEGLQKAKDNFELMRHNSKKKPQL LHYQIRKQPLFDRTNVKRQQQESKNANSFIRYKQNLNDRIPRAQQTQSLLTERGTLDLSK IKGLSYAHIQLGELKNPVLLNHLSPNRCLVNYQHIELNKVPQSYKNAEDLEPLFDVGDIQ KMSKNLKKLIVILTGVEEWLRAQSDLY >CAK70648 pep:novel supercontig:GCA_000165425.1:CT868085:315899:318555:1 gene:GSPATT00007783001 transcript:CAK70648 MYLNNLEFYSGSGYKQSGFLKKWKEKLLVFNLNTKVLQIQDAKTQTQKQIDISNYNLKKL GKYQEKWSIKLSSAQNEVKLGWNSEKDLQQWIDCFTGEWQKKQEHLRFLEEFDKSHPEIE PYIEKQPLVFRPKPSLMIRDKIENMIPHLPQFIQKDMEILLQNTLQNLDGFSDIQNFKQI LDQENAKLYQDPNDNLHMKLVYYSKIEPRRIYDEHVMPSTQNWMPDIIKQFQVFPTKKGC SLVYLEFEFKDDAIPRQHSIQDEDVQEEQHNFKFHSSQSLNQNEQDDNNKIRIVFTQKGF EKDGIYCVFRKYTGQEMYHDQIDRSLANQLGKYKIIRSALVVQPSNKQEFKSLLIEEIYV DCKDLDGGEKLLKILLLNITSSDRNLMQIQQEIQQITENVEVKNVELFETIQISIKLTES DIQRITSEQHQIQSKEEFQKLIEKVGNGQYILDAIAYHSDQTIISGLDKKLQTNDEEGHF LYTKYYRVDTTKGGLTYHNERLLKDQRSVLLNMIKRMGSNLIQGKSVMSVSLPIQIFESR SFLERMARAQGHAPLFFEPAAKSNDPLQQMKQTIAFHMATFMMGIQQEKPFNPILGETFQ GRILGCPIYLEQTSHHPPISNYIMFGRDYKLYGSFSPIVNMGCNSLSGEQQGHSIVHFQN TNLKFYYINQPFTVYNIITGQRNVNCHKRSFCFQPDLQLCAVIQFNPKDENKSFFSRQTT PIDHFLGKIYKVSPMFIQRCKMAHKTGSGLNLKLKISKEEILETYDSISGRWTSHLDING QRFWDINIHRPYVLELESRPLPSDCLYRLDLLLMKMKDIQRAQEMKEKMEVDQRKDQKLR QK >CAK70649 pep:novel supercontig:GCA_000165425.1:CT868085:318593:320193:-1 gene:GSPATT00007784001 transcript:CAK70649 MELQQKIQKLIDQMRDNVSKATGKRNDSIDYQLLTDLRKSHRELYKSIEGNRRTNQLEKD QLDKQTINSECLKYSKTQLQLQIGQFKGLQTNHYNQIKKQLDLEDKNPLDHNNEILHKLE TELEVRREKKKKYEEITNEFEHLQQHQEQKKSQYFQVLPDLLRQSQSHLIPALNMFEIPH LALPSQQEFFQQLPQPLHQIYQKLQTKFIQLEIISHPIADSIYKQSQFELKVTIESGIFD QIALNYLGVKLEQFYENIYPFSFSIRYFTTLDKIVFQVDRKNQITSEELFCGLFQGDPGE PIFVNAKSDEEININTKYMTFRWAKLLTGFELSAQQFYLQLQKRIYNIQLIKIQLQKLQK NELLTNFPGMNFEQKYQLKYFGNCQINDDTPFWYKFCLGESLIYKQNKDTTLLVEDKTLQ SYIQLNYRFEGQAEHLHDCYKMVLAKDDQQIEIGIIVPLSYPQQSVRYQILSTQNISISQ YEFFTICKNLGNCLIEGLQLSYQILRIIEQLSERRQKMQYFLKHQ >CAK70650 pep:novel supercontig:GCA_000165425.1:CT868085:320212:321831:1 gene:GSPATT00007785001 transcript:CAK70650 MARLIGNANIKLRNPLLKLCQPTTSLSKLIALKLIFIDDVSNHYTINCKKVNCFYTQGKQ IKIEKLIEKELNQYSDSNQLKNPKFYFYDLNGNQITMYDPSIETYLVSIKDNNHWLYDKK YEKLLFQIIQMDTSIISNNNISDDKSRKPSLMNSNQHIIQNSLYDHLIPQSSFRSRILQK GIQHLENVYDNFKMTSRQNSDPFIITNCKFKTTHQSFSSQNRPLNISYVKINTPNSTPRH YLTDQEQYFINNMQKCQDDLDNFINKLKSNLSKENEEVEKIIRFYENVLYPTPKSRALEM KQISTSSLISTKLTKILGKQFPADTKAPKAYVPQEKKMLYKIPPLLHLNIPSLQAKYRLN RSQLYAFFSLYKVLHLISGYMKIKSKQLQVQGITYEVYRNGIETIQDQAENMARGIFDII DSRCSGFLDWSQFLYLMSSVQAKTRDQRIDLFIKIADSNKDGQLSYQEVVRLSQQTLQKF IKNGNTEFLDEMSQFFTKVIFDSVGVDYQQEIQFNQLKEVINQGHPNSDLLCMFCGADS >CAK70651 pep:novel supercontig:GCA_000165425.1:CT868085:323352:325109:1 gene:GSPATT00007786001 transcript:CAK70651 MSDMFQGNKSGVSYGSQFDALGASFGRIAPQDKQSIGSNNGGGSFAQVSVSFLVQPDQYR SSVGLEEGAPQIQIYEYQEPQNQKGILFRSSGDFEMQFEEIRMDRLNIDQQQKVEEDAQQ QQKMNQRQSDEFEMKIQCAEQISTNFKPEINQMVNQNIIEENKNLFNVNISKSIYTNKIT QLVEQTQQKLNLQNRNQTPTHLSKSQQKLQRKSPNLQRDHSDLSSDFNQQNSNDPNQDPI QQKLAKNRESARNSRARKKIYYELLEVKAQELQNEVDSLKQQIQNQQKYAEICNKSQEKF QMFLEQQQLLFDKLETYLIKNKDNMEIGMILDALRYRTNSNSQERNDAARNHFDQMVEVC LPIQTRYLIYALEKNKDFFAQQPNDYSDWMVDSFQKTDIKPEQIVKVKKMKTKLQSVRNN ISESIHKIKEQLKLIQSEALKVDQMWEQLKECLTPIQLGSCILAMRQNQYRQELQTSSLF LQLKNSQMSEEEDVQGSQEQFTAPKNRKLIKKSQN >CAK70652 pep:novel supercontig:GCA_000165425.1:CT868085:325449:325778:-1 gene:GSPATT00007787001 transcript:CAK70652 MNNVPLQLILIQKIIKTKGDQEEMILPDSDQEDKFQTLINNNGNGDIESRLQLKMKQLRF SNQNQIYYFSQNQKSSPNQSPALSPKYPSNSIQSILKPNSCSIFGQTKK >CAK70653 pep:novel supercontig:GCA_000165425.1:CT868085:326285:327601:1 gene:GSPATT00007788001 transcript:CAK70653 MIEISLNNSKKQDNLVNEKAVIFIQANWRSCLARKKQVKIQNKDQQNESSQENNNNSENP QNTDSSQKAREENQSNLYELNNIHAPNQDYRKLDRIPDYQIDEVKQVLSSIEPFLYDQEK NEFKELPFLEPYEQKNNGCVYKGQWKNGLRHGRGMQIWQDGSIYEGYWYKNVAQGKGRMV HPDGDLYEGAWKNDLAHGFGKYVRMDGAQSEGYWLKDKQNGYGKETWPDGARYEGQYKNG KKHGKGTFKWADGSVYVGEFDQNNIHGKGEYYWEDNRKYVGEWKNNKMDGKGVFTWFDGR KYEGEYKDDKKHGYGEFQWPDGRIYKGQWVNGKYHGIGIYIGSSKVEKEGEWQDGKRIRW IKKEEESFKEVDD >CAK70654 pep:novel supercontig:GCA_000165425.1:CT868085:327765:328187:1 gene:GSPATT00007789001 transcript:CAK70654 MKIYSIFPLIFKISNPIRIEIIQIVPWHYLLMIFKKIIELSLQIFGIQFVQGVVQESQTS FDFDIFVNRQIFYNLNIKQKEQQFSSKGNDLNQHKVLQPINQRIEKVQNLQNINQNKKNN RIAELYEAEDLDAKDYGEAL >CAK70655 pep:novel supercontig:GCA_000165425.1:CT868085:328548:328865:1 gene:GSPATT00007790001 transcript:CAK70655 MSKTSCRRQVKRIINIESIIKELFSRAEFFTQRYIFCHPNRVDKLPLIRSIYRVLLMPDA WLKTIKLSHKVQLYFIVHLKYGEKGVHPFQELAYQKLQTLLSYQQ >CAK70656 pep:novel supercontig:GCA_000165425.1:CT868085:329114:330877:1 gene:GSPATT00007791001 transcript:CAK70656 MLRSSSFLGGYSRSLSKNKLRPRVLSYRHTKLRYLALFFACMIMIGDAYCFDNPMALQSE IKDKYEVDQFKFNLLYTVYSLPNIILPFFGGVLIDKIGARTAILLFSSIIMIGQLVCVWG ASNLSYWTLITGRVIFGMGSESLNVSQNSIMAIWFKDQEMSLAIGLCISIPKIGNALNSL LSPQIYSKYQSLAAPMMVGVGTLIFSFICGIALIYMDYKSEQREKQNQMLLNKNDENHRS QSELSASVKQSVKDEDCDSPDLGRSPEIFATPQHHEEPEEHEAKEEINFKDIAKLSGTFW ILIIICMLTEALFVPFLDNGNELLQERFGMTAEQAGLFLIIPYLVASGSTPFIGNMADKF GRRSLLIIVTSFIFLITHILFIFRMCTSACFLITLPLTILGLCFGFYASVVIPSVPFVVK SNLVGTAFGLTGVFQNTALALFPMITGMIFNQFKYDEHGEENEERGYVYQSFFFIGMSVL LVIIAILLMFVDKNGERKLSQKGRGIPKKNKVDYLSVHEVTDIPEDKKIRIDYDTDHRI >CAK70657 pep:novel supercontig:GCA_000165425.1:CT868085:331336:333118:-1 gene:GSPATT00007792001 transcript:CAK70657 MSNHHRNLRELFSTKYSKPFSNFPSDRLRIGKSPLAVGNFLQYAQKKNAEEKQQRNHTPV HTPNKIRVGQVNINEYALPYKNPQLSFFSKDSNRSRSKSPIQLSMQKSPKQSTIDSKKIF ENDDSISLNRQASQKLSNHTKFASANLKININEVPHLKIPQVSSSTKIKANIQNFQSIFN SLLIKYDSIQQQQQQRPPIKKVQKEEPQDEELQIDTQICVTKSSFAFHFVIGKGGFGRVW KVELKKSRTQYAMKEMSKAKIIAKRSVNSVMNERNLLAQFKHPFLINMNFCFQDRDNLYL VMDLLTGGDLRYHIGRMRRFKEHQTKFFIACVILALEYLHNSNVIHRDVKPENIVLDSNG YARLTDLGIARIWKPENSQDTSGTPGYMAPEVMCRQNHTIAVDYFALGVMTYEFMLGRRP YNGRTRQEIRDQILTRQIQVKRSELPDDWSIDAADFINKLIQRKPNNRLGFNGPNEVKQH PWLQNFPWTKLLNKEIQSPFIPPSIQENLDYINNISEDNDTQDEQIVENRILLKKNSVQN LFYGYSYDQNMQTQLKNTKSTSSTLIVN >CAK70658 pep:novel supercontig:GCA_000165425.1:CT868085:333203:333664:-1 gene:GSPATT00007793001 transcript:CAK70658 MEVNYPELVEDYNLFITTGERYVQEFLQKKALQYALFQIQENLIIEQHLSEKKNKKVQIK QSRIQTIRYKCLNFLDIQINLSLKDKKLAINMKADDCNITNFLSMEQLIKITHELQIGYT QDELIVNFTLKNHQECREDKSVLFIDKNVYISD >CAK70659 pep:novel supercontig:GCA_000165425.1:CT868085:334095:335281:-1 gene:GSPATT00007794001 transcript:CAK70659 MFNSSLNLRQISKIIASRILDGVFIGNYQPLQEQQYMKINQITHVVNCAAAEIQVPENLS ALNYYWKDQDSQTIVTIETIREIQTFVEKALKRGESVLFCCVNGQSRSLTALVSYLMLRY SWSLFKALQYINIQQKEFEIRSTFLKQLIVFEKEQLKGKVISRNWNQTPLDREELLLQNT YLNSVQKEKDDQLINSIPKSPIIFKRKSISWQEKQIALSNLDICQNFSKEKKFNKSILKP SNFSKSELNNSQSKAQASTTLQKPKSKNEELFIRKNSQYNRNNQLRTLTPLKKGKIFDSI TPRLPMRKYLEIELQSSQYQQRFRSQTTTPRCNQNFRNQNASYISVDVDQESSKRNPHLI RRAQFKLSQLL >CAK70660 pep:novel supercontig:GCA_000165425.1:CT868085:336399:338458:-1 gene:GSPATT00007795001 transcript:CAK70660 MQPSFKQRAPCNLQNFQQEIIIENEIPELDTFEEFCSNIPDQDNQDINFSKQSQTHNSQL IHADCQQTNESRSSEQQQSIDSLEQKSQSKGNSVQSQENELITYLQFVEFNPQSIISEST NHRADTYQSQKDTMPEPPAPQNKNTTKFCFPSKGSGLNNLKKQLCVNQDIQNNNRNQNNS EQTVLNNQTISENNNNIEEDLRKQMVQINKEKTQMQLQYSQQIKQLIEQNQLLEQQLIQQ KQYTQTLNSEKLILQSKIDVLSQRQRRDKNTMAETCNFIEPTKLTNNEKIQYLIQKLNQK CQQNARLQYEIILLQNNIELLETSKILQLTNNNAFSMDPYKITHQNHSPPQTENRAQSYS KDSRTSLLTHQKQPLNITINYPNDSKNMVNLSAMISPLSKCAQIIQQKQINQKISKNTQQ FQEDIQSQKIHTKNSTSVGELMSMKSDTINYSNIINRILRKESYSISSPDGRATQKFKQA LNEKLNNLQQDSSKSYGSLLFSQGEQRLIEASSCFKQISPKREKKKSKQQLYDKYIRLFG FINQQNSRKQSDLLKSEDSHRSDLGRRSEKYVNLIQSRKNGMDPNTEIIQIMKEMQHKKS DISKNIKQQNPSTLFIRPMVTDIKEAQSSRQQKS >CAK70661 pep:novel supercontig:GCA_000165425.1:CT868085:338549:339276:-1 gene:GSPATT00007796001 transcript:CAK70661 MKRQSPESSATIKKQIHKRLQNRQSIRELYQQMAWKTLVKVGSQTKGLYEEYETIKVRGQ VLRVGDSVLINSGDQHDEDYVGTLKQIISIKEPTTAKLICLCRIQWYMRKSEIIKSKPKC SEWISEQELFITNHQEYILAQSIISSCKILGCNEYQELDEIESTIYFNRLEWDVQKKQFG NMDSVQQFCFCFQPVNPDRQYIQCDSCKNWYHFECVGIKNGKYNQKEFHCSKCQ >CAK70662 pep:novel supercontig:GCA_000165425.1:CT868085:340090:344025:1 gene:GSPATT00007797001 transcript:CAK70662 MTVILQLKKGSGAQGAVYQGFIKNKNEQVAIKINTELSERESQILNFIKIQKQKHLIRID AIENYQNQVIIVMELAEMDFYQFMATDQFKATSIEERNQFFYQVHQLFIQMIQGVQEFHN LGYFHRDLKPENFVLCRNQNQNLVIKLIDFGISKQQEDGLQSMKVGTPFYMTKEILNNET YSKSIDVWALGCIWYEILTGKTFIEGNNVQQIILYINGVSQVEIDFKIDQLKRIQNEQKE ILKQMICIDKNKRISLDDAIKKLNEFFKKNDIEKIEAELKKEYEEKEKQLNLEKQKKIEE LQQQLEQEKEIQIQLYQQQLKSEYDQKIQKKEIELKKNLELKQGQDKENREQQLLQQTIS YQNEINQFKTQCELDYKQKLNEQKQRESLEKKQKIENEEMKKKINEQAKQQYEIELNKIL KQQQLEADNQQKKAQFQNKRQSYGQVLSLLKDSIQNAISTMNQQKQIIIEVQLENQDKQY LLNSIQKFINDKEQELNQLYQEQENFNSLDENVQFSKFVELETRYKNQIQIHSEEKSIVD IQIYKFMADQVKKQEQMANYVKKQEQMAREERQLQEEQKNKQEMKNLNFKFQMLNNQYIE SQKQIQEIMKICENYFQYNQTITNNFQRLKDNSNQIASRINYVQQYLNSTQMVTIEQIQL IKFEIQEIQKQFQTLEVNIISVNESISIYEQEQKKQMQQYLGQIQDIEYKIHIQIQQYNL TNNTILIQLQEKQQLMKQLSQKLDNLIISNISESIKEFMEIQQKYEEIKSQVRKLAETLQ NEQLSLTKIKLISEEQKKFQKELETKSNNYQQQIDELVFQLNPIQQNCNQLQNKEIIQLL NTRFQELNSLKKDAKYQFDGLIQESKQNLKTQQELNQLKEKGNIFFSELVGKKDQYQIQI IELNRIYDSIFKSEQDNKLKEIFYSLELLILTLQGQHKLIYTYIQNLKTITIMKLRNNIQ QKFYKKAYDENDSVTKVEEQKLDQNSFFKDHTKRYLKEKSQQFFSKLKEIINEIDKQKLK IHFIEVEKEQKIQNEWLVKQQLIMQLNPKLLLLQNKINWALTKNSQDIDKLSEEFKKLSI EVDTLFHTIPSKEDINTFQVNFNRNKKSFMITCLLIIILKAFNFQRYAIRLKEFQETQQY KQEKRKVQLKKQIVEKKENTESAKIELQKLEQKKNTTNRDENKEKVIDAQNIMDKYKSWL QSEELIINIEEYIKDETNLDTQTKAIQTQINTQNNVKLKATIKDQKFNKEFSSEFYDQLE YCRTLGILKMQKLFYNEYF >CAK70663 pep:novel supercontig:GCA_000165425.1:CT868085:344402:345584:1 gene:GSPATT00007798001 transcript:CAK70663 MIKIKPNGELLNYQFLQVPQCNYCATYISNLSLIKKDQWQCQICKRLLKLPVWYMQQPIL YDNFEIALTQPSFNRRALFLIDVTSQALCRMIIPLIEQSLNQFDEVLLITIIKIALIPCT PYPTVLQFVNNKIRMTTLTSQDQECKLDLFLNCSTEFQKIRQVCRMIESLAQYNQNANVL KSNYPIELAQSFLNRLLEYQSIKIIHFTWINQFIEPELKSQSDIKIGKNHYHLFIIQQNC NVLDYYKAISRNLHGKCNVYNDDDLNTFYNEIDSFFQSSFCWEAQIQINLPQGWRVQKFQ NINTDSNQFYLPYLTDQDEISIEFIQEAKYKPKDNLVQTIISYKSKTQKHICVANEKIQI >CAK70664 pep:novel supercontig:GCA_000165425.1:CT868085:346266:347018:-1 gene:GSPATT00007799001 transcript:CAK70664 MNSIIQQYFNQIAYGNTMAPLTNPQRVLDLDHLVLLISSFLEDQDLLQFIQTNSKIRNLS KGCNQLNVRVLQIRLTKQKIILDNFIEDPSFIISKHRNNCYFYKFNHQKLAQKYFIKLQD LLKTKKAQQQSQIQLQNNKPQQNEQLIQTVLTVQTEIPKNDVEKLISNIYTLMNEEPEQP IKTYKLNIKINDITKNLCPIVQYNRVKQLANKIKAKKQYEVIHL >CAK70665 pep:novel supercontig:GCA_000165425.1:CT868085:347100:349277:-1 gene:GSPATT00007800001 transcript:CAK70665 MFNNYKLNLKENELYKQFKNKLQENLQEELIQIIDAFEFQINQRILEQEKLLDQINNLQL QTQNKLDQELANNFELQKQINQLNEQLQQQNTQISFLNKSMKNVYIEQLDLFSPIKAPSL SPQITRTQSINKQVKRKLLMTDKENENQVNCYSSLKKIRQNEYFLDEQEFLIYFKDQCMK EFLDKQMEREQELYNLWQKMQNQSMQKCQQLQETINFVCSQIDAYKQQYHCDIKLYTDAL QNLEVRVSKYKIAFQHILQNNSNSLEIDNIIHLAKSLNSEFNNVNDMDKLIDLLMEFNQT SQRFNVSLQNNQNLKIQNMQNQIQELELQKKEYNDIKVQRLELLELSQQMNSKIIQQSNQ IEEMNQQISSITQLFAKSLQDLERIQGENETLRKSYNCNTNFSNTITTRTQEGSVSLKNH FISNRYSTYIPRESISCKNSYRIDQRQTNFSSDFKKSQPNYELDDICCLLDQLLNQGYSK NALDFINNFQSQSGNFKIQMLWQKLKDFLVIVYYSYNQQDDMFISLNKFISDLKLPINQF ELIIDDGLQQTTSKLESNSILLCQLLHRLASDIHDMRSSSTFIFESNIKGEPQKDYSQSI MQELTNLSKQLIHICQENDDIIVLQIERTIKIIKEQVVKRHSNQYLQNIDGIIQGMEIKL SQYLLDLVDPVQGLAAIIVIKIRESVGWNIEKCA >CAK70666 pep:novel supercontig:GCA_000165425.1:CT868085:349595:351194:1 gene:GSPATT00007801001 transcript:CAK70666 MQVIYQMICLRKHILKSKTYYLEVHDDQMILSEVMKYKQLSSINTCQMLSMQQNIHGTQG SCGSHLTVKNCVHLEQIIIIELNGLILITIHQIYLKYTYQGKYFLGTFQYFMIQINFQVK EHHLKYVQLNAKRAYHNMQQNVFLRNICYKKNQTIELLQQEIQILQKIDHPSFVKLYEIY QGENSYYIVTDYLEGDTLYNYIKTEAIKVINTYNAIQIILTALNYLASINIIHRDIKLEN VLLQKPNDITSLKVIDFGLAIYKYPLQKLSVCGTPGYIAPEILKHADKEEYFTEKCDIFS AGVIFFKLLTKKTLFRAQNTIEIMEKNKICQINFQEFEFKLQKEAINLLKGMLDPNPNTR YSAQQCLDHSYFSCKLENINILQEILDKATGFSGITQIIDQKNTNSIGQECQAAQVKKCN PTSYKVRMELRKRTKSPRKYADFQFYYPSFCQKNSCESLSSKGSSYNGSQFENFINDKLD SVIEEDEKQQIHKQ >CAK70667 pep:novel supercontig:GCA_000165425.1:CT868085:351450:351972:1 gene:GSPATT00007802001 transcript:CAK70667 MNSNQDLKEVCVEQIQECETQQKHLDEPHYRDDDDQQGDQYSEEEEQVPIYSPYQLSLYK KPAPRTLEEFHLDEDPKKKVCIEECSTTEQSQDHHAMGDLEILAEMLQKENQKKFSYPKN LPIPTNVDEHFSKLKNADFIHPKEMQKNFILNPMFKSE >CAK70668 pep:novel supercontig:GCA_000165425.1:CT868085:351974:352471:1 gene:GSPATT00007803001 transcript:CAK70668 MQDKELLKERLRVKGRFVTWTQALKMLNQQQDTIKSWTYNDYFKIKNLLNEKFGAIRSEK SLKF >CAK70669 pep:novel supercontig:GCA_000165425.1:CT868085:352480:354384:-1 gene:GSPATT00007804001 transcript:CAK70669 MSHQLSNIEKIKQQLHLQQQVKEILAKEYIDLQNKEHFQVIIDILEKPKKQRTSNELQLL SMAFSSIKYFQEMSKSTSQDEMLNLFRELQYVEVPARRTLFRLGDIGKNFYIILSGAVWV LVGKSGLQNGGFTKDEKKADYNEGTFQDEFEFADLDDENMLLSKYPNMMKVGQIASGGSF GEIALTNFIPRQATIVCKEESQFITLSREAFNKFLSEYYSRIQQKNFEFLKSISIFNDWN DTELNQMQYHLQPIEFCYNTQIYKEGEIVKGVYFLLDGQVEITQNAKNDNQNESQLVKRM NRSLSSKNIQLKLNRCGYGQLFGYLEIVQNYEHRQSKAVCLTEKSKMLFLPADRFKLYCC HGETLNKLNKLIAKLDQNKKISKDIFLGQQKSVQFNEEYYLNSLTSPHCVKTQDDEDTSE KVSLTTNNRLSQKSKLPLPQKNSERNSHKNSQQKLQKEILGKIQHKPTNIQSYYEFITQH TKEKPLQLTIECPLIEIQQSRKAKIMQSQFQHQYSSLNLKTPTQKNLDSKISLIQQLKLP KIFKKQQNTDEVQLQVSHFVRQHNQSVQIY >CAK70670 pep:novel supercontig:GCA_000165425.1:CT868085:354560:355145:1 gene:GSPATT00007805001 transcript:CAK70670 MQNQPFKFSKINFSNPNSSFHKYVKDEAQSIQMPESIIEDQHRSSISTEGSYDNSESQNI NKKGKITKIKDRDQLQPQKSETKNIPKNFGVLLKKYLCSKNTENQAIKAFLKNGEHKKNF SRQDFTRLFHDPIAADLSRQYFSGFQIIHDLMISEKIQDVKNHIKYISKFYNSTYNKQEL DELKLQ >CAK70671 pep:novel supercontig:GCA_000165425.1:CT868085:356208:356906:-1 gene:GSPATT00007806001 transcript:CAK70671 MQSTNNSQRSNYLQNSSSSAKRARIFDTSQSSERRNTPSIIPISNSQVTRSPPLQTEEFN VQGLQQSQVSEVQINDLNKVNQFQKLPDLSRCKVKFIKRENQFTQTEADDKDLIIRQQEE LIKQLQRQIQQQCNQNMEFSFHKENMDQQLHSPKQNCVGNNTQINFNIGSNSLMTNSPYK KQSRQPQNQFYFTAIPQSNNKSYILKPNNTEKQVLDYKKQKSNKDYFFSQRD >CAK70672 pep:novel supercontig:GCA_000165425.1:CT868085:358082:358528:-1 gene:GSPATT00007807001 transcript:CAK70672 MLFLIIQTQNSSFTLKSVSTYFESLTKLKLSFLISDYLTDNHIKQIKNDIHLKLLLKSSI FLDLMKLYAPIIDNILKNELSCSTKKQEFLKSTNTSRNTKLVRICQFLHVVLLNKSSLRD QKMKLKLLETSNKKLISSHLSNKLFSPK >CAK70673 pep:novel supercontig:GCA_000165425.1:CT868085:359016:360843:1 gene:GSPATT00007808001 transcript:CAK70673 MNQEIKQAKYGLIMRMIAIRFETVILVKKIENFFVIIITQSFKLIRILNTDQMKQKRVVK VDNERIKKLQIKETSVNLDWLLKQPNINEIQSTLQFISLIDLNIEIDNFDIQGVCHTVYN NQIQLKYISIANDLLIFQESNCVVLSGCVIQKRKFHSNHNQLFLISLQNQSGKLLLLFQH PISQKEWYKVLKLSAIQIDFLKKYRILDNICLNFYYVSHKKKKKKYAAQIINRKNFKLYD QQDVINNYIKILRNNNIQNVFPIISIFDENDILYLITEQFVGSAFEQLLSSQNTVITQSD LAFIIFSVLQNLKSLQDEDLFHGNLNLDNIVIINSSSQVGVYVINPIYKVYKSKSIEYYV HTIPDYLVAPEINDTQAPSVSSDIYQLGILLLLVSFQCASEKLDGSFVQKVLKNKQFLVS SQEIKFQKCQDSDYPHLYSACQLDLIKKMTEKDPTKRIQVQDAMKHAWFINTKDKLKMQK QYFNKHLPSLKTIIEMVEQSEQDIRRKSLQQSGINFTHQMVSIQKYEFSPYRQQQPEFSP LKKTSESLNEIVDEEHQISNLIDQLNNKQYLMLPSQYNHLNQANNQLRFIQSENNLDQFQ V >CAK70674 pep:novel supercontig:GCA_000165425.1:CT868085:360963:361718:1 gene:GSPATT00007809001 transcript:CAK70674 MNQQNSDAEMRFVNSTTSSPHTHKLARQIHPLEQTYSQQEYDSTPIPSNNLLVLTKKKIR KELSQNQKCNSGHWTPEEHQTYVEFLQNHQTQSISSQENKKNNKIFKLMSQTIGTRSPSQ CRSHHQKFNPNTPVSQKRIKKTNKQLNSNFQIKLPINNLIKQFYTPDLKPNLEPMLNFQD CHESQDIQQEESFKIRIRKYSYSNFDDNQNIYNSHYNLLLE >CAK70675 pep:novel supercontig:GCA_000165425.1:CT868085:362304:362624:-1 gene:GSPATT00007810001 transcript:CAK70675 MKLLIFFACLMQGLKSNTEVEYANCLWNNCRDLRDKCGQACAVTAEVVYIMQSSEYCLTG SDRVLYYKTCAGLIGNATTGDVQSYYRCLEKCFSTILGFGILLYIL >CAK70676 pep:novel supercontig:GCA_000165425.1:CT868085:362972:364252:-1 gene:GSPATT00007811001 transcript:CAK70676 MKPAIFVTSQIRQLFAKRQGAGSLWNPNSWYQEQKNYAPIPKQLIKSKIKACKVKFGDIT LLNSEVKSKRQHLKSMTYKLIRINSHQLQCKTNDIVRIHFTFQQLSQLFVRSSIELVRIH RYQISYLWRKFFGLQERLKDKSPFQALKSQKLVYMIIKTGDNLTQEQFTSQLICQFDQFL RRKVCLQNQDFMKFNQGADFGMIEMIKNATTIDSLQKNLQKKFAQFIDFSDFLDHFRNNI QQALQIYVQSLTAYSLVCYFLQVKDRNDGNILLDDEGHLIHIDFGFVLSIPGKVMKFEGK VPFKILSDYITVLGRALFQEYILLRIECLLKILKIKYYYWQNDVHRTWNHIACSRKVKLL QKNQKIDLTQEWLLMLNYLFMSRVESQTGIIFVYLRYDKFQYFVQGIFY >CAK70677 pep:novel supercontig:GCA_000165425.1:CT868085:365087:367783:1 gene:GSPATT00007812001 transcript:CAK70677 MEEILVSQRMGPSALTQQLSSKQESPCNLMLEDDYQIESQRDMIENKSIDMNMSRFESRT EFKKHAQFLTNRALRTIQTQKQSHFLQSYKLQQHVHRFINNLFTNSYILRNTQKQKITDK LLEKQFISQHRKKDYENKSVIPIFLPSTNSIMIWDIFGFICNLMMLWLTPFLGAFNNYYN ETISVLQQIILIQLILDFFAQFNRGIFVSAVLITNRKKIITQYLKSNALSDFLRMVIWID IKYQILFTFCFEIIIVLQIILIYQKILRYLQEYYYQYIYSKRGQNFILDLVQLIIQIYYF AHIIACVWHYVGENTYYLHNSWILENQLNEETVWNRYNSAFYWATMTMTTVGYGDFSARN QIEMLVSSFIMFFSSYAFAYTMSSIGIILKNVYDTKQTYKKNLIQMIQYMSKNQVDESTQ GRIRNYLRFQQAQEKKENQDEITNLINQLPKNLQQDLNADIQSRVIKKMKLIINHFSKYT QQQVAKNLELVSFIPGDFIYKQGDQHEDNLYFLQSGDVILMECQTEQNLRSVKNSQYLGY YSFFTGFTPKETAICQSPSELYKISRKKFLDIVRQNQKDFEIFHHIKEKLIFSTNYVLFD HKCNFCNRYIHQEIDCPLIQYKPDLEAILKKENFNQIVNLRRLVIRTKRKHHALGQHQPI EQQLKIFQQDNQFCEDTDSDQEGKFGNQKSFFSEQQQRSSSQLLQTEDDGHFENTPPRQN QKRATQHYGPLKLQKMSSFSKQKLGQVEQKSSQRRMIIQNEEQMALKNYCMIEEVQLLLQ KQFKSSFNLDKVCNTFRSYMPLYTIESQIKELQKVQKKKQKRFWKQNEKLGKYTFSNNVK VLTLKILNQSKYTSKNISQI >CAK70678 pep:novel supercontig:GCA_000165425.1:CT868085:368034:370794:1 gene:GSPATT00007813001 transcript:CAK70678 MNSLSQQFNLESTDQNFCGPKIKLDDNRLIYVQKQSSHDLFKSSLFQISNDYIEVAQTPF PQFQSAKNNRVTRRMLTRKNKQDVNLKLVDNLVFKNLTKERLVKQFKRNLFLRSYVMSQE YKDVIQNHFKYEQNSSKRVEFNSQVQDKQIFLIPGSKVTLILDLLSLLIKILCLWVSPLI VSFRPEYHIFKWFQIGIMFQIFIEIFIQTNRPINISGETITNQKKILTNYLTNHLFEDII DISCWVIQYLNINNISNSVACGLIILVSFKKMLKNYSNCNETMFLKGSFNYALDIFTLII TILSFAHVMACILHYVGQLTVNTGQSWLLKYQIDDASIWVQYNYSIYWAIMTMVTVGYGD ITAANQYEMFLINCMMLLSSGMFAYSMNSIGMILKNNYDIQQRYKRSLIQINNYMKKGQL NQTIQNRVRNYVKHYIQTEFNENYGEVREIISHLPSKLRQELTMGIQMNIMEKILVLNHN FSQSILKQLSQKIEQVKYIPDEVIYNNGDLEDQYLYYLQEGQVLLCEERSNKVLQTIKLG ETFGEHQFFTGFPAKTQAISYGHSILYRIHRNSLIKLFNSVSNKDFQRFHNIKDNIIYLN NYQVILKKCNICNLYNHINIECPLITYRPDRFRVVMQPDKNCQKEMKRKKFKRAGDKINS LAMNSKVVESVQEFTQTQSMTNFHEIEDTNQQLNPKIYSGHNQQNTQGISSKLMIDYKQQ SKDFFNFDKQSSEQQVEMPQRPPRISISGLQNLIKRKVIHNALKKDLNKQQSKQSFNRAP SLRKQQSNARQSSKHLTKDELEGHPSELDQKEFNQLEYIQSHPQQISFSVDHYYNYQGYM QNDNLLQVIKKYDKNKMKNSRLWNPFKNSKNSDGGIFGSMEYSIVMNK >CAK70679 pep:novel supercontig:GCA_000165425.1:CT868085:371469:372360:1 gene:GSPATT00007814001 transcript:CAK70679 MNYNLEENEQEFLENRSPRNEEEEVDQKNEEEGAQNTQNLKKKKKIQQFKLKPEQITDPQ KGVLFLFNLCKDYRFGNDELDELNKYMHVIEEWHYQVMPKYDFDYFTNRLQKFGGNNSVQ THLQFLRKAYKGLIPWHFVLNPLGEQPINDLLNNQTINESIVNNSAIMQEEQPYQNIHQT EQHFNQPEQQQQVISLSSQKKPLKLSLKKQNVSSQVNSVKKELTVRFNDDVQYQDVQKPI QEENFDDLFEQLEAAYKAKEEKKIVN >CAK70680 pep:novel supercontig:GCA_000165425.1:CT868085:372525:373424:1 gene:GSPATT00007815001 transcript:CAK70680 MIKQLFKLRVFTFCQEANFGFKKVKLEEKQEHVNQVFHNVANNYDLMNDLMSGGLHRCWK NSFVEELGSLKNGDSSIRVLDVAGGTGDIAFRILEKHKGNNLFNENLKITVLDINQSMLD VGQKRANQLGFQNQIEFVCANAEELPFESNTFDAYTIAFGIRNVPRIPKAIGEAHRVLKQ GGKFQCLEFSKVQNPVLSFLNQFYQFNLIPAMGQIVANDRHSYQYLVESIEKFHSQQDLL KIIEDVGFRFAGFKNYMDGVVAVHFGFKL >CAK70681 pep:novel supercontig:GCA_000165425.1:CT868085:373435:373617:-1 gene:GSPATT00007816001 transcript:CAK70681 MQQLYTLDNKMPKQYFDYCIKKYDATDYNEKEMIIEISEQAMKEIQILKWFHQYHNKQQQ >CAK70682 pep:novel supercontig:GCA_000165425.1:CT868085:374792:375388:-1 gene:GSPATT00007817001 transcript:CAK70682 MMPTYYNQSIALLFNFKQFPCHFLYPFFNNKVEQKLDVKQEEGEQSQGKIEDECDNQLKL EKKEQKNKENDTDYNKKIHIFPGQTKNYYKNMGQKILKFIVEQFQDDAKVMSDSYIKQFM KISSQGFNRNALLKLKKSRIARKIIKLFFGNYKWVKPFISQHKAELDLYFRYNTQVYCPQ KKQKILEKSINHQIKQEE >CAK70683 pep:novel supercontig:GCA_000165425.1:CT868085:376433:376927:1 gene:GSPATT00007818001 transcript:CAK70683 MDNNLYSKIKFQYILINWTILFNVLIMVQSKQVFLFIHDYILCSISFFNYSIISNLSQIK IQLNFVCQIVIFNFLQRLNFHQALLSEYLSNLPQLFNLLLLTFKYFKFNKQTRLRNLINY YIIKKKMYSMKVLINELISTQLKLDRNDYQFNAIYLQTISGQNK >CAK70684 pep:novel supercontig:GCA_000165425.1:CT868085:378044:379829:-1 gene:GSPATT00007819001 transcript:CAK70684 MIAQNLGMLFSQIELFYFQKVEAPMDLDQTLDLMEVGRKKDLNQLSKIYLSFMKSYLAIN DKMLIAINHKAIIQSEIYIPKIIEDLKLIMEIIQNYEDRQDQYPSQLALVLNWILATLTL IIIQSKNNCYRVIENLLLKLIKFSLKIIYIPLKKVMIIFLVLLQIELNEEQPSDGQYWLK MSEYQKAIKQPSKFFMKNASSTEQFYRRLILSQDENQMGQIIVVGLLRGLVKTAGSVSNN QQGVDIHREWEEYYLNDSKLASADYSKYKEQSNQQQDLRTQKNEVIDEFDRHRIVLNYAI TEFLLLFLKQLRNNCKVQCSYLIQLITDANGVLVFLKFFEKFNPQSISSTKIDYDQIMCK QFGEANLYYLQQFFRQNQQLPYRLQRICNGIVKFQLAIKKFPGMFPENKKIKKYSHLLLK IQILNFNKKNLKLGNTMKLISEVYCKYKQNTKNENKNISKQDQLLDSLYKFEKCQDKIYN CDITQSQEELRKLHLDHNNYHYNNTIDSIPVYNDAEPNYKQNDYLEKLQNQPIDHDFISK YEEWLEENVWDYYD >CAK70685 pep:novel supercontig:GCA_000165425.1:CT868085:380215:380754:1 gene:GSPATT00007820001 transcript:CAK70685 MSNKVQFIEQNYTEGLLQVPEHLIGQVSKILQDQFGFTIEERVRQQTPQQQKVLRQQLNL IVQILLCSFKRYCILNQQTTIKQQIENIQLKKQFSKKFCLFDLHQLLYENQFSQEFRDEF ENYLCNGSAEKDLKESRQITQDLKKELKKLISQLLLEVLKGNPITSLLKHRLNIKKFSN >CAK70686 pep:novel supercontig:GCA_000165425.1:CT868085:381597:382685:1 gene:GSPATT00007821001 transcript:CAK70686 MCYRDNIKIYNLLYYQFYKLILNLNYENEQNYNSLPQFKGQQYQFSFLGYAMRFWRQIVF ASIVDGKPNKLGRLLLDSFRNVEEKDMEIEIYKYIIGAIDLCSNEYTIHWIGHSKNFQIE KFIIDISNNELNTIDLKNNAFNQYLLQVNEQLAQFVSYYPTWIYEIYYIQYSLDLKLKKL MSEIKETYKFGNESQEKGLITSYSELTTGFGIFSEDDINDQWRRMDLLIELDAMVSIKPS YQKPNVTEENKPYKDISIKFSSQLKPINEKVKSIDKQYYQDLNELRQQYWKNLRNIRKRN YILEQRFRNREIDQNGTPYQYNKRQEVKNENLKNFVQSLKQIEDYRQIKEKFLQQVDLQL KI >CAK70687 pep:novel supercontig:GCA_000165425.1:CT868085:384885:385170:1 gene:GSPATT00007822001 transcript:CAK70687 MSSLNQQRQYQQQQKQETQKRLEQYICAVKDLQSEIKVYYGMLERNEEPTSERFKQTAFK FLRLEKEMNNQIEDIVSKIISQKARK >CAK70688 pep:novel supercontig:GCA_000165425.1:CT868085:385230:397292:1 gene:GSPATT00007823001 transcript:CAK70688 MNVLLEKELQKLAAGNYQRASDIKDSIENILFENQLNHAEIGLCSSKIMASEKSFLEFLT NHVLDKDTYYAQTKKSLYQILAKYIKNYVQYVSEYLESIISTCIQNFKKEESGVAKEASL LPIMKIYKHSDQQILVKLQVHKNLYHILLQECLETKLTAGVKGRIFQTLGVLIKRFNNDL GEQGIYLHAFQSIISQIKSSKPEMVIIEGILKFFKQCLMYHQFNQEQISLLYQALVTFCK PVEDLSTFKVPIAACKCMKQNLDIFSHYLIIKTNALTLFDQYIKILQHRNRDLKECVQEL LELFIQKISQQLDHSINDHKIIFNVILNKFIEILNDNKADMFLMTVIIRSVGHFAKAIAN LRGEKQLGDFFDKLNDLGFERVLQVYEDFYKEEEGNMKRGAQFKVLLYRQKQLNSFLISY ANIIKNLSKISDKQIQHLFALCSLCIKQHYNYFENYRPYLYDGMANIIISIFGHQTIGIQ FVGSLLSKGVIEGLKLGQNQLDGDLYIKTCQEMSKFWIGIIEQKVWTQHYLTKFVKQLFD QIAQLIQNCNFESIQKEVNQIDEQNQQQYTLKIQEFRNFAVNPTQLTQFHRFILMLSALI PKIPIESAVISILNLLNLVLIKIRKYPKALLLLIFARVLLKYIKETQLINQQFFEARQKQ ILINLILKLKGLIQNHLKSYSSQLQIEALNVLLTIPVEFIVKDNYLLLKSEYIQIIINAF QMNSNSLSWSAIDLLIQLIQSEYNQKNQKNLKYLLKILKNVIPKLSSYMQLVPEQEKPFY TPIQYIDSISPNILLSESHIDQNHIVDKVRYFLGSIGGLCHLIVDETYNESLLALDYNSP ITINIPVGQRKMKLRFGQFLERILSLCLSAPLEKSKIYACELLQAFAIYMIGDQAVNGTK AVAQNTKKKFEYANIYKKMFPVMIEISNTMDHPCRTYFKEHLTRIVHWFANSKQYEAPDV AQLLDCLFEQPINKEDPQLEQFCSQLIGEFIQWTLKQTTPDQLKLNYSNIKTVMRRIITY ANHPDFRYKSMSLECILQFVKHTQQEKFIVSKYFIEIVEQLKFIFIVEDKDISRIVSNTN NIIDIISNGFERFTQDLLQEMKGRQGKNKTLYSLHEFLLNEAMIGKDGGWQQATLSLWYL SVSKTQKVIKKNARELLVDQIAEIGQKMKLFPKYPINRLQGEQNYTSLRLDAESLSWQCR IWQFIHDRNLFTLDEIIQFDDYLITTYNIHQFLRYFIVKNQKHLMDESPLFDMIMTLTQD NFNPSQNFQNDAGNIDNWLATSLPEKLVEQLITTFERVYKFVRTFHIDVEQYYNLSIGII IRPSYFLKGIQLDLYFGQVDSVVNEAQRLLQGTIKFTEFRQVLNEIFDNQFVSFAQTITN KDLIKDINELKFFLKGLKWISNQCSDDQDSNNFFQECIDQLGQVVINFEQGNDQINNIEY VTHLFEFLLSEQRAKNFVISNLIKASDFRQKYEEQLIAFVARNFESIGQIYFQYICKETH LFRQGILLVNKVPQNQVHHFFKFQFKVNRENSALLLLEIQINNIVLNQTKNINREYVKGL LKDGLNTGRSIEIHIDALILFGLYIKHIDTDLPNYLNDLANKYFPIKISDLKKGSAEELN FKTILKKLTEMIKLCGQIQYIEVLFPLLRQDLNFDLFIQEFLQINQESYQQLKWLMLQIK DEQLDTSTLNNVRIALGNKLLIPLLSNSNLLLEFYSEFTPYLLQQLNVTWDDNWKNMLFQ LQWRGLIFDLFGIAYKRMSTEQIKKQLHQNLKFQADNEITKKLIVDCNKASKFKFEKINI LNINQKEILSQVNYLKIVEDYQSSAFITYIYVLMKTQTKENIFYAHLFKKERDISYWDNF INKEWQFNFQVETNFEEKPLYTEVKKQQQDYFQEKIVKNYLYTASLSVEQVTETTMEIEE ENENLQSTVEVQKIEMDEVNKHKLMIPMLSLIDFMANKQNFQQQIAGQQQTQQTQQQEEE MPSWMTAIKQVFNDDSLYLSLKIFMLKLIINKSDIFNRYASGWIGVLLDYCTKENKINGG KGFHYFLRDVIVVLIKFSQKLEVRQEYLQMHPQSRNKICSVINNLIMLSADKNRKIFDQN IEIIGMFVQLYRDSMYICDKSIVDMISKKPDQKNQQLDPQAEDTSVLWQANGLAILHIAL LNNVVILQDYPEFVRTANSSLYEKYRFILQKQSHNIKFPTEQINRLACTYTTKKHVLKGI ANVYGSVLQCCQYLKVSGNDYEQEIINIVTGIKADNRPGYGKEKFTTFMKILTFVYPKVL SNNKLFQETANLIVQASNKERAEILRIFSEFLEQIEQSTQDPEFYINEIVVSISGSIDKV IEDQEESVHYALLNLLKQLVQLKCLNACTDLILHCFNQILDKIDQKYGVDRDIGIVKIYQ NILKLLHEYLINYDHKELQGKVTTYILSLFTSQHIEIRNLCFKYLQDDLERKAIQLQYQA GQQTTQIDRVISLMKDIYQPSKETLWLKGAVPLLLSQSTKTQEYDAFMFDKNLSDEAHYY DQEFNNKKYIRQNNMSQMAGTQFGSQFTSSSQLLSQAIGASQNNPGNKNPVNQKQLIRAT QEVIQQSQYFDEEGFRIPMKSNRSHLSQFLQANEISFQQIQLTSNNQIRYKPESATSLRD PTKKYYKLKSQQPSSQMYTSTQEGFEKFVQNRVRKYREGDLPDIKIKHKDIIDPLIVLCF EDEELAGRVFTALFQELYDRNTSAETLYEVLLGLLQRSQCKTFIFISTVLRTLHQVLCKS GILLPENKIVKKIGLESLAYYQSMILTEECILRLQEDNENQEIRKSFFWMDLMDLHQSQG NKAQIEGIINELFKDKPAFDSIKQAMDYKHQYSYVRANQQLEELLDEINQEGYDEDTIDG RMVHYLQQEFEDTCMKLGQWEKLDAQLKDVTQLTDRELNANLPLIRRTFHKYMSINKTGE FDLQKAKYLERRTEHLADEFLLEKTLILISEQDIDQSRYFLQKAQRRFLTQWSSLLSQNE LLSKQLRHKHLEQLQMMHEMGEIQSIYSGVEIDIDKKMQILNTWAVRSPSLQDSLYTWNR IYQNRLIMANQTHPVLGMWALQFAKGVVQMNYFQQAANLLSICFKIYKCENWSTYSTLAK LKLKEERFESDQRDLETIYQKLNDNFDRYDQHKKLKLFFSQQAQKNTEKPVQFRFNMLPI ISNQHYLKINQDLVFMFNQESRKHNNRYDFNPFYQRYADAIMQTFNGYLELQIQLHDENI NQSKFYNKAAMFCERCLRVIEPDSGGSNDLRKILENKNISLDMIADQFVRYTISSFKFNA NQLNKIETLLIKVLKVVQKFPNQIGPVFQDAVEKGHLSTWIFLQYLPQLIHLINDNVRFQ YFKRIFDDFIKKYPQQFVYQFNVTYQTRKEFKPDSEASKMFDKLHQCIPKNYAFIEALNQ LTHPEHRLQAYLNQIKDNSEDIDTVIDKIQEDFFSKREDFYGSYNLAFIQKKKNQLNQIL KNKADSHTINQFAQEISEEAKQIQVKNQQMIHDMSRWFQNYNEESIDQVGKQTEILIPIQ LSGMNEFDIQMIPKIASFDQGMLVLSSIRKPKRIKIYGTDEKQYLFLVKGGEDLRLDQRI ELLFDVMNKILPDSDLSTYGVFPMTKLFGMLQWVDNTTVIKEIIEKEHQEEEGLELFKNK ALSKRDEYAAKAAKRQGQYNEKNKNFENATDVVSNFNEQKKYVKDTLLRNGLSKLSANLE AFVFIRDKFLINYAGICASGYILGIGDRHLENILLNYSNGNLIAIDFGFSFGQGLALPIP ELMPFRLTQVFEGLAKPIGLGGLYKSQFVKIMSALRKKRHILLDFCEVFINDPLIEQIKI GKNKGMSDEFRQRLEEQSKIEEQVYNRTGDSPRNMKLIGVHCSLILLEEFDESRHQSARY ADNLRKAIQGINQNRLRHQYQKHQTLSVIQQVDCLIDLATDPNILGRAWKGWAPFI >CAK70689 pep:novel supercontig:GCA_000165425.1:CT868085:397302:398853:-1 gene:GSPATT00007824001 transcript:CAK70689 MRQQQQLPRLSEDDQFPGRLHTQSRKLKFGTTDNFNKKSKKQSPMAESQFIKQIDNKLEQ YNKDIEQRNDMIFTIEKGFESVRQELIKEKILNEEKTKQLQDLGQQYQAAQSKLKSIHES NKNIGQQGQDKDKDKIQQLETVQKTIQAKEHQLYQTIDSLNAQLNDITHKIKTKEDLPDD SKKLKDQIRKSEEKKYKSQAIMDELKKNLESISAEKLLIKNQMDQYKKEYLAKEECLSSE FNNNQNKVKELQKEKHQLQEQAKKSPLNIQDQNTKLQEGINQLKQQLAEQNKSKDKEVNQ WKVNLDMQIKNIDQLTHQIEEYKQKIETTSKNLNEKTNSVEQNTKAIGELEKKLRDQQTE IKLLNQKIEECNKKLGDTKPKNMTQVIETISILEKTHVQYDSYILQKEIQKGLACTFCNK FIKQPVTIIPCGHSYCFECKKGYQKECFKCGPKLKIEAMYRNELLDDIIEMVKLVEQSIL NMKQITQNQ >CAK70690 pep:novel supercontig:GCA_000165425.1:CT868085:399140:400023:-1 gene:GSPATT00007825001 transcript:CAK70690 MNLKITINQQASISEKLIIANLEQLLIFRDNTFNKIDCDQQITLKDAVQISQILNDQGVL NYEGEINEQITTYLEACGLYSQGQGQFIKRTLQTKKINIPQQDFNNCYGKYDYIEQKFQN QINFFKQVDLKGNQETIDENELLNDGVEVKQVESCASKPRACANCTCGRKEMEEKQDKEQ LLEQLKNNSVKGCGSCYLGDAFRCANCPFRGLPAFKDGEQVKVLQDDVFLKEKEETDQIK LENGKVKLMI >CAK70691 pep:novel supercontig:GCA_000165425.1:CT868085:400044:401975:1 gene:GSPATT00007826001 transcript:CAK70691 MPKVVDNYVLERCIGKGQFGEVFKVYNKQTQEDIAVKCVKRELLKGKFTELLENEINVLR TCNNDNIIKLYDIKKSINNIYLIIEYCNEGDLSQYIKQKKFLVEEEAVDYLLQILNGFKT LVKNKIMHRDFKLENILKHDGNIKIADFGFSKLLNDHQALAKTMLGSPLNKAPEVLNNQE YDNKADIWSIGYCFYELLFGKSPFTTTNMVELLENIKTQQFVIDRKVNNISPTAEDLLNK MLVVNPKDRISWQDLFNHEINFYQEEKLKKDLETALKGGEVMMNMRKFYIKNNLVFDHPA DLKKKEDLNNFAIQIAQKGPQNQYQQYDGPILKKPQEENNLIRQDQVKNVQTNQGSTQDI GNDEVIDKETQREKEIKAKKRNANRILHERNIYVFLASVAEEAMSNQTIQNYDVTGFLLV KKLLLQIDFLKTFLQNKQNVYGLEFWEQFTLSKDYKDIHTHISKEFDVFKSYFDSIYEKL SVQIQYSTKVDDTIKQSINSNIRQSNQEILFKCLVDYLNLLIDSLKSLIQDQYRQHWVHA DRVLDCIRLEETFQFEDKSTNQQFNFKQYYEKDNLLEIEALAKKVLQKFEKLK >CAK70692 pep:novel supercontig:GCA_000165425.1:CT868085:401991:403972:1 gene:GSPATT00007827001 transcript:CAK70692 MSRKRIEAPPLTDRSQFRRISQKSVDDLNQTLHARINSMVGLDEQLSTKIKQLQVSTFTT KDLTSIGSSLQDMVTTLQKVSFNEIMYNQLLRDNEKFKNEQSYLKAQLFKLNHLNSKFQQ ENQQLLDKIRSQEVDINNLNKKLTAEQKLNEELCISTLLILAKKLKRSEQRVQIILESNI TIQKDQLKHNLVEVLTENEHIKKDAIQKQKDYQKLQMVNNSLNQKLSRLNNRFQIRSKVQ SEEDFIDQEKMVITFTEIPNNFVFRHLIFKLEFIQSVLEFSTEDFLVHINSVNPETKKMQ LTWLFNYMINYKDFNLAHNVFIIKLSKLMQIYSYDEMYNFFTTLSSFFKVSHIYLWLRDF QTGFFINQLDGKQKKIICTKGAFRDCLDTRESVNKLTAHKHIMYQNDLGEDIYQDSTYIF PIITEAALPAGLIEFHHPIQSNNFSDIQYFASILGLYTKIQIQKIDEEIHKNSLLKQTDI LQLQFLKLMKAIDKFHFCELMKEMQCKIMQLSTSEIVFVENNGLWKYHNQEIRKLDNLAG VCGQVAISKQPAYFTNISKELNFNQIVDMYSIAPLFVYPIVHNNQTYALIQVSLTNKQID KYRFKDPLISLSSQSNQARLFCDYVTTAYIHKFL >CAK70693 pep:novel supercontig:GCA_000165425.1:CT868085:404026:405424:1 gene:GSPATT00007828001 transcript:CAK70693 MQRTDVLANRTNILIVFLIICFLVAFYGRQSSEYQTISERYEPEVTESEIRYYLENEFNI NDSDQFGIQTIQDWLPIQSELAHLNLALEYFSRLNLEKLLNKQALLEEEVNLCLNLHLNQ ELEKYVNEINQSQINQTINQDVLVNYNTLFEKKISLNDGIAQKKTELDTLSFEEKDLKQL TIQFQLKEFETIKLTNKQKQFQKHTDDIAQLEQEIKEHNEKRIIHLSELKNQEDLTEEYK KDQELAKNMSRLFKPAGTKSVEIHDEKLGGIVNVLDQNIKQYNTTEVFLNGLNFHRDIEQ NYLEKIEKTISKCQMSLDSKKKEKAKLEQEIEDLERQKKEKNEVYLNAVHRLEEIRRRQN DLREQTQVEKKMLDQVIYELKYYHPQSELQNNEKIDAQKKFKEYLYQHLREDQLCVEKCI EYDSLNQQINIARYKISLKSIQKHFSELELKFKEFKI >CAK70694 pep:novel supercontig:GCA_000165425.1:CT868085:405467:407194:-1 gene:GSPATT00007829001 transcript:CAK70694 MQQKLSQVNTKTNWDDIRKEQMRQIIEQGSNMTDDDVVYLKHCIKNFSGPTAYMWLESNL KGDQINEIIRHMKIATSNDGTNPIYFVQEGELTSKTRVYKKAEVVQNEEVEFGYGAIAFQ VDRQLFNSFKNSTELQELELKSQNLQRCIPIRSFDIQKKQTMLPLFKETKKKFGSIMTVP EGGTASLYFQTKGTTLIFTSTKSRNLIIVGCIQNTGVFNEQRLLFEQGSEYGSISICEST LYQLQAEDVFKLPDDCIQSLRAGFLAKRIIYKSIVDKCQQMKEDDDFVEFQDRICKKYSI EYSSILNVIQKNTKNGKIESTDKQEQIEKNKQMFEKTIGGNRMNNVLSQMSDKERTLCLG TPRIVNKQSTFLGLTQEQETSLFALRQLATDLRKGNSNSNQIHPLSKESVNKAKQALLQE EKINIGEMLQRKTISTKLQEDPSVLGKMKKDNNELLSSYPQEEERILKIHSEDTNKESKS ESETNNDQSDFKGRPQGRQSSTKGQQTAQQIQIDKKNKLLQSLV >CAK70695 pep:novel supercontig:GCA_000165425.1:CT868085:407686:408063:1 gene:GSPATT00007830001 transcript:CAK70695 MSIKVKISKRGQQYELQQKENYNPFGNLSLCPKSIIALAQSSYDSQLIEFTLNQLKTPCN LKHETHLLRMRQIADKQETFVYGQMNINSQIETQVQYSDHSFYDQMDTSHRKNSLHYFDE SHQHF >CAK70696 pep:novel supercontig:GCA_000165425.1:CT868085:408221:409576:-1 gene:GSPATT00007831001 transcript:CAK70696 MGDKQSSFITLLITAMILSGAANTIVYKLQNTSKVTVDGWEATNFNHPFMQAVTMFLGES LCILLFLNIKKKPDYKQGCIEAAAKGLKTKVNYGWVAIPAMCDLTASTLAYISLNYIPPS IYQMLRGGAIISTAIMSTCFLKRHIKRYQWFGCGFVLVGITLVGMSSFFFPPKKDNDDPD SSSEIGAAYFISVGLLLLSVVMNGLQFVSEEKLFDVYYLHPFEIVGIEGLWGFSVYVVLA IALTFIQCPTSMNNSCVQKQMTDMFYFERADLYFLQIFANGLLLFWVILGIFTIATFNIC GVSVTKYVSSLARSLVDVSRTLIIWAVSLAITWIDPEAKWENTRWEAIVLELIGFFVLVT GNLIYNGTIKLKFLDEGSQVDPLNDAAQQFLDNKTGQSLQPS >CAK70697 pep:novel supercontig:GCA_000165425.1:CT868085:410477:410935:-1 gene:GSPATT00007832001 transcript:CAK70697 MDNLYIQEIRQRYHSSMTYQEYLDTYDKPPPKPYIRPKESPQILDPLSLEPPKGPKIQQQ KLTVYSPEDVIFEESETDEKLRPANHIPCLKALKEYNVRIRSQSENLGFSVFRFLQQKKN KAS >CAK70698 pep:novel supercontig:GCA_000165425.1:CT868085:410973:412367:-1 gene:GSPATT00007833001 transcript:CAK70698 MKQLLQNMVKTYKQMNKSDDKVNIKKFSSLQYPQCPFGGDHSGESMSLICLEKDCLQNQI LCCCICQEEFHKGHQLKPLKLLLCEYDQQLREYQNISLKQNEKDFLIKKINEQEEKSLIY IEDLKAQINNKLSKILTLEQEFFENLRKFVKMREFSNGNQFTVIETIIQNQTNVEMLSKS VKILLDTLVQEIPEKDLNYEEISRIFDFHIKDQKDHLHQLETQLIQGIEQQIVLLQSKTQ KTIFQKACQFEFLPNNKHPQVDILQPKIVKASNSTHGYKFAVMTPSLDKNQTTVFGFKLN CVHQSNWIAVGVCDLSIVQNKQFGFAFQSLGHGGYMVSSNGGAWSSTTSNQNNVVKCFKF GKGDIIVCTYDPNNQTIIFHKQKSNTTFKLDIPKSDQALYPCVLFYYALDEVEFIQPEGI NKQ >CAK70699 pep:novel supercontig:GCA_000165425.1:CT868085:413294:413652:1 gene:GSPATT00007834001 transcript:CAK70699 MGLDLRSPIEDGVDDDINSTEQLSEDSVESLEDFLPKIHQKSNPSCIMEEKIIQYLKQLN LLFKTDPRKKEFEYYEKQKKTIPEFLGSVEGSPAIKSIKLKTKQMILQKKN >CAK70700 pep:novel supercontig:GCA_000165425.1:CT868085:414948:415391:-1 gene:GSPATT00007835001 transcript:CAK70700 MGLVCSNRRKINLLIQENDKSNHSQLLLPTSFKQELKHQRDSTIEFLLQQIELIDTQYAF SQALLQNLNELIIRRSNILFLRNQQMSTINDHLGYCVLIIKQLQGNEEFEHFFPILSYCF YEQCSKLEIYLKKQNESTRYCSLQRIS >CAK70701 pep:novel supercontig:GCA_000165425.1:CT868085:415696:418247:1 gene:GSPATT00007836001 transcript:CAK70701 MNQQNQGPSFLKLNIKEKANNKDTADQIQEIQQIKIIKQYPHNYQQNNDNIKYLQQATSQ LYYAMFQIKDKSNLQMIPFAQEKFDEQNWLYKAIMNIIKEKQQFLDELKDEEKNKYKQAI SWTEKILDQQAAFDEFYLQILEVYKQIKRPQNNNLLVLQQNQNKDCNKFLYPFCFIQNLN LEQNLQQSIQELDDRGIINQSSIQSENFQKKNLFLSVASDIQQIDINFWTFYLKDYKETN KLIILNPITSVEQLNNNISNSGEVKQNNTSQEKLKNNTRNEIIVPIIYKDPVSDNQMKII YNSILDSQIKNFKPDCIYLEFQISNDFKLDFPALEYLIRKLQRNARLTIHFRISLDKNVS EQDLSLYLNAIIMGLQGFRHPERKFINDNDYKGLVDWTSKMTKLKDYQNKNTKQSFQTTL QQIQTCLQNTQEKNFGIIQGKDEFKWLQKDNCNINSQHLVFEKNIVVYDKTQNKIWYSSY SPNLMNDNSEGVPLKLQFQVIGDDDHENPIEPSIIELKNHLIIAYGHTQNDKHFSDKIWR CDLQNKDYRPIEMNRNEEYQQFRHTYYQKFKDSNEIVNKIKWRRAPQICKNNLFQDNENF LSFLLIGGETLVQEKDGQSNPNLIMNIIEVVILNLQDNKFCSFILNKQSQGKFSSLKPWP YQTVLEGKLNNACFYLILNGNQNMRKNYYSFPKNPQYLKQVQLIVQSESSFQLFFPYIKF TEDLIIQIDELIDDCKVQYFDEESSGENCFVWKLLITRYVFNEFSLPNHPLFKKFEEKLK KMQQQSIQHCLVCFQVSVKLKLQVSEQNPQNYQDSIVEIEYEKIEVLQQNNNNN >CAK70702 pep:novel supercontig:GCA_000165425.1:CT868085:419036:420542:-1 gene:GSPATT00007837001 transcript:CAK70702 MAQQLPKIQDRLNQSIENPFYVPDEYEIFKMKEKEKQIKMEERIKFQNLRVHEKGIKSKG KLSIREINEIGKEEDKNDKEYNAKINIIDAADNAVKNRVRQKEPMYQFIDKKREMLLFQM LIDHKRGMIDEFEKLTKLHRLGLEKSEQLIEEDVDLFNKFLEQNKMSSREAIKEAEKETK VKQEKNNEIKALQEHRTDLMTKIQQKIDNLEDLLKYKKFLDKITPKEFQMKQKQPKQQQQ QQQVVLSRNNQNNQMNNELQQLLNDSDDEQVTYFTQPKQLEEIFQQLEEKNLFLIGNTKE REQMVEDLRNKYNQKVKTLEDKLKTALQTKTEFLKQIDQVNDQIKALKAIRSDSEVFEPL KNLENQIAKIYRSDVNVEPRKDITGIEMLKETEKLLEQRINDLKMFRQIAPELVLEKEKN CIKARKDIVKQMKQEQDLLDQQKKQKEQQKEQVVHKRTGRPIMVRSWPAQVQVEEQVVDD ITEEEKERIKYFEQ >CAK70703 pep:novel supercontig:GCA_000165425.1:CT868085:421787:423042:1 gene:GSPATT00007838001 transcript:CAK70703 MSAKDLLIQLFGLPKGEIIFQDYSCALKGLISKYGRVFVAENHICFYANLAGSKTNLVIK LDDISKLESKNKSDIDITLKDGRIFCFNGFHDKDQVYNLMNALISGQPLSNQQTIQTTTD SARDDDSQIENAEVEIQFLQAGASMDQEMCKFTFSFNLDKFFEFFFADDALVYSIADHRQ SEKDTDIQLSKWTPMEDNPAMFQREMKNVIKLTGVPFKDKSRMHKLFTYKKEADKIIYTC TTHTLDVPYGNCFQAEEKWEVSSLEDNKCLLKIFASVVFTKSTMMKGTIMSKTMSGLKED YEKWINNVKIKLEAMAKSQKSQASNINHEFEDSKKLDDNILNKIMQTNQSQQQKLNTSQS QFYQGRKSELLYLLSIFLLIIIMLIQIGILNKQSQKLENLEQLVLQLQQR >CAK70704 pep:novel supercontig:GCA_000165425.1:CT868085:423051:424129:-1 gene:GSPATT00007839001 transcript:CAK70704 MKALILLVLALSAFAFTEQMMSLEELANFNVKTMDCSRSDQFSFVEKQMKQWEDLLVHQK AVSHDIKILEQMEKMLTTKHHSFLEAQVSVSGKKLLKKLHKLELPLTKSQIGLSQVKALR EQCHALDSENHEDRAAAKKELCKLLREYINSLNNCKQQCRSTPITVIKIKGQIKDLEIIR GGCTSNGAQTGVKVTSQDDETHEITIHHHHKGQTTTETTQAAGQSNSESKAKVESHESSS KSSSEDSSSNEQTSNAETGEAGEEEVSEETTETADETTQGEEETTQETTEKGGEEATEET AEETTEETTEETTEETTEEITEETTEGEVIE >CAK70705 pep:novel supercontig:GCA_000165425.1:CT868085:424544:425678:-1 gene:GSPATT00007840001 transcript:CAK70705 MKNAVVIINQSYILFAFPIFENQENLKQDETDVIWIYSLENQDWDKIKREQDNREQYNDK FFEQPIEKPDKISDKQQKIIGQTVCYQSHIQEGVKDYDIYSIFGGELIDSFKPVNLIQYI FVNLQKKLFYSKYIRRSLNPFKGSLKPSPYQLVLPITNIGQFKEIKCAYLILRPFNQTKN NYYEAMLNPSNVTKAQLVIFLKQTQTTIFLDIRYKIPKSSKYRLNILEMMNQDVNWMEIQ QSKINKWQWKAVFTNFIKLKNLKLIDKTSKFNQIPQKTQIRKDSKNEQLDKQKNRQNISQ DVKQNQNKGKLIHHDVSNGTLVSLQFTITLKYEEYEKDIQGTGIDGLPFTSKDWLVETDI SWDWILKQGE >CAK70706 pep:novel supercontig:GCA_000165425.1:CT868085:426829:427888:-1 gene:GSPATT00007841001 transcript:CAK70706 MTNELKEMKQERNYAYKLNGKHIVEEEKIQNKKKVYTVVIKQAIFNFKHQQGSHQNELNQ NIQRSICDSQNLIYYSIYLVKQKYAEFKYIEKELSKLDVDKILSLLGNGDKQKLDPVKYL QKLNEEQLKKLNVPETLQVLIQQSPKQLDLVQYLDKLEPEELLKLDVPQILQKWIKGDRN WFVLIKCLQKLNKDESFKLGIPKIIQKWIKNDPSMFDLANYFEKMNDQQLQKLDASITIK NLIKDDPQKLNLFKYLQKLNEDELSNLEVPKIFQKRNKNDQQNLNKDESLKKRRTNITFS QYCFVDRKFFQKLSDFQFLQRKIIEKNGLMAKRSKLESAYIPG >CAK70707 pep:novel supercontig:GCA_000165425.1:CT868085:428681:429587:-1 gene:GSPATT00007842001 transcript:CAK70707 MRIFKQAFESIQGKKGEEENKPGSKGAFYIEEQFMTPEFLYKQNNKEVQQGTQKKINLTK QFFVTMATMENKFNLSPIKQINMVDQLVGMYQECIQQYDTLMDPIKYYFLDKIRNIVQQA DKFTQREPRSLQSSEKKIQQPIQIINNDVSYFKDFQDFNDRMDKPTEYATPKNEDQYQQI DNKKLVIQLQTFLEEGQRNKDNQDISIKNHESPQTQINEIKQVQKFDESSSKKRSPKIEF RNENNETPIPKLLLHQEEQLNKQNVVDSQLQNQSRSIQDRLLARQKSQKKKDI >CAK70708 pep:novel supercontig:GCA_000165425.1:CT868085:429613:430569:-1 gene:GSPATT00007843001 transcript:CAK70708 MFLRSARYAFSSFPSPLVSVQYLKQNLNKVKVLDCSWYLPQMNRNAEQEYKKSHIPGAIR FDIDANSLQETTLPHMLPKTEDFERSVSDMGISNSDQIVVYDGMNIFSSARVYWQFKYFG HKDIAVLDGGFPAWVRENCAVSDAPPLIREAKYKATPQPHMLRDLNFILKNIENQNKGKK GDQVLDARPAPRFNGEVPEPRPGLSSGHMPYSTSLPFSQLIDQKTGLMKTSEEIKEILNS LNVDTNKNIVCSCGSGVTASVIYLALQRIGLKNISLYDGSWSEYAAAKDVEIKKK >CAK70709 pep:novel supercontig:GCA_000165425.1:CT868085:430655:433006:1 gene:GSPATT00007844001 transcript:CAK70709 MQQSDKYQIVRVIVIILCLLSMIGCSLILWTLFKTPRLSKNPGSIIQRMAIAQIIITFLM LFAQFYIDHVSNNDVSAAFIISTNFCSFIGFIEIFFSSEYILYNVYFPINLYFSLKTQNY NFTKYFTLMEIIFLMFSFIFTLTMVFVKDVKINFVGVCGLLLQDMSKVFGSILAIITIGV LILLILIALEKSSFKTTIYNKDSEKFHRKYHKEFKIVNILYTTVFLVSYMIPTCLMFWNQ LNTSQSEHYYIFPIIYPLGGILLFLIRINDPIVKKYLYNVLIGKKKNQNQKLKDKLLQNQ DFNIIDQISIESGLEISVCNNKKLRYTVKQVLSEKQIQSDITLSSPFGSGFQPKLIKGNQ DLFIILLSIKNAINQCIGQTSYSLKNLKPFHFNHITKYQLFLQDDSKEMDQKYKNFNNLC LKDYVNEICYKRVINCYSYASNTLIHLFSQILNIHLDQLRTSLRIEQNTKKIVNTKLPTS YGPIFLTYDNYFSIEIISKQHKLLLTKGGGLMNICKRYQTEYSKSKNGNTLLPAILGLYT IQIEEDKFINVVLKLNQLKINYPLQINQISIPEEQDHLIQQDVFGWIQLSLEKGQFKLFI AEKLFDDRFQIRIEDNDFKLSKSAAQDLLTTLSKDIEEFCFFRNLTLSLVYFKLPTNRLD SMCKHENSLSYHYQLIKNSQQMTPLQMNEYFKGLGQFELDSKIGFVRIYIDNFWQEWEYI QENERCLYFDKLTEQLSEMI >CAK70710 pep:novel supercontig:GCA_000165425.1:CT868085:433008:435186:-1 gene:GSPATT00007845001 transcript:CAK70710 MVIPGGGEQNDLDKFVLKPSPNKFRTLSTRKTNIINDSSVTQSKTNHTEIVKNSPVVQDL SKKIPINMAKDKDDLYAETVYLKDIINKLTQENYDLKAKMRFLNKNTERMQTVVQNVGGY LQQKYVADKQDLALMTKLGISENLLTITLKKQIKELRTLIKQQNEEIQNLKHDIKYTKIQ ELEKEINVFQEETLRLRTLLEQSQRNEQIIQMTHDFNQFEEKFYIQMQIINSLKQENSFY QGQISIEQEEKFKLQNQIDTDQKVLNKQKQVIDDLQQTLKDKLAYIDGLQQELDAYKDTN QNLIQKANKLDNNQLRIIGLVKIEQELRKELQQKTRDIEYLDKTTTELKQKLNEKSSMEA KIKDQLQEELKKLKLAYEELDEKYKHLLLINAQSRLKETAPSIQHKLAPTAATLNIKTQR PLNQNNTPDSINQDKNQQQQKFKVIKKDDVDHLGLELNYRLRTKKITLPDAIERYLFDNK NKKTGEIKLKEISERLQREPFLLIDEDSALLVARYLTEDNSQEFVVYNDQLAQSTTIVRS ILSKLVGNFEIMTADIETQKTKEITQVISKYKNSLKQYFDQLPSKYEGLLERKQIIETFE YMDIDLTLEQYDFLFLRLFSYSNNTQIFPYIRIFEIFQEIQIERIDSDKKKKSRKKDFKD QGDNKNKKVEIFTRRQSMELGN >CAK70711 pep:novel supercontig:GCA_000165425.1:CT868085:436627:437079:1 gene:GSPATT00007846001 transcript:CAK70711 MDQSAFLYDNLPQPLENNDLNSEECHRYLSKLNLNKTLFRIHSPEPSIQDDFFQNEIDQS FDVDCMYVNDKNKCDSDSDEDIQSSKKIQKARKLKKKSQHRRGPLSEKEFIDIIKKLEQC QQVMNMIDNMTQILKGFKHQLQKQSTPSLN >CAK70712 pep:novel supercontig:GCA_000165425.1:CT868085:437197:439432:-1 gene:GSPATT00007847001 transcript:CAK70712 MNKFEDDLKYAQKANGNEFLNWLQNLSFELIKQKEYSYSQEFLKNQHLVYELIFKQDGIL NSSFRTTQMRFGSIEDTLFFIKILNILSPNESSFYHSLPSEYPFNPVQIDILPAHLQLYF KDSSIVNMNSQFQTFQEIFQDNILVEKNQLKFCVSAKMMFWIYLLNGAVNFKESALHTTE NSQKILDCAFSKCKNKSSTKDFLLKQLTFNPYLILVRRIIEYMVAQIKPNNPLQNNQNKQ VTIFTFQQMIILLQEYSMYEHLYVSKLIDLNLTTEQQKLFYKIKPSSVILDTQMMLIYLT IFFNNRFGQDLEATYTQFKHFVRNTDIFNKQSQIFYQNRGFFYECGRQKQLITYQQGLYK FLQNAFEIYHTERQCNQISLLSHLSTYVLFLRQQFAVYENQIYNQVLIFDQALISCLISQ NTILNYLTKQQNFQFKSNNPITRFILSELQPIYQICKNKFGQSPQAILFKEFRPSNLFQC MDTKFNGHQYQLDFHFRELDQHKNHMKNLLRVYYPFFTKLLITIFKSINNLNYISESEYQ GIMHLFTFIYSQDQNSFFCDLLKFQKNQPYQIPAFSEVKNFCDIYIQDNKEEDFLIKQCE NIEKLIQQIIIQLMQFQQQKKIKNDKIVFFLGQQFNIPISTIKVMPIRNQSPSKSTGRLS RTKIQINEWKAPLRDYEFYYFLVIMWYLSLGLDKIRGLEKSDYPSTQWPRKLASPVNLLI VSILTYIVVSLIFMLI >CAK70713 pep:novel supercontig:GCA_000165425.1:CT868085:439665:441263:1 gene:GSPATT00007848001 transcript:CAK70713 MKRSKLQSSDILDWYKKRFPQNVKPNYLYLPEEIKQQVRAQVIFENLDKKKESIVNQKVL IDKLAIDKLYDICVKSGMSINRNQVRMLFEKIDEDKSSIKVLLKQNGLIWRNFKNQFLIK KQENVAMKVRKNLDEGYLPIYYKSLISHLSFVSNREELIQQINDQSRNKIERFERIKEIM KLPYDDIENDNNLQVQQARRKLSELKQDYEGVQKVDAETQGFITSMNQRLEDTKKKGDDD SILLKKRMKRKSLPHNSSLMSLPKIDQNPIKWHKNLSLIDNQVRQKIFGEVQSKNNKINQ LLSPRSKKHKDLAQLKIQQSKFIKKAKKQFDIQFDPTNENQQSIDYTGGRSFEEGILSLF QQQQQQGGLCNFTNISTIKNDKNDRDEILDQSGDHLPKSILRKIQQYKNVTRDSTQILLD KSILSSQQSKQSGKLTPIYYQRMIHQTHTNTDSLNSNDMPTHPKRRTYSQQDLKYQTPHF KQSEFQLPYL >CAK70714 pep:novel supercontig:GCA_000165425.1:CT868085:441312:442282:1 gene:GSPATT00007849001 transcript:CAK70714 MGFQCSKCQINNENELIHANNVVTEHKHWIYMENLDDSFERDPNYPPSTTLNKTLEEKTI QFSKPQKESQHTTMPSESYKSEELQIDEDSKFFGQIKDGQANGSGKLWLKNGDYYEGDFL NNYMHGKGIYNYKNGPIFEGQFLYNKPDGFGIESWPDGSVYEGCFKEGKKSGRGCYKWYQ GCVYIGEWKNNKIHGNGRYDWPDGRSYSGSWVNNQMHGRGKYIWQDGKCYDGEYQNDRKQ GFGIFYWPDCKQYQGQWKDGKQHGKGIMLYPDGKKRAGQWENGKLIKYTEDDNIQIIPEN WLQQ >CAK70715 pep:novel supercontig:GCA_000165425.1:CT868085:442418:444531:-1 gene:GSPATT00007850001 transcript:CAK70715 MNNQSSKSVRFNEGHKILQPVNTNTTSTGSSKLTKVTSAIQELRTSFNNQAQKLTSSRVS DQLNESVATVTRSPGHSCAPSYCQPCQPMCQPMCQSVCQPQFGFQQQQMCSPQAYVVQGG SDQRHLEKEIKKLKKKNKKLKESKEEVIVSTIAETPKRQRPQQHVQDPEIDTIKREIQEM ERIIKQMEQQPKQQSNSEIELYLEDNKKCLKKMCKKIKSLEKELYEVGRQRDEALIIKQQ LERENQEMFDRIGELESLLKVADKKVFDLTVQLERQNGYVKQLEDEVERLRKKKKKKQIE IQERVVEKIVEKPVEVIKTVHVNQPHQVQEVKPVEIIKEVIKEVPSEPKIVEKIIEIPKI EYVYQQVPQYIEVPKLQTIEVPVVQRIEVPYEVPYYRDVPYEVIKEVPYEVVREVIKEVP YEVIKEVIKEVPYEVIKEIPVYIEVPVDRIVERRVEVPVERIVEVPVDRVVEVPVPYEVP YPYERVVEVPYERIVEVPRDRYMDRYIDRPVDRYVEVPVERRVEVPYERIVEVPYEKIVE VPVEKIVEVPVEKIVEVPVDRFVERYVRDDAELEMLNIENRELQRIIGIWEDRANKLENE IIKERRISDKLRFDIEELEYMVEDGRSFNNQQQEQFRRYLKELKSKYESKIIEARKGVVI KHHVIPTEVQQTVIQQPISTGISQNLPQFGGQMLNQ >CAK70716 pep:novel supercontig:GCA_000165425.1:CT868085:444734:447074:-1 gene:GSPATT00007851001 transcript:CAK70716 MEKSNTPYQALFQPYKLGLIERTGCFSTTVVSPGLECKNRITLSAMTRGRCGQDLVPTNH HAEYYAQRAAGGFTVTESASISDRSLAYGGAPGIFNDAHTEGWKKVVDKVHSVKGNIFIQ LLHCGRQTHSSLQNGQTPLAPSAVRIRGQNQIVKKDFEVPQEMTIQDINLVVKQYKEAAE RARKAGFDGVELNAGHGHLPDQFLRDSANKRTDSYGGSAQNRCKFLLEVVQQLVDVFGAG RVGVKLTPVTHHGDMNDSNPLELYQTLLRALSNLKIAYVVLKNESDPENFQDFGYPASKK QIPCVYSAFRNYFTGAIVANGGITLEEADEGIRTGKFDFVAFGQLFISNPDLVDRARNGY QLNRSIDWGTAFYGDQKGYTDYPKYQVPSNILTELMNPLKINDLFLPNRITMSPMDRVRT PAPYIPTELNVKYYAQRATAGLIVCEATPVSQRSMSYPGSPCIYNDDQAKGWALVTKAVH DKGGRLFLQLFHGGRKTHSSLQNGLEPWAPSAIAIRGNCYSAQNKPFEKPHEMTEAEIQF TLQEFVDAAKRAKTAGFDGVEINGGNGHLPDQFLRDSANKRTDQWGGSVENRCKFVIQLI QKLNTIFPGRVSIKLTPIGHTGDMNDSNPIQLYTYLLQQLSKLNLAYVTLVEDKSQENAD GHGYPASDKQIQNLYKSLRPHYKGILFANHSITPERANQDIKDGLYDAVSFGQLYINNPD LVYRVRNGFQLNTNFDFKTYFGGDEKGYTDFPTFEEEKKQ >CAK70717 pep:novel supercontig:GCA_000165425.1:CT868085:447209:448429:-1 gene:GSPATT00007852001 transcript:CAK70717 MSSKESHRRSNRRSINTYRIVVLQVHRMSLMKDQMEVLLVMTTNIIDIERVNTSRIIKQE DIFPMGLLEEFLKVRRRKFLNPYAMKIVRSNHAESAQQEADILFYLKKKDLNRYFVEIIE SFYHRGYYCMVFERLGPSLNDMLRLNQNRGIPMHLIRSISRQLIKSIGHLHEIKLTHTDL KPENILFSRIRQLQKQNDLYLPADHRIKIIDLGGAEFDDEDHNCIINTRQYRAPEVQLQC CRWDQKSDVWGIACIIFEMYTGHLLFQTRKNEFEHMALVEKVTEQSFPHWMASNVKGCLK HCFNVKNATNGKYYIWPQGTTTKESVLKVKKQQSLREMILDPLLRDLLVKMLEIDPHKRI SCSQALDHKFFLN >CAK70718 pep:novel supercontig:GCA_000165425.1:CT868085:448459:449308:1 gene:GSPATT00007853001 transcript:CAK70718 MSYQFGINQLQSTTVPNAKYKTILCRHYQATKQCAIGSKCQFAHGIEEQRQMNDPLPASA LSSIATAPQTTNIEQQQKNQQPLFKIPCKYHQLNFCKNGSGCQYVHDSDSQTQSTPQQQQ QQQQQQQQQSQQQQQQIQLSQQQQQQQFSQQQQQQQQPVDAMSVTLSHILMEMQQIFNQE DLLQKVRFAIEQVRQGNLTLACDQIRSIINSSERTADEIQSYTLLYNQSVAYYKQLLQQ >CAK70719 pep:novel supercontig:GCA_000165425.1:CT868085:449317:450223:-1 gene:GSPATT00007854001 transcript:CAK70719 MEEEQKQQNYSDLVNQEITQNKPIKWEVDNMPQTKVGQRKKEAIYIFWFEFIGTFMLTFC IYASNNNPFVFACAYGMLILVAQNQKCTFNPAITTVLSGNDKGLWVSVAIGKLIWRSLYC KFVWLSVLQELCE >CAK70720 pep:novel supercontig:GCA_000165425.1:CT868085:450326:451391:1 gene:GSPATT00007855001 transcript:CAK70720 MDLSKRETQKYQQQVNRIEKRQIGSLIISMIQLDQPKIKQLIEQSIEDFESEYSTLQDFH ISRKTQIYQSMQQLKAQLLDLNMLQSSFIDGPQEKEREFHEQKIARLNQLINQNYKTIDQ KEVQLIKMQNSLSSLIDEYDLNINYIDQILQTIDSILYDEEKVGMKFFQKRGRVNEIIEN LGKSTIKNIKLDLPMLKQIIQIGIKSNFADKSTYKQTQELLITLRKILVGELNKLNTDKI DQLEISINQLKSETLRLKSQLLESSQKLQIENRDISAITESKKRIEQELVILDEDLRLEN ELFNQKEAFLIEEVNELMEIEELLKNRELIQYIQEQQ >CAK70721 pep:novel supercontig:GCA_000165425.1:CT868085:451416:453202:1 gene:GSPATT00007856001 transcript:CAK70721 MDPQMQQKEFLKRLYQQMKSAVTLQSKIQNVNYLLSFTSDFLDTFAQSNSMKIITQYLKD ALKSIQVNEELIDKIFALLLRMNLSEEILKESEIAQPLLKIKDKKLFDNIRSNLIEQIQQ KWSRCYIKFKPLLEEWKRNNNQKDKQEDRKKKGKRNQSSSSSESSNKKKKSRKKFVRQYF RVLLNRFQRDDLLLNFKYFKREDEPNQRGMTMEEVVQFQKQYAGELKRLDQINGAYNIKH RESLMEGKEHKLQLDIIQQMLEQIPFIKPTKLFLQSQVSYDTNYIETAIQQKRTESKMSA FYNRDNIPEQPGYNEISNTQSGLQPKIIHLDPPKREDLMYMVQVICNRGLKELEEKNKNA NKKQIKGILKKQPDEQMKQKDVLNQAKQDLQPKMQQLVQMVEQKHQHSQESILTLLHDII QKLKDIGEEKYIKNFKSILETKLNDKQTIMSEIDRIKVQQDQQRLRLEQLQTINPAQALR LKAYKTKHCHNFHSPIGCARGDNCNFIHDSRYPGRPAPVLQHPNFLNKTLYPQSNVPVIV PPLLQQLNPLILLGRQKKYESL >CAK70722 pep:novel supercontig:GCA_000165425.1:CT868085:454096:455764:1 gene:GSPATT00007857001 transcript:CAK70722 MGCICGTVPRKNQIMSMKTRNRDEVFTSTEDIQKIYIFGKVLGVGSFGKVVAAKMKSNPL KRYAIKIIEKRKVKGREDILANEIYILQKLDHPNIIKFHEVYQNRLNFYICMDYCQGGEL VDWIPKKYKNFHESNIQEIMRKIISAVAYVHDEGIVHRDIKAENIMITNKNEDAEPKLID FGLANKFDSTKLRRLKSFVGTPMYMAPEVIQGKYDEKCDIWSLGVLLFTLLSGHMPFHGE TKEELYDNIQRSNIGFTSNAWKFVSSEAKDIIRRMLQKSPQLRPSARMLLKHDWFKKKLQ SNEKIAQDQLQQFKNQLSSSPSILDNRSVYEMLQQNAQKGGSKFRKEVMTLLVKQLNEQE LHNLIEKFKQIDTDNSGTITIFELRQALIAEGSSVSHEEVEKLLQNITPKHNQTQDGNKK SRPSLELKYSEFLASCIDERKFLTREKLWSLFKFFDTDNSNFITKDDIKEAFARNSKSFT DDQIDEMIAEIDPNHDNKISFEEFCQMFDNAGIYQNLADDDEIME >CAK70723 pep:novel supercontig:GCA_000165425.1:CT868085:455803:456333:-1 gene:GSPATT00007858001 transcript:CAK70723 MYDQMKQEELFQKHKFKYQKIIEENISLKQEILHLKEQVEEITQKMSEKINFIKQTEQSL SKQAYDQYEITIQRQLTIIEQLILDKKELQQEQLNLTNEIKTLQQSHSQEIEKLQVLFQQ EKQQIAQKEKASRMQWEKNKTQEIRQLTVLGLEPEIQKIIKKYQEEIASLRLQLEK >CAK70724 pep:novel supercontig:GCA_000165425.1:CT868085:456365:456815:-1 gene:GSPATT00007859001 transcript:CAK70724 MQLESEDQQAFDKVSLFTVVSLSLVSFLFYQFTSKPELYGGDECIALRQCAYFLFFLYTV YVIIIGIMIQWRSSSNSRIKLYVSGILLVGFVISNIYLIIQYCKNEPCNLLRYVVFWYLI LMSIMFVLFMGVMGAIIYAM >CAK70725 pep:novel supercontig:GCA_000165425.1:CT868085:456902:458578:-1 gene:GSPATT00007860001 transcript:CAK70725 MGCTSGAEIKQNSIIQMKTRNQDVVFTSTEDISKIYQFGKVLGVGSFGKVVTARMIKNLE KQYAIKIIERAKVKGREDVLANEIYMLQRLDHPNIIKFHEVYQNNQNFYICMDYCKGGEL VEWIPKKYKSFHEQHIQGIMKKIASAVCYIHDQGIVHRDIKAENIMVTSKKEDGEPKLID FGLANKFDTSHLKRLKSFAGTPMYMAPEVIKGSYDEKCDIWSLGVLLFTLLSGHLPFHGE TKEELYDNIQTANISFDSAIWSHISGEAKDIIKRMLSKQPAQRLTSKELVKHNWFKKHFK NDEKNSSGLNNSLNSSVFENRSIYSLLKQNQGGAKFKKEVKTLLINQLNETELNSLKEIF KKIDVDNSGTITFQELKEALKAEGSPATYEDIEKLINNVAPPQTQEEVGKRKKEFIIKYS EFLASCIDERKFITKEKLSALFKIFDTDNSNFITKQNIKEAFARNGKQISDKQIDEMIAE IDPNHDNKISFEEFCLMFDNVGGVNKNFNEDGSMYA >CAK70726 pep:novel supercontig:GCA_000165425.1:CT868085:459720:461406:-1 gene:GSPATT00007861001 transcript:CAK70726 MGCFESKKRNRIVAMQTMNKDQVFTSTADIHQLYNFGKVLGVGSFGKVLLAKMKQNSEKQ YAIKVIDKRRVKGKEALLANEIFVLQQLDHPNIIKFYEVYQSPLYFYICMDYCQGGELVE RIAKQQSTLSEGQVQTIIQKICSAIVYIHDLGLVHRDIKPENIMFSEKDVYSEPKLIDFG LANKYDTTHIKRLKTFVGTPLYLPPEVIDGEYDEKCDVWSLGVMLFSLLCGYPPFYGKNR AQLYENIKTQTLIFDRRHWRNISEQAKDLIQKMLMKTPKKRLSARECLKHPWFEIQFQNI KTHEDNRTIYQMLKMFRGGAKFKKEVTKVLINQMNEKELHHLKQVFQKIDVDNSGTITIE ELREALQQEGSPASLEEIEQIIQTIVLEDDEENNNLEMDKEPSPLVIKYTDFLAACIDER KVLTREKLWSLFKYFDTLDVNYITKEDIKEALARHGRQMSDEKIDQMIYEIDPNHDNKIS FDEFIQMMGVAGIEQTMNIRDEVKEVEIQS >CAK70727 pep:novel supercontig:GCA_000165425.1:CT868085:462026:464519:1 gene:GSPATT00007862001 transcript:CAK70727 MQKYSTRQPLIPSYQKASRSQYEYDNDKIIQIQIDANLRQDLSPTLSIIKTHNISLLAQN AIRELEDNTYHLLKEQYSKQSIMIFNGFHQNSLNSCLCLAIKQLVQNTPYFQLQQIISDL LRLLEEVQKLQQNQQITNVLVIQCFQKDFQNEIFQATQLYSLYSQQILNRNDNYLFINFS GLNTIEQDIKMMKELSLLKKRNMEMYYLSFHKREFQKKQKKHFNSTESFEQYFLESQQNH PITWPQLKAYLKPILKRKIKLFNPKVCVLQLFVSLNNLEDEEGLIFENDCILKIVHFFKK FSQDKLIINLLIKDQDSSAPVSVSESIEINSINHQDEKNSQLARKKLCLKQVINAIEQGV FGHMDVDIVDKRSYDQTLIEFKCQLNQLKNQEYQQVVQRSLNNLICQQANQIRNSSEPEN LGFHYIPFIDNNRSLLFFEFYYNNDKILVNNSTQVYLKQKEKIVIIFQVQEFKLYYCKIQ CKCVKKDCNFLNLQEYLNFDQIKNNEFIKAPIIAVIEDKIFLNYGYLGDQILEFSIIDQK VIFKNRMKLSNFPKLLDVEEQQKQYILEREGASVFYNLLENKEENHYFIAGGELGQNSPV CNIIERVVPEKEGFSSGFVSKSLFKVSLKPFQHSLILEDNQSVIFLPGDFNKKRFKYSQI INHQHYQQAQRLSLVRNNWVLENIKIVYENEELGRLYPIHLMPKNQQIVYNVENDHWIAC FFGLQIQSVGSTKLSSQQIYIDEINQFHYESSNSGNEGNLSNVIIKMEFKFDQNQLITSI TPFQFRKNRTIIENTVQLKTEQNAL >CAK70728 pep:novel supercontig:GCA_000165425.1:CT868085:465244:465724:1 gene:GSPATT00007863001 transcript:CAK70728 MDNNFFGDNFVNKNGPCKIKLSDMKVVVLYFCASWCPPCVNFTPTLVEFYNDVNLETKQL EIIWISYEESEGQFKKYLEEMPWPAIPYNDKRIQQLVDKYEIKGIPTVTVLRKNGDIAKK NGKQDILKEGEGAYNLWEQIVNSE >CAK70729 pep:novel supercontig:GCA_000165425.1:CT868085:465775:466035:1 gene:GSPATT00007864001 transcript:CAK70729 MSAGIFIGTIIFIGIGIGVTVWLKGVVTKATKNLSDLNDNLLQCNNHKSRLMYVSVISGT IQFWLLWFCMYMHQLNPIISPIRGHE >CAK70730 pep:novel supercontig:GCA_000165425.1:CT868085:466777:467819:-1 gene:GSPATT00007865001 transcript:CAK70730 MNENKSLHTKRQSALSLLNPPQTTALSWCIVTQIGDELVSKSPSLKLEVASLTKIMTAYT AIQLCEDLNLDYNEINIRIPRIATQIGGTSAFLRRDDILSLVELLYALMLPSGNDAAISI ALHFGSILNTQRKQKIASYITCDTIQGFYDDTQMSNMNRFVRQMNENAMKLGMRDSQFSN PHGLSSKANKSTASDMCRMSSAYLKIPVLKQICNSKSFSCRNYEWFNTNQLLHFENYNGI KTGITPNAGACLAVSYESVLGSIIVVLIGAKDQKRRFEETDKLINWVSREYSLYFNKTMY PPPRTASSKGRSFIIKKQV >CAK70731 pep:novel supercontig:GCA_000165425.1:CT868085:467866:468189:-1 gene:GSPATT00007866001 transcript:CAK70731 MYQQSITQPFQRQPIFVQTQYIAQQQIPRVQQQRSITPVLHKPNAYTVSFTSRDDFTQRG NNLPSNMISDDSQFMKALIEENQLLKQQVELKRIELQKIIEQYNQCQ >CAK70732 pep:novel supercontig:GCA_000165425.1:CT868085:468290:469267:1 gene:GSPATT00007867001 transcript:CAK70732 MNRKLELKLLSHFQSLEGKLSLLFLHISDNSAGFQHVGSQKEQFTAFYNICIQKITKIVF LKNGTQNQPFEQSCIFCAKLKTHSSQQLILHLLLFHKIKYSYKFTYYQNEKVLHIAYFRQ QPKILPNVFRHYKAINQNQLDCFMFLTLFSQSNSEFYQKNTLPLEQDQQISNLMSQKQFY CGPAKNFKPVQRIEEFKELEALDFEAYKQNELLCLTKSSPEFKGSPIQQFMMLYNTRVLE ERPQQLKDFLKQFTKLQGELAICFQNHLFTLLCYSMIDQQTFVELSLMIQK >CAK70733 pep:novel supercontig:GCA_000165425.1:CT868085:469737:471367:1 gene:GSPATT00007868001 transcript:CAK70733 MQNTHSCQLQTLGFVKNYQPDSNSNNIINHTLYHKYASSQNYYYTREINEILSKQRTSSV ILFQDYLHYDDEDEYLRRFYLQEVYANKMKLLKEFYKYHKDLPRFTLTQKILQTLNYYYD KRRKLDYYRIQRQIEFENKQNPQLPQKGIVGDKPMESESTPRSASSSTSNANANIENILK DITRQESKQQIEISKITQIQEEQQSEIIKIINMISTPQIKNYQVFKKSKNNFKKQLKLDE LGLSLSSRIQQNPQEAKMPLSARYPSYSLSRVNYKHQSLSKEKTADTHLIKDNLLQLFNK CPTTKKMKVENKSSSRNIQLDQKKLIPEIKQLELKIIKSLQEIEKNKNTQKIVSLDSKAL HQILSKFSKPQSQRHIQQVDSFKSTSNQSIKITNSKIAMQKAYTPRNKFMHSKPNETNQQ HPKKSIEKKQQQLVKALDLKKLMVYKSEKSAKTERVKKNVPALNLNAVQINNQSSLTSRN QSGNPSFIQLLTPKPQTSRSKVNNLIHWQVKAIQAAQRDYKLQLKTQQMKIEQLI >CAK70734 pep:novel supercontig:GCA_000165425.1:CT868085:471433:472324:1 gene:GSPATT00007869001 transcript:CAK70734 MSIINQELLQKAIQLINSQPSIYQQLNTTELDKGIDLIDIYSVYGDVDQNIYEEPGEIQF NFEMEQEQPYEEVKESIPPQNLKKSQITLDYIKNYDKCDQVYRTIAETQGELEVFLDRNQ DIYRSFKIWSDQLNYGELYSKDPKYIEKIKFTLILLSEWLIAVCYKSQVKKRILIQTQTQ PRVQYKANIFLEYIYEPKKSNESRRTSEVRRQTVHEILLAATPDMAYVLSEGFIIQKLTN QLIAPIQGGYCKIKDWLIAVRGVTNLNMKEFQELLKISREYFSEQSTI >CAK70735 pep:novel supercontig:GCA_000165425.1:CT868085:472616:474667:-1 gene:GSPATT00007870001 transcript:CAK70735 MSGSISSSDSEETSFALTEQERILKSSNIFKRKEQSNNKCAKHPNKKAKYYVQCDKSKQF CSKCALTLALKGLKIEETQENQHEIQREQRINRFQELLQQVMDQCTSKSMEFNNIEKISA KQLLEHQESCQQFFDSVIQTANQLKQTYLQKFQNDHHMLLNSIQVKATQIKQIDAQIKQF QIDIEKNHENIVKKMDMKPFEDIMSRYEKRVLQTKEQLQECSQEFQLKPIKFEQSQILAD MNKMCYNLLLLKSDDSSTSDRHSLQIKLENSPKIRQNTNSSPLDMKVFEILEAEDIYQST CSNPIKDHTQSPITMHKLQQQQIQISKTNSNAYSNPTSTIQYSRRESRANTPESWHQNTY IQKNNPLITPNERESYKHNISVGEQVNSQKCLNKQLDLNEKYYAQQNDKQKTSSELDKIN DQSNQPLIKNLSQLYMQKDTKDTERKSFEDRQQLTPKHQKNMTAAGPQTFKLITNHASQR SQYQYPQVNSNLLEQKSQKEFQKINYDSLPNQKSLTPLHQEPLARINQQQQQYQKTDNHQ NKRSNSKVKQPSFDHIDGKRQFILANINSQQYTSQTSQHASNEDTLKERILKELCSHPGE SIYTQVLKLNSQQKIKNQKLISKENVEQSTTVRTKNGNGFLSVKKQSYQQ >CAK70736 pep:novel supercontig:GCA_000165425.1:CT868085:474708:476025:1 gene:GSPATT00007871001 transcript:CAK70736 MKPSSNASQQANQWALKKKEQMEKAAQMRAERKLAATGEMALGTKTNYDYDKPPSQNSQK QYYQSDLGNNNVRQQQYGQQQFQMNDIGFQNVQPNRQSSLGKQQPQPSYDKYGYNQNPQF TNNKPPSQEGQRRVQQGIQQKPQMNQGQQNRQQYGKIDDDYDYQNRQYGQIKQQQQQPYN AKQPPPVQKQQQYRPPSGTYDQSNSYNKPSNQAIKPPVQSTKQSTNNKNNQQPSQQPQQQ YYNPIDEIPIKKSDNQPNINIQNPEPLYECSKGCGRSFAKLALQKHEKICVKVFQKQRKQ FDAQKHRIISNEQINHIKNQDKIEQKYEKALAKKQNWKNQSEAFRAAIIAAKGGKLTNDQ KNAIQVASKSNLTQCNYCGRSFNQQAAERHIPFCAQKAKIPPKQPQKRR >CAK70737 pep:novel supercontig:GCA_000165425.1:CT868085:476767:477872:1 gene:GSPATT00007872001 transcript:CAK70737 MLHIPDVALGIERADHKHFDDIQETSKLEQPVKISVVKIQYNKDHIIGIKLKYKGNNGKQ VKGNCSMKFKLLGGVFSGTKKEKYNIDDDDYIKEIHGFVGTEINLFGFTTYKGVSHQCGV TKGVAFSHHFPLHTFTSARGSYDKFLEFIAFRVSPLSPEQIKKLGLSQQQGNVNVTTHTV QPPPPAQNLPYGQMPPPGQQYPPQGQQYPPQGQYPPQGQYPPQGQYPPPGQYPPQGQYPP QGQYPPQGQYPPQGQYPPQGQYPPQGQYPPQPNPGQYPPQPAYAQYPPQPPQNTTVIIEQ QAPPPAQKSGVSGGVVAGAMVGGALVGAALATNHHHNHHHGPDVVVVKKDH >CAK70738 pep:novel supercontig:GCA_000165425.1:CT868085:479042:483525:1 gene:GSPATT00007873001 transcript:CAK70738 MNSPPPNSKSTDAQFKLGLLKVLRSNYFAEVDRMTEAHNQGLFKQESIIDEKEWLNCGKP IVDKRPVHEAKRANEKEVQDEGEEQRKQRLENLNLYLMDGVFKAGEEMGLQEWNKRRLEK SLISEQKFYYFDDDGNLVADREFFEEKIQRLFLIDFDENLALQTHQRPASRCARENQNKQ LPFRLDIFIGVLQFSYHPQFSREDQYVADLKYLIKKYRKRADLALIPHYQKTLNILEKET TELQSRPDDTKMEMEMIQLEKERLREELRKEIDNLQKLMEQIYAKWDTIKEERKTVGATS SPYKLGVRFYNSTDNTKEVDFILQQIEIHKESNKDQIISKAEEARRQTIRSFRVQLEIVI NNQVVAQTRKVNLDWPSFEARIMEKLQVYVFTKPTSVKLRIWKVQLINKLIDEIEIELPG EKSFAITSTGFVLKEAYFAQGRKTIRDTRYQDLISQNNKALNYKSTEEEFEGFISFRTEW PDFGPKMPPESLDMQYHQLETKYEDELGDADDIEKMIVDEKYFDINDPRNEELFEKLTKK QDKQTEVVSGECVVPYSNIKSKRQQLLKLKFVQPQLSKYKIPLLEQQIERDGELTQFLGE DDDQMDYEKELRNQNKEDQFQILGDYINDEATKQRLLSIQKTLQARKIEAKLKRAKNQSG LISIENVVNEFHFEAAASLLAECIKSIFTKRRKLGPQKRTNLDKKNPTQLSEVQISALVI QGNNVPIRSSDVSKLLEVKQKLNQYNSVKETIELLGKIKKVSPIIQVSLDIQSENVKIIR NIDVLKKKQKPQLPGQLQAFGQQEDEEYEADIERLIIGQKDDQLTSEVEGVNPEWNELID FRIGREFKQQQFDPEDLINSRNKVTFTLFDQIGWFKQKGMNTQEHTLTITRRYIGSVTIP LLNLFQQEKMSGRFRINRPLFLLNYRTLNVENFLMDQRNQTSQQNELQNLHNNFDIIDPH IPTTIELTMTLEPSIKVNEDLSATTYFPGAESTNLFLYGIRQLNRYREQFKDRYIKFWAE NLKGESVFLPRFITPLKPPEIDFTANSIEKAARYVSLIPFRNDTEVFRDLPDIYCNSQEF IDLRAGDFEEHALLLCNFFMYIDEKILKRDDIKNYVVMGRGLPEGKTQYVMRRFTKSNFV ELWNPQTGEVFVFQNQEYVKTLFCFTVSKGYKNLNDVDDDACPLTNIGCIFDNQNIYINI QNTEAPSEMNFNIEDTHFWEPLLNKDQIKAFFPKGILPISNELVFDVPSVERSILVQDEL DKFLKNKIKDYRFEDLGQKKAHFTTTFNNQRYAQLTEFIRDRVLFDLESFKFRIRTTGLA SEYGAKKNNDQQQQDQQNHEEQEPINHESNQQWTYQKSLDYLKKLQEMLIRQQEKHVRGS KEMYGFPLNFSFVDYERAWDEIKATGIADIMSDDIEFLCITRCFPYPHYVLSTWVFIAVL YKSKPADM >CAK70739 pep:novel supercontig:GCA_000165425.1:CT868085:483536:484144:1 gene:GSPATT00007874001 transcript:CAK70739 MNQQLQGIPETIDLKLEFFNERKQQELHKRARMLRFTQLKTKGYTFGLGRYPLERQLETP GPGHYSTQQPETLISYSMGVRLNQKGIYDKILVPPLGTYEIKGLDKYGYYSNSKFKNACA ALFSPLKNSSAKQSIASPGPGDYELPGSINSKGKHFVSNFKTNQGWALGKKSLFQRKPYY >CAK70740 pep:novel supercontig:GCA_000165425.1:CT868085:484398:485619:-1 gene:GSPATT00007875001 transcript:CAK70740 MYYIILVILLALILYYFWTCRCQLDYVTNDLNTSIINRCSIIKNKMFYYTPYLFTGLLQA IIASKVQDVDPKLKFVREYVDFGKDFGCFALDWGSYEGKISEKEAKITEGKPLVVVLRLN LIQLTFSLNFARINLIGGLIYLDPKRQILPQIGNFHHVEDFRRVLEYIAKQHNKSTIYAI GHSFGSNTLLKYLGMCGSNGTDPLIKVAVSVGNPYDFQLGMRYLEDSLSDSYIRKHRQRI AREKIDQYLPIPKHINLDLNKVQQAKTSLEFDTYFTKPIFGAPSVDFHYLSIQCANYMEF VKIPVFFLGSFDDPVINNRAVYPRDAILKNPNLISLMTQVGGHISWFEGVLHPKRWYYKP VLQYLNAIHQLL >CAK70741 pep:novel supercontig:GCA_000165425.1:CT868085:486042:487006:1 gene:GSPATT00007876001 transcript:CAK70741 MKTSPQSFQREMEETVWHTRKQRQETEKDIQLMKNRLKLLKRGDAQLSKRIDETKKKTQS MIDLKTNHHQQIEQKKLNQKNGEAQLKEKQQLNYDQKKQQEEQLEMIKKAMEQIKLEEYK KIKELSIRNAEMINKQKQDFMVKNREKREHIKEIMHKSKSNISLYWNEKLSHIQKENEKA KVENKKACEQNKAYQEKMEMEESYMMQKLMRSQEVQKKLAIKLEKAKNLPHDEFNQMIRE EEENSNNLRVNKSAEIPRWLPTPEKDSAIIQDLDTEPKQDSDQQKNEDNQQQQE >CAK70742 pep:novel supercontig:GCA_000165425.1:CT868085:487349:488118:1 gene:GSPATT00007877001 transcript:CAK70742 MQINGRLTSGDKYPEANIEKEAAEMASRIMERVRQKSNVKSAQRIIVKPENFRSSDYKPR PSDIQIGREGTTSITDRNELNFEPNFNQFNPLKNGFTSKNNLIETIKIPQRSQFKSASTG MQDIFQKTVKLNNNNYYVPEFQSQSTTDKPDSTKLIKLTDSVSSLVNQKYDLQAKHRRVE SNTQFKPILNTKPYQLHTPVKQNKEDIVSQLLGSLRNQKKYSDYKNSAEK >CAK70743 pep:novel supercontig:GCA_000165425.1:CT868085:489315:491672:1 gene:GSPATT00007878001 transcript:CAK70743 MIKKYSIQFASQEIEQIYRSSLLIGIKYRREYTYGILCIYLGLIIIKYNLQHVLLPQIFA AVGITLELALFFFMKKYWQQKEILITLNMIFMAAICESLRLFGLEDYQWYYGQHSTSLKL SKISILSLVIYLQGSQFLIQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLNKEIIS NMYQYRLVQYNSKVSLSLITQQMQLIQSLLSQLQTFTPFKQDILTKFQQTLQKQTQISYY LYNLNNNLLNLFKISHRLVKYEFQEIQIEQFFKSLCDNLLINYKSTKFINFSTKKELIKT DKNKLISIIMNIMEFMKILLSIIHSEDSIIYQMHPMKKPFCLSIKQSKVHKDSIQITLTH PNLNITNQMITLIQNIQPVSIDDKKRNWNSKNYFEMINNLNQTLSEMIDHYKNEMQSVTS ISLGLQNIKYNHTNPQDNQKKQFNTLGYIMASYFISQLGPSNKILFKQALIENDMLNPQY VSALYQTKIQFTIYKDLQNFSKEVSQIKNISVDENQYFSPKKYTNQEITERLLQIQVEDQ IKRLF >CAK70744 pep:novel supercontig:GCA_000165425.1:CT868085:491704:492585:-1 gene:GSPATT00007879001 transcript:CAK70744 MTEQPLFITENKNILPTLEKIHPDQAKNMQELCQEMQGLIGETNKNVNQMLKSQQNNILN AFKQVLETLKKDIEQINEKFHQYIAMNEQESQVVNAQNKMVLFRQECHSLNEQCKQLKQN VQTLKKTNIFLENELMFTKEALFKQVQKNEKLKLTLQKFKEELQENQYLLNYNENFKLLT NEPKLLQDYQQQIQASVRKARFDSSNKKHTSFNSIANSDIKSKYIFSQQTRAQSLQKSIA AKRSSVFETSILDKSLQQDLIFEYPRFQQQKQDNDNNQ >CAK70745 pep:novel supercontig:GCA_000165425.1:CT868085:492643:493346:-1 gene:GSPATT00007880001 transcript:CAK70745 MNLFYPTSTIKQNQYESSTLDTDTSDNENNNFEIDVKPQKKHFETIQEKQQYIEEYTKKK KTELCKNFVMTGRCKYGDKCSFAHGQTELQPKTHLHSKYKTKPCKRFFQQGYCPYGIRCQ YIHDELINQNEFDGFLQSSYKELGMKAPISSKLLKSDVRNDIQRFILTMNKKHIDQELFI YPKSRLSFFKQITNPCYNILSDDSQKSNA >CAK70746 pep:novel supercontig:GCA_000165425.1:CT868085:493756:494766:1 gene:GSPATT00007881001 transcript:CAK70746 MLNMLDVISTFSIHSSQSKRYEEDYLINRNGQTGNLSKGSTARQSTRPTQKFHKIQLDDQ AFKTTPKSEQREQKGIQTISEQNNQRENENENKFESNIYPLIIFQKISYRQLLKEIKFEK DIKSLHPSDKRNLVIYRIICELFQELQFEIQNILICQHPITKLFYIYFSDTNYCPMSKCC NKNVVIRIGEALGGEIKCLNPRCPLGVLTTFEIEEKILWNSKVQQKRAVSTFISSGKYRT RSLLQSVQVPETYNAYAPPQQKQNQNSWKSSSSFYQKASQTNQEQIYKYELSKNLLLNSQ IFNKNFDDQMDEKYRYKCKF >CAK70747 pep:novel supercontig:GCA_000165425.1:CT868085:495950:496337:-1 gene:GSPATT00007882001 transcript:CAK70747 MPHYPEEIEYSDKYFDDYYEYRHVILPKHIFKKITKGKLLNEMEWRAIGVQQSRGWVHYE THRPEPHILLFRRPKNTDPNTGLPPPGFSAPY >CAK70748 pep:novel supercontig:GCA_000165425.1:CT868085:496985:498239:1 gene:GSPATT00007883001 transcript:CAK70748 MKFILQCSICLQNLKSPVSLSCGHTFCQTCIQNSFDTQEFCACPLCRQPALLSSNKTDEL LPLVQQIYEQEGTQGLLNEFPTLIICLCCGLTPVNPVVLSCQHMFCQKCIEENLKEELLC PACSDYSFNIKVNTNKKYKDLIDWYLKEFKIEEEQEVVNKDVQNGNINGIPIFVYDQSVI IYGSIELQFLEFRYQEMIKMVSSGSGNFIISSDLINGDLVQIRSIKKTKKGYQVQVDGLS RIKIKQIYGYIEGVKTSYQQWNAQQLWLAQCEYIRDQTFKENCFQQYKYIVATLQKLYQN INLEVGDIFKAFMGKITSLSDSESSLILLTSLNNNFEQLYYETDLEKRVQQIQQHFAILE QKIKEIYAKDDQIQHAKLKQDSIEIISYPEFDVTTQYFLNLFNIKKVLCF >CAK70749 pep:novel supercontig:GCA_000165425.1:CT868085:499069:500801:1 gene:GSPATT00007884001 transcript:CAK70749 MIFNKFTLNFKSKCIENDYRNLQQIYIKQEFTLFSIQTLLFFTAYILYYFQEYTYNGIIV ANILAFMVSIVMLKFIINTHPSWNEYILPLLQIYIAYIWNLDNFFPTTTEDPNYDYDASY EYNWYYGFQAFYFHFAILQLGYQIWPQAIALIAIYIQYVTYYPTQTLEYLAMCLTIFLVF IGLVVMKYSNEKIKRLQFRDSREQNRWIKIIDQVLEQSIVVIKFDQKQDQLILQQINELS KTRLKIENSKELREMLRNIQMIIEINNLEKDDIKTKNLEQEIRDLIIKNDSYKLITHQVD VRSNVFNKEYKVKLIQQVLQDEYCVIAIFEQNLRNYNKQLQIEVKYKENIFLFLLKQFFQ QIKTANSKLKILNSMINFQQIRLLLYDENLKCRKSWISTSILVSQMNLLYSRKIRVTIKK DIQGFVTNQIYLFSILMSIPQVFDEFQCMKIKGKTILNIQRVKITMIGRMNDAQAYQKLF KQNLNLCQSLKQNQKIILKHLQQLISNKTMSCQYKNQIISIYLNKFLLWEGFREKYFNLK TKDMLAHLSFEFEV >CAK70750 pep:novel supercontig:GCA_000165425.1:CT868085:501034:501652:-1 gene:GSPATT00007885001 transcript:CAK70750 MYSYAPLGVSFASPIGTSIVRPAPVSYVQPVSYAQPIPYAQPISYVQPAPATIKGESRYE YVPYQKSVVEIEEEQRVVKVPKQKWVTDYYPVEYQKEYIPQVTYEKQVDYIPVEKTVPRV DYLEREVRRSSFVAPINTAQPINYVSPLSYSVAAPIAPVTTSYVAPAYSTVYRY >CAK70751 pep:novel supercontig:GCA_000165425.1:CT868085:502044:506973:-1 gene:GSPATT00007886001 transcript:CAK70751 MKGQFDDEEENENLIETEQKGLDYFLTKVKIVIFKVLFVLAKEEKADDQMIYFYILTSLD YVQMHSFPFNNKILYVWKADSFLTNILNFVNVFSISTYVPNLSYFALLVSVYVCLSAILL IILNIIYVSYSFSQNKFKFMWPVYVLRQVAFYFVTVFFLPITETLTSILQCYNDPVTNEY VIYGYDEINVQCWQGWHIFHALITLLFMLIFVVICAIVAYAFFEPGMTSGNRTARQDSNG EVAFIINKVTCQVLYCFLGKNESWILVLTTFTLSAWLFKEYNFADPIYDFEVGRFYSIIS SYYFWANVMLVICKVQENAEFSGGLIAWVIGLPFIVSIMLTTKKSKVDTLVRAQNKFSSG EDVWIHIRYVLQLISQADTDRNSYMLLIGYIEKHKETCKLNDCYFKQRRKAQNSEQLDDL KRGLIIELDKMFRMGLRKFWSSASLRIFYALFLMERRNNKNDAYIQFNLVSQKSKPKFFQ QFITYRYMKIIKDKSDDVVDAIANQNNKSELYSKMKMAALYYKTFWNELKEDQPNLVRLM HIGAMITKVSNQVMEKHQEMNKKNSLGFADLKALANFYYQVFNDSFMGQILKNQHKLAKQ QLQVKVEDEDLIENNIEKHPIPFLQVCARKSEIGKIINLNSLFTTFFGYQKEELRGKSIN ILMPKVYAEQHDNYLIEFFDNMLINMQNDGDYPRTYIDQEQLQFYKHRNGYIIPFIYKVS FNIDSLQYLVCFKSDPTFKGQAVFIIDSETTIIEMSSGSIQYFDIELRHIKQVVILNSLL PDILNQNGKQVQYHKNGQNNIESVYYFQCSVKEIEITQIINENLLLELQASKKLVKSGYW LVKLEKIDFSDVSGGRKASTFISSARGLLPGQSRQSIQITQRQSISITQKLIPTRANQTV QSLDTLALRIDTNFEYDQNLVQFLQDLYTEQRYDDYKDMISQQEDQQTQIKLDYGSEIRV RRLVNNQILNIDEEKELWFLKQLEEEEQENSIFRNNTNQDEHDNDQKLVSNMQSQIKIRN ALSQNHRHSKITKFCIYANIWIDFIALITALQYYFCQLNFEGFTSEIKAIYQINNEIINL NLLISRALDLCMDQQFNFTRLQIEIQESAQLIINFDYDLNLGFYRVYFDSETINLRMYEK SNQYEDIQLSLESSILLITSECLNLSLSQQNNVFQSNSIQNILFNYFNQIHDPLIKISQI LYEDVISQVQYPDLNLLISLLVLFIGTTIGMIKFITLMLEIKIDRENVIFLFLEIPQNDV QNLSKKVDKFLKFYNEDLKKLNNFDYDESSDEDEEQQLIELQQHVDGQDKMNSKAIEEDN QYQLQLKRRKIIQKYRKSKLKGNQGIIVQFLLIALLTLVFAVYNIISSANEKSQIQYLLP QFYQSSIQIENYGYYINLYKLSSLNSDFQINNISILTKIAQDLTIFTGNQLNFQNFAKDL LNKLPDLRNLFFSTYYGDLCSIISKNNDTNCYQIIEGNLQLGIYNVEQYYLQYFRNENLN EVVYNEEIYEIDQSLFYYIKQAVSQITDFELQFMTSQMSTTLTIQLILVIIYILILELVV SVIWLLFLQKLNIQINLNMQMLNMIPMKVVDNNRKIRMFIRQCIKKMELENT >CAK70752 pep:novel supercontig:GCA_000165425.1:CT868085:507264:507791:1 gene:GSPATT00007887001 transcript:CAK70752 MLEKVLKFQVVTKGEKIHNIKPLLPTIKFNLSEKQNNYTEMMDHQQSMNRYSGTYRSNSQ KRNQIKSIRRSKGVGYSQLIDNYKNTSRQSQENPFQTELLIKQFQSIRTKEQPSERQNTM MDCPPLKFHTIEATPKNIRYKSQPKKPEHVDTEMKITPYVHQNKRYQCVDYYYIV >CAK70753 pep:novel supercontig:GCA_000165425.1:CT868085:507793:509047:-1 gene:GSPATT00007888001 transcript:CAK70753 MIGLMSVSAFDIDFALLLQTGSESNDAVQAVYDLLNDLKTSNIEAQGVADEKNISDEEIG QARIAALSKVNELNQKAWASAKARREQIGIEYREATDYIAWATQRLADIDRRSVELQELR CFSNGLFVRAIKQHNDALGVIRVLKNDLSGYLTGQPSSLVEINVQNVSDKLKQYSQLFNQ DAMTKFAQLAVEQASGNAELHALGQEEGSSSSDRQPGHNVGQLVYNALSDLEDQLKSSLA NLEANEIAAYYQLADWLADTESEVAHLNDEIQRKTQLQDKLVVQEQAALAVQAKANSVLK DSQNAINAATASLYELRDLYETELNRRNEENAIIDEVIHIFKQQVLEMANQTSYGKK >CAK70754 pep:novel supercontig:GCA_000165425.1:CT868085:509247:509857:1 gene:GSPATT00007889001 transcript:CAK70754 MEAFAFIYQIDNLKQMQSFSFHIQQIIIQCHIIYFVVLSPYSLSTKKLIQSINILLIRYQ LIQIKLSFISFLYNYNQYSNQDYNSEQFQTEIANFLYKDYIRVRR >CAK70755 pep:novel supercontig:GCA_000165425.1:CT868085:509916:510505:-1 gene:GSPATT00007890001 transcript:CAK70755 MALKKKDSICSSRYYTQNVNDSQEDDWKFIRDAQNILRKQKSQHVEEFVTPSKVRFVRYS FQQTQQKKSKKSLLNDDSFQSNRFLLLLFNIMNILKVLLLVFLILSVTAQQLRGNNDQPE ASSEVKKCSCGSGKTTTGGQGQNKVSLLQYQEALKKLHDGIKEASSDFKAKHI >CAK70756 pep:novel supercontig:GCA_000165425.1:CT868085:510617:511073:-1 gene:GSPATT00007891001 transcript:CAK70756 MKKLLKSTDFDAVVQKLNIHCKTKSDDYSLDLDPFQKIKNSSPMIFGDYCHTNIPIQLQL SPIDINQKSYISLLSDNIDFSVKDKKQGNNSNQSLERPQCEITQRIHSFVQKEKIINEEQ SNINKRLKEIRQIYVKKLEQLDECM >CAK70757 pep:novel supercontig:GCA_000165425.1:CT868085:511280:512119:1 gene:GSPATT00007892001 transcript:CAK70757 MSNRLVAIGQNSLIPIKATGKLGDEFFDKPEYEPNQMELLRKKERHQELRDKGYLVKDKL NEDVPDDIPFYEIFDPPNENYRKIFYPQDLKSQVAYYYHSPHFENNEQFLVDEEDIEQAE QAIKYQEEANRRKESKLQRLNQQFKKQKELEAEIERRQRQDQAIENVKRERILSKQPISS PSKNQPKKVSPHLPFKNYGLAIVGPKGKMSMQKDNKINKTNKIVQKQQQKTEEDNDNQKK KTVTFAEEDEVKYIPKNWKSSSEEFRELIKQNKKSKKLK >CAK70758 pep:novel supercontig:GCA_000165425.1:CT868085:512168:514874:1 gene:GSPATT00007893001 transcript:CAK70758 MSESENFKLRREIIQLKKQIEQLREDGRQKDQRIAFLEAEQEFHQGRYEEQKAKYNELLY QNERFRKDETLKNQLDKARDELDREKAAVQQYIDQLEKVKLENGNLMWQLTQLSLKYKDE NIVKDEFHYRDEVQDNREKLKNLVEKTNALNQAIERLSAENRVLRKMAGVPDDYQFELDD VIQEGQLQVEKYRAQVIELEREVEELEEERARLRRKLREQVAGGEVNLEEFDSQSNNQLR KENQELRSKVKMLEEENAHIRQNQQDYGAMGMGTQQGIMKVTNDQVQEMMQKQQQELREQ LQEIVKNYERVKPLRHYIIVDTAGLDKLSMPPNPIPGAFGNYNDIKDGYSQRFNVKFPVD MSSAYGDSGMDQMQLKYELASLQLQNIETLRLLQQKEAEYMNLLQEVTTIKEDIKGKCLL VQDQERQIQGDVWRDPREIQGLIGFECGKAKIKLAHYEEFMRDREKPKKEMEEKLGEMAK KTAILDVNLIKLSRKYDALTEEYKQMKTSYTIVESESMAKEKELLEKIYNLISWKKSAEE HMRVMIKELKNSVPADEFNNLRQKLEYTQDKLANAQLKEAEYQKRMATLESHEREAFEKG ERVRQLEEELVEIQLEEEVIKKRLQSFDSAFDKYVKIYEHIVEFIKIKNISVIEIFKLFD ENGDNKISRSEFTTAISNIGVPVSNDDMEVVFMFVDLDGTGQIEYQEFIRKLKRSGINLR KPEDQLLYQLYNAIKESGYDLRSAFQAFDTNCDNVISKADMKEALLQMNIKHDQKAIDYI FRMADTSGDNQINYEEFYVLFDEIVKSELINQGKSIQLSLDIKYQIMCKLDQSIKDQRLT LLDVFNMIDRDGDQTITFNGILSFVQRIRGRNLT >CAK70759 pep:novel supercontig:GCA_000165425.1:CT868085:514900:517909:1 gene:GSPATT00007894001 transcript:CAK70759 MDQDRNGQISYNEMLNYLRTAKTEEEKYQKLQFIQQRTEQLKTMQETDVTLQKAKQENQG QTLEDRLKMKINILEMREKNAQLKCDVLLNQLTNTELTLKETTKTLFELQNQMERSNDTF YRDREEKLMLLEKLKGALSREESDKLTKQIEQQRLTISDQNSAITTYRQLYDTAVMQTKS MKLTIEKIKNDSDTMQQTIKELQAVSDQNMMIGKLYHHLMIARWHEAQTNQKYEKVLDEL RKLKLQSESYDTTILKQSQEIIQQNTIFSEQIRSYEQQITELKLKILPTVTLQRVEEQAK RVKELSDMKQEVERQNRELRDRFYELQLKADYFDNYKEKLDNLERNLRQNNPDELSQQII AVSQKLSEEKIELLRVKREMQVSAEKQEYFQRLNKQYTDTIKKLEYELAHADLDLRKREE DWRKRFYEQRKTIFAQLGLIEKDDMKEYTQKNTDASKMLIDAIQKKQKEQMEQQNQPTEQ QQVEITQYQKKIQELEASVKKKNIEIEGYIERQKIVTSGTIVFQQQQLEDYTKTLLAQEA EKVSVAGQQMLKAMQEIIQDKDRELDRKEQQLDALRQETLLHKKKDIAEIQRLTDLLHRR DQVQQEANKTGNSNNYNNFSYLQQNQMAELQPVLIEKYQQQLQEKDRKIKDLELSYEAEK SALKKMKEELIKTIAIKEQLEIELDNEKKLNESMQYVQKIEKLQNLVNEKNNQLVTLKKT VVALKEEQYKIAGESIDKEQIYKTKESKLNNNSTELETRLTKMVNDMKLLKEEIKDKQKK LEQLQEKEIQHRAQMTDLQKKNSELKAIIQAGGGKLEEQDQTVSNIQAKLQQFNQIQMEK VQLEREIQFLKQQGGTRELFDKDATLKPPTGLYFKTFAELLDTLKQYLITKPKFDLLFEF KKLDKQFTQVLPMGRFFEVLSSAGVKLKTSFRDQKLVIDTIKTKDENADYYTKFYYVYKG WSDNVVQTDQADKQQQQQQQQIQQQQSQKFSAKSKK >CAK70760 pep:novel supercontig:GCA_000165425.1:CT868085:517952:519149:-1 gene:GSPATT00007895001 transcript:CAK70760 MTDKFNCDSSNRTDDYFMQVCQPLYSNRCQQREEIEFKFRQSKQDYVFPRMPFEETPEKY QTIESPHRLKTEGNEKSEDQLDLNFNFNSSSEHLSDDAVVLQPKPYQQKLKPPQPKKAKH PATQQTYRTILNDLERKLHTINCKDFVKKKCEQKGASRAKSNSNSTTHYNSHYQRKPFEI DTKTSSVKKSIPTESKKSLSKTTLAAILLKQKSKSNPSKTNNIFNVNNSHINLNVNINSQ TMDLQQQALTVAQILQKKQLLNRSSLSSYQRNSNRCSTEPLEEMKARLSNNHQKNKTTVT NQSRCHTGMDVRAQSTIQYLNQMKKQQIKKNQNQQHQQFQQKQPPTMQIKPQQNIKQRSS TNTSKPRISSGKYKKYNLSIEMN >CAK70761 pep:novel supercontig:GCA_000165425.1:CT868085:519238:520386:-1 gene:GSPATT00007896001 transcript:CAK70761 MYRSSYYESDQKDIIIAQLKADIYEAQKQQEELEQLEQEMGKWEIKIKMANEEKLLLEHE HKQKHEQCIGQIQMMTQEINQLSKILKERHLDQERQILQQKELEEINDQRQLEIQQARKQ LNKLSDSVIQETSIIENLQHKLEQFEQESYNAEVKNKDLNRKINEIQQKNRKIEQDCQIL QDQLIQLQQSDQEQEINKYQQQLAYTNEHIKKIEQEFQQQKQNNKSTEQQINRMNQELQQ NEVDLSKQRKYLIMEQQKKDDLNKKKAQLQKTQLHRQEQYSQADQEFNKTKMDNQTIIND NNCYKNKLKQLQTHIDNLMKVNQELVSELEYYCGDDQKIKQILNRAARVKELQLRVVQGN RLLKK >CAK70762 pep:novel supercontig:GCA_000165425.1:CT868085:520550:523234:1 gene:GSPATT00007897001 transcript:CAK70762 MNTNRTDNGLLQDCYFGPCVLVDSTNVNNYKKNSPSRNSISSDFSQKDIEQQSNNISRNV EMLPITLQVLKAKQNFRSKGNPNQQSQSNFIKGSKRQLLEPKNQKSEGPSFLKTYITNSI INKFKNNLYLSSYVLPYYMKYILDNENYLQEQKEKTKLNSNKQERSKENGQTNKMLKLSH LLMPGKNLTILWDFISLFVLFLRLFFCTMIASFGQSDNFFKGCELFFNIYILFETLLTIC RPVILQGEIVSELEQIWILYLKHQMLEDLSSFVIWFMIYFGLNQVIVLNEIFAITQFVIT VRQIARKYNIQIEQLYLKGFNSDFLDLISLFIIICFFAHTMACLWYYIGHITLNQGSWLT YYETTNENIWAKYNLSYYWATMTMVTVGYGDITPKNQVEVAFVSIVMISSSCMFAYSMNS IGVIVKTIYDEKTRFKRTLILMNQFMSKNEVDSQIQSRVKNYIKFSIENEVLENKEETTK IINDLPVGLRKELESDIQQKVIQKIKVITDYFSIQTQNKVKNNLCLVKFTPKDIIYHRDD MKDKNLYIFKQLCRYFISEGEVQIFEEQSQKVIRRLKAGDVFGEFQFFTGQTPKESTISV GFTQLYRIDREKFINIIKQTQKDYEKFHKIKDSILYSKNYTSILSKCYICNKYTHRDIEC PYLTYQPSKYLKILKFNKSEHNERQKYTRNNRKKSKAQLDQQQIEATIRDYQEFLTESML NDLNDQVLTYQVSDTISGQIETIPIDEFRQKSSNSINKNIQQTGLNRRESQTPNLDLDKK KSVVLIKQKVSLTEEEKRKTQIYRETLIFKQLDQFQVKQQFYMLEGMDKMQNYIDYLPHN NANIVIRNANKEKPRTKSIKPQVFKFSNNSFRIAKNI >CAK70763 pep:novel supercontig:GCA_000165425.1:CT868085:523288:524124:-1 gene:GSPATT00007898001 transcript:CAK70763 MINTTNQLDEALIEKIRNLEQQIAQKDREQYIILNNLQRKNRELKNQFQQTQRQNMDTKK EVLQLQSKNDHFKIQVENYSNEIHDLKQKQQQLLNEQEFLSQEIKYLKSELKQDQLIESE ILKKNEQFKRLHNTINELEKQIRLLQLNQQQNSYQLYQTQQINNKIQPCQIHQQNEEITI PVYVPIIEQPKYIEQPIKQYQVERIVETTNSNTIKPQSICFQPVHYTTQQHWVSMQPVHN HQNHHHHHSCSSNYGYKYSYY >CAK70764 pep:novel supercontig:GCA_000165425.1:CT868085:524185:525625:-1 gene:GSPATT00007899001 transcript:CAK70764 MDNQAILTLQVYSKNIFFNHPYQLKYTGDKIVMYKLKQGVQIFRFQVLIRIMTLIKWIIK FENKQPKFIGFTIKIKKKFKTFISKDPDQLIKLKNLLSCRCSFGNFDELYTVKSDISRGS SGSVKKIQCKSTGNELAVKYINNKDLTPLNYSIIEQEIAVMNKVKGFDYFVQIQDVYQDD QQYLIIMNYLDGYSLSHYLENNKKMNKTFSLFQIFSIMKRLFEALIKLSELEIIHRDIKP QNLVLAEEDDFTSLTIIDFGFATFTNINRYLLYKCGTPGYAAPEVLNSQCTYYSFSCDVF SSGCLLYQLLFHELPFKGDTIEQLVHNNRICQFNLDLDKCDAFSNNIISLLKSLLEKKIS DRIQLKNAYDQFSILYDLYKQRYDTEDCHDSSPLVNRLPNFDTQIGRIFEQNTTRESRQS LSNCTQIISK >CAK70765 pep:novel supercontig:GCA_000165425.1:CT868085:526408:526875:-1 gene:GSPATT00007900001 transcript:CAK70765 MGANCCRQYNDKSSELTNLNQFTSSNILQKKSSSSSNILNKEKCQQQDENFKEDASVTYI HEPFKFEGDNQEIKEVNGDVDGVESIQQSHQFLQDCIPNNNNSSSESDESIHCDDTNTKK TILKHDLRYCQNQGSVKNKDVVKKKVRFDLKLNLK >CAK70766 pep:novel supercontig:GCA_000165425.1:CT868085:528396:529137:1 gene:GSPATT00007901001 transcript:CAK70766 MQNPNFMPILIYTQPTGYPQYYPMYYMPIMPNYMIPQCQTSQEPEMNHIISKTSDQSSFS NQNFDPNNQINFQSIKQNNNEIAVENIEEIQTNTESNKPKQKSGKKKINLLQKSTNIQKN YAKAIVSYACGQRVHIINTLGKKKGIEFLKIINQLKNKLKNINHIKNYTQKESFLSMFRI LGNKFLKNEAVSYIYHSNIQQKSCHLKHLKMIEQNLLKC >CAK70767 pep:novel supercontig:GCA_000165425.1:CT868085:530432:532271:1 gene:GSPATT00007902001 transcript:CAK70767 MQLIFLFFLIEVSFSWHPILSSRIIESIENENIIIEEDYQIIAEGSFSISYKGLQSYREL YLMFDAKTNKTESEILILVNGVRRNIIQVQGEFKEFHMRFQHQASDVVIQMRIDDQTKVE IRNFNMFVQECPKDCKYCLSGSCDLSLYQDCDQLRYKNTCVEECPDGTVAEFNQCVQVGL KEIEQVKSFLQEELSTIQKFGEQKLLKFNFENGFNGQLEIVFNCYSKQKQNADQLIKVGF RKGQTQVMYPFLNSYTNLQFHKECKPQYELECVQVKGLFDVEFLKQDQILIDSYSKKLEQ IGYWEVESFKVYNESKKLYECDIENCSQCSYNNVCQQCQDHFHLYQGQCIKKCPFYTIQE KNRCINLHESQPDLKFLVKLESPFQDLSQIFGQITFDLKEQISFRYENQTTFIGGGLLDR WRRTTFSKQLNLGRHYAIRIMFNISLENSTQDQDSFIYSIDGKTFKVLNNQTYVDQTLNH NKNILNLNLGCKISSNGRCVVSNYYFMTMKCSPLCQSCTGPSQSDCKIFEANIDKFDYKN FKCQDGYYLSEYGCKLCSQGCQLCESQNRCLKCQDTKEAEFICKPYL >CAK70768 pep:novel supercontig:GCA_000165425.1:CT868085:534263:539548:1 gene:GSPATT00007903001 transcript:CAK70768 MYISGFMQYGNIYINNIMKWMQLLVLRMLMQIVQCGWELFKSELMDSSTFALWKNSNNSP GSNLLQVCDLQPTLNSKLLQGDTLLSRNIVMPTTRAFSKIRISFDIYYLNIWNVAESITV YANSLLVYYGKPIHSVYEDYPLRFCVSRPFGKSYAEQLWRIDQEISFNDPNLNIEIVHDN ASTGTTSEYGINNFILQVYFCPDRCGECDQEECLVCQNGFSLVRGECKCNPFLQYSYFNP DLTCVDECPANYASDDNRICQPSVVSAIYSDLEEDTFSSYKFKFVADKYYSVNQLMINTI GTKSVAGMFSNTDSIIFDDVNLQPNFKYIIKFKLYITGKLSKLFPETIQILFNQYVVAYL VDFNQIQLTSSIKTSSTVSLGQHCSIPNYSKCSSYEIVMQVELTELVTEIMFKTKFQLNT PQRTWGIRDLQISQYQLTSIYIQCSNNCQTCNQSQPNVCLSCSGSLKLFNGNCVTQCPNY TTTNVNSCDDPQLTQVNEMYLVSMYHDMNYYVQDNFQDFPQISTSYFMNRQILGGFNKWK NEQINLILTKDKSMYKVKISLMLLFIDSTNNQIQFRTSINNEQPQYSLFGSSISVGNQIG QSYVETIQSVSYVKSFESTNQFKIQLQCIQGIDIEAYCGIYDFRIIVSTCQDNCLKCDEN GNCITEMDTISTDINGCKEGYYKNGDGCFKCLYGCSKCSEENVCQTCQPGYEWRFNTCFC SSVKEKLAYCEQTNCFHNCQTCSDKKESYGVWNQLHPKNCLSCDESKNLWLNVNQCSCLD GYYMENFSCYMCLPTCLKCSQQARMCTACHPGQNRVLEKEQCRCSNGYFQEDNDLICSKC DELCQNCNFTKDQCSSCYSSQNRRLNIDTCICMDGYYESGDLICKKCPPKCKTCLNETTC ITCNEDQFRILSIDNVSCTCQSGYFDQSSQNTCGKCHSSCLECKQSNNFESCTRCPNTRE PKYHSGGSVTLFECKCRRGYYETNQQECSSCADYLNPPTNHYCYSQCGDSIVQWNEDCDD GNNIDRDSCFKCLHGNSFCFDYTCTGCSAGQCTGCIDGFYLTQEFICLPCNSSCKTCIDR ADNCTDCIIYNEDQSGCVICDQSLGYHIEDSQCVPICGDAIKVEQEECDDGNLIIGDGCD QMCKVESGFKCGSLCQLIVYPSIIFEVNKSDKSFDEERVIRIKTDSLVSITGSINSIFSF KVNNCDNYSISYVDLTQYSDKFTYLFLELTLIFKSSVPDPELVCQIIHQTAVFNQEGNTF GEKNYMIKLEEYQKPQLSTEQATDGLMKMSKYILYLLFGFAILAFLFGGLNIFWNLLDAL QLVSYLQFFNVQYPYNLNNYLTIFGFAQFDFIKDYLDLEAFISQFVNTPDADFKFREEGY STVFYVNIITVLTVFFITLLTYMACTLLLSTLTKFSHSFVYVPLHSQEQSICTLFIYRAT RNLQIQLMRFNKAFTSGVIRTFMAVAFDYNLALFLQLKDFDLSDPILFTSFLFCLSAFVI EIAFIHMAVKYMSKPAFVFKQKTSIDNFGAIYEGIKLDKNPFTYYFNIILLVKKMLFMMC LVMFYSSPCLQVGLVSLLNIVMALYLIKIQPLEDKDELFKQVGSEIFIWLAEMLILGFAI NEQSNSLNEDSQLVIGWCVIALTSSLIIFQLFIDVKQHIKFLITEYSIIKKLLYKLQQMI FKREPQQEQNIFLKGRRRMGIENMTSQTNIMTNKLKTLSNYKQGRMVTFTVSSSSS >CAK70769 pep:novel supercontig:GCA_000165425.1:CT868085:541522:542575:1 gene:GSPATT00007904001 transcript:CAK70769 MDNKGTHNKYNSTALLKLQFLRFNRQHKINNCRRNQLIQYERQQSRQGNRADVHHFERPL QVVPQEDIEQKWKEKCLRLEMHVYELQVEIQRLRLQNSGGQITYIQDDTRINELMQANKE IRNQEQQMRIQNKQLLEDLESWKSRYKLLQESNSKSSGLDEEIRQLKKKINELTEDLAGS HEQIQLRDNEILNLKQLLHDKDNELDQLDARIRELEMMCENYSQSETQIISLQGEVELWK KKFKQVNEQNSDLAEKLTMAETQLEAIKKRQVTVTKETEVRKSGTYGGGTTTSYEQNVIK GAQLLHPRGSQYLK >CAK70770 pep:novel supercontig:GCA_000165425.1:CT868085:542606:543120:1 gene:GSPATT00007905001 transcript:CAK70770 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLLALWLGKVEK >CAK70771 pep:novel supercontig:GCA_000165425.1:CT868085:544673:547668:1 gene:GSPATT00007906001 transcript:CAK70771 MINRSPTMKTYNKQNQSQDPLLIMTPPSSLREISCSFRGNLNRYVTIQSRRNKQEQQEDQ QHSRQYRMHLQRMYQETFIKQLLDKTKPTSKMNNYQKQVLDDLQFGQDTQIDDIDSSCYK FMFNYFNNYPIIQPQSNLKICSDIIFIINTLIILIWLPLKYSFELDQILQILNYNNYEII EYLLIVMLAFEIILQFNQAYIFKGKVINQRYHIIYNYLKQDMIKDLICLVSLIVLLLQTF EAGELNLVLLCAFGLLQGSKLLKVVNNTLEYYNIQQNNLIPLVINVIGVIYLIHLVSCIQ YTLIKEVNNANSLENVIQQYVQCFNWASVYLTSAGFSNIESNNNEQLIFSSVISIVSILI DGFISIKIGLFLYNHSQRIKHNQYINMMTNFMNLNSINLNLQHRIRSYLQYIYKQEQQMN DEDITQIMVKLSHQLRSELKHQLQANILEQCKMISQNFSLKLRKQLVYYMEELKTVPEQR ILTLNEQDDSSIYFINKGEVNVIFEQTNNMNEKYPRNHIKTLQKGEYFGFLGFITGSVRT ATIISKGFCQLYKIQRNHFVNLLEQFPDDKEKFYMIKDKVQFQQDLSMIDARCYSCKSTS HLVNQCPFLHFEPDKDRVVKQQLYPIQQEREEIQRKSKYINALLQQAVIQDLAKELMKDL EHVEESENISESQSQDIQTRTIKSTSNVQTRSLSKSFSQYSNQLSKFSHRPSQTLTVAQS QNYQSLMSQEEIGKFEQELIKKMPLHRRSQQKETAGFGARFESQMHNPIQDHDEDIPNID MMDDEVQVFQQESHKPSQQLYYSDKSPRIHRRIQQKVKFDQNLLGIKNRRSSLIYENYIQ QLYEEEHYQIEFPILTQPSVGDFDKICEFREYYPEYNISRVMRFLHRVTQTYRRQPTNSL YSFLFIAIQKGHAMKDKLHHFHSRSNIKPSNNRRIYKKGKIKTVIF >CAK70772 pep:novel supercontig:GCA_000165425.1:CT868085:547734:548102:1 gene:GSPATT00007907001 transcript:CAK70772 MLKRDKKQKVDKIVQTNMEEDPRQFYLIDTQEEVSGHILERLRQQPIVQMKKVAFDKEHG NTIDSVPINIKSHVKSENELYFELQYQNPNLLPSYYPFYFLRERFPKLLIEYYKNNSVLI LK >CAK70773 pep:novel supercontig:GCA_000165425.1:CT868085:548113:549630:-1 gene:GSPATT00007908001 transcript:CAK70773 MQQSQSYIKTEKKCDRLHDEGSNRLIAICLNPHCDKKLMCCFCYNDYHSEHVKDAKTISQ VQQLCKDSIAKFDKLQLTIILQQKAEQFKQVVQNISEQLEKLLQYYIHDIQQLYSMLEDL ADSFVILQQGNINQFTNEQCQLLATFHDERILDSIEDITNFIGQRMGIQDLLKISNDLDD KIKLLDFNDILEKNIQNDQLKQFVQTHQIKYDWIQPEFLLTRSPEVTKIEYPNEDFYIGE VKGDKKYGRGLLFNKVAQTYQYGVFRDDNFVWGQQLLVDQKKRYWIKQGKWVNEKIEGRG IQVQFKGEYYQGDLKNDIREGFGIMRYTSGDEYQGQWKMGQFHGKGLYKYATGDEYEGDF VQDRKEGIGSFQYKNGELYVGQFKSGLRHGSAIVKFPNGEIFTGEYMNDKREGPGVYKYI TDNIFEGTYKDGQRHGQGIYTDVENGLREIGEYVQGKQHGEHMVFKLLRDKPYCINVYDN GKLVNKKSL >CAK70774 pep:novel supercontig:GCA_000165425.1:CT868085:549754:550911:-1 gene:GSPATT00007909001 transcript:CAK70774 MRQKDRQKSKGKYLSISKELVQIVDVHGGPNCKNWGQVARHLEAQVGIKIEKAYRLKRAW ESFVDYNENMSKEQLATLFETAIKTRGNMDYAKHEFKRLTGIQLYSTRYADYVKTWLKPG LLGFKDCYIFSVVKSLRRSDFYQKHTMIQPITVLSMLRILDHDIDEYKDDYFVRDFRESA MKLQELLKCYASCFSDSREERYSKFSKITTRHQFKKIYFYLQLMEEFRRINRFFTNHANQ LTFHPMLYKTIEQNKQCPIYKLTLLENDYSKKLQLFNESNNNENEQFSVLLKPKKKRNSQ KGNDEEKNKKKEQQNPYVYQLEEEDLVKQIKVPKKVSETKKKYKNYRYFRGHFIREGQYQ CKGLTTKDFEYDVPLEQ >CAK70775 pep:novel supercontig:GCA_000165425.1:CT868085:551287:552368:-1 gene:GSPATT00007910001 transcript:CAK70775 MKILLLVLVIQVFSQSCLSSTGTQVDWWLIFKLPADTSIPYSGFEYYYCDSQNDCSAMNL MNDDLRDYTSALQRTVSQISFTSTTTMNVVWNDQPSGKSTISDRAHSKGILSASTSGQGF IINHSTPQFPVFDDTQSYIIPGMPSSSSVNGQHFFCVTMMTSQINVVAEQYIIAQTLTQK ANEISTFESTYPNIFALRNNSRKIPAQNGASQVKSKNGMIINVISKNQNLVDDFYATVVA PTLKVGLVMETWGNGTGGLQEAACTNTYQTYSNIYRNHNGYKFKYTKDHSKFGISVQSAM PYVCMSDLNRMTTQNKRGGTSLCFLHSKIWNVINKSFVERQTC >CAK70776 pep:novel supercontig:GCA_000165425.1:CT868085:553504:555045:1 gene:GSPATT00007911001 transcript:CAK70776 MQRKIECYNKGLFTSMTQFELKQRSNGKTSLGTGSFGTVNLVQHVKSQSLYAIKSIQQSN IQTPYEQEGVEREIKVHLKCHHPNIVNLYDSFIEHGNVYMVLEYAENGNLYNYVQRRKRL DEKEACKYFIQTCKALQYLHEINVFHRDIKPENLLLDSNNDIKLCDFGWCAENIHLKRKT FCGTYEYMAPEIVSDLPYDYKIDIWSVGVLLYELLHGYAPFKGKEYKEIAANIKTGLIRY SSSINADAQELIKNILQKEPSQRLSFKDIYQSPFVQRCYPQQGCNIISLSPRSSFSVDQN SIQKYQSPLISHKQQPIGRLNQTNGNNLNNSSSLSIKSPNISKTRVDSKKQSNIFDDIKK KIIYEQTSPLRDATNMHKRNQASLTNLIKNSNTKITQIKSPQPKKIQLSKYCSKSRNFDE NGTLKLLDQFKSMPSFTIEDQQHTFEEENRLNLKTDRVIKVQNKDCVSRFKKESHQSQDL DKILKMYLSMCSSRQ >CAK70777 pep:novel supercontig:GCA_000165425.1:CT868085:555652:556049:1 gene:GSPATT00007912001 transcript:CAK70777 MNSQENVAGVKTRSMKVCDNMVEITAKRVYCHISKAKKTQLVQTVLKQKCKIKKIAKDLN INYATAKTILHCYKKKEINLNDDSQQKRASYSSIKNDPKLYVQIRQGEKIINHYEYFDVI KRHQ >CAK70778 pep:novel supercontig:GCA_000165425.1:CT868085:557301:558827:1 gene:GSPATT00007913001 transcript:CAK70778 MLHCCRCNKSRSPKDRNKSNTKLQDHQIEQRVQQANHQQAYIAKIKENEQMILKMYKELQ DENGRLRSLINSCRLEQEKLELQEKFNQLQIQSIQFIPQSHSRSILEETNLHFSTKQQSF QFNDPSNIKYQSLKKLYDELIKDKDWDAQKKNQYSSSIQFLNNILQQKQEDLELAQGQII KQNKIIGEYELKYNQLLCNTYDQQIRGLLVVIIGGLLKEEIILGIQNHGTIVGQPFQWSD LGGDQIKPLENCNKNYKLSSSIYIIIFIMITRSEIKGFLPQSISKQYKTEPIDQNISDLS FSFTHSLKSSVKPKLNDSIQFETQISQRIQVKPPLTSKSNKKFSIPIIRDKTNFNSGLIS DQMSTPRSKLQDCKFQLIHLKLQNQQNQKINKQILIEKLSKQLKQSSTTNIPKSKEMMQI ELLLAAYNQLHLSHSKLKQENKTLEQEITIWKSKYQDLEKKTKSNIHSKSTSLQVQFNKP INQNIQERLKTQSNSFKFSLY >CAK70779 pep:novel supercontig:GCA_000165425.1:CT868085:558872:560052:-1 gene:GSPATT00007914001 transcript:CAK70779 MSKGYQASVTSLGQITQQQQANNPMCETKLVSSVLQVPKILEHCNEFNTHNIPRINCYNH QGYNYTNFCQLEGCCFPLCPECIPQHVQEHFESQSKPKLDSLENVLNKIQLTVHNEANKL ASCFQNITNSVLMADQMNMQCIKLLNEKKERIIKIIEQHFNSLLIDVQGKHIKNIENYKR DAQFFKQVIQDRWNSHVELLDNLKNQDCMRPLIRFLKSKTLQENEQYFLQAQEFTERYAL HQTKVSFDSEKSSQLGGFISEFLKVVNYDLPEYLNIHKLAPPEITTSKSNAGSAINSKAS IRQSEQKISQSQTKGGLEISQQAQYMNQSPGSNNYLQQSQQQFGSQRQMRNNYGEYPSLS NFGSRKQ >CAK70780 pep:novel supercontig:GCA_000165425.1:CT868085:560103:561231:-1 gene:GSPATT00007915001 transcript:CAK70780 MGQCKCATQCCQKDELTSQKISTRSIQVSIKHHKPQKDQNSDKIDALINNDFDSAIMKNS MRSKVSNQEISQNLITTQHPIPQQVVDTIQTKERKLLPVLFQLNQIMLMPNGTKYEGQWL SGMRDGYGKQIWPDGSIYEGQWKQDKSNGQGKLIHADGDIYDGEWVDDAACGKGTYVHFN GARYEGEWLNDNQHGYGIEVWPDGAKYEGQYQFGKKNGKGQLTFVDTASYEGNFIDNEIS GFGVYKWPDGREYVGNWLDNKMHGEGTLKWPDGKCYKGNYQQDKKQGRGLFYFGDGRKYA GTWINGKQSGVGIYYQSEKQYRIGMWQNGQRIKWLNDEEVEGNKVQITQLLEL >CAK70781 pep:novel supercontig:GCA_000165425.1:CT868085:561231:561554:-1 gene:GSPATT00007916001 transcript:CAK70781 MQRLFSQMGRFAIPYPRLMSSSTLYSPSVYLQALRIQRYQVPQSQIFASNAQDPIINHYC EQSIAVLDCLSKKKKRARKKQLRRKGNKIINARNR >CAK70782 pep:novel supercontig:GCA_000165425.1:CT868085:561600:562765:1 gene:GSPATT00007917001 transcript:CAK70782 MKNNLFGGIPFNCGERYRLIKLVGTGAYGSVVLGFDQQNQNMKVAIKKLNQIEDVIDAKR ILREIKIQRSMNHQNILKIYDIIYDKKTDRFGDIYIVSPYFPVDLDKIIKSSQELTVTQV QFIMYQLCKGLYYLHSSGSIHRDIKPGNILANEKCEVCYCDFGFARKYDELHDQFEENMT EYVVTRYYRAPEIMLSSQQYSKPVDIWSLGCTFAELMSRKTLFNAPNYIQMLKMFFNILG KPSDDELNQFVTNENAHSFLQTLPPKPKQPASNSVPYPDPKARDLLDQMLEINPKNRITA QKCLEHPFFESIRNQAEEITFAGNLECDFENDDSITLNNLKQLILIEINQIKAKNNEAQI VIEEEMKRLNLY >CAK70783 pep:novel supercontig:GCA_000165425.1:CT868085:563726:564218:1 gene:GSPATT00007918001 transcript:CAK70783 MISQQLKVGFYDNTIPKFKIQLTNRPTYEKQSMNLRRKMEIGIKQESIQIQQLQKQQNHL WRLRVQNTQEYHKKQSNLIFRSNTINIKNSNSLNSQKCVHLIYKSPQKAITERGKHQKPN EIGLINRSLDCQNMNEILNDRNQIYKRRTLRLSLV >CAK70784 pep:novel supercontig:GCA_000165425.1:CT868085:564241:565929:-1 gene:GSPATT00007919001 transcript:CAK70784 MKHDFLKEKELKIIEKIGEGAFGQVYKASYKGEEVAIKCMQGAQLQETSIMESLKHKNII KFYKYFKQGNSQYLIMEYAGGGSLSDYMKKSLEEQTISGIMKSIFTAIEYLHSKQIIHRD IKPDNILIKNSEDLSSVKIADFGLSYQYMPEIRYYQTVSQQCGTFIFMAPEQILNKAYNK AVDMWSCGVVLFMLLNQGKHPFYPRISTKKEFINSFPDLKYEQPLHASPLARDLLQRLLQ YDQDSRYTAAQALVHPWITRNFNHPIPMSVKEFGTCQELKKKVFQYFKAVLFLNQVQQDS IKQSPIQSKTDLGEFKISIVEFDEDNYEQECEDTNSPKIQQFFNKLSIQRPTKILKSFRT LNNIPYKGKHYQLNAILNQTNESPIRELKKIKLQQQFSVDNEQFIVPKDPESPKKHLRGS QSNKILLPALTESTQVSLSPIKQKQKPIVKQNSFRKSETSFFMGLNRLNNDSTLEAGTFR NRVETYDRTQIPPINTQRFYGRNTITNLVTSPKNNIATSTNLLIPKKII >CAK70785 pep:novel supercontig:GCA_000165425.1:CT868085:566176:566721:1 gene:GSPATT00007920001 transcript:CAK70785 MTNMRFSLDASFHSYQFCDIEINDRTQNLPHFEPIHILSQQNKFNNLKKEIKLSPLINYD NNNTSLMYYQIKVQKMRVKKAIQSRSSKYRRIPDLDYNSNLRIIQPSSKTMKITQRISSQ PQRLPTKSFCDFKDGKVYQQKVQTKIKTRLPSIEKHQIETLSKSSLNCWTRQTTSSLFQE N >CAK70786 pep:novel supercontig:GCA_000165425.1:CT868085:567173:567894:1 gene:GSPATT00007921001 transcript:CAK70786 MNRIHHYSGLDSRQKSGQEGTEPASTNNRLTRSLGKSRSSFNNSVSQSITSSIQKGKSYY LNQLEAYYNMHLIEILAGSFLVNQGMELLNKFKELFQQIVEQLQDLLFLIKQVDFEAPNF EELYQTDAEYFSETVYRDIKHLEQQHYDLIYSSSLRFLPNILDCLQYNFEIDIYEFDIRN WAQQGLDHILKFSGLRRSFRQPVGCCQRYCKCIADLFKGNRQRR >CAK70787 pep:novel supercontig:GCA_000165425.1:CT868085:568343:569633:1 gene:GSPATT00007922001 transcript:CAK70787 MIMNELYIDNYKIILNEILGKGVYGTVYGCRSLTEPQLLLCAKKMMISDKSIQTCAEREK QISEIISQHQSNQNIVKVYYVKYLPEQKVLIIIMEKCDANLKQYLELKDPKTFSENEFLD FLDQFLTGYSFLFDRDIIHRDIKPENILIKREKKIIYKLSDFGTAKIYKANDFEFTKIGT PAYVAPEINSQLNDCEVYNNFVNLKKFQHSKSQVDVYSLGLILYEMTYGKLPFEKRLDSI VDFAQKIKANPLILPGASKFKEIIAKMLVYYPDQRLSFEYLYKFVKDYKKESGHCPSPIQ FKIDIGNCNLRKNIKVQNTPFSHKINIQNNPNQINQPHIQPNPHPFQQKPNQFAGVQNHF QPNQAPNQNLPPPPQQIPDPIQIQQPIRSSIPPQQKIKEYMQFILQLQKAQTQK >CAK70788 pep:novel supercontig:GCA_000165425.1:CT868085:570677:571225:1 gene:GSPATT00007923001 transcript:CAK70788 MYGNKNKNAALISIYCQRYKMRLNSTPHVIANQLSINNLSGQRVQTDSAQIRRIKTMRSQ RSKLYYKSESREPIKFSNSSKFSSIDSRKHSNYDSHVNTSTSDNEDKALTISKMIFSVKS PLQSLRFQGFTLDKKPSNIEMNRRKTLRNSLFANSYKNQHFLMTGNECGWSRKSSESLIY LC >CAK70789 pep:novel supercontig:GCA_000165425.1:CT868085:573152:579672:1 gene:GSPATT00007924001 transcript:CAK70789 MQYLVLIFLISSLINAVVGGWMIFYNGIHEFKTFTCASIGCLYGFKNQTYTGPALYLNCL TNPLTALRLDSLQMNSLENYVSLAPTLSNAYKLIMFDVYYTSQWVDDVIDFEFNNQIFQI RHSTSDPLPQTEGFCDSIQYELRTYNFTLPYSSNAYQFPKFTIYNPSVSAMIRNVHLSFQ NCYPGCTQCTGPDKNQCTACSPNLAVLNGVCRCPIRQSVMQAYGCVNKCYITGSKIKSQN RICLDFAPTTLIYSVLSVVNLETWYNWNIIYDPQHIDVVDKKLAPYNFGLFRFREGVYTS ISTAYGIYPLGTIVQIVFCNATPINTGVQFYVNQTYVGSVYYDGTQYIFDKVELRSQSVY PVTGECTNNQFISVEIFTTFIEQKLTYSIQGNFTSPGAAWFLRLVQITTGYCPYACFKCD MFYRCIQCPSGYVKISDGTCQYWGCPIESTYNGTHCVKYNEATKYSQYFVREFYDFAATD TLNTTFILESSVPTDLQKGPFVFWSYTDTKRVFGGKFVWAQSRFSQIYTLSPHHSLTIYF QVIFGPNFPTSPTNYFSYEINNGTQIKLTKTSTLSVIEKVLTYNPTLTVAIQCYGVNVID SYCGISNYYIVVHYCKPYCNRCTNELTCDEWMTFDPNIVKVEQSQCLDNQFVDESTSTCE DCPIECLTCFNEYECFTCKPNYKLILTTCVIGCQLNQFHDGTNCLDCHYSCKQCQSTTYC IHCESTSLRYLENGQCKCYDGYYDQNTIQMCQPCDKLCTKCYGPSNQNCQSCIVLDQLIL NGSSCECNIGYYFDEFQLKCELCSHRCETCFGQSDSTCLSCHSTQFRVLDGFYCKCNDGY FDNIQDICVQCPVAEDALLGSCYKICGNGSSIWFNQICPTFVCPLGYNTVNNQCKPICGD LIIVAGEQCDDGNLIQFDGCHNCRYQCPAQCTVCDNTTVFPCLDMCGDGVVSGLEECDDS NSVQFDGCYQCKIECQPQCTRCNKGACSECQTFGWMFDPASNLCIEKCGDRYVVGNEPCD DGYNFDGNDSCLACKRVCRDDCKTCSDDGTTCLECKTIGFRPYTYYCVNICGDGYLAVDP YNRYIEQCDDFNLVNYDGCNAACLFQCQTIDICTSCVSNKCQSCISTYYLDASKNKCIEL CYDNIIVGNEKCEDMNSLMLDGCYNCQLSCQQSCQTCTINGCTQCKAGYLLTNYQCVNIC GDAIVVFGEDCDDGNLNPFDACHQCVYQCSPYCLTCHKGQCLQCQRDYIKIKGACWKYQD LLMQNEQNLQIIEETQIIFFEFCSHYDKMNCQKCQYPYTYNQFAQQCEIQLEYLTHYDGP TGQDYIQPLLEFCLIQYHETCLKCYNNFELDDYNNSCQPICGDNQLNGYEQCEDNNSLLF DGCYECKYSCDYSCEYCQFGKCFRCQQDQILVDEKYCQPKAVCNQIQGFYLDEETNTCIE NCGDGIVSKNEECDDGNVIQYDGCYQCKYQCQPYCSECIKGKCIIRPSPCSVGFYFSFET LSCESMCGDGILVESQEECDDGNQSDEDECTNNCKLQCDSKCAQCEKQNKCLSCKENYLL INNKCEDIENLDNFIKNCEISIDNECLQCEQGFTLKNNNCITYCGDGIQNGKELCDDGNK INGDGCDINCTPSQDSYCRDSECTILISPSAQLVFSNEFFGLQYAELIYDQDMRLAEDYT KQDYLENLVFFIEGRNESANISYQTNSINQDLNYIIISIQIEFLEYIQDPVLKVRFNNNQ ILENEYGLSIKQQILSTKLLSPNVLDQSQQQSSQSLISMSSQLLKIMAVFIALSSITGQL QIITNLIDIIQQLYYLKYLNSRIGINLAQFYQTFKIIQLNNIYDQLNMNPDSNFKGVLTY YHSEPIFELDERNANFLNNISQLSLVYIILTVTLMILKFGTVLVIRKLSQLNAIHLKQSQ LYIVNFIQKKCIKIRKIKYWPQIQSLFIAMIYEFGINIFLAMKYSQSDSEGYCGVMIAIS TLLVSTLLLLSKLKQSNGLIKRLKLLNKEDNVYIYMCVQKLLFVMFLVFFFQSGAIQILL CILNEFQYCYFLYSYKIIKQPSENLKQLSSHIVQFIICSLYLINNFYQNDPQVLIMIGWS IISLMSTVLVITVITDFFDLIYPFFKKILQRQSVQNNELSEMFCVVENQVNVLNRQQF >CAK70790 pep:novel supercontig:GCA_000165425.1:CT868085:579753:581075:1 gene:GSPATT00007925001 transcript:CAK70790 MISEHIQSITSIVHNEFYIKSGNGPWIQRNIFENVEYDEYENKQIEILKQKIEEKGLKIN LKRSRLLKMLMAAQYDVERAIINCQLHLKYMKEYKKSDFQKDLVKGYLYVSGFDNQYRPV IIFRQYCDIKIITYFLETVRRFLLIDYYVENWTIIIDLELDLPVLELEDLLYLQLQFYGN LNKMLIINGPDDIQVILKQFTDKFPDLSVKLQVITEYSQLLQYIPKDQLEIKYGGDQPNV IQFWPLQKREGISGLVNGNNNKTSHLSIGSLNSSSVNKKSSFMKITVLEENGNPLLNQQM AVFEDKVLQSSESSIQDQAPLQDYEEKYKSYLSTSTKKNCMDQVQFMDMDDQSVEEQQFK EGQNEKKKKRKLQMDEKQVKNQRIKMEGEKESIVASPSCCSKNCCIF >CAK70791 pep:novel supercontig:GCA_000165425.1:CT868085:581129:583130:1 gene:GSPATT00007926001 transcript:CAK70791 MNYMRDNYTSAKNDVPILIDYGASTIKAGYATSQTPDVVIRSYINKFKDSNTQSNQIAQW DTDVFKNYRSPFEKNLIQHSGALEQLNDFVFERLQAGKHGRVNHPIILTECFATTDLARM IVLEQMFECYQVPNVMLGVDALFSVFQDDLEAYLKQTQLIVHLGDQTVHVVPIVNGQVIY SNIKRLNLGGLNSLKYFYQTIQLRHPHLKFTYPQIDYWHKQYTSVAIDYQLQLRYFQGPQ QYYGYRDQISEQNRFHDDQLQFLDPIYIDIPIVQKIVSAEDLKRKDENRQRMKVRLQESI QQSRINKKSQLEQQLVALQQELEADLNNEELKKKITTLQVKLGLAPKEALDELKYNLLNV PDDKLTPSQLNQKRYQKVMYEQALMKKQKNQEFKQLQKDANKFKLEEPEKYLQMLYEKRD RIVLQIQERKKLQQEMNSRNSRFNQKRIQTLAYLGADDKAEDDFGKDDKDWEIYRSVTKE IDSADEKSKYKLQELEQELKDLDPDFEIKILKSIANIHQLGMNLSQVPLSVDRVRCQEIY FQPSLIGVEQQGLVDMIKLSSKGLDKLLLQNIILTGGGAKTQGIMQRLQKDLISEYDCPI AIKIATDPVFGTWLGMKNFANKHSNMLSQFSISIDDYNEIGTQKWEIFKQHPFSNIVD >CAK70792 pep:novel supercontig:GCA_000165425.1:CT868085:583680:585301:1 gene:GSPATT00007927001 transcript:CAK70792 MNIQNQQRQNNNDDCDCCDLNDCCNGCCCEDCCKDCECQECGCDCINTIQTNCCDNWQCC QCMQCCTAGDILTELNFNRHIELEDENETFLAQFKELIGNYRIYKRYRHALLEESFILKK KKTSTLQFYDKIILKTYWFTNQNEYSKSLSVWHQNIFKYTEMQIYGARIQDICIDRWRIA VLLPKMHHIQKKHKFQVYTFLSQISSQILNYSEEKSIFNELLVYYDTNYVLLPSNLLKQS VYEQYRANHKLRHPPEWEDENEQIFDWNKSDCFLVGLFVLSQLVNSSLDDVYEESKFNKN KLNFYLQKINSQEFYNVLIYMLQTEPDERYSLQQILQLMKHKPITPITQDNNKSQAPLQS AQKLQPISLVSRVQNQNLNTGGVYTGDQIDNKRHGHGKLLNPDGSGYEGEWFQDLMHGKG ELFLPDGRILYKGQFQNGKYHNFGHLVNPNPNISQNYNFRDLRNIGNYWSKYEGDFKQDK KHGYGILYFSSGEKWAGEFIDDIPSGFGTFFGLSGEVTGKWVKGILFD >CAK70793 pep:novel supercontig:GCA_000165425.1:CT868085:585341:587956:1 gene:GSPATT00007928001 transcript:CAK70793 MEHRQLLETLVVDLLNSPINPLVQYRDFQIQHICKQIGVNEYGGQKDAIFFKHFASHFIS YLNEKNELSPIISQAQITRNKSKPQELQSNQQIALPQKPEPIKSPVCFKPKSDIVQIIDD DIVDTNKPKIGLEVFLPKSLTPVPNIIGVNLDINNNQFNKTNDHVKHTSSKTASNKQEIK PKDPKLIPDLILKKKIIKSPQKHDLQNKTFTTLEPVEIKETQLNRSLQSKTELHNHQKKK KLSPSLDIIKRKDSKPSTFKPDSLSESFELRTSDDLSLREDSIKRKENIKLFNKHEKKSI KGPESINKREKLQKIEKKKHSESDILQKIEKQTQQIIPIIEKKDQKKKDRNDNNNKQKHN KADQEKFQQKEKNVILERLEKERQDKDRLEKERVEKFEKERAERLEKERQERIEYLEKQR LERERQDKLEKERLERLERSDKHRQKESQELELIIEKLLCTNQNETQKVKKNSEQLIIME SNEKAIKKSEKEQSKFEKPVCNYCQCSDTKTIEIQDGVRICTQCLLVSINPFQKIITKLA FAEYRCSGKENAKTCYSFTIENSFDCNLEIRCVSLNKQGLYDLTFPMSCTLLINGIPIKE VRSLQEKSSLKKRRDSSIFLNVKDILKQNNFSKKFVFSIIEHLPDQTYRKDTIGAIYSFG LFLVEPLNVVKTIEKFKSLDIQPKIKSDQGKKEILVAKTIISLYCQFTLELIQIPAKGEF CQHEQCFCLRSFLEMMIKVEHKKWICPICKKVCFHLIIDKYQLFIIERIKQLEISVDQIV LDHNGQMDKDEQINLILQDQTIKTYQDIIDRGYLNINKINKNEDEDDMPIINNDGKSQNV AIILIDD >CAK70794 pep:novel supercontig:GCA_000165425.1:CT868085:588017:588852:-1 gene:GSPATT00007929001 transcript:CAK70794 MFLGKAGVMALYAKRKFDQKGKLPHQYLLDHQQKFQSQLKKKNIYSICQYPKGAWFLGLV FVSSGISLLYILLKYDKGDLTLWWRFLIIIGMTILGIVFLTSVDRMKYEIHKTHQILLIE RMNPFLFCRKITIIKDLEQLEDIRITKKGHKSVEYYTIQFIFKDSKVDTLEYNELRESKL KFTEITTFLDQQKDLGKIRIEHELDPYYQFANNSNLNNNNNNNDKNNNSDSQKINQQELN KVNQQSYYNQENQKSTIEEKYKENDVLL >CAK70795 pep:novel supercontig:GCA_000165425.1:CT868085:588889:590553:-1 gene:GSPATT00007930001 transcript:CAK70795 MRDEFRSCCAICSCQGFGQCLSCNTNPCLILDKSTPDIQQTLQNYEHKTHYLDEWYGDVI LLENKQTKLLAILKVQEFLYQTDFEEQNQQFLQRKLLQNDNIVQLNEYMSRQEEGFCTSI YKSFLIFDYILTDFASEFQYRLENKIPYQQDEFYPIIYQCINGLNYLHQNGIMHQALRMR NIFITQDKYKIADPHLFDHKNDYQKIYSDKQKYSKIAYLSPELVSELDKGNKEPNVDWFK SDIYSLGMVFLQLCSGKQSSLCYDYDQGVIFEDKISSHLQTIKISCHDFFFYIIKDMLTV KVSQRPTAQQLYERLDKNPKCKQSITPVQIILEQHQDQQMEQQYQQQDVSQLIGGGGQDL IGEPNTFDQYDVLGLIGNEPTKQKDLVETVKERYPNGSRYQGMKRNGLRHGKGKFIHTDG SYYDGMWKDNKMSGKGVLYNSQGKVTYDGNWADDQYHGQGIEYNQDQIPMISGLDHNNLT NITCWLKYEGAFKRDNRDGFGTLSLSNGDKYIGAFKDGQIHGFGTYSYKNGQSIQGKWNM GKLQK >CAK70796 pep:novel supercontig:GCA_000165425.1:CT868085:590803:592769:1 gene:GSPATT00007931001 transcript:CAK70796 MSPNSNTSVDITQLTNVLQHFYQQLHMQILKMVENNSKDTDIMKIMDTIKILSKGLEKAS QSELELGYKDRQLQKAQQLYSDLEQKFQVLKTKYDDLEGQNKNLKTKIDELMHQNQLNYH QYQLQTKLSGDLNHKVTNLEQRLEIILESDFPNESVNIRKTLTDLVKECERQKRELQLKN QEISRLTEQYKSSQQRISKLNQKLELMKKKISIRELENLALDRAEWIQQDDKQNDPTQIL IRYQACDNLDFRINALKLEFADIMNDIQEQGTTVFTQKVMQSTQKQIKESIQLVTSQYLS FKDFSEKLNMMLKQLISLQLIESLQEQMQFIEYNFKHVFMCQNTRLWILDAQMGILYSSN NQRVLINKGSFSEVLRFQKPLHKRDYNLLCDNTNHVAMYTNQSLLYPIFNQNQILSGILE ISNSVSDYFSFDEEYYGVILAKFCEQLIKTQIKTRLLSVTLKFDSMVQNAFHDFLVSKTQ FELYKHIRYWMETILTVSMIAFYFVKNESFITYKTIGTSWDGMTHKNAVTQGRVLDQPII LSKKGIAKQVCDRKKELIVMNMRKSIEFDETIDLDSILPVLIHPIIYDNQVIAVFEVPIK QRNLLKQEKDKIMLGSSTIVGLDQSFEMMAAKLGTTIMNAINILKLQ >CAK70797 pep:novel supercontig:GCA_000165425.1:CT868085:592934:593323:-1 gene:GSPATT00007932001 transcript:CAK70797 MLNINCYEDGLNILIASIKNQMHQTIRKNKFQISEIRKNYGAEIRSNLLSARSNMRNCIG NQSTYNCCSRLLESRRRYSLLPLKSNNFRSPQKEKAKLKTRVSNKEKLMKQKFEIRAWTI PDTNQTDQS >CAK70798 pep:novel supercontig:GCA_000165425.1:CT868085:593552:594154:1 gene:GSPATT00007933001 transcript:CAK70798 MYVYEFQRKAREYLLGQKLSLKSITQKYNKDKLEQQRSFKQMSSILNSKTESSVIITDSL ITEIRQQKKEKAFLIRKSPDNYKNYLEKKKYNSIYARQITPVRQMSIAEYVYPEPIRHVR NKQVTQTSAFKNFVAQKHIKTEDSAHQQLITARSRKPFQRYVSESSKQPQPKKNLNNQNQ EMEQLRKLSGWSINSNESKF >CAK70799 pep:novel supercontig:GCA_000165425.1:CT868085:594940:595221:-1 gene:GSPATT00007934001 transcript:CAK70799 MDLNTIQKKCLIFLFTRSNILFGFCYFYIYLAQQCLYSIKCINNNNKEVRKTIIKPKQMY NVQISIDQIMIAIKINVIHIYTLNHDNKTQKYL >CAK70800 pep:novel supercontig:GCA_000165425.1:CT868085:595940:598309:1 gene:GSPATT00007935001 transcript:CAK70800 MDHAQEEINKALKLDPKYAEAYQLRGRLIHFKKEEKLKALDDLNQAIFHNPSLVSSFYHR GISKTDVATVYQKNQMLDKALEDCDQAIKLNPDYALAYSKKGSLMKIKGRLDEALDLYSK AIGLDKNCSNAFLHRALLFKEIRQLEKALKDYNQAIEINQNNPNAYFNRGVLLKEIGEYE QALQDYDRAIELNPTNASIYLNRGALLSSMNQKERALKDYDKAIQINPEYSNAYLNRALL LCDMDQIGKAVKDCNSIIKINKQDANAYFNRGFLFDQLDQRQQALDDYTQTIEINPKDSR AFINRGLLYWRMQEKEKAMKDCFTALEICPSNPLYLTIIGDLHFQDLQNEKVHQYFTEAL KNIEGMQPEDKAKWGLSDKNISFIKIKLQILQEIERDIIIAKKQISLLPKISTQDQNQAN EFFERIERIERSVSVIVLPNNESQKPERQQNMINQFYEMQQQLKQLQQQLQQQNQLINKI QNLDNFQIEFMMDELKKPRNKHQFIYYKSLFWRLYFYMHAMQELSTNLFQVNKEAFVEST SEKVASILQKIFKVGSKALEQLPIIGEAFNIINSALDFGIEYQKEAKFKRRIIRLTNIMK LFAITPSELEKEVQFAAIELSKLQEPGMKEIPISKFTQFVEKLSLLENTQEEYSRDPYWK KGTVDSLIILKYLEDNNDMIMLEDQHKKLRQVFIDAILKNENSTSNTIKKQEKQISKDKE EIDKCNIQ >CAK70801 pep:novel supercontig:GCA_000165425.1:CT868085:598929:601049:1 gene:GSPATT00007936001 transcript:CAK70801 MKSLEASEDNIQKYCKYNEQSWKWQEGIFQVEGIEQRQRTLKKTNFLVQYTKDRWIHYIQ DGCMLRKDRALLSNEQPEIYKNLEHIKYLRWEGEYGGNQKKVGMWNAFWRGDNLKVGGLY NENGLKQGKWVNLFPNYNEQIFCFESSISQVTYSGYYNKGVKQGEWTSYLYDKQIASGIY NEYGQKNGIWYVCSKKSIKRDQMVTFVGEYDNGVKFGRWNIFFQSCFFYERQLIGGGDYN ENGLKNGFWIDINENYNQLYRYVYFIISEYEILYTGLYDNGKKQGQWDIEFKDGRFAPSH PKMYLAIQNKFSGGGVYDENEQKTGKWIELHEDFRNVCQVILEGEYVKGIKQGKWITQFR LNLKHKFKIMQVAVQLFSGGGNYDLQGRKIGKWIDMNDNFWKQCQVIESGQYDCGKRKGS WIIKFRYTINKDFNKIGGGKYDDQGIKNGKWVEIFKSFQNSCQVILTGDYLDGKKVENWK ISVRDHEKDRFQQIGGGTYDQNGLKDGKWIDLIENFTINNQVIMKGEYLFGKKQGQWNTL YKKDLKLDFYILAGGSYNKDGEKNGIWIDLNYSFGKEVGEFLYIFMGTYKNGLKMQQFTR KNLYLDE >CAK76735 pep:novel supercontig:GCA_000165425.1:CT868242:1126:8196:-1 gene:GSPATT00039150001 transcript:CAK76735 MGQTLGGGLLRDTHNFCDDILQEEFCEFDYNKQRCLWIQGMCTQFECNKLKLPTYKNHQI CSNISASCTFNVDAFGCTDYLCDNIQEIDFCKIDSKGTVCAINQGCIDKKCRTAPPNYES NSQCEEWLPQCTVNVQQFRNSKILIGCVDKKQECQFALEEQCMTTISGILCKWDKLGKIC IPQICTDANPEVYSTNNDCNQFKVFEGTCIIGISGFGCQLWSKSCHYLISQQQCELNLED GTKCFWTGTLCKLMECSDASIFDYINNIECNTWLDYCIFNPILGGCMNRPSSVDCTSSPN NSMYDTHIECQAWNPKCTVISSFNPEGCELKKANCSEYIRQRNCKTNLAGQQCYWDDDDS NCSKKIYGDLSHQNCENFLQKCTVSNINDRICVQLSKWCDYKLEQQCVITIFQQPCKWDA RNKICKDVLCSDNKTANTEAECLNFRKNSQCQLKIQSNGTYGPGCEPRPGDCQQVTNPTI CKLTLTTYNTKCYYFNYMCQYVSSQYCEVIQDSKTNELCQLYNPNCVLQPSGQGCYSIYG CSDLSSNVCKSAIIKYNLKCQYYDYCRIDSYCRDKYTSFSNCDGKKTGLGQLCYYWRKCY GDKYKDCYYYCSEQTKEKSLSFKSTSISERGKQCQDYSSNYFYATSCQCCLYMEYCYQQQ GGQQLCNSSSVTQYQRCGYNFQTNACENRKCEHLTYVNYLVLTDQICFDWRYDCVLDASG CITFTGNCSQIKLIYQCYQYTCYWQAGKCENYVDCQVNTTAVTTRECLLVNAYYCKFNYT KGLGCSFFNCHDIKNATICNASNLIDGQNCKWVSGNCESRTCQDYTLQSECEGSYGPNGY AITKCFWCSIHLSKCSNNKYCNSISMISPKSHQDCNDADSLNTISFSSSAICIIKQSLCS QYTYEDACFNTINGIDCYWNGTLCQNKCEAITTNPTTNQQCFDWNSNCMLNSPSCQLLNC SLLSGQSECNIYNSKCFWNGSNCISTGACSLYSTNALCSNNSNLDGIPCFWHSTSCLEKT CLNIPTTPSSNGDCSNWLNNCQFNTNTNQCVEDCTSAATSYNTHNQCESYYINKNCTVKL DIIQCVDLPISCELAKEVQCYLDNYGNQCYYSISQQKCLILTCSNIDADYTSHSKCNDRF EQCTVNNTLSGCQKLSDCNSYSIQEQCYLDYNKMECQWIESQNKCTKKDCSSAQLKIYTA YSCRQYFGECSMDAKLVICFVWIIIMSNAQAKDNLTKTEQIVSGMRKEVSVKNGHVQMDL QMPHLILSVQFSFQLVKKEVAVEKGVLISIMQQIQLVHLYLKIKGVSQMEFDVFKEGTVK MQLQSMDVHLILIQMNCFTKTCQTAQLAIITHEECNSYLPYCTVKQGGGCTSKKSCKDYQ FQTFNFRLDHQVSGSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXIISLNINLYTSNKLGTDNDDYTQSVILKRKPRIHKLK >CAK81377 pep:novel supercontig:GCA_000165425.1:CT868407:50:1286:1 gene:GSPATT00016203001 transcript:CAK81377 MYNWQKKKYIKHDKNEKEQQNKKEQLDNKDNNVNNEKEQQDNHEKEQQDSKEKEQQDRID NNEELLSDNNEKEQQDNNDNKEKKQQDNNVNNENEQQDKNDNNEKEQLYNNEKEQQDNNE NQQQVTQTDVENLDKINYFEIAVEVWNKMEVIQLLSNFSTLMNQVILTTKKIYLNTLNSS IIIIDVVCNALYELNLTNFDFYDEFINQHHYQQIDKQRNLGKQIQIDRFLHDIKKYSTKL AKVMSTKQMTQVQYIQQGFLYTEEKEEEKWQNEFFNNDDLQFGSYKKDLRSCSLVQQKEF YIAADLYSVLVVSKELNKQTFDWILEQLSIKNNCFKNCFEHLLIQMNQENLIKFDVQVFD RQQKVDAFKKSIESTLNLLRILNKHEFFKENYSS >CAK81378 pep:novel supercontig:GCA_000165425.1:CT868407:1546:1863:1 gene:GSPATT00016204001 transcript:CAK81378 MGLIQIEHKCFGVRIINILIESISLLMVLHQHLVVQITLSVYGMLRQDNKKPNFTIVNSH IYILQISILVFKQANQFNGCMIYNIRHWRKEEKKEKKRKRFRRIN >CAK81379 pep:novel supercontig:GCA_000165425.1:CT868407:2606:3924:-1 gene:GSPATT00016205001 transcript:CAK81379 MESKKLLKSCLIYIRTDSFQCLFILRITASVCKVIFSFHMRKEQRFMNYDTQKQFLDICD KIRLEMEIEKNDLIQIQLELYLFLTQTSYQMAPNNGKKRDVILKVVKCVDLLISLYEGVV LLQNNNAVQKNRKQYEDYFQIDMLQWEIINNLKNDRQNLKEILLQIEKIHEYPVKNSSNW KIHFLWNQMIGKIITYHPLFTKKKLNQLTRPFNFEAKSNYMWKEYQNKGFLMQLNHSSDQ ALVLLNKFKNKELTQIDKLILQETFIEWENLVLLKDFLMNEKTDDIYFTFCSYLTKPQKN EYIQTVCNIQPFLDLIISNKLLTLIQENYENYQNFVKQNTYFNTTIEKAKQNSQLKKINL NFQEFFQNSLTIIKIIRLSKQQNFQLEQFEQQKKNEFQIFQQLKYNMKNQKKFKSNRFHF NFYTIKD >CAK81380 pep:novel supercontig:GCA_000165425.1:CT868407:5153:8901:1 gene:GSPATT00016206001 transcript:CAK81380 MSQVEEEDQRLFSDDSIQEEDEDENQKLDNDDIWKVLHMFFQTHGLVSQQINSYESFVEN IIKYVRGHEGTFVVRVKPQYKEEERMDAQTEYLYQFQIQRCFMGKKNIHIDKFEEEIFPM IARLRDLTYSRQLKIDLEVTMQQRNKRDGSIEEKSKQTFKGLPFFKLPIMVRSRFCSLPK DPKDPEQIQQRIQSGECGFDQGGYFILRGSEKVIVAQERIANNVVLVFKSKIVNKPWVAE IRSQPDLWSNPNNFKVELRYIQNTPVIRCSVKQFNSTQGIPLFTLFRALGISSDQEILER IVYNLEDEQMGPMLEMLYGSLQEGGEYEDEELCLRWIGNKIKKAENQDPETLIQEAKKLI NKNILPHIGVSSESRDRKAYFIGYIVHRLLNASLGKTDQDDRDHYGKKRLDMAGAMMMGV FKTSFESFKQNAKKALEKYINGENMRQPDDIKSFFDGEQISKDIDNALATGNWGRSKEGQ VVKTGVAQTLKRETSLFATLSHLRRMNAPINPQMKLSKPRQLHNTHFGFICPAETPEGQK IGIVKNLSLMTAVSNDLQQKDKETLLKLIMVLKQDVFDFQLLQGDFQAQDIPKMTKVLVD GNWIGFTRNPEQFIQEFKNLRSAEESFIPIEVSINFDYVNKEIRIYTDAGRCMRPLFIVQ DNKLKLKKNQLNSFTDWEQLLQNKCVELLDVEEEEGSLIAMDLNIMTRGTQNFKRYTHCE IHPCMMFGVCASVIPFANHNQGPRNTLQSAMGKQAMGLNSTNFNIRFDTLVHILHYPQKP LASSKAVDFITVNEVPIGINCVTSIACFTGYNQEDSIIINQYAIDRGFFRSVFYRTYKES EDKDPDNFTETKITKPLGDNYAGKLFIKLDSDGIVPPGTKVDEEEPIIGKELIIDNIMLN TANGQKNTKECSLLTRRAERGVVDSVLISENQKGYKLVKVKVRSLRIPQIGDKFCSRHGQ KGTCGMTYRQEDLPFTLVGISPDLTINPHCIPSRMTIGHLIECLSSKLASIKGQFNDATP FAQISVNDIANKLHEVGWQKWGNEVMTNPYSGNMFQIPIFVGPTYYQRLRHLVDDKMYAR SRGPVTGITRQPTHGRSRKGGLRFGEMERDCIISHGTAKFLKERTYDVSDAFRVHVCSKC GLFAVANLENQEFYCNICKNTNQQNQIYQVLMPYAAKTLIQELISMNIAPRLKFDQSKLR HEI >CAK81381 pep:novel supercontig:GCA_000165425.1:CT868407:9058:10291:-1 gene:GSPATT00016207001 transcript:CAK81381 MANQVEFKQLGYLKGHGDWVTTIITNQDPTLADLVISGSRDKSILVWKLFKQPDGDLAGQ PRKQLKGHSHFVSDLVLSNDNKYLLSASWDKELRFWDLVNGTCTNRFVGNKKEIFTCAMS PDNRQILCGGAERKFKLYNVKAEEKLTQTNHFHSDWISSVRYSPIIKNIQPYFVTVGWDG WLKVWNQNFTIRFQFKAHDSQINSVAINPSGEYIATGGKDKKLYIWNITDLKKPAFEYDA GGIINQLAFHPQQNWIVAATENGIKVWQISQEEKAETKTPIVTLDHHTETSVAGGVKKIQ KHGAVSVALDANGAKLYGGFTDGSILVWEVSTKN >CAK81382 pep:novel supercontig:GCA_000165425.1:CT868407:10384:12834:-1 gene:GSPATT00016208001 transcript:CAK81382 MADQQKQQQWTGRKIITSDNVTKGIVKKLNEKTGQYETMKVEIPKLTVAQQIENTKMEIN QANEISKKLYQQYNTLKEQVTGLRQLVDEKAQQYKKEQEEREKNLRKMIKTKDLEINIAQ MTEDQVNNRLSELEQELKSASKFNEILAKEKEVAKKENEAIEMTKNIELLQTKLEDKRKE LKMIKKENIQHQRQSQSNQKKLEKIENGTDEAAQINQLNKELCMLREKENKINDKKSKFQ KNIDLIQQSIEFYSEKIEELQDDKIKGLQDLYKKAKANWDEKDQQLNDEKLKSNKELVKE IKEKKDKDKKEKEKAKEKKEKGDEEDKSSKFKRISDYIKEKKDVSSLKADEQNFIQLFQL KADLQKQRHKKQKKFEQEKDQLESSINNLKLERVNIQNAIKEREREKEMAETKMVEEEEK LGQLKLKKKNEIEKKEKEKLEKLKSNQRSQLSPLRAKQQKDVITKDKVRKEKDEQLKQFK EKYSKLPKEKQQQFLQEPFKLSYQELEEKKKRQQQKQGEKEEKDKVEVKALSIIETVCRT KHRGSKRDWFGYEPNEQTVQCDDVHILGQFSINHRIKKIIIYHNPNEGLIYLLWTEYRKE DGTLISGTWNINQELLEKNKDIKRDELDLQDKDKIKQIIVRRDNNFVSFIQFNTKQGQQF KVGKEVIEKQEGEKPKEKSDEQQDKKDGEGQEKKEDPDKRDLKIDNFSVLFTLFSGYSQR NEKELAMSYFGYEIGRKLTAEEYNQYLHEKQKRLEEKEKRQRLRKLEESMASDLNRSQIR KK >CAK81383 pep:novel supercontig:GCA_000165425.1:CT868407:12951:13591:1 gene:GSPATT00016209001 transcript:CAK81383 MKLAKNDPQMQQVIFNLKAQEQQMLYNKKYAFFTLQNEKYVQIVCELPNIFTVEDAITFT LQQFQKELEVNFKAKKASDYALKISKKSGQPKDDLPSLDYEQILFETGSQTFTLVCKNNL QNSDNQLQAQTSVTTTMCSQQEQQDMSIKSRVSYTNGLNKGKKPIKESDKKEKSGGFCFF NKCF >CAK81384 pep:novel supercontig:GCA_000165425.1:CT868407:13742:14912:1 gene:GSPATT00016210001 transcript:CAK81384 MIHRLMSYGRISKVSQQVLTNSLNKTSRSYFSQVPQQGSSNTELVKIFAAFFGIVGLGTG GYVAYQNSQKTKHLEAKSKQTTISNETIDNLRQKSIENEEQKKEEIITMFSDVNDRMLRY RGDPTSEKMLADFTIYGDLKWFEVDPEEWEKPEEDMIMKDENEIIKHPIKKALINERITH AFEAERILQSIFVNVNDVLSEIEKTGTYTPPGIFFRFYGYVYFQIRRKPINVENLVKAQK YISLFDLFFQYQTPVLYYHNQYLEIPLKPAIFDNIDWLPNAYLNSKQQAKIYLTGKPKHV FSMRIKQGTIDESTQRFAWTNHYK >CAK81385 pep:novel supercontig:GCA_000165425.1:CT868407:14913:15643:-1 gene:GSPATT00016211001 transcript:CAK81385 MENKKKILCLHGNGANKEFHSYQLRQFEKEFNNYEFVTLDGPISITRNVHVSQVVVPQNF AKMIENKPLFTWGNFLKLDSQNIDGVFQESLDYVIKILKEQGPFYGVLGFSQGTAVAARL ATLLEHKQIDLGYELNCFIFCSGSMVNLPDNRLIFCKIPSIHFIGINDFLYDRQRVHNIL RSLGLSTQFLNPLVIFHDQGHKVPFLSRQQIQLLKQFFNKEKKDWQLQVFVPKL >CAK81386 pep:novel supercontig:GCA_000165425.1:CT868407:16069:18456:-1 gene:GSPATT00016212001 transcript:CAK81386 MTQVTQDPRQQYLDKAQDINQEQIQQQRQLITIISVNDKDARDIIYYLQDRQSIDLSKVS NWKKFKNFLWGLPKVSNPNSSFRIFWELISMIFIFIQMIQIPLVLTYSVELSEGFLAFNQ FMDVFFYIDMILNFKLAYYHRGEIIFDRKLIAINYLKLWFWLDFLAVLPYDQMFSVEDSQ TQLFKIVRLFKFIKIIRLLRVLKISKILQKLEESFTLAQTFQAMIQFLKIACMILSIAHW IACIWNIIEYTDEQVSITWMQKYGIADADWGIKYISAFYFSVTTMITVGYGDINANTQTE MIFAVFAMVLASAIFGYSMSSFMQIIEGEDEKIQQQRIQNSKIVRYIRQKSIPKELQSRV KNYLEWLAGSAQIARNYEQYVLKSLSANLKTEIVCLLNGRILHKVQLFSKEFTPQLINKL VYVLNEQILGPEEYVFKENSLDNDKVYFIQNGQINICLTQRETLVKTLQKGEYFGEIGFF GRKPRNASAKTVDFVNVMSLKRSDLWEAAKPLESDLLKLYFMKDCLEVENNFKPLKLRCY ICDRPHHIARNCTVFHYRASRKNIIFEYFSHQNSRMRLFQRKSKREKININQIQEQIQKY QINQNRNEKQQKNITNNYIIEDVKIACDIDHLKEYSDFYNEFNITNVAQNINFYANFILL QMEEEKKKLKAQLRQNQKRLKNQTLAPRQSKITLGNLENLINLQDNYLSLSSKSSMNCIE RSKNKSKRLLDLQSQKQQWIYLFQYDKKIFHKQHPKIF >CAK81387 pep:novel supercontig:GCA_000165425.1:CT868407:19083:20868:1 gene:GSPATT00016213001 transcript:CAK81387 MLSSNNQDEAMLSERNEQELFQSDSSSEANESENQLDEENENDKIEPENPSFQEQQPLLK DEHKKKEIKETCLEKLKGYIGFGNFLTSQKIGYVFFIPISSKWTFKMQVIITQLIIATVS LGVFFGIRFLGQDIFKNIIQDWSKNNFAKLQQEQIQQVSEQFITLCQVTLMNEIQFAQVM NEVFQQRVIQWNEDQLISMEQDLDEYAKFYQYASIIDYATQSYSTYMFLNQTILSYSELS YYLSTNYLMKYNYVTLTCSFFWIFQNGLMVQYPGINVTDIQQFRNYRKNIDLLHKETSEF VFDDVLQNFVQRSIYPIKNSINQTIGNVFIERLPQNFKDLFMLFATSDLLNYTIIISNND GSIVYQSLQTSNFTINIIKDLIENSDSIKVHDFKLEQDGNSENPYKIYKGMINDTIHSIS IIRLNFIIIIVYPYTLLEKQLQDQEEEFYTYDKEFNERYLAACIAAPLIYIILVVFFLVR EIKPLEEITQLAQMSLKKSQAFDEKSLSNIKGNGLISHLTSLFSKLMLDLNQLKLEKKKQ IIDFYNSQTYPKNNKVKNVDEILKEIEKINIEQIQSQSPIQIPNYED >CAK81388 pep:novel supercontig:GCA_000165425.1:CT868407:20901:24145:-1 gene:GSPATT00016214001 transcript:CAK81388 MTNLKLFQLTAYQNAIIVKSDLPYLTTQLKFHRTSQIIEESPKHHEQEGKIKGDRRCSYI LGVFKTYNKSFIVLVEECTKVATIQEQIIYHIDQVSYVAIEDNNPNNNKDIMESLGNQKK LLQSGFYFSLHGDITLARHFQKYENSFVWNNKLLSSLRENKISSGWQLPMIQGYVEQIDS FIDNKPITVTLISRRSRFMGGTRYYSRGINDDGHVANFVETEQILIQGQILISFVAIRGS VPLFWNQDSVSNVKLTRSKELTQAAFVKHFNLLRRYGKIFCINLMQNSRQIEQLLTDNFY YQFQQAQLDHVNYQYLDFHSLVKNGKSTGVNSYIYQYEQTLDKFQCYIEKDKNMITKQNG VFRINCLDCLDRTNLFMSKLCLYSLDRSLKILNLQLSSNPDILNYFDENNKKLLHDLIIK YKIMWANNGDMLSFIYSGSGSTVSEMAREGKRGFMGMLKDGYNNIERFYNRQFEDDTKQN TINQLLHGLTSKTHFDNWITEQEKHFCTQSEASILLTTWNVGGNNPVTNNFSQNILNFQE QPNPDIIVFGLQEIVDLNPQNIVIMSNEKTLQLWDQLFQSNLSKIEPYTKIGESDLVGLY MAVFVKTSQISRVTQIDTDVVKTGLGGTLGNKGGVSVKFKFDDSQLGFTCCHLTSGNKQC QQRLSDVDEIHQRAFQNSKSKTTLNDLDYSFFFGDMNFRIDLPYQEVIEQIRHYQQLISQ DQNNPNAKAKLAYLLNQDQLGKNKNRNQYLQNYQEGSIFFLPTYKYDKNCQVYDTSKKQR TPSWCDRILVSCKEELICQQRFYKRNECLDSDHRPVSGYYVIEIKKIDKEKLDLVKAQYC LSKMQNFKHHQPNQDVPKQHSSIVQFQRQQQPLQSDDYQDFFKDNQNVQYQNQKSQSSIS ITQSQSQISLQQSLQTQQQYQQQTQQSQQQYQQQLQYSQQQQQLAQQQYQQQQQLQQSQQ QYYQQQQSQQSQQQQQLQQQQRQPIQYMQQNIQQIQQTQTQPLDLINMDDKQQQYYQQPQ VLQQYAVQQMQQQQRSEISTQSQQKPNQYPKQPDLL >CAK81389 pep:novel supercontig:GCA_000165425.1:CT868407:24172:24955:-1 gene:GSPATT00016215001 transcript:CAK81389 MNQTTPKSSGINYASKCCCYQELRKQKSKETTIPKSMSNNLKFDIQQNHQYGILKHRSTS QHNIHEHVQSQQQIQLFEQYFNQSKHLLPFIEFVTKQIQYHTQPIQINANIFKNGQRRWD DEKRRILNELNQCKEDNCLLQSEIHELKRQKNTISKQCENLQVIIVQERLGKQQKHHHFY KFQELTKNIHVPTQETISSLTDERFESNETSLDSSLSNDDHHHPNQLFELLKTGKHRYQF SKKLN >CAK81390 pep:novel supercontig:GCA_000165425.1:CT868407:25031:27792:1 gene:GSPATT00016216001 transcript:CAK81390 MKTPLGLQQYFTLKSAQHHQLLDEESEHANRIIIKEIEIDSKMCQKQVQLMIDNTPVYFP HQPYEVQKAYMESVIQALNMKQNALLESPTGTGKTLSLLCASLAWLKKNRQDQQSSDQPK NIKIIYSSRTHAQLKQVAMELKKTIYKPNVSMLGSRDQYCIRGEFSMLKGTLLNQSCRKS VKANQCQFYKKEHLIVMAQSYSTLVSSLEEARQFGLKNKLCPYYFERQRLDSADLILLPY NYLLEKDFQDVVQIENSILIFDEAHNVQSTAEDGSSFFITLNNIVEAEKDLEKWIDELES VAAFYDQLKTKLNAAKVSSEMKEFKSIMITIKVFAQYLESFKTNQQFISSDKEEKYLILD GRKISAMIFQYTQDKENTFKLWQENSLTNYAKGVNKTNFSKYLIHCSTLIDVMGELSQIP GYHFESWVKFMKNVYDLITVEEEREKQQLSISSLQNEFNQYKLSFVLDQSNQLSIHMWCL EPSLAFSRLYNKSIHSILLTSGTLSPMPSWSCELRIPFDVQLANEHIIDLDKNLRIFQHK TYDFSYNQRDNEEYICGFGVTLLSLCQTIPNGILVIFSSYSLMFKFRSKWTQNKLIPRLS ELKVCLWEPQQSNQMQNVFDTYKEKSKKGAIMFAVHRGKVAEGIDFSDELCRAIFLVGVP YPPKKDNHLLEKMNYLDRIYNDLEFNHQQRIKSSEWYTQQAIRATNQAMGRVIRHINDYG IVYLCDKRFEQKEIRQGLSKWAQPAIQPWINDDDVIKQTKEFFNRTASNKEQERIEKKPF LEQEVKKRKLQFFGLQNKTEFEKLKEDTYERMRSLGEQQKQEESINQQNDQINPQPQTKS QSNSNSKIQSEFFSCQNSNFSNLDHSHIQQTELKKNIDDDNQSSKIEQQKKKLCIKLKNK K >CAK81391 pep:novel supercontig:GCA_000165425.1:CT868407:27844:29153:-1 gene:GSPATT00016217001 transcript:CAK81391 MLLRFITTTHQLNWASLYYFTKHTRPKAQPHREKTRAKAISDDKSGKIAKSDQEQEQKQQ QENLKKKIELEKLRALQPKMHTWSSFYETHIPQTNKIPKTIYLSLDQQPEGRRKHYKKFV QPPVDITEENNQNFQIKQHTYEDPFAQPKRAGLIAVKVGMTAQWDKWGYRHALTVLQLDN NQVVQVVKNDTYTGLQVGAGAVNIKTLKKPQIGHFLKANIPPKKYIKEFPITPENVLPVG FMLTARHFTPGQYIDIQGISTGKGFGGTVKRHNFKTQPATHGNSLCHRQLGSTGQRQDPG RVFKGKKMPGHLGNDKVTCEAIQIYRIDAVRQLIYIKGSVPGKPGSIVYLRDSWKAQKKN KELLNFPTLAVENGKEYAKEIVMEAPLEDPEMEETHENDFPKAGEDAED >CAK81392 pep:novel supercontig:GCA_000165425.1:CT868407:29544:31437:1 gene:GSPATT00016218001 transcript:CAK81392 MIKNSAKFKHNVPKSSTTSAISEFSLDESPLPNSSQFSSFTHAQTISAKLNRSSVYNQEN IMFNTPRCLAVPFTEIEKDEVLSKSTINKQLFNETNQQNEGYMEPASFSQALIQQSKYTD ESLKKISKIEKFLEKTKQKHQKSISILSSEDSPYKSTPPFRIQGLGTTAQGLRNKLDHKI NRKFDKLMNELRIIRKNIQYFTAFDGTYAQSKQMQNNFISLKQQNGLIINNGTHLTRLEL INDTFNREKVCNIHNDDSRYISFCMSDSNISNDMLITGSDQGNIMGWNLFKNQAYRYYGL NKQYNCSLQQHSIPTFITQKLNNIFVGTKNNELLVLDERMNERKCLLLTINKQKLNPFQA NQLLSPLLPRFGSIILESPQFNPPDLNLLHLTSFKLDEELDSIKDDLIEQFPSFNQFEDQ SSLESQYIKYIDVHDYNVVATMTDGFIHLDMRNPTVAQYSFRENGSQALKAMFTPGNPNN IIYAKQNSNRCLLWNVQKNQVLFHKKLESNPSDMTVAQEPKEVLFLHQDQNDSIITIYNN FSSKLKYCDELIIPGFNVQKILLDYQCKNLYGIGPYSLRTWNYYQQKEIDILRDEMKHQL DLLE >CAK81393 pep:novel supercontig:GCA_000165425.1:CT868407:31544:34273:1 gene:GSPATT00016219001 transcript:CAK81393 MLQINIEVQTVYFYIDSPITYCHMQLNDSVTAVMLNSQLKCKCYEQQDIYEKAEIRTQYD PKNKKKVCLPSLSKLKLIFFENQSKFGEAVFDLDFYVENKFQQLSDKLSIQSEQNEEAQL TFMFEWQFIEKPSEINPKILNPYLSANSPPNTQRNGGQSPKRLVQSKRIGEENEKRTTHV TYSQWKDHKEYLKNQQERKLKEPKQPQKVIMVDDYNYNWTDNLRKPDAQVRVASPPKRFG TPTKVNKKFQSPVNNLNQQRQQEYELRMINEKLSKVDKQLQQMNNENKEEEKKKYSSKLN QKLKSRQLDDFKNGYNEDQTISQSEKGTKQKSLNFQYFVDQKDSRDYQFMYNSQIQAPTQ QYQASDQLTKSLHDPKQGLKSNSINLDVPKSAPKPKELQQQLSSRSKTQQQEDLQNSTQF NKSDKYDELMKKYLDLKDKYENSCNEFSLLSQTYSSLNDQYTQVVQQNKQQQQQIVYLQQ QQLINGNSDQISQSTFNEEIIKKELEFLQRDNNMLKSHLEQSQYNVTQLQKEKEKNQNEI YNLKNNLMMINSEIEKLQEEAQQMKIEMKQNNQVEQPQSSYAKINQKSEALPINQLKTSQ ENSDNQLKVELEQLKMEYSQIEKENQNYKKQVGNLNQMMQSLEDEITQLKEQLEEKKQDV IRMQALSNKDNIGDIIDGYKQVIKKKEQEILDLEESIKQMKKQMQVLENESPSELDQKQE IEQKYNQKLKDLQDEMEKLQNDNQYLRQILSSTQNQQQSASQQQNKNQMNQQENNFKGSS FRGDDGDNECEALKQENALLKIEQNKDKIRIETLTKEKEFQKNIAEFTDQELMKAQEQIM SQKQRIADILNLIMIKGDAKQMDDVEKLLQNKDFLSL >CAK81394 pep:novel supercontig:GCA_000165425.1:CT868407:34418:35079:-1 gene:GSPATT00016220001 transcript:CAK81394 MHNAVITIPQHGNGRLYKTSICRHFELGNCSIGDKCQFAHGQKELRNPNDPILGKIPTID SNIVITNYKTVLCKYDQQGFCKNGVNCPYAHGTNEKKQARLAPVQLKQLQENKENGDDEN VVKFLNQLTDKLMKNDAFKNDKQVLVQLKSTQAMIEEKHHRDAAEQLSLVLSSPSRSSKQ QKAYETVYKSLTLN >CAK81395 pep:novel supercontig:GCA_000165425.1:CT868407:35173:35557:-1 gene:GSPATT00016221001 transcript:CAK81395 MSSKLQCLIIGFSFGVLSTTYYLGNQSKEKLVLKAKELKQKGIEQIHDIKSDLNEINAKE FLQEKKEQILEKGKDSQEFAQKKWKDIEQKAKKTKQQMGKEIEKIKEKFKQD >CAK81396 pep:novel supercontig:GCA_000165425.1:CT868407:35641:40122:-1 gene:GSPATT00016222001 transcript:CAK81396 MRFLYCDANVTPEMKEKISKLLIENLEKLNHQNPALFLQQLLNKNFEKKWFVYMVYSPVE LEYQVISNTSISMDIEIEKTRFILAQFEEVSIGTFQKDKFNKTCQQIERYIQQQSQDLAS DLNESLKSLENHFGLSCHMILVKCIEQETQLQYIAPNLHQYTFKIPINELESIQGGIFYI PLGAEVDDGMQGQHHQNMSGEEEFDNNQIRDENYIQDEYEEPMDLQFQVMLEAKEFKQST DQVKVSFDELEIQPLKVHSFSILFQIIISPFPKEIKDDLNVLSTGSSLMSKNMTFGINRD QLFFFNFQLMTGKMETLYSQMKIKRNLLYQIALIYTETETYKEVSMYINGAIENQINLSQ HLEPPRGFFWIGSEQALKLFKGTLRDIVFVSQALHQKHVKSIYAELQSALAHKFQRQTIE IVDEILQQFKEEIPIDQDESKFTQTQSMLKQQSKTLTKASNKKLQIPPHLQRSYHVFEEI DKKKTQQQEQPHQKTIQEFANRLKEVFQQNEIIYHKCHELSLIFYWVFTTVQQLAPPLEE NAGAKLPFEYIHQELCKERFEVYPYYAIEFDRFCKVVQYSGIQLSYDDIYQLAEITDSLR ENKRFGLYIIYDRLLLSIRQAALNEQEIEEVKSQYNTDEKEADQDLIIYTRGISRSKQAM EHNFSFFEQLIVLVGSENEAFKYNIKYLLAYFVNNDEFVQKLTAVYIHKETEQEVEIEGI DLFSYDQDKIFQIETQPFLRKLNPKYFRVHYNGENMAMISFKTHEAEETFRIDKTFSYRE GQDANWLAGFRGRIVKKDEYSQIIMLAAEYLQKDPEVEQQKRNQPETLPQLAENWNQGKF QIIINRCSDCDKHKTTTWHNEADFANKFNEIGQILKDLFPNIEVIGNWDKTQQLEHFDVY IRGIGQSSQMDKEGRVFLFKKNEKLVKFLDCFLKRMLKVYDEIVLTAQAYGDTNSMAIKQ EQFLRTNNYSQRSKIAHDHPCNVPEKQEKSEKGEAQYAGSDFICKNWGCGQPYKFDATPN GIKTCKHHPGRYEFGSKHGLWPECWTCCGKKWEAEGCKLEYHKGVPEKDFYNICINVGPL DPRTGFPEGTCGMKFQDGDSSECKYNSGTHQAAKWPDPAAKVYFVQKLHINPAQKEKETQ PNKNIQVRPDVFRETKPYYEFINKDRQRQMKLIETEKELRICTNWACGKQYREIENRKKN MCRSHPGVFDFGHTATKIQEAIEEYKQEKGSKILWKPHWTCCRKSWNEPGCLLSKHSGPL VIEYKPNKYLWPDPKAQIYFKKKVSENWTKMLEQSHSLTPETASLKYDLLCKTLGSGGQI SVYKLPELCDKFELNLWVCSEDLAFQFKFTDIMEGRAQEYLADTSGNIDKHKFLDWWFAD VNRMLEISSK >CAK81397 pep:novel supercontig:GCA_000165425.1:CT868407:40232:41095:-1 gene:GSPATT00016223001 transcript:CAK81397 MTYSFLRMDVSSKLQANHKFFLGVLGYTKQICIFIQANFQYIFSIFKEIHIFVKIANEPY IINLKSTKIQYKRVYTFIIQKSNYRILDWMAISFKIQCVKTSRFASEFLLTEIKGFSKGP HVLQVYQMRTIKHLFSLILSQNRLNKFIIRQDCKLKYNTNMNILMANLKQLQNFGQNQQM QQDLSILRLKWNKKRVQINLPIFKNCTSIISTSGNLNFTDTSNIRDSQIAEGTLEHLECK IWAELRGILRFAYYLNYS >CAK81398 pep:novel supercontig:GCA_000165425.1:CT868407:41118:42321:1 gene:GSPATT00016224001 transcript:CAK81398 MEYTIKDLSGFLLNKQYKLIKKLGAGAFGEIYSATANGQEYAIKIERSDTKYPQLLFESK LYQYLNNQPIIGIPKYYGYYQQDNFNFLVMEQLGKSLEDIFTDNNRLLSLQSVTVLALQM LECVEYLHTKHFLHRDIKPDNFLLGKAHKDRVYMVDYGLAKKYMIKDSHIPYKDNKALTG TARYASINTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQNLRANNQKEKYDRIMEKKLAT SSETLCKNSPKQFYEYIEYSKNLKFDEKPDYQYMKNLFISVMKENDLRIEYIYDWDDENT HRDKILLKHTQESNQPDQQQPIVNKNINYSQYNNNRQSIQKTKTSSIHSINKVLKIQQNT TTLNTQQNCQNKNQINNKAKSIIKKHSSLHQN >CAK81399 pep:novel supercontig:GCA_000165425.1:CT868407:42371:44103:1 gene:GSPATT00016225001 transcript:CAK81399 MNQNYEKYDENFQMIQKLEERKIKQIFSDNLSLSTPTFLNNQRKQTDIQYIHKERAILDV RLTNVIQLDLCQFHYIEVPLTGHKKPLVIYFQFTDQAKMKFYISSHTITPNRFNNEESFE RKQILRYTEQGEHIIFTQRCLYLAIFSQQATTIYAKASFGIKPQQQQKMETESKLRPSTG YQFTRSSQFFFTRKPSKDLISLNKNIEQYQPDKIKLQQNLRRVQSARKLSETLHKKRQIF EEKKETLRERFEFQEKKKILKEIILYRNNIDNFLKFQQKLWLQNLYFIQLVSIIKKRYYD NIIFQFKQSIKKRRQYRVRQGLQRMLQKSGKDIFQRTIFQGLLILRMLSKVKEKGAKKKA KRMVYTFMMSYGQIGEIFQKTYQFKRRMRKIIEVYRNYRKKVQAYANRIIMLWNKYWNQI YMEIKKEDQEKVKQIKVQMQKTIINYHIEEEIVKSPYLDIKVQGVIVNNYYKEIKNLYVR KWRVLKIKVKSSKFQTLMATQAFDKKNELLLFTIVDKSVLKQLIYKYMQEKGMIQSLLLK KN >CAK81400 pep:novel supercontig:GCA_000165425.1:CT868407:44378:45677:-1 gene:GSPATT00016226001 transcript:CAK81400 MLNYLVIVIFHKTKLYDGIAVFYTLDMINDWFDMIKKQNKKIPTDFNYQFLMKGLFMIFD GQHASSISKALQLIFNIFPQMPIEIQKEISDHIFDNCFFKFFMFWSKLVRFVFQHLLIYR IQHRLRNYRQESIRDIYKEFECIKLQKQKYTVEEKVKLLNHLIYIKYSQMIELVLKIKRK IAQHNLQQESQFQLQLEAKSLKLKLMKKKQQQKKYQLKRMESPRHDQIDISFEKQQRSNI SLTFSLNDPFYNSDIREDEKSIDQSHDNAKENNVSNYDQNEIYLFDRSISSIINLNDLLA DIEKSRHSNRNNSVELNSEKSQKSRRDDNENPKKLKIYHRMNKQENIKKSFTKELLSYLF PAIQEWQEQQANYKRWFLKTQAMIKKQFKQDRQEQQLMDIDPPEINLYVPKDETENENVY TDH >CAK81401 pep:novel supercontig:GCA_000165425.1:CT868407:45736:47999:-1 gene:GSPATT00016227001 transcript:CAK81401 MGCGLKKEKKESNNEVASLKPLIKFKITFSNVKIKNIPLQKTKVHFSIANQECFQTQISF NNPNPHWRQTFRSFHESDQETLKSEFFKIVFYSYLFRVSSALKKINVLLMLFKICGKLQT VPFIMICFSNPPKANSSRITFDLRMVQMTNAKIQSKQVMCELKDQLMEQAYNFQLKVRSH QVDYYSDFSPNYLNHYFYRQSTIKQYGYIDSKEKLRSESDEFQLVRDIFDSSIEENLQTD LKSCYLKKNGLKNDQDLLLKKSKSNNQLNSKIHLSTSQSQTNKSRRPYSTMGLITKKDLL WEFKVQENNPIISFDIYVDEFSSSSFEFILWGSSKPNEKSDNESVRKIGNSIISLNKFFS QNVNVLAELDRISLQEVIFTDKLWIHGQCVGRVKAEFIITKDTFIRQMLGGFRTEEGIQR SSQLYIKKDKYSGPQSPNIYQIIQINNEIDELAGKLNQKSLQHRERVKINKAINQIIEKL STLIKNQELYENLEEMIAAQLVFVDVTKNLLESADLIDEDLRDQYYDVLITLLNRDEFFI SYLGFDKDLKEAYNLRNDQNYVSKNKNLIQQLNQKIRIGLHYQNFLHQTFEKVTQKLNQQ GQNDKEELFVNTFLVIAFFRIPQFQNLVINILKEDQRLLNEEFEKDFGIYCIDNTFSTEW DKNFYDYLQGDPRHQEHKKQFQGILNSIDWKNIILTSRVFLKWFQLMLNHYKKAKHPYDM CLTWSGFSWLFNFNQVFAVGDQIFK >CAK81402 pep:novel supercontig:GCA_000165425.1:CT868407:48041:53762:-1 gene:GSPATT00016228001 transcript:CAK81402 MLILIFLNFIVGIYCVNRNESKVILTDFYNKNSPVFVIAPYYESFTIDLFDSKDVLENTS FSNVNSTNQNLTFKITPFIENTSQSIESAFCPIDEWGPLYQPARFYLSLTTIDLLFYNQS NDKIVQVQNSLHKDLQDLDENFLNISCYSIFQLRKDNQFGLDCNLNNNTTVLIFDLIFDE QTLIIENFAYNTYYYYSYEDEENQNFLTKCQFRKTINANILDVANEIKFEFIQYCPSLFN QKAITNEFLIIHHEIVFRENSTLHLQAYQATTADIQSCNLDPNIMIEQIFINSMINGYDN QTWNVGFLFQFSPKICKCNVKEIYASHYNILCKSTLIELYQPNQIRQVQNLNDEVVLVTG NNLAVLIIYLERSYQSQNYFTLSYKEIFEPGYLLVDVSITSQYLAVIMTDSPFAQQISPF YQKPNLQCSKQAPGETKNILKIFYLNSEYKTVYYQSSTFNQFQNFFQSLNQIEFGNFILL YNYFDQKINVITLFEKQMNVSYQLGQDNLTQTYNQQISPIIISFNISGGYMAFNQSQNTY KYALMTFQRFIILCFIKLGDSNFQLIAQIHKLDEKDQQSLTLCPISKDIYVFQEIINSID LFEGIMGPLLNFNYVPNYNVSKYYPYYQNHKAIIKENQLYKNKHQTRQVFNVLSAVNKNI FITSNIFGIVEILLTYSNSIDNQINNEAIIINKTCIINAKQYESFSKQFPINIEIQQCVE EKYKDLQKYLSQVDYYKQFQYFDIAVQYKDSMQKPLINLISIVFCQNKNSIIRQQRCQSI TEMKSNDNIVQIYILQGPIKNERINYFITILYSSSVTRLYFYVLDLFLPSQIYPEIKYHD IQCYNILDTHSPIVSFRYTYVNQQTLFILFYDARIVVYTPGPINSTKEIKLYNYTSTLTN LVLNIKECENNSFLGFEITKYFQSKLAKQYLIVWCQENQNGNFTPILLQYIITNINQINF WRRLPNYDTILSNQCKILISGLILYVPIITKSVYNDYFQKYYIYNLEDYTARSLVEKIEI EKKKKEQIITYPISNLMDSLLAGSSISLTLIITEKKFEIYYLNQKAEFIFHLQQQFIPEY NDSPFLLVIGVFQSQKPNVLYRNYTLVYNFSSTQISFAYNCSSEYKVEVNYDQISQAGGY FQFLFLVNQSFFNGPIASYDYQSNQKNLSIIPSPYLQMNKYLGSYMDEVSSFGQVSQIID IGDEIYIGLFNQDIVNISQYKDLIIVLTDYYVLFYQKNSIPDVNRSCIYSNYTQDEKRGS SPLCSIRLIYVHVLKPSNPFLFCQMQLNGVNVLIIVCQLKYFNKSNGKISFQDHENIQQY IYLEYTYVRLNNSISIPVNIVNDRQFCYCFFNDLQPFFYNNSIYQMIKSGYQELNSYFGI YQIVITNNQTIQFIQQSSYPNTNDSQPLSYAEDFGLSVIEYQQIQYLYLILLQPNNIFVL VINMTDGFPTNGPGRNLLFQKNEDYVRFEKAVFIQTLNHHQPHIVNDSFMEQTISVGNNN QIYEITFNILTLEAKLRYTFYQYLLCHHNFLSRPQIEIQFQNDTIFYMVTQCLSNQFEYQ SIQVEMTYLLNELNTNIVYTSLFIRNYSQNYSRPVEILEPYLVNPQELRLQKTQLYQALD TQTNTSKSHVLITNSTYFLIDLILYTDNGYYLYYPIQSANDNRNHSVSLNITAYNSYSSC EVEFKLGWVEQDSQVNSLAWFWAILSLLLLLILTVIIIAVYGFCQKQSQIGKQDKDFPLE RIGDDQSEQGLELQGAAYNQLMLGDVFKDLLRNNRTKKRTVSYVDELSD >CAK81403 pep:novel supercontig:GCA_000165425.1:CT868407:54040:55462:1 gene:GSPATT00016229001 transcript:CAK81403 MKIKSNKASQKNKKSTSTTISSDLLDITYICPRILAMSFPNDGNSEHKNKIVDVAQYLQQ RHHNDYQIYNLSGLQYDHTKFKGKIYTFPWEEKSTPNLGYLFMLCKHIDDFLSSKLSNVI VVHCINGIGRTGTAICCYLLYSGRFSNAEEALFYYDKQKHLKGGGITMPSQLRYVKYFEK ILFQRNIYPPIKYLTSIVIHGIPDIQDKQCKPFLEIYTYEKSCKTLKYTDKLEYKDQKKA KDLMLKDIELIRINIGKPFLAIGDIMIKFNHNGHFEVEPMFRVSFNTAFIEDNKLILRIE ELDPFKLQKDDRFPADLRVELQFKDFCKCTNEIQFNQRCKSCLNLSKQLCPDWELIQNIL NDYIKHSVEESQILLFGDAAFDNLNEIKYQTFSGGRARTDIIKDTDDDF >CAK81404 pep:novel supercontig:GCA_000165425.1:CT868407:56229:58011:-1 gene:GSPATT00016230001 transcript:CAK81404 MIDNPFEFERDPKKFTNSLEHNRNEVDEENDQQQQTRLTQLYMGKSAGRYGLLRKLEEQN QAEKLAVDRHLVFSQDLKSKSYNLKPDPISCHLKQFNPRMLEKNYQDSLQKGYKIRSILN EKKETLQDFVEKKREICLTNLNIITKKEETNRLEDFIKNEQESLQARKLYFKNDCELVKR FMSEVKSQADQAAILADKEMRRKEEVKVEVARILAQIDRLKLQKSKLQDEYDKLDKYRQF LEKIKQHYKTKFSEIERDIAMPQSLSNYKKTLFLTGVNVVESESRSKEQLEQLQFEYKQN KMADIVIEILDAIEEGNLRNMQNQRDAEEEMEQRRREVEQSREIFLIHQKENEQRLKLLE KQYVMHEQYQQELKKQEKVDQNKLEFAEGETLDMDQIGKRIIEIYSDVSKKEQDPLIKKL GTDSKLLKSTLYSLEKIVLELSEYKLQFLMKSKQDYLDAEKKINQKKKEQRQTNQKDEEK KKQIIERRRKKEEQMANFYRGRKDMRRNYPAEKVVVEQVVEDNDENDDEKYLQENYELVY VPSQKTDNISSSQQVQQQQ >CAK81405 pep:novel supercontig:GCA_000165425.1:CT868407:58040:59209:-1 gene:GSPATT00016231001 transcript:CAK81405 MDFEDQEEGSADENIFNVQKDDDLKIEYHQLQQNDYENKTGSKLLQKNKEYQKSLLQFKQ YLERKIAKKQQQILQLDQILQTIPKQEEEINFKEKCSAYLTLEILHDSKQRKIFSYAVND FEMLKQENPPHYVKLIQDLKKKDLKLKKLDYTSPRFRQQCKKKQKQNILTQAIQPKNTQI RELIIKEVVNCLPSEGHEIQWSLLPIHATQLLNIYTSDQNSIEAIEIYRVWLKRYHQLYN NQFTLQEDNKLVELVQMIGDNQWAYLAKLITTKTSIQLRERWFKFLNKNIKRQRWKILED LKLIILVDYFGVGQWNKLHQFFKNRTEVQIRERWCNVLDPELEIRPWTEEEDQELLSLNQ KYGNAWSVVSLKLKRTDNECRRRFQQIKK >CAK81406 pep:novel supercontig:GCA_000165425.1:CT868407:59243:61000:-1 gene:GSPATT00016232001 transcript:CAK81406 MNKYIILLILCSIGFSSSLTQQEKFFCKQCHHFVHTIQKVEHFWDKSIVWFLELIAEGYC AYSNIEKYSVCKGAIHEMTPVIFEGIQTRFLDEDFICPLIKWCPKVYEELKLEDYISNIL KDKPENTQWPEIDGTDTIEIIHVSDIHTDLFYKEGSAQNCDEPLCCREGFKLKDYNPKKA GYWGSAAVCDLPERTFEQFVNFLKTDVINPDKKTFLFWTGDNVQHDVWKQGREYNIINSK RILQKFLRKLIQEYLQYLKLETTKCFHNLRVEFSNMWRDWLGDETAQFFKENGFYAKEFD NLKVIAFDSQICNPDNWYLLKDPTDPTGFLDWAEQELKKSEQKDQAVYFTAHIFTQECMV PWAKRFNALVERYAYIVRGQIYGHAHGEFYNLYKDQNGKPMNIAYISSSLTTYNNKLPSF RKFIVDAKTMIPINYYEYRLNLDKYNYIGKDAILKWDIAFDFLSEYGVTTMYPSDLQAIT ERLFTEPELVKKFDFNQNSGTGLSGDTPQYLYCLLNNSIKDNLKVCKGETLTKDWTQYIS PGWRRRIDTSDKKVEKKSKKQKKQKK >CAK81407 pep:novel supercontig:GCA_000165425.1:CT868407:61509:63310:-1 gene:GSPATT00016233001 transcript:CAK81407 MNKYIILLILCSIGFSSSLTQQEKFFCKQCHHFVHTIQKVEHFWDKSIVWFLELIAEGYC AYSNIEKYSVCKGAIHEMTPVIFEGIQTRFLDEDFICPLIKWCPKVYEELKLEDYISNIL KDKPENTQWPEIDGTDTIEIIHVSDIHTDLLYKEGTLPKCDEPLCCREEFKAKDTDQKAG YWGSAAVCDLPERTFEQFVNFLKTDVINPDKKTFLFWTGDSVQHDIWKQGREYNIKNSRR ITEIFTKGDLGITFIPQIGNHEAFPVNQYDYMTDKDSNLRVEFSNMWREWLGDETAQFFK ENGFYAKEFDNLKVIAFDSQICNPDNWYLLKDPTDPTGFLDWAEQELKKSEQKDQAVYFT AHIYTSSCLVPWARRFNALVERYAQIVRGQIYGHAHGEFFNLYKDQKGEPMNIAYISSSL TTYTYKLPSFRKFIVDAKTMIPINYYEYRLDLDKYNQIGKDAVLKWDIAFDFLSEYGATK MYPADLFAITERLFTEPELVKKFDFNSNSGTGISGDSAKYLYCKLNHSINDDLHLCLGET LKKDWTEYISPGWRKRMVQMSKKESKKHKKQKKQKQ >CAK81408 pep:novel supercontig:GCA_000165425.1:CT868407:63310:64409:-1 gene:GSPATT00016234001 transcript:CAK81408 MLMNHLRNCGKFSTLVFADHSSGKLSKSTLKILNAAKLLNQQISLLVASNDASSVVDQIK SSIPSNVVHQVLVAQHDSFKAPQADLLGNLVKQLIDQHGFTNFVTSTSTIAKDFLPRVAV KYAAQPITDVIAINNDVFLRPVYAGNAIAQVKSNDKVKFISFRPTNFEEIQQTGDKVSNI VQVKVENTKQLIEHVSDELVQSDKPELTTAKFIVSGGRALGSKENFKILDELANALGNTA IGASRAAVDAGYAANDQQVGQTGKVVAPELYIAVGISGAIQHLAGMKDSKVIVAINKDQE APIVSVADYTIVDDLFKVVPELTKKIKEIKQ >CAK81409 pep:novel supercontig:GCA_000165425.1:CT868407:65324:66808:1 gene:GSPATT00016235001 transcript:CAK81409 MKPKPQIETNNVFDILQKASQNLKTQKLDLINSVRNMSTKSSSNGNIFGKEFLKQQFCRE NQQKKKQMSNLELVAAMQKYNQLLQHKRDTPTRNYSKSQSLLNQLVTKVTPNLEMNMFKQ TQRSPMATDVRPKTSDLKAPTSVQHKRQQSIGSKDQNYKKLYNAMLQKPKKAQSHQTTPQ HSRQLSLQQSQQLSNRESKFFESTKFNKENKENSQFQYYLGKIRQVFTRPLRDDYFSCIY REHFFQTYQGIYVASYLRPVDPNDVKKKAVQLRQKDKYKNKISLIFDLDETLVHCNESLL QKSDIVLNIQVSPNEIVKAGVNIRPGAIELLESLVDDFEIIVFTASHSCYAQQVLDYLDP EKKLISHRLFRDNCIMTTGGMYTKDLRIFDRQLSQIVLIDNAAYSYAWQLDNGIPIVPFY DNKDDRELWGLQTYLSGMIGVSDVREYNREKLKLNQFFDSQGPASVFEKLFQQKIEI >CAK81410 pep:novel supercontig:GCA_000165425.1:CT868407:66927:68185:1 gene:GSPATT00016236001 transcript:CAK81410 MKKYTSNQQLRKSNVPLGRYRLFKHTEIDRANLFEGLPYVQFDAFIRRDRTMQHVVIRDL LKIIMEKCNQLKNLLLDKFRLKKIFLLMDLMEQPKKKGMFNQRLEDTIVLIDYIGSIILQ EFKKNPEQIGLKQSPIKHLQEANPEYIDPHYEDKVYDKNVDLFNEMLQYLRDRIELYMLM MRQAGHKILDDIQCMSFLKDVTALRYNLLQLSEDIRVLDANSEENLKLIKLTLQKFETVR DKRIEKLSPEKKSEEQKIEDKKLEEKRKKIDYIEKNLIGKTLSNMDDQAESEVQKKRAQR LNELLQQKFNFNKSSIVTRESKLILNQDKLEQEKVLLLESSQKASKIKHNLVDNRKRLAL EKLKDPTKDPQLRGALEIMTRVLNINIQDQFQE >CAK81411 pep:novel supercontig:GCA_000165425.1:CT868407:68245:70727:-1 gene:GSPATT00016237001 transcript:CAK81411 MQQTNLKICDKISQLVNRPKRSEQVNKICRPVKVLSNHFPFEFKDKSAGLNIYAVSFSED VPQDSREVISQLINLSKEQFKKAGLERFSIRGLNIWSPQYVKERIISEVTLDGKKHCVYL NFTKAIDFQYLEGDNQDPALMQPLQQAVNVQVKKALKDMGLRELNRLSQFFDPKSIDANP VKGFPLKVWLGYKTSLKLTSTKPQLLIDYASRVLSTQSALNFIRSFNQNIGQIKDELEGK SVLATYGNYRLYKISAVDFKKTPKSSFQLEDGKQITYAQYYQDRYKIKIQDMNQPLLESL DRRNPDKKFYLIPELVYMTGLTDDQRSDFGLMKELAYYTKKEPGERLQIIQSLLSKLQKQ LNAQQMTLKSDSSTHAYIVQQPQLTFGNGRRLDADPTGFFILKDPVFQSAYIKDWVLIYQ SRGRQDDELADDLVADLNQQGGRLGIKFDKPFFINMKGNKPQEWIKELQAEFKNGLPSLV VSLTDKNRDTSLYIGLKDFLLSQGGAGVIHQNVTTKSCKNKNKQSIASKIAQQISVKLGN PLWVIPKVKGISEKIMIIGMDIYHKLVTGKQSCMGFVAHFDLECKTSFSKTIIMKSGQEF NQAVGQTFKEALQAYFLHYGKKQLPDTILVYRDGVGDSQIQTLMQTELEQIKKVISTYTA GYNPQFAYITINKKISDRFFMTRKNNKSPYINPVSGLVVADRVTSKYFDFFLAAQYVTQG TCTPTHYQVLDNNTQFTEEMFWQITYYQCFNYWNWTGAVKVPACVQYAHKLAFLVGDTFQ KAVHQNLQKQHFYL >CAK81412 pep:novel supercontig:GCA_000165425.1:CT868407:70795:71626:-1 gene:GSPATT00016238001 transcript:CAK81412 MNKKNKNTKKESTPQVVDQQSKIKLLASLDVTEKLKFLRKILLIWLAWQSVIVLSCFLIY LKLPFLLPLQMLLDSDLLLMLFLCITVILLYFGSRNIKQKDQKTAKLQLILIIISQTLLY SMITNKLVENSINFLLIHFMQLIVIANLFLYFRNAIEINHLIYFKQMFWYSGILIAAEFF FTKSTSINTLPGMIIIGSILGFGTFALRSIEAIIDGKFNLTQEQTSLGSLLAYTNLLLPN RKSE >CAK81413 pep:novel supercontig:GCA_000165425.1:CT868407:72561:75899:1 gene:GSPATT00016239001 transcript:CAK81413 MSSYTSRYRPSTLGSSGINQNQAATTSSSYRTQVTTTTTSSNLSVPQTNTTSTFERSEGR RSSTIKAPPATAVQMAPPSGERKWLGKYPEVVCFLLALENDRVMRENDALIKRIKELESQ SEGGDVRVRELQAKLDQANRDITQYKSDIDKHLIRIKQLETEIADYKSRLGQVDNSLAQR LKDAERKITDYERDINQWKSKYSTDKSSWDSEMKRLQDLLSQRQRELDDLKNNSGRNLDG LQKQIRDYEVRIRDYENKFNTLQSEIDRLTKLLNERNAENDKLKNDLKNKLLECDDWKNK YNTLQQQFTSMKSQYESKINDLSNQLQQRDRDLNDWRNKYSTLENKYNNLGNQQNGSEQK LNQLTQLSQQQVREIDRLNGLLRDKDAQNNSLKEKLARAEQDNKNLLDQINQLNQMIKQL NREIEKLQGDLNGRINEINYLNQQLQKCNDNIRNLEDQINKLNDDIMNNRDEIMSLKSQN EQLNNKINELNDRAGQQQGDLHAANSEREERELTIKQIKEQLDQLLTDKQILEQQLNDLK NALQQKNDDISRLNQQNKQRLQQLMDLQKKCTELEYTISELRGVELKCKLLEEKINEYQK LIDDLKRRVAQQDLQLLQAQANEKRLQDQDILIQNQAKEIQRQNDQITSLLKENDQLQQQ ILQLENEINKLKSLENYVADLEKQLQNAQDELNKLKQLLDSRTQDLNDWKLKYQQLQPLE DQYRVLEERFKQAEQRVQQLQNDIEKLNKIIQQNQIDIDTHKWNLNQQEMTMKVKDDALE ALNERMNNLVHDYEEERKKTQDYEQLLAQHQQNLDKLAQAQTQNNNLQSDLNNALKDIEQ LQNENHDMRQDLQELERQIQEFKQNEARYKDIENKFNQQLKDIERLNELLREKTHQFDEL NSQFGALDLQLQQANQTIDDLNKRIAELEQMKREHEVLKSQTDQLDNLNKQYSADLDRLN AIVNQRQKELEDLRQLMQKLEERIAYLTPFEEKFQVLSPKYQESQEIVERQKEDINKYQE QLKTVDELNKKVQDCMMILTMQFTECEGLRSQLEEKDNLIANLQQQQHQ >CAK81414 pep:novel supercontig:GCA_000165425.1:CT868407:75911:76960:1 gene:GSPATT00016240001 transcript:CAK81414 MILSRGLFKLPLKQVQYFGRRKDYYTILGISKTATQEQIREAYTKKAQKLYPNVTTSVAI NDTQAQQEFQDVAEAFAVLSQIQSRNAYDLLNKEQPDLLYSAEMERYKQSFQRNDDGTYK RPGQVASDYAKEKQEYLKQERKVFNVDDLGRYKGGVPRPNKGYVRGNALMGVGQYHRPLY HNMKQNPFETEHRITSEDAQYFRVWSTEERTFKEWSYLHQNAVVDYEYFKFNDYRIFFRW VRNFFLVFLAFPYFFQSLYRGHLREIIDEINEEMAEGLPIVGRQFGDLQVVVGKTGTLKL QDAHGGHHHH >CAK81415 pep:novel supercontig:GCA_000165425.1:CT868407:76977:78236:1 gene:GSPATT00016241001 transcript:CAK81415 MYKVIILCSLVLFASSMSEGETLLQSLQSTEFGKTIIQTIQVELQGNSSVDKIIDLLTQM KDRINGEQDEERQKSRDHTQFCDDKYDEILFVIDSSEYQLAKDQQTLPLFVQEQKNKQRQ LLDKQEIENRNNQRIAELTQERDITRQQYEARRDELTNMVGALQEGKRIISKLSTKKWDP LAGTYSFLEFSQFMFNELEAHQKLLKKQSNGIGLLYELLLETSQDPGIQANQQGVAKIQE IIDELIESVFDLLKQELLTDNAREQDYQNQKERIVIQNRRLEATIATFKARVLIINQTIL ELNNDIRFNTEKSTLLRRQKDDWERTCVDYHNGYVEATKIRTQQSDILTEVIQVFNRNYN DFPSLIQKISI >CAK81416 pep:novel supercontig:GCA_000165425.1:CT868407:78524:80047:1 gene:GSPATT00016242001 transcript:CAK81416 MWNIALVFLCLLIIATYIFIIRPLIPLLLLKAKLGDKAIFMFYPLFGFIGLFMKSIKTKN DAMETVNAALRKNPKAKIILSNLLHKPCIMLTGSEYLKDALTEHQHYEKMNPFMIDSFIQ TGLILSEGDRWKRQRMFLGQAFTFEKLKSRIQMMNQVVKEIVDKDPKTNLNEFMSKITGE IVIKSFFGELAEGLRLEGKEAQVALIQLTTDINLIQFENPFVLIKSLIFKERMWDVLPTK QEKDIVRRVNEVRTKVKEIISRRIEQLQENPIQDEDKMVFLDLYVTEYIKQQKQQQQLIN VNEILHQFITLFFAGTDTTATTCGTCLYYLAQYPEIQTEILEEIQEVAGLDEIKEQHLNK LVKINALVQEVLRFKNPAFATIFRIVKNDMQLQDLKLKKGWAVVEMLNASSVQENYFENA TEFNYKRWLNTTNIIKNDNGFIYIPFSAGQRNCIGQHMAMMEAKVIIALIVRKYQIILNP EVKQIKFGIKFLQCVEPDNCLLFEKRL >CAK81417 pep:novel supercontig:GCA_000165425.1:CT868407:80200:83494:1 gene:GSPATT00016243001 transcript:CAK81417 MQEIQLIVPYRQNIAKAILFYLLCILSLGLVYVVATWHYKIWAILRMSKCEIFAAEYFRI DSKDGTQEIVPSHHYIQKGLDYIGFTYRYKRFIYREGRFEKIQFQLQHNKDVHQRDHLKS NEQLKDYQELYGINSTEIPRKPILSILIDELMHPLFVVQILQILLWIYEEYTSYAIILLL TSIISMIDTLFEYRESYREIRLNSKLEHEVTIIRFGQQIKTHSRELVPGDIIIVEPFQVI ACDCVLIQGTCIVQEQFLNGEQTPITKSNLPNDDSAFVQKDGNMLYMSTFCLRAEENCLA FVVSTGFNTRKGELIREVMLTPDYSFDFYEDSMRYLKYLSIVAIVGYLIALPFKIYFLIT YPFLEATDLITDILDLLAVCVPPTLPTTLQIGLSLALKRFKKKKIYCFNPGKINLAGMVN QVCFDKTGTLTEEEQRLYGVIEMNSQGQLNPLLKDFDNLNKVIRLVMACCNNIVNEEEIL YGDHLDLALFKNSSAKIFYRTVMLDGVNYDIVKTFEFTQELQRICCIIHNQQNHNKYSLV KGAPEKIKLMCDSVPDDYTSVFKYYSHHGFKVIACAYKQLLQEDVIPTREYSEQTLTFLC FLVLENRVKDNAEQVILQLRSAKIDTILVTGDNVLTSMRVARQTRLVEESEQLVYGELVE NDNELQIDWKDMEQQFKEIENQMHNDTKTLCAEILQQKLPNSISKFNFDAKSCDNLDNNI AVVNDEVQEQLQKSATLAITGKVFTYLMKQAKENKDYKYIDQLITKTKVYAKMRREHKGE LINYLKTKKQIVFCGDGGADIQAMRTADVGISLTNTKLSLAAPFTSGQEDLSTVPIILIE GRGALTTSFQAFEYMTMCSLVQFLSCTILYFQYSYMTNSQFLILDLFVVLPLSILMTYTD NLRKLTTEIPQRSLVSVEVLSIIFGQCFIQMSFQILIYLILIYQDWYKDPVTLSRQEYGE AGFMQSFEATSLFLATNFQYIMLSVSLSYDPRFKKPFYSNRPFTIYLIFLFILELYLLMF TYKDDTEDDYLNLTFKVQEYEMPQSWLLFLLGMIILNSTLTHTYQIYGVPYVIAKMKNKL >CAK81418 pep:novel supercontig:GCA_000165425.1:CT868407:83977:86553:1 gene:GSPATT00016244001 transcript:CAK81418 MDQQAMTNALGNLKRQNEIRQQQKQQASIPDHQSESNVYNDDFDGDDAILNVIKTAQDQK PKPQPPSAQPKRPESSNKRTASMPKKKPYQFNKLNEGEKEKLFLDVYDENIKLKENQVKF EKMYGTMEVKLQQMQKFMGNKTVGAGESNEQNNQLASKVNNLETENEKLKQKIQAISQAQ RVVVAHQPMKKKKLVQADRPEMEQTGIRGGQFVDQQNQIKQLLDLNDKLKKRLLEQEAQF RQLQAMMNSKIVNQQELSQKNAQFVTLQERCNKLESNLSGMRSQLDDERKRQSEIMMLLR EEKEKNHLLEGQVRSMELAQKSVVDLQADLQEARRQKKEIEERLAILMESPFFKEYNERA TIQAKMKAMEQEQIRNATDLKNLRETNAKLETENRLKREDIENLKKEFKILQERNQELNI KLAEKDQQLLPFKDLNYWDNDQFLKVLGNLKWTGEDPAWRKIEFIDRTYLDSDDPVYLRK EIEHLKLEKGELAAHLEKTQTLLKNQQDIQMEKDKMHQTQIEQLKLELKVANEKAYEYAK IADLKTQARQQQTLYEAEGIKYDDSISVFSVDKDEMLSPGENFFDLWVGKGEYQPAELVS SYKTSTQLNLDLGSLLTFVTIDFYDHETQHTSVSEGTSCFYNLQISFKVDADANFIQYLE SNYLKLELYVSQGSEPTKIGSGLIQLKDLVYENKNDTISKVISGSLTFHGINNQMIGIVE FKARMRYPISNFIRLLKERNQLQMQDLDEAEEIVAVRKRKLVICIEKGTSLPQKSNCFIY YNFDTKDFHTNTQMGSNPKWDYRMVHDIVYNELVVNQFNRMPLELYIIDDNQPFGRRIK >CAK81419 pep:novel supercontig:GCA_000165425.1:CT868407:86603:88731:1 gene:GSPATT00016245001 transcript:CAK81419 MVDLAAEVKNDDGDKVASVYVKIFWYDIKDEDTLNQQRSMIAESWEENITHKISSEMRSR GLLGITAFRVFDRDQDQIITYEDFANGLKNVLNIKMNPQEMQVYYSKLPQPLNQQKFQEF FRLQSNESIQYEAGFKSQYQTQELSSINQLMQQNTMQLQRTQLEQIHNSIYDLLKRKLSY GKSVQELFMEIDSVKPDGQLNIDELQRYFQQNSLLIPIAQLREFLKFYMDINNDQLINFR EFTNYFKLIPTSQQQQMYSQSSFQQMGNQQRMQSNFPSIQDTQLQQSPIDIAITAILNYG QARQWTLMQVREFMDENRNSYIESGEMKKFLIQFGVHKNLQNGDDDIRQIVAFFDVNHDG RISISEFADTLNMYNNRLQIIKAQPTQQNIQNYYRKTVPELFNDIDQDGNGYLSKVELKN IFKNKILVPVDEFELNELFMEFDKNRDGHISISEFLQIVKPALDQDKNEPSFNQQGIEKE KIARKAEEVCFKNARVLQLAFQAKAQKPGYLTETQFKQILRDQKVGFTPNEIDDLTLYVI LPENGMINYNQFLDLGKLRGGPQQSDVFGQTSRSGFGSLSQIEQERAIGKAKEVFNRISR AVKNKYTPQQLFAAFDKDSNGKINKAELDEVFSKMRIRNLDKDDVELIFKALDRDEDGSI DVKEFIKYLE >CAK81420 pep:novel supercontig:GCA_000165425.1:CT868407:89399:91068:-1 gene:GSPATT00016246001 transcript:CAK81420 MINQKLINNTYTIKKRISSGSFGVVYLGQEINTRNFVAIKVDKEKKEESSLQREAEILKR LQHLKHIPKLYWSGKDGDSTYLVIQYLGRDLTHYIRNYRKFSLKCVLNIAEQMIIILESL HKHNVIHRDIKPENILVGKEDDENQLFIVDFGISKFYKEQNESHISFKEKQPFIGTTRYA SSNAHKRLSLSRRDDMESLCYMLIYLLKGQLPWQNLQFTSEEDKINQVGQMKMRLDSNEL CQGLPIEFAIYLDYVKGLHFKLEPNYKYCLSLFRKVSKEHNFSTRDLIFDWVISQKSERD GQSSERDGQLAESNPFNSKPSLFKKSKDDPLGSQLNQSIEQENNQLRKMPDKKRCTLTPE INRKKNRLLSVNSNNDTVSDNDFNNSIMIGIQPSILSRLSKISFNSNSKFQNSISNNSVN PNVNQFNHLLSISPDSDLENILNEYQRKSQTIQPYNQILNDDDTTIEFQMMNEGDEGIER KYIELKLRFIRARFKPRLNNSLK >CAK81421 pep:novel supercontig:GCA_000165425.1:CT868407:92579:94603:1 gene:GSPATT00016247001 transcript:CAK81421 MSNNTPFQVYLRVKPLLDNSQDTLIQDLDEKRIVITKQGRNNKEFHFDRIFNNEHNAQIF SEILQHNINYFLEGYNTTILAYGITGSGKTHTIFGNEKDEGLAFQCLNYLVEKTRYFGQV SFIEIYNETIRDLLNTNQKSLVIMQDSQKGQCISGVQQMVCKNSEEVKEILNLGNQNRSL AQTIYNVSSSRSHAVIQVNLSYKIDNQILTPKLFIVDLAGSEKVYLEQKSKNQQEGSNIN KSLLALSHCLTMLSDKTKKNQHIPYRNSKLTRLLQDSLGGNTKTIMIACVQQNKQSYDEI LNTLTYSQRATQIKKQVHKEVTSVQQNQKSEDLSPTNESQQLGDSSSKSIYLTKIYNDIY SNVEEYFEINNSIIEIQSQIQANSQKIEETQETKQEDETYMKLLAAQKENQIIEKQLQIA LKTNLQQKQILKSLLLQITEEQQSTINYWKQRFADLSKQILDMKSDQEKSQQELVQKDLV IAQQKAIIESNKLSKNQSQYTTSGDSDQSYPISFSSSTNNSQIKKKASITQQPAEDRHRF ANISHIKQRLSPRERSPTSSIFGTSPIRSPLKHNSIYRNLSKNQYNVDSISKDDSRELSK DLSNLSIHKKSRLVDPQVTTINLYGTTYINVIDQKENN >CAK81422 pep:novel supercontig:GCA_000165425.1:CT868407:95084:95614:-1 gene:GSPATT00016248001 transcript:CAK81422 MSAHSFKQSQAQINHQPPLKPFCYIPDSPTAKPEQNGEQDFIKLSINKQSSTKSDVDNSA NSNLITNEKNNLPLALHNKFPMVSNFTINHNEEKYEYEKPIKTQQPTKIYCKFCNTRKPT QIQLKNGSNTYILACILFVLCLPLFWVPLISKKCKDQVEICVVCNRQTSSTPFRLF >CAK81423 pep:novel supercontig:GCA_000165425.1:CT868407:95764:99620:-1 gene:GSPATT00016249001 transcript:CAK81423 MQKVRHNYVSKKDEFNPKRVEKASSAAKGLCEWVLALDEYEKVLTIVRPKQEKYLQSQQE VARLQESLKNIIIRFICFNSRDQQETMNNQQQLDRDIKECEVKLQRATKLMEGLGGERER WSKSSAIYEQRLKQVLGDIILSSGTIAYLGVFSNSFRQKTIKMWMDNLKGNMQFSENFSL QNILGQPILIRQWRMNGLPSDQFSIENGIIMNRCQRFPLIIDPQGQGNRFIRQNEKDIKL VKFTDSDFLRTLENTLQFGQPLLIENIYEDVDSTIDSVLLKQIFKNAGVMSVRIGDNIIP YNKQFNLFMTTKLSNPHYTPEISTKVTIINFTITQSGLEDQLLEICVSKEQPNLEEEKNR QILQQHKNKQELQKIEDQILRVLNKAENILNDEEAIQILQTSKEKSKDIEEKQETSEYTE RKIDEARVQYKPIAIHGALLFFAVISLAQLDSMYQYSLSWLLNLYQEAFIKSESSQRIQQ RITNINNMTLNLIYNQVCRGLFEKDKLLYSFIILIKILEQKKQIDFEEFSFIIRQITIPT EVPENPFKEWLPNQAWARVQVLIKVNKKLSQIVDSMRNFPEVWMELLDSPDGHQIRFPEP FVIITPIQRMCIIKALRPGKLPRVIQEFVASELGDKYIQPPSFSLHQSFQGSNPKSPLLF VLPGTDPMNALLNFAKQKDIQLRSVSLGQGQGVIAEKEIEDAKQSGTWVILQNCHLYPSW MPKLEKIIEDIQTQKMHNHFRLWLTSYPSEDLPASIVQSSVKMTNEPPTGIKSNLQVSYS SALYQQLDYSNKKLSKLFYALSFFHAILQERRNYGPIGFNIYYDFNQSDLFISIRQLQQM AADVSIPFHALHYLIGECNYGGRVTDERDRRVVRALLDEYLTEKVTFDTFQIQDFPIIEG LSYEEYMNQLNNLPLVQPTHLFGFHPNAEIMKDQQYTDEILRKLQQTLGSSYTDGQQNDE SKKADNVLKQLCEEYLANFPRKFDIEIANAKYPHDYKNSFNTVFQQEISRFNKLFSVIQQ SFIDTLNAIKGLTAMSDQLEKITQSFLIGAVPEQWKNHLLRIYRISQEESGISRIGQINK FPMSIGSLVSSLLNPSLLLSYKITPENTQQQQTNQILNSSFLKSLNDGTLIFGLFLEGCR WDYGREMIVESNPKVLTTLAPIIWLKPIQGESETKNQYVCPVYKTAERRGVLSTTGHSTN FIMNVNLPTEQSQHHWVKRGVAMLCQLSD >CAK81424 pep:novel supercontig:GCA_000165425.1:CT868407:99646:103128:-1 gene:GSPATT00016250001 transcript:CAK81424 MQIVPNFKRKVLQLYEMINCRHGLMLVGQTMSGKTSCYQVLASTLTHCHKNGLQDERCVQ YHVLNPKSITLNQLYGYSDPVSKEWTEGVLGEIYRKCATSTSQDRQFLVFDGPVDAAWIE NMNTVLDDNKKLCLMSGETIAMTDRMTIIFEVQDLTQASPATVSRCGMVYLQPDQLGWFN VFLNKLQEIQNIDQSILMRISDLFETIVDKAQKFIKQKCHEYESVPENSFCIHTLSMLIQ LIQTYPEVLRKQTDPSILIDAFFIYSVVWTVGSSVDEIGRKHFDQYLKKLIKEPLRNENK KDVVIKIDKQSQIPELSNTNIYDFFYDPELLKWRMWKELLKDSQIPENIAYQEILVETSE SLRITSIIDKCINRHSPLLIIGPSGVGKTCYIRKHISTLQNYLNIFVNFSATTSANKTQM IIDSKVERKRKGFYGPPLGFIGLIYIDDMNMPAPDKYGTQAPLELIRQFLGTKGWYGNDR QFMHILDCNVIASMGLPGGGRSFVSQRLLRFFQIVSIVTPDTNNIVHIFTSIISWHLNNI KIENTDNDIRKSFQYAIEATIDLYNQIRDQLKATPKKSWYILNMRDISRVVQGMTLVMNA KELSLDHKKVQRLWLHETTRTFFDRLEQVDHEQYSLMLANSIKLKLRDDLKHMIKPYDDV ATTKYNIKSYVWSDILSEEPNVSERKYTEVMSSTRVYSKLQFYLEDLNSNTKKPLNLALF DYCVEHLLRIQRVLNMTQGHLLLIGLGGSGRQSLTRLACFIREQEFLQIEVGKGYTYEQW KETMQKLMINAGADNKEITLCISDSQIKKPFILEDVNNLLNTGDIPNLFGQEDFIPQIDK LRLKAKKEGKQQLFDNGNNAQFYDYFIECVKKKLHVVLAMSPIGDTLRSRIRMFPSIVNC ATIDCFHQWPEDALEAVARKFLEDIQIKDSQQRLLVAQCKYMHSSLQTISEQFKSQEGRH NYVTPSSYFELLKTFQAVLHVEKTKLEDTRNMYKNGVTKLDQTSEEVKRMEAELIEKQPK LVEMNIEASKLAVIIKQQADAMEPKRLQVQQEEARVSECVKEAEIINQECEKELSVAKPK LKQAEEALNTLSPADINSIKAMLKPPITVKLVMESVCVLCGVPPISMPKPENPKERFMDY WEASKKFLADKDFLQKADWL >CAK81425 pep:novel supercontig:GCA_000165425.1:CT868407:103211:110428:-1 gene:GSPATT00016251001 transcript:CAK81425 MFRMFDPMYQSMNQILEESGNILWLGDCTAAYDRSLLDGKGIKTVLTVASGLNVSYSEGG MVHKVYHILDIESSNIARLFPETSQQITEGLKRGGVLVHCAAGVSRSASVVIAFIMKTRG WLFQEAFEFVRKRRSVVFPNYGFQRQLRNYEKDLKQSKAQVSLDTPTKQIQKFQQESQKE KVEQLGNLEQKLKSVGNKTNFLTPQKQNQKQRQLYQSAKVNYAKQYQVIQNSTRVSSSVK SNLVDPQFNFTNQGPMIISYQQQRKKAAEKMPEINKRNLYKAQSSGNRASSAVKRVAMPN GYTLYNQSGQKFHLPNMKNASQRSIEEADNRAKTSTLQPMHSEAQTIVHQRPQSTIETPA VMMNKYINYRIAQPYSSTIDVNARLWEASKNTTIGLKRVSSAVKKEETEYQQDCETISGT LKPYEFLSSLGGKMISNEPSKQQSIDLSRQNNTQQVRPQTVTSIGKMDFTIIQEQQQRLQ SAQQTLTRPPVSKRPMTSIQRPQSQAFDNQESKRVKQDRIQSATLDVFQKPTTQKHKKQF NEMIDQQVLRPQSNWSLQRPLSSKTSAPQSFFKIYTKFTNLSALDLPYEELFDRNERTVA ATFARFGDLGYYSPIQRIGVYMQFSAKLKKEHLLKIIDLQKTMSSAEKTDGEVPIQLAIV NELFPKQGRDKTGINSGASDALTREPVSLCLYPEYFDDNDENIDQMELSLKKFNEMLAEM NGNYDPSLLCKDYYIHIDREFWPENILKEVLISEERYRNYISGDYIRNDEVPKFKREWIL NAFNLIKPELLRNEECSRFVVKEILELFREYMKKAMLDYILRSPEERKRLHITLLPRTFI HSAQRVAREGGYNMKLFPDWHDFVSRGKDFCKSNLTLISTINQGLSDWIQDFSSFKLCDL NKMKQVARLGYTFTFQEFQRVQTIYKLNVLCLLEHVWFRGVMLIIKLQKFLRQKQYKSQW TITGPKFKQQQLRQTILEIIPEEDFIDEDNVIYKGEENPCYISIKEALQFYASKTTQPYN FDRFKDSCQALNAFTEYHVMLQNPNPIESDKLSQFQLEEVRQQQEEKPYKKLEKEQRKAI TQNATVLLQLQLRSFIDRSLQHFEQHILNINIPKQFDIEIRDTNFVSLIQACQNLKDKEF IPPKWGLLQQPYDPFIRLELIIENDFVKLKENEESVKNEFVDAFRSIIISFDKFLHPKFA KVTYNPKETIQPVPLRNFQFNFDQDMGGMTKEDFQKFFKSFWPQVKVEEKQQKEHPELLL ASEEQREIYQEKKYMTVASIEEGCYLAQEARILKHVSEHYKRTHQVIEYFQQFTQFFNKV HEKEIKSLKKAITNEEFKMYMEILNKYKTLVDKLPQTIQFPLFQVNCELVLSSVKQMIKE YKDRLFINFESVLIDQAKVISDRYLQISTYIRRSLKTPEDVEAMDKYITDVGQERVKIKT NTTDIFIKVMFLLKQDYVISEQLLLLSEELYHRPSQLDKELIEQEEKHQIERGRLEEELK KQRSQFEERVAKYCKEIDNLETFTERSKYKGYVRDIEEFEQKLAEANAEMQEIIQKELTL FGYPSQFENFVKLQTEIQPYSELWKSIGVYMENRKNWMNGPIMDVDYGDVETILKNQKKG IVKLNNLFKQNSIPYRVLGEFKQDVELMSQNSKTLEILSNPGLRERHWKSVQTILATSFN YKEVSLRELNNLNVEQFINDMEEISENASKEFTLENALTKMKKEWDSIKLVVLNYKGRGV LILQGQSVEEIQTLLDDHVIKSQTIRANPLIKFMEEDAIKWEKSMIFIQQILELWIKVQG MYLYLEPIFSFEDIIKTLYDESEKFKKVSSNWNLITKAVEMEPLALNLDKIPNLMDILQT SLRLIEEIQKGLENHLEIKRLEFPRFFFLSNDDLINILAETRDPLLVQPHMRKCFEGIEE LIFNSNTDILGMKSVEKEEVNFDNRVSPKEFKNCVEKWLLKVEEEMRNSISHLIRQCYGE LQELPVLIKWIRRWPGQCVLTCACINFTNQIESLIRSGKTLNKFGNDRVNHLNEIVSQVR ESQQQNERQLLSALIVLEVHNNDILQDLIKLEMKDTNIFEWTSQLRYYMQEDTSVSVKMV QTSIPYGNEYLGIGSRLVITPLTDRCYRTLVGALQLNLGGAPEGPAGTGKTESTKDLAKA VAVQCIVFNCGEGLNTHAMAKFFKGLASAGAWSCFDEFNRIELEVLSVIAQQILQIQQAK SMSIESFQFEGTEIHIQQSCNIFITMNPGYAGRSELPDNLKALFRPCAMMVPDYALIAEI SLYSFGFVEARALAKKIVAVYKLCSEQLSSQDHYDYGMRAVKAVLTAAQLLKRKSTERED ILIYRAIGDINLPKFLTNDVMFIQWYYE >CAK81426 pep:novel supercontig:GCA_000165425.1:CT868407:110550:111770:1 gene:GSPATT00016252001 transcript:CAK81426 MYPEYCVAQRQQPYPLHKITQSYNPVVQNEPRPRQPNENPKDYMITAYTAINHVIDISPT SSIYRLDPFAKYLCCWINTVGPEEVSAIQERTKWKHPNLVSFLFFEEQSDKFSQNRQLKI FYEYLPLDIKQLIDKRSKTQEYVPEEEIWKMISGLCEAFVFLQKRGITHSALTLESVYFD EEYLLYRIQDVSPFRARAPHLFENQYKSPESGGNLRANRFKQDVFGLGMIILSLCLLKNC DYIFETGVLSVVHLNDCFDQLKQLYPGRVDSILKKMLFIDFNQRPDWLEIQQLLVNLKDI QFTNFDLGLTNKSSILNSSFQLQHQQQQQAQKTFDQLTLSQENRETTTNSSNKLTSSTNN NNATIKTTSTDSVDQKLINLNKRIQETLSKSLNKNIR >CAK81427 pep:novel supercontig:GCA_000165425.1:CT868407:113313:113804:-1 gene:GSPATT00016253001 transcript:CAK81427 MKFERRGFNVQKQDQSININKESSKLIRQSQIQVLLNQLLTCHLYDRMAQHCKNLYGLFV ESYVPECLDARNYFQLCVRMNVSCGLAKNYFPRVILSENIRYFLTNEYSRPNPNLKNQDF DPLYKQIYYYSNYQFFKFLFINLQVN >CAK81428 pep:novel supercontig:GCA_000165425.1:CT868407:114487:115539:1 gene:GSPATT00016254001 transcript:CAK81428 MKFNISYPLTGAQKTVEIDDDKKCSIFFDKRMGQVVEADNLGEEYKGYVLKITGGNDKQG FPMRQGVLFKGRVRILMRKGHKGYRPRKDGEMKRKSIRGCIVGQDIRVLALQVVKKGANE IAGLTDQNVPRRLGPKRLTKLRRLFGFKKADGVAIVQKNLIRRTWTTKDGKKRQKAPKIQ RLVTESRLRRKTIQKKTEQARRTKAKQALEAYKKLAHDVHEAHKKHRKASSEIKEQTKTA AQPKQTKQAAPVKQVAPAKDAKKAPVQAPAKTVPAKTAPAKTAPQPTQKAQPKAQAKK >CAK81429 pep:novel supercontig:GCA_000165425.1:CT868407:115543:116667:1 gene:GSPATT00016255001 transcript:CAK81429 MKALFCLGVGMLITQKDNTVHAWLYRDDIGAFWGIKGYEEQVTEVGTHRGHMSWPQYRFL GTFDSASVRRGFLVFSRNCANCHGIVYKKYDVLLDKVYKQLELAALVSNFTIHPAHHHFK QFYYQEWDERDRYIHDRIYPPYFSQDQAKNANGGVWPTDFSKIRLRPGGVNYIYNILTGY HYKPYQGLDVPKGKAYNPYFDHMIIGMVRQLHDGLVDYEDGTPASTPQMAFDVTNFIQFV QRRSGFQRPDKTVRYYMFLTGIALIYPFAYLKTRGFYRNNLSLRWEMYAVRDGVYYNHFK KGWKNSRAIQFRGQVWA >CAK81430 pep:novel supercontig:GCA_000165425.1:CT868407:116728:118213:1 gene:GSPATT00016256001 transcript:CAK81430 MQSLFVKWLENVNKDQLQSNVPNVVMVKLTDSVIPVIHKFTIAQGPIDQQHKTEIIPYQE MYQKNQNFVPAPSKFEQSNQIKKNDFKAQPPTKDYLSSETRKPDYSKTIDVNRKPEYPEK KHDYLDKKLDSHDKRYDQQYSGFDKKQYTSNQKPQEIDRPSQSVMNQLKDEQQQTERLRA ELNMAKDREKDFQKRLSKLEQDYESKHREDKQKIQQLQDENKNLNQKLNQANRHLQDEIN KVRQQYESQLNELEQAYNEKEQQLEQITQEFNLEDIQKKIEELQQESDMKDQIIEQYQQQ LQDMQEAIQQIQEEQANNSRNTRNQFSSNKKLSKSQDNDKDQMIQQLSQQLEAKDEDCRR LEDLIENFKPLYQNLSDEKQQLQEEVEKLANENNQFREIFSQNLHLFGIDPEQLNEEGEE GEGEGEYPEEIAEENDDQND >CAK81431 pep:novel supercontig:GCA_000165425.1:CT868407:118436:123095:-1 gene:GSPATT00016257001 transcript:CAK81431 MDQGKQSSKSSIKVGIQPKTFANPVQNPNMSGQLGSDQKPKSRFVQPNMANYAAMPASQK VSIKPVDMSQQSNKRNEDVNLATFLVPDGMTKEQYQQQSAVVSQNFQIVDLYPVQIKDAD IKDEQDTAASQEQEEVTPYQISVYHLKAQRQNFNIVLNVKDSDDRNTRKEVNYQETEIGK FGNAAVNMIYRQKLLYLPQKSIKLEQQQGRVLPVALETLPQSQDNYKRISIKLSDQVQAI LQQIKENTSQFDQVVKELSKYSVVSQVKQIVIDKSYNKILDRLTVLQQIMNQNLNKINEL IQLTEIQNEKVPAVSMQNMVDFILKLPQSQSIEFQQLKYASFYTCQIMCKQLEVQEEQCT SCSQFVIQFNQGVDAVQILYDFAKLKMPKLYNKLDISNNKLNLIVLPYLEFRQKNDFILQ DIQKYLIKMTEKKYFIMKTLMQEIMTKEEVEQLDQIYILNQYATYRNQIIRNPNKFNYEI LKKRPLFILQQIKLQQFLQIRLFHAQLQIEDLFYKIQNLQNVYDTYVQFPNFEQQLKQVQ LNKMKIQKQTLETDDQEVFRDKVVELKQDMDILFKFQNMFPSEVEGLPYGFIRIKDWIDC EIGSGLTLLRILNSKLHSIDILENQISEAEGLQLIEKSKKLIAQIQKLIDQEIPLNYYDS KLKLPSEIHNAVLEITNKYLLDDEAKQTPQRTPLQLPLATPNAQMNLLEQCSKVLERLSK ARESILIKKQIIQEISTQYTSLNDYCFKYQIWEHINATRYLMTSQMFYDIISTIISVDPQ KFTLDGIIKKIRDHMIYFEMNQNVQTSVYFKVHLKDGLQLLQLFKESQDEYSRLLIANSK KPGSVSIDSLINSLTNNVNNFLKIKTCTLTQQKDAILRAMPQYIGMIKEQFEDSSKAAEL DQKFSEAETVLVETDSFFQKGENKLGKIYELGVEAVGQEKTKKTMSLEQFRMSLGFDSQI QILKDKAEAAHNQFLMYKEKLSLNSLPIYKNKLEQKLKEFDSLNQTILMFDKTITSIYYS SIDFLDALTELQQKIENMLSLANSSFILEGLNKQLKQQQETYGEVKKIQSGVKLHPSIEP IVLTTQEIQVNLIEMLTNMIQIITAFVEIYDGFMLFQDQINLLSEEFFQTVYRWLTFFEG KPSDRKLIYTEALAKIDVAIEKIGKFPILRVPCTKIYQTLKKVVETYQRDVNTEVMKMLS EFGQTYQTKGNKVQSFQEFQNELTYLLTPENSKEATRKALQAFFEKNKKAEQPLSRMSQF VKATQTISKDERLKSMKRLKKLQNPDEIQTQMGGGQMDQWIASCKNMTNALEMYFKNVND NQLQPLVNYTCKIIKLSYRKNRRFCQGNEQLRSKEIYWQIFRNAQDRLQSQQILMTLLIL YFVKYIIKLQLRIVFYQTKQLDCINTKKCYMTYNIPIIVVQIATDKPQSCKDICLAVLLF SAYNHNARLKNQYSIQQAEQTSSKLIAGKVKFFHHIQPFCFAQQQQKIHKPNPLYKNSTL NQCLSQQSLFYKYPILYNKWWTKYKK >CAK81432 pep:novel supercontig:GCA_000165425.1:CT868407:123130:123668:1 gene:GSPATT00016258001 transcript:CAK81432 MSRAIRRYVNAKEEMEYERGYSAEEMQAAKLRKAFVQKFIADFDTNFYKTQEERDWGYVV RREYRYDVTYSSLVDGWACAAAVSMVRMFQTKRFSWAPYFVVWPIAYLYFQPIKFLKHNK KYFDMCNLGETFYLGRERNKVLAECNRILDREDF >CAK81433 pep:novel supercontig:GCA_000165425.1:CT868407:123758:124802:1 gene:GSPATT00016259001 transcript:CAK81433 MFNYLNVIQLIIISINMFTGLMRAGLTITRKKNPIDNYIFLMFFFAILTLTAEIINLFLC KQTVEMHLVCVGTWITFSLTLQQSLAFMAILQFLTFFLLQTQSKEFLDEMKKSQNQFIFF AILAPIIFNLLNLFVHDSQYRIFYPPNNRFQVIVLFAPLTFLLIIISIFTGLSIKMLRTQ EFLRFNNTISQKFHQNTQSSIIHPIDMLYPVFIDCDCSSIHKLTQFLLQYPLGVNQHPDI TIQLFIWPQSLHTKDLGFKDVKIVGHCVRLILKKVNNIPMPIPKPRHSQKLSQPTMLEFQ LLHQKWQKWQSLEIHATLNHKRKSNLKYQDPRSKNQQQWK >CAK81434 pep:novel supercontig:GCA_000165425.1:CT868407:124885:125480:1 gene:GSPATT00016260001 transcript:CAK81434 MSLVNITNIVIDDKPQPFDSPINIDIFFDVIVDIEDEIEWMLLFIGSPKDEQHDQILDQF SMGPLQAGAKHFTLECNPPDWQKIPQNELLGITAFILTCSYREKEFFRVGYYVYTTYTSQ ENIENDPPKIIIEDISRQIFNNKPRITRFEIDWKGNNQNQTTSEQIDNKQFMFQEQQEKQ SADVTEVEDP >CAK81435 pep:novel supercontig:GCA_000165425.1:CT868407:126040:126207:1 gene:GSPATT00016261001 transcript:CAK81435 MKQIYQRKKISTRFKLYIQMYSDYITTNFVFNLYNDKLEFPLNSSVLYVEFYLRN >CAK81436 pep:novel supercontig:GCA_000165425.1:CT868407:126284:126915:-1 gene:GSPATT00016262001 transcript:CAK81436 MIYELRFFTYINLNNQNERNVFHYSDEIVLMRRSSYINTLTNNWCQAEADTDPNIIDSKS PSIKKKRQGRYKNVPYTFGRHFRNWIVTEVDSIRCPVVQKFISKRKTNPRYHDSFKDFNE LFQHSGIGRQLGQIFFGQKKWVQYLLENERVDGLQIYFEVEKYLLRSRPLKEPKSLNKR >CAK81437 pep:novel supercontig:GCA_000165425.1:CT868407:127110:129824:1 gene:GSPATT00016263001 transcript:CAK81437 MFDQQFVEHRKSKQEQVVNTKSRHLIVMNQLKKKEENWNNRFYVQNQNKNESVEKQKPKY FNNAAKLIEVQDYYNIPEFHRQLFLMCLQTLPKNTQAIQFEIEEMQQKKSHIQVLEYLIN KLCMQAVEAREKCLAFLINHIQQFQDCPGDEQLLQKSAELITHLRILSINVVEQILGWRQ YLMKFLVNIHSHESISLPYLYQRENYLIKMRKDISYITNSILSNYYQFSVKPDPFFVAIT KPAEDSSKIVQFISKPLLKRIKNCEVIIQEETSSIAKDDKSIYNQANSRDQIKMSPEKPI QPGRIRPPKRQEQSQNQKRIIYKQHDQNEIISTIPKVVIARQPNKQGSATPQKQNSIKVT TNNDSNNKQHAQVSLPLSEQKHQNSLHTAGPIADQQNGIPEEDDTLKVKKCKLTDEKVID HLNNINEDFKKSWRGEIQLMQNQYNQQDDSFCLGIYQKSQLMALGQCYLDQSLQERRIVL SHFSTVDPQQFQELLKVIIQFIWEIDPCQEIRMALYHYNQNDSFQANKEITTKLKELGFK WKVVQSVNSETRFTVMAIRRPSDIDQPKQFDPIFLQHLYLTCDSNTIQNTDAFTSLYCLT TLNTKIDLDNETISSHKDNLIKTEFGFRGIKLQEHSSDDFNAYIKQYFEGFEQLNLSNSE VSLSSDKVISSFCKECFKWAKCRLAQHQRLIYNGFPSVSNAETAKVFMSDSGNLKVYLIS SDQSSTSIFVFEYNEEITMQKVQSILNQCGVQVPIDQNLYVPQFIVNSKVRFSDNVIGLG RFSTQYRPKMVDVQNAEGYIIKPPFVFGILNEDFNEITGMPNLFFKVQDTHCIQL >CAK81438 pep:novel supercontig:GCA_000165425.1:CT868407:129969:131642:1 gene:GSPATT00016264001 transcript:CAK81438 MDYGYYCSILDHTNEPIIGFCFYQECDKPKQICFNCFSQLHQRHQNDCLRFDKIALMISD SVKILDNLEKQEQNKMENMINLFKKNLKFISSEKAKLAQLLQQLNNQDCSQVHNQLEQLK QWRNVTLNKYVKNNNIGLQLEEIKKFERSLDQFFQPYLKADTIFISTSEPQAQIGSIERQ NSNRSQESPTQPQGLKSESLAVECLTSAVDLYKEDNYQDALNFSNQAIKINSNLEQAYLI KGLCLVHLEQFEEAVDSLNDCLRLNLKIETVYYHKGYSLFVLKQFDEAIECFDRALELKA NPDFYLKKAQALMSQEKYNQALESVNRALDIKTKKEYLHLKGAILHKLGNSQEELNCYLA AHGLDPNSSSINHNIGVALHKLERYQEALQYFDAALAVEPDSPDTLNQKGITLLASQSYQ KALECFDSALAYKEKPEYLTNKAKTLNFLKRQKEALDIFEYVSKNYGE >CAK81439 pep:novel supercontig:GCA_000165425.1:CT868407:131649:132620:-1 gene:GSPATT00016265001 transcript:CAK81439 MNNIGQPNDLQFIKRKSPYLLKEIPQKLVKISMMSQTQFKDFLESLSLNFTQLSHNLQQM LTLYNVQIFHENDDDQLMTDSDTDAFTKFQRDWLIKTICKVGVNHQFWPEIAQVLNKSLS EVKAFWITLQDNWTNELDNKLTQFRNQQLNWLEIALRLDKNKTNCKHRWNNVLDPSLCKS PFTIQDDILLLQKAIQLSFDWKKISKIVQPKRSQTDLISRFIKLQSQYNNIDNLIQRVQF TIAQLPKYDKNSMRILPQKQSNKIQKTVVEYETLSILDLNLLDAMALQFCLVKQDQIFFV TLEYMQQLVNLKLNQRKLLQFMK >CAK81440 pep:novel supercontig:GCA_000165425.1:CT868407:132627:137375:-1 gene:GSPATT00016266001 transcript:CAK81440 MYDNYYLQNYPYSQITQWQKNRFQQVDHPKRETEFWKILFYLVLIIIXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXD LNCMENEVFWSTVTRDSRRRALWSLSLLKEQEMLCWIIKLMSLRLMHQDVGVIVKDELTK YCKNKAMSITLKHIDPTYMIRTVPANPHDKIMCTQLAQNAVHGAMAGFSGFTVGHVNNRL AYIPIEELLSGKYSNRVVADSREWQRLLASTGQPSFLNNEEQMIQQKQQQQI >CAK81441 pep:novel supercontig:GCA_000165425.1:CT868407:137683:138141:1 gene:GSPATT00016267001 transcript:CAK81441 MAYQQINDNLFEQQMGEIKNFKIGYQKSIHQRQRVHKNQQGGKYQKKVRGSKKISKKQLS NNFCKKSCQQDESIKIQQEDESISLNYFGENSNNGIEEIKARLFSLNLEESNQQFYAVLD SNHTFGFINQNQVKNIEQNIII >CAK81442 pep:novel supercontig:GCA_000165425.1:CT868407:138984:140164:1 gene:GSPATT00016268001 transcript:CAK81442 MEGGAQPTTNEAKEGHELHVQTLENKIRELPLKDRLKAIAIQHHYLQKEKLDKECEEKIH ALQKQYDIKSLPIYAEQQSLINGQRAVSAEEQELFKEFFSEAELQEAQQIAQKAEPIENL LGNCLNKLRQFISCLWREGQGHPQILNLNSDTEVFTLRFTFKDNEHFKNKELTKKFIIEE GKDFPTSTVGTVIEWNEGKDVTVKIVEKKQKNKKTGASRTIKKKETQLSFFNFFKNSEDK DEGEEEGKAGNIDEDYEIGKTIAEEVIPYSLEYFLGINPDDEDYEDVDEDEDDEDDEDEE DDDDEGDDKKKKSQRKKSGDSKKSDKDKKGKAEKPECKQQ >CAK81443 pep:novel supercontig:GCA_000165425.1:CT868407:140226:141796:-1 gene:GSPATT00016269001 transcript:CAK81443 MKAAQQLCENRPGEKVENQDYFIQQYYIECLSTFSYYIESKGEACVIDPLRDIEQYVQLA KERNANIRWVLETHFHADFVSGHRELAAITGATIVYGPSAVANFPIKQAEDGELLPLGSV QIRVDHTPGHTMESSCFVLVNQGKDHSVYTGDTLFLGEVGRPDLAVNNEELTVEMLTSYL YDSLRNKVMKLNDDVIVFPGHGAGSSCGKAIGTGKSCTIGIQKQKNYALQPMQKEEFIKK ILEGMPKPPQYFFHDAKLNKCGPNDITALLNEVLKPLEFEQFMEFVKSGATILDTRPNVT EGIVDGAINISFKSGLVNFAGNIIKPETKLVIMANQDDVQDTILRLLRIGYDNILGYLQG GFEVYKANGGPLATVKIVSLVEFCDVKADQENHVFLDVRGPGEHKEMGFVKGAIRVPLPE VEANLDKIPKDRFVHVYCKTGGRAKMGMSLLVKNGYKNVVIAQEGGFILIKEKQLMEIEY I >CAK81444 pep:novel supercontig:GCA_000165425.1:CT868407:141853:143169:1 gene:GSPATT00016270001 transcript:CAK81444 MQFTPMFQLILSKLIKSNNDKIFEGQQQHSKFLQILIIILMLLKKSVYLYSHAFYHMHEF QQAQTLMNNHAFSQAHDLFLRLIQILKPHGRLPNDLLSISYQNAVKIGPKQQNDILQFKL DTLGNVLQYMQNNLYYNTNHNVDLLAQHEPEFIGNVLHYEFKRVEAYNYALLSSPETEEK LMSLIEYSEARFQKEQSLLDYAILKWLASGHGYNPVSFNANFGMQQGQILLDHFLNAEAK VDKMPSIEFSLKLYKALTDFIISANVQTYNKTIVGQVLNRFLKFTEKKAKNQVHQSLMGL GWLNTVEQRYMSAEGLFRTIDDQLKTHNTTPEIQVITAQFWHLNIQLLQKLTKRQTEVQN YQQQLKQFKMAPIQQKAVLYCHLPNHQVHF >CAK81445 pep:novel supercontig:GCA_000165425.1:CT868407:143177:144527:-1 gene:GSPATT00016271001 transcript:CAK81445 MNQFLFSLLIVTIHCGQEQGSFLQEKQDNQIFTIKLKETQKIVTAKEMYDFLSTKQTYFR QHIPIDISEIEFGGYVPKLQENENRKKTSLKLHNFRNTQFTGPITVGDQEFQVIFDTGSA NFWIDSTKCKNEGCRQHTQYQPSLRSKHLGYALNVEFGTGDLNGEINSDVVRLGEIEVED QNIAEIIEENGSVFQNAGFDGIVGLGYPSMAAYNFNPLFDNIMQEKKLKSNQFSFYMSNQ VNSYESQITFGGYDAAKLDGEVHYHPVIDQYYWMIQADNILVNGKDEGFCPKGCKVVADT GTSLITGPFEDLMKLLDLTNINDDCSNLNELPKLTFRIGGVDYDLDSKDYIMELRDDGTE IPLNNDVSASAFIQGTSSRCIGAFMPLDIPDPQGPAWILGDIFLTKYLSIYDRDANMVGF GKAKH >CAK81446 pep:novel supercontig:GCA_000165425.1:CT868407:144556:144726:1 gene:GSPATT00016272001 transcript:CAK81446 MQPKCDPIQDRVVKYIPLLTLRLFKLDLLFPCKIEIRSTLYLKENLIKEFGKVNQK >CAK81447 pep:novel supercontig:GCA_000165425.1:CT868407:145445:145970:-1 gene:GSPATT00016273001 transcript:CAK81447 MQQQQQQEMLKNLTNQQVVQYNKMRQDYAEIFRIYLDIEDEKKEHTLVLDAVKNVDPKRR CWRLIGGVLVERQLEDVLKSLKESLELLEKTGQNLQHCYETERKRIT >CAK81448 pep:novel supercontig:GCA_000165425.1:CT868407:146017:146577:-1 gene:GSPATT00016274001 transcript:CAK81448 MSTYRGTFEHDSFLGWLNLLKIRRLQFLNDVGERPPYPVIISKPTVGDVLKNLNKADFGL FATVTFLGFFAARKATLGLTTTEFVRQRGFSIAWNSIMMAGALFACMNSNNRLTGFVDNG LQWRRKEQRLTKYDFTSEFEEGTIWKFFRLR >CAK81449 pep:novel supercontig:GCA_000165425.1:CT868407:146602:147193:-1 gene:GSPATT00016275001 transcript:CAK81449 MQIRKSNKVVVNRIEKVNSELLTLTYGAIVSQIIKDSDTVIEANEQLEKMGFNIGQRIID EYLSKAEIKQCRDKIDVAENIGKVSMQMFLGVTAEVEVLPETDKIFTFNLHFTENPLNDF VELPEHLQGLNYSNMIAGAIRGALSTIHWIATCRFLKDQLKNDDKTILQVEMIREKFKDD E >CAK81450 pep:novel supercontig:GCA_000165425.1:CT868407:147577:148752:-1 gene:GSPATT00016276001 transcript:CAK81450 MNNKEEIVLGFLEQTDDILNADDELPSYANGLPYFLENEDKFESIKCAKCGNQMKLLLQI YAPLNNKHASYREIYVFLCLNEQCSKHNSSVRVFRMQSSQKPQLFQSKIKDYILSPSNKS FIIDTEIISAKENNNEFQVAEELLPTNQEDEDKDVDLKNVKFDNENKIYENYLKSTEEKE DIEDIDGLEKDQQNNIDGCFLIYQHFLTQYQNHVVRYCFDSQSKPLWFSDKKQPQIESKC PHCKKNKIFEFQINNSILTYFPELYNLEWGALYIYSCPSSCSVGGQILVEETVYAHSDEQ EFISPNLKVDPDTKLVTIKQDANKGNKQKQQIQQQQQQIQQKIDEQDEEDDW >CAK81451 pep:novel supercontig:GCA_000165425.1:CT868407:149047:149771:-1 gene:GSPATT00016277001 transcript:CAK81451 MQDDVFDEIKKELQYCKYLNYAHIAMSFLGFFGYMARKIFFTLFINVLSILLSILGYYAI EEINKKRIVVYAVFTSSLFGMVLFYEIIADLFSESYETEAPPQAFVFLVITLPFLIDLTS GLMSLKLSHTFSKYDNLEFKRKYNQILVVQKGPEVNYKESYLADEMCVICLSEKRNIVFY KCGHKVCCKKCSQAFKYKSCPMCRAQIQDFIQEYDA >CAK81452 pep:novel supercontig:GCA_000165425.1:CT868407:149888:151461:-1 gene:GSPATT00016278001 transcript:CAK81452 MSQKSKTLLQLLQQQQHFHDDINTSLESNYRHSSEHTEKKFDLKLQKSILDLYSQIKSNI LKTEDHLLLCQIRKLVPLDKPEDLYSDPNSLICYIGCIFTVLMQDKVFFKSYQLNLEQQV EEQKNRDQSDYEEQLIKLEAEIRQHIRIEQQLKLFAENTQSKLEDALKIKEDLEEELQAI KSDFQVLYEKNNTLNQKLRTQEKEIQIIKNNNTIETTNQSLKSNQNNQKHVSKKENIENE HPKQQSITINLQIDKQKPPLQDYQDRLQTDPQDDYFKPRNDSHKRIISTHVANTQNNNKS TYLPTRIQTESCEYQDRKRKHNLSQYETSNATKHQRNKTAQNVLNLINQELKRQQSVKAC QDKSQCNQNKAIKKPSNSQHQHAEPNRSNNSVHSFRKQPPEVSQIPRPFSCAEQIEESNN SFQKDLSMDMGNYQKQGGEYPDKQQQIKKLLQQYQQKHCLNDTLTKKLLQEYKKRQGYNC KTIY >CAK81453 pep:novel supercontig:GCA_000165425.1:CT868407:151517:152083:-1 gene:GSPATT00016279001 transcript:CAK81453 MPHVLEDLRRVFPKKSFLLQTYMLGCFYLIHQQLNIDEKKKEKQTMPIWRSKLIENQHQR HLKQFQLKILIDQQIPLKPRHKSSYGLMEFRTPQFVEFPNLNPPNTTSQVHTLQIDSKQI QNFSLDDLSTIESEFVPDLKTDLQVQKSVDMTQSCIF >CAK81454 pep:novel supercontig:GCA_000165425.1:CT868407:152194:153954:-1 gene:GSPATT00016280001 transcript:CAK81454 MKSPEPLKCPEHSKPLSKICIDEKCDLQPYLCVDCLQTHLCHSYEIQNGIEEFAKKLNVN LTQFEKMQLQFKQLDTDQFATFMKTQKQRVSEDMQKIKKKIMQKCDNLESKLLETLEEQV IIYNKNVQQFKQQLKETEKFQSLQKSSDLSILNCILGVKLPNHNDPINVMRKFKSHLLNY TIFSSSGKIKQLQEQLILLQSQSVFPPTFKSQEFQKNEYNRLINYFDQYLSNIESNIKTY IKSFPITDFDSKSIQIKSTKKEQKLIEEDQCITSFVMLQNNLIYALNDMTIKIRDKCYNE VEVIQCETQINCIIEINCQITELTQQILVNKSQDLDFSKATILALGGDDYTLIWNCSQSS IQQLRKVQHQRMSKVVSLLSFDNLLLIGDWDGQIFGYDLNLQNPLYIVDTSSTILFALVK SSKFVISASKCDLEQGGIIFWNYNKNDLYQEKRIKIQSVQKVAQSNDQLFVVDIKNKLYI YDCRSIELIKSFICSESFVNEIAIDNSQQILYVLNQTCLKSISDQFQWNYQSYEDFPCLQ CRQYYQSNRLFVNANVIAFIRHLHQCKHEQGDDDKCSMKSTLYLMF >CAK81455 pep:novel supercontig:GCA_000165425.1:CT868407:155200:156183:1 gene:GSPATT00016281001 transcript:CAK81455 MQNNFMYNQQGYMYPQQYMYPHPPPNYVYPQQMQPAQFYYMNPQNQAMYQYNIGQQQQQQ QQQQQRIKCNQPKTKEQDAKIQKQQVVKQQSNQIMQQQMEMQKTNIKILTEQQIKDQVGS ITKQGFCHYCNKNGKICYYCFSNYKSTDCRHGFCFDCLMFKFKINPIKILLRADWNCPIK SKQCICSRCSNINHMDSDQFISNDSVESCNKYAHIIENTKKIKKQYSKKKNIIREMFQVK FQQLDPKKKKQQQSMKKTLLLNKIKKQMQFSQECILKLKQKHNQNDEQILHQVVRYNFGS LIDLFKEYKQA >CAK81456 pep:novel supercontig:GCA_000165425.1:CT868407:156231:156428:-1 gene:GSPATT00016282001 transcript:CAK81456 MSDQQQLKQEVLSNEVYKTCFKMLPVNASQDEFKKCMGNYIQSYEIIQQAFEQFFKKPKF PQQQQ >CAK81457 pep:novel supercontig:GCA_000165425.1:CT868407:156899:160538:1 gene:GSPATT00016283001 transcript:CAK81457 MNITQLELFNQACHQYKGMVISSDFIQTALLSRYQITPAEFLRPFLPRKVQRLKLGLREK DKELNIKLLDMKEYDQIGNVKLDKYSRLLLEDTQFLEQVQISRNCSKQLLDQICDQNKMA GFQQLQQVVGDCLGFQYHYNFLDHPIVLFYVVSGQEKNLIQEIHKIRSDSNSLIKMIFKN NLSDLEMVSKVIIILNDAQEVVQYESLDLIFKEFSKFRAYTLKYNLPNPIEQTHWSRFAY QRYNYEGEVPKHPLGILDEQWTKIYRQVIEEVILQQALIILESQINKQTQLYQEMKQKTF DTFLTSIFNTRTGQSTEIEYKMSQKEQLLRFLGDNHFLMNDYESAVTYYKGLITELKNNK TNTTIATLYATEYYLYSRLLASQKIDNLKNIFDEIEVGYHKFIQFPYMLRVMFFYIITIH MFGKYSKEQIYFLQRFHRYFKDLGSTTKKDYFVVYQILVYEQIAFIHLRLDPPEFRKFAH NLTLVANKYESENFKKHALRCFKIVEQLYQQSKWVPLLFWLEGHIGANCLENHKVQEAID SFKLAFNQLNERQTLELHNQLVVDWKKAYQSIEQLQDFRRKYFTQTNTNLKIPIVKGPVD MLTHGQDVLDSIVLTNIKQKFKNLWNTVSKNESFFEDASIRSFDSIYLEERIACFNKTPC ILNADKISDVIDKINKFGRQCFAEDIITLRFFINLPVKVPSYINSLQVMYQFYTLKENLL DLEAGNEKDYVLTKINTLEIKDIPFQRAVQEYLEVSITPPSAGYLIITGLQWNFINIPAQ ILINYDAKDQSKKKSVNFYNKFKVFPKFIPIDIEYYTKPVIAYGEIRPILFKFTNKNPEE IVIHITPIIPYHFGFEEKKIILSAFQVLEYQFYLRCSFQEEFVGQLLFKYQQGQSGGIRI QKLEIPMKVDPSFKISLQTDLIVDYWKFTLQVQDYFGGKGNLRFDRILCLNENWKYFDIP YQSSGDPFLLTTFKLKKIQTKDYRYEEYQNRLKERFYREGDNFNEIILGDQDIPADVALD FVNLDIQHYFSVFYQKLQNQKMEEFPLFIIARWTYRYEDEIVYGLHELPVLQQNYLHRDV KEFPMSFSIIAPFEVEHQGMITMVPIKISFKNTKYVESISFTVTLFNGDEPLKSINDIQQ PFFLWEGILQHQITLKPQEMKVLTLEGVFTEKGVYDLSRFRLTMNNKQQSEYYSIDHETV IIKII >CAK81458 pep:novel supercontig:GCA_000165425.1:CT868407:160564:161244:1 gene:GSPATT00016284001 transcript:CAK81458 MSKKGGKKKGGDDGEREEEEKVMVLEHKCRALQTRFVEEQERGDKAKAAENEIRARVMEM ENDLKKEKDKLFCIVSDMTRQYKQMQEELLKQITDLRSTDVEKEEIIKNKEQYIQDMVKD YEYRLKKKDDEISELKRKIEEMSAEFARMLKDTLDKMQERIQLAQWDNDTDPQIMKKIKD IAGMQTHQQN >CAK81459 pep:novel supercontig:GCA_000165425.1:CT868407:161293:161894:1 gene:GSPATT00016285001 transcript:CAK81459 MNANPLNANIDYRSLPQGNYPARNIDRNTTQQSPQRHPKREFDYRLIQPKIQNLNASSHS LSRLRSDTPITIRGQNYRYVGQMNGNQKEGIGSYFTNELEYEGEWRNNVYHGFGKFYQEG KLSYQGQFVNGLKNGAGIEYYENDSYFVGNFEKNQKNGIGTLYKKNDQVSGIWHNDKLVE KY >CAK81460 pep:novel supercontig:GCA_000165425.1:CT868407:161921:162904:1 gene:GSPATT00016286001 transcript:CAK81460 MDIPKQLLVFVNPASGKGHALREWNKAKPILDKFNVKYQVIMTQYQNHCHDYLLKEDLSN VYGVVLVSGDGLPHEAINALYERPDWEQISQSITIGVLPGGSGNAFAKTLTKISQLECNS ESCALLIAKGITRQMDLILLEMPQKKVVSFLSLAYAFISEVDLGSESLRFLGGARFDVYG TWRAMFQKKYEAKYNNQQMIFRYFLAQKIPYISDNYLSAPAAKIDDGMIDVQYLEAGDWS QLVKLSIKQYDGSHVEIDEQGNIKSKGNWKYEKVKEYTFEPITNCPLSIDGERYPPQPVK AKVLSKILKVFCY >CAK81461 pep:novel supercontig:GCA_000165425.1:CT868407:163233:164521:1 gene:GSPATT00016287001 transcript:CAK81461 MNLTIDGKQKVNQFLRLLKIFSYPLIICDCEKMQFSEMPENQSFNEFNKTDSPAFFAQLD NDFFTQFQTQIRENHLLFTQPHFSNIELQQEVSILNSQLKYICSYIPTDIIYKSIKPLIK SQIKQLKLSFAYMISDSYQEDKKIQLQFMIATYSEDGYFTIMKPISQLTPRIFDLPLLQN KVISFNYLSCKTILTQMKSHSIQLNFSSDNIQFNPISFDSELVEIPSNTLSHYDQAFIEN VYGELQIELIYSRTYIPIFNFSQKYKLDCQIYINPSKQFNNKNAIFYFVYNQQYMMLCSR QQAIVNNQSKPQAKIFHNPDLIKEIQLINSSIKQNKADLQYPTTHHKNTSIYIYDNPPLK MVCSDVMDSNTKIYHQQEPLLFVPPKVTGSISQAIQDFMTRQSCQQLNATSQKYSKSFQN >CAK81462 pep:novel supercontig:GCA_000165425.1:CT868407:164587:164838:-1 gene:GSPATT00016288001 transcript:CAK81462 MPNTSINDIANQKKSVQFSEQPTVHIVENWKEFNYTPKEIKNKNRQMKIEKIVIRTDFEN YMMEKYNKVKSALKELANSCSFI >CAK81463 pep:novel supercontig:GCA_000165425.1:CT868407:164979:165254:-1 gene:GSPATT00016289001 transcript:CAK81463 MLSEDNCHLFDQHRQSMKTHLFGPERSSIQVVAKQLEDDLKKKQSVSFKDEDDIFIVENW KEYNVDVSSSNFLQKQKQKSNSQCFNICTTF >CAK81464 pep:novel supercontig:GCA_000165425.1:CT868407:166271:167802:-1 gene:GSPATT00016290001 transcript:CAK81464 MGKKIKKGKEGPVKEYVSRTKALKKLQVNLKDFRRLCILKGIYPREPPQKLRKQHKTYYH NKDIAFLKNESILDTFRQRQAHLKKIRKALTRGDKLKAGRLRRNQPKDKLDHLVKERYPT FIDALNDLDDPLCLVSLFASFPTHKELHIPNTLIRGCQKLLSQFLLYVSANNCLRKVFLS IKGIYYQAVVQGATITWIMPYPIQASLPLDVDYKIMMTFLEFYQVLMKFVNFKLLGQDIE ITDFSEEVKKLKSVSQNNQIEIDEAFKEDPTIQQMDQQQQETKKYSELFKGLTFFLYPEV PKTSLEFVILSFGGEVMWENDNKNSQLTDPKITHIITERQIQKNKKREYIQPQWVYDSIN QLKLLSVADYAPESTLPPHLSPFEQLNLEEVQSESEEEDGQQKEHKPYQNNKKQKEKQAK QQEKETKKLAETMLSRKNKRLYDMIKRKEDEEKQKKNKLQEKKKMIQSKQQ >CAK81465 pep:novel supercontig:GCA_000165425.1:CT868407:167831:168409:1 gene:GSPATT00016291001 transcript:CAK81465 MMYKENRMNIDKIGSKIKEWEQLSQHFLDFDIIITLILRLQSFNVNKQLSDLTHRSKHQD LIENYHKLKCHENDYSMRYLLLQPYYNIFIIDPQLMIGNMICRQNNNIIPKKQKIRELYF CLLIPIVPMATLKIQSRELIMAIVNVTVVNLFKPSSLIAFTINKNTPPNQ >CAK81466 pep:novel supercontig:GCA_000165425.1:CT868407:168427:168720:-1 gene:GSPATT00016292001 transcript:CAK81466 MSKENQNPSIQAEQIDIIREKPRFNCQPEQNYQNDQYFLFLLNNFSFRNELQNMKACKQE PIFFEVPKVEQKLSLFDIMVVKQIESKQLGKLYTLYL >CAK81467 pep:novel supercontig:GCA_000165425.1:CT868407:168793:169843:-1 gene:GSPATT00016293001 transcript:CAK81467 MGTCGGICGQSNPENTIVKIQQVNMGDIDNQIDMGLQMGDEGVPITHQQRVEETVNQPNS NNENQVYETQKRLSFKFDEIKTQILQESVLDKCEPVTLESGAIYTGQWQKEQRHGWGKQI WPDQSVYEGEWVNDKACGKGKLIHADGDVYEGEWINDKANGFGRYYRSNGATYEGEWKDD KQHGYGEEQWPDGSKYKGQYEDGKKHGQGLLQFVDGSFYNGEFNQNDIHRKGSRYVWADK REYEGEWNNNKMHGIGVTKWPDGKIYDGEYVDDKKDGFGTFIWPDGRKYVGQWVDGKQHG RGIFTKVSGESRQGEWVDGKRIRWMELEEQQTN >CAK81468 pep:novel supercontig:GCA_000165425.1:CT868407:169936:172394:-1 gene:GSPATT00016294001 transcript:CAK81468 MQQKQIDWFKLSDLNHLISNKGYRFAKHHNLITSLEKQISFESPDEYEEKMFPLFQNENY GGLVKEMTFHKKIFLTQSSYPLKFSVMIDEEEQPNFHTGIRLQVMKQVKDEKNKINSWKY QRYGQYLTFKKSKTFIGGTFIRQKDGQMYQTLCLYIKKEKQEFIILPFTNDVRNNFEYTQ LKGRDGIINLKRKQFIVNFIPLSSPKVYLNEAKAIFRLYSCSFYQLILKPSTKNNQQKLT QFGKNAKQMFQRSKQNINFTRHFDKSQLEAIESAMNFEQKFTLIEGPPGTGKTQTILGIL SIFQSLLKESRNEDQKDVILILGKSNGIVNDLVRKINKSIETPNSIIYCSEEQPQSLKVL RFGRPDLCENDIARYSAEIRSQQEFFSQFKDEVTKIFEKYITINIIQELQSEQIQDFSSF LMEIDESNNNLTNGPPQISLVQLMKYIEYLNFRIKKSVLTLKAFGHVFNDLHELLKSKQN KYLEIQEGIFKYRHIIASTLNSCNNNKLQNALQNVNLRMCIIDEAPTALEPSQLIPFIEY NNIEKIVLVGDTKQLNPIVIAKESENNHFNRSLFERMLNCIQSKKLTEQYRQMSNLAEIT SKIFYSNSLKKSKIQMQIPAYIEAKISPNKNSFFFNTPYNTEELKDSSFRNVLECEAIIQ LVKYILSDEIKSKTNKIISIISPYQMQKELLRLRLKDWNLLNYVEVDTVDSFQGKENEIV ILSLVRSKDSIGFLYDQRRANVALSRAKYCQYVFGTESTFNQQGHKSFWRQIIQLYQNQS RIIDYDEESLRNPSFFQQKLNG >CAK81469 pep:novel supercontig:GCA_000165425.1:CT868407:172656:173044:1 gene:GSPATT00016295001 transcript:CAK81469 MRLLLVLLLIGIISATMAPPITSNDKKWVRSTAENDSCHKECKKSDGHVCAGLHKTQCCQ KHWCIQDEKLHDVWNCRNGFEIHVDSCNSFPKRDAGFLQEDY >CAK81470 pep:novel supercontig:GCA_000165425.1:CT868407:173065:174507:-1 gene:GSPATT00016296001 transcript:CAK81470 MQNNITLSVDYKIPIPIQHDKQRLLITLLTNEEIIVQVYQSIDEERAKFNSQFTIINLKL NQTNQYQFKDEVFQINIIDEQYLSFLAYEKDNLMFLNYRNGEFSNKYKGCLYQLLFHPQV DLQIKEYRDLYFNQALTYCINRNSVIALVCDFRVTNKKEVLVTILKNNQILQIYSFFREN GRLGKMMLFCLDQDFIIAQSIVEAQQLNFIILNEEGYKEYSVKLTINEDTSIVKIFYRKE NIIFLLNENNFGHPWVVIVDSHTLLLKKCIELTLKIGENQFAVILDVKIHQESQAFLLNC QQLFIYYEMNIAGMYNEQFLQAVDFTDDFQKFIIKDYIQYPETSVANIVASDCGFVTVPR AVEIGTIQDNYLEFDVSPISIQKGDTIEQKIKKLNQESQLVYYNKLPNVLCYAYHFMEKR GLFTYQSDNDFQYIAGEIIKKMKSKKTNNQIQIE >CAK81471 pep:novel supercontig:GCA_000165425.1:CT868407:174533:175072:-1 gene:GSPATT00016297001 transcript:CAK81471 MNFNRQELLNLQNRIFNRPRRLNSVYVAPTLDDIGILAELPNNKVSNLGFKQQSALRTQL KKYRNLFLYDKKLFRNRRESRDFKENAENKGSIHHINSSKQSSLPLWEDDMKITKLSIMK SEILRSKIPDIPKHINNSQSNCPRRLSHINPEPIQFKNLVVLPSIRGWDNNNQNSQRSN >CAK81472 pep:novel supercontig:GCA_000165425.1:CT868407:175117:175543:-1 gene:GSPATT00016298001 transcript:CAK81472 MLNKALTYCLQDDDPIRISELIGVREYQKQPKLIQSTFVTSSDLKQMIHNQKRENSIQSY RKIQHFDADLKPINRTFDFRLPLNQNPCRKYVNVKYVPEPTKLNQPKLYFGIKHQQFKIK IKMQGKRLEDMF >CAK81473 pep:novel supercontig:GCA_000165425.1:CT868407:175860:179136:-1 gene:GSPATT00016299001 transcript:CAK81473 MFNEELGVIDYEVESDESIQLIQNPQDIVIPTPIQEQKQQTQQQIVQQPQPQAEQSNQEN TEDIERQQLEEEQMLRRPYALRWKKKSIKKLEKITSKMTDQDLDEIKDVLIKKVAISDSK IMNNNNNNNNNNNNDVIISGSILKNPQTTLNQVQKQKQFQVLVEHFANKPDFLEAIIAQD LEQENANQDGGILKKPMIVPPKAGLKKQQGKKRANVTFEDQSQPQNGGIQFIKTSVLPQR QPPPPPQPQQQIQNILSKFQEIREKSVAPTQSQQTTAIQEVSDVPLGTGAWYPNKQDRKS QPFIPPHAGSNPKLLPTVSSVQMISTSQAAQQSINHKFLGMNQNQSRFSNSPPRVRDMIT QQYTSSYQVDRPPIMPSRQQPIFQQQILEKNITYELNQVKKNKEQLSSHQQSQLRESQIK LQAKLQESVKRQSVAQPTIEENDSDFLDEVMDSQAFIDFKKAGNLICGTQQSIQQQHWQE INFNTDIPKDYYMNEKFRVDVGEDIEICDPYELFKLYFDQSIFKYICQISNKRQCIRIDE DILESFITALIYIFYIQLLGMREIKRVRFDHIIDYVTFGHICKEIRIDELEDYSFIFEKI SKNFKNNYQPEEFLTLDSPIFYQNHSVEGLISLSDGMKGYVLDFIYGIKDQKILQSLQKY QGKHHKLYLGPDVSSLNLISQLKKKQFGSLAKLVDKQTQLTQEQIQEVKQNAQKGKSTQF LSSDNQTIMLIYAERQQHIQQVEGFVSSFADFTRLKPQDTKIKSDVNKPIILYLYDKIKT QYDKRGKTYQYSQIPHQDANQHLEILVQLVYSSIYNANILNKTKNQQTTLAPEKAKQMYL EFVRQLLHSLYVRSFKRRGINQFPQNHTLESGDTGTFSCIECGESSQTICRECSNHFQML IPVCRSKNEQCLKSHIEMLVNQPKITNPNRKLTNKQAIVKFEFYRSQIEASRDANAKSAL PVIDDTLQQLDSLDPDEELSIGIQTLLVSLSDLIQKMFQQPNILVPQQKTQQNRQEIYSL SQVDPPIKSAQQLSKSISQVYNYQQEAVQSSQVVPQLIKTKIGEQKSIEPAIQKLQARVA KINK >CAK81474 pep:novel supercontig:GCA_000165425.1:CT868407:179252:181449:-1 gene:GSPATT00016300001 transcript:CAK81474 MSVMSQNNDASMKQRDNNRQQITSLNQLSNMYGKSKPGELAQSLVYGDKPKKENNRPSKQ MQTTVSEKPNQWQTRTVAVSPSKFTVLAQQLYNHIPDTLEAQKSQRAFHVKDQKLLLSPE RLHSLPNQNGDKTPEVCNRLLEYKTFVSDKKQTLKDQLDAEYLSQCSFQPQIDEKSRRMA IKATNQEVRSADEFHEQQMRHLQKVNEKREILQKQQEEDEKAELSSPKLTVKTQKLTLKY CEQPLVERLHEVKSKTQTLPDSTLGLSFKPQISKKSKEIKREESVQDFLYNDAKNRLEQK KQTETMIPQSVISPTDKSQSWFVQRFIKEFYYQLSGLDRVQETKDYSEIYQDDFQKILEG LGCGQCDMLWNELNNGFYVLSRNLLVILLAIQAVPQHLIEIPLYKPKVEENIQVKSKYQC DENGNLILSVGDCQELHKMFYKLYLNTKQQNGNGVRRRMARSPQPDIRCGSPKISDRTKQ LAEAKRQDSSLFEWFTQQEEKKKQGLEELKKQVDDERMKECKSIQREITIDLHQLAKPLQ KQEDRTTVDVEFDNQQQHCTFQPQISKPASKTQASNYASQEMEKQAARMKEARLRQKTLA NLKNKGTTTNNTIRRKEKCLTENVLNNEPKQKKNKSRPISDKTTPMLYVDVKIEDGKSAR IVVFEGDTSVSLANKFAKEHKLDENMKEKLKDLLDQQINSYLMKIEEEQEQEDD >CAK81475 pep:novel supercontig:GCA_000165425.1:CT868407:181472:182795:-1 gene:GSPATT00016301001 transcript:CAK81475 MIDQEFPPTIENVGKKKSLAWKAFNDFPLFVEQSSQFPKQGKLGNCYWIAPLVAVYAAKP QQIKSLFQSHDSDFTTVRFCIDGDWQQITVDHHFPYNEEKQKVAYSKLVDGALWAMILEK AWAKLYGSYQQISAGFNRTTFKVLTGAPTNKFRTRDPNFKEIINEIGALHFPVGANSKKQ QIDNVFATNHAYSILKIDDDQIIVRNPWGLKNRDQHTLTFEEFKQRFASIECCYHFDGYQ YQSEKVTSDVLEPVYFEIEIKAPGNYFFTVNQKNKRWFRNKPHLKYVISPCQIQMIYGDT ILNQQHHQSNKEVWINWNFNRPCKIYIICQIEWQNIAVNEFIFNTYGPDFNQKRVHEIIQ SNEDINNLGQIQFLQRDSEKEQEEEEKQNQNQQVEIQQIENAQVISPPPQQKERKSCGQC TIY >CAK81476 pep:novel supercontig:GCA_000165425.1:CT868407:182803:185523:1 gene:GSPATT00016302001 transcript:CAK81476 MNNFKTSTKSDKIKVLNVAEKPSVARSIANVLSKDHRIEETKSPFNKLFKLDYRLKDVEV EMWITSVTGHLKSLKYPQKYQSWEKWDPIIILKEAEIENNISTDKQNLELNLKNFASICN RLILWLDCDREGENIAFEVMEVCREANPNIQVNRAHFSAVTYVDVRRALDTLKYPDQNLS NSVIARQEIDLRIGASFTRFQTLLLQKQFNLSSIVSYGPCQIPTLGFVVQRQKEIDSFVK EQFWFIQSEDDQKCIYNWDRTHLFDEFIVALLFERCYQESATVINVQQKDVQKWKPYPLC TIEFEKLASKKLRISAHKAMELAERLYNKGYISYPRTETNKFPPTINLQTIIRDQQNHPV WGEYATNLLNFAFEYPKAGNKDDKAHPPIHPVKMMIENEAQNKQEWDVYQLITRHFLACC SKNAKGSETTITLQINDETFSKSGLVIKEKNYLEIYIYEEWSQSQVPNYQQGDSIKIKLT LQKGSTSPPKPMTEAELIGLMDKNGIGTDATIHEHINTIQDREYATKRGQIIKPTKIGLA LVESYEILGFTLHQPFLRAVMEQRMNEVALGKKEKSQIVQATINEMTIILKQLQEKQNLI IKTFGSYLEALKNYDEDNDNDDNNNQPRIPLDEGEETTQNQPKANKKTRNQRNKKSDELP KNSILPPSICQFCNSLCVTNKQLNGKLIQICPKLCQIQEDINQNGHHQSTQNKDNCFQCN LCQNFMKIRYSKIKKTNFLGCSSYPQCNQAIFLPDTVKSIKLIDKKCTKCGSPLFSLQFN ENYNQVHQASFFCLFPGCQFIIKTDWKQNKDSNQTSFVGKQQNKVENTGKYPFNPHQQNK NFYNKEKSNNQQKFPYQKN >CAK81477 pep:novel supercontig:GCA_000165425.1:CT868407:187118:187759:1 gene:GSPATT00016303001 transcript:CAK81477 MSIDWKYDMSMGEVIDFLENNSLSKQQYKDVILSYHIQRLNQNKIIKSTTQTSGQKQQHL VTTLSQKIADAIKSHQRIFNDQFFHTEAMFQQILTQNVQQLNESMEKVSTQLLNLAQSKS INSTLSILEKTQQFEKYDSTISGISDVQSELNQLEKFLSEYKLRFKELTDKLRNHQNKFN DERKNNKTQQEQLLKNILDISNQQQ >CAK81478 pep:novel supercontig:GCA_000165425.1:CT868407:188884:190344:1 gene:GSPATT00016304001 transcript:CAK81478 MKCIGNYQLGKTIGSGTFGKVKLAVHIPTQQTVAIKIMNKSRMVDIVDIERVQRELHILK IVRHPNIIMLYEVFETTKYIFIVMEYCQKELFSYIVKNKKIPEVNACALFQQLLSGIEYI HKLKIVHRDIKPENLLIKGRIKIVDFGLSNTYDDLLKTACGSPCYAAPEMISGKLYSGLK ADIWSSGVVLFVMLCGYLPFEDANTNQLYKKILSANYKVPNFLSSDAVDVLKLILNPDPE DRPNIDQIRKHPWFNLYKTSFQIKQGILIGQHKIPIDNNVVNKVEQLGYTKKYIYQCLIS NQHNDATTAYYLFLDQIIQSGGQTCADIASDQFQAQLIELNSQTAKSEIKINMTIEDEKQ DTITMNNTTSINSQQIQQQAQMTPKNLSSRRSNKTERYPMFDRLMNKIEKMPSNIRSESE QQKTLENAKNECQSNKGSKSISLSDYYSDKLNKELPLPTIHQQIKRKKPKIRTNLLTIQQ VYGVRK >CAK81479 pep:novel supercontig:GCA_000165425.1:CT868407:190348:199174:-1 gene:GSPATT00016305001 transcript:CAK81479 MEIIKIGSIVSISHLQDDDALIGGDGFIKNAVILKGMSPQNKLDTKDFNISYFSQMNMPN CLFVICPRTSNGKKVEVAKLFPQRKKKPFGSISDDVTDQKSEVTPVKSQIKSATHSVQSL LSEKQVRDNQMNLFNEFKFNVDGFEKEKGNYVKYEEPIQLLHLASSKWLCSLSDEAKFEN QNFKLALSDYTSDETLFKIVATYKYQKEGDQIVYSQEIVRIMRMIPFLGKPTFLHCSGEI PQKAIKEYKDSSEVKIQKREINASLEESTSWKINLFSEELPIDGDLVWIHHSETCSQLAV DYERDLNKAKLSVFQTDLSELSFDNYSGNAYSLWIIEDEDYKKGGPYLYSNNYRLKHFHT GLYLACVRVIPKEQEDIKIDPYGKKKVIIPKSKKVPATFALQVRPNKDALFKFEPLKSVE KNEIQSDFIQIKSVTQGKYLDVISKYHQDGSTFKPIILNQKNEHAVFKIFRANKQETQEV LFLDSCTKQLRKYQFYINLPFKFEQNQVFQQLSDDVDLLKIKTKKLATTQRCIQNLDDFL RQKIYNSSPSQKYGFISSKRQKLLKDQHFFDVLLQILTATVTKQELETWANKKGALKAME QPSMTEKNQRKQLQSISEKEKLELSNSKIEVCNLIFMLLQTSVINNTSNQLYLFERLPDY QHYCKYLPQTINFLIEMVKCNEKILINLCNNLKIEFDYIKKQEIEDDLKNKVKVLINFYE KDVGIQQEVSIPVKVHDRIITRPINIIQYFFNLMIDDTAKNNEHYLRFLRHLCRYKNNAI SINQENLFKLYKKYSKQTKLLHIETNNGCIIDKEISFQYELLQFFSEVSYGRNYLWQAEL SPLFEKEILINQIWNPSQVEGNQIDDKLRSFWCRLSRTLYVDQQPFQYLIVPNYCRLYSP YQDQLKNRNDEEIEKQFKLKKMFKYIKTKRSEVYEAIANRASSDLLNDELVYNAADQILT MLQLDIMPSKYYNKIIKYMSEMFIYDRKNLDYLNAAVKSYQQTVNERKGKKSIVINQFGN VFNGMKDLIQLNQEDKEEVGEEEEEEEINLYDQPKLFLNPIMKGFMSMSNQLEGMITLPK SQQEIEFKLKVCSILDHFLDRRQNFLISNILLFFLKYQYTNDDLSDEDDDQTIKAAPKIF NNNYKTLIPTIARTGIDEIDEPEDTTQDFLQMAVKKPQTFTNYFQQYSIKSEEEFQELDY YLSQAQKFNNNERLSEDQLKEKQVASLLPYLISTYFLVKDQVLEERCLNVIMRVFNQREE LRENMKKLQILFDDDNIKIYEFVEHRQRDLRVMVEKTEIWLTQFKAGYDVEELDKCTQNI RQLTLCMRQGVQVNDQELVVEVVNKEIYDSEKQSVMTYLNIHEIIVNLIQNGMAHFIQVL NDEFINIERKEQLLQLFSIAFKFLICYCTNNKGNQLILYQYLEIYLQYIQYDIGQCKLLI EIFKDNPRLLNTGVKSLVSRLTEIIEREGRQVKFIEIFINLISIGGQYLLENQILILNTF LPMRELKETEQRLLYAEGSQQKDLRFYFEDQFLDSEENLLHQLEAIDWKDCYRDEPFLYH SKILDLLLQTSLIDNTVREYNPSLMPTDSFNISVFKLKKLIKPSYLLEILRLDDDMVSIK QRLTRMPTQFIKAQANQQQEELFNKRKGYTSLKPIVCEFLRLVHIVSEKNNAQEGLLSQS SRQFIHFVEFESKKLEVVAAGTDYQNCYIDYIIGQVIPLILCYHQRVLSVQQEDVKTALI EFSSQFAQALCSTFYSRLTKYEELEIISKLREYYQNEYYEPAFRQEGEQSILHLYHVQFQ LEDGDDLSKPIQEQMVQSIPKKSQTKSKNLLSQFASLNLSLVNNKTSKHKRGELTPIKLQ EQWKKFVEEMFRSQKLEEEIDIEKQALADAIIGIEKLIKETCEIKPSLESILKKFITFLQ TAVLQQDNKGTIVILLKIMSKIIEKQDSDEKKEYYQKLFDNLGATRMVLNVITDYSKLLS NEMLFYLISFINVLLRNGNTQVQNTIYTFCQTQQKSEVMFSTFAKYLEIAATSGRDMNED QEEAEIQKKIVNCILKFFQNCTEGHYQNMQNYIRYQHNSRASKDLINLVADLFKNYDRTK QNFSNLMCCLDTLNELVQGPCSENQIAVADSKFFDVVQEMFPLRKNVAVQQKAIKVAATK KMSVLPSSSASNLLSRGMKAQLQNKILILVLSLLENREIRGKNSIIKRIMRVLPMNVLER HLSKIYKKWFNKYTGDYNMQAFELLKIDPMDLDEESQEFKDCEIIIQNGFYIMFLICYYM ESDEEIDSVFMGYNKQYLKSQIQQNSLFDPNSTLGQLFALGMEVYEMSLLKTQQMFNELN KARKDDPEFILEQQKRKQEEKKKRLKKAFMFFRARTAHIEVVRDDQIELVFFPLLPFSKL NKDEKQSFQQTVDRSSAKSKVQDLMEKSPELIQIMRHEEEMNRFYKQYKLIGFFANYIQL WKDLAFYLTLIINTMIIASFSHQSDPDRPKEDVSNEALNEYIFFRKDEWTQAQTKELISA LGYAMMVCSLFVVLFVLARIAPLIIKKALQRKPLIEGQGMIKLLLNWLAKFFFVLFYCLQ DFQLVYYLGYGALSVIGTLVHPFFFCFHLTVILIRYPTLSNVVRAVTMPWQQLVLTLLLI IIITYIFTLIAFYALQEPFGLDCKEVSICFLQIFDKNFKTPGGIGGDITNNNPSPTYEIF RYLYDQINNLLLVIIMVSIASGIIIDTFGQLREDENKMNSDIKDKCFICGQENIIFERSS DGSSGGFKNHIRQNHYMWNYLYYIAYLQWKDSQDYSGIESYVDKKIKDTDLSWIPFGRAR ELDKGEDEQEKQIKQMEQSSSNIASLLTHTNDIIQALKEKKEKRKQHFTQQNQTTQQKEQ IVSQSSIALL >CAK81480 pep:novel supercontig:GCA_000165425.1:CT868407:199562:199750:-1 gene:GSPATT00016306001 transcript:CAK81480 MEVTNAQFTTVIDLRSALTSAGVAVDLVEQTVAVAETVRGDFVTA >CAK81481 pep:novel supercontig:GCA_000165425.1:CT868407:199768:200108:-1 gene:GSPATT00016307001 transcript:CAK81481 MIISRDNNLFHFKLSLIYWVQNQLKYPNNSLIKSPETLKRHSLNKLGGEAAVTAVTPQFY ANIQADATVSNFFNGINMADQKNKQASFYALLLENQRLWEAET >CAK81482 pep:novel supercontig:GCA_000165425.1:CT868407:200619:201825:1 gene:GSPATT00016308001 transcript:CAK81482 MGNCQACMNDPQNLNEYGTHQNAKQTSLHSIVRRSQPFSKASLAEIVNTSQHQLRTSQKP SINIQKEASLSMVGNGVDIQKQKTNSEQLISVHCPIAKLAQPWGRPAGELQSLIGPKVKM VLSKLKEYQYTRGDDPEAVYLEPQIFEQGMVYDGQWKYGLRQGRGRQVWKEGSYYEGYWK NSCAHGHGRLIHADGDYYEGEWDNDKAHGQGKYVHADGASYEGSWKDDKQDGLGHEIWPD GTSYKGAYIQSKKEGHGIFKWPDGSYYEGEFLDNAIHGMGTYVWGDGRTYIGQWRQNKMH GLGEFKWADGRRYKGSYQNDKKQGYGTFDWPDGSKYVGEWHDGKQHGLGSVVDEKGEEHR GRWDNGTLLEWITQKD >CAK81483 pep:novel supercontig:GCA_000165425.1:CT868407:201859:203706:1 gene:GSPATT00016309001 transcript:CAK81483 MAFVYRSENRGTYIPKTEQVGPGQYDHIPEIESRSNQHPFNSTVDRIHKSNQLHPIPGPG TYNLSGSFECQKVIFQSDEQEIKILEVPKPISVFRSTTVRFKDDRFEGPGPTQYFQEERK KFHSAGQVNRSKKLNILEQLVKDNKYISIPSIPSNVHQGYIENKDNQLEQNPVDSSNDVG PGSYDFKSTFNNTKPRGVSWHKPRSQDKKDYKSPIGPGYYDVSSQSQPMYQMKPTTAFSS KQSRNSDFRFQNLKSNTGYIKKGLDNKQYSFHQSTNPATTARETDADSEYSYIEDATPGP GYYENASTQQTISQFLNQSQIKGSIKTRTKRFQTKSQHTPGPGSYQLEIAAQRYKGAQPP FLISKSRFEEQISETPAPGQYKASNTMEQKLIQKLMKAPLGQFGVNENRFKEQKLLVPGP GAYEVNKIDDEKKDRKLPEQKGTFAFMSHAPKSQDLSIPNINPAPGAYNVNQHTISNKII KPEEEDPKLAVVKPPFGVGAERWKIKEANEDEEEDEPFYLEKSAQNQLGIFKKKKKDPPP FMCKQERFSKSVPKNIIPGPMDYADGMFPNWNKRTFNIIFAEI >CAK81484 pep:novel supercontig:GCA_000165425.1:CT868407:203723:204797:1 gene:GSPATT00016310001 transcript:CAK81484 MFNFFQSICCFSNREKPEKSNRPIQKPAQTLSIQPVIQEAMTNQAQQNSIIETDQYLQLD NDYYMEQMDFQSSSDPPSTQLPSGFILQDEVISNQPMQEENESNIKKEQTHTKKKRILKL WDANEDAQLRIQYEKHNGKWNEIAKHMPGRNVSQCCQRWRRLQPVKIIKRKQWTQNEDEK ILQLVQQHGKNWKLIALHFPGILSKQIRERYINKIDPDINTGPWTEVEDATIIRLYQDYG GKWSLISSHLKGRPENMVKNRFYCYIRRVHLGVQNPYQIVYQENSDSELSSNDDMEFE >CAK81485 pep:novel supercontig:GCA_000165425.1:CT868407:205154:206565:1 gene:GSPATT00016311001 transcript:CAK81485 MFQDIKVSNYNRTIEMYAIVDDRENNNLITSDYILKRIIKSFIHKLNDDDKQLAIKYFLQ YFPYNSTLFQIIKEQDAPKSLIKKINYCNDYYGLKQNQKVYEQNEKLQLILFDEDYHKLI KLFDEKEFLENYQIPTLFRQHKHIIEKIKNHSFKLIDLIEQCYDQSFQLNSTILSHLELQ ISSKEQMELYLLLGEILCECCMQFEYHIELLQFQQQNQNKVKTLSEIPQLLLSPDLEMLK IQMQQVFQIQQFCQKNRQNSINKKKISDKCGLIINRKLLDKNDQIFNFEEIDILIQQQLP VIFELQVIFYQICFYCMAHFNQYYEKYMLASNKVERYKELNLYIQEKSKYNLQQFNQMQF KSYEQYSNEQISNNGEWKTLISQSLDEMLQRIIEDDFHYLNKRLHIIHLNEQNDQRAKIF NLLTEIPVYVKRQVQMISGNYKELKINQIIFLQKLQHLQHPY >CAK81486 pep:novel supercontig:GCA_000165425.1:CT868407:207308:208120:1 gene:GSPATT00016312001 transcript:CAK81486 MHIKYQLIFQKVNYFNNPQFHPEIFELLLKSDIYPRNLNISLEEFNIASTLLSRADQLGL VNNWIKDAKDSINLKKLIPFFFNIIKRGESSKNFLSIVNQQLMSSKELQEKYGYFNQKSI FQIFYTNANYELKIILLKLISKSYPIPLLYKTPSKKKKKDLNKLTFNKNTFYVFQENFPI INLSLDPEQQRIGKTELINKISFQQKKFEILDSNQLNNQTIDIMYDFEFSGSRNLSVADA HNFIPFETLDDICECLDYGLFNQILKKKLK >CAK81487 pep:novel supercontig:GCA_000165425.1:CT868407:208294:210106:1 gene:GSPATT00016313001 transcript:CAK81487 MIDDEIEQVSKFLYDIINQKRQDFTLNQDQYFNLIWQMKEQNLELTNEMRLAQELFSDIE IELADQMKQEQGFQNQNAFPLRSIDCLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXLKIHNKEFFNNQFDYINLLDSEGLQNPYQKDYEFDKKIALFV LSISDINLNSEFVNQKDEFQPIDYNEVLGITQDNIKILGFASTEKLWKQNESDGVYENWR QLIIDGTYSEESYEYGIKVIKAYVDKFGIGDDQQGLGKQVENLKNFIQKIEIIWRSIESL HDSLQFSKQIQHQQNQFMRQQFTDIVVQQKFPHQKDFIKEVQENIQDINEKLSLEVLNTI ERQQVTRMSQEFDQIRMKIINKLTSIQNEKYNSQKYITNYIKMINDRINSEYWDMKTQQT KFQKFKGFNQIDQFIEGLLQREEELQEYKKDENKIQNKFNQIWAQILNHHIQQQEEMFKD YCDQLFQTISSELSEYLLKTTNESQYKQEYHLQLIKTPPQDEEFLTAFKILNSEVQNQQF ISIPKSNYNSNKKVEKVTIFYRQINITPKKLNYNGLQSRILKII >CAK81488 pep:novel supercontig:GCA_000165425.1:CT868407:210547:211181:1 gene:GSPATT00016314001 transcript:CAK81488 MHQKAAHNQQQNFIRKSETISSINTQVSQKKQQETIKDIFQYILDQKTEYNKQKQFENYI VTLISQLMNYNNNEGWNKMYGEIHQMILDEIIEKNVDDKKNENIKVKQYSFSLIKRIMQK IEVKITEFNLQFSDFGVILTNIGDRCLYYFAMLTIWRILCFGQYQSIESNIKELKDQTEE QYRKFKAHIQENKKEQSKIQGQT >CAK81489 pep:novel supercontig:GCA_000165425.1:CT868407:211257:211871:1 gene:GSPATT00016315001 transcript:CAK81489 MYSTESSYDLMQKFDKEILEKLDKNIPYDEIIEYIRNQSNYIEKYVQKKISKIKTEISEM LTDQLKKDLKSHLEKVNTNTKNLQNFVFDDSIAQDYFKQLNNPSEGPELLYKIVLSCLQG QVQQNFLESIKDDKRDAFQTQDFHILDCPLGLPRQKSDAEIQILLDFVQAFQKKIQDAIQ SLDTQQIAFEKLFVSADLDALQLK >CAK81490 pep:novel supercontig:GCA_000165425.1:CT868407:212297:213531:1 gene:GSPATT00016316001 transcript:CAK81490 MKVGIIGGISSYLSYLVWNNYNSNQVELYSLNNELQNEIVKNCPSLTSYRPPAFYCGFLH TVIPTISRPKEKHISFFEKLGDTGISVDFVDKGQSINSNQPLLLIIPGLTGKVQNGQAYV NSLITQAHQYGFNNIGIYTYRMLSKDADFHFVPPFQDYGIDFQGADKEYFRDDVVTGQTT RIDLPADLHYTLKYIKDKYKFKQILAIGCSYGGVQLGNYLGRFQEHSYIDAGVTVCSPHN ITDCESNISTIMDFTMTYILRRGLNINRHLFDDQKNYPQQWKPSISKAMNSLFVHQFDRY YTSQIYGYKSEVEYYKHFSSSDRFSHIKIPMLCIASKDDYAVNIKALSLDKLLSNKQTIV CLAQCGGHIGFLEGLNADSTWFPKPALEFLRHFSKEQKIEFKAI >CAK81491 pep:novel supercontig:GCA_000165425.1:CT868407:213899:214141:-1 gene:GSPATT00016317001 transcript:CAK81491 MNVGHRLKFQISVNEDEINSEIITLNQFDAALKFEDRYKLDQLGCSYSSESCQKERYRSE VSKRYLIKHFLMYQALSITY >CAK81492 pep:novel supercontig:GCA_000165425.1:CT868407:217690:219535:-1 gene:GSPATT00016318001 transcript:CAK81492 MIFLSEKEHQICYIQQVELLNYAENGQIYKLKIIQFQKQLFYLKNLQRTSIGTLMQLSYI QIILIINSSGDFVTEDIWFRIIQIIVGFQKEGNQELQKYAATKLFSQLSMPHVHKTLICI GAFIISEYSQMLVEQNKEPQKLFDIFNKHYTFSTERSRQMLLNDFVKLARKYPELRDQAI MICQIDGEHFDPDIQQRGIEYFSLLMEDDKLLIKQLLKYLPIQNKFNKIIPLQREFMEWI LHFQIRPEFKQVRKQQWLNKDYKTSLNNYKSISDQSLLKVLILWCLHLQENNLKSQTISN LNQIKVLLTAQVGKVVDKPGELVVQYKSEISGHLGKVSFQFEGTSPISNLSILDSQTNGM LYNILPIKQGDFPQVMMQVLSYDGNMTLPISSIFYEQNGQQKKHEFHLPIYTNKFVQPVD MQHDKFTKFFDDFANNLSNQNYFKLDTFIRNTTPSNILMTEVLRKTGGLLSVGLNLKAQP FPSLESLQMIWACGQLIIKPPEQNNILNLPIKVVISSLDQSTEFLRMGIRCGGNGEIAKN YLKLIMLYLG >CAK81493 pep:novel supercontig:GCA_000165425.1:CT868407:219543:220825:-1 gene:GSPATT00016319001 transcript:CAK81493 MRMGMIIISLLWRCQFAYLKILKINQVMSTNMRGLNTFITDIQEKRVEKELQKIRGKFTS QKGLASYQKKKYVWKLLYINILGYEVDFGLQACAFLINSSKFSEKYTGYVATSILVSEKT HDSPTQAAHSIRVDLQSAYEIIQSFALTMVGTQAPQELVNALHQDVQKLALTEPRSTFHV RKKAFACLLRMYRKYQDKFQPSQQAQVIYVQLIQRYPTLGFMTAVTSLLVGTCQLDNPSI FEDCTPKLINLLHRIAIQKDSPVDYNYYATPAPWLQVKILKALSFFSTPPPSTDSHRQLT ECLTKIIKKKNRNHGILFEAANLIITYNGAFGMELKNDILKLLGIFISVKEPNLRYLGLE TMCKFVKLAGDTQEDHLNTIFKS >CAK81494 pep:novel supercontig:GCA_000165425.1:CT868407:220860:221385:-1 gene:GSPATT00016320001 transcript:CAK81494 MIGLNNFETQLIVKNLSDTLMKLPTYLNRGGLELPLACDLRVVTKSSIFSYLKQDQQLYQ EMLNLKTPRVIGVALAQELTIQEEDKMLKIHEKQDQLIMYMKMDIDIQNSPIGVRTAKAD INKGIEVDMQREFKMRNICIIKFATIRIDQKD >CAK81495 pep:novel supercontig:GCA_000165425.1:CT868407:221923:223121:1 gene:GSPATT00016321001 transcript:CAK81495 MNNYSRDPPSKILLLVITQLSPTFPLCNDLLFEQFAKYGDIKKILIFERGKANKAFVEYY DVKHAIEARKDKLGKYLAEGEGKLTIHFSRLKNLDLEVVDKSRGTDYTQASSTNSDTMKH SNTDDPNVLRQQIESFTRTFTQTSQRNLTPARNDEINNLLNSDSDDDIDIWKQQKQQGPQ ISQDVQNMITQKPSKIIKVTSIDDRVTAKMLYNIFNKFGNVEELLYEKQMQRVFVRYQTI EFAQIAKEYLNNIQFFDQQWRISYHPLQQLQPTTISDEYMIYYNPNGPKLIVPLSKTIIL SGVIEAMEISEMMRLVAKVLEIKIVASDSLEITMMNISETLKIIAVFSDYEYKNQKLIIS IK >CAK81496 pep:novel supercontig:GCA_000165425.1:CT868407:223610:224944:-1 gene:GSPATT00016322001 transcript:CAK81496 MKNKNKLSEESSVFRNVPTATQEQNTRRNSYSVPSNNTVIQQIFHFYTKQTYAIGVNATF DRQSQESNQLNLAKFFHFCRDFNIKFLNKQELQDLFKKCATNGQNINLQQFEQLFSLLAL YRGIDLCEFYSELGFDVWLKNQKKMKLLGKPFYMKDDRQRFTKDELHYQFKLFHPDIKDE NLIKEILRQRKLKSENNKNNEREKKTKQKLQFELKFTSGTELIEKYPEKIQLIQHLQKKK VTQHISNFKPLTKLTYSLNAAPKEQIYQKKTPIVTWDALNAIVPNDDLILSLIGPYQEED SYLNQLEIVNNQSINQHQTFRQNNSNLSQKVRSMSDSNPNYARDNNQIKSERQHQPKLNY QESPRIKPRLRYNILEQSPMQVDLSNISNQVEKSAQKTKLNISILKRANEIQQLEGLKQK YLLDQILNKQLKKEQLKKSNVIHI >CAK81497 pep:novel supercontig:GCA_000165425.1:CT868407:224984:225744:1 gene:GSPATT00016323001 transcript:CAK81497 MKNIERMRMIGIYYGLTQGEEFDFDKNQNNLLIKMRHKSQTVNDRGLKKLQAVDYKKCIY NCLLIKQKFIGRGPRYIYLFKNQICIGKDFKSPFIQIPERQFQINQDIRIQWSYKKNQLK SVIFYVGGEQLEYFGTNQQLRELKQKCALYVFQVKIQDEYQAESILGQGSYATVLELSNL QTQKQFAAKCIDQQRINEKKNGYKQLLQEIETMRVLSEIKHQNILQLYELYIGNQNYYLV MEDHSCL >CAK81498 pep:novel supercontig:GCA_000165425.1:CT868407:225873:226196:1 gene:GSPATT00016324001 transcript:CAK81498 MNKDLESLVIADFGLAQSVDYHPYTYPRCGTPGFVAPEILEQDSDYAKYSALCDIQCWGD IICSVSEPLFETKDRKEQFELNRKCDINLSKFTNDLIK >CAK81499 pep:novel supercontig:GCA_000165425.1:CT868407:226221:226370:1 gene:GSPATT00016325001 transcript:CAK81499 MLSENPEFRWSANSLVKHKFFVSDDQIESEVNFYKLNNMSMYDKHKILV >CAK81500 pep:novel supercontig:GCA_000165425.1:CT868407:227001:227482:1 gene:GSPATT00016326001 transcript:CAK81500 MRMRTLLKIMNANLMNPLKDFAKKEEHNNAQNKSVLNSSYLTPLIYMLVGTKKKRSLLKT ILDQFNHSSFRIRSQIRTATPNQRRSKRTQSHMKETKILLDICPKQCFNYPIPAFKTKQF SQKEQIKYFQGFYDRDEKQFDWMSNLLQVRKIKR >CAK81501 pep:novel supercontig:GCA_000165425.1:CT868407:227588:230130:-1 gene:GSPATT00016327001 transcript:CAK81501 MKAVKMCLKEFFLQSQIQELYKNENSLNSLTLQFIQSELEQCYQNRQMAKVIVQFRISIV LQILINLVYIINTCLIYYSPEIVKYRSIYIVWMLISLAIQKISKTYWNSLINILILINSV LNVLLYAYFMKFVINTQDNIEDVLSISLISGLQQGLFSISFFLIQSNYLMQSLTITSYFL TLMGIFEQFQNNRLWSHYILLLFTCYLLRQNEKTSRLNYLLIHKTQTNLEACKKLFDETV PASIIILEEISTQDCQKNDMLNSASSQREQLHTQENRSMNVTYFNKSASIHFETNNEDTL TERLQQIEIISMDNSILHKERRKFIEKILDLQSKIQTEIQLNTYHPFDYQLISLHHKMQC QRQTKQDKLQFYDALAQGCLWDGKQCIMLILNDATDRELRLQHLKELDNYKDNLLAAVSH DLKTPLHVQTLLTNVIKTSLENKQEIYKSEIQEIINHLEDMLANQQILATMINDLIDYSQ MKTQGLRLNLTHFNLSSCVQQIRQMFKTQIELKNLSFIITDLNEKIILYSDQTRLQQILF NLISNAIKFTFSGKISLTIEITQAYETKLIQFTVQDTGLGIPTQIQNQLFKAYSTFNLGN HNQKGVGLGLVISRNLVGLLGPKPQIELISKENQGSSFIFKIYANMQDKEIEPQNSSYDQ EFPENDPSPIKQMPSFSIFQQQQRLNQKAKTLIDLSKHPKQLLSILIVDDQAFNLQALKL ILQKRLVKPFIDEALNGQEAVEKANKQQYDLIFMDINMPIMNGIEAIQHIRKLDEERSLQ LKKTIICILSGGNDDFDQKLTKRIGADMHLGKPLQMNDLTFLLEKYQLL >CAK81502 pep:novel supercontig:GCA_000165425.1:CT868407:230569:230910:1 gene:GSPATT00016328001 transcript:CAK81502 MIFDEIPYDDSNQNENLYENTSHELLLQQLKNLATVQEAKIYSTLNQDWKAQLDPQVRQW MTQVRQQYFETQKKSQKVVSNIQLESFSIDNQTADLQLDDYFQL >CAK81503 pep:novel supercontig:GCA_000165425.1:CT868407:231097:232680:1 gene:GSPATT00016329001 transcript:CAK81503 MDWRNKLELIQNKIRGQLHARKIDDLEGVYQLMAEFDKDNSGMDISNIGYLDKDEFQKFL SKIGVFLTTQELRAVYDKYDSNKDGNIAYAEFVNLIRENMSEKRINVVRSTFAFLDHQRQ GRLLLESLYRLYQAKNHPRVRTRQKTADQVTKEFVNAISKRSKDGQSISEDEFLNYYADC NATLPSEKEEYFTELLTSTWGVTSGADYVSPERLAQLEIILFEKIRQKTVTKDDEGKTAK KAFMYFDLENKGTIDIYQFAQALQKFGCVFSDKEIQALFNKYDADKSGRLCYDEICGLIA LMGSGNNANVNPVFQIARAPPSETLNRIRADLIKKGQHSVIKMATIFANSDKNKNGTLNR QEFQWAMKESGFLLTKTEYDNLFRYFDKNCDDEVSFFEFISFLRKPLTQFRADLVNQLWN RISNGQQSVTIQQLKQFYDASKSQDVTLGIKTVADATKDFNDIWKDVQAVTQQQFNEYLT DISALIESEATFEKFIRNSWK >CAK81504 pep:novel supercontig:GCA_000165425.1:CT868407:232943:233137:-1 gene:GSPATT00016330001 transcript:CAK81504 MLPIITQKKIEEAINFINSRPKPLVLYYFGTNKFHKNAILESTSSGGVCVNDCIFHLINQ ELPF >CAK81505 pep:novel supercontig:GCA_000165425.1:CT868407:233242:233559:-1 gene:GSPATT00016331001 transcript:CAK81505 METQLLKMTYQSQFQPLRNQDQLFCELVQYQEVLTHIECDIQNIYKQEAIRSISACIIIA PGTSYFVSELSGLSSQLELRIIPFSCVTLSSSSHMRRKCSCLETF >CAK81506 pep:novel supercontig:GCA_000165425.1:CT868407:233597:234896:1 gene:GSPATT00016332001 transcript:CAK81506 MFFIYFASLIYLFAAQGEEESFEDDDFLMPPPDDEGSFAHPLHRTYLEDSYCMDGTKAAA YVFEGSTDDLIMYFYSGGICIEDSTKFLKYGDYIYIDNCTHRNTTFYGTSNGYPEEFNAN QGLMGNNKYQNVHLRKAHKMFLMYCDGNMWYQQMNSQVFKGALSQMKLIPKRIILAGSGV GGWYLVNKYNELRAAIKEFYQEEVELRILLDSVIFDISRNQDIVDAYTEATKRAGITMND IFSFDALLKVDIPTFIVHSQYDWWQLEISDGFECIGKIHLDKCTPKEKKQIEKIRLSILQ QLKDLMKAKPDWGLWAISCVFNELVIWTESWNHPKFQIPMQKGGLLSDKFQDWLENRGET NVHYDIVPWPDNKPCSNIFHNGKTDPQLDEMIRNYEIKESRSKREEKYDL >CAK81507 pep:novel supercontig:GCA_000165425.1:CT868407:235114:235770:1 gene:GSPATT00016333001 transcript:CAK81507 MFIIEFNYLEQLSPIKPRIMGTNNLDEWVEKGSLARSRELTHSHRNKLKPLVPRSIERPT MGLQSNRNFIATNKIDAILKSPKQEDEELNWLTKKDYGHIPLYLSQIKDHLQQSYLEEQE NVKRQLEAQNDKLQLLSEEELKQIREGLKQRYDEVNKQYQQYTHLKKFDTVGLKRRKEQF EKELIQLEKDMDKLKKPYVFVGK >CAK81508 pep:novel supercontig:GCA_000165425.1:CT868407:235897:236121:1 gene:GSPATT00016334001 transcript:CAK81508 MIDFSSYSKIKIQIIKIKITMRIQITMKNESNDVQKDESDQDKQQQQQKYDHQKMKFSII MLLYN >CAK81509 pep:novel supercontig:GCA_000165425.1:CT868407:236396:236536:1 gene:GSPATT00016335001 transcript:CAK81509 MKNKNNLDQNYKDKFKKDNQRIEQQITKSLQLQKKQLKLIEILKRQ >CAK81510 pep:novel supercontig:GCA_000165425.1:CT868407:237162:238589:1 gene:GSPATT00016336001 transcript:CAK81510 MIKQKKKQYQNKMEQLIQNKRLEHLELLNQLERLEIQQSTKEIQIIQTITQQQKREQDIE IMAAPQLVSPKWLMNLKPTMTYECDVVTQEESELDQIVKHQLKEKIQKQKEMQQQAYLEL INELDLQSKHHAYDEKVKEDFKCTYQFMSQRKQVSIDIKYYDFLKLNPQNYVNDTIINFF LRFIENDIFKNKSLFIYNTYFCTRLLSFHAEYKQIYTQYLQNNQMLQRWTKDNIFMKQYI LFPLHLREHWAVIFVVNPLQVCEQLCNNNYQLSTDVNKNGYLIYFDSLLIQDQRIGIQIK FYLMHVYNLEHKRYTDDQIYEIVMRSTVPVYQPIVPRQTNLVDCGLYMLEYVERFLMNPY QILNNLEQDHLKWFPKVMIFIKRILIKKILNALSSGQKDYALRYQENCRMIDQQFTDSNQ YDYIDEQLLEQLQVPRLQFHLSEDYNYYYDVSPSVGV >CAK81511 pep:novel supercontig:GCA_000165425.1:CT868407:238769:239020:-1 gene:GSPATT00016337001 transcript:CAK81511 MFNIIQEQHVNREQQQMNNSQQTNLLHRLQRQKRLMFLQQLILSWPIKQINNTDLITYYQ AIIPPRQHLEENDQDYNIAQQIN >CAK81512 pep:novel supercontig:GCA_000165425.1:CT868407:239480:239800:-1 gene:GSPATT00016338001 transcript:CAK81512 MITLLTAIFLMVIQEMAKKQKDFLFDLKEGNSKSLDLSTKQDKHYIILNVRSQDDDKNSD LGEAQVKSSDDTHKRVAFYESAIFNSKIIEITLKCITSLCKGNSLW >CAK81513 pep:novel supercontig:GCA_000165425.1:CT868407:239813:240487:1 gene:GSPATT00016339001 transcript:CAK81513 MHSYLEELKQKDVIAKQINLLIQQLQQHQLTQSLVPKSHQDIAEFMVLNRLRNIDTYIQL CEMTGKCLGQARSDIKENDNQLNDQFHIQLLQFGLQRKKLEKKNSRKQQKMPIIHINTPS TEQDSCSLYNKKLKKKDKYLKLQQVMSEVQSKQSLKDRVKIEEYFQNKKLQKLLQIDGWK IIDQSPLYEPVPDSLIKQSLHHYYSYCKVVLEEFADIIALKSQS >CAK81514 pep:novel supercontig:GCA_000165425.1:CT868407:240591:240977:1 gene:GSPATT00016340001 transcript:CAK81514 MLNNQTDSELILSIDSQMENNNQQLKVNKQTDPIIEWEDQKSQSILKTNHLPITLDNSSQ DRKRFYSNNEFRKVSFDESLNTVHTYTKDNRKEIKNFETSFKQKKKVDKPELPKSKRFGN HKKRKNSF >CAK81515 pep:novel supercontig:GCA_000165425.1:CT868407:241408:243274:-1 gene:GSPATT00016341001 transcript:CAK81515 MQQNYAESLFSYFTQVANQSQTGRKIIEEIIDLFEERANLEEKYAKSLEKLVSNIAKLDE RQQYKYQVINRMYKVPIFCLKSLTSSRQYQAQSLCSQIREDLITQLKQVIQQQNGASKKL IEEAKKMEKENLLLNQEFKKSFQEYKQKKREYEQYATVLVVYNLLSEYSEKKRINQYYKV NQIKKEYSDLEQQYKQSVFEYNSSCETSKTRMQEILNVMQEQEEKRIGILQDTLIRQIIF EVSHSKNVQYDLEKITEVINEIQPKDEVNKFITNIKQDGPSLFEKTEIVHLNTFISNSLQ KFFQKEFDELLNLNNDESVNNIIASVDQGIEVLPEDQKQQETYYAAKLIHDCWKEEELSI QVFQELKKKTKDNYQQRMLVILAIQNKRLNTQFKLGTIAFQNVLKLFNSLLEICLDTFDA GTSRQLMNLSFTFYQEKIENNKMQCYFLSNEFAKHQVWETRDLWETSIIQSIYEKIKEQQ KKQRLPNQNEQIQAEKNMILTILTQMAQNMLLFNFKIGALKDIMYKFLAFFELNEEITLD LFNAIEGFENQKKINDALEKEAQFQKIQEQIEKAQQENKKD >CAK81516 pep:novel supercontig:GCA_000165425.1:CT868407:243440:244841:1 gene:GSPATT00016342001 transcript:CAK81516 MEEQLSQLKLQVDQLTEEAEYDFQKGEHYKPQITSIIKQIDSLIENEELEISKKHKIELY FLKGKASDILPEYSKFAEEALTKAMKLNPFHIDSLNTLGHILWKKKDFLAAKQCFETAIE KDPNNIKSLQYLSIVLRQVGDQKDKSANVTKSLEIAKKALTFDLKNSQSWYLVGNAYLSD YFMNPKKNNNELNLALSAYNQSEKNQTRENPDLYFNRGNIHCYFEDYQLAFNDYQKANQI DQSLTNDTLKEVQQKVLKVYDLVTNKCRITQKKLSNIVKQIPIGLREQPKGFDKPLQMCT IGDLKDGINKGVILASKSLVSYTQQNTVPAGFVIVDSKLNFASLSIYNASQEIYEKIREL TDVFIIEPEVKQINCEIDGKQISYMCIQVKDANKIYVENEKIVNQLAHSMVVNQTFEK >CAK81517 pep:novel supercontig:GCA_000165425.1:CT868407:244926:245938:1 gene:GSPATT00016343001 transcript:CAK81517 MQQGEVNLTEKRQMRRARTNFESEMDDQMNEKMTCYESVLNCFGSFFGTLRAWFPCCCCC FPYPYYEITQGSKGLLQKFGKYQKTLEPGLHEFNPFTDRIIPVSTKTFIIDLERQLILTK DNITVNIDTIVYYRVVDVCKSAYRVKKIVEAVKEITYATLRTVAGEHTLQDIIENRQKIA DEIEGFVFDVVSEWGIFLEHVFIKDMQMGDELQSSLSNAPKAQRLAQSKIISAKSDVEAA KLMREAADMLDSKAAMQIRYFETIQLIAKNKNPKILFLSMDQTQKK >CAK81518 pep:novel supercontig:GCA_000165425.1:CT868407:246081:246889:1 gene:GSPATT00016344001 transcript:CAK81518 MNQTGDLEILNHQLSIQNNKMSLQIEVLSQQLQLLRKQIQQLQERAADYQNLSAENVTLK KDIMNLQEQIQAQESEQLLVQESQFNSQIQEMQKRHKKQIQDLKTLYEDKIITLQKEKTS MQDQAMQMGVSNQASKTLEQQAAKIIQISHKLEKTLSDLPSQSPSHGHIGISKEMYDQML EQLKTKSSKNIILEKIHDQQNNSQRYKKMSIANIKKETNFSVKRILHYNKPQESPRTQNS INALTISTLTKKS >CAK81519 pep:novel supercontig:GCA_000165425.1:CT868407:247024:249682:-1 gene:GSPATT00016345001 transcript:CAK81519 MYDTRQMYFDKDSSLHNISNLSDKKDYHFYQRDLLAELNQSQQRLTVQELENKVVMLATE NERLISQLNDKNREIEFLQEEQRMLQNAYQSQKDDRYRTIENRTTKMIYENEKVVLMNQS LQIQLEELSYQYESLQKKFQVETEMIEQKWKAHYGEMYEQQNKNLTVNIEKLLNELKQNS DHIQSYEEKLKTCTKELQMVKEQLDYKDKKGNELLNNNQQISNILEQQDAVIKKNSEKIS QLQISLESQVNENRQQKQKLINLEKDIESRRIKYEELIIQFEELKLLEKEERLQLEKNDQ EFQQYKIDINQMKSNLNELNRNHKLQQQENQNNYNMEINHLKKQIQQVIMENEDLQKLLN QTRQNLNNEINKTTTLEKQLADNAQDIQIKEQQLLMQYKELEAQKLKFDKLFQENTSYLK QQQNQFDIKLLQNQQQNKLQTEQILQQKNKEVNNLNDRINVLLQKIDEQASYIQQLSYQS NIKGEEIEETRPQIQSLRNELHRLQQLTVEQSNEIDNWKLKTIRMEENYEMQNKDQLEKL QRFQDEIQQIQTKLHKTQEENIKITNNLQETLSNLNQIKQLLSETHQQLKFAENRIEIQS QELAETSQLREQLSLLKDKHQKEIEALKKAVDSSLKVKIEKEIAQEREKLENYSQQITLE KRGLENVIQNLNTENQLLKTEIMQQQEYFKLQVQDLLVDQQKYLINIDHVFKLELKGLSE AQQIQYNQFVVQLHSQIATLTEENKNLKEQCQQWQLQLKQYEKQSTKLINEMEYRVSSLK KDNSRLIDEKCYEKSKNTFLETQIKRSTPVREVRSSSTYVVGTPKRNRYGKQSVLREIDL INHYY >CAK81520 pep:novel supercontig:GCA_000165425.1:CT868407:250156:252154:1 gene:GSPATT00016346001 transcript:CAK81520 MKKWDDDARLKSYVNKILNVKSTMRNNQKTTSKSNQFNDFDLERINRDTEYSSNVTNRSD LTGFKDSILFKQLVEYNLQQYTNKLLLRGYKSGLQLLAQQSQESQNIVLQEIKLLPGHKQ KFQVMLKQISDNLDTYNDNNTQLVNHNHFAQNRFTLPGQLNSHGQFEQTKEVYMEILKPI NRKASMKHFSPPQELNQIKPRVLPKLEKVSSKGKKERTLQVEDITAKQGDQQFQNIVQLP NTKEMVTKQVSKTVKNPSQNQIKKRVTTQKSFQEKPNKFINKLLLINGKNTNEINLERES IQLLYQSFDNGRLASTLINIDIEEISYCVGITIKKMMVLADLDQINNISQLQCIDDNKQS QQDNQYIINERDVVNNRDENEFIQDQTFQLQQDDYEQQDMKQDYLELQQEEDLDQNYLES FENAEQQNCHPDLGYLNEQFQEDDENNQQLLQSQFSVDTTLNLQDSQLFNKVFIDFSLSN CIPNVDIIQNYCKNIMTTTKMEREVAIISMIYINRLLEHNQGLEINCLNWQKILFTALVM ASKIWDDESFENNNFAKVLPQFSTIQINEMEKVFLKLIEYHLYVNSGDYAKQYFLLRTYA DKKQRSFTVKQLDIATVLRLQRGGPQLITKQQFLNTQNKSF >CAK81521 pep:novel supercontig:GCA_000165425.1:CT868407:252276:252606:-1 gene:GSPATT00016347001 transcript:CAK81521 MKKRNKLNSFDNRQWRNLWLNSNQVAYTQINQDEKLKAQLQASKVEVKADQKNDKNDKNK SPQKGNKDQKEKNPKDQNQGKNDKKDTKAQDKTDPKQKKK >CAK81522 pep:novel supercontig:GCA_000165425.1:CT868407:252731:252841:-1 gene:GSPATT00016348001 transcript:CAK81522 MKGAVDDTQDQLQGDQELNNEEKHILEQKCIQHLKY >CAK81523 pep:novel supercontig:GCA_000165425.1:CT868407:253601:254024:1 gene:GSPATT00016349001 transcript:CAK81523 MNPAQTIAQQFLQQYYQTLMTNKMGLIQFYTDASHMTYGGQQHDGLKQINEKLESLAFQK IVYKIDDMDVQPGALENSLFIFVTGQLQMDDAETYKFSQSFQILPNGQGGLYVHNDIFRL VY >CAK81524 pep:novel supercontig:GCA_000165425.1:CT868407:254025:254586:1 gene:GSPATT00016350001 transcript:CAK81524 MGFEDQIPPIPNRDQEKRYIATVEKSFYDQPLYKFYMNEALRERKMDYADKLNTFKYEWI LNFAASGIVFSLLYFIPVSYQYRQTSTGVPTYYQPKNKAVFKQGYLQNQNWRRFKLYSFL VFGSAFIFAHTYTDRSQIHDEYYNNVGVIKPKFE >CAK81525 pep:novel supercontig:GCA_000165425.1:CT868407:255091:256139:-1 gene:GSPATT00016351001 transcript:CAK81525 MILQEHHNYRYKCTHLIDQRQTPIGCYIDQEILTFLCGECYEIEITKQNSIAKIFLISEY IQASLNMYMDKINQYDDLLQEIQKSSEILKSCKKDNNQIQQGFKTNKEQLEFCLGKIQAK TSIEDSDYTLLSGINGENMNNKICQQFNHNLKGMLESIAKQIEYMLKSVAKYTSSDRLIE NMQSVSSRENIERILSSSLDRCQEYNKLKLNQQHFNNFINIFEQTNGQQQDMESDIVDFE KMDKCQEEIVQNEKVPYQKNQEPDIVDFEEMDKQIQKNEKDFQQEQQNIEESDQSNDNNE SVAQNSLEKQMEIFKSYQICDNNVAQKSSDFIVQLNSYQY >CAK81526 pep:novel supercontig:GCA_000165425.1:CT868407:256233:258182:-1 gene:GSPATT00016352001 transcript:CAK81526 MFGGSMFGNQNPTQTSGGIGGILGNFGAPTGVQGGLFPNTAPQQGLFGQVQGTQGQPGFG GLMGGQMQQGGLGGGLGGGLGGGLGGGLGGQLGGGLGLQQQQSSTFGAPGGLGQPPVLGQ QAPTFGGLGGGLGTGLGGSLGTGFGQQPGLLGQTTQQGGLFGQTPQSGGGLFGQQQQQQQ PTLFGQQPQQPQQQATGLFGAPAPAAQPFGQQQGGLFGQTPQQPQQTGFGFGQQQTAAPG TSLFGQQQPQQQVQPGLGFGGGLGQQGQVGNQIVLPGGVDDSVNDASLEQRIRLEDSVSA ICWGTTIPNFLAISSWDGKVRILEIQQNSYKRELFERRSFQVDGAVGQVQNPIVCMDAKG DLSQIFVGCGFDHTVRVIDVNSGQMVSVGQHQALIISVYWIESAQMILSISTDQSLKMWD IRAPGQPRFQCQFQYKPMVSDCNFPLLVIGFASEKLTIINLNELQQLPGRFQYIDSPLGT YSQLTSIAIFPARDGFTLGSIDGRGHQTNITTKSTHGMPTEFSLKSIMTFKAHKVEDNQK GKIQNYFFPVNCIQMNIKNNYFLMTAGGEGQMIFWDINVRNKIRTFQFNCNPIVCAKMSP DGSMLAYALGNDFSKGPEYFNEFQPKIFVHFIPENELRYPK >CAK81527 pep:novel supercontig:GCA_000165425.1:CT868407:258478:259461:1 gene:GSPATT00016353001 transcript:CAK81527 MKQAQPFIPLAVDDNKEYDIKNILQKQIKTLSPEEYHFFSFLIATNHVFEQLTNCQNKLN NDPTFSLWKLFEFFDVNGDRKLQLDDFIITLQKLDILLKREEIESLFTTLGGEDDNIISY AEFANLLKFSTTPQWRQSKVPSEGVLNQEHQKLIHQIFSLYAKIERSLLYLRTQIGNDIQ KLEDFFRKLDQKQKGYLVFNDFVAYIKSKGVQVKGNDYYRVFFMLQQYKSGRISFNEFLK KFSTREEYQNYQQQLDLLIHPPQMAIRESIEQQPALQYKVDSSANFENKQVQQNEMSSKI SQQSRFSQDLDDSIRLPGYTRYVFGNN >CAK81528 pep:novel supercontig:GCA_000165425.1:CT868407:259938:261481:1 gene:GSPATT00016354001 transcript:CAK81528 MNSVSTNTSFTEVRIVKIYLKIHYITKFGQAIYLCGDDESLGMWDPCKALRLQWNQNHEW TICIKLPRISRKFEYKFLVNNYNEPQIHYAFWEPGENRIITKHLLLNGKKNEYFNCNAVL ILFIEEFWGYRTIKLKLNHTLQPKERMMIIGSIPEIGSWKSPVLMKQQMKIDILTEEATQ QWSISFIVDPLNFFFRYYYVIRNDDTGKMIWERGNGRYLKSADLSSIRQVLDQYDLHPIK VKTEIYSAIQARQFNKNGSFSSSKIPKSKIKQKNQGYSFADKEPSFFYYEEFGRLNKLDW NFVVQFQTYEINENILIGPYPQNEQDILYLKQQQVRAVLNLQTRLDMFHRGVNWEQIVDA YKRHNIVMKNYQIFDMDSEDFEKKSNKAVQILKKLINEYEYVYVHCTAGIGRAPSIVVLY LASILQYDLKDAIEFVKQKRQQFYINYSMLKKSYQKALVFNHGLGYQELALTF >CAK81529 pep:novel supercontig:GCA_000165425.1:CT868407:261493:268757:-1 gene:GSPATT00016355001 transcript:CAK81529 MWFIFLIALGVGLNCPQVFYLSNFQEQANLITYQSDYNYIMASVQNAIVFIQAESGTLRQ LKPIDGQSVTFMQTQQGYLFVGKSNQLQIYSLDEDNPIQGQLSFQGNINYLQYANDVISI ATDQSEALIWNMAQNNILGRTKSQSLLNILINYQVQIDQYADNILIGITSGGTYQLEYYS SYMQQFLKSFTLTQFDLSQMTSTQQDQTNINTFFYAVSSTTLTIYKINNNAQTISLTSQT VNLGISPISMYLIQDEIIFFSGDCQFQRYDIKQNSLGTASSWISAGSCPNNIKQLLFNYD DLIIIILINNPTDGSEMIQLYQVDQNLSGTKIRSFYYSTQSISASYMLKSQYVLQVGSTN SNIQVFNQWFQLQQIITINNNNNPAIQIIQSDIILILYANGLVISLSETSFTCQFTQYQC YTYNLQNQFQSQNVATYTTQMIQQFQYNNVIYVAYALDQDISFYSFTNNVFSNTPTNQQN LQSNIVQMLITSTSIQVLLSSNYLNSYPLSIAIQQENTPGSINLQLQNVNQVTQDQTYQV ITNGQAIQISLLSDPSNPVVLQQPGQSYKQIQIYKDYLLVLEQQSYTLSFYQLSYLIQSN DQSVYVIRTQYFSQYFILNNNYLIIQFKQYVMTLQLNEFFQVGSTGVECEFTQTYSSNVF SYINTQISLTNFYILYQTLENLFSVRGTININKQNIDWDRIIIDQGINQSVKLFFIGISP SQSSLIIRKSIEKFNEVHFQDLTIIVPLCKLYFTNIILSNIENCQITNAQSQQCPYLILD NVYQLTLQNFKVNNFEMSSPLIQSSQGVNIQIENLQISGSKLQQLVQISDKTSLTLNTVT ISNSQCSSSATSINQLFQYYQLQASDVIISQNQLCSTIFNNILIESLQPIMIKLTNVIIQ DNSFSSYLNAPILNQQLSNLFYQSIITLNTVQLFNNQYKQGINYAFKFSFGKRIDASHLI LDNSSFYAQTFDSITLNDFTFSTPSTLSLVISEIPQININQIYFDQGTIYQDGLITISNQ NDYDCSLANSCSIKMSSVSIFNFQITSTSLLNTCYGILIDVKSQFVVSLSYITIANLQLK QADQNFIVSSTGLYFKGFQSQLSIDQSNFNYINCSIGTSLLVLNAQIITLSSSSVNQFNA TDATYHGVQDVIGGIVQVSCTTLNVQNSNFMQVYSYSGSVFLIQMYHSASTSPKITFINN RINNVFSFGNGAIYIDNTLENTIITLSQNQINSIFSLQEGGLLFFQKEETATYPYLNFTD VDVSNISNVAPYKFTKQLILIENNFSNIYSQNGSIFKLELFNVTMKNNTFLYNNLTQTPA VFNPYQINQGSLFYLEHCVFNAIQLNIKNIQSFSTQAQEGQIIYANQTWISIQQSNFSNI ITKNSHLLYLMTSYFSINTLTLQNISQIAYKLNSSLIQLYDSAIYMLTPQLKQLSCDPSN CLSSGFYLKNTFSYIKGGSCQGNKGNFGSCFYLTLKEQFAQFENVSMINNYAQFDGGSIL ADLQNVSNLKVINCTFSSNVVLGSGGGLYINSFDQQFKLSEVLDLPVITITQSYFLNNIA YKYGGGIKTQVFSPFITGVFYWNNSALVEGSEISSYPTSLYLSGPLVDQGVIQQVYDEKL QPANGYFFVQDSDNGEYIISNFKSGDTMNTPLQLVLKSSENITMYKEQSYLKIFQSNDEN YSLSISSSDSQVKFEKTGFFLDGLTLIGNPSTEFTYYFTSDEVKSTNQFNYYYTNNYNYT LKVIFRKCLYGEIKQSFDSQTICYKCPQGFYSFSIPTDEDPGQCQVCPLVSTYHIQCYGG AETNLESNYWRENITTLEIYKCDTQFSNCLGGVSQSQCGLGYMGRMCQSCDYDNNYARDT RSMCVVCTQVEWPLVIILLTSIGVLIYFTMSIFFLAQDVVILCVLPCLNQLLPPLKKIGS NLGQVKDLNSLMKIFLAYYQKTSLVTKIVVDIPSQLQDSQDPSIFDFSLECPMINVDNYI PMVYVNYIIEVLKPVSSMLFFMFIWTILFRNQKIKRGIYYTATWLVIWLFYLPSFYEKTL QLLNCKDIGDGRYLKPDLNQNCYGTQHFFYTLFISIPCLFFFVIYIPCMLLYHIRNDMRK KDKRPLRKYKYYYINGEFREKYYYWEFVRLLEKILIQTAIEIFFYDNIYMAESCLIIVLI YGIASFVTQPYGYKRQNFVNVISSFTAFGTIYIALVIASLKNQFTDPNEPIIIFFEVILA GINAVYALWMAWKLFIVLLPVLFVNIEQIQRKVSNIKYLRKLFLGERLRAKLLFKQIGQK VVVINLIKKHAMMRYLKKEQKDKQLIEIENKIRNRKQTAAQEALNYILRNNIQSSEIIQL KDNNINVDQEYLELQDNSKL >CAK81530 pep:novel supercontig:GCA_000165425.1:CT868407:268806:272849:-1 gene:GSPATT00016356001 transcript:CAK81530 MGICGSKKSDKEAGIQLQIEKTVAEQKQLKIEEELQVQHTTRRSICNQQSTLNTNGNQVT IHQTEQEVQKSEPQQAKASKKKTKSTKDDANYYRRSDHVLTVFSLIVELIQDDQKKYYSI TIGTKIKDCVHYAHVNGILITQADFDLFHLKPDTFKEANSYSRIPTFFSTDNYFNIDKES PNSFISFENLLNVERIISLKQYQMKLKKKQSVIHEQNANQKNQNDYIVTSNLEMLEIITV VDIEQQSRFLNVLFHLILQHYHQNLDQYLVFITNTTFLFDIPPQFDQPNMYRLALFYDKL SYSLKDLQQILLKDKSELSNTLHKKLSLNLIQILIGCYEKYLYRLNFTLSTIFYVPKYKC FKIESFGRMKILVQFGQNPEVALKTLEEKKYKAFVKSFKKDLFAIVDLIIYFKKVQSQNL RTIITIRKKYFANLKKEHGEILDDEKNYCLLAEKVFSHNSDRYLLEFIKMAIYSYDLADV DKFIQDLKQVVESLRKELYEIDFQQDSKQMDQQVEQQKLSNSNSVDLDDLNEAKVMESFP VNICEDYKQIAQNKSKLSEIDQTYMEIIYQSLLYSNRFQAYYEKFQNLQKPIFQNCYVEI LNIFYFIKEQNREDSWQEKLIRVSQKMDKVIDLIKKVKDDSVDNFHITLLFQIMTLSQKK PIVILNQLSKCISNQLKQKMQMDHRLRSQNQAKGLLEQKKHVMLQVWSALSYLHSDNYFQ AISKIQKAIGFQLKNQHQASLSYGYSLLVSGEIARLSLAPISAMLNLEMSLVIYNQFFPQ YKQVEDQSDIADKSEYNPFQIRYKDVSVKNLATSNKAKVEFLLGMSYFDMHDQENSLSCL KRAQELMLRSFHIYAEEVVFLVLQQLQIHVLQDDIGHAMNIALFYANDMNQQYRNGKEFK KKIISKLQFIIGSIFEFNGQYLSAIRFIERSNRTLTYAKCNNFVIQMHYQAKKIQIISKL KKAFIRIKSKQQVRSEEDLSLFQTLNESLGQTFQTLYFKQPITMKTSVSLDKFGKQIIHI QGLMRTDGQSKAIKLLGDLIKALNKSKKDEIYGLVQDKYLILSLDKLSAKEIEAQIHSVI EIHDRYLQFPLKQHHVLKCQLILLSIFMKQKSTNQIFEHFKNIEQTIEEFQNILQWKQLS SDNEEVQIQNKFETIVKRGSIAMVYQIANVTELIEQFNKFKKKLIENLQNREKFVDLANS FQKRVINSQAFQKLLQTKQACLLGSYSQVKIINEGEQDQQQQPEILIQRETPQKLQVIEA STEVDGKENQRLVFDLRSLDEIIVEKKLVHHRKGQTEETLQKIEETNEAEKMKKSHKRNE SEMTFKNAAKKKKIDYKMNPFQSTLKK >CAK81531 pep:novel supercontig:GCA_000165425.1:CT868407:274385:275407:1 gene:GSPATT00016357001 transcript:CAK81531 MTHRYQYGYKKSYSKLFEMNCQLKLRNAININQWIDYQNCHRLLLMLILESRSEGIIDKF GSYDGKQKINQDAFIIEKRLNFYGVADGHGVNGERVSGFIRITLPKYIEQSLLDPKETLI KGVLQTNSELVNNSKIETVIAGSTLCCGLIKLNRLYIANVGDSRCVIAKQMGNSWQTIEL TKDQKPSREDEAIRILKAGGRIAAQQDIYGNQVGPLRVWLKTLNAPGLAMTRAMGDRLGA QAGVIATPEITEYELTNEDKILVFASDGIWEYMSSQEVVSILSYCYDKNISAELAAQKLL NLAVDAWKRNSLARDDITCVVLYL >CAK81532 pep:novel supercontig:GCA_000165425.1:CT868407:275522:277459:-1 gene:GSPATT00016358001 transcript:CAK81532 MSSLFKEVSLKQVIADKSQVRILLKQKIQTLVTMLKDIQQEEEEIMKQFNKMTKEARSIE ELYEIMEMINYEMSVRNNDKLLIMDEINQQEEYLQFIRYNNRLQQQANLDSQMRNALKEL ETSQKFQKTRSLRQTGRFLQFKENNHNLNMENNNIQSNIITSYDFEEQSRLYDKIQDNIF NDPIKYNTQDGIDETQVYDWILDIDLINNVQLGWPVYISKPFQAKMDLVGLGNNNNEFKS SIKWEGATVAVVGLYDKGKTFVLNNLTQSNLPSGKKVTTKGISFKHVDVDSGTQLILVDT AGSYSPVKIQSALSIVEKEATEHFIIDLVFELSDYFICVVNDFTSLDQRYLDRLSRQIQN SSKTFREIIVVHNLKEIETSEILQHVWITQVTQIYSTGGSIQRTKVAANNPRNNELQAKH VLWFKTQYTRHVCLVSDDSQLGLDVNPWVFSLLKYWLKSVFVPVNRQFSVCESILQYATS KLTQYFKREVNVKLIDTDNQFVKKIVQKEAHLYNGQLKIPQTNIDQSGLILARPDSFAPA TDIIANDKYTIYMDVPGISEEDIEMYRQNVVTIVKGNRKKPYQEEQSDHIKKQERKYGEF TLSFRIPENFERKWKHFGIENGVLKIVYEKDKDDIIPNQFMSQNE >CAK81533 pep:novel supercontig:GCA_000165425.1:CT868407:278351:278614:1 gene:GSPATT00016359001 transcript:CAK81533 MFVRLISRMAMPILRCQRFQFSPALTILNNRLIIHNLTQIRIPIMDELTESDQDEELQDG LSLTSA >CAK81534 pep:novel supercontig:GCA_000165425.1:CT868407:279556:279877:-1 gene:GSPATT00016360001 transcript:CAK81534 MTEQSSQNRSYTKIPPYTKKSLILKVFQNGLKIKQAAQQLQLKYATAKTIILNYRKKVVR KKLIFKSKKPCLILPLDNLKNKRNINIVSLVGGKLQKSE >CAK81535 pep:novel supercontig:GCA_000165425.1:CT868407:280190:280678:1 gene:GSPATT00016361001 transcript:CAK81535 MSEIQELIDNFERIEIKENDKKEDIKEQKIEQLNNQDESKDTSIKETNDDQKQQQGQLQQ YQNNKEESNNQNNTKDLNNDQFNEKQLEEAFTNKLVIQEQNKGEKKQEQDQTNNDDQLQK QEHTVIKGTMRSDGTMRKDIKVRAGYKNADMVQRYVIPQKRK >CAK81536 pep:novel supercontig:GCA_000165425.1:CT868407:281539:282311:-1 gene:GSPATT00016362001 transcript:CAK81536 MNRQEKSEIVSGLRAILEEVSKPYQTKMLNFKNEKCLRIEYYSCNDLIQRITKAFREIMN SNQEYKKLESVLQQQEADIRNHISLEQQMKLYQDQLQSKLDEASQEIKRLQSEISRYSTN IVQQWLSESQKRKEKSQEASLTQRNASPKNKESLSQHGYSSHRSSCDELFKRYNKLLQQQ QAQISQRSNNIQISQYMMKGRQTLAEICNIQSSKPSRSQTKHSSSAKKGSQNNSISSETI RNLLKVK >CAK81537 pep:novel supercontig:GCA_000165425.1:CT868407:282352:284155:1 gene:GSPATT00016363001 transcript:CAK81537 MEEEDCTIFIEELKSDDPNLKINAVTKIVSIAQILGPVRTCSELIPYLIDIIEEQDNEDE FLIKLAQELVNLKPHTGTNAHLLNVPLEILSSMEEPLVREKAVESLLLLAEDMPDSFFEN HFFQIVQQLGQWDNFPSRISAASLFPLTYKHVSFEKKNILWELYKQLCGDDTPMVRRVCA GVLSDLAKIKCQPQQLLTIWETLLKDPVDSVKIKAIEGSQQMLKLIDDNNELDTHLQAYF SLADPREKSWRVRYTVPECLESIIEVVDKTILKTKAVPVFQQLLKDPEPEVRSITVMTIY NLLKEIPITLKDQFLPFFQALSTDTSQHVRMSLAEQICKISKQYTVQVVIQTFIPLISTL IKDDVSEIKIKLAHNLDQLSQTIGPENSKKHLVPLISSFATEKQWRFRLEMMSIIPKLLK VAGYDSFLELQEIYLDKGVLNHYQAIRDQAIDNLVPICENFGYDKIRDFILRCISKQFEQ PNYIFRVSAMHSITKLRDVLSIDDLLNLFKDITSKSINDRVPNVRLNAFKLFSAIQDKLD YRTQNEFKDKSRFLQQDDDKDVQFYASTI >CAK81538 pep:novel supercontig:GCA_000165425.1:CT868407:284379:285641:-1 gene:GSPATT00016364001 transcript:CAK81538 MGPICTKDKTNKIVIRGSAKPGNTPTPNAPPKTTNTHKYVGEEFQQEIEKNDPAYSQKQI KWNRYYQKKYEVINEETYIALFRRSTSIEQLEQQTNEDDQCKEPKLNSNKLFSKKILMSL AQSLDGPFKLTNQDIMDAYLLKEINNEDFKNILMDGAISKYRWNFWMAQVYRNKDYDPLL YSKLKNQTPSQKVLEDIGKDVNRTFPSHDHFKDYNQGQQQLFSILKALSILNEDIGYVQG MNYIVGFSLIVSGGKEEEVFWLIHFINTNPLFLWWEIYRVNFNYTKALCQVFLKNFNAQL PALYQHFYDEGISDQQYIWQWILTQFLYTFPIDTVIFFWDFILATDIFSIIKLSIAFLSE FGHCLYQKDIGQISEFFTGFNKDQQQIDVTNIINNAKQIDVTMTDEQKQFFKNYKPQSST >CAK81539 pep:novel supercontig:GCA_000165425.1:CT868407:285641:287144:-1 gene:GSPATT00016365001 transcript:CAK81539 MDYETLFVILPKEPKKWSREDVSQWLKFVGLQSLESTFSTYLFLEVANNSIDGSCLELIE ENDLIDDLGINSKIVRKKLMHWLKIGLKEYAQHIKSVFIDDKRCDRMEQENTSLENTESA QAQYGQINVQHDMMTNYIKNNEMLSQQFQQPLCEIENKPQFLKKQQTGNQLEQEIENLES QVQNELIIQPTEGPQTNFYCIKESGGKIGRHSNNQILILEESISRFHAEIVFQNKEFFIK DIGSTTGTFIKVESKLELKVGMIIELGSNQFEIQQLSMNNQIVEIVMLIVEGLNSSEKHI IALNPQKCVTTIGRKQTADLTFSEDHHLSNIHAKICLIEGRVYLEDMGSTNGSWIRLSKE GQFSQLYPLENQTVFKIGTTSTYQCKRTTQVVADKNNENSCIICIENDRDALYMPCKHNT ACLKCSKNLKDCPICRTKIQDVIRIYKN >CAK81540 pep:novel supercontig:GCA_000165425.1:CT868407:288591:293630:-1 gene:GSPATT00016366001 transcript:CAK81540 MSCSVCGLNFLRKDKGCQQKECQKCKLKYHRFCYGYNNLDEECDPCQDNVQKPVCYICGQ DGLLRRVSGYNGMYVHVLCAIFDPCIQVRDYHTMSFGLQEQKDKKTKEKCLNCDKPGASI KCLDCDGFAHPHCIMKERVQKELELIENEQWILNLRFHGNNQDPNSIEVDQQEIKNELDD IQEAFSISIDNIFDVQQKNDKSTSQLKNEVLINLESIFQNYSIPKKKEQFWSDNDKIEGY CQSHMESHVIFCICRKSLNNQQMVQCDHCYEWFHFVCIKKKQIKQDSYVCESCKRWSSRR YKIDLDDPILLSLEDLVIPKEILKIHLLDVLPLLLYMEAIMRKLPRLPLTQDDYQNLKHL KSFLASMPIKPSAEIQLDKILLKQKLLEELKQQMLSILPIQLENNASFFDELTIQLKNKG YSFDKEERKAIKSYILSRKQMKLKIEKGIGDGFLIETTLNLLNECVIDPHKLFVHPNETL LQLINRYIVSSNIKKEFKKLFEGAKFQWDVPFIESQLELTVYKQYILMKNQKSKPLVEKL FELKKMANKSNITMGCVKLIEKLISESITLEDVNIQNNFQQIIEFPFNSDKLLNQIQSHF EAQLIQEFRTDLESKIDRNCRLTERESQGLYSFENIQRAAQESPVIKRVFDQLVEIHNKC QSQEKITSQFCQDIIALMDKCLLTSEYLENYKKKLKQFKELDHKLKEILTLKELEDIEKL CNSNGFELDISTRKTELMQAIEIVESKPRILDNLDQYRYLKSGDLEQYIKQSQQQVEFIR QLFYVAYKRQDNIQVMLQRVKEIRDLDFNNELIAQVKIPEVVFDEISQIVLSFRQIQWRY ECQKLLNESKNVEAQNGKKKYNIVQVLKLLNSDNQIQDHYYLMLMQKIKSKYEVWLQSLR EFEIKLEGSKAPEQYIFIQIINNNCYYEPNVQSRLWTFYIQMLWMQKAEEFLDQNANVLS IRTLINSAQIANIKPNNQLLVKLRENIKIYDDLNQKIKDYQEQRLIWLRNKDQINNLQTY QQYFTFLENKPDAEIMSELQNQVKQFQGLKFKDLGDDLQEVKQVLSQYNELVQKQPDNNL YIQQLLKIRTCYCHCYLKIQGFSLQLMYNLIKQQSLRCLKQRSSNDQMQERLNEVELLVT FGGEEWQQKLGQINKQRQKNTNSADLKFIDYSLRDDFEWIGWMKENMQNVNQCLDQETQN KKHLKEEKIQNKKEQSTKVEDVWGDLRKASKERFTRLLGRFKNWRQVNEQSILNLESQIF LEMNLNKEKYLKEIQNVQEIIKLNKQKNLTYEEIKKVKDRSTNKQSQSYQQAIIEKKKKQ QQQAIKIVEVAPQQIPSILYEKSLYHNESEKQKKKQGQSLLQCPEAQAQIEISKIKEKKQ LIHIGELNLLLKKRPDEIRESWLIRPQLLTYDHQYAQFLPRPELITLTQQLLTILLKTRW VYSKNIAVAGDLFNLADKLKNSKQCLGTKQGNVGLTLIYYNFLKKLKPATKWILMRDQFE NQQIMNNLQNYHTLKKFQDRLCFIYYIKECKAPFNTIISQPVDYIDVMEDPKLRNIIENY RALKKMQKAQNQKTDSVQNQLLEPINQEENKDDNVQQNSDAQTMLKEIPGILSLQN >CAK81541 pep:novel supercontig:GCA_000165425.1:CT868407:294430:294794:1 gene:GSPATT00016367001 transcript:CAK81541 MAKFEQLDSPYAKVFFMLQQLVKAELITQSEKDQFKDLIIQNDQSIMNFIVIEGYQELFE KVQEFIYKKRVSLEGLSDFEDDDSTTKSLRQYLRNKLKLELKQSNFNLSIKKI >CAK81542 pep:novel supercontig:GCA_000165425.1:CT868407:294863:296227:-1 gene:GSPATT00016368001 transcript:CAK81542 MSITQDSKQENALNQTQSETKFEWTPQRQEKLKELHIQQQGNWKSISALLDGPTPLECMY KWQQLHPNLVECLWTLEEDEQLKELVQKFGKKWSKICTVMDWRTGKQVRERYLNQLQGTI NQDKWTEEEDKLILKLYRKFGTKWSYISSFLKGRPENMVKNRYYANLKRRYQCDLDDSDD DDIQEDSVSSQEDDKIKLLKRRRLPISKKEEPKSKKIQTQEVCSENCQILTRSKVNKQKD ENSQKIVSSNEDQNIKINSGCQNITSNLNDQVLNVKEENQQQLDHNNFNSIILQQSYQPY KSYQDSPMILNNNVFQQFINNNMPLKESSPKITQDQNSVQQQLLQTKQQPFKIPQLPYVI NQYPGIQMMNPFLGFVSPQQQYLYQLPCQSNQINYLNKWNEFSTLYNNQLLQNGLQP >CAK81543 pep:novel supercontig:GCA_000165425.1:CT868407:297695:299038:-1 gene:GSPATT00016369001 transcript:CAK81543 MEEHFKNIDMLIRKQQFQKCLTKLGKLQNELNTKVNNPEESSEAELVLFTIYKILQSNIY ERYAQIFIDINQVYRAMQYLINMIKIEKELFKTQNDKESILRLCNSYAKIGRCCFYCCYY EQTFKYLDYAYQLLIKHNLKQTGVYAMTLTLLGNYYRFMFQDDLAEQMLFESIKIREELY TRQSIEVADSLHGLSQLFSDEGKIEEAMNSITEAISIWTEVLGYQHIKTAKSIYLKGNLY LRMKNTQENLSSAEKLITESLEINLKIIGESSQDIADCYHSLGKIQAQNLNSNVFEQYFK KSQDILKTLYGDSHASIAIILNNFGRSYFERKQYEEAVNCFEESIKIYTQLCGKMHGNLA ITLKNCADCHKELGRYKQAYLDYQKSLEIYQKMQINSSQVNQLQNLMSQISDKYLED >CAK81544 pep:novel supercontig:GCA_000165425.1:CT868407:299158:300285:-1 gene:GSPATT00016370001 transcript:CAK81544 MLKDELQLSNDQHTLVIQLNSFDPKITWITNDNSEIISFELFLNKKKSIYKFAPNIGILL KEFLNGKVCFEGINNHYKIAQYIQKRNFGSIVRMRSIHNEELVTCKIFKQGRAEFEQEFR NEVIALQFLKHKFIPKLKEYYIEQSHQYIIYEFVEGSSLDKYIKKHILSKNQILKIMKDL LQVVSYLHNEGFSHQNIKLENIFYCSMLDQITLIDFGQQKTYDITSLRHIKSIKTLSTQD YFTEMNLFTSNGFSLEKDILDCGLVFYQLQVFQFNQRITQQALNNQELNNFYESRRFHHL VDEINNYEISQFISKLFSWCNLENYGKEDFAHDIDQLISSSSD >CAK81545 pep:novel supercontig:GCA_000165425.1:CT868407:300760:301845:-1 gene:GSPATT00016371001 transcript:CAK81545 MSLIYKHHLILPEFKLNETIPFQNSQSNNNSTLKSSQKTLTTQRALQEKILPVQHSLIEN NDFSLPPIRKANSNERPKLPLSTIRSNASDEKTIKDYLDHLNTLRSQKNTQRQTKFKKVK TETEIVQYGDLKFKRVKENTSKKSIAVFLNIKNPQSQSYIKFSQQIYELNHNLSKLKDYI NNDRKLFQVIKQLIGLRKNLRKVMLKQNGDELIDFQDISKMCCVREIQYVFGEQKVVDKF DLSLYDDLAYLTDMIGNLLLQNEIQYINRISENITKETQQVQKIQSELGMGEDEQKLSVQ TLPMKRFKIHDEIEQIEQKLGPMQLISKQVRQTSMVLSKVISGLND >CAK81546 pep:novel supercontig:GCA_000165425.1:CT868407:301969:302872:1 gene:GSPATT00016372001 transcript:CAK81546 MINQNNSKSFVAVNRSQTNKTVQEFIRQDLKKLRRKKKNLNQLFQEVEEIAQISLSKNYS EDNLDEDDQDTAELINQTYQLKKQELEQNSSQNSSRILPNVLSKQKSDRSLRESKDVSKL TSITKIHITQNDDSLLSDRSIKLKKTSYTQRNIKSIRLTDQQSKTQPKVRLSNKKQQVQT TQIDEKDKLPLIWQQYYNIQPETEKVEVKNSFLKLNDQFKKMREQVLEPFLKDQKLKVKL MAPLIIRKDKPYFVAENKDNKNLIDNMKNENTNLNKDEYLIVSYEHILDYI >CAK81547 pep:novel supercontig:GCA_000165425.1:CT868407:302882:303748:-1 gene:GSPATT00016373001 transcript:CAK81547 MQNHQLYLILDINSKFLKALKENFVAILQCIKTSLGLQLCTDFHNLFTIYAIDTYDIYQL YRSGENSGFEYNSEFQQVLKTLYDIVQKKGVDDVWESKIIKCLSQIMCRVNKDRQTCKDS KITFITSQFFQLGQESYNKYLKLCTHASKEKIRLDLLQIDIQAQSELKQDVLGNEGLKLG VQLTAGIVHVVKQDKNFNKILAELLLSSFNPGDIYGFYQAKLSELQYKSFCSCCNKVTNP IAYACSSCLTIQCEKSHATKQCTKCNNYFHNVS >CAK81548 pep:novel supercontig:GCA_000165425.1:CT868407:303770:304673:1 gene:GSPATT00016374001 transcript:CAK81548 MQQIQALPPQFFQTLKIQTILGQGHDAKVLLALETKDTGNQYYALKCQAQKIQDTAINLF NEIQILIHIKHPHIIELFGYSQDYTCLVLQYCPHGTLIQLIKSSPLPVSIASSLLYQVTL ALNYMHEQGFTHGDLKLSNILIDSQYNLKLCDFGFARWNGKMAIEKQTIAGSEGYTAPEV WKPNPNYMKTDMFSVAVIFFILITGHPPFESNNPDNHDPWWNLIKNNQWDTYWKDIKTNI DQECKNLFVQMFSTQPDERLSANHLNQWFKLKQTTQENLIQEIKKRLAEM >CAK81549 pep:novel supercontig:GCA_000165425.1:CT868407:305110:307476:-1 gene:GSPATT00016375001 transcript:CAK81549 MDNEINTQDQQQQNFLDEESIKPQNLFTNQLITCNRRSYTNFSQSQLIQSNLSQLLEKVL MHILSEQLIEMGSFIVIDKCDLELFCLKLFVQPLKDFIQNQDSSKLHYQQLQHYQNYDKD LETIKEQIDPRKLKMMFLQCNKLVVTYKSIQQLINKFKVQVYASSIDSELSRLQCYHLLE KIQQELSQIQKYHDIVKSRLAEKNEIAQLLNYPIKDAYKQEIKRQFCLLFIQKDQQQQML KQKTEVQPTLTINKKLPNHRQSTLTLLKPGKIAQSISNDNKVNEEISIKSKITQHLSSID KRGNDTMSQRQLSLLQKYKTKFSATFYDSFTMKLIENIYISIQTNFLVNNIEEVLENYND HINLQNLQQIIKLLKTRICINIFEQIVNTKQIQLTEDICSKDLKLKCKQDLKILSDIFTQ EQLVRLHQNIHHLNQMTSVYLNQLDNIIHQILDDNRNSSDFDSLQTSVNVFKASDDVTSK IYGQTLLMLKYIQNNSIILLQSLSNFLSKTNFSEQHILLYPFQQLASAINKTINQVLHQA QNEIVSNLLFETYICIISKHMIDRRQAFIEQLNMQSKQIMLQISQIIQGKLLKQQIQAYS QQHILLYDLNEIQSSIEWLFDQHILKKQINRKLDSIEEFSFFISQIISQIKALFDEEFFN ENIKYNRIATQLQLFENYVKQEIMKKPLEQQLKIQWSSKIFRLFHKINNECLPTSRATTR RKQTTEPKPLDNQGSLQSPMPSLQPQKLTFFQQSIYVKGNRTHIQQTERLTQSTLRFKDY KDLEKRLS >CAK81550 pep:novel supercontig:GCA_000165425.1:CT868407:308135:308584:1 gene:GSPATT00016376001 transcript:CAK81550 MNPLYITQDELHEMFQSPNHTKRSADSVVTLEPQTVKFQQTFSNIQTNIETIDQLKKEMA AKDKLINELNSRTSQQIELLLYQQEKLIQENLNLKKELNNSKAQQKHQQQKLELYLTETK TLQQLRLQERTRYQRDIRIIEQFNQSLTK >CAK81551 pep:novel supercontig:GCA_000165425.1:CT868407:308704:309207:-1 gene:GSPATT00016377001 transcript:CAK81551 MLISSAKHSVRPSTNESSSLNTRLCKNLQSMTLSARFQTELQQLEPQNEPFLFNQQRSTL SSVDMSRQISSKQSFRSSMPNINHGNHNFSQKRKSEGLLLRTTPCLIYMPGLKQEEFKEE AQSQPALKKQFRAPLLKTPLKHISFQFRNRDKGTPLEKIN >CAK81552 pep:novel supercontig:GCA_000165425.1:CT868407:309483:310797:1 gene:GSPATT00016378001 transcript:CAK81552 MNSTMSSEDLDNDIRIQQVQQTAPDAVQFYITEEPFNNDPYDIIQQKVAAFQPIQSKDDS RCDQASKDGGKKFEDKKQIKCMRSVGTYVIQQIGRKILSGDMNLTKISFPIKAMIAKSAL EKNLQSTIFFPLYINRAVQTLDFMEQLKLVITATIATFHINLSFHKPLNPILGETVEGFL SDGTKLYAEQISHHPPVSQFYGVGRDNSYKYFGNYWYEASAGLNSITLKNKGKRTIIFNG GQRIDYNFAYELYSGTIMGSMKVETLGVATYQTNKGMTATLKFGKVKRKATDYFEGTINM GQQELCKIFGTYMGYIEFDGVRYWDHRHMQPHQVTIKPPFLPSDAQLRGDYTNLASLDID RAQIEKENLENLQRHDAKLRTKFKEIRRKKEEKKKLQDHD >CAK81553 pep:novel supercontig:GCA_000165425.1:CT868407:310804:313820:-1 gene:GSPATT00016379001 transcript:CAK81553 MELKLLSSPIIDPLTIQLYDQEKIPFKVIVQCESHELALSAYQRPITKDDKFLFEFINNR NLKHLASDIQDFKVQLEQEYNQNNQENFLERYEATCKIFLGTQFLKTSNKKRKQENYLKF QTQQIQNQEYQQQSRVFQGTQNIAQLSQIGTLRDQNQGLLQVQNFYESKSKKTLVCGVYE NENLSQIEDFIRSFRNQDIDLVILCNGLDSLERTLRQKLLLKHEKQTLITIEIDKNQYSV NNALVLQYQFSENNLQHEVIFVIKYFKSSNYDIQRWLYNGIGKHFDPDFFYVTQCSLIQS DHSINTQLKSIKQKNLCAITCSILQNNLRSWNPLNPINALLLEQTLDHLENISTNNLFSV VLDHNPFFCFYDWQKIKQFIDLYLQKIIKSECDYVQNIIVQGENYILPSLAAEQGLLFGR SDKIVAQASCNDSLSKIMNQQRKRKNSKASTHNQFLETYSTLSSTWKINLFIRLIQQIAL KTMHIHSSLNNYFGISAIIFIFAQSSYDFFGNAFGYYYFYILQLSIPALFIFSVVTFLIF AILFRSQYKIARAKGMEEPIIVSGDLVFYLSYSPLTNSCSYCFENSTIKKSNKQLDPRNV QFVGSSPNNQVMSLYLYYGKQIYLANQLDTNTILQEIINLSKIRRQQGENKNQNGVVEYF SKIKDCKDICFNYQNTIGEAAEQYKTFYHLIAIFNLTLIAIFTLNIIFNYCFHTDQLYRW IICICILMVILEKTIKILLHLSHIPVFVRGYLSYIFYYLIVNFGMKFYERINTDNNIENE KIKEFYYNKVQSFALWISYNIVFLFICFMVEAYYDFCGYVLLFFLSYFAISSLGSIIFTV ISKFLCFNLKNHKKEDNESQNNITEFRPNQFLLEGVNYEKKFLKIQQEANLNFYKLQEHE LQQERKEQFRQQPAILNQTIKFWETVKINMPVIPDITEEFEQKTQSLIDSHQSELEDKDS MMRSNDFGNLKDQQIKTFL >CAK81554 pep:novel supercontig:GCA_000165425.1:CT868407:314081:315844:-1 gene:GSPATT00016380001 transcript:CAK81554 MIALGCHSINLGQKLLQQQKRNQALYWFDAAISLDPNMVDSLCGKGDALQQFDKYQKAIQ WYDRALGIAPNHVSSLVGKGNLFFQSKMYSQSTLSIRVRFQQISQTYYYLQVYLAKVLSK QKTESSLFERGKQLLISQLDSLEKLKLEQRFKEKEDQTKEKLDIRLKEKEKTTFNKQLEA KLSDKAKALHQYKIEALLQGKPKPKHQIELEQEIELELESQKYFDQALSTKPNQVQALTG KGDNLHQDLGLCVNAFQKQIDAEVIINKALSLPTILGHNEKQSDIYAAKGTIQIYSRRYF IKSIKICRSQQFLRQGLRIGSESSIKLIWKRLIENYEDAIEWYDKAIKIKPNHVCSIWGK GESLRMQDKFRKAIIYFDRALKYHPKHFLSLYGKGILSCRFEGEALRMLKFNYDASVEYK QALELQPNHIKGLFGYGEVLKLMRKYPQSLVCYKKILEIDQGNMEAVQLKYEVEHCISQL QQKESINTLRKQDLQSNSKFFDGIQTNTVNFRKKL >CAK81555 pep:novel supercontig:GCA_000165425.1:CT868407:315892:316483:-1 gene:GSPATT00016381001 transcript:CAK81555 MQTQSQQTNITISAGPRDAQWIDRLKEEYAALINYIKNNKSEDNDWVKLEPANKECTNWK GKCWVVHNLIRYEFDFQFEIPPTYPLAPIEIEIPSLDGLTPKMYRGGKICIDIHFAPLWQ KNAPKFGIVHALQLALAPWLAAEIPVLIEEGKIKKD >CAK81556 pep:novel supercontig:GCA_000165425.1:CT868407:316597:318156:-1 gene:GSPATT00016382001 transcript:CAK81556 MNFAERRNKTNPDQNQLLSMSNQSSQRNSAVKATLKVYSEMKEDQSCKLALRFTQLKIVL ATHKIVGICHKIKAIRLQHYFDVLLLTKTPNANPIPMPILNKNQQGQIQYLTPPTKKKSV KFQPKFSIAPCLLIKSMYNKRVRSYFNILQYNQNKKNKQISFNSILMRLFRKKQTQHFQI LKTRLLQSKIFRKIALITKQKIKSMQLEALLTISQYIYNANIHSQQVSLLDSEFSQQQQL EQQTLQDLQEHEINNQLMSAKEQLAMKFASTSLLIGILSQVMIKAQFAFLFHLSSGCNQK LDIREIKSIDISENLDQSQIEEDKIKPKIIAANQINHFLIQKLKQYFYQINQVPSKISRP SIKYYRGDKKLRSQNSNHKLLILGQKIFKEDDPQQKSTDITVNEKKGSFKALPGVRYVTQ YSDISKNAISDSEVTEQSIITNSVNTLQTIKTSVLVKNQSNHQTFQNKPGEKKETLNSAI HDSSQMLKKFPSKNIQKNN >CAK81557 pep:novel supercontig:GCA_000165425.1:CT868407:319328:321158:-1 gene:GSPATT00016383001 transcript:CAK81557 MKILLNNIKECFQKILKRSEFGKTSVCIIVSLDVDAICALRILTSLLNRENLQFRMIPVS GYSEMTEAIQKCGNMYKSIILLNCGNVYDMSDFIKGDIKFFIFDSHKPINHNNVNNEKSI YIIDDGMGQLEKCPEEEIKEMSDGDEDSIDEDDSGSHSSQIQRKQQQKLQRSLRKQLIQM HEDYYSQGTYYSRPSSTVVYTLAQQMNHDCNDHLWYAILGLTDAYIHMRLNQKNYDLIFE ELQNEVIRLNIHFEETQGDAKKIGGVFIENEYKFLLMRQQSLYNSMYYSSYVGTKLKLWK DRDNKRLEEFMAKLGIPLNEAKQDFRYMNQKYKQILKSQIAEVASKFQMDDILYRSFVRQ SDTKIQIAASDMVYAVNAILEYPQALLSKQLMQHQCSEVIEKLKTSETAAKFQNFFCALD TLGSKSDDLFQQGVQMALEFQAALVDEANNLIENNELYPCKHFRYGILKNESPQQKKFFQ HPQSLQKLALLLMDIYKEKGYKQSNKSVILVNQLGELFMVVGVVGGMSFSGQEKNQFGQY FLRKVQELNLQFRQDSFETSVIEIHKDDFPNFIDAITDFKKN >CAK81558 pep:novel supercontig:GCA_000165425.1:CT868407:321384:324658:1 gene:GSPATT00016384001 transcript:CAK81558 MQFDALCHDCCYAQSVEKKQLADQTIYQFIEDIRNIDGLYQIIGQTQSGSTLFVISEFIA KIIVSERQFKGFQVAERSSQEIQVIVGQCYTGEEVEILYQKCRVYETLVTLFCQTLQREL ATHAQNSICNLVGLLIQQVMMLSGTQFMKFQDQLNVFFEGNQIYNLSIGLKLIQNVIQNI QQYSSYDSYVSYRRIMFGFQNQEIFNCFEIVCRIVKNNPPQLYKQSLSTLKDILMFNFNV SYFELESDFDPNDQNNVSFPDKFADYFTDQQFLELLFKIVETYCNKDTSLATLALKSLKR MASAKKKIFTSKDKKRLFAKALYDGCTYLFQNVQSTNEEIISDILELNTKLNNCFGLRQI RFDFAFCQKWLYCLQTYCIQILQRQMKIKDPHMYQMIELMKRLLKFISDFKLDTTFKTSI SSTITEIGKSVIHLLLNSQNSFFQGYTPQNHKKLKNTLKEFFENLFPILSIDLGNHIKMI YHSFKNAAQDQEKFIIELSLINYIVINPLILERTSEDIIQMVQTVIKESLQFLSIPQNNL PPLVIMSAMSLADNLFQFALSESDESIGRQRSNKIFFDTCIKPIQVQPQQATNQLLQYIV MQLQIQNKEIIEYALVIMKETIVRLKHHLYNESFQSSNVVTQIKSVLLNLKNSALQQEQF FSCRTLASEIISILLFDSAYENYIESIVQLNQLLTVQPTSQSIQIYLYEMLGYFKHVDVS KIFRLLIKQHLLKIADLTRFILIDNPQQFQLCKLCLKLMVAITENKSLRFQYHSSSIVQI ELVRTFQGILTSYVQHLIVAIQNEKVKQEFSAQICRLVGLVYKIMNNILKGKYISQACQL LFADRKYLDLLVAILDITYKISNYIILYNKSCLQMIQVLQVVSSQQLQLFELSPQSLSTL MSIVENLQKHLLVQLSQEYKVSTSSSYHQPADKVSLDQTTDIVISTLEFVSEEQQLTQLG VIQSFVVPLNPIIDNILNDLIVCLIQGKCSQQTFNKINRQLFAIMCTYYQNFVNVLSRQF VKSEQQLGQALVNVLTKDLELRIKQQNEEQFKKNMPLFLSQFGIL >CAK81559 pep:novel supercontig:GCA_000165425.1:CT868407:324698:325039:1 gene:GSPATT00016385001 transcript:CAK81559 MNKRFNTQYPIAPPMNDISNLYKRIRIEEQLQLFDEVIKKVKIKKLIIQTPKNKEPFLVQ AKSIQLKTERTLSVRSPRIPKILKKQVLPKLVRQKPSISGWEVNIHNDSQMYY >CAK81560 pep:novel supercontig:GCA_000165425.1:CT868407:326133:327005:-1 gene:GSPATT00016386001 transcript:CAK81560 MKAATDMQAVLDTVGQEHPFWLQSRNRLSVQLNRSNQIHLKNQDPSLVQDIKYRYYEIVT IQQFGDIVLGYLKFYENNLQRMPFQLPEGDIGEWQDFNESETNYQAQSMIKMQMKKQYSL RKQWIEQQRKGSLIIANQQQARSAQSIYIPFNKTDGYCGSFDSPLSMSNPVNENLVNSKH SVQNSNGDSEQLPGGKRHTQNATKNRVSNIRKQSLDVSIDSLRESHISQQRNMSKAKSNL KTKQHELNIEHMEQSMVEKNRNCCGSECCQF >CAK81561 pep:novel supercontig:GCA_000165425.1:CT868407:327852:331179:1 gene:GSPATT00016387001 transcript:CAK81561 MSQHLLTEQEIKKIFPYTQSIHNRYLEQNRMESIRDELNNQQQQSISSHIKDLIVKDKLK PKLLQPITMLDESCNRGVRRMKKLLYHDSQNINLHNINQRREMVQLAQWLDMMVEQVHSQ KITDYQEYYNKLEIVFQGSVLELERQITTVGFEFGQFLKKLWEAFTQEVQKIILGLQQRN QQIEQKCLEDINQLHRNYQDAIEKQAESMRLMKEEALSVQNNIVKMKKENVYLRKKDKRR EEQLNDLMSDFWEQNLHLIEYQGIDKFHKQAQTAEEMDLVLQQKDMFEFFKQKFEVHKKE IENQYKILTLQDEYYDGSTHLIITESSVDTSDLIKTREQSEDTSEYYIYVSTSTQTPKQA KKVDEEIQVQPSQGNQESQVNSIQLRKERVPRNQTESKLFRRAKFPLSEIISEYSSLYFP EQELSSNDPQFIVIMADQIAQLKDRIEDLLTVLNLRGDNSSEDILAIKQYLQLNYGNFNF YFNQCWNVLQTQISVVMDAKIDKEEMELEMKEIENKFNENIVLFKKYHNRTKEKETISKF YEDSLIKVIRYAPQFLINQIKEQASTYGVLENVEFKEFKRRQGTNVDPKQQQQLSAKDLD SPTRSPNKTLTTINISKPIMKIQKIGSQIEISSKDDDDVYKSDSSKEGSGDESDVSFSQI KLDFKQSKPKLTIEKKLRISTCPIKSASYATNLLKQMISKFNINQRTGLYQLSTILQSYQ ELIMKIPNTIQNTPLHVHMYENIVSHYGQSQFSDANRYKRTIKSFLFFEQKSSTCQLVVK FLKAEYDIQDLNLYLQIVQSMQEYPSVSYSKFIDTLLKWFSDNKYSQSEIENIIYDISTS QVAYMQSQQGPCDYDILMYQFLEIFQKYKNRTSIKYKHLYISVDLLNKGSIDFYQWNFLY EVLCCINYSFSIRLFYQEADYCGDSGKMMSKQRFTLVCDELKIFQEDDQIKLLDRETYFT IREKINTQWMKEKIVMKMSFIKANKYNKFIKSIFGAIDSFVQNPNTCQFEPESICYMYKL LQKTWKPYFLESQLNIGIPVEISLINTTYRKLELKVLNKD >CAK81562 pep:novel supercontig:GCA_000165425.1:CT868407:331766:334377:1 gene:GSPATT00016388001 transcript:CAK81562 MSKQKQTDNKTNEQFISDLRSLFEVTSNRHKQDKDFLKKFTSLMKKEKLVDKKNIVNEML NLFKLASNSKTLYFNTIVDGLLLLQAKDININVVLAEAIKGPCQIDKKSKENQDNEEIKL FCFQKLEIQHAETQLNLSKVLVFMERVLKMISNKTKEEIKKMFQFMTSIQDILSQQLQLQ SLICILILKIVLTLRKESALQKTDMKVLYNLIDEQSIHLVFKNILTIAKTTYVHKYYHYI FKSCFMLLSEICIKNKMAIYFQTFLCSPISQTHLKTIFNIIKEFNYQFFQDIKDVVTIFI LFYKPYLFEKPRFLIDYIPTNFLLGLLNRNPGNWMCVQNFIQNHIQIDDKYLNFTLANLA QRQSKIVDPGCIFQSNKLQCYTQLNNRKKKNITQIDQLLTESIQQQQDVGDYNTYIYCQL HLLLYLNSFDLTSNYFNKTQISCDSRFFKRLFLLKLEDKIYKYQDVTRLSKPRQGINQYF TFQINKQLKQLKHPIAQSQIKNIYQDIKQMLNINYHFQFPFISKFSSYIKVLFKDLNQQF LNNTLFPFDYQQYFGLIMILSSYSKQAFQALIFSIFNSLLAMKKPHTVHQELTRFQLSAL EEVGVMFNEMNIEDSIFQQMIIGSIHFFITFEIKKNSKLNQFTQILERLKTYLRLNWKSE QSVLKQYKQLMLMAVDRFLMLNGLGAQSLKNNQSKFMRFFNTHLVNNIDFIEVLIKLTQH IKIQEVSKYLEYLGECYLGSLAIHQQNRSVKFQLCTQILYHHRKNALIYLEQNMKDPNQL YELLYFFQREKRLKQEQPNVESNGRYQCRKKLVCIIIVY >CAK81563 pep:novel supercontig:GCA_000165425.1:CT868407:334388:334901:1 gene:GSPATT00016389001 transcript:CAK81563 MKKNQKHICWICYQTNTHKRFKPCYCKGSLSYIHRKCLNEWATKQYNQNNQIIKCPNCKY EYLFAIKECYKLRNFSTWQFCDSIEKIVLICMTLGLIMISGLDFMSVSDYMQVDENDKQE VTMFKWVNRVHFGTVLIILCSATFNIVQMAVSKTELEILDIRY >CAK81564 pep:novel supercontig:GCA_000165425.1:CT868407:335038:336466:-1 gene:GSPATT00016390001 transcript:CAK81564 MRSKTAYQIRQTLKQTLFKDKKHQFNCNDTIQINETPSITLYKNFNEVYCYKNKRLGEGA HGIVKLCYKKLECNTIQYAVKIFRTGDPEIISTIKKTFKINRQLNDLQCVIKAIDLFINV TKEEIHFVMELCPYPSLDKLIQQKITLSIKQQQLLIFELAKAIDKIHSKCVCHRDLKPDN ILVQITDDDAKIKIIDFGVSKKFVTKTRNSTLNIEMWTRTGSLFYQAPEIFAGGGYNQKV DIWAIGVIVYQLFCYGLPFQQDQIIDTIEMICDPNYNVENTAKFESLDQLQQDLLKRLLR KEPEKRLTSKEFILHPWLYPYNQEHHQSKVQLYLNQEKDKNLSISEELLGLGQLDQNMVL TKEPYCASTIFLNVQEKQKEIDKLDEIGTHIYFYSSSKQLNRETERKDQDQQQTSVTQLD EIQDVCVQENHKTLEDDIELNNYKTVGSIILN >CAK81565 pep:novel supercontig:GCA_000165425.1:CT868407:336560:337259:-1 gene:GSPATT00016391001 transcript:CAK81565 MSQRKKKRDPTPTRVALVCLKTTQNNIQINNQSLLKIFSKHGSISKVLLFDDIANCQPKV FIEYDEVNSAIDAIKYLNNTKILNQISCNVYHSRLKQLKLDTVPYTKGLDFTNPPSNNIE QSEQIEQQLENQDKNNEWQDFQFENRSTDEVSDEEEPHFSEEKMKDIITKLNQIDEEINQ TIETKIVTALDKLRQTFKFHKQQENHLIQINQ >CAK81566 pep:novel supercontig:GCA_000165425.1:CT868407:337278:339516:1 gene:GSPATT00016392001 transcript:CAK81566 MFFSLSSIRKPKQEFNLLHLETQEYYFLSLKVSLHNNNEIRGKLHICSRSIVFQPNQPKL PMIKMKYSNNLILKILRNIDTKTMNQVMSIKWTPSLLMEDIDSLDINQLYQHFKRDKNNE LLDRVYKVQSRTANSQYTIMFLRVDKLFVINRNPISPYITETSDDNIVFSISQSNSKQNI IRFLKLYQGLTQAEDENSFISTIINTTLNEAMNEHRAQSSQQGLKIEIAVKCKLIKPEGN IYGIFSIQRDEGIKYIPLINSPKGKMLMWQLTDIKFFLKYRYMFKQIGLEIWFFNKKRSV LLVFEDPQQLDSVYKYLIRKTTKNQISSITIEKLTELWVNGDLSNFDYLMSLNTFSSRSF CDLSAYPVFPWVIAEYQDKTFDLTSPQFFRDLSRPVGALNKHRLQKYKQSYQDQLKSQKN NNNNDIIPYIYPTHYSSPGTVVYYLIRKIPEFVIKLQNGVFGPTDRIFRGIDSTWYTTLN LHADSKELTPEFYSLDPDFLINCDKLELGMTQEGEIIDDAIIPPWATSMADFLLKMRMAL ESDYVSLQLPKWIDLIFGCKTRGDEAVKQDNLFYPYTYAENVNWNQCRTSIEKQALETQV AEFGQVPVQLFNSGHPNRKLKIHAPLKQQRQQLNSSSQRNLQLTDIQQESENKDYVTCLQ NQMSSLQWENEKLKFNLDTIKQEMQLQKIETFNSQYDQEEIDKKGQDLMSDDSTFQKIKI >CAK81567 pep:novel supercontig:GCA_000165425.1:CT868407:339549:341284:1 gene:GSPATT00016393001 transcript:CAK81567 MVQSYYIFFLIKLINNVSNRSCQTSHLIVQGTKYTHIAYLSILTLQKHLNSQQLCQRLES DFKVLGRIGKGGFSKVYKVNNLLDKNTYALKKIELKAKDIKDSLEKNIERAQREAKYLAR LSHPKIIRYFNSWVEVMQNKLDSKMMRKQEQDQNDFVIERNNISFCDSQSNSRNDLENLI MFKDTQNEQISYSEQENDIQPKSRVSRKKKNHNTPNKKSTSQDEKKLEFDKIIFYIQTEF CQETLENYLQQRNNELLKLKKKNIEKYQLKLQKYEKEAKLILDQIIKGLDYLHNECKLVH RDLKPGNIFMNSPEDVKIGDFGLVTKLKQFYDFDDQDNDDDICTKMYAAPEQIIQNINKA FYDQKSDIYALGLIILLLFHPISTSMEMIKVINEARKGVLPSNLRDRHNKIAEIILECLN NDPKQRPNINEISFQDSSNSLSSSKKSSNEFSSDTTDYFIKNIGVCQVKFEDEDVQEKYL IFNNRQIQIFKNQKSQKAQMIYNLNECNISYIDDKIVIQHSQLENFSFTTTHNYLQDIYD QLCELTQSFN >CAK81568 pep:novel supercontig:GCA_000165425.1:CT868407:341330:341870:-1 gene:GSPATT00016394001 transcript:CAK81568 MIKNNSQSFSTLRKKSAISTSETTKAKQQPATNKTRAKSITSTIIKFYRKPITVIKPPEI DFNKIKVNAFTLANRNSHNSSSDQTNSRSQNSDLEQQTGAVMKPNTQDQLQILKRQKQLL EYRLMQETESCQQYQQNYHFLQERLQKLELAKNNYISEMNSFTKCLKLILNRDE >CAK81569 pep:novel supercontig:GCA_000165425.1:CT868407:342837:343818:1 gene:GSPATT00016395001 transcript:CAK81569 MLPTPRENSQQIYRGGEQFIKGNDLQVRKAQKTKDYNLEAMYKCYQFTSKITHISKFIRE LIKFSKNSIGFDQQLNYMEEQQWKSSHYHTNTAPVHLYQNISENDAAFLIKDQDTGNVYD IRNAEKIPVNREYMTKLKKRHKSAWQGWWQQKKENNQSLLNYVKSNNIKEVEKLLEIASK DLKPEINIRDENGLIPLHYSCMNQNYELALLLLKNEADCDLTNSQGQTALSVCAQKGCEY ILSLLLTIGADINHIDNLQNTPLHYACYFCKLLIKLRTQESYRDFIGQTFNNDKYQKSGW QNAL >CAK81570 pep:novel supercontig:GCA_000165425.1:CT868407:344012:345142:1 gene:GSPATT00016396001 transcript:CAK81570 MLVSLKNRIYEFTFKQINSIHPFLHKIILKQLDHLQLEQYYKQVKVHLGDVYLVEKRITG RPNQGPKLAMKVLPKNKFLGQNLLRYALTERNILSYLNHPFIVKLRYAFQTNTHLCLLMD FCPGGDLSKLIQRQQRLSEQQAKLYFAEILTALEHLHSNDIIYRDLKPENIVIDQYGHAM LTDFGLSKEGIHDNYGAKSFCGSMAYLAPEMLKRVGHGRAVDWYHMEGILLYEMITGRPP ITFNFQIQLPKNANLLFNPLLIKNPMQRLGASQRDADEIKDHPFLKQINWKDLLNRKYKP PIPVINEEILNQKFDIPFDFILSADKSSAINYINGWSFVNNDFVQF >CAK81571 pep:novel supercontig:GCA_000165425.1:CT868407:345153:347206:-1 gene:GSPATT00016397001 transcript:CAK81571 MDVADRIVVAIRKRPLSQKEIIKKEEDIIIVQNDNSVIVKEIKQKVDLTKYIEEHQFNFD LTFNQNHSNEQVYINAVRPIIRAAFQRAKVTCFAYGQTGSGKTYTMIGDIERQIPGMYLL AGQDIFQIIEMEEYTHLQVYVSFFEIYCGKLFDLLSQRNQIQLREDANGNVNMINLMEKK INSVQQLMQFIQLGQNVRITASNSSNSESSRSHAILQVSLKSGKTLHGKMSFIDLAGSER GADVQDQNKQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLTGNCKT VMIGNISPSSHSSEHTLNTLRYADRVKELKKPENRIFQGELMQRELMLARQTKNVTRKQF NKEEDQENARNFSPISNIKRQSLQPLHQSTQMFNNQNFNQQKEIRKSDISSAINKFNLAS IPSINVNFNKTQQINPNNYFPTHQRVNSESTYNHDYDHFDKNQNNLFLKTQNFSQSKQSI DLITLNQLNCYLQ >CAK81572 pep:novel supercontig:GCA_000165425.1:CT868407:347408:349819:1 gene:GSPATT00016398001 transcript:CAK81572 MLLFLIYITQSLSYLIFEQNGRINNSLHIDLNITKEQKQLLDKDYLWFDFQFDFPTPISI ELENTITKLDQLLQVQVDDAQYGLFICKDFQLSNQKTTMILDPLPQYQEQWYKYKVNVNK YPRILTRFQPELGFTKNSSEVIYSLNMTYETSGFYQIEINYKYGSGNLELQTCKSNVCNN QNNETKLLLNFSQIECTLILKDYYQDLCSHQLKVTTTNATFYYLTFKHYSSANVQFIKPN KISQIEIVNKEASLVLDDLNSLNFIYTNQKIKAIQYSEKSRNQFESNVIILAKEEQNLQD WDQNFYLQSNAHQNLTLQYLNSIQTLYLNSNFRLEQEEDSYAFYCVDTIFSEFVFELFVY DYELLVQIYFSNNTLYPNEHQNEFELIESNIKSIKMNGDTKMYIGIKTKQKALEYQLHIR EPTFYFLNLFQLRRFSYCKTCQMEYKYASQSNAEIQLHIYYDQMNYEQDNSLFEIQFIEN GKFIKPIQTYHSQNYLRFSLQTQVELQYIILTKSKIQQDLEIMITDTKLLELQFNQDISS IDFAVEIFKIQQTNNNIFYFYFKEDIEEKILIINRNGQSEVEKIAQNYYKVQFINNVRED AYTYFMIEGIKSQITFQVQEKTYKNIELISKNIFLSPLKYEQQLTSLTLNTQILNCTQLK CDELHIQKILIITKIGFKNKSIEYSQGYYNLSEYHQTLNELYDPISNIQSIQLFAQINEN NNNFNFSSQNQIMLQRQEPQLQNLLFAILYIIFIVFLGYIVFKMIFRKKVSIFPKNINKR RHNYEDEEESISIDDI >CAK81573 pep:novel supercontig:GCA_000165425.1:CT868407:349847:350987:-1 gene:GSPATT00016399001 transcript:CAK81573 MSFKYCIKECHENQPVAFVIRENNQWVLCCAMCQFESDLPKQSFTALKDLEKINKEIREK LDSSEIVQHLYGALFNLKQWQTNFEKLLFDLKSQIQLKVDHFSSLIETLNQKIEYFIFNN EKLQEVLNFREQLKVDLENCESDIQNLQMNLENAFLILTLGSSTQQTEKETKVPSIIDGQ TDKPEKSNSNSIISEQKPQSNISQQIEIEKPVDLPNPKTMSVLKVEELKQQGRIQLDQNT YYEGEVYKGMMHGKGKLVQSTPTYECIYEGEFFENKKHGAITETQIEIEDQDINQSFFKS LNLIKPTNQRKLKLIGIYKDDKKIEVFTKITYLNDVIESQRYQYYDNGTLTGEFSD >CAK81574 pep:novel supercontig:GCA_000165425.1:CT868407:351370:351735:-1 gene:GSPATT00016400001 transcript:CAK81574 MNQRKYEKQSRKSSQNKNQDLEQNPKRRWSKQDTPRNYDNINKLQYSFDYSTINNKYLQQ IRNNTKIKQLIAKQKLEQQNSHLFKIQQDFVPKPKWQNYQDSLQSIINQQKYFAYLDFQA S >CAK81575 pep:novel supercontig:GCA_000165425.1:CT868407:352466:354030:-1 gene:GSPATT00016401001 transcript:CAK81575 MTNKTKILNYMRPAMAIIPDVAEPERRILFKYRALWTAIATLLYLICSQIPLYGIYKSSA GDPFYWMRVILASNRGTLMELGISPMVTASMIMQLLAGAKLIDVDQNVKEDKQLYSGAQK LLGILIAFGEAFAYVWSGMYGDLDKLGAGNAILIIIQLVFSAIVMIMIDELLSKGYGIGN SGTSLFIAINICENIMWKAFSPITHKTQLGLEYEGAVIALLHGLFIQSDKIAAIQSAILR DSLPNLTNLLATVLVFLIVIYFQGFKVDIPIKNNKVRGGLTSYPIKLFYTSNIPIILQTA LVSNLYFLSQILYRNFRGNFLIRLLGYWQELENGQTVPVGGLVYYVSPPRSISEAIFDPI HTILYTAFILGTCAVFSKTWIDVSGSSPKDVAKQLKEQDMQIVGYRDSSMKEVLKRYIPI AASFGGMCIGALTIMADFLGAIGSGTGILLSVTIIYGYFETLKKEKEQGTLELF >CAK81576 pep:novel supercontig:GCA_000165425.1:CT868407:354133:355980:-1 gene:GSPATT00016402001 transcript:CAK81576 MNKTLSLLYSPETYEKIRNSNILIIGVGGIGCELLKVLTNSGYHKMTILDLDTIEATNLN RQFYFRKEHVGMSKALVGKESVMKKHPDLDITAIHGSIFEEKYDVEFYTQFDFILCALDN ALAREHLGRMCLKSNRILVDAGTGGFSGQANVVKRFSYQCNNCQPSKGAPQYAVCTIRAS PSQPIHCVTYGMSLYNLLFGPLDESNVLAGLLDLAQVHSCDKTDEQLLQSLALRTFNKLF CEDIKQGIKGYVKDEEANAINQHKYPISYEEGMNDTKVYTTIDQSKIEEEDDKIQPFKYY VELFVKTFVKILQTPGVGSFKFDKDDWDSVKFVAATTNLRTYNFTKKFKDIDPRLIEGDK MKYLSVNEVRQIGGKIIPAIASTNAIAAAIQVSESKKVLEENWNQLRMNWIQPTYDKIAP TYLPEPYPTCNHCSPRNVYLHLYCNFDTTIFGTIVELAKSFGLNDYDIYGQSGTVYGLHN KQLKRDGANERIYNKLLSKFYLRDTPYANMIFSVFEQSPGKQYYWQLGCKLELIVMHRAD IKVPQISKITKLETALEQLKQLKISKHQELYEARMENLVRFSF >CAK81577 pep:novel supercontig:GCA_000165425.1:CT868407:356151:358559:1 gene:GSPATT00016403001 transcript:CAK81577 MSNTLQSYENTCHQYERDQMQFKRRKDMNLKDEYSIKEKQKGLLDFDETSQKENQTQMSL MKKNYTKYIVKMQNLNLLNSYDHNVRVLDLSNSKMTSLHEGIKQYARLSIINLANNLFQE VPTCLFELYLSHINLNNNLLKTLQLGDKWKTSLERLDVGKNHIRILPDELFQMEQLKIVD LRSNDFTKIPKAILNLEKQLKGLGLDWVKYTNQNYFVSKKDMMITDDLQRFWDQVHQLLK DNEGILCHEYLIYNQKYQDDQIQDSITVQIPKQSNIVRATQRNTELTLQELTSSCQFIHT KTLGQDADVSDCGSNLMHMAVENEDIGIVRALLNIRFELSSLLDDASHTPLSLAIKEEKY HCAKIIINASANLNIGGGEYNSVLNQAVSKTQYYLIKDILNAKVQINKQDKKGNGPLHNL IPSFKKNLQEATRIGHLLIERGADPNLKNINNNTPLHLAIKKSSYSALRFAISINEQYQR DVFSFKLLGHKGNSVMHFAAKSENIKIILQLYSINPYLLFTYNEDQKRPFDIIQKNFTLS KLISVLELIYIKNNILKQHLKANSSFNGQQIKEEQTSQVKQKEKEIQFSKKNSFDLVESD EEGTNRIGLNNFQILPNLKRQQSQPPRRPTIKKENKVSCENAGPIFIHKSIQQDRNDIKQ IELQNQQNNSKFKENKNINKQIHRLLKDIENIQALMQTEKDQYSQIALEKLFISLIQQLN YYKEDPLTNLMIKNATQLQKIEFECRQTTTLSYDTSPLFLEYEQFMKL >CAK81578 pep:novel supercontig:GCA_000165425.1:CT868407:358583:359110:-1 gene:GSPATT00016404001 transcript:CAK81578 MINSTLFSKPKQRQIYLIYASRNQTQSKTTPRNTHLKLPNCSPQSRINDNKQKKKNSIVT PRIQRSQQQSPVVKQQFRIRDSSESIMKELELSGNEDLKDINKSLLLTSQEINKKMQFSI ESYLNNTLKQKKINHNLNNMIEFDLTQDNASFYSFELSRSRRETPLQPIKVYHKK >CAK81579 pep:novel supercontig:GCA_000165425.1:CT868407:359137:360022:1 gene:GSPATT00016405001 transcript:CAK81579 MLSEQIVNPEPGIATEFENKLVCHICDDELERPNHCDFCGKSTCSNCIVKRRKSKDDAFH PVCEYCERLFLERILILSAKKQKDDLANSLDQANTELANKRQQLYSFKNVFHNNPESTTS EQKIQKLDEDIIEATYQKNLLLSQLQEIESEKIQIKQSNIEKAQSVDIKQVKLQETTNKA QKSKDELEDIQRQIDEFLANLPNLEAEQKSAITQLDFSNYEQIFRGSNLPELMNQQAIKQ SQIMQQPSSKKKKEDNKECNIY >CAK81580 pep:novel supercontig:GCA_000165425.1:CT868407:360132:363320:-1 gene:GSPATT00016406001 transcript:CAK81580 MLYKFKEYFVIHQEHLKNKDQWRMLMVLISVYQICDIIIVTGDAITLEIEGDKYILIYRI LTQIFLIALFEVSLIKMIKTKNGFINLMTLLKFLCLVICWEELDYYAKQQLISRILDKFL ILACFVFAIESQVCQTLAIIFNLIYSLFRNFEFDSKMNIIFGSKLIVIHLFLQFLILIVN KKNIKNNLNSQLVTLGLNSKVSYTQLNSQRLSHPNRTLHQLYIDIPENRNISQIPHEQKQ DDQQTESVQQDQINEAILSSAEFGIYLIDSLTKSVQVINPQLSNIIMREDYLSPSFLETE LYDFGLLIDEPCLLLPKFHRSQDIAQFLKFTETLEYFPSTLENNIENDPTQIKRLQLLTK KISFKAFFDNLIAFNHLKFVNKRSPIDFSLKIYIKFDTCYMQIVLSPIIYKLKPQILIFV SDITEDPYITQLLNTTKNNDFLISDISQKIKQPLNCTISMLEIIMNTTPQEITEKYISPA LAGCKLLINTANDILDYAQLQKKDKLDLVQMDVQIQEFLTDIINVVKSQAIFRGLKISVN IKQNVPQFIRTDPNRLRQILLNLLVTSIQATVRGSIIFCVSKSQILNEHIDFVVKVKANE TNFSILKIIERTVRFFKSQTLKSKILDLGNLRQYSQSILISFYLTKCLSQIPFEYNYERV GNKEEFGFVIKINNLYPQFNQNLNKKRLSEFSNQQSFYQQYQNKEGLKRYQSQMSSLQPE DSNIKLDLKEARQKFNINVIQTQGPEPKEKQKEIQEQSNESDESPSEQQDDEVSIESKNG ISNRVEQMLKIKPYFFDYVNETQQKPEGMSSQPSQQLTFGGLGQGRSSIYSSLCFTSGTM QPNDLLDCFEKMEKIKSQKNKSNCRCTKILICESVDLDLYALSHQLTNIGITYEYVTQKS QIVSALVKLLNSDLQQQQNSNQQKQNGEDHQLKINNDNQQLKNNENQPCCKGLQLLFIGI EQFEEELYAMFNSIKDVYAEFKVEPRIIGLIGCVDEENRALSRKLPFHDYLSKPIMIDAL LFILAKWIKMN >CAK81581 pep:novel supercontig:GCA_000165425.1:CT868407:363382:364490:1 gene:GSPATT00016407001 transcript:CAK81581 MANKYKVCVLGSGAFGTAMAHCAINNPYIGRVQIYARNQAIVESINQEHRNPKFLSNFTL HPDITATTDLQQALYQANYVLSCIPTQELHQFVQANKQYIDTKVPFVSCSKGIILKSGKL ISQMLSEEFDGKLRYACLSGPSFAAELMQNNPSCVVVASQDVKTSKLVQLGLSGNFLRIF SQSDVVGVELAGALKNLVAIGTGVLDGAGFGINTQTAYVTRSVGEMQRFARIYGASKHTF YGLAGFGDLMLTSFGSLSRNRAFGIKVGKGEKVEDILSESKGVVEGWPTLELVYKQAQEN NIEMPMTLILHDFIKRKYSKEQSIQMLMNRAFQDEFEPTFEDLL >CAK81582 pep:novel supercontig:GCA_000165425.1:CT868407:364515:367975:-1 gene:GSPATT00016408001 transcript:CAK81582 MGQEQAKLFKRQPCTLHYKYDDHDLELKFDPKQKIGYQKPLRFQEIIQINPALRDLDQDI LIQLQFLHEQTLKSIIFEQLKKCDDLIILYQATKYIVGCTSNECLELINNYNIITRITQE QQKDLDKQILIIIIIHNIVGKLPSIILESQHISWLFRHLNPYNALNVSLILQILADICVN QDKCIENIIEILKDLKEEAKWRFATEPIFKIMEVQQNVYLIRDACTFINALAETHSDDEM CELIKQQIEQYGLTVIYDDIKLKLKNQEYQIAHCSYQHAMQFLHEQKYLPHYSQVDNFYF NNLYDFDPSKPLIFAYGYFDEIFNTDPDYFEIQKNQLQVQLDVYDSLIQPGFNPNKTILE RQNPLFVSTITKKGSEEGLVGALKGLWQSRGNETTNQQIILNAIKKSNSLLEIQELLVKL NDESSGVTILSRLIDLLTKKFDQIMADQKKRDQMRKEREDGYKQQITYLQLEIKQKSNQD NQKDFKNLNEKLNQLIEENKRLQDQSSQYIELKNKYSDVSSQLIEAQKVMTKAQQVSELE AKLEEYANEINRLKTTHTQPQLCKIQAPDGGQEPPPNPSENIAQVQTVSQNAPPPPPPPS LQSQVPAPPPPPGAKTNAPPPPPPPPPPPGAKTGAPPPPPPPPPPGAKAGGPPPPPPPPG GKAPPLPNAKPAVPTKPKCQPSVPLKGLSWNILKPDQIGNSVFQGMNENEIKFDVKSLEE KFASKPAKQIQQSIGVSDKKKEVYKITLLSGERTKNIELILGKLKMSNEKIKNALLECDK SVLNLNVIESLLNVVPTDQEKSLYQNPEELDKETLQIADLFYLELCQVPAQGDRIQAIKA EFVGMDMCKECRGKLKQLQKGFEFQKNDEAFKLLIKCTLAIGNYVNGDSARGGAFGFKID AISKAADIKSVDGKETLLMSIVQECEQIYEKQGKGSFFSNDRMDLLDFMCRLPVSQMTID INEIKKLQKFVQNAIKSQSKFPNDRVSRLEDFSQQLLLEITDLSQLQSTCEQLYSELCVF YCENPKTLQSDVFFQSIQQVWNNCLKSKQQIEKIYQMKIKEEQRNKLDQQKKLQQPITNQ TNMHESLPRRVSALQQLQQSLPSDAVNQLRLMKQNKNENN >CAK81583 pep:novel supercontig:GCA_000165425.1:CT868407:368116:369155:1 gene:GSPATT00016409001 transcript:CAK81583 MSNSPSNKRKFKIQYHVVTPGMYFDDSVTKIATHKRRVSSQGKIQPSILENYECKVQNVF EKQLQETEKLHNKVKPQKDQLTKKELIEKLVNQMQDHLQQKEKELFETSNIEDQKRLERR KSIFYQEQSHLAMLEQMKERFASQQEIKKQVDRKKTKHPSDSSPMRGTRKKTNLECQLYE QEQIEFGLQEYYKLQRIKHNVEKQLNRMGLKDSSGTDERTRKLSLLQNSSQLLHNSVLTQ EKSKSKSNPKTQNTNNILKRIKEKIQHIHTNYSNNNSMSTLITCCDQEIAQLKRIDNDIN KKKKIQIKKFKVIQNDLDAIGFMRFQRAKMQ >CAK81584 pep:novel supercontig:GCA_000165425.1:CT868407:369726:372676:1 gene:GSPATT00016410001 transcript:CAK81584 MNTFTKFPEDDEIANHKIKALELNASQFQAKMKPLFNENTKLKQHVISLNFYIEERKLQL ANLISDTGPNGEDRVLIKAVADFKQICDLLEEEIIRLNNIIIDNNNAKTAFQLNIKQLRE NLQQETERHFIEKQQLIDQNRKLSDYHKQQKQLNEQRQNRLQMKIEDLSSQIMQLKLNEQ KMHKIYKEELEIKTLKLNTELAQVKFEKQDQNEKFEIERRSYLKQLEVFSTKLNEVKMEL INEYEYKIQSQRMKMDNQIQKLKDDCQDYKNQTLQYIEKNKILQFQIDRYQPYTASLEKD IQDLKYKVFLFDEQMKGKDSKLLQKDILIDQLNEQIKRLKHDKTQPGTRENIRKQGTVVQ NNKNSSPDKVQQQKTNPQSQYKQIVKQREPIKQTSNPESAVQSSYKRTSIQKDEFNFEET TIEQYVENNRQEIMQSIQQQSRQSSVEDKPTQQIQIIKIVSNEPTSTIKTNNEGLQNTSI QYNKSLQTTQRAWTIQNDFGVQCDLIEMDSNTSSYYTSDAQLARCKNEIIRLKEEFEFKI KCSQDEITDMQDLMKISQVKNEQLVESMKREFEQQIQQELLKKDIEISQLLETIKDKDYS IKSYIDSEQLYELLIEDLNLKLKQKDEEELQLLKKFQEQVEQLKSENEIEQKRLLELTEN LKLNHKQEMENLNNQHLLDQEQLTQERKKLKEQQADHEIFMKQTTELLEQAKSKEYLLDS YRRELLKTNEIVKYLSLEIENYKKINNIFRTKNDHISQNFLYNGMGFLPTEVQDKIKNKM NKAKYKQSVPSNIPKDAYAMNFQLQKGSKMKPAKLASMTNNIQDLKNQKQEIKVKIEQLN EGSDGLPKINSKNVYELERSHSESKQKILNKNIRSKTQQEDYVETNDPKRIIQDINQKEL LLIQRSQMLLSQLNVTAENYQKQNQKKTNYSTCKI >CAK81585 pep:novel supercontig:GCA_000165425.1:CT868407:373466:374861:1 gene:GSPATT00016411001 transcript:CAK81585 MYSNRIVRTKVSSDFQNTCSSSFKRQQFHTQTGSISPCRITADNFCTAKTYTPAQSQNDK GQQLKKVQIEAFIAEIEKLNDVLKKKVNLIHDLTVKLNASEANLQEKRDEQSSLKTTYQR QIEEQQQKIEELLLTNQQLKQTNIQQQQELENLFLQIEQYNKESQKLKETLKNSQTIHNE VEVKQLRVELNNQKQEITRLQIQLLEEKKEANLLKLQNEELNNQSQIKFLENTLNDQQNT IDNQKQCIAQLRKTINLLEQNISDQFMSENSYNQLVNAFNSYKDQQKEQEAKLSKLLKGK DSEIMDLKDNFQSQQVQLEQSNLLVISLQNQIEKLKNMMKLKIDDFDLKKFENDQALQQP LQQHNKNHSKKINKDYIGTPQNHASQHQQINFIKEQCRHIPMSSQNFEKELSSYKNKMNS PSNIKFRQEAKEGIFNKKVC >CAK81586 pep:novel supercontig:GCA_000165425.1:CT868407:374899:376459:-1 gene:GSPATT00016412001 transcript:CAK81586 MSNIYMQIQSIVYHSLKIEKALYTKYSQQKVKNFEDFFSIKPVKWVIDFKDNLHIKEQEE YLKKYYPITQQSNKFQQLLEYYKYHKDIPRMFFGFLADIATYYYEKKKKYEYRKIKIQLG IPYEQSSLSTCIEFTVIIEYEKLNEDIQVLNSITKLSERSAKSLLRQIIKLQKDEDQINV DETWLTMQQEIQTEKNNQKQIAKQPTQNLKILKQLVIRNNQINKDKLKLNLPFHLINGKI QANIRTSQKQSPERPNPTQKSSSQQHINSSQEENEFQKYMKAKIIKLSQDNKLKIQKIFS NKVDQNNINNQAKHSSAHFITSTRSVSSEQLKTEQMRLSQIINTGGNNQSQPKLFNYISP VHKKSASQQSLQTECTPAKKDSKLIKIYSNNFSSKIKTQPKKTPEKFRQLYKTSAQTSQN SSQKNLIQNLDKLSSFQKFKIMLESPNPKQKKKLQLHNTDTIRLQTEYKQTTKRQSITLT QNKINGKIMMKKQ >CAK81587 pep:novel supercontig:GCA_000165425.1:CT868407:378092:379863:1 gene:GSPATT00016413001 transcript:CAK81587 MGVCCSKQREREDGIREQIAQTVVEQQKIKEEEEQEQNQFQCYSSGRKSQTYQFNQVDIC NLVTQAGNEDAPVDMEQIKSERVKKKKQEHQKDDANYLRKMDHVLSVKSIVVQLQQEGDM KYYKISMHINYKDLLYNVNLNGALTTELGFKYFTLNPKKFEEASKYSRFLTFFSNDNYFN VDKECTNNFNSFDKLLNVERSLQEVQANLQKAQRKQTTIGLQEKKAQTCLVNQTNQEMLE VITVVDVEQQSRFLTILFHLLIQKYFKKLELYLVFITNATFLFEILPQFDQPNVYRLALF YDKMDYSLKDLQNLCLKNQMELSKLLYQKLALNLLEIFYQCYSNYLYRLNFTLSTIFYVS KFRTFKLQTFGRLKYLLKFGYTGDEALKELDEKKMRLFEKAFKKDLFALCDLLVYFKKIN KIGLKQIQSQRKKYFQSISKGEDLADDNYLIYVDQIFSSQSDRYILEFIHMALYANQVKS VDKTLEDTQPIIEQLQKMIADYESNLELGVKGKDLQHNTDFQNLENPQETENRDIGLEDS VDLDNNQKYLKSFQFEFNSEYEELSINKDKVTIALFIIAILG >CAK81588 pep:novel supercontig:GCA_000165425.1:CT868407:379961:382366:1 gene:GSPATT00016414001 transcript:CAK81588 MNEDSWEYRLKMISKLMNRPIEIMNSIFEIFIDQFHITIMFQILTISQRKPLPLLNQFQK SINKQLETKVLMDRKLRSHNSSKGIIEQKKYIMVQLMYAQSYLNNNNFYQAVQKIQKVIG FQLKNQHQASLFYAYSLLISGEIQKERSWRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXNTKGELQPMSQVLYDSHFPEFIATEEAQEQCDHLAKDKI TQKFSYIKVQNLATSNKAKLEFMLGISYLEVHDQDNSLKCLKVAEVLLLRSFDIFSDEVV IVVLKQLQLYVLQDDIGSAFKIMHSYTRSMNQWYRDGKSFKSKIMSKLQFVIGNIFEFNG QYLGALRYIERSNRTYTNSKANNLIIQMHFQAKKLNLIQKIKAIFINIRNNQEQKKSNDL SMFQTLNEHMAQTFLTQFYKQRFVQKSSLILEKFGSSLIKMQYLQRLKLNDEALKFMNKT IKILNKTKKDELYAIISGYVTYLSPQNSKKHSQLKLLINQIEINERYFIFPIKEYHLIKC FIYLMILYTKMNETKQIQEIDTKIQQVIEDFYENLQWQTISNNYCKHAPNKFELLIKKGK ISLLYQISSIVELIEYYNNLKKQIFDIIFHGKQLMELYKTIRADFEMFINQINSQLLSQK QNCLFGSCSQMKIKHTLHQDEHKQLNKIQNTLYTQSYHEEGFNTNQNKEDQLKIILDIRS SQENMFSKKNLTTPKFKQSNKVEDQKSNHSQDNASKKQKSANNSYFMKQNDFKKSNKLNP FEGTLKKTQKSFQ >CAK81589 pep:novel supercontig:GCA_000165425.1:CT868407:382907:385125:1 gene:GSPATT00016415001 transcript:CAK81589 MDEFSIETRMRQVVYELSQPLMIRLSDCLRQIDEQQLSIDNLKQQIRQQNESQQSNQLDA AKRYTEIDILLKSQRILELEIIKNQHQAQQSSAQLKSKIEFMEKEVEQQKSHSQFVINSQ LKTQNDFEQLKKKQTEYAETFSKKIDEFFKDYFTFFVDCRNQVSQISKSQQMLLKDVQNQ SVQLEQLGIHKDLQEKKQSSLDLRVEWIVKEMNHLINEDFFKFTLDKEEQSRAEDNRLLK GTIQNLQSQIEVNNVSIDERIKGLETLEQQNRQQGNSFQQQLNNQTENFQKHFKEISKEL QDYNKTLNTIDEFRKKIQEKIPTIVNSHLAVFQININSQMNKLSDQFNSLKATIAKEMSQ EFNYKLDDIRSQLLTESLKQQSIKLAAFESQMLEQKKKQQKKDVELQVIISDNSLSQQEQ LQNFIIEQIGIKLTNWSQIGQKVGRINSMATKGGYNLTQLGQVDNYEFLDENLEDVKASV KQILLDMKDEMEQKLKEYETSITTAMQNFDKNHYHVLKLKSDIFNDTEYLNNQIKILFRE KDVINRKFQLLLQLFSGSTEVAQITAAYLLKQSKDQIKFTSTTGTVFELRNEICNYRQIN YSIEDLLKKSLQSVIDCWDKSELLETREYNPHWYFNKYIHKNLVGQSKTLDQSQEKTNIY TTQTQADCEVYVNRSGRQRNLSQRHSILMSLDSTRPKRVGANSVMKQSERDDSNERLPKV KK >CAK81590 pep:novel supercontig:GCA_000165425.1:CT868407:385178:387136:1 gene:GSPATT00016416001 transcript:CAK81590 MDQERRRRWVQTQQQKLEDIRLRKLSPVYQLKTENIRLSKTEKIIQLKQPEMLRHQQTMA IDEYISKGDCKLIFLQQQNEDIGFKTFTEMMKTNSSWWGKVDQLNPNESTLKNRLQFNQL QANQFTNKLNRKWTSTVKEITGLINKQQELQQLQKVKKIKLKPKPIPQVEEDEQKEDDNN KQLDPSSTFVKVFWFNIQINEWKPPVREGCSVTYIPSLNKAYMYGGIGNDLFKNFIALNT QTWTWKDIGVGKGEAPVEGRFGHTATLYKQSVIIYGGEKKFNNVMRIRECYSDVRMFNPA EKLWTQIKTFGDLIEGRRNHIAQCVGKYFIIYGGINSFGKVLSDVCSLNLETNKWNMLQI ENQSLEGVSDAASASLFNGEVKMENPYFSYECNKKKGKYPNVTQEGIYVFGGRMQNGEAT NDLRVIQFGFKPIRIIKLKTKGQVPVARYSHSLNYYYNLNALIVYGGRNDSKEENILNDL YVLQLMQMNWVLVQQIGGFKYGKFSHCSISIDSKILIFGGYTQNVYANADIQLIELDQHK VTKMIKETKVNNQLTINSLDLLTNANLKVFKTEYYQDNVDIEQNETNKPFDTMKMSSMNL KTFIPIPNKPSSQLMQEYKLLRLNSKFSKTNIFQSI >CAK81591 pep:novel supercontig:GCA_000165425.1:CT868407:387167:389846:-1 gene:GSPATT00016417001 transcript:CAK81591 MSKFFQEESNSEGENEAPRGGPEVDAGQASQATRTRIIQGLIDSDDDEGQRVIVTEKEKR YTRLREIIKEIKIKIKNQDFVTLLDKFEELNKEVEKSAKVFEKEGGLPRFYIRVMCQIEV LILQFTAEQKKKLGVNNSKAYNTLKQRIKKHNLTIKDKIDEFINNPVTTDQSEDERKKKV RAEKKAAGSDSEPEAQQKEESSDSEDDDKYLLQSNDPMVRRRYWLKKKLPDKKDQKVEEI QQEKVNKKVAVDIDYDVKFDIAQNKEIHIDYDPEAVKKKLEQIVSSRGVQKNQVENLYIC EKYIQYFIKENLQRAVEILLITISLQIDQAKSEAPFYFNRQSWLQIYQNTFLLFSLHQDK GVKVEKLHTYSKYDQENSFSEFRFISTLLVNFQTLEVELNKAFQNVDYYTQEYAERLSDL YQLTHLSQKLLELLKIKNDTANIALMSFKQLEAIYYIGPAVIQKLLELQTNKQAATPLQI FGNGDISSKIQSLVENILKYGDKETIVKATLYWVYNLALNGKYEQAHEIFISNISYDQIS QMKTLIQLYYNRALVQLGFGAFRQGLIYETHLHLADLLSRDKVLKDLLSQNYMKQVEGEY RYTVPYHMSINVETIEFVYLLAAMLLEVPNINQDLFEDSHKIISRKFRQLCQYYDSQTFN PQPENMRDLIYAASKELAKGNWTKCWDLLKQIQLWTRIPSYEQVQQQLKERVQEQALKCY LYTFKNAFSNISINYLRERFQLSEQITKTIVYKMIYYGEIKAIIDNQDQSFLIFVNDDIS NQTKRLASVLIEKLNQQCKNNEKLMDSKIGSFGIAVDKESVDQINKQKKKNVTKNKKPPN ASTKRK >CAK81592 pep:novel supercontig:GCA_000165425.1:CT868407:389956:390863:-1 gene:GSPATT00016418001 transcript:CAK81592 MQLKSVHQYYIKAKNQSKDQKGTKCKDQTQTTASQISLILSRMNNKNIQDTKAVSDRAKN NIQISPKLQNCLSASNLMSKTSQSPKISECTLIDKKFAKECPSRITNGTEIQLPTEINSF LEMEERKRRLNNFKEQLQQNIMKIQHLDQLQTQVKLIEQQLHSIVQTIIQLKDSLCLQLQ QEQLTYTQITQSISDSLYKMKDDILHNEVNIVESMQMKPFQNIMTIYKKRLDEHYSCVQQ MIGKRQFVIQFNQWCQQLMLKLQVDTKSTFDSEQKENCFYGSNKIAESPKFRSN >CAK81593 pep:novel supercontig:GCA_000165425.1:CT868407:390954:392048:1 gene:GSPATT00016419001 transcript:CAK81593 MYKLNIFAAKQFFDLQTPVNFLAKKKNFANVREIRQNNKIELGLKLGSHSLPHNTWINTP LTTNRIIKPQGSLALHQKFNKLPIYMARKDMIKELEKFKQRACSTSPSKKQKKYHNLNCN NFERNEKLKKYFQTQSQNSPQKLNSLSYFEDSRQQIFSSQKFHENNFQRLNSVKPTIQPK FPQLKKNQKTESNEIDRQNSELMNTSINQNFQECSSPKRDRIQNQKYYQPNKCTLQRNKF LNDSYRLYGFVTEIKDQKQKYSTLHVSNFINLNKSSEQNNNANSSKSRELTPQDKTMKKS RSSKDKLQNLLKQSHLKHLERHFRIPAKKHKSPKKQEEYDRNLVLSYFPKQDLEQILKKK QQFV >CAK81594 pep:novel supercontig:GCA_000165425.1:CT868407:392275:394751:-1 gene:GSPATT00016420001 transcript:CAK81594 MSSAIIGRKVDKEVLFNIDGQPINDNVKVLKFAATPQPQLVKQSKEPAKKEQEKGKQKKV NNNSSQKTIPKKSTQIPNSKKQSESKKQQPTTQSHTVFQNITNHQKSAKLSSPESQRSPQ HSPKSKSPIRSHNAPYREPRQFKPLSEQFPNLVGALFEQKKFTEDVDDDSQTSGTESYIS DREQGDDEIRQAPQPESNPQVYRNVSKIVAENNKKYVYSKKEEAERQERMRQEKLQQRER MKKYGKQQIVRAHTQRENFEQKFAWGVNQKKLQEEKMKFSKLQEEKEYERQLKIEQQEEE QKPNQVNLAKLFPESQERESRYKKMWVEEAKKAVDKKQFSLSQREKTKSPVRCKSQHSSK LNQDFFEQNAKNIIDEMQQQKKQKQKETIIKKHKIDYINRQVKAIFSSMKKRKWTPNKEF DNKVGPSVSLSRIKQPREDFGVKEMFEEAENEAEDLHEQGTIQHYQQKVKNGKVLTSREV REFKEDQEKKQKKVEKFKTDLKDRKNITLKNPEPLTEKELKLKREMAQQIDIKGEVEKQI KKSQTKEPRNPQKVQKTTIQEPDLGEFIFAEAELPQYKGDYEEEQKMGFREQVEMEIKEQ ILMQKKKRPAVREVQQEKPRQEYARKPQEREKGPKYRKEQKFVSQPRYPVFHDLGEVQLL EDDMYNAQHSKWTPYAGKLIDNSSDEEDDKDFLEKTTIQLRSNLKKGKENVGSQKTYSKS VQGKKNVYFREPETEKKDIGNQYLTEQKEQLMRIVQNQKARGQMTSDDDSDTDFCKAYSS QIWLATDPMKRDVFIMTSKELMDLPHIQL >CAK81595 pep:novel supercontig:GCA_000165425.1:CT868407:395646:396065:-1 gene:GSPATT00016421001 transcript:CAK81595 MILKIEFWIPLNHCLKQIKKIDILSQLDEQQQKDQIDDQQTEVCSEKNLNKDNLSNDQYI VVSKFKDHDEEEEEEDQISINLDDLFEFQSNYKEQKTHDRQDISIYDSLRKKIDFKTAFK IVDIVKLYENI >CAK81596 pep:novel supercontig:GCA_000165425.1:CT868407:397347:397952:1 gene:GSPATT00016422001 transcript:CAK81596 MLGYSFEYYFKGCCINQTYYLLKSGKEDFRSGVVEIQSTIENIDGQKKISIFRMMMIIFT LQHQSRQNTTRKIDFYNLCFKQQEYLMFWIEFTMKNNEYNSFILENRILFIYVISSSRTY CHKNEIQFNIIFKNLVNNNHLKCRYGVPINQLSSKIMLKYTNIQEGIFDEDVYFIIYRDI FSGLQCMMEQKFNFINLHNFD >CAK81597 pep:novel supercontig:GCA_000165425.1:CT868407:398382:398771:-1 gene:GSPATT00016423001 transcript:CAK81597 MGNGQCCKRVERVETLEVDNSPPQNTKHASPFDEPQQMVSLSDSDEEYQPQKQHQFGVFK ERQKSSQLSTGQQYSFQTLPSEQQFSNFITFQAIPSQQGIHSNVFSKFQKEMNEFASKQT KNQKNLQKQ >CAK81598 pep:novel supercontig:GCA_000165425.1:CT868407:400178:401534:-1 gene:GSPATT00016424001 transcript:CAK81598 MNEITIPCSNCKQPIAESKHMLHETYCLRNNIKCPKCGVFYDKNDPDSHEEEYHKKEKCQ YCSFETEDLKKHKCFKKPKQCMHCDLSFPADQLFQHENQCGSRTQKCDICNSYIIMRDYP THLMTCTPKPKPDSTQVQKKPTTQEIFDKPNYDRSKIDDRIDQQKFISKKETPQQNTSNQ VSSKQQVQQQQQQQQQQQQQTRIAGSGYKYGQAAGESKTSYQLKDEDKNRQKDTIRPPSS NNSTGSRPQAQIATQGTQPKQQQYNATYNQRQQNSTKKEETTQFDNQYERQSQQSQPQPQ QQQKQSTQAQKSLESYKYSQNDKPQFQSQNNNNPGLRRPDTAIAREFQKQIELEEEFGIS PEEYLEQQIFNTMPINGKTEKIQPVKKTPPPQSVIPARVPQNYDSKEFDFDFYDISEEEK LAQQQIMESLKDNKKKR >CAK81599 pep:novel supercontig:GCA_000165425.1:CT868407:401548:404173:-1 gene:GSPATT00016425001 transcript:CAK81599 MIRIKASKINDVIFRDFPLIVSRSHFANRILHILLDTTLSSHMRLLTKVLTRKPKVIQNI ANNGVHIRRLKGLAYMSNEAKELLKKYICDNQLMILKIRQLFSTIAEPVSGGKQWMKFFQ NSTGIQINIERSLKNEASENLNYYVRQNYKTFNQEQLFSAYIKKDLQYEIQKQVQMEILE RFAADQMNFNENMLITFLNKEAQMLPAYYSVIAQNLLLNGLKIFDQLSIYQQIEYMRLCL QSGFLHGDILQKFIKNLKIDDEFIESLSLLQFKNIMTLFTHCAKSPYDFGLQPGFIDYLC NKYIQKLSNFELVASEIMKYEFSQLMINYHFSFLSDEQFSEIKIAYARILERMFNKNYNF IVQRNLNTKDIVQKLLQLYQPEDFKLLQISLTHLITNTINLGELKADHMIYSLPLYFRYF SPQLYSLLLKQCQKQNQNYKISKYDIRQFMFLLNNNVLKGFRTIMEDKSPLDDVENYMKS SFSQYLSNIKDEELMIFEDTFNPSMEQDTELDFNDSEYAEILPYYLNNYKTQETQNQINQ RQFLNNLTLKFKSLQKSLQQSGFQRISIQKTNKRVSIIEPVLSYIEVLYYASILIPEFQP QTKIFKTPQMFKLMNNLINLPNLLEHLFQVKQTWPNLFNEELKSIITTNKAKIDEIYNTC QDCQEFIDKVEGKIDLTESHKSRKLLYTNKAYQGLLQLFNFARPKPHNKLSPILKNPYEE YTIEETYSDQEVNLYWKLSQRSDNLIQHTHFKELVWQAIKLDKNKWIMNYSDFPHLVDFA CPKQKVVVLLEEYPRLIRGLHKVYTVETERAVEHFSRLGYQVVFIDYNVYHPDTEQMLNI LKQKFVFRYN >CAK81600 pep:novel supercontig:GCA_000165425.1:CT868407:405075:407741:-1 gene:GSPATT00016426001 transcript:CAK81600 MEQIFGNSVSHSNQIALSSDFAYSAGQKVVYYSIENNEQQNFLIGQAQVNSILISRDGNL IFTGEQSFKSPAINIYKVTTEKIIEPVHRLIGHKFGIKQLLESPIKPFLLSLGTEQDKTL FLWDLQTNKSLAINKLQNKVNSIRFSKTGTILISAGQSHLQYWQFRQDGTPIFEGLTLQS KSFQLFDQFVSKNYIDCFIDDNVYAFTSDALLCIFSLATKQLLSFMQLDLIHLFTYTFNG KYFFFGSSNSKIQICDSELQHVSFLTQNNQTTQEYDCIGIEANDIYLISAYRNRNIAFWN ITNINKIEQIRVLYSHSNVINDLIVLKPQSTQEVSFFASISEQYLTIWHTNSNNRIRKLN LHSNVTYVEQFKSDFQYNELRCLSLSSDGQYLAIGDTYGLLKVFSFVSFKQLASVQAHTL KITAIQYWAFNNSFMTGSEDKTIAIYEKSNFKNYCLLSHHTDSILNIFTYQNKIITYSKD KYLVFLEYSQSNYNIYHKSMVSRINSIDQDQNLLFILQDGVVSVIDILRNHQKLILNIQD CLKLRVSHQDNYRILATTSYKKVTLYKYLNENDYKKIEELDIDNIVTIEFANNNTHLIFM TREANTIILWKLNSIKSVKAQETQSLQQSWVKNHFWNNNKQSSEQEQQIEEDAIIKFDSE SDEGQIEQNKVVSETPRLDLLALTLGAQHAGEIKKQLEQATQQINKQIQLKQEEQGLLHN PFYEEQKSMQEFHKKQNSKIMQRQLLNKAPKQDQVKDQSKVEQNYAITNSIALPIQSFLG QKNQNQIVQYDKMPTIEMDIKRFESSQVMKNISQSIRNISKFQSSFQPENSIVLNCSYNF TERKQDDQNYDDENLLYVFDIKDKIVKSIDQKCQISNAIDNDLIERTY >CAK81601 pep:novel supercontig:GCA_000165425.1:CT868407:408257:409675:-1 gene:GSPATT00016427001 transcript:CAK81601 MNMLQELNQSDSDSYPQKKRGRSTNDRQSKIRQIILVTSQEKFEFQKQYQLFQLFYESCQ NISIDFVYLKEYFNVGLNDCPSDVEILKIQKKLHNRRFSSNPKKSWGFDEKKVLIWVIGK YCSLKQKNCRFLNGDDFNEIAQYLFRRNAENIKQKWTSMHKSSLITQPFTQEEDQQLQLL FEKYKNDENRWKQIAQEMSKVNQIYRTSKQLRERWINYLDPSLVKIKDPWTDREDLELIY QIQQKGKKWTEIAKQLKRNENQVKNRFNGLLKRDEVDDDLNKLIDKILWRISKYPIDELN NQNEKQHFHNNHHQSLLLAVGNIESITRETSFELTPCMVNLKTNQIFFTPNYMLQEILQY QQQDIGDEFEKIKREMEKFEPPQFRVASLSVISEEYQQPQLLHNQHFIHSFSEIPNFESS NLPASIEVKTFINPFYSLKNLQQKYVRYRTDLLLPKVMNTIPWKSQPQLLFC >CAK81602 pep:novel supercontig:GCA_000165425.1:CT868407:409934:410203:-1 gene:GSPATT00016428001 transcript:CAK81602 MNLQIQSEKCIFPKKNKHSFGPTPQAVKISNKRLKRESKSVMEVSFKKNDQVIIVENWKK YNEDVSNLEQPQTPIRKFKESSCTGCSIF >CAK81603 pep:novel supercontig:GCA_000165425.1:CT868407:410499:411344:-1 gene:GSPATT00016429001 transcript:CAK81603 MSYGWLTESSLIPEPAVPINVDNSSVITQFIQAQIVAMKIALMKDKQNEQGALSKAKVVK KQEKRINKGIEERIKRDMKDTAAETDQNRLEKLNAILTKKAEIYERQKQTGIVTKNSLID FNLKQINDMSEKDQEQYEMERQDFIKKKKEEIHQAQRSLINQRPEIANPQLGFQQNQQEL ERIQWEQQMMKEIEGNIKDSNQILFEKGPIEQSYDKKLSQAEKEKLPNVLSEEQEAKEKI SEIARKRKEFQEIRMEKLKKLIKK >CAK81604 pep:novel supercontig:GCA_000165425.1:CT868407:411486:412835:1 gene:GSPATT00016430001 transcript:CAK81604 MIFRLQFILIITKQMSSIQYPDLEKLTVKIRQVLQKAQVQFNDRQQEIQQGYIEDLRQYC NIVTVCEAQLILSLGEYDLAYLGEVIKTLSGYHLVILNNLLLWIQQFQLHLSPHPRSVPF ECLIQQSIKEKHKVAKVNQSRASIEMSSIGDFIHKLSINSKNIIDSHPSPKEVPQGMQIV EEETEKMRDAIKNNQGMLKKLLIQKKKVHQEVQTNMSSLDIMFLQEQNQELKQLIDTTSA NYERRLKEEIIKYQEAKIIMKAQKSELDHKISLIEAQGSEILKLKNRKQVNSLIKKFIQI PTIEERNKRFSISQDKNNRSIVFSNQKIRSTSLPRIERSFESFSKKLDLQISKNSIPNQD SKYLYQKLMLPQEQIQFLKACQYVGLQYPSNLFDNQDGGESPKYNQFIKLIQQLKVRHSQ CMPKCDHLNFLQ >CAK81605 pep:novel supercontig:GCA_000165425.1:CT868407:412862:414319:-1 gene:GSPATT00016431001 transcript:CAK81605 MAKEQLYTICSRCQELLRTLSQSRAINGDAFEALSKRIGDNRQADILVTNLLFRPMIVFL KPKYYRIFMQQHQDYTKFPMIVDKRLMTKGMIMEGGAKWLQQRRLLGTHFEYNKLINLIP MINDVAQRKLANLPNEVFPAMNLASSITGEIVLKAFFGEDIAQLSLNGQDPSQCVTQLIT RFGQLTTESRFFQIKRALLEEKVFSLFPRKIEQDLYELNTVMLDHMKKAIKNRMEKLKNC KNIEHQDYLDVYLDVYLNKNDSMDPPITEDEILQQSITLYFAGTETTANLVTTACYFLAE NQLLQEEIYQEILEVLNGELIITAKHLQNLQKVAGFLMECLRLKPVAPMLISKQALKDLY IKDIFIKKGWYVNYMVLSNAQNQKYFSNPTQIDITRWKADGTLKDSTIDPFAFVPFSAGG RNCIGQYLAIIESKIVISKILLNYKLKLNQKIAKAEWIAKFTYGLSNQDYITWEAR >CAK81606 pep:novel supercontig:GCA_000165425.1:CT868407:414415:414878:1 gene:GSPATT00016432001 transcript:CAK81606 MINQQVFEQLNSILFKQKFENQIKNMYPTQKNLEFKFIVLKKIETQITKNQKKITKYWIA DETGSAFLNLHDMDESAISPGDVCVMVGAYTNLFKGMMNIHQGKNGIFKKVSEFDLQYST YPNHSLKNWGNDQQTTQI >CAK81607 pep:novel supercontig:GCA_000165425.1:CT868407:415693:417269:1 gene:GSPATT00016433001 transcript:CAK81607 MANRLKTQIQFSDDAPVLKMDEPEVCKRFEDYYQCLQTLGQGAHAVVKIAKKRSTGEIYA VKIVRSGDQEIQSNVKRTFNNTRCLKHPNIAQDIELYINEKMETSHLVMEYCKFPSLESI IKKRPLTPEELKIVIKQLLLGIQHAHSKGICHRDLKPDNILVNLDGDSEPPNVKIVDFGV SRRFSSKGQQIEMLTKTGNIFYCAPEIYHKAGYSNEVDIWAIGVITYQCIFQKLPLHSNE LTDFIELLGNQNEWRFQESLNTLEQPLSNLIMAMLNPNKSERITVDAALQHPFFQISTIK DVMAFINKLSVAQNNRCQDKQLQSSLKLDDKLWGNVIQKLQNSQNGDRNDEIMIEDLIKS FSDIHIIEKNGEQCGFIQLMNSINSSTALMSRLGSKQEILDRSFGYQFSSSSNKLCNQDQ LENIDKIESSIDMRDDQQSTNNNKFLSQLGAHLDKSIDVMPYEGLMASSKYTPQQDQFGI VKKPSNQDKNLFEDFFIKEVDETTEDSL >CAK81608 pep:novel supercontig:GCA_000165425.1:CT868407:417357:418495:1 gene:GSPATT00016434001 transcript:CAK81608 MKTSIQSERKPGKSIPKPRDNFTNQSSNSKKEIANESNGFDASKPLLIQPIPMEPKVKQN CLIEIVELANSLKDDDNVSGLVFKQIIISIEAKLTEFQERLENRDNLTELNSHLQKLQHL QTSPYSPNGNKASVKKDDSNNDTSGLLRNQSQISLPQNDNVSIMSAQDKMKSPQGRRSNS KQHSAILPNISQQAVSDNNKIAALEKRLRTNEDNYIKLTKEVQDQYTSNNQKLEKTIKAL NEKFMSFSANNLQQQYIEITESQKALISHLHQQSREITEINNAMLKLKETQDSQNIEISN KIEHSLQLQNENFVRIQSLSSSLQSIDADLIVILKCYKDILNDIFKIDLIDQQQKKLISL LDEQ >CAK81609 pep:novel supercontig:GCA_000165425.1:CT868407:418536:419407:-1 gene:GSPATT00016435001 transcript:CAK81609 MSQQQSIQKSATQSTLRTFTDYGGQQRLVNYANLQRNLSPQAELCLAKDYNSKINKYVKQ EKNACTLPSECDSKTIEMCKENLNKIATLSKNNPTLKPSDPITQESKSLNENKSHIIKTP LSDVDNLWNFHNNPIAPRQNPNSKVNYQTQQSDWKPSQKSIQPALSKTKDLFEKRELKVA ESKPVARNPILEDNQTKQYGKHESYGKSDHLECKKLLSNGYGQELKYKEDKNMAQKPNSF QLSDRTFNYSTVNQGDYRKKPSEFENKNTFFTRR >CAK81610 pep:novel supercontig:GCA_000165425.1:CT868407:419622:420324:1 gene:GSPATT00016436001 transcript:CAK81610 MIKHSLTQTSSTNHNFSQKLQLQKLKRNKQMVTKAVDMLLNPFDPHQVSQSLRFLENQSQ RKSLLDEIIGIREERKRKQEFEKMSSMRGILTKVQKRFSVVKTQDIKFNRDFNDFKILQE ERNKMEKLQYENLNLQINERKSQFKRMSSLNFLTRESDNHLFKHQLSLDPGAPQSSLMLT RKTQRNTTLLLSKITNKQIPTEGNTEKVLTSPQNCLIITQETDQE >CAK81611 pep:novel supercontig:GCA_000165425.1:CT868407:420946:422439:-1 gene:GSPATT00016437001 transcript:CAK81611 MFDKLFRQFAQFYESFNPSYFIIAVVAFSQGVQHLADLSINYMLKDDFGLSPAMMGLYLS YTTTPWIVKPFWGLITDSKPLFGYRRKSYIILFGIFDALGWIALSKYGTDSLYSALLLLF LIQLSTCFVNVVGEAILVEVAAQASRQQTNFQHGASKNVSIFFGVRAFGTLISAFFSGAL LHYFTKQEIFMMTAAFPSLLVLVSFFYVEEKVDPRALDQNDRRNNTMQCIKDFWTFFQNP LIYKPVALIFCFMMAPSSSTIMFFFYTQVLGFEPSFLGQLKFVYALSTILGVLLYNNYLK DVPFKKIFITTTILYYFCYQSMIILVTRKNVEWGINDKFFCLGDSVMLQLVGELNLMPIL VLACRMCPKNIEATMYAMLMSTINFGSTLGSQWGALFLIMLGVNQNDYSKLWLFIILTGV FILMPLPWVGVVQEDKILKSRDQQLEETKQKDCESDTVSTSNLRQEDDQQQLLSENEQQK S >CAK81612 pep:novel supercontig:GCA_000165425.1:CT868407:423236:424665:-1 gene:GSPATT00016438001 transcript:CAK81612 MQSLFVKCQKCQSRPATIKCNQCRYGQTFRLCYSCDSQIHNRSGPIDQQHKTEIIPYQEM YQKSQGFAPVPQKNDQRNSFKKNEIKPAGQVPTKDYLSNDSKKQDYSKTFDVNRVNNKHD YLEKKIDSNDKRVDQPYSSNQKFNQDQDRGSQSIINQLKEEQQQTERLRAELQLAKDKEK ELQRKLQKVEQDQEQRSKDDKQKIQQLTDENRNLNNKINQANKQLQDEVNKVKKQQQDQI KKLEQALKEKTEELENIAQEYNLEEIQSMIEQLQQESQMKDQIIEQLQQQLQDNQEAFQE LQDKLASSSKQKQQSSNKKSVKSQDNEKDEVIQELAQQLEAKDEEIRKLEDLIENFKQLY QHMSDEKQQLQEEVDKLANENNQFREIFSVFFNRKLQQNLHLFGIDPEQLNEEGEEGENE YPEEIAEENDEQND >CAK81613 pep:novel supercontig:GCA_000165425.1:CT868407:424880:425893:1 gene:GSPATT00016439001 transcript:CAK81613 MINKIKEYISSTQPVVDALKDLHIYSNDNQFQSQQLISNRLRPFFESQQRKKLFLQSLFE EVEKESVQEGNLQRKFKILLLLHIILSSQVGRSELSKILISKQLNLKTPNQITSKLGLVC QQYYHYLYKLASQTTFIHEEVVDGDLLLYFTLSNQCYIGMSMQKIIENVDSFQSNLLLAN IIKFIYYDLQDIFIFILKDIKSLIENKEDIQYSKEQMLELYKECQILQTRMLEFYRFNRH FEHFQQIMPPYSLPINKESIGQLYSSQPKVNSLQQIINLKENQLDRQQPQTSKQKNSQKF EFQEKWRKKQDSTEFLFSN >CAK81614 pep:novel supercontig:GCA_000165425.1:CT868407:425896:426979:-1 gene:GSPATT00016440001 transcript:CAK81614 MKVTYTKYALFSSIFPIPQLVLKRQSKLMMTRNAQSSLTREWDKLQKQTTCGEELQRNMC QRFTGGNDKQGVLFKGRVRILMRKGHKGYRPRKDGEMKRKSIRGCIVGQDIRVLALQVVK KGANEIAGLTDQNVPRRLGPKRLTKLRRLFGFKKADRVISSEEHGPQRYGKKRQKAPKIQ RLVTESRLRRKTIQKKTEQARRTKAKQALEAYKKLAHDVHEAHKKHRKASSEIKEKVKEQ PKAKDTKATTKPAQGGKQATQTKAQAPVKAAAPAKTTAPAKTAPAKTAPQPTQKAPTTTT KAKK >CAK81615 pep:novel supercontig:GCA_000165425.1:CT868407:427686:428496:1 gene:GSPATT00016441001 transcript:CAK81615 MSSKHVTNNIKSKEHSVFQSGLLLLVFGNMNLHILYFIPSTMISLILFFINLKWFKFYLC KQFIVVIDLQIMNLSTFHIQIVVIHLSFLLIIILLLYTIIFIKCSVIFILFIQLFQLLLN YFKFTQLYHCFKYQLLIIDLFQNSYWYLKGSKIVFRYLYLFYQDICYKYFEMGCFQSRNE KLIEEQKEQAAMNEQDKNNNLDLVSCSCSESAISQNKSTKKVSIEECRNSFNKSIDLMEE VNKKLEAFSGNDSDLSDSELN >CAK81616 pep:novel supercontig:GCA_000165425.1:CT868407:428500:431383:-1 gene:GSPATT00016442001 transcript:CAK81616 MSYLAQSSRLTALLISLKNKKLITPDQYTRLCDYAINDNAELKQLFVDYEKGLSQNDFLS GVSIILNDRNGCEHIIKSYFESVVSVNSQLKGKIKEFYRDIKTNMNEIYEECFKAQHHLQ EVKDLIKIVLKQFYVEEFYLIQFEEGHQCVILTNNFDFRFFKEEYKISSWAHKQNAHQLN NTSTEHHSLLKTHNLPKQYIISQHAVLFLTFSDNVNKTYSQYFMATFLYDKFINLIYQGY QIVGLKKMQSIRTEILQLVSKTILLYNYKLMFQILVQIMQQFNLSNLLCQFEKELTKQQT VSNYLLILLSSDLDIIGIELEGKLSLQLVDKVKSTFKKSIKKYKKKLKSKKDLLESFQQV TQHNEMIMCLFFNNYLNLYYMTVQWNHDQFVSKYSLPKEINYGDPIYKIFDQNPTIIQKV KEIIDSPNDHLEFNDGFFKFSLKIERGFKQQIKQISIFLFNIQVKRPLAELFRIFRNKVK ILLTIRKATLAFKSKQLMMENQFLRQSALVMYLPDQDRNRITQTHNQDHDQDLEKYRSYT MKQATKKLQTFLNKKDEEDNKNPELRRIAIDPNLQQKLLDYEFNLLNKKMYKNKFIIAYN LFHMCEYTKQYPLLTKEFINFITVLKYKYNKKSNPFHNFTHGVNVMHGCYLFGHHQKFGC YFSDLQRYAMTFAGLCHDVDHSGTTNLFQVNAQTKLALLYNDKSVLENHHVAVTYKLLAH KQCDFFGTIPKQDRITIRKYVVNNILATDNQYHFNLLNDIEIRFGQSKGDPKIFETEENK LLLSGFLTHAADFFGAAKQYQVARAWSERLRKEFQAQSQLEDIIGIAQTPYLRNLDNEVQ YAKNEAGFLKVIVKPIYESLNNYSDGALSLQLGNINLSIKKYEEIIESANQ >CAK81617 pep:novel supercontig:GCA_000165425.1:CT868407:431654:432758:-1 gene:GSPATT00016443001 transcript:CAK81617 MISDQLRQVLSNNTPNIIGPQLSRKTILLKKKNKKICNNTLNQLPQKGNQDVSQKDDKSQ KTDKTETITIKSHSNIGSQTSNSIPCISQDVFDGIEIPPTDPIWLEIIPQELLLETTVEK LLEDNKDYFYNLLGLYLQERAIGDLNMSRFVLPQKFQTQYSKDFTLKSVDRKIGIDSRIY EDYKQYSSQNQNTTTYKQYFQKPIPNDITQSFKPTSERSVLALAALTTYKANHINFKQPE IPELMKAPSNSTTGKLQLNGNSNYNLDYKWHQHYERLPNFKNSYSKLNPISNSDIFFTKQ RASIDYYPLSPQPKATQVLTTPAFQGQFMTTFKQYLIHFYLQVIFNKLTRN >CAK81618 pep:novel supercontig:GCA_000165425.1:CT868407:433086:433360:1 gene:GSPATT00016444001 transcript:CAK81618 MKVIIALLLISLVLAEPNLVGQESQAKIHTFLGKENCSDCEVKGGSYCEIRTNTYVCCRS DDQCQKGVGCLQAFTGIIC >CAK81619 pep:novel supercontig:GCA_000165425.1:CT868407:433367:434786:-1 gene:GSPATT00016445001 transcript:CAK81619 MYYPYAIQESEENGSDYVSAQDLEQSERIANTSQRSLSDYQVRQGMFEDSADSYSDYEDQ YQDVQLIDQSRIVNLQEVKVFLDEVICPICFHIIIDPKICKECDQAFCSICIERWFQKSV NQQCPCCRKGVNKRNECMYGKVPKVMLNLLSKLMLTCRYSSEGCEEIISYDFREKHENQY CQYQEQSCENAGCYETMFRKDFEDHQLECRYGIVQCKYCSEDKLRMDIELHLQECNCRPI LCEWCQEKFQHVEIDEHFKQCEFKDIICEYCKRVYKQYDMEQHTPINCLKSLYRSSLELS QQKDEKILELQKQLEFLKQTKSMEQSDLNQSTDEILSEKYKQDFEVDVEEVIEEDIQIED SEQEQFQQNDRYNEDQNQSDQKSENLSNDNQDQYNMDEQLDFAEIPSIQEIKMRGLFTSF LEKFTDNKFKDLWDWSEEELNQVIDWLK >CAK81620 pep:novel supercontig:GCA_000165425.1:CT868407:434888:435756:1 gene:GSPATT00016446001 transcript:CAK81620 MLDNLNQDVSILQPSLQMTDSQVQNRIRQMKMEDPDDQTCYQRFCNLFTIEYYREYFDVT TDLVIAKVVNAFNPFSGTFFDIGGGIPELYGPFWILNTLIFTTALSVNIIKYMQLQPGEH FEYQFQIVPILTIFMYLKTLIVPMIYRVALKCVSQDRLTLLHCFTIYGYSAVVMIPISLL NSIPNPAIQWMLLVYVLFAQTSFLTSNFNQELKYLPKEKKYMIVGLVAVVQITIMILYKF YFFSSINYQQLGLVKKRTTTHLLSHILGAN >CAK81621 pep:novel supercontig:GCA_000165425.1:CT868407:435783:437293:1 gene:GSPATT00016447001 transcript:CAK81621 MINNCSHQKVGYLLERSDIKEVCDLCYDIDCIESHKFVQKVELSDFISKTLPLLTKFSQI LFDQLPNAISSLTQQLGSIYERLIFESPKKQIHSMIEILQNKDDKYFAQLLDFYITRNHI QLIKEKLNEVCNVYNMVKKCQDQFQPNQSDTLEIQDQKSKNKFEKIRILFSKKDESLIQQ LPLIDLNSKNIELTQNFEIPTNFKFKRLYSITWLNDKFIGIAAGEFHPFIIYDPIKKYVH QQIVEMKNGVYDSIVVNSTQIVLAYVRNLKLFDFVNGKFVANLLEFQESQSTPSKLYYCK QSNSVFASNNFNQLSPVNYINRWKLDNGQLIKYEIQAKTYGPLCIINDKQQFCSSLWWDK NKKSQRNNYIFIWKYDKSEPIKQINTKEPQYAMNIIDNEIIGFGTEITFWNLQNFRQTKK IKFPEDRLNPWNSCVIDEMIILGCENGNIWVTNKGFDKWEKLMKMRAKTMKIHEYNGKLV ITALDCSFCIFQIKN >CAK81622 pep:novel supercontig:GCA_000165425.1:CT868407:437921:438181:-1 gene:GSPATT00016448001 transcript:CAK81622 MQKLKRDYSQFVRLLQWFLQQFLQVKQKQQEKGQDHPMGIMIFIKILQVRQVAVVLYYLD HYLTKKMISLRWKVSKSLKLLILIKL >CAK81623 pep:novel supercontig:GCA_000165425.1:CT868407:438367:438744:1 gene:GSPATT00016449001 transcript:CAK81623 MQWNSFLIIIQKCSYDGTIDDTKFQVLKADELRLLTKSSLTITNLISTLNDKIKREISEK SVMNIETPETIKVSKTNSSNSNRFCLIPEKIDFNDPKPLIRFKTLLAHQIIQK >CAK81624 pep:novel supercontig:GCA_000165425.1:CT868407:439368:440180:1 gene:GSPATT00016450001 transcript:CAK81624 MKMMIQNYQQLHFVKQTKLKKQEGNSLFQKLKTTYNQLIDIHWTSRCKLNSKKNNLLIRT TKIVNCQKINFLELIKNNKMCQQQNNNQIFNTNFTLNTIYNSDQLQIKKIEGRQQLNTEN SNQSKLEHQQYGSGILNCNQIERKRGIAPNYRYGKSSQQTKERSETLGNKQNDYVSKQNN YQCTNERKKIFDADQPKIKYKQDLEQIKNIFTQLDSDDDGKISSEAINLRIGESCFQLIA PVLFHMQEQRMVLDFDSFVYLIISFNILIY >CAK81625 pep:novel supercontig:GCA_000165425.1:CT868407:440241:441659:1 gene:GSPATT00016451001 transcript:CAK81625 MQQQKKQQTAHLNPILWQPLEKLQLTLLSQHLIYSGIIWIRSEDQQLHEEQVSLYADRLQ IHQLFCNLTQTIIRKNIQTIKLVQGKNSTEIFFNRSADLENWFNMLKRFTIQLNFSKDYK LNKRLGYQDPQTQIYQARCFANNVNYTVKVIQKNNKFDPKLIQQEINILRRIKHKNIINL VEVYEDQYTIFLVFENYFGTEMVYRFEDILNSQEPQYARIIYKLLEILSCIHSLGIIHGD IKLENIYVKSDNLVDICLANFNQSEFIERVNLIEIQILSYQSPELLNGKPYDQSVDIYAV GIIFYYFIYQVMPFSESQEHAQNDNKSGQIEFPQVRNTSYAIDLLKQMLQVEPKQRIKPN VAMNHEWFIKMKVQEKLFKQKSFKDFTLPTILEKSDIFTQSPGQQPKRECIGVFEDEFLM DSLSDRMGLLKNAFNPSKLNHDIFQKKQK >CAK81626 pep:novel supercontig:GCA_000165425.1:CT868407:441698:442096:-1 gene:GSPATT00016452001 transcript:CAK81626 MCDKRKRFNSALTFEEFVDQHNQLPDTPQLKPIKQSTLFIPELDLANDVSQNQLNMPLQT ILEEEPTSPKEIMKTKKSIRKRQRFFSENSQYSVINILERKLQKKKTLIPTPQNYDSLSQ PIMI >CAK81627 pep:novel supercontig:GCA_000165425.1:CT868407:442372:443298:1 gene:GSPATT00016453001 transcript:CAK81627 MLSFQPTTDNLCNLNKACNNNQCKDNQQQDNPFNNSTLLIYFRYQYYPQSQVQYPQQIYA QYPQYPQRIQYGNGYQQQMVLVPQTGLVVAVQPINPIVAKEMELRSYTRYFYGCNCCIGV LAMVFLIIRCVATFSQGGAALSAGVMYIISDFFFVIGAIIGIYSISRYVERLLLHYQVLL ILALIFEIIGSIIIFATFDNTQDDNNDNSSEDGRESQRGVGLIFFIISLIIVVVCYGMFI RYARQIRYMMIDLNISRATLQNQIAQQGPVQPQGVVYQGGGPQI >CAK81628 pep:novel supercontig:GCA_000165425.1:CT868407:443398:443876:1 gene:GSPATT00016454001 transcript:CAK81628 MPIYNEVWEEEDFMFRNMINLQTLTKNHVKLLDNLKFEFVEYKANQLLACHLYDRMAQHC KNQFGLFEDSFVPECLDARNYFQLCVRMNASYGLAKKYFPEYFLTNEYSRPNPNFKELGL >CAK81629 pep:novel supercontig:GCA_000165425.1:CT868407:443879:445621:-1 gene:GSPATT00016455001 transcript:CAK81629 MEHQNYCTSENGVRVCNVSSTLPNCAADNILIQERKSLWMSLAGLPQYITLDLSQVQERP KFIKCFGFDCWHDYQSNPSVIELMVSLNGENFITWTTLYPELKQGIQLFQIDPLGTRYQF IKIIIKETFGASKTYLNQVYLLEEYAMISTESVTESQQQTENNNSQYKHQFEKEELEHHE RSDRMDRFERLDRNDRNERNERNERNERQDVKINEYQDLAQKIHSMGREVASLKQSHQQG KSSQSQTKQGFHKSNITEDVPDSYQRLTSTERMVLDIQSKNLNEINILKKEVQDWKDKCE QLESQVQRLYKMVTQIKDKQQEQEDSIHKYIQQNQSEVSFDANKRQVYNTGQITQQQTQQ PQQVTPSQQPQQSQHQMNKFEDVIDKKISELSGNFQVLFQQQESKFKNQQKELVKSFKDY VQQEYTQEKHKDYDKKKPASRGRQKQKSASKSSSEKSSHSSSSSSIINFIKSKKAQSKSR QQSKSHLKSLPRSRSPVDDKENDRYENNRLSPKQRKVATLLGKLQQKLQKRAKKIEQLNL SQRKTRKRGSIQNSMDQSNSSDY >CAK81630 pep:novel supercontig:GCA_000165425.1:CT868407:445814:447757:1 gene:GSPATT00016456001 transcript:CAK81630 MGKKNKKQKNQDSDPIVKQIRKKKPQQIQKQEQVEEMTDDEEISEQQNEDDQIFEHVVDF KNTNEKPSHYQNLLKKLNVKQQQADDNYGSSESARLNIKQENKSVYAKMKKYEVVKLPND NPFSHFEYDHQELRKTICIGDIEIEQPEQLNAFFKEKTTKLVHTLNNGVEFKKYELNKQL KNKALIDLNCKNEDYNIETLSQKQLIKDDKFVLPVVKDSEDEKELLQSNLIYSYFDYIET DPDEQNAEKFRKTYITHITNHLDKEKESYGFTRCKVLILTAFKGDAISIINQLIQTDKEV VNKERYENEFCDEERLEEDDFRIGIQFSRQGYVKLHANFDKSDIIVTSTLGMRLIIGTQD QKHRETHFLSSIEILVIDRASSIYMQNWSYLEDILEATNLLPNHKNITSELSTIRPYCFE NLSKFYRQNIVYTDHYFQELNFLRKQYFTNYKGCISNKIYYNQLFQKSVNFQQEFRQIDC SNTEQQIEQRFNQFKKLWNDIENNKGSQFKKTVIFVQSYFDFVTLKGHFKRINSNCECVS EYTKRSKVQSIISKFKDGRIQYIMMTERAYFFEIIQLRSMKNIVFYQLPQHSIIYKQLIQ SIENLGNKTQIITYYSKFDAYQLETIVGTLRAEEMISEQNKRTTFIL >CAK81631 pep:novel supercontig:GCA_000165425.1:CT868407:447810:449208:-1 gene:GSPATT00016457001 transcript:CAK81631 MNNLQLTPEEDQYFRQLWSTLDPQGIGSTNAKDTILFFKKSQLPSDKLKAIWLMAVSNNE NKLYHQEFLTIMKLIAYCQNGFELNPQLLMENKQVRLPVIDGFPHPQQVLLSPSLGAYQQ PIPQPSQIPIAQLYQTAPVINQQQYKPPLMQMGQQDNSTQFDITNELYERYNLHYQQQDK KQQGYIEGEAAKAIFFKSELSNEILKELWALVDTNQKGFLFKNEYIVAIHLIALCRKNIP LPQYLPDSLQRLIAQSQPQQSIIIKDIKVQYDNPQISQSNDTRVQSGQQAQFQQNGELQA LTMQQQQLQNQLNQLVMQFSSNSNNNAQKQLIQQKEKDIEFLIQQMNKLQLIFNSLKAQE QELQTEIQKCLTKPQIQKPIAQQHQQVNYTQPPYQIQHQPPQQNDFLNVNYSQNLSINTT NYPSLSVDNPNHQVNYQLQDGYENYQKQNSGTQDIPW >CAK81632 pep:novel supercontig:GCA_000165425.1:CT868407:449572:449971:1 gene:GSPATT00016458001 transcript:CAK81632 MKKNQLFRVQPGKLMYLYMLFLEFYRIENGKFIILLIVLALICRYLYTRKVIQRIGELII SLKILHQNQQQQQIPENDEQFKKTSIFKQLKKVMKVLKSKFRSTKDSSIDVSKKYSQFDM EESI >CAK81633 pep:novel supercontig:GCA_000165425.1:CT868407:450015:451086:-1 gene:GSPATT00016459001 transcript:CAK81633 MNQDDHNSNEHKVEKQLHYYDQEWPQQPPSLSPRFTPQQCYLFPPQNMSNFNLHAEPEFQ DKNKPKYPFRKLSAGDAPDEEDQFRQPYNHYYQQLPPQMKYPQNTPYMHMKYPVPYPHPW YRHPYPPFYYPPFDSSQYQNTLSKELQSKVNQLVQFQKVSPFQCNCKKSKCLKLYCECFA NNWVCSQSCNCTECKNRIDNPNERSKAIEEALLRNPEAFSTILTNNGQQPQIIPEPKSQK EQSKETKKGCNCKKSECKKKYCECYSINQKCTDLCKCENCLNKEEPQEQIQPQKQENDPP AQQQLQKQDVMSKKDQKSKKIKKEEVQIKNNTKNQKRQRKN >CAK81634 pep:novel supercontig:GCA_000165425.1:CT868407:451110:452296:1 gene:GSPATT00016460001 transcript:CAK81634 MAAIDKEQVDMDNPAFAQQDPHLKINDDEEMGLPPQFRNRYPRRPPLFDGLLNNVRAVTK KVEHIKGFKFEVAGGLSNNFHLAHSWLIPPSSKGKAPNPNPMKQPPVPSYTLAAQYLGGS LRTPFDQPTYIMTGRWDSTGKLEAAIIKKLNEMFNFRYVLISIKTQRFSAFYLNSDPLNA QMHLDCDITGEDYVHSIKIGTGLYSFNMMQTIGNRLVLGYEMMTLTERNLSLMSYAMKFG INKNQNIYAQYVGAADQLILAYNHRLLDKSYFMSELEYSNQTGESRAILGYRQKFATSEV IVTLNSKQKFSSALTLQGFAYQLKLCAIADYNKDSYKFGYGIAMGQV >CAK81635 pep:novel supercontig:GCA_000165425.1:CT868407:452933:454638:-1 gene:GSPATT00016461001 transcript:CAK81635 MLDQLSEITLSESSTFETQRLPPRLQTTDKKGSGRFTFLKSESEDKQTQAQNNRDRIKQQ LRGRIQVIIKKPLNDEKDLQEELQELGCKLDVEINEFDSEYICPNLKKFEEEYEMMEILG EGCLGLVKRIVHKQSQIEYAVKIVQTQDDEIIRNMILEFKSMFKLQHENIVKVHKLYVDF NNGFQSESKAYVVMELIKGKEMFEVINELGHYSETDAKELFKQLLSAIEYMHRNGICHRD LKPNNILCVENKNQIKVTDFNVSKFSDAYKEFGDLKDREKIEMWTYTGTVAFSAPEIFSG EGYNQMVDMWSAGCILYSMLSGQLPFLSDYLNDLIEKIKEAAIEFPKDLFEQVSEEAKDL IVQLLQKDWAFRPHPDAALKHQWFQILDDDQVRKKSLRKLAIRKNMPRLSSKYSKNSHKQ RNILLKSSQTITIKNNRSVDSKCDVQQTDLANSFLKIPTKKSIFFSTNLGESQGINQNSP QDQEQSPSFIDQANSSKLSEDIGEFDQCVPDRFINFNKPYNVDDEVQE >CAK81636 pep:novel supercontig:GCA_000165425.1:CT868407:455524:456872:-1 gene:GSPATT00016462001 transcript:CAK81636 MQKKFNQFGIESKDSTMNLFRVRPQYLTHVSPVAVEAAHQNFFSGSKELLDKIPDHEKGP RQDSKGNIVKYTVVGSVQQFLAEKSRSQSQNRKPAQIRITANSQATPSTQQQNDQTERVI FSSKAIEQSSKDIQQKKTKVKTRDFQEVILNEDQVQDHIYQIQQRIERNRMENEKKTRQL QSRMSKGESLKMSKCERVIETFDQVQQDWDLTKIKIESKIQRLPGQSLLDRIEQHRMKEE MKRILDALKPIEEKQGNEFWRLGLRKNDSNIKPKTFVELFEKNYQIYGNPKSPQIEIVRR SSLSQLDFKPFSTFTSQSALNKKLSENSDLIMKLIPTVPDDIGNLEVVGKNVLEQEIVQL RSQSHKNSFPYVRFSSNSNYEKYIMKVPTKPETQQDEEIIEENYDRKRLMSQGKLSEVKG YF >CAK81637 pep:novel supercontig:GCA_000165425.1:CT868407:457118:457708:1 gene:GSPATT00016463001 transcript:CAK81637 MNKWLKKSRKLIQNKLLAQQFGLEQQKQKQRKRIRKIENKIPQKPFPVRKIKYKNTAQGI NVGSPIYETSSMQYGQLNPTKFELIENFYPRDAKFTQEFLGHTYKFVGLITSVAFEKQIN NWMKVIIQSNKQLLIHLGNIKEFLNFIRFHQMFIEPSECFLNFRKSNCFMRYYLVINW >CAK81638 pep:novel supercontig:GCA_000165425.1:CT868407:457740:459581:-1 gene:GSPATT00016464001 transcript:CAK81638 MISYTLNQCASFQISENKFEEVTRETKTSCHKKRQVECYQQKLTSECAQEQEGFIGRKMK QQFKRKNDEFDSITCIRNIISLSLSVLEEEHHINYEQQNPKEFYSQQDLSFKIVDYGDIE GFQLPPTAQLFQQQNKKPQKRTKSKKIKVDSQQKAQKNQTLTQKQHPQHPQIEQQIKDKQ YNQASSQNSHKLKEQTTSQRQQVLKSLNQIENIQKCKNESSDFDAQFSHIGAVSTTTGNS QSESDDCLLQQDEKDLKDTSLQKQSNKEKYKKKNYNNIEKVGKKQYPNSPLTTPIKRKQL NLNKSKRQDIDEFLNNIKIKSFQNKIRKRICFNRLHYIISTNSDLNIYAYGSFETGLDLD VSDVDIGIWGTQTQSYNQIVNFLQQINSLLKQTPFLVQSKLIQSHMPILKLELNPKTEFY NDKAYIQQNWQSFHLDQQDPGKIIQVDLTWIYQWNNIYNNPHLGFASTTIIKDWVNRFYW YRETILILKFLLKSKNLNDAHTGGISSFCLSIMLTAIYMCKHYTQNDKKLILLDFLKKYA TQFDPLKEGIYIDGYGYKNYLVQVIKPIYLLG >CAK81639 pep:novel supercontig:GCA_000165425.1:CT868407:460378:461075:-1 gene:GSPATT00016465001 transcript:CAK81639 MEEQLYKIVIVGNSAVGKSSLLIRFCDDQFRDMYLSTIGVDFRFKSLRVNGQGVKLQIWD TAGQERFRNITNSYYKGAQGIVVVYDITSLKSFEDIRKYWMNELNHYADENVLLMLLGNK SDLATDESRQVTTVMAEELAKEFNLKFFEVSAKTSDQVEAAFQAFTQQIQETGIAGKVRK PQPQQFLQTPVPQEEKPKKQDGCC >CAK81640 pep:novel supercontig:GCA_000165425.1:CT868407:461720:463433:1 gene:GSPATT00016467001 transcript:CAK81640 MSIQNQQLQRLINNTYITKKRVSAGSFGVVYCGQDINTRAIVAIKIDKGNKEDTSLEREA EILKRLQQTPQIPKLHWAGKDGDSNVLVIQYLGRDLTHFMKTFRKFSLKCVLIIADQMIN IIESIHKNKVLHRDIKPENVLVGKEDEENLLYIVDFGISKFYKDENDSHISFRENQPFIG TTRYASINAHKGFSLSRRDDLESLGYMLIFLLKGQLPWQNLQFIDEEDKMRQVGQMKMKI DINELCKGIPIEFGRFLDYTKGLPFKAEPNYKYCQSLFKKISQEHNYQQKDLIFDWDLGP KSERIDDKKKYSIEGHMPSSSNNSNLINNKISLFKKSKDEISSNNIGGSLLNYSQEQLEM NSLLKTPEQRKSSLAPDINRRKNRMQSVSSYNDSHSEIDFNNGSIMLRIQPSMLSRLSKI SFNSNSRVNNSKQNLCLTPEQKRCEKKLSITPNSYILQITGQQQKTIARNSNSQQKLSYK KEEETIKEFSKMNEADEGIERQYMLLKQAAVNARFKKSIS >CAK81641 pep:novel supercontig:GCA_000165425.1:CT868407:463500:465504:-1 gene:GSPATT00016468001 transcript:CAK81641 MKKNKQVSAKTKYLKKKKEMPSGVDDLATHEENDIVKFAQTKQQQSNKFQQIQLKPTDLS SSDEEVEVQNKDDPDEQSRFVLGLIQKNRVNEKNSFDIPMINLRDLNAFKQIEQGDAWKH ISASLDAGSKIYGFRVDLVHQNTFKVLGGLHRTQIPDKQNQEVEQEFEQEQLRKKKLHNT GGENTLEKNQANIDTNKYDLEFEIDPLFQQTSAKFDEAGAKGLLMNNLTINENLMLALDS EVLPIKPIQSTINIENYKSMLKNLNNLRLCPELTEFRENIFQKGQRLVDLKQEKSFMAVM NPVGMNMDFQVEDQQYENLPEEMNLDDQVSINSGNQNCQSLFQQQGQQFQQNKFNILNFE QAAANIGTGKVFENEKEQVAWMALDMNLQPKKKVTCLQVDKTKKSKSKKKNGLNFAFGDD DDEEEELDRKTIMQPKEKENYCINKDPLKQLNLLPKSYQIDRQDLYQPFQIAKIPQWGEE IIEEGQLQNDNYEYGGVDDELPQQLQQNMGIGTSLRNNPTGRLNIPIKELKSQVWSTLSK KIPLSTENQGLEFNQINQILPEILKMPTNKGRVSIQTCFVTMLHLANEQGLKFIQNNDEN DFVIQREATK >CAK81642 pep:novel supercontig:GCA_000165425.1:CT868407:465515:466470:-1 gene:GSPATT00016469001 transcript:CAK81642 MSLKYQLNELHAYRYSYYKDNNPKIQVSFQSKNAKLKKELGYNINIKHLPSTAENQENDD LKKSINQLPSSIDSLKSNILRRKTQIDWLYSKKVDQENILMLNRVFVQNLFSQAQLIEFD DNQLLFEIEETQKQQLTNSRLIVFKFLIQKCFQRLKLLQLDVLIQCSKCKQILQAATNII KKTKSLAIFISHCNQNIRIDFFHNNDQFPKNQENYTSHVAFVSRSQEKVVIIRAKCAVIC KCENENDSILTLENPLQLKDGIYTLLANEPYRKLCRYCCREVILQQCELMILP >CAK73209 pep:novel supercontig:GCA_000165425.1:CT868146:9366:10499:-1 gene:GSPATT00038865001 transcript:CAK73209 MCLPICGNMMIQGDEQCDDGNDTIYDGVIIVNLFVILIVSIVNGWHLDDFNNCQHICGDG IITKHYEEYNLNPFDLCDKCIIGCLEHCIRCENGLCYFNCLPICDDFTIFGNEQSKDSHQ QGNQLMLTMQIQMKQQLRNLCFKPECLKCEQGAKKSVEMNSQQVMNNVMITYNLQQIQLW KWMYICNYGICERCQSGYFGEQYHCKSICGDKIIVHPEVCDDANLEPYDGCQFVSIPVFH NVQHVHWEFALKWENQIQMMNNIKMKFQLVVLIIVTSVKIKMFVQCANNIFNQVIHFIFL FVVMELSFLALNNVKMEMIYHIMVAINASVNVLTAVLNVKK >CAK73210 pep:novel supercontig:GCA_000165425.1:CT868146:10542:12660:-1 gene:GSPATT00038866001 transcript:CAK73210 FLGFLQFFTAAKASLYQSFFGGLITILIGGISLTYFLYVIIQWIDHQIPAIVSIKQQTIS YAEFQLSDSIIQLELQDFSGDVDPFRKENNIITPNLYRILNTTIIDKPIPIFSSEDKPFK ISIDNGTIVLNHDFVGVGDHFQMTQLLLVLEGCSNLTAVSGSYCADENVINEYLTKFHGF LFLTIRLNQLKYTVGELEDIEKQYYTAFELSKPLYSQVLLKQQETVVDDGILFTNNNYYK FLNNYELIHQPVDNFFTSHVYQFKSFGCYLFRIDNISVYESITMPKLGQILAQVGSIVQI IFLLRYIAIYYNKMLLENELLHEIVTMYYPEMKRIKLNLFNQFEYQNKNNSEENVDGKLQ NFKQQEKNADQITYCMRFQGYDLSFNNNLEIKLYSKVIQWEENYRIIIQIINLVKSLIDQ QLSQLILLIQIMTMRYWNLQKCYKGDLDQYIHILSRFDIIKFDQKFISKVKGVKGYLFNV QFEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXEGLRLMFTCNSDFHISINSLRCECIVGFYDDGYNIECLPICGDQLIVNGEDC DDGNSNPFDGCDQCRYTFQEECLNCLQGK >CAK79313 pep:novel supercontig:GCA_000165425.1:CT868330:366:1408:1 gene:GSPATT00014259001 transcript:CAK79313 MILKQHQKLISSIQTQFQRVFEKIGAAAYIKNEQNQEIDIEQNTIFIKRIRDQLLQLIEK YQNQKLKKEQLLDFNIISNQIKEEISKKIRWIGKKKPKPFFQLDLLNLEKYEINYLKQVL DEQQLQQINSEEKSKKYQPINKEIKQTNDEFLKLKLTDFFDCEVLLDHLEMLITQSKNIK NIISQNCGNKTSQNSQIMLDLFPNTQVYIFKKSIYNVQKQTLEFYSNCKTLEQIIFNEEF LEKRKNQTNFEQIPTLLYILNFDSLNYQDQGVVHQGEKVNIVQNMIGKLKNDMKVNYEER LIYFQIHPIKLENKLYSIQLRYNQPFKNSQQKSFVKIY >CAK79314 pep:novel supercontig:GCA_000165425.1:CT868330:1468:8577:1 gene:GSPATT00014260001 transcript:CAK79314 MLSMQKRLFSQDLQNFSQKIKIEMQTRFEQINYLKTQALLSDNQDEIKKLLLQKYDDFEI YLDNITDMSQRLDISLIFLREISKDLKNIKSSIDSILKSLKSVVDDIRRLRGKNFMELLT IRKQKVLHTKLENELDQIHIEIKTQEYDPISGNKKQNKNGEFVTFLMKSNYYDYDGEVNE FLWSEDEKQKDVMLIKGKAGSGKSRASCNIEEFIWINDSISPNWIPIYVSLPSIKDPNNN LIEQAFESENYNFDSIQIREFKDAVMNGKLRIVIILESYDEMKIDCIGTNLYQTNRLAYD LCLQAQEKVKFIITTREEILNSIGYQTWFYGSNLQNFKEVEILPFSKEQSSQYLKIYTEI SFKRTIKKFYEFLKQLKGQNFQLDEFKLIWSQIENTIYPIIQMQKSDMLFENQAVERLIK AIQNVKFFSFIQQNQIQSLKKELLQLWGEKKYQSVIINLDVTNLLCTPFMMEIIVYVLPE MYSLPSKTNIIRELLKKNYVFLKMEAKTSEENMEIYSKLRNNNFQIEAEDEIYKSNMNKS RMKEIEINQQFAQILEILDKQNFFKSLSMADSLQYESYTTILSNKNINVDFDVNFIVSAF KLHKYTAFEFYEIFVNFYHNQQRQKFKAFGQNIKEEIMISDLQEFSIFLAIDMTMRQLTQ VNYQQKGRLYISQVQKERNVDVSWEDSYFSENQDDFEYKTLLRKCMLINSKGSVYAFNHK SIQEFFVAKYIVNLLEKNIFKENQTVDQHFLDQTSFNKSLFNLSLEHYSGTIELLKPKIK QIEDIKNKLIKIALLSRKKSKNSKYNLIRSASNSIYILGSLKEHLDNINLRNLNIADTKL DGISFYQCNLSNSKFNNVSIDSCNFNCATLEHATWKNLICKEKPSIKAHNEAIKQVVFSD DGKFLISGSQDGVIFKSDLSADSEPKKAQLLNDDLLKKLSIGENWLACLSRECLYLYNLL ELKESQGYRKKSEYFKDIEDIRLSPNDKYLALFLKNGKIIILQVKNLLQQQEQQSNYWTT QNIVKCLAISSDYQLLATGDTQIKIWKYTNISNIKLILELPEQKYPILSISFSKDNQVIA VASDNKKLEFFNISNLTQVPLQYTFDQQQTIQQIAYSNDGQLFASRSLSSLKIYEVQQLH CQQDFFRINSNLQVDLIEISSDSQILATSHQQSLESKPKITIWDIKNLQQIKKKSVFEGP QKYISTLKIRNDNIILGSGSIDHSICLWNLIKLELFVKLTSHLDKILDLAFSSDGNQMVS SSKDDTIVFWNITKLDKQQIVNTPKQQEGTKKLIFCPNTPLLVSIGGYSQDKIIQWDSVG LDIISIQNYEEEVLDINFNENGDIMVSLNDDRYLRIWTRNEQCFYVEKKKILIDEKGKLY KAYCLNYQNIIAHIGHFVYQLQINDEEIQKKSRFRFSDTSCCNRVSQNNKIIAIKDSKDF NVIEIIVIENHNSQNNIYYEEQDQINDFQFSNDSSLLAVATKKGAFIKDIKKNEILQNFQ EDSCCFLICFIGIQKLSILLQGELVLYETKDMYSVKQKIPIIQSSPLKLIFLDKKQEICL YSQNSIILINLQELQQIKLIQLDEGVKSRDVIFAQEQVGMAVGNHIQFISLSNPITLVLL PQSYDKNKDHEYLYTYFQQDNNILSVFNTDLLYYQFDIKSNQTLKTVELKNFGGRPIALN NQQTLLIVSKQEGEQNIMLIDMETKKRVTILEKIPDSKFSCVNIVFSQDGQNFVTSYSDL RIKFWDTKSYKLLSTFKTDTNQIQILTISIKGILAQTSNDIIKLWDLNALKQQQLELDGH SSSVRELCISSDGLQLITGSEEEIIRWDLIELKKLDILIKGKRLPQKFCFSPNSQYFIAQ AEQSIHIWKFNTKYIIEFHKIYWCEPALDKKLFVDQNLVIYKNGKSKIFILNLEYVSEQI QLQFQSDLKNQARKIILSTNLLIKTNPLEIIHINDKLELNNEQIDGISASQISNIAFCAN SKMLAFEEEDHSIIIWSIQQKQRMGILNSNKNINTQLLFISFSGNSKILFSYHFDKKIRC WKITDKFELIKLQDLSNHLHQKYSLDLHLHPIKDEEFILIWAEVVEIQPQCWVDKFYFQI GHDIEELCIPIEDFKNWNEKFTTAFNESNSLIALQIETILKLYDISQGQRRVVATLEGNP LDNLMFSSDGKILLSLGIDLTLRLYDISDQNSLKVKINLKNPIQALAMSLLNQYSIRIFT ELGTIIDQNISDYKEIVVIKDENQFDYTASIKQLNMFKYSGKVNNRTLEILDSQTSQLKY TLDKFSSKINAISFAPSQEQFVLGMEDGQILFYKIDQQTTKIYDIPVCYHIFAKNRLLTA QYCKIRKSTFKTIENVNFEKVLCEYGAIK >CAK79315 pep:novel supercontig:GCA_000165425.1:CT868330:11102:11593:-1 gene:GSPATT00014261001 transcript:CAK79315 MLDKNKIDINSYKQEISNIEEAISILDKEIKYDKNDEMEFISSDNQRDQLQNTFNQVSDF QAQGEQSKVLAGQYSGGLIHHVYIVQYFYLVPKDAKNLQDMCQKDFINSDGKKYRATIEG EKWQFDLGVKAQPHRKKMVYSILTNWNSGSTILWFKIQILYIN >CAK79316 pep:novel supercontig:GCA_000165425.1:CT868330:12454:12747:-1 gene:GSPATT00014262001 transcript:CAK79316 MDLIIFLGKSISINQYLSHELEEYERYGKKFLRLKQEKDFTHDQFAKVGHSVVVSETTFI QRYKVDDMDQLMLCDCPGFADTRGDIYDLTTLSQKLN >CAK79317 pep:novel supercontig:GCA_000165425.1:CT868330:15460:16497:1 gene:GSPATT00014263001 transcript:CAK79317 MIFNYYSKLKQLLQQDNHDLDLATNDQFIRIIPNEKKWENDQWKEYIQNVDFQYMDRNQV LSQLKKNFDPSLRSIIYPWIANKTVPSPIDYKLFAQNPCAQDNIIFRDIERTHSHLQFYK SKRKSSKKHVQRASQQLDSVKSIIDEKLLNDFFSLNQRRLFYLLRAYANQDPSIGYVQGM NYIMGLLLFLLDDSNSLFSGEMKAYQMFLFINVKMNWSQTYNKSMKKLKSMVQHVEVLLQ QNLPDVYKVICNLDQPFIFFMDQYFFGLMSYKTPLEFSAKILDIFIIQGEQIIFDVLLRV FTLNRNEIKQLYDKDEQTQFIKEILPMSIEKFGIQALIPNSEIII >CAK79318 pep:novel supercontig:GCA_000165425.1:CT868330:17052:17736:-1 gene:GSPATT00014264001 transcript:CAK79318 MLKNTPSKVTLNYQETQEETDPEGYTLIYEQEIVCQIIVDEGDQQQTQETLNVRVFILGS EQILERMKIELSCENDLFFHFIHDINEAAFLKIKDGQQLTASFIDYPAICIKCLDKAHKD PNKYSAVLRITQEGDAVIEIIQHTEYKNVELIQFQFFSLPEDAIRMAITKKYQKVKQRLS QMENKLKDINDVVKVKNPQLLLQMQRMNR >CAK79319 pep:novel supercontig:GCA_000165425.1:CT868330:18303:18756:1 gene:GSPATT00014265001 transcript:CAK79319 MSEIMIDEINQKNQLNQEFYSEEYEEFLLNFDPFSCSDCNSSQVTQNQIGIKQHLKACKD FRENSPFQQQLQNINLNNYWDIHKKAFTLDILYIINWVTILIIKKLLREFDRKNHQNQQG RGYKCGKCEQFKGMHCWYLKIS >CAK79320 pep:novel supercontig:GCA_000165425.1:CT868330:20756:21834:-1 gene:GSPATT00014266001 transcript:CAK79320 MDIDRHIASLLSGGCLPERDLKLICERAKEIFLEESNVQPVKAPVNVCGDIHGQFYDLQA LIKEGGDIPEHNYIFIGDFVDRGYNSVETMEYLLCLKVKYPGNIVLLRGNHESRQCTQVY GFYEELLRKYGNSSPWRLFMEVFDCLPLAALIEGQILCVHGGLSPDMRTIDQIRTIDRKI EIPHEGPFCDLMWSDPEEVEYWAVNSRGAGYLFGAKVTKEFCRLNDLTLICRAHQLVMEG YKYWFPDQNLVTVWSAPNYCYRCGNIASILCLDDQLQQTWKTFKEVPESAKSTNPKNVLP YFL >CAK79321 pep:novel supercontig:GCA_000165425.1:CT868330:21992:23148:-1 gene:GSPATT00014267001 transcript:CAK79321 MIRKQFNPNSCNQGGKQQIETLQEKHLIALINTRPRVVIKAPHPHVDANKPFSIKEKQDF TEINETYRRVQALKSGYSDSKMPKTFKMKEALKRKNKVSQFIQEQNHFNNIRALENNIQE ITTRTQKNIQRIKSNSRMHSVASSIKENSRISKREWEEKSTHPLEYEWKPDSAFSKSKQS KGDTILTNVFSFDQSLQKYQTASKRNQQDLPYDHADIPLSEEIQDDDFFIYTETQKLPND NQIQSPHSTIMDKKTKSTTNVVIPLVRPNEKMNDIKRKIYQTLMEYKLFQRDQVYQIGLA YINKNQWLDENEILQECNRISKQLYGVE >CAK79322 pep:novel supercontig:GCA_000165425.1:CT868330:24185:24766:1 gene:GSPATT00014268001 transcript:CAK79322 MNLTEEDIDMCQRAFAEMDEDGVGAIRGQDLKIALEKIGFKPSENELYKIISEVEETNTG LIRFSDFLGVYWKFKYSNQDDDDQDTIDAFVAMGGNPDKTGSISTDKLVQIIKSEFELTI DIESLIRDVDKDNSGLIEFGEFKDLLKTSYANDE >CAK79323 pep:novel supercontig:GCA_000165425.1:CT868330:25231:27719:-1 gene:GSPATT00014269001 transcript:CAK79323 MSLAQFIQYNQQTFLNEFWKTLRPESQLFYDRGNEIFIFREQNQIFIIQKSQPTTVVRIQ IPYNQMIHSMNIQQDYTYVAYQVSENQLKLFSTRANRQFTYQVQQEKNSYIIQFEWAKGS LGIFDIMVVENHGIHLLKIDDQVKKVKFLQQKITCCWYELKNEVLATCCSNHNGLISTYF FKEKKKDFKYKGPEVYLDDFESKDGTSPFASIFKSKKTDIPLFIVPTDKKNKDLCQDELI SDSIYRVYLIHIYGKSLLAFSNSYSGKLLFYQLQYEKITKQKYLLNFPSDITINLSVIDN LIILSTFNEKFSQVFDVKKQRPESALGAPQSIFEQQEISTIKFKDVEKQHVVHQHEQINE VQQNEQTKKSSSRSLEDSQNDRDQAQKGQSSNKQLDSDEKADDKNIEDNKLIKQNIDHQE VDEIEQQEQSKQTQQIQKNEESQQFSNMYLGFDIQTLIQEIKLADEYYQTFLVKTKWCAL TQHNVQIQSRIYEQSCNFLEDDLIINYKEKQIYQFTLNLKYLPNTFEDEPEEAFCGLLRR SNCKNAVFEFLIQLALNNKPIKIFQNIFEIFVRVFSEHVGHAQNERQYSGYTVLYPLDIK QHFFQVLAENENMNKQFLIEILIEFIRQFQELLKDYTQSIIEIQRLLIKLLIQTQRFTHL QFLIQYQVLLDNLEFAKQLIEISGKESLALQESKSEPYEPAYQLGLDMFFRLSKYEELLE CLLKYGKFHDAAYLLNKIPNIRMRLPPIQEGIRNSDCQREDLLLFLEDAYKKQKTYFSVA EVKTL >CAK79324 pep:novel supercontig:GCA_000165425.1:CT868330:27822:29025:1 gene:GSPATT00014270001 transcript:CAK79324 MGQCSCQEQIPLVSEVPPINLFQKPVLITEEQVNINQATHSLEVDKAFSQAKTKQMEDSE ELELHRPNKAEAWETSKLFETISEKVRELQTELPPLELEDREGYFYGVYELKNGSLYQGT WIEGQREGKGAQIMKNGALYEGYFVKGKSNGKGRMIYTDGDYYVGEWLEDQLHGYGEYFH ADGTKYKGNWEFDKQHGYGEEFFKDSSIFKGQFQKGKKFGEGLFIFPDGSQYEGQFQNNY FSGKGKYTWPSKKQYIGQWQQSKMNGQGIMIWQDGTRYEGSYLEDKKHGFGTITWPDSRC YSGQWLNGKMHGIGEYTSSTGQARKGEWLNGKRVKWI >CAK79325 pep:novel supercontig:GCA_000165425.1:CT868330:29735:30528:-1 gene:GSPATT00014271001 transcript:CAK79325 MIKSVISNKENQFHRIHQQRVARKIINKIGKSTLNQSPIRKRVENTAQQTLEALSSYQTL CDNQQRIDLLKQPKSKINFTQQDIVGWDDQNQSFEKPQKLTTDSSVQTNISQEKARKSIS QQTQRIKMIPRLIDIRQKTSKTPLRTTKITSPLIEYPTMQKFKLFFDKNIYKQGIPQLII CDRSLNAVDGLNCISFNNVTSKLMPHKRNHSLCVGNKINKVDIIKDITQNQ >CAK79326 pep:novel supercontig:GCA_000165425.1:CT868330:30850:31417:1 gene:GSPATT00014272001 transcript:CAK79326 MKQNVTCTDHGKLMEYLNFHANSKCNLFCEECIKSQNIQNTLVRIDKIRDKVVNELKSIK QYQEKYQNKIRIIQNSLISLKEKEQQLCKVIDDIDIYQFQNVQDYIQKIYRINNGDVQAT LTQQFFQDTQPIDQLQRMFTFSQEYKNESVILLNKFTIKQSIMDDNSLIRQSDYEFPCSY >CAK79327 pep:novel supercontig:GCA_000165425.1:CT868330:31465:31865:1 gene:GSPATT00014273001 transcript:CAK79327 MQTNSFMINKHIGQSIAIGFCELEIIKQQQYQISNWNSLGHGCYLISSGGYTYNSKDWKF NFKKNGFCFNQNQIIKISYDPLNSVIIFEKLNDSQCLIEMKIATKNLHACVYVSKPKDEI EILNF >CAK79328 pep:novel supercontig:GCA_000165425.1:CT868330:31937:32825:-1 gene:GSPATT00014274001 transcript:CAK79328 MSENIQNRVSSIFEKLNNISTSVQDEKNNRFHAISQLIMAFEAQLQHQSDQKEEKFAYIA QKVRQLTEFLEQEQEDRERQESETFKLITDLERHARRLIEQNSKDRVEQEKKIVYTIGQQ IESLQQEVVKEGLAQSTSHEYIDSYLNEDLPKIADELQNEITERKDVEEKIYHQFVEQLN DLRELFEREKKEREAKEEEIVESLREISGRIQEQLKKTRNEREKTEETLVQLVEKVIEKL KREMLEMNL >CAK79329 pep:novel supercontig:GCA_000165425.1:CT868330:34237:35607:1 gene:GSPATT00014275001 transcript:CAK79329 MLKFYCFFSIVITLGAIYNSFNVHKQFYPSVLYLSTNKINRSILLNFIVMIVSTFIMTFL RMMFGELKEIEKISVIDKTKKKILEVAYLLFFFYHSLDWQFIFLIFWLMALSIIHWIAIK RAGFLIAESQINFRDNVKLLLTFILLFVIDIKISLYFFYGTEEKFQNMLLGFEFILLPIR IALPFIKHVVNLFEILTLSQFDTKAIIFCGLDVLKTLFKLGVQILLFQYVLNSQGFLLIL FVDGVENAYAMFNKIKVFYNQVKLIRMIERIQDVEKIDSHDSTCLICLNELENGKKLSCG HIYHKSCLKTWIAGNSNQFCPKCKKPIQLEEIKIEGNDSKTKILKKQILLQELSEIRSNI QLLKILNQCRNIQNHIQYNQGIGNTQYALPCEALQQYSGVTEIKRLQINYMNKIMNGIDK GIIENHQIPK >CAK79330 pep:novel supercontig:GCA_000165425.1:CT868330:35775:36287:-1 gene:GSPATT00014276001 transcript:CAK79330 MSNFRPYKYTQEELTISKKIKIEDQQITLPLTVFQQLVEKAKEENQKKEDTKNLLRNFGN ALNRFILHNEQALKIITQYIPESNVSHFKKWVTNQKLENFEQFKRIWTVDGDVYKKIFSE LSFEFYSKHAYSYVMHSQMRSESTKLTHLKYITRFLEGIVCPESFYYFKK >CAK79331 pep:novel supercontig:GCA_000165425.1:CT868330:37284:38835:1 gene:GSPATT00014277001 transcript:CAK79331 MCEFSSGDSSPCDDDQYQAKIEFIGTKLSIRDICNSVLNSNCYEIRILEPTKTGKYEKIP LEWQDQKDIILFYYDSSLEACQDKHSIKKYLKEKFKDYHFINFEEVQKVYLAGEQAYVIR INEVKSAQFFYYNMNKNQPKHKKYLGENFQVGILKKIRDVKIQYKIILRFLPIQFYKEKI ESIIYERRNEKNFIDIRSLRVEELFECTNNKCTLVEGCKYGVIYCDDLKQSQSLVDIFNK YFFERKISQPEIILVNEEGKKVLQISNTSEFINPLSTNYDTENQMKLILQQEIDKEIQEK IEKQERLEKLERQEKQERLEKERLEKIEKEKNEKIDREKEREKQEKQQSGKKNYQDINLT TVEFNSGKVHEKQEKSIERNQNSYHSHYHHNYNNKYENGSRNKGRRSRSQSRKRRHSSSD SSKKYHDRKHRQNRYETKRKSGWENNSSKARK >CAK79332 pep:novel supercontig:GCA_000165425.1:CT868330:38962:39409:-1 gene:GSPATT00014278001 transcript:CAK79332 MSENNINSNHNNITHQSQRRSPSPYNPRRFDKLAREECWNNAQIMEGRNPDRWRLDAVGN PVCKALNACRGPLCYQFDHIVPYSKGGESISKNCQLLQSFVNIYKSNKENISKDELKQVS PQLNFTSEVFDAIEYAIYG >CAK79333 pep:novel supercontig:GCA_000165425.1:CT868330:40231:40962:-1 gene:GSPATT00014279001 transcript:CAK79333 MSYDISQQFIEFRKQIMNKTLTTPATNTSKIQTISTPSKKQEFSVDILKQSICKNVKRLK VKSAISSTHINYLSPDIPLSPQKQKATSPIKKQPYKSPQRLNQNEPLRSPNKQIYSSTSK SQQSQLNTFQMPQTYRQSDYIDQQSQIKLYSSKYQKIMNEEQGLYYSQLQTKLDQLSSII GNQDDRQRNLLEIDNMINQSKQINAKSRVQKHLDSLQFESKALQSDLNTIKTKISRFSDF TDN >CAK79334 pep:novel supercontig:GCA_000165425.1:CT868330:41065:42484:1 gene:GSPATT00014280001 transcript:CAK79334 MKTLLFIICVASALASHAYLYPFNDNSVSDLEGLNQKQIAQLIKYNIGLVEHISADQQKV FEQLIKSFGKGNILSPANMNYMILIESEKLYQGQELKQQRITEGFSQSFKVLDKDPKPIM DFLFGQESDTLIQRAYKIYNNNNLGFKVYQNEDDLDINYLQWTITLYLGKNNEVYFYEPN KDKSYKVDSSLEKCVNTFFGTTFDYSSKENKIYKYNTNEMIEITKDNEDQIIGFLKDVCA MNKLSTLFKKSNQPNMLSIINKSISKLEKIMKEPEIEMIYDMMRITHKKLSKNFRNTFEN EELFGLILVEQEKNPQITTTKESAKIQRILLETRTRMLNQVTQTNSSGYVMDATTYQIYV WFGVFFVVVLIGIIYAMVTMDIQKDTLLYAKFLTTDQRA >CAK79335 pep:novel supercontig:GCA_000165425.1:CT868330:42526:44074:-1 gene:GSPATT00014281001 transcript:CAK79335 MVKHQNKSNRKSKKALQLKKLSEQVPEQKYQKYQQEELLLFENDNQNESDQSVKQEQSNP KQEEDGDINQPQYQEEDSAQWVDEFDEKIQVDLSKQTKLRKLIKNGQNLVTGKEYQRKLR EFYKTSQQNNEFLSWAQSKQQIKQEKDKFDQNQLNANLKELLSQNIQNQTTKSSLLPNRI LQIEQAGIIKAKINTVIQSISFHKKLPIFAEGGFDKMVRIYQFNPNKQIQLIKSIALEKF PICKLQFMNENNNIIVASPFKTYLIEVDINTQKYKRIQSTLFAKHFNQSGKYNRQIEFAI SEDDKYIALFNESGYIHILSGDSKILLNEFKQNEACKAVTFADEFLISAGQGGKIYQWSL QKQQIYNVFHNPGGFEVNCLDFKLDLLAVGSRTGIVNIFKLNSATKQFSKDPIKEIQNLT TSVNEVQFNKFCEILCISSKWKDSAIKLVHVDTFTVFENWPTVTTCLKKISAIGISNDSR FLVIGNEDGELRAFRLLHY >CAK79336 pep:novel supercontig:GCA_000165425.1:CT868330:44099:46850:1 gene:GSPATT00014282001 transcript:CAK79336 MNNSDNENLIENFEDDQQVYTNQPATLDFNLERQGHVPQQHRFSSTKFRERLSSIDPLEW AKNIKMPENNYYTYPCSYDLAELHRQCHYIQPDQDFLDKTTATECPCCNKQLNRKRINFL TVNMWQLLVQDYGIAAPLYFTFLKFQMILFVIIFCVYGIFFITEVNWTCSDLQNNLCLTD PHLAQEYKDACDLNTIYMFVAIDPFLSQIECSAEEDIKKGGSGFKQVYIQIAVFIIFLMN ILLPLEYEIIIRYKQIKYWDKEPINHVTENKKSVYVRHLPYKMTAEEISQTICKAISMNN FDQVAKKSVLFEHKNSIQELVYIYDIHEINEMNIDRENSLLDFMITLEQMRELKETGMIT TYSDKQIKTYKSFNLETLDNLFKQQLKDIMFKTQKIKSYLKNGLPYTNKVIIKFETKEQR DAAYLHYRKKWYQNLLIRYEVMKEQNRLKKLQKTQVSDLTSSLYSDSRAVSVDDLQIQSQ TVQQARVSVFNQNTQLAKQQYDYSNKYVEQVHYQIGVKRGFRINGIFWENLGMDTFKRLK FRLKAIFTAAIASCLLMGSFELIYFYQNNPNQSERKSNGQLSTWEKILANCLAVLVTFLS SYTTLTILGQMAKSRRSTFTEVEESIMHFFVVIQYFLIQFFPYIATFEIWGGRNKIVACY DLVTLSIHRIIFKQIFHTFHIRHTRFWLRKRKALKNFNPKRFFQGQLNFIMTPAFLSQRG RQFNMLFILTTALCLIYICPVAVLFCLGFTIYIYFWDKYAITHNYQIDKRFTIDIFSHQI KCYQIVVLPFSIYLFLRLFWRFSWVIYSVFSVGIVMIVVIIFKKQIVKFIIFKIFRLRKK NPQTEFREQSFFRSYNKFFENLRIEQLTDILVNLFRSSENEAIKKQSID >CAK79337 pep:novel supercontig:GCA_000165425.1:CT868330:46912:48280:1 gene:GSPATT00014283001 transcript:CAK79337 MKSLQGDNPITPLTEKSILLPDNNNQEQFCPLSQLNNLIHQKQQNQNNQLNPTILTLKKN LHYKLNSDHQDLIQRKKNQLRNGYEQQQLQQCPFKPRISHKSIEMKSKDISQPRYLLAKK VYIQECSEPLQTQQYPSQKIKKKSNKEKHFWDRFSQSKQSVPQNPYYVSKVIIMKQGKFQ IMKIIIKLTFLFQQQWNNSIYSWKFKSLQSRSINIEIWHLKSESLGLQKKHTTKQTQMLA TQHRKRVASEIQHSYVTLNDYDQIYNKNKQKLIDQQERINQERCTFNLKINEAQVKSKYL QSKPQKVNNEVNQSQINITQFTQNKIKTRPALVEMKPANSNVAIERMIKGRVQRQLSQAI IKQRTCNSKKRQHLEEQIYEQEMRHKQPLMFIDVIVDNYKKERIAVNQNDTASKLATYII NKNKIDKSLQENLIKLIQQQLLNH >CAK79338 pep:novel supercontig:GCA_000165425.1:CT868330:48380:51431:1 gene:GSPATT00014284001 transcript:CAK79338 MNAFERTLEDMKSIKLLCKKLGDTKQDQLDRMIRIFQSMPQKIYFSNFYQNQLYKNTMGV FSKKDWNSDFVTISVIDQEFQLDWPELMIYMKPFLMFFVIDLQEGLIPIDEINKQKKKVN DDKLIRFLLFNCGVNSFQNTEQEQTFIIIPPLSDDKMVYYLEDQLQLYLCQIIEYFASEI LRLKNDQSQLVVFNMKEEKISDQSKLKKRKQGRQIKIMGDLALMINDPFDAIEYYKQALD NLNKNNDYLWCGIVQQHLAASKSSFEEIEEHFRESLTSLKKTKFINLEIECFFKLMQYRK AQNDKLGLNKTIDLFTKTFDPESPIEKCKFYLFVSELYGQIQMKRKQAYFIRLASFQLAI INKSMSLELTKISSTMYGLNSYFSDDQSNIFWTSLQHNFVQEIQHNFQGIPYFQHNTQIQ RIQMFKPDFTQQQLETMLQILKQESVKYELKQTYMLMIPKVERLQIIPFKEKFSLINKEA KAQAEGQDIFIVNPWANKEQKNELKYPLNSLIEMVLYISNEYSFDLQLDKLVLEIEGSDC VSYPKGITLLANAKNHPVSFTIRPKSVGLLKIVGIRIQYLNYQYVHRLNQFGYSALLSSE TQQQEQQLFNLHNIQIIEDIPNVQASIYRDSTLINEVEAVYFDPSELFTYQFILKNKSEQ LIENVAFEIIVESEDPPIYEYKQVIQNFSLDGFSSINVLLSDFDLENQNNQVHIQIPKIP HKTHLIKKISLTLFLWYNNALYQREIKLNRLISIRQRTVIQQCHFVSKYRCNNDNIREIY DLQDSCFLSIQIVKKDFLISLELQSQILIDGQFNEILNEDCEYYTQALKLRKLPSNQLTN PDLKVIWKNLFSGNVGILNPFQHLSQEDIIKHCCNDLIDIECKESNKQIHYKLILHEKLT SSQSVSVVISLTNEYVNTNSNCAKSMVSSVQNINSPIIIEGYTSFNLEIDKSKQTLKNGQ LGYCGQLNQSCLKFIVNDFENQRQYIKRCEIK >CAK79339 pep:novel supercontig:GCA_000165425.1:CT868330:51710:55207:-1 gene:GSPATT00014285001 transcript:CAK79339 MLNFYIDRGGTFTDVFYQFNGESKVHKLLSQSYYKDGVFQGIKEIYEKYSRDGSNVQVNQ IVIGTTIITNAILEKQGHDCALLITEGFRDLLQIGQQTRPKIFDLTMKKPSVLYKEVIEI KERITYEGEIVQSLDLEQVRMDLLKLKQQKISSIAVVLLHSVINDVHEQQIKGLAKEVGF CSISISSEVSKVIKVVPRGQTTVLNSYVNPLIQLYIQELEQEIRSLLTNQNPQILFMQSD GGLTEAQEFIGSKAVLSGPAGGVVALMQSTKIFKQLNKKGVVGLDMGGTSTDVCVYYDRQ NIKEESIVDGIIVNTPCFDIKTVAAGGGSLLKFENGMFKVGPTSSGSYPGPICYDRNGLL SLTDANLYLGNIDVDHLPKIFGFDNNSGLNYEKVKEQFEAMSQELRMSPIEIAESFIKVA DEQMCRPIRQITNGRGQLSQDHLLVIYGGAGGQHCCSIAESLMIDSIFIHKYASIFSAYG LSLARQQKYQKIPLIDKLTNFDSITKVINTFQSQQQQDIEQLIELHLKYEGSDFLLKIEY SSDVNVIKQQFEIQHHELFGFKQNREILIEYVSVTTKYGQQKEENDELLEIVKVTNGNNM NINQLQKQIQYKGPLLIHTGNTTIKINSGWSAELTKNENIVIRKITDQQTISQSKYTNSS LELSIFANKFMSIAEEMGLQLQNTAVSINIKERLDFSCAIFDKDGNLVANAPHLPVHLGS MSDAVKCQINNFNEGDIIMSNHPEMGGSHLPDITIITPYFKDHQKLFYVASRGHHADIGG ISPGSMPAFSKYLKDEGIAVRSFKIVENGLFQEDKLIELLQESREKQDNVQDLKAQIAAN NKGIQLIEQLITQEGYEKVDQNMKRIQENGENCVRQMLYDISIKNNLKEIDTIESDEYMD DGSKINLKLTINRQDRSVIFDFTGTSQQVLGNTNCPVSVTKSAIIYCLRCLVDMDIPLNQ GCLNPTQIIIPKNSLLSPNHLCAIVGGNVLTSQRITDLILKCFQATAASQGCMNNFSFGG FNQRSYYETIGGGSGAGDGFNGESGVQVHMTNTRITDVEIIERKHPVRILSFTLRPNSHG KGKYCGGDGIVRSFLFLTKLNVSLLTERRVFPPFGLKGGQNGQKGINLYKTQGQSFLLSG KVNLDVLPDDEIWIYTPGGGGYGFI >CAK79340 pep:novel supercontig:GCA_000165425.1:CT868330:55682:56731:-1 gene:GSPATT00014286001 transcript:CAK79340 MGDIQIVTNSSNYDKKAKSILLMMIQSSLEKIKIAVYEKELCLMHQKYCVIDDQIIMTGS ANWTNNAFRKNVESVVILNNVKEAQLYTCEFWKVWNQSQILRLKGQNLDFSPFINVESMI CMERRRQKSKFNKIIDLEDSHQQEEGDKDEEVKEQSDESVQGFQSQKIMKIDGNPKRKSN LQQQRHNLQHNEFHEGNLVIQIDAPNKQNLDQIDEFEIML >CAK79341 pep:novel supercontig:GCA_000165425.1:CT868330:57543:64917:1 gene:GSPATT00014287001 transcript:CAK79341 MDTKKTKKKPELSEQLFVGNYVDVYHQGSKQFKLAYILQKTDKEIEVTYDGLQKKENEII KLSQNRIQFARRSTQNYTGDDYRQQKTSRDYLKYSREDCEKYTKELITIMQTNFQGLTPI EIIQCVRVRQFIYLDMVLSSELQAKEIPFALEYIKTYYNFIKWYFDQFPKYFADYMKYLN NIELYIIDERVAIASCLQEVCETFCMLFGSIWRLLKQENSFFYLNYEILQQQLDKTFTTP VYQGFVNNPNIEDWRLYQGATDVIKCIKKTWQYYLRTMSYFRSIGGLQSWENLLKPCEGG EYNYIPLKAMTKIVLTQQYMSQYFPLQEQGNMARKTLEWFQFRVANLTVQDIKDTDIDLI RENALEMQYYFLKGYSQEQLNKLVDEIQLQMALKFLKSNFLEKRVKGLSEIKDFTEKLKF ETSSQIKLKTSINKEELIKWIVYNRILDFTVLGDSVHPELIKRASDVAFFLCKNQAFQPD YVDKIWLNNYDKHETTQLALYEFFKAISPVLSFQGIEKLYNHILAIPYNKYNENIVSMIR TFTEAALSQKFHEQQLQLKPDKRFMTFNQLWDLFQDREDSLVSSHIQEQCFQAARMIISQ IPQTKQFISQYFGRCFELIGSHKSVYQAISFVHYFLDKQFKDDKGKKELIQSTDEKYNII ELFVKDIEVYMEKVRQYFKNDVTQDIIINGVQKFSQNVFFRLQMLNYLLQQTELKINYDQ SVRLWDALAAKTKGGIQKKELNKILINNYYPDVSSLRINQTYFDKDGEQKFFTQILCNSE RNDYENYTIEDFELFQVFFKSFNQTRQLLKYYGNSMRFIVNDHNFEGKNAIWQIFAKVKD LALLELIANFILNLYTQLSQPLDTKCDKIYQELMDKCLELIQQQCPSLTTRSINLLLSLF NHFQSGPPSKKSPKPTNYTSFKVQIYNTNNQTSIKEFKEGDTTTVNQWKQKLAEDLKVAY SQLDITVDNKLIEQQYDFVETYVNQVFYQMATVRVRINNKNHPKNYLSQDQRTFEILFKL LDKQESTEFLSQVWDLINRLPTNIQIKKQVENCKDWKKYLDHSFFDMFYVLQIIQALLVN GQWCEQFNNFDGVDLVTQKFLGQNLQFQQRPLEIKSCFTYLEILSHQNIKIREPQIIQQI KQKIIEIIQELSHYVKSKKKLEQGQKKTPQQKEMNEIETRLLRRCFNYLEEPGCKQYIRQ NHELKQQLYNYFVEHENQELKKEYSNQLLYLKNTQESKLLIKIMLDEVLKDVISNNKQKC EHIFEFFCNLLLQEDDLNIEKFDFEHLLSYIKQQLQQLPNNEITVKDQDQILIGLLKLLN VIIDKLPQLSLNNQLFEQILSYLFENEGETKCKCKSQQSRTAGFNCLSTLLKNQQNMNKF LNIVSPLHSTNTWRTKHLNDWNIQSKFHEKSSTGYVGLYNLACICYMNSLLQQLYMVPAF REKLLKVEDKNTCVQEENLLHQLKCLFLALKNSQKQYHNPKKFCHAFKDLDGNPTNIFEQ MDVDEFCNLLMDRIELNVKSTSDEDLVKRFFGGVMSNEIIGKTCPHYSEREEPFFAISLP VANKKNLEECLQTLVHGDLLEGDNAYNCEQCNKKVSALKRMCIKKLPDHLILVLKRFNFD FDLMAKAKINDRIEFPFELDMQPYSQQGLRQQENRSNNSNGQDNPQEYYQYRLTGVVIHM GSADSGHYYSFIQDKNDLNKWYEFNDILVSPADIKDLKNDAFGGADKFLKNKYPPQMKDK SKSAYMLFYERINPINVADQSQKIDVEMDQKTMHFLDEIKDENRKFQIQRFIFSPEYFTF MQNLIKFQLQQQQVQDQIVKTLVFFYLTCAVRENDKTFVSNNIVDMQDLLRKSPKTCQWL LKCFNQPQYIREFQFDCSKKMVRKFVISMIITAIDTIQQHEGYKDLFEMVDDKPKSLVAS LINAWILMIPELKKSLKNSVEFYDLFYKFAKLHQSNAQYLISKRLVGKLLDLSIEVLFVN PNKNLIPQREVVRRMDDIKVMKFNDDPSNYLGQQNYQNVDVASSYYDELLEKKFEKSMNS GPSTSRVYLWRVIAYLIKGEYKQLLSPEEQSLLQFDIQFISILLEEGDCKLAIRMISDIL CTFSTDNQKQSDAVITSIVKQINDKEYKEYRKYLVVLKRVFQIKDQITATRINAGMTKLL DTMQKQGQYFLETDVCQQYILRMVFKNQAVHQWMVKNQRAWQWILENHNTQPPPNDRLIA NNQIPQKCQHRLHNIYLPNTSQGYAKLLSWKKLQYVNLPKEPFKQNDDFDTDDDLTEKNV KVDDKIDFYDQNQWITATVSKVMGDYIHLTFSGKMAPQNMDIELDNERLAPFNTLSSNNK IPGYNNQSYEMVIEHQSDHDNDTEEGNNNSNNQTDSDEE >CAK79342 pep:novel supercontig:GCA_000165425.1:CT868330:64975:65775:1 gene:GSPATT00014288001 transcript:CAK79342 MSKQWQMHQSVQESLCSSLNKDEILEEFINICNYISQEDQKSIKSLNSKIKTIKKHYAQQ KCRIEHQFKYIQTLLEQKKKELMSLLSTHEQEIDKTFKNLLEQVTQIQSVINNIQNDILS NRQEIVSEVDDDTFHNILSQFDQEIQMTQKYKKDLLSQKITLIIIEEWTSHHQDVIKNLL NQCITIKDYQKQIETQHSELSASTSSDKFYSSSRSSQELCMKQQTPLIVSFDQKYFLPQK QTEISKSDSDMHDSCESDFLREMTQS >CAK79343 pep:novel supercontig:GCA_000165425.1:CT868330:66354:66756:-1 gene:GSPATT00014289001 transcript:CAK79343 MSLIKVPINDNGNKQPGYKPLEKEDQQFTKRQYKMISKEKKKQLIQTVLQQKCKIKRIAK DLKINYATAKTILHNYRKKKIQFEQNEQFKQASYSNVTKFSKLNLKIILNDKVLKEQEYI ITTL >CAK79344 pep:novel supercontig:GCA_000165425.1:CT868330:67618:68151:-1 gene:GSPATT00014290001 transcript:CAK79344 MTINIETPNHTRYNGLLHKIDTTENTILLHSVVNYGKAHRPIKQIISDTEKHNYYVQFPL DYVKTETVITTNDGKSYKAKLRKIDLQTKFPILQDIRLMCKQCEERERKPFDFKSHRVIS IDKKQQIKMKQSLHNQNKSVDLKSSYPPCITNNYRQMAKFKELTEQIGYRPKLKSKN >CAK79345 pep:novel supercontig:GCA_000165425.1:CT868330:68235:68499:1 gene:GSPATT00014291001 transcript:CAK79345 MPNHLFRTHPRTYGKDSRECRVCAARQGLIRKYGMNVCRRCFRENYELIGFHKYN >CAK79346 pep:novel supercontig:GCA_000165425.1:CT868330:68735:70238:1 gene:GSPATT00014292001 transcript:CAK79346 MIKADQIVPYSALYIDMQYRHLFNTLWSYLKPIDQDKYIQQIEEVWLGGLKNQKILVTLC VRASTDLVLQALNWPPGSEVIITGINIPDMVQVLRLNGLIPVPIEVDPHTLGCTLDQFKK FYTEKTKGIMISYVFGAKFDASEIIDWAKSKGLFIMEDEAESFSAPNAKLNPNVDFSTFS FGSIKTCSAFGGSISVIRNNEALYRKMKAIQETYPKWSQRNYFKRTLKNLIPMILLNSRT INRSSRWIFINFFPNWDYKEFVVNSIRGFQKNPDDILQTYRFRVPDPMLVMLALRMKTFD TDQFNMSISRQLVGQSILKKGGLLVPGHAVEDRRFWLYPVVVADPETTYKVLNSRGIDAY MGVTQLDIVESPIGSSYQYPNSTLSYFKNILYLPIHQNADLKSIQRICKEVVEVVEMLKS QHPKL >CAK79347 pep:novel supercontig:GCA_000165425.1:CT868330:70425:70875:1 gene:GSPATT00014293001 transcript:CAK79347 MNFDDASLSSLHTYRVKTRQQQKGIQPSIQKSKRKYCHISPDRKNQLISLVLDKKLRIKD AAVICSLNYSTAKTILYTLRHRPPKQAGVQPISNSRKQNLTVKILIKGKLVNEYDFYKKS NQS >CAK79348 pep:novel supercontig:GCA_000165425.1:CT868330:71515:72506:1 gene:GSPATT00014294001 transcript:CAK79348 MIILEIIITQNEYNLKSKSSKPQYKYHETQKIIFYFAIYFQILCLLISHSFLFLNLNEIY QENILVFIKIQLHLFSLSKYLSYMNFPKYFIFNISLQQSVMENSNQLLFYRTQINCWTSH QNWLYSFYNYGFLIKKPFKTEIAFEIFGNQNKRNSQQLVESCLYIWSSKQDWIKQLHILI KILLSNLQLLIDLDLLNLFLKFDHRVCLTAAQALQHSYLQILHSEVDDVYEQALFYISQH LFQSIPWNFEQLFQQNILDMLYEEILFYHFSQFRNQQFETLQSDQSLISHIVDNENARIV DQNADE >CAK79349 pep:novel supercontig:GCA_000165425.1:CT868330:72877:74360:1 gene:GSPATT00014295001 transcript:CAK79349 MQMIQQCLVFLSLLLIALSQDCLDQDGNAVDWWFILKMPGSRKFGWSGLDYFYCDSVNDC DEFDLQQDDLDSEDSPLIRTIRPINFKEKDVLSLLWSDQPNDSLDYPNNAHSKGIMRASL NGNNKAFIISHSTPRFPKLNSEGEIDDTVEDNFHRNGQHFMCLSTTTSDIDEYLAKLYIE AEISIYQHSSTPDKASKFREFRNLVDLWATRKSSKRTSGSSVYTKSRELKKQMTFETRGG QTFEIFSKNENYRKDFYANVVAPYLKQDFVLESWVRQYKVKGLQEADCDNRYKTLSNEVV KFTGYQDDELIEFEYRYTKDHSKYGITLPRDSASAGASFITNTQHTLKGQIFASNQHSRK REEQVFIGGDDETMDDGKLLGRKRNRDEDSEEPTSRKRKREDGKTSSKKPKVIHEKYVCI SDLNRQPSQWERGGLVYCFYNEGLWTVIKEAFIGIQECEEEDELEIDG >CAK79350 pep:novel supercontig:GCA_000165425.1:CT868330:74629:75044:1 gene:GSPATT00014296001 transcript:CAK79350 MFCCSNAKKKSSQTPQKKQNNRQNSSEQTLNINDEALTFITTIQIQHINTIQTYKITQDI QTFRSSRSNSRGTKNNDHVAQSILVKPLRKSPFLTFNKKGTDTTTKYLSTKQGYTLLVTR TPQ >CAK79351 pep:novel supercontig:GCA_000165425.1:CT868330:75111:75456:-1 gene:GSPATT00014297001 transcript:CAK79351 MNEQSFVQTRNQNSFWVKKKELKNGQIQIDIEDNEKEYRLVFSKFKILQFIQSQKLLNIG KKFSIDLSKSEDFQKIIERFSNDNQLTQKSRDELKWLIHNELISMFD >CAK79352 pep:novel supercontig:GCA_000165425.1:CT868330:75491:76033:-1 gene:GSPATT00014298001 transcript:CAK79352 MKSLLKYVACTTFDESLNQKEQRPKTKKILRQNKTLQNQQKIANNLDDKIKTEQSVEENS NQENCQNDEDELESQKNQELDANNIVSQDQESLTEQTNTQLLQQANFTFNQQPLIHLSLS YKEQNFIVPIYNYSSELTIKQSLIQLNLSQQNLQKVYQICIKHLQFYLKTLESNLVEQKE >CAK79353 pep:novel supercontig:GCA_000165425.1:CT868330:76094:77200:1 gene:GSPATT00014299001 transcript:CAK79353 MDKYQIGKVLGDGTFGSVYKAVDETTGQIVAIKKMKHKYNKWDECISLPEIKSLLKLHHP NIVKLYEIIKQNDELHFVFEFMERNVYHLMKDRQKPFNEIHIRNIIYQTLQGLAYMHKIG YFHRDLKPENLLESNGTIKIADFGLARDIRSSPPFTDYVSTRWYRAPEVILRSNEYNSPI DIFAIGCIMAELYRLWPLFPGTCDTDQLQKICEIMGTPSEQDQPDGYKLAAKIQHRFPKN IQPKPLSQVITQASDDALDLISQMLRYNPLKRPNASQALAHRYFIVALPILPSLDNIEQQ QDQDYTNFQQDEQQQDQRFGPQNQQNISLFYMKNARYKPGYTCILENAEDN >CAK79354 pep:novel supercontig:GCA_000165425.1:CT868330:77920:80699:-1 gene:GSPATT00014300001 transcript:CAK79354 MQNNPYPTSELKIEGQTFKFYNLVELFGDKVTRLPYSIRILLEQAVRNCDGFNVKKEDVE RILNWEETSKKDTEVAFKPARVILQDFTGVPLVVDLAAMRSQAQAMGKDPQLINPLCPVD LVIDHSVQVDFHGNQNAREQNEQTEFERNLERFRFLKWGSSAFKNFEIVPPGSGIVHQVN LEYLARVVFEKDSLLYPDSVVGTDSHTTMINGLGVLGWGVGGIEAEANMLGECTSMVLPQ VVGFKLTGQLSAHISATDLVLTCTEMLRKKKVVGKFVEFYGPGVSTLSLADRATVSNMAP EYGATMGFFPVDNKTIDYLKQTGRSEEKCSLITQYLKAAHLFYEESQTTFSDTLELDLST IQPCVAGPKRPQDRVNLSQLKQEFTQGLTAPVSFKGFNVKAAQDVEFQYQGQKYSLNHGS VVIAAITSCTNTSNPGVMLAAGLVAKKAVQAGLAIRPYIKTSLSPGSQCVTQYYKAAGLD VFLDQLGFHNTGYGCMTCIGNSGPIDQAVSETVSNNDLVVAAVLSGNRNFEGRVHPITRA NYLASPPLVVAFALAGRMDIDFESEPIGVVNGQSVFLKDIWPTRDEIKQLEDQVVQPQMF IQTYQQIKQGTKNWNELQVPKDQLYQWDQQSTYIHHPPYFQGLSLELPVINPVTNAYCLA VFGDSITTDHIQSSWQYFCKTVLLVDIFKERGVAQKDFNTYGARRGNDEIMVRGTFANVR IKNKMLQGKECPNTIYVPTGEVVAIYDAAEKYLHSNQQTIVIGGAEYGSGSSRDWAAKGP YLQGVKAVIAISYERIHRSNLAGMGVLPLEFTNGQTPESLGLTGHELFTLNVNKDNIKVN QIVEVVVKKSDDTTFNFNTLLRLDTDVELEYYKHGGILQYVLRKILK >CAK79355 pep:novel supercontig:GCA_000165425.1:CT868330:80900:82155:-1 gene:GSPATT00014301001 transcript:CAK79355 MRNRLQACSFKNSTYSHLFNNALTLNKTRSCESQECGYANKKMLYVSTILPTFQPYQHII SKLKDQNLKLQQRKQIKMRNKRHLQQKKTLNEIMQKQDQQPKKRTNRHHNTVFYNHQASM PIQPNICSNESSQNPSKENSRHSIQLHRYNSLSPRKLYLDKRTTKLQTFSQRLKTFYLPI TAPFDCQTIMRLEMIEDGRAKVIKQQGSQDDFEVNLRLYKFEDDDKNAEDQGKDQQIKLP TLHQEEDEEDDSSNDDNLGNLKQMTNNNQKSAYKNILKFNRRSLQSLIDKQQLGESRIKE ILTTEYSQRAITANLSPISNCNNGFSPLPSLKLLDEKRKRPLHKLLPLDLKPVCPFSFRN LMPISNISPSTQSTKNSIAKKTLSRNIINVKGKKHKKNITFGQLLIKV >CAK79356 pep:novel supercontig:GCA_000165425.1:CT868330:82292:84065:1 gene:GSPATT00014302001 transcript:CAK79356 MSLAFDDYGRPYIILRDQGQKKRMKGLEAYKSNILAAKAVANTLQSSLGPKGMDKMLVSP DGDVSVTNDGATIVEKMEIQHPTAKLLVELSQSQDAEIGDGTTGVVVLAGKLLEQALTLL DKGIHPLKISDGFDRACDVAIKHLETISEEIDIQANEHEALIQAACTALGSKVVSKRKRE LGKIAVTAVLDVADLARKDVNLDLIKIQTKTGGSVEDTRLISGILIDKDMSHPQMPKEVK EAKICLLTCPFEPPKPKTKHNINISSAEDYKKLYQQEQQYFVDMVKLVKDSGANIALCQW GFDDEANHLLLQNELPAVRWVSGTDVELIAMATGARIIPRFQEITPEKLGKAGSVKEVQF GTSNERMLVIENCQCTKAVTILIRGGSQMIVDEAKRSIHDAICVIRNLIKDNRIVYGGGS AELACSIQVLQYADEVSSVEQYAIRSFADALEGIPCCLADNCGLNPITSVAQAKARQLQE SNPRIGIDCMELGTTDMKEQRIYETLSSKKQQIQLATQVVKMILKIDDVIAPDDY >CAK79357 pep:novel supercontig:GCA_000165425.1:CT868330:84068:84635:-1 gene:GSPATT00014303001 transcript:CAK79357 MGSFKYTVLILILLSTYGWGYKLRSITAYQNCDMKWGKEQINNNSSKTICSNGSLLSCLA MILQTSSKPINSRPVNPAILNTYLMNNNGYKQGDEINFSALSNIGVVFVKTVSDLKQAQD YFNSNHYVVLNLNYGKNYGMLIGFDDSDKSNVAYYINNPIVPSQTKVEAKDISVAIIFKA L >CAK79358 pep:novel supercontig:GCA_000165425.1:CT868330:85195:85413:1 gene:GSPATT00014304001 transcript:CAK79358 MIEISDNLLMNSIYLSENLNTEEISAAQYIEKIRRTCISHLIIIFIKLSKLLQSLNLAQK TPN >CAK79359 pep:novel supercontig:GCA_000165425.1:CT868330:85716:86213:1 gene:GSPATT00014305001 transcript:CAK79359 MKKYVLLNDKMVEEIAQNLNYKVLVKLIHLTTPYNTTVFKDYEYPSGHLYAILVYLTGEQ RQYYYQGLLKLENLFQNSQSLLYVYWSQYCQITIYQNKNIDTSILHLVHLGILGQYLDNF DELNQYYNYLHVKSINEQLHTFTVYEGSGAKIRIILDLKCVLKLF >CAK79360 pep:novel supercontig:GCA_000165425.1:CT868330:86695:87093:-1 gene:GSPATT00014306001 transcript:CAK79360 MKTDINDIRFLMKQNNELMQQISHRLNNRNQVFQLEEQLKTERKQFINTTRKVGLLSNQL EKIQQIVLSQKEKLKSQQQLSKSQLRQTQQIPQNQTVEKVRSKSSNIKNVDVGFIKSKIK QALQEVMCEYLD >CAK79361 pep:novel supercontig:GCA_000165425.1:CT868330:87180:88350:-1 gene:GSPATT00014307001 transcript:CAK79361 MDSIRERLLQLDQQAQQFQQQNKYLEALNVFEEMLMIKKSAYGEDSEEYFKTSDKLCELC NLIAMIFLQKEKFDASLEFLKKADMLAQSSTRYKAITYNNLACFYRRNGKLRSALQYLQQ ALEIEIRQETAPSLADTHLNMCAVLSQLNRVRNVIQQHAEALEHALISVVLLQDEFLMKP PDQKMEQSQNQDQKSGEQKMKDRVAVLAIAYHNLGVEFEYLKRFDEAIQTYQKAVKFGEL HLPPDHQLIGNLKNVLGNAQEQINQQRAKDSNKRQQKELQSRNQNKRKLVEVEATYKQNN KKKTDKIVQHQQQQQVMGQTMRSNPQNNSVNIREDDQEQQGGVQQESSYNDHDELQQEAQ TQLQQHQQQ >CAK79362 pep:novel supercontig:GCA_000165425.1:CT868330:88385:89349:-1 gene:GSPATT00014308001 transcript:CAK79362 MKVEKDDQILNYSDSDINFETNQSTLCEDQLFQNVKNSQLGAALILSQKQQKERMLKKQS ENSYLINNRTFQEQRQFILRTLCLIQFHLLGPLLLCVFCMFTTFVVELLYDKEEQKFSFL FYISVALEIFILFSVTISRKNARKTPYAQSAYVFFGIFLSFILAGLYSSTYYSDNQNVGF IILALINIFQGSNAGALFYFATLKQKKSALYSLPFICIFPLLLSLIYMFFMQNEILKIAC WMIAFVSIALAILSVNALYQIIDGAFKLEKEQHCIATIFIYIKMIVFCKEF >CAK79363 pep:novel supercontig:GCA_000165425.1:CT868330:89419:94508:-1 gene:GSPATT00014309001 transcript:CAK79363 MKKEFEKSNKKYIGLTEIFNKMIQITLQSQKSVTLNSTVQLILLFMCKFQLTFFLFYLNY EWQVEKNLYMFNKVLLLIFRIDIFLQTFEVINRFVIYLILSVLIIEKLVCGFLLWDLKNK TRYLYLNKIVGVYIQLYYGWLHILLTTLTCLFLTHQYNQLDVNSLNYIHLLFGILCFILL QLEAIFNLLICEQSIDGKVICFDRLRITVKEYIIQFLNLIIIILFSVMKTSQVISWIIHL LILLSTLINLINLQENQTVIENSKKFIIYLSDSFAIVYIFYSLVQQIVQRQDFQVLIYTI FSFPLLLKIVQKFDNLINTKLDHLAFSRQKVDVFAITQFLIKKQNNQFQPVLFKLYIYNY HQKKCKNTKCICNQSIMYLDPKDAAALTQDIDRDFVHQKLKQIRKYLFNQNVNNIDDIQY YTVLYGVLLANNGWPIQSIKHLNQLIYGKLTSKSSMISVNQSLQNPHSFSATIRKDSSSQ TQTDPDKQLDDIKKSYQAKSYKQAIPYQISFDQISLSKIMYIQNEIKENLRYLFGNTSLT SEMHNLSEQIQIFMQQEMMLDKYVKEIKKILKLKLKYYENLMVLIKEKKMSELMKSLMHI TNKMILFKTALQSQYEILQSKRLQSLQIFYEAEVFKNYLDAFKMHNQSTLSQEQIQIINK NLNINFNQNDMSYIILKIDDDLQNAELIKYSSNLLQLIEYSSKEELTFEEFLLPFILREH PLLISRFFKIGQSKYYKQFSQTFIRTKNNLAKSIVFSFDNVVQQKQDKIILVGLLQEIVI QSAFIMIDVNQIVGGITNAFFSLLGYNQQLIDNIFDFSIFYQLKISQILPNFNELTQNED ESKVVKFNNVDFYFIDLEVLFNEFSVENCTNVKQQQVILNKLWGKQNTTKFYLTNITIQS YYIYGFYYYIIHLDSSFQRNEYINSSKTQDRFSDDRGKTEQSQNFQISRLEMSIEEAQPG TINQISIQSREGYSEITQNKNKNKNIDLFAVQEVHQEYVEQKVDQFQSILSPNKSTSQLI ENQRDKFIQQTLQQDYYTVNTSQKLNKLNRSNDKKEQNIKGEQIMDKLFRDSLKSTEFGV GNSEVIKKFELLSRLTKEKTPSILKLAISTIVIFILIQTISISLVVSILNNDILQFISDV EIIALHASIQGPHDLFFSMRNTISAYQQMGREGYIPNSIVPNLTAPYEKNLGYGYYELRD SLYKQLDNEYLRGFLDGESMELLFMKNNDTQNYALEIKTFRECLFIILQYQYAQMRVLQN KLSASGAPFQVFLFSNYYNIQDKLENITNDILQYSKTRSVQVGLKWSSISICFSILILII ALTIAFQLHQYYKLYDRFLQLLNFVDKQKVQFEIEKLNQLLKVLISNQDCVYSYEFDLQH QESLMQNYIALNKISNSKKNVENNSQLQIPRRYFLIVWTFIACIFQVYIIVTQIKTNDYI NKYDDTADFYFMIQNLKFRSGSMYMYREHLFRFKNFTYLTEYDLYRAYLLIDKAQANIQQ YLDFTSSFQENKYLVSDQFISFFKYQQTNDLCEFIDQIYIDFMSLYCEKAFDGLLKSGSI AVLNFMSNQIRSQQAINNFTKRVDVNLYELEGSQIVLRSFFRISDEFQVGLKEITTAQNQ FTLIITIIFIVYLAIFLYIILFIFKRLLTKEYALLRRIVYLIPQQVVLGDESFERFLKQL ALTQELK >CAK79364 pep:novel supercontig:GCA_000165425.1:CT868330:95061:96480:-1 gene:GSPATT00014310001 transcript:CAK79364 MQKQTTLTDFTILQKLGEGSFGQVYKVFMIFICFEYAMKKVRMGNLKLRERENALNEIRI LASIQDQNIIGYKEAFFDEQSNCLCVIMEYASGGDIAKQIQNSIRKHTLIEEKEIWRALI HMTRGLKVLHKAGILHRDLKSANVFKSSNGTYKLGDMNVSKVSHGAMAKTQTGTPYYASP EVWRDQPYSNPSDIWSLGCVIYEMATLKPPFRATDLKGLFRKISTGIYEKIPKQYSSELN FMIASLLKVPPQLRPTCDQIINDPTVKKYIDEFEPQSPQQQQLAKAQLLQTILLPKNLKQ LQQKLPKPMYEIDQPVQQLPNQPKSTRSVSVNEQKYTERPKSQTPQCQKKQPNVVSNQHI PRPKIPLAPVKQQQAAKILKEPQSHRPKERPVSAMRAQSPCSVRKSVDQKQNRNPSPLVR KSNPYIKNNDENINANIIKKRNNIPLKR >CAK79365 pep:novel supercontig:GCA_000165425.1:CT868330:96537:98592:1 gene:GSPATT00014311001 transcript:CAK79365 MLDNIMMAIGWAGSQQIIAISLLRYLILVNSKALYLSQFLIRLACILLFLIVFLNKIFRL QHPRLNTPHQLGRPFRFSFSLVFFCSLMEFVSIAMAVHSLKYYSIVHFAALFTIFKSFFR SWINNVTPITALASYLLMGLGYLLEDWIYFIHGASFDKRFLGINEKFEWKPLVMVIAAAA SRTLGIQIFKHIQFQQLFKNKGLVDKISWANYINDEPEKIQNSLAAVNALFSRYLEAQLI VHCEQNSIPIHQLKSDAILELLNSYFDNHLSYSYQYMEQFHIFNFNKEALDDIDAYAEKD TLLRKMIKQRIFTIQLQHQQFKELFGIDKYQNLSFEVIFQDYGIHEYIWDEETPFISLSM QFFQGIFCLIAAYFNEEQLSDYFSQGYDIGLTLTKIEYYVWIAVFLGILQLGKIGAYKLI ILWEVQTYFFSGIFLELGIIYLTMIWIENITYIKVLGLIISYIVMSISYRSAEKVRDQKN KLFYLNFVIFLVKTQKFTNREGSHIIQLMTQCCEHLSLNEFLKVLSQMLCIHGIVSCEQL RHMKFSHFGESPLFKFQIPFIFQSQLRYENNIENDTLIQEEGEIEQMQKSQFTRYVIESK YQENKSDIKQGKNVGNSRIQVKSEIQPQYSKIMSDRKLSASAQKSKRQSILN >CAK79366 pep:novel supercontig:GCA_000165425.1:CT868330:98941:100035:-1 gene:GSPATT00014312001 transcript:CAK79366 MKSDKEILNILRRYKEAKVELKKYLSWIEQIKLEMVLSAQSERQSNYYRMQIQGIDNKLK HGNYPTSESLTDEVQTKCNQMTFQSQQQELNQDNVREFINKYEKMLVQKEQQQHINQNSG QTLLINRDSKQHFGTSTIEDMFKLSQSQQESQQINQFSYDTSNFGNDHIGGRRQGENYFN NLQNYSKDYEQEQSLQSSKCFKDYMITQEKVDVSHNQNKQEQKKSFEQFYQEHVEEEINN IQMEIEEMQRFLQRVQTAKDKLTNSFQDLQQIPVINRESSEKSIQKVTEDLKLIDIIKQN MIKQMENNIDSDKLKKLRNMKHQIQLYFNNVEDELLKINEEASVGQ >CAK79367 pep:novel supercontig:GCA_000165425.1:CT868330:101123:102270:-1 gene:GSPATT00014313001 transcript:CAK79367 MAECIKKYGKSFLPYNSLVRKSQNLKSDASFDPVQSCVVESKVPDTQGDAIQFITSDIPT IQKMIQSQQNSKVASKLSQDGGIELSQDSHKYLELLISNSLDSKLLQRPLLLSPAQQQYL NQLGTLISQSPNGSFCPFLNINQKEKQLLQLNLEQQQNISSIYNNTCQSNQFCKQSNILK PKAIKQDLHFKKEEIKFLNLEESCQSEVIKQEESSWNELPQPHKMKKHSKKLMSIDSSES FKVIRKKKEKKINDTKNITKNFSKAIISYIMNNPDLLKQFFSSKQYEDFLVLLKNKKNQM TNIKQLRDLWIDGGKSSEFNKVFRIISQYFLKNQSVAYVYNSRISNTIWHLKYRQNLLRA LKEPENFRFIKDL >CAK79368 pep:novel supercontig:GCA_000165425.1:CT868330:102996:104343:1 gene:GSPATT00014314001 transcript:CAK79368 MIRTNSTQKTKQVVLSNGQVQSLSPFNSAIRMSNHYQDAPSTPQRQIVSQNALRLIVNNH QAEVRQSNAFTPNGKQQQAGKCFQENRSVIVQDNTRMQQLMNDNMYLSQALEQTKNELKQ YQQSTHESQQLDFIKVKIESLEKVIDDQALEIEQWKQKYQNICTQDPQDSMLQMESQIML VIQENERLNILVKNIQDSTDKKDQLIQQQDQEIKKLKEKVKISDKTIQSQKEEIKQWHEN FTEFEKNSKQQVSHESELLKSKMKIQELEFQLHKKKVSDNNNGQKLSQRKIEENPELELK LRQLLEENNKLTLLIETLQSENSKLKSTKQSRSTSQFQSTNHLSQGILEQIKQELFNQQL FSTEEFKKLKVIYQQNETLRNQLDNANEQISILKKTLQYYEETQNVPSDQLFEEFLQSQS HCLNMIQQLQQ >CAK79369 pep:novel supercontig:GCA_000165425.1:CT868330:104431:104778:1 gene:GSPATT00014315001 transcript:CAK79369 MNQKEERILEYLDNLKLQMTDPTQKQQDFYESKKHKILNLEHKHCDSDPSSPFSLREALS PISLFHTHSVKLCDINNDNTNSDNHHHHNQQIHHASHSFKLHLNIHAFTGKKVEI >CAK79370 pep:novel supercontig:GCA_000165425.1:CT868330:105303:105853:1 gene:GSPATT00014316001 transcript:CAK79370 MKYYSDPDEMAIEFSSNMQKQNSIEQITQTLNNIHLLAQRNVQIIVDQFQLGQTDNTLTT ISERLRNTSIIVALLKLKFPKDKQQKFKLLGQTLYENFTIFKKLIQWCEQNDETQKKQKG KKTTQLDIHLINTIRAYSEYINNELGSYFKPK >CAK79371 pep:novel supercontig:GCA_000165425.1:CT868330:105859:106969:-1 gene:GSPATT00014317001 transcript:CAK79371 MNHNNTTHRRTQSNNDIQQIICARIAGLVEDEYQQQKLDPKRINLGSTLFQIPEQNDLIS SVEQTNESSKQHSQLIKDVNLSNLKPNQQQDKENFKNLSSCSALINQIQQIVKSGSNNKE SLSKKPFTSINEVINKKCSTQTQMSFTQELLKKQGSNYLQQQQGQTLKQNVTPDRKRGPS YSNKPTNQKQQNDSTPNEMSGTDYLKSNCSISTFNNLIRQDQKNSNFEVCDSYRNLELKM KKIEQEISTIRQRQDHLDETNRNIQDQLKEFINDNKQQQEYDSKQLEKIEQLEQITRRNE ESIACLKNLLINNNANQKRNDQNNINLGNKQQFDNTDLQKKFVDFRPLNHKVF >CAK79372 pep:novel supercontig:GCA_000165425.1:CT868330:107202:107657:-1 gene:GSPATT00014318001 transcript:CAK79372 MKKKVMFVVPPQQHSYAMNSEETKEGCQCQKTKCLKLYCQCFHEGKCCGEQCSCSGCKNS LTDNFERNKAIVKIIHKYKDESKLKFSGDKGSGCCCKKSKCQLNYCECFIKGRSCGDQCH CKKCQNGKKQNQKICANHEDQIQICQKHQAI >CAK79373 pep:novel supercontig:GCA_000165425.1:CT868330:107716:108333:1 gene:GSPATT00014319001 transcript:CAK79373 MRRYVPVQSADEDSTIQPKAVKQLDIYDKIEQWGEWLWVKAQAVMWISAAIGIVYYSNFF KQLFHNENINELFLTIALLFIGMSISLSLYVAFYVPFIKGVTEDIEIYNPKAIQLGAFAG FMSFLTLTIAIWPVFGWWSFPMLFAMFLGFISTGHFLPNHQLSGLLFGAIFIGAFFSHYF IDHDGYMH >CAK79374 pep:novel supercontig:GCA_000165425.1:CT868330:108360:109970:-1 gene:GSPATT00014320001 transcript:CAK79374 MIFNTKKSRFWRNWQLRTQVCMIQFIITIIAIILIILSTLLILFYISDTQMQSSQQAFQN QFGKWTKIIMYHQIQRVQQTLFRSQNQIQRVNKLYQLSQQLQQPNVSKPYPCLNDLIHQD KYAYSASFCYLAYGSDENGEMYKKLKDLCGILTETVQMIDHDFDVIFASTNDVHFFAAWP GFYLAQDYNPQKRIWYTNHLEQVSKNNKSQTYFCEPHIHWTWKVLMIAQTASLLDINGSL DGVIASHVNFSQFKYQDDGISFTIMNPDGRILLSQLNISEASYIYDYKLTQLDYKDYQQI INQANKRQTKSDCDSQRWKDFGYLCRKIHNSTEEELIDTKIMDNEGLVLIIQCKLSQYQK LLQQMFDNFESGISQIFLGTILGSFGYMFSSALITSIIIIILFNPIIKIINQTSQYVFKE NFSMQHKLQNKPQLLLFKRSKSNTLIEKLQISFNQLIHRSINPNKSLLCLLIEQFKYPVK RWQQRRKVQKILKFLSFLENNLENQEITDKQIQFVQQLIKTYQSTLL >CAK79375 pep:novel supercontig:GCA_000165425.1:CT868330:110174:112316:-1 gene:GSPATT00014321001 transcript:CAK79375 MSRDLKQLLKRFDFVSKSLEQFDLYEQNEFAIPISQQQVPIHIDRYSEECYEAFQKIYQK NQDDELQVIPEDEKEFYILNRDTGLMIDCRKLDHYTIPKSDMKEVAWKNYWKLSREISES LLQLVQSNEITKIYELLAHPQFYIDINIRYWLCQFDRDLDDWTPLHFACQQNNTEIVHLL LLQQANPKLFSLDRKSPLHIAAMKNNSRICEMLINYGADIEAQDSDQNTPLHIASLHGND EVCAILIEKNANHNLKNYQHLTPVEMASDIKIIEVFNKYGISLNNFTYTRTVVKEQNLVL PNSRRDHVQKILKLTQQQAKGSVIEQDIKKELINNEQQQRQSLTALDINQTRSTWGKIMD FAISFSRISIKGNTQTTNSESQNNKIGPESFQFYQKLGEGGFGQVYLVEKIGQEPKKYYA MKILQKEDIDTSNIIKSAQIEKDVLKIMNHPFIVKLNYAFQTLDHLYLVMDLCPGGDLAT HLELVNQFPEHIVKIYAAEITLALEALHQQGIIFRDLKPENVVLDKDGHAQLTDFGLSKQ GIDEEMLNQSFCGTLAYLAPEMLMKKGHGRQVDWYMLGIFIYELLVGAPPYYDAEKEILK ENIKRAPLKIPRHLSQEAKDIIIQLLIRDPKRRLGCKEDAKEIKSHPWFNDINWQDCYNK KLQPPKPLICHEPKAARKVTFSKDSKRNKLNDWTFFEN >CAK79376 pep:novel supercontig:GCA_000165425.1:CT868330:112743:116728:-1 gene:GSPATT00014322001 transcript:CAK79376 MQTESEEPSQLIINRIILDNFKSYYGHLEIGPFHHQFTSIVGPNGSGKSNLIESLLFVFG KKASWMRLQKIHQLIHNSAEHRDVKKASVEVQFIEQSGNNRNYFSVKRTVHHTGQSNYDI NNKHATLEEVTTLLKSKGIDLTNNRFLILQGEVEQISLMKPKSGDPEKPGLLEYLEDIIG SNQYQEQIDKMTEEYLQLDVQRREKGEMMRVVEMDLEKLEPGKDKAVELVRNEIKNSQLQ NVQQQIANYKVQTQITKCKENLTQIDENLKITALESKEKMKDHSDTVKLMKTASDKFQKA KQKRQSIKVQIEELQAKDTQNRDEVNNLTSQQNRFQKKLDELIQERNKCIDEVEDLKKEI PAYEKSIKTLRQEKEELEEVVQKMNQQHQQQVRKLVEKKSSLQNIISEPQLQRNQYAKDQ EITKNKLNQLKLPDTDGGKGIQNSISECNNNIGQLQEIVKTLNQTIDEIKNREKLQVEKQ NQLKKELKQNEDQLQEVQQQIDGFSIQQNQYNEQNATIRAIMAAAQQGQLKGVIGRIGDL AYIDPKYDIAISTACGKGFDSILVENQQSAEACVNFLKSNRIGRYTFVSLDVVNKMITQD MMQKRGHNPNHTERLFDLIQVKKQEYSGVIFKIVGQTLVCDNIDLARKLKFEQKNPNRFV TLDGKLIEANGVMSGGGQQRRGALSGTNSKQDLNANKNNQNQLEAMLKQYQQNRQQIEQQ LIKVDHELQTLIKDGKIIQEKLISATQDLKNQQDLKLDLQQKLEKVKNLEQEKADIEKQR KEYEKQILSHQANIDSIDKKISKEKKQLEQIEQEITESENKELKQNKEKLQQLTTKFDKD DAEFKKMQTRLVVLDKKQKTLDKDEVKIKDDLQKIQSKIEQMNQEFKKAEVQMIETIALY KIAKEAEENAEKEYKETQAKDKEFDELISRLKEKTNKLKQEKEECQSLFKKAQEELSEGV TKLEQIRLNYKEMQNDYEFLNELEEIANWKLQDQQHQQQYPLTTANQNQSMLNISFIDQG DESFDDGRKRGATLAIDLKAILEIAVTQELTEEQTIQFCPIEKEIGRVHAHIQAQIREIT KDANIKDIQEFKIKYLEYKAKKSDFDQTKQQLQQQKQKIDQLKKERYDLFMHGFNVIGSK LRETYQTLTNGGDAELELVDTMDPFSEGISFSVRPKNKSWKQMSKLSGGEKTLSSLSLIF ALHYYKPTPLYFFDEVDAALDYKNVSIVANFIKERTKNAQFIVISLRNNMFELANKLIGI YKTFDTTKTVQIQPELVQMKINSSVENNENVNQNR >CAK79377 pep:novel supercontig:GCA_000165425.1:CT868330:116959:118663:-1 gene:GSPATT00014323001 transcript:CAK79377 MNNHSTQFEILPKLITNQHKLLDPMYIRNTQQRNSQYLITYNSCRNESKILPHIQRRSMM QGNSKQMVVQRFMYSFDYNTRHFGTTCDRGFQGLSSYHLSQKFGHLGTRLQPLEKNIVAD MTDGEDVEEIKKDERLFYKKIYKYHQMPVLALIKSFEIEWIKEKDAQVNRKVSKSLILNF QTTLQILNTHQDFIDFFMKNLQLLELNTVALKQKGLHQLKEKVDKFSSQEFPSPYLIISN QTGHGFFKIHFPIIEIYFQEYQYVETIKLSPMKLYELVNNNFFQVSEIVQEMNVDLSVLK QNLLIQQTESKSKVQEQIEEKVKPEVQVSDQPQTQTQPIIETQQKLVTTKSNNSDFGLII NEKISEFPDKILHKRMIKGIVQKDEKNFFCVDFLPLQFIIKEKVSQKTVKLYSPTFQEFN DFLTQLGQKHMKQVIDECLITQFDLQPEDFDYKEFKKGTKISLSFPTVSELNLEITEEHL NNGLLSVFVKEIEINNKDLQIMVEPCTFGIYNQLTCMTEKRNCTSKELTSILQKKYQVKF QLV >CAK79378 pep:novel supercontig:GCA_000165425.1:CT868330:118675:119339:1 gene:GSPATT00014324001 transcript:CAK79378 MQIEVEKTYNAYWPPKYDLYCMGSNCPVKCESKFGQEFYVSQIDCNLKLCPLCRFQEMKC TVPNCQISIEKHYLKTNFNLQAKRVFFDLDKDVQEQLSIRKKIEEKYHRQISDIKQSSKP TEKLDQLSEYFEDAVYAERSNNKRLMLEIDTEIQRLWRQIEIEEVNDQHREMPKQVPTPR HSTIQQQTGSQNSYQKEAGFNERQFHINRIKWGF >CAK79379 pep:novel supercontig:GCA_000165425.1:CT868330:120251:121741:1 gene:GSPATT00014325001 transcript:CAK79379 MTYRVLLISLLVYSINCNVIIRTDARCVCKQWKLALECANDQDCIWNSNTKTCEQEECSS IKSQSICSADEGCQYRDGKCENFTKCEDLKGKTINECRFMSTNCRESNGEHCLPNAQERL CAQFTNEGECIQGQDGFCLWSDSKCTLWSQCAQANSKIQCEMLPQSCDWSATLKICLQKK CSEIDHEYDCVAVQEGPNSHLYEVCEWNYVLKQCESSIPDILTFDTCASNTLLAYHWSSS NASEGFCEQCLSPNVQKPSPKHCLCKSISSQNDCQQNQTCIWRDGTCEERKCAEIDPPQA CIQLEHCAWFANACVEFTQCENYKAFSNLECQSINKKCLLSDTLETCTSKYQECKTHKTD DKCNGSKDSKSEQCYWDEKLNSCQVWTQCSQQKQATYCEYSGACFWEGECKQIECKLLNE HQCTHYLTSPNSKTWKYCMLFDTCKDLDPDLLTKDECYALSYGLSTWNSSKCQQCTFPDD YTPILSFIGMIIITML >CAK79380 pep:novel supercontig:GCA_000165425.1:CT868330:122899:131144:1 gene:GSPATT00014326001 transcript:CAK79380 MSDIIALSDYKLNKELNYLIKDKQENYFSNENCFTKLIDNFLDKLKDDERKQTIGKLDQL FPELKLQNTVGQPQIYSSICESPLKSERSQSRINFSTPQQKNIRNQDFSFYEELDNVARS QDWVQLQQMMSQNNFYMDGKYPNNFFRLIPLVEKLKEKAFMLIDLADYFIDCQFQLNLSI LKKLLFYFYMPNDLNLTQGESQEIEQLLGELISQSWVQFEYHQNQLIQNNENKSQLEVLK VIPNMLTQTPQKQREIIDDEVRKILNAQHMISQQGDLEFYISKEMPILFEVQKIYYQICF YFLSVYHQQYKNNVRFCENERNRLLNSYIEEKTQQNSQKQAFQDSQFCDNQLEKFFVNYQ VQQSMSKIAGKGIIFKSNEDLLQYFIDTNFYYSNKQQHIVDIRQQNKRFAKILNLLTEIN DEDKRKIATIIKKVIQDSQDIFEILDDIYELKYQYDEEQVEVIFRIICEMLNKTFEEFWI YRYALNKSFKKKHIPNLKKIQNIKEKMKIQEMVQIVKTFDREFWEIMQKKFLKYYCTILN SLIQHTMSNQSGNPKEVIQILRDQFLQNRMLQQQIQNAQLFYHLLQRLKDEQVCSLFIEN QKQNISLQPYLLSVDILFQVFCFLSNDTDQQQFKNSLEQGFKQFTSYHLNFLIEQAQNKI SKNVLDQIYTLVYYWTFKKNNNLEQEAKSFFLNDPQLYKKTFSKLNFFDNQVLAADNLEY MEKKKQYDIYPRGLQISVDEFNLTLVELSQQDQYQLVHVWVLDIKNNKKFKSLLPFYLNI IKRGESPTIFLNMINQLIQTQQEQSIKYGYFNFKSMFQLLYNNSNAELQCLLLKQISQNY PVPFLYKVPYDDQTKKIEHFFLNLNIFYIHQMSFTIINLSLQQIQQRIGKTQLINKIFYQ QDKFEILDNNKLNNCSIDIMYDSEFQGTRYLSIADVHNFIPLEILDEILPLFKLWIIQLD TEQEIESTISILEQLKSFQLKNKTVCFLIRDSSLQLESAQVIKLKQLNIEYKQVVNLTDN NLNKYIIDNEIKQVSQFLYDLIEKNENDNTIDANQCFNVISKIKNQNLQYTQEIGSTWQI LSQIEQNLVKINQSEAPFLQSFGFLLQQQNFFILHLKFKDILQQFNQSNSQEKRITIGKL MDKISQIQQMDPGHQIQSLMYQKLEELILKGEPFQLIDSQIQFDYSKNILKKLKDQGETI FISILGSGSGNSNILNKIFGSPVQRYSNVNTEGYYFQLFNIYNKSIFGDLFKQVIVLDTE ILQDHNQDNQVLDKKIILFVLSISDIIIINTEVDIKTEFKQLVETSIYNMAKLVNTPKQI TWCFHLNNNNLERNSEIILNHLQIIEQDLKQEFIYQVDEEKAQYYEDIFDVNQYKTVQGY MQIEYFWKQNKNLDMKYNWRQIIKNDDFFNDAINYGIQNIQAFIKKRQNSSQSIGQQLQN IDQIYEEIIKMEELSELKKLKQFQQNDFMLQQYEEIVSNINFPNDDQIIESIDLSLNQNK HILSIELVNTIYNEQFENVKFYDQIQLEVNEKLKSIQDDNNISLKISSKYQKMITENINQ KKQQTINIINSKLQHLDQFQQIREIQQESLMRDKFNQIVSGQVFPEKSEFIANIQQQIQE NNQEITTEKVELIKTEQIEYLGQIFDQKEKELKQQISLLQRENNIKEEIIQKYEVKIQEQ KHVELQACSLAISSETKNIQVNLSKTQEQKLYQVKIKEVQQNPDMIKELMENPDKLKAVY NQVQNEAKLKKEKQDETLLKDRCNEFFNIIQSQIKGSSLQSTSQENYKQAFLEKLIKDQP KQQDHVVQYNILQPELQQLQFKVLEKTDKKQYLEIFTQNIKNKLASSADKPILHLNKFYV KIIKCIKKQNIDNYMKNGEMMTDFESEIKNNDKQLIQSFLAKFTVFDFEIIDQNVDQLCQ AIQNGIQGQQKQQSLLQCLKSIQKQDTLNILNEDSINKIQKNCQQLNKVLSQFEQIQINN NSEIDKQKIQENYLIETKPQLQKKLSRIEIDIFNYIMNQPQDLETKFNQNIVKKITELMN DEQQKGWNMLYEQIFQMVYDDMLVKNTVTASQENFAGLIKRLQQRLEIQIKDFNKQFSLF GVLLNEIGEKCIYNYAMFMIWRIVCFKYWEQKKKNDENEQQELEKDLFIKFKADLLQNRQ EQSEIRGKQQATEIIKLQYQRLYQSYETEVKGLISNYDKETSFDLIKRLDKEILERQNSS ITNSQLLQYIRNHADYIESYVKYNLNTIKTEIQSQLTKKLIDDMKSFLKRIFQNTKKLND FNQNLSAKDYFVKLKNLDEAPSLLYTAVFGCMQGALDQNIINIIKPDMIQGFQIQGCYKF PFTLKNNLQNKFDEEIQILYYFMQSFNKKIQEEMKQLDQLKIDFEKLDVQSHLDALQVKQ IGCQESCPICKRKCDLELDRNHKHQCRSGHQLRGMSGVLIGVNPSLFTCEEIQDYCKMQV METKSIKYWGDIKEIYNDWLFSCIDVAEKKASLKKKFTDIWNLHVGEMICKQLTQEIGQE IQFIKQEDFDKEQLQRAPKAIHYVIMLDDSGSMQGEKFDNAKAGIIAFLAEIHKMKNKDS RVTIIIFNDLARVVVDSEVIDSKEQEQKITFKGLGTNFDEPFTKAYEKIIQRPDFDKFHQ HSMFFYTDGQADYPQTALGLFDQLSPEQKQKIELVACTEQKYKLEALQKVVGYFKEKNFA YAELKHSIEPGQIGSTWIETISQKTHQLQKLG >CAK79381 pep:novel supercontig:GCA_000165425.1:CT868330:131398:134085:-1 gene:GSPATT00014327001 transcript:CAK79381 MGGRNSKDNKISQEGTNTQHSTPNSKNVLIFKASNRADISVENKKSNPTLFKKSTNNNYS SVISLIRQANYSVALKQLEIMEDLHSQTPDFHYWKGNLLNLLSGLAYLGLNDLQKAQESC EQAIKYDPNHILALAEIGNIYILAQKYEEAHQVFTELLKIDQKSFEAHLGLGFIRTQIND FKTAQQHYEFVLNCGLEEKITSLNYGHMLFKQKNYDMALKFYTKSLAIDKEYLSAFQAIG NLYYQQKKFNELLEFCDQNSSNSSWNIHVLQLKSQAYFGQEQYDKAQQMCELILKEDKNN IEALYNISKCLKAQGKYSQALNCLEKVLLLSPKNKKLLNFKANLQISLQRFQQALQTCDE LFELDANDSYSFYIRGLAYMNMKAFGNAIDDFEKAINFELHHTFNQKVYQSKIKCHLEFQ QFQQIQDCYDFLLAFTNNDQDKIKIHIDKGYYYLISKNIENAEINFNKALKYQFNLLNTQ IKIANCFRDTKHFKQAIMLYDKIIQANSKFVDAYIEKAILMDLQQNNSQTIHLCSRAIDL QKNQAKPFMLRGKANMQTQQYDEALQDFEQVIRLEPNNHQALFESGQAQYMSSNFEKACE MFGKALVIAPEIEQYHIKRAIALSLQDFDKEAIEYLKDAINQFPEFEDCQNLIDSLEAKP KHVREYANVFVYLIVMFFEIGEQLSKQESLVPTIEVDSIIKLLNLKMKENFPKISNLFEI VRYFILNKFDCEVKISNEQIIRILLSIYQAKYHPDNGTKVVMIMDMIELGKKIARLKDRQ IRAGIKLENQRIQSEFLKFLSKSNNSIFISSSAGFAIKDSIQVLLFLILNFNTINSDLTN LKNSIYSNVKNGVLDAIKPK >CAK79382 pep:novel supercontig:GCA_000165425.1:CT868330:134167:135577:-1 gene:GSPATT00014328001 transcript:CAK79382 MWFILQFLQIHIVSSLETVIQISENAKTWTDVQMSSPNNTYILNIENTVTFPYYAINVEL HKQDDTFSLHYGIGKPPEFSLNLSEITEVQGFDIYGYLENRFTHFILLSSEDFHQKQVYI STSSEWTQNYNITVTATYDKLCPNNCTNQGSCQVFNKLSMKDGKCLCNKNYIGNDCRQPA TYIEQNKEMFLNLENTVKYAYVDLEESENQTMVLIVQTNSSEGVDLYRMKTRVLYIPALE DLNAKYYDQLVYGYEVSSMYPIEFDLKDRNDVSTQIQKRVRFIFKQKSLREQHIQISLDL INQRTQNTISTSTVIIIVASTAGIIMFISISFAVGRYFRNKKIKETLAALAVIRQMQQEK KYSAKSFDDEILEQLPQIQNDHIKNTDVCPICLDLYINKPDLRSTKCRHLFHRECILSWI YINKNCPTCRSDLKIHMNHNRNQQQ >CAK79383 pep:novel supercontig:GCA_000165425.1:CT868330:135771:138438:1 gene:GSPATT00014329001 transcript:CAK79383 MNGNQFKYPEIEIITAEEKESRQPKQQYISICFCVLLIIIYSVLLGIEQSSSPLMICSIS YNIIITIIIFLKTSKIKYAWTFAMILLSKLISLVVLLFLDQENQIIIALLIDSLMQEPTH MNALEQTIIKLCSLVIVCINGNLLSIIVMSSILVLQLLKDLLQKMTQTKKQLNATCVIQN QNNSLYSHIEKQNDEIWKNRMQTIPIIVIIISMQNLQIVHKNQSFYNFFSSFNKTEKELE NMVMYHLSFNVSSSNLEEQDVSSINQFINQIRKPHTMTFNQKNQETLQDIPLGYQSLNDI VLNFKSKVQSSFINLHHSGYYEVSCRQKLEDGYYLQLFGQIVQNEKDNELLIFLNDVSGQ NEMQQNILINDFKSKILQSFSHELRTPLNGALNFLSSSLQEQKMPQSVKDNQIEPAINSL KIQQYLINDIIDFSSFYQDQIKIKLREFTISELITEISSMFYYQFNTKQLAFHVDLKENQ LSSFCTDYKKLLQILVNLIQNSLKYSFKGGCIVRLISLPNQNMVFEIADQGIGIQQDVLY KLQVITKNVDKNKEFVKDWHGIGLLISSIILQYLAPPEMTFFDIKSEGENKGTLISFCIK NFFRVPASQQNIKNSTSNFMNAGSASSQFKLSCSQQLQVNSSLFNVMGTLVKATEFHITS TQIKTQYAQFPSKKSQNDSFYSESNDDYLERRLDDLESLSPFLITDINNVYNKLKDKSSK VINLRQIKQLEERESELFMTGLNSLKKCNCMRILSVDDEIFNQKSLQVLISKMGFEVVLA FNGLQAIQVVQGLQKCCSSCNLLSLILMDYQMPIMNGIEATKQLISMMNKSQIPYIQIVG LTAFTGTKDIDNCLKAGMHEVLAKPLNIQELKHILLCVFKK >CAK79384 pep:novel supercontig:GCA_000165425.1:CT868330:138442:139122:-1 gene:GSPATT00014330001 transcript:CAK79384 MGSHITTVKMNSFRKNTSPLLNVTLSKLRDYHASFKSICDNFSMDLSEFEHIFGASESAF VIWDTDNNGLIDSLELFSGICIFSDTKFDDKIRFLFDLFDFNELESLSPTDIEFMIYCCM SATFKIYSISSEINNEELTVFATKYFEKENRLTVVELIKASKNSPEVNDFFQIIKKDLIE KVDDVKIQQQQQQQQF >CAK79385 pep:novel supercontig:GCA_000165425.1:CT868330:139827:140675:-1 gene:GSPATT00014331001 transcript:CAK79385 MDTTILEAIKQLPPKQQPTAVAIQHHLNKWADSEKQVSKKVRQLQTEYLRSVIPLQNEIN AIIKGLRAPTEDEIKDANTYQIEVKDIQASPLQNYWGQVLMNNSLTGKLISEADKEIFKH LQSVNVELGENGKDFTLKFEFGDNDHFEACILTKAYVFEDSDDELPTKQKGSQIKWKEGK NITKKIVKRKQKNKKTGQTRDIEKEEKAPTFFHFFEEVDSINNEDEKIERQQFDYELGSQ FVNQIIPKALFTYLGLKVDDEDDDFEGDEDESEEEEDSLEDD >CAK79386 pep:novel supercontig:GCA_000165425.1:CT868330:140697:142488:-1 gene:GSPATT00014332001 transcript:CAK79386 MQRPLTSLTSSQQQSKLYDNSSTSFRIKENKKNRQMPQQMLYDKEGLYEENLKLKKELQQ VKFELKKERQEHANFEKQVQKTNETQKDFQVILSQRVRIKDQDKLIKELEDQVFQLKRNP ERTKNKELEAELEEQKEAYQKLQLYISSKCNQDANETLMVNQSLKDQIQINLVQAYKQDN LNLSQMILSLQQENHGLQQNQLSIKNEKDRLTNRLKELEKQFESVNKHMMYMRQEMQNTK KQTPSEDYLKKIDELQQQVQNQAKQLQQNKKLIEELEQKNGELQHNYDVFKSMESKEKEK LQDRLNMLKKQIEELNQKRDDHEQSQEGSLQSIGLKQAMTIYGSPRSNNSPQKLQLQLGF TNKKQCSKVNSYDIKEIVSNLKIKLLSNNIDYETQEQILCEDDDEFLTLEEMQLRLQKAP FELTEDESSLLARYLIEDNTEDHILYDQQRTQTKIIIKSVYKKLLGEFNLFDALEKQQMY EYFCQLFHKYNQGMESAIKQFNIKKKYLGNNQCEYEDFNEALKYCDILLTTRQRLYLELE IFSDSQQLQRFNFQTLFSKFKLKVSDLDNSIN >CAK79387 pep:novel supercontig:GCA_000165425.1:CT868330:142812:143663:-1 gene:GSPATT00014333001 transcript:CAK79387 MYLIFFSLLFLSYCQDPTVFKASDKVSYIIKDRIQRPNDLLFFTEGLTFIADDMLLESTG LYGDSEIHYIDNIFDKQNIGLKARQPIGKQYFGEGCSKIKNKNGKDEIYMLTWKERKAFL LNSNLQLVQELEIPGEIQEGWGMTSYRNSDDEDILLISDGTNRLYHLDPSDFKVKKTVSV RLENGSDLNKLNELEIIGDGTILANIYETPFIAQIDPHDGKLIDILDFTALIQDVESVKG KGYADPMFNKVLNGIAYKDGNLILGGKQWPYFYQIELQSQQSQ >CAK79388 pep:novel supercontig:GCA_000165425.1:CT868330:144113:145262:1 gene:GSPATT00014334001 transcript:CAK79388 MQKVLRFNFGALQRPKLHIFDNGSKHTPSGIRATIHGGTSFSGIYMGGMLGNIGSELIFP HNHQYNYEDHVRELKTTSGPGQNWLLHDMNYDNKEMIEWTMKNSNVVVNLLGPRKHLKNR KDFEWINITVPKRIAEACAKNPGVIRLIHFSACGANPHAQSLDLQTKYIGEQEVLNAFPN ATIFRPSVMVGDNDDFAYHWQVQKRYFHNFNIVPDNCQAKRQPIFVQDVAQAMLNALKMP ETIGQTYELGGPHVYTLLECYEMFHNIVQRPPKLAHVDKQLLLKIAQYIPNWKYFNIDYI LKHGDDMVVQAGSKTIDELCVRPLSLTQALQNIFWDIQARYGGSSELYER >CAK79389 pep:novel supercontig:GCA_000165425.1:CT868330:145316:147805:-1 gene:GSPATT00014335001 transcript:CAK79389 MIDRSFLKVQAIHWMSFCYSIYFYISDRNSTNLICLGIICLVFPVVIVFQYAKMRTQIIG TIFCIQIDLICLIILNQQNLNHASYAQLIISQNISKDLIKKVHAQNQYSISMMSMYYGLI AVVCAFQQIYLHTQNEFLLQYPQLMLCLLVSLLDSILLKNKNQSQLNTTMPMEIGPIQKL ESLGKRAVSVVDSVKNLILDQSKESQGMLCNNFSNIQQHYINSEIIYNSLEILQEGLIVL DIIDEKTQQFKIQYMNNATRGLFGREQDGEILYILESFNTLHVQSQDVLDDPNIQQRLSN LQYSRISKSIFLQKDLFTSVKQPLLDCQMFEKYQTISMKELLEKMIKTKKPDVITVNTHF SGSIQLNTNKNITTSQSNGGGQSRQEQSERLIEFTLTLTRDRSILIICRDVTHRQKIRYL RDYDIQKSKMLSFVSHEYRQPLSCIIQMIECVLMQPIITSNTDIADNLQIALDNSKYMLN LSNDLLDLAQIKNGKFKIKKVSFYFENLINDCMKMFTLKAKLKNLQLVKNIGDNIPKFVV SDQNRLKQIIVNLLSNAFKFTYSKVEIIVSLQSASLRIGVRDDGIGISKEDQQMLFKAFS KVNSEESRKLNEQGVGLGLVISNQIALTIGCSGLNIESRKDQDNHYSLFYFDMLIEQTEK KRVASFKIPEIYPLYQEVDEILTFNREQQKITRDAISKCSHCLIVDDEFFNAYTFNQILQ GVLKNNFQSIDIETALSGKETIDKVKNKKCNNNSCQGYKLIFMDVEMPIMNGIQTTKQIL AFHPSQIIIGCSGYTDNQEKKKCLDAGMSDYITKPVTETELQEILKRYL >CAK79390 pep:novel supercontig:GCA_000165425.1:CT868330:149124:150113:1 gene:GSPATT00014336001 transcript:CAK79390 MKLLFYIFISLSVGGSTLMLTHLYFFDNMRVLAQRILFFLSISDFLYSIGLLLYVEPAFS DYNKFRFYVLFKEQQLNLEQQVLFCGAHLQHICYTFQSFKDNKELQNQRDIRRQSLYQVS IKTKVGFAIPILMSTPPLFFDSYAPTPQKVPVTCSISSNDENKSLDENRNLSLYLNLMLF YIPLIFTVLISVYFIMRSYFKIKKIKSQYELLTKQINIQLIFAKTLIFYPFGLCICWLPS LIVFLIFTFNNEWFQEIQINYFISGSGDSSIRFKFFAGVLQQFSLFGQQLDNEKITENLR QGGGGQDQCEKYDVQQL >CAK79391 pep:novel supercontig:GCA_000165425.1:CT868330:150221:151686:1 gene:GSPATT00014337001 transcript:CAK79391 MQNNKSATEYLDSIGQQLDFIDQYYIQQADQNDAQVIQKFKKVYKYIDEAYKSNFMNDDG LFSVYGFFLQTVKKFKRKLENRIYFDKTDYAATLEKFERTQTKLVPVYKDLILEAQKQER IDLETLLDSNMVHHIYTKKELGQFALQLFDFLNLDQIKVPKEILGDLINEIVMNYNVVPY HNFTHAFQLSQLLFSCYMKSDLKKFCTQLEIFSAILAGLGHDLNHKGVNNMYKIKKSKKF NILTSEIAVLENMHCATFFNIIQLNRKHDFFQYMSNDEEKTLAKRLIITSILATDMSKHA KLLAKLQKRVECTKQYDQGEKNDLIEMQRFSNERLEDRIFILNIMVHACDISNPTMKFNN YMNWSYLLTQEFNDQTIKEAKIGVDVTGFLIYKDKPTYYGGQMFFSKSLVLPLWVQIGEL YPELKYLSEEINKNLEILQQKLKQ >CAK79392 pep:novel supercontig:GCA_000165425.1:CT868330:152006:152679:-1 gene:GSPATT00014338001 transcript:CAK79392 MFYSQQPYIYFPFYQTQMCYPIYYCPIQPSPQIQSAEQEKLSSQTDQLNYNPQSNPTFEV NEEKSFDSFVENEKPSFITNEKKEQSKSKKTFKVLDTTNLHKNFSKAIVAYAIRQQFLIF RILGEQKGQEFLELLQSLKNKLSNLTHFVKHTSNLDFQKTFRILGMNFLKKESIQYIYNS KIQEKSSHLKHKKIIKKTLLRI >CAK79393 pep:novel supercontig:GCA_000165425.1:CT868330:152874:153935:-1 gene:GSPATT00014339001 transcript:CAK79393 MSFQKVHISFLNHQNFQQQKDKQNNKDLMQLKMFFTFSYITANFKSGIDSIEIKDMNNGY QNVYLSLQIKKQKFYYHLSTINILMLKIIHAFFDSQILISQNNCDFQGKETQINSLINFT KPNILNVFCYYPLQAYTPYIIYPQQILVQPQVQTNEIDESNKNNIKMVTKQHLEETKPPE NYENQDLDLADEHSDGNDEDYSAKGLNENQEKSYAVNQLNGSTNIQKNYAKAVILYIKQQ NATVISQLGDKKASKFYRLVKKMQNNIRNLSHISKYTRDEDFIQLFRILCNKFLRKDCIS YIYNSKIQQKTSHLKGKHIIKKNLFKI >CAK79394 pep:novel supercontig:GCA_000165425.1:CT868330:154225:155424:-1 gene:GSPATT00014340001 transcript:CAK79394 MDDFNDLFPVNDLQSSWKKKNEKKAPMKQGKFTEDEIEKVKESLISYALSQNLSEEQFAN LFSNSQKTTMPKAWLQIAKVLPERSVDSVYKFIKARFNPDNYQGHWTKEDEQHLLQLVNQ FGRNYTQISKILNRTPQNIRDKYRQLGDHNHELRELVWTLGEAVHLLRLISKKNNANFIK EDWLDTLTQSFGEDWQDVILTTQSQKKKFRKRTYYEIQQEDTQTQQLLKIIDLKKLYSTS HKDIPWTSIATKIPTKSHDDLRNFWMQSLNQWQLQVKLKIDDEQLFNDIILQDPQEEQDI DFNLVLKNFNLTKNEKKNAWETLKKRVQVRDQLEFEELLTKIRQLFCKSKKEQKQMKLEQ KNLNVTSFNKLVDEFKALKEVY >CAK79395 pep:novel supercontig:GCA_000165425.1:CT868330:155617:156001:1 gene:GSPATT00014341001 transcript:CAK79395 MSDSDESIDSVELEHQMLIDQYKDLLKELNELQQQNQIKPKKQEISIENFEMQWKQLLET KKSLEDKIGQIHCQSQVKQEELEDYQDKLLIVKEQISKQNKELQEKEQLVKLLQKQLKDM >CAK79396 pep:novel supercontig:GCA_000165425.1:CT868330:156020:157490:-1 gene:GSPATT00014342001 transcript:CAK79396 MQQESYSQDANISPNNYQLSTPTKEKKQNKTNQSTTIQSPNNNDFYSPKNVNPWKTPKSL LKSNQKQTTPDHSQQASPYGDRYIPLNVSRNLFNKQIQPFEIEEENAYEELLSENVLEID ENKHSSILNFNKQKPEKLQTNKQLENPKRKIDTLPIKVLDAPGLDDDFYQDILHWGKNNL IAIGLQRSVYLYSVDTSKVFQLTQRFNNQVNQIQYTSLQWNANGQILAMGSYDGQLKLWD YNKNAYTGTMNMSSKRISTISWANSNIFAYGSKDKTIHICDIRVPTYSVFQLHGHTQEVC GVTFDGNELQLASGGNDNRVFIWQLRGGNTYADSQYVSWEIKSHKAAIRALAWNPNSSGI LATGGGNQDKTIKIHSSLTNTEINSVNCDSQVCKLRFSKIINELVSTHGYEKNQICLWQY PTMKKIHQLEGHSERVLYLSASPDESTILTGSGDETLKFWKIFPSQISNNMSSLLTMCEI R >CAK79397 pep:novel supercontig:GCA_000165425.1:CT868330:157559:162039:-1 gene:GSPATT00014343001 transcript:CAK79397 MLQAKPKIIAQQPVYKDFKYTKSSKSFNPINTLFGNQQTPILQNNLAIPLYKGHFINFHH EEIQLDNSITLSGIQESFLYFVFNQRYLRFYFYSPTQADLNYYYNTDDKKYKFPIRMKEQ QINHTEYISAVAFQYQDEQWTMAIASEFYIFLYTFNGDLDNFQMLKKGFNINGEIVNQII FYQENLIYGGSLGYLTCKSIDTAQNYTSQLKERIKKQFNRIFKDFTPWASTQGLIQLKVQ EEFNICYGLFEQYDQEDKVCDTYVAIYDIGLKDQQFTEIVRIKQSNVYNYNTELKNTFDL GNLQFQHVHFEKQWHTNNILMIITTKDQLQIYFTFEVQDRTLNDASLYQSRIVNSNKSQD SIKLKSEYSIHQIKYPYMKFDQEIPYGVCLDESRAQYKQKEMLSIQQKHQLKSVFRDDHV ALYHLDNYIIAQFIDLAHIQYIKNLEQQLTKYNSYNQLQYSLYRDSSKEAVENIELHQVN LNEEFPENLYNLGRYSIKQVFNHSDINESQLYNLPEHYVCIADHTIEFIVRMRPIDFFFT AIKVTHYDFINQVYEDFPLEKLIRAFGIEESCAQILQIIIQEDSTFYINVELQHQYQLDL QKAYQRIHFSENIDKFFDDNEKIPLWVTGQVYVSKGSIIKDKAMKAYFSLIKRSLLSEDR NQKSKKVFSTTQLIWKILAPLTTKKFIDEKVYNLECNQPIESYSIQQLQMAYKQIEKLLK LFENEPNYFQKRKHQIVEPSQDEQIAQINYKKGFNKHIYESFDLSSKLDMSRSTNYSDQS LVQSVNVDIEHTQMKGLFDFCLQIKQLLQFFIYFFGDLNGIRNIINSYSNKQQLFDLSVK TILNADPSSLLTLKQLMIHIIKSDKSKFRDMAQYMHVNFSEYFTIQDFKISLAQNLFDEI LQFAAQKNIIKLNPNEQIHEKIKRILKLSKAPQITFEKVLEKREIFIYYKELQAELQEQL KEALKSIEEVIFAISHSYLSQSFLNYMFPFGTFKYYIQFLASKCQQYEKDQFNDEHRICF LDLIQQYNNLIQYYLDPPKGLTKTQVYDILKDSLQILNQYQLITATEVVINSLIKQKLKE FIAYIDYNQDLELQCNELEKLQIQKKQLLVKSVKGDDEAVHQLIQLLLKLAQFSLVQNSE MTLEDIQAILPLRKRLRYISKAQEFIKSSQKNDVPNDILQFEKQAQDLSKLLFLQDIMYD YIATNDNEDYLSQKRKILIEILDCNIIMQFFEDNNICFGQILCMDYLEQKQQKQLYNEFF IDQVWTNFIVYSYQDARQWPSELFKMQVKLVLENLTNKDKYIRIQKITETFELVNSKECQ ERKITQLSTWFFFEVLLNHKISEMELASIYVNNLINSVMVQDNPAYERLQIDQLHLYKLQ LIQQILILFNTIKKNKHDKTQFNELLTQFRNAFKNEYSQFIDDDVYDQTVIEDLKKQIDS L >CAK79398 pep:novel supercontig:GCA_000165425.1:CT868330:162833:163763:1 gene:GSPATT00014344001 transcript:CAK79398 MKKQTKGESDLLIESMQKLIDHMNDSKQHQEEIFQSLTPIDVQKILTNFEDKNQVMRKTS AAFLCELVFDNPTVQKGFCEVSNILPMDGKICVNKIPQSLLSQSKHLAEIFETIKSAQIP FDNELNYPLCWYFENQRDKVWKKGVKFIKFEKSNNGAKSRIEKFIDPQLHLFGFIIANKK EVQQQQDEIKKATIQTRQQQSVSTYEQNVKRIPNVQKVRPNSGQSPIQSVSPIVKRQRMM NASVDYDTCGNKSTLGKTMIETEQLQTISEVDRPKSKADTKKSNNVSNIQKRFK >CAK79399 pep:novel supercontig:GCA_000165425.1:CT868330:163880:166297:1 gene:GSPATT00014345001 transcript:CAK79399 MNKQRKTQLEELQEKESSFGRVFKVAGPLVVAEKMAGAKMFELVKVGWDKLVGEIIKLEG DNASIQCYEDTSGLTVGDPVMRTKSPLSVELGPGILTQIFDGIQRPLQVIAEQSSSIFVP RGVDIPALDQDRIWEFKPSSTIKVGSMISGGDIYGSVFENNLFDEHKILTAPRVQGRVTY IASEGNYTLKDKVLEVELDGKKHQYGMSHFWPVRQPRPIIEKLQGNTPLLTGQRVLDALY PSVLGGTCCIPGAFGCGKTCISQALSKYSNSECIIYVGCGERGNEMAEVLSEFPELTIQM KGKEENIMQRTCLVANTSNMPVAAREASIYTGITLAEYFRDMGFNVSMMADSTSRWAEAL REISGRLAEMPADQGYPAYLASKLAQFYERAGRVRCRGSPDREGSITIVGAVSPPGGDFT DPVTTATLTIVQVFWGLDKKLAQRKHFPSVNWTISNSNYEKILEPYFNAFDPEFSHLRVM FKQILHEESELNEIVQLVGRDSLSEDQKLSLEIAKIIREDFLQQDAFSKYDYNCPLYKTI GMMRCIVSFFECGKKAILESSGDAKITWNIILNQTKPQFVKLSQMKFEDPKQPKQELMNY FTKFVDEIKSAFRNLTDK >CAK79400 pep:novel supercontig:GCA_000165425.1:CT868330:166298:167139:1 gene:GSPATT00014346001 transcript:CAK79400 MINFIHIILFALVSAKTNEEELREAACVIFSRYILQIQSQQASPQIGKLIKEQNYNQDDA IYVVQAAALDKCLINIKQREVTRILDGLQNQQLELEKYTHLHDNIQYDRFINNKQELAKL NQLTEIIKDVEELIQTQWQKRPDVEKRRQEQRESEEMDQEILDQLNEVPIVEQFDLTKFS LKHILLKNKEYLIFAIFILVPIVLISNVCCKSRDKNDKKSSDKKSVKNEKKESTKSNTEN KEVKESSPAKKSGKSEKSKSKKE >CAK79401 pep:novel supercontig:GCA_000165425.1:CT868330:167898:168928:1 gene:GSPATT00014347001 transcript:CAK79401 MNSNILIQVPKTQTKTLRRGENQITITLELQDSRRLQSKLNVSYIQLKSDERSQKVKEQN KRVKQLLEQFRKERLQQEIQQKQLEQQKEEVIKQKLLQIKEKFKLLTTEEIKEHQYKYKK YQQEHQQQLTILRDQKKLQDTYFSQQVQSRLRSRTYQAQLEIMKEEEQKRKDNVERLRRQ IKERFAYDNFVKEHFLPKISHSIDLTSQTIINQKSSNQMSPIKSDSRVLGNLYLRQIRKL PRRPRIQSQAVADQSSSSTIKRDYLSEIRQHSKKKTPQTPLDIRFQADRLERESKQAYTK SKLKGDKLLMESIKKKMLLLEL >CAK79402 pep:novel supercontig:GCA_000165425.1:CT868330:170546:171628:1 gene:GSPATT00014348001 transcript:CAK79402 MAQQQRNETIVPILVTQEEYNEIMKQPDYMQQQLLQQLQQKKYEQQQQIYQHPYLQYQNY QQQQNNNKIETQSPIPSNPINNNPNQQQQQYYYTPQQLLLQQYNQNLNQNQVQKEIVILQ HKEVEVAGEREVQFFNQNLAKYFSLELSISLLLNCVSALFRMKIINHYWHWSSELWVVIG LYILLNLFILTNPKLILQNNHQKFVYVIHVILYSLLLQGLQIAIQGYEYFFWNYFFFFYF MLTASLISVCYFIQQKGINLSLSTYLPAIIAPPSIIFLLQLICVQRFYLPLVLWGIVVVF LALGVILLFRRINKLGYNKHHTNDFYAVASILHMLMISPFYDNE >CAK79403 pep:novel supercontig:GCA_000165425.1:CT868330:171732:172209:-1 gene:GSPATT00014349001 transcript:CAK79403 MDQFETIEGKILYITYNLYKQNRICIEQKNEIKDLLISRNHRIERLIQELRGGLSVTHIE NTLETINDLSPRDLDDSLSITYKNKRPLRFNFLKSNFPRNLNNKEIRANEDTDSLSRNSN YHFEFDTRKRVYSQAADSC >CAK79404 pep:novel supercontig:GCA_000165425.1:CT868330:172253:174470:-1 gene:GSPATT00014350001 transcript:CAK79404 MHYYNQSQERKWVVVIQILLTYALHIEIQIPQFDFAHPLYYGYLICRNKVKLWLMCVNLL FIAFYCIIRLFYELEQQVIWTVIHYTSTYAIFIIFNLQLQKEDNQKAASQLVEIFSNTER YRWKESSCQENFQENYARHLCFNQIREGVILFEQGYEKQPTFINKSAKKMFQFTDETQLQ QIFQNYVKIDKMEPKRSMQSIKSFGVDRQNSIFHQLSGSLSQHSRQQSAYKPQQREVSFS QLLDKAWNNPNKEKHLFYLQQCKQSSQSQYSPIFEVNVYINSQFRRIMTLVCRDLSYKQY IQQLQCHSNYNSKMISFVSHEFRAPLGCMITMLEYVAKDLNNAYIQASIENSKYLLNLCN DLLDLAQIKANKFQLKIEKFNLKKLCQECFQMFNLQAEKKNLKLILQYSTQCPIIIESDQ GRIKQIVINLLGNAFKFTQEGQILINIEALQETVIEITIQDTGIGIQEQDKEILMMAFGK INSEESKKLNAQGVGLGLLISNKIALLLGNGLKFESTYHKGSNFSFTINLPERESYQSDI LKQLCNKQQVLKDSEFLENLNEVEESSKEIQIILSQPKKSLVQVVECCTKILIVDDTQFN IDTLQILLSKIGIHQVDYSINGYKAIEKIKQKEKCSRCESRMYKLIFMDLEMLGINGINA SKLIFAYCEENSIQLPIIVACSGHQKEIEFPKCQQIGMKFYLEKPVQIKALQGIIDHYRN SL >CAK79405 pep:novel supercontig:GCA_000165425.1:CT868330:175343:176396:1 gene:GSPATT00014351001 transcript:CAK79405 MNYQNYAQYGNSQNYSNNTQNQYPQQYQNGQQISNQNNNNYQYNMVQPANYPVLNFEKES FCQDQPDQIIHNDYLQQQQQQQQQQQQVTQQQQPYQQLDNNNPAQTQYVYVNQQIATPFF QPEDQNTDEIMSEHLRRNLIYKINFFWIIQQTIQIILCIINMASYSYDSLFFDYSTVQYR ATIYVFLFLSFGYMIAIRFAKSLWKMRGYQSIIYLIYAIVYSIFISGIVSSSHLSYYQQK TYFLIGFLYFIGTIGILIMLIQFQFQIKNFKEEEMRIKGIFISQNQEFIKSIIIGPIGIL FLITALFGIDAASMLLFYELIWSYIFTLFYINSLLQVYRGKV >CAK79406 pep:novel supercontig:GCA_000165425.1:CT868330:177086:177640:1 gene:GSPATT00014352001 transcript:CAK79406 MNNLVTQTLIELYNYNKLQYQMNFNTYLLQLPKLTPITMDDSYRQLGIIKNKSPFRIENR DIFYLMNKSQFESLYSNPKKLKTEKQQSLRNHSFRMHSCVNEKGKEAHHHSFVENNQQHQ KQWEKKKNTMVARSLQRPEFRNKSETLCLDQKNQPESIQKLQNRKLLRNPKIMLKELWDE NYGQ >CAK79407 pep:novel supercontig:GCA_000165425.1:CT868330:178841:178984:-1 gene:GSPATT00014353001 transcript:CAK79407 MDSRLIRPSTPKKPFDPALLRQSAKKPFQLRTQQPKILVIENYYLKT >CAK79408 pep:novel supercontig:GCA_000165425.1:CT868330:179014:181587:1 gene:GSPATT00014354001 transcript:CAK79408 MESVNFGGDNPQPRFGHTICVIAPNKIALFGGAVGDTGRYVITGDVYIGDMTTKKWKRIE ASGSVPTNRAAHQALAIELNQMIIFGGAVGGGGLADDNLYVFELRDDTGTWVTVPVIGTT PGRRYGHTMVLIKPHLIVFGGNTGQEPVNDVWSFNLEKSPYSWQKLECSSEQPNVRVYHS AALCTTGSANGMMVAFGGRTNDQGALNDTWGLRKHRDGRWDWVRAPYRNQTEQPLQRYQH STLFLGTLMMVIGGRSNNVGETLPFEIYDTETSDWYKFQAIQRFRHSSWLIDQFLYLHGG FDSDQPNIPTEGILRLNLNTRFAQTPQLLRQMNTLRTDQSFTQSFNPRPPTNQTQTQDQF RRTNQQQPQARNQNNNQQVRVSSATNKNIRLANQAIVAMTYGPEEDITNQVKKVPIDKLQ DEHKKLGAGFHDPNSQNKSQFLDQLCQPFVQNLLIPKDYQSIPPNSNLLTGIRKEMIIKL CDEVQRVLDKEPIVLRLRRPIKIYGNLNGQFLDLMRFFDHFKAPYDNLYNGDIDSQDYLF LGDYVDRGTRSLEIVLLLFTLKLKYADQIHLLRGHHEDAKINKIYGFADECFLKFAEDIM DPNSIYQRINRVFQYLPLAAVIEDKILCVHGGIGQTMRTVDEIELIQRPLEIVHDPKTIA LELLWSDPCLSEEELENQPNPERDIFQNRQIIRFGTNRVSKFLQENNLNIIIRSHEPTQE GFERQNNNVITVFSCPDYGPNSQNNKGSMLTISKRGEIIPKVILPANSTSESRWMDLEEA IQRKKGFAKYVVIDNDELQWRKRQFTPPRSKKSQSQKQFA >CAK79409 pep:novel supercontig:GCA_000165425.1:CT868330:181624:183749:-1 gene:GSPATT00014355001 transcript:CAK79409 MTLSHTIQHQEDIQCLKSENLQIVIQTSEQVVLDKIGCKNLTLVVEYEQHIYIFRGFLNY QHYSTLILSLNHLDLSSFKLKYGANNQFSDEDGRILAQFILNQKNLKSLDIHFDLNNPIK QISPILEAIQNHDNQLVHLKLNFEDELPLCLKDLQFITSKKLESISLQIGQGVCVNQNWS HFEEAQKDSILKEFTLLISPFNKLDTSFHSFFKWLASQPNIEKLNLSIGAQTEIDEQAIT QLGLALQKIEQLRLLNLFLHDTRNLTQNSFDQIVKSFGKLENLELNLGNNYGINNYDILY KEVKNIKQVKLSLGTHHKLISSELFQDCNIKILDIKLQKGSTIKQGSFKSIEPFLERTEK LLIELSEGKDCSDLDLIDLIGQITPNSDLRVTTNTQQIRKIKQTLQIQLDTIQSIKILFN TLSQKMDLFQNLKIFIKSTSIEQESVDSIIKQLQQSQLKVLSLIIKKINNFQCNQMIDLF QQIVVLKQWTFLSIEVVQKCIMQLYGKSLTNQQVNFQVHSGLKLGSQGMEYIAKSLKSIN ITKLRLTILLDNSLNEQGLKQITLALLNSVNLQKASLQILDSNQQLQQYMSKMQATINQY IKDKTKLQKSYCLFLQKELNNNKKQISQQMLSGVADYLIEDVVLPVPAAGQYHNQQGGFG SSWNPQQIVEIFGQ >CAK79410 pep:novel supercontig:GCA_000165425.1:CT868330:184055:185922:-1 gene:GSPATT00014356001 transcript:CAK79410 MQSQKICIYFLRNKCNKGDQCPFKHDNTEAEKYQKSFQQLKNRIPDGYKQQNNQRDDQQS RTQNQFRFDGLKQRQQQNNTNNNPFQRDRQQNDFQGRQQNDIQGRQQQDTQGRQQQDNQG RQQSDNQGRQQNDNQGRQFNFNQDRQNNQGRQQYQGRDQNMFQNNRDQKFNQPNNESNNN QQKYQNFRQFNQQNNESNSNTFQQPRRFNQRPENEDQQNNRFQSNTQNYQQRNDYRYQNT RQNHNEFQNNARQLECENKILTQIQTLEISDFIQSIYDEEFKVLGIAKKNAIIFYRVNEQ IDVNNKTQIQLPENTCYIYQIWSQVIDNQLVLIVCYQTKKISLRNIAIYPNLWFNYQHFT ILDVSIKEIIYCKIQGSLCLVFSNDGNLRVYVIQKNAVIYPPRIYNFENPIESVLSIQNQ NSEDTYYIGLQNGCLLTFNNGQFISIPHELNNQKFALVDIKHDIQNQQLFLLFWHLDEDL CYVLLLNLSTMIARQIYKQNMKISQIELIKEYVLCSNSLGIVDILKFDQNMYLSPYSYYP HQIEQSKTYNKISSFNKITLKNQNQVEIQLLLLGRTCPANQTQQNIELLYFN >CAK79411 pep:novel supercontig:GCA_000165425.1:CT868330:185937:188607:-1 gene:GSPATT00014357001 transcript:CAK79411 MRIGLENQNKENESQSENTKKQDLLLVKGQGLSSEIKRLKLIKQEMKNQKTRKLDMQNKN LKDQPLFEKKELFQFITMNHVQKKCQEELSMYQTQLETLKKGQQNKQAYLQLIGCHCYNI RGYIKTVETKTTQLISQTVEKVSCFNHERNNKNRYIKVKCIISKQIIQNLQYIELIEMED SLAYKLCTPLPLPPLKNTLTQQQITKVCSDITCDKRPFIQSPEDPQLFHNDHLTKIIDVE AFASQFCEEMSRLANQNIVLYQEFMQNKENISLLQILEQGEAHLQKIDEMVIRQKTELAI DITNLQEKIMVVIQSIKEENMKRLDSFNEQYKQSFITLKANIDQFFLLSRQNFYYSNQNT FQYKLASLGTPEAQQFLSNLKNHLNKSKQLSQGNVTPLQMLTDLQTLARFLLQMTNSPPN YDKLQNPQTNILDSVVNEVGFVLNNVVSARLYIPFGSNKELSQVQTGENNLQLQMAARNI DNFQLKPTKKFTIGTQITCILSILDNLFAIGSQNDSQLRIFDTTQKKIATYLGHTQNIVY LEKICTSEANSRQFVSLGQDKQLIVWNIDDIMISTQPRVFRKIQLSQMPNNALDLKDNTH IAFSDLNRDINICNFYTQKIGTNNTKHLNKINGLTLLKKGEKFISYSSDSIINIWRLMKY GSSQDPVLICDQNLHDPLYGSISQIFITTQWPGHCIIVSNEGAVKLFDFNKNCIVFSKFG NRKIQSTLMESVLIEVSDQKINPPVWLITFSFNDNIATQHHLSMSPYAMHSSDIKLGHQL TVGQQIYGKHKLQLFTQSSPASSGSGKIGSNILLFSSDTSDELLMYELKGI >CAK79412 pep:novel supercontig:GCA_000165425.1:CT868330:189097:189858:-1 gene:GSPATT00014358001 transcript:CAK79412 MSDQFKNDIERIQKLIVSIKQDMNKREQKQKGGQQLTMIEGEIRGQLASLGNAFRKTLID RELVLIADVLKYQENNQMQKENEKRKNQIQELKNQRDLIKEQFNKSVADTKQEVAMQNLQ RDDAKLAVMNNQELYKNQKDLQLQQDKLLDRTNDQADQLKQQGKQINLTLDEQNKQLDKL NIDVDKTNQQMMTTNNKLVKLIAKSSNCGLLIFIVIEVVIFGVLLWWALT >CAK79413 pep:novel supercontig:GCA_000165425.1:CT868330:190052:190727:-1 gene:GSPATT00014359001 transcript:CAK79413 MNICAIRHIPYSNKHNRRQILVDQNRAKSSQGSRLNSATHYRCSTQQSNNQTQNQGKMQL YEIQPIVGQLIKNQQQQFTSTQLTKSPSFRVKNYHPQEIMKIQSEKSSQNQLQSNIEKIE RMNRINFLGDLLQQMDGKIQHTRQSIATDLATVPKNKIDIFNIKNEQEQNIEELHFYLVE SQQRIKQHAFVIEQQKYLS >CAK79414 pep:novel supercontig:GCA_000165425.1:CT868330:190759:191439:-1 gene:GSPATT00014360001 transcript:CAK79414 MSAGLTKMNPYCQINRVQLRNGSVGPKVQAKKRLDSDLNSSQVTPSYKVGFIQIQHTPTN FERCNLDLFEDKSKPTDSSMNSTQLRKSPSFRMKNYRHITPGARDDIRRQTNFQSSAFKQ RVSAMELLQFQLGTDDEQQVRQKQPIKKIVATPSSSSQTTSVPKYNIDQTILEDNKDANL EEVHFFQVEMQQKYKKWLENIEKKLKK >CAK79415 pep:novel supercontig:GCA_000165425.1:CT868330:192606:193450:1 gene:GSPATT00014361001 transcript:CAK79415 MIPLYIQYENQQEPNILNIPKQICLFPNYNQNTREIQRRYQVIEKFLKALHQLQIAQSQP NKSIKYPILIQMSSDHIMVDFGKLKTDTCGIGEFIFSPQNYYVPCYHTQAIPQCPKIQLP IQKKRQISFMQDQKDSKNIPKNYCKSIITFACKNQDNLCLEILKDQLKVVKFVDKISQYK KQLLNIKIFSNLLQKSDDPEEEEYRRAFRIISQIFIKKQAINYIFNSKIVQYNWHMRYRL QIYKGVKDPDHFSHLKNL >CAK79416 pep:novel supercontig:GCA_000165425.1:CT868330:193499:194440:1 gene:GSPATT00014362001 transcript:CAK79416 MPKKFSINPKAQAAREKEVEKKETAKSKKKQKEEEEYWKETDKNVISKQERQRQKELEEE SKRKKQEEKKMLYESEMNAIESRGYIQEAIKGRINVEIESEQSENEEVEQEQLEQQDNNQ FLQQNQQEQQINLEKLQEIWDSDKEDFDETIGQNQNHILRDQQKKDKEKYEQIIDADGID QFIDAIDGKGPQQLKFKEYCRKRLQKVQKDNPLLRHSQIMEMIYKQWKTDPLNPKNQ >CAK79417 pep:novel supercontig:GCA_000165425.1:CT868330:194529:195305:1 gene:GSPATT00014363001 transcript:CAK79417 MGLCESRETEHISKQRTDSFIKSSHSSKDQFKQDTHQLNSVPYKYETVIIQDNLKTIAEE SIIQQDRSIQYQSTATSPQESKDMKQVSQQIPLMIKNPAGTWSLQAHIIKHGGMYEVSVG KECIDMYEEWEFILNHDGPIVNFDRFVLRHLLTESLLVAEESLQGEYKVGSIASKTMKDQ AMWQLEIGSDILCENTLVKLRHSQSKLYLSRTNENGSMENHRRVALTKFDPINSFWLVKL K >CAK79418 pep:novel supercontig:GCA_000165425.1:CT868330:195894:196412:1 gene:GSPATT00014364001 transcript:CAK79418 MSLQYFTKKDIQQSIGMLLNLYNSNTKEYFGRTYESPQLELNYQQANQLLEHPEVFCYFH LRQIESIQVAAEIVLFGILKYIIFQVFNIQYLLVIQIFKEKGSNYIKQIDYWLVFRGYWL RRGLSRFLISMRLFTEQIHKVMVSCVDDKSHELVRG >CAK79419 pep:novel supercontig:GCA_000165425.1:CT868330:196482:196751:1 gene:GSPATT00014365001 transcript:CAK79419 MKTYIVKYGNKENNEVLLIFQSSNTQILKVIIYLSCSIKTRYLFKAQSIQDVTLQIHRVG EIDKAKVFISDEDRSRDAFDCFLFRITYI >CAK79420 pep:novel supercontig:GCA_000165425.1:CT868330:196783:198148:-1 gene:GSPATT00014366001 transcript:CAK79420 MQQELQQIKVENNKLINENTKLKTQIILFDKDLVRYEKLCVGSLNKSSDLLLIQLRKHNK ELSQQLKEKIDQIEHLKRSAKITRIAELECENKVQIEEYAKLKSCYENAIKQINDLNQKL QWFQNLQVDIQKLQRLNENNVNENKNLNTKITQLEEMLKQQQILNKTSIQQHEKKINTLQ AQNKKLISEKTNLKVTIKMLQDREKAFVILKKPSSHLKLSALHQLILTELKFKLILRGIT VKQLNEMFDGLKQQAKEQNVQIRLDDFHHILSQEPFSFTDNKKILQILNCLTGNGDVLIE QFLNLIGIYETFNNFDFEQEQQTMQEQLNQNKQKIKEYWNQKQVVDYHDVQKMVLSVGLS FNNSSLLYYNLNLFEKSNEDLQNIKVSDTLDPFLDEDQEILEFNSYKKQTNQPPRISEVS DEEHYEQQD >CAK79421 pep:novel supercontig:GCA_000165425.1:CT868330:198246:199108:-1 gene:GSPATT00014367001 transcript:CAK79421 MKQDNHTVLQSFNQLWIAPSMHYNLITIVGQMVFVYNKSLQGNEYEDLLMNGRDHQIPMF DEHPEDIYYEPKNGYLYFLRKASLRNLGFPRLKDNSKKVFKWKKMNFQTPLPKHCPKVSY IVCSTTTCLDVPHYRMHIVQLFNQRDEEGVLLCHVLQICNRLSPIQQIKDEPPVSFEEYE EIRNCKKRLSDCMEKSMDIILEVKDLQMRTALLDDDTNYIQQKLQSKEQRLENMKLYGDM LQKYERYINAVMEGYEVIRRYDDL >CAK79422 pep:novel supercontig:GCA_000165425.1:CT868330:199156:200882:-1 gene:GSPATT00014368001 transcript:CAK79422 MKYMLLALVLVMALGTSSEENVLQLLAELKHNAELELEGLELAWQRRIGEKQSVADSLNQ SVFNQRAECQNKEDDIAQKQSDILASESFINWMSGRQKSNTKKIGVLEASICALTNNYVN DIRNFRYALALVKFLREELAQLEAGASSLAQVTQFHDRVSKFVKLYRSGQLVNLMEKIDD KSDLIVPEIEQGTFDEISLIQRIRPKALTQLDQAADDDDKANNAVVLIPGCDNQIGTVVV VQNDDGTTDSGDLNVKITNGGGVSTKPTGGKSGSGSGSGSPQIHKDPISKKEETNHQEEH VNSGDSDDSDDQGDSGDNQDAGDNNDSDNSDDTKHSDDTTHSDDGDNTSDEDHPQIVIDD KQPDHHVDEQPNIAVPTKDPHGTGAVVPPGEEEFADNWEGFRKLLDAIENHTKKSLETGA NDEVRSNLGFIDFKLHIELENQFFDKTIQYEKDYLVKQVNQLTGRRQASILCGARLKQIT VAHQVALKDIDAAKQFYEEQRKLKQEEVNTFDDVYRIYTTQVNH >CAK79423 pep:novel supercontig:GCA_000165425.1:CT868330:202399:203350:1 gene:GSPATT00014369001 transcript:CAK79423 MDDSIDCNKYFSKLDTQESQTIFSGDVDYFQDSKEVQINLKYTDVLFEDQLYFGLVQEDG RHQKQHVLSFIYQYAILTINAIILNQHMIEKQYHQILPNRDNIHSKYQFHNQISLARLII LVILTFQQFLNQLIKYLLNMNSSLIFPLLQIIIQTTTAITAQQIDQYSLRLCSDLKCSKF LKDISNLDSNDLFVLELSHSSKSTFFTNIEVFFIGKGFNKTVTPVQVINSFTNQAIIQLK TEIVWKGMKIQFKNQLIKLHQKLMKDQCATGQQECQINGIAQEECGVYDRQGFLASVHMT SQKLQFQ >CAK79424 pep:novel supercontig:GCA_000165425.1:CT868330:203737:204420:-1 gene:GSPATT00014370001 transcript:CAK79424 MVIFFQNLRVICIIYFILNHLFTSCKVIQLNKPSRIIYLKNQIYPILQSLLFFSFSKFSY SQQARVTIQNIAEFLIEIQNYLNILYYKNKQIINNVNSHYSIKYLFFNNFIYEFQLIFDF KRTQAFKGKWKDYINTLRTNLIENRIFYFYLIDYIPQRLLVQIDQYLKNNINSASKLFVK FIINYIFRFIYYNQDPLRQGDLNKHLNLKRINKFQF >CAK79425 pep:novel supercontig:GCA_000165425.1:CT868330:204457:205056:-1 gene:GSPATT00014371001 transcript:CAK79425 MLDHNIGQRCKYSICRQKDFLPYECSLCKDVYCQEHRTQEAHECPKLSIKKSVILCPLCK KGLCYTSDQNENTIWEEHFEKDCPQQPKEKKVCPICKDKLTDVTNFKCKDCGMEVCLKHR YREDHKCPTLKKIESQENQSPNSKDTSNENVKTFQQLLKEKLEYQQHYQQKNSTQSCPVC EQKFPNIMLVIRHVESHHS >CAK79426 pep:novel supercontig:GCA_000165425.1:CT868330:205104:205848:-1 gene:GSPATT00014372001 transcript:CAK79426 MDQYHFLFKYIIVGDTSVGKSCILLNYTEKKFNEDHETTIGVEFGSQLLKINDKTIKIQI WDTAGQESFRSITRSYYKGSIGVVLVFDLTKKDSYYNVMKWHNEILDCTHEFVEISLVGN KLDLESDRQVSTKEALEYAQSNKMNYVETSAKTGQNVDKVFEDIAQRILVKIENKAIDYT QEVYGIKLGPFFQNPKQVTNTSSTLKSEPEKKDKTCC >CAK79427 pep:novel supercontig:GCA_000165425.1:CT868330:205985:207200:1 gene:GSPATT00014373001 transcript:CAK79427 MIGFKYQSYLTYSYTKSYDEMCLILNEDGFKDVEIIKSENIIKYTINNERVIRLDNLLYL FKIKYLRLTIAQLIQLCLEIVKKYQKLQSNSIEHNYLDLNRVLLSLHTKSEGLFIIPSTF TYDIHFTGYDCPFYERENYEDYTIQDSEAIKSIVNKIIDYTESYSMKQARNDQTFPSITE LLRMTLNSSFNSFIHIIEEHFISSAYINNQQQALTNLDKQFMHLNYKRNMFKGFVQSNLE ILIKRYYSINNWYIYEYYLYQTLPKITKEFRKSTLLFISQSPEKEIQYTPYENEFDNLDN DFIDQIITQQIESFNQFKLDLNKSDIRQTAIVALQQQMKFLQQYFRNDANFMNANNINNN IKHLTLLKQRLTERVVQEVFSNQFQLQNLQWINEII >CAK79428 pep:novel supercontig:GCA_000165425.1:CT868330:207283:209205:1 gene:GSPATT00014374001 transcript:CAK79428 MSNNAQLLPSEWEYPEQNPDYKGNDLDKRLEQGPIEDRGCTDCIWCFLYLIMWGGLITIG IIALSNGSPDSLFLPYNSDGQQCGVSKNFEDCPYSYLMNTTSIEENQDGNFQFICVQSCP SKANTEISYCTVSGDETQLSSYGTYDCKNKYRTLKLDMSICSPYKSDPFWNVTKDFFSYS ASEADFQDIGKTWSISLFVCIITLILANFIMFLVKKCASCLVWGVLILYFTLLILFGFLF YYSGKGDFSNAHFGNSYGWCLTIAIIFWSIAGMSLLMLGCYYKRIYLAIAVIKAAADFTR DVWQVVMVPLSIFGVMIGFLLFWIYSTTYLMSYGTSDYLDNTPFPEVDLHSGVIGMIIGN SIAFYWNCQFAMAFSQYVVASCCCMWYFHHMGVNLHRPVFKSIKRGLMHHSGSLALGSLI LTFVAVLRFTFDLLHKMFKQGVQQNKAATGCLKCWFGYYGCIIHCFERFIRFITQNAYIM VAITGKSFCKSAHDAYYLIFRHKTAVAITDGIGEIFSTVANLSIGITATFIGFIMITQID HYSNQISSPVLPTVFFAFISLTLSSIFMNVYGMGIDTILLCYMADRELFSTAKSVPQSLQ EFLDKYQK >CAK79429 pep:novel supercontig:GCA_000165425.1:CT868330:209214:210308:-1 gene:GSPATT00014375001 transcript:CAK79429 MNILFLISFFDMIILILISVVYAQLDQLRETELGLTLLETVQLHIQADEPTGDLLQLLNG IHEKLVKQRNNTNKLQKSKLDECMVNVESLEELIAKLKEDKYDVREEIYAHKPHIEQFEH MKSTKNKEKESLIKNIEICQNRENLQKEQYHKLTIQLQERRYDYVNQKNPLSLKIDQQSL KPLLNDLIDFTTDMMSLEDKYFDEKEQQTKNLISLYQSQLDSVIQFLDEIQENINETDHL VKQLELEYNEINHRINLKQEQVNDIKQECNNIFQQTKFQSQRITKEIEVVNQIIQLIEHN FGWLRSQLGL >CAK79430 pep:novel supercontig:GCA_000165425.1:CT868330:210373:211185:1 gene:GSPATT00014376001 transcript:CAK79430 MKIFILFSLILFINSADVQTCLCSNLQTKYDCENAVKFQAASQDLFDLKVCIWNEGQCLD LLKDVVVPCSALDQKICGNLPSCAWYNGACQTFTKCSDYQFEKSILSQSCEGINCLDNGT SCTFDLIGRGEQSCEQIAFEEDCQRMYILYKKTICNWNGSACVGQSLSSCSSLTEKQCLQ VNSQCAWKNNSCVKRTCEDGQYSSFVQEGVKLCSSYLDIETDQVMYCEISEDGQCVTSDP EELGRKDCYSQSFQQYTWYPGDQECQKCQS >CAK79431 pep:novel supercontig:GCA_000165425.1:CT868330:212126:212746:-1 gene:GSPATT00014377001 transcript:CAK79431 MICLEQHRQDSSIIDECLRYTESLQLINQAAQNSETSLNFPKFKPKQSRQRRKPILYGDK DRSSAIYKYIKEKQRSYLDSKLKVSNSIFSPEEDRILLLLVKKLGPKFQKITKYFPGKTM NMLKNRYYKSLKDSEASMVPREIEEELAIKDKEKRVMGRKIIKIWPEEQRMTALIENSVL FPEAKQKIQDLFLTFTSIIGNCMKNV >CAK79432 pep:novel supercontig:GCA_000165425.1:CT868330:213700:214308:-1 gene:GSPATT00014378001 transcript:CAK79432 MISESSQLSNSSFPLSQEENSIQTEQHLQSISQQDEGIFRSKHIRQRRKTIQYGQKDRSS AIYKYIKEKQMAFLDYKPRAQISQFSAEEDRILILLVKKLGPKFNKITRYFSGKTVNMIK NRYYKSLRHIESQEIPKEVGDELFSNNNQSRIIGRKILNLWPEQKQMSLVIEGSPLFPEA KEILHTFLSSFQQLISNCKKQK >CAK79433 pep:novel supercontig:GCA_000165425.1:CT868330:215279:215778:1 gene:GSPATT00014379001 transcript:CAK79433 MDSGGANTFVVEPKDEEKFYPSKVRKVIQEIMDDKLKSETYDANNTPNLAEELVKRIRSK VRDSIKMPRFKIAVQVVIGEVKGQGCQVTSKNLWDPTWDNYASYIFQNETIYGVAIVFGV YYE >CAK79434 pep:novel supercontig:GCA_000165425.1:CT868330:216313:216818:1 gene:GSPATT00014380001 transcript:CAK79434 MPRRSGSSSRSTASPSRSTATAARPAPQTQAPPQAPARSGGMFSGLGSTLMQGMAFGAGS EVAHQAIRSVMGGSGHSQAAEQPAQQQAPQQQQQTCQSESQMFSNCLQTNQDITRCQPYM DIFKECQKKYNL >CAK79435 pep:novel supercontig:GCA_000165425.1:CT868330:217046:217603:-1 gene:GSPATT00014381001 transcript:CAK79435 MMLHQQLIKVFINNKQIQVFRFDLQLKKQAMQTNWLQIIVMQLLQKLHLKFIKVFQIRII RHIVLKQDLIQLLCEKFKKKIKICIYLNTTLQAQRIIQLLENNTITLQNMAKMKRFKSNY IGKSQLQKLYEDHKATIFLNPDCQGIEVFYFVLNITNSAILIIEIQILKVAVSYVFFGKI ESKLQ >CAK79436 pep:novel supercontig:GCA_000165425.1:CT868330:217786:218046:1 gene:GSPATT00014382001 transcript:CAK79436 MGVCSSKSSEKELQKALYLQRLREFKAKFKQSTAEIIQFSLDFKNDEENDLSKVKFVISE NPIVKRRSKPQNEIGNVSLTAKENEN >CAK79437 pep:novel supercontig:GCA_000165425.1:CT868330:218382:218841:-1 gene:GSPATT00014383001 transcript:CAK79437 MRSQHRKATLNIPTILDLQNPEWDVILKSWAYINVKTYELTINKGSIVNKKILYLNLKEE SINYKICSSMPNIIEVKTETISLQKECKFNNIFLEKDYIKLLIKAPLTPCKLHVKIAMMD IKGDTVFEGMEFDLIIANITSK >CAK79438 pep:novel supercontig:GCA_000165425.1:CT868330:218890:221884:1 gene:GSPATT00014384001 transcript:CAK79438 MNHSIDYYNKQQQKLYEYMVSLTQNVTGVEKIFQDLIKCLHAFSQEIKMVGEKLHTQISC GNEPDILVCLYQQVSNYLLRLSQNWELTAQKLKSEIAEPYTQFVLNFRQTNRNLNSESKK QVTEIWETRKELCKTQDEYWKIMKQFEQKSQQTQEMIDLIEKGSATREDFQKQFASSLKL QELVDESEKDYKKLLTLTNDKWKSFHEEWDNIFANVGLNEQSRIMFTKQTVSSLLKLLPF DKDLTQIEEKVTDLEIKLKEDPKIPIRKLIEKKMEIKDSRHQVIQLAFKFQFEEFISYEQ WKKININSEYSVPIQQIQPSWSIVGDKILDDEKKIVEKYLTSLFTLDTTDKSELLIKIKQ ILEKQSGRNYFINQLIQFHSKSIEEKQSSFYLTLSNEQFQEITQLIRHWLNYIDLNNLYE SEDLYDLLLKSIRIARKDGRDRINLASQLSDITLWRKIEKWIELFQYINAKKVDEKRKQV ELLKQQNQNNIVQKGFKMIGSIFKNSGTNQQSQFELNESEICYMIMEEINLFLTSLKLPS DLSTEIIIQIAFQQPRFDKEHVKKLLEKQEDLHNTQWKKLFKSGKIVLTQKSEKYDRKNM EEYQNKVVQVCGAAMKYLTLDDQPWHLLLINRKFNMQLKNKIKKFYLANGQIFFCEQTHQ FRLKLIAQVLKLEQMKIDYVEMKTKVGLEMDINQLYEETIKLDVQRSLHLHKDKINSSVL QSLLRIYAFYHQEVGYCQGMNYIAGYLYLNFQDQEVAYKAFDRMMNLYFKDLYINDFSKL KIGFYQFDRLLQIFLPELSQHLKDQKIDPSYYVASWFITLYSNVFQYIQRSALLNIIWDI FLAEEWKGFFKTTFYLLWLLQQHLLNLEFDDILHYLGQLIKSEFFNIDNEKDLIKFIQKY DNTLKDNESIKTTILQKFRISNRMLRSLDQEYHSFQTKLNLKLTQCLKK >CAK79439 pep:novel supercontig:GCA_000165425.1:CT868330:222624:225399:1 gene:GSPATT00014385001 transcript:CAK79439 MDPSAHWKELNRKYYKFHQFNTITGEQKSLDFFYQRIAVSKWGGPLAATKNYNQVILMRT DDLLKDSIVFFSNNGKIISKAQYKEIDRIALFDFLEDEQLLLLKANGSYYIVDPIKGTKK TYDLMDQFKQQQIHKGLIVNNGFVLMTTNFEFFYIPNAYEPQVHKMKPSNLTQEPEHWQV IPPQKTQSGKMELLIANPDGGIIHIIEDEKWKIYYNKDKIVNEIDKKLPDLRNIKMISLS SNYKNLSLLQYINQRWVVTFISDFFDSTDCKQIPIDLKEEDVKKEESAEKDISKIERPRR MLWCGDDCVVLQLQQYLVLVSQDSYIKVKMSNPHFALKQEVDGVRILTQKKNEILRKLPE AYVNVFLPLSIKPGAQLHSAYESFEQKNPIEDDELRSKKTELGEAVNDCIKSGQFEINPE YQMKLLKAASYGKTFLGNQLIDPNLLNETCKYLRVSNALRRNGSVGGRVVTYEQVLQLIK NPDLFINLMLRYNLHYLAIEISRFLKFQIKQKSTIYTHWACCKVESQIDDDQLCQIIKEK IKEEKGVSFTQIAQKSIEIGKSQLALKLLDNEQSLSKRIPVLIWMANYQQGNNNSYYEKA LIDAIASKDSNLIYLVLMKFLKTDMDETYKFGILSQNPVAQAHLIYYLRNFDDKYLQKYL QYLKKYDECGLLAINQAYQQSNLGEKIRFLEFAQKYFEEESKDSFYSKILQEQIRTLTDL KQEVEREKKEKSKAVMEERPLNSIMETFLSKDNIQLAQEFAKTYKIPERRFNITRVKSLI NNKNWDELERFINERNKKNVSIPYELVADMLIKADQEERGLQMIMKMPDPEESCYMLLKI GQQRHAVQVAINNKKSQLIQDIRGSINDNQARAQLEMYLSQNQK >CAK79440 pep:novel supercontig:GCA_000165425.1:CT868330:225499:226419:1 gene:GSPATT00014386001 transcript:CAK79440 MSRDYQFKLPSLLKGPSIVSMEDPYKPPLLQKFSSSDCFNDYEQRQPPFSRMSSFFLYDS GIPLGPRRSVTYQNYPPQLQHLQSQKSQIIEAKQKVEIIPKEKEKLEQEEQLEQIEQVES NEQEEREQIKRKKQQQNFKRLQIQKKIKKKRNSELEQQPCFCRNSGCLKRYCRCFHSGRM CLKDCQCVEGCLNNHDHLEQRNDAIKHVNEKCHRNKNVPKDALFKLKDCFGCNCKKTRCQ TGYCECFLRKSKCTMDCQCDNCENGLDEAYLESQQKRKNYRAKRK >CAK79441 pep:novel supercontig:GCA_000165425.1:CT868330:226446:227445:1 gene:GSPATT00014387001 transcript:CAK79441 MKHNLQAKKNKRNITGGEIHLNQIDEADKINEDASQDYESNLLKVLDLQPEITKIFIENL TNGSFIELNEQALRRKIQMMEESRKQQIQTENVLLVLNKLIHEAVKLIDRFIFFFQGLLA GYIQNQQGNDIDACFHYFSRIEYRQRRINNILQIICQILFKSRLSFSYHNINQCIWQLVC LHSIKRLVRKDKEQLRQKVLYPINNQCSLQYIHFKIVYNIAYLLWVIGHKNIVQLSSGIT QDDTNDQVQSVIDGSALYNFWTYTQIAITVLTVLGWFISVNTTESNLNYLASVEADQIFG DENRKEK >CAK79442 pep:novel supercontig:GCA_000165425.1:CT868330:227516:227896:-1 gene:GSPATT00014388001 transcript:CAK79442 MGLACSSGSHKSNKSQLQEIKFPLLIAENFIKIIGQLQLSDEVIGDLRYNLNQLIIMRSK LAHCIARLQKRYSDYLLSDLENELQTLLNTVETVLQDSQLKIQFPAIEQYLLEQLELHRY HMKQQI >CAK79443 pep:novel supercontig:GCA_000165425.1:CT868330:227941:229871:-1 gene:GSPATT00014389001 transcript:CAK79443 MNKSKSPNQRDVPNDNSKVRIAVRVRPTLNSESEEDFVQLIDDNTIKVTRIGNTLRMKFS DILPKTANQQDVQRLVSESIKSFIQGINNTIFAYGQTGAGKTYTIMGGLPENVANVSTEK FHQVIRCNERGILPRAVQSIMSQLQTQIEEDQCRLYLSFYEIYNEKIFDLFNLKSQGLDI RENKNGDVNIPDLSQIRIMDIDTAYEYLILGLRNRVVGCSHANSKSSRSHCCFQMTLQQV SVINGEPVLQESSLKIVDLAGSEKFKIPTDLTPEEKELHIQELTSINGSLSCLGHCISAL IDRNRTHIPFRNSKLTRVLSDSLSGSGKILFIVCVSPSISSSAETFSTLQFANRAKRAVL DGRNIQQPKTNKPKGVSLEEYQELMKQYQKEKQMREELEGIVQKRNQGELLQQISKLKQQ NQDLQDQLYSIQQQQQQQSIIIPQQQQQQQQQQYSPLFSENKKALAINSNDKKVRFADDF LTVHINNMDREESQVFENLAIFQELKQLENKDKKKIINFEEKFKSYFGQSEYQTNDLAQF NTGKQFDSIIRKVNDKQNNISNIVQDLKKEMSKAVNQLEQLQKEDRELKSTDSCKENFLQ Y >CAK79444 pep:novel supercontig:GCA_000165425.1:CT868330:230996:231439:1 gene:GSPATT00014390001 transcript:CAK79444 MQKREQDSLRMCCTKTSQKSLIKIKEQIQQCKEQQRSNHTQKEDESTINIENSQLNPFNN TPQYNLFQPCQSIHLECGQVCSSKQHQNQLQDSNTSIKRKLFNIDEITLSFSNSSFLKVK IGILIISIQQFLIIQSKQ >CAK79445 pep:novel supercontig:GCA_000165425.1:CT868330:232105:234962:1 gene:GSPATT00014391001 transcript:CAK79445 MNFFKFLYREPIKHEVNQKLIKHVIYSNDDEYQGELVNDKRHGQGTYKFVSGNRYEGEWK NHQKHGKGKLYYKNGELYIGDWIENKKCGEGMHFYINGDRYVGEWKDDQRDGMGLIYQSD NNTFYGLFRMNKKFGMGYLFNSRERKYYKQQYEQDNLLDNVQIDEPPQLVLEKFHVKAEK THIEREKMENYMINIVTSPPIQVDSDKQKDQVINQQGEQDQQQKVDCQSIQLTSSHQHGF LSLQVLEKIDQFENQKNMQEWQLQEVCGWLDSLDLGEYKDEFIKNQMTGRTLYGLTDNEL KQDLGISVLGHRKKILQSIEEYKKYYMKFMEGKIRFKKPLESLEERKGLYTSIEQQRFKS IFSYMEKIDEEQNEYPDNNKKESSSDSYNSYRKKRNTKESQMSPARSISNDSDQSSLSDN DKSVKLKRQKSKKSRKQQRKVTLDLQKSGDSADDEEGKQHLSSPKQSPNKMNNNTSDQKV DMLTLNDCINGTEDIKDKESNVTFDFSRQQSTNKQEFSEFVSSKSPPQINVTNDELNQLQ LEKLQSITLQLSRQKSEPNGNKFQDQDSSSQESDVSGNDSSSDSSDDEKIKKKQRRQKEI RKEKEKDDRQQHHLPKKLLFMLKEFGINERVLIVFHELIIGQIIGEGGYGVVHKGKWLGQ DVAIKSYGKRKSQGNLKYKIQMADFLKEVEVISNLRHPNIVLYMGVCIRKQNYYLITEYL EEGSLFDHLHKKKTHIDQKALMQIVEDIALGMNYLHGRKVMHCDLKSSNVLIDQNWNVKL CDFGLSRINKKIDHKINKGARIGTPHWMAPEIMRGETYQEKADVYSFGMILWEIITQQIP YEGLSQTQIIGSVGYGQDQVPIPFQSNPPILLHLAKKCLKKNPDERPTFADIVNEIQQGQ KTDAKLKKQAIRQLIDFFE >CAK79446 pep:novel supercontig:GCA_000165425.1:CT868330:236164:236941:-1 gene:GSPATT00014392001 transcript:CAK79446 MQNIQRLLIAPISSRKYLIKQDAMNLLLSIIFCLLCIDNLMNNKLPYSAAWFKCSFLFLL FISNLCAIFLYVLIIPQLITLKQEQNKKDQIMQLRTLLRYKLHQYLDINQCLINILYFAG IILVILDFFGESPESCQNIKKILRYSVCGQLLNRIIITGQFENTLEEQTIVEFKESFIKI EELKELSLSTLDVCPICYEEFKVKEHIAEYQCKGRHTFHQDCVLQWLKTPMNTNKVCPYC KQLPDSINKYV >CAK79447 pep:novel supercontig:GCA_000165425.1:CT868330:239395:239913:-1 gene:GSPATT00014393001 transcript:CAK79447 MYLMKDVISRQQQTFKNIIKEKKLKAKQTIYQQMKYFLPISVYMRQATQINLFQWQKSNQ RSHIYQLNNVSNIQLNKIYTTQTLSIELNKSFKQYNIQRYKRKLTKFNDFQEEHKNQKAL ITVITNQQIALYIILKVNKKQLIRRSIQINQQTPNFESFQKRWWHHALFHKW >CAK79448 pep:novel supercontig:GCA_000165425.1:CT868330:242388:243146:1 gene:GSPATT00014394001 transcript:CAK79448 MSILRQYFDCFMPELRDFNYYLWVKVIVIYKLVLPVIILILYFLYRDQDETDNWIIITNV ILLIIGLENGIGVLSWLISETFSIYTIMFVSLQFFVMLKQTSQNMVDQENNDNTKLQILF CQINEMIYKSCCWLNFATMLPAIFMLTLITVCTCQIKLFQQLIKRNLQLHQEDQFEEYIG DEEIECSICMEEIRQMEKYVQLPCNHIFHLYCIGKWKSYKQLCPVCRRIFKNIQNSKSKR QFQLSNAHKVQI >CAK79449 pep:novel supercontig:GCA_000165425.1:CT868330:244210:244602:-1 gene:GSPATT00014395001 transcript:CAK79449 MSKTLLVSNQVESQAQEDLLRNAISSQVKMAQRVGFNEIYKTSKLTNLPTQLPIYCNQLL EFMQSLKYQLQIWNQILVDVQVSHYLYFVINGQILFKFAQRPKDQIQVPHSKAKMLIVLQ ELMNQLDISI >CAK79450 pep:novel supercontig:GCA_000165425.1:CT868330:245170:246313:-1 gene:GSPATT00014396001 transcript:CAK79450 MQKFEELKIAITGGAGNLASAFYPLLGSGQVFGSTQKFSLQLLELPEKLQELEGIKMQIQ DCAFPLLNNVTVSSDPAIAFKDADVAIFLGAMPRKPGMERSDLLQMNREIFIQQGQILNE QAKSTVKVLVVANPSNTNCATLAHQCTKIPQQNFTSLMQLDHNRCVSTLAREANTTIDQI KKVIIWGNHSLTQYPDMTHSIINGKQASETFSKDFLRNALIQQVQQRGGQILQLSRGAST ISGAIAVKDHLRTWFLGTSQDNWTSFGVISDGNHYGIPKGICFSLPVTCKEFEFKVVGDV ELDDFSKQRIQLSLVELQKEQQ >CAK79451 pep:novel supercontig:GCA_000165425.1:CT868330:246365:248193:-1 gene:GSPATT00014397001 transcript:CAK79451 MSRSSSRRNSQAIGSLINYANSDDTYNVKAILYENHNHNLLILKTQKLYTLIHLSCYNNN EQLSELYFQYIQAQIDKNIHTKQEVEQWINQQNDEGFTALHLAAYRGSLKTIKLLEQYGA DYKIKNYNGKSQLPIKELTVLHTASQGDCPLTIYYYLQKGIDINVIDNKGSSPLHWAAYS GSYNAVNFLISWNANLNLQDTDTSVTPLHLATMQANSRIVRKLLMKGADRSIKDSNGKTP LDLAIENDFKTVEIMIRDKNDILIFCNVRQPFRPVTQQRNSQIAFLSMYMTCFICTILFT FPFVISTIWMWTFFSLTSITVIFFFISCAKDAGVVRTDNKLNMFQMLERYDCSNICADCK LVRPKRSKHCDVCQQCVMVYDHHCPWINNCVGAKNHFVFYFFIISLFSEFILQLFMQSSH YKSNTLQRWFINTTLSEEWLLIGKKVTFFYVIVYCLLFIVPLGILIYIQTVNLLTGQTTF ERHSLGAPGSKDEKSNEKSAINRSEDQSMESTEQGQGGTQQSNNDQQLKMEQSHISLGNC FEIIQQ >CAK79452 pep:novel supercontig:GCA_000165425.1:CT868330:248241:250011:-1 gene:GSPATT00014398001 transcript:CAK79452 MNQIYLKPLDETCDNPQLHEATKMFLTMNKENHTREELHYILQRMEELPYFKQFVSEKLQ KGTQRNDILELCSRLRMEYFKGGEVLFQENDTSNDKLYIIQYGEVMLMRQKKMDQLMILQ RQNSEQVQQPQLKMAQILNAKKFTNKIIRHHNIHKETTISKEEKQQLEQQFGESIRLLGV GTGFGEKALVEKYSQVTNILKKDDFFTYQMTFEKTKKEKQQLMFKIFKNVNNEYSSQRLE SMIYSCQTITYDRATQLATEDDDGDAFYLVINGDLTLQKRIDNRNVSLCIISSGHLIGEE IIINKNGTYEYSCVVTSLLATVIVIDANEFIHKFPEECRLQLMEDYGPKTANRQRLLQLL LQKRRAQNLSNKDLTNEQVRLLTEIDDVIIDQSKIHIVESFKKSKEIQLNQHFLTKYSNP SVQNFCIAKIIDREKTFHKLIKSCEFQEFDFGNGSNDLIKRRRFMLENKLNLKKPHENYL KPQFHILPLTVKRDLVKNFKLNSKKGVCYSNFNITTLKKGLKNKKQSPLKIKELVTARPS QQQFDSYSTHMFTQIDDRQSL >CAK79453 pep:novel supercontig:GCA_000165425.1:CT868330:250095:251251:-1 gene:GSPATT00014399001 transcript:CAK79453 MKTHLLFALILGSIFHFVVDLDFVKGVTKIENYSGCEYLNLDIPGAEDILQYGNHLIFAS GNLAEIFAKGTPELKQASFYIVQNAHQQSTPIQMHIEGFPSNVAFYPHGLHLWKNNQIYY IFAVNHAYIYGGERVEVFRIIDDLHLEYQHSFIMGQQYTGTLKDLIVVSPDRFLITKLMP YTDPIEGRNQWGFGMKIKNLGVITLKFQYTNILDCTFDLKHIQVIPNCHVISSQQFSWAG GITWDQDKTVWVGDNLRKTIYQFKLEEEGLKKEHTIKLPHQIDNINYDEINNKLIFAMNP KGYQTILLNLHLKGNDLSRQSTYSTWSSVGEYSLQTNQTTIIYQSNQYIRGISNALIMQN KLYLSSWADIAPMVCYK >CAK79454 pep:novel supercontig:GCA_000165425.1:CT868330:251261:252406:-1 gene:GSPATT00014400001 transcript:CAK79454 MQERQMSEEVRNDNPYSRLMALKRMGIVQNYQQIKEFTVLVVGVGGVGSVLCEMLTRCGI GKLIMYDYDKVELANMNRLFFTPQQVGLSKVEAAKQTLLNINPDVVIEAYNENITSGTGF NQLLERLQNGALKGGPVNLVLSCVDNYAARMTINSACNELDQVWMESGVSEDAMSAHIQL MIPGETACFACAVPVAVVENTEQQIKREGVCAASLPTTMGITAGFLAQNTLKYLLGFGQT TFLLGYNALADFFQNYAIMPNPECKDHNCLKRQQLNSEKLRLNKLQKRKEQSEQKQDIVH VENEWGIEVVADDTPINEAQIQQQLLNQQQSQQSQQQSTDTTKESTNLEDLMNQLKSLQ >CAK79455 pep:novel supercontig:GCA_000165425.1:CT868330:252422:253210:1 gene:GSPATT00014401001 transcript:CAK79455 MNNQFLPHDDDPQLKEERKKLYKIFGKETSLGKELFGLYNAHEKTKINYPKPKQKTQEQL DSEKIKTQKTCPQKTIIEYPKEEPKQRQQYYPIDFVQKRKPEAEIRKEIEKYYEGRKFFR PAVQGQDRKKLIEQLQRVFKYKRGALPKGAELPEINIKNDDAFLKDSETTSNAIKKMHKK DLYFTGLKSDNQSDATTLQGDPQIELEVLFSSIMKEIEERQEFLQEIEHLNEPKLKQRIK DEIIERVAELQKVQDLRRHYCN >CAK79456 pep:novel supercontig:GCA_000165425.1:CT868330:253380:256088:-1 gene:GSPATT00014402001 transcript:CAK79456 MALCARILFYLKEQKKPGYPLTILCLIRILAQLTLNYFCKPQILSVIINLHLIHTLFQEQ LVGNSKLANLPSLYAIGNVFFEQQFSNYFINVGIGLTCLSIIFSFRYSNNSHQKIEKSTE KIQKDFDICISALPQKEFDAYKSDDLNVSNCKSPVYGGFSPLKELSKSMDSVLLDEYAWI KGQQFIVLDDSDNVIIQTFNVADFSRNSFSNSMDNESVASILNLAIVQGNRDFQDLIASS GTINQSRFTLKDLVNKLVGDYELYKNNMFIINKVDATWMNDWMIKIFLVGKNKKTFVFIQ IEQREVVYQPSFQAFSQFTTYITPSLNSIMTISVLAESDPQISDYILEKYMYPIRVSSVI VYLQLANMRDFNLHNQKKLLLKIGTVDIQSICEDLMIMVQDSSKAKGIDIKLEHEQTDNI IETDAERLKQLMLPLIKFCIKQSKDESITISWKMFSTKNYQVIMHTPINIDEKDLRIIRL NLKKPNLQFSEFSICHILAQYLSGSLKKGLEIAQVEPYGTAFKFTLQSFNVNNEEMKAIR LIGQYHYQETSLSQLLAQQDTSKQNEKTQTLFREESNKVSMDHSLHFSQSRISKQFSQKY SEYQSNYVSQISKVKADSVNIPNSKQKDSHSFEQISNIFYQDEETKHQKRVNYQTTPSQT KYQLPHFPEATINNSLGNSGNGNNSGGNVNTLLNDAREREQTFLSQPQLTELKQQPTSSN LFLDFGTDTLSPIQIPVSVAAKMQGEIIKFSTTGRCCSRVLIADCEFQNIQILEMILSRF KVNSSRAFSTEEVIKLLETKKKCKCGNIGFVLYFINVDLPKRGGLWLSRYIKEKMTQKGY IIGTTGLVEYYSKIEYYRNGIDQYISLPFDLAEVSKILQISQNS >CAK79457 pep:novel supercontig:GCA_000165425.1:CT868330:257013:258891:-1 gene:GSPATT00014403001 transcript:CAK79457 MQQKQSKKSKEEEPLFNGSFKDNSDNETDDKRKKIKLIMNVSEIQNMMQSSLQGKSYSNG YCKYEPDGVNWDMFWTDAAVQPETLGKMQPHQKINHFPGMYSLARKNHLGRNLMKMRKQF PNDFKFFPQTWLLPAEYGDFKNQFVKGKVRTFIVKPEASCQGRGIFLTRNINDINPTDHY VAQRYMHRPFLIDGLKFDLRVYVLLAGTDPMRIYVYQDGLVRFATEPYVTPTANNLEDVC MHLTNYAINKENPNFVFNNDATKMDVGHKRSIKSVFGKLQEEGHNIQQLWQDMYNLFIKT FCTVQPILSHHYKSCQPDNYANNMCFEILGFDIFINDKLKPFLLEVNHTPSFTTDTPLDS LIKKNLIRDTLKLMNLSLKAKEEIIASRKEQLQQRVLTGKKQKLTQEQKQLLIQQSSEQR DKHENNNLGDYVKIFPLEDAHEYNKFIEFASQLQDNWTGANIKRNQKKEQSETPLTQISK PPAIPVKKINTPQMLPKINKKQSKIDKLTESDRNTETKSKTQSSSRKNTKEQTRQVSYPQ LPKHHQSMKNLQLQQQQQVIPTYQQQQKKSCLQPKLFELDMASPQKRSFSQNKKLHQFKL Q >CAK79458 pep:novel supercontig:GCA_000165425.1:CT868330:259579:261116:1 gene:GSPATT00014404001 transcript:CAK79458 MDQNPEQDRDWDDLKGVLAEDIINKFKEVGPKVYSHQYEFINYCLGQDVNQQSPVIALRS QTGSGKTLCFQSLIYQEFKDKHQKISEQKQIKQKDNTMKEVRMLYPYTIVLGQTIYLKQH EEYFRKHLPERLKNQNLKFQTFFAFTKDNQFQQVKENRIEEADCSVYLCHPSKMLVGLKN RIINVSNLRYLIIDEADQLLEKNEQNQARVETMQLIQFILGQTNNQSYQIVFVSASLKPE EIQNLIKECVQNNIDASKKVDSRFLHKDEDDIQEVVCNLRVIPSIQQQISPYTIVHMYKE AAEQPEQILVDLLKDVFDKFTEAQVMIFFNKKTIVNELINLFKKSQKLEYIVKNNWIGEC TGDTNQVDRETVRKEFKDGKKKILLCTDVLQRGMDFRKVRVIIHYGLPITPAGEFKEESY YQRSGRTGRAKDEGVVVSLLLEDDLKQKRQEKLVESLVMNNSKIQKYDQKQFCTDLAKLL NLNKE >CAK79459 pep:novel supercontig:GCA_000165425.1:CT868330:261116:262411:1 gene:GSPATT00014405001 transcript:CAK79459 MSNLSRSSQSSRSSSQKPNTKRPQRDKRKKNNSDEYDSPIEDEDVSQYEGIRSKNKQQPL LGPGRFNRRENKLKKPNSVSAPAATSFLYKPGAELPNLSNFINQTIEIRIACEYINKYNQ ALILRQIWGSNGVYASHSDAVCIGIHAGLLALGDLKLTGTFYQGVSLSCKVIKGKKSLNG QLKVPILSRSLKTPCAHCLKPEKVNWLPQLGSPEQLISWARKMSLPPNRRLTKRTHLNVF LHEPPQTSLPENLLVNNLPPLNEGWIVWDMCNEPSQKYNLLTFLDRQTAQGIPSRTSYKL KTNCLYIETSIKKFEISMDPNKIADEVFLDSQVFMVSEIIVPQQSDIEMLQKYGVPLPKE FKKLTFEKLKWTNFEWGNDNVVIDGQMTIQGLKSFKFVPITKHQHL >CAK79460 pep:novel supercontig:GCA_000165425.1:CT868330:262434:263948:1 gene:GSPATT00014406001 transcript:CAK79460 MLKLHQQLIHCPQHKEKIISFNIEKHCPLNQRVLCKKCPADGLKLDIEQVETLPQRHQLN EKHLQTTSQFIQHINHQYNTIKQQIIEELEHFKRELDDFMHPIINQKEKFDYYLVPESTL SLKDFQELGQLLAENIVIEEDQFVLDFNKIVTQNHRQYIQQKFTDLELLLQLFRNINCNK QIENQIQKSIQQQYEHNHQHAKLSKHSCQILQTNQNILSFGINHDESILVIGLQDKAETM QDNLVVYQKNDKGNWELEQILQHHNSSVHHIYYSKKDDWFISVSMDSNIVFWRKQQNTWV QNKLKQEHLNFIWNIITSELENIIVTCSEDSTVKIWFKHEDSIKCIQTLNRHVGPVYAIV LNNDNTVLCSGGEDKQLILWKYFEQQWEEFQVINVHSKKIMAIQFYGLKNLIVQFENYIQ IIDRKSGQCIEQVNHNEYRISNLINFNESLINYDFNGNILIWMKKDNRWYIVLKQTYAHK IKYVYGRGNELYVGEGNKVHRIQI >CAK79461 pep:novel supercontig:GCA_000165425.1:CT868330:264633:266686:1 gene:GSPATT00014407001 transcript:CAK79461 MNVNLICPQHKQQVIQACIDLDCSVFPFMCKVCMTDQKTISQHTKHNQCLIPYQQYVTLV SKQIEDQLHDANKFIDLFNKESQVVQQILLSDEHLNNMEGYVRSQKQQIESDVNQALESI TQLFDLHKTELIKKLDQYLKIYENNFFILKEQLEPIHFLLTKCKYYSNENNLKQKLHTKP DLGSQLKLSIKQMSIIKKPDNLKQILDKVKKAQELQYNNENFNKLLTDAKNSINDFYIKN VSIPNQTQIEIQQQTNMQPTVQTLSSPPIKSKLMDISNADKATMLDDNKTVISAVDSKIS VVSQLQTQVIPNINVLILDNRIIEDTNQSKNQSNQRFKIKKKLSIEFQVTSLALVSNVSV ALGLADGTVKLLDMTTSKVSFYPNTYIQHTKPVSQLLILKQNKGTRLASLSEENYAIIWT LGENYVPYPERKLIGFKSKLNRIMDVADSSHLICQSDTNLQIINYHDNRIAYSFEFKTKL IDFLYVSKYEKFATICQGNIVSIYSLKMNNNLQGAMLLVNCELEYTQSALPISNISSCIA TEYLNDIIICYGCTDGSVKLFNVIKNILIAEYQLFNSKIQEMIIVKQNKHFILVAFGESV KQIKGIMIQENKINPIEMIGELLEYPAKSGKNVIQTFFKNRSSFYLLSDSQKDIGLYELC >CAK79462 pep:novel supercontig:GCA_000165425.1:CT868330:267342:269627:1 gene:GSPATT00014408001 transcript:CAK79462 MYDESKIFNCQEDSYGEQFLINYQKLKLFPIDCWTFVLLSPITFSISVRIIYEFLKDLTL FGGPGDVIFVITLTNGIQSLTQFSTALYVLIEDSAPVKLFCDVIMNINQITGITTSIVFV ISNINIFIFSAYPLALVYNTLEKTVKFIRIFNYTLTALIIILVILGFLFNDNIISITLNG MCAISATHQSFWDYIIASFYLFVFLLFATMSIIYVFTFKRLVPKMSSIKELRGKYLRYYQ KFIIFSLVMKFFLGIFSGINLLNCYIFLKAYLLPSETCDNVTQALCILGQIHYQYLNFII KNLLRTCKIQIHQMTQNLRLLCNVKNFILIILEFNLLEQLQKEMKKTQMISMLAGIQMLI KQNQENTILYKDLEIIDDQDDGEGTCSGDLSELIEVSLKPFTPQEIEQCWVVNLNNDLIK SQFHDTLNIQVFQTRCILYAPKIFNYFLTLDNVDLEDSFDVNKNLQNIEQFTGPDGGKSG EFFFFSHNNELIIKTMRQAEVNTYKKRLLNFATYQANNPESLLNKIYGMYTFERVEKSEA KIHFLIMKNLSLGIPRNYILRIYDLKGSEYDREVLAKRSETDLSKLTLKDLDFFKIEQQI WVEQSIMQKLNQNLIKDSDFLEKQHLIDYSLLVMKIDWKDQQQLLYKHQGNQQINVNPSI KEQNIYYHIGIIDYLQQWNVNKSLERKTKKIIKMNLQLDTSAQEPNRYGKRFKQKLVNRI LPL >CAK79463 pep:novel supercontig:GCA_000165425.1:CT868330:269761:270458:1 gene:GSPATT00014409001 transcript:CAK79463 MEFLQKIMMIPQNIINTLKTMKSQTNKVDNIFIEPLAFCQESIVETVHLKEFDQINKRRK LTMNQETQTEPQQKFEQIISINQIPESVSQQKSRLRLVPSKSNKKYTKLLTKQIVKDESL SRESDQTEPSQYSYCHNNFLAAMDRNEEEYKPQQKKIYQINGKNQNQYRNQIRKNYLSQF KEKFTDEKLILKSTRTQSSDEKQDSIDQKELENNQQQQALV >CAK79464 pep:novel supercontig:GCA_000165425.1:CT868330:270932:273145:1 gene:GSPATT00014410001 transcript:CAK79464 MLSQYENPQNQNAPQKSNQTQNPQQQQGPAKNKKGGIDLQELENQLTIPSNLKSMSVIKF DIGYINQNILPNFKKNPELTNFYKNLVSELQKQLTTIVQQIKDEKIQENQYIKMVNDTFQ QEQIKFKTAAPAMKNRIGLRCKTLNQELENLKKNLFGKICQEFGCGPDLTQKFLFEEFQE KPYKEKAKELLIRHKEYLNLTQYLSIHMKDEKRDLIEFLIGKLEKSKAIQNDLKEGKNVD FSKFFITEFKDITEDQFLGIPKIEYQAKIQNMLDQVNQALKEEQLYYKVASLAKPIIQVI PGTKQMQKVSPADICKQYFARYEELQKYLSGQKNESWTPLPIFKMELENRDVSTVNKDVP NGTLRIQFKNFVNGDKMLLQYELTIGNQPYQGKTEQADSTGRLGYVQDINLKDFKNGTKE IHLSAIEIKLFKKGWISNDLKGQGKIQLNDLLDMSTIEGDLLLNEKTVLQYCILLRESLN KKKSVTTAQILKTYPKFDKKNGLDQYLEQKLKEIPNEPVPQQQQKQEIQQPQQQLQQQQQ QQQQQQQQVEEDEGCQGPQLQPDQLILLNHQEDDEQYQNVKKLGEKMPQLQEDLLNPENP LKGSVYYFLVQYAPFLQNKTVELKQDPSQRLQQRFVQKLWEDCYRQQNKLKNKLINDMEG YFSDLQKIIKKDQLMIKLYKQAQAPSWSKFLEGRLEIALQEEKAIQEQLSAE >CAK79465 pep:novel supercontig:GCA_000165425.1:CT868330:273174:274605:-1 gene:GSPATT00014411001 transcript:CAK79465 MQNYQILEKIYESKSTIIHKVRNIKDNNTYAMKEFVGFYNQALSEIQIMKKCQIPFVIKL KEVFRLDDNVIIIMEYADQGNLKQFISEHLGSFISERVICKILIQIMFVLIYLRENKICY KGLNPENILIHQEQVRICDFGIDNLIQHQKPSYRPPELLFQNNFTYKSMMYQFGLVLYEL TTQRQLFKSEIIEQIKAVILNGGAIVKIPSMYSKELRFIITTCLDLQETGRFDIRELVHN ENFKILLKQKHFTFTYEQQDTFEFWCNSKQQKQNRKSVFTDNLDLEAKEPNSNGNDQQII QQDKPNNNKTINIESKNRSNLIIPKLNQQTLNETLTVRPISQQTKGTQSNTIIRSNTFSR QNFPSFTNRKLLSPKPKPQFIDFIQKPQYLDAPTKPQNAINNLIKLKMEQCVETIGIEET RQTLNRLKQGGSLKEFISKYQDAKHYCIARHMQDIISFTQL >CAK79466 pep:novel supercontig:GCA_000165425.1:CT868330:275045:278301:-1 gene:GSPATT00014412001 transcript:CAK79466 MELCEQFKETLQEQDDNRRQTLMFSVCRKLSRMLPFPSIISVLQQKPNILKYLLIASQLY ENSVSINSLQALLLLYALQDRYWEEQDYVKLYFDCVTKCLINQEIYCQTVSDNETKLRWF LLENSKNFIYKIQLPFFDNEFVCQKVNDFFKSFIKYNSNFDMLNSCFQFALERRNVWVLL RELDSQFEIDVEDSLSQVMSSKNLDFISEKIEQKREEASNYGLTKKELKQQMLEEWLFQP SEVPLLVANHATVDQLSSEVFKSNMLLDLFLSFLLFPTKNPQVIPNKLYNFYVDMNPPDP PSFRQLNVNVSQERRPLIQFRAFRTMQVITDNRNNFLLTRVNKEYYQRAYSMIYECLKQD LVAITICPEIIVQYLSFLLHQDPEMSIFFLIFYNIPYRLMLFLDIPEISQFFTQLMNFLQ TPYNKVHIQSSQLIWKYFELSNFFSDLLKMTIFDSKTIDDVITLKSNELYRPKKLEEILK RPDFTPLQVEKQFPQPEQKKVAEEIDELDQDLDKIFHYLPNKCQKTLKIFERMLDQASKK KESKAKQRMSLAATPPQFLQTKNLKIEEQTTTIFSPTRRRTILAPKLASMLDGSLKRSLV VNPVEFDNMPQTTTNSTTRSQLQALTNPNNLFGVLTEQIQLISKKNPQEIVQKPMFQRML VKRPTQMIMLHSRSLSNQKRLSQQSSQINITESVNKSRIQNSIILNKFNHLVGSIKGYDI DKEFCIYPPELKDQQINDFEKLAFDDEFQKLSQRNENYSKGVLQCIYDILHMIFTQRKFI LQNNHHFEHPEFYLNILIGENKSCFIDIIAKNYLLKQKQEEDFLCTFLLAGRIYNLILSQ YNHKIFKFNFNEHLTLICKILLTQLLTSRLSIRAITLLESVTLIVENTKQQLLSYIPISF WHLLIETYSIHNSNQIFINYFRRILITAFIYGNLVIYNRILLKINFLSYFKNNPEQIKGI FLILYMMFKLRQDGLKQLKRQIILLSSWTALANWFQKDLQCLEQQKFQEYTKGLRSEDIT RIQILIENKDSKKNKFCKP >CAK79467 pep:novel supercontig:GCA_000165425.1:CT868330:278330:279421:1 gene:GSPATT00014413001 transcript:CAK79467 MKKLILVLGGSTFMGKELLQDLSQNESYEVHFINRGKNYWNNAVNQIKNAYYTYGNREDT NDFTTLIRYLSKKLGVGVNGRIWEAVVDFSAFYSSQVKSVYVALRGLCNLYIFISTDSIY DVCKIRKIPITEDQDQRKELTESQKKGESYGHNKLKCEEFLQNYVIEGSFRYVILRLPDV IGPFDDSGRFFALVKWLQQNDKHPIQVDQAVQSEQISLVFSTDVVNCIKYFIQNIPQQNQ IYNVCFDETISYIELTQVILDKISQKQLNIKHVVEASKFYPSVDCGIISNKKIKELDIKF TPLITALEKTIEFFMKEASNYEAENKAALEKLNRKLNA >CAK79468 pep:novel supercontig:GCA_000165425.1:CT868330:279702:281265:1 gene:GSPATT00014414001 transcript:CAK79468 MKHSLYFVSSKQQSFWNRDLNALVNYDFRELSENQKTIVLIKQGQILKMITPRRYKIYRQ HLFYFRKSGEIGGFLLLKNVYCSFRNKDNTILITLSHEFQQIVLQTGNKSEEVDNFIVEL KQNCIQESIPLAFQYLRQKIGEGITSEVIFQDYLFQVFVINHLNNEKFAIKKVIKAPLGH KMREKQAKSLAEEIFIMRSLDNPNIPKLYEVYESDDSVELLMTYYEGGHLYEKLQNFSLK QKQQLVRDILETMNYVHSQQIMHRDLKPQNIMYKDKDPTSTDVAIIDFGFSTNYKYQEHV LYNCGTPGYAAPEVQEYKEKQKMYTTQCDVFSLGIIVYEIFYGVHPFKLSNSGSLCFNEK IKLPQSLKNLIIQMTRIQPKYRFTLKECLEQDFFRESLDSNCFDDLLKFSLVDSIYQKSQ NVSRKNSIDPIKQEKQNKNESVKNKTINSTVAESRNLLFKSFGPIEQQLQDDLQFSENHN FPTDYNNLLQKRI >CAK79469 pep:novel supercontig:GCA_000165425.1:CT868330:281415:281756:1 gene:GSPATT00014415001 transcript:CAK79469 MYIKYSIHPLMKQRCGFQRAKYINQPKKTTKENKNKKSSIYSRVNYLYQKKFTQMQNKNN QNPVIVDQKYILLNLIYQGKASTIFEGIKLLLFKRSIYNKSKNVCKNVSNIQK >CAK79470 pep:novel supercontig:GCA_000165425.1:CT868330:284000:284251:-1 gene:GSPATT00014416001 transcript:CAK79470 MDSEQAELNIPAQISYSHQENEQIQIAHSIYPNQLNNLNHLLAALDNMLEKAGQQHSLKK LKNQFLIVKRNTETILTHTTPYV >CAK79471 pep:novel supercontig:GCA_000165425.1:CT868330:284596:285639:-1 gene:GSPATT00014417001 transcript:CAK79471 MKTESIHLTDNEQPLLQFSNRQSRNLFISDFNFEISPQKKSGKSMSPKRQLMKVCPQTQS LKFIMSQNLLQLQNLNSLKKIFKRSVIVVRACIRLHKFQKKPNLVLKVPLSGKESLIDTV LNETVLSWCRGICKKSLSSIKMPVFDYLKNPNCNIKADTSKVQLINAIKFLVESLAYYTH PHYLNYELKSFLCSQLYEDYKLYFTHYVSERTKYKIAILDELQDKEAAIISLEIIIFNLT EAIIQDSLSCNFSKLVLISVLQLLFKQYFDEMNCSICHQNCIQGQIKLNNNKFYLTFVNQ HSGSLNLIDGVYNDQQIIDLLDFNRCQFGKIQEYFRIAVKHLEKQII >CAK79472 pep:novel supercontig:GCA_000165425.1:CT868330:286068:286910:1 gene:GSPATT00014418001 transcript:CAK79472 MRFNFQKKLYSKFPQYLIRPNLLRLILTFQVYQPTQQKQKCLVLGIQKEQSKSKKKQHFH HNHRLLIQCYFNYSNEIFINKLYFYFLNGVLLGTNSINYAFSVKNNCEKKWHFILYSKYL DQGIFHIFNKKFDCIYNYQRLSSLILLPGDLKQLKSFEGMVKKDLKNPQNQDLNYSFIVQ GSFKVVFQLFLNFQYYVNKVILLQLFITFQQIQEQSLIILIFSLFPSFWITLINSLHYRI SFIVLLGNQSYILKVWFLIQNLIQCFTYLIKIMRLTLYYT >CAK79473 pep:novel supercontig:GCA_000165425.1:CT868330:287262:288382:1 gene:GSPATT00014419001 transcript:CAK79473 MQIKRSSIEQGGLEQILDGNMSPIALQLDLEQDTQSIMSKNDTKNKFQPFQNERNSIGKL IQRTKSNDTTSKFHFLKSQQVVLLAKGKETVPHVSLAHQLSTTYLLGLKKRDITPMDKAV SLRQRLRNFKINLQADQGFIKQFREYKKQYIPKTQLSRAFLTPRREHFYRDTHTPGPGVY SDQLLITEPGQSMEFNKSPQMRKSPSPICQRDFYDFQFMKRNDNSPDFQRTISRDKAYQR SIFAQIEIQKKENMKLQKEEPYDEQEINRDIEYLLYQQQKYGKLNYQRILDSNNEIKKFA QPDALDTNWSKMLERYGFKRLKGGKIKKQRVQTAANG >CAK79474 pep:novel supercontig:GCA_000165425.1:CT868330:288774:288986:-1 gene:GSPATT00014420001 transcript:CAK79474 MQMLPPPKKVNNWTIRQNQELKEQVNRLNFELNATYKVSSHQKCNQNLVLDTETHFNFEV LLKMPQLAKR >CAK79475 pep:novel supercontig:GCA_000165425.1:CT868330:289591:289950:1 gene:GSPATT00014421001 transcript:CAK79475 MQINKEQLKNQNLQEQKNENSQILINVICNKLHNICARTCQIKYPKIQIKKIKKNCLDFK KKRDNKSQSSRIDQNANQCLCQTCLDLKLLEYISQLKAVENLQNQLESVKELIYFFQQP >CAK79476 pep:novel supercontig:GCA_000165425.1:CT868330:290282:290830:-1 gene:GSPATT00014422001 transcript:CAK79476 MGFLTISLLLFVLGIMFFLDRALLVLGNLSFLIGLCLLIGIKSTLSFFLKKGKIKGSIFF FLGFFIIIFLRLSIIGFPLQIYGLFQMFKSFLPFLYDGATKLPIIGRYLRNPQLKKMVDE VSAKGPSV >CAK79477 pep:novel supercontig:GCA_000165425.1:CT868330:291224:291693:-1 gene:GSPATT00014423001 transcript:CAK79477 MPNEFILQQTSHPEKKFNSKTLVGNWYEERCEPKSKYSTFYKEQKVEKNQYNVSKLTQES FMKCSQNWLNFQNNQSNHFQTTNQQEFKNPKDQFRTSELKKFIIKKGVFEKNPQQMSDYR NKWTSAPHFFDRTYLGQQK >CAK79478 pep:novel supercontig:GCA_000165425.1:CT868330:292498:293405:-1 gene:GSPATT00014424001 transcript:CAK79478 MISLWNDLDTNNYYLINNETGEKQKVYKTADYIKEFDASLTGSVGYKDRIKLAKKPSYMP LKAKSLQKNFTSYTPSINKLVWYSQLPRPTKLPNLEHFKTEKKQIHINLSKDEKSLLQTQ SYQTLPHIPQSTVASQYQSLLDPQQARSISTIQHYDLNDQDHFKEMSHLFESKSYDYKSF NIPPKESLNCRSIKDFEQVLKEEKQQLEMFKLPEQKPQLVNTKGRFPGQLKIAKDFMTED KSIARLLYPDLFKEKIIDQKQEELRRKINKLKEQEMLQRNLKNKA >CAK79479 pep:novel supercontig:GCA_000165425.1:CT868330:293699:295516:-1 gene:GSPATT00014425001 transcript:CAK79479 MQDQQKIVILANQLEELRKLNKDQKELIFQYEEQNGAAEKKINALNQIHQDKVRNLMNSI TLLKKENAQLKNMNKEHKRSQLIEQLNQEIADQDLVIQTLRSIVNNDQRCDNQIIEALNK GPPKIKALTREELKIENKRLDNQLRALKEQMNKKQQELMQSEQSENASTFSMDAQFEVHT KKIAQLTEDNSNFKAEIAALQDKNFKLEETLDEKSRQITVLNEQKGQLVILTKKYEQLQK LMEDYKRRENQQEFHDITKEVDIEEQKMLNKVQETKLESVEKQLRAEVDIIKLEKQGVQR DLENKSTELGKAIKKNQQLEKRLMEQEKELNAKSKELRERIEEFTKKEADYLTQLSQLKQ SLAQKENEISQNLLDQGQLKATITKLEQDIEQLQAKLQRAGAYKQVDDISYDVKINKEIQ NKLKQQTISDDPKVLKQALKQQQQYYEQVIAVLQQRVLQQKQDNLSDEDNQEIDMLSSDI SQFENKQQDYDVDSNIEDQNIPSDIHSSVTSILNAPKPSKKQIDSNFSRFESMKSSDIDK ISSNLSDQQNASLSKVSSNLSKISFTSSMQRAVKRY >CAK79480 pep:novel supercontig:GCA_000165425.1:CT868330:295553:295962:1 gene:GSPATT00014426001 transcript:CAK79480 MGMRLKKLTQTLESKPPQQYNFPRGADQFEYSPDRSYSSLQVDVYDLNSQLRRIHRRVLS QVVIQKGKSKENKLSTLSPLKVDQLAVTKAKLNSQRFFLMNQVRNIKPKQNKLQSSLENA YGYD >CAK79481 pep:novel supercontig:GCA_000165425.1:CT868330:296014:296677:1 gene:GSPATT00014427001 transcript:CAK79481 MSIRQFVGIQEIAKKAFNSLIVIRKEIESKNQEVLAHGISVYNQVVPIDASKSKFGFFRS SRLDNRSDHRYYDMNPLPPPESKIARVYIMDDNRMHQTPTMRQGYHWNVQIERGPIHRMP WSGWTFSKDQKSREVYKFLSLEAALEFCQQDGYGYIIQYPHFRYTSKRKYADNFKWKGFP KDEQDS >CAK79482 pep:novel supercontig:GCA_000165425.1:CT868330:296806:300095:1 gene:GSPATT00014428001 transcript:CAK79482 MIELQETSPKEEIDFGQSTLEYQGEYDDQYKLTAIYYRINKCKQIIYYVLLFLTLGLLYL FQRWFINLRLFLLFEKCEFGTMTHVLVIKTKNIIPIADDQLCEVTVVQDHPFSKHARVFN YELSDYFIDTYSKALVQMRNSFSQYTQERICNMKGLSELTTIYGQNIMEIPIKPIPLLLL DEILTPFNIFQFSALALWAYDDYLNYSLFILAITIIQIGIELRDVRQNLQKIQKMIRFNV DVKVIRNNNQITIESKELIPGDLLIIEGHTKISCDCILIEGNCVMNEAVLTGESVPINKS SLEKNEQLFLQKGNENKMLFCGTTCLRSYSQNGEHAKAIVYQTGFQTLKGSLARSIMFNR TQTFSFYRDSLRYLFVLATLGLIQANITILISGAQGVALGESIINALEIVTIIVPATLPT ALGAGISLALKRLEDKSIQCVKPDKINVASKVNLVAFDKTGTLTELGLDVLGCREIKDQG CFQNSLMSVWVFVIHYQQQIMRLWEIQLIYVCFKRLDGSQWKRVRQEKEGKEVSVLKRFD FQAELQRMSVITNQCILYCKGSPEQIKSICRNIPINYNNMLQKYSSQGFRIIACCYRDRE IYEQSMSFLGFLIFENKLKAETQSTILSLKYSNIKSIMVTGDNPYTAINIGLQCNILDQN SRIFLGQLNEGVLYWNEINAIENRQNSNQAQNLETNQIMKLSCHLQLAITGQVFEYLQYQ YAALLDNQQWVLNLLQKTYIYSRMKPNNKGDLMLLLRQDNLNFIAFCGDGTNDTCALRQA DVGLALSLEDASLASPFTSTIFNISNMINLIKEGRACLVTCVECFKFMTLYSCIQSAAVL QCYFYDTDFTQVQYLYQDLWLIIPLAFTMDLTKSYNKLANYRPISNLISLPVLSSVCVII FISFLAQMIMHQILKHQDFYQQMVIELVNDDYYMQPNYTNSILLITSSTEILAVGLAYTQ GPPFREAISQNFYYMIVICMGIAGQIVLIFFPNLTGFLSLEVEFPTDFKIQILVVNIVVG ITIVFYEKLITAKMTQKYAEVEINLGNQN >CAK79483 pep:novel supercontig:GCA_000165425.1:CT868330:300123:301820:-1 gene:GSPATT00014429001 transcript:CAK79483 MKKYKKLLKPFRSLDLFNKPVSLLIKDQERHKTYCGAFLTLIIITMMSVLLCTNFINLDK NPRSYQYQIYHQVLNSTQFMNFKILINGLDEQNLNVSTKDGPLSFTQNLYQYSKQVSLYE SDQNVQYVIVEISLKNATQVPKQEESLEFQLQIPTFYYDLKDVKDPVKSRIEKIETTLSR NIYKRIDIYMSPFTVISDGGMVFKDETQESVLAYQYHQETYDVGNGQKLLQFRIRLNKTE LVNYRSYPKLQQILGEAGGLWNVIFTLAMFFQLPFSNLSYRLQIVNSLFNFSNDDDATTE NDKIQIVNEKINEKATSPQQQLDKKIPLCQSEVNIPIVPILKKQKSWSQLNQLNIKKRLY SIQRKSQMITEADTDNQKAHITNEISASIKRFFRVVTKKLNLNVFEYITFQFPKRRNKNN IKHSQFQFAVNRIQKSLDILYIINKLHEVDKLKFILLNKAQIQMFDYLPKPFIGADPQDI SEDNFCSLLKPAKTPYQKALEAQQAFKEIITNYQDPINQKLINSMDEPIIDLMKLQLNQD IQILDTSVINQLVQSADYRLDTARQ >CAK79484 pep:novel supercontig:GCA_000165425.1:CT868330:301892:304963:1 gene:GSPATT00014430001 transcript:CAK79484 MSISSEDENEVMQVEEGSDEDQKIQQEEERIKKLEQDKKTFMSSIKQTTKLNANIKFDNI ESKINTLLENAEKYAMFLLHRHKRTQESKQKALTQQRGKHRQMIDDASEEEDLDDAPTVL DKQPTILKGGQLKQYQMTGVNWMISLFEEGINGILADEMGLGKTIQTIGFIAFLKEYTKI SGPHLIVAPKSTLGNWMREFKKWLPCARVLKLIAVKEEREDIINKSFQPGKFDVCLTSYE GVNICLKHIRRFQYKYIIIDEAHKIKNEDAIISQNLRKIRTNYKLLLTGTPLQNTPHELW SLLNYLLPDLFDSSEVFDKWFETIKQEELDQRNLEMCQKFQKILRPFMLRRTKAEVERIL PPKQEIHLFIKMTNLQKQMYQNILLHNNPHEGDDKGFYMNKLMQLRKICLHPYLFPEVED KSLPPLGEHLVEVAGKMRVLDIFLKKLSDGTHQVLIFSQFTMMLNILEDYCNYRKYDYCR IDGETEIQQRDDQIAEFTKPDSKKFIFLLSTRAGGLGINLATADTVIIYDSDFNPQMDMQ AMDRAHRIGQKNRVMVYRMACEHTIEEKIIERQQIKLRWDSLMIQQGRLSQKQSGKLLSK EDLKELTTHGASQIFKLDGDDIKDEDIDILLKRGEQLTQRFESFKDKMQSLDLGLGQINI FDYFNEEKQNKMDEDALEDAIANHHFNENKTRNRDKRAMMLGGNSKKIQGKQIKLSEHHL YESKDRLQFLLQKEEDFIAQQKTQKKGIENEENVDFGGLTQEERQEQKQLLETGFKNWNK QEFSDFITANEKYGKDAYEKIQEFVKSKTLDEVKAYAQAFWERIDGLSEKDKIVKQIERG QKLIEQKTNGQKLIEEKCKHFHQPKYELVFTPQLYNKFKSKYFSLENDKYLIYMTNEVGY GNWTLLKQSIRKEPMFRFDHAFKCKSENELKNRVISLVKKITLWVVLPVKTTYVDKPKVQ QDSQKKKMKNEEDEIQDGSESAKKVKL >CAK79485 pep:novel supercontig:GCA_000165425.1:CT868330:305717:307660:1 gene:GSPATT00014431001 transcript:CAK79485 MKQNKLQKIQRQIKKIDIFASPVELMIQKKRLHQSFFGAIMTLLMFGCIITYIVNKFVQL GMRKEFQTLYSEIYYEEIPVYPLISQNFTLQFAFQNYNQKNYIDESVYSVNAFMVNRVQR TVNNKTTQDVKKTEIPLSKCAKIGITFEEIEEQLDNVDFNNTLTGTPDQDNYTYIYVNFQ ICVNDTTNSSESVICKSREEIQEKLRRNYIQFQISSYNIDLRNYQQPNVPKVEEIQTTIS SQVMKDITLFMQPITTLTEDGLLDELIRKDANIRYQKSQEIIDFNSDESLATVYIRLANT ENVSYRIYPKLQDILAQAGGLWELLLLAFSIIVKPFSQMSFKMEIINSLFNFEGQKLIDQ DESENKHKRFDKLNGFQENIQTNENDVSVMLNSLKSKASSRFPRGRAKLKTVKVDGINSA QTEKSSQVFTNSPGNEKILKKGINVAFRKFFNVATLKLQFNPLDYFRYMKCGKKEGKYKQ LSYSIQKLDKCLDILFIIDKLQEIDKLKMILLSKEQVQLFDFLPKPLICLDPSNQQLSEN YQYSSLLKPYKGLKEKSLEAQQVLDQLLENLEDPITNKLISLMDPNLIKLLQIQHELKKK QSPNLLINEFVE >CAK79486 pep:novel supercontig:GCA_000165425.1:CT868330:307761:310095:-1 gene:GSPATT00014432001 transcript:CAK79486 MIPAKAFQLLPVALNKTEEAFTGFLYTSKNTHAQLKQRYNVQGDLFIQINGYVFQLQGEN GYKDDSIAGSKYVRQLLRMSFTDKIDVAVFSLPKDKEYRLGVLDVELDIVQQSGTLDRLE LNSEDLAPFLKRAYSGQFFRAGQIQLFLFEGNTYLFKVTRTDVLSVGVESQQVKFMGGGM LVDETEVEFSIRQGVNQLKMKNASTHTASIFREDFSFDKLGVGGLDKELANIFRRAFSSR RFPQAFLEKYGIKHIKGLLLYGPPGTGKTLIARQLAKVLKAKPPKIVNGPEIFSKFVGEA EENVRKLFAEAIADQETKGDQSDLHIIVFDEMDAICKQRGSINSGSGAYDNVVNQLLSMI DGVNSLNNILVIGMTNRKDLIDEAVLRPGRFEVHIEVGLPDEQGRQQILNIHTDNLKKNN ALDKDVNLEELAQITKNYTGAEIEAVVKSASSFAFQRMQSIFDFQKKLTKQDELQVRRCD FMNALDEVKPQFGIDTNKFDLLLKNRLIDFGEEFRKLQKILKNTIDQTRFGKNSQLNSVL LEGEQGSGKTSVAAWLAVECGFPYVKLISPETFIGLTEGAIINQMVRIFNDAYKSSLSCI LIDNIERLIEYVDIGPRFSNAILQALLVLIKRLPDKSQCRLLIIGTTSQYQIMKQLEVVS CFNVAFKVPNLIKPDEINLVIKDYLTTSPQSSNKIQLSQQQEQSVNTIATQIKDIPIKRL LMLLDMVGAQEKGLNVEEFMICYTTVMMNHL >CAK79487 pep:novel supercontig:GCA_000165425.1:CT868330:310169:311342:-1 gene:GSPATT00014433001 transcript:CAK79487 MQGHDDITKVYTFEKTLGEGAFGVVKRAKKKSNGDMYAVKIINKDNLSNEDQQALQTEVE ILTQIDHPNVVKLYEIYEDDTNFYMVLELMTGGELFERIVEKDHFSEKEAAATLRPIIDA LNYCHKMGIVHRDLKPENLLFSSRDPGALLKVSDFGLARFVTNDEVMMTQCGTPGYVAPE ILSGHGYSEAIDFWSVGVILYIMLCGFPPFYDEDNDKLFKIIKTGQFSFPSPYWDTISND AKDLIKGLLTVDSTKRFGTEKILKHPWLVNNTAQSIPNIQNKMKEFYGSATMKKMGNFVK LAQRWGKLSQIKKK >CAK79488 pep:novel supercontig:GCA_000165425.1:CT868330:311520:312813:1 gene:GSPATT00014434001 transcript:CAK79488 MDQDTKEIDEEQFKKEFEEKVEKRKQWLLEELKSCGKFLDVSAKKLDDLDALTIALFLHE CQTELTVFHIGANQITDRGLIDMLTGLQWHDKLKEIYIGNNEITEVGVQGLIEISSCFKQ LKILSMSACSIDDSTFIQLCFALPELKNLQLLQVPGNNISDYGLVCFSTLLATNCLPNLT VIHFGNNPLTSKSLVPFFQAMKKNKTIKILYLNDIGMELTTIKQLALCLKKNKTLEDLNL GNTGFSDSAASVLWPSLKYLKKLQLWNNHLTDKAIYDFINVLEKEDIGLTYVGLQDNEIE EYDLVEDLNALLKQNEIIDKLTEQIEHKEEMEEVDEDKQVKQMIELAKLEEIEEKLGQLE KLNEPQGETQDVANELQGKLKTKKWTGENEDPEDKTLEHSVME >CAK79489 pep:novel supercontig:GCA_000165425.1:CT868330:312823:313417:1 gene:GSPATT00014435001 transcript:CAK79489 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDDKTRKAQAEQAAREIKDRKQK QIEASKKKPAAAQKVAQKAEVKAQQKAQKGAAKANKGKK >CAK79490 pep:novel supercontig:GCA_000165425.1:CT868330:313454:314090:1 gene:GSPATT00014436001 transcript:CAK79490 MDPIFYTLLGMGIGYIGSQVLTNKIFQNKQNKNPQQNQPTKKFLPSTPDIKKAFQQNQIP QQIQRRIEFNDQLRKIVKIQPPLEQQDTDSQFSQPQDSENSKPNQFNNSDHNTQPQTPNF QNIAQAKSNIQLFVTPEQIQQMNTSPLILQQNKFSVSQKHRPQSTSSVSHSESSPQSSDK EE >CAK79491 pep:novel supercontig:GCA_000165425.1:CT868330:314131:315319:1 gene:GSPATT00014437001 transcript:CAK79491 MIHQNLVFGNLITSNQLEWLVKKQKILLKSPQDMNEKKTKPKRLFRSTSFKTEQHALLLS NPKNNQTKSPMLLKPRIEKNGLRKLPSKPNPSDEQGKSEKSITSSQRRSSALQIKIKRRW SYLQIQGEKYKRLNNKAPKNIIKYHYVTAEKEKKKKLNRLRMQYKFISRLQKNKFRKLRS KTLPLSQFIRMRHTRAKTFAEDQHQGPILNLEESLKRKINMLVVLRKSAMRRHTCFQMPK KSVLVSKEKLINYQKQISDKLVKTESQVEFSPALDQILVYQRRVNFTDLKQSFKIAQQKK KCFLSGQFKKKSHSIITSYISNKAVTQNSEYQSLLLKPLNQLIEKKTQTVREKNTNHQSW SDRSLMKRRPNLLLKLQPYLSKQVIVKC >CAK79492 pep:novel supercontig:GCA_000165425.1:CT868330:315738:316667:1 gene:GSPATT00014438001 transcript:CAK79492 MGSFLSQPNTQKSHEYQFNGYLSCYTTAMQGWRLQMEDAHLMKPNFIENISLFAVFDGHG GSGISQFLAENFMNVLISQPAFEKMDFMQSLHDTFLQLDDMIKNNEIKNTFIGSTAVVAL IADKMLYVANLGDSRCLLMRDDETIELTKDHLPSNELARIRYAGGFVDEQGRLNGTLSVS RAFGDFEFKQEPLPANQQMVIAEPEIRKIKLNKDDRFLFLGCDGVFETQNSYKVMEFISE RVAEKQEPSIILEQLLDTSLAADTSTGYGCDNMTAMLILLNQ >CAK79493 pep:novel supercontig:GCA_000165425.1:CT868330:316993:318228:1 gene:GSPATT00014439001 transcript:CAK79493 MVKCLWCQKKLGMLGMLFHKDVCLEYLYSKSLERLRQANLAGLIRKEEYRNEKRNLRQYF MQRFKPKVYEAFIKRQKEQQYIEINPNDLSVALESDMEMISKKVCIESQEISQKSQFLQS FQNPIDPDYNCMVNNYSGYPDIQPMFTSDDHPNNQVEFNQQDEMSIFQLEIQNIGNTHQQ IDQYDNSQDQFVQLQFQQQQILHQNEEIQENQEEKPANVSLEINEEEKENVLFKLLQKKV KPNKDKVFNESFQQKKTKKQTHTRSQSRNIKQNSIISETREEKNKPNFYQEELATEVKQI SNHFLQTNKKKNPPKFSQSTDKINPKINQSIEISSTKEHKKKQKKTKNLISKKTKNLQKK EDLQNSSQQDQKPKQREIIKTRHQASLSIQQNKAQSKSVNKREKQQKIKKK >CAK79494 pep:novel supercontig:GCA_000165425.1:CT868330:318297:319173:-1 gene:GSPATT00014440001 transcript:CAK79494 MLRWVNEKCPSMRAINIESLSDGRHFLCLLRKYFPEIQVPQFKKNSSIVQRMESLNLVMV YCQKLDASFKLDMLKIANKESITILNMLKFLKSILDKTPIKFSFIQEEFQTTLKVKEKDN RQICEEIVIPKVQQAQDDETQTPEIQEDLIPLQKFQTQLKRLMSFKLQHPYLELELLRLL ENDQEVADMIQHYQLAVQKRSKNSKYTDYHSINSSQFYYRGEPNLLDVDYSFDQQYASKR SMEFDNLFQVSDEKFNV >CAK79495 pep:novel supercontig:GCA_000165425.1:CT868330:319321:320745:-1 gene:GSPATT00014441001 transcript:CAK79495 MRPSIGKKVKQDDSDNKFREKVYRSMYPSPCSSYDPNKWLQNNDISWLSHSLEQDIDKIL QIAAPQPLKPQNNRRASANDIREEFDDKIGKQKRNTWYVDKQHDEQPKNSKLKINMSSII CEFPIQKVSRQSISDQPKTPNCQKSFMEAIISRYQQQNEQQEDQFEKEKKFLFQSDKEFQ SDKKLFQSDKKQQILEPEIHVNEIDDNILNEEQQNTSLNEENPNKQKKQKPTKGRKVGRK KKSIMPAPKRKTRQTKKQERIQQLPEPAQTELYQEKTKYIRPATTIDHPIFNTNQCWARM QFRKEAKKYEKYQTQEFQDLLHSLPGCYIHKCEGDLRIDKIRPMQNAKGKFFQSFEMWIN PLVLIGPIKMNNSVKLHVRFKKGYSGQVYCDSALQETLPLNQVDGKTFHKQKLMLANNSD HVIKLVCCLVNISIRGPSKRCK >CAK79496 pep:novel supercontig:GCA_000165425.1:CT868330:321997:323472:1 gene:GSPATT00014442001 transcript:CAK79496 MDQKSNYLKIWGLPENTSEQDVLQRIKTIGKVTKIKQINNVWYLVFEDEVDSDSVELISS NYAQLFYLTGTELTSQDVQKFTQIFSQNDDDEIQRKRLEQEKLQEIAKRQQEDQRQKQLQ QQQEFLRQQEEQRKKQLKEREEAQQREEELKQKLLAEAKQQLEYQQRQQQQKEQEEKQQK QQQELLQQQRKEQQIFQEEYLRQEQLKQNLLNQEQQRQEQLKQDQIRQEQLRQDLLKQEQ QRQEQLRQEQLRQEQLRQEQLRQDQLKQEQLRQEQLKQEQKRLEQQQLRQEQSKQEQPQQ NQQFNQQALDLQKEKIKEELALEFAKSQFQQKQQAEQRELEQNKALQNLMSKITETESQL QNLKDQCIFQQETSNKYQKEIQDLHTSLNHVTQQRDNVIAKFKELQSNEGSTVTKEDMAF LEQQQQQQQPQSTQNVLFKFEFWVVLVGAWVLSQVINFFT >CAK79497 pep:novel supercontig:GCA_000165425.1:CT868330:323477:324053:1 gene:GSPATT00014443001 transcript:CAK79497 MKQQSDNLFFQSQLYIVVLNEPLTILQFLENNENENQKQASYQDKLEISNKSERKENSNK SRHQLERRKNPYGSGWANKVLEKQFGVHLSQITQRAGPRWVIKKLKPVEANDQKEFDRPR LVKDFLSQEKKTRQTTSKSYRRRIDKNYKEQKQSFNGTSIFDPNFQINLQQYNL >CAK79498 pep:novel supercontig:GCA_000165425.1:CT868330:324070:324828:1 gene:GSPATT00014444001 transcript:CAK79498 MEQLKVLIQEKYKGSPLLIGLQGMQGVGKTTLGVQMKTLFSQQNIQFDSISIDDFYLSYL DREKLDKTKYKYRGPPGTHDYQMITNTLKSFKEGNSIEVPIFDKSLHNGQGDRVGQKTIK CQVLLFEGWFVGYRSKCLQEFKQKQMPKLQLNGKDYDENLEDFINDQLKLYEPIWEQFDL LIQLKPNPFELSLKWRLEAEKEMRLKTGNGMSDEQIVDFVTFFWNCLHPLIYNELNCDLA IEVNEARQYKVL >CAK79499 pep:novel supercontig:GCA_000165425.1:CT868330:324864:325130:-1 gene:GSPATT00014445001 transcript:CAK79499 MSFTYLPFHNLAYCPKQVIEFVQNPNLNLQDLNHMIEQLRMPKNHLKAIQKMQILASRQE QFLQDENTMQNQEEEIHSPKQLLSQIPY >CAK79500 pep:novel supercontig:GCA_000165425.1:CT868330:325179:325403:-1 gene:GSPATT00014446001 transcript:CAK79500 MDQDYIILILIGLVSIILLGILYFIVRKKQQQIPKTQLNNSTHIEITQMEQKPQKKLLKN DQKIIENKHTQQLI >CAK79501 pep:novel supercontig:GCA_000165425.1:CT868330:325427:325918:1 gene:GSPATT00014447001 transcript:CAK79501 MKLHQCQTNQPNNDMIFGHRIGTKKIVAEKQQKVIKHIRQLPRSKEDNYFHEAIKANEQY DLFLEKMNTFKIQQALILTKQRFQDDNKFANLLEYVPEEKENDKKIKKELESYIDRYVTM KKGPAPRHPQFYYFI >CAK79502 pep:novel supercontig:GCA_000165425.1:CT868330:325947:326527:1 gene:GSPATT00014448001 transcript:CAK79502 MSASSQRSAQNKQLMEEIDSAIEDLREWKKNENLDLKKQLMENQQTKKIIYKSGYEIDEE EEEDTRQEELLQQQADLNKKLQKIRQEYMKEYLKIPKYMASEQKTQEVTQKLQESSQRLE NLENYPINQLNLNKLDDDLLLKLTQWKAQLAEIDEISKDQESAQQDPQSNSKFEIKNIIR IGLILKG >CAK79503 pep:novel supercontig:GCA_000165425.1:CT868330:326625:327075:-1 gene:GSPATT00014449001 transcript:CAK79503 MEPYLKDKFAVQGTFLSSNCFLILTEGTIYIYDSEKAYSNGEIPAEILNLKMYKIQIAQK EGKVLTLVNIKNQEKQYVFYSNRREQIDCWYNMMKKWKEQYEQFLQNVNEQKIDVVPFEM MELKYSIDLKNDSLDSALIQQ >CAK79504 pep:novel supercontig:GCA_000165425.1:CT868330:327174:328068:1 gene:GSPATT00014450001 transcript:CAK79504 MEQKKVLVFFPMAIPASGKTVLFESIEQYYHEKHEGKTKLFIVSSDQVSLQLMNEHIAAN PNVSKEDAYQETRKKYRTRYDNEIRAVCQKAQACEQQFIVIIFDKNHPENALKGPLDIVK DYFKQFTSIGLIPKIGKPLGNNLFSITYLVSCVQRAIKRENHETLVGNKEKVASVVLFFF SFFNKVQIDKLPFNKVFYVPFTNEDVQMDQVLRDEALELLKIIQRERNPEDIQNNSKEAL QKFIGICEKYNLGFPDKNLQREIVWKEIETVDQ >CAK79505 pep:novel supercontig:GCA_000165425.1:CT868330:328746:330195:1 gene:GSPATT00014451001 transcript:CAK79505 MGFCFLFNSNVCFGWDYKIIIVYACITQFAFMISKLLSSKGINSYCCFAIMESTLLITYL LGIVYNKEVSPININFISYTLLILQFLILAITVLISRQNIDGEGHDQSKQIQEILVSAIK SIGSLQIVFVSLKWSNQINWGWMQTLVIIWFSIGTLILIEICLFIDFVMKCCNAQLENKN LWQYVGQHSIHWFYLDFFTNIFGFRIIARLLDFLNQFWGSNINNNILHARNMLLYKEQGR LNVRLFIKPSSFLNISENQTLSQSQEINNDQTSFKERIKQIHRLSITQIPQFMIKLSATY YKKKELIDSKKQQIGSVLSQDKKYRSISFSEIKKNDVIKKQASQDFDEELTKRFDHLLSS PRLKLEVSESIGAELSSRGQPKQIQLCLICYEKESNMINQPCGHGGFCQECSQQMLSKSN MCLLCRKPVTHTLIVQGVENRESLVEVVGILQGEKKQ >CAK79506 pep:novel supercontig:GCA_000165425.1:CT868330:330230:331285:1 gene:GSPATT00014452001 transcript:CAK79506 MNNNSNIFRPPSCHKVQTPQFAKEQQQPKNAKGNNRQVFRIQTFKLSPNFKNNLSQMPST QDTLEQRLADQTSEIRLLQNQIAELKKQNELLEQRLRKANSTVSDYWIKVKDTQTKLQQV SNKFRNSRDKKKMYKRRIIDALNYFYGRYTDAQQQNTPFLQLEELRQILLQLGMHESIVQ EMQYLNQQAQNQLNVNIDNMTYEQILNLQERIGNQNVGLTKLQIKEIPKRTKEANDNVEE ICTICYDQIQTGNVYRQLPCNHIYHSKCIKAWLLNHKKCPVCNIEVILGSEQHEDHNHQQ TQ >CAK79507 pep:novel supercontig:GCA_000165425.1:CT868330:331340:332111:-1 gene:GSPATT00014453001 transcript:CAK79507 MGFSCSKQKNDHVEIRIETKKQGYILQSQSTSKEAIDFIESTSLANTMRTKPIKSYLAEQ IEKQQIQLDSCEILVDRIEAKSKLILRSYNHFTEKALKIKSIVLDFYRNEYVNYINSNLD TITNKGFIQKETIQLLIETTIILNCLMDKNFDDEVELWWGNDYFSDRIQLLALSCTDCED NADNITFPIIKYIKALKNKIIYVMPVQSNQPKSISNSVAITNVHLTQFYKDLKMEFAKKV DDEDISP >CAK79508 pep:novel supercontig:GCA_000165425.1:CT868330:332148:333842:-1 gene:GSPATT00014454001 transcript:CAK79508 MFLQAMKFKDNRYDTQQQRLEPIQLKYSPKEPRQHTPEGFSKIGLFNSTQFQRKQSIEKR KRSSQAEGKRPQSSVQRIPRTAFLKNTFATQYVVMIIIKTGSSFVRMEITVLQLGGSYKD EVGLQNNRQVLSKFWIINCSVNFIWKQSNKGFNYSNLTQKKVCINHLEHHHEISNKNKLH DNLKMHCQRINKNMDDFVPITFSINLDSMTLQWDLKKFVDFFIQIKKEGNQKNIWLLKPP DLNRGRGIQLFSDLKVFINQVEEFCKIRSANQKTKSSSKGARGVTITYPDQNEKESGQAQ ISFTIDQSGSNDRIIVLQKYLETPLLYNGRKFDFRVWVLIDHTSKYYFFKEGYLRLASEH FDVNNLKSLYIHLTNNAIQKNHPGYGKYELGNQLSFQDLQHYLNQQRNTKVTSAGIVLKM KELIHQTIHCACSKLRDNRKDFQFEIFGYDFMVDKNGHIWLIEINTNPCIEESSPLLQKL IPRMLNDAFRLTIDKIFPPFKTTQENFLPNLHEYAIPGYSDKDNLWDYMGQI >CAK79509 pep:novel supercontig:GCA_000165425.1:CT868330:333882:334497:-1 gene:GSPATT00014455001 transcript:CAK79509 MPQEDIVQKLLQRIQILVDEVETLTALVDSNALEQQEMNQKYQNLMELYRQKELECSELQ NKLNQQFRLNVKDHLHQISEERQHITSLLVQQRNDIPETQNQALYQLCQQKTAENQLLRN RQDQLEQENAFLHSENIRLRTYIQHEKMFRQLEYFNGNYNPQTVAPGQNVEIPNIVLDPM YEQKYLQEYLQSRQVVQ >CAK79510 pep:novel supercontig:GCA_000165425.1:CT868330:336480:338640:1 gene:GSPATT00014456001 transcript:CAK79510 MNLDSKEYSVEKHWKYIYVVILFFSLCSASVIPIIYLYSPKCRHHPAQILLILCRIFYIL GILEMITCYQWFLDSVHFEELIEFLNKLIGYNKMREYYLFGQGEISDETLCSINQSLIFF GFLAQLNYYAILTLDFILTLTKPFWNTKMKLLSYNLGACLVTITMFSFVTHSLEGNCHGI NTSKVSEVLKVQLLVITTLLVISFYVGKKDLNRMNKINIDVKENRKKLFYNHLVHQYIYL IQYLFFTLFILWSLPQLIALLSEYGAAQKILNRIYIAIMLLHRSNHFVIDSTQRTLLQEQ MCTFTCNNLLQKEVERKQGVKYIGQYYSKNVDDSIMEQCQLPLNKLVQVQTNQETVSNIL SSILKVVSYEEKITDLHQQCKYKKQFRFSPDKMDQFQINQESPLLNSINYSFELTLTFQI QEYAPAVFQDIRLKNGISYEQFQKSLRLDYNQDQIKNTQESLGKSGSFFFYTYDNAFVLK TIKQSEIKLIQEFLEDYYKYIINNQTFLAKFYGMFSISIEGFSQIHLLLMENIFQQIPDQ RVVYDLKGSLVNRKQSVKGSQVVDLTILKDQNFIKSTDIFIQLNQQNRDALFTILKEDIE FLLKNNIMDYSLLIAVCNSKIQDENRIYCNSNRCYCFGLIDYTQRFTLSKKLESFYKFYL KRKGQNISCVDPQFYSQRFISFINSIIAINDFA >CAK79511 pep:novel supercontig:GCA_000165425.1:CT868330:338695:339240:1 gene:GSPATT00014457001 transcript:CAK79511 MTTINKATLGGGCFWCIEAVFKRIKGVNEVYSGYAGGANKNTANYKDVCRGDSGHAEVVQ ITYDESKLKYYDLLTVFFNSHDPTTLNRQGNDQGHQYRSIIFYHNEQQRLIAVDLIKELS KSYKNPIVTEIVQFQDFYKAENYHQDYYDNNKNEGYCKVVIKPKLEKIVKLFKDKLKQEI K >CAK79512 pep:novel supercontig:GCA_000165425.1:CT868330:339298:340371:-1 gene:GSPATT00014458001 transcript:CAK79512 MNYQKKPKPKLQLNVAIADVQPESTHSSDGWKQQTDIFFHEPIDQSLQFSQNGKTVADVA QLKCGRKYQEDRFVAIPNLNQNKEAQFFYAVYDGHAGHSVSTILENNLHKYLQEENDFSD NLEKAIINSFEKMNQYILDCQEENQLQGGSTAICVINRHKDLYIVNLGDSACVLINEECE IKKLNLEHKLNREDELKRVEQMATILDRHSIPRINGELAVTRAFGDKKHIQAGLIAIPEI KIHQIDQKDKYLILASDGFWDIIKNDELKQLIENWNRKEIDQLAQYLLDKAASKNTNYKK DNMTLIVVDIQSYWK >CAK79513 pep:novel supercontig:GCA_000165425.1:CT868330:340412:341536:-1 gene:GSPATT00014459001 transcript:CAK79513 MRTVLLVVLLLSVASAGASKNRFDYSKKRSITSVMAEVEAKIQTKAPLDAILNVLRDFRD SVNFEQVNHDEIYQIQVSECESEDEFRSKEVQDAKNILRDSTAQLNVCQTSKIRATNQQE VNQQQTFTAEKHLNMVMTAAEQEASYFKKRGRDYEDALHAIDEASDILAAIYSGSGSFAE ISRVSKVMLQTSFSIKETARFAPILYAFAQLASSKDFDETSLERVAQLLETLKQNIHEAY NEYADSNAQSIAAFNDQKERIGQTLARLNAQAERLQDKLDHLAQCIGTNSAIAQTASGKL QRNQQLWDQATALCATFQNEYNFATQARRNELQLVSQLEEMVEDRFNQVEDENHERKARI SQN >CAK79514 pep:novel supercontig:GCA_000165425.1:CT868330:341986:342392:-1 gene:GSPATT00014460001 transcript:CAK79514 MLGIVPKLQQYICAWNFWPAFMKKFMMSKKGPFTIFFWTPLAKWGISIANIGDMRKPVEQ VNTLQQCVITLTGLLFTRWCFIIRPRVYNLVLCNFCMAQTGIYQLYRKHSQGKLFTK >CAK79515 pep:novel supercontig:GCA_000165425.1:CT868330:343890:345468:1 gene:GSPATT00014461001 transcript:CAK79515 MNSYNKIDSSIQHYANLMMGVPLVTQFKGILSNAQMNIFFQSFLFFLLFKIGMKQYSCSA LYIGVDGESQQVTIISNSGSLLIKTVPNDQQMVYLPAGSMDTILYFGKEITENQEMSTLD LENPETQIKVSFLLSQKDSQELQNQLRGKQVFYRSSNPRILAQIAPGIQLIQTQDKVMIE KIATFPKTMQDSASISRLGQLKQEADILSLLKEHEHQNIIKLEEIVTDNEYVSIILENCQ GGDLLKLLNKKTNKIDIPLVMVNLLQGLKHLHDLNIVHRDIKLQNILFLDTQDGNTLKIA DFGLSCLKQQIPYYNPRCGTPGYTAPEVFAQQCIYDEKVDIYSAGIILYNMLTLKNPFGN SKNMQDIIKRNISGLYDENHLASVKINNPLGYDLLIKMLQKDARNRPSARECLAHPFLNQ ENIIIEDDENSIKNQSQKGIQTTKRVKI >CAK79516 pep:novel supercontig:GCA_000165425.1:CT868330:345927:346337:-1 gene:GSPATT00014462001 transcript:CAK79516 MMQQELMNLLLNNDTIFRPQIQIRHASSHKDSECISHHILDRQTNKNIGSEINVCLREKY SIIFMRGHHTYRVTEVICLSKQDQTIFNLKLLVQQDHRKQLSNEIGCYIQYSHLQARNNK TIFEIYDSLIQKFGIN >CAK79517 pep:novel supercontig:GCA_000165425.1:CT868330:346392:352961:-1 gene:GSPATT00014463001 transcript:CAK79517 MIRLTLLFLLITYNQCATVSISDQCNCEQIKSKSDCVQDSCLWKDEKCVKNEDQQSDETV SVYCSALDVTSCQQWKGCAWNSGSCEQFSGCSAFSGISNEACQQISQYCTSDGTQCIDPL NCSDYTDQGLCNSNINAQGRRCKWEDSKCRDLKCIEANTTLSTDSDCNAFYPGCLTNGKG CVEKRGECSTYGESCVDMIGSDGYCEKTSSGCTPKLCTAASQDLTTNEQCAKFQLGCVTT GKGCSKYPLSSCSTYTGDATVCQQMIGSEGRCDGGVSNQCQERKCDNANKTNNTDQLCQQ YLSTCITNGRGCVIQLKLCNTYTGTADECNHYIGSDGKCTIGTSGCKVRVCSEAPDTLKT DVDCKDYQYGCVTTGLGCVSNRQSCTTYSGTATSCAKLIGTEGNCYGVGDTATACKAQIC SNAPATFTSHDQCSGFQSGCLTNGSGCVDKTVCTSTIAEISCLGTKTCQWNQICVTKTNC QFYNTLSLCSNNLADGGVKCQWVNGICRQKLCSDAPTTFIKNEDCNSFLENCVTNSQGCI SSTAPCNQYTGNKDTCINFRGNGIKCTSTSVSNAACVDVTCVSNTTATAQSQCDDHMTGC KFQGVAGCIDSSAECNKYSGNQESCSTYNGVNGTVKCYQDVGVTASCRNLQCSDNTTATD DVQCNQFLGNCLTKGTGCISKTEPCTSYPGSSTTDCQAFKGNNKRCWWNGGSNCVDKQCS LDSISTTNEACNQFLAGCVTKGIGCIENTELCPSYQGNEDQCQIFQGNLQPCVRKNNCQN RKCTDVSSPANNSACTSYLSTCRFNGTACIDAETSCTSYNLNYTICQQITTVSGGLCYLA SGSGACQTRTCAQKGPANNQIECDQFLTGCVFTGAYCVEKQATCDLYTNFTSVACRSAVT TSSQQCWNKSTTTDNCQARTCSAITAQLIAPNTFSAEFCSTYLSTCVYDGTQCVAKQSSC TSFTSFRVAACKIATTISAEKCWLEDVNLTTCEVRQCSNTVSAPNLINCAAHKQSCRYDG TSCTDAQTACNLYTSFTQNACRETTLADGVTQCWKTTADPGTCESRVCTNVLLTYSATKC VQHISTCRYNGTSCVSQQNDCSSYTGFSSEACKQVTTTSGGLCWIPFNVPQQCTARSCSN TVDNASAQTCVTHLSSCRFNGLICVDSLASCTSYTSFTQSACQSTTTTAGVKCWKSSSSV GACENRNCANSVINPNYTTCTDHLSTCTYDGVSCYTMQDNCSQYTNVAASQCQNLRTTSG GRCWLAYGQGTCVVRQCTHNTTAMTDQECEAFLTGCRTSGKGCVDSTVTCAQYIGTTSSC LSFVGNSIKCKGADSTGACTVKNCYDNMDGVNDIQCNTYMAGCVTRGKGCIAKTEPCTSY IGNQSTCSQFTGNSKKCWNSSAATATQQCRDRLCADDTTSNTDDLCLEFQVGCVTKGRGC IDATAKCTQYTGTQAECSKFKGENGTRQCWNSSTATPLIACVNRVCTHNLSATTDQECDD FLKGCVTKGQGCVSPQPCSSFQGTIKSCAMFSATDKPCKGTTSTTISSCVAVQCNEAPNN YETDELCNTFKEGCVTNGFGCVASVQCEDVQTEKACKSKASCGYVGNCRDLQTECSALKS QSVCVNTPVSTAIGMCAWEINKTTNVGLCRNWKCEDASESLTTHEDCYQLYKTCTSKGKG CITIGACSSYTTSAICSAAKTTDKGGICVWNKTYCRPLDCSDASKQLTTDTKCQEFLSNC VTNGKGCINVQYKCEEVLIQDKCTIDYKGNTCLWFQSQCITYSKCTDISGLSYSQCNKYS KNCTSNGNNCIAIAPCAKYTNPTSCQIGTNGQCGWVAATLNSAAHCTQFTKCSDAAGTTK DLCQQFSTLCISDGVACIEKSTCQGYITEISCGAGGTDGVCIWQSAKCNLRKCTDATVAT AIEITSHSKCNAFQADTQCTTNGTNCVQMGLCSSYKEQGCYYGTDGECIYTFPSGQVQGV KSCRVKVCTDFNDVTSEICKLRNVSCISNGTNCITKGECTTYKTKIACNSGGVDGICVFT PSKNDANSGTCALMTSCEQANSDAVACKSKFNTCQFLTSTQNTTTCISHTCATVANGQIC KPVYSFDEKSITICIATASGCVVGDSTSLSASTCFDSSLYTYTWNSASNICEKCKSVVTP PNNTNSTNNVTTDNFAQILLVLPLIMFQL >CAK79518 pep:novel supercontig:GCA_000165425.1:CT868330:353282:353464:1 gene:GSPATT00014464001 transcript:CAK79518 MNKLIEKKESKSLDFCVVLKNLEYLKDHLVILEKKINRKKIKIDDDLEKTILICNSDQED >CAK79519 pep:novel supercontig:GCA_000165425.1:CT868330:353924:354953:1 gene:GSPATT00014465001 transcript:CAK79519 MVECEVKPLEDIYKEHCDDNFDSADKIVTFILRLIVLAISIYSSLLVLMMIIMSKKKQFW PFKLIFCQVISECIDLVLALTFTINSSCWPKACKLIGYFMHSNWLASLIFMLFQCLIYFV LIRSEFLFNLIMKYLYIILAILYLIPYGFLLRVYLDDGFGPSGWYLQNGEFNFIFCGFIN HQIVGFWIAPVSTLFFFSILFSIFVKLLNQKQNCCYWHRLQYKKELRYPALRIQSMLLFP LLYFLAWLVNFLIRLQDTDSNDQNYCPQDQMNYFFYIFYQVLNLGFELHLTVGAILFFYI YKQLTINVLKRTIWDNFFE >CAK79520 pep:novel supercontig:GCA_000165425.1:CT868330:355622:356337:1 gene:GSPATT00014466001 transcript:CAK79520 MASSMANKKENFDWLIKVLLIGDSGVGKTNVLLRFCENNFQPTYLSTIGIDFKIKSIDVE GKKIKMQIWDTAGQERFKTITQTYYKGAMGIILVYSIDDRESFKNIQNWMSQIKQHASEN VCKLLIGNKIDVPNRQVTKEEGEELAKSYGVSFFETSAKEGTQVSDAFYAIAKAVKANLS NEKTPNPSAPQNASINLTQNNHAADEKKNGGCC >CAK79521 pep:novel supercontig:GCA_000165425.1:CT868330:356519:356989:1 gene:GSPATT00014467001 transcript:CAK79521 MKPNLQLEYESQAASSVTQVFPRILGEERENDYRDDHDEEDSPTHKAIIIIIALCIGLAV LGILSCLIRYFYLRHQQRKMAQVRQQSEAAYQQQNVQLESLCLQTQELAQCPICLMPIPI LLQIITPCHHSFHKACLDLWLLNEKICPSCRTSLNL >CAK79522 pep:novel supercontig:GCA_000165425.1:CT868330:357299:358905:1 gene:GSPATT00014468001 transcript:CAK79522 MNNSEKSKSVRSGSARVRSAIERAGLLQQREQLREMLISKFSKDYAQGNKNKELLIQQIV NEYFTNEQVTENSLKQLKARVIEVVQKQKALSQTQHISQNNNNSHVDNKSEQKSQIPRPQ SVRNSAKSEVDQDQYSVTSSEFEKQPKSVYVVDEEDEWAALVKFDTELYTKEQQLEQQRQ AEFKKKMKTELDRQLAEKKRRLEMEKKQEEAYVKLRDFQMNVYDQREEQKKREIAQKQQM EKEQRDRQVREEEKRKYLEKKKQSELDAILVQRIQEELKQEQRETLQKKEMEKRKFIEMM DENEKNRCKQIQDEQTDKQLEVDMQRNWKKSEKWRKKEREDKIKKIMSDFSQTVVKNQKD QIKAEDDKMMKAILMQNEIEQQDEEMKKRQIKSQQLEMRKYLTQVNGGQERLKEEEELNK KQAQVWQQDLQTFQKHEKSKYDYIKDVNAKHQEILKQQIDEKKSQQRPRNKMNNEELMHN KPLLRELADKQDTIKVRKINIG >CAK79523 pep:novel supercontig:GCA_000165425.1:CT868330:358970:359528:1 gene:GSPATT00014469001 transcript:CAK79523 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFKRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRSHVRADHLRPSKCRQEFVKRVQENDKKKTEAK KNKQVISTKRQPVQPRGAAVVIPKQTTFQHPKAFVEII >CAK79524 pep:novel supercontig:GCA_000165425.1:CT868330:359529:360500:-1 gene:GSPATT00014470001 transcript:CAK79524 METARFFQTDFEQNGSMERVVLFMNLANDPTIERIITPRLALTTAEYLAYEKELHVLVIL TDMSAYADSLREVSAAREEVPGRRSFPGYLYTDLSTIYERAGRVQGKNGSITQIPILTMP NDDITHPIPDLTGYITEGQIFIDRQLNNKQVYPPINVLPSLSRLMKSAIGKGMTREDHPE VSNQLYANYAIGKDTAAMKAVVGEEALSAEDLLYLEFLKKFENNFISQGAYEVRSIFKSL DLAWRLLRIFPPEKLKKINKKNLETYYYRRKEDEDDFDGPQQQKEEK >CAK79525 pep:novel supercontig:GCA_000165425.1:CT868330:360500:361224:-1 gene:GSPATT00014471001 transcript:CAK79525 MSHHHKAHDIAKVAAARLNAAAATRNYNVTPRVDYRTVVKVDGPLVILDNVKFPRYAEIV NVCLGDGSVRKGQVLEIAGKKAVVQIFEGTSGIDNLYTHCEFTGSTLQMPISEEMLGRAF NGSGVPIDKGPPVLAEEFLDIQGQPINPFSRVYPQEMIQTGISAIDCMNSIARGQKIPLF FCQRFATQRNRSLNCALSFIGKRKGCS >CAK79526 pep:novel supercontig:GCA_000165425.1:CT868330:361838:362564:-1 gene:GSPATT00014472001 transcript:CAK79526 MLPLTQNIYHRQSTSLLQKHFETRKNSPVTIIRAPALPNKQPISGSTLCIRTPYSDIKLR MFTQVVYSFYFKQAEESKFNSTMKNDDSQNQQMSSLVDIRTDKLSCTKTTVAESIEEEKQ QVKKNVVKQSNLQMQKQLLDRDLEIIQLQRTIKNLKDQNKKLLTENSLLTKENIQMKTKI DSLEKRIEGSPTHARTSEYISALTEVDKIKIESLLN >CAK79527 pep:novel supercontig:GCA_000165425.1:CT868330:363700:367920:1 gene:GSPATT00014473001 transcript:CAK79527 MSTWQQIGYLVKKNLLISVRNKEFLVESILPIVVAAMLSLKAQIQAIQQLMPLLYCLALN STQRSMMIKLVEEKSKRYKELQKIMGMKDNAYLFGWLITGYIRIAIVSVLNIFQSLIIFE VCWYVCNIIFNIEWDEEFHVSFLSMLWPYVLFAFAAMNQNFFLSSLFNEVKIAGEMQSFI QVAFTFFIYFSFVAKLANKTIFYIILTLISPQCGIAFSYISAINSSSGNDLTKLNLFPTD IISSTYPTQMAGIQLGVQLVAYFILFLYCEQVVPNEYGIAKHPLFIFGVSSQKKAKVRQI DPEANLALNNSDTPLSSAMYTEEIKMNKSPSITIQNLLKKFGDLNAVNNLSLALYESQIF CLLGHNGAGKTTAISLLTGMIQKTSGQVTMYGMNLDRQLSDIRKSLGLCTQKDCLYNELT VKEHLLFISGIKGRIDKEEMILIMKTTELLDEQDKQVQELSGGSKRKLSLAMSLVGDSKI IFLDEPTSGMDAYARRSIWNILERIREDKRTIILTTHHLDEAEILANRIGIMSKGQLLAV GSSDYIKRKFGEGYNLKLSFDDANLRNTIQEKVYQMVPNSYLESEHSNNNKLVFNIPFSS KGKLSDLFYNLESLDVKIGLEMKTLEDAFVKIGLEDEKTHLNDARKSEIKIAKQSMGIPE NTEDAEEFEKEYQDILEEGQNAEDDHNVTEFHQEKQLLQDVPECLKNEPTYKFSSQLLAI FLRRYYTVIRTSTNYFSIIIPMITFVLGMSTVAGVDFDELLHNVFPDMDIENLSEAVEFF KISLLASCSVLAFCFNATVYISQPVLEKETYLKQALIGMGCRSFPYWFGTLIFDYMVYLC YVALFYIISALLKLDIAFKYWMTGLSCYLLFGFSYILFAYLMGFLFKTLENALKLYAMFC FFANFCVPFIVIAFVDFFYQEFNNEIAKQFIYIFQVLFVVVSPFYAFFEACTFLADNFQK IYDLNLYSTPQLIQRTYIFQLILLGQIIIQILILYLIESKSLTVPRGNSNQKPLTDQLEQ EVLEERTRIQKSKKYDPIVCKFLEKEYIKDKPTLQQLTFGVKKGEIFGIIGPNGAGKSTL INVFTGINSPTKGLALIKGVEPNQRNQKVMQHVGICPQFDCIWENLTPIEHLQLFGRIKG LSGQDLSQAVKYFIKTMQLNLFVKTKAGQLSGGNKRKLCVADALIGGSDITFFDEPSTGV DPISRRFLFNTLQRNIRLRNCSAIMTTHTIEEAESLSQRLGILIAGQFKCLGTPQYLRQK YSKGYQIEIKHNNNEQTQDIAESVAKMFPNSQMMEDKRTGFMTFKFDDEDFSFYRTFQYF ETLIKEMLIEDFQINENSLEHIFIHLSKIQQEINEKEGLVYD >CAK79528 pep:novel supercontig:GCA_000165425.1:CT868330:367998:370030:-1 gene:GSPATT00014474001 transcript:CAK79528 MQFLQYPPDKKIQNYQFSFKAKLGKGAYGTVYGGRNIVDNKIVALKIVDKKILLTDYASQ LIASEIEIMKLIEDKNIVRLIDVLQSVNNTYIITEFCNGGDLREYLKKRKSIPENDAINV LKDLLHGIKALLKIGIIHRDIKPANIMIHDGVFKITDFGFAKQVDSHIDTIMNSLVGTPL YMSPQILKRQSYTSKCDIWSLGLIFYELIYGITPWHSQNLVELMGKLDTKPLEFPPFPKV SEQTIKIIKGCLQINEEKRISWDQLFSLVGMQEQTTTLPEINQALPVIQKNTYSQYQSQQ QESTQIKQNLSFVEIRPHQRAKQRTESMGTYHFVSRHNRSMSNATPTNDADKSSERQKGK FYTKTKISYLQPDNRRVTPQRNITAYTQESERDRNNNSFVKFTTQRVELIKFLENAHTPP LPNKKYSTNNNDSYRTPSNLQSNSTNTSNKYVHEQENSSNVVKVNEFQIEEKSREPLNHI IANGYFNACSNLRLKRTFSNHFQDNALSPISQQKNSILQLLKIIQIQFDFIPNHIAIKKD IESLLNQHKITINNVREQLYTPQDIKDLKIIINCLVDYLNNNPQDNFVTQLSVMLLLLLN YNQIILFNVQTKSLQLSKSLIEQIKKNQKLQQQQLLLSPEILREQIKKLLK >CAK79529 pep:novel supercontig:GCA_000165425.1:CT868330:370992:371580:1 gene:GSPATT00014475001 transcript:CAK79529 MHAVPEHVTIGLPREGLQSFDDHTELAKHPAPYRIKEIEVQYTDEHILGTVFKYADAKGH TIDGHDIEKFKPFGHLFGKVHKKHFKIDDDDEILEISGHAGARINELKFKTYKGKEESFG VKNGVHFVYSFPGHTFGSVSGGYEKHLDFIRIHVNELPPAKHHLKVIIIKS >CAK79530 pep:novel supercontig:GCA_000165425.1:CT868330:371584:372117:1 gene:GSPATT00014476001 transcript:CAK79530 MQSSINNTTITSNQTCYNQRCSRIDVINQIIAKKQNTNNTLFQLKFQQFYRDRMELNKIQ KKDKLELHDQIFRLYKNNQAAQQINLTIFTQEKLYTQPFIKPIKSSAERRRPRSQSTHRN IINSPKTKIPILGDVLRISPKYINNRTTISSKNDIRSVRKNIFLDALILQQESQDFF >CAK79531 pep:novel supercontig:GCA_000165425.1:CT868330:373181:375436:-1 gene:GSPATT00014477001 transcript:CAK79531 MSQFEINSQSQIPVQERSKFNSNRYLGTNSLNGYNQNTLEQNESVPQASSQPNKNQQTGS KLASDTLYDYSQIGQPLIKDQDQSEQIQKLTKEIDTLKYQWRALEQENQRLKYDNIQLNQ RNKSSMNEIQELQDQILISKNENKRLTSIVQEDQFLLIDVQKLRKQVELNRGLDFKIIDL DQQLISLNKQLLESKKQNAFLESELQNQKEIIEKYKSQIRDQTLAYESQVLKNEQLLNDN RKMKLALDSINQDLNQEQEQNQILNQKLSQISLGAKDQISNLQKSQSNLNLQNEQLKNQI NLLQQKEKELQVSQTLNIQQESKIGQINQQLNECIFTRQIEESKAAISSAKAEYNKLATT CQQQDQEIKKLKEKINSMTQQNTIISNKCTQLEKSLEEGQQKSQMLSQDLKGKLEKWKNY GTQLEQELKKRETALVNLGNDYIKSESQLKAALDMQSQLRNERTTSIGKLKEMHADLLTY EKKSDSLERNIVALQAQIQSSYQHQERLEQEKQVLNQELKQLVDELRRIQNQCDLRDRDI QDLRSQNSLLAERNITLEHELSLERDKQLQLDSKVRTLENEIHKLNFQHTLRQQYSWNID PQQSLGNSKPLFPDLSQDFYSPQTNIQGINSPKYPQYGIQVQPNHKSTEVQNSGFENLSS NPIHQIKNKNYNSKFQIQPENQLN >CAK79532 pep:novel supercontig:GCA_000165425.1:CT868330:376108:377795:1 gene:GSPATT00014478001 transcript:CAK79532 MLLNSTGQRKLKQNSLSTFRSPIVVPSSQQVSPVASGLNSLKNLHSPKGSQIDVTNLTRL IKSTKINESVNSQQIQALLAKAREIAKITTDSKSQAAKKHVKLQSQQFVNFKDLLHNSTS TKIQQEMFFCRNSFNFEFVIGIGGFGKVWKVEHKKTGQIFAMKEMSKALIVTKKSVHSVM NERTLLSQLKHPLLVNMNLAYQDRENLYLLMDYMKGGDLRYHIGRMRKFDENSTKFFIAC IVQGLEYIHSNNIIHRDIKPENLVLDEKGYVHITDFGIARVFKAENSCDTSGTPGYMAPE VMCKQNHTYAVDYFAVGVIAYEFMIGRRPYVGRSRQEIRDQILAKQVQIKRNEIPNDWSV EAVDFINRLLQRKPQNRLGFNGSQEIKEHPWFANFAWDKLQNQSLVPPFIPNQTEDNFDQ KQIIVEDEENNELIQQNILVLRDQLIQDQFQGYEFQQVYHSTSTEQSSGSSTKHSRHLSE RLQFFEKKKVI >CAK79533 pep:novel supercontig:GCA_000165425.1:CT868330:378888:379274:1 gene:GSPATT00014479001 transcript:CAK79533 MEIECEDLNTLQIASLTLQDRVIQDTTAQGVTENIYDYLNLSDSPQEREGSYDIFEETFD VKTKKDNFIIKKNCHYEYQCGYCGRIFPSSQSLGGHVQKNHRTTRNLENRNQTIQLRQRP TRFVQHRI >CAK79534 pep:novel supercontig:GCA_000165425.1:CT868330:379976:380605:1 gene:GSPATT00014480001 transcript:CAK79534 MDQQLEQMSVDIQKIVYKKAMLHACKYSTEDVIGILIGQINDKNINIVDAYPLFHSRVSL NTLEVSLDIISSELQNDRKIIGVYEAKANSRGIMSEIGEEMLKNINQKQAILMRISQIEV DDAPILNAKIVSLNENVKYVINSTSALEWWVIQDRLKAGLHWKIVDFDAHFENVQLNFRN QYLE >CAK79535 pep:novel supercontig:GCA_000165425.1:CT868330:380631:381416:-1 gene:GSPATT00014481001 transcript:CAK79535 MKTISLIPWTEQVVTGQTWIGGPTYELQKQHVPGYAGHVRGLKAESQYGKPFAKITAECM EDRLARCTNSEERERLQTSYKIEFGEPNLRKPQLQTAAERILEDAHRQEKAVNERNYRTY QSMPKTINDIPPIDRLPVVGYQGFRPVFRHPLKQVVPPEKPQPFVHPLNQMDQEMAKTML DTNDKFRQTFETQKPPIVGYTGFMTGIKAENMYGESYKDISHQVLQRKQR >CAK79536 pep:novel supercontig:GCA_000165425.1:CT868330:381467:383282:-1 gene:GSPATT00014482001 transcript:CAK79536 MLQLSENVTESDAQILKLDIQNQQSENKILKTERWTQYDLDYFQNHFLQIANLSFSYFKL HFPANSAIHIKDHIKFIQILKQINYGGVTIEDAFKQQRSRLQLEVSKITKSIKTVDEPIM PKIMNYKIDIEQIQTIQDKYFYPRNCDFLNPYLILNLKMTLPKSTARPLNKELYQSITSL EDVPSFQFMNSTLIQPILNYDMLKNSFEYRMNKLMQRNQQISYTIKRYQQSIKNYDIIDD DIQDGQLKEIKKEFCSSIKQVYEKRSKDIINEQNKKIALDDPISKRSTPHQTEIFKENDS LLYKPIQEDEQQQQDIVTCTNYNERSQEQLPDLDAESPKLHSLRLTPYDFMEKTYQQTLS QKMVPLDHQHQFTQSPLRAAPKYKCSYKSLDLQQSLILSPSTLRCPKRIFAYQKIQAQNQ QNSQNDPVAKVIQDPPIQTSENLEQSIETQTLDTQKTILTNRNTQKTSLDKMPLKPIAIQ QIRLKKLKDKFIDKKETTFPYVRESLPRVQEVLLFQKKNNMKNQLQHQLILLTRSEQILT SLMSNGQIRGTELYQQLLQPIKLSTSQKFLSPKSNTLISRTKFKKIQQETKMFNLK >CAK79537 pep:novel supercontig:GCA_000165425.1:CT868330:383533:383957:1 gene:GSPATT00014483001 transcript:CAK79537 MRSNSTAYTPQNPEIFHKGHIHFFDLLSSFHGLEDQPDYPVQQQTIQDKLRHQYLHDNNW EDKLCYRTCFKIQEKEYVQFCLNKKCSGAPYLKAAQVLGYVKSGNPTPKAHGHHHH >CAK79538 pep:novel supercontig:GCA_000165425.1:CT868330:384081:385842:1 gene:GSPATT00014484001 transcript:CAK79538 MKNILSYFYGSSESKSTEVKDPKLDQQFETFIFEFTSNEYFPLYEKWTKGEEQEYIDFKL QDIEDIYLNNYDQQLKFQQEETNLIDYIVQEDDTLFGLELKFNISQNRILKINDISPECF VPGMRIKVPAVQSEQSQPYFQESEINDLQLNQSSFYGRNLMDEIMSRGQTKKFNVYYVTN YGCIEGVLTVNSDVILFDPSFVDRNKELVQKCHKQSILNFQACLMTEDVISVDLNELPMR IAKSTSKCFKDYLVMVHISANVSCKKLLEVLPILTFRIQNDEDQKEFKVQSIDLCETLAD VVKTKSERMLDEQKKKEQDLTIIPFYDVQDATLIEKFIERTNQLWGGQDFIPQMVSDSQI MDNDELIQIIANVPSIFKTSNWKLIFSNVIHGSSFLTLLNNCENHSPLILAIKDFNECKF GAYLNESPQLTFGKFFGNGETFLWTFKDNNFKTYNWTETNNYFIFCESDGLAVGCGEKFG LYVNHSLMHGNTNQCETYKNEILSNSNDFSIQILEIWGLSE >CAK79539 pep:novel supercontig:GCA_000165425.1:CT868330:386211:386498:1 gene:GSPATT00014485001 transcript:CAK79539 MTPLSVHQKYLIEDEPDEEIFEFEENTKITFNERMIVCSYKPWQNVIQLQKTIEKLKGYK NINWINPVLNGGSAIPSRSILKNSSLVKLEHFYQT >CAK79540 pep:novel supercontig:GCA_000165425.1:CT868330:386867:387745:-1 gene:GSPATT00014486001 transcript:CAK79540 MNDQKPYLILEHPHAHNPVLSFKHQTLSNNDKIWISHIKIKNPLENNQSLAFKVMSTAAE QIKSYPFVGILKAGESKTIKLITKEPIFDKAVKVRIISMNIDKPQEIKDQYEIMDQFKLL KDQIKDLPSIFLQVSDFIQSETVSYITLPSDRRIPMFTSHASQIQNGDGTPASAVLKSTI SQRKQKDSLQISQNFQMEQNIGALQDQQQALINETRELNKQIVLIKAKQNIPFEEEKDDQ VKFTLFQLIVIALISLLIGFCMPEF >CAK79541 pep:novel supercontig:GCA_000165425.1:CT868330:388332:391241:1 gene:GSPATT00014487001 transcript:CAK79541 MSFSLFNLQLHQNESMVKLKIGQLDGLFNNQKDMENKIIIIIYFILNCDVRQSLSEIEKK KFTSRRRIGFCLKTRKQIQQNSKRMFNSLNAAICNRDDQIEDHQEDDDENQSDKFGGFVF TKPKNEPKKQYIYEPKKQQPLQQEKKEYLPKKDQQPKKKPEKKSNKFQQQSQQQKEISIQ QLGELEKLQQHLINCGISITQDQENNHSDLVLPPDFNKPLSIPSRSMVAPPPGLIDKDKS SDFVDILNQLQDNDSDGQMNYDQHFSSSVLTRGAFPIQTQPQNQFNNKNLIPNNNQNFPN KKKQKEKALKPIKIKWDIINSQPDFMNQNSAEIFDPFKVAPFSFPQQQMGRNNLPDPLNI QGPTFTTPLGFAPPGMMGLGPQIAPFNSNMLGAPQSLGFPPGPSLGMDPLDPTFPMGPNI GPMRMNQMMPPKFNQMPNMAPMPMPMQMAPPPQMKKQSNKNHMMMQPPPNQFDGQVYCPP MMPPPMGNHFSDFPPQIPMGQQFPPNLMNSGPILGMPPMMPFDDDFDQNWMPNDGMLQMP TPMQVFSNQNFPNLSQAQHPDAYMQESEKYMSQTKKNNEIKNGNDINSDQMNYQYQNADG QPRQRKQFLIYLFHQKIELEFQAILSSKSLTARIPIVQQIYISINIIKTFYLELYKYLHQ WKFPIQLERLQLFLKFDQSQLKVEETKKKIKELQEYLALQFVEHEKEQEKKKLQKVRNEL AKKHHKNRFSSFTNSLHRPQFINQIKERDLFENQSVFINKDVPQLTNLQKSQLITPDRNQ NPSSIIHQLDRPTNKQKSEFPPIRKRFLSQKEINRIEFWDKNFSQLQEIEQKEKSSLLIG KIKKQLIEQREAKVFKPTDQCLRYFDKNISLISENPITQRQLSRVLSLPKIKEKKVWMPN NNYF >CAK79542 pep:novel supercontig:GCA_000165425.1:CT868330:391255:392997:-1 gene:GSPATT00014488001 transcript:CAK79542 MDNFYLKICEQPIKWKKEPKIPLYTSQKENLDLNWNDFENLYRQCYEQEQSSNVTNLIKN SQDFELNTNVNRLIRTNNQSSWSEIIENQNIQKINDDQKDQQEEYHQKPVLKRSNTSFRT HNKSFTQLISENEKLKRYRNNKRSVKTLSMMSLKRSLFTPILKNNFKYGFKEVQIKYLNS EYSKMIIVDENILVCELIVLAIQEFQRTSKCDQNLLKYSNFSLAYKLTSFDDFFDLCNSQ ADSVIEDKTQQYQEFPYVIDEENSFSSKKIDLDLGQKIFYKVLNLEVVDKIRYGIDLYTI EITKQQFPNSIILLVEDTKNEIFYNLNNRLDATLEDIYNQLQRRSGNKYNKNDWYFNLKF PCINIDYKEPLHFQFPLHLLPIHWLQISFKFDNIHNTAAGSIRELYNQSGVKDSFIISQS LTDMNCQIEKKLEMFNYKEFKVIKLENDGYQNEVVIGIDYFDFYFSYLTTKNSKFSLYKL AKCFVQIVLENHQSGQTKDYKRIPTSSILSILLQDNQKIEIKYQKNEDKVKKLKFILPKR DESEEEPKKKDEKIININEIVQKLSYIIEQRRISPTQRIN >CAK79543 pep:novel supercontig:GCA_000165425.1:CT868330:393024:394848:-1 gene:GSPATT00014489001 transcript:CAK79543 MENYQFDVQDSLTQSNESTPQCKIEILEQLQFISTETLSLINIEESDEEMDIVKQKQRQT QIFGRRASIQESQQSSQQSNENYHNQILIKQIDQQIQQNKQLDYQQLKRSMKRSQTAFKK DFGHLAKQHRSKIFLKRANQAIVNNFEQIRKQKSIITYNINSIGKQHLRTIQVKYFRTNL SKVLFIEKEMTAIEFIVLALKEYSLERRFDQNLYEYKNYTLAYQLETLEDQMEMDEDRFR KPIQRAKSFIEKDEDNIAARRVSCDERAITSQVEYSFADIEDRTITIDYPSVLSCKQVDI VVNSTCQLQFGIDLLTLKKTYELYPQYIILMVEDAQTQSSQQISCPNTSTLENVFDLLNS STNKKSKNDYYLRLKYPCIHTDISPLCLTTPIKELPIHWLILEKKYSIETQTPELIDISN KFSNFKQPNYIEDGFQSYNPQESLFKMESRNFLSSIYSYQEYELTQLKRDQQYQVIIGID YFDIRIIYINQQNNLSQNYSLFQYITNYIVDMFKNHKESEKIIKIKAIKESSQDKKQNKF YITYKNSVRNFKQLCFIPQQSNDKRTQAKLNKQFFEIYQKLDKLLAIRKNQLGIYEALQ >CAK79544 pep:novel supercontig:GCA_000165425.1:CT868330:395010:395462:1 gene:GSPATT00014490001 transcript:CAK79544 MAQDKQYKELASKLIEFILSTHKSNQQSLLQEKIAQTQKLLTNYQSQVILQQQKEIPQLN NQTQKQLTSESFKSNSITPRDTQVNNRRTSKNQSLTDKRQIQQQITSLKTQKGMQNSDSQ QRLFVEHIPKMGRSLVKDDQQRNFNIITGV >CAK79545 pep:novel supercontig:GCA_000165425.1:CT868330:395499:397049:-1 gene:GSPATT00014491001 transcript:CAK79545 METFKKGTQRLTDNEVDQLMKRQPHLLKQSYEQIPNLTPNSQEVISRQATINVGTIGHVA HGKSTLVRSVSTINTVRFRQERVRNITIRLGYANAKIFKCPKCPEPQCYQSFSSEKEDNA QCELCKEVMTLVRHISFVDCPGHDILMSTMLTGAAVMDCALLIVAANMPCPQPQTQEHLV ALVITKLKYVIVIQNKVDIIFRDQQAALRNYEEITRFIKGTIAEDSPIIPVSAQLKYNID CVLQQICNFFPIPDRQLQVPPKMIIIRSFDVNKPGEKPENLHGGVVGGSILQGILSVGDE VEIRPGRQSKNGNQTTWHPITTRVVSLYAEQNELVYAVPGGLIGVGLLIDPSLTRNDNLV GCVLGFPKQLPELYRELEINYYLMVSVVGAQQQDGKTQKIAKIQQDEMLKFNVLSNETPG RVIEVREDKIMRVALNNPVCTGLQEKVAFSRRLSNKFRLIGWGIITDGRTITL >CAK79546 pep:novel supercontig:GCA_000165425.1:CT868330:397573:398331:1 gene:GSPATT00014492001 transcript:CAK79546 MFSQAFRRGQQPLQQQQQPMNISFHNQLQAQQTKSFSQTQYEKENISQFLGSTKRQNLNE SFYQEQQVPQISEVYRILEQLQQMMVVKFNDFQSVINDQEKAIRPLIEQNTQLNNQLTNV LQQSEKILSQLTANLEKSEQINKRNQKRKSNEGSEGDLGAVQQLLKTLDDRLNNLSNEVE MIAETNNRFQVQVMEVVNIDKLEHRENMQRVLQEMDKAKKSISKKIKR >CAK79547 pep:novel supercontig:GCA_000165425.1:CT868330:398616:403779:1 gene:GSPATT00014493001 transcript:CAK79547 MFEGLIQKVLLAIFGRFIDGLDKKQINLSFLKGNLVIENVSIKKEALEALQLPIELVYSS IQRIEINLPWNKLTTQRTEIKIHSVFLLVTTVSEDMWGLEEINYYKPKAAQVRAYIKKVM DEEIRKQETTPDNQERDQKEAGFFQKQITRIVDNLYISISDVHFRYEHCISKHSFSWGIT INHYHLNRQWILSGKFHKHLPTFMNGKDSTFVNKQIQLSKLAMYWNSDDHYLILSSIIKP QHDKNIDVQKVIGKMDPYKIDLDLHQRTVYQIDEKMQARIQSRLQQELVYEPDNLTMGIK TSKSSKYIFCLNAVANVVQTYQNFRKVPEYKIKVELQEMDFKIKHTQYKEILKLVSIFNL YQYSAKQQMDQYLTTVLRPQPQDFLKMETNSLYLRSLFQYLVKAQIMEIRRKRFLEFEMN ALQRKTTPSALLKSQHRELRQYQQIVIKTSITTLQMWAKQALEIYERAKEHHQIEQAFKK EIEKAYKPSAFMDEETYVYLEFRLSIVKGSLKLLRKHKNLEEGFTLLWKNFDYFLQKRRN NFEVEQIIQDMEVQMFSVEEKNDIIVPIVKKIKSYDIQEKPLIYFKLEKHPLSRPNYFTS IYLDVQKIEFMVFVASLQRVIAYFRMPPQDDKQQEGKVKENISKNKKEQKNKNDDPDSDK KIETQTKNYISITIQSPIVIIPNQQINDLHSDCWVLKLGDLEIITVDDRQVPGFIKLGSD HYRRLGVSGESMGKSRIVEQQQPLLTVHNEKNQLVSQFNFTQVNSINPLEKSSSLQHLQQ PQQHSLQQQHQRKHHKSQNYDNYVMKLKSVQLQYFSSIHYFYNYQKLSQTSRGMEILNNP LRMRSKKYDVLEDFSMELNLYFKKSSQANLPQTKINFELPSLTASINPEVPYTFQKLMQT INDLSADQSLSSILQQEKSKLIKYSSCKGILRKRGKFLRSWSSYFAVLSGWYIYLYQNES DVDYNQYVGLRDVVVSEAQQDIGVQNSFKIKSKKEIIYFQAKSPQEKNRWFKFIQQKIHD IKTKTNNLKIGSDNTVPQQNDKSKREFEQTAKQMPKVNDELQTKYRFQIKRFQIELKYNQ QSEILITFDQIKLLSLSGINDNYQEFTISSISIEDHGQSILRSIHYSQPNTPNIMKVRPS IAENKLLRKIKQVTDYKEEEAQQIHGAIRVRMCNTDSHQPNQPQSYIVAQLSSLEAHYLE SFLAKINLFLVRPSLQKSKESATDLSEFTDTTQQTTTMKYTQIPVSENQVHSVRSFTLQK SSVSDSELHEGQYAESNEKLPESVIKVSILTQDIKLIVIVLEKEKDKEKPNEFLQLQVNQ IGAVLTISDDEYFIEGHIQKIEIIDLKSLQGNRHMFLGKKEQKERKKTNEEKIKKNIGIP GLTIFSNKQQIEKEMVQFKYSKFKIPSNNNDVSDDLYLIVRDCFIDYLHATIIKVLSLLE IAQKKKQANQEENEQDLELIKQKLNSPSFFKIKVLLENPKILIKPAGNINQSFELQIKQV SFELDASQEKNKILEMSVRANKKQIQIVDKLDSLWWQIIVVSADTMRIQIRSSSELQYIS NPFSGVLRIQTPMFVSEYETIFPNIVLNRNQEIRIKVTDIQLNILRNHYLFLLQVMQTQY EIQSLKTQKKRKQQQQVKKQQNQAALIFKLSKITIRLMDECNQFASQGQFLRPLVDLNEY ILASLRSKKHILPVSAIR >CAK79548 pep:novel supercontig:GCA_000165425.1:CT868330:403806:409579:1 gene:GSPATT00014494001 transcript:CAK79548 MCINNIYLERKKLEEFLDEDILEEKVKEVKELINEMKMEFYNEQPVSRFDIDDKLSVKAI LGEDSTDVSINIGEQKYLINAYYLKTIALFFEIPKYEDEMKSQQSPPSQQTIQAQYKQKQ PLLNVNIILLKTLIITHNEALKNCLVLQLDSFIKLIVTTIQRIKKYIQINRIQRIENWSW SLTISVFNANFAAIQKISKQTKKKMILYPIKIEFNRNQFTCECDINYSNYKLDGQHEQIS NIITQDQLRFDKIRINTSHNDYALILQTVQYQQEQVKLCDQFFSDDQESSVNETIIRQLP IKPSLVTELTILSRNDTKRKSFLPGKSQLSRLKSSVLQGSQQKDEVMQVFYAGVDQDMEM VKEEEILSEQEYQYETIKPQVKINRMKIKLDLLEIVLVNDINKSFAPLLLFRFNVKKFMF DQNYISKALKCLFQIELMYFNPVVSKMEPIIEPYLSELLIVQQNQYMQTIHINGLTPILD MNLSTDMILNLYKTLTQWQQLDQDDEEDTFRRNESKKITRLASMKGISFKENQDNVTLFS LHNKSGYALKVQKNGNDGLIEISNNDSKNYEVQSHDEDYAKTFESDTRLMIKFLDASMAQ NAISNVPINYAGVKKLQWNDQAQYYVLSTQIENLQKTITIYSPYMFVNQTDCDLSIKLSC IKITKNFILKPGEQAAMPQELYKDDSFIVIHFSDSKYTKLTQPNEPIFIKRIFHDITILI HHYPCYTLATLNTTLVQNVKYIEFKPAFILYNLLPVPLQFLFHSNENLEIQKFIINHYKR PNNVIQYEKQQSALSRGNSLSHLQNDQHDNLVRSVTITEFNYTATIKVESGLIEVVPQGQ LQLHWLKNGDHLGINLHVSGFELSQQQDITQATEFELRDTNNSQSCHIKVEKEQAKQTKL IYFSVQTCIINTTNQRLKYYTLKDEKPLLLSGQNKEPKPDKESFESNYEKIQITDTIKYL MIGVEDCISNEVNVGILGSLGLQLKQKHGGIVSLNEFGIHISLANNVIRKGVYTKVIEIM PRFVVLNNTGVQINICQSGYESQPKRLNPNERYHLIWPDCRLEKSIRVQKCGKYNWSGDL FINEIRSTNFFSSGTNENSQQLSNISIEQQKSRIFEKSGIYKQFNLKKYDPQEFLNYKES LPLQTSMLCQSSQDFFKDCLFLTAEITKVKATNFILLEQQNEENQLYKLVNKCRPFKIHY GQDSYNSFQEWVLDCDQTVPFSWEQPSHSFKLKVKFVYNQKVEHIEIIDFQQQESLQEIK FSNYFVWIKSEFQGQTRVITFQQVNETMRNMTTSHKREIEDIHKLIKLYISQLGISIITK RQNMLLEANYIYMSGIELGIMQTENETTAQLRVKFFHIDNNTSLDTTTPVLMTLRRYYQV THDRNYYFLEASCSFEHQVKAILLYNSIKIYIQPFQLQFDEEYIQVVLETIKQVKQCLQN QFELEYNGSPHSIKSLCFQTPQQRHYYLESMFQQNSSNPCIVWKSLEIKRSKKQVYIKEF VLSKIDLRFSFHKRFRTNIQYDENVFNLFSTAIGATVQNFNEAEIILDCFRLRSVYDSKD IVLQSIQDHYKSEIIRAILKIIGSIEIIGNPVKFVQQITNGVVDFVEMPIQGLRHGPLEF GVGLCKGTGSLLKNTVAGAFYSVNKVTGSISASVSLLTMDDDYLEKRRIFMLKRPDHVLD GLSQAGHCLYNGFSNGITGVVTQPYQETQKNGLKGFVKGTLKGLSGLVVKPIAGVLDASA KAAEGVVSTATHFDDKPNDTRIRYPRIFYEKSKYFQKYIALDAQVVNFLVGFMNGRYKDI EIVTCFHLKETETQLNNMQRQILSATKSIHECFKYTSKILILSYQYILVLENMTLVQEII TKEIVEISQPINGFLRLSTEFKQNIEINILLDEIELKKATSLLDYLHQQVKSQYD >CAK79549 pep:novel supercontig:GCA_000165425.1:CT868330:410082:411233:-1 gene:GSPATT00014495001 transcript:CAK79549 MKNQPVVIDNGSGSCRVGLSWDQTPSHCFPAVVGKPKKQGIMIGMDSKDVYVGDEALALR RLLNIQSPFENGIIKNWEDMERIWQYAINELRVNPEDHPVLLTEPMFFQESNREKMIQVF FETFKVPKFQVHNEAALALYSSGRITGFVVSCGESCTYTIPIYEGKVLSYAALRICLGGN ACTEYFVRILTELGLSFESSTELEIARDIKEKLCYVALDYEEEMKIYKESKAKNKHYELP DGNIIVIEDQRFRCPELLFKPKLIGFEVGGIDELTHKQILKCQEEIRDNLYKNIVLSGGT SLFPGLEERLRRELYFLVLSKQKIKIVAYRERFQEWIGGQILSSLSYSENMWITRREYDE NGPTIVHRKCQTVT >CAK79550 pep:novel supercontig:GCA_000165425.1:CT868330:412065:412711:-1 gene:GSPATT00014496001 transcript:CAK79550 MFTIFAILFYGALSIQFTFPLRSKELKCFGEIIGQNSLVVGSVQANSSEYSLKIHIPQKK ADSILQYSHSLELNKFSFTTNNTQENYQFCVHNLANAGINFNFSLATGMDAQDFSLVAQK EDLKPIEIHLKKLNGLINSVEHEQKEIEERQATRFSNVSKISYKIIIFSIVTLILMSLTN FVQARQLKNFFKQKKLI >CAK79551 pep:novel supercontig:GCA_000165425.1:CT868330:412736:414577:-1 gene:GSPATT00014497001 transcript:CAK79551 MKPSRPPVCTIANPFIVYDQRVPRPNSTKNTTETRQVIRCLSSNHETQQVTYAYFPTQPR AQSPTAMTRIPHQEQIIVNQFQNLPAESIPMQHKKAISVEYNPIQQEQQQKYIQEINQKN QAILDLQEQVSELQNRLSEKVHDYSSLKEKFDIEFKKFQEEMQIQIKGIDLNIQLNDYTT YFMQEIDRKVLNLQGNINSQIDEMKADLENKLKQNEHLSKNLLSNTTLRLNQDEQHFQQE LQILQNKLEQKIDRNRAEQIVVQSVTILQQQYQTQLQQAHQLIAKINSDKNDEINKIRTT INNSLIQVNQQAQNQIETMLEMVKENSQSQQTARQNSQQLKQQYNSLVSKFSQIQQQFTL KIQEKDEKIKSILKQNEELKKEIEQSQLIIQKQQQELYKISQNNKIIKSPCVQQILSPKN LKSNSFYTNSTSAGLNFTKNRPQSVSKKLKKQPNPRQFEISLEDFVDFNENSILDSNDSI EFITGDGKSSRVQSPKNPFKSSQLININRLLNEDFAKAPQIGNAQKKQSENIPPLYQAIK RRLLYLGKQQKTLLCTYAGEQLCDAEMGMQLLDDNGKPFIISEAEKNELIAKQLISLI >CAK79552 pep:novel supercontig:GCA_000165425.1:CT868330:414700:422596:1 gene:GSPATT00014498001 transcript:CAK79552 MRKNQVKKKDKFEDGIRRIVNVHRQNISDMILNKLTLCIVESQSITLNDFARRWLIQNST VRTDNLLAGFVDEHYAPYVELKSFNLNYQIVNTKLLESSNWSYKILKKFATNALQKDIDI INLLAYMQVIIMGLFSQADSSMVTSVINFEHLGPLQAFFYTKTDKNMTLFWEVGIDKIPK IARVAKEYQKDLLGYDYIHTITFIDCRYDCKVDQNAAKFVTNYYQEVRSAIHRQDYQSVI STSKGYQTIKHSSQEYLFSIENSDLGSIHGELNYNKRQNDIIKWMPNRFPTIYLTKQEQQ SFKYDESIKRWVSKDYEAIEQAKKMREYQAKINKDLLKRYADFSSAAKLVELLQKVPNFK IKLTQEEQEVVGSDGNVMVIGRSGTGKTTCSILRLFAMETLFKLRLELYKQKHENVLQNY KYDDQEVDNNVGLHCVFATASPVLTTEVKRYYQKLTAQIKAELERKKQKDRLTRLQQEQE AQRQESENQFNQSTVQIVDDAKQIEENYFEQQIKGIYLQKEQAGFQEDLNEEDWEKEEAK LKQELDAFHSFADMQDSDFPAFLTIKKLLLLIDGSLANPFFSRTQENKIVNSATHAQWST ENTGVIFINTYKKGGDQDMEYDDEEYEALFDENEKDLDDMDEYELEQEYQRQLYLMNKPQ WQEKEENNMTKLSQEVDFDFFLNNFWYSKKNRYQNNNLNPAFVWTQIYSHIKGDAASHTY PGHFIPKKVYMKLNKNDNLFDEIFECYVHYEKWKIQQGYYDFMDVVNHILVQLVCGKCHL IPIHYLMIDECQDLPHAVLLLLCKITEQGLFFSGDTAQNIAKGVGFRFQDLKSLFRKPEI TYNAKQNDLKIHQLTINFRSHNNILQLANCLVSLLEIFFPNTIDKLKKERSNISGPKPIL VNGDKDELFYLLSGEHADKNQQVGERLPIEFGCNQVLIVKDQDSKKNIPSILQHALVLTI YEAKGLEFDDVILFNFFQDHYIGDTQWKLLMTCDILDEEISKEKFLDSCTKHQTLDDEAT IFTGFEERNGNIIVKRIATQNKFYDELTYNYSQLCNEIKQLYVAVTRPRQRLIIYDENPQ ARQYMQNIWQKLNLIEFFVQRTQEDKNVERFAKQTSKEEWKKQGLKMFRNKYYEQAEKCF EQSKDEQLFIKARAFKVATEANALIQQYSQTSSNGGMTKKDKKKILASLKQQQKEKFTES AQLFMKIQNYKQAAQCYYSGEMYEEALSIYVKQEMFNEAGEAAYKCEKYSEAADYFFKSN DFIRAVDACEKAEAYEQIFNVIHQLRDKIPVETRSAFLKKYLPIILNKMTTQIGQLEQVN EQLTKNQEKKPLIIEESSDEEEDDEDEENGDGDGDKNQVEKVQNKTGKEEQQEKIIELSS QLIQSLPDQSQPSILVKQESMNNNSDDSQSFSVQNTQSFQIEQDQVQNSKIISVAESESF QVEKTFKEDDEVEQNFEHLSHFDPEDQWLRNDNKSIIETIASKKSEQSDYSAFSYAQMHS NPNVQFVKTKADIFIQDTIMQQIIKYISMFSDEFKYQLYNQRSKSAQLSNKQVDQHQFDY MVDFMLDLDLVDISFIFLVLDILEQFKNYKLCIFVCNRYKLADQLGRYLVSIASTYSPLA LHSASLNVQYLINGKQRQAQIDKGLVSAFAVHNVFENINPEFITLKFNNVKLTSQNSLGL ECYSQLLLLGFWKKTVYQMDVQNSLLVCKLFMDFKNWRFIYYSNLSHQVTNKIIENEQKD KDLAQVYQTTLILIQKKLDICFSTMIRGIDMLTITYPKDQQEMEFAIITLEQYYSELITQ MVMNQKAPQTLFYRPHTLDLQKTIKVELNPKQNLPKMYSLTEEVVFYLISKTEVPEEILN KLCNIVLDYKNPHNELEIYQSVFLLVLYCQYVVHNSGLVKTQSWITQLDAKTYATLIKSL RFIKSLLNTNQHCLQKLSKIVTRSVLFYFKIRQPENVALLKPYFNSVIINRSSVIIQGLI ENRHNLQLKGNKIVLDDYYFVDIDFEFISAPRSSVIFLISKKLSNELNSITSLRRASFDE YHFDQLYPHEYEDHFENLSIYLYSRQNSTQNYQSRKDTSSITVDDWIKKELQISSQKMQE SLEKKKIQQMDDSGGIKLIFKKNQKHSKQQHSFMRNPYLKCLSSESRNYNTHQALKYINQ QHKDSDSFHSGMVKFIHLMNYASNNHFTYQYINRKIEQGQSSKYEPYLQYLECLICKKFN VISDATDLYFSYLEVRKDLMAADELYYQLSYLFLNNIVGYLLKSKNNCQIKIANRYFIYF DKITQPDEQSYLNETNFHPISNQDGIANIIEQLSDLQKDQPQAFGFKIMLLIYTLVLNLN DIKAHVITEIKKIIYNVTAQDEVEQYAQIMKAVNLPDKQRFDALTINSNVIKLVFAQNIK LYSLNVVNKLTAQTIDDAYQSCLDEWSNIQKRSEELENKTRKIVTALREKQKQEKFVNPY FYGFGEYEFSRKFKTSKYIDIINEFIRIRKILLSTFYSMLLTNSIDFHFISLQLKQLDKK EDEFNTLWGNYSSKQMIQSEFIKQYDEQYKNTSVIIETLLQWQKNNVTFEQNANKLLERN RKLLALKWMSNRAGLALQKIQKKKKGLKRQKLQEQKKLIMKLQ >CAK79553 pep:novel supercontig:GCA_000165425.1:CT868330:422597:423794:-1 gene:GSPATT00014499001 transcript:CAK79553 MLSKYSIRSLTLLRFPRYKFSQQQQQQKEQQDEWDERTIEAEEANPTLENKEKAFSYFRL FSRIFWWTTSALFGYNLYLNNYKTDPTQELGYQKQINDAAKYCQDQYQAFYDFMTKPAID KLLPDIPELPFGYEIPKTLVLNISGTLLHMDYVFGVGGEIKRRNGLQRFLEKLPKMYEVV ILSDDETMFTQQITQKLDPTQIDICRESMVFEKGRYIRDLKYLNRPLNRVIVLDSDPERM YQYQDNGIFIKPFDGKQNDEVLKDVLLLLEHLSKPQVKDIRAELRKFGNFDPQIKYLDEV KAREINIKQTMNKGIFGIINQRKNPQFEQSRRQ >CAK79554 pep:novel supercontig:GCA_000165425.1:CT868330:423816:424576:-1 gene:GSPATT00014500001 transcript:CAK79554 MQNNQKVILCASDLHLNFETVQLLIEREKHQQFDAVFLLGDFLNLQHQEGEDSELDNLRK LLNPFKCFGCPIYFIPGNHDSDELLQDTYLEEGFINIHKKSLQIRDDLWIVGLGGSIPGY NKQGLIWQGYPYKSDEEYSQDLGKLKLPEEGKIILITHIGPALSQTTICSDDGWDDVIKS GSDSQSNLIKNKKNIILNVHGHTHDGVGSRMISQCKVVNVGPLLHGNYCIIRISNKVDKI EHIFI >CAK79555 pep:novel supercontig:GCA_000165425.1:CT868330:424659:428681:-1 gene:GSPATT00014501001 transcript:CAK79555 MAIVNKYISDVRESEHEPPYMDMRENRYNMNDDYYSTRLETVEKIHYMEKEVEHHLTICE SFERMETPEEVEMRRKEFLEKQAAQQKNKKGNKKQAEEVFDENPKMTSDVRLSDLICSDE LPPNSRWIASQLQQIKDRDIKDCFTQKSLSSKIYPQKDGAPIYNPNGKYIVKLYFMGKER KIQVSDHMPTTYDGKALLPQSVDKNQLWPMIISKAVLQLWDYQSKGSLVGDGFVMYSLMG LLTETIDLKTINSWGIIDNMMNNNHYIQKDVFVSTYSYKNQSIQNTNNRSKKEYQLELLR NPQKEFDNYEVARNKNGSPSQHQQMQQEEQDEQQEEMQQEENEQKVQRFRKTQRGFSFES LSRSYSTPKPPKVPGDTNMNTCFSYSIVEQFQNENGFNMVYAQKRSDRELRLRQEYFDLC KTPMNKMTKEEKLDLRRRKKEIKEKLQDDDKKRISLISQQPVKHRYLRLKSDVSGKDPVI VFSPLAADEIYIAKKCIANKLNKPPNYDIPEIKGDDKSVVSANMKNTDDSFTVKPLEDFS SVSNAQEPFNRGEGGFWVHEKEFLSLFDYIQIAYDPKRYNMQVLQIQSNPDNDIAGYDNI EMVIVQRDPDSDDNAQVAFLVGFQPKYSQNKDFNEQIRPYSMLQRFDLETYESILDYKLL NQCVSSQMLLLDNKNHIFKMNIYSPLNFTMWLTSNNKISTQSVFDYLIDYENYQKQSFQI DYPACEANKYYVYFRFKVFLNSNKENGSFVYRLKSTTDNQLLKFLKIKLCEIPPPDNNLM LTTIEGVHKNLFESESIINGTQRMMLRSQTTYYFILEGTPQYNTQEGTFELDFLVNQEFT FTQLENVEPTRYYDKYVPTKYGTIFRERIFANEAQVSIYVRLTEGQQAQQQQQVAKGKQT KGGAQELEIVESEMRGERLIRLELYHGDDLIVYNYGINSVTLSNITLPKDENYVIQASFD LREWPEAKIKSEETDNLYWFTTIFASDTVALVRDTTKEDKEKAIKKSWEDKEPGRAANAK KSRTKYLITLKEELTPEEQAIVNAPRMTKKQREEEAKQAAQKKGPKKDDKKVGKQSKVEA PVETAPQQRQIPKSENHVNEAITQFLQHLEQDRIMDHYARHAGLINVRSDVQKREIVEGI LMGKEEIAGIIQRNLKMREEIKLLQNNYRDNKEILINEFQSYRSSYKQELAEIYQQRDSI KQDLTQLMKKEQQLFDLCKQEKIGNPEDVEKLIADPSQLDPVLVGAAKQVINNWKIAIIQ EKINTALQNFDVDTLQKCVDQIQQLNIEGLDTSAAEDMLDEASGNPNFQAEKLAELKKQG KKPNKK >CAK79556 pep:novel supercontig:GCA_000165425.1:CT868330:428852:429019:-1 gene:GSPATT00014502001 transcript:CAK79556 MPPKTTKDQKGRQLFRVGTPMKDVIPQSVKNPPRDPKPLKAPEQINKQNLHGKAN >CAK79557 pep:novel supercontig:GCA_000165425.1:CT868330:429056:429710:1 gene:GSPATT00014503001 transcript:CAK79557 MFPYIFAFCLCLCVQLTKGVSDNIEVITSCWLITLQDNQKFKTFDHVVDSVAKRYSQSDK DKIVHDIRVYMIKKCFATLPLADSKQIIKDFEAGQSFHVAYREVVKGFDYTHYIKDLKNK DLIPNPQLDDTISSIVKTLESEFLKETQSEIQQAVPPIGVFGMNFNYLLVFPIIGGVFLA YFAINAFRTLQTTPKKKK >CAK79558 pep:novel supercontig:GCA_000165425.1:CT868330:429756:431054:1 gene:GSPATT00014504001 transcript:CAK79558 MSKKNTKRKQNNKVEKDDDYSDIFEDIQPVLPIIKKKSKEQQQTNQIHFESIRNPEQANL IRCPIESINIEQILQDILYESSKESQYSKTLKQILLMGQRELRDKQKYYQFKWQSLGHSN INRQEYQRQEQMLKEQQSKDIAEIQNNVIAELGRKRVKGFQQREDILDEFRINFMKKRHL TPDGPVMEEFQFYVDPEEANRLKRDQIQLLEKLMEESHLIPENHPLELKRYYSQPENAGH QPRYLQRTQSNYIQNNNEQHSSDTQKLQIFPKLQIDKIIKEKMENMTPKKIEELLKLEEQ DIQVIPRNVRVMLLDSKSDELYTDKDFQLDQAERYIEVFNQLKNQNFYELDLEKMLELTI YIVNNTHYQQKFKLSEDRKQVTPRELLNYIEKLQRQ >CAK79559 pep:novel supercontig:GCA_000165425.1:CT868330:431245:432666:1 gene:GSPATT00014505001 transcript:CAK79559 MIPTSIVVCGGGNGSHATVASAGRFENIQVNVFTRKPQDWKQEIVGLTKGSPWESLGNFV GKINKVSNNPQDFPGAKLWIIGGPAHVHHEILQKIAPFVTKDSFVGTLYAQGGFEWMCRS VFGDRIRTDNITYFGLYNIPWLCKIFKYGESVRIIGPKTKLQCALSDLSRKEELFLLLEK MFQIPVLQAPNFLTLTLTPSNQIIHPARVYAVFRNWDGKQVYQPSQVPTFYEDFDDFSAY MLQILDDEIQAIKREILKRYPHFNLDLIIPIKERIIQQYGDQVKDKTNLKTVFRTNAGYA TIQIPTKPVQGGVQLNTEARIFWEDIPYGLCILKDLSEMLGLQTPGTDKMIEWHQKFMNK EYVQNSKIKQKSIKRNRSPLQIWIGYIRKSIRYLGGFLIKTQIVKFISSLLINTNIIILF WKINFLFSQFIFIRWKQ >CAK79560 pep:novel supercontig:GCA_000165425.1:CT868330:433243:434006:1 gene:GSPATT00014506001 transcript:CAK79560 MKQPVEFNNYQLLEETQKLDELILDNQLVQYNRIKVKVVFTKDNDLYYIRDGQILRMQIL EVYKLQQRQNDDPLQNRYNTYNGKESIVKVMKKLENGRLDGKEKLQLMLEANTAKMEKNR AFGKIQYKIILTQVYECGVYYSDKKQGEWKYLYEEEKIGGGIYNQMGQKNGKWIDLSDGF MLHTQVTYSGEYKNDIKIGLWDVWLKHKYGNSSSSHTWCMQNLLYL >CAK79561 pep:novel supercontig:GCA_000165425.1:CT868330:434140:435413:1 gene:GSPATT00014507001 transcript:CAK79561 MGKKLAGGIFGQLSQVYIKVINQIYQCKRNYIKFLRNVDSGGGSYDGENGFKNGKWIEIS EGSCENELITHSGEYRNGKKIGRWETFYKEYYVNGFTFQAGGSYSEEGDGLKIGKWIELD ENFSRFSQVIYKGEYQFGRKIGKWEIKSQMDQMQRIKHQKFRGGGQYDEEGQGLKIGKWI ELSHDFSQDLQVFYKGEYHIGKKIGRWEIENERKQIGGGCYDEGSSGFKVGRWIELCEES KSDSLITIIGEYEKGKKIGRWDIWYQNYAKNKLIGGGLYDERGLNIKIGKWIELSDRFTQ DQKVIYSGEYKNNMRSGRWDIWYQKDNEFQRIAGGQYQGDSIKIGKWIELSKGFRSNNQP SEQITYHGEYNNGKKVGIWVAMNLNCKKLKELNYNN >CAK79562 pep:novel supercontig:GCA_000165425.1:CT868330:435818:438104:-1 gene:GSPATT00014508001 transcript:CAK79562 MSQFQRPTSSRKGTNNLPTQSGDLEEYIQILYDHQKSCEKAGKYLEADQAKKRLAELKKE LDLKNKGGVKDRHYSEKQEIEKAHLEEFNQFNQFWDDKMVEFDNEAQKVKEQTLQRQEEE LRQFSEELENSLPVKPKDSAELLALKKTEEQLARQENYLEAHVIQSRIQAQERDEYEKWT SCRQQKIRNLITQLRQKQINELNALTQRILSGQEEQRKIRSQELEKLLQKYQNVRKELES QQTQEITRLDKTNKTQSIMQQSRMNQSRMQSSQTKDENHQNAFIIQNQNSIWVQHALKSN LIPKDNNNSDKFNLANPKVQMYPLIKTHNVKLLNPNNLYNKQIRYMLKKIHPSHKQTVEQ MLTLNQFTSPRSDLNDKSIGSKNELTKVEPLNHRFLQQEQDNYLFKNNDAPDSDIAIQVK EPDFTNSNVAKIFKSLPPFQYDPDLNKKFNDCISLPPHQFISTGIIYVGQWRNKQKQGKG RQYWPDGTYYEGYWQNHGANGKGRLIRSDGSYYEGEWVDDLQCGFGKYVDSEGNIYEGEW QDDEKHGQGTETWVSGDTYTGQYQGGMKHGNGKYLWSNGNCYEGSYFKDYIDGFGTYKWP DGQVYTGEWLKNQMNGKGTFIWVNGNKYIGDYKEDKKEGYGVFSFADGKTYKGAWHNGKQ HGKGILIEANGTEIVGVWDKGKLVQQD >CAK79563 pep:novel supercontig:GCA_000165425.1:CT868330:438323:438966:1 gene:GSPATT00014509001 transcript:CAK79563 MQDQQLLIKVISVGDTQSGKSCLIKRFCEGRFINKYVTTIGVDYGVKKMMIQNKKVAINF FDLSGDEDYKEIRNPFFADAQGVVLVCDLTDRQSFQNLPKWEKMMTDNGLDLKQAAVYVV GNKSDLKNKEVDSGDILAYAKKKGFEGMICSAAGGENVNELFERMFAKIVDQIENLKQKI KA >CAK79564 pep:novel supercontig:GCA_000165425.1:CT868330:439195:440379:1 gene:GSPATT00014510001 transcript:CAK79564 MGQTCTINSCCTKEEIQALKNSGRSLEIQTKKTKLSQQIHKDEERVEDKTQKKKSDTVSD LMASSQHSKMSIKQIEKNLPIQNQDANQNPLIQKTVGAGERIKLPVMLLPNGAQYEGEWL EGKRDGYGKQSWPDGSVYEGEWKEDKSCGKGKLTHADGDVYDGDWVDDAANGLGIYIHVN GAKYQGEWLNDSQHGRGIEIWPDGARYEGDYSLGKKHGKGKLNFADGSCYQGEFYDNEIQ GFGNYQWPDGRLYEGEWMRNKMHGKGEIKWPDGRQYKGEYENDKKHGKGVFLWEDGRKYI GIWYGGKQHGVGIYYSSDNVMRIGEWVEGKRVKWYDKEEINELERKGIIDELRNQ >CAK79565 pep:novel supercontig:GCA_000165425.1:CT868330:440409:442156:-1 gene:GSPATT00014511001 transcript:CAK79565 MKLNQKLLERLDESLAQSFVLKYQEEKNRKVVPEEFISDDYKGNFRVRQVGDRQENMKKS KLDAMSTATSNFYKETATPNSSKPPSSLNSSKLLDQSKISQLKQSIITNRITSAQPTRPL MIKKIQKNTEQLQIEPKEQKPPIFALKRLSSAKQQQQDEEKSIVQNQESSLNNSLVAKPS ILRRSSLTSHAKKIEIKKEEEVETKKLSLPKKQESQISEPVFQFQIAKRKVDDMIYDTMD IDTLQEITKKNESSFDEITSIQFRFNTMNDSLYMLGEYLSNLQELKLNNSQIESLRLLGT KLRNLSILWISNSKLTDISGIMSMPNLVEFYCSFNNIKDISPLAFHEKISILDIEGNELA DEFQIDYLESLNLQQLIISSNPLIKDDHLRDKLYEKLPATEIYIDDNDLPTESQIISDNS QIKQLYDIAEMMHSKFDLGNVEELKDLEEQVKHQLDKDMLEEPDENRLLSLAIKQRPLEK SKLKRPQTAQVQQNYNPDPTSELVGLEEAFAGNPIKAAKKHKQNREQIFDPTDRKSIDIQ TLLNKFK >CAK79566 pep:novel supercontig:GCA_000165425.1:CT868330:442223:443384:1 gene:GSPATT00014512001 transcript:CAK79566 MSQLTSNKGNVYDENAMTDEKGKIKSQTLQVFVSPNEQPTLLSQNFVYDGFNTPKIPCLY HKGNILTNFCSCIQCLLPLCPQCVEEHIIKHKEEQTSNKIECLENVLNTVYKNIVQESLN IILTLLANFFAKSYFDLKNNVDSMAYATDNTINKLLDIQNRIIRVVEQFFKSLINDVQNK QKKNQQNQEKDAESLKQLVQQRWSSHVTFLETLNSENSMTSVIQFFGTSLLEDNDKYFNI LDQYSNRFEQVTSDIIFNQEKAIEIAAHLSHIIKIRHNDVPEFIKIHTLPSPNISDAVSF HSKTPSHIKPSQPLYPSIQQPAFTPPQHVITGATRILDSGTFYTDKRYTDSLNRLPQYQM R >CAK79567 pep:novel supercontig:GCA_000165425.1:CT868330:443789:445039:1 gene:GSPATT00014513001 transcript:CAK79567 MNNDIYQSMNLQIDSHIQQNEKGTPNNQRPRNSLIDQLFKSNEKNQSTPIVDSFNDLRFQ DAQYEVTPRQDFEIRGHDNQHITFRSEKKQNQQKNKYFHSPSKQCEGDLRHKQQIQVDEE QTDLQEQRKQKIRELEQKIQQAQRKIEEKEGQIKANQEIIINFESFILKDYNPEEFEKII LSESNFVTDNILINNLISQLKSFLSEFQNSIIDLIKKFDGKVKLDKDGEGKDFQQNSHLI IKEFQKFAEKQQKNVISDNKSTNTIQEISESEKKLSNQEFNLLVSNIITQTKQLRDIIQK SCCLLIKFNCEMYNGLQGMSKSIKQLEQIQSITNSVKLKTQYFQSIINDQINLQITSDDN DHLLLLDLLKQLIHKQEQAFQFQQFFAESLFKRIDLVHQSEDNLREDMAKRLQSFK >CAK79568 pep:novel supercontig:GCA_000165425.1:CT868330:445504:445908:-1 gene:GSPATT00014514001 transcript:CAK79568 MATHSISKYRLTKSIHKEQLKLLKQQLSDPNPQDYQTNISQHNLTNLTQQLQNPNSQTKS FNSIFDEIKQQPPLTCNKYSAYFTKTFDQSLTKILRELSYPNQQEKTERIQSKRKLTKPI NNANEATIKEDSKF >CAK79569 pep:novel supercontig:GCA_000165425.1:CT868330:446266:447788:1 gene:GSPATT00014515001 transcript:CAK79569 MFVDLWLNFCCARRPKQEDQDQPISIKEDSNKPILDTGQAYAPSPLEQKALQSTSQELRQ KLQEAQEKRQQSQQAQQQNNFQSMINKGAVKTSIEMEVEPVEGFLQLSDNKSNKMASWEA LEQSRQNDLINQLAQFTILAKSICSKNIPYQGNNSGSQPTSDQQIMSEQNQQQNNLAQTT QLEVIEEYPKKNQMTRSSSQISLETGKIEKKRIQKEKKLSKDNTSKDGIQKKEVNNAKLW DKKEDAILKKAYIRFNGNWRSIAEQLPGRNMNQCSQRWRRLNPQENNKKKKWEFEDDQKI IQLVQKFDKNWSEIAKHFPDKSGKQIRERYINKLDPSINMSSWTKEEDNIILNFYKENGP KWCMISKQLKGRPENFVKNRFYSYIRRVLLGQQNPYSVVLNNSGQEITSSQDSESIKQSL SMDQSPSITNSSFLESLLDDFMMNYDEPSDQEDQFKI >CAK79570 pep:novel supercontig:GCA_000165425.1:CT868330:447802:448773:1 gene:GSPATT00014516001 transcript:CAK79570 MGNQCNHAEINDNEFKSVQIEDESDSFLVYKFDPKIHFLNSSDLGKFQSLDSLNSTKSQF HERVKKVPFNLKLHRKRTLHYESIHDSQAQSPTTQVTEYFEYPNGVYQGQLMDGKREGQG QFFYHDGSYFKGTWKNDMANGQGKIKFQEGEQYEGEFKNDQQHGFGKYISNQLKYEGQWK ENLPSGHGIEIYNKYYFYEGDFQNGMKHGKGKIIWYKDDSRYEGEFSDNQINGQGTYYFG DGEIYEGQFKEGYMHGFGRFTWPNDNKYEGNYNMGRKQGFGIFTSKDKVYSGEWYDGVYH GYGKLENKEKVIEGYWENGVFIK >CAK79571 pep:novel supercontig:GCA_000165425.1:CT868330:448955:450288:1 gene:GSPATT00014517001 transcript:CAK79571 MKRIVLLAYLCIYTFATDSVKDFDFLTQSDYGKKVLKEQQLVLKSSQNPVDLIINLNHFE SELVMDSEKTMKEYQTVYTSNIERKQALKQKIFLSKYQLQNVGEELTIVTKEKEFSEKIF EERKRLLTEENKFVPQMQAIRNDEIDKLQNLKKEINQTSKVINSFLQQFQGLAHFLAKKP DLSESPAFLANNKQNDELNKFKAMCNDIRNELDTVVIDNPYTLVLFSLKPLLAPENAHLI EEVPQLLKTLQLALESSSEEIEAVSNERQELFNIERNELKKDVDKRSAECDQIKQIFERS SVDLEKLTNQQAELKKKIAQLQTEQEQLQSDMEGQQREYRNKLKNNLANLGLIRKIKYLL SQNNKGYLQFIKNQK >CAK79572 pep:novel supercontig:GCA_000165425.1:CT868330:450485:452850:-1 gene:GSPATT00014518001 transcript:CAK79572 MEKLGNLFEFFVTAGIKTNDQDVILQSCTTSNKKDLAILTIFPNPVERKMNHVLADIAMW CFPLGVKTIKEGDTRFNKIEEYFKEDDIQASTNEINYFVINDFKQHIKFYCTSACFYEKM FLIMPPNDKLMQVFVPKAFCVISRYPAFITQRSFLLQLLKIQKLRLSQNRERDKIITIKR GQQEYQIEEIKLYDFYIRTALTQCPIVQDNVEYRLKFSSSEIWMNYYVSNSHLTTHFSIF KLSNNLFDVLIFNQPIFNLYKLLLYMMLEYQIIIVSRKPAGITLFCQSLVELLAPLQWRG LFIPFLRPGSFDFHKSQLPYIIGLDRNLYEISEKPLPKELKRVIYDIDDGRILSRSDDPK CPKQYQNYFIRKMNTVFAELKFYENEIFEEGIMKYRQAFYNFKLLMLNDIYKYFSTDTSN QKSEKKIVMFDYKKFLNSFESQDQLFFLQFLKTLSFQQFVKDLYKVMEYREEFIKNNIQN VEYYFSSVLQFFDDVRFLSIRFGEQTLDDKLVILENLQNNYIKAVLERKNESSNYKVVEM KTTDFIPEYLEHLKNSSLNLSMEMQALAKFIQTAPQQEEIVVEQQQRIVQQGGPDMHQSE EQDNISQQSSKIQSDKSDQHSQFHHSLPPQEKVNWELQTIAVSNASEYRKQYQVKHLNLI SKRNTFRKKNLLENEEEEEQMQLNQNTNTNNFIVTNIADKNMLSLPVKDNQSMAARSYFN KSMVPLIGDYGNAEIMVPNQDNMI >CAK79573 pep:novel supercontig:GCA_000165425.1:CT868330:453167:454501:1 gene:GSPATT00014519001 transcript:CAK79573 MANLFDEEQGQYLRLNALKYLEKPPEVQRQDDPFVTNGSLAITYILVETVEQYKSDQGLK FQPSYVHQVFRQTNEIYGYKDLKITIHVTALRLKPFIQISYSEQTEDADDLQESFNKVYE AGFLSTEEQFIKALEEEQNQEPLGELIEEYGDFRIYKCQTATTNGFIQFKPFLQAFLLVL IDGVQYPGDENEWVYLTLYEKRRFVGLTTVFKFNIAWNKQRHRLSQMLFLPQYQRKGHGS RMLRTVYKLGLEDEKCLQITLEDPSEDFQVMRDITDSIILHEHFKDIIPNKVINSIQEIV EIPKEKLFEIMKKTKLHAQQIKQAYSIYLYAFINKKSSKLMTEFARYLLREHQKPYVRKR NILVRFEDGSSLDPKQMHLLELKEKEDRNIIAEESLRDEFCLFELIATKLRKDKVI >CAK79574 pep:novel supercontig:GCA_000165425.1:CT868330:454539:454829:1 gene:GSPATT00014520001 transcript:CAK79574 MNQTTENIDLELEKLRTFSKRVLKELRIKSSYEEEQMNCNNLKIDLDEFQQCCKNLIDLT QDLKLHIRVNQLTKNQRVDRSGEYEKLQLSIKQLNQ >CAK79575 pep:novel supercontig:GCA_000165425.1:CT868330:455237:455784:-1 gene:GSPATT00014521001 transcript:CAK79575 MQSQIKQFLNNYFPNVNQSRMFDLDFMNEEELFEWLHQRLLIFIITKDVQIGAKALYHWC QKGFESGIPMVNQILIVIAVLQLRINPKMVDEITQLIQDKEDKQKLIDQLSQAQQKQVNL EVSNSPKILKSKLEQKESGLFGNILTALRCWDKKQK >CAK79576 pep:novel supercontig:GCA_000165425.1:CT868330:455826:456489:-1 gene:GSPATT00014522001 transcript:CAK79576 MEWFEYLFDAKILIGFGVGLLTASLSLFPTLREHNQENAQSKMKLSNKSIPSTQDLKQVY IKNDPQSKDKKKSNQNTNLTETNKQDLYFNLDDGVRKKIKVQKTDEEQSSQDHQSSLSIK QDEESQSSLPLNNINNQNESNQGLKEKSEVPQPPGQQGGHQTKKIASEPSDKEKQDSGIQ VEQGREQEKEDN >CAK79577 pep:novel supercontig:GCA_000165425.1:CT868330:456742:459155:-1 gene:GSPATT00014523001 transcript:CAK79577 MKYKEVLRFSEDLVVLAEIIFYSWGIAIIKRQRNLPHYYFILGSTIQRISSFQQRFSNFT KMMLFYLSFAIKGISVWSSTQENISISLNVHLIIQFHFSKEQSIQQRSMPYYAFFLICIN MFNYFIFSLDIILVVLDANHLSLILDKNSRNSQYHQPNSTLNTTTNQLKLGQTFQFDLSS VKSPNAVRNNYDRFSTKKLTFNTKMEIFECTIGLEDYLKKEIENDLQKRDFKIQEEFLQL SLLYKYNNESHKIKISDFLSDYYDELSNIYYFSIEDNDSFDFRDTVLLIEQVKNSESETL IQLLFLDVPQKYQRKQSRVRKQLFFDMCKQISHELGTSLNSLMTFSNLAVEDEGISEQIK TTYIYPILINSVQFNLIINNVRDFTHMGLQIFQLKLQEMQIIQTVEFINALFEEPLQSKG IELSVQYHLKNRYLITDKERFEQIYFQLLQNAVKFTLSGQIRVSIYNNADKFILSIEDTG IGLSEEEEKNLNYLLDKDEFIKVSDNSVGSGMGLVISNMIVKQINRGVPIKVKGLLIGTQ FYFELPNDIQESYFSESKALREYSSGTQSIKLLSQNSYFEGPLGQQSHVISQNISSQTLQ FKKKRKETKSFSVVHHFEDEIESEVLSESHKNKFQFLPSFQTKQKKDMLEYCLQSECYMR ALIVDDEYYNIRCLKLIMQKYGVKCDHAFNGQESLAQISQKKENPCQHCNNQHYLLIFLD INMPIMDGFQTIKLIKTQIQTEEIKRVYCIATTGLYDLQTKQKCYENGMDYFMSKPLNQG LLKEILLTFFPTLEIKVY >CAK79578 pep:novel supercontig:GCA_000165425.1:CT868330:459297:459683:-1 gene:GSPATT00014524001 transcript:CAK79578 MGSICQQGQKSSNEKEIQIEIQFTNSKDKLDANHKTANNLYNCQSTRQLTFRNEFASKFI SLSQSSDSEPNQDNDPVDKRTFFSNFQTFQAMPSQKSSRFVSPQFSFVADETSIENQFQN KVQTKQLE >CAK79579 pep:novel supercontig:GCA_000165425.1:CT868330:461836:464532:1 gene:GSPATT00014525001 transcript:CAK79579 MVSAIFRAIQNTYNKFISASYQQWKQSEHQIELDFIQKPALLISFLFVLTRLLLTQSDIE LYIQLAINCGTHLILFLLIKLHQSFKSAYLEIPRISQLILLNWYCYYFYQSNSSFLLIYT TVNVVQFNISYSVSFKLIHLGIFVTGYLLIIEEKSNECLCIVISMILMHILRKEQNLMSI YSKIQALFLIIDSFPSAMCIVQKNKSQLLYSNKSFENLSLTLEGTNSSETDQSPDRIQNY SKFQLHFLSNLQLAELNQKGISLIDFGDEFQIDQFKIRKVIYKKEEESPENSSKKLIYNL NQNQKPNTQLKYQQQFFSTFTTKDDDSIIKKQYTADIALLVELRHQPYMCLKRRQRKRSS NRLSINKPSTPTSGKMSFEQLIRENPYQKNPAQSPSTMQHEMKFLIHVIENYKLFNDDDE LQLFYLQELNPVLLQTTLKKLESVKKNLLRSISHELLTNLNAAYGYIKQCQDRLLKGEEM SEQLACALRYTKLQLFKVQDFFDYRYLLDDKLKLREDKFELINAITECVDLIKDQISRKQ LSYELDIPDNCTYIISGDRQRFCQVILNLLTNAIKFTLQGKITVEVKKKTYVPSYFGIID EVQSQIYLDDSNLLEIHIKDSGVGMDEEELITLRKKLHGADEDEKVSKQSVGIGLGLQVC KSIIRQLAPSNFNQLFVESVKDMGSQFYFCLKYLEEKSQVQKSQQLTIPLQEAQSASVKV LNYKKGHSQNGLTFFKKRSSHDISIEIPRKIQFQCNCQTILIVDDEQFNIDVIGHIIKEM GFEIEFAFNGKQAADKVSRKLQDKCSGKCTGYHCILMDINMPIMSGWEAVQIIRQIECQL QLIRAIPVIAVTAFCSIFDQEKSINEGFDGVIIKPATKEKIKMVFEHLNL >CAK79580 pep:novel supercontig:GCA_000165425.1:CT868330:464572:465874:-1 gene:GSPATT00014526001 transcript:CAK79580 MINRFEDKLALGLSRTLKDQENVMPKSSQMKDEQKIQQILTVKQKEIEYKMNQEKERFKQ QYELEIKILKEDLAYQSHAAEMEKEKCVKLLKDEIQGLQDEIQNIKIQNIEEISNLKSKQ QREQQLQIGEFQREKSQLLERNVKEIKKMEQLRCQELEQWKNRTLMDKDQTLQLFEEQKH KEIKQLTDTFTYQLQQQKQENEQSLRLQKTQYDQKLQKISDQLDVERSNCEILRKRLQEQ KKQFDLDNEALKKEKQIRVEQLQIEIFQEKQKYQQLQQEMDQMKFNLAQKEQKLIEEIDQ KEIDLRNQYHEDMNQLYQRQIEVAQSLELQYKELDEAAEKKYNKLKSQYEELQSIMRDTL DKQERKPLRPLQQQRRIGSKDRQQEEETGLQMLNLLEQAQRRSSQMSNRKELKKPIS >CAK79581 pep:novel supercontig:GCA_000165425.1:CT868330:465976:467475:-1 gene:GSPATT00014527001 transcript:CAK79581 MYNNSQAGMSQKYYQPQKPFGYQGQPSQSQQMNSRYGNPYAQQMPQEDYLRDYHQRYDKN LNELQSNGQILSDLEFRLDEERKNMKNVRQQLENNLENERQMRIEFETKIIALRDDNQRK ENIIQELDYKLQDTMMRNDSLEQENVAMRNEFIRGQESYNQRLREYEDRNKQLNRQLQQN DEKYRIEFERLIKSHDQKLSDMTQTYKIQKEDAEAQIRSLKTQVQDLNQDITNLMNENMR IKMEAEENIRETVVRVQDEEMRKYLGHLRNVEQKLKASEEGRDKLAKDYNNTLSQLADKE RLLKQKQMEYDQNYTRFKQEISELDSQFKQTNQVREKLRNELQSKDQIIKQLQAETMDLQ KQVQKIKENYAHQMAEAQEINAQEKRDLEKEKDDYARKLNQSEETRKQMEEHIERLKLQL EELGGQIHQNIERSIYQTINQTSFSSKFENRRPYQPQKYQ >CAK79582 pep:novel supercontig:GCA_000165425.1:CT868330:467543:469843:1 gene:GSPATT00014528001 transcript:CAK79582 MNQRNLEDIPIDQYEMSSPFLEDGINKSNRQPLLMINEKSDSFQSSNYKIDTPGFKGTFN SGSVDYGYALLNYFDEGEEIAGNRNGQIVKNSNSTNSLYIFYQKLPVFLPNSVVMLIWKA IIAGIILLYFFVVPITVCYGSDIFLPSTKYIIDSLSFLFLLLDIALEFLTAFYEHGNLIT DKERIAYQYLRLNFALDILGTLAFGIQSFIPNDSVNLILLLFFLKYPALIKIDYLFEEAT LLYRTLRTIYNVGKIIVLLQFCFLIFSCIFYVIGKSSVEAGYNSWLLNDGNFGVIGELNP GFQFFFSYYFGLGTMTTTMGYGDITPLNVFECTWCLGGIFFAVFIFQVNVNSLFKIMEEF NVHPMKIFKKRIAVNKFMQSKSVPLVVQERVRRYLNEHWYEEGTRDLTLEQEIFTELAPE IKEELFYNSYGKMFDQIPFLSKTFSIEFLRSISIKIEEISFAQNEIIFLDNVDYLLDDFS IYFIDYGSILIFANTGEQRPFWIKQLESQEYFGEWSFLTGRPRLASASSISYSRLYKLKR EVFMDILGSFQDDFEKYWMIRDKLIYSNDFKVINNICWNCESDSHYATQCPVTHYIPQIT KELTISLYAEQERSQYQRKQKLRWNTILRSSSQIQKTESFREQNQNQENQAQQANNAVQV IQDQQSQKQKQIFDKPYQFKHYFPKFNYHKIQAFQNQAAISKINNLRKSAMYIPSTAYRQ TKQSAIFVWPKQS >CAK79583 pep:novel supercontig:GCA_000165425.1:CT868330:469864:471441:1 gene:GSPATT00014529001 transcript:CAK79583 MIKSFGYLVCGAVMIPSITLAGSTVYLYPEFRTNPTQFWLAFQRVYRVVKAGTNMALAYL TQPDQPMDDKHYYGALQLRSAFQQNKGLYIKFGQILGSLDIIIPEPYRATFEVMCTQNIE TPFEDIERQIHLSTGKNIEDLFQSFIKKPISSASIAQVHQAFLKDGREVAVKIQHPWLKE QIDGDVKLISLFTDVAEFIFKGFKYKWLAEELQVNLPKELDFHGEINNAKRIKEILKPFP DIYIPKVYEEYCNDRVIVMEFIHGTPLSDILREKEKHDFNYPKIAHTISTAFAHQIFKHG FVHSDPHKGNIMVRKLNGKQQVVLLDHGLYTNLNEKTKLSYSLLWQGILEMNLDYIKQAT TDLGAAQNYKLFASMVTSKRFSDLMNTSMNMSDRLKRPKDQDEIAILIQKAAKKHKQITI ILNQIDRQLYNVQSRKLLMLFKINDFLKNIEFRLGNPIDSCQITYNYVSMVNYEDKIKKQ NFLRRMMYKFRLMLHKVKFEILSIVMSIIM >CAK79584 pep:novel supercontig:GCA_000165425.1:CT868330:471485:471727:1 gene:GSPATT00014530001 transcript:CAK79584 MNIEWKLQNIVKALGFFCLFTMPPYAFYWKYSSMKSFEKGIYNDFDRVHSKGKSLADIPK KEKI >CAK79585 pep:novel supercontig:GCA_000165425.1:CT868330:471908:477026:1 gene:GSPATT00014531001 transcript:CAK79585 MDEAQKSYLNLLKKTFEKRQEFIRYDVVLFQQFRNKLTVHSAAISRNSEMLVCGCSKSII ILYDLISKQAIKASNKKQLQFRKHQIVTVAFSNCYDYIIAGNTMGDLLIYEITIDIQNQK FELDLMRFVPQYHEKALQIIFHSSIDHLLTISSDKLRVTMISEFIQHENIDESQIQISQN LGGATTSKLSYHNGHYYLYIGMRNNKIVQIRSSQLYSKPKNETFVYSINSSSSVISKLEV SNDQSLLAIAYQSAQQEQGNLQIVYLKKGKQIYSQNGITKLKISQLLFFNSNSHLCISSW DGTFHILDIKSKEILKTYSHVMHEQGVITFFPLTEVSEFPNLISVGQDHQIKKYYFGKIK EQLFEYIPFIFWVEQRIRQELQFQSELHNYQKLLYIESMGVDSVKMRELNQSIFGFEKFD PLIKRIIDYSEYKERAFYLYKKYESEMQQTIASSIQRPNKLPPLDLQQKNPQVDAPQNLI QSGILVNPIQIQEPLKIFSEYFSFTFKRISDTIIYDRIKLMVLQKCNFIFDERYPFKQHL FSNLKRMKNLKYLDLSYNSLYLEDVLQILEQLKNVTLLDFLSLAHNNLGDSSTIKFNNSD AIMRLLDEVEAISLRSNNLSDIDGESILNQIKHFKKLKILDVSGNLSFKDKTLQALQRIT ILQNKIEFSSQRNDFLNFLNNIRILQENEQQEELEDQMKSQDKQSNLGENEKLIENLPEQ QPSQTFDRSASMSSSGNYMSLQLLCIQFQKEVCEQAMKTATDFLVQRKITNLYIYVVDNK EDDVWETSISIYYTRGLKKLNDYIFGCLGGIILVVFSCFVGIYQIIRQEGFYCIRRIFCT NYCLWKYLACGFCTFLTTSFGKFIVKLFGVEQSYFEYNPKIINLEQELINIKYKGFILFT IYFISYYFVVVFLPIIFVNACSGQQWLGHLIYIAFACYSFLVEGYLGLTISNLKVTTNEY TDGSTLARYFYIIKDLLISQLTKFELYTCICFIVLVDSCQISVAIAWIGVGIICFNLLLD LALIVRYLLIPYINQKKPSYIDIFTQFSTLYQMNIVTNLLEKWSEQNTIYIFFLKSEVPQ KVVVSIIKTFFQDTPLLILQAVYLGMNPTQIKIQIFIVSFTTVVIHFIFSMIKMLSITRA AKINEEIVLTEVSKVKFHNKQIKIIQELKKFIRNLKKKFQNIITQHNQRNENLITSLVDA ERALLNRPHTMQNFELYLKQKSSKILPARKGITQIGNKIQRINVSKNQKINQEELQKLIS VKASLDIFGNKVKSWKINNEIPLDLTDVLLNDVPRQFFTIDLDWEASQPWVGNMRISSLK QEIEGLKMAYRHFQNSGDLNILDLGFGSGYISFFLLALALKRKKLFSIQLHLVDHHPDAI KYFEQKLNTEFSHLKKICKIEYHLHDCFEGLNLFQDQKFNFIHLGYATTKWRIQRDIIPL LSDDGLIFGYETVSSLEQKAFSWSQAQKQMVYSQNLEQYGIMEPLTIQLKGVDQNFLDNQ IKQQLDILNHIRLYDQCALCEKKLSKEDKQFINHYWGEVICFSCGNEQLEQQGEEIDIAK INYRCNVIYYTGSNCKLSQIKQISILLENLTYPFGHKVGTIREYSYVCSSCNSKRGFGFL YKCLICDSVHYCNTCGLQLMRDNQMKYHSACNQDHPLLRIVFPSKTQKGKK >CAK79586 pep:novel supercontig:GCA_000165425.1:CT868330:478041:478511:1 gene:GSPATT00014532001 transcript:CAK79586 MKRLKKICLLKQRIVKLLLLSSIENIYVLIGNLKLIQEDQEQNISIEDLLPLEYLELLSI DIIGYFDDSSIRDLSCDFTLIQGSELKHLIKDYQSLQSIILNVKKEIDAIIKIYCELQLN HTAIDIAQEFDLHLLHLKQTQFDTLMLISLMQIKFN >CAK79587 pep:novel supercontig:GCA_000165425.1:CT868330:480731:481006:-1 gene:GSPATT00014533001 transcript:CAK79587 MGEYDIAVTDYNQEIQIDPNTGDAHLRRGENQSMQKLGICYQETNGKEKALTDFNKAIKL DPNLKNAYKKRGKEQQIQIQRIYMRKHRFIY >CAK79588 pep:novel supercontig:GCA_000165425.1:CT868330:481016:481896:-1 gene:GSPATT00014534001 transcript:CAK79588 MGEDDKAITNLVKQRRIIHLKLGSLYQKHHQKIEAQNDYNNIIELDPNLFQAYQQRGRLY AQTNDQVKALNDFNQVIQLNPPTQLSLCVLFYGYIQQGINRLQLCNRKQSSNFTGLHKER CILYKPMGDYDNALTEQLNLIQNLYYI >CAK79589 pep:novel supercontig:GCA_000165425.1:CT868330:482845:483640:-1 gene:GSPATT00014535001 transcript:CAK79589 MFSLQQKIDIIYFICWKQTNQTEWISSQPYQQHTDFVFCIILNSNEDLLFSGSDDKSIKV WKVDLNQNKLTFLYSLDKHNNDILSLSLNQSENQLVSCAQDQNQIIIWERREKDKYEFKY FVKQSIQDYGLKVKFIKENSFIWITGQKQRDRLYVFELKQGVYQENQDKTIQLIINNQSW DEYRFPIIYNKERNLILVRHKKYIYIIRQINDGNYKIEDQLNCDTNQIFGNITNNGQYLV YWDDQNKGYSTYELLNK >CAK81655 pep:novel supercontig:GCA_000165425.1:CT868417:13:722:1 gene:GSPATT00039474001 transcript:CAK81655 MIVTHNQIKITQNQINPNQQNEFERKENDICKTRKDEVKLENFRSFQSDILQLEALYNWL SNLDFSYYIASLIFYRSDLQELFLQYVQFFKKDEYYTKLMDMQSQYFQQQLNHQCFSQLI FHNVPRRQYFSEDIFVDYLDKIIVGMKLDYKILLEDFITYFELFNLQKNKIAASLSDLYA FRKNDIAFGNIRFVSQQKDGLLIDFKQPIGKFQDIQFIEHLVLR >CAK81656 pep:novel supercontig:GCA_000165425.1:CT868417:993:2240:1 gene:GSPATT00039475001 transcript:CAK81656 MHRYVLNDFNSIYLPKILRKIELKVDKTFQLIITSQYLLEPLVPAIYERYQRIWKKTDSR KFILQQQQQSKDSKINKQEVKQKIKKLPNDYKQHSSQQQDQNHFQLLQQQLDLSKKTSAN NFNKKIYNRNIQFAMKSKNPLDQSLLFLKLRYSEGKFSHFKTKVSQLQIKNTFVLSNKLQ QQQLKTKPDSQNSQQQLPENSQPSHSKKNQNRLMIELQYFNKIFLNLINIQQGEPEQQFQ EVQLVQKPKKHTQKMKIYCQKFNLSFMPNQQRSLHHMFNFLILRVSNQINFYGRQDFKKA LKMSCQIPLNFIQQNSMDRMTMESFKQQLNQQRLKVVTHQFKHLMSNFNKISKYFQKLQI IIVSVRKINKLCTEAIQNYILRLQHPNFELKYYFFEQNIRDQSQKDGEQPISLSK >CAK81925 pep:novel supercontig:GCA_000165425.1:CT868425:1967:2782:1 gene:GSPATT00039487001 transcript:CAK81925 MAISNVLDLVDAILQLITSITDISRLDVNISIGMVCRIIFFIVVISMRDLRTYPVGEFIA QVVAWFVFHVYILYYEKQYFYTGKSDVYDREVNFKIMDTLQLIIATIYMAWTFNSMIEIV VLAFFIGNLVLNSFELIYIAGIRQSHPNNYIKQGGYTQMYFGFLFGAGGVIVFLIDVASK YSEDVKASAVLTAILYLTIIGQFIVVLFSFCYFCIDGEQFKLSYNWTKGILGFLYGANVG LFSIFYGFLTGLATLCYIIYMRARKIDEHNK >CAK93355 pep:novel supercontig:GCA_000165425.1:CT868672:251:2730:1 gene:GSPATT00025698001 transcript:CAK93355 MNCSYHHPNPISTICVAPHNCQCQRKLCHKCLYEHGVDVKYAIPVDLIQEMVMKKLQEYN LDTSEIREQKNNFKLILSQTESKMKRILDEFTQSINEIYDLIEKKNKSYSNLINRNINIA ESSYSELEQIASVLLGNNINDWLDEKNSFLDCLSYGQNQWEQQVQQFLEKLEKEIMSSIQ PIQQMLEIYEKKEDNIDRSFLNYILYMLKEDNKTDIIQYLYKRQKSKLRFISNILKDLSE LDFSKEYYSKEECEQSRKQLIREISYDEHIIEFLKFLVQLTAIDERFIQCGSNSLNLLVE MKVDLREQSFEKIRIQETSLVGGNFFRCNFNESKLYDVDISGINLNQAQLFNCKWKDIKI HELNKLDGHSSCIRSVNFSPDVLISLSVYGMLRQDYKKPYWMVILEHVYSICYSPDGTTL ASGSDDNTIRIWDFKSQQRSQILSVCFSPDGTTLAFSSDDNSIYLWDLINVQYKGKLNGH NNSVIQVCFSSDGNTLASCSYDLLICLWDSKSQLQNGYLYGHNDWVNTVCFSPDGNTLAS GSYDQSLRLWDIKTGQQTAKFNGHSDTVRSVCFSPDGKTIASGSDDESIRLWNVKTKQQI AKLDAHTSGISSVYFSPNGTTLASCSFDQSIRIWDVMTQQQKASIDDCACEILSVCFSPD GTTLAYGGKDKSICLMDIMTGQQKSKIRWSLWIGKFNLALASGSKDSTVILWDFKAEQQI LTLDNSDKVISAQTGIYFSYYLATVLLVSQSPNLEALGAQIMNGEFVNQSGEDLKQLFKE RGGIFLENEFALQNNTKDYYYYK >CAK93356 pep:novel supercontig:GCA_000165425.1:CT868672:3962:4612:1 gene:GSPATT00025699001 transcript:CAK93356 MEYHYLNSITIKPRNYKVQEEIKLKYIINFKTFEIFRLYQYIHLVFLYTNHVKMFAVYPY NNLFKSTINTNHNNFLLKYSILRQQKQQKKILTKNQPVIIDYRNYILLEYQYMLSKFLLT TPPILKQISDKYSSYEMQQQYLCKSPRSSNQQQSQIKTIKIQYIKNIHVFGRKQIIPQLF KRNLEAVNGFLFFLNQQFSPQSVDYILQKVITFYIY >CAK93357 pep:novel supercontig:GCA_000165425.1:CT868672:5194:5835:1 gene:GSPATT00025700001 transcript:CAK93357 MINHSYIQQPTIHMNDIAIQKDDELIQNSLKNLPRFKKIEIIGEIFALLVLILCWAFFHQ SVFAIQLFQFVYLNEKVPTEFDYNGNAVRYADKNILFALPAVMTISYIIFTILQFVPHRF NYDCGKSFFSIKVGLTVFNAQEIYRTTRITLLSCKLITEFLFTYITFTMLQVVQYQCEPQ RMYYAFVFILPYLIIGVCYYRKLKNISTQPQQL >CAK93358 pep:novel supercontig:GCA_000165425.1:CT868672:5862:7282:-1 gene:GSPATT00025701001 transcript:CAK93358 MLNEIPGIKNERIKQFALCYTLYIMVHCCRSTWSYASGLIIEQNKIEGFSPQFLGYVNFT FLLSMGVSYFLLGQLGDKMNPKLFVILGTYPLSALFIILALIFEFTTAPKELYLVLLLLA GMFSSTAWPGLLSIMNAWMPKEQKVIILGIFASCINVGNIAGFANSGITIEICDLSILTP IYISGGLLFFMTIMFHIFIKPRPSIVSEHIISDTSQEPQVSKEVVGVKKLKIWKAWLLPG VAIYALAFGCIKAISMIVGLWLPAYLDYLHVTFVALINIMLDLGAGFGGVIVCYLGVRYQ KRATIIVPLLWLGTILMVAINFLKDYDNPYGGYMALNFGVGMFIGGCYNNVAAAIAVELS NNKALKKYKHATSTVTSLIMGYGTLFGALNQIIVPYVKDYLFLYCGILAVIGGLLLIVVI ITEWKLDDEPDNLNKEIEMH >CAK93359 pep:novel supercontig:GCA_000165425.1:CT868672:7391:8742:-1 gene:GSPATT00025702001 transcript:CAK93359 MEEENFDNIDKHNISVVKFSGDEQSQQGEQKIDKSQVDQQENENSLFFIDHQDDFNIQQQ NNEVPQNQEEEIEIIQFAENRDMFFDNNKVEVDKNNHDQKGQQIIELNIPAQQFQDRHIP RVQEPQYTNPVQQLQINYSPVPDQQNLVMIPTQSFNTPIQPSDQYDNKQDQAREDGNIKI KQKKKLQKQEEENSDKHYQNNVVVVPINQNDAQQDVLVNLGSNLSTYCCLGLLNRSDSCC QWVCDLTVYCLTLPLTLFKKLIVCIWDGCLEMCCQNLCPDWSDSCKMSCGLLSFNCSRCY NSLKRNSNVICTQICCCINCSVFSQQIMAYWDSCSSFCFQMCSAFCGLLLVCCEFILNNF SDCLTQICTFCCDCLSNSCQQISQFLGPICDAFCELIGICCKCIECLK >CAK93360 pep:novel supercontig:GCA_000165425.1:CT868672:9299:10349:-1 gene:GSPATT00025703001 transcript:CAK93360 MNQEIKPVIDEGINLRCRFYENQYPKENDLVVVEVVEVQENASYVELLEYDRIRGMIPPN ETTRALRGGIQKALKIGKIQVVRVLRVDEDQGYIDLSKKKVAKDEEQASQQKFADGKMIH SIMRAIAEKCNMNVQQLYKTIVWPLQENKQNVSVLQIFKNALSDQKHLSKLNLSEQIQVK LMEEIERRLKPEPVTIKTEFDLISHDYAGSLIIKEALIAGTKKSTEECKLSFEIKASPSY TGKTTTISRDGVKIMKDALVEIENIMKKYQGQMKVKIEPKIVGNQQADFIDEVENDDGDD EYGTNQEGGEGDDDDDLGMQ >CAK93361 pep:novel supercontig:GCA_000165425.1:CT868672:10377:12099:-1 gene:GSPATT00025704001 transcript:CAK93361 MKTISLLIFIIALGYSQTDQLRDILDYSKFDCDNLNDLFEIKNQLLYWNELKDTDLIQQI SDILEVKESLLNYKELLLSKSTLKKSAPKVLLEKVSEEIKDIVQELQNDKKELTLQYCDN TIRRINKILDQRDSEKNQLFKVEKQELSEKLKLVTEKVDTCNRSLKPAYHNKIILQQMIQ SKTNEVGYGYWFRLLNFYPEDYQTETYFISRVSENENYSGNDLGDNKLVVSIQKKNLVFS TYDIKTMNSIVEQKLFFEKNQWVYIHFSYQDGLATGYVYLDQDDISKIEFHVEHFKLNQA YFKFGGNDLQSQGLNGQFAQLTYGVGKQYITDKPQLFIEEVSKLSPQPQFNKQQIGLIAE EMQFPIKFKKEYHSDFIDEFAISAWFKIEYLDAENIEIFRIKDDKKNYALSFYGGNSLSF GTYSKDQELRKAELFEQTDDWHYIYMSYSYLREEFNCFVWINGHIIKLQEHNVKHYPTTD LTLSIEKEFDGQINKLNLYVGQGSHINDPHNLPSEYQVGLDLVENSIVRSFKVTKEVNSK NLLNYNSNMRGGEEKKNQNSNKKQK >CAK93362 pep:novel supercontig:GCA_000165425.1:CT868672:12293:12711:1 gene:GSPATT00025705001 transcript:CAK93362 MSQNKIIMLGDCGVGKTTILNKQGNPFIKSFLDIIANTETTIGVQHHSFTRNNIKFSIWD TAGQEKYRSIVSSHYKRAKAAILVYDCSNESSLLHIDKWIEELVFQAGANVKIALIGVKN LK >CAK93363 pep:novel supercontig:GCA_000165425.1:CT868672:13971:15551:-1 gene:GSPATT00025706001 transcript:CAK93363 MFKTRYLITNQLTHFQRSSFSSQPFDLVVIGGGPGGYVAAIKAAQLGLKTACVEKRGSLG GTCLNVGCIPSKALLNISHKYEDAHKNFKGLGIKVDNLGVDWAQVQKKKGDIVGSLTKGI EGLFAKNKVSYYKGWGKFASKNEITIDLNDGKKETITTKNTLIATGSEPTPFPGLDFDEK IVISSTGALALQQIPKKLVVIGGGVIGVEMASVYQRLGTEVTVVEYLDNICGAIDLEVSK AFQKILTKQGIKFLIGHKVLGGKNLGNGAEVTIEPVKGGDKITLTADHVLVSTGRRPYTQ GLNAESIGVKLDNRGRIQIGHNFTTGVDGVYAIGDVVEGPMLAHKAEEEGIAVAEILTGK VGHVNYDAIPGVIYTNPEVATVGKTEEELKKAGVQYAKGSFPFLANSRAKANDEIEGFIK VLTDKKTDKLLGVHIVGPNAGEMIAEAVLGIEYGAASEDLARTCHAHPTLSEALKEACMS AHFKPIHM >CAK93364 pep:novel supercontig:GCA_000165425.1:CT868672:15634:18158:1 gene:GSPATT00025707001 transcript:CAK93364 MTSFEMQEQYSLQDSLLSDVSSMSIEQRSRSRTLNKTVKWKLEQFHEKNVMQEEQEKIQR VIKIYDDNLNDEHGQSDSNFFEEYLSWLPVILPKRVWPWKIFVAITTLFVFFEVPIYVMY GEEFWKQLINVGGRYALFYGIFIILLTDMLLDFVTSYYKHGNLVLDKRKIAMHYFYGYFV FDCVALFSCVIRLAVDTDHLRFIFFMFYFKLPSLLRIDHQIAELILLHKRLRTFYQITKM LIFMFFCFNFYCCIWYVLGIYGDNIHINTWLNVPGNFGVIKDKSIHEIYFYSFYFSLNVL STTMGFGDISPMNIYECSFALFGVMFAVVVFALNINNFQKMMEEYNSYYMQRFKQKVSIN KFMEQKNVPSELRERIRQYINEHWAEEGSRDQESEQQVFEILAPELKQELMYQSLGQFLQ RTMFANHFTKPFLKELAQKIREQSFSQGDEIIGVGSTEQTDDLSIYYIVGGSVIVKPGNS EIIKKKLGLHSYFGEWSFFTGFPRTGTVNAKEYSQVYAITRADFLETLRKFPEDFETYCQ IRDKLLFTKEYNLVEQQCYTCNSTEHYADQCPKTHYIPLVQELIEESTVLDLKQERVKIQ RFGRKQWATRANATTLIKGLSNNKLKKKANLMLKTQRALKKNTIKIDKPLIPQWQVKEFK SLDEEQAANPMIDDMTESQNLNTQPIDNEIPQRQQQSSYLKSFAIQTLTSSAMQQSALLS SKVIENPNQSQSQQRENVMAEYQKIVQPTIKTGVEQFDAPANYKNYYRKYNSNNYQEFKN VKIIEKDKIQNMRQSIILK >CAK93365 pep:novel supercontig:GCA_000165425.1:CT868672:18329:19106:-1 gene:GSPATT00025708001 transcript:CAK93365 MEENVIISQPKTKREIIPTAGKSRDEMRIFIFEFFGMALFAYGIICSQGSDEFLALFFFA SVCLAAPFSGAHVNPAVTLAMVISRRVNFAQAVIYWLAQFSGALCGACCCYLILNEVDSP EVKSTEYSWILSDVSGEAFGTFTFILFILIQTDPETTLTPKGQPMTTYVLVALALYFSRE FTFHSGGCLNPGMAVSLQLFQSLQTGDRQRMDFLWVYVGGPLGGGFGASVFFELFYKKQI KRL >CAK93366 pep:novel supercontig:GCA_000165425.1:CT868672:20461:22103:1 gene:GSPATT00025709001 transcript:CAK93366 MDNRQGIEMEDEFYALQSPQNLLQKIDCFVTLGQFDLDEDENENFPQHIQIEQPEINNFR LHKFFNAEPEVNQFVQKQQEVQHEPRMKPQRKMSQSLPSFMEEIDTLISQAIERQNGFLE QQKYNDALNNIDNFTFLEQSLSQPQVFKSFYSQYIRTINKLRMYRQQKRLDVKQFMAFVC SLGIEFIQEIFNLIHKADSSWCFELLKQEGLTQQIQNENQYQTFSDFKLQKAQFQQPYQQ KQQQQQQQQQQQQQIDSNNEQFQQQKLQQNYQPSNSNSFHQQSALSIVNEAIEKKGRKTW SNKEMETLQNFINTYQNSSITNQQIQALSKQLGRTWYSVQSKIQKLKKNVQDQMSDVRSQ SMKDDPSKEQLKMQRISTKYDYTVEDMIKITLQQLDGRCGTKQKIIESINQNFFNGQLSE DSACLRSISITLSNNRSQFIRKIKGYFGLNENFQDRGEDDNKMRNKLVWILQNLPEQRGT LQQIVASYTENAFLDEVNLKKLQKQISQTLRLSNRFDKRNAKTIYKIQE >CAK93367 pep:novel supercontig:GCA_000165425.1:CT868672:22287:22799:-1 gene:GSPATT00025710001 transcript:CAK93367 MSIYLLMPFLFAENNSGFAPMLNMFIIEFFFALILYNFIDNPRYGGRVRIMAFSAAALTI ANGSLYIFKNNFLYVGLFIIKISTRGLFSTIGLLCCETYPLYLRSQGSGLVQAIGKIGAI PSPYFLFPLFFIDPYLPFGLMCILSTVILTVTCFFNQDKTQKHLEMLKEE >CAK93368 pep:novel supercontig:GCA_000165425.1:CT868672:22858:23679:-1 gene:GSPATT00025711001 transcript:CAK93368 MISFDQILETKIGTGLYQGRTLFIIGLVEFCDGVEYTFMSILIAILQSEWNLNNAQVATL GSSFIFGVVLGNLLCAFLADRIGRKITFSIFTGLSSFLVFYTSFSNSYGEMIILRICFGL VFGTTCPLGYIFISEVTEAKYRGRFAFGLSLLYIFGKIYLVFLCFFFLDSYTSGNWRGLI RFNVIPITSAFILSLFFVKETIRYHLNKEEYQKAFEEIENNIKENGRENVQFLDEDVWHQ LLYFIEKRFIGMGSKINPGTERATTACIWSSIK >CAK93369 pep:novel supercontig:GCA_000165425.1:CT868672:23706:26007:-1 gene:GSPATT00025712001 transcript:CAK93369 MNDLYGRSASTVESQQKLELFISCRGLANMDTFSKSDPYVIMYVKRNNQWSEVGRTEIIQ DNLNPNFSKSFLIEYYFECQQPLKFICNDDDGHGQYDFIGSAETTLANIAGARDQLAMLN LSNGQKKTGVLVVRADQVRMINDKIIMQISADNLPNTRFLPWHSTSPFYRLYRIRKDTNQ SLLVYESEPIKSNLKPIWKRVDIQAQKLCNGDYLMPIRIEVWDYRTSGNHEHICSTDFSV DEMKDKQKTKKILLDKNKKQSGFICFNEFKLIEKPSFLDYLQSGTQINLIAAIDFTASNQ SPKNPSSLHYIDDQYNRMNQYQQALLAVGEILLNYDHDKSVPIFGFGCKPRLQNLNTPQT LHCFPLNGNPQNPEVFQMDGIMQTYNYAVRNVQFDGPTYFNPIIQESMKIAQACKDMGTN TYFVLFILTDGEIHDMKQTIDSIVASSHLPISIIIVGVGDADFTNMSILDDDDGNLRDSF GKRTQRDLVQFVPFNQFKSNPELLAKNVLQELPDQLVDYMLLIGRKPGQKNFINLGQFDI NQYSQQYGIQQQVMPPNQQPFQQQVSQIPQQQFQNMPPPPQQFQQPPPPYGQTQQVYQPQ QSSYPSQQPPQYPTSQLNQYPPQQPAVYQQQQQFNPPPNYQQQQQMYPPQQPPLQSKFVQ GLANTNFLGQHINDAQQQQVNQQLPQFQYPPQEQQNQIGMQPQPNLYQQPRQPSPYVPQN PYGL >CAK93370 pep:novel supercontig:GCA_000165425.1:CT868672:26125:26978:1 gene:GSPATT00025713001 transcript:CAK93370 MLKKYSSIAQMQQDGVKSDRFLFAYRNNVFDLTHFVDDHPGGRFSLQTFKGKDLENILFN ASIHRHQPSVLSSLEQYKCGVIEIKNPQDTKPKPQVTATTKSNTPSKNDQICKTQMSDDK TNRSNITSKSKVSIQQQSNILSTDSNQVKKSNLKQNSKTKSINKTENIIAESTTTIKSDR NILNQQKLQETQQTQLSKSSLSLASNQKISLLSPQIIHEEDESQPSPLNNNVEKFECGFI PEDIVNLQVPILNYQLTGYLRLKHTLQN >CAK93371 pep:novel supercontig:GCA_000165425.1:CT868672:27164:28445:-1 gene:GSPATT00025714001 transcript:CAK93371 MLEILLSPKNIQQHGKSSPKKIINDFEYHLEDCLGQGEYSSVFKGQDRRSGQEVAIKVIE NSKLNSNFSRQMLSNEIESLKKLNSPYILQYINYIYTPNNQYIVTEYCNEGELRFVKNRS DQQLLRIFHQLLQALKELKSRNIIHRDIKPPNIMMHNCIPKLADFGFSVNINSLELQKSS FGTPLYMAPESLINDVYSFQSDIWSVGITMYELIYGNVPFYHGKESELKKIIQNYVNNPV LRIPQSIFIPLLKGMLDPNPKTRFTVEQLLSMISTDQNTQIISQSEFNFLSNFAQNLQHF ETKVNDICLRWAILKILSTQFKMNPNAQSQINQQLISIQNSTNFYMIRDQKMIDLINSKS DACILFKLCYLEFVHLLRQILQQKVNCQQYKPIVQDILQCDSILSHLFQ >CAK93372 pep:novel supercontig:GCA_000165425.1:CT868672:29427:29816:-1 gene:GSPATT00025715001 transcript:CAK93372 MGICDSKSIKKSPYYSKQSTGVTPIPIINKIKQHKRCKTITFWDAKIAQNEVESNQKSYS PPPKLCFCSSNQGSNSDIQYGQSNLTKERLNQVNQNKQSKQTLFGKKVKFSNSLKRGQRE LFKPLASNQ >CAK93373 pep:novel supercontig:GCA_000165425.1:CT868672:29971:30450:-1 gene:GSPATT00025716001 transcript:CAK93373 MNKNNNNNALRSQTPFMSENHPLNPYGNNFIDHPYESKIFYKFNSVKQYVHLEEDDQFRI SKYSAYFAFGLGGTLLGTIGGFQLLLKYVMKPYYTTTYEHLNHYKHLYLGLLVASGVTFM YTYLTSLYIDNVSRPLLYKYLEEAKKNGFQDYEISFKQQ >CAK93374 pep:novel supercontig:GCA_000165425.1:CT868672:30497:30781:-1 gene:GSPATT00025717001 transcript:CAK93374 MISILRTFFCLLCGSRKERQLLMLTIQDQNHNMYYIKAKPNMKIEEVKTKCGIESERSSL FLEKTLLDDEAELKEYEITSDTHLTLLVHTEIES >CAK93375 pep:novel supercontig:GCA_000165425.1:CT868672:31205:31736:-1 gene:GSPATT00025718001 transcript:CAK93375 MTSNINILFDKPKLTLTALETVEQYDFDIIADKVSEQLKYVSQRLIEGQQETDLPYDKEN IILPRQQTYIQKVKDSKFAKKKKNRMVWDENKKDWALRKLKKRDSIIPPIIEATKSDAYE DVFRKKEIEHELKKSKKQLRSVQKDKQKQKKLQSIKKQL >CAK93376 pep:novel supercontig:GCA_000165425.1:CT868672:31765:32068:1 gene:GSPATT00025719001 transcript:CAK93376 MNIKLIFIFLVLLTQGAFGVVTKVTECQAKCDKQVNGCIYSCAQTQLRQSLLTQERCQKL CAGVNKECKNTKCYLDP >CAK93377 pep:novel supercontig:GCA_000165425.1:CT868672:34178:34393:-1 gene:GSPATT00025720001 transcript:CAK93377 MGTCAAQKQKKSTNDGQLQQVVAQVRLSQDCNIILQQRASQSSHLNPYKNPILNRRLKEI PTSPNPQTQSE >CAK93378 pep:novel supercontig:GCA_000165425.1:CT868672:34476:35773:-1 gene:GSPATT00025721001 transcript:CAK93378 MKQKKASDWDWNKKGTLIYGTIEFKPQPLVLGFDMDETLIKTKSGKKFAKDANDWQWWNP KVIPTIQDYFKQGYSIVIFTNQNGIEKGHTKESDIKTKIESLQKELKIPLAAFIASSDDN YRKPRVDMWKDFQELTGTKADMAKSIYCGDAAGRVKGKTKDFTDTDLKFALNLGLIFRTP EQLFLKDDLNEDYSKQLGFNPKSIPKEGFLFKESKVNKFTKPDKPEMIIMIGAPGSGKST FVHNHLNDYTRVNRDSLKTKEKCLKVAEQAIKEKKYLVIDNTNPTPDDRAAFIKLAQDNK YPVRGFFLEVSKDLCLHNDTQRDTNNFREHFSKKVGKMPINMIFSKVTPPTKDEGFSEVL TINFIAGPFKNKDDEDAFYSFVHGKN >CAK93379 pep:novel supercontig:GCA_000165425.1:CT868672:36801:37698:1 gene:GSPATT00025723001 transcript:CAK93379 MSASKIFYSPSRSNVSNVQAYGGDRALSPSRAKISQLSEKLSNLQHSIDEDQAFKKETFE SKVKILEDKATKQAQADDSKFKLLKEQLQKVEEGAQNEKIIREAGDEKLRSKDLKSLEGF LNRELQQEKVNRKDFEGKIIKNTDDKVYSLRLDLARQKKYREETEEKNAQEIGDRVLQLQ EEVEEERRQREQQNQDTIKRLGDSILKLQEILTTEKKQREQAQSQMFRMLDEMNHYLNGE LQSEKNEREATEESLINLIDQTCNRVENSLRK >CAK93380 pep:novel supercontig:GCA_000165425.1:CT868672:37870:39301:-1 gene:GSPATT00025724001 transcript:CAK93380 MRDFGQAEHPTYKLQGNDKIIMQWREFFQSRINQWQFHSLFRVFKKIGKGNFASVYLAER IEDGVQMAIKAFSKQAAYAEENGKQAIVNELTIMRKLNHPHLMRMYEIYETSNSLYVGLE LLQGGSLYDLIKEKAILSTKQIQQILVGILQGLCQMHQKEIMHRDLKLENILFKQSKKMD SVVIADFGLATHVNEHVYLYCRCGTPGYVAPEVINMKDMKGHYSSVCDIYSLGLVFYLLL TGKPPFPGKSYATVVKQNREANVDFSIKQLQNAPISAIDLLKKMLEKDPQKRITSNQCLL HPFLQEMNQIMLEDNQNDFIEEGEENDLCSRMNALNEESVKFDALRKNQLINSPQSSPGV LATKQLKQQKNIDSQNSLQMNSPLFTGKTDSVDSIPNIGLPQAKQQNTFQASPQIKPSRF KQQIKTIYIFRNIPQQMQQQDNPLLKYTNKKE >CAK93381 pep:novel supercontig:GCA_000165425.1:CT868672:39342:39602:-1 gene:GSPATT00025725001 transcript:CAK93381 MNQKLWIQIPNDIKWKNEKPILKSEFIKLKKNKPRVLYGYDHYILLAKVFQFYPILKSHD QTPCKYLKLDFETKFEIIRTQIQQKG >CAK93382 pep:novel supercontig:GCA_000165425.1:CT868672:40447:41199:1 gene:GSPATT00025726001 transcript:CAK93382 MLGGLYGLNFKQHSYLFLCLILPTIITGFGLFYLDEALTTLCVLQFFYVIIPAIYIRYLS KEGELRVYFINELEGRQEQIKRGLSLFRSAFGMVLLSLIFTYYWEEEIMDYLRIPLLEHP AYIGAFMLVLIICNPFLEEWYWRLFLMKTYKESEKYRFIINLFYALFHFIMLFKIFKSDW EFAIGFSTYFMSIGGSFEHIREKYGFITCLMAHYGMTLAASLALLVVYKSQLQ >CAK93383 pep:novel supercontig:GCA_000165425.1:CT868672:41213:42210:1 gene:GSPATT00025727001 transcript:CAK93383 MESKEKILSCLNILKRLPPTQIQKNAAALASLIPDYAEELYQKIDKPLDIGQDEKGNQYI QSEFNRDGDSFRSHVTNQYYPQIDDAVYPSDALRKLEIKANAVFDEYRRLYYEGGLSSCY FWDKEDGGFATAWLIRKNVEKSKGIEDGSWSSINVIDIKTDGKSKWTYKITTSVVLEMNI VQNQDVGKFNITGTLTKQKEESFEAPAGNKDLELFHIMKIGTLVEDVESYLRSQLDGVYF GKTKDIVFQTRFIEGEKHFIQQRQGLAGEFKQKYG >CAK93384 pep:novel supercontig:GCA_000165425.1:CT868672:42978:43166:-1 gene:GSPATT00025728001 transcript:CAK93384 MYMLQLLQNQCNPASQDIFFHKVQAPFDPIFEIANISYPKIDLKLEHIENLRIMQEYQAI KD >CAK93385 pep:novel supercontig:GCA_000165425.1:CT868672:43253:44530:-1 gene:GSPATT00025729001 transcript:CAK93385 MQQKPDYRKELAETAQKICTPGKGILAADESQGTIGKKFVTINVENNEENRRAYRELLFT APGVENYISGVILFSETVKHATKDGKNFVQLLQEKGIVAGIKVDKGLGVLPGTQDESATL GLDSLASMAAEHYKLGCRFAKWRAVLKIGNGLPSQQAIQENAWGLARYAAICQENGLVPI VEPEILADGDHSIEVCQKVTEKVLAAVFKALNENNIFLEGCLLKPNMVTPGSTNADRSKV TPQEIGYRTALALSRTVPPALVGVTFLSGGQSEEEASLNLNAMNQLTTVRKPWALTFSYG RALQNTAVKTWAGKQENWEVAQQALLTRAKANSEAQLGKYQGGQGGASNESLFVADYKY >CAK93386 pep:novel supercontig:GCA_000165425.1:CT868672:45581:51721:1 gene:GSPATT00025730001 transcript:CAK93386 MITQQQTFCLLFLIINCISAQKPCGLYIFDEVGCVSSTYEKCSWNDFLSACQATESNNIG CSVTLNRLACINQLQYPNQDWAYCRFSGYCREITSSTSCSSNLSKPACLAVVGKPCQWQG FCLDMTASQFQESLAKAQNDPTFMNQANISICQSIAGISVVHQSSAFPYIVQLIDKYGSD NVMLSKYKAMLAIPGCLEVDVNLLNLLTCSAAGLNSAACKNISTPGSKCKFQNGQCINVT DFQNLSCQDNINKEACLSVTNIKQPCYWSNGCQLYTGKADCSVKIQPVSPSFCAAINYVD KSGNDQECFYYQKKQKCSELCRAKSLQSNTSQKSCNSSSYNCVYQNSSCLFKNVKSQCGL LGQNQFSCMNVSENCQFVNGICRQIADLSTVKCGDNLNQQACQNIVAVGQVCKYDKTEKK CKVLKLDFYETCENLKFVNENACRRITDSACYWVDGSCKVPVAKVSCTARGLNRIACLQS NMGPCQWSKEAGMCQSVNVIENQTSCESLKLVNEFACRMVSFKSGVQEEHCKYNENTYQC QLFPKELVAPFTINCLTQGLNKRGCLDVLDPTVACKWTSQGCTKLTSVTSACSGLVNVTA KACALITGEVCGYDDEQTKCTTSIKKNAASCTKLEAKDSVLNGNACAFIEPAGDLACYFD AVNLSCSPAATQLNKIKCSDSFPNKFACLSITTPGQRCQWNTLKRLCEDIKMPSYESCED ITDVNDNSCVGYENDVEYYIGESSFCTKPSNTDTKNKCKLYVPAGGDEATCFSGKFPNLH ACVAKTTGQNCYFDTNLFQCKVLADADKPTILDQILCKQANQDLCPLVTTNDQNCQWEST EKKCYNPDKCSEASTAVACQAVTQPCVFIENKCILIKSANAKNYKCTDANNNEKACYQVA GESCKFTGGVCTSLTQDLENDTCTTYTDKVNAVACAKIKKEGEKCKYDKTTNKCISAASS LYGECNIGQNNENCLQENSCEFTETETVKDGESTVEKEVFQCKKIDSSCQYALTSDACMQ VQYWCVWDIAKSSCLIAPDVECLEYNDPNFQYSSYTCGSVSASVDTKICSQNETSKKCEE IVAVSCKSASDDIDCKIVKADCKFEKAKCVSNLVKAKQCSDNFTQYACLTGSLYCQWVDS TCQDYKYEIEIKCVSDSIPEEDVEAVISAELCYWYGCYLKKETLKCTQDTSVPTECTEAV SPFGCKSLPDEKHCYWDIDSNCKQLTDLSQAKYLTLKQVANSRRTLCLSPQNEGELTEWW DGECYSVDSNLSKCEDDINIRACEAVLRDDPIQLCIYKDNKCKYADPLTALCTETINVFT CVAITTAGQFCVWLGQQCSALQDDGFPLGKYSNVNANTCTKTYTIDGQPNSKITNPIGVK FGTDGCIQSDPLSDLCSTPGLNYYGCLQTKAGACTWNGSKCVPFTQFNGKTKCSDYLKVS AGVCEQVPTLKCTWKNYNCIDATNQLLCTDSLSQEACVSLNTNSCQWLNNKCQIQNIIAG VTSCGDSSTFSTAEYSSVMSCQQVSFGGLPCRHTGKGCTTQIDLLVAKCNTPGLNDIACT MITLEKCIYLNGQCQVYEPTSSQCRQLVNVSPQVCAEISDSTQLCKFSKLNNKCVSVYNY DLCSSSGINEFGCNSIGVCKWHKDEKYCTCSSVLSGVRFCADFDYPTCKVQTQQCLWDDT SQKCRAKLCSDLSSSNCNNATMNNQHCYLTSKGTCHGAKTCNDVRTVNDCTKYLINGQYC QPYVNDVCRTKQCVDNLTDSSCSGSCYWTGTYCAQRLCQTFTKKEQCSGTYEDGTCFWFN SLCVGLDSCYKLQTYYDNTENLKKDCNAASILGNQCYWQLAYQYADSYECSHNQCKPLGS SKINCVGTEISNYVCILSQDFQCMRCEDVADKCECSKYSGNCYYSNNKCNSIQCAQYVED SCGMISFCMFLALFPDKCIWHAGSKMCLIICAKLDEKSCEARQTATSQCYWDAKLERCNS GVPSKIVIDTVSPQIEAANITITISSSYSHLVMIIIGLYLSY >CAK93387 pep:novel supercontig:GCA_000165425.1:CT868672:51764:52222:-1 gene:GSPATT00025731001 transcript:CAK93387 MDHFEQPSAKLLYLINEMNRLNMITQLEKCTLKQFVLEEHQGIYDLLKQYPKSDTELELA EAIIILLRGAPNSEQQQFQDDSQYQADLQIQEDLESPLGNQLMNRKKGQQKKNKHQDSKP FDLNKIQ >CAK93388 pep:novel supercontig:GCA_000165425.1:CT868672:52682:55715:1 gene:GSPATT00025732001 transcript:CAK93388 MKTYEFELNNKRNVQREELFILTIVERVLAGFLSSISFVDQAQFCELLSRKQKEKKSIQA LHLQILGTIKRNLLKNQSPIKDHKTNYEIRTVVSQSIDSRKNYICLSSKKKQDNKEQQTT EIETQFYAQPQSVKSRRFRLDKASNQDGRLLTNGSYQQNDSTTTRAINPFQLNSPNSTQA DNQLKDLSIQKQFTSIDSNFKIRNLKPTINIVSQDGEIEKQVNYKSNFNQQQQIKGITSN QNIAQKQNQPYLEPQLNQQSTNGQSKAEILETNLINESINPQAQSYSRKQSQNLPMGSDS SKMDLKEKLQKYYSNDDDFSSSRRPMTQSDRLKQITYGTHTELPYLGKDGKQKQQTIQSA KCLDKNQEQVYLKQSKQDKIKQQQKSEKQDEQSNPLMSLKADEIEKKTAFLSPPQSSRTL QSGKSTKTKLKTVQSNEQKIAEIQQYHQQNSTSKEESQISLLDQSLHKQENVHSHFTPIR PATTHIEANTQQQDYPQFQTHDNIDQQSQKYQQTQLMQQISMTSIMHDDEHNSNMSQQIN IQVNQCEPTQNTNNVIVEESIECSPMQRMPNQQHAIPSFNHLKLEDQKQPSASQIHSQQR QQISPSDRNQPSHSQIPLQQNQYSINSTQSRMLIPINNTQNSAKNTRSTTKQKSQLREQQ QQQQQRSDESQPESKLTIESSQGEKSNRSKAVKNAKQLKLPVAAAALNFARRKSSNQNSP HDPKKMEQLDKERQRQMEYQKQLEKMKYERELMEQYKIPDNMPLSQKRAIIEQLINQLES IADQDVKYQIMLCITYYVICLDKQRQEQIQNAAKQELVFSRSLDNCYEELGHLSEQKLKI LGKDDLNKIQFLKFEDQVQTSFPGQQKAFSQDINSPIKKNLKFLSKLDPVEIEKQMNRIK EFKQETEMLRFFQSFNSQYDKEVNQCDLDFPTEKDRQYSVDHQRGILPKTTYKRKKTKKQ STKLMISTYRLDPKKLDTLLQATDKIKSVGQFLL >CAK93389 pep:novel supercontig:GCA_000165425.1:CT868672:55903:57098:1 gene:GSPATT00025733001 transcript:CAK93389 MRNLKYLIFPFLYKSSLLKLSTQSRQDSYSKVYNNQKQYKVEPETIVFSGNSNTMLAKEV AQCLGIQLGKAMMQRFSDGECNIQVLDNVRGRNVFIIQSTCPPVNENLVELFLFISALRR ASVKKITVILPYYGYSRQDHKLEKTQSIAAADIARMLEQTGIDHLVSIDLHRGQIQGAFS TNVPVDNISPYITLIHELNNNPLQLSPPNQLTLVSPDFNGVSRAKKVQDQLSIAFPNLKT SKNQLHPVAEAEISLVGEVNGRNCLIIDDIVDSGSTLSRAADILKREGAKSVMAYATHPV FSGRAALNLGISNLSKIYITDTIQVKELDKQILQDKLSVLSVAPLLAETIYRLQKRESLH ELLGAHNI >CAK93390 pep:novel supercontig:GCA_000165425.1:CT868672:57109:58761:-1 gene:GSPATT00025734001 transcript:CAK93390 MNNNVGEEDDDLLISGARNNKKGQINLDLSNYSSNIPDIIPAFDLNNFKKYILNENLNLN EIQELILNCHLSSMKLRFSIWRLFLGIFKISDSLEEKINKLNQNRSDYQNLSKQYLQVET KKESKRSVRNPLLQNQQEQQKQNVWNNFFEINHLKSEIKKDVDRTHQDKQLFQSLKIKNL LSNILFIWSVKNPTISYRQGMNELAANVIEVYFTETQGFNNLEDSDDKKEIAIFFDTKYA EEDIFQLFEQIMVAHVDMFKHTPESQKKQQLIIQNRIQKIYDQQLKIIDITLFKHLKVQD VELSVFLVRWIRCMFTREFHVEDSLKVWDAIFYDYYLTEDKQWLILVDCIVIAMFVYVRD QILEKDDPNACLKRFLKYPPVENLAQLIQAAFSIKNVLQSANPEQTLLQDQFLITFLGSR DVSQSPKIFQNVKNPEIPDENNKLIEANNLKQQETIEVQINQAQLILKALNQGIVCIQRF NTDKSVNEVKQLLENAKSELLKCLDQQQN >CAK93391 pep:novel supercontig:GCA_000165425.1:CT868672:59430:60701:1 gene:GSPATT00025735001 transcript:CAK93391 MSAQQKKLLELKQKQGLSTFHRSSHQLLPLNSLKTQKTIHEVEVVRGSFKTLPDVKSSPQ IETQSKWAKLQQISQTYRSPNRNIVITNKRTSIQRLSIASQLKNLVKFTSSIQLDGLDAA KNMVKNNDTVVVNMQRSQNIDYLVLGLGDSHGNLGTHFSKLITHRALDQIVQVINNTPII GLSLSIHYSFQNVYQQVEQNLIEQTDFDVKNNGCSLLSMIIVNNSIYCANLGDSKAAYFY KKDSDPLDAKEVRKFAQKNINFVHDTNNSKEVQRILKKGGKIDQAVYKGRKSGNLKVWAP KQNLPGVKLTRCFGNMIGKTVGISADPEVTEFKVPKSGYLLIGSTGLWEIMDILVIDQIL DAHFPPTCQEDIDLAIKEIGDQTKKYWDQDGEGLIDISLILIYIQL >CAK93392 pep:novel supercontig:GCA_000165425.1:CT868672:60730:62246:-1 gene:GSPATT00025736001 transcript:CAK93392 MNKLLLIILNCCLGSLFMGFCLGQMNVISTDVYNVYKIDDTFTKGLMQSLLTIGGGVGSI SASILMGLFSRRRSLQITDLFGIMAIMMAFIDQSKYALLASRFFVGVVLGLNGVLVPVYI NEMAPKEKAGFLGTMNQLFITLGILFTFLMSYFQDISLPIPFYKLMLYLPIIPCIVRASA LSTVFKYETPVYCVKHHLNQQLHRVLEMIYNEKGEKMYDQFQSQQKSTERRITFNQLFSS KYRFRLFIGISLASLQQLGGINGIMFYSSSIFDQVTGQASQKVFYLNLIVGFIGVFTALL ATVIIEQFGRKPILKYGALLCFISLLMLTFVMSNSVGNTPFGQYSIVICIFSYLFGFGFS LGPLLFIYLTEILPDLGVSASGLMNWMSGGLVAQMFPIIASYDISYCFALFSMFNFLAYL IIQYKVIETKGLDKETVDKYFENQKFDYIPYQEAYQEERFR >CAK93393 pep:novel supercontig:GCA_000165425.1:CT868672:62254:63158:1 gene:GSPATT00025737001 transcript:CAK93393 MYTNQSVYIPKAIGVKEYAYDEEINIPYRQQMEDFYFIKDNILEDGSQSILFGIMDGHGG QTVAKFVSTNFPKVQHQFTIPRFFFSYISKSKDQSINCFRIHSKKYQSIEFKVNEMVKQE CNSNEVGSTASIGFMRLEGAKRMLYFANVGDSRAFLFGDQVVPLTTDHKPNKQGEKARIQ QHQGTVLMDRLNGILAISRAFGDHSFTQYGLTCTPDQVKVELRLSHKWVVVASDGLWDVV NEQELLQFIRYKESADEVTKFLQKLAQKRQSKDNVSILCLKIQI >CAK93394 pep:novel supercontig:GCA_000165425.1:CT868672:64003:65553:1 gene:GSPATT00025738001 transcript:CAK93394 MLNIVLETRGAHRIKLGVIVFSVITISLAIVFLVNMITPYGRLTKSSPASTFVELIFCIF VQMCLIYRLNDIMYHKKQASYKLGLEIIITAIAVLSLIDFICWFINPVPDILAAFICLFT FIIAGFQSTLWILQRIKSSNSEQEYDTNLTQQISQAGDSAPKTSKCMIALEYILFSFILL LVILNTCQIIHEANHPGSLGEGEEYYTVKSQDLDVVIRTYCIGAKNLPQIILEAGGGSSG VDFYEIQTQLSGNYRVCSYDRAGYGMSWQAAAPQSSENSMIIAQQVMDKVGFNTSVPNSI VCIGHSVGGQLCRYYAQYMLSIQGIILLDSVPVMNWFYLVGQCQNQTVSQVYAQQQQTLP LIKAMASLWPFYLETPFFMSSGGFQPSNLQGWINWQITTTRNWYSQSLGYASELEECQEQ CMESSIINPSSIINKPIIVITASNQQGTCADRNLTGTDCQNFYCSQNASIELTVNQSLLG NQVSTYVECPGICNHDFVWKQPDFIVQQIEYYIPQF >CAK93395 pep:novel supercontig:GCA_000165425.1:CT868672:65651:68964:-1 gene:GSPATT00025739001 transcript:CAK93395 MKQQYSVILPINAESFQKGSKFVDYLIDFDLSKQNQQKVELVSQSPVVKMYILSNYLPEF MVQLTNQKQIQIQERKTSFENCEQRIFNVVQFSGIEVAVIAKVSPSPNRAAQVIDVVAEE LDYFKGYEKDVERKLRDNFGILEKSNWQRFCPNIVAINLNVEINIYQYGDESNVIAQFLT EIIRKYLLYFYKSMLLTYNVWQSMNDSELFKMNKSVLLQQQEVKLNLYQQITSLKDLQKT SYKELKKKYFKHYSNLSQSTFSTPAKQSQVSLTSSQTPTRPPQLQRKKAGMILKRGDGPI DYNWNQRYLVLDGQTLIYFKDRNDKVPRGAINLREAYISPMSTLDDREHCFYIEVEAQNN KQFYFSGETLDETEQWRDEISHATTAVVQTEKRPSFVVTNTYLLTDTLPSELSKYKILTM LDDLQQQWQFRKFRNGVKIYESPIQNKNTRNWKLYILLTIAFCIGVYLKPNWIPFFMAFL VALLSASYFKSQKAQNIRVYGRMVCDVDSTKAFRIIKNFKFKKVLDSNFVQINKIQDNKE AEFTKRAKVHFIIHPIRQKQVVTKPVIIQMNLLRYRFIINNGSSFIVDILTSENNKFNMF EAYEIQRIPKVSGKGLVNYYCEITCSRITTELEKYLYNKAENLSLISQTIDEERFHQFQQ SIVSELSSAYIKQKSRSIVGFDEQMNSITQLKNGQQITSKRLEERVPGYRRFKEGGIECF NKEEIKAQDGLVLDLMRSAGRQLFEGRNIISFSLPVRIFEPRSMIERICEYWGFMPIYME YALGQQDPLTSLGYTIGGRQKKPFNPILGETFQGSWQDGSSISIEHTSHHPPISHFYIEH FRKKYRFYGHFEYQAALRYNAVIGHQVGESVVEFSDGQRITFSMPPVKVSGLIYGARLLE WYGSIKFVDQKNNIVCDIKFSEGAGMLIGRSQKPTDYFEGTLFQHNRNQLVGMESNIGIW KRQDPAYVNKIESPLPSDCRYRTDLIELQNNNLDAAQEYKHQNQTLGKKTRLEILQRRDR KLRQDHKSSKSK >CAK93396 pep:novel supercontig:GCA_000165425.1:CT868672:68972:69538:1 gene:GSPATT00025740001 transcript:CAK93396 MDVSIEKVKHRTNHESIRLHTLIKKDISQKLKKNHFSPPSSPSILEAVRSKPLIKIERQQ RSPVVQQINDLDFGYMEELERKKMFMMIRLGHIKDPARLLPVHNYDKIPILPKKKKRKNN QTPSPNISPLKVSMHNSNDISLPTLSTRLHEDPYQMNRIHNTTKQKLDQKQRRLNVINKR ILNKFSIE >CAK93397 pep:novel supercontig:GCA_000165425.1:CT868672:70129:70803:1 gene:GSPATT00025741001 transcript:CAK93397 MDIIDFNKPNTVKKVKVVKKVVTQAPVVNKQQQNKDYYNKALERIIQLLKENNPSLATQT NIQLEKPQIDKLGTKKTLWKNFDAICVQMNRYFLIELGTEGSQADEKLIIKGRYTSTQIE TLIKKYLYEYVLCSLCKSSDTTLIRDVESRLYSKECKNCKATKTVATLKISNKRQK >CAK93398 pep:novel supercontig:GCA_000165425.1:CT868672:71248:72740:-1 gene:GSPATT00025742001 transcript:CAK93398 MIEQYKVHEQIGQGEFGKVYRATNLITQTVVAIKSVDVAKFNETPKLLELSMQEIEVLQK LQHCPYVVKFIELIKTVHQYHFVYEHCSGGPLDKLLLLQGHFTERKSLEIIYQLIQAFKV LRENSIIHRDLKPSNILIHNGIYKLADFGFCKPIKNDVTATMLGSPIYMAPEVLRGLSYN SKADIWSLGAVLYELLIGKCPFEEKSIAKLITAQDETDWVIPPQFHFTKQTITLLKSMLI KDPNKRCTWEYLFKIPLKSDGEFSGDLELYSDKPEQNVLQPSNTNTNNVSILQEIVNERC KVQFMCSTAHTILEQSQNKESPLIAYYLLLLANNRGQQLLSVIKNQCTTATEYFSLNKLS IHLKQSQDNRLQSNFEFTRLVETIAKEVDQLNIGLKEYKVILDAQSLEIKDNYRQNIKNY VNQIKQSNYVAFLDNNQEKTLLTHCVQVLDALQIDKFMNSNIDPTMDAYLEVRSMTKKQL LDRFDTLL >CAK93399 pep:novel supercontig:GCA_000165425.1:CT868672:73391:75499:1 gene:GSPATT00025743001 transcript:CAK93399 MNVETQSSLYNKFKDQLDKLKNKEKPPVVFALHELNCDDTKQFFQVTTELISSGINFAIA KQIDKRMWHILRQQIDIELKSISPDVEEEIKQFYDLRINFLKTIIKNLTQQNQLGGLKND NEFRQFLANLYCYAGELHEHLGKRLEKMNKQPKDNYKLADLFFNKAISIYPFQGKYYFLV ATLMMQFKDTFNAACSLQKAHFAQIPYNCKENMNEVFEINRTHYNDWAKHIKPASELDRD TYLRAFMVYLIRFSTIVFTKIGFEELPKLTNMYQHLQEYFKIVKTNVHNDHKQQYQLLLK VIMITNFGLHNSITTFQLKTVQDIKDNDLVRESIKYVYGLYVNSLDFILKYLLQNSMKNL DQVPPVTFLQLVIPIIYYLYDQPLVCTYLLSEYPSLNDKLAQIFLYSRQNLYQAENMSGD LFQYQQKLNEEFHAFLFPFEMPLVGFTCYNHVLQTFKQQSSTYKDEDSQTSIILFYVCEQ IIQQLHGIQIQNVKEQKWEEEIPKELIRKLIIIDGMNVAMRYGQEQSQVAKFCSQGLKCA LEFWVKRGHDVMIILPDFCFNESEISKKKLTNQNNANKLPDDVKMLLEMKNKGYAYGVPN WNYDDSYMIQYAREKGGLILTNDRYNDHIRALENNIVERERLKEWIRNNCISYTFLQNEL VPNPDQLKRI >CAK93400 pep:novel supercontig:GCA_000165425.1:CT868672:75529:76572:-1 gene:GSPATT00025744001 transcript:CAK93400 MSQRPPVPQNQAPQQPQAPQYHPQAPQYAPQYAPQYAPQYSPAPLATYPAQYAPATYAPA YAPVAPLTYSVARPVAPVVAQPVVQAPVLQQSVIAQPVVQQPVHATIKGESRIEYVPYQK AVMEYEEQEVVQYVPRERKVTDYYAVEYQTEYVPQVFQEKYTEYVPVDRYQERVEYYPVE RQVVHQQVVQQPSSNKWSNNQLSKQLHHNQLFNNFIVQPVQTYPVQYAAPIVSSRVIPSY PTYPQYPQYHPAPQQVQQQPPRSNLNNNI >CAK93401 pep:novel supercontig:GCA_000165425.1:CT868672:76639:77611:1 gene:GSPATT00025745001 transcript:CAK93401 MQLRSISNKKKPSQLTQTTQLLEKEAKHMEEQLQQLKQIMQQEKFKRESEKPTGQNGTRW KAAKIDKGLRNYTQNLLQKKNSFEKKSIPAVVRPTSAKLQPLEKSIKTPAIDKDIEIFFT QVGLLQYKEKFQNYTIQSLKNVTVQQLREMGILPGHQIKIMRALKEIPENIQLMQEDSFC QSRVSVSVSGVQCNQAKQACWLCYKIFDDTEINCNGRNFCSQQCLIQFNQEYMIKCSKCN KKFYKNDGCVVYDNYYCSQSCSIDANDSVKGCSTNTIESVIEEDQFQQTNYAEQFNEFLT SSLLNN >CAK93402 pep:novel supercontig:GCA_000165425.1:CT868672:77612:78391:-1 gene:GSPATT00025746001 transcript:CAK93402 MTNIWNKNEIITPISEYNLLYQYNKHVHQVQNIKEQPMNVEKPFHKKKLKPTTSKKLLEI KHPENTENKMLVQKILTINNQPSSYASNEDLPIKPSNLGQKILEMRKLIEDNEGIEKRIK QTSSVINFDKIKQEYKKNKLYLKNLTAHSRRIYNCFVPRAQVPVEAKKKKKVTEKKQQLQ DLKRLQQQQPEEEKDKKHVEQVEETNVADVQQMKSKSNLDQDKLIYQLNELKLKEDCSEQ SEDD >CAK93403 pep:novel supercontig:GCA_000165425.1:CT868672:78401:78771:1 gene:GSPATT00025747001 transcript:CAK93403 MDKFDTIQGKLFYTVYCMHKSNEITHYQRGRIKDMIVQWDPQIQELIKDQNRLKQNLLEI ACSYSENGDSKQTKITPRSFSRLNIRIGQSNFKLASKSYSSLSSPLDQRKQLLI >CAK93404 pep:novel supercontig:GCA_000165425.1:CT868672:78778:80655:-1 gene:GSPATT00025748001 transcript:CAK93404 MKKLKQLPQQQQPMRRSSSLLTVQPQIELIHFEKNASLSQSTFFKTFTEMPQMAAFTNQV LRIPSPKERLQAQLQHNKKQRETIINQSRERQENAMTEALSLTQKSKFRNYQKLKRRDQS PKKAMELKPQHKVFQLPKLDAPIEEIIDSPNQLTFYFESQRLTRDIRTFKPSFTEQAAFS LFNDQLYLYGGIGGDGIRNQMLKYDIKFQVWQVVQGIGDHPKQGRAGLSVVQYKNKFIYF GGCGQFNPKLKIRECTNSIYEYTVSTTNWEKVHPQGDYIEPRRLHKACLVGPKWMLVYGG INSNEEVLSDTAIFNIEKQVWKLFKVKSPPVSCHAIVNISSQGKFQESIPTDIMPLDNIY SFGGKDADGNSVDVMRKLSYCSSNNTPLSWDVVETVGKGPYPMHNHTIEYLRKVQGIAVI GGLRDFIVNGTLESDEYFIFYPTLNLWQQVIAEGLKIPRCAHSTVLLSSKIAVFGGIGSE RYLEPDVGFIETDQQQVFTRITKDRIMHNDTPTLEEPSKLEFQLRKKRSMFYEESEVSDG YKPFKITLRKTTQVRRSYLPNPQRKQVLIRYDIMIGFVKKVIHDNLHILQNFDKYLERKI I >CAK93405 pep:novel supercontig:GCA_000165425.1:CT868672:80685:81810:1 gene:GSPATT00025749001 transcript:CAK93405 MFSYSPIKQNSYLSSSNQFEQPLDDMSCIIENGSMGSLYLGNIESACIQFQFTLLASLDN LKRHKIKGVLSICMNKIPFEVQTSLQHYLHIYLEDCESENIARHFENSNQFIDKARQSGN VLVHCMAGISRSATLVAAYLMKKNNMSAQDAIRLLERKRWQVYPNNGFLRQLSQYEKVLS QQNGRSDISSPLRDSWNKQFQTPTKESLFYNKYDEQQQSSQKTRPLDDICFDKYKRKSAG QEDLFRSPESNEKKPFSMTQHRPSSAIRTSPDLFKKKNLLADTIGGNKKDGLAALALELN QIDWQSKKLDLESKYPKPASQQNNVKSFLTPTRPLQLNQHQSKLDELLNSFNRKSIL >CAK93406 pep:novel supercontig:GCA_000165425.1:CT868672:81863:83551:1 gene:GSPATT00025750001 transcript:CAK93406 MNSLSKRIRKIKKTPKMTTFEDSDDPQQFTACIANLNQIVAEDQFKQIVTKELLVRLLEY LRHNNVQVVINALNAIQNVLRISDNFGSEIRDQFKDLGLLGILLLFPVQENTLSSFLNLA IEIFETWDISLLPPLYQRILPILENCVQQVYNEDIISEALNLLQVLTEIPQFNLKNIDLD QIFSHNIIKQLHFANQMKLKALTLQTYLNIDRLDNEMFQLINEIIRINIFQELDNIESFL QKQVTMEEESEFKQDERLLSTLQIWKCSAQSIIQVLVYLNRIYEEDNDDPYANNQKFQQF FRKDIIFDLEDLILKNFFNYSGVIQKKLFTDQTTFTDELLSLTLLIFNLGNNLLANLQPL VCLQTVEPILPQIQAALQVDIISDEDKKELAQSEFLLLIKLLKVNPQLVLQIQPLFIVQL SELTETTEILFHIVEVMKIRYSSKVKNDLETIRIVTQKLLKLMNQSNIMLAAQALDCLFD VYTEEDFNALLVEMQILDLLSQGYQYLQSKLPQEKKTLAKDDWKFLKLTVTNLKQFIEYK LNIIK >CAK93407 pep:novel supercontig:GCA_000165425.1:CT868672:83597:83845:-1 gene:GSPATT00025751001 transcript:CAK93407 MNSSQRRDDVISFNMLNLQVSQKWRKNVLNDVNINESDKKDNVNLDLPESLPKLEKEREQ IIKAFKNCCINSLMPKEIMNEI >CAK93408 pep:novel supercontig:GCA_000165425.1:CT868672:83974:84423:-1 gene:GSPATT00025752001 transcript:CAK93408 MNSNAEELYLSVSEEKDLYSYSEWVAILLQDYEPYRYQDKYDKLVNQYQKDVLFQQMIWI VLKLDKHKNTFDDLIAAAIPGAKTKGYEAPIERFPKQQLIVSKKILKLKRKLQNYNVQFD FEDEYPLPQFSKDLVFKFEPNTILELILQ >CAK93409 pep:novel supercontig:GCA_000165425.1:CT868672:84427:84801:-1 gene:GSPATT00025753001 transcript:CAK93409 MSSALFAIGCGLIVVGGSTKLLIRTYRQIKSKEFFKTVETSRAFYKGTFSTQLTRREAQL ILGVREGTPQDQIKTRHRTLLMLNHPDQGGSTYVATKINEAKELLLK >CAK93410 pep:novel supercontig:GCA_000165425.1:CT868672:84845:86315:1 gene:GSPATT00025754001 transcript:CAK93410 MNVSLKDIIPSKNSSQFNLNKIRFKISKKVESYCQCNQRNCLICARKRSSSMLSMKNSIV VDENSPVSKRTVEQPKPIQPFNRSISRQQSNHMGRVSVNISTTTTTTNNYGRTRKTSVES SPPKDNSIKFNLPLYLVIGRHSFKYLYVIGKGGFGKVWRVEMKANKQEYALKEMIKAKII SKRSVNSVMNEKYLLEHLKHPFLVNMHYAYQDRENLYLVLDLLRGGDLRYHIGRQKRFTE EQTKFFVCCILLSLQYLHQNGIIHRDIKPENLVFDKDGYLRLTDLGVARLNKDSSASDTS GTPGYMAPEVMCRMDHSFPVDYYAVGVIAFELLLGKRPYNGRNRQEIREQILAKQVQMRD DKFSSKVQDFINRLLIRKPQQRLGAQGIHELFEHPWLSNYNWGRLLNKEIKALYIPGSID GNFDYQSQISADSEPQEEASTLLRRKSVQCLFEGYKYF >CAK93411 pep:novel supercontig:GCA_000165425.1:CT868672:86324:87586:-1 gene:GSPATT00025755001 transcript:CAK93411 MYAPDLRRGPTTTTFLGTATYITEPQEQNKATTILKIEEAQPPNTFDELYTPLPNQVEFK KINTNVLNSMKFPSKSNDKEDGLLEVKSMFTQWQKYLNLEEHKAVVSDSFWYVVTKFFKR EIQPDETSETYPKINFNKLPKEDQKLLTRISRNYINLFLTIDRKDDRQLFFKTYFDILAQ SVFYALFYSFPLSRSKFNDDLKKQLLDEFSYLFTGIEIANSQKYLKEWNLDLGAGNIFKK QAEQKFAKQQQYEQSLPPIVLSQTTVKARNKRVMVRIKFSPIVQIYLAENKYSTRNQIQE YKMKFTESEKNQDELELKFARYIKLADQLKSEAIAMRDDNENRKKKMKSEIKTIKQETVN HHKRLEKRKAEELERGAHEYANYLVSMLNAGITVANLGVSKQK >CAK93412 pep:novel supercontig:GCA_000165425.1:CT868672:88054:89023:-1 gene:GSPATT00025756001 transcript:CAK93412 MNVADRGMHIILMYLRMNAYTIISIQMLTKVNQICSNDGEQFLSVSVKGASFMIILNLTA FIYVKAKEKMQVSDNFLLAFLNICWGIIEFMKSYQTTEINLNECEYVINIQQITFYFQAT TALLVVLAFFFSQIIIRITSLPISCVSLYLIFVNQKQSCLEDNIFYLMIFSTVWLIVLGV PYNFFMSANKRVRGPYQFLTSIGILIQIPVCVLIYLQGLNYKNDACQTAYSAQEIYLVIA VLQLVMLLLYFTYYKKFEKERENQEISKELVNLSTTTASTKLSSTKYTLKK >CAK93413 pep:novel supercontig:GCA_000165425.1:CT868672:89655:91292:1 gene:GSPATT00025757001 transcript:CAK93413 MQNHSFCKLSVPTFKQYEQFQGVTLYCIDVQVIGVTAWRLELRYSDLFDLNTILKAQFQK LPKFPGKTLSKLTTPEELEKRRIKLNSYLSAISERLDIVTCPVFCELFEIGKYAPKYVFE QPKIINCQQHTQTIKNCQYLKEQEALLVVSSQTEGYKQLMALMQNKENQISEALGQVELF SLKDNQMVLKWSQIFENQVHYNYNQRLNVCTTQRDAQQLDQKKEKQLSINWIQRLECTST PPSTYQMHKMRVKWSILSQTKKKVIYSISQDGTFVVTLRDYLKHKDCELTALLVCDYREV AFIGNSKGSVFILDLSKESVQYLKRVDCQVSSKIVDIAINNQQGFLFAACESGQLQVLDI GSIGRESNSKPKVCIATNYQTKKISYCQQRHHLYIGDTLGNVTVISEQTGCPIYSVRAHE LDVIITLVYYLEETNKVVTYGKDQMFKMWEFPTWINLNEIKHQEYQEIKQEQLIKQQSKQ KQSKVNQAQSEDHEQCI >CAK93414 pep:novel supercontig:GCA_000165425.1:CT868672:91316:91861:1 gene:GSPATT00025758001 transcript:CAK93414 MNYLNLFQYIISTPIYPHYYCASVQEIPFQKLKQIGVKCLLFDRDNTLTRHLETKTVYED LLSKLKKDFNQVLLVSNSKINEPTQLGLSVARTTTKKPFNFQEIYKNYIDSNTKSHEICV IGDRLFTDMVLAHKNGLIGILVKPIDISNEESSIRTMRTIENFIMRNNQLQKHPYHGFLN F >CAK93415 pep:novel supercontig:GCA_000165425.1:CT868672:93044:93664:1 gene:GSPATT00025759001 transcript:CAK93415 MGIKCSQPQKSISVFYTLNSFDEKEQQSHQASQTLNYSFPQKQILESIKTKLQLQQEQNL PQQPDDPGSPMQALSENTQEGGEFTKFNNSCPTTTRMNRSNSNQISFQHNKTSSIKNIHF SQSILNKKNIEAKKGIIKKSRYKSDSQSPSSIQTIKSVRFLITEQIRLQAKSVRTKSLCR KPSTRLLNSSTICSNRDQSLYYKVVF >CAK93416 pep:novel supercontig:GCA_000165425.1:CT868672:94295:94659:1 gene:GSPATT00025760001 transcript:CAK93416 MNEYPQSQFQYIKTYFLKNGIAKQLIPDNLDDIYPEALDENPTNNQSHRRAKSDVVLKKE AQKHNFVQQFSQQTDTSTQNQSFKSQGILKKTQRSTTNPVDKRKTHRKKVHFI >CAK93417 pep:novel supercontig:GCA_000165425.1:CT868672:95504:96493:-1 gene:GSPATT00025761001 transcript:CAK93417 MTEKPQQFNPILIAFYSGLASLVGDMVMFPFDTIGTRIKAHKSKFLGMRQGYDLIVKNEG FKYLFKGFSTTVMGSFIPYGSYFLAYEYMNYYAIKLTKGLEKDGEKSKLNLLIPLITSPL AEAVSVITYIPFDTLRTRMQMNVPEYNYKGIYSGLIEISQKEGWIRLFQASHLYMASVVV YTTFQMWFYELLRYQILRRRSDQKLHNQPLAIHQSIIATMISTALAAAIVNPVDFIITRY QLVDSSQQQLSVKVLVQEAWRQEGKKAFLKGLGTRVFQCSIFSIFYFPVYDHFKSKYGIT LAD >CAK93418 pep:novel supercontig:GCA_000165425.1:CT868672:96547:97848:-1 gene:GSPATT00025762001 transcript:CAK93418 MLQTINQVPKQVLLEFYNLSKLQVQYLYDVLENDLDTLYRVLHSIKRTEQIDKLIEELGQ QHQQQGLLKSMTYGNLKELKNFLKQRISFENLISSLERLNQDEEIQKLKELKELERPIIQ QDSRFLELPLYIHLIVMDFLDPLSLHKTRLVCLKMNDIFNVPQISDKFYQKFCRALFDQI EIQPNLMNPFDKTRKYINENLHLFESDLQLINSMNQNPSQTIWNNWGNPQQNILNYQELR QHYQSHKDMYDQQLRLNYCGIYAMKEYYIKYGEAQFQQTSAPCYRVDFFRYIRFWRDGTF TMYISSKKLTKTEIYEYFRSPEIGIIPKQGFQKHTQFEDCFLRGEYRVVLDEVHVIAARQ STTFQYIYKIKNYQNKHPGNVLLQQSGQMHTFGDTYRQDIGDSQKRKHFIYKHLEEFRQE LHDELYGWKNVVY >CAK93419 pep:novel supercontig:GCA_000165425.1:CT868672:97919:100178:1 gene:GSPATT00025763001 transcript:CAK93419 MNKLLWRQFSKQVIRSKQLLVQRNNQQEIQDYFRQLKIQSAKQRKDFEDIALQLLSKEQD KCKAYFYFLEISNDVTLKTLLQEIFTKAFLELNDFGNKQLALQKWQLIPLDFIEKYMEGF DIKPADIQDAQVKILTLLQNKKPLQAMKLIMIFKDQLNMSIFIDKFIQLDAVQDFSKVCI TCPNLLKDFLIKLTQSDKRHHQKFATELIRKYNLKKEDYPQLIKIQNRQAVDRTYFPKLD EPYERVEERLQGYPYMLCHVIDKLLENNKVNEAYSVAVRQGLNDQFNLNGVLVENPLLKF DGFGITEQICYQEDPSGFIQFSDFNIHEDQIQFIDSVEKLLLIKDLILNAQITGFDTEFC HYFDEFAIGGVAIMQISTENNVYIIDIFNLREKLELLQFLNNYFASNKIKIGHSVWNDFT VMAQNMNLDQTVEPKNIVDLTFLYNEVFPENKNNVSLANQVYQLFGKKLSKKECFSNWQR RPLRKCQLHYGAMDAYICIALYLKLNQLKQLDIVQLPQLQQQHQTQQKSKKIQQIQKGEH LRYDLQFQKIIDDKQKMKFLVDAMLKKLATFLRNLGIDAEYNEKNDHQTIEQQAIAEQRV IITRDKKLYEKPQLKAPCFLLSDNLNTEQQFEEILKELQFQIYENKILSRCVKCNFDHVI QISPKTAQQYLDFKNNDSFGQIQVFWQCEKCLQVYWEGNQFKNSIQRFTKVAKNQDDDKQ >CAK93420 pep:novel supercontig:GCA_000165425.1:CT868672:100662:102308:-1 gene:GSPATT00025764001 transcript:CAK93420 MLYFLQSIDQFGVQQKLQIPPINPTQKSVFGGLVTLTLYSISLGYFLFQFVDWQQNNKLP KVTSLQQQINVEQKLKLQGVFAEISYFQELSHQIDPFDPQNMILQPLLVSSSSYYDQTPI NATFQYRKTQEGKTQNKLLIEDIVISNSPISSQDHKYTNYQLTFTYCNPELLQDGQKCAN QDLINKYFQQDNYFQILIYLEQFDPRNKQITKIPKFFVFEMMQKSYFQNMFTLKSGDLIM DDGFLFPNSNQQTYLSDLSVLTTQFDQEYAQKAYGQDIIAVLYFNLDQVKTVNMVEYPKI SEILADTGSIISWILSISFIVSKYNENLSNDKAQKDIITMYYHDFNDFIIQKNWMGQIKK VNYKGKDYDLKKSIEILNKLDSIAIKKMNYLNLQNEVAKLQLILQEHLGIQQIKKYLQSQ YKLESLFDKLGIPDINYQSSLNQILPQIDEQLVQNLQIQPEIINDPANNKDKTLLLELEE RMEILDLQVHQNPTTSIYSSFQFPQKIND >CAK93421 pep:novel supercontig:GCA_000165425.1:CT868672:103967:105384:-1 gene:GSPATT00025765001 transcript:CAK93421 MSYFLQLIDQFGVQQKLQIPRNQTNITKLFRWIRYFLFQFVDWQQNNKLPKVTSLQQQIN VEQKLKLQGVFAEISYFQELSHQIDPFDPQNMILQPLLVSSSSYYDVQLFYFLVNTYQCN FLIQENLGRQNIKYALDRRYYNFKFANKFIGLQIYQLSINLYILQSRITLRWIKMRKLRF NQQILLIRYNYFQILIYLEQFDPRNKQITKIPKFFVFEMMQKSYFQNMFTLKSGDLIMDD GFLFPNSNQQTFLSDLSILTTQFDQEYAQKVYGQDIIAVLYFDLDQVKTVNMVEYPKISE ILADTGSIISWILSISFIVSKYNENLSNDKAQKDIITMYYHDFNDFLFQKNWMGQIKKVN YKGKDYDLKKSIEILNKLDSIAIKKMNYLNLQNEVAKLQLILQEHLGIQQIKKYLQSQYK LESLFDKLGIPDINRQSSLNQIVTFNQQFLVMLN >CAK93422 pep:novel supercontig:GCA_000165425.1:CT868672:105487:106751:-1 gene:GSPATT00025766001 transcript:CAK93422 MTETVEHLRDFNYYKLNQNVTQVLAEYIWIDGTGERMRSKTKVYLTPITQLSDLEWWTYD GSSTEQANTKWSEIYLKPVVYVRDPFRGDPHLLVLCETYLPDKKTPARYNFRWIANEIME KAKDFKPWFGIEQEYFLLKRTGTTHIWPLGWPTGGFPYPQGRYYCSIGERNNFGRALAEA HLRACLNAGIKIAGINAEVAPSQWEFQIGIAEGIEIGDHLWLARYILERLGEEFGIDINY DPKPILGDWNGSGAHTNYSDVKTRGEGGYKYIVDELIPLLSNTHKEVLKLYGANNEKRLT GHHETSSYDQFSWGDGSRGGSIRVPVITKELGQGYLEDRRPAANIDPYLVSAVIVDVALL NSTYVNQLKQLLVQSAKPLA >CAK93423 pep:novel supercontig:GCA_000165425.1:CT868672:107221:108609:-1 gene:GSPATT00025767001 transcript:CAK93423 MDKKQVSWHQTSLRWLFLAMTCIFQVGCCLCSDFPSVLASQMKLQFSIQQSDINQLFTFY SLPNVIFPFFGGIIIDKIGIRTSLVSFAAFLVFGQALCYYGSLILNYNYLKIGMMLMGMG GEICMSVAQSSIVSKWFVGQEMALAFGLKLTFTRLGSVLGVNLLRYTYVQFNDSFQSCMM ICCIIILIVWGVSFIQIEMDKISDFRDGQIKKDEEQSNVSLSDIKQFKLDFYLVSLTCVL SYSAFIVLQQNSLQMFKIRYQLQDQEATFFYSLPYYISAIITPIFGGFVDKIGQRPLIIL VSGVLLLISTSLYCVELNCINDTCHNLTLLAQSISGLSFAIFAPVIWPCIPLCIKANAQG TGFGVVTSIQNIGLALLPLIVGSIIQDETQQSYVQMMQFIRMVTILGTLCNITLYMYDKS HGKKLSAKQTKQKSN >CAK93424 pep:novel supercontig:GCA_000165425.1:CT868672:108879:109996:1 gene:GSPATT00025768001 transcript:CAK93424 MLHVANSIIKNAKNDFTVKDCDVPTINKIPASKRIQTKPKENALSEYNVITRCVTEQRKS SVTETSINEQKEQKRIPSYCMKWLNYKYHKKVSLRNQKQEFVQLEQQFYKILQLQPEKGV VQQIERDLSRTIKAENHFKEIRNILIAFSVYDPNIGYVQGMNYIASILFNHAKQEWIAFW LFVNLIEQMEIRDIFQLSLNTINKYSKILDFLVSRFLPKAYQNLCLKQVNTELYIQQWIL SLLLQFIPFEYTQMYLDGLFKQGISYFYMIALTIIKVFDKPIQEEDQIEILILLTSKQYS QLKWPSILALQWEINPGELRVLLDCFDSDTNTFHKPKFQTHCSQLTTNFFQFIKCS >CAK93425 pep:novel supercontig:GCA_000165425.1:CT868672:110377:112521:-1 gene:GSPATT00025769001 transcript:CAK93425 MQSINNHTLSIPRQQAQIQQQQEITNNYNKLMIQYSELEQEMYSQRTDTQTKYQSIVTKI DNIYNELTTNINQLQQSSRQDYQQLLEELKSQREQNIQILQAIALRQQGNKQQQFYGHKQ NFSENQYDYIDQQEQQLLEELQTLDSYKQQKYDIHDPIPVSSRIQMNYLSPTSHQRISSN YNSIQQQKQHTPLQSTQYSQNAPQIQYLNQLRQNYGTLEFPQNKDTEQQQTNYLSAPQYS KRQSARGQGIHSYGEFQNKSPILVTNEEQVENQRNSYYPVNVSSQPDYQISMNQQDQTQQ YPDNFQESLPQHKHKPIFEQQITNKSRITEPNQGQYIKEMWLEKQPINKIDQGYQILLTQ QTPNRRQNSQQSLPQNYYQQQPSVIHQKERIFDLSHLNLSRDKTSQKVQQPQYQQQQLQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYQQQQQYQQQQQQQILNISQFE YQNTNQNEKIIPVEVQNQNLLNESQQSYIMDLNGNLGYDQFYQYLCQKYPIQNQQKLIHN KPNHGDQRILRQDQEALDLMQYIYCTMCDQFISIKNANTHTNFCINNKKNKQHQDDKYLD LFICCTDPKNQYIETREQKQIRLINELTKIKYLIEVAIKQKEYTYEQQKQKEYCLFALEI LNLIILNPRNLSIQQQIQDLISIYQVLDQQQYNFYKQIVFLLQKANVRASQLIQ >CAK93426 pep:novel supercontig:GCA_000165425.1:CT868672:113299:116355:-1 gene:GSPATT00025770001 transcript:CAK93426 MKSIFQMENTVFPHLMYQYLVNLIFRIIARFNFFFFNEEQLQIASQIQFYNQKLVQRTDF LDQKIFIRQFKLFREFELNAEIINTINLIPFLFAYHQYDYDFXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXLKRSGMQPSRVNPKGGAIALGHPLGCTGARQVA TLLPEMKRKKAKYGVISMCIATGMGAAALIENEQQ >CAK93427 pep:novel supercontig:GCA_000165425.1:CT868672:116653:117602:-1 gene:GSPATT00025771001 transcript:CAK93427 MVAFIIENEFVSARKERKKLYLFLIHQIILDEKHAKRMDHPYVKCFGQKLKKLIMDYAYQ VDEIDDLEKAYAVIRRWEKDLIYHPIFLDKLRSILQPKYDQLKYQQMIVNQITQNELASN KILVQNLQIIQQFDSTYKLYLHLKSLRDIDDKCLDFNTNFDKYMMKYELEKIEDYEMIDD LLEQGEASKTYLSENICSTQNHYLDLARLGEQLIKEDIEKKDNKIEYYKSKRPIQ >CAK93428 pep:novel supercontig:GCA_000165425.1:CT868672:117799:119067:1 gene:GSPATT00025772001 transcript:CAK93428 MRKISYGFTQLMKLHLHDYHVNLKAKMVPFAGYEMPVQYPQGVLKEHLYCRESCGLFDVS HMGQVKVFGEDRVKFVETLTTGEFQTKKSGQSVLCLILNEKAGIIDDTIVAKRDDHIHIV VNAGNKFIDMKQMDKIIKDYNYKVQYEYLKDKPLIAVQGPNAHKALNEIPFMFMVNIKKN GIDYQINRCGYTGEDGYEISVESSKAQELCDKLLATKMAQFCGLGARDSLRLEAGLCLHG HEMDDTISPYEAKLMWTVRKPNKETGKYNESAAFIGRDALPQRQKDAKFKRMGFITQSGI ARPPCDIEFQGQKIGSVTSGTYSPNLKKGLGFAFINNEYAKDGTQLQADIRGSKVNITLS PTPFVPQRYYKPEKK >CAK93429 pep:novel supercontig:GCA_000165425.1:CT868672:119092:120343:-1 gene:GSPATT00025773001 transcript:CAK93429 MFFRNEFKPVLQSSDLFPSTNKSFSQGKMSQEQTQQQSPKKQSQLKQKNNLLPLTDRIEI RKNYYDGQQNEELKQQKQRLHSSLQKNKLNLLPKLESLHSDKSLYQTVIEIFPTDHPIWS DLVQKSQTIEGVIESNQAFFLGIMSIFRHLLIALYLKQSKLQALKNQIQLKAIQSQYNKD YQNVNIGNQDVQLDQSKAHDQMWGKDLKQLYTESVYNSQYLPYKLEKQMTSPKKDFNPSL SQSSYLSTYKSQFQNWKPKYPGKITRDTSPVEPQNLPFISESSYAREFKNVKTERSPLQK IAKIGPFAENLESLVKESTSSYYQQQQFSRIPSKLMRPISPKLFNASFEGYYYQRSYHIN SDQESSFANRFYESNSVKKIFDRKIDRKIY >CAK93430 pep:novel supercontig:GCA_000165425.1:CT868672:121278:121551:-1 gene:GSPATT00025774001 transcript:CAK93430 MKIIICNMMEQHLEFQVNPNDTVLQLKQLFCEKEGSVLANCRLTHGQDELHDNQTIQQAN LKEGDIVYYSMHLGF >CAK93431 pep:novel supercontig:GCA_000165425.1:CT868672:121873:122419:1 gene:GSPATT00025775001 transcript:CAK93431 MQSGFSVCRRKAGQTFRKTLGLYNYKLGHQQYHKEPGSVSLNAVEQLKNTNTYEGRMRIR KLRLESDRVFGKFVGSKFVVDKSRIPQYDIPDLTGFELKPYVSYHTPQVDKETQVKLERM NDFNLTENLVPRSETKLLEKK >CAK93432 pep:novel supercontig:GCA_000165425.1:CT868672:122463:123256:1 gene:GSPATT00025776001 transcript:CAK93432 MSSKRRVGIAHFLLRTFEMLDTPKLKHLIEWSTCGEMFYIKNAREFANKVQSRFKFISQV LPQYFRHRNFQSFLRQLNMYNFVKKRLKNGWNQFQHKYFKREAKNLLIHVHRRSNGQQSD EEEQTSIFQSLLLDQQDSIKKQQEQLQQIKYLQNDIVLTSSLLYQQSVILQSNFQRLKNV LSFRLNQKLLYQYIEEQKQEKFLFNTFSLLNKSQSDSPKLTQTILTQNHKETDQEPQLFV LS >CAK93433 pep:novel supercontig:GCA_000165425.1:CT868672:123672:124916:1 gene:GSPATT00025777001 transcript:CAK93433 MSSQQNKQKDVVNKQMIFALNPKQDFNLGDPIKINKANSYDPTSMKNEPLQKPINQISNS NMGTFQDKDQSKQTNEAKNQKKQNKIKYIATRSIAGLKAYTKAQKDNQDSLFYKLEINKQ ADKNLFLLADGHGEQGAKVSQYAIKQLSDCIYDMIESMNTQPKFVEQLNSNIITTFQKIE SNIVNLSNIDTTDSGTTMNMVLTFENYLISANIGDTRTFYFQRSDKPDQLGPVTLRIKQL SNQHTPEKEIESQRILESGGKIEQDTQGFQKTGPLKVKSKKQDIKGVTITRSFGDIQAKQ VGIISLPDIHTFQIQQEGFLVLATGSILDMIDIIDICRILTPITPPNSQAAIENAAGQIV EEARKKAKLQPDIQDDYSLILVYIEIDLA >CAK93434 pep:novel supercontig:GCA_000165425.1:CT868672:124973:125587:-1 gene:GSPATT00025778001 transcript:CAK93434 MGQSCQMQHQTIDQNEIQYKLVLIKPISHRNQIKRPIFEINQINSREETMDEINELDVDT RKSQKQTNGCAQQFQSSFQFGVQQQKQIIKNQIKSFGGDISQIFNKKKQKLNLRTSQESK EEQINRESNRNATFNCARNCETSQSPLQQWIKNQSPTYESLKSEIKSIRSINGLNQEQHK AGILREKKVKAKSLYYKRTVRFQC >CAK93435 pep:novel supercontig:GCA_000165425.1:CT868672:125643:126910:-1 gene:GSPATT00025779001 transcript:CAK93435 MSSYPKKRINFSELDDHFDDDQQIDSLRYNAEIDSAIQIKKSSDHEKLNEVQQLLQFSPH PQQENQKLSSVRCSFGLNSNNNFNPSRLPFSQNQNSVNSSIQIGSPDTAQFPLQKKFLKL YQMRSSIEEISAENDLSQSRENMTKNSNRTLNTEEDKNVQYNQDENLQNELYENDSYALF TQLLEQLEQSQNQIQKQQLQYQELQSKYEKQQSQIIYLTKLNQEITKTLDETQNQLQLLN ETNGLLKKKIQSYKQYSITEQSQYNGNTNRYNKENNDYLKHHNSTESNQSIELVNNLKKT QKVTKTYSNVIMMLLLMIQKCFYLDESIDKEGKEVINKITQQINQRQLSQDNIVFSIESL IKYFEQRFSDMATKNSKASSMSNIMDQIRQLVDQRSN >CAK93436 pep:novel supercontig:GCA_000165425.1:CT868672:126965:128308:1 gene:GSPATT00025780001 transcript:CAK93436 MNFKYLLLFQLILLCVAHNQGKSVKLSKKQAHHKHHKSQKFLDSIMDVNEDSIELLLQKH ENFYLAEMTVGTPEQKLTVLIDTGSPNLLLTSSLCQQNSCIQHGSYNPSLSTTSYYIEAI KLANGEVGNEIDIQFASGSVVGVFFEDRVCLNQYCVNNQFIVGIVEQSEDIFSQMKFDGI LGLGRIKEAVVKNASYIHNLEYDTDTRNFSLYLSNRDDDDMSSELILGGISLHLIAPGEE FKFFDVTSDNLWTINIKAVFLGDQRIDDCNNCQGLIDSGTSFISFPVYAMEKFYQKFGVY QLCSNIDTFPDLTFVLDRGIKFTLAGSQYFKRNTHALFSKDICIPYIKNNPIIGDELIVL GQPFLIHHYVWFDEDQSKIGIVKSKQQSHQS >CAK93437 pep:novel supercontig:GCA_000165425.1:CT868672:128567:131192:1 gene:GSPATT00025781001 transcript:CAK93437 MNQFEQCERKKQCSLDQMFKKYEEATQRYQKGLEEKKQRAKLESDKAREAQFIQKLEAQN KKIEFDEKIIESQERKKDLEKHMLEKQQQKKQKDEEVRRKKKENEDEKLQKLLKKQEKKD FQVKKVKKDVQKIKRKDELKILLDQSVSDDASMELEVEKKRQCKSLSDSLQALESDQIIY FKLQKQKEVEIKENKKKQKQQKIMPSKTFREQSVPTIKKSIIFPILIRTKQEDNSLVLQS ILQQQQPQSPTFKNEENSSTNVTPKKEKEEEPQFFKLCQICNSIIENEDDNKHIQSKSHK QTKQYYNLQATQNDILVVKGSKDEISQNRFQSIRKRCQKIKQKIQQKSLQLENMNIYCYK EPGNSYNRQRIQKLSNDLDKLLNNQIKDLYQIEQQMKELLKLVNQETDLINLRQMKFIQI VIEVFKKISSCHKNEYTQYLKIIGVATEIVYQFCSVYNNRTYMLASNKLLPIIDFMYNFL ASKPTKFIYSYQFVAQCFQIFSLLIKHKLPTDPLSDLNIQGIQEDTLEYMYCCGLFNKMK LRFLSFEKDYINSEGKIPVALVKSVAFIEAATNYHGFETQNKSLFDEEGKRISKSFEEHL KFVLKETELFGLIQLISQMVVGEEVCFTTNKLLPQTIISFFMVGIKTLNNIARLNIIVLQ ETMNKQSNKQEVHMIIQRLVTYCKCNLEQSQDLKDLLNELILLIGYYCVLNPNNQNSLVG SMKKISVLHNLLQMPSQFYVDKMLKNILFPTLLCCMFRNPNNMKIVLDEMDKSYFLEILQ YDSEQFYENHNGQQLQRSPSMSSTNSQNSVFPYSSAVYFKLSQRFPMCYFKEAYTELLDY KD >CAK93438 pep:novel supercontig:GCA_000165425.1:CT868672:131229:132530:-1 gene:GSPATT00025782001 transcript:CAK93438 MNQLDDFDQIGFQQSSSQNANFDFDGNMKNMQASTNHNRKMKENTKNTYIKKISSLMKLS PTQEKIEEKSMPEKKLLTKPFQSQQQFNYISQTEKEDKLAKNRESARNSRKRKKIYLELL ENKVTKLSEQLDLFKDVNDKTYSLAQNLQIKLTQKKEQDQTKCILFNNLQTSLQNNASEA NVDSIIDSLNKKFGSASQERQFLIDHYARQINENCLAPFCNYIIQIAKKQDDIFAQNEQN MNLNLLTQLKLADKQKQILLKKQQKLSKHYQDISNAVTQIMDTKSQIQKELASFDSTLDQ LRNELRPSQVAKLLLAIEKKDMQHNFKESFEKFFGHDIEEDDSLDLYQFMTERNQCNSLG IDIQNTYDVFRASHDFLFGKNEELEVNQINGKDI >CAK93439 pep:novel supercontig:GCA_000165425.1:CT868672:132586:134238:-1 gene:GSPATT00025783001 transcript:CAK93439 MNQVDEDIQFEEITKRKQYQLICLLLAKDIKFYHLFQYQNQQPLLHNLLIEKQVEVAHYV INLIQNYSQNPLQILDQPNPIQQTPFLIACYFGQLSIIKHLMKLGVNINSKDQNNFTPLL FAIKSNQTYIAIYLIYKGAEVLVKDLNGCSLAHWSAYNNNLFMLKILKNLFKFNVFEMDK KQKTPLTRAQEQLSYECSNYLKGLLKKNNGRSKYLTYLKIHYQQHICFIAITALNLFILS KFTEFKEPILKHGSLFLQLYNVFFNFILFYIIENASIAKDAFYTNNRNNVSSFNMSNEGF GDVQGCLEIDQTIVPFIQDEQNNNQSMSLICDTIVEIEVIIKLYLRNHSLDTQSNQQTKA RRSNLNLINYVQIA >CAK93440 pep:novel supercontig:GCA_000165425.1:CT868672:135258:137380:1 gene:GSPATT00025784001 transcript:CAK93440 MPSILKFPTEPNRQISYSTKDLDSTKHYDQIQLQNSKSYLIGSHYFQPTLKVINGQKPQL FINQKSNLQNQSFLDLSNVESLGSPFSKREDKIDDGFTNFRGREKSLKPQLSPYLTNQQS FSTINNLQSQRKILENALDDSRFQVCKQKKIDQSPQFQIAKNGYFHNFQQIKNLKHLIPF QIEKSVKEKPIQKQKEQVFSSRSPEKSLTYPLKKPLVRSKETQEKIKNKLQRKKSIHLPQ ISNNEDTINRKLKCFLRTLFENQCMHMIYVRPSAIRIQRYLIKDAKHEELVKRCFSYRWW WQESNNQDEDIEFFWYSQASLSFLSKQGQRHISDKIEFQPFEQVLKKSQGVEDQMRYAIE NKLNFLSPQIKVHNHIDLSQPLWTKKNLICSIIKYCQLTRQYVENFVPLSMVVDYLGENL LYAFLKNFKTSTNVWLLRKSDLQEEDKIIVCQNTQSVFNYLNEQFKMPNRNQQSFIVQQY IHPIEFEEIELDLCYYLLITQINGIVRGYLFQQFYGQKSSITISEFEDGSSIYFIENSNS KINNQYISMKNILDHLYLYNFDYESTIYPIIKQILGEYLKSIFIQMPVKDHNFELIKVVM LIDNKGKPCLISTKPNPILEQDVEFMKDFTSQLIDNVFQLGLDLLFPPPSIWPKEKKRLI ENYWETNDFELVFDSRIDGQGLKSLSEEILYENYNDDEL >CAK93441 pep:novel supercontig:GCA_000165425.1:CT868672:137495:138047:-1 gene:GSPATT00025785001 transcript:CAK93441 MNYSPPKSQSFSAERRPTSIFLHPFPKPPSCSQMPIFKIDFGKENIPPQKISWEQYSLSL QQSTVSQKKKPTQKYVELESQTDSKIKFKFPLYTDHQLGIKSEYQNLLQEAYDNDDDINT RESVMNFFIDVCKRDLVQGMMENQQIKDQKGNPILNFSGLTQRLSVQQESMNQKAE >CAK93442 pep:novel supercontig:GCA_000165425.1:CT868672:138129:138698:1 gene:GSPATT00025786001 transcript:CAK93442 MQIEQLEEEEHSIQALRAKYQAMISVQILDVVIRCLIGSMWALITYSSILAFIKLFRIVS NQYKYNYYRDKWEKEVTQYEDEDCINQTNEKYKKIIIQYHDRLEKYDKKIQQGLYRYTFL IILVFGLNIAWWYFDYMRSCMGYGFVVFIIYQTYCFLDRKYIQPYFERRAQEKEKKRLDA EIAKNKKQN >CAK93443 pep:novel supercontig:GCA_000165425.1:CT868672:139003:142191:-1 gene:GSPATT00025787001 transcript:CAK93443 MSSDELGGENENFDSIQKDSLWRDEHKMEESAQLIHFLVLGLICGLILRELNKKTNIPYS PMILALGLAIGLSQKYLGKIGNSAYILSKMHPHLIVFIFIPVLLFESAFNCDWYTFKYQI INILLLAGPGSILLGACFKIILQYSDDDMNWYEAFTLGSVLSATDPVAVVALLKELGANP AFNHLIEGEALLNDGVAMVFFNVFNNISKASSGKGEPVNGGDIVLSFIRTSLLGPVMGLI LGVLVALWTKRILGDDIEVTWLTFVFTYFTFYWAEFEFFKTSGLLAVVGLGLFWCAYGRT RIRASVEHSVHAVWGFVQYSCDTLVFLLVGIIVGNEIMEEKLIVSSDYYKMIGFYFLMIL ARFLMVLTFYPFLKCFGYPISKSELIVLVYGGLRGGLGLTLSLMVGCDEDLPARFRHLAV FYAAAMALITNMVNGTTCKTLVKCVKMIDEPIVKKKVYKKYLEELIVIQQELVKELETDD FYNMTDWMTVGNLIGQQDFIEKIDKVEEDIKNIIQKKGFQEMIYEGLPNQDVFGEVRYRV YRILKGLYYHKYEEGLVEEQTVRMLVESSDVGLDKLNYNLEIWDELYKNFMNLCSVNFFF KVKQKFLIGFFAREYLIKHLGLVYDVTSSFIICAEEALKLADNFPMNKEAISIIQEELKK DIEKAQTYFGTLNGSFPEIVRVLQTKKASFSILTHSIEHLQSTQRRGLIDDKEYKILLKD INNKLVKLESHSYDMSLPSFHVVAMQFPIFQEISLTDLDIIKNVAIEKKYALGQVIYAKG DECNEVYIIMRGYVVNDFNGILITKGLGSLITHQSLIVDGVHMSTAKAAVESQLYSLPTK VIKEVMIRNKEFEFKVYLHSLEYIRKWYESKVGPLAQMDLKRLNEFLRNKCKLNKLSALS QTEFTHGGFLFSGEVQDSKANTYYKYDYIPPSEGLLTTVQNATCFTFDEPIEVLQMKRMK ELKLDSQRDQEIHERYSEIKRNSIMELSKINIT >CAK93444 pep:novel supercontig:GCA_000165425.1:CT868672:143017:145185:-1 gene:GSPATT00025788001 transcript:CAK93444 MVQISNTLDIHQLLLICAFNRDERTFSMGICVQVVIQFQKQDGNVSILEPTFKLLQSPFS LKKDDLIKKASNIPDYKFDFSPFIQPDATFLVKQLNIQKLRLLQKELASDPQNPEKAFKY IRQLNRIQLYDEAVRVFKQIEDCRENATPKQHQQLQEQYGIAVKHLKSNQYGDKRKSLIL PGMIYLLIAAAFLYKMMYLFQLVQSKQKNEKNQKDVPEQGTGRIDLIQEERNIPTRFNDV LGIDEFKEELEEIVEFLKHPKKYTDSGAKLPKGILLVGPPGTGKTLLARALAGEAGCAFF YKSGSEFDEMFVGVGASRVREIFKTARQKAPSIIFIDEIDSIGGRRRAQDPGYSRDTINQ ILTEMDGFKQSESVIVIGATNFEQVLDPALKRPGRFDKMIHVPLPDVKGREQIFSYYLQK IKFDVQKVLPSNLARQTSGFTGADIQNMVNVAILNAIKYDRQIATTEDFEFAIDRIAMGV GRKNMHVSDKEKLMTAYHEGGHALTSLLTEGAMPLHKVTILPRGGALGFTSMLPEKDQLN YTRKGIIASIDVAMGGRAAEDLFLGKDDITSGCSNDLAKATNLAYMFVKQLGMDDKISLI SIQSDRVKTSDQFDYMVDMEVKKILEESYNRVKNLLKVNESKLKDLATELVKRETLSAEE IRKLLQIS >CAK93445 pep:novel supercontig:GCA_000165425.1:CT868672:145345:146439:-1 gene:GSPATT00025789001 transcript:CAK93445 MIVFILFTIIHSKQVSFLRHGIRSPNDYNDLDKELWRDYPQGYLTQKGFDQVRQMALNNF TIHDGSGICNYDSFHLISSAKPRVIHSVIAFIQGLCPQNYNEILVQYFRDYYQQYSTDQQ NFNKIINSNFSDPFSQNFETFKMTNDFMFHGHKSEQCPLIDVLDDALYSSAEYNEINKQV RQHPQFNNTFKLFKKCNPNSNVTEDSITITQLKKLYSNILCNEAQGLYDYNLNQEQVEYL MNIFKFQYFGIDYSQPLQHQATLSYILKWLFQEFYSEVEESFFYGHDDNQYALLSVIIKE WPYVKFAGRLQFKITDDYVNVFLDDRLLITNFCREGVNCQISETIKYLNKYINPNIERDC QLII >CAK93446 pep:novel supercontig:GCA_000165425.1:CT868672:146815:148736:1 gene:GSPATT00025790001 transcript:CAK93446 MGANSSKQNLEILNEYSFLNVAQDDGYGEIQLFSNKIKRTDQMALIKFYDQSDMEKRANL IQMRKQQNNQYFTKILDFQVQTTEDLCSNINLLHVGLEYLEKSLQDDILNRRANDRTYNE GELWYLTQTILSGLKDLQMNNLQYQDLHPNNIRIKLSGEVKLLELCCLANQQSAYQKVFT QIREVEYLSPEQLYVLENERQNDKQEYSIEKQNVFILGVIFLVCITNMDVKKFYNNFTFN SELANQKLNSSFEKYNYSDPMKHLLKDLLQLNTYHRPTYLEILDKISHVDSSRISPNLYA LNMQDQAIKSTLHFKSQTNFVQEQVIESQPQSLYEQHNLINDSFNRQVTSNFQANLESAP ITEVNKQSYDFEVATHSKYESNHQATHLTTQEGLDKIRQLKERFQFMKGEIPQQQAATVP TTFTHQDESDTYRLPNQNIQNPYQPNFLDMDPIIQRALQKSREVMSKCNPYQTSDPYVPI LQSSSASFLPQPGMPSIIQPIQNSQLGNSQFNQNFQTSQQNPSFQQQFSQYSQINPVQAP QSLQQSQFQKQPTFSQSFSPYPQQNEQTSPLKKPAISQYIQQQTFTPPSFNQQQSMYQPN VRNDRFL >CAK93447 pep:novel supercontig:GCA_000165425.1:CT868672:149159:150475:1 gene:GSPATT00025791001 transcript:CAK93447 MANNKAHINLVVIGHVDSGKSTTIGNLIYKLGGIDEKTINKYEEEANKIGKGSFKYAWVL DNLKDERERGITIDISTQKFETNNYNYRVIDAPGHRDFLKNMITGTSQADVALLMISSAA GEFEAGMSQNGQTKEHILLAYTLGIRQIVCAINKMDEKSVNYSKERYDGIVEQIKTYLEK VGYNPKNTMFIPISGWEGDNMLKRSPNLLWYHGPTVFEALDTITPPKKHADKPLRFPLEN VYKIGGIGTVPIGTLQTGVLKTGMMIQFAPSGIIAEAKSIEAFHEELQVAFPGDYVGFNV KNVACKDLKRGYVASDQQNDPAKECISFIASVIILNHPGQISNGYCPVVDCHTARIACTF DEIIAKFDRRDGKIIEVPPKYIRSGDGAIIKLIPTKPLCVEAFSEYPPLGRFVVRDMKQT VAVGVIRSVEKKGQQIIK >CAK93448 pep:novel supercontig:GCA_000165425.1:CT868672:150572:151716:-1 gene:GSPATT00025792001 transcript:CAK93448 MILEEQKPFTTEELYEYRKVLVDWKWRGPDAIYFVLGQLCKGTLEREAIVQSKIYKSVYI LRESIRKAPSTDMALYNKDQIATILLKLKSIHNQIKEIISKPPKRENKKTIFSVAKYTDN IQGTQSNIQLGDYLPQDQRDKIRAKLSEYLQKQLQQKQQQDLFQEENLVDKCNKLADALE NNLYNKHYQTVAPGKNYSSDLKTLYQYLGKDKSGRVLHKLFEGFFTVNQACQLQLKDWLD EEVIRELENRKISEMKDDDADYYRQIRIKEMTQSKGQECPRCHNNYLYIIETKQIRRADE PATIFYECFACQHRYKV >CAK93449 pep:novel supercontig:GCA_000165425.1:CT868672:151833:152183:1 gene:GSPATT00025793001 transcript:CAK93449 MGTCQTSRKRIKSNSWTISEGLQQKKLDFTCQRLSLYLELVGDTHSLGGYLQERIRSLFE IKQKLNSNATQSQKIKSMQEASEIIEYLYNDKHFSQAFPILRESLFEITQVSIVLD >CAK93450 pep:novel supercontig:GCA_000165425.1:CT868672:153216:153939:-1 gene:GSPATT00025794001 transcript:CAK93450 MSELEQYQEDLAEVQEWLKTAKRPNNIEYLNKRIKFLNDSIKILQPQKVEKEEQIEQQLP QIDELKFEKITKYAFDQEESKITIIINMEGIGELPKQNIQVEFGKNCFDVRVIGYRNANH RLQIKKTFGDFLHKMSSFKVTKNNIHVILILPDKTQWTQIKTTENIIDQKKEEKEKKKFE KDGPLEDDVKGVLNMLKGFYESDDPEMRDTVRQFMRKTANQQMWKN >CAK93451 pep:novel supercontig:GCA_000165425.1:CT868672:153957:154196:1 gene:GSPATT00025795001 transcript:CAK93451 MESPFLIWQIYRNHSTEQLPYLSKYFIAAIVTLIVVISIVMLHHVRSNFQLVRRLPKKPK NKEKNVTFNLDLNQIHYFY >CAK93452 pep:novel supercontig:GCA_000165425.1:CT868672:155519:155770:-1 gene:GSPATT00025796001 transcript:CAK93452 MKLIFIAILLVTSLASASKLSQEQPFNQQEYCREQEIAINLKLSNYIILNTGGESALYQK PYSTQPRNQDLKTLFYKLLTIQV >CAK93453 pep:novel supercontig:GCA_000165425.1:CT868672:156054:157688:-1 gene:GSPATT00025797001 transcript:CAK93453 MNSQLDDSKESVQSQSMERYLQAESVGNSLSPQRQVQTSRNTQVGASILKLQNGKYSNQQ NVFKEALNKKMTELHKKQQKPIVEAPKYQIEIKQKQPKKMDKVQQNIKNVQLKAEEVKKK KEEEKQKEEKMKQSKVEHAQEDLDEVFQETVNRLYNYEKQRLKQLNKMIEDEKNKDKLIM TARPMINERSCKLLERKLKQLVNEEEDYKMIYQFKDADVQVDLLPGLPKLKDYLSINVKK TINLGQEIQIIKEENIMEPIDPAEDVQYKEGSCHAIVQTNEIKFKIGDTIKKQQQQQQPQ QQQQITQFATQDTPNKKVQNQRQKRLDFMEAQGQRVKTECQYYVPLHIRQQKIIQKKEEW MKSQIELKKQKEEESIRAEQEQWEKEKLKWSKRKDPNKSMQQEINVEEFANSQIHWLEKR NEHILTEQIKKDKDMLSSLTFRPQIQKRNIENYNTTKVEDRLLDYQQKKQENLQKLENKY LPTFQPNINQKTVLNMDWASKSFNNTSSNKLWQ >CAK93454 pep:novel supercontig:GCA_000165425.1:CT868672:157919:159453:1 gene:GSPATT00025798001 transcript:CAK93454 MKPSLNFDFSEVKFGDELTPNYTKEFMDQMREQMYNVRKFGQAQKIQSPKWNPQRPMSAQ AKYLMTPQEKHRYLKYKEPTQLSSNRQSPLQTTSNMLKFGKTHISETIPLIHSNLFNSSS DVQLTKQPNSSQVSKKQTPKQKIINKLQPQQINQIVLDKPVQNEVFSVFDWDDELDDQFY IKEADQYLQKNQRLQTIERGNREQFLQKVKIKNTPKAKNAKSTQEEILKQQEIDILKKEA KLEQLRQNQLIYYDQIKTKKEKEQEEYGNDFEDEDQIIKQPTIQQQLVSNSEEDNEYGKD DEFEAYEENKVVETGDELKQQQQNLKSKDQIQNKTTADSKSNLTSNQQNPKKFIYRARNA KERKQELEGMREELEKTLINNDAASAQIFNQLKDSREKEKIMIEVNTKRREDLALARLTL QQLQERIDQQIRMIDDLDKKEHYAQQIIEKLKENKTKQQLQYDNEIEKFLACKVIIRLLK CKKERKLFLELKRQMFMNMLKQ >CAK93455 pep:novel supercontig:GCA_000165425.1:CT868672:159659:159961:1 gene:GSPATT00025799001 transcript:CAK93455 MQDGGNVQDRDLFMKEMDNLISQNQFGQAEVQLTKYIEKNEADSDAFKKRSNLYLKNGKY IQALHDIEKAMLLDSSMPCCKSSYEHYQIKKGLMRMSNDI >CAK93456 pep:novel supercontig:GCA_000165425.1:CT868672:159993:161621:1 gene:GSPATT00025800001 transcript:CAK93456 MAQNLISAIAWVSRGYAIKQPKEFDLDEEEINQMKQDKLINKKQSIQFRFSLTQDLGKIQ EQDEDSSEDNLPVFCQDLEMHGKQSLPEDGYPVAIEDLSDEEKEDYQIKSSDALVIAAKI ENEFSSLEVYIYEEEKANLFVHHEIQLSAFPLAVEWLPIQPGQVESTSAIKGNYAIVSSF LPEIEIWNLDVVNVLEPSMVLGGEIEQNYKKVKNLKKQNKKSYKPDSHTDSVLSLSLNSF KPNILLSGSADHSVKLWDLMLQKCVFTYNHHKDKVQISKFNTKEESVILSGGEDGKLCLF DARSPDSIHQHKMLGVLESACWDPIKGYQIAYSTEDGNLILLDARKISEQPLANFNVNKK ALSSVHMSSGVPGLLATTCLDGKIRVYDTDAPIKNGQLQLISSYNPKLESLYCGQFYQDS PWTYGCGSSQGELFVWDMQESQQIVSHFSNRVAPEQRPKVEDCTSKNTDDLCMKEREEIQ KMEQEDKEDMEEGGLQEEENN >CAK93457 pep:novel supercontig:GCA_000165425.1:CT868672:161625:163683:-1 gene:GSPATT00025801001 transcript:CAK93457 MDQTILSKQNNYPGSYIKQDLKEAEEEHKAHKELQDKKYGLYFLLFQGLFWIWESRLVGE TIRHKLYSNRLYKFLENVCGVDIGLEAYKFIYFTNPTDSKHLDRTVCVKECPVLNVDNEA DESLELECYPNQVINSCRNRPSIDDPTYTMLYYDSVPFIDICLPKKTSYFDNIREGLQQS GIITFLSDISNGKIMIFATLTLCLLLNQLFYFLMIKLQQTAIWVISIQSIVGLFLSGFLG LFYYLKQSQYTEPVHSTSISGEEAIGIIQVEESNITIILISSIFFLLFGIYFLVDLILNR KTYKTMGIKIQIVNYFFDFSSSGIQQQEDQPSRFREILILLIGSAALLLMFCGFWVWMAT NLFSIGKAESGFYAFNTFQLSWTTFIMGFIHVFELIIVTLAILGSEHVILIGKVIEVYKR MGIQRQKIDSRDPELSLMDIFEIYAFNNFGSVVFGEALIFLLMIPRLFIRIYVWARQKRD ANFQIPARLQEILCINDRAYLLAYLRNDEFLLSAKSQYVFDQKLKETTKVQYHGEQLSVT YCFAVANLCVSFTYILIITTSTTIGIHQPVYFLLISFIFSYFISMMFSTVYGATIDNLTI LLYRDTTADGKVVGTCVKNIVRLMKEPELNEQDHQQQNNGQD >CAK93458 pep:novel supercontig:GCA_000165425.1:CT868672:164297:165169:-1 gene:GSPATT00025802001 transcript:CAK93458 MEDKNTLRAAIIYMLVAYFFHAVQITFFKYGHFSIVPQSLFIRSISSLILIFLFQQQQNY YPKLKTQYLIKSQFLGAIGSSLYFYGLNFISLSEAAILFSTNSIWSQLIVSCMKREHITK SRLINSLICIIGIGLVSNPTINVEDPFMHIIGCLSILVCSVVQSLSYITMKQIGSEVSAT IVTSYFHIMIIITSSLWQQYIGRFEYFTGYWFYIFGFAFFTLFGQILQFRAQTIVTYDKI CNYTYSQTLYVIIIDYVLFRKILSLNGFIGGCFILFGVFKQLSEDKKIRG >CAK93459 pep:novel supercontig:GCA_000165425.1:CT868672:165877:167986:-1 gene:GSPATT00025803001 transcript:CAK93459 MQAQANVYQFEAEPQVSHPKYRDQDFYEELTDLPDDESKIRPDYYIDRPPTPEYKPLPKG IDVETQIESYEPDLFDYILEVEPVLQVLVGKSVEQARMELIEELERQELQIQKAAFEKKR NAELMVTQRMEAAYVRRKEERERRVLQHKLYQDQMKLSQQKFIARTLSKNVVKGVNYRIL KDLENLGLLKNDYLLELKVHSLPWLIQRIKQNCENNQNCLQNINHLLDEVQTPITQQHSS FYNQEQQRRRAILDERERIRIEIEERKKRKAEIKRRRALKEKREVQRGAFYNLTLSKAES LNSIFQANIQEGTSEIGQKGVVLHLDLFLLLAEGLDLITGDQLDQIDESIVQTIWMDILM NKCNTFNITVNSSLQQIIQEAFAKMEEDLYIFEKNSKQATIDQLKNQSPFWASYVSQQYA PNIRKTILNKLLDGFFDIYFKSVNYKEPKPKAEVKLKTEEADQQQQPSDQAPPQQSEDQQ QQQQQQPEQVEQQPIEDEYQKPEITQHDLEMVEARKKINLIFKQPQNQLENVTAVVNLLV PCFVEEQPEQQQDPVNPDSQQASQQQTAELQQQQESAEPVKPVGKWDFDPKTIDIYDGEQ PLIQEGERQVVDCLFQYAFQEQVIVNDERAVEFCRSQIYTYLKEKLESFMNVPEFQSLQF KKLKYSNLNVPIYDFEL >CAK93460 pep:novel supercontig:GCA_000165425.1:CT868672:168824:170326:1 gene:GSPATT00025804001 transcript:CAK93460 MERIFNNQPNPVTIDVYQEQFNRLRQEDNNRQGVEQPKSEPNFIEYNFINKRNLTPSAKM CCICQDEYIDNEKILILQCMHRYHKSCLTNLSNMQNQYISIILTMKLIFLFLFASCLVLA QENVETSGDDTLDNIKKLEEQESDAINSTQEQNPQENSDEKNKKSEKEEDNQSIDSEELA DIIDGAEENMFELLEALRPLFGLRFGDKDRDSDGQVIDDQPEAEDNDAQEKAAILVDPVE DLLKKVDADPLMLQWDQLMNDFDPEDLLTFELQSGATEILCETIKKPTTIRGAYFIPQFK LDQKIDFYIKTSNNTLLYSKERVQEGIFKIDIPEKGEYKFIFINRRTKEPLTITFAIDVH DSHQEFLKLADLDPLAFRIERLSTAMRDNYFYDKMAAQQFEGGLREVSKANAKLLIFSLI EVIGIIAITGWQVFYLKRILSNQRII >CAK93461 pep:novel supercontig:GCA_000165425.1:CT868672:170352:172910:-1 gene:GSPATT00025805001 transcript:CAK93461 MDKYDSIKQLMIQNSTTTPPNEDSFRKPIIQIMTQINSQQMRVRNPSQETRKLQSESHQF KITHPKSPTLTQISVQLASDRMSLNNQKDMLLINEEPSNKEDSQQLSVMRKSNDCEQLYR KSNFNVSRTPPPSLRLLYFSKSNLFSNKILTKQQFKIIQDLSSVYIIPLKRQILIRIQQD SIAKQVIYGFYICFLVFYLTILLMELGCNQLTLCNQYIFHYLMAIFQLLDCAYQILINKF CIAGMFADVITLLPLFAFLLDLGEAQKIFYLLYLIRIYKITDFTQNLNCYLNLYDPLFVI QITIQIHFVTMMAQTLIYMLAEQQQDYINYIQNIFAVLFLNPSIIKRYYFIIYLIRTLLL IFYLYKIKQIINHQSLPLDSYINYTPKTLKSVINYQQENRIAKFDMRSLPTQLQQQMKRE KYFKILQSIPIFHQSFSNQTLLDICDIIEEDILEPNKIVNQKLCLHFLLEGQVEIVQKCQ STYKEFKLTKISKTFQIFNNIAFFKNQLQGIEFQSIGYSKIATLDQNQFQSLIRQCPREF QKYRMLIDTLLIENQSHLINIQCFSCLKQHDITECPVVNFKPNKIQVIQNYIINKDQNRV IDFRRQARVKHRKYIAQPQIRVQDHSESLASKEYERAESYQKILASSNSLQSQNNNNVIN QLELSSVPYINYSHSGQTLQSKKMESDYFSSSQGVSSSISSRKIFVNEVKDAGQSKFQRL DHTEEQQGSSFNNHPLQRVQDSVLHTKKRLNQNGLKRDDFQQLQRFQTDVPSEFKNRDQR KNQTMVANQIEQLDNYNRGISIENNVLEQFERINSYDDYFPHYNVDKCNAIKKDQN >CAK93462 pep:novel supercontig:GCA_000165425.1:CT868672:173880:174666:-1 gene:GSPATT00025806001 transcript:CAK93462 MSVYSGFATRQLEAQYNKLVTTLLKILQRRIIKFYNNELADEPQFRRVVAETLMKLNQME HQKYNEPYISAVMGEICDYLAIQQNCATGCVCQCHLHLRKQTFLTQDKEIPSNSSSCRKQ TVSSRKASIKGINNTTFIEKPQLSKIQQYTRGSSSYKSPYYMSQNNQQYGKSKMNFYQNT SNATHNSSIERPTTKSYQRPQSKSPGRGITSRIMKSQEGQRANPNESLEERRYEKPQPQK FGQSYNKIFKKRIF >CAK93463 pep:novel supercontig:GCA_000165425.1:CT868672:174706:176964:-1 gene:GSPATT00025807001 transcript:CAK93463 MNNQQTISNNSMTEQAVEDQSYEQEEDEDLEQYTQQLNLKQLLKNSDSLMQQINLLNEQA TVKIKQQKYKEALKLLQQSEQMLEFAASCGRVIDRNLIIIILYNQACTYQCQWVLDKCSK YLDGVIYNMELAIKEDEQDLENLASAQEKQAQLVKRYTFLAKAYLQYTAILSQLGKHNKA LINSQKAASIMRELFKIANQFCVQWLQTNGSSGTATTSQSNISVRSNQQEQQQQEQDKQK RGQKYRMKDEIEFSKLVIDGAQEILKDMIKQVELEKIPVNEKQLLKEAKKQLYYWRNNPE NNEKHLRKELKLVSQNEEYRSLLGIQNLAEWIKNFNIGSIMHMAPQLYDDFTQFGEMIYE LAKRQILEKVIYFSISYFTIATELRFVELDKAKQMGLKEDKIDTEEFKLSELYHLKSIEI ACRHITTQSPYISHLITSYHKHYNINLDIIKEESILSSTSEKQTIVNPEPQKQNQKLQIQ ILNDDKFIVKQDQSPKLTNNFITKFLNSRSPPKTSQQSKIKSIIQNQADLIEQMITQKRG SDYSPTNQQKNLKQQNQGQFDFSVYLKKQSNPCNTSNSEINQTQEFINNTMAIQLQPHKN NPNFRANPIQQSPTNNNNQLKSLLSDVCRTERQTAIDKQNSHTPISYRVNNKSPDSSYVN SQKPKQQQVHQNKTPQNRLRTNTEQQQPCFPFKFDTIQSLLKNKGNILKYK >CAK93464 pep:novel supercontig:GCA_000165425.1:CT868672:177030:178831:1 gene:GSPATT00025808001 transcript:CAK93464 MQSPFRLYSAQRTFTCRNVENKASFFISKKTKQTKKQQEIDNLNEQLLKVKQSNNQLFEE NKQLKQQILHFEKQLNKQEKLLILNTQAKAESKQSELEFQVRMKTEETCSIIAYQKQIKD YKARELYNQQQIEELKRDTRRTKLQECQIECKIIKEELEKMKFKYQALIHQIQFSQKDEL LKDNIKISQELLISQQKIQELELHNKKIQQDLHHSDFKRMQSEKLVFDKEKELQLIQFEY SNPKKTINDLNRQWQMRLENQKQLYQQLEEEVKLRNDKINLLEKTLKEDKTAYQLKEAHY KREIDNLNIQIGQFKETIQHLENQQIAEKSSDEQESLSEKSVRKASQNYQKQKTVVLNRK KVQKVSLKEVEIIGKELALKLQLAQIPFSKLDSFLYSKSSRGIINLSEIDEILKQSPFDL PDDQALLVARFLAEPEQEEWIYYDSYQTNDVVIVISIFRNLIKPFELLNPQYYDTIHQEL KQIFKHNKNKLTDYLMLNGDNCDLTQFKKAFEYCDIPLTQEQETYISIRMYEKYRRIENL KYQEIIDYFK >CAK93465 pep:novel supercontig:GCA_000165425.1:CT868672:178844:179780:-1 gene:GSPATT00025809001 transcript:CAK93465 MLLKIKQDIELLFDNVQAFKKESRPIYSNLEDQIDETINLIKLQFYNVDEKIIKNYCYRI LELKQINSTMQDIKIALDIPTIVGSSVSKRSHMLIQQESKGRSVASLQKKIILKLNQITE LSEIQDVIYNGSEFDGICIFRLHQIYHNEVENLQQQFQQLKSFNNERFNRLYQSFSDIIN DSQFLKIQGQIQYYSEIINLIDEFRDKLCEIIKSKNDSILTVDRTKSLQNLTSVSKDFLD EDDHYEVKRGKYNNQLKQEEIKSKRKESSSETCINCQIF >CAK93466 pep:novel supercontig:GCA_000165425.1:CT868672:180245:181379:1 gene:GSPATT00025810001 transcript:CAK93466 MFIIIQFYIHFILNTYRKGIQIFILAFLKTQKQFIYQILFIIISRKIQKTLSPKYQLFQN KCQSWLRSIGIQIFKIYKFDPFEQYNFQIKRQNLILVNYYLQALSAQQVKGNQFINQPNI FIVNYKIIFINISILSQTLQLLLFYVSTKYFIVSTPIFNLKLMISQLFNCFHASLLFLQI FYSFYLQYLIFRIANQCQDSLLYLENLNNLDYLPIIFSSSSSLKNQHQHESLNHSIFFQF FLLKLIFILHQPLHSFALIINSSCYSFACSFKFLPDNEVYFQSLGQYLTEFIFSFISDSN ANSGSLFSAISSSFYSFYFQFGCSIWPLDLIIQSIFLLHLNGPYFNSLLYLIYQSLKISN LFFNFLQLLPSF >CAK93467 pep:novel supercontig:GCA_000165425.1:CT868672:182048:183542:1 gene:GSPATT00025811001 transcript:CAK93467 MSWKKLLSLNPIEFVDQQLVICKGELPKGLRGSLYRNTVSTKKRRNGFPGHLFDGDGVIL KIEFKEDRAIATYKFVQNEGYVNEQQRDEYIYAGLGRTYTGNFFTKIFNSFSFKNPANTS VLPLKSGELLALWEGGLPTNLNKSDLATIGLTNANSLQNADTFSAHPKIDPKSGMIYNVG LTHGMNQMVNVYEMNQNGQIIRRNSAQVNGRRFMLHDFILAGNYLIAIMYSQFVTDFHKI LLGRKSLGQDVLDDDSQGIQVFVFDRSKNLQLIANRINYFKFNVWHYSNGYVDENGNVVA QAITYPNYELYNSFFVENLLKNGLIDSKSSYDRLIINPLTGEILHKQQILNDYLEFPIVH DDDVGSKQNFTYAIIQEKKDDWFNGIIRIDEDNPQNSQRRFYQQEQYLTEALFVQDKNKQ KGEGWLIFVIFDGTVDKSFVEIVDALSFQSVCLLQLPQLIAPSFHGRFEYSQ >CAK93468 pep:novel supercontig:GCA_000165425.1:CT868672:183910:184620:-1 gene:GSPATT00025812001 transcript:CAK93468 MQLSPQSKTTFQSLFIDKTKDQISNILQYKLSYANQARQRFIKNQIDRPNSSMIQQTNEI DFSQNQYEKFRKISMNDSQLTVVTIRKPQKIMHTIELSPQITPITSFNSQRKYRQPQTSE KQTNPTLNSPENEKQFYFKQKQYRNKLQLKNSQNNNIKLNQYMKEHCSNSKGIQEFVKYQ DDLDELFRDKLFQQQKELQDKIENLKMSTSKPDQQLQQSGRFQELRLQKTKKKQQL >CAK93469 pep:novel supercontig:GCA_000165425.1:CT868672:185184:185954:1 gene:GSPATT00025813001 transcript:CAK93469 MDYIYLVLNLLLYHSILDIQIILMEEALIVKILDGSRFGLVQMRLQINILILFIFSKLFH KRSKCYQEDSKILDQFVYSAFISNIGMMLYVSCWMAQYCYQAKSYNIADLTGMDHYQTWV IMNIAGASCLLLGLLISLAKIAIDQAALGYGSLGISLIGWILITIGMGIGSDTAQFKDTS LDYNIPVSNWFAMTGICFQISFNGYEHSIQEDDSTGQTNKQVEI >CAK93470 pep:novel supercontig:GCA_000165425.1:CT868672:186411:191432:-1 gene:GSPATT00025814001 transcript:CAK93470 MKVSLDHDVYKWLLSLTIVKPQQVKNTGKVELDENQSKLFINGIKFGEALNKMLEVRSIH VPNLSEQMKNQLTPGVKLFNWNILQDAFQKINLPLDNDIKNLIVNGDTEMMNELLKDMME LDNQINRKQQTRGSVRSSEDSSFESQKKPPSRPPSKLPQTKYDIQGNNNELILDELDIKK PLNDSKSLLEFFITGLSKHLTLKPQQAASLLSNGNKYLAHLLVKGVKGEFETLINWLSDI YANISRVFNFLEQQDSNVHYFFATIKPGLLSKEQEITLWTLRIMGRTYFELSEMEMHQLG YEWFCKENGGLAATFLCMQRHPNLLSQICELYSQVARFNIVDFFTVQFKKQLADKCVDML NSLIEFLYQQKTLKDELIQQGIVQYWASAALKMSDSDQLPIKIQGLKYLTLNWLLYPIQF EEDQNYPNQFLFLMKKGTRDSSNNLKYFSLNMLFRLLDKLATDRNPYAAVLYKKLTFTLI ENYNELDVREFMLNNFMYLIQKYSSIPIDILIEPLIKQVMLNDALVNLTDMMLFKFISKH PKMKIRLAILLLDLLAKIYLNSVTMSHLSLNPIQVLLARFAESPLLREYAFKLCKIGLAL HYGSLKSKRQQVKTNDLSILSALQQHNNDHEILEAQKRAQIIELIKFIINMQQDELNEQI KPLLCHFYIELQAISIKDKGVITLLNMFGNPDKIVQTIKSQWEAEKQEQDEILKQSQLII DNEFVQNQQLHSAEQTPQTVKSEKRYQNDGDPFNALRQKELEDEKKFLAQNGVGFKKRVV ELERGKQPIAPTPNTQQQQTKKKPQQVDPKVLENIANIKLKKEEELLKKQQEEEEKRKRI EILQKKAQQEIQKRTTGKVIEKADEKKVELIDLDDHETHDYESMSVAIKKNHKAMKYLFS KYSNTTNQASKKQYFGELQEQYEIIQLSDIMRMLKDYQVNMSKEEIQAYVKAINQKYSEN KSDQFSLDQQNFERFLAQLSMKIEGYTFQRKPAGQCLEQFMQNFGSIAKSKNENHLIFLD PDNINIDPDRDIIRQLNLQLQTQDVPVPSKFKKVTEKQLNQRYEYRQQAILGIENAWLDC HEIVNQLISETFKTNVMEPLSDIKIIWKVKPNPQQQQEQVVQVKQRDNSQQKKVINRAIE DKLLKQQEEEQKKMDAEEKRQKHQEKLKQEYLRKKREKEEQEQLKKQEERQEMIEKAERE KKQQEQLKKEAEEKKLKLREYKEKMAEESKIKEAQNVEIQKQKQELERKQREEFQKKQKE EVSKLLEQKKKEFKDKEQQEKQKEQKAKEEMKEWKNNVEIMLQQEQEVRQREKQMHQKIQ QMQQNQDIQSIYKKYDKQLQAIYHAYQEYTDWKIENAGVEKELLQFKGFTNFASQFSIYP AIITPEDAQLIFRSITRERERQQQQLNEKNGFNAIPPKGMNYHEFQQALLRIAIKGQKYF DLLNEKYENNMKTIDMIALKKDQAISEEGPLGEREDQYQQVDATSSKTMQGLMYFLDMPE EKTEMNSKLRALKLEFQKVAAQRDKKQMVQQKLTEEVKQPTKRKQSLGQKEGKDKKQPQR NKSQNIRVDSAQNKMKSSQKEQIQAVDENELNKQSPKKIETVGPDGKKESWKVNQQKQ >CAK93471 pep:novel supercontig:GCA_000165425.1:CT868672:192049:193394:-1 gene:GSPATT00025815001 transcript:CAK93471 MIDVNISPFQSNRDSSFIIIVMLILMANASYMMMIPLFPPLIKEKGFTEAGIGIIMCFYP LGCILASWKLGKMHSTKNIIFYGLIGQLVISIILGISYYLNGALFFILSSILRFFQGISN TMIQVPSYSITGHLFSEQVSEKIAKLEMACNFGLICGPLISGVLFILADIYSFNTFQYSL YFVAFLYFFIAICVVMFLDERIFNFNQEQQEVSINYYEVFKIHELNVTFTSYFFLGVTAQ ALRTYLSVQLLEVYELDDANSQFLFMIYTIVSFFGAYYIAEQKKYTLNQLYTYCLYIGAL SLLLYGPTMIGLPKSIYIICFADIIRGIGIGSAPAIMMPLIVQIMEKFKHKDQAAEVGST LLIAGWSLGDLIGPIIGGIFIDQVGFDKTSVIMSGALFVIGFVYQKTSHIDQEQSGIEMQ ILIH >CAK93472 pep:novel supercontig:GCA_000165425.1:CT868672:194017:195529:1 gene:GSPATT00025816001 transcript:CAK93472 MSKFSQFLEDISDFKERPVKLKQIKKVLKINEKSFPPCKNILNYYFQEFSKRTNEEHRTK WHRKDKILFVWTVLKYFEFIKKNDLNPSEDDWHYLSNVLGVTEQLLNLKWISMLKTNLKM APWNPEEDEILQSLITDPNENKNWTQITIDFNRINPAKIVRHAKQIRERWNNYLNPDLKK SEWSQPEQLQLLLLVQEMGKRWSLISKKISGRTENQVKNQYNSMMNTYRRQNLDNEDIAI KKLLANLQGKDYEGPLPLTCKRIRKTVNNNNINNQNSNNNNNNNNNATVYQTTSNDQKNM QIESNEIKEEHQQDQQLQSQQMLQQQQLLNQQQQLQQQQQQQSLMLGLPVFSPMLPFSPL HYLIKSSPNNISSPYEMRNKNYSSQLQLFDEYMKSTNNLDQSAPQSEACLLRLFNSPAFN HQGFPYATMPYQQPTQQQPAYNTKKINKIQKELLKFDKGVNRD >CAK93473 pep:novel supercontig:GCA_000165425.1:CT868672:196158:197410:-1 gene:GSPATT00025817001 transcript:CAK93473 MTTFCQIEDIFQVHLTSDQARVIAKKLPFGFSVSLSRQSKRDVKKVQQDISSEDDNKVVK KPYVAPYRVENSADIKKCLALLQRLKKHQLAAPFLRKLDNVNYPQELEYVDLQTVELNLK NNVYQTATQFWVDIKKIWQMSYTMNNKGSQLYAMTQELEQHFNELYKELDKPYPQKQIVD QPVKTDKQQKKPPAVHQTPALNKKPVKQPSPMEQPMNMQEKRALVSNINSLPPEHLRGVW EIVSDGLKIQDQSEELEFDIDTLPVKKTRQLEKYVNTKLEHLRKQQNKKVAEETKEQDKT DRIVHTANTAYNYASQPAIQQNQLDTGDSSFSSDAESSG >CAK93474 pep:novel supercontig:GCA_000165425.1:CT868672:197904:200523:-1 gene:GSPATT00025818001 transcript:CAK93474 MAQNIIVGAIKIKDGLFIGDEYASQDREFIVNNKVTHIINCSGTEVQNKWIMINVKYLTF NWLEQDNEVKHSIQKVLFDDKNENVNKIYAFIEECFQQGESCLVHSLRGQSRACCVLAAY FMKKYSWTLYKTLEYLNSRRPDLEIRASFFYQLNALESKMNKNGPKRTASWNQLTQDELN PQQLQDELIIRNTFLNSQNGPVDDIYTNLQSKLVQIQNTQQSVLGKVYNQKIKWKDQLNK ENIQLSTLVYSGSPDFVQITDTKLKEDIDYQSILKGVQKTTLNQPAKQPQIQHNFPKFPN QNNHICKQLKQDDCESFKQNAQMSNNQSFQNLLMHCAQRQKQNSQQEKKTSPISSDQMQS PQFINQPSGVRSTSLQQNDDKKNQNDLTNNRPSSVKQKDASPSLLTNFQEFKNQVQQSLH YFNKQSETILNMDKHLMNVVQQQQQQQQQSNISNQSQQNDSKLQIQLSPQQQLASQQKTK LDQLISPTLISTMSSTKHSEIQKTLTQSITQLQSSYQKFQQLQMNNQMKLSQSTSQIQQQ QQNNSKISAEQSTTNIANISKIQDRSSSLIKKGEDLDSKNRPNSADIKDQQKSNSVQKKE SLSPFQKDPIKKMHQYGPPLPQSNSQLYLRNVQCRRQAASTLRNQQKPNNSFQDKYLNQS ANQVQNNSSFNNNSNSNIEPDSKMQQKVVQGLASDVNHLKKLISPQSLTKSQAQFLKNQP IRVLQELTEKTQSIKQIKGKDSIQSAAITFVQKPSTVNTRTFSPAIKNDQKCKSSHVGNP QNLRSKIRNSSPGLNKDQESSSSFSYSNVNTTQPEKNSWKML >CAK93475 pep:novel supercontig:GCA_000165425.1:CT868672:200795:202463:1 gene:GSPATT00025819001 transcript:CAK93475 MNTNLQLHLPKLQQFIQNFAEDTSSVDLSKLQSTLELRIQQTFITLNEVLHFYEYCQSQE FLKMHGSRNWTDEEWKILLWVMQQYCQLHQKSSDEFQGQDWNNISEIIAFKDSLNCQFKW LSHLRVVPSNKNWLPEEDKLLYKIMTKEPNIKWFEVQYEMFIQSQGIYFRKAKQYRERWN NYLNPQVNRGIWTEIDDYNLLQITLVEGLRWSNISKKLKNRTENQVKNRFKSIINKEKKI VQTPQELLQDNQEDPLKHGLDKMTEFLIRSILSRLKPVDHSQNSKSNQQEQQSYNNEQSF SQIPQYPYLIAPQQYYYQQFPQIPQIQTIPTIQPQLQPINPILPCRQQQLQGMSIQIPQH QNLISQQLMQQQLIQQQQQMIPNMYQAQYLPQQFMQQQQQQFKFNVYSSQQNTPTNSIGT LSSQRSEKLISDQIKEEENSGSSSNKEPKVQKLVCVSAQSSINGSTSSVNSMDAIKQNFN NMHLDSEQNSPDPIQKRKHQRSQSGAFDINNQGKIKTKRSRFFYASNDG >CAK93476 pep:novel supercontig:GCA_000165425.1:CT868672:202477:204692:1 gene:GSPATT00025820001 transcript:CAK93476 MNKILSKSFRQVHSYWISKSYTTAQEIQLCSSRLDLARDDLESEELTTLICTQLDPTKLI DIYNRYKHQFTDRHLLQSLKITAKMQGMYSLPIHNDYFNESYQNLIRQFNQYISTLNNLD LGDFIYWYKKLYYDKLSAEYLNSVDRKQLQQITKKYIEENQFLPRQLNMIYECVEMIFPN DEFLNKFYIDYVFSTNDITIIQLMQFLTSINKKFILKKRVDLYSILQALNYSKNMRKSFD VDQYAFMTKTFINMRLKYHITEKQYLEQLDDKFEYTKQNVKYLESGSIIAILQNCKYSNP EEYKSLLLLIHEQVQQMLQKYEDQQCILNFDFLIQYLQNLIQADYIDNKDVLKLESACLN LLKENYNISNVNQMSIYYLEKKTKSQPFVEYLKIILKNLTIQNINLNIKNLLYLHFICEV DISPYLENLNNQNFFEENQYDIQISKTSIVNLIILFEEYPSIQLPQYIGNQYYKMGSMPL LLALCNCSYFSPKIRQLYTLKIKSLRNEDRFDKRFRQNLLESIKTEEDASHLFKWFFKTN KFQLNTSLLQVLNANENYNLSRAQAYFLYLVIQSSIDVLNIEIIINQLTYQNKLFDQLLQ IDSEIINQILAQSYKFNEGQGTAIMIQLLEQKFPDNLKQFNIPQHLMLNYQELQEYDTNF ILMMIKYNCYPLKDSLKIFNNLINFSQNELRLFVLYLEMSQTIGLEKMHSYILKQIKKLN ETYIIQIQ >CAK93477 pep:novel supercontig:GCA_000165425.1:CT868672:205866:206435:-1 gene:GSPATT00025821001 transcript:CAK93477 MNNPFQNPIGNPNDPNNQLLLMSLAQVDSQNMFFFLMQNPDFVEKQDETLFKKTAIGFVR NTLGIFALGTVLNLQMKRIPKFLTWPIYVKIPLRIPVFFSPFFLFQQSLTNQLDNLTQMH HKYYTRILRVKKTGDLRYFDPQGVLQKQFEQKMKELMK >CAK93478 pep:novel supercontig:GCA_000165425.1:CT868672:206507:208247:-1 gene:GSPATT00025822001 transcript:CAK93478 MSFRISISPQRKRSSTGMPQSARPMKSSLNSTLVNVVEEIKNMMKQLTPQFQNLLNQLIK IAFDLEKQNTKFSNALQSYKASSIQNGDLIQKEPSSHQDRNKSKDCSPIKRECQSKNIEM TSSSRLDPTPKQKFLIRRSSLDVDQQVSKDQLRKISQEAIDQNFIINLLDFKPSNLKSMS YKKLIQIFTLNEKDLYQYLQKIEYQGIYHVVQMIEYLLYLFEPLKFAKDLSEKCIIYIEK LKKIIKLSIEIQQFTPSQTFESYQKLLLELFECDRAQVYLYDSKFHIFWTKGQDGQQKAY YPFKGILGFVTKQGQILNINDVYQDVRFDQDYDLKQQNKTKSMLACPLYEKEEIIGVIII SSVYQQFKREDEILIQMVSQLAAIHFYQYVFQEYNTKTCETLQQILKFSLILQQSVDQKQ FMILAQQILSKTYELKQVQIYFKDMQDKEALFTFVEQHRKKVPKTCGIIGYVYKTGQFYV SDSCYRDRYFNKLADIETNLPTITIPIKNEDKCMGAIQYIDTKGIDNFIGKQLKNYQLSN LDLIQIFAGMLNFVNSKLIIQKY >CAK93479 pep:novel supercontig:GCA_000165425.1:CT868672:208395:210498:-1 gene:GSPATT00025823001 transcript:CAK93479 MKRPESAHTSQISANRFKVSSKQSPISTEGDAKSKNQKQQYQKQKLNIPLDIKLNSEDQD MNRVNIFQIQSWNSDQFDSIPSLKNTIQTQQNEIFILKQKLLFHQNLVKTQPMKELQTQI VKYFEETIELKKKLDEALNNQSEAQKSSQYQSHISKIKELKQEINDINTVNEGLQKEIQK LQQQVNQQSEQLQQNCNQFQQFQTPKQHQREKTQLSQNRLVIKEIQSEGETVDNSLHAIK EKDSKIQELQLNLANLNAQINQQRQQMKQQDLLISEKKDIIENLQQEVKNLETKLVELQS SQKQRKKKQEDKGIQIQNNSKQTIEEIKAILRFRLKRSMVEQKEIQNYLLKDEDYRFFSI QSQFQKFPFFLNAEESYDITLFLLTEESPYNQNVLERDLKLTVLKSRILHLLPQYELITI NEQGQLFQQISTKIINKLNYLQDAIKKIKKTQKSEIGEEFCLPQQFLEAFTFIFEYSLSK KEAEYLFQLNFEISNSPSLINFLRLIQLFQMKPKFGINKVCNLSDSLFKENELINIQGPS LIRNESAVPKVSPKQINIYASKFQQTDEAELGIDRKQKKLQYQSIIGLNRPPTFDKVEVG VCCQKQLVIVQQNELSYNNNKVRVDQDNLEKVKYEQYLQQQLQKEKQKEELSKVESNKNK EIKSIVSLYFDQLLQDFINSSKQD >CAK93480 pep:novel supercontig:GCA_000165425.1:CT868672:210525:211082:1 gene:GSPATT00025824001 transcript:CAK93480 MVSIRRAEMQDLQHMQHCNLWCLPENYTYKYYLYHGMVWQSLLYVAEDINSGRIVGYVLA KQDEEDEEGTKLEHGHITSLSVLRTHRKLGLANKVMQSTHRDMDKIFESHYVSLHVRVSN RAALGLYRDKLGYETFDTEEKYYADDENAYNMRKWFRKKPEKQ >CAK93481 pep:novel supercontig:GCA_000165425.1:CT868672:211088:212091:-1 gene:GSPATT00025825001 transcript:CAK93481 MLNENSIILYMKNPDPIFYGMMDDLVIQCLKKDNVKIIVTDLEELSVIIGSEFIFLRNGE QIQFDAFLGYGYMAPKHYMDYMYFNFAAFSAGKVTLYSPQTEHVLQNKLLQYAKFSEGQV PFPRCSASFSVQQFKKNLSQFENKAIMKEVVGYEGTGVKLSSNKIQSTEIFCKSLWNGEQ AIIQDFVGDSVGRSIRVLVIGGKAVSVTEFQDNIDFKSNGYSDDFKIESKMDSKKKEEYF RLAEKACLAIDSNLTIGGVDIIDSEKNGLVVLEINQWPEITFSEDVTGLPLFEIFGQEFI RKIQQNNNVRL >CAK93482 pep:novel supercontig:GCA_000165425.1:CT868672:212325:214437:-1 gene:GSPATT00025826001 transcript:CAK93482 MSSDSEEESQNSNQDENVIHPRKAFNKKPKDLENKLSEAKLEIIMNNNIEKAKQILDEII SQHARYQRAFITYGHIWKLQGNYEKAIHHFTIATKIKTSPKLWKKIAFLEKKLAQKLEQE QIQSEAIFYHYYQAAKYLGKLLRQRNKAPNIQLMSERVDCLEKCYEYEKGIKQLKVLVEI AISLQQHQLHQESIKRMAKLYVKKNQLEDAKQILKSIPLTDKSTIMICEILEKSKNLEEM RELLDGYFGSKTMTAILKNHSYRLVNFYLQCLQQSFTDYQQIVDFYLNSDKNTQFQEQSC KSLIELIDKLDNIPKQELLQVLYNYRDQFSPQLKQEILTKFNNFNFNSDAQQIIEEPPIP VQPSTKDQILFLYKKLKHTTDVNEKYDFLRKILTQEEKSYNKLKYFDGIKREEYEQLFGR KHKKRRLRKFHTISNQLRKKTTSLSVEIGYQNFFTIILNVFESLLENDKSQELFKLTHLV YQLRLKKIANNDNKYYEEFIQKLAQYGLWASLKERKYSYAPIYLKYIDEQNLILYLIQII DQNIQPKLRGIFNSFTRKISCFQQSVIPYKLDQLLKLRENDQKNPLYNLLICVKYLQEMT GRLATDQAELFKKSLYYFDCYKDCQVDSLEVKYNLARIYLHINIVNKGMEILEDILSLPQ DHPVKSKAGFALMQIHKKLGNNEQAFYYLKQYNTFQ >CAK93483 pep:novel supercontig:GCA_000165425.1:CT868672:214477:216774:1 gene:GSPATT00025827001 transcript:CAK93483 MAEIGEEITIKRKKQYGLCSKLWCLRCMNCCKADDVSILTSRNRKELSVKLMAKYQNAIQ LVLLLIKIQNPEEIQVLDVLILLYTLDEKSWAYQYLYQCKLISNDESPRNDLEFYIPQLM NYLVFHEEMLNENISQFILTSCIHNFYFAQLVYWTLHSTSAIVFNNQVTEFQKVKLYLQN LVKRMVLNHETNYQRKEQDEKVKELISLYGTTQFEQNQLKCLILPEHIQLSKYIPLEQEE GYDFMSCINFWNDIISISDKLKFADPKIISLRADLSQINTRLPANVYIPFVKDQLRHYKI LNIVVQETKIFSTKERAPFYICIEVYNVEKEESKFEDKRERKNSEFNFELSMFSDFRSQS NLAMSQVSNQSLGVSECYEIELSREQKWANNVLLDFEKVGQEIFGEDSNQISERIRHQSP YSHFRSWRLVHLIVKTGDNLKQEQFALQLINQFQMIFQKERLPLKLTTYDIQSLGPSSGI MEMVKDAATIDSLKKKLNSIDKDITLSLFFKKYYGANLHKAQRNFCCSLAAYSLICYFLQ IKDRHNGNILLHKSGKILHIDFGFFISISPGKGLEFEKNVPFKLLSEYIDVLGGTKSELF NLFRKLFFKGFVACQKHQDQILLLVKMMYSGHGYTLPCFSKGEIAIHELESRFNPPCSND GEISVFTQNLINQSLDNWRAKWYDKFQYYFQGIFY >CAK93484 pep:novel supercontig:GCA_000165425.1:CT868672:216792:217799:-1 gene:GSPATT00025828001 transcript:CAK93484 MNNLHCQKKKRIHEVTYAQRVINSVKPDIENIKLNENLFQAQQMARHSSTLIKDIQKDVR LTLKKYDSYIKMSESNRKMTDNKFSESTRRYQSESPIKQQESTEKELETLRQQQKILMTL LNNLQQQEKLIVSQTSPNPEPHPKPIIDKEWFEQKTKQLQQIQKSQAQIENPSSKRLEKS DVIQIDISKVKRSPNSKSSSAQHFFSPSKNPIKNSVTYFQNSTSSYAKLHRKQSNSPQKW GQYGVINQQKPEKNLMVSRRNEQWKQRVDNKIKNEVLKKQEKEIKACTFKPKILSKTPKS NQNSKETSTDFNEIMFLIGDINEFNDKSKRSTIKK >CAK93485 pep:novel supercontig:GCA_000165425.1:CT868672:218109:218979:1 gene:GSPATT00025829001 transcript:CAK93485 MMRVKCFNKFYIQLQWAEVKLSNQTKDRVAACKSYIERKYQLSLQQEMEKKMIWQQLQQN MQNLNFTPIEQELIKKEILHKEAIQLRKKRQKITVMDFEPIAIIGRGAFGEVRVCRDKET KEIVAIKKMKKSEMIFKNQLGHIRAERDILVQANCPWVVQLKYSFQDEKYLYLVMEFLSG GDFMTLLIKKDIIPEKEAKFYTAEIVLAIEAVHKLNYIHRDLKPDNILIDESGHLKLSDF GLCKHLGNKQNEILSIPYTERKQEAQQQQTKKTISIFNSGNS >CAK93486 pep:novel supercontig:GCA_000165425.1:CT868672:219064:219717:1 gene:GSPATT00025830001 transcript:CAK93486 MVIGYPPFYSDTPQKTCQKIVNWKKHFAIPKEPKISPACADLIKRLMADSSERLGDVNLI KKHPFFNGIDWDNIRNIKAPYIPDKSKITANFDKFDEQDPWYQIQEKRAREQNHFQGYTF KRTHENEVSPIKRALEELENIKPSGIRANFDKKQRSQSPVYNASPNLNQGQRSQSPSIKE QLKQTYVQYFGQYLSPLNKQKRPETAQIKQQIANKKN >CAK93487 pep:novel supercontig:GCA_000165425.1:CT868672:219755:219937:1 gene:GSPATT00025831001 transcript:CAK93487 MLPQIKQNNQKPQKPEKVKSAFKLPKLEEYFYKARLLQDQNQKALEEMVSIKRYLMKFKS >CAK93488 pep:novel supercontig:GCA_000165425.1:CT868672:220352:222196:1 gene:GSPATT00025832001 transcript:CAK93488 MQNQQKIRVAVRVRPLLDLEKKQGHSNSKIDCNGQEVIIKEERNKKSYKFDHVLPETASQ DQVFKSCEIDQLVQSVIEGYHVTVFAYGQTGSGKTHTMEGQRDDEGVAIKQDGIIPKTIH SLFNRIKQNALQRDFSVYCSYLQIYNEKIYDLLGEASKVNFGIQTSGLKMRWNVRDQFVV ENLFVYQCKSAEEVIKLFKLGSRNRITASHKLNFSSSRSHSIFEIKIESVDLKNPDYFIT SKLELVDLAGSERISLTGTEGRQAKESIEINKSLMTLRQVIAILSDANNKTIPPYRDSKL TSLLKQSIGGNCFCLMIACIAPLDSFYDENVSTLQYATKAAYITNLPMKNDDPKLKVVNE LKQQIKSLKAELSRANEHIESLSNIVQKKDNNQSLNGVQTRSNFDNLLKNQQRLLEQESS DDDVKKFDQVQQNERLIDSINMVRELLFSNKEMREREEQINQKCEAMYREIQFLQKENFE LRERLGDPDSQSPQKRPSTIKKNTMMVEELGFLPEQVTQFQRNFQKDFRETQSKDYRDQQ MSMTNQNIRAHSQRTQGRQLPLISNYQQNFEEVQQDEMTNFRRRSLYQKQFK >CAK93489 pep:novel supercontig:GCA_000165425.1:CT868672:222257:223836:1 gene:GSPATT00025833001 transcript:CAK93489 MSLKDFQILQELGEGAYSKVYKIKRIADQQEYALKKVKLQSLSDKEKQNALNEVRILASV RHANVIQYKEAFLEEQSQSLCIVMEYADDGDLFQKIIESQKKGVLMPEKDIWNILIQIVK GLKALHDMKIYHRDLKSANVFMNSDGTVKLGDMNVSKVAKKILLYTQTGTPYYASPEVWK DQPYDSKSDIWSLGCVLYEMTTLKPPFRAEDMSGLYKKVVKGYYPKIPTIYSQDLSNVIR ALLQVQPHLRPSCDKILQFQAIVNRLDDKILVEEEGAKLLLQTIRVPRNMHYLTDRLPKP NYNPIRMTKVDKYQFIQTLAVQKQNQENMEENHSMNFDFLPRLNNKRVDDSHENSVISKN NKKVDDSQLEMYQNNQPKNNQVASIYNPPVKKIARISNKQSKVDPLKQPKKLDIEGESKP LIIKSTKNGTEERTPLLPLLPSIKKEPQKLEEEQQLKRIKQTEELIQQLKERQQKQRRKQ KLRNLDN >CAK93490 pep:novel supercontig:GCA_000165425.1:CT868672:223860:225286:-1 gene:GSPATT00025834001 transcript:CAK93490 MNPSQEEINQRETEKEFTNEVIRIGIFNCAFQFYMIIFSCKLIWLFDTKYVIIFAWFMDL ASFIIIMLDKNITKVLSVVNFVEKVLSISFKITIVIHFEVKEMRLYYIPAAHLLIATGLF LFSVLNHDASKRKHIIIAHSYSVLYAIQLLLLSLKWNNLFEYSYYQTFIIAWTALGINTF LIVLLLITMVENCFLKNIPQINGTIIFWFIFYILGLTIPPFFQLKTICEFYEEDSSQIFV LIYLFLFIFFTLRIKKQLIGVLQLDHRQNTIPKTSPKGSSSKKWRKLKIPIMFIRISASY YKMITRQSTVDTSTRNTSSIPICSDVLRPDSINFRQSPYQAIVKQMKKKPKNQDSGDCCL ICFENEPDVVLHPCNHGGICNNCSENLIKTTKQCFLCRSDIKYALKINQKDGEMLEATDV QKV >CAK93491 pep:novel supercontig:GCA_000165425.1:CT868672:225381:226434:-1 gene:GSPATT00025835001 transcript:CAK93491 MKSVNNTIRIGTQKQLKHRVLKVPRKTNHHITLDPINRFNQMKDCATKKMDFKLGKLIGS GSQGQVYQAMNMDTGEIVAYQQKFDVELSFLQILQHKNIIKYISHENTKDGILIYQEFMP MGSISQLLSDFGPIKEETVKRYTQQILNGLEYLHKKGILHLDLKSSNILLDSNGYVKISD FGCSRQNKENLYQSILQGSVPWMAPEVVRQERIGPASDIWSFGCLILEMITGRPPWSEQI NFDNPATSLLSIGLSGEYPRIPQSASIEMKEFLLMCLSVDPLQRATIRQLKQSAFLQ >CAK93492 pep:novel supercontig:GCA_000165425.1:CT868672:226852:228069:-1 gene:GSPATT00025836001 transcript:CAK93492 MKTFIGFTLLYLILANQGIQSIQPDKLHPISKIILGLSEVQAKDFNFQQLFVALDELAES FQTRINEENSSYELDQQSYFSDVQFYENQINDFKNKIAQLEIEIKELGDEGLRLQAFLTE ANQDLNDAIKLLAQKEQQINSDNSVFEQQNSEYADTINILDQAIALLNEIKDETSLIQKK EHIKEVSQNMHKSMKKLSAKRVFYQPLVNVLAQLSQNNYVDQENLKKVINFMNQLRQNLI DAQTTLQNQHESQSKLQQDILSETQAKVSGIQDVLIPLLGTEISTKQSEIRALSAILQDA QSNLSDAQENLVATQNRWIEKTATHNNLLQQYNNELLAIKDAENALKKGGIFRQ >CAK93493 pep:novel supercontig:GCA_000165425.1:CT868672:228226:229311:-1 gene:GSPATT00025837001 transcript:CAK93493 MSNRNTKSKSPKIKKPYNFDKDLMKYLILQKITKPSAPVEMITKTQPDEDSEVDDFKQEI EIKFKKCKINHSFKFPKEDYESSSDNSLQSLKDVTLYQLNQDNIERFTDATQPQFIKLLN ERLIKLTDLIQDDTLSIQKPIPNPKSSRLISQQISRLQKMRGQNLSNSSLAKQTDQTMAS QGRESVTNKVHSNIGFKATPTLSSEKKNQSKQIQQILKIAQQKQLNNNSNNTFYKRSAQT NTGYFNRNNNAGDRKNIENIKIKTEYDDQDANLNQFYILPKSRPKNRESNSKLKKQDTSQ GHKMLNYTIDNHILEQLRGTRNAKSQNKNYKN >CAK93494 pep:novel supercontig:GCA_000165425.1:CT868672:229360:230880:-1 gene:GSPATT00025838001 transcript:CAK93494 MKKLKSKLESTNTDSFGISGTDFTRTQKSHRRFLITSQMKMQTESTEQLKLDLPPLVNLP FQSKVRSQKKSRNMLRNSDLFNVYKAPQTLVTNPETLLKKTRQYQISQSIIKYYSDEVTA LKDQQSLDEQEIENCITKYLNEAIQSLEVLIKKIQNLQQYKQNREKSEQEMKEIMQECFK QKNLCIQQRFCYIFGKMEQLFFNPLSGAIYYKLCKRLSDNEIYYRNKMKAYRGLGECLLR VRPKLSQLYFTKYLMSAWKLNEKNHELYAYDLLGKYYFYVGQIEKAKQFHEKMIGGYCEV CNIQSSLQVPDSRVRILAQSRLEQGSLSNRINREHQVVDIDVVTSDDECYEIVLTQPQQI KVATVSSVKYFQRKIPDPKSVKEEANTNIRQKKPKYNSQLLEIGGEFDMSKLVISNPHLN IGAIKDRVLLSHMSPNRKLEMYQYLCLASDKNAFNNVNLLSGLYDRFEISKINKYLTKLI SLLSTVQQWLLSQQKQKQVTRRLALV >CAK93495 pep:novel supercontig:GCA_000165425.1:CT868672:230913:233135:1 gene:GSPATT00025839001 transcript:CAK93495 MKNNCNLCRQSTKQLILDKLQTKYFEAFNFYFAKPINEILSQITNAPHVIYYKDYLILDE QQEFMKRYYKQEEVKPRLDILTEFYVTNYKDSHPYLLIVDQHQLMIKRNKRIDKLYYQRV QQSEHSNKSNNPIVINNVLNSKLSSKSQISYETEEHDIYSSQDKPNKLSRLDFKGLNIIN FQEETQQLNELLDKQYLTINQMSNQLKKLPSHFYLRSPPQSQQTISTNIIKDLHAMKNVK RRTNHVDLREKKAILANSKSESKHSQSSFKMSKIKDSVDQQVDQFQEYVSAHIEPIDLEE KNKTQKHDNNQVIQKMLREYQGNTNRQLRSTISQDLIVASTSTVIQGFQGSQKSIKQDQN NNNNGSIQNISQRQEQLKKVYQPINIQQKKINQNQLDKITKGGSLTDRNTKQLPIAEDLF HKLHDLKIRSSQNADQQKKPEFNYMIPSQYNTLTNFKMNSNSKAKSQYSKKVAAVDLGTK SQHQQANHSQSKVLNINSQKTDDIVKKYIQMATQSIQNHKKFDFKLNLQNLNNNEPHVEE DFCNYCKLFRKQTSKFSYRKTQLITRERLIYNSQKICDQIMINKMINILKQYSKFYAFIS IKEMKMSRKPVEIHQTDLEWKFIKGGGPGGQAINKTSNCVQLTHTPTGIQIKCQKSRDLE TNKNYAIKALKEKLDEQINGTNSLANIKAQKLQKQKQRRQRRSNEKYGHNKLQEDVKQEI QNE >CAK93496 pep:novel supercontig:GCA_000165425.1:CT868672:233173:234414:1 gene:GSPATT00025840001 transcript:CAK93496 MSRITQELLRKRAEHNEMMLTNLEEISIHQEEIVKIENLDVYCRHLKILLLQNNIIEKME NLHKLRELEYLNLALNNIKLIEGIENCESLMKLDLTVNFVDLQNLEKSVQCLQKCRLKEL YLTGNPCTDWQGCRDYVIGQVDSLHSLDGKEITHTERIKAKQILPQLQKELIYAIEEEKI KEEQRIHEEKIRKEMNPNSEDKVAYTPETRKEMYLRQAKEKEEKERQRNPEKFIVKQETP LYMNDGRIRQCDEGGYKPIVNNWEDPENVTFKMIIPKYLDTSLIQVNVNPTYVSVRVKGK LTQIRLDEEVFAEKSKIQRSEITGELVITMPKVNPNEILKQIAERKKKEEQQKQQEQMKQ QEMKQKQEKQNLDLLIQKAQAKLTQQIDDDIPDLE >CAK93497 pep:novel supercontig:GCA_000165425.1:CT868672:234519:235946:1 gene:GSPATT00025841001 transcript:CAK93497 MAIAIHPTQNLLMTGGSDSRIAEKDNISEDVGVIKMWTILENSTKMVEFAGAINSGHEQT VNCLKFSPNGKNFASGSDDYKIIIWSQQVRQTFVLTGHCKEIYDLQWSKNGEIIVSGGLD KYVIVWNVKKQKQLQTLDGHTAYVQGITIDPRLKSIVSLSQDRSARVWKVLKAQKKNLNN LQFYPQHVVRKLENAQKPEGQQSISQDQQQQSQQQAEEKKQNGIFLGETSLFTFVRRPDW SPDGSFYILPAAEFWVDNKPIMGAYGFLRQSPQVPCFFLPTKTPALVIRFCSKYYNRNQD IQQPLIDLPYKMIFAIGTIDSLLLYSTDSPIPLAIFGNLHYASITDICFRGSNLIAVSSC DGFCSFVQIEEGYFGQEVPIEQLPEYIKVLYNNDKIEEEEVSKKNEESKKQEIVTEQRVE YKETQDGKKKKVIIPKTLQLDQQIQK >CAK93498 pep:novel supercontig:GCA_000165425.1:CT868672:236135:237667:1 gene:GSPATT00025842001 transcript:CAK93498 MSFQRFVLDENGFNFNLFHLLWLQDPIFRNNIKIDIPDTIEIIQGQPYFWYYSHDSQIMR KSKSKLNWEDILNEFVTDREDQHLICAIWINKTKNLTTFEYLSQHLLHQLLTSKIPDNTK GYLQRFVYPKGSCNEVIKCTWTNNLCFFECFSNKFQINFSKADIYQRAVTFEMLNGPIEQ RTLKGTSLTQRLELLCGLIVSHVANVTQNQKQICQMEVLFKIAKKNKVFLLFSSKVLTSN SELKQLNQPEFEISSKIQKQLINYPKAIILSDNSKCIGCDKDKNQIEFSKVRLKDIVENW ENNVLTRKSQNQKITRDNILKLNHNLHVGQVKIDQQQLIPRIIKIMYPKMTMEEYKEFKR NLVFLNQMISLCNECFTSVFNKQENQQKKHSNFRQNIKRIHMKTEIDDGLDLIYNIQPIQ TTSNKIDLFFNISENKTILNYTSRRINGELLQIPQIKQLSKTVGKDWNQNNSIFKTNQSS KQEQQNSNFKDFE >CAK93499 pep:novel supercontig:GCA_000165425.1:CT868672:237702:239181:-1 gene:GSPATT00025843001 transcript:CAK93499 MTAINYIKQIYNIMMSLDIQNDYLEFASVLDDKYYIIDTIGFGRYAKVKLAIDMEDKQKY AIKIMKTDPTTSQCRSDTFINEISTQAEFDHKHIIRIIYCKMNGNYKKIDGRVQQVSYFV MELANQGELFQLLEQTQQFSEKFARNIFSQLIKGIEHLHERGVVHRDVKAENILFSNGVL KLADFGFSTKTIDEKGSRVQFEISQHIGSPEYNPPELYNIGKQKFYNPEQADIFAAGVIL FTMVIRSAPFKTSKSTDPYYSLLKNNKQSFWKIFSEIVDSSAQFKDLIEKMLDENPLKRI TIEQIKQHPWMQGQMLGQTEFHKELKNRYDMILSQQIVKITNKRDTKFSTRKSIKKVETD NISKNVSKYCYENLELIEQINAKLQIKQYSPKEQFKQQSNKSEKLKVGIQQKQQNQYSSR TNQESKRSSPGSPSNDSDN >CAK93500 pep:novel supercontig:GCA_000165425.1:CT868672:239434:239928:-1 gene:GSPATT00025844001 transcript:CAK93500 MQLKTLPADTIQKVPNRNLRRNLSKNFNSITPNQNFQYNLKQQNADQYASHQRSSSNSKT LSTPQKSNKEQYDSTVKIRIDIQNFQENHKKSTNQKQEKENKILTPIKNQSRINSQDFKL VTKTKNFVRNQRSKSPQNLSKSPIKTPEKEQCKYVVVNFYQKKY >CAK93501 pep:novel supercontig:GCA_000165425.1:CT868672:239980:240529:1 gene:GSPATT00025845001 transcript:CAK93501 MIIFLVFFPSKAYNFVFASIQHPQVVHHQKEMLSGKNIQWNMFNLYLFVYALSEVTQLNQ GNLRLFITVWHRGNFLKIGGFKIFLFKLAHLIVLKYQIIFKLKIQKGRLIDQLQLFSMVT IGRIQIFKLKKLGFKHGIIIEVYEMIIIGFHGHDAAGLRGLLGIMKQVLETSIYF >CAK93502 pep:novel supercontig:GCA_000165425.1:CT868672:240555:241491:1 gene:GSPATT00025846001 transcript:CAK93502 MKMMINEFKKILQYLVINYLFYSTKRERMQQGCDENFEINEVVWAKVLGCKQFFKLQIHG GQHKQLQNNHKQISSISNGKTGTNQQCRVNFLADGTHADLRLEKVKKWDDKPAQMETKKL KEAIELANQILTGQYQNILPSFDNLNEHALKSLVIKLNDLIRKDNQMDDIAQLLNKISNV ELLSIVKMNLGQQLFRIHKTHQSRKPKKFQILLNTFLEQLTTIIGYKEQVEQTTSNKKKF KQSISNEEPLEEITKCVIIQDGPEVKRKKAEESFQNTPLNQ >CAK93503 pep:novel supercontig:GCA_000165425.1:CT868672:241595:243791:1 gene:GSPATT00025847001 transcript:CAK93503 MNPEKKLTLNKITLNRPLQKIGLPPKITLNKQLDSRPQSSKIDIQSVNINWLVDNIQQEN KLEWIQKCYVFFKDWTQNTECNDQGKEQILKQSIQLLDYNDLQVRLYAIKAALFGFTTLN LLELLFQKQPKPLLRRPKSGSKIQEQLPSLLYQPQQVLAILQKTAQLSNENVFVNLINDN SLSFVLFRILKLFTQLPKDQPTTSQQLPYLNLIFIIIKNIANNQKEAQKLLQPIYIQLID SYLHTFPFDQTYEKDLLNLYTTITATLRNLANENNSVEMYLQNGIIKKLVISMVSFSNSY ELILNTLRIMSKMSLSKECCEYFLQSTEAMQNISSFFKTYQTNIYIIIRASFLLANMTTY FEGIRQLIYYKFNQFGDILKCFDYYWAKEIAPQQLNQIDQFSQSRAAWDFQILQSEKDID ALIRIIRLIANILTIEQIGLDILKNYSNEYRILISKLLKLLQKNTAISKQQEIISCTLSC LSNLTFYEKQTFLNDFEYKNVKFELIATLGHFIIQNEDQEICCDGLRVLSNLSRQKDLIK QIMKSRISEGVIVLLDSNSREVVYYCLGVILNLLQDSEFKKKENINIIDYITQVLNDCTT NENDIANLGLKCLILLLDSNLNSEYATKIEKAVQSFGIVCDQVLKVKENNELSNTRQLIN QIINNIPEEGFPCMQPNCGRVLKTQKELQDHISRRHKL >CAK93504 pep:novel supercontig:GCA_000165425.1:CT868672:243820:245101:-1 gene:GSPATT00025848001 transcript:CAK93504 MQLEIYKERTSSNENTQQNKAVETLHSLVQYINDLTEIVNQIKVKNQAEFVIAYQSHMKK IKAELKELKSKTEEQQNNLELNQVKMKSNDNELTLFREECLKLYEKIEQKNKEIQELKFQ MQESKKSNHFLEQQIKGLMKKLKQSEIEKEQLPTPLLEQTFCTTTPANQFKIPRRKLTDY NSKLDLSSSISKYHYSSIREMFSIEECNSSTSKFDEIIERITKYVTQIEQKYQKQIQNLN TKVNNLLISQNKKSVIRSDLESFFLDCVETVRRDILKKKRPFGNTQWQQNQIEMITDFTQ FHKEDKLKILELVVSNEKILVFLYQKLFPNHVNLVIKSIREDISINNFLEQSVKCDLSKS NHHQSGDYKVEVPKTTREVRSASMSKQLEVKRGKLLFKQY >CAK93505 pep:novel supercontig:GCA_000165425.1:CT868672:245140:246425:1 gene:GSPATT00025849001 transcript:CAK93505 MRKSPLMKENMKAIHNQKFSYLNKSKQKTSSLEKSSYSNRSLLNEMQHQTPNQPKKNIST QASDQKLHNQSQILKSLMKIQNRTNPILTQQNCYAGVKLNFNNCVGIEDHQNEGNEEKRM NTNQYNSLNQTNHPSPKVKTISLSTYTSTQSPELREKEIRHEQISQFKQLITQTKQSLEN ISIADMQQYIEGIFDETIQPLLQLKSELLKQIEKCINAEQYQIRSTIDSLKKMEDDILSN EFNIIEFMAMGPFNEIMLIYQKRFKEHSIFVQQLNQQDIVMHQFKQLHENFTQQNHSLCK QLQQSLQAYLSLYISFQNKEDFYEMKEFSNIQQQQNQIINHLQENLFQTCETNNSIDEDQ VIMKDQQVVRIPDKTSQFTQLLMKGLNCQKSLFPSESPQFKN >CAK93506 pep:novel supercontig:GCA_000165425.1:CT868672:246503:247386:1 gene:GSPATT00025850001 transcript:CAK93506 MFQLSKFSKSNPTVRYIVKKDILFYEEEIETPPLYENQTFIYQFTKVGNYVIQCLNSIPF KAQISVIQNEMEISALQKMQQTKNIEQKPVKLQPKVDLYAPENRKLKMLGSQETSMASTT ILEQIKEKCLGEDTNIDIVDLIMNNKSNSNNETVSTFNSSFQQPQNIVKIKTETYSDSGK SEFNTILDRKSSDPIVSTAKVSDRITLTRTKQQQLSVFDKIQFAILDSKHIEIDVQSKQP DFKKTYTKGLSIMNYLEIEYCMGISNKTNVINEIQN >CAK93507 pep:novel supercontig:GCA_000165425.1:CT868672:248184:248679:-1 gene:GSPATT00025851001 transcript:CAK93507 MKYPKNNNKQPPRELKEPSYLQCMKLSQYQIENQSQIKIVKKRSEFTMFSSRFPETKANL ITLTKWMNQETSQVNLMSRKKSMLIVKKHLDSQNQSQQLIGLNLLLQTKQDHTMNDNSIS YIKLPFETQRKISKNQDQFKIIKR >CAK93508 pep:novel supercontig:GCA_000165425.1:CT868672:249688:250345:1 gene:GSPATT00025852001 transcript:CAK93508 MDFLTRFKGRTQTMNKKEGVTGIIKSNGNPIEKLPTFQSLSSLLATTASNTISDSEKQSL YSNDFTPKSKDPKIITNISAFHNRKSSLASPPTPSSNLNMSKSQIDLNSNQKQTLSKTGQ TNFNLSDYQLIIIPKEALSQYKLENTEYNFQGKEPLQQIQQRYYCSKSQSLDAQKDKPLI KSQILSQGSYSKQNERKKSMIQTGLNTLQY >CAK93509 pep:novel supercontig:GCA_000165425.1:CT868672:250445:251097:1 gene:GSPATT00025853001 transcript:CAK93509 MSFQDQAEKIFGGLQKAFKRLELELEYNYEIILSKQKAYQESLGMIAELEQLVMIRNTEI EIGGFQEMSQSVSLDDQDHHLLMTQLKDNINLVNDYKQQIQEIRRQNQLINKLVKEQSHV NSQNQSFEYDQENEHEINSNFIQKRQQKNVERVKEIEKKIKEKQKNLCKMQKKIKGKEKD YDYRLLNQIERLDSDIEKITHQIKSLID >CAK93510 pep:novel supercontig:GCA_000165425.1:CT868672:251409:259973:-1 gene:GSPATT00025854001 transcript:CAK93510 MPTIYTEFQSSNQYSISYEIFHFDNYALYYNYIGNVLQLLPLGSSCMCVEDSRNSMQIWL QIIQGQFSFFENQCIEVKSSSLGCQPTLNLQACLMQKQNLSGNEAQQKGFFGKKCIDANE FHLRHLECKDHFSIYGCVNIHQKQCYWSGTICSQYEGPIPSGNDCSLIFNTAVAPELCSQ IQEIPCIRFSKILLGYNNGFEGGYSCENVSDIMLQTITCDTSGLNQVACTSIKTPGQKCI FQHGICRQFQQKDEISCLQQLNIEACLGISNPAVRCAWKQTGCQDYEVKENTKCSDVSNI NPSVCMAMSELCEYDKEHRTCTPITALNQISCRTKGLSKNACLQIQNDKCIFDDELGCSE LSEEQLKMTLCEDNINEISCISITTPFQNCQWNGQKCQRIIINQDLDCPLPLIDGTAYKV NGNVCQSISKNGVGCKYDATLHLCVRSNGFENCTTPFINLYACVNIQQQISCKWNEQVGQ CEDVEVIELNTRCSELKFSNPKSCSQVTEFKNSKFIGCYYNEETSLCTEVNLVSLIDMEC EQMGLNKHGCTMISKLGQRCRWYRSQCTKIKSKESLSLVNCIELQFVNPAICALVTANSE PCKYNSDAFGCVNSVNYSDTGDYCTTPGLNAFACSQITRSKTGCYFDKTNNICVTAPDSK SVQEIDILASKELLTTARCVASSPTLNICMAIKTLGESCIWNTRSSRCDYNQVQLNEKCL DYNTQEKQKSTPGAEVYVNENVCASVVMNFPNNDPAKGKKVDVLRGYCEYNGKGNCILYQ DKPCTDKCCTTVSGINAHVCSRYTDQTVYCYFNEFNKCVQLTNESTDLTSIQAVKDYYNF MKYKCSSMNSKSCWMIEWSTTQKCYWDGFVCTQINYSDYPTFSAGVFPPTSLSRYGCQGV EAIKSNYAKYFKYVDTNYCAVYLVPPMLTDCETEGVNINYCLGYSANIYCKWNKLTLSCE RIEDYLTLLTCDENQNQQACISNPYVPCAFLDGSDKCVSSPQNVKCNDFSLASSPYFAKV NEQACKNITMPGQICKFYEPLNYCVYSYDTSTNCDLPGVNSIGCYAKTQGNCRWDSTLLE CYEESDENALNTLKCNDNINLFLCKNLMNDNCEWSTTNLICSQKDALNKVNVNNLYNAQT CTNLVGGGYYFNNFKCVELLTSNNDCTSKIMNKHACLTMTKNHRCIFDPNQPPELKCQEF KEEQLTCSTDKLISIDVCMNLPATCYFDLDTLTCRSIDITDTTKCSTLIQTNQIFNKLAC SSISETLTDASSDDGTRICTSDPVADNAQQCYFESYCAWDTTNYGCKLAKIVNAQYSSNQ NGAYCSLAPVSFQCTNIYSKAICLQLADNCYFDITQGGCNDYTANSYKVQACNQLNGSSC INSKTENAYCKKVALDGYDFTPACSVDSPITDLCEDDVSPSSSCSSITPLKAEDCSFSSE KCYYKNSSCVIPSSSDVITCTTPGVSKSLCLTIDQKCDFSSGKCTNLTIPSLNANKSNYY YVCNEVNYLITQYKEYACGQISAIPCKFAQNSCQRAFYDDCSNLIGITSNKKACVECKNK NMMYDYGLSTCQEKTDLSSSCDSISTQNSCQTHSTDCEWNDVISKCIVNLQRLNQNSCIT LSNTILTKWDSSTVTCVKLSLNSAQYAASCVGLGKKSCLTSKSSCWFDSQTQSCIDIDTI PLSCSEINDVGGQQQHCLLSFKEACKWVNNLCVSASLETDTCSVMNKFGCLNIKQNVPCG WSNYNVACIKYTNYNYFGCKNYTWNNNYSQVNPYFCQLLSGTESCFYDQKTYKCTNVGST DLYCSKHQGINKVACLTRTLDKCKFDSKVNKCVPITETDTNCNNSLNKVSCLTVPGKYCK FYQNECSTLTLAIATVYARATPPVGQTYSATACVYYNNAFNDAYFIYDNNKQYCVDADYA KEYPRKFIALCRSTSINNRVCLSKTINTCQYVDNQCLDLSADEIKLETSCNAAFNWKACI QINNLCKFYQGKCQLITATDTCANLVSTTANPLYVGPQVCASFATTLCKYDSEGYDCKAA AATNETCQTPGLSKKACLESTTKSFCYFNAITNKCDFTYDNTFSCQDDQTPKTWYLNIAR CYYLRKTGSTCQFLNGECTDFPQTKLAECNTDIKTNLITCSKATVGFCYYIPATNYCYKG NAVTTSVQDWNIGQSFNKNACLGYQHATVKIATMWDDLKGCVEATSNDLKNLLCESPINI YACLSITNPTQFCQYDLVAQKCKSFNYIVPPGQIGTCVTLTNVNRFEFCQYTTDDCAYNS ATRNCQVLTTADFTIASAADCFNRGFSKSLCNKFPQCKYSDERQLCYGGSIFCKDKSLDT CSSVTIEPCWINNSICTGITYAQLKTVKCSDVINQVGCTSITNPDYKCQFNIQTSICQEI TQLNLKCTDYTYVNTYSVCENTLDVPCKYDFVTKSCKQVIKTDVFECDRGLNEQACQLYT RPSLQCLFKNFCFGPTYGVNQCSDSINKEVCLGYNYLCTWDSVNKVCQDFDITGKTCSAL ETSHKVVSIQVCYNSQADSGACVYNSSTNTCKLIQPISCYELETQEQCTQLLDLPCIWSN NKCSFLQTTSTDTCNQIGNVGSKRACLDIIRQGQMCQYVDKTCQTHVESFNDDNCVDNVN KVACVTQQTSQCLWSTETKEVFINKTDKINYTSGVCTQYTPNSQQDCSTSLSYASCFSVS KPGSFCRWLNGQCVSIPVSMAQQTINYNTYILVNENSCGLVNVDKVKYSEKSKSCVILND NEVISCKCGQETKGININACLSIKYQNCKWNSLNKKCVEQNSKCDFN >CAK93511 pep:novel supercontig:GCA_000165425.1:CT868672:260186:261913:-1 gene:GSPATT00025855001 transcript:CAK93511 MDKNGEQIFTSEFWREINEQYFIVQSPVQITDIDILKSKSRNGRSSKFVSPLNKVIRQNN RTKLFQTNLNNLKTRFQLLFMQRQSKQEPIENLIDEMIDEVCFDHLSTELMKAQELIKNI TLCSDAQQLQIYNQEADLLSNLMQQIDWPDELSISIEQYQQQLDEITSCNRNALQILEQH IHQINLTLLYTYNSSQVGEHKLKLTRSRLSNHQNELSQLKHLIISQQDYQVAESIAKATF NDQNNTVHVIFDKYLSKTPITMSVEDFGNLQRFREQKFDLVTQPCNLRSDVILYVGQQDV FEMQMCHYCKEMVEIKNLKQCQYNHFQMGLHEYNEDLLICQRYQINAKQARQFLIDFYAE NYVIENKQIMCQRYFCLKCLKYDFDSYETTSYLWICPLCKGFCTCERCERNDMIYKMKRQ FLELNGDLEDIYRTSQFESLVKEKRRQLLDIPLEFLNNTKNDEETIYQKTNRRQNQSNQI RKKINKDDSHLIKKSQKLYQQESSSSSIKMKRSKETTTQNTISSLDSINSQMFI >CAK93512 pep:novel supercontig:GCA_000165425.1:CT868672:261964:263657:-1 gene:GSPATT00025856001 transcript:CAK93512 MEQQDASSIAQNNLTGLATVHGANEQNKLLSKLSEQSSPMKTDTHINPNKEIYSEEEMRN FTKRGIISLIGGVILHIELGTFYVWGSISPYVCAWMREKDKDVTLNFMAIIFPILGIITM SVLSFGIKIAEKIGFKVTIGIGSFTIALAFLIISFIQQIGGFIAVYCIMVGISGGLLYML PIICGWRYFPNRRGLVSGMTIGGYGFGSFIFNFVCKAVANPNNLKPSVIEVEDGKDVKYF DSEVGDKVPLMLQVLAASYFGLGIIATIMVRYPAEIDPDKMLATLEAEEKKKRKEGAPAP PPPVLPAHKECVEIKRGMKHPSFVILQLIVLMSCTFGMLISNCYKYYGLELGIDDATLTA TGSVAGVMNGSSRFFWATLTDKTSYKFTFTLISILNLITTAILPYNKDGIGYLLLIAVVY LAEGGLLATYPVICAKIYGKKIGGLMYGFMFFFVGVANMIGYILYAFARKKIKWEGVFWI CFALNVIGIILGLVLKEVGYDWRDQAVIDAEKEKEKELQNKGQLAAFQN >CAK93513 pep:novel supercontig:GCA_000165425.1:CT868672:264541:265072:-1 gene:GSPATT00025857001 transcript:CAK93513 MPLSAWSPEARALAKYLEVSDSLNLPKRKLSKQLQKQCKTKNCMDRKLKWKYQKELSQEE RPQVAMLVMPTVALDPDHIEEEDLYRLTLTQAVVEDIIVVDDITKEDRFQNPLREVQVRV IEGEEDEQLDNISNNQNIIQPFQISTGSLLRNYIEFS >CAK93514 pep:novel supercontig:GCA_000165425.1:CT868672:265075:265356:-1 gene:GSPATT00025858001 transcript:CAK93514 MSKSSKSSRNFNIYTLQEAVHPRVNIRVQVQNRNQIHIQIKVNHTSYINIQNTSLSTTST LSTSRKIMPPCLQFVQESYRHRVERNLREVWPN >CAK93515 pep:novel supercontig:GCA_000165425.1:CT868672:265514:266490:1 gene:GSPATT00025859001 transcript:CAK93515 MSREDYMISELIDVTNLYECLLLSAFTIIGLWLIWVIWSDYQSTRRIYIKREQEKRDMLA IKRLLLINICAQTFSYFIECVGYAFIKDCQNNNYYIISIIYFICNVTYVTSLQICCWKST LIWGELILLKLSIQSSIFDFVKKYSIIEILIVIMNLCIGLFYFLQVKSIVNLIVSIISTL MLVLFLMVSFFFQKYIILIQNEIPNLTKRRIFISIILLSSALGLRICWNLFLMIQQDNLI IVLKYGSKGSFSCSTEGSLSWFFYELLYFPIANLIPVFLFNRIYSQHRITPQSQINRLID EE >CAK93516 pep:novel supercontig:GCA_000165425.1:CT868672:266769:267295:1 gene:GSPATT00025860001 transcript:CAK93516 MNTDPIPPPKEYVIPEDFHQNIRTRFLNFFRYTVGDKAKNSVIMLMGACKINKHDEDQQY RVEQESNFHYLFGVDFLNCYAIIDVDNGKSVVFVPQYDSNYKMWNVVLNNEEIKQKFKLD EVLYNDDIESWLSNRKPSLIYYFYGIDDYSHHSLPIPEQSFLQKLQL >CAK93517 pep:novel supercontig:GCA_000165425.1:CT868672:267363:268262:1 gene:GSPATT00025861001 transcript:CAK93517 MRFICKISSEAHELVIKNIRKGNKEYQMEALYQYHTFINHGCRFTPYECICASGTNGSVL HYEENSKTIQERELILNDMGGKFYGYCSDITVTFPSDGRFTQKQAIIYNAVLDAQRQVHN SLKVGVNWGDMQLLAERTITKHLFNAGLIKGSMEDLIKNSICRLFFTHGLGHMLGLRTHD VGGYNKGTPPRLPELSQLQFRRDLDVGMIFTNEPGIYFIDFILQEAYKDPNKLKYLNRER IEEFMHVGGVRLEDDILLTANGPEILNDVPRTIKQVEACWRGEDWRQIND >CAK93518 pep:novel supercontig:GCA_000165425.1:CT868672:268425:268820:-1 gene:GSPATT00025862001 transcript:CAK93518 MVYQSIGISLAFYMIFTASYVIQLIVLFFRSNKNLNRYIYYHIYLLILLYSVGQLVQIYY YNFQEELTKLTAYQNEENKQDALKDLQEEGQLAQTIWQLYFMCTVIIIVVFKISYLYFGL HQYVKFMEIEL >CAK93519 pep:novel supercontig:GCA_000165425.1:CT868672:268980:272448:1 gene:GSPATT00025863001 transcript:CAK93519 MKQPFINHNYRVQFKHPINIQQEGLIQEIIPSRISLFKTIVFAFLSLITCGLLYLVTRWD LRIFLFFRAKKCVPNSATHFLIIGQGKQIRQSLLDQSQTLVKSNRSKDGILFIEYRLYRY QYTEFGFDPIETKYQTMVQQDIRQQTINRIEQLDIFGCNNTEIPDKGIAKTLIDEVLSPF YIFQFCSVLLWFWASYQRYATVILITSLISIFMTLYEQRRSFYRLQQLSKFNIPVQIIDE QQVKEIESTNLVPGDKLVIKDGMIMPCDAILLNGQVIFNEAMLTGESIPVLKTELPNNKE VYDPQDSGKQFTLFAGTTCMETKGSEVIALVTQTAFNTQKGQLIRSIMFPVQNSFKFYAD SMKFVGIMAILAVIGFIITVPNKIEYLLDDSISTWEFINEGLDLITITVPPALPTCLQIG ISIALARLKSSKIFCISPQKVNISGKVTIMCFDKTGTLTEEGLDMYGIRMIENQRFSKIV TSIDANTDVNFIKGMATCHGLSQVKGKLVGDPLELKMFESTNCELIEEKDGRIRIRNNDR INVEIMKRFEFSSKLQRMSVIVKENGQYIAYMKGSPEKLRQLCNNQSIPYNFHQVLDFYA LNGFRVLGMAQKQVQSIDMDRHEVESNLNFIGFIIMENKLKPITTKIIKQLKDSHIRSIM VTGDNVLTAISVARQCGLIENQRVYLGELSEKKLNGKYYVSWKDFEYNQNELNEDTLEPQ QQIINNLNEIEKDVDVQQDFEYLNQRSFSKNLVKNKSLLEDPPPVNVQVDNISEIYTDGD FMEEQPWNENENYILAISGGAFMHLNKYGSQATLNNILEKTIVYARMRPEEKANLIQQLQ KHKSLPLIGFCGDGANDCGALKTADAGISLSQAEASIAAPFTSQIQDISCVPILLAQGRA ALTTSFCCFKFMALYSMIQFIQVTILYLKQSNLTDNQYLYNDLFTIFPLSMTMGLVQAAK INKFVPGSSLISFSVLGSVIGQTIIQLAFQLGVYLLLLNQSWFIPNEQLNQEDLNDDSMK ICFENTTLFIFGNFQYLMIALAYSNGKPFRKPFYTNFYFIGSTIFLFILAIVFLLMRIDY IDDIMGFIFQSYDDQYIMPESWTTLLGIIAIINAGITFIYEKLVVPKLVFKTKVQSIQF >CAK93520 pep:novel supercontig:GCA_000165425.1:CT868672:272469:273441:1 gene:GSPATT00025864001 transcript:CAK93520 MIQQSGISFQSRQVQLRDQINMLEQRAQEIIQDIKKKRKIQGGQDRQIKLKTLQNQIDKM KQLNDDLLHLSIDEKKWNKFLNLLEIMYQNLDNPEHEQEINQLITQLYQTKIVQTVWNDR VLLPNRQTIEPFDQKAATQQAQLVDQNSAAYKIKMMDFSYADRPQKNDYYQPPTFKEKLN LNSLLPKYKMLPHSVFSQLSSDTLFYVFYYHKEPTEQLMAARELIKNQWIYNTKHGLWMK KDKHYQYKDEENDKVIKGPFFYFENEGKWQQKKKQDFSFKKKHLIQYELIQ >CAK93521 pep:novel supercontig:GCA_000165425.1:CT868672:273682:274594:-1 gene:GSPATT00025865001 transcript:CAK93521 MNISSIIQKPKAPKTNKSKKISKKIADRKKNPLFVKDAKSFRIGNDVQPKRDLSRYVRWP RYILLHRQKKILLQRIKVPAAIHQFSKTLDKNQSSKVYSLLKKYSPETKTEKKQRLIKAA EQKTQNQKTDSKKVNVLKFGLNHVTTLVETKKAKLVLIAYDVDPIELVVWLPQLCRRQEV PFAFVKNKARLGALVHQKTATCVALTDVRKEDQAEFDNLARDLRQHYNENHELLRTIGGG QVGIKSRHQQEALKKAIEIEELKKTSQ >CAK93522 pep:novel supercontig:GCA_000165425.1:CT868672:274660:276163:-1 gene:GSPATT00025866001 transcript:CAK93522 MEEFEILDFHELQQEQEGIDKTARFLQKKIQNGFKVSIVIKKQHKFGLAPLQQKKNDLFS KQHKPMDIISQSSEQTENNDKQGSSTSSHSSIKMKNKLTIPPTQNETPEQNQDEQRTEKS DDDQISLYSNQINNFEHLLKDNLLSQKIQIGLSFMRDGNSKMALEKMMDAYKEGNEKLKQ CQDQQQISVLIIICIQSLCYASQLLMEFGQFSESQKCLDKCLNQFEINDFDLKCKIYIAK AQCNLSNNQYLQCLDNYTKALIQYEQVNWKLEVAKLLIKISFVYALLNDFADAKKICYEG LSILQTKLSNSDPKIYDAYYTLGCIYYLEKEYDFALEYLDQSKEGFIKLYGEKDLTIIKI LNLQGVINHLQGNSINAMELYEQIVCLYGDSQNIGLALVLNNLALVYLDRMKFKSAKLSF EKSIAILKGYINEQHPTFQRIEKNKKLVVAATLSYL >CAK93523 pep:novel supercontig:GCA_000165425.1:CT868672:276373:277901:-1 gene:GSPATT00025867001 transcript:CAK93523 MIEIRRPLSEKNLGNRSSKDLQRPNSSSSQQKQNLQTMKSSIQLQKQNQNFYSKYFSSKD QKENIEMRANVERNPSAKSKQTPQDYRKLQDKLLYLENKISSIKSHIDNSQRQSKNNIAS KFFSQNTQNNQNSTQVQKSVQIDFTNRQQKEQREFTPQQQNKLKSSTLVNNNNEYKSSIE GTIIKKPSLSTFVTQVKAPLQENKGNFKNLKPDSAKAGSSIKTNFKRKNSQEKTVESSFL YYISSIIKGYMQPEITRPIELIREHLLQTMQASQFQKSVKIISNVEDKKVNLPSTNKKTI VFDLDETLIHCNESTQVPGDIIEVLYKMDLQASINIRPYAQQVLQTLNKHFEIIVFTASH SCYANVVIDYLDPNKNVIAHRFFRDSCMQTEEGAYIKDLRVIGNRSLNDMVLVDNAAYSF CLQPLNGIPIINYYDNKMDQELLYLQNYLMSMRTVRDVRQYNSQNLKLDKFAQFTDAIEL LQSLHKDYIP >CAK93524 pep:novel supercontig:GCA_000165425.1:CT868672:277949:278793:-1 gene:GSPATT00025868001 transcript:CAK93524 MRKSLDQKQNCKQLKMRGKGNEQEVLYGRLLHDREILNNTYEDVLDKLNEKEKQCSQLQT EYDNLEKQALIYKADQENINNEVKSKNENLKYTRMQYQEAQNYISQLQNDLEELHKQKEK FKQESLLYQKNYQQEADTCMELNAQVIQLDQTVKHQEKTIIDQKNEIERLKSMHMECLET TEELNHELELARRINDQLEHQHRDVMEELDKLSLTEEQAAMARASKYKELKTKLIMGTKQ LQQFSSPFKRFSTNKKSQLKQYEF >CAK93525 pep:novel supercontig:GCA_000165425.1:CT868672:278829:279246:-1 gene:GSPATT00025869001 transcript:CAK93525 MSYSQKNFLNQPFYSPAKFENDQKDLMISQLKAENFELKQNDRDYQELATHLKSLEHRYN MLHEEKMRNEVEFRNRSDQTLKTIANLRNEIDNLKSQLTDKHIENQEMKAENLAFKEITE HRSQENQRVKK >CAK93526 pep:novel supercontig:GCA_000165425.1:CT868672:279575:280267:1 gene:GSPATT00025870001 transcript:CAK93526 MYPKEKMPYIIKSQVGIGIGTLFYFYGVKLISLSEAVILFCTNSIWSQIMVSIMNKEGIT KSRLFNSILCIIGVILIANPQLKSQDQLQHVIGCLCILACSIIQSYAFIIMKQIGTEIPS SVTVTYFNVIMLFLSSVQQQYVATFEYFDDYFFYSFGFSIFTLLAQVIKFRAQTMVTYDK ICNYSYSQMIYIIIIDFLVFRTVMSKLCIIGALFIISGVFKQLREDRKLK >CAK93527 pep:novel supercontig:GCA_000165425.1:CT868672:280687:281449:-1 gene:GSPATT00025871001 transcript:CAK93527 MGCHLYLLSIDEGIKGYRDDSLETVKQNQITYDLDLKILSYKELFNWSMDEVVAQIGLNN NCTYCGVFRRQALDRGAIQLGVDKIITGHNADDMAETFLMNLLRGDIFRLPKSTAIITGD DGDNGIATLPRCKPFKYTYEKEIVMYAHYKKLIYFSTECTYSPNAFRGHVRELIKNLEAI RPSAVIDLIHSCEQLDAPNQNQKIPQKQLCQKCNLLSSNKICKACTLLESLNQGRAKQIL QIQE >CAK93528 pep:novel supercontig:GCA_000165425.1:CT868672:281465:281684:-1 gene:GSPATT00025872001 transcript:CAK93528 MVKYCQSCNVQKAFMVRPKTGNLICQNCFFQAFEEEIHHTIISTQILPLLHPEAKILQSQ FMLSLC >CAK93529 pep:novel supercontig:GCA_000165425.1:CT868672:281788:282613:-1 gene:GSPATT00025873001 transcript:CAK93529 MAQVFNSKVSIITKSEIRYEGTIYQINPQQQTIALKDVRSFGTEGRRPDHEIPPNQQSYD ILVFKAAEIKGFKTLEENKSDDIKFENVKQDNQVQQPQNLQAPQNIDQIEQQQELRGQFQ NNFPQNQQIKSNGTNPRAFDFDEMLQKANEIEKIKKQEGKPKYNPTSFFDSLSTSTQKQD RQTQQRNQNQIDTDTFGNFYKQRQHNNNNNNNGQRRNNNNNNNNYNNNNKHNNNYRRKNN DQQRVVYVEKQSLEQYQQQPQSQQQQ >CAK93530 pep:novel supercontig:GCA_000165425.1:CT868672:282868:284108:-1 gene:GSPATT00025874001 transcript:CAK93530 MNDEIRKHWFANCRSISEFERLDKLGEGTYGTVYAAKDKKKNQVVAIKKVKIHDSNEGFP ITCLREIKILQRLSAHPNVVNLLEVAVGPIKDSIHLVFEYCAIDLAILVDNMFIDNYSFR ENEIKCIVLQLLNGLAYINSNFILHRDIKLSNLLLTNDGIVKIADFGLAREYEIPQKKYT NPVVTLWYRAPELLCQMNNYNTAIDIWSVGCVFAELINRGFPILQGKSEIHQLQLMCEML GYPNASVWPDLHKNGNKQILKELEKFQHCRPNLQNVIKDASPQALELISRMLTWDPEKRI GVMESLLHEYFYTNPRPSMPEELSILRQLDQFKKKNADKAEKKIKIK >CAK93531 pep:novel supercontig:GCA_000165425.1:CT868672:284161:285141:1 gene:GSPATT00025875001 transcript:CAK93531 MIKELLLLLYFIILVYAFANTKCGGKRYKCGDENQDKVCVNVSEYRGKVHELSPCADDKT CLWQDAAYQKPIYCTDKPAKDKILPGEACSGDSDCLSNSCIGGICLGLKLNQQCTGHQYC DVGYYCDTYCKEQVQFEQSCSNDYQCTNNCVCNLGKCAYYYSLENNIKADNPKSCYYGYI NPTNGTCQNGPHSLTKSKPCETDTDCILLDSNEKLYGYSECQCGFNAGGFSYCSLAEGDP EYLKILELFQWLLQVNQYCHTILRYGPCSSLYLDEYIDYQKAVKFYELQSQIMFNDECIQ QIYTDDYWGINSNRLFILLIILLLLQ >CAK93532 pep:novel supercontig:GCA_000165425.1:CT868672:285205:286839:1 gene:GSPATT00025876001 transcript:CAK93532 MYRSQRLRMKSQNHLSISPPIVKRRLRNKNEYSEIVEPTDKEKQHLLQDIAATKQKSLTN FALTVTPKYAQERDYDDDEDILFHVTSPYQSYSLRVKRRPWSEQEDNLLIKLVQMHGPQK WTFIAEHLPGRIGKQCRERWHNHLNPQIKKSHWGDYEEWILFLSHRVMGNRWAEMAKQLI GRTDNSIKNHWNSAMKKRIPEMEERLKDIRKRGGMSNQELMNSFTSLERQLLQKLLLSQQ NGSMSPRSYSPNNIPRRRTNKQQNYLNPNSVNSYISKMMNEIKIDGIESFNMENKMLKKT AKIVDSIFWNEDKVDDIQNNLYEYIQEKVGAHGYDQDEKVDRWIKNFWVMCQDVFTVSVI KDFLSQRPSFYYNYLHKYKQDAANQVSKNLSRIYEQTNNSDEYQLPSLNQFKTPLKEKVD PHQNNNSNNNNNNHPNNDTNSPSNLCLEVKYDDHFQHQIESPSKLLNLHTPKHQALHQSN KKTPNLKSENKSLIQHSQFKDNLSLSKLKFETTPLKKNSAFKFYNKIQQHQQQQHH >CAK93533 pep:novel supercontig:GCA_000165425.1:CT868672:286899:287759:-1 gene:GSPATT00025877001 transcript:CAK93533 MFKNLLRIGFNLSLFNTTYVLCQSIKDEKLPHYHFLNEQDLNKLLRKHQNLSIIDRSYTE HILSIIRDVETDTVEFRKNSDRLIRILIEQAISQIEKKKHVKKSPLGYYDAHEVKFEDEE ICFVSILRSGNAFLFEGLKAVSGASIGQILIQRNEDTALPSYLFQKLPSNIKEQQVVLMD PMLATGNSATLALRILKNQGVKQENITFLTLVSCEQGIEKLFREFPKMKIITAQVDPILL KDFNYLAPGIGNFGDRYFGTVKKSQQPSQQQ >CAK93534 pep:novel supercontig:GCA_000165425.1:CT868672:288648:289741:-1 gene:GSPATT00025878001 transcript:CAK93534 MNYPQLNLQQFYMLPTTTYALQTPKKETCDQASQFPEPSLFESRLMSNTVKCEEAVEKEL KLLLIYLSKNISLLKDSVFDEFVFENLRTLSSLQKDLPDMIKQRYILMNKTKEEMTKFII RRCFLFIKSQIHYEEKEGFTAEERDRMFYNSFFSDDKEFMKSHLKESIDDMIPFRKDSKM KTMNDIYLKRLFESERFSKYYSLFLTHFKDICMNENEEKIQNMSKQFFKIIVARDYGKIK TYRRFPWKDHELVQCQERAKTLYSQYSNSHIKKTKNKFHSKCDSHYSVSLEKSSQSQN >CAK93535 pep:novel supercontig:GCA_000165425.1:CT868672:290003:290661:1 gene:GSPATT00025879001 transcript:CAK93535 MNTCDKPIIKLLIIGDSAVGKTNILKRFCENQYTQSFVSTIGIDFKFRDLEVEGKLMRLQ IWDTAGQERFRTITSTYFKGAMGVILVYAVNNLESFQNIQNWMNQVKQNACESVIVVLVA NKSDLNDRVVQYEQGKNLADSYGIKFFETSAKEGINIIDTFQCISKQIKDIMSLEEKVQN IKLEQTSQQAKSNFCC >CAK93536 pep:novel supercontig:GCA_000165425.1:CT868672:290676:291422:-1 gene:GSPATT00025880001 transcript:CAK93536 MFNTVSVSVQELRGIRTASTTGTSSYRDFLRLPPTKTNYKRQYLKGASNRVKSLREESHF VQLCSDRKGNEKLFKFNRQDQLLNYRNLHLGKLQPISNQEQNLENQQHYELQNQSQINSP NNNLRSSGLQLITDEKNQLIELIYNAQSVIQKQKKSYSNSQKTECLQNGKTHTSITGSSP KTIKSQGQTLGQLIVSSRNKNDLFVKSTQLTSYGSLKITTQEEKLSVAPKPKIPTSLDGA KKFLKQFI >CAK93537 pep:novel supercontig:GCA_000165425.1:CT868672:291478:294893:-1 gene:GSPATT00025881001 transcript:CAK93537 MLLTLKYLNKVKQINLDKRVNVQSLQILLVQLFGIKNRIVGLIDQDGNNNCQFQGNFYEL SQFIQQLYYSRCNVYTIVSEENQNSNDNKLLQTSLKYSQMSDYNNKLSFIDFIYDLEEFQ QILGGDQYTCIYLIDEKDYQGLDFLCALEPLINNFNNWVNFFYSFSNRLQDQVRESDFYN KLLWIYKGSKKIVSIQLNNDKKMRQMDEIIHKLVQAKLQGTSTRTSNIKQQSQISSQQSP DKRRSKSIRKLVEESQMSNNSLYMNQNVGASKLITRVQAKLIQRAPSRGSENFEDYRYQQ QNQSQQQQSNAYQNESIISQQDMLFGLVGDLEEKEILDVTMARLVKRLLIEENKEIIQVL QFYLQNTINIQQLCEKLNKIVENCTYQERPTSPFQTLKQSKRQTKPQQQLNVNSQESNRS MQQDSAQNQQIQRLEDIKNKVIERNNYSYTSEQFGMINVLWNQQDQVLLQLCTDLYNKMQ RGGEQPSLKPLQIYSDSKFNELLQQNFKLSEITMIHEYRNSHSGSIYAVLQHFRYQNNVE LFINDLRKAINSVQSQNQNLNQPEKQQTQVSKEIVLFKQYPKAPSPYSVLMPNFQLQEMT QATQQQQQQQQQQQQPQQQSQLQQQLQQQQQSQLQQQLQQQQQQQQQQQQQQQQYKKQNE NQIIHLHQTQPIQQQREQHFENQQPNQEPVQEIQQPIFQKNDLMSRSEQISAQKRISPSA EDIIFNEEIFMQTKKEKRQANLEKIDKFYSLQEEKELQQIFKDMLWDMDLDEPKVRQVEL LFSEHNQQLYEIVQGWQSSRNINGTRAKLIKLLSEQQIKKDDYRKIKMYNLFMNQVRQFT LQNHLQDHEKNFLLKMFMDNDLQVLGTFETYLQNQDAEDMLENLKIIIKQYSKFTNINSP NADEDQQPIIETKKSQLTAKEILLQIRKYFSAEEKNRLENMPNEQRDMKIVELFQEYQQD QDLNGFISAVKKLSQQDQIKKQFEDLLKKLQKEGHLKIDDYMLSVINQRRNEQRIKGVFE LYLFNKNIEDFVECIKSISKLLQQELILQTLNQFEREKLLDERRIEILKEKAHDYHKDYP KLLGAFSLYFEQAQIDLEEAKKEILETLSLFSS >CAK93538 pep:novel supercontig:GCA_000165425.1:CT868672:295441:296452:-1 gene:GSPATT00025882001 transcript:CAK93538 MKKYSSQGLLLTNEELLRIQILAKNSFRPSSKQRIKEKPKLESYQFKPEINKQSANLQRS INDLFRWNLNRQMKRQQIYDDESRELVNNANRLTHELNNSSVFDRLYQVRKNSENVIQQS KRNTSNFGPYYTKNMTSSMYQEYQRQQQLEVSQFKSKTNATDSKYELSHQQTPNPNFLDS DQLPIAVNDQIKASIPVHQYVDLTEQLSNSMNEPDSPAKSPLHQKDIEVMVERLNNWQAK KQQQEQQDQEEDQHVKSQIRPNFINQNRQPSFGSSQSQSKFYSNMFLNNNQTH >CAK93539 pep:novel supercontig:GCA_000165425.1:CT868672:296532:297071:-1 gene:GSPATT00025883001 transcript:CAK93539 MTNRKTNLSSITRNLFNTDYDQETLFSSPLKSPDKSKSKVSLNYSPMKLDSIDNLMENQN TLRTQRPSEFNLKKMLSKMDNMDNIQEPQQQYKTFRFRRNENFSSQPILKIEVKNDVDEF FNNNSPVNTQLKQNKENKQYQLITFTDYVEKIYGKDWFSVPQRRFRRQTTIQEFFDIIE >CAK93540 pep:novel supercontig:GCA_000165425.1:CT868672:297824:298879:1 gene:GSPATT00025884001 transcript:CAK93540 MNHSKSAKGKFNIEDCSESEQEETQRKSLNAQSLFQTSLFGGLDSSVKPFKKKPIVKKSM TEFLNNYDQNFDDVFGSMKMPIDVSLDQSENKKSAHNNNNNNNNNNNGNNNNNGQKSNKK QTKKRPIETVNSESESNSEIQTTIKKQSKPNRNGKKKQSKRIVDSESDENKEQVEKVENK IPKKSQPKKQTKINSKQSSEEQSSENKKYNPNSVDLPQNSKKDKKGQKLKTAIFNFGTEE PERQASSNKKNKKDTPKRQTPKTRSKKQVEQDDDSKDDKIEQLIKEYQKEEQELKKNITN KKDKGTKDNGTKEKVDNKDKNNILNGIKKSYLTSECSPKTKSDEEDDIDDS >CAK93541 pep:novel supercontig:GCA_000165425.1:CT868672:298904:299500:-1 gene:GSPATT00025885001 transcript:CAK93541 MQQPSETKQSIQSGRMVLHPSLKLDKSAAFFKSTRVQFMLLCKNEIRQSTKLVPFYEIAN KTPAEHEIKSTYTTSSYADDYKVRPNLHVGSTNKLLEPYNTGSFRSRLPEPDAPILTKNA SQIELGDRHFNVKRHFLSTAHNVYGNFGKQGNITNPGILSEKTKWHHHLQQK >CAK93542 pep:novel supercontig:GCA_000165425.1:CT868672:299531:300520:1 gene:GSPATT00025886001 transcript:CAK93542 MRSNHQYNYNYKLDQIFSREKQLFIVNRSYDLHLKKLNEIKSKKTQSQQRVQHLEVLEKR NNLRLQRRRFDLNGMLPSAISIEQSERINKGNSQLYQKITEICNRPMQQDYYKYEDELLH HPHNLNLTFRKNQAQQIQNENIKIADRLMKQEPVLKLEEFSHSYKENKRMVMRLQRYQQC QNYMNNIRNNMTFSYRDSTNNSSIISKKKEKQQLQYQLQPIIHNLKAKSIENTEINKQIE TKDHFLSQELEKLKDYQIKAQLSEIQQVNEESQIETIRQDETVEQHIQQQIEREVKLYQE EQSDDIEQQLK >CAK93543 pep:novel supercontig:GCA_000165425.1:CT868672:300599:302447:1 gene:GSPATT00025887001 transcript:CAK93543 MKNKEQVLKQVYENDNKVFGKKNKPRNQEPNMFDMMKWPVEQDEPWDFKKKIQVEREPET KKLITRINHENSPWLTENKDNFRKIEKLKVEDSQILVQKLDEKTIKNIAKSCDCRNPQQL PNPQIQLQEDLNNQYKLPVQWNPKLQSAYRDNYLVKDIKDTNELMYQLEQNNKNFKGEYK VQHPFSGFYYEDSKKDEEEVISSEEEDLDNEEEDDQQKKMNTKFIKKAKTLDPNNPEDAA KLQRRKDRIKKFQGETRWIADSAFTTYFGKPAWGPYGFNNVNPSVGGIVYGQHMLSHNVQ PHRNKNDPFYIQTYQNALRKGATVANVEPEPPRNCREEDRLNPEQVEAQKQRNQLTPQPY SELKKKLDSKGKQTVPEFNIKKPDLTNTLRFASEAGSVQGESQIQDKSEKQQRKQKGDKR PQSVIDNRTQKSQKDKDDNKSKISNDQKTKESKKKQDKKVQIQSESKLQIDDQLAIPDKA NNKFIEELKQKKEKANRLTCKVNEINPSLLKSSPKQEQKKQITNDLQEVKSFDDKNPPAN YLQQLDPIELNPKNYKGVPADWLHRIPFAGKKQEIVKNGQQTRECFDYGF >CAK93544 pep:novel supercontig:GCA_000165425.1:CT868672:302521:304280:-1 gene:GSPATT00025888001 transcript:CAK93544 MITTTRSLNFRLPQLSIKNLEKSREKSNKPTIRSINNVYDYSNSPPKTRTDNISRGSLST PLQYCRYSCYNIQRVDSSFNDQEIFSAQQKSVQRNENSKNIISDQIEKLTQRIKNLSKTK KKIQESISSKQYKKTEPYIQKQSDKQQQSKSSKLILKTEDKNEPLNIEQKKVIQEKMQDI YTQVQAILKSHKGYVRQSDKKNVYIKLVQLKKQFKLVNQDYNEFYINPTNEQFKLDFELV DRIEQLKKLFENKRPTKRNCESLFDGMDISIVFQTEADEKFNNLDFNEISEKDFEQSQDQ IEQFTDQMTDCSPANSTTRRRTTNLNKRTTKQYSIQPIQVQQEITQQPQMNRRKSSIKSI NSPLTPKTPDSPSTMTSRNNKFNAQQILEQKLKLPATPPKKLEQQQFQEDQAIILKKEIQ KRQSKLISRKTTLDLQKEPKSKSRAISRNSVVEVTENSENEQKLIPNCPTNQTQMSIENN QESDQVQNILDQIEDLKEQIPTEIQQQPNHQIISSNQQQQQQPTQHLVIQESKIIQELYQ STFIYDEDIVNKHRKDKYKTQWEQDIQSILSNYYQFF >CAK93545 pep:novel supercontig:GCA_000165425.1:CT868672:304466:305868:1 gene:GSPATT00025889001 transcript:CAK93545 MAYQQPFYMASPQRPQPQPQSYIPPPQVQARPQYPPQGQYPPSIPQGYRPPQPYGYPQPQ IVKQPLPPQPQRVSYPQQPIGYQPQQPPPQTYPPQQVYPQGPIYTQSPQRPNPTQPYPQQ PNVQQYRQPQPPQTLPQRTVPPQQYPPQQYQQQPPQGARPYAQPQPQAPAQQLALAPIQN KVVQPPHQPVPQQPKPQQVPQYRPPDQQQQPQQPQQYKPQQLSQKDEDLEKKFQDAIDRT RDLVQRYQNPQQNQYQPQKQQQQPQQPQYENPENNDQDQQLQDLALQYEDGYIYRGQGFE PATREGFGVLTDQNENEVYSGYWHENQYHGQGKLINFQAEQIDGPFDYQDLSGIDNGWLG YEGEFFEGKMHGQGTLQLTNGEKFEGKFNDGMIDGEGVYTTVNGQVIRGVWKEGILASYM >CAK93546 pep:novel supercontig:GCA_000165425.1:CT868672:305933:306298:1 gene:GSPATT00025890001 transcript:CAK93546 MFCCSTNKSTLKKSTQIKIQHQSFDQSINQELDNQQIATPPQVHKKLMKNPPPQQKFFGQ TTKSESKIRDSKLIQPIRNIQHKAQNPFKKFETYKLLNINGNTEFLAVKESYTLLINKIS A >CAK93547 pep:novel supercontig:GCA_000165425.1:CT868672:306377:307908:-1 gene:GSPATT00025891001 transcript:CAK93547 MKTNRDKQFDEMRSKIKQSLFTKKETINKNIDNSRIIKRSTSNTQLNIQPQAKPDQKQPP CIQNRFHDLYQVGSIIGQGSNGIVKVCYKKTQSSQLFNFGHIPTKYAVKIIPNADDPELI NTITQTFIINRELNSLPQIIQVFDLFIDENEKVSYLVMEYCEWKNLQYYLDKNQLTIKQI REIISNLAISLKSIHHKGICHRDIKPDNILVKLSDQTEIKIIDFGVSKQFFNKDKNGIII HEMWTRTGTILFQAPEIFLCGIYNEKIDIWSCGIILYQLLCHKFPFLADTIIDTIETITN TNYNPWRQQEFLDLHYLQQDILKRILAKDPKNRLSAEELLLHPWISMKTSQYDKTMDDTQ ISKKKSKCCDFKIATCLQLSDVMNPYKISSPMYLNPIQNGNTNNDKNNMNHVYFNHNNND NKNKNDYGNFKLSDFVVRSKQMMSGKSSFLFAIESSQENSSKTNIEQSELYLGDKQSDEV LQYRMETRFLDQDCQHRKLSK >CAK93548 pep:novel supercontig:GCA_000165425.1:CT868672:308020:309391:-1 gene:GSPATT00025892001 transcript:CAK93548 MNQNDLFLIEGLKFQKGNKQAVQLIPQVNGLKMINTSDNSLILNIDFQDDGVLFDWSPYI NKQGEDNLYFIIHFHGQLYKLFGTPIQLDSMQEFSAGKFIFKRNDIYTLDTINESMSTHQ LSTIINRHNGKKYIEKRINQLDSQQISNSSITDYGCDGVPEEIRIIQLLNIQRCPYLMKI DQLTFDGECYSIIYQSSPQISLRQILKKYKNPPVSFIIEILEQLLLGIYIQNLVLNIFEE LNIIHNGITLENICYSQEFNSIYLCNFSHSIFETQNRSQIKGNSIGFVPPEQYQMNSHIS SQANIYQLGVLLYYMLFNENPFGKDQKKILQNNIAGKYQIPNTNQDKNIVDIMKSMLQKN PQKRKSCKEYLASKIFMPAYRSKISKHSFFSFFEDNFNKKIDEFEVGDDNNFVQTVKSLQ INKGNKK >CAK93549 pep:novel supercontig:GCA_000165425.1:CT868672:310835:312545:1 gene:GSPATT00025893001 transcript:CAK93549 MLRPQTNQQEKNIFNLNSVYLQQIVSQGWKRYETDFEEIETLLKGPYYQVVKVINKIDQQ IYAIKQIQICLKKQQQMEINIQRVLKEVRYLAQLNHPNIMRYYNSWVQMNEDEQELQQTI TNNSIKMIDLLSPLKSPTYKRGLYDDQFIFPKQIDNKSSKERISYSEVESSDSDSNETQS DRFFSEQKQKNKSLKISTQLISKSCGQKQLHVDKFTIFIQTEFCDQSLCNYLKVRNTHLQ QNAEQQEYQLEQSYENALIISLNLISALEYIHQQCKLVHRDLRPDNIFINNENDVRIGDF GLMKKIKQLLVQKQSSKQQYGFIEENTQINKSDDLKIYVAPELLGENINKQYDNRIDIYS FGLILLLLFYPTFSQESQMQLLLDAKDSQTLPFKFIQKNPIVSDIVLKCLDKDPNRRYSL EYIKRKLQEVHYQFERQQRMNCIELGIYKIKFEDEEIEQSKYLKLIDGQLYLFKSKVHKK AQAIYNVIECQIRMVENTIVVCHEQLTTISIVLKDEEETESLKQKLLLLK >CAK93550 pep:novel supercontig:GCA_000165425.1:CT868672:312575:313781:1 gene:GSPATT00025894001 transcript:CAK93550 MKYIMIVLLALAATSSASKTQDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWVGAQTIEQFTKIKALNQKLFQQAVENRAEYESVLQQTKNYLAWNEARRDSIA AKIETLQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIINGDSFEFTQVQAQSVAE KLKQYSNLFQEHQIKTFLALAQEQQEQSSGNGSTLAEKVLAVLEGLHSELEGSLENLKQN EINASWELAGWVSLSEAEITSLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQDA LDQAQSDLESKRADYAEAKAKRNEENAILDEVIIMFKKQVASWSGR >CAK93551 pep:novel supercontig:GCA_000165425.1:CT868672:313850:315745:-1 gene:GSPATT00025895001 transcript:CAK93551 MYEDIHLQHQTNAYIEQKDQLEVTDNTEEIEFKQISFDQALSQVGSSGYYQKRVFLIFGL QWMITTYRPLIIIDGFYLLLFSTLQSQKLIAKTIQIAIMNALHIFWMNNVYLTFEDQSRI EFLVGNSLNTAFNTVLPCNKTLQSIIKSIVYFGSLKGFFIFSFIADNYGRKLALTLSWSI TTIGSLILAVTYHFQLYIVCLKFLNDNRCNEILPQQFIIHLQMNIPGKFTLKLLEGHFRE FQNVGLQVFFAIGEFTFITLAYYVTDWRSLAIIAAIPTIMLAFANLLIFESPQFLYSKNK SQCVETLNQIAKINSKKQIYIDELITNPKSKEKNQRMYTAWDLVRNKSIRYIFIACVMMF FGIQMIYYGISFVSDQLGMNFFTSNYIIAFFELTAYLVTDFFVTKLKRKKSIILGLILVG LMSQYFIIQFDNSLFIIMQGILAGMMRFIICVIWALAFVYVSELFPSVVRSLALLLISAG GSIGSIVQTFLNNLCQQFQVHPMVVFGIIGMLCGLLLLPLKETLNQGLIENIEEEEKLMN QCKLDNKCQQSYEAEESGEVDQMENLNEEREFLIIKQKNININAQKKDC >CAK93552 pep:novel supercontig:GCA_000165425.1:CT868672:315903:317026:1 gene:GSPATT00025896001 transcript:CAK93552 MNIIRKASTTILRPQFNYQKVILFPGDGIGPEISKAVIDIFDAAKVPIEWEFHEIHKKRV TEQGDLITEETLKQVKALKYALKGPFETPIGKGYRSINVTLRKRLQLFANVRPCKSIKGV QTPYPGVDVVTIRENTEGEYSGLEHEVVPGVVENLKIVSYNACQNIAQYAFEFARANNRK QVVACHKAGVMKQGDGLFLKVCDDVAKNYPEIEFSEEQIDTMAFKLANDPTKIDVMVMPN LYGDIVSDLCAGLIGGLGLTASGNIGKDCEVYEAVHGTAPDIAGKNLANPTALLLSGIMM LKAMRLNDYANRIETATYSVLEEARFLTGDLGGKSTTTDYTKAIIDKL >CAK93553 pep:novel supercontig:GCA_000165425.1:CT868672:317030:319664:1 gene:GSPATT00025897001 transcript:CAK93553 MYIYIHVYYSINIYKTMKKPLYLSDLIYFILSIGNIIQLLLLQNSSLLTIILMTITLGML ILNLFIKIYYYSTDDSSIILLMLNTTTIVIRIIAIANVQQTFYQTDMYCISSYQFFLIAS HLYHITLKSQIQVHISHNLHNIVSIFGFKFLQNHFVINHFFSNDIKQVNNNLISRTIINK NPETPRKILKQSHTWHNNQNYIDNQLKTDLNFKNISSQPNMHFQFDYLIKEDTIDNLKRV QELFHLPFIITDKDAKPILYNSYFIDAFEKIDQKNIQYGIKNIKIYRLDKNSQKVVKKIY LEKQMNNNIFIRSSSQPNTFPLLSISSQPIKLLLNHILQIMSAQYCQCSVIDFQSEYLKR DYQIDIKFIQGYFLFIFTHTQERDDYKNKINTKLLMNQLFRSLSHEFSTSLNCIQILAEN ALEELQEKTVTKYIQPLLNSCYILNSIVQDVKDFSLILSKNFTLTIKNTNICKLIHEVTT LFQSQIQMKGLKINIITNNILIHTDAQRVKQSLINLLSNAQKFTFQGSITINASEEIINN TRFVRISVEDTGPGMDNDTQLKLSQFLTSSQKSRYKDPNQSFGMGLLITQKIVKGLTLNH EGGIQFESNVQQGSKFWFLIQDLNQEELPIQNSKQTIRYNKINSSYSNSKFDLSQPVSPS IKELKRQFSPLLSHRYIKDGQNLLLNQSSSISQSENISFQEEKFDCIINPYIMKQGCQKP LIQMDIHDRSSISTKILIVDDEYVNIYALKIMLNRLNYLCDVANNGYEALDKFMQHQYQL IFMDIEMPNMNGVTATKQILQFCEQNDLQKPIIIAQTAYTDSLTKAVCYESGMNYFLQKP IHTKDIMQILEEKL >CAK93554 pep:novel supercontig:GCA_000165425.1:CT868672:319678:321399:-1 gene:GSPATT00025898001 transcript:CAK93554 MKQLKNQNSISLSPRYQISIRNLLKVRQQPEQDDKLQTWQQIDNYFNYYAQEPQQQTLNA SRTSIKLDQQVNQTYLEYFNKKQKEMKHDAFANIIKSCSEYFIDTLYIALIGDSLSDKYD KIGTISQILIGFGIQPGALKSLNECEKREYIIKKFHCTIDALLEKWKEKVESRQQQQITT KLETKIQPQPLKWLNINTKYQSLKIPLAQVLYRKLDELHDIQQMILKQRQIKLNDITRPT ILELIKRMDPQIGKLFISLNSSKNIELSKTNKKLDVSQSNNIIKKMQKFQWDEELEGAFL ENRQKSQNKYANKISTCNFNIMGYLPLIQTLNRRQSIRSGGNQDEVIYEEIKFAKSFSKF LARCVDKKQLQHAVNLVDKDQISALEGNLAEHIIETHQNIVKIIQQARHQFLVKRTQQLR LKSEERECSLKRQERKVSEKKEMKIKIKRYEMIFKRNKNRNFFVIYFANIVMNKIRQRRK RLQPKIFQFKIYQKNSKKCSKSPTQEKRQRCITEFSTGPTRFQPTKLQMTAKVIMKIQAL IRRFLAQRFYKKLIKNKLKKVLKIHKQHQIVKF >CAK93555 pep:novel supercontig:GCA_000165425.1:CT868672:321496:322372:1 gene:GSPATT00025899001 transcript:CAK93555 MKNSKSPTQSEALPQIQYIKLKQLSNQRKVNFNVMLSLPYLLKDQQLENRKDTIAIFKKR KQEANSISKNKPQLLNNGRIHFTEFWSEKYQCITLKDSQINQDLNKYRQIIKSNIKDYLF RYEKQVKDQLQQVRDQNYTQPTKNYESDDETVKQFDHQNQKLLTSHNTEADLLAQYQKSE DIFKKPYMRYLNKSVSKEVKKDYILKLEDMMSKCDDFKYKYLTEQKLNLKRFSQNSIAKR FIINQTTNSSRSNF >CAK93556 pep:novel supercontig:GCA_000165425.1:CT868672:322843:323303:1 gene:GSPATT00025900001 transcript:CAK93556 MENVLNLQIVRIIKLPTMKIARTLRHDCAYNNFTRTCKPIDQITKLCSQQDEADCYYGRD GKCIYKDEKCQIWTNCEDAEYGHCTYANMIKMETVKTSTLPIQIKKPAYPNPMCIINGLT ENLFASTLVLTFLLNELMQFKKS >CAK93557 pep:novel supercontig:GCA_000165425.1:CT868672:323429:324928:-1 gene:GSPATT00025901001 transcript:CAK93557 MNQISSFWNNCKNKVHRLLEVKNNCHENDRVICIYNILLKELKKNHKGKDHQLEILVFVR DSQLNIGSVQCETCFQKQKQVETFLYSLDLMKILEDAYFFLDQVSSCFLNNQQFLEEEPY HQIMILKIMKEILDNKSINKEIIWKINKLILELESYFNFTNDKIFHNLGKIHYSNINKIQ MNKFVQNIKNEQQQIKSYRQYIKLLPTVISIRKFHPSSDYIYQQTVSEEFLAILVTYQIL IYNLKTGALYSKINVDYQNKKILLFQFTNDENYLIFAFNSPINLTIYNMKKKIMQQIQEQ TLWMSMVCCKQNNQNYLFKYNENLTIKQCFSLEQQDCNNFKLFKIYSTQENQFQTFIFYD NMEGKWKSLIRNDKGSIFKKNIQITNKFKLLFVRSSRKNYLYFTLISNSNKKIRKIINQD EYFLKTYFFSKDETYIISSSLTFYEIQTGKIAFTIKLNEKDELLDVQMEDSRIKILAWSN LYIYDQVIKNQSNMAEQLN >CAK93558 pep:novel supercontig:GCA_000165425.1:CT868672:325513:326399:-1 gene:GSPATT00025902001 transcript:CAK93558 MQTIQIIFFFFIMGSTARFANTDTYNQSKLAEKIHQSYHQTQQQNWQLQHQNSSTKDLNL TNQKEIQFKICSDIEDPEQCSKLHPSGIECEWNGSCLAKHQSNKIPELMKKEKSLKNLEK YTYRDQCREIFERTNCLVSKIQGLQCVWVNNQCLTNCNVISSQDLCLRNIATTNVQKCLI IKNQNDNNSRLNCSQRSSDCKYDDLNQYSCIWQNNQCQVAMCHQFQDEQKCNDQQSCNWH ISMKMCLTDTELPQYDKPCDISMNMSILSYYAFLLLIFI >CAK93559 pep:novel supercontig:GCA_000165425.1:CT868672:327235:328228:1 gene:GSPATT00025903001 transcript:CAK93559 MKQEIMSNNIVYQNSKPHQFMEYNPAYEQQQQQIQIQKLNQKQYEEVQSIRYYIYDNLQF LINLLYSSKAVNEHFENDPQHPMRIIARNKITLQLNLSNSIQFDQSFQYKSDSKLNQVLQ MEKQNAKQLLEQLSPEFKQEFANYLEIYKKSSLDQNIEMRSLNLEQTNLETKFDSNVLQS IINSIQQVDKTTQQFREQKAYNIPNIQDILTNANNYYEKTKQQLDNNYPNQNQIQIELQE ISLKQDLPTTESKKKRPFNRPKNGKKNVGIQKRKIYKDTLQRNKNHSNQQHQGDSS >CAK93560 pep:novel supercontig:GCA_000165425.1:CT868672:330253:331701:-1 gene:GSPATT00025904001 transcript:CAK93560 MNQNCNIPDHETDVEYLCTNEQCRDFRIFCFKCLQNEKHKTHIKDVQKIKDFGRQYINKS DEDLNFELEKNIDQIQRLYFTLKEELKFDCSISEEELNRLDLNQLNDLLCSKIKFRKEKQ SLISMISKSAERMIETIYIGLQDFSQQYFDRPAKKVQLEMKTLKYELINSIKDEQINTFA FNSTNTLMIGGYDSSKIKVFEFKKGQLKMIQKLNFHKKSIYCQYFMKKSNEFLSGSCDKT ISVCYLKDDQKWDIKQRLEGHQGGINCLLMNKNEDLLISSSDDMLIKFWVKDNDLWECSQ TIGGHSSFVSCISLNETENQLASCSKDNQILIHQYDKDAKFWFEFQIIQINQWGRRLCFI NDQIFVFQPEMRQQMHIYELDKVNNNFIKTKEVLVGVGSERKKNRGCFWFFPQQYVQQQQ ILINKNRCCVNVIEKDQNNEFATIQSIDFQTKVIFGKMTDNGEYLITWDDRSGAIQIRQY LD >CAK93561 pep:novel supercontig:GCA_000165425.1:CT868672:334323:335252:1 gene:GSPATT00025905001 transcript:CAK93561 MSCQTIRQILSGFHKQSHALSNQLNQFLFLHMAINNVMDVVDAILSLIQCIADIERLDIN ISIGMVCRIIFFVIVIILRDLKSYPLNELIAQIVAWLVFHIYILYLEKDYFSLGESKIFQ RERNFKIMDTLQLIIGTTYLACTLGSTLEILALVFFSINLFCNCCELIYIQFIRKTHSND YSKQGGYKEMYFGFFLGSIGVIIFLISSASKYSSEIRESGTLGAALYLTIIGEILVVIFS FFFFCSHRESISCASDWTKRILALLYGLNVGCFSIFYGFLTGVAALCYLIYSICKKKEES NDREKVPTS >CAK93562 pep:novel supercontig:GCA_000165425.1:CT868672:336361:337716:1 gene:GSPATT00025906001 transcript:CAK93562 MNSQQIVAQLNNKVEECLQDVQQQLDSSFRRIEAFIDQFALPPYQSDPNKNVDFARPPVE IQPLKQTLANQITQGIKPITHLAIPQQNKQNQVQLTQLQSKQIQVQQDELKDSITNNGDQ LNLKPLPYILLQSSLIQQSESCYAIAINKDNQIVVAGSCNKIKVFEFKQEQLKQTQLLSV HQRHITTLNFMKKQDQFISGDQGGLIIKWFMNENSQWIQQQKLNEHSKCINCLVINNNED LLISGSDDCTIKFWKKQNEWICSQSITDHTNYVLGLSLNDQQNRVITCGQDNVILIIELS PQDEKWNIIQMISVEKCGYRLCIIDDKIFTFQPDNKRQMDVYETSSNNKWYSKKKQIAVK SGESCNYYFPQQYLKQKCLLVNKNGYNINLLRKDQNDDFITLQSIDFGDNYIFGQMSEDG KYLITWDGKSNQFQIRKYNYK >CAK78292 pep:novel supercontig:GCA_000165425.1:CT868303:2:1021:-1 gene:GSPATT00039275001 transcript:CAK78292 MYSLREIENEFFEILENKKKVNNLELDDLIIQLRMFFQFLDIKQRKHNIPINFIQQLVDP EKNLNQIINTLPYWQKVIIQIIKQILLKEQFILKATNKEEIENLIFPTHEEYNNSRILIG PTIFGLKNGNFLYIIDGVEKQGGEMRMGKKIGLWKEYGILGEDFINQISLKIQYDQGIIS YIKDGEILKQCNQINHVLLRNIQQIKYFGWHGNYNNGKKSGKFQIIWKGQNLNIGGEYND KGEKFGSWTELFENYGDKSQVYYIGQYQDGKKCGSWTLQYDENLKTVTLYVQYSKRPQGK DNEKRLKGW >CAK78293 pep:novel supercontig:GCA_000165425.1:CT868303:2220:2858:1 gene:GSPATT00039276001 transcript:CAK78293 MNFFHPLLQSLQFMRFNLSGDRNQTTLNLMNPSIKVEENDQVLPPIYQMTQQEMLQRQLV DTNARVMQFDGLTNEKEIPKTINKKISKKINTCGHPDKEHYAKGMCNNCYHRVGRNKQPW LCSHKKLYACGLCQNCYINQYNKKRRVEYQDQQQQQESALNNQDKLEN >CAK78294 pep:novel supercontig:GCA_000165425.1:CT868303:2953:4835:-1 gene:GSPATT00039277001 transcript:CAK78294 MDKFEKRLNQVNMGTPKNPVVQQSTMKQTDAAKSYASFNDFNKMGEQYDNEAVNLRSQVQ ILEGKLQFYEREFQIKQVSLEKRIQQLISTSSQLTQQNTQLKLQYSALLNEYQLLQEKLI QQEKGQKEYEEKVRSQFDGLNKFQIEKEQLIQYANKCRERSKQRKVKVKDLTAKVQELQE QVKQFELQYEQANIEKQQIYDQFQQQFVLLSEQNEDWQLKYEAMVENFKNHLANQNDSQQ EEQLLATHTILDQQEAETVQQQLENLSLLNKELNQLLSQLKNANQINSQVFEMPQIKELA DMFSRMIDKLMELQQKKTQLKEQLKVNQFNLQQQISIKSSSGNQSPNVHDERTKMLFEQR ILELEQFYQKDKKQEKVRSITQPKSNDRKLQQVMSVIVQMLEEFLTQQQNLSLLNHHLND MQQDPNFKPSKRSFKVGTWVIIAINRIKRIKGSSYYQQFVTYKKLKIEMPIVDSLNQILE LVTGQQSLIDTLQRAIEQKGFDGGFQEQEIQQMVDQELRQQLMALQIELDNNNKKMMEYK LKSEQDIEQRDQLIEELQQQLQELPNDYNQELLEHLDDQNNRVKNIENEFNVLQDLVNSL M >CAK78295 pep:novel supercontig:GCA_000165425.1:CT868303:5006:5911:-1 gene:GSPATT00039278001 transcript:CAK78295 MSKIDWEQKLKCYHALKDKKSITNMLQIKTKNIRLSPSLVSTTRAGTERNSFRHKRLKTP MEDGILQIKTSRSQQEVSPLRDVCPWNTQTPLQKLMKIDKATEKEKKVLQQFICNKWLKE VILKKSIHVAIPKESEEEKRIRLQEFETSEHHRQLRIQQSLTPSMNKMEKVFCKIKIFCK LASQRGIPLSDQIRINNDYRRLVFFVHFRNEDYKICDQMINSDPHIKNAIDMQGDRPLHI AVRRNNLRQLTYILSKQPDLEAINFYEQTALNLAILLKHFEIQKVFL >CAK82852 pep:novel supercontig:GCA_000165425.1:CT868448:819:1040:1 gene:GSPATT00039516001 transcript:CAK82852 MGESMATLIRNVIDRKFITDQQGIDAKFGKNSFKKNKMCSQKNKRPQIQQTELLSRRISR NEIKPDYQYIELI >CAK82853 pep:novel supercontig:GCA_000165425.1:CT868448:1136:1996:1 gene:GSPATT00039517001 transcript:CAK82853 MKVELKTLSFENIKIQNTSLEGANFVKCDLSGSQVDNIIISGMKLNGAKLYNCIWKNLRI NEIQKSKGMMGMSIKFALLQMGIHQSLVDMIIQLGCGIYKQEIKSLYQKQTVSTKLASCS DDFLYIWNHKTGKSISKLIGHTNKVYSVCFSPDGTKLPSSSSDTSIRIWDVKTGKEKVKL NGHKINIYSVCFSPDSTTLVSDQIRWSYQLCLFSLFLSPDGKTLASSSSDKSIRLWNVQT GLQKATLNVHNSTVSSVCFSPDGTLQVSGI >CAK76382 pep:novel supercontig:GCA_000165425.1:CT868232:5580:6273:-1 gene:GSPATT00039130001 transcript:CAK76382 MNEQQNNFVNTSCNIIQQIFDIQLQKAIKSTQISEINFENESKRFNLGHLEFNMDPFEQD DKIQEIVINCKTNYNDEKLSHFSANWGNFTFPQVAKNVNQNKVFIQSHIMQQNVQFLIQI NFKQYHQITFYQKQAIGDHITLLIMQNYATKTHIFVKEVGLLMINYVLRDIQEDFVKNVI DLIQEGMDNSLKISNQQNVNNVKGLRNGCQHFLLFQYGISNLIK >CAK72488 pep:novel supercontig:GCA_000165425.1:CT868123:681:10272:-1 gene:GSPATT00038757001 transcript:CAK72488 MQFQSSDCSQQLFFYIKCIQRALILIFKTSIMQAIQVTLILTLNKILIFRIIKLFIIYMI TNSPSPQIHLHQQYSSYHPFQHLWQLLSTHNNYSFQQLCQNLQKPSNWISLDYFVIFALL SIKVQQLFILTYCRFSKSALYTIVRARIFALMISIICQLITIILILIINDNNISNNQKKQ KQMLNNISAQGKQENLASKNSIHLNKLSEFVLCKFYPAQXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXCPNDIDTSLLECYKYCNNGDRIWYMNPCAACGSGFTLISNECIPICGDLQVVGDE QCDDGNTIQNDKCYNCQFQCPINCQTCDINTTLPCPDICGDGLITGNEECEDGNQVQYDG CYNCKYQCQNACTKCIKGKCSECATLGWQIDLLSEPPLCKEICGDGLTVGIEECDDYNFD NFDGCHNCKFLCRIGCSLCDQTRRQCLRCEFPGFEPYSYYCQPILNDGLLVFDPYGFYYE RNEYYYGYWCDINCSSYYGQCNVCGGVYAHYPCQPLQCTNCYQGKCLSCIPGQYLSSNNI CQPYCNDGIKAVNEYCEDSFILPYRGCQNCQPKCQDSCSVCSTRGWGCTQCKKGYQLNDF LCYSKCGDFLMTYDKECDDGNLIPDDGCHFCQLNCQATCLICIKGVCQDCEEGYHLINSR CFALINAFEQHQYSNYVECLQQEKKRIIKHTNYGWNDIQYQITLQNTSCKLCFLNCEICN EDNCIGCLQGYYFNEQQECQSECGDSILVKEEECEINDEYCFHCIFVHPQYCKQSLNDVC VDCDVGYYLDEIKLICRSYCGDGIIAHDEQCELQTKGCFGCKFQCSEDCLDCQDGLCLSC QDSFRVWDGGCHKVEQLVKSDLECKVQIQDVCFQCYEQYELNLSGDCVPSCQDSCIICKI GLCYECKESYYLDQNSCFLINECSSYFITDFSLLEKTCRNIQQSEFLRKSHNYIKXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLMTFLIISNFNTYMNQISLIQNPS QIGFSQSLKNEIFQFNTDFDDADSNFDLQDHKCNAHCELCVDTQCIQCQVGYFVSDFSCV SICGDSLIVDNEQCDDGNTDQYDGCYECQYQCSNNCEICQRGNCNKCLNHFEKDSLYQCR QIEQFEIHSNQQYDCKTLQNNSCLFCEHGTLESITRICINNYQLENKCIGHCTICMNQKC QQCEYGYYGTYCLEGDGTNLPMQRCNDFNQQESLICKQFQQCNSNCLHCINKECSLCNKG YFLFNNEQVNIEPICGDGIVQLSEQCDDGNKKQFDGCYECRFSCDYNCYDCIQGICQACQ DGFLLNINYLCDPFCGDKFVVPYSIEQCDDGNFDSLDGCYDCKYECQHFCIYCNQLQCLQ CEEGFDPEKSYCVPKCGDGIIIQEFEECDDQNEEPYDGCYECKFQCRKNCVLCNKGVCLD KCPEGMVYVGDICMAKPCIMNCHNCEKGVCLECNSGYFYDDQVNLCQKDTELDTTKNESL VEKDENQYLCRFLECVYSPAPIMQTSFLNQTFSRQYVQIFFNQPVILKVDQEEIQFYFNF TNIQETDYTITLHPQKQISSDQISDAQYIVEILNLAQLNEKPNFQIFCQTEVLNSYNQAL QNNFTSLKLNYPKVLSNQQVQTSKFMQNTNKIFMYSAISVSLLSLFAGDSSFFLETLDVL QQQSFLKFINVDYPQNLQIYFQASDMLTVSTYFNQINFDYYYNLITRKSETQQVNGKFQL YDVDPDLITSLLPQVFQCSGLILLLLLSRLIYRIFQLIMSQQRIYNYLQFQSGAIKQIFL KLTTTLRTNIMNLIKIRRELTFNHFKLFFKLNAWDLLFKVLLQIHFISYKDARGFIQLIG SFLLIFVYGSYIIEFLKNKGKSEKMSDFKANIFLSLDLCRKFMFHFVLIFFQECATLQFL LISTINLTQCFIVYRYEQSSNLDKMISILNEGTIGFFSLTCFPYIDINRMYFSNETITNI GFIQMGTLLVNLVIVFFKQLLLKAQIIFKDICKIRKPQNARNLILEF >CAK72489 pep:novel supercontig:GCA_000165425.1:CT868123:12365:13583:-1 gene:GSPATT00038758001 transcript:CAK72489 MGNDNTQYICDECCLDLQDGTYKVNVENLIHIKDVQFRTILQKALKGPEHLISKLNLSPS LKNFFNELDKFNDKTLDGIFLNIKTQIVKIQNFLFEVQSEMVQDSKYILDTKQKIREQLN KIIKFDQFQQILQNFQSIEVQNNVEAIQQNERIVHNYLIDLTKNDSKELNQTLTDLLNGT VLQLKNSNQEKLPQCKKLQEQFRYLNASKQELIKQINVIYFEQSILSYYYKQKIINTIQL KSDKIATSFQRIFLSSKDGLNASAFWGKVEGKSNLLMIFKTKSGYIFGGFSPCQWLSDQN NYVKDGTLTSFIFSQSYDEVYPLKSLYQSKAIYSGSNYGPTFGDGHDIYIDQDFQNGYSN LGYAYKWDKYQNAKSSYLFGQSTPNISECEIYQVIFG >CAK80499 pep:novel supercontig:GCA_000165425.1:CT868368:1039:3769:1 gene:GSPATT00039395001 transcript:CAK80499 MCLIEKLRKENIQDCIRVLLNEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXTNYSTQIYIEWRNQLYIKIKKEERIIKFLQFLVNLTSIDANFIPSG SNSLYLLCELKVDLTQQNFQNIRIKDIALIGANFARCNLSGSQFRNVNVSGMNLNEAQLI NCDWKLLKIHEINKLFDHSSISSVCFSPNGAILMSSSDSYINLWDIKKGKKHSQLKCRIG YIKLLLSNSLNSCTLASYGQRGKFINVWNINRGKLQFRLQDPSGSAYLISLSPDGTTLAS VHGKNHLLLWNLKTRKNKNILNGEIGEVSSVCFSPNSNTLVFSIKNIIHLWDVKLQKHTQ FECPTNHVSSICFSPDGNTLTSGSYRDTQINFWDVTTLKHQLKISTEPGWVSSMCFSPDG QLYIFVGCENRSTKISIKWTQLSSLQICFSINGILASCSSDKTIHLWDVKAREQMYQLDG HNSGVAQVCFSPDLSILASCSEDNSIILWDANTGQKKSQLNGHDQGVISICFSYDGKGIA SGSWDKTIRFWNVKSGKQKSKLDGHEDGVSAICFSRDGKTLASGSLDESIRLWGIKTRKQ KCLLNESDKLITAEYYNLHNPCGKRRESGRWEKYDRLCLYVLKTQGHNHEITSLCFSPVE NILASSSKDKTIILWDIKKRAPKIQLCGHTDAVQTVCFSPDGFTLASGGNDNSIRLWDIK TGFEKFKLLGHVDLVSSLCFSPDGTILASGSWDKSIRLWDIQTQQEKYQLKGHNNLVHSV CFSSNGTKLASCSKDKSIRLWHIKTEEQINESDEVFNKVLDKLWLPPQDSTLKTFKEYVN PITLRLISQDPIFQAQQALILKGEFISHFNTDLRNIFKLEGGYILESNLKLD >CAK83122 pep:novel supercontig:GCA_000165425.1:CT868462:408:2610:1 gene:GSPATT00039535001 transcript:CAK83122 MYNYIINRGDFSFHNVVTQTCTKTIDLADHNAVSASLKLITVNLIHQFNILIDDKLVVVS NLNLQVSIYNNNDYYYLITNSIYIHQYPHKRNSITFKIQTKQFQEYTSSYYSYVGIRDFQ LFIRPILNDDYCYDNNIYPFDGCFAEIHDCVEGCSNCVRGVCLECQEGWQYYELNKNCLP ICGDSIITYFEECDDGNTYPYDGCHQCKHSCQQDCIICQFGYCLKWKTSYQNLDDISYNN NFNQNLPITQNDLNYIQCQYLYDSLECHEYVNELAWLFFNCNSQYKMNEKKKIINKKKCG DLITTCDEECDDGNKVQHDGCHMCKYSCPLNCSECQFGKCKQCLPEYELIYGQYQENISC YNRITNLIENGHYQHNLFNNKNSKYNLISTLTCNIQDFGIFGYFYNQCRIAAIKNCKESL YDKCLQCDENYALEFNKFGCIPLCNDGLLIEKEVCDDQNNIQFDGCYKCQESCLLECVNC VENKCYQCLDGWQLIDYGCYQYCGDGQVAQSSMEQCDDGNYDSGDGCYQCKFECVPYCRS CADRNTCLVCEKYFELSNNSCRPICGDDNIVYGLEECEDGNNIPYDGCFNCMFQCEKECQ NCGQGKCVECIDGYIIAKDYCEVNNQTLIIDEDEDEQSQCANAMYSNNEECDDGNTIDGD GCSSLCQIESNWFCTNQLNQISICTHNTIIKLQYLNQTQQNQYVQLSLTNKVKLN >CAK87027 pep:novel supercontig:GCA_000165425.1:CT868602:44:570:-1 gene:GSPATT00039791001 transcript:CAK87027 MRYAELSVFESELEMLLTIVLDGSQIEGIQIKKLNVLLNVIQRLHNIVLTIIIQLLIVQY HQYSFSIKMSPFLRHNTYIKIEEIKKEQIKRYDGSTLIVAEGLAGDETGVIRFRVVGEYA NQLEKGKSYAWRNGLSEVVQEKTQTFT >CAK87029 pep:novel supercontig:GCA_000165425.1:CT868604:80:1997:-1 gene:GSPATT00039793001 transcript:CAK87029 MENELIAQKQMNLIVSQNQFEQRIQQICENIVFNNNDQKSQVFNDEQIKRTNKQLVDLNE KKEQNSILNEGYKEFKSKQQFEIIQELEQKNHQLQQELQEKEEQKLKEIEIIKVEIGQGL HHLQEKNQQIEQQTATIQELEQKNHALQEELKESESQKLKEIEIIKVEIGQGLHHLQEKN QQIEQQSATIQELEQKNHALQEELKQNESQKLKEIEIIKVEIEKGLHHLQEKNQQIEQQT VTIQELEQKNHALQEELKQNESQKLKEIEIIKVEIEKGLHHLEEKNQQIEQQTATIQELE QKNHALKEELKQKEEQKLKEIEIIKVQIGQGLHHLQEKNQQIEQQSATIQELEQKNHALQ EELKENESQKLKEIEIIKVEIGQGVHHLQEKNQQIEQQSAIIEELEQKNHALQEELKQKE EQKLKEIEINKVEIEKGLHHLQEKNQQIEQQSVTIQELEQKNHALQEELKQNESQKLKEI EIIKVEIEKGLHHLQEKNQQIEQQSATIQELEQKNHALQEELKQKEEQKLKEIEIIKVEI GQGLHHLQEKNQQIEQQSATIQELEQKNHALKEELKNNQSLNRIRSPSFARKESINRIVI SNYSRIRIKKSCALRRTERK >CAK84301 pep:novel supercontig:GCA_000165425.1:CT868507:875:2280:-1 gene:GSPATT00018505001 transcript:CAK84301 MHLQDRLYSRGRFRHTDNQSKIKIYQECHYTCENCSGPLQPNCTTCSLYSHRHLTIDYQC LCDSAYIDKGLNNIISSLIAQPYILAICHHSCANSVNFGEINALFVLLHITQNVHADMDT MKYSKWCSISDLSCQKCVNGSLQSSSCEPLYFRILNNTQYCICQEGYMILEQHCKICQSC TCTCKIGYFDNGILECQSNYTHLKQNFQIFVQHALKQKLTLLVQFRIDFSIIKKFPCIAG FYSDVDKICYSLNSTIVKLHKIIKSYQISQFSNRFTIFKIAIAKMDIMIIVQPQNGKKWS YPCKLFQFSSKDCQLCSSKSRHNAPICDDSLCNIFNSQSILLSYLQGMKNGYFEAQQIHF FQCKNKCLTCSNTSTNCLQYKRDRVNISNCICVRAYYDNIANSVLILQNLQFARLAYHVW GIEC >CAK84302 pep:novel supercontig:GCA_000165425.1:CT868507:2290:3241:-1 gene:GSPATT00018506001 transcript:CAK84302 MVVTYLQSLGLFFFLGKIKLFCTQDGTGLWIKGIRFSQLLSELQLEIATLQSQSSSIVTS NLDETCIVKNFNKVGIQGNEGLQHRGGEMCRQMIVQVGNLFAKSWNQLDIITTYGWNIVN GLSVMNKFGPVALLGGFGKLGAGSILSNTFDIQYPHYKINIFILWAKIDAWGNEATQIIV DGTLVQEKNLLQQFIICLQLYFLEQNWKQIIIQILLKFSILLISTKHLMKNHLELEIFIC IIKSVPVFVISALGQTDQIAQFQKKVTKEQELMNLSVKVTEHLTHFECYISCETCLSNYV >CAK84303 pep:novel supercontig:GCA_000165425.1:CT868507:3482:4474:-1 gene:GSPATT00018507001 transcript:CAK84303 MINEVNFFWHQDHSLNFGSESSYLDDRLLITDNYLPILPSAIKEGNALCLFLCFYYLIKL FITLSQYQQIVEPLFSILILVMGLHDVINILHYLIIGILTQYIGSIDIQNYNRQLNLLSL NSSITQIIIQEFVSYYRPVNGLLVIDIIEQKSFYFNCITIKLLYYFISVYTLTTMLFITT ETPLLIQFYLVLLILYWIIPVLMCFIWPFVMLWFIVIRQELHYQQNRNLIIRFYDSLQNI KFRKLKEQRPSMQQNDCPICYQEINESHSVIQLPCHQEHYFHAECCKQWLGRDPRCPLCR YGLEQPKDVALLEFI >CAK84304 pep:novel supercontig:GCA_000165425.1:CT868507:4503:7204:1 gene:GSPATT00018508001 transcript:CAK84304 MEETEALIKSSEPQHTKNVIQALLNEYQIMYAGLQNQEYLDYCFVKGASGQTVPLALLLP VGLFLGVVNILSKATTNIIEGDYILSNLVYVILQVCGLCIVLYLLLKACEVIPKKIQNPL LFGRVTTYVFMCLLIAMGILVVERIVEGQARTYHTSQFWVVFALIIWQKILVLFIYDYKV RFAAFLFLYTYYAFRVSGEEFRWAYNLLKASVYIILELVMVIDRELKDYADYQLENNIQT KQVLDEVVPPMRMFQQQFLLESYLKVFPVVIFDQTKELLNISSETLKLLGQNDLFQAEKQ LRKLEIIEVFNRKEQVSAKEFTVKLTRQAKFGSTCGVNEKGDLLHSLINGPALQQLRAQQ QTDQPRLQTQGQLEQLLNELIKGDQDYLKGLLVLKLSKEKQFYFSFHLIRNKKIYLFLDD VSDIMKLQMKNKDENYETKEIKDINILLSQIRQISTLKSYPIPTKIQNIEIKSCYLEQNQ LRINYDKINFDQMVINLQEYYKQRIPSIKYQFKNLIKLNTSFFTDEERLRQIIDIIMENS IEQTKDGFIGITFENDARPNCIQVSIQDTGIGINLELMKDEMGSKLSGLFIANYLTKILG VSFFNKKINGQIANKGLRIVTTQEYGTKISFTLRNMLFDQNNVFFLIDENLEEDEQNQDE ILERYLIEDQTQYLNRLKKPIKYIVMKNEEKKVVQLLDDRQVKRPQLKGMMKLQESGRLK SLTTQVEQLQIPLEKCICATPLIINSDHYFSSTLKDFNFQIANEFEALKMINIKLKENPC IQKGCLAYNKIFINCSNPKVNYDDLVKKLLLLNKQLNIIVLSYLPWGELQPNIAYYQMPT KLDTIFK >CAK84305 pep:novel supercontig:GCA_000165425.1:CT868507:8335:9135:1 gene:GSPATT00018509001 transcript:CAK84305 MGKSHLKIYTILMISNVCSIAIGQIFVKFQDTCACEQLLYEEECKADRICNYSNDKCQTI QCNLLPAKDCGYNDNCALVNNTCVDFKSCASHNATTEDACESLNHNCYFDDDQNTCEEPQ IFNMGTCSEDLFSICLVANEGLCFRKNGVCQEMKVCEDVIPMSLQCIAAFPACEKGNDKC VSHFQCSQSEWLDCRIAKENINGDRYKLCMRGPNGCVNFDPNQQTKESCWKNSTAFYHWD GQGCSRCSWASIMKSVLFVAFLILSI >CAK84306 pep:novel supercontig:GCA_000165425.1:CT868507:9795:11106:1 gene:GSPATT00018510001 transcript:CAK84306 MNSELLIMESTLFSASVIALLIFKESQINQKIIKLLFSLANITIFLLEIKHIGDSIYVLL AISAFLVSTYFYKVLKRVKDEIPQIQVLNTQLSEKQNRVESEIELPQQLPVIPSIHESNS SMNQNQNPPTTENNRRHSNISGDQQNARQEQGMNYLGDNKRPSYQQRNNGLGILITRQQS VAQIQPDLNVIKFQDDFGEIKFGILKTLKSVAYDQLGKEVEGIFHTDRPFTKLLSTVEQT NQPRMQILYESQAQNQYVDDALRGAIQLGDMGYGEKKENKQITWQKILKALILIIILNLN LVIIDNWNLQQLILFFAILWRQILQFKKLMAHSLEVKCELREILIWFALITLPILIVFVI NMLDKSIGKQVLQVASWIALIISSKYSKSCEEYVNISNPRYLYGCLFYIFVLTIVLCFI >CAK84307 pep:novel supercontig:GCA_000165425.1:CT868507:11169:15765:1 gene:GSPATT00018511001 transcript:CAK84307 MNQQYLRNKFKIRKSVDTPLIPKIMSMKNEQSSLNETNRKRYIKAFSPQRYHLPQIVHHQ LDTITPLRQRGKSESIREHSQGFLGPIDFARLLQTDQQLGQEFCYLNNQGNPYEWKVVNY ENKRLEQYMTISGGGIIIHHSNFEEFVSIQQFEKDRKLFYRLQKIEFFRNYLKQKTFARW KKLSIKNKAQSISKDLTACFLLLDPSLKCPIEEIHKHTQNIKSLVFFIPSEMSPVNKIKF IQKLQRCLTDFQNELTQNIKLILNIVVDACQKSFNLFREDQKYKENMELIRNSQMEQKTL IIGDNSTQQMPFTIESNLRQFQQKLIKFTRMVDYIQFESMIALMYNSIVNFLKVMKKANK HLKVGQLLLLFNRTTQFSWIVVDIHLKEGTMVFEPSLQGMQDIFVETVEQAIDIIEQSIQ STRKLQELQNYGVGSSTTDLRQDLREYGRHNLKSYDYVRELGQELQFSYKQIEHYMANIQ NLLDKFAKSEDQLLNADVLKLQFQLISEMQSEVEAIETIVDIGFLRLNIKLFKLQMQAYF KNRIQVINEKVDLELSVRCKIIYETIQDWLEKLKLKPMTLKQYVTYQENYQLIKSNYEVI DQQIEELHTYNKIYINEQQTIPIKEVWDKIVSQYQQFQHKFNDLQSHYGLEQHKFEKEFR KLTPFFHQRVLQFCEKFENSKDINDINSISQLQVELIALELEYEDLREYSDSLQIGQYQN EQLHEIKSKYVQFKQLQLEIRKINNLQQEWMREHFLDLNLSLIKTTYQNLLHQIEDCKIF GQGQLVQIKKQLMDELKLFNVIEIILQIKDYDQNIVTQVCKKDKLQDKNLLQLLLQICNN PSHPQFTTLFLQQLDIADQQVNLQQLLIIIQYENQTMNSLIKLEEFWKNHKLIIQKVRGT KDQYTITNLSQLNDLLDENILNINTILGQPNIDDLRNRIDLQLKYIYYLQEYINQLILLQ DTLQYLTNVLQIQINQKNQSKEMKMYALQEKQWKQLIRFIQQQKSLEIWIKDENEKKFLK EIQQDNYNCKTIIQSLNDQFDKKKHVFPRFNFLTNSKFTKIVSEVKHPTAIQQYLSLFFQ NIHQFDYDENKDCIKSLYSKENDQIAVKYCPIKGEIEEWLKTIEEGMTQGLRQLVKNALK QAENYKHIVQYPIQIIYICMTITYTMMLDDILQNDDKDWDEFLEFKQYEIQEQIKQLHTV KQSVKQQLRQIAIITILNNQQHLIQEINLFKINSDKDYFYVKQLKYYYDDENINVNFLNN KIHYQFEFFGDQFNYHSWKQSEQSILLMNQAFEINRLPHLIGDNSKYYIITQFSQMLGRY YMQMQIASPDYDFQYLLQCIFGSIQSNSFFFISGYQYIQQHDRLILQTIFNHISSALRQS LSIQDLDGRQLKLQNKYFLALVSDVHFPILSFKSIHVYQPDTMILWQALIQMVYNKEIGE ILLKLMQTLTNVFGTELFNFSRFNTFNQVAKKLKPIKFNDLLEVLQYQMNEEDRLLFNQI VNEYFPNRDEKSISHGTIEFINK >CAK84308 pep:novel supercontig:GCA_000165425.1:CT868507:15950:21682:1 gene:GSPATT00018512001 transcript:CAK84308 MILQNCQGLSFYHFIINYKQKQIYFQQENFIFFNSLIYSNRSAIFESNDLSTQDPGFLAK FTLFYYNNSISNQQFLVYELKYMKNLELQTQLARNLINQIEQYQQQLSNFPYSFQYLLRC SAKILSIYYNEQQYSKFTQLDVDNISTYAIYILFCLILDQENRSRVIDQILMVIQERKLD QLFNQKSSYTNTILQFYFNQQELYAIQELQSHLLFYGDISCNKSIFARLKSQSSLYFSHQ TKSIQFQEFIDKKLMTYRKDKQLCLSPPFGQIKHFIIEDINLSNQCRIYIKQLNECNYMF DKKNNCQLKYVSNIYLLGTSQEKAIQKYNQFKHFIFINTNRCPLIQSIYTEIIMSRAKYQ LKQYLELGYINDGILKLQHRFKQKWNKQWCYSYLFDNNTIWNRILNPLVVLTDPQQFVNE FYQNIMRVVGGMMDIVDLHNLDMFVKEINFGDYKIEVPVSETEQTYDEIKKRNIQNLFLH ESHLQKIVWIMRGWQYDQHVVIQGRIGSGRNSFIRLAQFIMQYQQKYDDLGLENLLLNWD QLSHIIYITNIKEDVYQFISYPIQYCNNLYVLRNESIQQLHICILIENLMELDQYRRVLY KCQIISLFDWPKTFQQEVASQILNDGSIDTKLFCYVYEQSQGYIQQFLDQLYLFQKQYQQ SKSENQRLIIIYQKILNKIQEANTEFTTIAAQYNALNQEHQMVTNQIKIITNEISQDKLE LGNINQQIHKLNEDINKGEQRIHKQQLQLNQQNQQYNKQRLQFFHIIQEFPFLQPLLMEL VHLVDLPQLEQDQIEQIIMKYPQSFQQVQTIYQIATNICKYQQILIQLKNNLHNDKVTVE EMRNKQELRIGMIQQQEQQIIVYQQRLHILTEELEKISKIYEATRNCLQYLNSYQVQWQQ NILNLQQQQLQLMEINFVQSVSQSYNQQIRQQLIDNLNFQYKLDYENMQLLLNLKFQNQK NILLIIDPENLSQDYLQQYYPTAQVSTFSRNNINEIKRNLRTNQCYIIKDVIIDEILKCQ QWRSYILQYQQQQENKIYLITHQIKKETRAQSLLRTIQFKKQDREIESFVLLNILQMDNP DTFDKLISQYDEENLTLINLGSLFNDDRPIMDSHYEQQLSLLISSQVNSLINLDIIAQIQ KFIDQQEQQKQHNRQFNFQIYQPLMVRFLLIYKSLQQAYVFDRRYYITMQQLMNRLIQVM DQIKVDNNERYWLVSNQFTSQTIQLIENQFRIEHHLIMKFILFTQIDIGDNVISEEQYLY VIGQKLKKDLSKYPKILTVSFIKQEQLDEIRHVMSLSPSFNDLQNYIQRCPKDWYKWMDD TITMPSEYEEQLQIFEKLILIKAFKPKLLRRFINEYVKDREARHSTQITMNFNHQTSEKQ IIYVQQQLNKFFEDINIPIYKGQQELNQQYLFINLYEYPLELQEQIIQSKYPHCIIENYD EDSLLDKTLLNSQRSFLQYNEQIRQNIKQYVPKSDGKTSEMKKFTYSLCFMHFFIIQRNL LLNLQTATYTLQDLNLILKYNLPYYFNTNINSMFRVITDGVYNVPPEDLLTIESIITKHC NQQVNNENYQYLHFQTLTVGNDAWLDEMVKRIPDTNDPYSIGYGYNNFTIYNYQNQQIIQ QFSLLNNSSENTANNQITPEIKLTEPLGLIKMEQKLTRKYSFQKNDSKPFSQYYRKTALN ITNKNKQNIQYTDAVDQYINIQISKYNVLIQVLTEYLNKNQENLAMYCPEELYQYLWFKP KTKLIIHDLVKMVNYNMNQLKLLRDQQNRRYFDLSYLFIPQALMEYFKLQFSRKNGLNPQ NLNVYTDISKCTEMSHIFQLQLNDGSYLLGGLECQNCQWSLEKNKLIECGNSLSTFIPFI LISTLEGEQPKKLEVPVMDNNLTLMTIDLQSDLDEDTINIRQARIIIKGR >CAK84309 pep:novel supercontig:GCA_000165425.1:CT868507:21730:22180:-1 gene:GSPATT00018513001 transcript:CAK84309 MCFLTRLLERRQNFQNQRNIITEESIIQSMHFYQTTCRQPTHIYINNFQLYSKQMKDSIK RNQYLRKYNSFPHLRSTSKSMQSN >CAK84310 pep:novel supercontig:GCA_000165425.1:CT868507:22232:25315:1 gene:GSPATT00018514001 transcript:CAK84310 MDQYEYGEIAGETLTNEEINYEEDLMGDEDQQTPNNQQPKKEQDKNPISNQRAHTPNPPE EEEEGEVYEYEDQSEELGDDNNNEENVEQEVDEEVPDDNDDQYNEEENVEEQQNEDYEQN EYEQNNEEFDKDEEAELPKNNQQHKEVKDNKNNQSNQPAPIIEPPVQKKRPPLPTQPVKQ KPTYQEKYAELMAQQDYSNWKPKQLHDENKQLRQKLKEISDEVGKLVEQNAQRLPPQPQP QPQLKRQKTAQSQISGGTVIDKEIEINQKKLEQQEEEINKLNNRLQQIQQVNYMIKLEDE IKKYEEDMKKLEQRKKQEFLQQKQRGKDLEKYENQDGFDKLNKERNNLNLEIANLRKKIK EQQDQLLKQKENIRNTQEEELPKINKELKILEEEAEKYKIDVGAEKPFSKQKDQYYQLLT QKENLQKHNLIMEKKDKQLDIIEKEIKELKKEKDFIDQEINTNEMILIDQRRLKEELRVK VPADQAKYLPATMTQQNISEALQNEKKAKENQRKLQSAKPPTSKQQQDQSSHKELKIPVK QDEKQKQITSKNEVEEEIICEDETEIKQQPIKQEEKKQIQTSQSTPIKQDQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQFQSPNHQNKLNSKPFSNIKKSVDQQP SADLGLSNVSNQQSNTTDVQPEYTGPSRMMKMMRKPQQNQQEEAPQFIIHPSKPVEQPRD HQNEITEQNNNKPIEQPTQQSQPKPVEEYQPTFQSRRGANRPRGLDYSSNEQQQNQQTVE QPIQIDQKQDQTQQSEYPTRRRMRGSQEEQQDNNLNNNPNNNHNNNLYHHNNNPYPLNNK AINHLMMEIDKEELNYLLMKSNLRLQKLKNLLMSSNQSKNPTKLQGRRNRGNNKLNIWED QPVQKENIEEIQEIKDIKQIPEVQHKEEREKTLDEILGAPKQQEEYRPNQQRKKFEIPKE DNETYEPGFGGGGNKRGAGGQQKIDKEKELAQKAAKGDLLDDFDF >CAK84311 pep:novel supercontig:GCA_000165425.1:CT868507:25363:27188:-1 gene:GSPATT00018515001 transcript:CAK84311 MKDVECLYRNKAILEEKVAQRSSKQVLVEALCDDLDAFLEYESQITGQYLRSQNQVIGRK QYSFLIPEGVTLLQFIQQNGKLSTNQISQIYEQLLQALYQIHLKFSLGRCFHIGNIYYQN NSIQMAAFGFYPNLQLIPPESLEKREQSQNRDYGQSIDVWLIGCIIYQLFTGEVLNNFMT IKEYRSFYNQIQSLQIENDWKNRLINMLNPIEAQRCTFFSMHHEISNNNQEEVREFYKTI FLSQNYSQLIKIREQIDDNFIEWAVPKEFTKQMELPKVLNPFRTRIQLCNPPLQKQENPY PRIGITAYYSQPILPIRSVDPYPNPSNPSPLNDYPDLVILPYKCRNQTEFNQYKDIWVEL HFESYKWYLMNSLKEQLEEQQDGNPAETWSVYCLLKMSILMRKEFIDQWSTKILDFEQEK WQNFITSGQAKKFIKDLGMQLDGDSAIINSYFKKCQFNQLSREDEHFFNQIKPSITESIV NETSNDFKIPYRMTLKNLYRSKQRSSNNDAEQTLLALKIIICMGISSLFLSLKREILFRQ VKRTLNIPNFDNPYYLEEQFFSFDSNILNEQIRIIETEYFKS >CAK84312 pep:novel supercontig:GCA_000165425.1:CT868507:27362:29248:1 gene:GSPATT00018516001 transcript:CAK84312 MLHHSKNFFNRIADSTIETFDIFGQIPNFRVLEKNKYTSVVGCCMTLIIGTATLVYLITE IRTLLQRSEPKVVSSELQIFDSTSFPLYNDNFTLAVTIATKNSEPILGQMKYYNLTMSHC IRERVLNQTTGKVQVSLKQVQRLFSCSPLPIAPCVTEDFDNDLQKEFFKSTRLGVVQCIN RTRLKSNPPVQFQHYSKVLQGQISGYKYQYLVIELSICKNSTDYQGCAPIEEIKKVLSAG HYSVYASDYLMQLNHPDTPYSQFINLDINSFSISTSKMLQWTYRISETHTDNGLLFTTDK VDLNLIKQDRREYTELYNDDYLVYHYIQLDYKQTIQQRSYIKIQTILSKIGGIWQLFMII SSLILNQLINNLMTISIANELYRFPKTEKQRHQNIEIINLQQSDQVIDVQEQNKKNRENN TEERLSSGIYESLLILLGIHKKKKQIFTDVKQDILSQMDVVKIIQKLQEIDLLKFILLND EQFKLFDLLPKPIFQDEKLQMEDLSNEFCSEAGYQKTFRAINHNKKLQSKNLKDYYCSFS SLQQDKRRSSVDDKLLSMIDRNIVQFFEQIQLSQQRQGNLSPLDPLFRSRIDESIIEPKI QRPK >CAK84313 pep:novel supercontig:GCA_000165425.1:CT868507:29775:30982:-1 gene:GSPATT00018517001 transcript:CAK84313 MDEVLLESLTLKFSELFKNISSLQEKRETVQDENEHLRQLIQEEQDQLEMNSGESSDDET AFQDIEEKWKQKIEEKKESDMQMKEENNLKSQLYMHLEGIQNEIIEMKSSIEQSKESEGD LQQRIEETTQKIDDYQNKIQRAEQIKLQVEKQLQEQNEKYLQDSEKVKAVQAEVRNQLQA NAMGDKRQNELEKIIQKQWEELQKRAQRIQVLQNNMNIISGKIQKSQKSEHIYQKLKDEN TVLAQENIQIREKLNQLISALPQHMKKGNVKGKQKSKKEFLELYDESICSNQIKLRHLEQ IREEHQLNINSLHSEIIQLEEKYNQMTQNVKNLQEQYLEIESSCREFNEEEYVKKNCPNY YKVKKGK >CAK84314 pep:novel supercontig:GCA_000165425.1:CT868507:31112:32082:-1 gene:GSPATT00018518001 transcript:CAK84314 MLIALTILITAIIFTCLRATLIDPTDSIVLKERNSKSKGLEFKTDLKTYCLICQAHVIET SKHCFSCSKCVEGFDHHCIWLNNCIGIKNYKYFFILVVLLVSFKCLRITQDVLLLQKNAY QVLAFVSIILDPPILIVLSYLLGMHIFFKQTINLCKDTKRQGDKQNSKSQKQQSLPKTNE SVGYGQLLSTSKRFDFKCSLSNKTDNKVPQQNFFPKASQVPQNNSTIQVTQLQSIFTIKP TSPKNNKQSRKEEDERDGRQIFNKIITEDSDVPENVDPRDDEIQPENDSEHQQSEKEQN >CAK84315 pep:novel supercontig:GCA_000165425.1:CT868507:32320:32981:-1 gene:GSPATT00018519001 transcript:CAK84315 MGATQGITQKRISLRYQKSLDVKSTNENLTNCKSVSSQDSIKLGSFYKIAVLGMNTAVGK TAFICSLINQIPMVSNLPQTIGLDVKCMLYQQQKIQFWEFDSSEGGLLDLENRINDSFNC IMIIFEQFDVSLFKKRIVQVQKLCNENKMTGKVPIHLIGNDRLDMKISGKENEIQRMIST IYDGNRIFVHIVDFTQIKELNNLLQFVFKEK >CAK84316 pep:novel supercontig:GCA_000165425.1:CT868507:32998:33924:1 gene:GSPATT00018520001 transcript:CAK84316 MQQQKNKKRKFQKHQRSGQQHAQSDILYSGFLLTCDKNREREAVKEAYQIIEQYVEQIYP QESSKYEKLLEQNTNNKGVVKMLYNFETKVKCVIFIRINSQEFPSIDVDELSRIILSDVY EKSQQVARYIYRMIPIQYVFRATLDEFKKHAQLLVNKHFQLDRPHPWFLIFKTRYTDKIN KQQVLSILQELIEPLHYQDWQEPDFVFFVEVNGAIMYINILPKYHEYREYSIRKGGQPVL DTMPERKNNFTDKIVKKVKGQNEQEVQNVVSMQDPNSDFQKKEFFVEQDVDLL >CAK84317 pep:novel supercontig:GCA_000165425.1:CT868507:33930:34591:-1 gene:GSPATT00018521001 transcript:CAK84317 MEIEGSKFQEITLLVKSKLVQLEFDASERKLQEIESSRVEHDQRIRKLTEDLDYEDRSEW VDYHRTQGTKYYQKQQYDKALYEYYLSILALNDSKMWREFGVPLIHNIQLNLELLKKPAT MELLQFVLYIDTSNIKAYFKLGKCHRSNGQIQAALQYFQQGEKLCHQIQDKESASDFQKQ IQECRRLTNQSRN >CAK84318 pep:novel supercontig:GCA_000165425.1:CT868507:34746:35984:1 gene:GSPATT00018522001 transcript:CAK84318 MTVQQLQRNNLNSNFSQQNMKSIQQRLTPTKIKIKDDSNVTRISCVNHANKTAKYYLSKD NTVNLCSKCTVQMMGKGHKVEELPGLEEEYRRKQINQFINQVNNNIPHIDKMMNALMTKR DDIQKYYEQQKEKIEKFHTQIYQELENEKNKKLQQLYTNFKSVQKQYDESIQSLQQSKSE TICMKKDIEYNLNQIIKQIQNEPFNEIMTSYNKNLQIFVGKTDLIAKTPIEVLKVTVNEQ INLSHLMSIQQLKTCLIKKNLQDSNNKQEPNDSVYESLEKKEYFTSPKVGRMALSSVKTP KQDYSVKNVASFELTTEDYYEEGHSQMIERDDIENSYQMMLLDAHSDQEPKKVDQDILGS FGPAQISNTNPSTSLQQNNNKLLVSKIIERNNQKNSDKNYVLKATNTSLKLI >CAK84319 pep:novel supercontig:GCA_000165425.1:CT868507:36063:37876:-1 gene:GSPATT00018523001 transcript:CAK84319 MLMEEEIPLELIDEQISIAPSLYSHSSIIANFQNQMIKSSCKIQNDPVILKTSLTNDIFK EDNLDISQFDPNNPKYKSKPHEVHFQVISFIKYTLFGLMIQILGPLNILLFGWNHKQILY NWQLLGCTWKVFFSYLRYCVDLLLTLGFILEPMDTLTFQLLLYFIIQEISEIILQNLILA FYHPQKLELLEKFPLSEILNKFEAQINPILWAKQTPELIKFELEQSIKRMHIEPGLFVFY FIVQPNEKRKDKIQHKFEGLKNECKYAGDGLKMAIEIIDYYNNQSPLYLYILIAVVASCF RILLTIFYSQFWNGSQCQILMFITITTLNTLGYFFVVFIMLITFRDLQRKVFCLNQLSHL ISAQQVEEFDETKIFPTLNFLCAQSLFSWQNLRKILVDYGLQYYQRENAIISFCLEIILV LIIFAILNIFDVVSCFNLDDSSKISLFTQIGYDVLTIMFLTILIVFQGARINKHSEVHQT MLKRNMFTYQQLSTQSFIKKEYHVEPQDFMFRTLKLTIDRIEQQNGSKNWFFLYSQYVLN AIEQAYQDLCYDEENKPFTVLGIAMTQSLAIQLIASFVGIFGTIITSIYFDNNV >CAK84320 pep:novel supercontig:GCA_000165425.1:CT868507:37896:39843:-1 gene:GSPATT00018524001 transcript:CAK84320 MSDEQVANELDDLEKNQVKVKFPKISLISLIQDKIVSVNHMSQSEFEDKHETNLGITPGR SERESFCKSKNGSSLFFREDLGRSRNSDFDPTSIKYRTQPHEVHFRIKIFIKYLTLRILF SIFGPLMLVYGLIDKGWINFMGNFRIYGWSKPTAFNYFCWVSNYAFFFSFAYYVGNYGFR MMHYEIVFFGILFITENVTYAIKFSFFHPTKLSLVERFDLNVIRDLCEQSLSPYHWIQQL PSIINREFEQSIKRNQIEPTSFLVYFMIAPSQEKLKRIQVEYRDNKLKHYEEVAASCISM AKEIVEEFNKKCHINFINKFSIFVALVRVAAYVLIMNFCNESVKDYGIAVQMCIFQFMYF YMITGLMMITYRDLRRKVFAMTQLSHLISAEKVEVYQESKMFPTLNLLCCVSLYSWVNLR KMILDYGLQYTMRQSFMLSFIMILNAIMMAVMTFLFYLGAITINSILQQTFDFVIIASIS IALVLQGARINAHWSIHRGLLRKNLFLIQQLAFQIFKSLDYTFKPEDPVFTAFQKLLETA SVQSALQDDQFLNYSMFCIRSIQNVYDDLGHQEKSQPYTVMGIAMTYQLLLQVCISALGL IGTSGLNFILQVSS >CAK84321 pep:novel supercontig:GCA_000165425.1:CT868507:39915:40668:-1 gene:GSPATT00018525001 transcript:CAK84321 MKPLMQYFSESDCEANEQSICQSFRGFFDENSQINRAYSIDDQFEQISAPNSVQFSTECS SMGEKGPKQESNEDLDDLRCSEVDPIEVKDLQPQIKCQCNKSQCQQSYCECFARGKTCGK HCGCQNCQNKQMNKKLEKIQKKLIKQKRIKQRTSRYLKGCTCGKSKCQNKFCSCHQDGKY CNSGCRCVDCKNKYKFSLKIYKSIEDVESHQMESKIVQQFIIGCENSSKFENFNKALEQR DI >CAK84322 pep:novel supercontig:GCA_000165425.1:CT868507:40879:41858:1 gene:GSPATT00018526001 transcript:CAK84322 MFFNDTTSQQQIALTPDSKITERVKIPQTQTKQQIIEHHQGINKLLDKMNETIGRIFKTK EDELRGNIKQQIDEAQAQVIQLTNETTEDQVQRKLKEKRQEIEEERQKILQSSMEFSNKC IEYKNSLSKVMTTTKDLISEIQFLDTQLMSAKITNQQLKKQLDNIQTNTVNQDYFSHEST FRQPEIPGMDIEGVNEQYQKAEQELIKTKKIYENIEQVKQDSAFEENQIEKIFLECVQKV KQHKLNTEIQKVQQLSKNPRQLLKPIQSLKQFHKQTEALNQQDFIFSDIEFNDIHKKMIF DEFLSRNEIKALIYNLL >CAK84323 pep:novel supercontig:GCA_000165425.1:CT868507:41949:42473:-1 gene:GSPATT00018527001 transcript:CAK84323 MLQTIQAIFGLFVLLKIFYYFIMLFLKCFGVVKWDDEQAPQQNQLQQQEGQNQQNTQMQY QQISGKKENEKTKKNKSSKQRKQEKALKNVQEKKEEEVKVKQVEQKHSVTQQQIEYHRME MQRLQDLKRIEETLRQEQKKEIERQVQLKLEELTNKLQNNHQPMKKQQQKKSKR >CAK84324 pep:novel supercontig:GCA_000165425.1:CT868507:42854:45008:-1 gene:GSPATT00018528001 transcript:CAK84324 MVFLCFTYEVLGSEVVFGSSFTTNGFTTNEGWNEYVNRSVVLTAEAYEGQNYFGLNAFAG QLLNADGISKMFYSLPPHYAIRVKGTTMIKITGEYAQNMGGIIVDGKISMTNFMRVYYRD PNNQNIYIKSNFDVTEFHHATSALIQVQFGSDEKNQTYYGLRDFYFYVETCPSGCESCDN ALVCNSWKLQYRSLIGQQILTFDTEGWFISTKFNNADKNTYMSLENCHSTSFDTPYFGFG LLNQQITKTIELPLHYKVKISYHQLLYDTLPRYSYNWVMEIDGIIITTKSFGFILSTPPH LCIFEYSDAGPSGEIYDSIKFEASHTKQFLTYSTYPTNYIFSDSKIKWGIRDFEVYIKKC DVSCIYSCKGPGPQGCIDDVRYNLFLFYSVFTETTFTNYDGWQMIKATPLSIKNCMDSLV AAATFFQGGNYFQKTQQLTQTHTSISISFTFYQIDQFTGEKLFVLVDDVEVKQVSLITAT INDGLPFCGSNADYDKRIVVNIPGIAHSQNILIVQIYTNQLAGATGYWGIRNFALTIDKR QMPTEFTDYILDSNDYKDWVYTPTTFQLTLCSSKTFFGGTSSLDQNSSLRKIIKNIPEHE KIKIQFKIVLKAVTDQNIILVFDIDGKRAFEKYLQPKTMKYCDASTNSYFYSIEEIYDHT NSQVFLLISTSNSVSMTWGIRDFKLSYYERLIYTG >CAK84325 pep:novel supercontig:GCA_000165425.1:CT868507:45200:46385:-1 gene:GSPATT00018529001 transcript:CAK84325 MLNRRRTSEKKAPTKYRPIQDNIQGTILQKWTSLSINIMFSCNMLLGQVELEESGNLCMK EISKALVLLKQSVHCIMRELQFLTQLRHNFVINIFAAFQDNQNLYLVLDYLGGGDLPYHL GRQRKFAEQQTIIAKLLLLKQNFSLLVLQWDWSICMRIIQYIEISNHKIQFWIIQFMFRL LILVQLLKKLAKISKTRGTPGFMSPEVIFRQDHGAVIDFFDFGVICYEFMTGRRPYYGNR REIREQIFAKQVFIQRSNEGWSKEKPQNRLIIPRQHRWFMNFSFELLIQKQLKAPFIPNS QDNFDKSQIIYEDEEYNQIIRLHQHMILESQEQFEGFQYQERSQQFLINIVIKLIGFIYL CNFFYFFKSYNYHIFYFN >CAK84326 pep:novel supercontig:GCA_000165425.1:CT868507:46701:49020:1 gene:GSPATT00018530001 transcript:CAK84326 MLYKVLTCLSYFDRFGAEVKLNFRKKETHQSPFGGFCTIILVFVLTVIFTRGAIALIQKE TFTISSNKVLNVDPPITQLQFSDFMMAFQADSPIANGTKRLFNFILTSYVQMIDENGNMT KTQTQSYNLEQCTISHFVEFDESNTYNKLLRDQLSDYQCLPLNYSLQIQGTYNSNIFQYG KIQVTICSSDDCYTSPELQQFEQLGYFNNSFKINTLILNRVPNLNMQSNYISYIYSDYYV QAKIGLETKTDVFLEQQQLSIEKSVIPGIKDLEVDSVFSLMDNKLQASSVFTSNITKVAS FFIRLSQSEMHYYKQYYRFDELFSYVGGITQFLATILGYFILKYNQAGLQIKLANALYQF DMPEKKKGETVFSFESLVNKIFESLKSIEDVVSKFKTSAHRLITLTRLAGALKFPNQHVQ QTDKVDEEIANQITLRLETQNDNLQSEKLQFSHESNQTFYLEQDKKKFLTLIIQLILESR KKLSFGVHFIAKQVSSSLKKNSKVNYQSQLFEKSRNMILRDMDILVIMSKVQEIEKIKHV IFNKTQRKVFNYLQKPVVCVKDKINQVKYDHNLIQNDLQHKRDDALRLTMSSKSQLGVRQ KYNTEKKFKKLYEAYENLALSEDQDETEKIMNQRLLQLVEPTIQYSFNSLVEIERLTRQL RYNKKKRVATNVLKPKTFQIGSSNDIEEDGERNDDIDSFTLNGQKKDNLHKRSPQSLNVN RFKNFNNMQNSPRWLKDVKLNQVQSKQDLMDIEILF >CAK84327 pep:novel supercontig:GCA_000165425.1:CT868507:50107:51643:1 gene:GSPATT00018531001 transcript:CAK84327 MSQIRHCQICNTAHNFSVKGLLSYPKHFDDKTIHKYYQRLMKKIEEHNIQQIPFSNDHIC YQIENDICENCWLFMHQGFYCNKCQIYNHNNENEYKICMLCNVQYCINCENTLELFSQNK LCRTCEYNKNESLGTPSFLISFFISLLIPCFACSFFFKKMMKTVEKFHYTTSQYTFAMIT FVIIFPFIYFLSIIGFVALLIFKVLVWIFQIMQSFKKVRMISDYLHQFSHFLYKCCQLNY IIGNPFMNKFLNLRKPSVYFILGVGPGSGKGTQGELLSKNLKFAHLSAGQLLRDAITSNS EHKSTIENCINNGVIVPSHVTINLLDKAIFENQSSESFLIDGFPRNYENMQCWIDLMDHK ITFKSVIHILCSRQSMISRIQERSKTSGRSDDNLQILEKRFVTFENDTQKIIEHNRNLNQ LIEIDGDNSIEKVQAQILELFNKSLIH >CAK84328 pep:novel supercontig:GCA_000165425.1:CT868507:52189:54280:1 gene:GSPATT00018532001 transcript:CAK84328 MDQQHQQHFQTIECVVSERLTTRGKSQTKDTIQVLSPKQNIVYVKRQPQQIITTTQAPIR TETFVKPIIQQVQKVQVVDNRDEVEFYKSKCLIYEKQISELNIEVTRLRSHPTIQEKITY VEDSHKVAELERQLNIYQTEINKLTASLKETNIEIETLRIKNTQITSQLVYYQQQNSDFD KLKKTQIDSEQYYQNEIQRLNILVQQSQTQLQSLQATLIDAKKYEQLYIQLQLTQTTLTN ELERCTQVLKVKQEEFETTKLQLIKDLEIQSLRLKDFDRENKELKQREYSIQQQLERLNK EYQELSDRYNSDIRSKNDEIARLTTVTQTLQLTFQDTSKFQEYEHRSKLQSEEIQLLNQK IRIKQDEIDKCKLQLHQYNLQLQEYSKYVDFESRYRSIQQEYERIHNTLRMKVEENDNLR SCISKLQLTISDKYKSNDYENKIALLSQEIERLHQSLKELRQELYQFQSSQNDQQIAVLN SEIDRLNTQLKIKSEELEKNRTNFNQFQYNESSRLKEIEQKNVVYSNEIDRLSNLVRVKI NESEQYKQELVKLRDQSTKFTQMYNDNEKLTTLIRALQDEIDQSKRKQKFDESNINNEKV QVLIQELDSWKTQFITQNREFHKNQELLILAQAELDSLKNKRTSTLKTEQYSDLNNENKV EFKKNHTTQPSYILGILNTKN >CAK84329 pep:novel supercontig:GCA_000165425.1:CT868507:55135:55820:-1 gene:GSPATT00018533001 transcript:CAK84329 MQKISILFVFLMMTLILSQAIEVTDGRNNEKIMLQEGEAKVDTSETTVIKRDGAQDKLVV KREEGKTERTVVKREEVSEEGTSERKVAKREVGSDEGTSDRKVAKREEGSDERTSDRKVA KREEGSDEGTSDRKAAKREEGSDEGKSERTAAKREEGSNKDSSTKIETNNEQSGTHETDT SNQLNRENLELEMVNEQEDKANQDNYGIQLLVPMIIILVY >CAK84330 pep:novel supercontig:GCA_000165425.1:CT868507:57229:66952:-1 gene:GSPATT00018534001 transcript:CAK84330 MSFLFCQSIQLNQIRDLSIQIVFLYCYQLFKGIFFIVVQLSFQLNICLLLLIKYIRFRIQ QDVFTLCQFQNIENYFRFNQVLQVKSSIIKKKTLSRLVSQFNNCNLMYFCRTLSEILLLS TIFQMVKSSCDVFKFITTPDTGAASVSLDLSTTLYTDFGIGVWTRFQSQLEDNDSLNKKI LNHFVFAQLLDGSFPLIYFIKQDREAQVIYFEVILQNDQYFEMRELELKQDLVGQKWVFF YFCYSKSIKQYNMFVYVDKDTINVQMAGGGEYLNQHNLIIPFTVGGELQYSNEKFNVNLD LSPFAGEISDLDIRTDVQSFYPNIPTFLSHLEDTNCELYKCPATAQTTVLTDFYNGGFIL NKQVTFFNKRFVLFGWVKLNELADIRSLETILVRATFRKIYYGDKYQGEKALYWKYFQST MRDQDNGFEVSTYHDVKGASNIKYQTLESDTISQKSEYFQSAITSWHWFVYQEGLPNSKI ELSIYFGNYKELKTYSMTQIHYEKSTYYFNIGGDKFIKTFSGEVRNLTFSYCQANDNKPD RYCHYSCNTCFGPNESECIDCKPVSKRELNGTYCPCIINYLDVGLPTCINKFTVLGGITI QEGLIEDSSGCQRNQFLVKMKGNSYCLDCPGAITPYGLYCIDCIYNPNTWYLNPICKIDY LMPFTDKTTYVFQRRDRKKKDYEYFLINYNSIQDQAVLETCFGCLGQTDSTINFIEKYTM NQLSKIICKNCYVSLNGECVNLQPYCDECDDNQLCTTCQQNYTLFQSKCYQCPSYCPDCK YNSTGYYCNSCIDRYYYNSTLEQCQQCGAFCEICFYQSRLNLLQCVKCVDNEKYFVAAEY TQCLPKNLDNCKYQVQEFFMTLSNQTNVYATYILSIDLHSQYFSYPTFDLCLKCNPHYVN FMKCGQQYGCYTVDEIESKSGKPLPQALRQKVLNDPKFELGYHEKQLKDTMENYYILFGD SVVDNNISYNPIVLEQKEIGQQVCRDQYCLYCIQNYVYSQEYCVKCFKPYYAHKLQGNCI ICPPGCVECEHGNKFYKDGWKSDLLPGYQLRTKQEWHHFNLFVLSTTIDEYEVTCLKCAE GLMQQNGKCYPKCPCESCIIENEQVKCVTCQNSIKTVINDKCTQCPQFCELCREFTPQEI SQINPYFNQQNPAYKGYAKQCLKRDIQDPPQGILYNDPSLGQELNCKNADQKDCYFFVEL QQQVHCGTTQFQQRLNQETDEDARQYFMKYNLPLQNLFSSSNSSHFYIETDYLFTELNSK SVKLIRYIVNILPNSGDCIINKNTFIQSQIRQNVFTVKYIELIIQSQNDIPIHMEGDVSF LEFSTVTLKNVQIIPTSKYLSLNVNSVFGVSFLIDQFSIKNAVDLQFQIKLQNPNSISIK NFEISDSKLNNIDGIIRYYFTQPIKNTLIYSIDTILIQNCQILNSNLFVQILGTDQGNQK FIANNFKSYNNKYTNSIVLYTEFPNQLRESEFTIQQFLSDQEQLTQSSLFILHGALSVIL TDITVQNGDFFAEVKLFKLPLFTINNMLIEGNTFESADNRVITNVVDALYQDSISISSLT LNTIAFKNNFYQGSKVFIELIQSQNFKDLRIQIDDLSLESNQFTLQQYSSLMTSSNSSIY FDVNQMTILNLNIVRAFTLPEISINNVDKLVLKNIKATLNSGFKIQLIHQQLSCIQQSLE IGYGSMLQIFNTKTIEIDKLQVLGLIVLNLPIITIKSLDGSNYRQKETIMIQQVQFSNNT MILTKLAEQPTILSIISEQQQEITLNKMICFNNHHHNYQEDLLFKQSSTILIQNPNSNIV LAESTFSNNVLTNNQGSNLVLISNTLKVSNCIFQNQNDLQFKYLRDRLIWGYNKGDVVYF ENLYSLFSIKTKGGNGYLSANQINLQNISSNNSLALQGGAFYFGTQSSGSIAIQNCVFNF SQANLQMKEKSQGGTLFIDASQSDLHLSILNNSFSNSYSRNEGGTIFIEPSRNNNSIIIY YNTVQNVFSFQNAFLKLPVTFSSKSLILQFTVFDLSIKNTYIGYLEYLGKIQNLSSSEIS LQTQNYLISIKRGNLTLRSCNIFDIFDYGALEVLEASQIKLDTVLIQNITIISGSILSLQ LNKKYLTQVQLINVKLKDISEVIGDVSLPNTPISTVPESFYKCDLTSNQPTSLQALYDQE QRYIISLNNFYAIIKNKTNPNFIFEIDSVSANHYILLDNMNIQKINCANCQKGVLKFTNI DEEQNQNLIYINGFILKDNQCGIFSCFVIAAKSTNEIQLFSKFKSNRILGQEKAEFDKQI STIKLEKSLFDNNQATFGGAILISALSSVISNCQFTNNIASSVAGALYFDYELDTQLIVY DSVFANNQAKVGGALYLSDFQMQSPSKMNNVFQGNQASYFGDNLANQPTQLTLQIGSKIM QKKILEKNSTNKTEIIDVKQYKMGSLEYDNIMLPSGQPIGGYQIFDEITQSYIPYNFTFR ILPLNDENSQIKSLEGSKCFIRGRQIIDKQEGEFLTNFTSITEVLFNSTSQDYNLDQMEI TFDPDYSSIGYLQLEITCNSIKIPIFEEKPPYLLQDYFTNYRLRVNLQTFPCQRGEYKTR LGTCKLCDSNADQYNVKAGDQCQIKDPIKMQQVSSARVMLRPEYWRPFESSDKIEYCLNL PENCVGGWNPGNDLCSQAHVGALCEQCDIYNIRGQGAHSVSTSYKCGSCNNIGDNTLKVI LVSIWTMISIFLSVKGTVETVDKMITQSKMHHLKIFRKDPKAGYGSVLIKVLTNYLQIIG AVSTFQLKLPSALQSSVRSVGNPTEAMSFSLDCFLVNLVDINIIYFRMIWALFMPMLYIF TFLIIYAVVILIRLAKPNKSAITTTAIYLFTYLQPTLIGGFISLLSFRQISNLYWIQGNV AYRYDTQTHFNWILTFILPSTLTLAFFIPAFMFISLYKQRHHLDKENTRKNWGYLYNEYQ TEAYFWEIVKILEKGFIIIFLTFYEDLIIIKGALVFIIVFIYQVLTRSYRPYKLPFLNLI DEFSTLICGTSIVIGMTIYQSSLSNNQEIVWPFYMLLIIFNLVFIIIILWEIVLAQLEDQ QENIDKVRDMINKKYPRLINSNWIFKRLLTNRGQQQKRVKNRFQMIRRYLMKLVRNNPGI YKLPTPPPSIQEIPFINQEKQNLLPAHQQSNQENMIAPQSNGAKVFPVDHDQRTFESVRY DDKRQSP >CAK84331 pep:novel supercontig:GCA_000165425.1:CT868507:67008:68019:1 gene:GSPATT00018535001 transcript:CAK84331 MNNNVVVFSRANQNDDSETSSALPDQMESQVEYLKTKLKLCTQTLKTQEAQMRQLRQENE KLRQLNADYVNQMNQMASDKYQKIEKIVVTQQFFEQKNIDKQLKDFIRKMTSNFGGLEKS HIKLIDHCKVMEHDLNYYKQRVVDAEEIIENLRSVGKTSVAELMDELKGLQNQVDDLTNQ LQKSQFDLAVKQGELERKQQELDDQVAQCESLLHELDDIKTKQNRILSDNIEQQNKDAKM IYQLKLKSDFLEHERRLAYQDAQCANQEKNSMLAKQQNIETELEMLRTTTDNFLKKNKHI FNLAGLPKVQFK >CAK84332 pep:novel supercontig:GCA_000165425.1:CT868507:68027:69988:-1 gene:GSPATT00018536001 transcript:CAK84332 MTRLHLNSKNTILDNCNQRTIQYQSDTSSSPEISCITLSQDKKLLCAGTIELKAKLLIWD ICSRTCVKNIVINNVGMIMNIKFAYDNRHLICNAITQEYRQEILLIDSENHQVLGVVTYS YSIPYKIKDLEFYPNSVFRFVTCGVQHMSCWQFAGGQLTFQAMEIENPKDLVELVENQND EQEGVQDNDQEDGLRITFLTVIFVQDAIITAGEDGFIYVWDDKKINKKQKAHPDQPIFCL YTSKDSSMFVSGGMDGRVILWSLSKSEYSYVVEKIYEYSIANEQLQKTGLNPNLHIQSVC IGQNYILAGTRSGDIYELVRPNEADLKSLTKIQKDMVKLRINCTDHDQPKVVAFSGNAQK LYSITQKGLFAVWNLRKLKRTYSYAFEKATLNLIVCKLSPKIFIAFEQEVIVLNDHDYSV NTNYSLKQKSAISDMKLSVDEKMLALALARNQEQNAKIEIYDVENEENNFRLLCSIDNLN TSVEYLDFSTDNFYLFYKDVLDETALIDLDQQKRINSMHMEFDLEWCSDGIKLAEKAKGV HSCYTDDNKIRKITLIGEKSMAVTDNMGTIRIFNYPCTSGQGYMRIYTDHMMYINQCVAS PDKETLVTTSEQDKCIMVWKIQKVDVNNTQ >CAK84333 pep:novel supercontig:GCA_000165425.1:CT868507:70148:76014:-1 gene:GSPATT00018537001 transcript:CAK84333 MGQFLGHLQNAQPPQSNPLLNNSISKLREYHGSFQSVCDTFSIDLTEFEQIFGSNETMFQ IWDTDNNGLINALELFSGLIIFAESNFEEKARFLFDLFDFNELNSLSLIDLDFMLLSCAN ATFKIMQINNEVNEEEISDFLSNFFSDNQRVNISQFLKWCVKTEEIRQFLQLIKKEAPEL KVTAQAEQLSQKIDVLRQVSNKEFRRKLLLPDSKRPYFDQRNLGSILSSKAYHSKIQWIS SLAKKVYAPQPQVFQKDVYAKMNWVYGFRGKDVQSNTDKALNEKMVFFTACIIIVYYPKI NEQRHYLEHESEVISVAVANNLSLMASGEYAEQPAIHIWDNNTLHNIGVIKGVHQKGVHL LTFFGNDELLASCGIRAASPILIYNIKDFSLVLSTQVNEFAVDLLTIKNLVGSFGGTIQQ RQQQTSQQSQPNPFKKYENSFVVCTIQQIIQFQYYDGHFLTKEIYLEQYNLSSPLTCATA LSIVAKEPNLKSYQDDSEEAIVIISGHQDGSVILWESFETMEQMTSYKDQIVCITSYQYG IIIGTDASTIHLWDFKFKNNIKNIDLTALNFKLFSYVISDIVVAGDKLLVSSTEGDVVEI FLQQKQEHSSNQFGNKLKANRINYIVQLSGTLQALCILERPDSDDKLVFCAGSQQTVYGF SLETHEIVDVWTIGDQVSSMDCINFEDGGAVFALGTVSGKVYLRLDWEETPRWYDFKHQV NDLKFSSDTSCLVCAVQDAFVYVFFLNNTSYFQTAPKKIHFEGELPICLDFVDDCKVFIV GTTNKNQYKIELPDMKSKLLSSENEKLNSTTWVLQYPLTSSGNQNSKKEQYLPLLIGGDV KIFLAAGEGGYVYFWRDREQLETNCGGFLRGHASNVSRLQMTKTQDVFYTVGTNDNTLIE WKIDFINDLADFSKPFQQDDKQQINKPGLNNFSMASNKQDFNQTIDEIFKREKDYCFFLN NISDKFRDNFVQFRATNQKMLNGLLQELIPPFDKKQHVMKRAPPLSLTLDYIYGFLAYDK RRTLFYVHFYNKQEKKKRGGQQQQDLKSEQRKRMEQMNQQSIILPVQFQKEMLFAKQALL PYDDSHNDCQRHFVYITSRIAVVYNPLNNQQKFYEGHRFKITCLAIHPLKCFVATGECAP RPCIHVWNVFNTEPVKIIKTNHKNGIYDLVFSRDSLFIVSIGIDETYSIQVTSWKNETII AFRNSGTFPICCVMFNPYNRYEFATCGYQNITIWSLQGRNLIRSQVILSDEVKYTNGCFI TCLSYISYLLGDKIESDIIVGNNFGDLALVACGKYIVVKERAHQKMINCLKISEILGDKV VIITCGEDEYIKIWDTKFNLINEFNIRKTGFFQDGTPAIRNLSAQSIDIFSCRVPKRHLN EEEVEESHNLSVMLVGTRNGDILEAAFQVEFQGLKLQQIKKQESHSDDEHSNSHDSSGSE QQQRQPYTTTETLKFNYSIYMRSHQSQFFDSKQQIDFFNKKMFITLHPTQPIMVSMGEDQ KLILWDTENNSLLLVKNMGMTPTAIRLSPDGDLLVIGFQNSIVVIMDSKIQKNAIGKVSE RYLLPTLDIIMNIKDKDNKMAVLNIEFSHKGDMIAISYDNARSQKDAFDSKLEKEGSFIC SVGQQGATDKNLYLKYTDIRCPQLNESYQNDSDTQGVAAYFMTFSQDGNYLIIYYQLINN QQTRINNDPQGAYVIWDLNSNTSVKNWETIKNIQWKKLNFPNSLHSQYQFYDSLIGDPKQ GQEQETNLTNETLINPVMSVMVDLSPFLLCGSTNGDLHLVKSSCLYYDKDFITEISKKQK CLAKSYSAHVSFVNQIETHQNAQYLYTTGILDECIMKWKLTEEQQNWDLDYLIYDKKQAD LFQEVQEKEKFKSLFSELLPLRQGDIGQGQECG >CAK84334 pep:novel supercontig:GCA_000165425.1:CT868507:76311:77616:-1 gene:GSPATT00018538001 transcript:CAK84334 MNKINFIYIMICKIECQIHKGEKLSAICVDSYCVANTQCCPLCIKEAHNVHEHKVVSLNS LQSFISDQENIKRQNLEFNSVKQQLIANRFQLAELTEMIDAKIEMLNKNQLGFNLSIDQL MTLDSEELPVLKILLNQYEIQNNMIVGIDQQIKSKMEMQISQLLSQINILTTEYTKKIRQ NLSKLSVDQFKEELKFSEVNKHKHIQLNEGRTATLTQQIGYAVIYSEQSFDPSKESFKCS FLVNNLSYGLVGFGSLEASKTMQYQIYDFSKGHGFYALHNTGVIYHSDDPAINNTKIKPL SFDKADSVVCYYDAQSQSFTFFKESNKNEKYTMKLIDPSKKLYPVAILFGPGDSISFI >CAK84335 pep:novel supercontig:GCA_000165425.1:CT868507:77964:78374:-1 gene:GSPATT00018539001 transcript:CAK84335 MLSYVSLNSKDSLEEFLQLYQCKDSKKKTILKKEREIVQYLKGLGLQMKTDPLLKLQRIA KQIKLTKNSFTIQNDKSPNPNDEIVLERKLQKDEDNEFNISNNPLLKRGSRKIIRTYSFE NKDQLLNDNIEPHHFD >CAK84336 pep:novel supercontig:GCA_000165425.1:CT868507:78441:79255:-1 gene:GSPATT00018540001 transcript:CAK84336 MYNYKYNFEHNSQHTIGEQMLIPLMIRKLSCLYIEIKNLNDAHLEIKSQHLEPEFGQMGE MAIRPVRMFSLVNGKEVGIPITRLGKNQSVHVRCHALKGFGKMHQHSGMKRLQFKNKSYA ELIIDHVQAQSLSLFEKQSIRDSCPVNVFAMDANQELIVYAIEKCVFCEECIRSAKSMKK PRLIKCNIKKQIYLHSVDIVKQVLIVLRKKVDEISQDLSFAQQYQQV >CAK84337 pep:novel supercontig:GCA_000165425.1:CT868507:79306:79590:-1 gene:GSPATT00018541001 transcript:CAK84337 MSGLLISAVQVLTFSYCGSEYVENKNVLQLCNWIYCWSFYFFTVVMSIPNIPGTLNQYLI NQCKYIWIFLTCLLCIEFHMFMVSQKEILVIARK >CAK84338 pep:novel supercontig:GCA_000165425.1:CT868507:79643:80718:-1 gene:GSPATT00018542001 transcript:CAK84338 MSEKRDKLCSLTYTCMITVCYLGAIGLCRTVLFSNILKIDDKVINSVSLGIFSLVFLLGP LSLPFFQKLILKLTYKAVFFITSLANIFSMTLYIVVIEQKPKDIYLIATILIFEAISAPF MAIFYCAFNYYIRSMSNKVNVGIYFGVAYSLFSMQNLIGDIYVIFEGKMFEYNQYFYYPM LGVSLLITFLYWFIKEPDSLSKSATKQSIDLEQKLNEHLYGSLMDDDNQKNYANNNEYGN QFRLIWKIPKQYPQFVYLIPTIISIGMFAAFSVVYSQDMIEPNYTNVQYLKPALVTNLSI HGIGQFLGGILIGLLSYSYSYLNLLMALQIFGATTYILSVNLIVLIKDCW >CAK84339 pep:novel supercontig:GCA_000165425.1:CT868507:80720:81161:-1 gene:GSPATT00018543001 transcript:CAK84339 MGICTSNRSISDQKDNTLTSEPQLSYKSQIQQMKSIYLDADQLLLDEISNDADQMAQEKE KRTFVQREVPIQLLSEKPTKTYKLVFDSLFNTHRKVPVLSSNENSIIQKRKSLQQS >CAK84340 pep:novel supercontig:GCA_000165425.1:CT868507:81412:88711:1 gene:GSPATT00018544001 transcript:CAK84340 MNQLNQVADAENYGYNSQQPRRYVKTASYSELYYDLEKIKRFEDGYEIVELNDAMHLGKS SQLHTFQSTKWMEYLSELEIELKAILYERALIVPDLNQYVNKRLQPMIEALLDKVLIEIS RLKIQCYINEILFLIQRVCLKLWKEQPQISLTLLKRLHQNQSNSLYDSRIRDISAYIDAL NDFYGERLQNGVSSEAEGYDNSYFTEPYNSKTFYPLRGEILDYNKMSQDVFTFSPFQRLQ IHYFYKNGGFALLDDAIRNFNLNNLDILSLFHYLNFYLDKQKYLNYFHHLEFQNLAFKLT EEEIKNTNRDTIKKLTDGIENMFDQFNNSAQKKELSALAEMSVYLQCFRCTALEKRIYGL QQFCDKINTAQSNEYMGQQQYGTNDEWYKNDNVLKYMVDNQVFQELFGEKAHFELIKRSF PIIQFLYLHNKLSKDDILSILRLGKGKHETWDNMISKLLTDLAEILQLEDVETMIQNIQQ SQIDQNGLNFIKSLGRNKYLRQDPENQNKGIGNNNEKFGKPNERVGGKRKYQQYETDSKE IESFNCQMQYPKMGQFNDETETKEIEQYKNENIQQFDNEKAIKLKSQIVEFLLNIVHEQP KSEIGQSAFTIAINLICHQFKALRQQYLLHGFSNLIAQEQPLPVCNYITVLQKIISSSYP LDEFTNSKDVLKWIQDKYDIKLNFLRVMGREKLKLLKRESKDYLQTIDQLVKFYQFLHQD SKITKPQLILLWKLLVENARCAEERDLFFNWVGDVNKKFLDQEAIEFLFISTIKCASLSQ SMLQCLTNVILYFNVQYKVMKLQYDQYTIVDADIIGLQALWKIFKQQENLGPKLQEFFIR LLRFKQSQTILNQLKQQYLVQLFNQINNPNSLSFIIKLLEEFEGYQLAEQGEKVFVTIDN KYMNAMPPKRQDIQLLSGLSVLQAKQIIGQKLNPSLKPDEFDIFCRGILFDDNKSLKDYK VNQKLTFVISKREHLTDEVTAYNSTTNQIANEYVPNDSDERVQEIINIVQIQDRDFIVSV LKEKNWQVDNAICDILDRGEQLLQEYQQKNPQVKKQQKPAMKQQIDEISFASLISNNYVD QLFILLNEGDPEQNTKIWSILQMIPRNKEVYELIEQSQKDWFNLLMVDNRYKLQYHLQIL KEQLQCDFIEDQDEYEKRKALRENFLLYGGLKLLLFQLENSIEILIIILDIFQIYFQAYS MSRLMQNQNEFLQLLKLKQAVQQKDEDPKLSSLLSIQLQKQEKPNIQGFDEAHLLQQLFY NCELEVEWKVLLETLIHNIGVEQIYTNILCILYMRPELLEVELPIKRLVELLTSPNEEQR KMTAYFILTLQDIGKRNGVNLSNDILKALIKGETQHDELYLVIAGLIGQVNDLTFFDTHQ LAQQIISLISNREIIEQRFTENEDKLLQGNLLLLTSLVQVDKNIKVSTEFTKYLYKCLFD MNNDYYQYPVYKRKLTRKRVFQLLLELCTDENHLQLILLLIQNNHKLKFDVNEVELDLGV KGSHGFVGLRNLGATCYINSLLQQFYMNVPFRKGILNGQIMITEMKAPLIFDKISAIDSP ILQRKLADHTLHQLQLLFIELQESVKQYTNPHQLIKTLKGYDGEVINVLIQQDCNEFFNL ITDKLEQDQKFTNQSNLIHQILGGTLVNEIKSLEPEYDFRRENEEPFLTVSVDIKNKKCL EEALDLFVKGDVLDGENKYLCEEVQRKIDVQKRQYLKKLPNTFIFHLKRFEFDYNTMLRI KINDYFEFPQEINMFKWTRDHIVENLEVEDQSDYMYILKGVLVHVGSAEGGHYYSFIRDV DKWYEFNDKVICPFKIENLKTECFGGANNNLSEWGMNNSKNAYILFYEKVKHNIPEQLYM KGPNEELLTQQVINENTEYLKNQLFCTQDYLKFIQNFVQALQIKTPYKVTQLLSKESNLG ELDSLPSFRIIKLFTFFTYEILLRNKDQQMFQYNIQLLSDLYKQEPAANFWFLDLLRNHK QLIIDLLIESSYPDVRNAFAQLIIQSITIIVEHEQYYLFEDSCIARFLQFYIQQLLGIVK NTLRRGTEYFQVIKYILVHNQHLVKHFYQQEYFKQVYSLLQDQVSAVQTGTSFKLMQLQT NNTDQPLMVICDIIAKVIMSCRTQKMIDLKEDAPTYLFKGQKEMDIDQYWLQRLLESDDF KKYILAMIQFQSNLIDMIKHICWKDDITSYHIMTLIVSQMLDYYSDWQYLDPLSQTIEQL LKMNDGYVEIRLQALLCEPFKISSTSSCVKGTSILNAIRSQYDMDKNYSFCMIAILANLA TQVNYVGEYFKNNRDQFEYLLQKARDHKNIMYGLYFPTMKIQRSIQQLSAIFEEAEKPTI QICIPKQNQTVQEEPEPEDSDIQVTHLIEQNKTNYTQTKEDSMDNNDPSDRENPADQLSE >CAK84341 pep:novel supercontig:GCA_000165425.1:CT868507:88731:90444:1 gene:GSPATT00018545001 transcript:CAK84341 MASAFPKLPGFVPTQEIDVTLTQQYYQKPNFRKVSSNKQEQNREVNHLPNKEYAVPRKQP IQIPPQSGMFNPDYMSTTHAMHLPKNANNDSEELYQPSWVKMDRHVLRFSGYFKEAVVES ALENYRIRKITIFYYLEDHSLSITEPKQENSGVPQGAFLKRQKVLRADDSKTFILPEDFR INQDIIIFGKTIRLFDCDQYTREFYQLQGIPQEPSFVPQSDSFETKTMTKFVPQKDTVMK DYLEHKLGGGKVTSQKQFLENDRKVLKFYVFSDIEYILHYYLADDTIEIKEINSANSGRV PFPMMLRRQKLPRKFSLNQPGQTYAEDFIRPQDIQYGQALIIYNRKFLIKGCDQFTRYYY FEKFNVDFPLGGQEEYVQQERSNIIIPPHNGIGDEQDSLGYIYRLQPIPPKKDFFKWVDN QVNLRFLAMFNTTKPEDKDRVFVITFFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNVN NNNEFFTPGDLIVGNEVQINGWRFQLLDCDEFTKKWYSENFK >CAK84342 pep:novel supercontig:GCA_000165425.1:CT868507:90450:91763:-1 gene:GSPATT00018546001 transcript:CAK84342 MQTCSKHKDQQIDLICLESACKSHVACVQCLIEDHSDHPEQCLFIDDILNNNIKLENSKV IDYVTKLRSEQDLVSVVDREFVSIQNEILLKFDGFQEDLQIYYNQLTPKEIQQKEIEFFD LLKNVTNCQEIVKLYHLYQSGNINKAKLNQDLNALINKPEIEQNKQQIFTIYKCLLQQSL KLQKKDLNQIQNDILETLQEIPKLLSLEKSIQFYSLQGNKSTIENGNIITITSQDQQVVI VSQNTFSYPNKYQFTIQVEQYDTSQNQQLQVGFAHHSKLMNYNYFYRQNSSAYFGLSNTG RINFSVQKPEGKIIGESVRQALKEKRSAHITVSLDIQNKQCLLQYEDIKIEYSKKKCSKL GFGGETGAEQFFQKNKKFVLFFIGNLADMKCKVV >CAK84343 pep:novel supercontig:GCA_000165425.1:CT868507:91773:92759:-1 gene:GSPATT00018547001 transcript:CAK84343 MSDSKGFLHWLFFKKWHPVPSVGSTIALFSIIGVIFVALGIVITVINNNIQEVTIYKYDQ KCSPVEYNKRCSFTQNLDNMKAPIYFYYELENFYQNHRRYVKSKSSTQLSGEEISLSDAE KYCDPIIYNKDLEEWQQNVYITEKNTLVPKERQPDDIASPCGLVAKSFFNDTYALSLSGK NIELNQTGISWPNDKGKKYKRATDSESTQWIDPENEHFIVWMRTAGLPTFRKLWGRIEQD IEEGEYTFEFSNNYNPQMFAGAKNIVLSTSGPFGGKNLFLSIAFIVVGVIQLLIALAFLI KKIRAGPSFGQKNE >CAK84344 pep:novel supercontig:GCA_000165425.1:CT868507:92781:93073:1 gene:GSPATT00018548001 transcript:CAK84344 MALKSAAIFEKKDPFIKTQGADLVKELVQSISLKYIPIFAVLQKTVKTIVSKIKVIIQNY GQFILKMEMDLSLMAECELLMPHSQQLMMI >CAK84345 pep:novel supercontig:GCA_000165425.1:CT868507:93079:93201:1 gene:GSPATT00018549001 transcript:CAK84345 MAQGKLNPQQVFMQGKMKIKGNMAAATKFILNLISKDAKF >CAK84346 pep:novel supercontig:GCA_000165425.1:CT868507:93242:93985:1 gene:GSPATT00018550001 transcript:CAK84346 MYDSRNNSVPRSLQQLQYLKQFHIMDITNDKEQIANYLDILNQETDVYYLFDSFHSLLNS VKQAEEAKITNKPRQNTIDWWKLTNQSYSWAGLKNIKGISNTPSQIRVTNDSSHARQIGQ VELQVFNEKDLESKKQDRMLLSVYQLQNKYYKCHMGFCQLQKLEGQVVIITKLYIAKWYR KVGNYLELIQEFIKYILSVVSAQRVKIKINSSQGSQIKYLQQLGFKLSQSIPLINDLKIF TLILDKQ >CAK84347 pep:novel supercontig:GCA_000165425.1:CT868507:94135:97144:-1 gene:GSPATT00018551001 transcript:CAK84347 MSEITSNQPQLESQFLYELVNQIPQPIIQNQSSQHVSIDPPPIQTHDQLPQTNNTTEPIV QQPIQEDNTDPQDQIQNQAQLQHPQPSTNQEPQQQEDQLIPPRQEVNSLVILAALESNDE DKKSQEEADCKLLYKKQRQTSIIIDDDIQDDKTTPKKTAKNEKNNVLSPQQDDPLANSQN DSEKQEVVLPPPSQRSSEEIQPEIQKPFENKTAEDKSSLLDQMLKPEPALKKTQKEFFSF TQPVHPTTVPLTTQKKTKNPIVIDSDDELPPPSNISILKQKIEDTTPSSFFRKKPVENQT PIEVGDNDNFQSQQVDKQQKITQLLQQPIIPAQVSNKPLNQQQRKHQALLEEIVQEDDGI VQDIENQDWTLFSQLNNMVPPKFSGQFRMLEYKDNPQDMIRLLFGETRFKDLMKTCQTSE PEFWLYLGTKLIMGYMRLPDINEYFYGEDWIAGGGIKSIITQQQFDDIDQKVEIIQPKIQ TESQLAVKLEFNAQSFFRQEFLPQFTQELNDRFKKLILPGQELFLISNFYTIIYSTKIQW YQLIDKESGIILQQFFWATPINKALDLNSHRDLQKRLRMMFEPYHLGRHIVYSQGLLNAE SVLQLYQQKIFVCTDLMQHSLLPHPPTGDQQVVYSSKNKEPIYLCYKNQQWITCVSLQSL QMRSQYLQELSQLQFTEEKIAIQPSEPENTSINSNSKIIFIFVIESILHNIRILKKQEIK TFRTELALQLINKVIDYKNPKPLLRKDGSLQVDRSCQTDQTVGIVNDQLYHCPIHNGNAR CQVCLSKSILSKTTASCLGCNKVLGTNIFLCIYPCFRLFHLNPKLYLKEGMYYQIVCGYD QYDQDQEDEEVDQQFLDANLRFKPDTSELDSIYAKSYQDFKNMIPINYEDNYHKKTTIVV IQREKGGNRRGGGRPKASRQQLQSAQNQAAQAEQGNQGDTQDAPKKVRKQNKKAFNAPQQ QGQPEKETEQASSAMFDFFSQVRKQAYKKQTQP >CAK84348 pep:novel supercontig:GCA_000165425.1:CT868507:97226:97925:1 gene:GSPATT00018552001 transcript:CAK84348 MKQSFQIKSEIQLYFELAFLGTSSVGKTSLIKQYERNEFSMKSMSTVGVAQFKTVIINNQ DVKVQLWDTAGQERFRSLTQNYYRGCDARFDQINSWIADFDDKCERPAIKMLLGNKIDMQ TRDVSTELGKAYSKRKNIIIQEVSTKQKTPMLKLPC >CAK84349 pep:novel supercontig:GCA_000165425.1:CT868507:98099:98635:1 gene:GSPATT00018553001 transcript:CAK84349 MPKGQTTTTQKAQKAAKNTRVAKKVVRARKHFQNRFHTTKPLALARKPKFTRLTRQLKPI TKGLDFQNVLKHPLITEKDMKKMEDENTMVFYVNQKSTKPQIKRAFSKIYEVKVRKVNIL NTFGGKKKAYIRLGGENDALNLANKIGII >CAK84350 pep:novel supercontig:GCA_000165425.1:CT868507:98640:99137:1 gene:GSPATT00018554001 transcript:CAK84350 MNHSIRNIYTFRKKQKSFNKTFAAKYIKQLLTNTQTLSTPIPHLRIEEEINEHTKIEDSL NIKQSVDDSSLHSSHIIQITHTIKKRANLDCENYRLIYRLNSKSCVVPCKVSLRKFKMNQ QDLKFMEEFDTLKSQLQFKQPNEIDFLTPFNEFYQRINEIVLNRL >CAK84351 pep:novel supercontig:GCA_000165425.1:CT868507:99221:100089:1 gene:GSPATT00018555001 transcript:CAK84351 MAFWLINLETDIMLGFIPQYPKLSIVVAFIFWIINILTLIVQQSYIIDNGDKGIFSYETL ISVLIVYGTLGLSQYLIVQKRIRDSSVQITPEEQLTPQKTGQLTMKSPLRTVITQNSHRK QPRLLPLATNFQKRYFKGLFLFITIFVIHSLLFIHQTTSLIILKAALSLHIILLTQLFSS VLINFFTIISIGNLLINLYSMNVFVKYFGSNTFYLAFMNFLTNTTEMYIVSLNLALIMAS IVGLLFVNDLVSQILFYFYLSIFGVAFLIKIYQKIKANEY >CAK84352 pep:novel supercontig:GCA_000165425.1:CT868507:100113:101212:-1 gene:GSPATT00018556001 transcript:CAK84352 MRIVILILLISLATCAKIKSQSPQKLQAELQKTNYGRALLHLVELHSMAGGSVSELLDAI EELVNDLEDGLELLDFNFQRRTNEHNALLVQLNQQIQQAVIDVSRSDDVIENLLTPRKEQ LELRIATLEEYQEQNRQKVDEENLTREQEHEAYEAQVAELNDATAAVDDALSLLQTLNNP SLAQVKKFQNSLRKIESNIKPRSKMAPFLKALITLASNQNFSDQGVLTQIVNSLNEFRNA IVDSINDLSLQEVQDQEEFEARVDQLNAEYAEFQRQINALNVDLTATLDKIDQVTAFRDQ RAADQASYEQQLQLENDLYADEVQIYTDTKNEFQREQAISEQALQLVQSVDFSNIQV >CAK84353 pep:novel supercontig:GCA_000165425.1:CT868507:101613:103156:1 gene:GSPATT00018557001 transcript:CAK84353 MQEDIEQYDYDGGYDYLTDSCQIEDIPSLVRTPSTNQEILELKDVMKFIDQLIGNMGELL NLDADNTYEILMFYNWNKDRIECEYHETILEQLKKQGVFNNHQAICYPDQISMCPLCMEE TQLIQLGCRHQFCKSCIQQSIAQRFTKEQFLVIPCLQYGCKYKLPMSMIKNLTKPEDYLK IVCRKFIETNKALAYCQGVDCKKIIKPKDSSLTTVTCPCGTQFCFRCKHELHQPVPCDMA KTWVSEITKNEANIKWIVLNTKICPFCKKPVQRSDGCNYLMCKPPGGCGNAFCYVCSNPW EPDHKDHFKCSKYVPPTNDLEKEKEILARYNFYYERFLNSNSAVEQIQARLKQFREKHNQ EIQETYEVTSLEFEFLEEALKELAQSRQVLKWTSCLGYFISQTNPTSSKLFDNYQKEFEH SCEQLGILCMQLFNELEKLQNLHTRQQTTLNQRKNDFYGRREQILKLQNKCYNLRRNLLK ASENGDIMN >CAK84354 pep:novel supercontig:GCA_000165425.1:CT868507:104322:113741:1 gene:GSPATT00018558001 transcript:CAK84354 MFFLYIFSILSLKFYIGHSSCDVFNVVTSPENEQRLLFQDLTDEITKDYSWGVWTKYMPI YEPIEDFAQKIKLMHVLSESFDERNNLVYFIVLDREELVMYHKLFIYTNNYVEIREFQVN QDLIQDNWVFFYFCYSQTSQIYSIFLYVNGKKDNVQMNQGGPFQIENQGLKIYKLGGFQT IRYQNTQLEESLISLSPFSGEISDMDLRIGTSYFYNDIPTFLLNIYDANCVISLCKKEIL NGLIMQKQYLGDFDKLQLAYIDKKFMIYGWVKLNELEQMSTVKSVLMRASLRKTYFDDLY QGDKAIYWEYLQSNQNNEQNGITVTTYHNDPTKPLSQYKTLDIDSWVLQGSQYQSAITFW HWFVYQEGVNDNNNIKLSIYFGSSKKTQIYQMRQIHFEKSSLYFTIGGDKFNKNFRGEIR NLTFYYCYTQDTPKTKICHYSCKSCFGPEETQCIECDETDAQKRTLNQGSCKCQSGYVDN KEKGCQPVSQLVTVEIQEAQDIDDSNCKLGEFLVLLYEKAYCLACPGQYTSSSLNCVECI ADPKRWYLNPTCKSDYFQPLQDNTDYVYLETLRNEIDYQYYLINQFNLSETTGNFNFIQN YQLNILSRFACKTCYSVINGECINVNKDCITCDTSANCLKCQTNYTLFDGQCYECPYYCP NCKKNNTGLYCLSCISGYFYNSTSQQCQQCGQFCSVCIENEILPYLQCVRCIDDKKYFIS ADRLMCRLKNFEHCEIQAEEYIQNDNLNFSIKDRNLPYGSTLDIVANYSTLSTFPVCLLC EDQYVNKLTLYSSNECVHASDTSKLPGLRDELKQLVLDSQNHSYQLSFSNWASSTTNYTV IYGKPTKLLITNNSDSSQQIYYNFSSVVVSTNFINDDPSLKCKDPNCIDCIKNYLWSSEF CIRCQNGYYSSAFTGLCYVCSEECQECLHSHQVYQDSWKWQIIPYYQYRSNIFFGLHYYN YYCVSTDNEKYEQVCTKCKGKDALHDGKCYKYCNCDECIFQNGQNKCLRCKDSKTYIDGQ CVKCPNYCDVCKQLKNSEITQINPYFDPIDPTLYRYAKACLKKQSNPPEKGFIYHDSSLG LEVNCINEEKQPCYKYAEKTVNLYCSQESFDLDYGLITNDNDKLLFLDQNILLKQIFSQS TQSHFSIQENDFLIELFNEKSVKLMRYILKMKPNTGECRIQQNTFVYSKLRKNVFMLQSL ELVLESTLDLPIYFGNNVTFQQFTSITFKNILLDSLSGISRIYFQNNQDVALTLNQLVVS NCNNLQLQFIVENPKSIVLQQVTLKNTELINADGFINYYFTKPKLDKQLIITINNLVIKD SKIINSHFLIQNLDTNYGNQKLIINGFKSLNNEVANSQMIYTDLKNQIRQSEIVVQDFLS DGDKLTKSSFFVLHGALSILFNRTTIQNGNYYQEAILFKLPLFTIQNFNILDNYFHSSDN RVLTNILDSLYADNIKENTVIMNSLQFSGNFYVGNNVFMELMSSDNFINLRVEIDQLTLA SNQFEFSGKIRSPIISSNSSIYLDVSQLLLKNTKIVRSYTLPEFSINNADMVKVVNFQVT SNKAFLFQPIHSQSNCVGSNLDYGYGSIFQFYNIKTINFEQAVLQNLISINSPFFIIKSL ERTNFRIQESIHITDHFYLNNSLITTSQSEQMGILSIISEQEQSIKMNKITAQNNMLHCY ENDLLSRQTSTILIVNPYSNVILGNSIFSENIVTESFGSNLVIMSKSLLIQNTQFSDQNV LKYQNLKDRLVWGYSADEQIYFENLKNEFPIKSKGGNAFLSAEEIQLVNISTKNSMALQG GAFYLFTVSDGVVNITNSSFIQSQSNLLVQDKSQGGTLYVDASQSTLQFWLSNCVISGSQ SRREGGVLFIEPSRDSNQIIIESNFVTEIYSLYHAFLKLPIQFTSKTLILNIQISNLSVS NSDSGYYQFYGQVLQLTKNEIQDQGRNSLISIEGGSIYMEDVYFSNIDQFGIINIQNSQY VSMINVGIQYIKLINDNLILINLNKKYQSSIKFTNVKLESIKQFQSYTSKPTSPSSTLNE YIYKCLKNLIAPNKISEFYNSKYLEFYSNNNIYNIFASNQIPKFIIGIDQVSQNHKLYFY LLKLNSILCQNCSEGVFKITNIDGAQNQTLIQFKSISVSDNLCGELGCLFLSRSDKSQLN LFSSNNNHRQLADASQLSELMVLDIKIEDSKFDNNQGLFGGAMLISGVSTNINNCQFTNN YANNTGGSIYFDYKTNSELLIFNSLFADNTANIGGAVFLGNYSINSPSVQNNAFFNNKAF LFGENQADQPVRLALQIGEKVLETTNVISDKNNITDIVKIDSYQIGKNNYEYIMIPSGQK IIEYQIFDETQQQFIPYNFTFRILAINKENGPVKVLDGSKCTIQGREVLNEIYGEFYSNY TNLPEISFNSTSQDYNLDSMIVTFQPDLNTFGFLQLEIMCNSVKIPQFSKDPPYKFQNFF TNYRLRINIQTFQCQRGEYKTDDGKCKLCDSSSDQYTVIAGERCQIKDQIKMEQVSPARI MLRPEYWRPSESNEKIEYCLNQPENCVGGWDPGDGLCDLAHIGALCEQCDIYNIRGQGSF SVSTQYKCGSCSNIGDNTIKIVLISVWTMISIFLSVKGTIQGVEKMVAQSKLLKLKQLQF DQKAGHGGVLIKVLTNYLQIIGAVALFQLKLPSALQSTVRSVGNPVEAMSYSLDCFLANI TDINIIYFRMVWALIMPILYIFTFLLIYILAVLLNMTQSNRSAITTTAIYLFTYLQPTLL GGFISLLSFRNISGIYWVQGNVAYKYITQQHIKWVTGFVLPSSLILGLFIPLYMFLGLYR QRFKLEDENTRKNWGYLYNEYQHNAYFWEIIKIFQKGFMIVFLTFYEDQIIIKGALIFMI VFIYQIFTKKFKPYKLRQLNLLDEALTLVCGASIVMGMTIYQANTSDNQEIIWPFYILLI AINGIFIFILIWEILWAQLEDHQDNLDKIRDKINEKFPGLKKKNVFFYRLLTNRAQQQLK IKKRFQKIKAYLFNIVRQYPGFYNQPIQVSQFKGEEKLSSQSDAMLYNDFPGYPKTFNKI YPAMPQSYHSQTSLKQSEDFQQKDH >CAK84355 pep:novel supercontig:GCA_000165425.1:CT868507:113765:115093:-1 gene:GSPATT00018559001 transcript:CAK84355 MNYPYQPYRPYQRLPNSSVHNPNIMSYVDQVLSKSRTNYGSVQQDQQPKYQTYQTNSIKT DTDLKSIGNSPLILPQKSLVIQPTLKSKQEYLFPKKVESLSFQSDIPPIIPLIPLMSDVR EQYTKKQVSTPISQASSPMKKPENQKQKYKNFTIIKKLGDGQYSEVFVAKHTQTGFLVAL KVIQKSQIIKENMQAQLAWEIKIQYLLEHPNITKLYTFFQTPTEIVLVLEYCSHGQLNTL QQMQPMKKFQERVAAQYVQQITFALMYIHNQDVIHRDIKPDNILLSFGQVKLADFSFCVY SPDEERQTQCGTIIYASPQILEGETYDKKSDIWGLGVLTYELCFGKPPWKENQQELMKTA CFMIPFTASRELKDFIENLMKRLSRDRFTAQQAYNHAWLQRIAQIVPYYITNNETLFL >CAK84356 pep:novel supercontig:GCA_000165425.1:CT868507:115251:116254:1 gene:GSPATT00018560001 transcript:CAK84356 MGTYQLKKPPLELSSLSITTPQVFTLKIKENSALMRPGLAIIQVGNNPSSLTYVRKKIAL CEEHQIHHELYHFPETISQKEIVHRIKSLNQKGSIDGILVQLPLPPHLSRLEISNEIKLN KDIDCLHMANFQNLLQNGEDNDIIPCTPAAVLHILDTQNIDVRNQNVTVIGRSQLVGFPL SVLLLKRNARVTICHSETTVQEHVEKADIVISCAGHKELVKGEWIKNGAKVIDVGITRIP GTNQIVGDIEFQKALPRVSFITPVPGGVGPLTVSMLFRNLYRVWCRSNGLKANVDEQEDE LDYAQNYQF >CAK84357 pep:novel supercontig:GCA_000165425.1:CT868507:116603:117905:-1 gene:GSPATT00018561001 transcript:CAK84357 MKRLYSAVMLLFLVSGTTVTNNQGVMDSYVNPGVQSSIDELTQSKIGHMVIDLAEVTMRV TGAVDSLVEGVKAFGRQIEERLNLENTQWEVVESEHNAKVISLSSQANQAEFDINREKKV LNSELIPRLHELDDILLGLKAKQTDNTQSFKEAEAARNTQHDSFVLTTTEFTDALRNIDE ALDLLTDLFKSGEVRAAFIEVSDEQKHKVNKALRQVKANTENFGHQYSSFIQALTNLTEG INFKDRQVLKEMCDFLNSLRLNIFDALTKAYKDEDSQKQQDELRRSQLTAEKAVFDQQYA DFYQEREDKTVRISDVETLISTRQADLKAYQDRLRTENNNYSANLKIHDDIVSSVQQELA VLAKALQVVQTPPFLDFLNGRIAKA >CAK84358 pep:novel supercontig:GCA_000165425.1:CT868507:118728:119514:1 gene:GSPATT00018562001 transcript:CAK84358 MITSRYVQHLTISSGFKYQEIMEQFTIYNILIPIFTSNLDRLGQQQIIIDYFIQSKIRVL TNYNQVLIIIQYFLYNKQFIHHHSCLITYNHRVDSIFKSQHMIIMNTTINLFGFMDQFRQ YKLTCFKNGFIIRIQYQIYLIKKIFLMVVF >CAK84359 pep:novel supercontig:GCA_000165425.1:CT868507:119992:121444:-1 gene:GSPATT00018563001 transcript:CAK84359 MLPYENALFTVQVTRKHFFKDKNYSLYLFSDELVMTDDIMKQPKYNLKMNLTTTIKWILE EKRIVGFEFTYNNGFKIVYGPKLNLLKEMIAGKIFYQPILSFYQFQMEMGSGMTGSVYRC VAADNANLYYAIKKIDKLKIAQHEGGIPQLVHELSLLSSLSHPNIVKLKETYADNQYYYI IMEYINGRTLYSELSSRQYGLSIAETIKIMKELLDAVSYIHDKGVMHRDINPLNIMKAET VKLIDFGLARKIKNQLNFPTSGTPGYMAPEIINYNKDKQYDEKADIYSLGCLLYKLLTGE NLFNTKSAKQTVYQINKDGYYELKKQPSHPEVNSMKMDQLFILLPYMLEIDPSNRLSAKI CLTIIEEIDNNNQNIDRLIKKILVRKSLNTTTIEEQRSCERISKGRIAKQSIDGISKKSL DELSIFTKKVMVQNQQKVILPHKKQA >CAK84360 pep:novel supercontig:GCA_000165425.1:CT868507:121468:125326:-1 gene:GSPATT00018564001 transcript:CAK84360 MKQNQFTFLEHPPSSENDYYVRCRLQNITNKQVLSTTATIIYDKVKLALNEILPDHQIYV HLLKIQIQQVEFKFEENIQNNAQIQGDANYNNYTQVSFLETLLNDYIDKTSDSIIKQSEI NYAFISPDSQTDLEYNICYGNEIDTRELDNLIQTLSRLITCQSVQEFNSIAIYQKEADQK QNNSVLITFKLTKECFMNLKFYSFNIQPDSQPVTINFQQAEILTEHQEHSDFIPILNEHQ EHQEFISESQYEFNRCIKVTDLNFLYITAEDLIQMKLDIPKTKPIQLYLSPYFQYQDLGK LQLETYLIYNNKQTQQEESIIIIINIVAKLKLKIEIQLNNCEYCHIVNNAYQTHLYFKLN HPPICSAALFDKTKIDFLDTQTQWTRIENIYLNTYFQKYQNILEKFVILNNTIIEIQFNN YKSCNEVRLLNQLQLRLKQKMILYDSKIQLNIFKQTERNSKFDTLQQQLDKSNLSFEQKY NFICILSQNRIIDCHHLKTLLILMCKQQQNSDYILDSVFQSFMRLSQNLSSSIRISDQNN RNLFIFQKELKELSCQVQQNACLQRPILLKQARLTPSGYIPMISKPEKPKLLTTVFQNQN FVKLSLRDDNGKLIKKNRSNFGEFFKLQLTEGFTICSEQWKFLGWNNKELRSNIIWMNKV SNKELDNYILANFKQNSTLQQKAEVKSRFRNFFIDGLTTEFKQLIIVVIRNQINENNFSQ FGQISRNLIDKIREIFMNQEISVVKVVFNGVQYILQLNNNLKDNIIQLNSQYKIFSSFND QITIIDYNKYRGAFLNRGLIRLFYQMGVKEDVFIQLLNWHLGQISKAFIVRSVSLDLKSE LCGLSPIIEQINVMKRNYLNEKNCLFIQKVYEKLKLLEIQQLKQKYNILVEKAERLFGVV DQYGILNEGEVICIRKPNDQVQYLEGKLIVVEDCNQDRQKTLNVIGLSRLEVIGRLKNKT AYDEYINCIIFSNKQQNSTPFCTNTYFVSWDKRLISKRQKINKTKGLEEFEFLKFDQGGL TDYLCDFLNYQFSQDVECNHIGLQQHEKQDRQDIFKIIDQYMVDKPQLYQTNQVNIDERF IYRFYWIKEENNYEQYVRQCLGEMHIIKALKCLNHITDTFNAWMKLYDIKDEYEMYTGYY ESKMNEENQESFQEIMMLNLGQLKLELYNILSQIEQERLIQIWIIHFLVIYLPNEKTSES HLLERIVKCVKNQVKNFDDCKFLCSRLTNRSQQWFKGCSWYFFRREIINFEQISKNE >CAK84361 pep:novel supercontig:GCA_000165425.1:CT868507:125867:127423:1 gene:GSPATT00018565001 transcript:CAK84361 MLKHFVNHIRKIDQFGVVYQPKIKYSTNEYKTAVGGIMSIILYGLSFGYLLYSFIQYGQG NILPKITTIQVKTDQQSIFLENEIMSFKIRPGQDNFINPFNPSALVLLPLVLPFKNNEIP PSQYFNFEQIQFSEEKISIRNLDLQYGDPSQEETEQIIAFVGCKQVNLPSPFACANQSIQ DQFFAQSRNAMIATTQVNQFNTDRRTLDEVGKEQVLAIVNNSTYFQTINLNIQESQVDTG FLLEQIESYVYATDYYLTNQQMGQEYFRTTFKFDAYMVFQLRISKLRYLQSIQYPKISEI LADAGSIGSTILLLSYFVILCNQSLMEKQSLDDIISIYYPQYKDLQIKQTFYGSIKEVIF EGKQQDLEQFKQYQKELQERAEKKLSMLNLVYEISRLQFMITNILKSKEQLRQSHKIGIK LKQFEMQKLLNDENLNGNQIIPHSNLNCSSSKLAIIDSQSDSSQNLKKPQQQQQITVEES SDENQLNDEDFEILIQPSELEE >CAK84362 pep:novel supercontig:GCA_000165425.1:CT868507:127479:130320:1 gene:GSPATT00018566001 transcript:CAK84362 MSTLQYMKEIISKQITTLSNPNGEDKKTLESEPYHNLQKSSVLLESRCFNDPQLQDKKCR QILSKLIYLINQGEKFNDQESLSLFFGITKLFSSNNVDLRRMIYLMIKEFKDENSMYVVI SCLAKDITSKNDLFRINALRTLPYVLDQSNLVQLDRYLKNAILEKSQPISSAALIAGLQI FRISPDFIRKWTNEVADRLNSKYPQNSFHALLLLHEIKSNDKVTFTKILTGLTKETLVPI ANMQVIRFIKEILNTDDLDQQYEKLFIEYLLRQIHKSQEIVIFEACKALCDLKSLSNKDL QPMVQVVIVFLQSSNVINKFVALKILNRLISNPIRRSLITPSQIEPFIQDSNKSLSSLAV SILLKVCQEGNIEKILVQIFEYLNEMSDEFKIDVIRSIKALVKSSPTKWKSIINFLKLTF KCDASQEFKKYSIEIFELIIHEIPEAKEHAILTLADYIEDCQHASIQLSVLSILNREAAK KQCPTRAIRIVNNRLYLEDAEIRAAAVGVLGKFLLHYPNEKDNLLELLSAAAYDPDEEVR NRSQFYINESAAPKEENPPLSIEELDAIEAYLQQNIQEIQQSNEEVLQLEKIMAYAEQNK GKIKKTEVLQELVEEELIQTHESESGFETYKKLFKESTIFCDYGVLRKSSRAQNLTDSKC EYLVTVVKHFFDNHIILEYKVKNTLDNVTLNDVSLELTIKNVNLQFERIVPAKQIQPQCA SNILVGLQFNPNLRLVSSNIQSILTFTVNENGTTYQDEYQTEDFTITYSDFFLPIQWFKK FQTEWESLKSQEMSATYQLDYKNTDIAIKELVKHFGFLVCDNSDQIQPQNKFHTLLLSGQ YLDAKNALVICQIGFQQNIGCVLKIKCKSEDDNLSTNIVETLG >CAK84363 pep:novel supercontig:GCA_000165425.1:CT868507:130440:131478:-1 gene:GSPATT00018567001 transcript:CAK84363 MCDDSRYILDELFGKERNVVASKRKNDAHYWNEDVCKNILVSKCFNDLWKHTKYDHEGEC SKRHDQFFINEFNCAQEGNRFTYIQDKLKEVDKILTKHEEQVEQSSKLQVADRPKEIQDR LDNMERQINLLTDQSEKMGELGKIEESERLIMEADNLKKAREDVLLAYEGTNNPFKTYKI CEVCGARQSLYETENKVKTHLDGRIHQGFSTIRVELQKLQQRRLQLEKILEEEARKQEFK EDIAKDVQMDKEKLIKEKKEKEKERSRSRDNSKEKSKKKSSKKKKDKEKDKDRSKSRKHN KQKYLLMKFRKHHKY >CAK84364 pep:novel supercontig:GCA_000165425.1:CT868507:131591:133628:-1 gene:GSPATT00018568001 transcript:CAK84364 MNPPPGLGDDDEQPIGPPPGIDAPKKKKFHINKKLSQQQTSLESLNLELKVTVKDQHFDQ VLFYCQFQIPIADEYLEPSMNNLIAFDGHLCDTSGSDSLALWDQEMKLKKEYKGLIENMP YSLVAVHDKIYLIFGDTLLLLQPEINKKTFLIEQPLTAFCVSDHTNPRIVGVNEGEITLW RTSNLGKPNLQEPIFNNFAPKSKELIELQKILSEKLEECDDLLMLQNGLVFAKQKKVGEV YIIDFENDQLEELQTTYGCAVTCWTPISYDSVAFASNGQIVLTKFVKNKQAVVQIVLSSS KDDIHHGLCYSNNHLFSINKNAVVTSWNIQTGTKIKTAEVKFCPGVYSLQLMNPEIIIAE HKDKKDFILLNTHLEKQFFYKARVKQVLHCCSSPNGQFIALVQKCGLLYDEEVIFHIEIE VYPFDNKLEFERRKEIDNLEIKTVMFMNDSTIQYILKISKSVNPILVKWNYLTNEYNEEE MLVEKYKTCQLYFNRSVFSYDFKPAPSQQFNLFGPKRIDDANLITGISVYDENIKRLLKV QFQQQFNADVFGMPCQNLLYVLNNKNQIEVWDINTQQQVQKADCRPSVVKQMKFSEQYLL LNAQSEWIIRDLKLSQICKTKGELINKCLFLNQKCIINQKQNLFRMGFEQQSMQIDTYFQ GL >CAK84365 pep:novel supercontig:GCA_000165425.1:CT868507:133682:145294:-1 gene:GSPATT00018569001 transcript:CAK84365 MKHLILALLLLSGVLIGKEINLGSDYECECLQLMVQDDCIKQGCQWKDGECQVKLKQEII YEGDSETFCSQFNQQNCSSQSGCAFLVDKCVEFSACSVYLQITNELCQAISRKCITDGEK CVEIGQCSDYKTALSCGQDTEGNYCYWENEICQKSVDCGQLPKSLKSDAECRAVNSDCTV SSQGGCQLSGWACSNQEGEQQCVWDRFMQVKCNWNGLSCGNRSCQSAPISIKNNEDCNSY LQGCILTNGGGCDDLGNCVDITTSEACNIDKNGKQCYWKDSECVERVCSNASELLRTFEE CQQFLNGCVPNLNGGCHTYSKCEDFIDETSCQSGLLKCSWNVKSCVQYSCSNADISYNTH QKCSDYKLDCTVNASKNGCVDRTCDNAPKELNTNYDCEQYKSGCITKLNGGCVQNQECIN IKLKEACLLDQQGRECFWYQDQCRLKTCSNAPIVYNTHEQCQTYSKLCTVKRTLNGCIDM TCDVIFQKENCMADSLGNNCYWTGLCFDKTCENAPVNNNFVTDAQCKSYLSKCTVRNTGM GCMNRPYQCSEMKIPQQCVTNSYNVACEWYGDSCRKKECYTAPSILQTYEDCNSYLTGCT TTGSGCQPLNTCDQYQNSNSCRFDINNNICQWKNGVCANKSCQTADNTHTNNDQCNAYLT GCIVNNAASGCIPKPANCSQMTTTTQCTATSTNASGGPCAWIGTCVDRTCSNAPASTDYN TFTKCNTFLNTCTVVATGTGGCMTMQTNCSAYTSQRQCEQILNGNKCSWYVTSCLDRQCI YSPDTTSYDDKSECHGYDNRCNVVRRIGGNGCTIRKATCNELSQYQCVKDSAGTICTWNT TADPPYCLNRTCSLTIGISNFTPANCANWLSTCVVNNVAVPTGCMALQSFCSQYSYSANC TYSSSNVQCYWNGSNCVNRTCTTTQGITEFNHSSCYAWMTSCTVNKPTTCADKPTNCSDA LNYDQCYKNISDQLCAWVGTSCVDRTCNNHGYAVTVFNNTNCSGWMSGCSGNPDQTACEI TRTCTNHGSNVTTFTHSNCSGWSPLCTVNSTNNGCIERTCKNYGSNVTDFTDDNCSNWLF KCSSNDDHTACITSRTCTNYGSAITVFNHNNCNAWWDECTVSGSTCTQKTCYNHSITDFN YANCNNWYYLCTVSADSLSCQPKTCANAKLVSYTSNTCYQWLNTCTVNSDNTGCISKRKC SLTDLTTFNYANCVAWFNQCTYTTVGQCNDKTCTNHSSYVSVQSHVNCEAWLQRCTYVSG SQCSDKTCYNYNDFVNTQDHASCNIWLGICTSNGKTCEPKTCTNHGSNVTVFNYANCSSW LSYCQVNVSGTACENTRGCSPQLSTFTHITCESYNYQCTNNSSSACKLKSCTDTQLSTYT HEFCQAYLSDCTVKSTFIGCEQKSCYNHSIQVSQLSHTNCQNWLSNCTYTGSTRTCTPKS CTNHGSNVTVFNNVNCQTWWSACIANTSGTACINIRTCSNSSLTTYTHQYCENWLSSCTY LNATSCQDKTCALAASYLVAFDSITCELWMNTCTTNVAQNGCLNKTCYNHGDQVTTFTNA NCSAWLSYCAANSTNSGCIENKTCTNAVVSSYNHTNCNAWLSTCTVNSTYDGCIDKTCYN YGQIVTSFTHTNCNNWLSSCTNLSSTGCQDKSCSNITPATYTSVSCNTWLSYCTGNDTST ACISTKTCTNYGTNIKVLNHTNCNNWLSSCTINNAGTSCEDKTCSNASPTLLSFGPYNDS TCNAWLSTCTVNSSLNGCITRTCTNMPSYLTKNTANCQSWNTLCTYNSSTLLCESRTCTN HGSFALNASNCSSWLSTCSFYSNSSDCETIRTCSNFIGSVSHTNCENWLFNCTRKTDNTG CTQKLCEMYTSVIGTPYSQSNCDSYFSTCKYDSSNNICVEKTCTNFSGTVNQANCEAWST RCTINNSYNGCTYKTSSNAITSLIYTESNCKKWSEAWSLKSTNDQCATNCYNNGQHFSVF NHANCEAWDPQCTVNSNSTNCSLKTCANFTGTINFSNCQSWSYSCTAMYDNSKCIDKTCN NHYQNINVCVSDARCSNFKSDCYYQSCYGCKIKTCQDFSDTTQANCSSRYSHCLLGQQNC ITHRTCSNYGSNVTTFNHINCENWLSSCTVNDAGTGCTEKTCYNTSIATELKNEYFTPSQ VTDVQCVSWNYLCTNNGTTGCKNRTCSDSGAWSSCSSYLNSCKQVLYFSYCDYKTCGSST SFGSVSQCENWLPHCTRSQSQRACHSQRTCSDYGDNIKIFNHVNCEQWNPLCTVNGTNNG CTYKTCFNHGMSSTTTAKCGQWLTICKANASGNGCELKTCTNYGSAITNSFSAYCPYWIG NQCTYNTVTNAACVPQRTCLQSYNGYNIRYDQYYCMDWLTTCTPNTSQTGCIDKTCTNYG SQVTTFTHTNCYNWYDICTNDGSTACKYKTCQNHGSNVSTLNHTNCQSWLLSCTFQTGES TCNYKTCTNYQSMGLSSTNCYNWNNQCTYIAYDCEYKTCDNYSGTVNESQCRGWLPYCTP NYSATKCISTRSCSNYGNQVTSFNLQTCQNWLPYCTVNNTNDGCMDKTCENYGSQLSTFT YITCSGWLPTCTNNSTTKCEKKHCTNYPSGFSVSSTNCSNWFSYCKLAPTGTNCEYKTCS NHGLGTFNHTNCSNWLYYCTVSDDGTSCVTSRTCNNHGSEVTVFNHSNCQFWLSTCTVNS GLTACQPKTCTNHGSFVTTFNHNNCQNWNFDCTVNTGNTACTAKTCANATGFSFNHTNCQ NWLSICTVNSGYNACINKTCSNTTGISPWTHSNCEKWLPQCQLNKPTTCTTLQSNCSNAG VSYNQCVIDSGKYECAWYNGTCLRRTCSNYTGTTFTHSDCESWLETCTVQAGASPTNCVN KPTNCPDLGVTQDQCVTNISLVNCVWLSGQCQNRTCANYTGVTFTHSDCETWLSTCTVDA LSVHAGCITKPQKCSMILTSDQCIKSLDNTVCFWTGTQCKDRVCEDATQNTSFDDDTECK TFLSACTVARIGECITKATNCSDYLQESHCFKNTSQGICFWNVDIGKCADIKCSNAPTSY TTHTQCNGFLSTCTAKLGGGCMTLNGCLNYSAELSCVIGSNGDTCAWSGGRCYVKSCYTA AYDSTRDTHVECQQYLSDCTVIHQGIGGCVPLQDCTTYISERQCVINNLHLPCGWDGTKC MNKSCQTAPKSYTQHDECQSYLNECTTVAIGNGCQFKGTYCEQYQTEKQCVITITNQSCY WNPKTNTCQVRSCQNAPDTAITAQLCEQHYPFCYTDYIYCRLQRCSDLMYRTNDECKKYN SNCTSDGYFCIDRKLCSDARVQEACNTDVNGIECQWVPQSSYCTNKSCSTSPDYNTTERD CQQYYPKGNCTTRLGGGCIPKSSCENAQLQAACTTSADNKLCVWDSFKCREQVCDDIPGT SEQECALQNCAFQNSSIYGIKCTTKKKCSEFYIEDLCTKGIDGICKWFDNTCYLYTGCNT ISSQYDEVCKSLSKNCTTDGNKCVGLSKCADYSIAEACVVGLDGDCIWRQKQGICKRFFS CYDLPYLTHDECYNTSSKCTTDTQNGCIPLLLCSSYKLMAQCKISSNGKVVRQNSNQQFI IQTGDCVWDTNTSECRDQQCEELVGETHEDCSAQLYGCTSDSMKCVTIKTCEDYGNEQTC LYAQSSNGKCVYQDQACKTISCNDIPYGYTHETCLKQMPNCISDGHSCVAFEVCANYENK FSCDYGGLDGTCAWDGDQCVKVLECSDCHQDKLICQQFSEKCKWVEDPQVTSETQCVPLE CQDLLESDCHNIQNMYETSLKLCTSKNGKCIETDPEIYNIQSCRINTSNTFYWNTTTSKC TQCSSSVTVEDDIKTNHGTMVMIILVILLF >CAK84366 pep:novel supercontig:GCA_000165425.1:CT868507:145536:146970:1 gene:GSPATT00018570001 transcript:CAK84366 MNHMYKPIVVCYPINSLMLLQLTFLKKKIVHIQYCKNSELLYYIKNEFNYDSSEDCFLSP DLFSFRNNSYEQLRGVMDSYVNPGVQSSIDELTQSKIGHMVIDLAEVTMRVTGAVDSLVE GVKAFGRQIEERLNLENTQWEVVESEHNAKVISLSSQANQAEFDINREKKVLNSELIPRL HELDDILLGLKAKQTDNTQSFKEAEAARNTQHDSFVLTTTEFTDALRNIDEALDLLTDLF KSGEVRAAFIEVSDEQKHKVNKALRQVKANTENFGHQYSSFIQALTNLTEGINFKDRQVL KEMCDFLNSLRLNIFDALTKAYKDEDSQKQQDELRRSQLTAEKAVFDQQYADFYQEREDK TVRISDVETLISTRQADLKAYQDRLRTENNNYSANLKIHDDIVSSVQQELAVLAKALQVV QTPPFLDFLNGRIAKA >CAK84367 pep:novel supercontig:GCA_000165425.1:CT868507:146971:147600:-1 gene:GSPATT00018571001 transcript:CAK84367 MGNIIENEQFKTNLQQLLQNKKFKRTLKVIYKEKQGQLLGVQSCEIIDHFHTIRQRLDSG HRTLWDSFEHNCKIILDLEDRKRWFHQCKICSTKMEQEKGGLYCINCKQNTEYKLAFCLR AKIIDANSQDSYDAIMFEEASQYLSLNGKKISVKTFSNLNLNSQNTILEDANQQKSDIIH LLQLQHISKTDDFKIKKIMPQKTERQSQK >CAK84368 pep:novel supercontig:GCA_000165425.1:CT868507:147628:148625:1 gene:GSPATT00018572001 transcript:CAK84368 MNQSLFESNFINKQHICEYFGNVVLLATDYLGETVLIFCNGEILTYQLIQQKQWVNTSLL KVTPQPEILSLNDYRLQLSGNGRKFFLLQVDDRHEFNVSIWHRGHKAKWIFQQSLKLISH SSFMSINLSGDALMIRQSNRIILWEFNQPQTKIARRQSLPFETYLAYFNENNNVIAAKVK NSVALLKKLNCMWVKYQNIKTEQVAYMQFYKNKLIIMSHFLQIYKMNEQLHFQLDKKINS KFFNNEPQFCLYMGSIVLAQLSSQDLEYHKLENNVWLQDEEYITGRFEAQQIVQSKDKKK LFFYRKRFGGIVFEKKNKIKQKIQE >CAK84369 pep:novel supercontig:GCA_000165425.1:CT868507:148676:150921:1 gene:GSPATT00018573001 transcript:CAK84369 MKKGLIRLSSNYLLRQSKLFSTNNRLVFRFCSAKQEKHEFKAETKKLLDIVAKSIYTDKD VFLRELLSNASDALEKQRFLATQKGEQVPSDLEIKIDLDEQKRTITIEDSGIGMTKQEMI DNLGTIARSGSKQFLEQVGSQMNDKIIGQFGVGFYSSFIVGDTVEVVSKSERSDKTYVWV SDGTGTFEISEAKDYFQGRGTKITIHLRPDQAIFSKKAEVLKTIQRYSNFINYPIVVNGE RQNIVSAIWVRNKNEITPEDYNKFYEYISNSKLAYKYKLHYSTDAPLSIKALLYIPQTHM EKYGMQMEEFDISLYCKKILIRKNCRELLPHFLRFVKGVVDCEDLPLNISREGYQDTALI AKLKSKLNQRLSEIRQVTLLEGVAMDDEHRNSMTKLLRFQTNKSEDFISLDDYISKLPQG INNIYYYLAPTRQQALTSPYMEPFSNSEIPVILTMNHMDEVVFKQINEYSSKKFVNIESD QAEVDKVAQKSTEENEKKEETNVETTIPNDEITPFCLWLKNELNPIISQVQISKRLKTSP AIIVSAISSGMRQVMHAMGQSTDDLKNLTLEINVQNPIIVNLNKVRKSNRVIASLASKQI LDGCLLSSGLLRDPKEVVERQHKILNVLLTSEINTPIIEEVGAYREEAVKQKDSKKDDLD TEIIIDSDGNPQVVKKNKK >CAK84370 pep:novel supercontig:GCA_000165425.1:CT868507:150955:154337:-1 gene:GSPATT00018574001 transcript:CAK84370 MRKIQQDENDDMFVISKNQKKKSTKKETKKPGKLKRLDDEYQPEQEKESSESELQPSSDD DKFNKKKPRQSKSITVKKGNTKSKKKGKQNEQVEEEDNNNHEPVEQPLITKDAEEFFRTA ELLLPSFVQPDFIRDAEGRRPNDPNYDPSTLDIPIAQYQKLSPMFRQYWNAKKAHYDSLV FFRCGRWINVMYNDAIIIARMFNRYLGFWGKDRPCLTVYDSQLPIYQRTLLEKGHKIMLV EQLEKADVANKEEGEVVKREITQLISRGTLQDLSDMDSYESRNLLVLVCSSAPVNLKGHK YSYGVSIVDCTTNNFYLDQFFDDEQSNQLRSIIYKTKPVEVILSKATPEIEKMMKTICNP IIISSKKEFKDCEYIFEQLKVEYLEKKKTQGKKTKLSTNSSFEGIVLPSDKIEPEHHIET NINQGLYKGQPDDIIMEESHQEGDYVLSDEYPSLLVELEKQYYFEKKLAQDEDESTYYSY YFTLQSFYIKLCYMRQLLIHTSVYRRGKFQFLDSNFNQKLNLYLDSQALESLEIFDVNLQ TKVSSKGSLFEYLNKCVTPFGKRLLTKWVQSPLLNHKHIRERQDCIRDLMDFIEPCDEFQ RRIRSIPDLERNIIRCFNTIHSHKLKAVPISGGESFGKVKLKEITQVIKHIKAASETFKV FENYLHNFKSNKLKKILSYKENITILNTALKELEKCIQIQDGEPQPVKGVSPEYDQAFDK MTDIIDSLEQELKKWQTKLKCPQISYHHGKIRYQIEIPDQCLESNQKPKELVITSKKKGF QRFQTDFIEQQIFHLKVVEDELSQKLIPFINDYFTKFYSYRKEFLQLISLLSEADCLISL ALVSRQYKFNTFPQILDVSADEDEDQEFVLQEAYHPCLLQNRDIDWVPNTIKFVNSVDTL LLTGPNMSGKSTLLRLIGIQIILAQIGCAVPAKSFKLVPFDRIFCRLGASDRMLEGKSTF FIELEETKTILEHCTSRSLVIMDELGRGTSTYDGVALASAVLRYLSQKIKPKTIFATHYH ILLDEFALFKNIQQCVMKHYQEMDKVVFEYKLINGVAEKSFATNVAKIAGIPSDVIKNAK KMEEKITKEESKINRNREILKKFNQIIQQVL >CAK84371 pep:novel supercontig:GCA_000165425.1:CT868507:154553:155388:1 gene:GSPATT00018575001 transcript:CAK84371 MSTHNTKLGFSRSLKEVSPPQKENLSIQQVLEMFHSPYTEQLTDRKSEAIVRFCRQRSGG FLLEEIQELIEILRLSIAENNALMQPAIQKICETASVPFIKLRTSDQLKFVPKLSEFLDT LKPVLFSPQQESGSQQPELRMPVIKFLKCFAEEGISEIMKEEASFEQQKKQTELSPLQHL LKNGTRNLRALNQSNLIENIIFTMQHYSKQYEYLLPLLELISSCILYRDLAAKFSNFGIL KDIVYVIFECEDFRSYIVKSCFEIIWNTN >CAK84372 pep:novel supercontig:GCA_000165425.1:CT868507:155493:157220:1 gene:GSPATT00018576001 transcript:CAK84372 MKQGYKLEDKCLRNEILILLNYLMRDEKALLYFVDKPQAHSIQQQTNFLEVLLFYATIDE VTFYNEPIRTNQLKAFFGTTSEDLEFKKLIWSGILTAVQSGNQAVLEAVKESPFIITLLL YIDPLANSYAVNRWSPPQKKEIQLHCLGILGNAIVYLKDDFYEKNGLFCLTKFLTNTQEL DHKERCLKAFNNASEFEQNYKIKICDEGVMDNLIEFLQNENDNDNPLKIKELCFSIISNL CKECNKNKKLFRQKGAVELMVNALKNPNLGTSARYALYAVSVLDCLWNTILGNRKSEAIF LDSEGLYVLLEFLETCDDMHKKLTLSCLSYLMENPKAIPYFCDWNSPKTMINSTQLLVKI YVAEDQRFGVKYSDGIVTNKLRPLNPQNDPSLRSPNQQGDHKTKHMKSLRIQQEDLESDV GSEAYLVRRITEKSAEYDLRAIVFAILYRTGFDKNELLPNEKQMVEVIQLYPHFKIGEIW REIKYDLEQDKIKPTSDDYHWLMTSIEESEELVMNCMNTQGLIAREFRKVQEEELTKFYD IIRSNKLTK >CAK84373 pep:novel supercontig:GCA_000165425.1:CT868507:157319:157564:-1 gene:GSPATT00018577001 transcript:CAK84373 MGICGTSSLKSHPSDHIIEKNKEKKGLQTSQSKTVKLFDYNQNKTVSVPVLNPATQNTLY FKRQAQSPSNNPDTVQQLTQS >CAK84374 pep:novel supercontig:GCA_000165425.1:CT868507:157684:158031:-1 gene:GSPATT00018578001 transcript:CAK84374 MAIESEVLYALYELYKRSLISYEQKGMIKGNFKNQKVEICQQAKILCCYLLQENVIVSLV YRIRFWNCQEVKSLVQIFRIGITSLYDNHKPSEKNFAFLNQQTEIQKPYLKLQQK >CAK84375 pep:novel supercontig:GCA_000165425.1:CT868507:160546:160851:1 gene:GSPATT00018580001 transcript:CAK84375 MDGICIQITASSGTTTKGNHPFINNYTTTIRDLITCQIASSRCKWTSTSATITISCYDHI LQFLQCLTRQIIIFPNLRWQKIQLLLKFFWEMHSYRFKDLT >CAK84376 pep:novel supercontig:GCA_000165425.1:CT868507:161083:163339:-1 gene:GSPATT00018581001 transcript:CAK84376 MFLQYFVLIIVLVKPIEMQKVLEFSSFTDDTFTDFDGWNLVVVEQQGSGIDKPPSVTQSY FGFNSIFWDRSNNIFKPFGTHMPYQGMIKYHNNLKPHKALIISFQIYIYNDQIYPTGDCH VYFNIDGLNQYHIYTIFSPKKRLAIQNTEVTMTHSASSAFIQFAGVITDSNKVTYGIRDF NLYSIPCPNNCQHCSRQDKQEYECIEWSLSFESLLEIDRNIFNSDGWSVIQESPSMQIKK STNCMSENINSMQILGYLEMQDKMRRTIILEPHYRIKIQYLHIVLEQAVAPSTYWQGQLN GKTMFLVGFQTFVSSPEICSKLIDKTRGDLVSQVEYEAFHQDTLVEFQTSANQQIIGWKT KWGIRNFQVFIKKCHSTCQNSCFGPKETQCENSYYSKFILFANNLRLATLSDDEDWQVVT PFQFTQPNECNNQALLGGYNNLQGKHFLQSVYDLKTHVKIGLSFKIYYIDQFNDDILYVA VDGIVVYQYTIPSTVDPTKDMPYCGVYAKIDQVMKITITTILHTRSQAIIEIYTNQPNTS TGYWGIREFILTVNGFPYLKNLNVVKFSNAEITPWKIILQNSPIWSCGSKQIVGGSTSTA LDQDSFLKRQFLNLPPHIQIRISFSIVTIQASLRETQFIFTQTINDEKKQEYLDIEDKKF CGGTEYTHNFKFDYILDNSDESIFMIFKMEQLNVGEYWGIRDFQLSHNNVKTL >CAK84377 pep:novel supercontig:GCA_000165425.1:CT868507:164835:174667:-1 gene:GSPATT00018582001 transcript:CAK84377 MIMWLIGFRLIIQIVGWRDQNAWNQIFGSFSRKCPELHTYLPDMHPHECVSYAWICRGIT EIEVKIEVNGTQHLCHPRFQPFQNRTGWSLSIEKSIFSCGKDSSYATLVMELLSDGHYKY YCESKQTYKLGFQFENRFCWVMGIQKGSQKCQLCKFPFYGSGINCENTKMYYDQYALPPR SCPFSSCRSCYSDGTCSSCQKGVVGFKSYLCYTDCRPFEGCILDQTGRITSFTSSCIDGY YYYNQNCYNIVPCKSGQRMDSPIYYNCYNCFQGYILKKVYTQYNVYRCFQLDWNCGFSSQ SMLINYQSGGQIYYYTTCTLCDPGFLYNKQSKKCETIISKYQIKYCILLDSQGINCIACQ AQYALQSDGTCKQIIGYCNSSCSTCLETNLNYCTSCIGWENRIAIDGICVCKPYHGLIQE MCTPCSDGYCFDCEDNDFYSCISCKPGSNRILVNTECICQPRSYDPGNLDQKCIVCDISC QKCNGPSYADCTECIEESYSNRVQNANLCPCKTGYGEFAIREAQCGKCHPKCETCFQAAD DTDNQYCLTCIPGQNREVSENFYCDCKENYGDFGILVVCALCHYTCGTCNGVESTNCTQC LIRSNRELTTLGECLCKQSYYDDNTDNIECQRCHNSCLSCANSTEKDACLECPSSRTSIQ SGSFFECICTAPNAFDDGFSLECQQCDETCKTCYGPLSSNCLTCDTQYRQSDLSSCVCPP GYYDIGQLECAKCHFSCYNCFDEKVDSCIFCSLEFSFRIIKGNICKCIDGYYEEPGISQC QKCSYKCEKCEILPEQCLSCPINSRRAFDLIKGCPCPSEYFDQENEIICQNCHFKCKSCY GKDQNECLSCDSSAHRELKISSCLCQPHYYEIEFPQCGICRAFCYECVQDSSNCTSCYSD RYLVGNTCKCITKQQGAMISTFEYNGMIKCEKCHYSCGICQGMTEQDCITCKDTENRFQI GNTCVCKEGYFDAGLPICQKCSYKCKECSKNAESCISCQDNSLRYLISGFNRCQCIERYF EDGQNEVCQECHYSCLRCNNINTKCELCSKDSNRTYDELFYSCNCNIGYYDNGVETCEKC HYTCLSCNSYSSNSCVSCIDVFTSNRVIYNQTCKCLFGYFDDGQSIQCQKCDIQCLNCIE QSYNCLSCPQTRKIETNCKCQLGYYEVGLQLCLECNSNCMTCLNTANNCTSCDSNQFREI NLKTRTCDCYVGFIEVDGTCQQCNQKCETCSQFINQCTSCVKYRYLKDKDCICINGMYES NDDKQCKLCSKICVTCVNQSDYCLTCSITNFRQFKTGNTCECIQGYYENPINQNCEQCAS SCLTCSLLFDNCLTCNTSINLILVNNRCLCQQQYYFDYLTNSCLQCNITCLECQNSSQCI SCRLTTRYFDEESKQCLCKNGFYENNQQNCSKCDLTCESCENTNTNCLTCTSELKRQLKA NKCLCIDGYYEIGIEICQKCNNLCQTCQSSASNCLSCYEIDHYRFYQENKCLCKPGYFEQ NTVICSKCSNECLTCKGSANLCTSCDIDDKRIDQSIIHKCPCISGFYEDQEQICQKCHIK CQTCVNQSDKCLSCSFELNANRKPLSGQCDCKEGYFDDGTQIQCQKCNFKCKTCVDKANN CQICQNIMRISPPTCNCIEGYYEDEQFTCLICAPQCNTCVFEPSNCLTCKPGRIDIDCKC IDGYFEIGLIICQQCAFQCATCHLDPLNCKSCRGNRIQEPYCICQSGYFDDQLNDNCLKC DSTCLECNINGCLSCFGNRLLNDENDCIPPPNSISYETTPWCSTCQIAVVNAVLSDDLSK IIIHFDFPLNPKSFDSQLKNNKCYQFFEIESTSTLGFNPVCLINPQNNQELLILLGENPN IDIGDEIVFQSNSISHIECETAIQKFILTQLQQPVHLLPPKIEYNVPLHKLNPNGDNAIY LKQIQYNGNRKLNNIIWSYELQSNEANINLDQFLNSINFRQEINLFIPKFTLPINSTVKF KVEYYNFIHTYSYSELTIKTHSGKLPQINIKARPSYFIYETVKIGVSVGSLEELNSTYKP KYQIQIKDVDVNPKSSSSSILNTLYESDSCQIIYTIFQKYTLSPNSNYTFQITATNLKTD ESQQQNFTIDILSAGLLCQFNNQGYQNIQRDLNLQILCQDLDYTYQWNSDPQLHIQVACK DLIRNSACLDQRKQIINVNKTETFQFIRKNSISPFSTQEWTVTASKLQQTSKFTLVIIYI DDEFQFQELEFNKGYQMRKINNYEQLNFTFLIPFEKKSQLLELSIAIIYDYEIIEILQPK YHSHQFKIFNYIKALKFGNNINLKFAAQYTSNIMPNLQNIKLSINYPPQCSKLTITRSSD FALTNFTVAAVCESSNDSPYKFQLKLFLRESDLTDFQKGISDNSLTLFPFQESNQFLIQT PSSMNSSKIGILVQVLDMGGSVTNIFEKVIMKSAELNCKSLQFQNLIFKEKILLLFEAVN QKCDLLHSQIYLDLLQNLILEDNNENTLKFQAVKLYKQLLVSQKDPNNRLLMEKVETRCL GLNSNHLYITQNLAESEANLTLILKDLKKNIQKLDLALKYYIKMKKQFDSELKQNQYIWD EETFQMYENQQYLLISLLYCLDDIYSYLSPIHLKNETIYLAINELLQFIPQIGEETQNIL IVNEQPLSVTGKEIIWQIKRTTKSKFNQQFNLEQIPEDFLIDFIQYESIYFKTNPLRFIN DLGNIQSQLNDQTIQIYPENYYLIKLKNAQQNRYLLYENFSSIYGTKFGSYQICQNNTEL LSEYQIMCAVRAISGIVSYCDLNEVQKKDSIELTCECNKFGDVFLVTSTKFNGLNANKDH NIQISDFNFAFTSKDAQALRIGTGSLSVIFIAIYIFQLKKDNKDEQERSNTEQSSLNPQN IKDKNIYIFKGCFKVFKEKFTQIHQLLQLFYYRDKFIKFSYRILEVFSEFNLLLTFAIIE CYFLSNSIFLICVFMIANPLINLIMRMLYKILEAIYRFKRFAALVSQILLIFLLMLPNII LHIFYQLKIPMHSESYIVAIIFLGNTIISQVLIEPFTICGRIIIYRLIAFSLKNKDFNPM FHLIHFFVMHSSLEEIFDDFAKI >CAK84378 pep:novel supercontig:GCA_000165425.1:CT868507:175164:175571:-1 gene:GSPATT00018583001 transcript:CAK84378 MTNKQIQDFAIPLLIVLTSKCKSRNNCAAIKYHIRLLVNQQKVNINELLLLLLMPLLIMN ILVNLIMLNQVNAHISINEMLKYIKKLRCFLQMSSSRYKDQLEQERQNIFYLISFSSFKK IVEYFLCLPKLKVFL >CAK84379 pep:novel supercontig:GCA_000165425.1:CT868507:178156:178725:-1 gene:GSPATT00018584001 transcript:CAK84379 MKFERIELVIYESFNFHFILWKRSLFFQKQENDLHRPDLITSNRQDQLIIESLKLMLLLL HNCKTSKSYGYYTQCGGIHKCTQPTIRNIFKQMRNVECYICHPCLITIMDNSTVAYLKWF KQTILKIYNVQIIIQEKAATIIHEKKKKGILRRRILKLENKWSFKTPELKTTKLQIDNP >CAK84380 pep:novel supercontig:GCA_000165425.1:CT868507:180814:181353:1 gene:GSPATT00018585001 transcript:CAK84380 MLQESSHIQSKTTLNDKKGISFKMYGSRRIARQRNRKHSKGIHSRECWDTHTYDIFHMNQ YINYWTYVDILIQLVILREFIDKENIQKENLTNNDQQRMFKVSSSFYGNCFEIQQRGQFL FRKIRNVEYLRLKIYEQISVREFPNLEIHQYIQIQQCLEIKSSKTDKLYFYLWYFENIF >CAK84381 pep:novel supercontig:GCA_000165425.1:CT868507:181779:182312:-1 gene:GSPATT00018586001 transcript:CAK84381 MEYFEKQGKQEKQQQDQCELYQQIDQQHSEEQQEQDQRGQQQQAQQEVEEVQEVQEVEEQ KDQQKQYEQQQDQKKQEQQSDLLQDIKDGIGVGGIVGGAGAAIAQGGLALYGFSSIGPVA GSLAAATQASIGSVAAGSAFALAQGVAMSGVAAIAIPAIGMGAIIGGGLTLIKKIFW >CAK84382 pep:novel supercontig:GCA_000165425.1:CT868507:183449:184747:1 gene:GSPATT00018587001 transcript:CAK84382 MNNYTTLVIQSQFKYYHQNVTHIFIQPQSQNKQYFLHISSNFHSSLLKTFNFPFKREYKL ALEDYKKRPNYILHLRQKLITILISLILSLTLHINYNTLHIIRMTLGNNIQGCCCLIILF LFLKQKFEFVFTEISTSTPVSHHYQKFLRRKSKKKRYKIFIKLVVFLNFQTKLPQIFKQL IILKYDKQINMIMWLIGFRLIIQIVGWRDQNAWNQIFGSFSRKCPELHTYLPDMHPHECV SYAWICRGITEIEVKIEVNGTQHLCHPRFQPYQKATGWSLSIEGKIFSCGKDISYATLVM ELLSDGHYKYYCQSKQIYQLGFDYEDRFCWVTYLLKGSFKCQLCKFPFYGNGIGCRNVIT QYDYSVLPPRWCPYNCISCYSSSECASCKQGMYTVKSNACSVGKLSFKQRLQTLQIL >CAK84383 pep:novel supercontig:GCA_000165425.1:CT868507:184753:186675:1 gene:GSPATT00018588001 transcript:CAK84383 MNMAILNIIPPIVSMDIIIMMDTAIVIQIIIIIQDIHPCSDAYHDSISSYTCRSCSQGYI LKKVYTQYNVYRCFQLDWNCGFSSQSMLINYQSGGQIYYYTTCTLCDPGFLYNKQSKKCE TIISKYQIKYCILLDSQGINCIACQAQYALQSDGTCKQIIGYCNSSCSTCLETNLNYCTS CIGWENRIAIDGICVCKPYHGLIQEMCTPCSDGYCFDCEDNDFYSCISCKPGSNRILVNT ECICQPRSYDPGNLDQKCIVCDISCQKCNGPSYADCTECIEESYSNRVQNANLCPCKTGY GEFAIREAQCGKCHPKCETCFQAADDTDNQYCLTCIPGQNREVSENFYCDCKENYGDFGI LVVCARIFINQTQFVIIHVELVMGLNLQIVHNAQLGRIENQQLQENACVNNHIMMIIQII QNVKGVIIHVFHVQTVLKRMHVQNVHRVGHLFNQVVSLECICTAPNAFDDGFSLECQQCD ETCKPCESPISSKLSEHATHNIGSMIYHHVFVLLDITILDNQNVQNATFLVTTVSMRRQI VAFFVHWSLALEQQKAIFVNVLMDIMKNQEFLSVKNAHINVKNAKYYQSNV >CAK84384 pep:novel supercontig:GCA_000165425.1:CT868507:186732:188775:-1 gene:GSPATT00018589001 transcript:CAK84384 MQDIEQERQRKQDILMQQVVEAGYDTIAFQVYCEQIKQNGSQDIDLWTFEELEKTIQDFI KKEDQKEMQQQNQQIVQNDQRQLNLQTNNTLFQIQKVQDDSNFFTEVQCQLPGRTRLTDY IMENTHKQVEILMSEYISKSDGIISSYISFKIETKPIGWVVNRRFTDFQKLREILVMTYP CHLIPPIPGKKLKSQTGAAYLEKRMRIMELFLQNCLYHPLFKTHPLFSDFLEINDDAKLK EKFNQFEKQKGPSKPQEYTMINGSCVLEISNELSNYSNSLSDYLKNSQDIYKKTGNNFNK LLIHQRAITENFNTLDKLTDSFIKQTKSKGAIEQIQLVYQELSKFVTGWQCGVNFQMKNV KENFYEFFRYHKKKQSSAYEYLQTKNQLQQKYQNLKNKLNEKKEQLYNKGDPTKWELPPD IPKTTKELQFNKGEAFKYMLPNETKQVSEVREMYAFMNHQLQDEINHIDXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYSNVLIIAPYYLVIHLQVFP TKYLSLQHDVQFEESQTHSQQNALQTNFQIEKSTSTLWKLYFIIMWLAQTGTNFKFSMGR RIAGETFILILTITGFTFEMAIYFILISTLTNYFIFLIKVL >CAK84385 pep:novel supercontig:GCA_000165425.1:CT868507:188800:190044:1 gene:GSPATT00018590001 transcript:CAK84385 MNPSLKLKTQQSTKTFHQKDSPKMKRTQSNYLLPSKSQGQKLKTTGYGNDQIRLNNSINY LQENTKTFCTSICIPYKTEETENKNEINIKQQLIIELNKKNSQLQINNQVLQQSNKQMQD DILILNQTIEQICNRLSKQKEQSENLTSQINDLQNTVSLQKQEISKFKNENFKLMTVLKI GKQKNGKENNPELIQHNYQEQINLLKEQISIQKQVFQQTESTLQKQIKKITSFFNKFFLS NNNLNLSNTKKIFSQNENDTIQLLFDDDESEANDPKYILCQTIQNQTEKQQNSVVENTNL SNTKEISKLKQILQIQKLQIERQQKTILNQHFEIQNLQNLMYKQDYESIAEFNRNSVNNN DQFATQQFANSPNDEMCYHLNDSQCDIKPQCFLDSMQNTLQKFQVITEEGTFRN >CAK84386 pep:novel supercontig:GCA_000165425.1:CT868507:190628:191778:-1 gene:GSPATT00018591001 transcript:CAK84386 MEDNQTQIPFDYITFIKTIPNHYPYECMGFILILIYIFLYITGNTTNKKIALKFASTTYD FFKTNFTSVGVTNKENGPLLQSISSNSFGFIAQGRNNLNCLAVTIDLKKRQDLLSMLFFS FIWPERDTITIDIPIAASPQPICFALVKKRDAKSYKEANIDLKYLCEKLSIDKIDDNLTH VTLGEGKEPLTTIFDLKVCQALKKYDKYIQNIHFTDQKTLVPNPYHLRATLINIESIEDF TEFIQLILQIVDRIASFKLSSYARQQYEEERAKFEEIKSRDEKQKKIEEAQKKKTEKLQK EKQKLMNLPREQQIKLQEKEKRDEIKKKYAKRTMYM >CAK84387 pep:novel supercontig:GCA_000165425.1:CT868507:192128:193774:1 gene:GSPATT00018592001 transcript:CAK84387 MHKIFRSIKSNKGSRFANKLPTYLERLKQQEEMDRKTNLDVLRREVFNDSIQLPIKFAAY YITNQQTFWAELTRSMIDASNHVILFATQIILIMGPNKQFPYGIEKVKHLVALIPSALFL YFGASISYESFLNIYNYGHYVGEIHSNAWGLALYLLSIGIETSVVFKNIRDAQAVDSNEE IKKGLFKQFLSVFQKKDPVLQAILYENAITLGSTLIPLLSSAFTLIYPTHAFEIVGSLAI GLIQLQLAYHLSAKNLSSLMGEQSISDFEVKKILEIIKQNQYVEKIQNEKAVMLGSRKFR FSAEITFNIKQINQDTESKYLERFDKVIYSESYRDREEYLQELLREIQEYVLASLHIQIQ KIESAIRNEFPNCIHLDFEINKKFLAETYSIDDGNWLFRETLLKSPFKVEKWMLDEVDRL IKKITKKKKETYIETYQSLCSSVQYNQWNDLEDDDEQLKIIEDYRFEELQNELKGIDKKL QLKNQSKGQ >CAK84388 pep:novel supercontig:GCA_000165425.1:CT868507:193798:194578:-1 gene:GSPATT00018593001 transcript:CAK84388 MVLEYFLDIPPLTRIIVISSILLSYATYVQYLKPSNLYLNYKLAFLEQFQPWRILTSILY FGELDLIMVLRLFFFQTISSSLEQHTFPGIANYIYYLLLNLITITLVGLLLNEPSLTEYF VEALIYVWGRQNQERELLFMFIIPVKAQYMVWFFILINIVTGRPVQSNLIGAVIGHTYYY FAYIVPKLPSFKGINLLSTPKFLVNLCGNLDRQNVVRQEQGQAFVF >CAK84389 pep:novel supercontig:GCA_000165425.1:CT868507:194837:195610:-1 gene:GSPATT00018594001 transcript:CAK84389 MIQAILVVRKLIDWHLKSFRIDTLDFIKLKPNINKNTKALIAWLDDSYSDELKFIVSNTH IIYLDLSHNELGPKGMEIFWNILKSYLFQIIRLVQITMIVQLHQIDYIVLQFNILTYIIC VQMDSKLAMKIINQQQIKIIKECIIQDSIIQDMQNLSQSLSSLQCHLTELKLKYCFLTSE SISILSQGLANNQSLIYLNLQHNSLLIYPLNDINKQEHNQLRNDFIQIDQCSFKEDQCFE FIKIGLQFNF >CAK84390 pep:novel supercontig:GCA_000165425.1:CT868507:196015:196983:1 gene:GSPATT00018595001 transcript:CAK84390 MNEQEKLASLITQKISEDEMINEREVLQVLSYINFKLLNIRHQYFKKSRNTILQFYSKQL TIFQDSENRIPQNIKDWSTIQRTIGHTSKFIQMLQNFKKYYITKCKILQTQTFLQEVHIQ KLSLRAKYLLKIVKSLFQYYSNPFNQSLHEDSQLTYKFQMNLHQILSKLQLLQNKTLKSQ CKNQQRKKNLVQSKRDQIILSNKQNKIKESNYSIFRKQEQNHLVMLTSQIVYSSWQFYMI IKQFPVEVEYHNQIIQQNNQQYIVFQNLVELSLERCPKLILKINSIRLRNPHYNKKNSNI SRMHFFTKKPTSKNNK >CAK84391 pep:novel supercontig:GCA_000165425.1:CT868507:197049:197237:-1 gene:GSPATT00018596001 transcript:CAK84391 MQQAEIPTFQYQKVNQKLVNQEGEEFDYIMEDKVNVNFKCCKFSFTICSIFQPIFGIYLI YL >CAK84392 pep:novel supercontig:GCA_000165425.1:CT868507:197327:198042:-1 gene:GSPATT00018597001 transcript:CAK84392 MIILIMIIHNIAFSDCRIQHPKKHIGIVRKPISILQLIEEPIIGQERINQFQKERLEFDS NLVLQQELIEEDVSEFPKQNYTIPKYEQLIEGKQSAYKQEFQKVKYLLIKLHYKEVLLCL FVVSVFSSFIWCIIKLCILFRPQKQSDNEEEQKLLGADYSILNSKTISDDEQLNQSTQIK YKITDMTPQIRNPYDESFVKASIKATTRGSACDQSLLEIDELLQEMLK >CAK84393 pep:novel supercontig:GCA_000165425.1:CT868507:199856:200606:-1 gene:GSPATT00018598001 transcript:CAK84393 MTKSENQEAQAKSSRKYQKINKEERRLVLKLLLHDKLSLQEVSNQYQIYDYVQPLYMISS NRLQLKYCTVRTIQKAYEKEGRIGKKETRKKKLKVENILKISIINPLTFQLSEPIVSSEV SQIYIDKQPSKEDQANLLQEQRSLLQQHCQKLFSALSQQQQKAAETQNPFYYTTIQNLFL ASQLIFKQLLEVKQQIHVKQEYEPVSPTPTQYPLQIQQHIYQPTYTMMLPRVNS >CAK84394 pep:novel supercontig:GCA_000165425.1:CT868507:200831:201148:1 gene:GSPATT00018599001 transcript:CAK84394 MHFLILKVENLVQFLFITSIINFTFSSYLQHSIIFVQRHIKKEIYLNCFTLIFKLQHPWT LQLFIFHTNLSYSITHLSKPFIIGKSQAQFQIFMTLFYKFNSQIL >CAK84395 pep:novel supercontig:GCA_000165425.1:CT868507:201804:203506:-1 gene:GSPATT00018600001 transcript:CAK84395 MGSCSGKRSNNNSATKNSNNKPETDNSSPQKLQPNDAQSCLGNLIITSEKLGRITKDYTL LNPPLGSGIQIIQSPLGAYGEVRKAIHKSTNLMKAVKIIHKSQTSKEEQERLMNEVKMLQ KLDHPNIIKIFEFYQDDRFFYIVTELCTGGELFDKIRHEGSFSEKKAAEIMKQILSAINY CHDEKIVHRDLKPENLLYESEKENSMLKIIDFGTSKEFVPNQKLNQKLGTPYYIAPEVLK KKYDEKCDIWSCGVILYILLCGQNILSNLRYPPFDGKTEDKIMEKVSKGVYSFDTQEWEE VTKEAKEFIRKMLQLDPSKRYSAQQALNDPWIKKFSNPTEFDRPLMTKVLTNMRKFTEQQ KLQEAVFKFIVNQLATKEEKAELLKIFQCLDTNQDGKLSKEELLAGYSKIMKPVEAAEEV NRIFQQVDKNNSGSIDYTEFVIATIDRQQLLSKQRLQVTFRMFDKDKSGSITIDELKEIF SGIPEEMWKQVVQEFDSNSDGQISLEEFFSMMKKID >CAK84396 pep:novel supercontig:GCA_000165425.1:CT868507:203532:204225:1 gene:GSPATT00018601001 transcript:CAK84396 MGACSQGQNIENLKGKERTLTKLVGYFYSIPMQQHPDIDSLVITKLERQKIISRRLSKNL IEEIVFFLNSRKIRNREQDDLLDHFNYVYNQSFKKLNDFNTNLGKMILITSVEVLLCYKS LEMGELTPVDVWWVEDHFLWRYCQLQDQYKVEYDHFLDFNYLIKFMYLLKECARIELKKM NLELKQLISSIKTEQSQQQDFPNTARTVDSFKPLNKRLDTF >CAK84397 pep:novel supercontig:GCA_000165425.1:CT868507:204236:205097:-1 gene:GSPATT00018602001 transcript:CAK84397 MNTSKSIYDQPLNNSISKQRYTFSKAPRETYSTKQINGSMYNLPDSLSKRMAGIGFGSKH DFTKYVKQVPAPNKYDIKSFAELNIEEKKGIQFALGREDTWLQGIWATLTQTTPPPDRYQ IPSTVTRRQKFTFGQRTQSLQKFNTPGPGNYEYVQAITQKGVYPLSKFQNSGACIIGKDQ ERFKTFGVNDYPEPGRYSVENTSINQSGIYPKNGMRSAVQQTFSKSTRKGPYEINKVTPG PGRYKMFSEFDQ >CAK84398 pep:novel supercontig:GCA_000165425.1:CT868507:205158:207317:1 gene:GSPATT00018603001 transcript:CAK84398 MSQEEYQEESTKFGKAINEQIGELMDHLDKEHDSEDEGMEDYKIGGYHPVHIGEILLNRY VIIQKLGWGHFSTVWLAKDYKYDTYVALKIQKSASHYLEAAYDEVEILQKVAQNVQNPVW IQSLKEYYADQGRTSFNRDDTHTVQLLNSFVYKGPYGHHFCMVFEILGVNLLEIIKRYEY KGCPMDIARRMAKQILIGLDYLHRICGVIHTDLKPENVLLCLSDEEIKDIVENGQLTSNQ LFSDRIHIYRQMLGIEKHSVPEPTAQKEDDELSESTTHLSKTQKRKLLRKKKQKQQHEDS RKESHEVEVDQIEMPKSIKELFQQQKKISFTQQKKLPDNFRLKIADLGNACWIHHHFSTL IQTRQYRSPEVLIGVKYNPTADIWSFACMIFEMLTGDYLFEPRQGPNFSKNEDHLAQIQE LLGKFPFEYSTRGVKAKRYFQSNGQMKRIPQLHFWNLYNVLTEKYRFKQEEALSFASFMM PMLHQLPEYRTTAQEALKRELCHNNLDPQIRKCQLKKYIDQIFQFQNFRELIPSSGWLQS KTDSGVGHKMNEEEYKMHTKQKKVNQDVEQLDQAVDGIQPTLLQNYRRASTRSYDGSNSQ TPQQDKFKKQDGKFVDHRVIDRSFTDLGYIGYGDGIDLEQLDSTGNWQFS >CAK84399 pep:novel supercontig:GCA_000165425.1:CT868507:207343:208153:-1 gene:GSPATT00018604001 transcript:CAK84399 MCIGLKPINSIYRQQISNNLISAVYTDYLTEEGRLKYELACKLDHIQELGTTVQYEVIYF MKYINKYWKQERVVHHPELFEAATKGYVIDTSNFVINTAHNIRQFEGYQNQ >CAK84400 pep:novel supercontig:GCA_000165425.1:CT868507:208473:209621:1 gene:GSPATT00018605001 transcript:CAK84400 MKGIIAIILALAVVSNATSTKDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWVGAQTIEQFTKIKTLNQKLFQQAVENRAEYENVLKQTKNYLAWNEARRDSIA AKIETLQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIVNGDSFEFTQVQAQSVAE KLKQYSNLFQEHQIKSFLALAQEGQASESSGHGQTLAERVLGVLEGLHSELEGSLENLKQ NEINASWELAGWVSLSEAEITTLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQD ALDQAQSDLESKRADYAEAKAKRQEENAILDEVIIMFKKQVASWSGR >CAK84401 pep:novel supercontig:GCA_000165425.1:CT868507:209821:210259:-1 gene:GSPATT00018606001 transcript:CAK84401 MAQNQQSTRKVSFFEKYFCCGNTQEVQEPNTTNQMYNTVNEYLKINQKNPSLYILQEFDG VYYDRSKKIKTIHPLKDISINNVITERTQHSCPICFCYFNCRFCSYNSYIGIKLLHELHL SYLCIRIQKPQMPFLSK >CAK84402 pep:novel supercontig:GCA_000165425.1:CT868507:210825:211821:-1 gene:GSPATT00018607001 transcript:CAK84402 MDIRPAIRNLIYVVSNFITESKLKAQLDQLYLLTIKPDSNLEDIEKYMINLGKNTMTHHK INSQPILLPSPKQNKVQLMSGVNSPAFSSGMPLKTAGFGSRIQYPTPLKSNRDKDDKQNN SSDRQISFKFDTPKTSPKNVKSNDKQIVDINQKIKLYTEMPQSFNTVPIIHTEYETQLQN KITPKSLPLPNDNNTQRHEEEQKEIKYDLNKISGKMLQNIHQNMKEMKSNTFKLIKVFEN TCEIKTQSSVVHSSHVDASHISLFRKSKNPLFNGIRGKITDQDLNSIQQNFLMKKLIVKA KPNEEIQYETPKLDIFFVTSVKG >CAK84403 pep:novel supercontig:GCA_000165425.1:CT868507:212036:213355:1 gene:GSPATT00018608001 transcript:CAK84403 MKSVEQLFPQFQVVNIVRQNTYKKSVIVKRSGYNYMLRIFNLEGIPKERVQSIIKILNKL SNHKNPHIVKFYEASFDRDMTYLGIVSEYLDKQYEYPLKEIEIWNIIQEISLALQQFHPK RVHGKLQLSNLFQSKAKTILGEMNILYYLHKQNYQDIYLLAPEFIKSQIYDHKSDIWMAG YMLYQMMFKDPPIIANNVDILHKKILKGIQLTYNPNYSLNLNNLLRLMICYDAELRPNVE QILYFCQQSQISTEEININRILPKFKVDKVVLHKKRTDKKSEPIQNIVYLNEDQFKQPYF PPSKIIKQKRLYLSKQTTSVMDFGPSQKTIYSVQLPKVLNYQFSKLKVKEASTIPGSIGN AEQLEKLQNKSQINKLEFDNLFPQTKQTLQTQRRSPNRIQLQQEFQNRQKSQRLFN >CAK84404 pep:novel supercontig:GCA_000165425.1:CT868507:213518:214108:1 gene:GSPATT00018609001 transcript:CAK84404 MDNILALLTKKPIRCGKYSSQEALICDVNSSHQEQSQIKQKKIEQLKNQLQRLKQNFDNQ SKQKMNISNIIDNTIQQLLQFKEWLQIKKYEEPWQEIIQMERDIYLNEDNIIKTMPILHF NEILLQHQLCILELASQSQAIQQQNFWMTQIHTLHETFIQKNNMILQLLKPKNQDNLEQS IEISEQDFSHSFGKKY >CAK84405 pep:novel supercontig:GCA_000165425.1:CT868507:214265:214609:-1 gene:GSPATT00018610001 transcript:CAK84405 MDSQQQQPQQIFQDNHFQVLGSRSDKESLYIAKIFLRRFGNVELHSNGEATNISVRIAER FQREGIATITKIDQFTMKANEKQIVKFIVSLKLTSEGKCRIDEELN >CAK84406 pep:novel supercontig:GCA_000165425.1:CT868507:215015:216210:-1 gene:GSPATT00018611001 transcript:CAK84406 MKVIAVTLVLLLAGNAFAGDLIHKYPIIGKSKPSLVSILTELESKLTSGGSVDTAVNFLD NLRASIDSEQIRHDQLYTDQRNQCSAELELRTKDVKDAEQVLNRATEQYENCSTSKKKAD AELDNNLDSQKATDTDIKILDSIRQAGAQNYNAKKQDHIDALRSIQESLKILDSFQSGGA SLAQMSEISLRMIQDAVKLKTTNIMSQISSISRLNAHIKWRLH >CAK84407 pep:novel supercontig:GCA_000165425.1:CT868507:216259:217707:-1 gene:GSPATT00018612001 transcript:CAK84407 MQSYKDTDNNSENLNEEDKQCNKDYKLSSSINKQVPQFPQKKAPSYSNEVPQILKLQNQF RNAQGNTRRELECQLIKRLVDLKKNSDSDWYRLNGAWAQKYTTYLYDNSSTLPGPIDNTD LLQKKNLVMNADFYVVNETVWKFLLEEYSGGPEILDEKIPPSPSSNASSTTDRAKSVDIS IVSYISQLDINPEIPIKGLKNELYFCYMHSSLQCMMCIQELNNFILNNINRQHIQSMPLC TNYQELLRQLKDTQQDYIKINSLRNSIAKKFNPKYQHDAQEFLLFLLSSFEDEIINFNKK NGQQQTILPNVIDQYLKGKMVSEIHCKNCSKKSQILEDFLSLSLALLKINSINQSFDEFL KDELIQDYKCDSCHKKKTAIKKTRITKLPQYLILHLKRFKFFPKQNKIIQHIKFSLESSF CGIKYSLVGIIVHSGSLEQGHYYSFCKRQNKWWLFNDQKIKLVNNNDVLQQQGYILFYQQ LL >CAK84408 pep:novel supercontig:GCA_000165425.1:CT868507:217980:218802:-1 gene:GSPATT00018613001 transcript:CAK84408 MFSNLGNYANNLVQAVGAALIAPPTKSVFLTKGMLTPEEFINAGDRLISNGGNWKWCKAI SDQYKNKYLPNDKQFLIQENIISYKRIKDLNRGGTFTEQQEGEDVTIIRSEEQPIQEITQ SQDRYYTLYITYDLYYFTPRLYLSGKVDDRQLTYQEVKEDVSGEYADKTVTEENFLELNI KLPTIHPCKHADTLKFFVDQMRDNGCPEEKIHPDNSLTIFLKFMNSVIPTIQFDFVNTIE L >CAK84409 pep:novel supercontig:GCA_000165425.1:CT868507:218839:219942:1 gene:GSPATT00018614001 transcript:CAK84409 MGCAQSRKKNEECSMISCEIKYLLPELVQAIKLGQQCIYSEESPIKLTPVKEIGGIFCKL ESLLPTGSVKMRAIYHMLYRLHQKKRHKGMDQMNLVICSSGNAAMACIECLKLLKKEKKQ EISEDQTISEFPQDDTPTDPGLSAIINNQQLSSKIKPYQLLGQLIIFCKHLNEIHTFNDL PNVQVINSQLNKPDIEIEAFKYAQDHGYDYIDLLNDVDVFGGYATIGFEIDQWQILTNTK IDYVFVTLKSGALIAAIAFYLKYIALTQIQVIGVMLYGSTRSESTMCSQIIEGFIDEIIF VTTQDVEKAQCELAKAEFNSAIAYAGCKKTQYKNSLVVLSGSNIAVSNLSKLITKYQ >CAK84410 pep:novel supercontig:GCA_000165425.1:CT868507:220440:220715:-1 gene:GSPATT00018615001 transcript:CAK84410 MVNLSQYEIFIETSLHNKLWRIISHHNTISHYQSTVKNALHHKYPQRSFTITALLENQRI FNNSNNPKNYSHQWNQLFFCSCTSLNKEYYP >CAK84411 pep:novel supercontig:GCA_000165425.1:CT868507:220911:222473:-1 gene:GSPATT00018616001 transcript:CAK84411 MIQQQQLQEDGAKAEIKEDGGIVAQYPEESRNDPVHKTSTKRRTSSNDSSPSSSFENKIG KRYQSNSEFKVHFYVRHVHMNGMSDNEFLSFIKRKVNLAGSCEIVQMFNQQGSYCDVAIG MSNDDNAKLVYIGKKHFNFGRNGRQKETVELSDAFKNYLENKKMLDKEHLERSLRRNQQS KGRSDSSESSGRYKSRSSSKKKNRKRGDKKQAWQGSKKRSPSSSSEPKRAKKRKINRHSS QSSSRDSNREIVQPLKPQQVFTDKNTVYIFSIPQEVNENEVIQEIVQHHKQSAPISHIWN TLDKMQFLELQFQDENTAQFLCNLRPCLHVKGIPLLVVAKKQRPVQELLRNYEVQVELDR DVLAFNVYQEFKKYGDLIGIYVFQLNRNYLLLYSSSSQLQEALRPPVHLQLTINDQIVNA NAKIIDKPIDIQRPLIKETLAYQLNNQTDEDDDSKAIIIFLQDKKRKTKRKVEKPQKK >CAK84412 pep:novel supercontig:GCA_000165425.1:CT868507:222773:223351:1 gene:GSPATT00018617001 transcript:CAK84412 MLDYSIGKRCNYSICKQQDFLPFTCQLCDSAFCSEHRTPEAHECKKQSVKKQAIICPICN KGVSYTSGQNENIVWEQHFQKECTQQPTQKKSCPTCNTKLTSLNSVQCKTCGKEVCLKHR QIEDHDCFFPKRKNIPEPKSIQNQTIQQNNINQNQQSAYQPQQGNEQEICQICGKTFPYV MQLIRHSEIHNS >CAK84413 pep:novel supercontig:GCA_000165425.1:CT868507:223617:225636:1 gene:GSPATT00018618001 transcript:CAK84413 MNKQRRQKILPIQQIQAKLKQLKQSIRASEIKSLRSSCLFTETTPNEKFDIMKPKQNHNS SVDGRTMYIKPTFKKKMKTTKRSPQSMKAKTSSIITQSKTTVSPQKHIKDILQSYIKSKF PKSRTTSKSKSPQKLKKVLSPQQRQIRSQSKKKQKQKLKTTVSPQMKKSKKQKTQVQYYQ PQISKISSIRLNTFSVSEDPHKMLLNSIIEELQLMNEKQMIELQQFLRNIKQEKKDEALQ TSIHEKLDMQKQHQQSAFQESLPLNIINEICHQREEGIKLRVNMQMDAFNNLLQQQKISP RSFNNNNQVLHQWQNQQTQKLHQYQEQLKNIQNVTNKIQSKTQRDLQCIQELQLNKSIVI QQLLNFIRVQLFRLIRSLIKYPKRKDIIIDVDFQPQQNEVEIQTNVGTISLFVELLCQYI IGTKIRFKLETNLNSFIKRMNYPYGLQPFSKLRQIHGYEVIEDDKYRYPIQEYIFTELQN KQNSSLYEKIHNRAIFDTFNEILNQYRPFYYCNGQPYPWEYNRNLVVILYNNENIHQLLE KAKDKLIFYASVLCGLINDDEENTEQFLNYEQVLQNLVNSDYLSQLRNERLQLCINNELQ EYEYMWSYTDTTETIVEITDHIFEDLINELTLELL >CAK84414 pep:novel supercontig:GCA_000165425.1:CT868507:225850:227994:-1 gene:GSPATT00018619001 transcript:CAK84414 MLSSLPVLSVWFALRYKRRHFKNDRIEMLILLLVGNALRTVCSTHIRLLYIIPIQNHHHP KKMFLCLPFVAIVVSVKLEFSYEHTLVGYTFLLLVWLSTHHQVGKVHQVQKNHSYSKTWI NPSDSVIVNQNDKFDLVVNRQGKMISQTSAQRKLLGTDDPILIQQILQEIIIHKLDKQFK KCKIATLFQLIETTDLIKCKVLDCSTPFGDNFMIELTIIHDNVGLNFLDLFELKDYWERK VTKQLMNQLFRSFSHEFSTSLNCIRILAENAIEDIDDDYIVNTCIQPVLNSCYILNSIVQ DVRDFSLILSKNFVLTIQTQNIYLLIHEVAELYRQQLNMKGVELNVKMNEFQIHTDGQRF KQVLNNLLSNAQKFTFSGSVTIDVQQQTIHEQEFIKVSVQDTGSGMDYNTQNRLQEFLKQ PHKRKSNLNYGLGLMISNTICKGLSPNYESGIHFQSNHKTGSTFWFFLQDLKTLDIPEVV SRRTIKYNKMVSQGKSILEQSFLISPIDNKKQSSFTFSLQGKQKLIDKQSENFSEPDDAI CAPYVFREGPKKPKKHRYPQIDQVVSEYAEERAKILIVDDEFVNIYALTTMLTRLNIKCD SAHNGKEGLEKFKQYQYQVILMDIEMPIMNGIQATQQIIEFCHQVDLDPPIIIAQTAYTD MQTKQTCKEVGMDYFLQKPISTNEIKQILQTIQLTL >CAK84415 pep:novel supercontig:GCA_000165425.1:CT868507:228087:231980:-1 gene:GSPATT00018620001 transcript:CAK84415 MHAYINLNDKFGINAVQMCCFANYNERTACLELFIEDGDLNSFNPRTLWSPVHWVAFYGD VESMQLLISKEAILFKTDYEGYYPLDLAGRNNKKIVANLIIEFLIQFLIDYERSRVSQRV KNLVYDRFCEYERYISNPALRLSLFYWSCHFRLANLERFTTLNRFYPLAIYMDSTALHNS IKNSNMEAFLYLIHSSQIKPFSQGMLLSRNATPENNRIFSLKKEEMKIYKKRYQLYLVRL DEWEYDYLNNQISLLDQVDLFGNTPLHIAALSNNTEMINYLIQFGCRIDIQNSEYWTPVD FSYSEETRTIFRENEKLKQKSVKNIQNFFYCCKLPTQKYDPVHKRNLYLFQAIKDIEVDN LYTMSQDLIIPDFVIKCSAQHITKLNFQIQVLINAKFEVYLSKSQIDDFYYLMLRSPLTQ LQMQAMIQKVQVKLLDSYDYEPYDSNGHFEPFRSLQRQSIIEEHLNRILNIQELIQQKVI IDTYRMHSFGGTTKIRRQWLEQQKWYHTQPFKQLQDYFKEGQTQNFKSCSILRLYFGEQI AYFFAFKSYLTCFMIFAAFPGLILQLYILAVNDYNSLFLPLYVIYMSIWSTITVEFWKRK QCEMNARWGLLDQMNQQELTTRLEFQGDEYMNHITHQIEKYEQKGHSTIMFMISIPVLIL FSSFIVGLFVTIDYIQQTYTNSSYYKLLVGVLQGICVSVLNIIYTALVHYFVEKENHKFE EHYESSLIYKNVLFKFINSYIAVFYTAFIKLDSTYEEIFYILVPVLVIKQLSYLCAIMMI PQIIYKYKESSYFKLFKEKLNLKQYQDPIDILWKQTTNVPLKSQSRVVINLTTQQIQQNI DMDSVELNGLKLPAYKYLMTNYFMETMIDFGFITLFTAAFPIGPTIAMIMNIIEIRMKIY SFNSVFKRPQAQRVAGIGDWMYIWEFLSFIGVFTNYALVFLKQGDQINNYLFPDGSVTRT NMLWLFLLFIFLNVILKYVIQWIIPDKPSWVSEWEEDLKNKKRQNSELKQKEDECQQLNN RISRLEKQNNKLIQRLNNNEQNMNSITLPNESLLQQTLQNDSGSYLTLYYRMERDIALEK LNQISKLLKQKKQLLIVCAECQVNEAVVLCQQCKIHYCKLCYVDLCQSHKMESISKGYYK MFYYSLPQVNQQGLKHLYDILCTYYENRSYGTKLFLSFEDFCIDDKYIINKLHGVLFKKN KIQWEEFNKYIQQLQKGTFEQRVLLMYDFMDEDKQGMISKDEFQNYAVYHMVQDTNFRDV QIMSSEMEDKRELIRQTISSAQHSQQIREYLNALLQI >CAK84416 pep:novel supercontig:GCA_000165425.1:CT868507:232190:233230:-1 gene:GSPATT00018621001 transcript:CAK84416 MMKSNQNLQSKKYNTLRKEKKKKKEQQKKKLAVEQRHVTIAIPDSIISNAQSSELRSYFM SQLARMFAIFQVDEVIILRDYSYIPKSKQFDVASYVVRNLQYLETPQYLRKYLFPIHSDL KNVGLMNPIESKHHLLTEQVCPFREGVVVERPSKGDTSWVEIGLKRQVLINYPLQPGTRV TLRLDDPNSTQLTGTPVSSQDAKEEGYYWGYTVTIESKFHRLLERDDFDMKILIETEKSD EAQQTTTFNPSQLSQQPLKLLLLFSGLQKITDFTENDEKSKLSNEDIYGKFDHVYRYGNN DFGVKQLRLEEQMFMFLQSIQ >CAK84417 pep:novel supercontig:GCA_000165425.1:CT868507:233253:233803:-1 gene:GSPATT00018622001 transcript:CAK84417 MSDVEDWEAYADEEQEGNQKQKEEDNSKQPQTQQQKSEVQSKESDMNNVFDLFGGDKPVK KNIGQVDLKKIGENFAAALQNVGPNYTTEFLKTLLTKLEGKLLLNHYEELFKSVEEIYIK KRKQFEAEEAIKKKSQQQGPPKGVSFGKTKNIVEDEEEDFDNVDDDDDFM >CAK84418 pep:novel supercontig:GCA_000165425.1:CT868507:234298:236465:-1 gene:GSPATT00018623001 transcript:CAK84418 MFSNPFFKNSGASSPKNNMQSTQQRPSVQDIMNKYKYNPSERVSHVDEQILGSLNESSNF LNEQSRHDKMFLNPISPQFDLDPQPKKDAVARLTFGEENKKFESQKLQNQRLLNENDLFD DMSHLKDKVLNMLERYSVALKAPEQQNKRNSIKHQLELDANQVAQEYSQIVDKFNNPDLS AISIADSTIPEQQLTQMFNLVEEFLTKFKNISQVQQEKSQLLRSRINAGESQLHPQSNKA AESEKQFTFQSNQSSKHSSQAVKNKAQAQFAQSLTIGQSNLSSNPYQQTFSKTNGSQQQS PTKTTTYQSVTTTLPAYEQKSIPAQQPITQLDMTVYTQFPKMDDSFYDQKQISMQRINSK LQTLKQKLLQELQKENMPYTQKILGEMISSLQEIINTQDYQLVKTIIFRIEQGIQQLSNN KEGSINLYKYINKALLNSKMKTDLFTQRASMPVHAPPEQRNSSTTMSSLNNYQTPAKIEN SNTKFTQKIDIDRNFEKKERDQRHLEEHNIYFDQRLSNVNKPDVLKPKQQYVNQVTSPNS GYESRGSVNYERKLEDHKPYSQDARLSYQLQFESRPSINLEQRYSSNDPKNIYFATEYQR KTEQSSFQESQKLNYERRSEIQRPSENALRLSDMQRPSEQTMKRLFFDAALTLKNKLPSN HPGRNIMVSDLYEDYVKVGGDMQKFIQQKFDCY >CAK84419 pep:novel supercontig:GCA_000165425.1:CT868507:236509:237441:-1 gene:GSPATT00018624001 transcript:CAK84419 MRSSVLILVVLAATVNSAFVYNEALATEEAALSFAAYCPDTAINTWKVGYVTTNYPNIEK PLVFENNVAGTKGYIAYNPTYNAITVVFRGSSNIQNWLDNIQFDKVNYNTACKCQVHSGF LDAFNSIKPQVDSLFTKYRGLYPKAIIHVTGHSLGAAMATLYTTELAIAGYTVQLSTFGL PRVGDTAYYNYFSSFTKVTHFRVVHDKDVVPHVPPENFGFNHVDREIWYHKSSYTVCQLD EDPNCSDSVLVPSIEDHMSYMGWSSTVDC >CAK84420 pep:novel supercontig:GCA_000165425.1:CT868507:238025:238915:-1 gene:GSPATT00018625001 transcript:CAK84420 MKIFFALIAIVSAFYYDPSIANELTALSFAAYCHPEDILSWNVGTISQQYPHLSKIQIFE NIELETRGYIAYNSHSQAITVVFRGASNIKNFIADIDAKKIEFNPICKCQVHEGFFAAYT SLKIHLDVLIGEYRIKYPYAKYYVTGHSLGGAMATLFASELAMIGVKVTLVTVGSLRVGD SDFYDWFSTLKVTHSRLTNKKDIAPHLPPVRYEFEHVNTEIWYKDGVNYVICQEVKGEDQ KCSASVYNPNLNDHLTYLGWSSNSCKAELTE >CAK84421 pep:novel supercontig:GCA_000165425.1:CT868507:239312:240008:1 gene:GSPATT00018626001 transcript:CAK84421 MNHSYYNKSNSNLTPGKAKSQHVTQIQLNISKYIRDSSTENYKKGDNVLNTLIQQSQQAI QSARQFSIDRPIKTSPIESSPHIQKKVISTSQNLSSQIRKSTELQPRSSAQFDRLLNENT SLLMRIQELEQKNQLLQMKCQCSNQNNKRSQENVYKIANQNKGELDEFLQSHHCNENENR ILKELINRQSSIMHIPSVIQTLSLIK >CAK84422 pep:novel supercontig:GCA_000165425.1:CT868507:240678:241349:-1 gene:GSPATT00018627001 transcript:CAK84422 MKTLENIWITWITQQQTGQSQNEETNKKNDPIDVECTEETNTVDKNTLLKKFFDTLKSRI IDDKIYSTFTQTQTTQFFEEVTTQIQNKIKLYEDIQKLKLLSNYPINSKRTYSKQANMIL KKWLIENYNNPYPKQQQVEQLVQLTSLSNKQVLNWFINARNSLKSKSSQQKKFKKVVETK FKELAILKKKKYEQII >CAK84423 pep:novel supercontig:GCA_000165425.1:CT868507:243143:243382:1 gene:GSPATT00018628001 transcript:CAK84423 MNKRDILLQAREGRKQSEQQLSLLMNRINLIQQNQLKVIRRIKLQQKSVEMKQFIQSEHD SFNQSVIYTLL >CAK84424 pep:novel supercontig:GCA_000165425.1:CT868507:243437:243906:1 gene:GSPATT00018629001 transcript:CAK84424 MAKTKKQQSEEGRKKTQEQQKWIKQKETQNLKIRKLELERQIQQQKESELIKNIVRHNKL KQERAQSQIKLRIRQKSNEELRNKEYSQLLEVECQKRIQTEQSIQKLEQKEQLLIQELQT SQQLSSTYSRQLDPRNITKIRAKSYYFQ >CAK84425 pep:novel supercontig:GCA_000165425.1:CT868507:245410:247015:1 gene:GSPATT00018630001 transcript:CAK84425 METDPMNVTQNQTQTRRHYPKDVQGLKSEWYGIEHHLQEIGLDIQRNEREILNLRKKELL TELDRKMLEQLQIKQKELEQKYEDAQEMKRRQLKFQEEQRKFLEDRAILQKGISSIYDKQ KQDSLIREMDMRQQKLEWEQQALEENRRRMEQDFLQRRLQKEQWAKEQDADLKLSQLRHM EERERYRSDQIEIQELNRRNAEKELIKEENYKNFYRLCSQNQAQLQKMHIDNVLQPLLER QAQLESLIAKNMDAYQRKLLQDELDRVLKRQEECRSTLNVNKKILDEREQGKYQEKSVKQ ELNKQRLEDLQNYNQFLVQKKVDQVEQQRQYKEYLDQQRIEKEEQRLKQLRMGRQEKSMN MLDLQAYKNQDAQLNAKIIGWSPQVGQLPPKQDYLLKQQSLTQLKQDEMNTINQNLSNVS QVSRGQALRGAGQNAIHQNHNPVTNPIPFNNQNPYIQKQYEQMIRPRPY >CAK84426 pep:novel supercontig:GCA_000165425.1:CT868507:247260:248999:1 gene:GSPATT00018631001 transcript:CAK84426 MKALRNLLNKDYEDFLQQKHDQNNQNKLVTKRTVATRESGRPVGSRMYQRISKPESASQS MGNLFANGKGSLFTSSKHSIQQEASPQLTELQEKFLVKQYWRSLLRWQGFDDYLFLRDPL YIKHIKGRDILTKNDVVEELNSSNMHMQVMYQADSGMQEYKDQHRRSQMQQLQKLYQPGE ANYQKFNPDKYKQTFFGGRDAAKLQQIVFENPKFVEFIEKIQKLLQIMNEKMVVEEVNQI SPIGERMIRLLWKIGCLNMFLVGYYIHNMILEIYGKEKLAYSNWKKVLRICNMQGNHCHK YKLIAYKHISKICIKLQQYDKSLIYLKKMLKLSWIVNDTNYEIFTYDKISLCYYYKQDMN KAIYYHEKFAQGEYEEPGKGMRNVGEAAYLLDAKTKEGFIDQNSYSEDEYDLDVLLQNGN LNKWETENKRKDLKILVKKIPIEYKKGHSFGKIHRPTNKVIDFRHAMLILHQFQNKQSVP LGPIYQKEVQEQQEQTAKKFKKKDQTQSCQYLFNQKSTNRVASNFLLQEEIKDQTTGDTL CFKPSENVYYIKKMIRVFQYDLKHLLQKQSLFKNSTDCI >CAK84427 pep:novel supercontig:GCA_000165425.1:CT868507:250015:250533:-1 gene:GSPATT00018632001 transcript:CAK84427 MFNKSVQSNRTLLSGQLHRIIMNKQSQREEIEYVRRKNSLKYPLKEQINLQKSHNHFNAR NSMDCLESVFRVDTGKKIKSPVVVIPNRKLFVSSKNGRKSHQSASQEPGPLMPDVKKSLE YGYRIVVNKSSRVPTIQLDEEVTKEESKINSFKDPVSFTDWVERIYGKEWFY >CAK84428 pep:novel supercontig:GCA_000165425.1:CT868507:251018:252580:1 gene:GSPATT00018633001 transcript:CAK84428 MRIQATSFRLPALKLQPKDSYQCLSYGDNNLSNSSMIKYQSSPLRNYDPSPIKKRVRGMT IAIYNSQEKLKTADYRNGGEFITQIQQNNQSTSVVNTFRRYRSKLKQLMQPAKDEGVNSR DPRLFSFKDPGATIRLDRLNNDRKDQQIQEKVTQLMGKVRLLFSSRQFYQEPLHQDTFQV TLVKLKDEYDCLYQDFNDYYVQNNKLDKQVLQLLNHMEIIETLLKPKQPIKIKKYQPQQS NIIQPEYQSPIVEAQEIVEETPKQSIIVDNLQSSPQQPNQLSIHEQREQSVFTPLTSKQF NDDQSISDLENDQLNKANMQKKKKQKSKKSPLKSQKTIAVQESILDLNALEDQTQVPQSI SSQTKIIQRSTPQSNKAYPIQYEDTPETPQNIEEEDNSIQFEKPGLKRTVSNLGSMSKKR NTVLENKEGQKKKQRKTGTISEQDDSEQEEEKQSNTQIEGQNDSNDEQQQEQQYRITSLD FCLIDMLIASQNIYKHPFYQNWEYHTDEIIKGTNFGEYDL >CAK84429 pep:novel supercontig:GCA_000165425.1:CT868507:253311:255059:1 gene:GSPATT00018634001 transcript:CAK84429 MMLNEFTSFFDNLEVIHKIKHIWSKFQLSTYENDSIIFESVCHKISRKTQKLKPIIIQLG QEHLYFFKNKNPHGMLLLTVVVMTIQRNEHGVMIRLLRNGQFIDIITTDAMLLKQLLAFK CLQTTFHDEFGVTKMIGKGSFAKVYLATKKQTGAQYAIKAFNKEFMLEQFKGKESLENEI RVMRRLNQENLVHLHEAYETQNSIYFVIDLLQGGELLARAKTNPFSLDTLQKLMYNFIKA LVHIHSKKCIHRDLKPENLLLKSKDSNVDVVIADFGLAAFLGEEILFKRCGTPGFVAPEI LMYKEEDPFYDEKCDIFSAGVIFYILLTGKQPFQGTDYKAILRANKNCEINYNIKQIQQS SQKLQELIRKMLQQNPKDRPSAEACLQHPYFEEIFNKNDLVEIHENLIEYEIEHEHRLQK KGSFDSQVGSMELQVRTSVLNGRTDTIGSLSVCSGQGSSSRLEKPQQQQQQQSKFSQFCQ TMKTVQQDTSGNAMASPQNKKKDQQDLHKFALKNSYQQKQMSKDDDFVNEESAQLDAAIQ KLNSQSPKIGLFKKSASYKVPKSTQE >CAK84430 pep:novel supercontig:GCA_000165425.1:CT868507:255064:257078:-1 gene:GSPATT00018635001 transcript:CAK84430 MSNENMYTSDLFQSTVMSKSYYQVLQDSNLIESQVLQPVCQMATSQYMTQSMQKPKKMEL FHQLDEEHDSEDEGIEDYKIGGYHPVHVGEVLQNRYVVIQKLGWGHFSTVWLCKDFKFDT FVAIKIQKSAENYLEAAYDEVEILQKKLIQYKPNQRLNRDDTHVVQLLNSFVYRGPYGCH FCMVFEILGVNLLEIIKRYEFKGVPMRLCRKIAKEVLIGLEFLHDHCGVIHTDLKPENVL LQLSQEEIRDIIENGQLTKNQIFKERLDFYHQLFDIKKEEPIKLEDSIKTAIHEESKGND LVQESEQQKLTKNQLRNQQRRQKKKQQKQLKLQEIDKLIAEEEQYIHKKEEEMKMEEKRD LQSNLFQIDNKSLFKQIQKNEFSVKVADLGNACWTHHQFSTLIQTRQYRSPEVLIGARYN ATADMWSFACMLFELLTGDFLFEPRKGANFSKNDDHLAQIQELMGKFPLKFSQRGLKSKR YFNKDGSLQRIPVLNCWSLTDVLIEKYKYNPKDAKELASFLQPMLNPYPERRATAAQSLQ HSWLKSESDGAKMNEEELREYKIQRGQVEFKKTPESEDEDADRSETPELILPLPSRHRYK IEPRFVNRNEIDRSFTDLGYIGFGDGIEIDMLDSTGNWQFIN >CAK84431 pep:novel supercontig:GCA_000165425.1:CT868507:257356:257869:1 gene:GSPATT00018636001 transcript:CAK84431 MNLEQIQKELDSIDRQTSIINLQCKLYCAYQSFMFRFLNKDNFKVYTFRNHYGSYMAFGM AIHNTYHLRQSILKQCIHLTKSKNPTIIKLAAIPITTVYFGVLFGLWHIPSFSGELAIRL YFGTQSAVFDLLMDKEEIFQDIPLRSIIK >CAK84432 pep:novel supercontig:GCA_000165425.1:CT868507:257918:258904:-1 gene:GSPATT00018637001 transcript:CAK84432 MNQSDWFSIEDDQLFQNSETAQQNKAQTEMEKIVSKYEKIVLQQNLIKYIVVVSKSEDPQ KQINEKSRIKIRCEEKDEKANILNPTQNLNILKICLSSSIPLIKGFRMALLSMKEGEKAW FKISGDLLEKPDNIENFVKDQVKYYLITVEEVIQPQQQLDVTNVENRVIQLEKFKQEGNK LYQTGDYVGAASRYLRGINFIEKWPKYLNKEKNSEVIKEDFYLVLISNRAQALIKLKDYE ESLKILEPIVHRLEQKEFKVKSYYRLVFCLIQLEQYQKALDYINIIKRDERYLQFESLFK ELEDTCNESQQG >CAK84433 pep:novel supercontig:GCA_000165425.1:CT868507:259131:261975:-1 gene:GSPATT00018638001 transcript:CAK84433 MNNQQQIIAVLTKIKESQQKIKVKTSKYLKQLDIFKMQLNTQEIRLYLIGNEQIPYGLLY CIGNKSKVDGSLRKSAYYSAELFLNILEKHPQRIEFIKVFADLEDDEYKLLSLSTHVLAD KKLQDKGLIAFKIIKYLKDERPHFNLEYILEIKKLKDQFDNWYKSVRIQQIQEQKQQTSW KESDQPPPENLNLQREQNKRQKKLEHINLQWDGNPEYLIKTFYNPFIPQQRTTKSNKNRS AALKKKQIAESLNAAIDIESVEQIKKQIKNLDQVIVKIHLDSPQFDAQTFLRLVQPQITQ QSMNLLQQQNIEMNKNIVYYFIDDFFCINQGILQIHNEFISKEFKDQIKRKKQLTSNEKS SQPMQVDEILILGSLSKRTFQLLSKLSSISQSMNKLSMSQKNLKSVIDFIRKCEQYVQLP NQLKEAYLQRNNAQVMKLISLQKENMNQYKNISLFKQLNEQIDQVLKQIYNDMLQQIKQD NLQYNQILEIAEYINELNLTQNSTQDIVNNLQQSIKSNFNAYFNKTLQQRDNEAYQVEMI RGDFIIEFLQQCSLPQKELQLQNCKALENFVSGKFSKQVKQLQNICRDLNLNPNLQKLKE EIENKMLQFLESVQKSWFNQQYSSILCQRINQDIESTKNMAQIIENLFGDGLKIDNFIND IEVKSLSLQFSNYTLKQIKVNFVIPQRDFFGVNFSEFMLQIFNEILKISKNKNVDGILFL QLLQDSLNYLLQCCKEAQDYLILLHNIKLIATQIKDLAQSVYSNSKKQKSFKEFAEPIQQ QINGILSQYYQPCLQSYVKLLNLDQPQSMDMIPRPYVIQWLTVLNEQAVILAQINLDQSV TDSIMSFIVSQCFKNIEAVSTHSKRAKFLDQLNHEINLIKIMTLTFQGSVSQEGFQRLQR KMEELSQNTLSESSEWTRTQKFKMSFNFKALYGYYQQQQ >CAK84434 pep:novel supercontig:GCA_000165425.1:CT868507:261991:264323:-1 gene:GSPATT00018639001 transcript:CAK84434 MDEQIINVIFDPNFTSFDQDLSNMNLDKMMQVTFSEEILQKNFSVIITILKQLHKGMILI NGQVKDNSDWVQKLREESQQTDTKDKVDVIDSQVKEIHQNFATKAEVQALKQRYDNILRD QDIQIKEIAKAQEQEQQQINKNAEDIIQKQEQIDKINEELDKLRKLINDQNKIISDLQTQ QPDSNVYQIQSKPLSDDSLKDVQNRLAQLESQYKELLKQLNNQSKTLIQPIQVKDQGYDA QQNSDVDLTDINKKLDNHEEEIQKLFELLDELRKKNREAATGGGSNIDSEDLLIIKNDIK KLYTLLEQLQTQLNLASFGNSGEGGNNVGENQMVIILAEINKIRAQLENYATQQDLTNLG QKVALQQKQTYDHIDEEIEKVRREFKLNLNKKGDLSEQEKIKNELAQLKDILNKNKRGSQ QQIDNSPNKGPQLSPDFLNTFKELQDQVAQNEQELINHKSQFQQNTQQIQLKIAEIENKM KQTKTDSIISGLQELREIQDQMKKDQDANKAKIAQFGKKIDGVVTREDLLALFEPKLKQV RDELTKQIEELRIKLDKKAELEDLEKLQNDLVSRLEEVVQALIKQLANKSETKKALIYLE QRINQIFMMLEGEGGSKDQEGLFAKKQLWSCASCDKELDKFKGQLGDYRGWAHFPPKETS PERMGRFGVGYKQMQEKSKNNKDKIDKERYQNDKDRPNSQANQQFYQTGSQMSQSQSNLP KINK >CAK84435 pep:novel supercontig:GCA_000165425.1:CT868507:264337:264904:1 gene:GSPATT00018640001 transcript:CAK84435 MNRFFFTGQATPLENSEFDDEYTLKVPSEDEVRIVAIRLRNCQYYLTGIDVCREKIFRKH VEDEKATPNGFLPCKPLVDSYYYCISQGQYGQSVSDSPAEAQENLSKFQSCLFNKLNPAN YCKGFASKAVRDLYHLPGTKIKDTTI >CAK84436 pep:novel supercontig:GCA_000165425.1:CT868507:264907:265993:-1 gene:GSPATT00018641001 transcript:CAK84436 MDQYQNAFQMLGQNMFSKAPPSGYAPGYHPMIQANSNKQNQCNFRSRQYQKMLKKVSDYN TRMKGKEDYIYELEQQSMQLQRDLVQKDKYRNEHNQIHYKNYQPTFNVQNTLPMESLQTD LQSVQNQNPNTQILPGDNPEVQPQQVQRRTSVQLNQTRPQSFAIQGNQKLINMQKQMEEQ NQYIQQLSALVAKKKPAIDSEKDDSLTQLLNERDDLQKQHILNEIKQLKQRVDEFDLPRQ QMIPQQFQQHGFMPQQQQMQQQGMMQFQNPYGMYPQMYPPNIQQQQQQSDELDDNDLNNE ILMKLLDQQNRQKKRGSRNGQKSHDYNHRNSSRDRRHTQKRKQQDDDQDQDGDSN >CAK84437 pep:novel supercontig:GCA_000165425.1:CT868507:266204:270332:-1 gene:GSPATT00018642001 transcript:CAK84437 MAKDKQGEIDVDNIIERLLSVRGSKPGKNVNLTEPEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMTVDESLMCSFQILKP AEQGAQGASQQNKPPSAKFVNLIQIREFIYNLKQLKQTNQSFIMFSDLIDQFRQTYQNKY LTLLEFSNILDQYARLDIRCDLDDNVKEQLYKFIKEKQQNVTYCALETVILEFLLQQEVI QPQAQINVDTLGKCARRLPLDMLQNINNDFLLQFKNFYKLISNKYGSHVDYRELERLGKE LQRTIKINSVEIMRYIQPFVDTQQKVKIDEILELSNDLERLINDQNRIQSKGVEGRKSNT IEQLAEDYHYSSEEDNQKQINVISTASNDRRNFTNIIYQQTDLIKQKFINKAGLSCLTEI NKATQSIEGLITNLINDIDIKNKKIDELIIEKELTSGQLQQFELEIQNKDQQIQMCLQDN DMFQTQINQCQDQNKDLKIQLNFYNEELKSITRLETELKEMQLDTRNLKEQLNKLNKENT RLQLNNKSLMEIIEELETKSQQNKDIDTIKQSLQQHKEQICQLELKLDDLQKQNQQLEEL NLSYEKIKEGLEQQIIELKREVSHYKKMFENTNIENQQLRSSIIPRTSMFNSQQMNGGGL GRLSKMSIGGGMTSSKIYIIGRNSSQRMSSIRPNQYGSTFNPLEEIQSGPLKIEEVQDLD NQSPDQQKVHSNKPAMINSRMDARINPKYENSIVEVINEENEEKEQVGTVVEKPKENQLL QQFDKFWGGLGTKNLEELAPDTQQIKIDENILYYRDFLGIRDDPKIMEYLKLEKNIYKSI IQRCFSDGVYRIDAKGKKARRILFLTEHTFYIFEGEKKPSKLSRSFPLKNIHTLVFSEAS PVICCIKVAGSDDYLIETFKRSELNSFLTEIFASQKITLFKVEFLAQFKIKMKGLKDEIP ISQVIKKQTSQDQGKTPTFRVSSKQGLYSQNMGWLQLFKKKMFQGDWQEVFVILTNVGLV LFKKPGDAEPILFVSFVEAVVIKNPLFDTSKQHTIKVTILIPLRSVMKIVIPNSCSMQPQ VFFQINGMMPSKMQSWNKCDNRISIWYISNNKWWNIEIKLNWINETILLN >CAK84438 pep:novel supercontig:GCA_000165425.1:CT868507:272184:276278:1 gene:GSPATT00018643001 transcript:CAK84438 MSDTYPVKKQQEIQSTSLQQDIRSNNQTPTTLNQQQTQSYPECQPLQQDEPSQPSMLTIH KEDDVKFYPYYLGEKSWMITKFLFIHLTLYSLLLKKHVLDKGNKITEKHMPKLSPQEDLS ETVQDSQNKLNQKYKVTRVDLVKIIFFGELKSISLGCFFANVVEAVVRNGISMVMSKVIT SAANDERDNAYGFAVLLVFLNLVGVFARHHSYNLSMIFSSKARLTLINLVYHKLTELSAY SIKEANIGKILNLISGDINYLEYVFIMVFQSSVCFISMIFGCYILWDRFNGPIGIIALAI IFVAYPIQILLQTFNSETLKTSKQYQDRRLKLTNELIEGIRLIKMYAWEQAFNKMISIMR KQEYICLLKISFRSAIDRLFSLISQVWSSFIFFVILYYGGFRKTMSVAEMISTIQLLTFF KISCVYMVSYGIQSIIQIKVSFQRIVTILNIENSEMTNLDKIQLKSDNNNQIQDYIGPRI QLKNFTSFWSSKVTNTTKPVLKNLTLDIEAGEIWAFIGKVGCGKSTLLSAFLYEIPAYKG SFKIDGQEANKGALSIAYVEQEPFIFPDTIKRNILFGRPFDKALYQKVLHASQLESDLSQ MKFQDLTEIGERGTTLSGGQKARLSLARALYQQADLYLFDDPLSAVDASVAKNIFHMAIK EFIFEYQIQRNPTKKKPIVILVTHQVQYAVECDKIGILNDGELIAQGSYEDIKSNLYMIN EELAQQLDKTKESVKQDFIRPQFQKRAKIINFDSNNLISKEADQQSLITLKTYARYYKFW NLIVIVCILSLEVGSEVLINFYQRIISLFEEYQKDNDIDNAFYLLGILTLGLLLTNLVKY ALNTYSVQTSTQQMHNQMLKYLTLAPISYFDVNPSGRIINRFSNDLSLCDNQTNSVSLDV LEIIGGFLFALITLAILQPYFLIMIVFIILIDLYQFSYAKKIISQLKEVELMQRSPLFDF LKKTLGGVIQVRVYEQQAWFRQQFLEISNKCNLYSLTYYYSSRSLGFNLDIVGFMAQTVG IFIFVKLNYDNVAILSQGLLLLITYNASLQWGLRQLITFETQMNSYNRMFQIIDIQPEAP HVVEEDSKYPDFPKDGRVKFENVFMKYRENCDLVLKGLSFEIQTGEKIGCVGRTGAGKSS ILQAIFRMSEIENNKGSQLLISGLDVRKLGLHKLRSSIGIIPQSPFLFTGTIRRNLDPFD NFTDEQLWKALEQTDLINHVQEFQNGLQTDMSDVNSVFSVGQKQLICLARIVLLQKKIIV LDEATANVDMKTDDFIQETLKKKFADCTLITIAHRLNTIADYDKVMVVNEGQVIEFDTPF NLLANSINSIFVDKNTEFSRLVKNTGDQNAQAIFDIAYSRCVKQ >CAK84439 pep:novel supercontig:GCA_000165425.1:CT868507:276458:277094:1 gene:GSPATT00018644001 transcript:CAK84439 MLQDPYNHKTPLFQFSSLIQLLSQFSLLKVSKENQLELKQGFLSIILPLVSSSYLLLIFR NINIKSITEPLFLIQFNQFYFSQNNIRIKSYQQIFLQLLNLRYLLLPIKVYIEYSQNLYI QPYIFALKQQFQFSLQTHSINSNFILHSQIKIDKFRNILINNHCNSQNEVGSFFEIEQQF CKQYLTFAIHGLLQKLNTNNLYR >CAK84440 pep:novel supercontig:GCA_000165425.1:CT868507:277266:278518:1 gene:GSPATT00018645001 transcript:CAK84440 MGNEQIKPSIAHSSEWTFIREIKSHPLFGHIKVYKGPSDQLQCLKTVIVEESQYKDQMDS LKKIIVKDVLSQNQPNCSSLVCVNRLETQKQEEFCSNYVKIYLTADYSDQPVQELKDVKL FMLDIIQALSVMDQKGVNNCQFCPAKILQFGDRVKVVNTQLFTETSDYEQLYFNEVSKDD WMIAPEVMHSLKVNCPPSFNSELAVIFNFGIMMIYLLTGVHPKDADIYDYKNLTLNDNLS SYVQSIEQLQIPSHIKQLIQDCVNVKPNQRPTFKLITNLLRQVEIPLQVSHIKNEEVLTS QIFNPCYEDVKKVLSESHVNKNLNFHNESPQKIEKQQFMTDTQQSAQFRKSKQIYNLENT YNSRSSIQQKQQIQSASTKVKIQTKAQKVKSPSQKPKPIRANGKHTK >CAK84441 pep:novel supercontig:GCA_000165425.1:CT868507:278571:280065:1 gene:GSPATT00018646001 transcript:CAK84441 MSDYQIKYQEIKGSLALVLQQNNQLKQQLKEASEEVQEKQYLKEEWAQEVQRVQETIYQL SYDNQNLQQENEQLTRDCQEFSYLIEQQIEQITNLKDQNEKLQAQLDQSRQQNLQKEVTI KQLRDEFNKKLEQQQSMHFEEIKKLQGIIDNQSELIQSYEKLNEIEKLDTQNSFYQQKMV VEDQMIELKNRNLILTNTVTEEKQKYDQLYEQHKEEVLKLQVVIKDQKELLSQYEKIMER EKKEVPSQSYPQNTSYIQSQLIPYQIQQQQQQQQQQQQQQFQHQQQQQQQQQLQQQYQQQ QQQYYQQQQQYQQQQQQQQQQYQQQQQQQQYKQQQQQQQQLLQQQQQQMYSSPLQYEKYI QPSLSVQTQPLHTQPSYSQPMYTKPLNTNIIYTQPVSHRQPKTFFTNNKINHQLQQQQIK ETKNQKEYHLPKNSFHLDDGDDYQQKSGLEFQESFLYKFQNVIGRMEDKLQKMQNELNIS EVSDKPRRLK >CAK84442 pep:novel supercontig:GCA_000165425.1:CT868507:280097:281673:-1 gene:GSPATT00018647001 transcript:CAK84442 MSIQYTQDELLTFQYFFTMCDQTGQGILGQDMVINFFKASGLNQQILGAIWQITSSNGQT FTKDEFFAALKLIALAQNGYPPDEKLLSKNVSCPLPLLQGFQPPIYDIQSEQLQKYENYF LTIDQEGTQIIQGMQARALFSKSGLSLDQLKELWNLCDIGEKGHLNKGEFIVALHLVQLC SKFKYPLPITLPDSLLQIARRFSSNPNGGRLGSNSNLVPQQRFGSNSNLLNQPRPRLGSN ANIGIQPIQSVQQVQSTIQPLNVPTNVNMIPGYDQNGINSVRQQVNDSTQQVYQMQNQLN SLFQSVLLEKQEDVKQMQVLNENLKLILSNLQEQYRAINEELSRIRMQKQGLTQQNHQLQ QQIGMQMQQNKDQFAQLQQEQQLQQEQQSYLSSPPQFQAMTQNQQLQTLSQPLPQQNFGF QNTLNYGNSSPLPQTNQIKIQPQQPIVQFSVDEVQKQPGILKNQAGICLYVDLETQKKQV TFAQQEEQFPW >CAK84443 pep:novel supercontig:GCA_000165425.1:CT868507:281990:284226:-1 gene:GSPATT00018648001 transcript:CAK84443 MENQESQQNSLADTQQQVCQTYQATTEFFDQLPNCITTLKKQYLLDKASVNTRFVLLCLT TLTTAGLTARITPSFIKLLRCRSLHCTRSVQPVLNIQIMIIIAHKIQLMNIKFSLSIIPL LFIGICYNLDTIWFIVSLLITLVYFCLRFICKFMIFPGSCSLFFKYYQLLDYNKNTVYKT INILKVLRQQDETSEQKQIQQAKDYFTLQHLIFQKMKGQLNQQQTIYSNLLTNLIELLRF QQNQQLIKNQLNTIQRFLDSYVDKTIQISNIMKPFKYDMLFGTLEQFRQEILITNPNTSR HIIKFKNISIDCIHLKNNRLTSPTVLFCNPNAFYYETLYHNSLWIKVYQDLKINLILWNY SEYGSSTGTVSPTKLIESGMFIAEYFQKKFNITTLGVHGQSMGGMVASEIAYRLNLPFLI ADRTFSSLGQVVTTKMQIKLLRRSFDLSIARFLFNCVVNWDFPNYQSFYKFRGPKLLIQD KNDEIIIYKAQLQTGVVRQFFTNQTYSPLHSYFNLEYKQFMDFFFEQILPKTQTLKLSKS LDMLIHNQLQNHQQFYKKLKLILSQIDYCDNQFLEVMDKNATPEKIALFFGSFFMFNKDP SNSIRKIKIEIQRLQKEHYSQFNESREHIEIIVKCINQITKNYQKTQQIKNLRQRRNQQS FDYLIAISCGHNGDVNKQEEEKFKKYFMSKLIVGTQI >CAK84444 pep:novel supercontig:GCA_000165425.1:CT868507:284257:287004:1 gene:GSPATT00018649001 transcript:CAK84444 MQKPELQRIFKDLAARVPNEQKEKAAMELQSVYYKYIQQSDELFNKIQKLINSSEIHEKF GNGGILALDQLTTTVQEAQVLTFVNKFIPNVSGQFIYNDEKFLRKSAEVFGKLLRLGGTK IAQVVDSHFVEAQKQLRSEKYKLAGVLSLKEILNEAASITFNKLFQTMQTDRNFTLIHGA IRSKQQALREAALDLFSEIVKQIAQREYYLVKIYTDEIESRKKSKEEEYIHGNIMMIKIL LTYAKQDVFSQNQIYDQGEYVLSKKDHRSAIINKAVIETLPILAKHAKHNAVQEFMEQSI SFLLSQTTQPRPVKDKSLPYMTLAMLISFLNENMLQDLIKKVILHIRQELLQKNFCVEMI HCLQVIFQNYTRKFSDFTSVDVLVDQILLNGLHPQSVQFLNQLCRQQQGQSNYIQQKLLQ TIAAILLRKIINFVNPKQQNFESSVLNDFQGYLQKAITTTEFRSPEAIANAIQTLSTFSF DLQDSLAIFVKDAVLPNLANSNPIIRKATAKAGCLLYIKKGRSTGQQMISKNVMYEILDK FMNVAISDTEQDIRQTMLASLNENFDPYLNSPNNLRKLFLCMNDPIPEVQEIALTILCRL SILNPSEITPFLKKTLFEYLQTLTFDSNQPEKQTINKLYLLTSLIKHGRTIVQPYTSNIA KVIQQHLKNPNTSAIVTSYLLQAFAQLTETANQEILVNLKEVFDIIITAMQDKSSTLKRE AAVKSLNLIIKNTGFVVLPYYRFPNLMDVIFQLIRTETIPEMRQECLKLLGNLGAVDSFI YKSVQGVPTKQKFKFLKNYQNALNSVSSSELMNEVRGYSEDLILIGNFSTKMFLHLGKLK MQADQDNITLSKQWCINNEISNFGCPISLSLSYKFIRY >CAK84445 pep:novel supercontig:GCA_000165425.1:CT868507:287141:288857:1 gene:GSPATT00018650001 transcript:CAK84445 MWIIGTLKAKTASYLNYLIPVFARLLQRNEEMKEKVLTSLQKVIHLCGIQFNPQYIDQVI ACVMLFCQGQESSKLVLIGLEIMETHKVEPLVRLINQEISQFEEEKDLVRKGIKIYILLE NLLDSQLHMFIPFMCKLLSKEVSSVLLEVRKDIINLFVSLSRKCPTTVQYLSLIVNSLLN LVELSAKTQQQLEMHQTVLNCIVNLILQHKNLMLVYLPMIHLQVQKYKIHHQQYQKLVEI FLMYGNLEDLNNLLDEDCKAIEQLFPSQITSYYSIEPNAPMYKKIEPEELVAKFDTEQRK LKEEWQEWMRNTSVELLKLSPFLVLSPCSSIAEMYQTLAYELFNIAFDSAWYFLNDKHKE LMVQYLVRVIKAENIPLQISQTILNLAEFMQHDKEGLQIDISSLGELAEKCMAYAKALYY REHEFETANLKAIQSLISLYTNLGLQESANGLLTYAKQSLKIQVQNTDYERLKKWDEALQ EYRQQQLKYENDQRMDLAIKLVVPKMRCLNALMQWQTLISQAEEIFKSNEDAKKKEIAHL AANAAMHLGQMGENWLLIMNK >CAK84446 pep:novel supercontig:GCA_000165425.1:CT868507:288921:291874:1 gene:GSPATT00018651001 transcript:CAK84446 MKQKYKQGRVERDWMESYDRAQDGVLKLQQLVEMEEIIEIKQFENKVQKAAQENVGEYSY IKLLDELEIRKKKLKDIWHDRLSGAPKDIDVWYRLLSTRQLYLPKVHDLDIWIKFAKLCL KRQKMVLCKSTIEILKEQFQNQGQAPLPVTLHIFNMQFEYVHAKHEIQILDQVREYFTNQ EQISSIDSKLKAKTFFTLGKWAYERAESTSDLEQITKQFDESLQYNSTYAKAWHYYGLCN FEVIEQQENRQSMNAHVFAAVKGFLKSISLGSRDIKKGRYILQDTLRLLSLIFKYGMEAA ISDEFRQNYKQIDVIAWIDVIPQILARIQIQNPIIQQLLQDLLIHISRIHPQALIYPLTV ACKSKNQIKRLQVLKILDDMKKHSPILVNEALIISEELNRTAILLKEAWREGIQEAWTSF SQDKNKTHVERILRGLHDNMRVKLESLSEISFHQTYGQEIFEAEAWLQRYLRTEDQVCLC VAFDIYTRIYHKVQKSLEKMKKVHLENVSPKLLATQNCEISIPGLYKPNKQLITINGFAP RLDVLSSKQHPRQVKMFGNDSKEYHFLLKGHEDLRQDERVMQLFSLVNRLLTNDTETERK DLTITRYSVIPLSHNTGLLGWVQNCDTLQQLVREYRDKYAIRPNAESTLMEQFCSQYQNL PLPNKVEIYRHILENTRGEDLQKVLWIKSPNSEVWLERRINYTRSLATMSMVGYILGLGD RHPSNFMLQRLTGKIVHIDFGDCFEVAMKREKYPERVPFRLTRMLVKAMEACGIEGVYRH TCNVVMRVLRENKESLLAVLDSFVYDPLLTWRLLNAQDHKPKKEARNVDLHKQIPQQMIN QLNDKEINQGKSLIQVIPEAKRRGSIIDDGKQQQTLKRKESGREKEIYFEFADEEREIPD DLQNQKGLEVIERIKKKLQGRDFKEHEVLSTESQQLIMKNIAQAYLGWCPFW >CAK84447 pep:novel supercontig:GCA_000165425.1:CT868507:291951:292435:-1 gene:GSPATT00018652001 transcript:CAK84447 MDGKKVLVADDEPFAQNLIKMLYGALKVECVVVSNGKEALETYQKSPNFAHVLMDIHMPV MDGYDSTKQIRAHEKAKGLPKCKILGLSGDGDPKTKTACLNAGMDDLLVKPIKKEQLSQY L >CAK84448 pep:novel supercontig:GCA_000165425.1:CT868507:292447:293762:-1 gene:GSPATT00018653001 transcript:CAK84448 MFHVYGKMDQLDRKEQLDNFKRNQVKVLIVTDLASRGIDLPFVANVIHYDYPSNPKIFIH RSGRTARAGKAGYVYALISSEEILYIKETMVYVGRKLVNDGDFSDPSQAFYGSMPIELLM QNQEKLNDLNDDIEFQNFKEIATRANEKFRKTRGSAKKVKTNIDTSLVHPLFKDKIQVEE DTKDMLNQIKNFKSAQSVIEIKKFESNQKSDPFMKAVSHLKDVQKRKPLPKIELKEQPKS LNIENFMDQKFFIPTQRDPTKKSEFEDLHKITLEDINPFVISDGTDALRKRKQMVWDKDK KKYVNPKAAQQQDKEDRGMKVEKGKQNFKKWQKQTSIQLQNVGEEEDQQIVSRAKELFKR RNMRAKGYYFNQEEGQQRGGKQEIKRPEQLLKSKKIKKNLKLKNMEKGKRRQIEKKQRKN KL >CAK84449 pep:novel supercontig:GCA_000165425.1:CT868507:293838:294616:-1 gene:GSPATT00018654001 transcript:CAK84449 MDQTKVKKSGGFESMGLIPELYRAIKSQGFNVPTPIQRKAIPQILAGRDIVACSKTGSGK TAAFLIPLINKLQNHSTVVGIRGLILLPTRELALQIASVLKALLKFSDIQYSIMVGGHGF EGQFESLASNPDILICTPGRVLQHLLEDRLKLSRVQMVVYDEADFLFEMGLADQLKQILT HLPSQKQSLMFSATIPEQLSMFASVGLKDYIFCKLDKEFQLPDSMQLHFLFAANDQQVEC SHLFDQITLG >CAK84450 pep:novel supercontig:GCA_000165425.1:CT868507:294807:295714:1 gene:GSPATT00018655001 transcript:CAK84450 MERAANLILTAGSYLSEVECYSSPSSIPWMEDREDQFSIDFKESKNLSMEKECTFSSQSF NHPLWLKCVFNQKQWHLTQVLKIYKLWILLSECFISQLNNIYLKFIKLLILPSIANEVLK AVVAQYDADQLIKMREKISQEIKEGLIERAKEFKIVLEDVSITHLGFMKEYAQAIEAKQV AQQLAERQKFIVLRDEEEKNAKIILSEGESEAARLINDAVKSYGTAQIEIKKLETAKHIA ETLAKSPNISWIPTGNGVSNLLNLKTF >CAK84451 pep:novel supercontig:GCA_000165425.1:CT868507:295715:298540:-1 gene:GSPATT00018656001 transcript:CAK84451 MIRFTSRFRFGARANPYIKAQKTLKVDGKEYKFFSLPALGDSKLNHLPYSIRVLLESAVR NCDEFAVTSKDVQNILNWETNAPKQIEIPFKPARVILQDFTGVPAVVDLAAMRDAMKRLG GDPQKINPLCPVDLVIDHSVQADVSRVPRAYEENEKIEFSRNYERFEFLKWGSTAFKNFL IVPPGSGIVHQVNLEYLARVVMEEQGYLFPDSVVGTDSHTTMINGLGVTGWGVGGIEAEA VMLGQTISMVLPEVVGFRLHGKLPANVTATDLVLTCTQMLRKRGVVGKFVEFFGPGVETL SLADRATIANMAPEYGATMGYFPIDHKTIDYLNLTGRPESKVRQIETYLREQGLFRDYKS GNDPHFSGDVLDLDLASVQPSLSGPKRPHDRKDWASCLNNKVGFKGFGIPQEKQTDVAEF TYQGQKYSLQHGSVVIAAITSCTNTSNPESMIGAGLLAKNAVEKGLKVKPYIKTTLSPGS NVVTKYFEESGVSKYLDQLGFTTAGYGCMTCIGNTGELDNEVAEAIKNKDLVAAAVLSGN RNFEARIHQQVRANYLASPPLVVAYALAGTVNIDFDTTPIGTDKNGKPVFLKDIWPSREQ CGKAVEQALKPQMFRDIYSRIAQGTERWNQLKVNKTDLYQWKPESTYIHNPPFFQTTELN PKQVQPIKNAYCLLNLGDFITTDHISPAGSISENSPAGRYLKSKGVAKKDFNTYGARRGN DEIMARGTFANTRIINKLVSKVGPQTVYVPTGDVMDVFDAADKHMKEGNQTIVLAGQEYG SGSSRDWAAKGPYLQGVKCVIAQSFERIHRSNLVGMGILPLEFLKGESADTLGLTGKEQF TINVNESNLTLGQTYTVETSTGKKFQAKSRLDTEVEIEYYKHGGILQYVLRKLVKA >CAK84452 pep:novel supercontig:GCA_000165425.1:CT868507:299706:300809:-1 gene:GSPATT00018657001 transcript:CAK84452 MSINQTNISDHQRHGSKDSYSKIHTPIKRKLSEYVIQKQSSYAQIHKPERQKQKSTPDIL QLLKKYQKCHTNQSQHQPPQQKDGVSNQEIKKHKTVHNNDASPIRVSQEALPLKNIVINR KRQSVSDRDTTLLKLQELKKKIQEKVPNPLEILGPETNPISNRITFQASLQTEESKLIQK TVDTPGTAQFMQSNRDDNMQEPRNPFERNNSFQDVQILDNQISLQKNLDFYFEKRKPSCC EDSFKPVQKTQQMTKPYFESNKGEDLQNNNFTNRLECRKIMIILDQDKEKILDQIALNPI EIHNSPTSSVWDKALKIDRLDEQLGKQKTEESLSIKCQQIRSKRGSLKNENVPYWKLRDL ELKKKNK >CAK84453 pep:novel supercontig:GCA_000165425.1:CT868507:301636:301989:-1 gene:GSPATT00018658001 transcript:CAK84453 MGCCQQMPVKEKLQQFEAGQILFQEEISPKTLQMQPLSLNLIKGDIDSIDNVEKVERLGS TCVDNILNEKQPSRMEKEENVELKEDVFINLRQTQNLLQLAQDSSSRNLNKKFLGML >CAK84454 pep:novel supercontig:GCA_000165425.1:CT868507:302357:303506:1 gene:GSPATT00018659001 transcript:CAK84454 MSNNLFNVLNFSDTEEAPEQQQKKSKKNNNNKEQVIPVEQVQKENTKHDNPAPKQKGVPA EPHPKDRQSGTGRGKEQRKEGGGRNNWGNYKDDLKEEKYIAKEKKTQDAPTEGQNEQTTQ PVQPPAPEKTLADYYQQRGANVEDILKKTETKPQVTKEIDQEALKKDKLFVMKTREDEKK QQEQKQKKTRQQQPYRSELNKEAAEYLGFTNQTQEPERKNERRGERRQQDKQEPVQEQQQ QSGTQAQEEKGERKERGDRQERGDRQDRGDRQDRGDRQDRGPRQNKGYKGDRQDRPQHDR QNRDNNTRDQRRGDNHRKGDDNRQDKRPQQRQQEQGIQLDDKDFPSL >CAK84455 pep:novel supercontig:GCA_000165425.1:CT868507:303593:304567:-1 gene:GSPATT00018660001 transcript:CAK84455 MQLPTRIIDGLYLGDQGAAHDLEFLVTNKITKIINCAAKHIPNHWESIGIEYLSYQWLES ETQFAFDYSRCFAFINDALEAGEAVLVHSIRAFNRSVFVVVVFLMRKFKWTLQKTLQYIQ NLKNDFEIKSNVVRQLLNYEKWLQVSKLSQNWDDAQNDDEILARNTYLNSQRTYSNEPKR KKEIGVKKVQWKQDLVSQFIPPYQQIEFKKFSTPQPKMKYSNMPIKLFVQPSDNNWMNKK YTLNQIVDEQLQKKLNLFSIKSDRQSIKPLARPGTAPSNRLLKGKVLTSSHRLLIRQY >CAK84456 pep:novel supercontig:GCA_000165425.1:CT868507:305129:306261:1 gene:GSPATT00018661001 transcript:CAK84456 MKQNNYTVKDGHAEKIKQPLYDFQQVHFQVILNVQQPQNPKQQNQNYQLKEKQTAIKPVS TLSNQQAIIYNKKTNQNLLLKFIQTTKTPLQPLLSKKEQPRINVITKNNQINCLPKVNDN QKKEGDYRKHISEAILMQKYHSTRLIEYQNQKTFSILNKLTNNKFNKSRYCNLNFLQQIN KQQKFVIFVIQKLELFGVKHTSSFLGKNPPTYQCSFFSDQKLLYRSKPVEYNEFLESIIG FNCQEFTSIYVVIEKIKQNEEVIDFLFNNQFQVGEVIYIESYSHITTTPLKDNIMIKVSD EIEGDIKKTISDEQVTVGKLQYKVLQLCQSNNQYKLQAPQSIYAIQIQQSTPRPSARQID QNTPTQLK >CAK84457 pep:novel supercontig:GCA_000165425.1:CT868507:307094:307618:-1 gene:GSPATT00018662001 transcript:CAK84457 MSEELVRLQTIEFKQEIEKRFAKSRHNHNYVFEREGNDFSDDDSLDSLKNIGLYNLCKPI RNKSMETERTMPKTSKTLLIRLLKPKKQPSVNENRSNNTSLYKSRSQSKNGTTRLRTEYY VLFLIRGSVLRESKNVTLISPKIPKSPLYIQVDNLIKSAKNQHLYKLNNYSMAV >CAK84458 pep:novel supercontig:GCA_000165425.1:CT868507:308072:309738:1 gene:GSPATT00018663001 transcript:CAK84458 MKSQQLQKDLEAFQKQLKLTLPTIINRVTKDIGQLLYFEKLFKDTKPNSVQELYYLSLLR LDPHIARQQPQLEEPIQNINEMIHLYFEQQAVKLQEMVLLEKELKMMRELEELQVSQEKV EQQKGMEVEKQNMGRKKYWRQTQSPNCDQCFCGNQLHYKQIVKCQLCEKYYHINCVGTSY DERYVKHFTCPRCTLYHMDQFCEVISVIIEPFSFKKTGLTSTKSVKFKSDTNKIDIRCIR MDCPLSAEELTWPDLGELHINNKKVAEFIPLAQQSCQHKRKDEKLIFTIPQNEECTLMLK EIIPGMEQKRKYRIQGEQLHYIAAYKTKQYSAKQLIEKIITSSENWMSVEQAQDFIILQM NYISSTGIKQIKQTISLLCCLCSTLMVTPVRGIYCNHIQCFSLENYLMMLELSNPRKWRC PICKAKLFKLQIDALQYTILQTIRQYNLQEKYTEISFDHMGNLLDDLVQKYLDFNILPEH AKTSRNRILQLENLSNQENQSENENEINESEQQSNKPLNPNSIVIE >CAK84459 pep:novel supercontig:GCA_000165425.1:CT868507:310044:311988:-1 gene:GSPATT00018664001 transcript:CAK84459 MFQRRSEVGEEQGETVIALYSQQTNMSYIPPVYLKNGPNQNRSCTNVCCCLLFLLSIGAL GYFYFLTYSSQHIERLYTPVDSEGRECGQGNLNKFPFIYFVTPDEKYLYRTVCVEKCPKQ EDQQLLCAPNNVVKDCHNNASPSDPEKRVLIYDSDKYSGNICLPRDENFKKTVQPAILVG EIQQALVNTQANLPIIAISGGAAFLLAFFFTIMIGLCTTLIVYLFILVYISLTGFLSAYF LLYFMRSPLDLFPYFDKSTYAWSPYMLAASITFAVLCVYAIFTLCWNLKKMKFMISLVKL ATTFLYQNKTIIIVPIVFFFLVMSTLLIWIASALAVLSEQQMDYSLDSQIYPFEKIQLKF DTLIKLMITVLALIWLMQYILSLARFLNASTATLWYFHASSNNGFLWDSFKLAVQYHNGS ITAEAIYSFFFSGISKAFNLIYDSLNRCRLKRHNIILNCFASISLCLCCVCENFIMYINN YAFVYIVMTSADYFESSKAVHFVIKRNHQDFETLSGLGEQFTHFSKMFIFLITTIGTFIY VKEQTDFTLQLYTFAIIAFITLSIATLFMDMFGQSADALLLTYFTDCEVQKYHFGLDDCG SCPPQIRDQVQHIREKQKIYFG >CAK84460 pep:novel supercontig:GCA_000165425.1:CT868507:312227:313861:1 gene:GSPATT00018665001 transcript:CAK84460 MKLSQTAQTKVSRMDDSFSTDIHSMCYSRVNILTSEVEKLTDVLGTASQEMDVLKEQNHE LQNQISEMEHSNQIINQEFDNVCERLKQKSIEAEEYAFQVQKLALQKQELTQNLNKVLNE LEQINQVIDIKDQEIQSGKQQFIAKTEELQQSLQQTYHLDQALKIVKEEKLKYIYEYQQL QKLAEEQQQQIKELNEYRIAYETLKVDLNILRVNYTTLLNQKLEEKKELEAQIDVLRDMH TYTIEDAQLKYADQIKQEIQSFKQLYDEQYKFERMTYESALDQMKNQVGTLSIQLQNAEI LVSTHKQNLMNQAQLSMFEKSNQVSELKAQNYVLQDSLRAANRELNELKQQMENGNIIRF DDEVRRQIEYKLDLCQQLQQDKEELLKENEQLKRENQLLKDNNELIQQKISQQSPNISQL PQSLLVSQISPSGFQGISPGFKINESEKIKYLLKTVDQLQNAIKNRDQEITKLSQMASTN VGLSSLLNKQNSGVRYDNLNSENINAFDKQL >CAK84461 pep:novel supercontig:GCA_000165425.1:CT868507:313881:315216:1 gene:GSPATT00018666001 transcript:CAK84461 MKKNNKKKSDPKVKVNPCSNDRYYMDQSIDEEVYIKNNYKVVRELGRGGYGVVYKAVGLN QGQIDKNKKYAIKVNFSTVSPELIFAEIGFLKLIYGKENMPQLVNLFLIDQKIYIVIEYF TYKPFITFFATFDMMEIRRYLYELLKALNVLKQNGIYHRDVKPGNFLYNPKTGKGILIDY GLSEIDRSFVAKLIEKEKELSKKHPQSDEVQEIRRKINLYNEIQKTIDQIGNNKIGTESF MPLESILHYHDQSYEVDMWAVGVIFLQFLTKKYNLFSNVRMINKPVVTKNFFYVNFILEL ASLFGSEQVKQICEQFEYDLKLPSVVATKPVQWKSIIHIDGFDSDAEDLLSRLLSLNPKQ RIKVEDGLKHPFFKPLLEQEKQTQTNFQDDQEIKQQKLQEN >CAK84462 pep:novel supercontig:GCA_000165425.1:CT868507:315998:318258:1 gene:GSPATT00018667001 transcript:CAK84462 MTDQEKSSDVRLIQDGLKNVQKQKTGLKQINLDNLVYNKRERKQTGGPVLQAIPQIQQKK VIQNKQSYKKKNELQTPPREEVQQVQEPTLIKQQPISCQQLVFESDNSSDFDPKIKAKKS KKFRRTHKRLDIEDSSDEEQYKRRLILKRILASGSEQENLVKELFKNVYVKIFQGTICTY LIDIPISVAELKQISNPEYSTGQVSDGKQQEEEMKPEEEQIRILTEDGTDFETKRNLKLL GIENQEILSKLGEVKSYINCDIRYFNLDFLVEKVGGFDVVLMDPPWRIKGGQQNDSSFMF TNSKFSLDYNTMSNQEIMDIKIEKLSKKGFLFLWILNTQLNIAYEMASKWGYEIVDQIIW VKLNPQGNNVYLSTGYYFMHSFEICLVGYKCPPGEHVEYHSKISNNIIFSPVRNKSQKPI EMYEIIELMMPGAKKVEIFARNNNLRHGWFSIGNQLGETYQKWLVQISCNVCGIALQPGI CRYKSKKIANFDICQECYNKKISEKEFNENEIFFLANKAEEEVLHQYHQCNRCDQNPIWG PRFECITCDNYDCCEACFDNLLQSGDLSHKDHDFKVIELPTFAEGIPCHDAKCNGCFQKP ILGVQFVCKQCTNFSLCQNCFFTRTQSELNGTRHKADHRFEPIYETQNFSKKTYKCQGCE LEKAGCVYKCENCFGFYFCEECFALKKDDWKCYVATSHKNYHTFIKI >CAK84463 pep:novel supercontig:GCA_000165425.1:CT868507:318307:320072:-1 gene:GSPATT00018668001 transcript:CAK84463 MQEQGMCCDGTRFFVGNTLKAPQMIFRKTVSIDVSESPDQKEQYFKESKLTVSFSSPPNS GCENCIPDEEVISYYIPEDNQSYTSYKQVRFSEPTDKTANVPQQKYRRQRSIPIPEKVQE DLIGESSQLSPNLNGHINLQDRQLSTNQNTNTIQETENQFNFNALSLQNSFCCKTISSYE QLDQANQMADELVNIVKNYLNDIPFNEKHFTFDEQEDEFRNKFNLQQKVLKKVLEHLNFK MNLTLCSPISRISATSPHFEDLHVNVKDLQNKIEEITDQNDLLKDKLEIQESKLLEQYDQ IKKLHIKILELELENRSIKDEFEKQQKNAKSLNEQINQQRQQLEEYVKTLQCQQLKIQQL EQLLEKYQKNNKSTNQSLNINLNQFSKPVRRHTNSFHEVCSSPNGNKLVIVNSSTNSSQQ SPRNLQLSNNHKVQLLDDTTYFGSILNDKKQGYGNLRKGDKKLYMGFWKDDNFNGFGHLN NETVEHGVIDLTNLDNIGNKWISYQGEFQDGLFHGYGIWTFSDNSRFHGLFQLGKANGRG YIYIIQLVLIIQILIL >CAK84464 pep:novel supercontig:GCA_000165425.1:CT868507:320091:320764:1 gene:GSPATT00018669001 transcript:CAK84464 MPPKLNQIHVSNIQSQAKNQEVEQLLQTIGPLMEWTPKQNIILILRREGEVKFSCTAEYY DEFTASIAVETLNGYKFQGRELKVKLHGSLTSLKICMISFVLCFFITVPYAIEKVNYKTS STYNLSLPLDEFYGNLTTKQKVAIIIDLKQTFQNREELLEKLLMENQRMAEFISKIHQDV QKGFKTNYNNDEFHQNSFQHFQNHHRYP >CAK84465 pep:novel supercontig:GCA_000165425.1:CT868507:321029:322076:1 gene:GSPATT00018670001 transcript:CAK84465 MLKKKGSEQLDIIFEAGEDLLNFSKNQVIMIKNNSIKLPIIKPRTFLSYSFEFCGITGLS YKMLRFQSLGRDIRPETNIMTSCLVKVIHTTEFSQMAQNPLKTSFQGLFTQGSHSDILLQ INKESPIPLHKCILACRSPKFNGMFSSNMIESNQILVKVEHNKPELFRIMLQWIYCGYWK EFPEEIEDTCDLMLLADEYLITDLKQKCEEDIISKLGSSNILQILLFVEQHSNLVSQLLL EKTNSMFIDDFDKIHKLNPNLEQDITRMPGLMTKLFQNLHQKKIRKGRKVHFVVDDFEES DSDDYVRNYTQHFYQ >CAK84466 pep:novel supercontig:GCA_000165425.1:CT868507:322819:324085:1 gene:GSPATT00018671001 transcript:CAK84466 MQVSCRTKNVNKYYKVIGEVGSGTYGKVYKAKCLKTNDFVALKKIDTKDQKIMAEGFPIT AIREIKLLKIMNHKNILRLREIIISKASFRNNFRGSTFLVFDYYDHDFAGLHRQRNIFTL PQIKCIFKQLLEGVKYLHESKIIHRDLKCANILMNNKGQVTLADFGLARTLSNVNYPKYT YKVVTLWYRAPELLLGQTNYNTQIDMWSLGCIFAELITGEVLFKGDIEFRQMERIYELCG SATEQNWPNCVNLRQWEEFKPRRNYERLLVKHIKDVCQALSKQIDQVTLDLIDHLLILDP NKRLNAVQALNHDFFKQEPKPCQPNEMPQFEKEFHETLLKNEMRLQQQRLEKQQMRPSSN TTQKLQKIVRDERIMSKPQQSSPQRDQRNKQDIDFEDILKFEPAEEKKKVKLN >CAK84467 pep:novel supercontig:GCA_000165425.1:CT868507:324798:325868:-1 gene:GSPATT00018672001 transcript:CAK84467 MKFLLILVGCQLAVGLKIHYLATKCPCSEFSKQNCDASKICSWNGEDCQDLDCQQLSQSY CFGDLTNYKCRWDKKFEVCKEFDYDECDQMIDAQDCIESIYYNKISCSWTNQMKCEQFDC SIDTYCPSWKCSKINGICSNPTIELNCSALAIDQCGSSYNGFGIKCYQNDFVQCKSLDQT QFSCSQLNDSKTECQNNLCIYENNKCRDKECTDLSKQQECEQFYSIQSKYLTSCFWTGYQ CEEVQEEDLEEFNKDDCQKKTFLTYSWSEDDQCQKCVLFEENESDQVLPIVLGITIPISI LLILTCIMIWWCKKKQKFCFKPDQKKSYEDEIQKDEKKSSDNNFTQRLKHDQTPAL >CAK84468 pep:novel supercontig:GCA_000165425.1:CT868507:325959:327251:1 gene:GSPATT00018673001 transcript:CAK84468 MRNFIKQFTELSIVPSLSNFIRIPNLSPQFDNQWQQNQLLEEACNHIVKWIENEMVDIQK EIKILQIPNSPRCIAIKIFGNQEQNKTILCYGHYDKQPHFVGWKYGPTTPIIENNRLYGR GSADDGCVPYAIIAAIKALKQFKQNYHDCYLIVEGEEESGSHSLIQYIQMLKISKIDLMI AIDSGIVDYDRLWITNSMRGAITFDIKIFQSHNPFQYLRSLLNKLENSVTGEVNQQFQTI IPQTSFEECQTTAQLVPLEFPMTCLKMEPDHVQQYINRAWKPSVSYIGGLEDLNQDSDEL TIRISMRLPPNKDAQEASGQLSELLTTQWSQIEIIQAKTGLAVKPFSRELKNVLNEASIN NFGNEMRMFHEGASIPFLNSLHQLYPESEFLILGVLAPDSNAHGPNENLNIEYLNKLIGC LAYIFTSQHI >CAK84469 pep:novel supercontig:GCA_000165425.1:CT868507:327615:327875:-1 gene:GSPATT00018674001 transcript:CAK84469 MGNSCCEAQQSEKEILQGIKSNYIRSQTSIASKVLFSKPQQEVKYENHILLNIDEEPVEE LKEDFHERKLYPVAKNLNKKFLHMLE >CAK84470 pep:novel supercontig:GCA_000165425.1:CT868507:328627:329159:-1 gene:GSPATT00018675001 transcript:CAK84470 MKAILFVALILCFANCSLETIGLRHKLSQIIEEHQSSLQEKQMSGGWIKQPLEEFEQQNN NIIVSSLKAVEDKYALSEDGYEYEKLLQVTTQVVSGINYKVLVQYAKEDAKRVFEVQQYV VPWNPSANSITKVEEIISSNQ >CAK84471 pep:novel supercontig:GCA_000165425.1:CT868507:329212:330673:-1 gene:GSPATT00018676001 transcript:CAK84471 MKIVILFSFIIQALNAYMLRNRKDQIKQQVILQFRETVKNPFSPFYHSSYDRLAYYVDTF GPRMWGSESMADSVDALVEEMQKYGFDRVWKENLGEITSWKRGEESVTLYDPREYPQKLN MIGLGWTPAGSVKAEVEVVHTFEELKHVDVKGKIVCFNFEWKGYSSSVQYRMNGPRLAEQ AGAIGTIIRSVASISISSPHTGMTDYQSIKYPAVAITVEDADMIDRMRQRGQKVVIEIKT GGQQYKTTSDNVLAEIRGSKYPDEILLMGGHWDSWDVGSQTGANDDGAGVIECLEALKLF KYLGIRPKRTIRFIAWSGEEMGMEDDGAQQYAKTHSQENHVVAFESDLGSTKPYGFGVTA GEKFTQLVTYLAYEYLTEIGVEKIYPNAGGAADSGALRTVTGTPVMNNQIVDNNTHDYYF TYHHTAGDSMLMMNADDMDDNVIAFASIMYLIADHDDSIPKN >CAK84472 pep:novel supercontig:GCA_000165425.1:CT868507:330740:331957:1 gene:GSPATT00018677001 transcript:CAK84472 MISNNFQSKKTAASSKMDQQNISLWGKFGSNFLTMKPFSNILSLLFYLSFPCIAWMSFNI SNSQKEKNLRRFDWTDLKWLIVGLILIHITKEINGYLVFDYVANSLDKKYVGLDRQLRVQ KIVKWIYDTFYYSVATIFAYIVFKDEKWFPTQLGGTQFTETMYDFPNMPDNPWVPFYYMI QTASHIHALLLLMFHGTKIELKYWEYLLHHFLAVSLLYFSTIYNCESIGVVVLVLHDISD IFLAYGRTYADIGKNKILVYVSFSAIQISWLYTRVYVFPIKIYDIIVNHPGFSLYWENTK HALYNQVGLMIVLFGMHIYWTIFMIKVGIGIFSAGKYKNVYDNRENKLDNKKEN >CAK84473 pep:novel supercontig:GCA_000165425.1:CT868507:331971:333468:-1 gene:GSPATT00018678001 transcript:CAK84473 MLLSFFEWLGGLIGLPEDQARMVFGFIITIPLGFLFKTLKSAKVRKYFGLTIGLLLSYML YQEKLISVIIQNIIVYEMTKRITLNKIGIKAKWVFYETLIYVAVHHIYRQYTDYGGWKLD ITTILMMNTVKWTSFAYNYEDGLRKDEELNADQQERKLQQIPSYTDYFGYMFFFCGCLAG PSFDYYDYDIFVKRTNVYEKIPSTFWETSRLFRNALIYSFFIVVVYPRWSLESLMSTEYE EACLLYKILWLNLTITLHRTKYVSGWLFSESGLAAAGFTYNGKQDGKDKWLRIRSIDPTI DLTTNPKDKIELWNISVQVWLKKYVYLRVYPESVLKSSPAKSQKAQLITFAVSAFWHGFY PGYYISFFHWNLIGMINKYIHKLGQNTNVLKIWDSNLLFRGIRFYLVNSLFNSFGIGFQL MNIKDNLRFYGSVYYIFNLTTYIVFAFFTVTQFGQKPRKKQ >CAK84474 pep:novel supercontig:GCA_000165425.1:CT868507:333573:334844:1 gene:GSPATT00018679001 transcript:CAK84474 MDVPINNFKGVMLCNRPNENVMIVKEKPFCSRVQPYDQWGLTKKYEEPKVVVPQTNPVLE RHKKWLEAFKLQNQIKKHAKEELEAREDEKFLRVREQAKKDREVTKKMKEDYKQMNEMIK KELSEEVPKSKTVALTAENLKKLEDKDSKVESKAGDKKKRYKTKPIWAMTKEEEEQHIKS EEDELLNFVENLDYDSYINDLEVNVMLKALQQRITEIKKQDNQQEKPDNLPEQKQEQREP DIYDKISQSLGKNDEARSVHSEKTQNSINQLRKRQEQLEQGKQDWDKTTSNGDQKASLED RIAKHVADEILNQYKNLSNIHSNSSIRKILEREAKKTLLETGLPGPVISVIKNEKMPRDP NTLPYLHRNPAI >CAK84475 pep:novel supercontig:GCA_000165425.1:CT868507:334930:336444:-1 gene:GSPATT00018680001 transcript:CAK84475 MEIKPKWIFCLLLSTNIIINLDQGIIPAAIQQIEDSLELTSEQLGYLGSQVYAGVTLVCL FGGKLFLHFNSKMIVMISYIGMITSLTLFPLNYGSSWPFYIFRFLTGCSKAPMMIYFPVW VDNFGGESKTIWITILQGVIPLGIFVGYSLSSVISSLWQWQAAFYIQVIMLTVCATFFII FVRNTDFDIKKCTKSKLERKSINEEQQSASLLAQNTKRSYCSTMIELYSIKLWLCCTIVI SILYFIVTGIQFWMTDYMIHEMHQDKQTVNIVFAIVSITAPVFGCITGGLIAQKLGGYQR AKSLYVCVLYCSLCCISAAPVPFTETFWFGALCLWLLLFFGGAIVPPLMGIMLSSVPKHL KAFANSSTTMFQNLFGFLPAPSIYGFLMERYNSQVAVFALMYYSFVGLLVMLLAVYFRRQ QNNKKVAFEEVFAQQDDSKSSVLHDQENFNLTVRVLQYGDAPLVTSLTPHIDDQILDYND QELVNQKEI >CAK84476 pep:novel supercontig:GCA_000165425.1:CT868507:337187:338628:1 gene:GSPATT00018681001 transcript:CAK84476 MLHQFQELEKLGSGSFSEVWKVMRRVDHQVYAMKKIKMGTLNEKEKQNALNEIRLLASLN QEFIIGYKEAFYIDETQTLGIIMEYADGGDVAKQITNKKNKTQKFQEQDIWQALIQITQG LKELHEKLIFHRDVKSANIFIQNGVYKLGDLNVSKIAQRGLLYTQTGTPYYASPEIWRDE PYDNKSDIWSLGCVLYEMCNLHPPFQALDMEGLYKKIQKGIYPAINGYSNQLVSLIGQML RLNASARPSCDQILNSNLMNSDFSRLYIKTPSIAINKRMMKTIQLPKNLNTLNDILPTKK YYNENKENDNESVRYSVQSSKLIPLNRKSVNNSCIEYEKRFYRIASVHEEILPTLPSEYR QPKVVTRRRPSSQYKEEEINLEKRAEAIVSRKQLQKQLSEQHPLPKPVLKKQMSNLPYLY RNVNESERQENNKSKYHQSPNNYARRNIRKQITSQQ >CAK84477 pep:novel supercontig:GCA_000165425.1:CT868507:339215:340922:1 gene:GSPATT00018682001 transcript:CAK84477 MINSIKNQFKQQLELLQDKKYKKPAFEAYNMKRAFVTLDQAFEYVGDNSKYQQRITLLLC IQFLFYSFFVMGMPYLLQNPSKYCTDGICIEYPNCNNRIDSLIGFDSIAKEFGLFCNGRK AFIAALFFVGQMFGGFTFPILANIFGRRKILLFGMTLGAVSISVAALCDSLLSLYTLFFI AGFGLSGYETVVYVYITEISALRFRSIASSLLIVVWSSSMLIYPFIVDILQSWRLLMMWS IGVPLLVTVVLDYFYFVESPRWLISKQQYDECRRVFRFMSIFNKRRPFEFNFMEELDKFN NRCVRIASVKRVETQINLTQQSNQNAGYWELMKRSKLLIQTSILVQMWFLRYFTYYGLQF SVSTFGISMTTTLRILALVELMTGMSSLYFKLKYPRIISLQFCLLTMIFSATLAYIDVPL ECQGSLCWQQILHLLSAILIKASITIYNSMLNTYTGEAYVTTVRSYGYGFCMTFGQLGST FAPLYVSYMQEIYQQANAITIVGILALIALGLTWFLHETYKKDMYDNLTDEVMANQTLPL INEIELEQLR >CAK84478 pep:novel supercontig:GCA_000165425.1:CT868507:340948:342488:1 gene:GSPATT00018683001 transcript:CAK84478 MQQLRSSHFQLGQTDQKNQFTSTMKMNFKGDKVNDQATGVGDKLNLRGVHFHLGESKPSY NTIFKTDFQGKQIGEPATLNEEKKNDLRTNHFVLGHQQAQQVSITRATYNEKPLQPGLQN EQEIQKNKMRSHHHNFAESSHKMMQTNYNQQYQPQQLEPKQDLAERARQLRVSNIFLGKE QVPMITAQQEDYNKKEGGAQASFKPGFQSTHFNFGNANADFKTMNQEFFHEQEITKNQFA EENRQNLRATHFTLGKDNQPYQSEQMSHFRPHSENKINYINNTPALQGSHFTIGDPRFMN HKTQTFYNSTMKPPEQQQNSQARDQQMDRGSNFKVGTDNISYKSEMQSHFKNPSGQPAQL SEKLLKDLRSSHFGLNDNVSKNTFMTTKQMEALNQQSGQPNKLDPHASANLRSHHFNLGQ TKGDLISQTHDIHRPLIGKPNTLNQQQANNLRKHHFALS >CAK84479 pep:novel supercontig:GCA_000165425.1:CT868507:342521:342980:-1 gene:GSPATT00018684001 transcript:CAK84479 MNLEKHAKALLEYPQSSDDDDYPNYKMKALKYRKDFHESNDQIQKLKLENYDNKQMISEL LGDLENHRKKIMILEQELLNQKQLTQDSCEQLRRVKQQKQEDRLLMEQKQFQEKLTVQTN NAIEKLRQHFDQTLSQFTPKLDNR >CAK84480 pep:novel supercontig:GCA_000165425.1:CT868507:342986:343973:-1 gene:GSPATT00018685001 transcript:CAK84480 MAESTTPNVNWAEISDDEEITQPQAQETQVQENQAQEVQQEQPQEQNNEQKKQSKKPYQK HHKPEWVRLKELQKKQAEQIQKEQQAALEARRIKPSKNNFQGLLNDSDEEEQKPEEQVES EQQTKEQEQPKEEQVDQYQILKQKQTQEEKQQQQKKKDQKKKENEDLDAILNELGFTQKE TEQAGDQEKKKKKKNKNKDADKQPAQAATEKQPEQQQQQQQQQQQQQQQQPEKVDIKKVL AEKAKKQQAGTHQVDKDLERVKQEIERRNQKSKKNKKQDLDL >CAK84481 pep:novel supercontig:GCA_000165425.1:CT868507:344023:346202:-1 gene:GSPATT00018686001 transcript:CAK84481 MLKINVSVDNNKLEKLYFLAVFNLAATEKIRDLRANKIGKLSSFIGTVTRTYEVRPELLS GQFTCQMCDRIIDNVEQQFKFTEPKKCPNTKCDNKSKWTINLNKSQFTDFQKVRVQEDSK DIPAGSMPRSIDVILHNEKCDAAKPGDKCTFNGYLTVIPDVFSLGKPGLKSSMTTQNQGN NQRGQTQDGITGLKQLGVKDLCYKFVFIACGVETNNNRFNLQKQQIDDKEYTESLSDQDA KRGQQFDDYEKQEILEMKKQPDLFKNLANSIAPAVQGYEDIKKGILLMLMGGVYKTTKEG VHIRGDINVCIVGDPSTAKSQFLKFTCNLLPRSVYTSGKASSAAGLTASVHRDIENGEFC IEAGALMLADNGICCIDEFDKMDSKDQVAIHEAMEQQTISIAKAGIQATLNARTSILAAA NPIFGRYDRSKTLKFNVNMTQPIMSRFDLFFIITDACRPFVDEQIATHIVRLHSQQEGAI EPRFSQDQLRKYIRYARTFKPILTYESAQYLKEAYIRLRENDQTSQRTSYRITVRQLESL IRLSEALARFPMFKKQRNCWGQSILQVDETPKFIDDLEEQQPIQTRAMGIIEEELQQKER ERRRQGQKIQLAQDEYLDIVRALTAFMIQKEREMQEEITEAKGVKWEDLAEWYITNKLDQ IEHEEEVHQYERLVGAVIRQMINKEKILVVVQDHEDCNLRELALHPNVPR >CAK84482 pep:novel supercontig:GCA_000165425.1:CT868507:346304:346483:-1 gene:GSPATT00018687001 transcript:CAK84482 MQETREQQVQNRFLHFLQEFRTINPSTGQSFYYYHEEARIMRDNDRTTLNLDFTSCYSD >CAK84483 pep:novel supercontig:GCA_000165425.1:CT868507:346792:347173:1 gene:GSPATT00018688001 transcript:CAK84483 MNQANLIQCQVLDPQLKPLLRENNDTPFQRKSLLIKFNSAKICQVVIKLNQKPRNIVATL TNLQNQSSKTNQLGCIISLLYQREQKSHLQQLDQHELVLHWSSNQDRTG >CAK84484 pep:novel supercontig:GCA_000165425.1:CT868507:347200:347766:1 gene:GSPATT00018689001 transcript:CAK84484 MAKKAPKRNKVLWIISSQNLSQLDNDTGTFKNFTDALSSNRDPAGRLKKNVNKNALKQKQ DYEEAIENSLISAQYEQMNQKHIQESKNDDNSGYQNEEFQIQEEDDLSLEILMQKQDQQQ YSYEQKKHPQIMIENLGYTDKKNHTDQKKGSQHQWNGGDPIKLHLMQKYRPGDINLAFMK MHQLSRSL >CAK84485 pep:novel supercontig:GCA_000165425.1:CT868507:347846:348903:1 gene:GSPATT00018690001 transcript:CAK84485 MAQNPAIIEVAENPEEIKKKIKALPLKEKLKAVALNYYLQQKKKLDIELEKEMKKLQIQF DEKAAKIYKASNEIIEGTRLLTEEELKDVDFYLEGEEATQKNQALTAEPINGYWFKALKN SDIIAQEIKDRDDPILKSLTKIEYEPQEKSDNFSLNFYFAPNDYFKNSVLKKKFILKDGE NPVKSEGTIIEWNDGKNVTRNGIVKTISQEVDAESFFIFFKPINLEDKEQIEKWVQENKL DKYAERMDIDYDIARMIIDEIIPYSLEYYLGVKINDAFDDIEEVDAESSKGSDEEEENK >CAK84486 pep:novel supercontig:GCA_000165425.1:CT868507:349007:350036:1 gene:GSPATT00018691001 transcript:CAK84486 MYVKPLPSLTHIGRYYVRIIKDLSVVKQIPAGSTVLVGGFGLCGIPECSINALKEAGTKN ITVVSNNCGTTDLGLGLLLNNGQLKRVIASYAGENHNLAKQYFDGTLELELVPQGTLAEK LRAAGAGIPAFYTHTGTDTVVEKGGIPIKYSKGGNSVEIESKPKPVEYFNGKKYIREDSI WGDYALIKANVADTNGNLRFVGTARNFNQDMVKAAKVVIAEVDSIVPVGSFGFDQAHVNG IYVDYLYQGNNYLKTVERLVYDQSVYDKNKDIKPQGKQNAIRNRIAKRAAQEFQRRHVCE FGYRNPNSHTSIP >CAK84487 pep:novel supercontig:GCA_000165425.1:CT868507:350044:350611:1 gene:GSPATT00018692001 transcript:CAK84487 MTIHFHTEIGAIGVGPYPKLGQELGDLQNAGKESCTLNPGATTFESSESFGIIRGGHLDM TVLGAMQITKQGDIANWVIPGKMVKGMGGAMDLVASGSKVLVVMEHTAKGEVKFVNQLQY PATGMNKVSQVITDKAVFVKRDGQIGFDRNLK >CAK84488 pep:novel supercontig:GCA_000165425.1:CT868507:350627:351617:1 gene:GSPATT00018693001 transcript:CAK84488 MVKQQKKSKITVIPPQPDFQEQEIELLQEDLDDDLIKTLSKANTNSIATIKEKEKKVVEF KSRVLELVEIFVSNTKNPSIFIERIYPFIKMISDFKNPQKIQYINRVCKLIHQIIQKGDL GEHIDNMKQCCETLITYLHKCQDKSINKRFFELLDLILKQISQYDKKAVADSIVKGLVLM RKNSNIQMQNIRKLIFNYQFALVPVILKLCQLSEINDNYERSKYNFSHLLFTLIQNEQIK KKVITKDKVKQAIVNLAQHTLENHTKQNYKRVAIFRQILLSYKTLELNELQEQIQTFQSE DQNIQHIITKLQ >CAK84489 pep:novel supercontig:GCA_000165425.1:CT868507:351639:355953:-1 gene:GSPATT00018694001 transcript:CAK84489 MQQVEEKWLICADPDSCQIYTLNINTNEVRVEQDVDQDYRQKALQAIQQIESMLKLKTVF PGTWLMASKQIDQYRRRKIPSYLLHKQSSSIPTYLCQSTLSQAFVKVNSIKLQVKLNQYR ELFQNMPAEVQLMEKPNIVEIEAGLVTGADEVIKSVLREVYQRFSVKLRPKDDKNQFILQ ISGFKEFLTGNHPMLSYDRVRVQLRGMKHLEVILTEIPKQSNQTFLFPPIIHRIKGGEPF PQIDWSKFRDVPALLWYSPQPLAKYESEIAVTRLSVGPSPQIKFDKIKVSNSQDLFTNHD DRIKRISIDENPIRPPSQENYGQKQKTSGQIYDLISMRNELQKLNMLKIEQNVLYSGECD WPFSVKICSIENLLQTLEQDNKFEKQHQRATYNGLIPPLYITKKNAGTKVEEEQHKQSKK PNDETDINTKRQQVHLKLHKRTGRVLDPKIIDIKRYIPFGGQDNLDELATLQERYDLDFL PYLISVQVSLFHGCKLLTPWCQAETKKQPFSQCPKFYQTITFQGIKISQLPQEARLCFNI IAHSATGQQQIIGCTTMYIFYEERKFRSSINQLNIWPFYKIDPRLLCMGQYWGWVKQQNQ QLIGPLHNNRNQHYISSQLINKSYGRLLIQLDQFNQTMKWSLRDEKQMEELGFSKSARSQ RYYEMRNKFIPNSDYSNYQQSQSSALTQDQFNSAYQNTNKNSAYQQINSNSQSNSFSNSQ LFLKKQRTGMASFYNVHQRQHTNPYEQYMQVGYSSQDQGTILRFGEMQDRQTHFAQSMMH MNQGSSFNVHDQSSTQLSTFKLSHQFSQQSIQQNQSYQENYNQVFPYKNWSTTPRTEDLA ILQALLKYNPLNRPYYTDQHKYILMICRNHYKTLPYALQIFLMAIEWDDPEQVREAHNMI KLWTPLPPEDALPLLDAQFADETVRLYAVERVSILSDDELQLYMLELTQCLMFEKHLFNP LAEMLLERSLQNPWVVGHELFWLLKAQLHVRPSYERYSLLLEQLLMLCGEFRQQLMNEVL VDNELFNIAQKIKEENVPKDLRQHFLQTELLQLYPKLPKPFSFALDSRMEAQSIQYDKCK VMDSKKMPLWLAVIPKCLENDDELQLQQPINIKQQEENLNGNISVIIPQDENLHNNTKQQ QDQKEQKEQIEEEEQNKQHLLKIIFKTGDDIRQDMLTLQLIKIMDKIWLDDGLDFRMKPY KTISTQDNVGMIEVVTNSLTIEKIHGQGGLMGAFQQKTIWNHLKKKNSEPQSFETATDNF LRSCAGYCVATYVLGIGDRHSGNIMITDTGHLFHIDFGHFLGNFKQKFGIKRERTKFVLT EEMAFVMGGRDGDLFKKFQQDCTNAYNLVRKHGHFLINIFLMNLSAGMPELQQASNVKYI EDQLALNISDQEATAKFKQEIIISLNDTWRQIDNWFHYMRRK >CAK84490 pep:novel supercontig:GCA_000165425.1:CT868507:356049:357940:-1 gene:GSPATT00018695001 transcript:CAK84490 MNRTQSCRMMQTNKLLELLKKKKKAESQNPSLSPRQQLSPPRLVKLKSPKQLDVQKFVTA AVKLKGVSHMRTLNSDRDNYELIITLITQEKQQILMQYRYIVPIGLDQTTTDLYQKLITK MSAYDPQNYQNIAYFESYTQNYNVDYYLSLDDKPLSVFSNGRTLKLVPIYYNETQKNSFD DYILIKCIGVGGFSRVYLAKKKRNGQFFAMKIIDKQFITKNNKESMINNEKLIMSSLDSQ FLTRLHCSFETKYYLVFVMDYCYGGELFFHLRKMGTLNEEVAKQYFGQLCLAIHYLHEQH VIYRDLKPENILLDIDGYIKLSDFGLSKPNMSRDKATYSFCGSPEYMSPEMVTKKGHNHM LDCYSLGAVLYEFIYGYPPYYDQQLQNILNSIQYDKLEFPEHIKISDQLKSLLINLLAKD QNERLGRKNGVEDILNHPWLSNFNYTALYKKQLHIHYKPQPLQTNYNVAEFSKGDREFQQ RLQDNLHRERQTKFETIFPNFDYISEQPKSKRELFVEKIKYASLRSSLQTNIIKNKIKTL SLNAPQLSAICPRYQSVKNSQVAQSSSTQSFRNSSSSKRFNTEYDLQKLLKFKQ >CAK84491 pep:novel supercontig:GCA_000165425.1:CT868507:359641:361221:-1 gene:GSPATT00018696001 transcript:CAK84491 MGGSKSKAIKKHAKVAFSDSELEILEVYFELLKCHDSINGYLTQKSMVPEFTENPDFSIK LFNWMRERCKNQQIDYINFVITLELLLKEQQEYYLSDYKFRVLEKFELFALISLGCLENE KEAINRFQVSYSQGSTVIKELLNMYYFNKEVSNSQRNDLAARSVANSIFDQKASLPFNQF IGQAKQQLIYANKMCKQYFTKKFIDPQLKYGIPALTSSSFILNDQILALLQMSSPDFNRI KQLDLKFSSSVGYDDLEHITEIIIESQQPLLFIFRNREDETQNDTFQQSIFGAYISIDQT IETHFIRKQQKDPMGILYNPNDQVSLYFGDEKSFLFSLLPKYQLFMSTFDIKSKQCFGYI NSRAFNLKVPQPYGLGFGGDGKGNFRIWIDENLKGSATNRINNQCDQTYEMGYLLEPHIE FLNLTSIEIWGAVFEEKETRPSNQNKFRS >CAK84492 pep:novel supercontig:GCA_000165425.1:CT868507:361378:363797:-1 gene:GSPATT00018697001 transcript:CAK84492 MRKQLQVLKELQGDLSLIETPNYKKLISCIDKILESNTTDGELYYQKGVIFFQKDDYQQA IEYFRKALNCDAKNNTIIQNLGISYKAVGNFQAALEQFNKSLEIQPKNTQVAILKGDTLR LMKRFTEAETIFQDLHKKDRDNVNVIQGLIELKLDQDLKSEAIQYIEQIESLKVIEVDPL LLIAQRLVQSKLDKQALKILSKILAYDNKNTDGWYSQGIILYNGDQFTEALKSFEKVIEL DPQNTSAMLYLALSFGQLNRYQDAIQIFGKLLQINPKDAAIWNNKGIACRELKQYQQALV CFDKALEINPTNPLYKINKALIMIEINKPEGLKLLQKAKESFNSDIQLLNNQVFTSVNIQ YMQLQFQLLEQNGDEHDLLNQIENLENIENIETKDLEGKRLIINTNDLNHKDFGKLKNSE RKNTERSVQKQLVRIPTEEVQSEILNQQEQKKDLNVSFIQQSCLIQGSNSDLMQIENTLI GGQQGSIKKRNTKFKIDQLENMYQQLRQKINTIEQQIQLVGLDKRAAIYKQLQEFQKSAE GLNQLAYYKAFFWTLFNYIQSYANQSTGLFQHNTNQTIETPAEKVADNLETGLAIGVKIS ASIPLINTVLSTVHSAVHTLFQIHKDNKHQDRIEAINEIISKKVIGLSDLELQISSAALD LSIKKLDIIKDLETVERKKSKTLTQEMEKIIRRMMESKSSLYQGGASQIGLEDALNCLGY YYQNGLIDEDQELSQQIVLIIIEKRYFQKTPIVESRECFSCSCQIQ >CAK84493 pep:novel supercontig:GCA_000165425.1:CT868507:364327:378244:-1 gene:GSPATT00018698001 transcript:CAK84493 MGDQEPLKSKEDYFIYRLACSFNIRNSLQQDKFKKSFETEDNKMVFDRLMKDESNMMAVF AIQSGAESVTLFSDVPHPDKFRKKGLIALKISESPLSVQNITQNIVFLELTRNILEHLYS TFYEIMSPILQNPSNQQGWTDLVAKDLMEKFNAYVAQVYVMIGQIEGRTKLPIPSHKLTQ SDTTPAKDKAHVYESSIITWTKQIKNVLKLEPEQALKNGHNPGPLVELKFWENKAANLNS IKEQLEGSEVIKILRFLEVNKSTYTNPFSKLQREVTKAREEANDNNKFLDLLKDPFQRLQ DTGGDFQSLHELFIPIMHRILLIWKNSKFYNTPPRLVVLIREICNAIITKAQDFVNGPMI FQMISSEETFEACEKLQITIDVCTKFKDAYFEYKAKADGNWKLTTNALFVRLDSFLERCH DILHLTNTIVQFNKLAKIDLGGTKGKTLTESVQQIFVEFQKAVEQFQQVKYDIMDITQKE FDDSFYEFRSKIKELERRLASVITQGFDDYDTLHGRFKLLDSFEGLLSRPIIQDELEKKH IVLLDMYKQDLKQVQQIFLEGYELVDKLHERAPIYNNMPPIAGALMWCKGLRDRITEPLD KLAQLGQGITEREEYKDVLKLYQSITKQIKDYEQTKILSWEQEVGKVSEEKQKQPLLSKD ENGLLRVNFDPALVRLLREVKYFTLLEQPVPESASELYSKNDTFREYIVQLEMIVENHNF IVTQLHPMEEPLIKNRIEKMDEVLKPGIEHYKWKSNDINKFIETAKATVDELHQIVQKMK ETLKKIEQALEKFNTKIIERKNKPMSPDDYDQFLKAVVQNKLSIVKDNGTSINKLVKEVL DQVKVDKKQQAWINYQYYLNSIVINGLARAIITALNHMNEQINPQYIKKHEIAPLFDIKL ELFRNVGIQYEPEIEETTQGQSVRNTIRGWANDMFYIAGQFQRLDSANPFGDYLPEIREY FEIKEVVQAINLNLDQIEEETRSFKQSYMTYSYLWLEDPKQAFEDFMQKNEPKDAPEDED QSQNPLLQGCRIKIPKLDLFDDKITTLKNIQQEINRILTPYEISWLRINLQPLKSALENK VSQQIKVYTEFLVVQFKTTLKNLKGFIQRTNEGIKENPASEENAGNRDLLMKVMRVISDV KDVEHKCEGIVVRMKEMVNKLKKHGVQIMEKGEEDPVQSIDNAFTQFNETTQKVFKIKAE ILPLQTQETINIKKKLDEFQKKVSDFRNDTLNNLPYSYHDDMKMDQILYSYVTIDEYYKK LLQMEKEAADYNQLEKLFELEKSGYKQLRETNVDLKSLKIMWDAISMVNYQYNDWKSKPF RQIKADVLLESNKVLGNQLKNLPKEVKNFKGYNAIVDKVKNMSVVLPLVSALHSEFMEDR HWSQVKDMTKSKFEHKAMTFLFDDILALQLYKFDAQINEVVEVASKEAKIEKKLKMIETA WLKQIFEFEDYKETKVFLPLDNMMEMLDQHSLDLMGMKGQGKYVEFFYNTVEDWREKLGR VDSVVGEWLKVQKNWKTLVNIFIGSEDIRMQLPEDTKVFEAVDKEFRELMTEVAANPLVI EACINERKDQLVAMSLNIKKCEKALNDYLEQKKKAFPRFYFLSNQSLLTILSNGQNPPKV CEFLGDCFDGMKTLSFEPSKNPNDVPRSTHSMISKDDEKVPFSSNFECVGAVEHWLSALE YKMRETLEEILEKAKETSENWESGDNPREDWVKNYCAQIALLTTQIVWTEDVTRAFEDLA GGAETAMKECLKLIEVRIDNLIKKVRGNLEILERMKIINIITIDVHSRDVVEKFCIQKTQ ELESFAWLSQLKFYWDNKDNDMHLRQALRFKWEKERDKSKCIIRIVDWFRFYSYEYVGNA LRLVITPLTDRCYITLTQALNLTMGGAPAGPAGTGKTETTKDLGRAVGLPVMVFNCSDQM GKDSMAQIFMGLSQSGAWGCFDEFNRIAIEVLSVISTQVKTILDALKEKKPKLIFMEEGE ISIQDTVGFFITMNPGYAGRTELPENLKALFRSCAMVVPDLVLICENMLMSEGFQQARAL SRKFVSLYMLSRELLSKARHYDWGLRAVKSVLRQAGKLKRADPQIAEDPLLMRALRDFNM PKIVTDDKPIFLGLIGDLFPRIECESKTNPELKRIVVETTKQDMGLVAEEMFVLKVVQLA EILEVRHCVFVIGPPGCGKTSVWKTLAKTHYNRGEDFELDTLNPKAVTSDELFGCYTKTK EWKNGVLSMIMKNQNKCEEKYKQSHLHKWSILDGDIDPEWIESLNTVMDDNKVLTLVSND RIPLTPSMRLLFEISNLKNATPATVSRGGVLFINETDIGWMPYMNSWLERSFEKCVVKRE GLMGQVPQSPPIDDIAKSVFYRCFQQYFETNPDIRDKSKVRLIVPQVDIAQVMTICMILD ALLLETDYTKISAMKEDDQKMIYEAYFIYAGMWAIGGCFGGGQDDEKDMKDFNSVWKAAA KVRMPEQGMCFDYYFDFAEQKWTHWQARVVPYIATDEAIFSKIYVATLHTTRLRILLDYH LKRKKCVLFVGSAGTGKSAVIKDYLSQTKTDQVSYKTINFSSFTDSLALQKNIESMVEKK SGRTFGSATGKALICFIDDMNMPYVDKYGTQQPIQLLRQVVDYGSVFNREQLEERKFLQD LLFFSALNQKSGSFIIDLRLQRNFSVFTMYTPNAEIIKTIFGAILNSHLATFDDKIHKLS DKLIEATIHLFNKVLKDTRYSPSARKFHYQFNFRELAKVVEGIMRSTPNQYRGQPNRMLR LWAHEAKRVFEDRFINEEDIKVFRDYVKDALVKNIGEPDDKDNPLEEPNVFTSFVAAHIG QEQQYTNCDAITLRKVLDDKLREYNEVKAMMNLVLFQQAMEHVCRIARILELPGGNALLV GVGGSGKQSLTRLATFILGYDADQMVVTSNFTINDLRNYLQEIYKKVAKPSSGSRCYILT DSQIKEEIFLIPINDMLNSGWIFDLFPKEDYDNMIQGLRNEAKGQGVLDNLDAITQYFLD KMRKNLHVVLCFSPVGDTMRIRSRKFPGIINSTSVDWFHPWPKDALIDVSYRFIQEVELD TDDLRKIISLHMAEVHLSIDYANQKYLQLERRYNYTTPKSFLELIDYYKKLLGEKREQIS KQIKRYEQGLQILADTQGKVQLLQAELKIKMVEVDKKKNETDILIEKVGKESAVAEVEQK IANEEEEKTNAASKAAEELAETARIELEKALPALEKAKAAVDCIKKPQITEMKSLGSPPT GVLTTARAVLILLGEKITLQDPEDKLWKKSQQVMNNPQQFLDRIINFNGKQIDPQILASV NKIIEDPAQKFNEESMKGQNFAASKLCAWAVNIVTFNTIFKLVDPLEKSRDAAMADLEQK KKELGVVKEKVRALNEKVNKLKRDLEEAERVKQLVEADANACQEKLSAAEKLVNGLAGEN KRWGENVKELSSNIKSVVGNALLAAAFVSYIGAFSAKLRLELWSKIWLTDLQAKQIPLTQ GIDPLKILTTEAKIASWKNEGLQSDQMSLENASIISACSRWPLIIDPQLQGSVWIRGSQG DNLITINISQNKWLQQLNQAIPLGKAVLLEGIQQEIDATLDPLLSRAIVKKGKSIYLELG GEQIDYDPKFKLFLMTKLYNPHFRPEIAAQCTIINFIVTESGLEEQLLAAVVNIERNELE MKRQELVKQQNEFSVQLDKLEENLLIQLSEADPSTILENKSLIANLDNTKQTSNTITEQS KIAKVTEVEINQQREIYRIVAAEGAMLYFLVIQLSVMEHMYQYSLESFNKFFFKAIERTT IRDETRTEELRKNIRYTIYQWISRGLFEKHKLIFLTLITFRLMQKKVIEVVYEPAEMDFL IKCVPRAGVENNLDWLSQTAWDSVQGLIQLEEFKLFAQNMEKDAPIRFKDWYNELQPEDV KLPFDWKRLDQMPFKKLLVLRCLRPDRITSALTNFIRQALPQGESFVEMDSKLNFSEVLS GSVDDSDATIPIFFILSPGADPVKEVEKLARINKIEPGKSFWNISLGQGQDEIARRRIEE GNKEGHWVMLQNIHLMPKWLLELEKILDSFTGEQGGGNPRFRLFLSAEPSSGIPIGLLDR SIKLTNEPPAGLRANMKRAWAYFSKDEIEDKDPKIKSILFGLCFFHSTVIERRRFGPKGW NMSYPFNMGDLRDSYLVMNRYMEQGAGDTSSEKSCMVVTLSMIGIEDYAWVIWTISCMRE YSMNWNFSHSFEGKNLSFKVPPPNNYEKYIEHIEQVLTQETPLAYGLHSNAEIGFRTQQC LTLFSTLLELQPKDSANEESSSGMRTKNEIVQELIKQLAEDINLKSMIFNIDEIKNKIDA ENKGPYQNVFLQELEYMNFLLIEIVRSMEEIDQGFRGILTISEQMEQIIDAIALNRVPVV WVALAYPSKRGLASWLTNLLKRIEQLNLFRDDPYAIPKVTMIGRFFNPQSFLTAIKQVIG RQRAQELNRLYIATEVTKKSIEEIDQTAKDGAYVFGFVLEGARWDVVTGQLEESKPKEMF SVLPVVYCKALMVPAEGKEDKALYQCPCYRTEDRGNTYIFTGQLKTRLNPRKWILAGVAL LLDVEGVSDEAAAAKKEKKA >CAK84494 pep:novel supercontig:GCA_000165425.1:CT868507:379206:379844:-1 gene:GSPATT00018699001 transcript:CAK84494 MPKNKGRGGKNYRRGKNENLTKRQLETKEDGQDYAQVIKLLGNGRLICVCLGDSKQRLGH IRGKMRRKVWIQNGDIVLVALREFQDEKCDVVYKYFPEEIKQLKNLKEIPENLEEGGGDN IGDNVVFVNKEESSSSDSDISDSDSDDSSDSEPKQPQKQQPQKPQPQKAQAPAKDNKEKI TKKDIDDI >CAK84495 pep:novel supercontig:GCA_000165425.1:CT868507:380247:385574:-1 gene:GSPATT00018700001 transcript:CAK84495 MQFQQLSKSSPSKNSTQKKTIFHQEKQSQDGQEKEEKNEKAEIDTHTTRFAKQMRLMIHQ QQNANLRESIANLKKRKTLEGFSGETPVSIQQCFSFLNSAIQNARSLRSLDLSGMEIDPL LAIELGQGLQKNSSLNEINLSGCKLNSFSLQYIFSSIANHQTLQSINLFNNQGFNIDLMN DINQFVFKGKNNLKKLKLTHCNISSTAMSYLLRNLKYSRSINAIDISMMQFTTDVLTTLG MALQHYKGKKVLEYLNIGDTNIRNQGVEVLAQVVGWNIRKINLKELNIRRNFINQKGVQH LELFLRKINNLQKLDLSQNQLEEFSCQNLLSRKMYEVNLSSNLISCFPQQFFLNTLVINL TNNNITNQGAFQLSSILRQNPLWIELNLSNNQIKSEGFNSLIFGLRDNKMLKKFIVANNN LDGEAIITYMINHEQVYFEHLDVSYNFIRYALIFFLLKFIKSGCLRCLRCSFQQKQQNEA WDINVNPTLFHEEEKITKSAQNQFQLISFNLRELDFSKNENIFTPVISSLATYFNKIEIL DLSSCKPITEYDLDLLCTFLKKSTVVHDLNLRDLNIGSLNLESVKKLRNSILNSSLKKLN MSKNYLFKLAKAFQVEEIITNYKLESLDMSQNGIESRHTNYIEIVLSSYRNLQYLNLSHN NIGFTGLISISRVLQENKCIKSLNVSNQKMSADDLLILSSIISNENLQFLNISDNPGIKR LKTFFNLCQTEKMELLQLSQMHFDKPNFRNLINITKKQTRNILGISLNRCSFRLNDYEKF GNQLLKCKKLQMLSMTYNPLVYVDLNKTVAILNALPSLKILKLNQVTFSGETFCNFKDWI LSPNNCSLITLDISENVLRQEWLDELCIGIGGNRTIQHLFLNKCNLGKYNLDPLGLAISK NSTIRRISIANNNIVDNLCSSKFAAVSQYNTISFEELNLSDNPLGEKELIRFFTPQIFKN RSKLVAIIKQLNLSNCKLQISFFQNLVKVHQIHKEQFLFSHIISLSLSSNQLDDSVGELL QQTIIQSTQLQELYLQQNLLTSKGMIQILEGIFATQTIKTINISSNNIGDEFAMKLDSLK NQKCSIEFLLLRDNNFQKQGLKILAQILSFQKNLFIDNIWSNLDDEDADNILEQYTRICQ KYRLDQSSLPSFLKEIQLSKSNLTDKFCESFGKYYPLLGFIEFIDVSDNPCITMYGKVYL FFHLFDYSYEKHQLRALHISDTQETRKLFDDGLLRYLTLRVRNYLLRQTKQNLKKQHPLQ QQNNSEKQTQKLFGGMLGSWLIHMVNKLMKYLDLIEPQIFIVSTLFIGKFRRNNINIKIL VFLFWFGFVVVNISQFFKLFIQVKDKNQSSIFKGEQNRQITSAIYTDLTYTFIIVGTIVI IEILQLILTVALRRKIQPALQIIHPKMLEHLRARFPHKREIFLMIYSIFSKSSTLAERMF LASLMSLSDDIIDEKISKYQILFSIVIFARFADSFIITLINLIKFISATPSDDQAKYLSL LQRNLYYQNYFVSSDVLVTLCPVQGYQLTKTIKVNYEIIIETYRVFLMELILFIFIWSFS RSNHIVDLTTFIDPKWPTLRIWMAFLFIKCIISIIVSLFKILTVRPAVVKQNGFNQALAI KRFYQNKHQFVKPQNIQIEQNLQNIEFELRTKQQQQSQFSKELEQRREIKIQNKIQEIDQ IEEEEQEILLSKQNSNIDQLNISSYSDLPYQDAPQSPLSNYLPKFKFNI >CAK84496 pep:novel supercontig:GCA_000165425.1:CT868507:385966:387538:1 gene:GSPATT00018701001 transcript:CAK84496 MLNQQKQEINLPNQQKQIIFNLQVQPSQLLQENRFLESQFRLYFQKDKLWLTSQNLENQT IQAFIINFQKILNEISKRQQLSQTIKLYEMGINANQIFNIEMLLNTKSTQLEDVAKLLQI KLIFIITSLNKQWEFGVINKQILKTVIQMNSSPPNFSFIVGFGLFKQQQQIAPIVTTNFH KLFTPNHPNPLLFQEQRVYTPQNNVQNKPSFQILSNQSSPAGFPDCKDKNQQEEEDSVLT TSQISEYHKEKEKPVQKINMPNSYSIKITSTQCEICSEIYQKTCENQIILPCCQKIVHRE CVQKDLTQSVDLFDNKKCYFCSKQFAMSFFKEILGLSKFQEQVKKQIIEKCVDTCFQCNA KFPVLPKQQEKIFAIICQQCQIEICSRCRKKFHGISSDCKNIRQELFRVFQGQPLIVCEF CDLIQTKDEKCDHVTCYQCKMDLCSVCSVDRRPIVSHGNHFHRKGCPHYAIEKGKEEMTQ ERLRNCELCKSNINGACSIPIDLQTYKKLKGYDFS >CAK84497 pep:novel supercontig:GCA_000165425.1:CT868507:387796:390608:-1 gene:GSPATT00018702001 transcript:CAK84497 MNNPLQFTSSAPQPHINTFMQSGGIGIPDQFSTSTYQIPNHNAYQGSAFPPNSQFQAPIP QISPNQFQFQKPIIPTQTVKQPDKQIEKLKEQYEEEIDHLKEIIKKLEIQIHQLKKEILK QKNEFEEESFQQRQGHIDELNKQQDITNNTNQQNQTKIRYLNAEIVDLKEQLQQRETEFN RYRQQILRSTGNNNNNQDLIAQYLSTIDDLRSKNSSLSRQLRERDSKIQNQEYEIEQLKK QLERRNDNKQQIDQSNQNEEHARLIKELSSKLQMLESKVQELDHKAFVEQKKREDREKEI GKLKETVSTQEHQIQLQQIEIDNDKKIIKYIQEETSKNQGGNNSASFIPHFNQELQRLQE NTQREIRERNRLEEEKKQNELMRQKEQNEVQRKVKEERDQQAKIEKQKKACKLREAIMNS QGILQVGLLVDVTGSMDEYKDATMNMIQKTMGCIKSQTNRDCEWGAVCYQDFAELKQRGE YMQHHFTKDSNKMISFLKKIVCDGGDDGAEDLRNGVKQMLNNLKWEKYFKIALLICDAPC HGKKWAGKVLDDYPDEDLEDALQLLINKNIVLIGIEFSQNTDVMFAQMKNFYAKQNKQQM LIIVDLKNRKPDQIQDQLINIISEASISITEVNQQGTKTKKENPKRDGAFEALFKLLPDP TKFNLDPKITTIETKFKVFRVEINQKAFERNITTIYKIKIPEDYNVTLESEWSCVRTLKP FAFGQLKEVYLMKKLNSQDEVYVIKMPIGGQTYKTRNEAILECRSHLISKSLMQKFMSDL SDKGFHDIQIRYSDFLILQEHDSSFWIAERFFKGDFVKFNNNYGYINPNNNILNNIAQVF SYYTYHISEFQYLICDVQGVGSNFTDPAINTSEGNLDETDLGQEGIGQYIISFEKDKQTR YQKYLDLLDLKD >CAK84498 pep:novel supercontig:GCA_000165425.1:CT868507:390828:392169:1 gene:GSPATT00018703001 transcript:CAK84498 MEPLTIACVFAIFGILIKITMDNGDQHNQRYLVDKDYEINKEKFKEIFGSHISYHLSQLE KQDININYQFIDEQFLKANLTHINLKDFQYDENQIDIEFEQQNNTILIMVPIQQFDLMVH LELWNIFTNSSKQLNFHFKVKNLQIKIRYKFDGAYMVFVDKWFPKFKFGQFEFVDDEENA KYSKKKKIIDWLLYKFHNYIENTIVQKLMKSLEFSQLNANFQGLGSNRNINNIRLLNNQK LSITIQQYNDEILQIDGLLKKK >CAK84499 pep:novel supercontig:GCA_000165425.1:CT868507:393599:398581:-1 gene:GSPATT00018704001 transcript:CAK84499 MNNLKNYDDNSLLQTVSNFVKNFIFGFLYILQKESKINALFLAILNLIQYIQLHSYMFRE EIGYVWQNDGISNAINIFIERLLFKVYFTSLQSYVIFLFIQTGLFISLITIIIYFGYQYH TSSYINRTASSILRVVLLLLITVLFMPIINYNTSAWKCVDDANGVSKFYDLQCFTATHIG YCIAAFLNLMLYIILSMTIIFTYFECRFRANDQTAKINGRADAIMQSYVIVQEIAFSIMH NRNFAYILLVITLGGSLIIFLAFSLGTQYHSFLFTRFHSTLSSLLMWSAFMLAVATAMEG NLIGGSIVAWLVGIPFVISIVLTQRDRQLESLVANVNKLNSPEEIISQVRYILTLLRLQN ETKYAVILDGYIEVHRQTCEKTDCPLKKYKPGWNKRLIQQIKQNNSEVKNDKQALLILTL YRILDQGLEKFEKNVDLRIQYAFFLLEVMSYKHKALQELQKAEECEPSLDTQFVLYKYKR IVESEIQDDQNEKTISNHEILPISAAPPIISGLAMQIEKCAYLHMEYWSELNEETPDVAK LEVSGKKVTDSIRMVQELWQKLKRVCPNHQPSIMLYSVFLIEILNDKEYGVSLNDTLRKM IQGYNNSKIDDIDNHDFSNDPTPTIVLSSDNEKFGLIQQINSSGAALFHYTKSELMNQNI QILMPDMIGKSHDRFVEDFINNSDYKYVSKDRQVICKNKSGYLFQMNLNIKPINSVKNGI SFLGTFTQDKFNRQNAFILVNPKNQIEGISTGCLSLLKLDIRYIKAKRNFFDLFPSITDK DQFLNKLGSAVSYTIPKDILKTIEGVRKKEDKDFIYSGIDDKPIQLQCYCQEISFTYANF PAGYCFKFERVSDLAASQLNSSIISKKSANLLMDKKLKMNQNKFIFQSKYDEDKTMYIEG CYQELPSYSDLGSRIEQSRNDDSFVTPQKQNATISNKQEKTDSQEGSPKFNEIQPASNKI RYDEGIRTVRLFQNKVGEIVNDDDQVMEEEEQEYEGNQYQGVNQNLLYDDSEEQQSEQDA FQSRTKLEQAINQNQTPFPIKFIRIAETFLTLSMLVLAFVDYFLDINQLQDIQLTLEVYN TQTNLVAEWNYLLENIRDLQLLNQKLYGNGSVQITQNETILKSEMKSSIDYIQEGLNYII LSGYQFPSELKTLYNEPNINMKFNATNSQPYDFQEASNQLLSYALTIMNYPLDSISLKSD DVALYFTIQNGFNSYLDAQLSVLSYTIDDFISKTADKSTNFVIIVVVAGVILALSLAIVV IILLSTRLAKEQVIIMFLELPSKVVKALFNKCEAFVKYVNSIDGEGSEKDEDFEHQIEQD DMAAIDSKKKKKKKFKNTTKAPIRFYIQVILIAGLMEAYFIMQLVLQKQNLSDVRQLIYE ANSTIMAEGFYAFCNNVQQAVLIDSTLEVQSQPAVQTQYDNLYRLYQLDSTIHKEHSSNI DKHESVYIEAYNTIMFSNPCQRLNEIANISITDCEAFAETSVKSGFTVALTRFFENLRYL SSIYTLTIQTPTPPLSNFIDSEEIIIFANEADNLRINLMKLSKMQEVKQMQRIYFKTAIR YLGDSFIKGSQVKQNSYLSQKLGLFISFMIIILLIYLLYWIPFHRHLNRDIWKTRMTLLM IPVDNIRRLRNAKAYLKVLINQQK >CAK84500 pep:novel supercontig:GCA_000165425.1:CT868507:399453:400323:-1 gene:GSPATT00018705001 transcript:CAK84500 MIDSFVVGVAWGRKLINTIQEIQQATNYLYQLNQEVELSQKSIFYKLDLFQKFLHEENCR LFPQVEAKLQQQLPQDQIIQEPEINQKNQIAIDKQGLNESLCGICNFQINDLPDEKFKIP QCGHEFHNLCLYQEIDQRDQVKCSTCSIQLDPKIKTDLLEKISPSFRSCCPFIGCKEEFI YYGQAKFTCQESKITFCLKCKQMEHNYQCNLGIDHIEMRQGQRFKFCYDCEQMIILNFQD LNTHQCKVQQESQNTKPQGFLSRFKKLFRKDQKQ >CAK84501 pep:novel supercontig:GCA_000165425.1:CT868507:400468:401677:-1 gene:GSPATT00018706001 transcript:CAK84501 MNSLIQQHRSSSSRHRTFLNITTSQQLRVQSPLAQMENSQINQKDLIHKLVQTLRLQPTQ RNKENKNRQQKARSQLNSGQKQRVLEDRLYKLETANSPTLGSQNRRLFNQTLPLAAQPRY KNFKILQFLGKGRYSNVHLAIDENTNYHVALKIMKKTQIQSMSQSIAQEIKIQYLLNHPN IVKLYTFFQNADEIVLVLEYCPNGQIHKILKGLPECCFPEKLASSYIRQILSALIYLHRN GIIHRDLKPENIFLCYVYFLSQIQNQIKIADFTYSVYSPEDQRQTQCGSLAYLSPEIIRG ENYDKSTDMWSLGVLAYELCCGETPWEDLRQDEMQQMIQDGIINMPDSFSCELKDFITKL VTSDSKSRMTAKQAMTHPWIQQQEEQLTQYEFKI >CAK84502 pep:novel supercontig:GCA_000165425.1:CT868507:401873:402736:1 gene:GSPATT00018707001 transcript:CAK84502 MANVAFLDPMKNEPNLQTAQDVDDYINTLTQHQNACEKQGKYMEAEDARKRIIELRKQLI TKKKKELIINWQNQKDQAERAHMEEYNQFNQFWDNKMLNFNEEAKQVELELQNRQQNEYK QSQEELERSTPYKPKESSEVLNLKKIEEHLAKQKNYVEAHAIQNKRAQLEEEELKSYSAQ RSLKIKTQLQYLEQRHMNELNALQQRIKAGQDELRKNRSIDLERLLQKYQNLKKDLEQRE QMDILAFDGQFKTKGCSSQSTYKSVMMMSKK >CAK84503 pep:novel supercontig:GCA_000165425.1:CT868507:402774:402973:-1 gene:GSPATT00018708001 transcript:CAK84503 MVETRNIHPMLKLEADLGFETSSHPIRDHFAKVPEARAFLQEIRSYQVTR >CAK84504 pep:novel supercontig:GCA_000165425.1:CT868507:403010:403809:-1 gene:GSPATT00018709001 transcript:CAK84504 MEDKIKLLEPIGEVLINKDDIINLLNTKTFPIFYDGFEPSGRMHIAQGLLRMQDGIFIFW IADWFGLLNNKMGGDLNKLKNIDHYFIEVRKAARMKMHNVKFLMASDEINKRPNDYSLRV LDLARKFNVVDQLFYACMQCNDIFFLEAIGQLFYQIHFRKVNMLAREYADKSTDAYKSII MFHYMMMGLFEGLPKMAKLDLNLNIFMEDSAEDVKKIIKYAYWPTQVIKDNPVLDNTKEI IF >CAK84505 pep:novel supercontig:GCA_000165425.1:CT868507:403996:404873:-1 gene:GSPATT00018710001 transcript:CAK84505 MLTNYTYFRMPTQENRQPYILTTKNNNNRSVPLPPTPRREYSQISSRFQKIKFLGKGKYS DVYMAVDRVTNCIFAIKIIKKSIINQFNLSKLLTQEIKILSQLSHPNIMKFYGVISDDKE VAIILECCESSLYKLYDKLDQINEEQKLQIIFEILQAFSYLHDKSILHRDVKPENIMMIQ NHIKVGDFGLAIKLKTKEKMKAICGTPQYMAPEVFKGLEYDIKADCYSLGVIIEELKCKS SEIRNMVHIFKQNDYNLRGNVKDFLQLKIFSKVKQLLSQTIFK >CAK84506 pep:novel supercontig:GCA_000165425.1:CT868507:404971:406216:-1 gene:GSPATT00018711001 transcript:CAK84506 MQMELEGNTKYNEYEEKIRNHQTNGHNYEQDKLHQKKESDAQFLIAQNPLIMRNLLIIID TTKSSKVSDFKPSRLAVALQFLPVKKHTIIHNQSFIEQFLEGNPLSQIGIAVAEEYKCKT ILDFTSSCVNIKQYLSTIHSINEAGFSMAACLQTALHVFSSTQLHAQSSILFVTTSTYSD DKLDLNEWSEKCQSAAIQINMISFAGAIHQLIKITQATDGQYLCPINEFQFSQEIQKFIS PQESKNHKMITQLVKIGFPQKFIVSQPTLCQCHLEIIYNFYKCPVCYSKVCSPPLLCPIC STWIVLPHQILRSDGYNTLGVFEIINDGLDHICQGCLEPTSVIHSTCERCKNFFCLDCDV LIHSKFKVCPGCA >CAK84507 pep:novel supercontig:GCA_000165425.1:CT868507:406355:407904:-1 gene:GSPATT00018712001 transcript:CAK84507 MKKNNQSTITDIHFEKLQRPDLLYTRNQQTSQQCIQLLLKDPTIRLEQENEKLGQLLRGH EFFRTSDNEFLIECCQVSLFIMRQLMRFEQFQKNQVIFSKNQLDQNKLIIILSGDVVFNK GNQEMIHLKHFGSNLLIFGSECYSDISDWNVTSKTACKVAAISKHDFRVNLMTFEVSRIT KEISQLYAFPIFKNVPYAQVRKFYANSMSQIFQCLDIVYLQEQMIKQIYLVIKGIFELRE LEKGVAKAVHIFTQGQLIGENELNNKTSLCNGTAFCISEEGQLLIFDYDYFKDKVLPSIE DNLPNKLKTQGSKNEKFFNNKISLTGEIMIKKPLSRHYNFRKSHEDLDLQQRQPIVQKNK IRSTHSINSIHQSQSNNSEELNESLINNFNCFANPAQVTQSIKQNRLRRNTQKSIHFSNE QIRSQIINSPVRSTVNKSSSQQFSLQRLKEDFSIKRSQQQMNLRMPLKNELLNKFLNRKE EYGDLVTKNRMRRTLSQTSNVKKTVQINK >CAK84508 pep:novel supercontig:GCA_000165425.1:CT868507:408224:408723:-1 gene:GSPATT00018713001 transcript:CAK84508 MSYLYSPYRSYARPYQYSPTRSYVSSPYYTSTPPRGNSWVERIPVEQRYTEYVPEQRIEY KPVERRYTDYVEIEHYRDYVPVPRLERRVEYVPIERYDEAVDYVPVERSSVVRQPLSNSL AYSRYSRYPSRYYY >CAK84509 pep:novel supercontig:GCA_000165425.1:CT868507:408760:414466:1 gene:GSPATT00018714001 transcript:CAK84509 MSIKDIQKLQSLFQINNSVVQEYLGIRSINQTLIDQLVNCDSANEVQKIKRIEGCKDWDK LKQKLVPYFVASQLTYGKPIHKYIQQAHEDLLIKYHIFYEGLIEFQTCSMLNQYLILKHK LLRDDQDLKIEFRKLINTLIWKTNQLHFVIRIIIGYIAYAKISKQIGNVERVFEQIAKLI EISLKNGANYHHLLFKTDVQLFSSYWNKIIKVSKNDTNALILEQLLQQAQFYFNDYNFQS DIVKELQMLQKAKYSIASISRVNEDTKPKSKGKKPILQINNLMPEEFQKESSKTTNRYLD LGKIVKTEQTQATVTSKRIIKTSASKLLNSQYKHIEEDPIFQIQIENYHINKIVRKGNLV YLHLYTFLNIIERYKTQPTAQEDLPLLTFQDFERDHQDSILELPSPVKPATESEQKISGR EHSITHSQSSQGKIDKLNNLSNPYKLKNLIGSRFQQILDIAQLSASSTPRNADLGKSIRR QKTISIKKEHKEFQQQPQQQLSIPEIQQIQSCKEQDAQTTERRRSGMVVSSPDAASQISQ AIQKSSLIESVTAKSQIIIAIPQSVQQQAQSSQQQNPSINVKRPQRRNLLTLRTATTFFM EQSNAYIPKFQFSLCYHNRTILWQCGLQITSSENILIGFQDTTNNKIVYRAKLQNIKIEN TKNWAQIIEAFVNEYQLQTSQCLPYLHFNSIMSDRKSDNYNFIKFPNYQFELAKNEIEQM GILKDLFRWMYTTYLYEQINITGIRLKLYTVEKDKTLNAYRELINVVNLYRRMIIQSTLS DEKENFHLLVCSYGECIKNNIILLNRILNFKINKQKEQQYMIHKQLVSLRNLSITNDEDN IFFIIRVSIIFQKENILYYKKNDYHTKYQVDVLFEAINSPLKIKPLRLQFDAIEKILEKR YHNNLKQIINQILFSQRKCLTMFYEFIDQKLMINEQKQLQVRMNIFSLHDAQKMEACGMK LGFLIDLQTKVKPFAITEIERYIYDDTQKKLDYQSKSQTIHNREHLFDKHFAQIFGEDIT NNEQQHFHQTTLIDLVKRYQSNINTEAKQEIDTKKVGPIVIYQENPFTDVHIDDLEKLES QFFSKKNLKLSQIQKYRIKLKHLSIQISIVHKQIILIYHCNQRLQRLQINPTDEEDNILS NIVQLIQNGPTCWILQMLYKKVSQRALKQSFISSQLSDGFELLKYKKSKSQLAEIPSYNV LHYYILNNYVVLLKYFTLKQFVIVQKIKNYGKYQITIKVFFRCQKPDILAENDYFLMRID FQNIILKTGMMKMIFNKYDITEMLKIEIPQSMTDANIVQTAMNIFQKCHLGTFTLSQVPF FAANQDDQKQNESQITHNSYEDHSTKLTVQQIRRIGNFPENTTFKKSDLCKGFLEHYIKN SRLIITHIKKSYLIHSISTGQLIKISNNKVTQLLNDQQQITDYVIIQIFRHLLLDIWIVK IYIPKTTRQMLGLLRSEDFKENDGKDLMDSLIIYKKQTIMSFKDLDSFSDITPRQSVNLQ KQNHRWSQYKISQFHSPAVSSIQVQNQIGQSPTTSYYLNEFLCLTKLVTDFTEYHLDNGN KEQYIVKEKLIWVNIINNNFSLEQHPKQQNCFLSLLFDQKEINLQELLFQRYIRIERVGE SHIEFYMKYSQKADQLKGIALLKGKINQLNLHNNKFPGIISEAKLNEEQIKFLKRTCIFF PFDAISYANTSNFNIELKYFSYNKFTEVKEQINLRELLNLYIADGFYKYQTNYMNSKLNH SDIISICYYMIHKIKTQNFLNLSQYHTIPNLNQLKTQKQSKTIQKPIRLQIKTFKKPYIF IISLLYKELQVTCINWKTCHQINEHIMHFELLLENQPKIALQKIEKIIDKIMNIILIK >CAK84510 pep:novel supercontig:GCA_000165425.1:CT868507:415203:415896:-1 gene:GSPATT00018715001 transcript:CAK84510 MSQILKKATKYRTVSTILQHGEAHLRDPYTLPPEIITPPPPRKERKPDDITDFPEQKFVL LPESIPYPEGKYRPASVPYVAGFYPYNCYLQKGKIYQWCSCGISQSNPWCDGMCNASVTR NRPIKFNVDTSGYYKLCNCKQSANAPFCNGTHRQVIRQYHDGFRGFYELWGWAAFMGTTG FMIWNFHN >CAK84511 pep:novel supercontig:GCA_000165425.1:CT868507:417180:417722:1 gene:GSPATT00018716001 transcript:CAK84511 MFIESIDHSTPRTIGENDITKPEFDSKINYKLEVRKSHLLKQFLRYQVENTQKSIMRQQQ NIHVNILLFAHYYIYIFVVIQFYQVINKRISRKNSIAKPFKCTNCTHTYSSKPALKQHLR LKHFEEHTPLTQPLDQQKLLVVPISQI >CAK84512 pep:novel supercontig:GCA_000165425.1:CT868507:418667:426024:1 gene:GSPATT00018717001 transcript:CAK84512 MQPESAKIKLASRLFDRGKPPQSNTNERPLTAKIAIRAQMNMTMTKIEKAPDKLPSIHEE RSISYQKSGGVGLNQTRGKSQNNNDSFPKHNRTFDLTSDIFQDERRLLKSRQVHELMDRM IGRAKPPSPPKEAQKIVQVLPSNILDNTRMTMTRDAKTAPRKTIRTQQMRKEILNKTSMS QVSDSSEDSSEENGNFGLKDVDAFIQMIKEHKLSQQEFMYLIKRKKHSNDAYNMRIVNYP YIQKHQLNNFYTLSAKGLTRYENNITIERKEINLMQLNHQGFFKKFRKWKTLKKWQKILH QQRTSIVQAQLCEKMLILNPIYQKLIVSHRSLCYDIEALRIVDIDKIEEELTEKKDQYLS LHEFSVLQQKQRNRLVEKIQIQSRKMKENCLSGLKTVIDKLRTQINQEKQSDDDHVPLKL EKNMSQSKQIDRKQQIIETFGFPERMDYGHRALMRTEFIKFLRLAYLLDFIAVQSLGQIY IKSATEFVDKLIRVQQCSKNNRKLPKLTENDEEKKDLQDYDPIFTIELRFNPIDGYLDIE RQQVPWDTLNENLLDFDLQECIRLKEEKVQTIEKVERKQQNNQNSNQQLSTEPQEEVIEE PEFVCKREVQNLYETQLEVHPSKRDFLSRIQEAFCDGLDQIQVIERWSKHPDFLQYVQAL EEWDEIIGDKWTQPDSINLNPSYWIKEHPMNNIFMHEISSELDEAFQKLEHFQKEFNEYL NLIWRQENSEIKQLQNPRLIYQSKIFACTLKSAQLIENILQQKLIPQVDIGLYRVKIQNI QKQLSAKQSQILAQLEELYLQLFRNRSYILKVWLQQSIKSLSGMVIRIEEFIEQKNSVDR ITKELPTKREEIETAISIITLAQDCKLFSMKKEDKDLAQELNYLESSLNVALSEADNNTA KNIERFKKTLKERIDKLKGECLEVSEAINKEKFLTLNTDLVDAIKELTEIDTKTKQLEQS AQLYTQYEEILQCQEQAQYEILENTREQINLRLGMWQGIQDFRKLSQEWQQLQFTSINAK EIAQKTEGFVRIVQRCEKNLQENRVIAYLKKIVWEFKDTIPVVTALRSQYLQQTHWQEIK QLVRQEFDINDQQFTLNTLLDLNVAQHNEQITEIAVKAAQEDSLNTQLKQLETQWNEVEL KLKPYKDQLDVMVLGEVEELVQLFDEGLANMSNILASRYVRPLRQRAEKFQSDLLLLSDI IEKWVECQKKWMYLESIFSSQDIKKQLSNESQQFDSCDRIIKKLIKQVNLRPQIMRLLAM QNMLDNLTKTLETLEQIEKSLEDYLEVKRGSFPRFYFLSNDELLEILSKQTDINSVQSHL GQCFEALVKLYFGDQPNVIQGIYSSDGELVQYYKSIPARGNVETWLHLLELEMVESLRKL CKQGLHDYLNGMQKTKTDWILNHKSQIVAVVSQILWSINTEDAINESSTKANALYEWHDM MEIQLKQLTALVRGDLTVVQRKTIVSLITTDVHNRDIVMKLADNSIETASDFQWQQQLRY YWDDEYDDCLVKQVTSVFHYGYEYLGPTSRLVITPLTDRCWITITSALTNQLGAAPAGPA GTGKTESTKDLAKCLGRYCIVFNCSDQITAATMNKLFSGLAQQGAWACLDEFNRIDIEVL SVIAQQLLTIRIAQLQSLTEFLFDGRHIQLKNTYGVFITMNPGYAGRTELPDNLKSLFRP VAMMIPDYRLIAEIMLFAEGFENANDLSSKMVQLYKLSSQQLSQQDHYDFGMRAVKSLLV MAGSLKRADTTIPEDIVLIKAMRDANIPKFLKDDIPLFMALIQDLFPKVEIANSSFEFLE QQLNKKCRQFKLQIIPSFITKMLQLFDTQNVRFGTMIVGGSGSGKTNCYQILAETLTDIK VQNLSQDPRFQELQYVILNPKSISMGELYGEVDPFTNEWQDGLASSIIRECNNSKERHWI VFDGPVDALWIENMNSVLDDSMTLCLANSERIKLRHELRMLFEVQDLSVASPATVSRCGM VYMTVQDINWYNYVESWIEETFSDQEKGQIKCCNQVREKPKKLLQIEPKHLDLIRNLFKL QYKFLQEKLGNLDEPFVTIELQRVKSVCNILTYFLKSILVQKSADILKQITAVFAYSCIW GLCASFEQKYYDKVHYFILFQLSLFCSGFSPPLFKFINKWDQVPAEINSQILLLGTQHYQ HPYIYIITSPQLLFQIATYVKDQFQSLLYPKGDTIFDFYVDGNDFTTLQSWESQLQEFQF VKDQSFFSIVVQTVDTLKFQYIIQLLIREQIPTLITGQTGVGKSMLVQSLLFEMKLNEKV QPVLLNFSAQTKSKQTQLAIESKLIKKGKILFGARVNEQIAIFIDDINMPALEKYGAQPC IELLRQMIELQGTFDRTKLFWKHIEDVTLLIAGGPPGGGRNQLSQRFVRQFNVLIMPNQS DSILEMIYGSIFERLLQQHQLQ >CAK84513 pep:novel supercontig:GCA_000165425.1:CT868507:426170:431611:1 gene:GSPATT00018718001 transcript:CAK84513 MIRPISCNNSNDTIPKLWVHECARVFCDRLISVQDKLWFYNTAVELLMRYFSVNKDDITS NILFSDILKLEAANVLYEEVTEKRKVIVKSLQDKLDDYMMATNDKMELVFFDDALEHILR ISRIFRQPRGNAMLIGVGGSGKQSLTKLASFLMRSEIFQIEIVKTYNADSFRADLIKILM KTGGERIPLTFIFNEAQIVQESFLEDINNILNTGEVPNLFAKKEDLEQVYNTVRPQAIRA KRLDSPESLWTFFVEGIRNSLHIVLCMSPVGNQLRIRCRKFPSLVNCCTIDWFTQWPKEA LLEVANKFLDKIPNLKQKEELAQMCMEVNLQVANLSDIYQKELRRQVYTTPKSYLDQIQL YADLLIQKQQEHGQVQRKLADGLDKLFEANKTVAILKIDMETIQPQLIEQSAKTEEFLKQ LAIDQSEANLKERLVNDEVQIVNQQASEIKIIADEAQTELNKALPAMKEAEEALKNINKN DITEIRGFISPPPVVQLVLEAVCILLQEKTDWNSAKQVMISGDFTERLTKYDKSQITEQM LKNRNLIQFVLLKKVKLAKVCVCGVELLIIIQGIVKEVEPKKRKVADKQQILEVKNKELA LKQDELQKVRDRVARLQKECDETVEGKNLLEKKLEQTKKRLIAAEKLTYLLADEGVRWKD QIKLIEQVLQQVIGDVFLAACTVSYLGAFTGQYREQLLKNAIEKLKELNIPFSENYSLSS TLESQIVIRDWIISGLPNDAVSIDNGVIVTRADRWPLMIDPQGQANKWLKQFNKELKVMR FTEQHFLKGLQQCISSGYEVLFEEVEEKLEPSVDSVLQKQIIEVDGRRLIKVGDQKVDYH NQFKLYFTTKIANPNYLPEVFIKTTVIKFSITFEGLCDQLLGDVMKFEKPEIEKQRDEII IKMSNANKQLKGAQDSILDLLANVTGNILDNEQLIHTLEVSKFQSTDIQRSLEETIIVEQ QINESRNLYHSVAIRGTILYFVISDMSLIDPMYQYSLQYFKKLYNISLNLTPKTDLLSQR LLYLEETITQTVFKDICRGLFQQHRKIFSFLICAQVQRQNGSVSNAAWNLLLRDQIPSTP IINPDKVYLKDSQWNLILCIQEQITELTDLSSDIKNNLLYWKEFTQIDDIYQASFPAQSP LAVCSTLNPFYRLLIIKALKPEKIMFGLTEYVIEMFGEFYINLASSSMDEIYQSSEPHTP IIFILSPGADPTQTLFKLAKEQQSQIDVISLGQGQGKKAEHLIQKGQKEGTWVMLQNCHL ARSWMPQLEKLMESLTSHQIEVHNSFRIFLTSMPAAYFPVSTLQNGLKLTTEPPRGLKSN LLRSYQEFQQADNQQKLFKQLFFSISFFHAIVQERRKFGPLGFNISYEFNDSDLDISIQM MKMFISQEEEIPWDAMQFMIGQINYGGRVTDDQDRVCLTSILKKYLGDHIENNVKFSNSG IYYLPEDDYINYISQLPNQEDPEVFGMHENANIVFQTQESQKILDIILSIQPRVSSTSTQ KSPDMIVMEKAIYFQSNLPELLDKNSCHQRHYQITENGSVQSLSTVLFQEIDKFNRLLEL IQSTLVQMQQAIKGLVLISSDLDEMYLAFLNNAIPPNWMKQSYSTLKPLSSWFKDLIARV NFIRSWMEKDFIPAYWMSGLFYPQGFLTGVLQTHSRKYKIPINKLNFKFKVLDIEQDRIR DEVKDGVYVYGLYLEGARWDYQHETLIEQQVGQIYFPLAMMYFQPMEEYQIGDEYYNCPC YKTSNRTGVLSTTGQSTNFILSIDLMSKIEKPEYWTLRGTALISQLND >CAK84514 pep:novel supercontig:GCA_000165425.1:CT868507:431733:432444:-1 gene:GSPATT00018719001 transcript:CAK84514 MRKRLGAFHAESIEQYIQLSQHKQELQNKYEVKEMCPHAYQYEEIDKDTNVLFGKESIQT STKEEEIDQKEYCSINVLIVDNCDQIDEIFKYCNTPRQPRSHYHLEYCSKVESTNSKENH FHFWIINQESSKYQELINGISQKQQIVYFSIADIYIYLYHKSFEPKFQSFTEKVKSLNKK ENKVIYKIVNNKRISSMNNFQDKEQVREITLQSLGEVIKRVKDQYF >CAK84515 pep:novel supercontig:GCA_000165425.1:CT868507:432584:435803:1 gene:GSPATT00018720001 transcript:CAK84515 MNQKEPSILVLKLGSQPNVGKLPPKVLQQNPTTEVRRMKNKSISQHISGNGPHQQVNYYR QPNKRIIYDSTHCLNTLNNRSSSNRMKKIHSVSSHINESFNQHLDDHPRNNIVCKEIATS SELDEGKRLFTENKVEEALKNFQNYQNKYGLHPEALYISGLCFMTLDQEEKYIEQFSTLI KTFPRFKRTSYMYLALSLKKNNLINEAIHVISQGINHFNRYFEALIFRAKLFLKIKNYEK AIKDFQSAIQVNPNKSICYVGLSDCYKQINQIQQAIEELNKALEFEELSKSKQILLKRFT IYLDNKDFEKAKQDMNLLLEICPNDSEVYYFKGILMQKQKHLQDALLALEQSIKVNSEKK PVTKALYEIVKIKIEQNDYYSAQHELDRATYLDVDNTQLQKFELFVGGAIYLMKRKFEDG VQLLTEMITKNHQSDNLKFQAHQYRAYGYFCMSKFNLAKQDLELYQENLLEKASLYNKLL CYGIIKYEDNELEQSRREFSLAHKMLPNKMEPLFYQAIIQIKKYCSCPQSSEENRIKYLK DALNLLEAASKICEQSNLLFYKGILHFAFGNLEKSALDLEQAIDKSEDNQAQHYYVRGLL HCQQQLFKQAVNDFTICLSLDQNHSESYLNRCKLLTMMGEVGTAYLDLQKYVELREQYSI NYQTVGILYFLIGAYDEAIQAFSNCRNIEGYYEKVKVLIYGKELNSALNELTIIIEEMHN QEAVVDHQVLTILRETSLQISTKILEDSINSITQIQKTQQEGLIFRSSDIYFYKGLFYTH LGQYSKAKQCLTFSYDLKEKEIKSSINDQLINQNNLEDFDFSNKTYNIYEHLYNCAILDV LLGNMDEAFETLTLLLEHLSQIEFRAQLQILLDLLRDDLLDTPPGEQFSQIQEFQLFPQH NRLCSIYPAVQFQLKKYSFQIRMSFCLPIVEFPSQPILFDEQLLLKISPKVVENKPEAPW IKRSNEGVIFTDNIQCVDELDLQSTVRKEDIEEKQQEITEHQKENEITKLKEKLKLDDQI AKKLNSILQQNEK >CAK84516 pep:novel supercontig:GCA_000165425.1:CT868507:436429:438119:1 gene:GSPATT00018721001 transcript:CAK84516 MGCGSGKPVEVDNPNKIKDVAHFQISSSGMVAEKQGSITNEYTLLKPPIGKGAFGEVRKA IHKVTNQIRAVKVISKEKASKVEVERLRIEIEILKRLDHPNIIKIYEFYQDHKNIYIVTE LCTGGELFDKIQDQQAFSERKAAETMKQVLSAVNYLHKSKIVHRDLKPENILYEANKPQA LLKIVDFGTSRVFETGYKMNQKLGTPYYIAPEVLERKYDEKCDVWSCGVILYILLCGLPP FNGEDEEEILENVKEAQLTFDGEEWNQISYEAKLLIKKMLERDPKKRISAEQAQRDPWIT TYVKKTEMNLPQLTKVLNNLRNFRVEKKFQEAALTFMVNQMTTSQEKQELLQQFQALDLN GDGRLSKEELVIGYSKVMSYTDAELEVTKLMKYIDQDKNGSIDYSGWKYQLNLEFVLATF NKVKLIEDARLEQAFKMFDKDGSGSISIDEIKGIFGSNEAAVSDDVWKELLAEVDANGDG SISFQEFKEIIIKAINANNPDQKALK >CAK84517 pep:novel supercontig:GCA_000165425.1:CT868507:438280:438567:-1 gene:GSPATT00018722001 transcript:CAK84517 MLLHVRASSGYQESLHKSLSRKRERIFSVQQKSRSELADLSNQCTSKFIKDEAKLEQRKP KVKNSKQNQCKKKLQMKPSYVPEMTLYQKVLQKYF >CAK84518 pep:novel supercontig:GCA_000165425.1:CT868507:439400:441055:1 gene:GSPATT00018723001 transcript:CAK84518 MKFACPQKAHAIQFESAWDYLNHLQKCHLINDRIKDCGETRNEYYFCASCLQVFELITER DMHQHLCKVDNFKQLFKDVNKPIPLGKSGTSKIQELIRFYKDIIHPKQRNTIDSDQTEKD DFQQEIIEQSDSRAFLSKTVENSFNPKTMIKNSRLTFSLLGGHTKMEAAYYKLFNKQVIE QINKEYPFKITPESFKLFFQKNNITLSKELLNEAKVCEFCESKIDQNPEMYLQIIQTNNS KSIWLMIPEIHNPVKEFGFDEPWCVFKIMTKQVICEKSIDLIKIKKQDPLISQLEYQIQQ EELRGIRLKQQLQNSVDDLDSVLKYLTKKNQKLDQQENLLVKLQIKLRENDTNNKNLVSQ YLALNSKKLQQAEENLIGFYQKMKSMESEKQITKLDELKVDLNEKELKQKKLKEKVRSLF EEKEQLIQTCNDKKNKIQQTQKQLEDKIAKASVTRKKIQKIKQLLCFQCQTRERNVIQFP CQHFLFCEQCYLSNIQQKNLKCPLKEQNKCQTENLNNKYKLVNIQNV >CAK84519 pep:novel supercontig:GCA_000165425.1:CT868507:441640:442620:1 gene:GSPATT00018724001 transcript:CAK84519 MKKKIVIEILINIRNVTIQNLINRQFEMLLKEQQEYKLRVIEERMEQYETSLLKKVNHLE ERIRSFNQKFERIDELSERVNDLHSLFDKLKENFDTKLMLQDDKIAKANFNINKLKQEDI QQLYNLVKKGFHNSKDLSLDMQNMKTKDIRQSEVLFKQELKQSNILGERLQSPLLHQRMD SYQKSDRMRSITLEKDKGQELKVKFENEDLETNKPNSKCITKNETQKLIDKYQFTLQTKL QNENIQKLSNVKIEVQNTEQSKILTQQQQSLLERTISQDHIPRSKYLNSTNHIKEQLKNL RK >CAK84520 pep:novel supercontig:GCA_000165425.1:CT868507:442634:444153:1 gene:GSPATT00018725001 transcript:CAK84520 MKQKAPQISPNKLYASLLKKKNESDQQQKIVKSPVIQGNVYINSLRYGIVCKFRNNQGQL KVDAKKSRPTSGKDLKDISTVLLKKTHARQNSKIIETKENSQNLTQSALQLLQKIKAERP DNKIGQIQTSKEILQYHQQLIQKQYQKLLDLSKQTEHNQLQQQQQQQQQKQKLFKGPRSI SNPDISTLQLCITKAHAKSIPGMLYTGQTKINQDSYKLIQKFGTKENDWYLQVSDGHGTN GHQVAQFVQEILPAYIEQEVMEAPYYYDRDKTINNIFKQSFLKTNEDLLSSGIDVTYSGA TTVVVIAFENILYCANIGDSRAIIGRYDTKLQVVELSKDHKPDCFLEQARIIQRGGRVQA YSDEDGNPIGPARVWKADEDVPGLAMSRSFGDYVASQVGVICEPEIIKHQLLPSDKFLVV ASDGIWEFLSNEWVIETVNEYYKKGDAIGACNKLTQAAKEAWQREDEVIDDITVILAFFK >CAK84521 pep:novel supercontig:GCA_000165425.1:CT868507:444298:445694:1 gene:GSPATT00018726001 transcript:CAK84521 MDYESLYKLIPKEPKKWLTQDVINWLKFIGLGQMEQKFVECSIDGAVLEDLTEKDLDEEL GITQRIIKRKLLNWVNHGLKEYNEYIKQMKMPNLNNKKQQDEDFTIQKNVGNVQFEQMLM ESHENNGNEQFTSSLQNKKYQDLTNSNINQYFSQQKVNSPIFIVFAKQVPKLEDILATKF QFWKRTSADFMLRQYSKTPCLHLKIQEVQQELLLKYLKSFDHQSKGDVNQNWEKLEQLEN GGIEISLFVIEGPNLEDTIIFQLNKDKPSVALGRKSTVDISFPEDHHLSNQHAKFYLVEQ TVTLEDHGSTNGSWMRLSGEGKMSNLFYLDPNEEIIIRIGTTNQYICQQNKMKVNEISGE NLCIICVERERDCLILPCKHNATCLKCSKSLALCPFCRVKIQETIRIYKN >CAK84522 pep:novel supercontig:GCA_000165425.1:CT868507:446428:447292:1 gene:GSPATT00018727001 transcript:CAK84522 MQNEFDIEVNFEQNSSMTFEIGHNSVRFPKAGIKINNEGLTTTNGEQIQITQESITHQQI KVGDVIGQGVSSYVCRGLYLPYNCQVALKIINVFDKDKRHQMLNDLSTLLNGCECEQLIK FYGAYYEEGTIRLVLEYMDQGSLRSIIQQIYKNNLSEVINEQIIATITYNILMGLQYLHQ QKHQLHRDIKPENILINSLGQIKLTDFGISKQLENTIAIARTFVGTLMYMSPERTEGKNY SYASDIWSLGINHL >CAK84523 pep:novel supercontig:GCA_000165425.1:CT868507:447294:447605:1 gene:GSPATT00018728001 transcript:CAK84523 MATGKHPYSVSNKQMTYIQMIQNILKSESPKLDNYPYSLEMRDFVNICLNKDQSKRLDAQ TLLQHNWIVKNAQNSQYVQMWIMQKDQKLQLMQQK >CAK84524 pep:novel supercontig:GCA_000165425.1:CT868507:447682:448573:1 gene:GSPATT00018729001 transcript:CAK84524 MDLEIVINYEQNQPASNDLCELFDELEEQNEKYHLYMNRGSRREMLDRYQVQNNHYAIFD GHGSSHVVDYLQQNLLQRLQNEMSDEEYKKVFSDIDNNLSQYMQSGSVAILLSIQNKTVT ITNLGDSKAIVFRENSFEQLNSIHIPQSKEENQRILKQGGLITKRQNICRVSGSLTVTRS FGDFYLKKYIISEPEIFKYQISEGDKFIVMASDGFWNVNIANLFQELSEQEILQIIQKLD QNKNLAKQLYQAISSDYIRDNVTIMVFPL >CAK84525 pep:novel supercontig:GCA_000165425.1:CT868507:450305:451231:-1 gene:GSPATT00018730001 transcript:CAK84525 MNNYKLIKTLSENKTIGEDESTRHLSELADAKISLIKVAHVSPINFLSLRERFGQISDQY PLPIKFQQLLQTMRNIEQMYWKKRQPLIFDIKTNSIKILQIGQLLKLDKDLYEIKILNKS IELIMNDIPIENLPQFQPFQSKLPAIFESRIASIRNKLDDLVQKVHENYLRLIGEEAFLE CSQEFKIYYPAFDMNLVLDISPAQLPFQIYSDEQRDQIKQILETTIKYFNQRSVSFVYYN NLIHHLLNKIPMNKEIMEKSLMFLIKIQPDFLQITNAKKEQLKSKWIVQINKLSTEQKQI ESLKMYFY >CAK86544 pep:novel supercontig:GCA_000165425.1:CT868581:581:751:1 gene:GSPATT00039770001 transcript:CAK86544 MTISYNNPMMSQVVSILPSFTQHSFKRYLDQMDGELNDDIDIFENLIESGRRVNFK >CAK75739 pep:novel supercontig:GCA_000165425.1:CT868213:126:2166:1 gene:GSPATT00039077001 transcript:CAK75739 MQIWFIPVGTKAGFVVGLFFGFASITIIAWTAYTFAGRSICKVITTAIQNKWELYDFMYT VGFGVVLMCIASIYFIFLILFFLFRSLQGLSNDNKPQDKLQLMGICCCGYALGIIVCAFI YRESTSILGRSIFNAIDGLVKCDRNLSFNNPYISSPTKLLYVISNIISTQVVNLLDSAIC IAVLTVGGFVIFSNSEEVAKENITWKSLLAPTLYFCVNVFCAVIVQTMKSMIWDNEVVRF TKLNIRQQVLITHLMTILIFFFMPMVTILKQFSLKGIEAPETLAKEDITIRKITYCCILG QLSNLVLLSIGEWMTSHGCQPVRNLALSSSERILTQSFNYATFLSASGQIIIVLTLGIIA TAAHALGGYAGILAAAAGFLINVLIITPLYYVGALSQDGAKLCVASHVTQVVSERFTKMA WASRNYMIYLKVTNAGSAILLALTFLGGALYLFKVDNNPLIDFNGIFGILFGIGLAFLIK GMTVGSVISISRIFDLNIYESGIAAEIAKRNTITLNRYFDSKHLLAIIWVFIITITDTIL LFIFAYFFGKRGSPGFLLGHTVIILFLMCYSIINGTAMKQSRYYNEVDDKLSKRGNIYQS CVQGDMLATVVEESTAIPLIVYLLYNIILVTCAYPYFGGEGDLFVEKAAETKFF >CAK75740 pep:novel supercontig:GCA_000165425.1:CT868213:2194:4174:-1 gene:GSPATT00039078001 transcript:CAK75740 MNRNLKLGNNIKFSVGEIENLSKIKLARFSETATRFFTYVDDTNQIYINKIENSKNFLDV KLFNPFHQYFVQSQNEISSIIFSSSENEIINGSSKGIITFVDISTQKIQSNIKGHLSSIT ALAIFPNQENMVFSGAMDSQVKLWDSRSKTAGFTLRAHTLSISTLAVSPDGKLLASGSND GSVKIWDIHQQKLMATFNESDSSITCLQFNPLDKAIATASDDGCIRYWDLDKYNQISSTK PDKQTVYSIRFCNEGKSLISAQNFSFKCWDLERDALLLDNVESQCKSILDTYIFEDRELF GLSTQNQTGLSVFGSKLSNINFDSRFSMDRNKQRIHSERIQGTEINDQFQQRNSVNILKP KNSQKIFQNNLNQKASNQNLIEEQGYQICNNNGNKFVPTTQIPQMIAYESVIQEEDVKEN QQSVLDLVTSQDEVNLSSFVIDDENKLKFIQIDMINEIQKDHNRVMQILKQRINYMKPIM YWWSNNNIKSAINAINQLVEPSILFDALTMCSQSSRFKSIPIEQMPQMLEKCKVLIDSKY LSHIKGGIVFSYKAFNIYRDKQDIITIKCFNQMSKVDLSREERVAKYDKIVEQLKQIIQM SKLTKLIERNKEEISDLAKKFQIEMLGLLKRINQQQ >CAK75741 pep:novel supercontig:GCA_000165425.1:CT868213:4227:4517:1 gene:GSPATT00039079001 transcript:CAK75741 MNNDLCLLIRQVRMPKRIQIPKQKKQPVFHKTSYTIPDKFYSDKEIMELQSFKLFRYFKK FIKVDVDESIALEIIQQDDFINCNINIKLGTSNTNQ >CAK75742 pep:novel supercontig:GCA_000165425.1:CT868213:4815:6538:-1 gene:GSPATT00039080001 transcript:CAK75742 MDSQQDSRLASEQGSPKLKLTANQRVQIIKEFFASKGKYPVFSNNLIDEAIGLNFLSYDK KDKILRDPNKLSIFQIELNMNSPRTKEALQLMEVQDDWCHVMSFFDFQSEFEHPIKCLVD YMEYLQGKSRKLLQIVSLRNRLKKISKNNTGNNNVNTNCTITQQASSIFVTDQRNSQVLS KSFNTRSLDFLQQSFDDKLEQITKRNTQYLNTLKKHQQLDEEQNQKLQQYYQATIPSIAR KVEKVKELHRLEQNQRKQSQKQRHKKTLEKIEKLEKEDKEHRQQFQEQIKHKFEQSELNR NQLNQSYQQEMQENIERNKKRQEERDAKRQRARELEEQEVEEIIERNQEKTQMAENCLKK IRNIMKLKKIEQQKKIEIANKKVVEKQQEKDQQRLQYFIQIADQSNKMVESQMQLRTKRL NELKLKFEKTKRRFQVSLILKLKKYDHKRNQEDLINENRTLTMLSADRIREIDEREKLRM QRTTRINQEHEQQQEKWLQKIIIEKQKMKKLKSQQEMFKDAIIKQHLELRNTVDKLMK >CAK75743 pep:novel supercontig:GCA_000165425.1:CT868213:6834:8226:-1 gene:GSPATT00039081001 transcript:CAK75743 MTNKQTLKDQLPKIKCIEHERQIVQIGLDQNLLPSQRALCEVCGQKAIMVPISIDRAIVM IRMIQNDEDIVQDQAMVSMIDQCLNGGDRALTVKDIITIGTVLSNKFIKIGDNFIEPNLI HRNHSRIRENQIKKQHQLIGNQSIVIKESTKYEAKTGIEWIKNIIETEHSQSSFISSSFS PIIKVWSGGKFKELKHENQFVRCVTLFDEELIVCYADSSIRIWKQKDGSEWTVDQTLKEQ HQGEIWSVITHFETFVTGSYDKSLKVFSKRRNNRLHQNYKCIQKVDINDCQVTCMAIHDD ILGIGSRSGSLAICQFTDVWNLTQILSKHTAAIQCVAFKADQKLLATGSDDKSILLWEMK NGDQFELIQEIKDHQSYVFSLAFHPTYAAFLSGGLDNKMMLFGLDSKGRWIKFQELNKDN PITVIQFLKDNWKFYIGTSVGTIILHEIEQQQQ >CAK71715 pep:novel supercontig:GCA_000165425.1:CT868098:3899:4371:-1 gene:GSPATT00038640001 transcript:CAK71715 MRENYNSKINSIIEQLITKFPFPITKTSELSKCKQVSIKQLSNEDLIQTISYLIQQDNDN LIQNDYTITKDIQFSKSIESQSELLKQNDYQTFKSQLIFCMINQVSTIVNYQLTTNCKVL FNYQNEFKRIRKQELDEIISASQQIQGG >CAK71716 pep:novel supercontig:GCA_000165425.1:CT868098:4560:5267:-1 gene:GSPATT00038641001 transcript:CAK71716 MNQSTSQKYEISENLCQIHNYEIIAIDLNPTENGSIKYLSCNCVVEKLNNSRISTIEQTQ ARIQEYKALRQEKKAGEIKIKLQQYKIVLEKYIEFKINACSALVKIQDQIQTQISILEEK ESLLKNFQTKSNFQEDVKSISEFLSLTEKQMEFQQDTQSFDYLIRELELIFNKEAYYQTI LTFKEAKYKYRNSMKIIKLNQCPCNLKIIKQVFILPESIKFKQTLSNPEQRNTSD >CAK71717 pep:novel supercontig:GCA_000165425.1:CT868098:5780:6327:1 gene:GSPATT00038642001 transcript:CAK71717 MIFIKISLEFIPIYLLKHAKKETYQSILRRLTILKVDLTHFLKTWGQIKSNLSNIWELEQ TKEDILLTNTDVQRKLLSGEQDSQLMIKMLTKLWILCMFQQPRYMIPKDKSDKKVADSQK NFLQSNVKIKGYFPIEVLDTKVRLMETDFQLEKQIYYRQIGIILRISEKTRIISLE >CAK71718 pep:novel supercontig:GCA_000165425.1:CT868098:7356:8444:-1 gene:GSPATT00038643001 transcript:CAK71718 MTKISNNFKKVNHDQNKVSFEAIKQQFINIPHSNLLQNCEIKLKSVDQSVKQNDYCYALV FNASGSIMVSTENKDIKVWSVLNGIIKLGKTLQGHTDWVHCLVYSKKQDSIISGDKAIRC WQKLNQNDWISSQSYEQHTNTVVCVILNQNEDLLFSGSKDKSIKVWKVDFNHNELTFLQT LDKHNNEVMSLSLNQSENELVSCANGENQIIIWERRENNQFVYKYFVKQSIKEQGHKVKF IKDNQFIWITGGNQIDKLYVFELEKRVFQENQGKTIQLNANNRILDKYCFPIIYNRDRNI ILVRHKTYIYIIREIYDGKYKIQNLLDCETNSIYGTITNNGQHLVYWDDKRKGYSTYELI NK >CAK71719 pep:novel supercontig:GCA_000165425.1:CT868098:12259:15257:1 gene:GSPATT00038644001 transcript:CAK71719 MDTVNADECLNRGVLFKQQGENEKALQDYNKAIILNPKSAIAYYNRGILFCEKGEKEKAL KDYNMAIKLNPNYDIAYYNRGVLFGEQGEKDKAIQDYNTVIKLNENNTNAYINRGILFKQ LGEHEKALQDYNMAIKLNPNDADAFNNLGNLLDDQGQKDKALQNFNTAIKLNPNDATAYY NRGVVFKQKGEKEKALEDFNMAIKFDSNYIDAYINRGVLFKQQGEKEKALHDYNLAIKLN PNYATAYYNRGVVFKQKGEKQKALEDFNMAIKFDSNYIDAYINRGVLFKQQGEKEKALKD YNTAIKLNRNYADAYINRGVLFKQLGETKKALQDYNQAIRLNPQYAIGYYNRGVLFCELG EKQKALQDFKNVIRLNPNYATAYQNRGVLYGEQGEIENALKDFDMAIKLNPNYATAYQNR GVLFGEQGQIENALTDFDIAIKLNPTYASAYQNRGNLFDKKGEKDKALQDYNMAIKLNPN YDIAYYTRGLIFKQQGEKVQALQDFDKAIQLNLNYATAYYNRGVLYGEQGEIEKALQDFN MAIKLNPNYDTAYQNRGVLYKQQGEKEKAFQDYNMAIKLNPNYATAYQNRGKQSSSRKGV LYKQQGEKEKALQDYHTAIKLNPNFATAYYNRGVLFGEQGEKEKALQDYNEAIQLNPNYA TAYMNRGVIYGEQGEIEKALQDYNKAIKQNPKYAAAYYNRGNLFDERGEKEDALKDYNIV IFLNPNDADAYINRGALFGEIGEKEKALQDFNQAIKLNPNYATAYYNRGVLIRENGEKEK ALQDYNMAIQLNRNYSTAYYNRGLSLRYIIRGFIR >CAK80225 pep:novel supercontig:GCA_000165425.1:CT868360:1232:1726:-1 gene:GSPATT00039382001 transcript:CAK80225 MKKSDQFISGSQDYSIMIWTKDQNNSWICKQKIFEHTKSIICLVLNNNEDLIVFGSQDSK IKFWQKQDKWLCSQTISEHNSDVFGLSFNEQQNKLISCGRDQLIYQQWNNYNWINNGQQY RRLKQTLMVKDYALLMKIHSHFKLIIKINYIFLRQILLINSILK >CAK86549 pep:novel supercontig:GCA_000165425.1:CT868585:198:2827:-1 gene:GSPATT00020220001 transcript:CAK86549 MQNYKRTIGDYEIYELTTSDSTKTIQLPAHNAVSVSMKLITFNQYHQFDILIDDQLVVIS NRYLQVSYDTSYYIHSYLIIALINIQQYPHKQNSITVKIKKKSSTVYNSAYSFAAGIRDF QLFITPSLNDCFDNNIYPFDGCFAEIYDCVEGCANCVRSVCLECQLGWQYYEQNKNCLPI CGDSIITYFEECDDGNTYPYDGCHQYCLICQFGYCLKWKTSYQDLENTVYSKNFILKLPI TQKVLNHIQCQYLYDSLECHEYINQLAWLFLSCNSQYKMNEKKKTIRKKKCGDLIITCDE ECDDGNQVEHDGCHLCKYSCPLNCSECQFGECKQCLPKYELIYGLCKYICDGSESQEDQE NRSCYHRITNLIENGHYQHNLFNNQNSKYKLTIPLTCSHKEFGIFGYFYNQCRIAEIKNC KESIYNQCLQCDDNYVLEYNRLACTPICNDGLVIEKEVCDDQNNIQFDGCYKCQQSCLLE CLNCVENKCYQCFDGWQLIDYGCYQYCGDGQVAKSSMEQCDDGNDDIGDGCYQCKFECVP YCRSCADRHTCLVCEKYFELSNNSCKPICGDDNIVDGLEECEDGNDIPYDGCFNCMFQCE KLCQKCRQGSCIECIDGYIIEKDYCEVYNQTVIIDDDEDEVVQNQCADAKYSNNEECDDG NKIDGDGCSSSCQIEPYWFCNNYPNQPSICSPNTILKLQYLNQTQQIQYIQLYFSNKVKL NETILNFTDKIKTSIPSIEQDAYSITIIPVVEIDQTVLLDVSYEIQIQFLESITATNIVL AAEIDANLEDQNQMMVNTSIQTINLQLPKILNKNQLETANNFQALAVVSFYSSLEILVNV QKFWILYNFNHI >CAK86550 pep:novel supercontig:GCA_000165425.1:CT868585:4554:13980:-1 gene:GSPATT00020221001 transcript:CAK86550 MFLQTLLAFLLWVQLCSSNTLTCFYQYDDSQLEIDYSRPQQKTINLKSNLIENNAKGFGF WSKYIAIMDFDSFTQYTRPCDEQYSFMGQCIFNGFFFMKIQDQNQDNVAAIILNMKDEPI SLTHEIYLFAVGPNEYQSAKFNFDASQYQNTWYYTSIVYQDIDHKLRMFTNFEYQVYSFD CQIMTDEITILLGGYDQQFYNNQVFDGYQLLFFKGYFSPIQEYDEFGYSDDFFQVLFTQC QFQEQLTHTKIYDENAIIDPSQFQSDDFSTLSYQYQIVNNRYLVKCWVKQDYQEAFQYYL NSYDRKYLQLRQTLFHIDSLKYFLQELQGDRLLDLYYEVDFENNKETIIKISSEFIKIPL LVDQYSDENLRQYDTVIVRKDNLYELTQQWHYVIIEYGRKPLNGAIFQIQFIFLNEEHLI YNLGTLGYNTQLLGSNIIQYVLRQDDNYIKSRSKVRRLKFITGYHEDNNQSELECHSSCN GCFGPMNYQCKSCYIEFNYELTSLNICDCKYLTSFVQNLQKCKPIEEFEYLQISQEKSQN LCNFGYFQVKHKNEIFCIQCPEYKKERLLCGDCYHYSTTWYLKPVCTFDYIQQSEELPFI KIVRQNIHKDVYYLDDLIQLQLLQGAADFCDDHQDDCQASQNYHLGQQIRMKCKINHFFQ NNQCQICDPYCIDCQSKNICLNCIETHYFNAQSQKCEFCPQECLTCKRDHNTETGYRCLT CYEFYALTQDGKCQKCGFDCEYCREDQNIITQQYFMRCLKCRDEKVMAIRFDGINCRVIV IQNCQYVMLVSRANIWAYSTFDYNFEPKNDIDDEFPICGQCENGYGYNFYYEQCEPRFYD TNCVQSYFTQLLYNGAIYDYQQICLINNYYSGPATQGDDCSQQIANCRLCYNPSQSMPSF FCIECKQGYYSNHSTGLCSVCLESLNCNTCYYSIKEFNDQWKVNLQTFHQFLKQQYNSKY FFTKDESNNPQDYQLICTSCKAGFKLLDGKCIKYCDSNCQNCQYSDGQYYCYQCGSNNYH HFLSLIQQKCSDCPSYCEFCRERSSDEMLALNSNFVQNQKNQIYSYQCLKAYSFNQNLNY DQEFGQFIPCQNQIGCENVINFEMNLYCADDDYYRALSLISDQNQQIQFKMKNIRFDSLL QSSSQKSSFDDLEIDSKFDIMNKKFIRKIRIYITSNQEQTCSVNQISYISQKFSKNVFSL IDVQLIISSKLEQPLKIKVYHELHFLDFSFLRFENIEFEIEQSTNLKLISVAGFQPINLE MEKIDFQPSVKKQPSFLIFQGKQISQIYFNEIHIQDLIFENENITSIFQLSFLSSNALIY IKKFEILNCNFHRVNVFEFLSNQTISIQFDQIKIQANLNISSLLTSKIKDINLLNISNFL ISGQMQNSEPFISFNNTEIAQVENLTVSDMTLIDSIFMQFEKYVLINNININQCKTVGIV YIINNFMPKEMSNQTHLNYFMNQIKIQNLNSNYLSQIIYLQPFHSALSSVDIKNILLSNI TLNNQNNFQIDQFDSSVIHIELQIVSIQYCTIERGYGFIQFLLKNIQILEIIELQAQQLK RHQLHQFYDCSDFNPSHYKSIMKLLDVQSIYFEHFYIIDFNVINSALIVFQSYSQLLTES KYYLANFIIKENIIIVSKSQESASLIYLDSKLQTQVTLINIEITRNQLHNYGQNLFIFSA VGVLINCPSGDIKMESNIFNSNFATNSTDTIAYIFAKSIVMNKIQFFNNSIYNIDLLLKN IILSFPRDDIVRLSNLKNIFPLISEVGNSYLQAETVIVQNCQVENSSGKSGVGFSINALI IKIIHVDFKNLKTQFKNNEEHGACIFIDIPSQSSQIFLQHISAQNIISKDYGGFLYIKSD QDYLNLTLKNLTLQRCASSKGTVLYASFQKSSLSNKLNILDVNIIDQFSALYDYFQQMVI NPTVQINLYNRTSFYAENSVMNFSNINLSNVHGESLLYCIDQGNIILNQISITNGTMPKL SLIYIQPRNDLETMINIKALQVKNLLQYNFQGEGCQIPNQVKSKKIQNMQCQFELPNNNF QRDIDIISSQVMDCLYNQYLYSYTIQQQAIVTITQIKDSDIIMFLDISFINNDNALSKSG VVFLELNKQKYNTYQISIKNVNIRDNQCGQVGCIYLNSDIYNEKSSSLFNQKKRILQKTK EQILAQLKHDIVIVHYKCLGNSAEFGTCLFSNQTNILIQNSILQNNQANQVGGTIYFIGL ESSLFVLDSQIQKNQAQIAGAIYYDNSISQEIRTFETSFSENHASFFGNDLVQAPEHLSI TLNNYRSIFNSYAIYKNNDSLYEQLANSNTENQVIFLPSGTPIQNYQQFNQDSQELQSLD LTFRIVALDNDYSKQFNLTNSKCVIKTRLFDLEKQEFKDVQNQTFISQNSVYFNTTTNDY NLDDLIILFDSDNTNKQYLQLVITCDSIKIKQYDENNLIIKSFHANYKLFVNINTYKCRV GEIKSLTDMSCHECASELDQYSLKLNSNKCNIRDEQTTLNVTSFKLNLRKGFWRPYFDNN QIEECYNLKENCIGQWDYGDSSCYVGHIGALCEQCDIQNTRGQGKFSNAQQYTCGSCDVI RFNLLQIVGFSTWTLITIVLSVKGAITNQYYFLESPYLIKIFTNYLQIISSLTSFKLNLP VSYFYFLNGVGSPIQRISHSMDCYLINLSYLDIHYIRLIWQLILPCIYFLILALFYSILI YLNQIKYRGPIVMTAIIYMYIYFQPSLIGSLIALVSIRQISGVSWIQANVAYKFDTLVHK RWVLTFCTPFLSLFGIIIPLGLLFGMYNQRHKLIYKRGKSLFGYLYYEYKPQAYFWEIIK IITKELVILFLIFYEDSIILKGSLIYFILLFYQQLNLKYQPFKSYRLNLLDYQSTLICGA SIILGIGLNMEYEFKSISNIYFFVLFITNIFIIAKLLLCIINSYVNEMEDKIDVIKKKIL QLLPNSLRMSQQCQRILQLNVERRKRVINNFKRMKSAYKQFQQKSKQSSNQQLFNTISIQ QITQRTTSQFTERDQGTSQNVLLPNNNRKEGLELEV >CAK86551 pep:novel supercontig:GCA_000165425.1:CT868585:15206:17866:1 gene:GSPATT00020222001 transcript:CAK86551 MNFCDEIENLCHELEKCQIQNCERLHPRSFSGVCIGYLQNICQQSEYCGLHHFSQEELDK AFDSQPINGIYKHNLCYKKCNNCNCKYLHPPWLERICVHCENKNCDKKQEGLWHTTKWTD IRKKVYEMYNINKLNPEKLGADDDSYEAQKYNFDKYCIKYFQGICPHHRCQKPHKNWEDI KDIGEFQNLEDQVIKPCIKYDEIKNKYVSQPQTLKCAVFMQKNSLQLMINQIQQRPQADI IFILDCTKSMDKWIEVSKKSISSIIAEFKKKVQINAAIRMAAVCYKDFSDGPNHIQYHNF TVRPEEIEKFFGNLKIEGGDDIPEDLQGALDMAYKLKICKDPASLLQIFIITDAPCHGRK YHNLAYDQRPDAQDLEEKLQKFVDLKQRFFLSFLQINEQTQKMEKVIQSVVKNYQSAKIA DKQFSDYIVFSLASTYNKSISMNVNKEYEHVCSAQYTKQKDMPYMYNNRKNENYYDFFLS QILKTQKKGDTLVFIENNELQVSQQGQQQEVFKGFDQKNNVHIIIKIQKNIIKKFNSKTL TADDIDEANKIAESKYKQQLIAKQLSHHFNFCCNKQNIQFTPLYYATPYLYQLEKPFKGV KLIYAESYIDIDQPWKKYTNNTEYRDECIDLTAFSHFTYQYTNCQMIVTDLQGKTNILSD PAIHTSIYNQNLTDSTNLKDDGCRKFFLNQHPECLTICRQLKLNPTEIQQKSSGCIEMQQ SNVPTQQEDAYFGSKISSDNRFKVCCNCNALEKLEQQSDTCELCDSCKKEQQNKETVNCQ CCNAIFEISLNFEQIFGTVVEYCQDCQNKQCHTTVARKCYYCSKRICLQTIKEIQINGKK TDICADAHYFLRQVKCKNCQSNYNFKQLLSQEDYYKNDYNCGCKNR >CAK86552 pep:novel supercontig:GCA_000165425.1:CT868585:17903:19516:-1 gene:GSPATT00020223001 transcript:CAK86552 MLSCHHLTNTEIQVDKDDKPTLLKMFWNLLVCSFQTVFGYVCLDICVSITLHYINKGMTQ IDVAGYGFALNCVYIIVLPIGFGFNQSLNMHTAQAIGDGNHQLAKRFFNVNLYVMLMFLI PFSGILIAIKKPFSLIVEESQREETADCAWEYLWFLIPAIILAIIFESIKIFMASYKITY PFAIIHFITLLLHWLFSWLFIMKFEWGIPGAGFAIIMTEIFNMLFIFLFIQLTEYKRTIF QGIKFLPDIPRIKKLGLQYCKTSMPILVHIYCAYFIFVLLTFVALSLNTPSVNAHLALQT VSGTFFRLPISLSIAIMSYVGTEMGLGDIKRAKQYVAVGILIFVITCALCASLLWVFQDG FIDFFTAESGKDQFADETKDVLRDSLPWMIGGSLIIDGMQGTLSGALKGINKANLVQVLE LEIFQYSTIFAYFVCCVPIVSFFAYDWGLGNGTKGIWQGFGISNLILTTLFTISLFTTDW QKESDRIINRIRRENEMQKSTVHQIEDQLIYD >CAK86553 pep:novel supercontig:GCA_000165425.1:CT868585:20518:22557:1 gene:GSPATT00020224001 transcript:CAK86553 MNEIFPDVSVPYPSNSADISSLPQVTIQQALDHRQANRVGRPNYKGRICNCCGLQIEREN FNLGISSDQLGFLGTGYPLYFDFIKSCLTIIAIQYITVGNFQLITHIGTLFELSETQQGL QEKQSILSLTALYFTMIYLIYFRHNQIKLDSFCDLKQTTPGDYTVLFQKLPLDLSREELE LKIKEEFENVVKVCFIFKQIIKQKNNKRMFLDQLQDTYFEQNQFSGNALVSFKYKEDKLK LLKKAKYNKWKYYLKQLLHLDLNNDGYLNFQGIRLAVRQAPEPTDINWKSLNEWIELSQF KRLLEILINFLLLISLLVVTCTTEQKKNKIISFKSSFTPLITIISYYVFPLLTKRITFRK YISWTQTEKSQSFKLTILMSLFTIYIAQFVDGELFWLFAWYYLITILQQFISSSTIYTFK TLIPQPQTQDELNRLYELQHHNLIRNHAYIIYTMIITNIYGNLMPINSIMSFIGLSLYYW ADKYVLLNHSTVKYQPSSNLSLEMFTLISYTPLIISCQTYMNSDENKISLIQLISAFIFY LIPKDLIINKIWKTKKIKEFSPYDESQFNSCYDRTNPALQDKAIHNWVNQQKKAHAKQRR VLHLLDQEQKAKQKRTLEKQLTKIQKQISQLEAQLEQKQTAKLITQSDQVSQSFSQEKSQ DS >CAK86554 pep:novel supercontig:GCA_000165425.1:CT868585:23546:25095:-1 gene:GSPATT00020225001 transcript:CAK86554 MLMAFKIDYKILNKHYYQESMARENLNKSIKENKNVKDQVIKIEKPSVLALNLLIKKKGR VSTEYQFLTPPIGKGQYSEIRKVINKKTGIMRAVKIVQKNASEKEEERVTSEVNILEKLD HPNILKINEYYSDDRFHYIITDYYSGGELFSKIQEKKTFSEAQAARIIRQLLYALNYCHI HGIAHREIRPENIMLERSSEFASAILIDFGMCQKISHKMHSSVNHPFYQAPEIYQKKYNE SVDIWAIGVITYILLCGYPPFGGESNRKIIDNVLKKPLVFDEQDWNKNSIQSKEFIKKML NKDPSQRMTIEQALNDPWIVKNFELQVSDSQLRRVLTNLINYNSQSKLQEATLKLIVLYL ASREELSELREVFTYIDQKNDGYIDVEELQTAMLKIFDVETTEMQTNKICAEDGTISYSQ FLAQAIDKQAILQKSKIETAFKLIDRNASGNINVEELCEAFKCNLAGNDQWIEIMNEVDA NHDGALSLVEFTNMMKKLIQS >CAK86555 pep:novel supercontig:GCA_000165425.1:CT868585:25135:26285:-1 gene:GSPATT00020226001 transcript:CAK86555 MGLLISKCKECCFLIRSKPSVSVSSHQLVNLSNNPAEETQGKIQAQQISFAHDHTHSNDL SSCQVDKNEEKNENDLTLETISNKQLSIVRSPSISQTVQLKEEDKFILKKQDKQTSDNTI QPQQQYQKSIENGIFVFTNIDSIKIDELTIYVQEALEKFTELVDIIDDSDTYIQNMNNIE LYFSHYFVQKVMFIQIKFQFELSTEINRFLKWSNENSLFEFDLIENYKLQQVNEQMSIGE MQINKHLMIKQQFITYVKYTELLNEDYYIVYKSIRIQDQYQLKIFRKAKQCWGD >CAK86556 pep:novel supercontig:GCA_000165425.1:CT868585:26498:26908:-1 gene:GSPATT00020227001 transcript:CAK86556 MQYGKKGEDLSRSKIEILEDFARESVESLDSLLPQFHCHDLQQLEIERRVVAYLKSLGLK FKTDPTSKEHEFQQNFDQSSELPKQQGIVFQQTIGESPMINTIQIIKFGMDQSNSKRDSG TGLSPLHLGKQSSFEI >CAK86557 pep:novel supercontig:GCA_000165425.1:CT868585:26959:28134:-1 gene:GSPATT00020228001 transcript:CAK86557 MVILKLASEIIEDGNLNKLNSLLSMPLTQELVQTLRRIFLYLQDKKLFSISYGSEWNQWN LRKNNNRQNESNQVDNFLNIKWSVYLRLLFQHSFYDDLLLSIQQTIDCPPYVRLVQTSVE KGIEIPINSNYFQVLQYLPLDFEKFIILIKKFPQIEQNDAAQFTIFIQKQLSKITNDQER LQFSKLINTHLVDKVDNPLILADFLQNSIDNGGLELKINSLQSLLILITRFNYNLDNFYE RLIQIIETEGALESSSKSKLFKLIDVATKSTKVPLQTLARIIQSVLRACLKESCSLQLAA TQIIFNIFKKHVQLKHFLQQGEAQLVEIASYQNHWHPEIKRIISYLEDDLSIFDYFNLND VIELQDQQLLEAQF >CAK86558 pep:novel supercontig:GCA_000165425.1:CT868585:28156:30060:1 gene:GSPATT00020229001 transcript:CAK86558 MQQLLKEGGNRNPKQNQFEWRSITPEREFGQMLQGKPLITEPNENKQTAKSMSQENNMNN QDFQSKHTNLNKLLIPDSQQQKFQRPLNYMQTSLKKMSEIGQQINSILQKFKQNRIHRPQ SDLNMFDKHSMMKKTSQNDISLSTNLNLNHSYIDIRGTETERSYFQYKNHKDNGKQLNKD SKDYQFLFQNSNNQFQTNSLKKIIEKKIAQAMQTNTNNMLYNDYKKQINKESKQERSYNI QKYITNKFLRNSSCLQANEKSDRKNKQMSSYFSQNHYSKSQSSFLIERENSKSENTTSRN NRIRVSSYIKTPTKNNSDLIKQFSPRFISQQLSSYQVSSSKINSLLSSMNKDIEKIKTYN NIQNLNIYHIQAIPLFLSNDPNQTHVIVGCSDLSVRAINLYSGRIQVLGKHQRPIKSITK VVIQHNFKTFYSCSLDNQVIQWVSESMHGTYRLKHSWRMDEVPLQLYQTEKGVLYIVTAN YIFYFSSVTKKQIKYHGVFNCMIQTSSNIFVAIDNFIYKLQADSLQLVCTSKKPIKNMIY EEGLLYVLQRDGALLIYEEAKHLKFNSEIDIGAMNIFTMQNGQIIIQTNDSEFIVYSQQI SKSYQIDGKIKCLLALQQMLLIGSNKKLIETLKF >CAK86559 pep:novel supercontig:GCA_000165425.1:CT868585:30916:31692:-1 gene:GSPATT00020230001 transcript:CAK86559 MSYQVLMPRSQDHFPDNQQIEDSSKEEQVENNEDPQVPNNYPSILVQVKQLGPTKIILTK TTCLYKQQNSCEAWGKNSNSSDNFETGSQSDKEVILQRFNMGSSPQIEPNLEMLIEGYVD QSQVFYNNKQQNSKVIDSNSLQKIDKHRSTRIKEEVKYFPGATHNIYKAFHGGLIWFMKV LPEDIKFTKEAENFLNTPKNRLGKIRLSNSIKMCSQIRSFANEFFCNYQWTRYFSKNNKI DLDSCFRLCPLIIAQILE >CAK86560 pep:novel supercontig:GCA_000165425.1:CT868585:31948:33870:-1 gene:GSPATT00020231001 transcript:CAK86560 MQELKSPKLLNNLVSNVQKKQNPIPPSTDVNILQQILDQVQPVEIIHNNNDDGQGLANHK RNNKALKINEDQAEQQLIDFIQLGIQDFEANQYSQCVYHLKQAEQILTAISYSNPLIHYF LMIRIDLGVVYYAIGWLEQAFTCFEDAILALKKTPRTDQEGYLLSIIRLHCYLQCCIILS ESGQHSEALAFAKMAIRKSSKILIDLQKYLQSQIHQSVIEDILRKSIPILKPKHQTIVQS YQVQTQAAQESYDQVYSGCPQEYIQRANILQFIQMNPVNLSNFNHVMNFPTNQQYLHLIC LFVISLYCTSTESKFTQKVESCCFSEAENYLSRALEIAYLYLPKDLPLITQLLNVHNRFY DISKQVCICMKHQAIDEDAKIKMDQGHFEIVLLKPVIESQKCGFVIPIIRKSKFIGMKSR TRSMKEQQFSNTKQANFNIEPEHQILEVQSRTHKRKLRSVSTTNKYKPNSPKWVNHQLAE VYLQQQYPFKSIQIKKQYQKYNNKTVELGSGKNSANQNGKLNRSENQIKKKQLNIQLMIT NNINQTSSTQNSNGGQPIQIPNVTQQQLFKKKKNNSSFNFDQITTKSPGNLFVQKQRDEK KLSTIQQKFKSVIKQY >CAK86561 pep:novel supercontig:GCA_000165425.1:CT868585:33912:34897:-1 gene:GSPATT00020232001 transcript:CAK86561 MSLSNILKQIFKEICILIQQQQKSLQSIRLEQLRQKTILCNLPHQTINFSIIHYSYIKSV IRQIQHRLRTYNLYPAIVNNTISVQSVHESISQHSESSLDQNQKLITIMSENEKQQPPTG IVNDGKNSGKQGLTYFFRISIGIWEIKNFYFFLLLIHQIKRIYNCLQLIFYYFKERYFVK KTDIKYIKITKRRQLRIYQIQYSQQQIAFLLLFIRLDINSFQFLNNSYLIICLSKSTSLP LLQRIQFSILNHQLTLISKLKQHYCGRIGYFYFIFFPKQQYPKNKYIKICLTQTNIQIIV QYLEIRYLEYLL >CAK86562 pep:novel supercontig:GCA_000165425.1:CT868585:35145:36296:1 gene:GSPATT00020233001 transcript:CAK86562 MKTGTQNTVIDNIVMEDIEQMLKTSLFDQKTQNQLVSAFDTVYGLWEKNKKLQLKFFERE IDKNLKNIQSQLQELQQNKSSGLFSYFQYDKDTQDLQSSIKIQLLELQKQVQSIYNSVDC EVGILNLGVIELNYIGAKLQFLLNHPKYQPIGFIIEFQIFESDQPNEYQMIISRKYLIQK FQQQYGKQDTHVHKLNNQNDKAFMILTYNIVEKQLALLTEHDPYIIISSANQSRIYLDKG MLLIFDNFYELKVTQTNTKNINQEQLSSSNDTLQDKFEETIKIDGYGYIQFEITNLRTRK IEFYLKNSNLDLSNHISGLQAILYHDCNGFYMIPKQNAAHYKLIYDNKLITKDSLVNVGI QLQNKFWIEVELDKAKIDCFVLD >CAK86563 pep:novel supercontig:GCA_000165425.1:CT868585:36665:38472:-1 gene:GSPATT00020234001 transcript:CAK86563 MDNQIKVVIRFKSILAFEEESSPNWIIEEEAITYKNTKQDEIFEFDVIMPPATLQEEIFQ SIMLPKISFFLQGYNSAVFAYGATSSGKTYTILGPESTIEQILNNKFTIDHSSGILPRSI HQIGYQQIKQQESQQQVTLKATYVEIYNEQIFDLLNQQYKTNNQKLDLKISTIADGPKLV GVREVQVESVNDLMELIAFGSYNRAIGATQLNSRSSRSHVIFTLELLVEWKDKSQRTSKI QFIDLAGSERLAKTVAKGQLLEETKKINQSLHCLGHCIMALSSRKTIKHVPYRDSKLTLL LKECLGGNSNTSFICAVSAALEHEEKTIQTLKFAQRAKLILNKVKINIKLSYEQIEKQMT MLKQELQMMEKILTTNGIKYDKQIFKEQNFQLQLDQNMNLDELKGDYRKSKRRSLQPSSS KSDIKEQFSYRFQQYLDSQNSNPKQNSSPNSKRIVQRQSGGNLRSSLPCSLDGSEAELDE NGEHDLNEAQLISALKSINETPRELSQFVSGRTSQVKFHTQESQYYSTTTNQTTNTEQLQ DRPKKRESCCQVF >CAK86564 pep:novel supercontig:GCA_000165425.1:CT868585:39280:41058:1 gene:GSPATT00020235001 transcript:CAK86564 MINLFPKIQDNQYNRQSWGGLLFLITIICIVVFIWAEITNALQGTIQLQVDPAIDSRIRV NLDAVIQAPCQALTLNIQDMMGSYLQDVQHTIIKTRIVDDNLEYVDVKQNVNFTSCYGAE LLIDQKCYSCQDVMMAFAQRRWRQPNFESIVQCVGKPTVQFDDSELQQFRQADLNHQKEL QLTKKELVNVTATELFKQSIEVDDEEEDATEQIMTQEQRDKFKKALGQLSEISYNPMQWD EQRLKQEKGKQIDQLIGMLNISLDHIGGTLASQLRFSDRERVLPFRNLTQDVKLLTEIYR QKCETTLKISLNASYDNESKVENFELDMPKDQCSIPFYYSAKEDIMTIQVQNHEILWKIN TSVMMSQFVFFIEKLLVINEEYNYNYQPNSMLDRAYNQFRISVYVHVKQIKNPMTKNSRL LFSESFQVEQPSESYIEVSDIEEHIDEACRFFGYFYIKKVPGVFAIQSNKPAMELINRTF QGNHSFKLSFGDQPSTQRETYSQFSSKYYLKLVTTNNIDIWSNQNVFYTFTQQRSLYNET IAPFIEFQYEFDPISMTIQSTSITNYLVIVFAVIGGVFAVSKYFAGILNMLI >CAK86565 pep:novel supercontig:GCA_000165425.1:CT868585:41228:42100:1 gene:GSPATT00020236001 transcript:CAK86565 MEPIVSEFSQVNDDSIEKEKAQIKNILAFETAGTLLLIYGALAAETNFGVALVYFIGLMV CGRLSKGYFNPICTLIGYIDGSIPQKRAIYYIGAQTFASLIAGMLLMPLFAYKKTLPYFE VLPSHQVFGTLMSEIAGSIIFFIFIQIQTAENTKITTTEVQSAIFVSVIYFVARQYTATM GNSLFNPSAAFGLQLFYGIYYGKWDQMINLLIYIIGPWVGALLAITFFWKIYTPTLVSKQ SN >CAK86566 pep:novel supercontig:GCA_000165425.1:CT868585:42725:43259:-1 gene:GSPATT00020237001 transcript:CAK86566 MSYSIMQILSLFQENEDHDIHNIGRLSDSEPITPAFKDEKEQLVRQINEFRQQNQILQNE CQKQIMNLSEKLSRSQILQSEIEQYKEQIRGELNQSQILTKQFLQKHESCFNLKQRILQQ KNYHKLHYENPGKRITTCPSETSTVQSSSFVIPLSPQLKKLHYNSFNHHF >CAK86567 pep:novel supercontig:GCA_000165425.1:CT868585:44756:45973:1 gene:GSPATT00020238001 transcript:CAK86567 MIQYPFFSLPPKCHQAIPSVPRKLLKDQYELLSTDLGQGTYGKVKLAINRANNKKCAIKI ISKSYINQYNAKQHIDNEINYLQCCKHKNIVEFIETFEDKENVYIVLEYCQNGTLYEMIK KKKVFSEDEAFSYFYQIAQAIQYLHEKDIVHRDIKSDNVLLQNNTVKLCDFNWSIYLPNG GKAKPCICGTTEYMPPEVVKKQKHDKGVDVWALGILLHYMLHGELLFKAKNKEELHEKIC NKHLIKLNDNLSSECKQLLLQMLAHSKRINIQQVLNSEWVIKMLTNKNISHPLELTPIKN RSSAYELTRFSVISESTCFSSSKFTTKSYDIESPFKQSNNCVKQAPQPQQPLKVKSTALD QKITAFRVEKRQIE >CAK86568 pep:novel supercontig:GCA_000165425.1:CT868585:46005:47709:1 gene:GSPATT00020239001 transcript:CAK86568 MEYIAYTDAKFQFLGTRKHFFRDKQYYVSVFEDCFIMGNTCDTQSPKYKISFDLNIKLNW DLKKQENNVIIQSFVFPYKNSFKTLYANHDDLMKQLQYFNLIFVRFKELLGQSVTYEGIG DLYLPILQIGKGSSAKVYSAQNILNQNIYAIKAIEKSFLNKTEKGSGLAAYKMEVQILKI LSPYSQNFLTLKEIFEGDHTFYLVTDYLEGTTLSEEIEKAKNLPDHRLPMQTIRVLMYKL LTSLVLLHENNIIHRDLKPDNLMFAKKNDYSSLVLVDFGLATLETLDKFLFPKCGTPGYV APEVLNLNYENKYTTKVDIFSSGCILYKLLTGKSIFAGKTFDDVLRANKKCYIDLDLPFD HVYITDLSLNLLGQLLNKNSKQRISARQALCHPFFDQINEHSTQALSTFITNVKFSQNGN LNLQCEEWTSRYDIEEYQVNSEMKEDEQNTITIPQTKRCSLMSEVQSLTRSPMKFYQKEP LSAIRFFKFIDLASPKGDSNEIISNDLQS >CAK86569 pep:novel supercontig:GCA_000165425.1:CT868585:47865:51144:1 gene:GSPATT00020240001 transcript:CAK86569 MAEIDLNQPFHAYPLEKVVGAVQTNLQKGLTKVEAEARLTKYGPNELEKEEKESIWEKIK EQFEDNLVRILLLAAVISFVISQFEDHEDSHAVPPWVEPCVIFTILILNAAVGIWQDLDA ERAIEALKDLQSPHAMVLRDGEWTQIEAKDLVIGDIVEIKQGDRIPADLRMVELKTITLK TDQSILTGEVNPVNKVTDSVQKDKAAVQDKINFLFSGTLVSNGTAIGVVCNTGMRTEIGK IQKEVQDAAKEKSEDDDPLSKRLDEFGDKLAKYVTYICIICWVMNIGNFSDPAYGGTIMG ALYYFKVAVALAVAAIPEGLPAVITTCLALGARRMAKQKAIVRKLPKVQTLGCTTIICSD KTGTLTTNEMCVKELVLLTGQEASSLQVFPVEGTSYHPEGKIDGLESKLLKGNDLSGNLK RLCQSMALCNESKLYMDKGRVQRSGLPTEAALKVLVEKIGKYDKSFNGRPILDAPQQYND KIVNEFAKRATLEFTRDRKSMSVLASSQNEKGNVLFIKGAPDYLLEKSTMILNSDGVAVP LKAQDKNQLLTIVKNLAEKGLRTLAICVQEECGQLSDYDGPKHPAHNLLVDTNNYKDLES KPIIIGVVALQDPPRPEVKRSIEKCREAGISVIMITGDIKETAQSIAMQIGILHNQSQFP THSFTGMEFSTMGEEKQKKVLEQVIGRPSGLVFSRTDPSHKRELVKLLTGQLNQIAAMTG DGVNDAPALKQASIGIAMGISGTEVAKEASDMILADDNFATIVRAVEEGRAIYQNMKGFI RYMISSNIGEVVSIFTSSALGIPDGFNSIQLLWVNLVTDGLPATALSFNPPDPDVMQKPP RKHDEPIITEYVFVRYCVVGTYVGLATVFVFIYYYLGYEWAGDGHPVVTFHQLRNWAECH HWEGFKVANFDKYDFSKDPCLYFSWGKQKASTLSLSVLVVIEMFNALNALSEDGSLLKVG VFANPYLILAIFGSMTLHCMICYVPLFENIFNTVPLSLQDWILIIGVSAPVVLVDEVLKF FSRIRNAKLLEERKKIQ >CAK86570 pep:novel supercontig:GCA_000165425.1:CT868585:51147:52485:1 gene:GSPATT00020241001 transcript:CAK86570 MSLEQKQNLLVKEIIDKGFNIDNFQKFIDQRHDLEEWDYDELVEVIKKFQDQQNDYFQVL KCNRTIPNAISNSENLTSTVVGYEKVQKGIFMSTYVYFKIETKPINWVVRRTYEEFIALK NMLNKHYNVPNIPNQRKSPVEFTYIKQLRHLQMFLNFVLLDQEIRSLPVIQDFLSLDSFQ PNQVIPFTTLSGDFGIRINKQIACFIKQSDYFLNNVSPLQKKAYKLVKQLMKNMQQQNQT MFQLSDVYKELFKESKAQNARLKDCYIIASHLFEQLSKIQTDNIKILNETIYAQQRFQFH QIAPLKDILNQREKHYNQVFDFSNKLKAKKEKLYQQGEVVKWDLDESYLDSFKLEQIKST PQIAFQCMCKTDNSHLQVLKNQFGQINLRAYEAIESVIKYTSTQFKDHLEKFAQLMTTSI HQYQKLWSDTLENLAIS >CAK86571 pep:novel supercontig:GCA_000165425.1:CT868585:52906:54128:1 gene:GSPATT00020242001 transcript:CAK86571 MSKLLCFKTIGLFYLFMILIKFQFQTPLRVTTQVFMKREEPDSLKSNPMAKLFTSQHILI ALGEIIIQPYGEAKMSIVTTVARIQESAMQISVLQKDDGCECIAGYVGSDCHQQALEILE DKNYIYFYGDETQFFYLNIDNYDQMDIELKLSTNSYQGIEIILQLTNAIIIPSQYQLSKE ESYIQQYKIYDTQPQELIYRSLSSSNDNIQLVFVARPINSPLDTIVSTLTIELSKYDKSE NTFSIIVIIIIVVVISVAVIIIIILFFVCKSVRKRKMLRMQARLRMARLEGQQQNQITQQ QDYENLYDLLTPIPLQDCNNQDSCAICLDNLNNNQEVRQTHCHHNFHSLCIREWLQKNKK ECPVCRSNLAIKDVKTHQEPNQ >CAK86572 pep:novel supercontig:GCA_000165425.1:CT868585:54899:57647:-1 gene:GSPATT00020243001 transcript:CAK86572 MGVGLLSVQVLPIAYGFLEQDIPHYVRTNQQRILGMKNQKKVNSILDSVTRTREKQTFEY ARYTETAYIQQEKSYVIQPQSKLCNEDFVPFNNQLIEVDPISKDQKLQERNLELQNQLQT NVNDLDIWKEFLLIQEKIAELQGYNEPLLLANRRLSILQKLEQVSEVLADHPWVLAYKIS LMQNLELRNDELHKEIDNCWSELFSKYVHIQPIWILFLDHKLKTEIFSATMLRIEANKHI ANLQKLINETTRAQLVQLFKRIYIELIQQIAFYESEMGFKERSVGILQALCEMNLFAPKH LQRTYDLEEKVKEFRNYWDMNVRTGEQNEYGWIDTPELKDDFIGYFDLSQQIQEQEDQIQ QFLSFQQLKRWRPANQRFDIEWIEQNVDAAVIYEDIEPYLYIFNDQESKLNLVSILLQQI GYPPIDSYILPQINQFHSADMCYNKISFDYHQNEFFIKYQSIFQCKFKNNLSQFVYVKRL LEKVYQQINNQFLLVYLFMLYGILYLNNDIIAPNQVLQQELKSGLKQIKNILKDQQNNLV LWILYQEIESLKKQQFQVQLISQIKLFAKSKKQKLMILYFQFIHQNSYETSLNIIKEALT AYGEETIISESTLVLKLRNLYRQSRNKWQNIEYLFQYKKEIQPFSLSKRSILLIFLLFLQ QNAINETLQNLMQESKQLKSVRFTDQQQRKKILIKYKNEISLIFLNLLRFSQEKEEICKV ALKLDQDQIIFFITYIHYLTKKFLYFQRLVELQDIIQLQSPQICWIAYVLSQDPNKSWFL VEYLKNQTEKGLAQIYCESNDQENFEGTVNLKYNYILELMFMDLQKKLNPNVKQMYKSLK RNPYSICMYAKVIEELKERNESLNLLQDLQEKELKCF >CAK86573 pep:novel supercontig:GCA_000165425.1:CT868585:57704:57946:-1 gene:GSPATT00020244001 transcript:CAK86573 MSEDEEWLNVGKSFVQNQLKQQENIVENNIIENQKEKKKKDKKKEKLSQAQQQIAIKFTE EEIEAYYDSKLENCNQVFSY >CAK86574 pep:novel supercontig:GCA_000165425.1:CT868585:58120:59891:-1 gene:GSPATT00020245001 transcript:CAK86574 MQQKVHLKSLDDACEDPHLHEAIKLFLTMNKENHTREELYFILQRMEELPYFKQFVAEKL QKGTQRNDILELCSRLRMEYFKGGEVLFQENDPSNDKLYIIFYGDVMLMRLKKMDQLMTL QRQNSEATPQIPNQQQQFKISTILHAKRLTHKIVKHHNHHKESCINQEEKKRLEQSYGEC MRILGEGNGFGEKALAENIPRTLTVACYSLESFIVVLKKDDFLTYQMTFEKTKKEKQQLM FNIFKNVNNEYSSQRLESMIYSCQTIQYERATQLTSEDESGDSFYIIISGDVTVYKRVDN KNIPLCIVSQGHLLGEEIVINKTANYEFTSVVTSLQAQVIVIDSQEFIHKFPEECRIQLE HDYKQKSRNRQNLVKLLCQKKKIDTITNGVQKDQILKLAEIDNYFLSNRTSFNSAKKTDL KLNSNFLMVYSNPSVQNYCIQSIVEKEKVFSSMQSNLNPIINNNSEPNEFNLGNLSKDYL KRRKFLFQSKLNQKLPLQNFLKPSSRVLPMSIKRDVIRNYKSNNKRINFHSSVNIITYAS PVAQIKSNSKYIQYECRESSTNIYTQFDDKQSI >CAK86575 pep:novel supercontig:GCA_000165425.1:CT868585:59932:60526:-1 gene:GSPATT00020246001 transcript:CAK86575 MDFETQIIICRNNAKSVVDKRLRVFVQVWNQIFDDDEEIIDFEADPNLVKQINHYYQLHE YDQVHNYTKQTQISFVDKIEDSNIEHLMDQKSIDYLKYLGYENDELSKERVQDWLTKITP LLGECYRLNCVEIIEILKISVAIFFYIEGLTEKEFQQFALKWGIQYPLPPQRQRKIVEEN KFIFERQGKK >CAK86576 pep:novel supercontig:GCA_000165425.1:CT868585:61846:62800:-1 gene:GSPATT00020247001 transcript:CAK86576 MAENQQKSNIKPAMLNMKNFQIAVGATLILQPLEVLQTSMIATGYSKQNINFKGLSLIAS QIYSQEGIRGFYRGTIVSIAKNTVSFSFFFSGIEKYSPPAHYPVYLQSLLNLMIASSSKL VGTVASTPLAVMRTKMQIVGNNEYSKIDKCFMKIAREEGFFGFYRGVFAAILKDVPFSGI QYTIYRNLLNLSGLFTKGQDPKKNSVLVAICGSSAAMLAIMVTYPFDNLRIRQQAQNKSS NLLLLAKTVKMTEGLSGFYQGYLPRLIKKCIQSGVLWMVYEKLALKQKKKNMEQ >CAK86577 pep:novel supercontig:GCA_000165425.1:CT868585:62828:64903:1 gene:GSPATT00020248001 transcript:CAK86577 MKSIQQVNSSSVPIGVKQIDTQLQKVKTLLDVEHQLTLERSFKESKLNKEFAQKLTQKVD QLQQERDKYKKQFEEGLKKVEGSGFGRTSFHSNKSDLDRQIQTERGKQPDRSTLQQIWNE KGEQMQQEIECLKSENNQVTSDLRQAWQEIDQKEQLIKKLELQNSVLVNEIRKLQRKLQQ ERLNNSEIKAVKQKDISSSQEQLSSEVQYLRNQINELQDEINEYQEMIDSQQQQIEIQKT NNSQLRIERDNCVHNYAIVKAKLEVLFEEKDEMERLKKMDDETLNSLQACVSIQKENIKV LENEKNCLIHSVDQMKQLISNQEIRINDLKNENLNLLEKLAEKPLQINLNLENEIANLQS AQQQDDDLLNKFNNLNDEYQRLNESYLKLQTEYDNQKINITNLEDQIKSDQVINNNLKQE NDNLMNQIQNLENQNRKFDQQNSELLAQINIYQTKDDKNCNLIKTLNDTLRENNIQNIHL KNKIKRHIGSYFYILCKILRQKQNNSIYEQKIKKQQAIINGLLTTLEDQKKDDNNLSSVE QEQVEQNDTKPIETDQQKKLQMQNQKMLGKLLRITIKQKQQTKLIQNLTERLTKLKSIND ALINKNENNYQEIIKEQDDGEGLKKLRSQNNKLLGKLFRVMSQFNKKNKEIEELKERITQ IEGNFR >CAK86578 pep:novel supercontig:GCA_000165425.1:CT868585:64948:71301:1 gene:GSPATT00020249001 transcript:CAK86578 MTLDKQKKIEINQESQQIPEIAQLKKQKDTLFGKLFRAMSLINKKNKEIESLSDRLNKQK AIIEELTSSNSENLAIEIEPQQIELQSAPNNLIEDNGNLELINTEQFNKLKTANDKSLSK LFRAMFLISKKNNQIDELNSRLLQTKKLQLKNYLKTIMLNNKERRFISYGANSLEQSKLN EELQERLQKSKAVVDSLLNQVPQKEEEIVSESKQSDQNEITGQTEKIQRLEADQQQLKNR NIKLTSKNVQDYDQVKSKRQSHSILVRPPSNSKELINDELQNQIVNTLQAQPESETKEIV VKQLEINPEEFNKIKHSNNKSLAKIFSLVFKLNQKEKIIDEQNQRIAKLKSIISEFALND DSLKKLKRQKSQVAGLIIQKIEELKNRLIKSKQLVVEQQDIVENLQQQLQNNVILNQEQD TPKEQSQEQQGIDLSSKDEEIQRLIRQKNKQLGKLFKVMIQFERKNKEIEQLSQKLLKQK AINDELLLQNSSDETEVSSQSQQKNEHEQEGVQNNQEIKELQEENEKLKQELKKQSNQNN RWLAKLFRALHDIKSKNSLVESLNQKLAKQKAINEELREQIKMNEEEIENVEVVKENSEQ QVESAPASQDDGEGLKKLRSQNNKLLGKLFRVMSQFNKKNKEIEELKERLHKQKAILDEL SNSNNASVSEEKEDDFGQAEEIEINQESQQIPEIAQLKKQKDTLFGKLFRAMSLINKKNK EIESLSDRLNKQKAIIEELTSSNSENLAIEIEPQQIELQSAPNNLIEDNGNQKLINTEQF NKLKTANDKSLSKLFRAMFLISKKNNQIDELNSRLLKQKAIIEELSQNDNAQQQREKIHK LWGKLFRAVSDLNVKQKLNEELQERLQKSKAVVDSLLNQVPQKEEEIVSESKQSDQNEIT GQTEKIQRLEADQQQLKNRNIKLTSKMFRIMIKLSQKDKVILSLSDRLQKQRAINDELQN QIVNTLQAQPESETKEIVVKQLEINPEEFNKIKHSNNKSLAKIFSLVFKLNQKEKIIDEQ NQRIAKLKSIISEFALNTKNHKLLAQQFRVVIKLAKQQQEIEELKNRLIKSKQLVVEQQD IVENLQQQLQNNVILNQEQDTPKEQSQEQQGIDLSSKDEEIQRLIRQKNKQLGKLFKVMI QFERKNKEIEQLSQKLLKQKAINDELLLQNSSDETEVSSQSQQKNEHEQEGVQNNQEIKE LQEENEKLKQELKKWLAKLFRALHDIKSKNSLVESLNQKLAKQKAINEELREQIKMNEEE IENVEVVKENSEQQMMEKDQKSSEVRIINSLGKLFRVMSQFNKKNKEIEELKERLHKQKA ILDELSNSNNASVSEEKEDDFGQAEEIEINQESQQIPEIAQLKKQKDTLFGKLFRAMSLI NKKNKEIESLSDRLNKQKAIIEELTSSNSENLAIEIEPQQIELQSAPNNLIEDNGNLELI NTEQFNKLKTANDKSLSKLFRAMFLISKKNNQIDELNSRLLKQKAIIEELSQNDNAQQQR EKIHKLWGKLFRAVSDLNVKQKLNEELQERLQKSKAVVDSLLNQVPQKEEEIVSESKQSD QNEITGQTEKIQRLEADQQQLKNRNIKLTSKMFRIMIKLSQKDKVILSLSDRLQKQRAIN DELQNQIVNTLQAQPESETKEIVVKQLEINPEEFNKIKHSNNKSLAKIFSLVFKLNQKEK IIDEQNQRIAKLKSIISEFALNDDSLKKLKDKNHKLLAQQFRVVIKLAKQQQEIEELKNR LIKSKQLVVEQQDIVENLLQQLQNNVILNQEQDTPKEQSQEQQGIDLSSKDEEIQRLIRQ KNKQLGKLFKVMIQFERKNKEIEQLSQKVVEIESKQMMNYYCKNSSDETEVSSQSQQKNE HEQEGVQNNQEIKELQEENEKLKQELKKQSNQNNRWLAKLFRALHDIKSKNSLVESLNQK LAKQKAINEELREQIKMNEEEIENVEVVKENSEQQVESAPASQDDGEGLKKLRSQNNKLL GKLFRVMSQFNKKNKEIEELKERLHKQKAILDELSNSNNASVSEEKEDDFGQAEEIEINQ ESQQIPEIAQLEEAKRHIIWKIVQSNELNKQEEQGN >CAK86579 pep:novel supercontig:GCA_000165425.1:CT868585:71493:73527:1 gene:GSPATT00020250001 transcript:CAK86579 MINLLSKLFRAMFLISKKNNQIDELNSRLLKQKAIIEELSQNDNAQQQREKIHKLWRQTL ARAVSDLNVKQKLNEELQERLQKSKAVVDSLLNQVPQKEEEIVSESKQSDQNEITGQTEK IQRLEADQQQLKNRNIKLTSKMFRIMIKLSQKDKVILSLSDRLQKQRAINDELQNQIVNT LQAQPESETKEIVVKQLEINPEEFNKIKHSNNKSLAKIFSLVFKLNQKEKIIDEQNQRIA KLKSIISEFALNDDSLKKLKDKNHKLLAQQFRVVIKLAKQQQEIEELKNRLIKSKQLVVE QQDIVENLQQQLQNNVILNQEQDTPKEQSQEQQGIDLSSKDEEIQRLIRQKNKQLGKLFK VMIQFERKNKEIEQLSQKLLKQKAINDELLLQNSSDETEVSSQSQQKNEHEQEGVQNNQE IKELQEENEKLKQELKKWLAKLFRALHDIKSKNSLVESLNQKLAKQKAINEELREQIKMN EEEIENVEVVKENSEQQVESAPASQDDGEGLKKAQKVMSQFNKKNKEIEELKERLHKQKA ILDELSNSNNASVSEEKEDDFGQAEEIEINQESQQIPEIAQLKKQKDTLFGKLFRAMSLI NKKNKEIESLSDRLNKQKAIIEELTSSNSENLAIEIEPQQIELQQCSQLIQLKIMVTQN >CAK86580 pep:novel supercontig:GCA_000165425.1:CT868585:73694:75939:1 gene:GSPATT00020251001 transcript:CAK86580 MLNNKERRFISYGANSLEQSKLNEELQERLQKSKAVVDSLLNQVPQKEEEIVSESKQSDQ NEITGQTEKIQRLEADQQQLKNRNIKLTSKMFRIMIKLSQKDKVILSLSDRLQKQRAIND ELQNQIVNTLQAQPESETKEIVVKQLEINPEEFNKIKHSNNKSLAKIFSLVFKLNQKEKI IDEQNQRIAKLKSIISEFALNDDSLKKLKDKNHKLLAQQFRVVIKLAKQQQEIEELKNRL IKSKQLVVEQQDIVENLLQQLQNNVILNQEQDTPKEQSQEQQGIDLSSKDEEIQRLIRQK NKQLGKLFKVMIQFERKNKEIEQLSQKLLKQKAINDELLLQNSSDETEVSSQSQQKNEHE QEGVQNNQEIKELQEENEKLKQELKKQSNQNNRWLAKLFRALHDIKSKNSLVESLNQKLA KQKAINEELREQIKMNEEEIENVEVVKENSEQQVESAPASQDDGEGLKKLRSQNNKLLGK LFRVMSQFNKKNKEIEELKERLHKQKAILDELSNSNNASVSEEKEDDFGQAEEIEINQES QQIPEIAQLKKQKDTLFGKLFRAMSLINKKNKEIESLSDRLNKQKAIIEELKSSNSENLA IEIEPQQIELQSAPNNLIEDNGNLELINTEQFNKLKTANDKSLSKLFRAMFLISKKNNQI DELNSRLLKQKAIIEELSQNDNAQQQREKIHKLWGKLFRAVSDLNVKQKLNEELQERLQK RQGSCRQFTQLSSSKGRRDSF >CAK86581 pep:novel supercontig:GCA_000165425.1:CT868585:76134:76577:1 gene:GSPATT00020252001 transcript:CAK86581 MMNYRIKQLILSKHNLKVKRKEIVVKQLEINPEEFNKIKHSNNKSLAKIFSLVFKLNQEE KIIDEQDQRIAKLRSIISEFALNDDSLRELRDKNHKLLAQQFRVVIKLAKQQQEIEELKN RLIKSKQLVVEQQDIVENFVVTNFKIM >CAK86582 pep:novel supercontig:GCA_000165425.1:CT868585:76712:78126:1 gene:GSPATT00020253001 transcript:CAK86582 MIQFERKNKEIEQLSQKLLKQKAINDELLLQNSSDETEVSSQSQQKNEHEQEGVQNNQEI KELQEENEKLKQELKKQSNQNNRWLAKLFRALHDIKSKNSLVESLNQKLAKQKAINEELR EQIKMNEEEIENVEVVKENSEQQVESAPASQDDGEGLKKLRSQNNKLLGKLFRVMSQFNK KNKEIEELKERLHKQKAILDELSNSNNASVSEEKEDDFGQAEEIEINQESQQIPEIAQLK KQKDTLFGKLFRAMSLINKKNKEIESLSDRLNKQKAIIEELTSSNSENLAIKIEPQQIEQ APYNLSLQKDNEILSIENSQIKQQYEALLIKYDLLEQKFNDKIIQFQTLIEQLLKQNQDD IEENLQLTQLTKASNKLIKHIQSKQQDQSFENSSSSSSIISIKDESQTQLIYLQHQVVYL QHSVQEYDDGISQLNRRIQELYNKNTQLEALLQQNNCKNCIKL >CAK86583 pep:novel supercontig:GCA_000165425.1:CT868585:79641:87250:-1 gene:GSPATT00020254001 transcript:CAK86583 MGFNYQSGPCDTSDNILQIPNSLLGPAYSSFTVAGWFKKDSSTSTSKNLLFLIGPDTNNY ANFHLAATLNEQFKVQSCFSTKDCMTFETSELMITDVDNRWIYVLVSINLTKKLLYYKLV THSDTLPRIYIYSSVLELKTISTFTDSTGIVIKNKVVSLFNFNGFCGKYKEFTYYGNLYT ETTRQAYNFDVEYITYWMTLLPSTTLALNSLSSTQDLGDLKNGVTFDSKWGWQFQKGQYA QFNNLRSSFGDKFMISANVYIGNPNSEMNFLYRVDQNSNPLIQIRFISSSSTFNVQVQIG GVVGESHGQISAPLSQWTPILISVYNNLNFYPDNTNPGMALFDITTPTFQEYGKKSFKVY GDSLSDQYFIGDYPTTTVWQTAPSNYFKYANIRIFKGYNYQFYPSPGIKSCIIEVGELYP ICIACNGNPDTYNMCKEAGTSGNPSTLVSSDLLQCPQGQYFSSPSCLVINVDNCLRGSNV NTCTQCIVGSTLSSNKCSAPTIATHCLTGTYECITKYNGDFSTINTSSSISLKCNSKYYL DNSQCKACDTSCNSCINGTSCVDCPANQYLVKATQLCGSTCDTVYKDTDKMSCIDSCEVY QVVTDDLKYCSMKCPNGYKQYLQTCLSECPQNTFNENGICKSCHSKCYECTGSSNKECTK CNFGYYFLQNICEDFCADAKKYANQLENECTDTCVAPGVQYGMQCLYTCPASQFEDSLKK CVMQCPDGYVAVENVITVTLKYTSQSKSFNGFTCQQCATGCLTCDNVDQPGISENCIKCV AGQFLVGRNCDVSCPVDYPLEDLLNQRCLTACPPQFYQYNSTCVLKCPNGSYAYEQKCQG TCPDGTYPEVTNNGCLLCANECNKCSEGGPSNCIDCKGGYYLYDTICVQICPTNRKYHNT VTFICESTCPNYYLDDGTTFECYDQCPANFNVDGQRCTYYCSLGKYLDVDTCKVCDAKCS RCDDGTNYNCSKCSANYYLDGRTCSLTCDENPTYYRSDYGYVCVEKCPGTLLMVDSLKKC VAVCPNTHLKYQDHCLLQCPKGYYADGNLKCQICPQECIECSSDTSCSKCAAKYFQQGTE CLRTCKNNFYQNLVNYQCEATCSKLVNNDGLGNLQCLDECPNTKVKHQGQCIYDCPDGYY KNVTDKVCSKCSLECKTCNGGTNEDCIECQNGFERKEDFCIGICPVGTFLNQVSCDSCAF RCVSCLESKYNCIQCRGDRQNAPQCYCQSGYYDDRKSLNCQKCQAPCVTCQSQSYCLTCS FNLEPPDCLCKRPPPIVSDYCISCKLSFAESVRFSSDFTQIIVIFTYSITTNSEDAYFFS KENCQLWFKNTNFGESPICQQNGNKYIIQLGNNPTIMPNDVIEFKEKVFIDNSLQLCQDI YIEQFNNTQLVLSTAIIPNVILSYPENAISYCMDLSIEVIKEVYNGGRAMIYNKWTTNST EEAINSVLSQFDGSSYIKIPGGTMLPDSAYYITVNYSNFLGYNKTTTFKVMTKSTNPSLL TSFDETATYYANLEIAVPFTIYSYSCSGGNAQELTESYPVSLSIKSSTSGTSQFDSSIAA DSGVDTAFKIPEGKLKASQEYLLDISVGDIKLSKKLKIGSNQLYSQFLTEDRIVGIDQFN LTVNASDNDVAKNLSKIGLSYTWTCVNLFYNIPCRTTLNKPLVMGNNETLNVSNLPSGFV YQFSCTIKKETRSVTLNQQIIVSNLNMTEQIFNTDIGNKDILVVTDNLLYNLDVSSYYLV IIRYFKILKIEYIEKSVLRLNLYLYLGNLQTQQDISVIVGGTLNRYNLRVKPALFLSKLT VSPTSGYSLSTEFSLVIDDGVKNETDSTQYQVYIYSNFQNLEWDIGNFSNNNGYLLLDWG KEKTIQSILPSCKYLLVKVNKDDQFGMSYVEVTVHKAKTAVSVKNNIEFVNDYIDFNQTN LVLDQIAIMELYLLENIKCNYNCWNRGECVNQVCVCDQDYSTFSDCSGILNDQNVWDQLA TKLYSDLSKAEQSQVVMNSLSLLYQLSYFKSVFELTKTEQLFSQIDTFLQPQNTDTGIIS QTLQSINYQAAFKILNFLSSLNKQLFTNDSYVAQMQTINQKLFDSYNTLILYTGDILLEG ETQNYTSSSMSITIQKKSTQKKRLLVTENNQVDSYFETNILRLQNNFPYPNHTQQLYYKS ESQVRQYTELKKIRPKQKLFDFISVDTNLVCILSTDAKTFDDTGCQTSSQTPPITCSCDA GYVTLVDDYQYYFKPFYFDLNFVLDRLYILFAFGGFFLLIFIFLIIGHIKDSKDIDTTIP ILPGFSKQPPTIKKVSVLNRVRRAQIMPSEGEPNSPSDSPEKPQQELQQEQPVRQFDSEN RISNCYQVNNLLGGIFLFKKNFGRKQRLLMLLSRVSMIAGVLGFIVNMQIIQLDKIILFT SGVSLLLRVFNVLCEYLNTKGQRKKQCIYTFFSYLITTLILFVGFLISTLSYFLVYDRLV IYWGIGLACTLVAELIVTDFILQLVALLIDYIKFRKQIEEDDEYENEYEPIHLAKNPGEN EDESKFA >CAK86584 pep:novel supercontig:GCA_000165425.1:CT868585:87272:87895:1 gene:GSPATT00020255001 transcript:CAK86584 MVCRQSIQMKGKIVKKISAYFQNNQFIQFSLSHLGLQQRLFQLLNLLLKIFDLFLQQISS FHNFVYLELLIYWLEIKNLLLISIHKSLNQVSDKYFLSKMLILQPLFRNFIQSQQSLIKS FFSEVDFLITIFWYLNFLKLDQCNQIIQSVLNIHSIQHSDHELFNHFQNLIFQFLNLLSI FLLCYFILLLNFERFKQLFILSLNCNS >CAK86585 pep:novel supercontig:GCA_000165425.1:CT868585:88190:90115:-1 gene:GSPATT00020256001 transcript:CAK86585 MSYDDDVWIEDEKKYIKSKGQMKINLRIDQGLILWQMKDYKIFLYHYLNGNHSCYHIEMN SIEQIYTQIGSPNIIVILVSINPYIFLSNICNQETLAYYRINQDNIKKDQKQVPERMSLN LIYLKLHNYHSTNFLRFQYKKSGSGKQNQQKYFQIIQLQNQKVAYLEQFNKGKPLNSKYL LLILFQKHMNDRFGKFMHQYFNSDTKRVSTAIIDNYLLEKSRVVKINNQKGIITSFIKLK ELISPQQFEYLKDGDLPYQRNEKSEIQETDLYIYLSNYCWIVKSQQCQIDYDSSKSKLVL DNSLKLASSLLGILIQDLEDLICKEIVIKNNNLESAQSSRDTLAKHVYEKLFNQLIEKIN QLLLKTSNLQVFRIIATLVLQIHGWVFQIYLDSKYLLIPTNYIRIILINIILILQMKIRA AFQLSNVCCDRTGKKFLNFQVKIKKLDRKTELFMKQHFREFLNIRLIEFRLENFVKIKKQ FRIYINISTIIQKLQVKLHNKLLKIKRAIRKLLFKKAIEKRIKLMRKINALVSQCTEVNQ KLIKKVSLNQWKIKIHELIALREERLLGGVETINQQKQFFEIN >CAK86586 pep:novel supercontig:GCA_000165425.1:CT868585:90144:93158:1 gene:GSPATT00020257001 transcript:CAK86586 MKNEIIILFLLLIARPLQAENGFQLFDQKGDISFENVKVNLKKIQKKQIEPQESVKEVPK QSQTGKSQKELLEEQLQQIEKYKPSNITVEGGRVFTQSQQNQQKELDENSEVDEQTESYD EDEIDQEDEVLLAIQQISADAQLDAIEFIFKKRQIDYQRMKNLIEMIDQQEINVKMNQIY ELSSKYSFLQVFQQVLSELHIEFIQDKDEDTNEDESLESSDNSDEQSDNDIDTNQFNEQQ TDFTFDDLIDFDDDELQDGKSDDQEETSQDQQDDFDFYDDNTPEDLYNQDFETLELDDSQ FQIQNSFITDSQVNQSITEEETNIEVEEEELLTEEEHAINFFEFQKQQQQIEEELVYSEF EELIEQSYSEEEEEEFHSNIQDNIQNSQEQSDNQESYQQPLKVDNPIFLQKVEDIILSKE EQDQTYIDENIPVAVLNFQENDDVSEVKLQKEFEFSPKKFDNFNKDLSSLQAQFNISNEN LDLINKKYEQMNSIFNSETKNEESDPLQKKEQQQAQFIQISQQTEENQEEQSTSTNDQEN TNQNEESKLESEVSNENTDKVETIDNRIQENSKENQKQADQEPEVEKQKNDDQVQQEYRS PQKQQIPLSKPAQPHELEFEKPQQKQEKSEEEIAQEKEMKARARYAKRYQALDVENEEQK KKEQEETHYVDVDKSIDDIFIIQSLASTKKNNVIEMKNLNEEPKSEPLQEPNQENDAKIE VYNSVTQKHLSKANNQKTQHFVEAFVQDMSLKSYTCDPSYYGPNKEARQFACQCSSKIFL LDEESWYLAGTIQYYKQYEKLFEQIKKTIPLVFVGTSKDKVCFSSQMHQPVILNDFLREL FFKTKIQNKFIQIPTIISMSYMQLEYSDNQIHNFMMEAESNDEIKLAYGFNVRQILMKLA QENLNIQYVGSFLVHQQQVPFRLTNQYGSLKIDTMEITVQLPQDELICLQICEQILSGDV AQQLKAFINFKKGQYQITVKVLSSNESFEIFYSLKS >CAK86587 pep:novel supercontig:GCA_000165425.1:CT868585:93345:93797:1 gene:GSPATT00020258001 transcript:CAK86587 MALRSQIKSIDKQFTINIPSVELTRIVKHLAAVQANYKNTVINAIYPVSLFQSLTISMVV LQTQLHNIQIAILLKKNVNNYCQTIRNESCKEGQIYPKESCSSQIIFSKSESFFINLVKR NNDHSLKQYSNILSIKLPRILLMHIICNRK >CAK86588 pep:novel supercontig:GCA_000165425.1:CT868585:94505:96628:1 gene:GSPATT00020260001 transcript:CAK86588 MNRHIRSGSENKVCLIDQKTGSTSLRTSASRIRLGNANSQPSIRQYYSGQVMHTNMKYFY DKHKSYNKDISKVFNKFNRLNLLPQQHSLQNLTQDHYVTRIIPVSPSQTLFLDQALPVKA NSSKMSHRKVNSLLTFTQKQKLKNAGSLQNIKLKERLVLIVLNHNLTKYNFIIKIDANQQ IIQDIATLIYLFHYKEVHKGRLNYCSIKQVRNYWINQSWDKQIKLQLIQKGEPLTSLFDC QHHKTIIVSPTKQFNGIAEANKVLEYLDQLECVLKDQQIGEQKSESLETFISKKYQRNIQ NIADYLQQQDDKMSNFRLNSQEAESLYKRCKLKAQKKLNLDDILQKKFNDIKQTPLTEKY QNQDEYLNDDEQITIEPRQLENEHIIRQYSRELQILQKTIIQNHDPDAVAPMVLLGGRLV KYEDPQYHEQHLDKLQQYIGNKKENQNTYEIQFANSAQTSKNKYHHIKTKKQILQTLQKR QKLLFTQNIPQLIQETKLNRRELYQTFILYQALEAVSSQMEDAYVIGEGVSFEAFRNGIY QVSMQPTDLAKQMFQQIDYNFSGYLNWREFLNLMVAIRAKTLMDRVNLFIKIADKDGNRA LSYDEVHNLTRICLSKYIKDDCQLLDLLCDYFTKLIFETLEVDKNDDIPLEKIKQTILDG GENCQLLSMFCGADL >CAK86589 pep:novel supercontig:GCA_000165425.1:CT868585:96631:97320:-1 gene:GSPATT00020261001 transcript:CAK86589 MSEYYDEEFGELGLVIGDFNIPQRAADLPPQFKDLLVPNKVQYVFCTGNVGNRETADWLK TLSGNTHFVKGDFDEVKEVPETKVVQIGSWKMVMVHGHQLVPFGDEESQYTFLKEMEGDV LITGHTGVAKVTAVEKKYIINPGSATGGFNGQQTSIPSFLILEFKKEKLQVFIYTLDGEV KIDKQELPLQK >CAK86590 pep:novel supercontig:GCA_000165425.1:CT868585:97621:99626:1 gene:GSPATT00020262001 transcript:CAK86590 MRPKYQQTQNDSNSQVRINIKNASPIQIEVMKQTGERVEESQKIGAYTFENQNKSILASS SGYIVWAQNQQVFEIPPTDQYFTIGVIYKNKSEMPNQDEYEINTAPTSSQAQQNYTKLSF SQLSLKNCQGNIQILKWRVNVGDFISPTMILGICTEENQQDEIDLKPRIRGRIIELAKTK TILSRNDVLLVIDIAQTCNHLKIEKNYCVICNEKVIRYEESLDLNYSDDISKKISKEIVL DILKKRKLIMVLDLDQTILHAIKVTNSFNKYDFCEKQNKMLQSDSDGQFNGFNQLGFNIK EHFLEMACDSQCKFIIKLRPYFEQFFLTLIPLFDIFIYTKASRSYAEFILNFISKRLNEV IPEHKPFFPPQRVLSRDDTICSNSKSLNRLFYPGIATNLLVILDDNAGMWNQFKENLIHT KPFVYFDEHCSTRDGQGIATEVEQEVQIYNKNDFWLYTITQKLKDISDQFWKQFKLAQDD PNFIVEFEQQISKAKKIKTEIIEETNNRLEERTPLDLIINRKISVPTIYQEMRGNVLNGV TLFFAISEAHEDSIKRGLEQAKDKAVYLGAKVYREFKEEWFIGQESSFVITVGRRKIRSI MIAQEKNIPIIHYKWIEDCDNYLFKADYKLYVEKEDGNNKNLTEEDQRDYVLKCQLMKI >CAK86591 pep:novel supercontig:GCA_000165425.1:CT868585:99678:100664:1 gene:GSPATT00020263001 transcript:CAK86591 MYQYYSSRSSNQENDRNRSFGNMLNSTKSDTLQTDQKHSGCLQRLQLLVQELDRMAEAQQ RANQEIASLRQELEQAKQNNQTLVLNNGFDSEILEELKLQQQKFNQYKQVKEQQVEQLEN ELLQIHKQFKEEKLIRMDLNQKFEQKQIEVDFLQKELQRNAEQHQDQNDSGILNQLHEDV IELQCQNKELKNINLGYQKQIEQLLENQQIMEQKLKQYQAEISFQPSPNKSQYEFSPQYK TESIKKYNRTKTMQPMDFVDHQSLNQQPASRPSLNVFQQRLSMVQIGQTNDLDTLQNQQD TIKKDTLRSKPKPVENIKYSKSKFAQQF >CAK86592 pep:novel supercontig:GCA_000165425.1:CT868585:101666:103420:1 gene:GSPATT00020264001 transcript:CAK86592 MNKIKLSFRSQQKQTQFFTDQLPMLTFFYERVCIFGSISAVIIFSLEFTYPIGFGNSRYL IICLPLVFFISFKLIKRYPKLFNYILPLNNLLIGLLYNINLLFNFIPDLNLMIGQTVVLV QFSLLLGSNFVLNMAIIIFNFSTLILVQTVVQKSFNGNQIMFILGTQDQHKLALILSCLS YYSNEYQKREFFLLKQRDDVQYGSLIEQFSIQLMKIKYDRRTNFLKLESQNHPQLGFDFS TQEEFRQFIRQIQIFKQQRNTMIQSKMSSSRIKNDFIQQNSQIRFPRLSVPNLENTETLE QLLHKSFTSQKNENFDFLQGYDTIGKCDYKIKILYIIEKGEAKAIVLLQQNEVKRQLIKV KQQRDYLKKVIWQFQSIFEIRIKQLSSLINPKKCLSYNSLSSEISFRLVQFISEYFSICI SKNDIPKLKISTIYLQNLLQQIETRIKDYLDSRLIKFTYQTDHNLIIVNDAYLITHLILS LLCFLVQKSPVQISLYINQNQESKNLVANVDEIIIKINAQFTGTPKKVFEIDCFNQMIKK VIKIIGPSEQLINEYDNNQFGQVIKFECVIFKNINSFKDIFSKL >CAK86593 pep:novel supercontig:GCA_000165425.1:CT868585:103618:105254:1 gene:GSPATT00020265001 transcript:CAK86593 MQRQPINNYEELKQQQPDNTIYDKTMKSFVLKVGPTNPYTYVNSQELNIKGSNLYVQIYV IEKLKFILELSFKDESYKIIVGPNFQQTYSKNKNEVKISSVFILTKKWISLGFDVDSFFK VGEMQVKMLSIQSLGRLKRIYESNIFSKINDGQCLIQKICEKRILELLPMSIRAQSEKLE IRKQQLTNQQRRLSTISNSQERNIESQSDKKSFQSKQININIQQQIKLNNPNALKFKSSS YTQNQRKTFQLQQTKNLSINKIQFSAKPISQEYYATTTNPNQDQQQSISQQQQQSSLSNY NRSKQLPSTDATLIKQLSQECLLEKKIQQTTGLKIIEEVNSTKPPIPSICSSTKQSTQLQ LQKKPVQSFLRHNQEFQNGSNCINDPYDEAKIENDQKHKQSQISSGVQDLYYECENSEYQ TKYGKFSNTFTRPLGLSSYNHRLVSQQSTNQNQGSTNEYFYLSSQQIEQNQSINQTANEI EEQIEAPELKSKMTEESFNSINGLQIKQEDSQEELAVSKFE >CAK86594 pep:novel supercontig:GCA_000165425.1:CT868585:107055:109609:1 gene:GSPATT00020266001 transcript:CAK86594 MCTQQNIQDALKLKMFDSTFEVDQNYELNTSLIVKQYIRPNQGKQETVFRSDVGMMQSIR RLHMIFCDRQKRKKDGKLVSIVEIFQYISDRFRALSTELKQIESKNRSSISNSQVKQFSC QIVRIYLLSYLEMMQADQNWPVLQDNKNNCHKYLLDALDDLAIDYKKLFNEINESRFYLL DNLPEFISYYHIMLSQKILSTNSITKLANLDNVIFSEFSYFDKLIKTSEYQFSQLVKEYL IQKNYSALLDLGQNSNITLFQQFFIYLFQDQIEQYIEKFNQQIFQHEKLKLNFINIGSIY QKWLQIDDKISFINKRGDFSKMIFQQGQIENINYFFRNEKSNQQEIQQEIIKQQSQKQVD QETKKMKSSIQEILLQLCQKQVLNKFTKFLAPHLDKVDEQLGNFYKMQRILKHWRLYSLT EKRYKNFVKLCQQKNEKNVKKQIKIIDQPNFRIDLSRKLIQQNPAQKNQYYKIVILNNDE QQQQLVSKLIFSLCDQQLSGQDDSIIIEQKIAYSKSEYTLYMSVQIMSQLEKERICGTDI YIAIGDVLKVNVGKPCLQIVFDQDLIENSETLKLDYEYIALFDKLDESYEFNKKLNEIVN MGLKSRKAIEDLKLISLGDYLKEIKRTECQDYQKDQIEYFITRCVQAELCLEDALNNNIY SDDLPQELLFDRYVDQELVLKYVAKFNPANRLMIPLCNLLQKNTINERVVLNQIKDYLDE YLDEEELLVLLKNHFKFGINCQGDWKLLFEFIMEQLVQYLEDSDIYFVLKGTYNTILDQN KLKVRVQKDLRQLLNKNQVQQQKSQIMSKIFKSEWLELIENKKVCK >CAK86595 pep:novel supercontig:GCA_000165425.1:CT868585:109635:110772:-1 gene:GSPATT00020267001 transcript:CAK86595 MNKQFLALGIEGSANKIGVGVVTKDGNILSNPRRTYITPPGTGFVPKQTAQHHRNNILEV LDEALKIAKVTLEDINLICYTKGPGMAGPLSIGATVARTLSLLYKIPIVGVNHCVAHIEM GRLATQCQNPAVLYVSGGNTQVIAYSKNRYRVFGETIDIAVGNCLDRFARLVNISNDPAP GYNIEQLAKKGKNYVLDTPYVVKGMDMSFSGLLTFIEDVVNAYPQVKLPEVEGNDKAKRK NKQLKVVRKWANPIPIDLSTEDLCFTLQETIFAMLTEVTERAMSHCESTDVIIVGGVGCN ERLQEMVSIMVKDRGGKIGAMDERYCIDNGAMIAYTGILEYFSSGPTNFKDTFVTQRFRT DEVDVKWRDD >CAK86596 pep:novel supercontig:GCA_000165425.1:CT868585:110787:114302:-1 gene:GSPATT00020268001 transcript:CAK86596 MLQVVLSDEQNLSISQELSHQGGSFRISKQTLVKIVSAAQERLFAEEIDELENIDGLHNL EMSLCTSFSKGLKGDDFKEREVLFGNNRKPVIPPKTYLQLLLQALEDFIMRVLLVASIIS IVIGVSTADDSHRSLAWIEGFAIFVAVFVCCNVTAVNDYQKERQFQSLNQMADSRKTVTV WRDGCKMDLHQSLVMVGDIIQIFEGMEIPADCFVVEAAELTSDESAMTGETDPIKKDTYE NCKKQRDKLKDQQNSCGRHDVSSPVMLSGTKVLSGEGKMIVAVVGDSSCVGKISSLLATE DIQTTPLQEKLEAIAQDVGKFGLASAALILFILLLRFAVERIKENSFEKDHVKEMLNFII ISITVIVVAIPEGLPLAVTLSLAYSTKRMLKDNNLVRKMAACETMGGADMVCSDKTGTLT QNKMFMVSIWNDTLMDIDVYNEQLNLSTYFPTQMHDLYVQTSIVNGTAMIRPEEKGSKTE VAMILFAEKCGIIYEKEREHHVASMKIPFSSKRKRMAMIIGKRLVIKGASEIILEGCNKL HSKSRGIISIDSTIRQSIEKAIESMASQSLRTIGLAYRDLNGTEDLASKNDKGVYDVETE NLTLIAIVGIKDILRPEVPGAVANCKTAGIKVRMVTGDNKITAKAIAKECGILIDEEHSL VLEGPDFVNRIGGVVCKWCKTATCDCPRDQSTAKQIGKPVRVDTIQNGEEFDKLYPFLDV LARSRPEDKYALVTGLLERGHVVAVTGDGTNDAPALKKADVGFAMGIAGTEMTILASIVK AVMWGRNIYDSIKKFLQFQLTVNVVAVTLTLISSVLLKQEVLEPIQMLWVNLIMDTFASL ALATETPTPELLQRKPHNRNEYMISQKMFKHIIGQAIYQMIIMLVLLFSAQDFIPEYHGQ EDGTSDFEGKLQYKYSNTLYDANLNSHSCPNHQDYCNLISFSTDYYVDGSENYETFYKET YIPSRQFTLIFNTFVMMQLFNFMNARRIKDEPNIFQGIFTNILFPIIVIGILTLQIILVT FGGIVFHCYTFYGLRIEQWLICVAFGSGGLFVRMILRLLPDPKLAFLDKLGHKENEGHKV ASPTAQNNVSYQIQVIRQESELANLNDHAQESRMI >CAK86597 pep:novel supercontig:GCA_000165425.1:CT868585:114363:115105:1 gene:GSPATT00020269001 transcript:CAK86597 MFGEVQTRLNILADNEGQLGLGKNRGSSQYYHIPKICHYKIGFIQVSCGKDHTALLTQNG HVYTMGCNEHNKLGHANKQSLYAPQRIEQLNKVIQVSCGSTHTACVTSDGSLFTWGDNSC GQLGVKQNGNLNRVSTLTNCIQVSCGNKHTLVLTDAQECFGFGLNDDSQLGISRLKTVFE PTKIKLPSLQQVSAGNLFSVFLTQDGIVYICGLGFGNLQKLNYKCKKIY >CAK86598 pep:novel supercontig:GCA_000165425.1:CT868585:115223:116200:1 gene:GSPATT00020270001 transcript:CAK86598 MGELVGMCIGDKAYSWQFDPEQKRITQLKSIDQLYSKQIIHFSAGNSHLICIEQKGSSSF IESICSSTTTLRGKHEERSKSNYIEKQDGIQSLKIQQLEKQLEEKDRLIQQMKADYQIKL QQMQQKQKEMEGQLQNKDKEIAKLTIELHRLQEDQQNKNSDSEILQCHVQEEASEDEGDV KVLKKQTSLPYQQATLAYHHTSHSQLQNYSCQQQVKSPVKTMIQENQFEDSLQKNKFCKT DHDREGKYQSLEKQRKKEVLNERPQSSIREKSNNNNEKTNLSTIKSRLTDLQKNKEQLEQ RMRQFEDRLRENKLYTK >CAK86599 pep:novel supercontig:GCA_000165425.1:CT868585:116226:116546:-1 gene:GSPATT00020271001 transcript:CAK86599 MTELKKRLNSSMTWEEYVTYFEKEPKKPTLKPKLNPDLLIDPLDLLSDATTTDNFESFYP EVTDKLEQIQVLPFEQSQRRQRTYSENISFTFLSFIQKKIERKQSD >CAK86600 pep:novel supercontig:GCA_000165425.1:CT868585:116739:117112:-1 gene:GSPATT00020272001 transcript:CAK86600 MKLVRFLMKLKNEQVIVELKNGTVVLGTITGVDVRMNTHLSKVKLTLKGKNPVGLDQLTI RGNNIRYFHLSENLQIDNLLVDESISKSKKVKAGMEKNDPNFKRKKKNKVTRLPRR >CAK86601 pep:novel supercontig:GCA_000165425.1:CT868585:117185:118219:-1 gene:GSPATT00020273001 transcript:CAK86601 MALDSIITEGVEFANKLQNKTEDFKKDIEDIYVMSRARPDDKYNLVKELQELGHVVGVCG DGTNDCPAISKSDIGYSMGISGTEMARESSGILLLDDNIHSIYKGIILARNLFDSVKRLA QYQITSHFSLIIILIASSAIGDTVISPLQFIWINLITDLFATFALSYCKPSSYLYHQKPP SKNGFLLDTIVYIRIVILAIYIITVCIIFVHQSSMVFNLFVMITLFNLINSRMVYLEFNV FSGFFGSWMIYSSILIIGLLQFLIVEYGGIVMQTFDGLSLNQWFICIVIGIGSVIWRTIV ILIIRPMIVNNQLYILEE >CAK86602 pep:novel supercontig:GCA_000165425.1:CT868585:118309:120160:-1 gene:GSPATT00020274001 transcript:CAK86602 MFKVSKQKIQEILSSGLTQNPQDSMVEFNSTKRFEDSLKCKITQGLSENDIALRQQVFGV NEIENLDSIGFLNAFSINLTKKPKSIFFLTLSLIAFSLKYLSTQGIYRIEWIESLVIFGL ISLNLIISAIHLKIADNNKKKLLLSDEQSKSVKVLRNGQEQTLIARDLVVGDVVILQEHD QLYVDGLIVEQNNLEIDESFLTGEQDLICKITLEEATQKKQPISPQKHLAFAQSKVIKGS GKLLVLAVGLEKQASRIMVCVKQEDNKTPILSAIEAISSKMETIGFVGAIVVIFMLLARY MIEYHNSDVNGYSTFSNILNLIIVLISGNASKALITHFQLQLAQTVNLMLYQQNLVKKLN QLENLPFMDQLLFDKTGTLTQNRMQLERFMNDTTDELTQSKFNHFPQEFQKAFIDACFIN NTYNPETNSGSPIEKALLQVAQDMRLNLEERKKQVTHQIPFNSTRKKLTTIINNNRVVVR GASEIILQQSIKFYSLKDGIVAIDDFMKDCLEQNLIQLSQEGRTLAIAYADVDLKNQNVD QLIEGNKFEFDRQNLTLLGFSAIFRSFENRNKNDS >CAK86603 pep:novel supercontig:GCA_000165425.1:CT868585:120466:121656:1 gene:GSPATT00020275001 transcript:CAK86603 MEVIYCQDKDHTGNPLNLFCLDKECQNKGLICSFCLLNCHQEHVNNVFPLKTIVQNLNKA NNPKNQQELYNKGNQIFEKHHKIKQTFRQNLIRIKEILNKLEKEIEKQIKVLDLEAGLFV GDAFEKSISVLNKQKLQKEQIEQAIEKIEPLLKTCDGQIWILRESEINQSAQKLIKIAEV IERESKYWLEKCLLDLNRLYETCQNPIKKQVKILTSQNRYQLTYEQWNLKETDAISFRSK KKHDIWLSGVGLYEITTRSGITTSHLRFKYLKVQMSIGLALINCIFSKKVIYEDTFDVLP NIDYCNHIGKMCFNKAIKIEFDQPYTIALKPNQSCLSYYGANGKLETEEFQFSEPSFTQE FSDNSTTINQRRVIPHFYYEE >CAK86604 pep:novel supercontig:GCA_000165425.1:CT868585:121729:122866:1 gene:GSPATT00020276001 transcript:CAK86604 MKTTKYQSLRIKVKQLDEQNQLREGRVATHRKNCIYPKSLEQDQMFFIFIIYNINDIQYN IYIYICLFRFKQYNEQHKIFGSCKIVKWQLDKQYREKIRQIIETDFLNQLSQAKKISKND LILLQETLRFIIRIIALNFEINNLEANFGSTTRIIFELYQYFGLSSKAELLQSEKPSQSK QSVFLNLVQHLVDITRMALELTIKSQTNLRLYCLGKEIGEKGVPNNSKVPLLRREQRSES NHQDKVYQSKKSIQRFDSQHIYESLPKSQRTIEETRHYSRDTSAVRNPQQRKTEITQNNK ALPCINQMKSIQLSLYRQLKQRNSSIHNSIQTYIRQIYDKNTITD >CAK86605 pep:novel supercontig:GCA_000165425.1:CT868585:122875:124738:-1 gene:GSPATT00020277001 transcript:CAK86605 MSFGAMLYPLSLSLLLPVFLYAIVLEKEERLLQMMKMNGMKMKDYWILIDDSINLSPSSS SQAYTWQEYHCLQILISNFLQSFSISYQVIVLTGWGLCQISLSFFFQVFVSKARTATIIG YLLSVWGSIIALTANLAIYPDPYEIPIYLQMIPQIAFNRIVYIFSMACAQTGCISQLSPL TSEIQGCIISLYVNFIVFGLLGVYLHEIIPQEFGVSSEPWIFRFFKKRKIEFRDEDEYKI NIQDAQEDQDSKNEREKVYKIKNYEDYPLICKDLRKTYQNNVAVKCFCIAVEQGEIFGLL GPNGAGKTSILSAITGLYSCNDGEAYVGGYSIKTNMQSVQMNIGVCPQFDLLWPELTVEE HLLSYARLKGIDKQNERAKVQQSMAEVKLEPYFNYYANQLSGGMKRRLSIAIALVGEPLI IFLDEPSTGLDPDNRRQLWDIISQCKGKRAMVLTTHSMEEADVLCTRIGIISSGVLRCIG QSTHLKSIYGGGYHLFISSHKELYLQKNNDPHNQYYYQNMIKSYLKSILPQALLVQEFNG NFIYQVEKNQLVVSEVFQSIESKKEELRIQDWGISQATLEDVFMRVVEM >CAK86606 pep:novel supercontig:GCA_000165425.1:CT868585:125310:126476:1 gene:GSPATT00020278001 transcript:CAK86606 MHNAYQFPSLQPKNYLSYSRNWELLKQYLEQSIVSYHCKHVKFSNPGNPEYMTGTLNKQG KSNEKLAPLNWSNATSQIKYTNPRHKRGVNIQHRLVPIQRDYRAAFLQNKALNQQLFFIQ FNMHSNNYKSNLKNDFNEYYSGQSNSTLPRFQLMKELCKGGEQMHEFIPFIRERDQMNRL VRINSEKHKLHTNTSRSPSNRNCTPDQFWQYNKNHIIPEKRKKMSFKFNYQIKIDHKTEA MLKLPSPKTERTLIYPPIETKKRGNNRLINPNLTARQSEEPRKQQMKIPLEILQPYINQE MEQMKQDLFFKVDQDYQFPSDLSEKLMQQKKHIENLRKRLREWGEMKTEEDIEDLKQHAK FILSKNS >CAK86607 pep:novel supercontig:GCA_000165425.1:CT868585:126764:127388:1 gene:GSPATT00020279001 transcript:CAK86607 MQTHHLLATLRIEKPTQKPQFLKILQDHNIVNCNNEQIELAWQHCQFKEYKQVIDVLQQQ RMRSISLNSQKIQTKLQPLVSIFDKMDNIRKRDGDEKDINKQLSALKFEAERKLKDFINE SCLDRSFTQVLQEKIVEFQGERNKLNEEQGKEGSQKAQQRLIDRQKLKSAKLHQQIQFVL HNDDNKVRAQAIYTNQH >CAK86608 pep:novel supercontig:GCA_000165425.1:CT868585:127457:128852:-1 gene:GSPATT00020280001 transcript:CAK86608 MSTQAFLKRQTVSSFDRGRSHTNISSKKTFSLKESVNFQQSKYILDLLFQNLVFWKTEQN NLVAFGIKYNKTLKWFHAEKTDLEALYRELHTRIFFGNISSIYESQEVIGAGASCKVYKV VNKITRYEFASKCIRKDYIFNKKNQERYNRLILEIELMKLVDHNSIVKMVDLYEGEKSYY IIMELLKGETLYQFSKKTQLNLLQIKLIMSNCLKGLCYLNFHNIIHRDLKLENLVLLEPN KVETVKIIDFGLAIPLSTPQRQICGTPGYIAPEMFIDKYPYTAKVDMFSLGVIFYRLLSR KSLFSGNNSDEILENNKKFRCNNHLKDCSNEISDLLKQMLQKDPNKRISPEQALRHPFFD DTISGDLGVADESPHEIVRAFPLIKPMQITNSNENISDNKIQVIRGSQPSFEQSIGSNYS PSFDDINKKSRNGSDHIIE >CAK86609 pep:novel supercontig:GCA_000165425.1:CT868585:128983:129993:-1 gene:GSPATT00020281001 transcript:CAK86609 MSLLILNASNRIAQGFLKVAAESGKYEKIICADIFPTYFTVQRLLKFKQQFSTKIELFKV GDRQDLHDVIKQANNLLYVSHDYYQVTASKKNLLVASLDLVKTRNYKTAAYVAPVEHDHQ EEIDEWKHLEVEGRRSIPQLVGIRSDITFGPQSTFTNQIAQRIQNNQSIYIKSTGQSCAP IFTGDLEAIVARVLAGDHAGKLLLAKGHKHIDFNSIIHLIEQSVNHRAQTNSSFIEKIIH PTNNCIIGQQLYCPSYINLTKLIANYKALENTGYDQVVGDNLVDIQEYHNKNTTVVDQSL KTDYELSYLIG >CAK86610 pep:novel supercontig:GCA_000165425.1:CT868585:130053:133591:-1 gene:GSPATT00020282001 transcript:CAK86610 MTSNYMEVDQYSARVPNEHQAILSNRGDQEFKQIEFIKQCNNDHQDPTILSLNEQLILAD KSIYTEIIKLESRLQDIDQKFLPFDDIDLDERERNEKMKELTKSSQSENQRLDMKRKNTQ VQNYWFTINPDSTIKILWDFFCMILILYEIITIPIRISFDIEVSSEFGYVITAAFLLDII LTFNTAIYKNGNINYSYKIIAIEYLKLWFWIDIIASFPYDLFFTLAVTGDAEDEISTQHA NLQKSAQILRVLKFFRFIKVIRLLRLAKLKVIVDKIEEYFSDNSAIQTIASFLKLCGFVL FWSHWLGCIFHFIAQSEDSSYNWLTIYGIYDQPWQVRYINSLYWAVTTMITVGYGDLSPQ TPLERFFGVFFLLIACGVFSFTMNTIGNTMQQLSQKKDQYQRRIAEINGYMGKVKIPKQL QNQVRRYLQYLWDSHRSINLESICQNLSTSLKFEFTIQVNGTILANYKLICQTFSRKLLI ELTQILIEQTIQPDEYVFLENEIKNEQSLYFIQEGQINIILIKTRQIVARLSNKDVFGQI SFFGNTERTASAKSNGFTDVFVLKRQNFIDLLSQFPEDREKFFFINSEVNKNQLQVLDIH CYSCDLPGHVIKDCPSLHFVVDLYAYQKTKTRCLQAIMKDFVRIDRINYNARKNKLEIVS KVESFQMKISIHNYLKEECNVDDLIQNGIEDESIKKGLKLKSKFKEDRNRRRNWQQSLKK SQKQIQQIQIKQQEIFSLTKSLSQAIISSNNSDNSIIPSINQFRNHLESDEDSECGTYIQ NKMDDIMADYQIFKEEVQKYNGGQQSELRKSDEILNDFEQGYDYNIFYPHNNISIVLKEY NAFQQGNQVKPNIDTLYDSNVYQEYISYYVIDIEDIRRFKLQLNPNRIKQFLPFTQQLQS QYSRQVKLKYNYKIEKEGLRVIEKAKEVIEVNCKDDNVGEKIDMNSATILQFNNKLLTDM KHYRDSVSPQVRQFIKQFHTCQKEQKQDKQTSTEESNSSIMDERQDEINIKEVFLKLPNE EVQENRQPYLKISKTPTVCSNNNSLSSFDQDRYVDFIVQKVVEEMERRNTSKRQPMLSIQ QNTVQVKIAPKSELDYFDDDSFIRHIQQTLLNPHHTPRKVHNFESFDQRQKQFQIQREQK LQKQREAQQMTKLVDEFKCYLIRTRKSGGF >CAK86611 pep:novel supercontig:GCA_000165425.1:CT868585:133621:134374:-1 gene:GSPATT00020283001 transcript:CAK86611 MRLRRITLSYCYWDNNKCIDIQDCSIESPIDCPYDTNCAYLEGKCTKFTSCDNYVGDKSS CEAISILCTSLDGKKCQNKVIPSCSDYNEEDCNYQEGKEGECGFIGDKCQVIKQCSDIDQ IKGEFEFMKCILNIHSCKVSSSKCVQKKCSDLTDSSSCQYIHAFDPFDHPQSVQLCKWED SRCVEAMPNDLNEATCFIDTQYSYLWNPNSKTCQKCNGPPSPPNPDNFGVIIRVAIMIFV ISQ >CAK86612 pep:novel supercontig:GCA_000165425.1:CT868585:134452:135601:-1 gene:GSPATT00020284001 transcript:CAK86612 MQRDQINFSYLKRLFPTLEQILYTGKFTSLNEFDKCTQLWHQAGFQGPFFLIKLSDQFVF IILNQNSTQDFIRTIKKGFTFELSKGTQWFYFNFQDEQSKVFNVWFQEFAWRRQQNEIHD LYFILLLIYFKQIIHMQVETSEQQFEEIYQSLCKDDRFCLDLRVQFEKILKVEMDRCQAY HQLSEFLSGLAKEPFQDTPALMDMLDELKSAIQEVNSKIKERINEDQDKGIAKLIRTKII PSLTDGQRAVETQKRALTEYKEKAAIYKKLEQKKNDLLQKNDEKLLQLEKQLQEAKREKL EKGQTFNFTYKNYIEDKNDELKGLFKHFFNRLLVISSAGLQHYAMAIHKIHTTDEKQQVY TRLSKLGIIKHKKQ >CAK86613 pep:novel supercontig:GCA_000165425.1:CT868585:135634:136311:-1 gene:GSPATT00020285001 transcript:CAK86613 MATNIQHEEINLSLNNDKKAFEDLSGFFNLLAQALEKVDQANKELIECLKKIQKQLISEI PKLAEVVSLVAESMSVGQKKNMEYVDLIKTKIILSLNGQLEQIKTKQKLLDDYKAKTAIE ADRDQKRKNTEPAKQKETYQAYEQAKKEKMLAGQTLNTQYQIYINEKNQEFCSMWKHFLN MQMYCCAAGLQSFSKSAQEIHNREQEVKKDAEIFLSKLLGNQRSK >CAK86614 pep:novel supercontig:GCA_000165425.1:CT868585:137328:138059:1 gene:GSPATT00020286001 transcript:CAK86614 MSSKRKQVKMESEKKVKQEESNYEDSFNESNDEEPKSTGKQKTRHDNSLSVLTKKFVELI RNSEELTIDLNVAVNDLGVQKRRIYDITNVLEGIGYIEKISKNKIKWVGATDDPQLETEL RQIKQELEALQDEEKTIDYWIEYLQKNLQEKFQSDPEVAKYTFLTHDDFKELSKSQQTDH KGEALFIITAPKGTSVETPQENNPEYPYQVYLNSSKVQGQNNEIQVYICSDENYPIEYEK KQK >CAK86615 pep:novel supercontig:GCA_000165425.1:CT868585:138086:140031:-1 gene:GSPATT00020287001 transcript:CAK86615 MQQQRPQTAHQPSLSSNPIESPAKTLNMLTSLQLSTNRKLLEQFPKDAHADVVIQVKNKR FELQKAHLRIESPFFDCDKQVISIQEYDPDIFEGILKCFYGGQYIIYTYQELYLAYQICS FIKCDGLCKQIEGSFQINKKSFTFALQLSELYGLEDLRVSCYEFLDSNPDAFLQLFDLGM LKLKKNHKKTTPSDVLGLNKACFIHLIQAHNTYKKTKSEQRQYLTNYEIFQILELYNSNE EDLKELIVKLIDRNSLTSEEYYKIYHQLACRQSHTILDEPHIQPCHQSVKDYQLQPQPEK NYFDYFDVNKSENYFYKKRMSELEDQNKALEQETQKLSIALETSMKNTNNFKDTLLETIT QKLDNKLIEKDKEIENLRQELLTLKSQINQKDRTIIELEDSIKDINDREIITLRSQIETL KSQLIQRGQTVSELQYSEPDFNDQTLESMNQFTTSQFLQQQELAKSEYQFLKPQTVAQSQ IQLTVQQTERFQYDAEPVTLDKINQLNYIFDHLKITIFSQIYLRRLQLGSLSGYDVDSFK NNCSGVENLLILVRVFDYNKIIGVLVFGNEKYLLNISNRQIQELDSIFLFEDNIIEIPGQ LTICNGCDSNTCYGQTSLTGNKEFVVEDVEAYSIEISHNL >CAK86616 pep:novel supercontig:GCA_000165425.1:CT868585:140059:140825:-1 gene:GSPATT00020288001 transcript:CAK86616 MRRLIVNQTRSKTVAARPSANLDRINKWLQTLSAKANTLESRFYASQLSSLFNFYSKPST GAAQEIDWNYWKEQITTEGLVDKVQKGHDTLLQREFDVERICHQVVSSQSKELEDLENEL TFHSAVWSNYYLDQHLALLDLEQYGDRNSYVIHEDYDFYPGLEADLEELTETHNWIPGSK DDINLKGYMVSQFQWGKKIISFYRHPCDDFKAARGTKNILGR >CAK86617 pep:novel supercontig:GCA_000165425.1:CT868585:141253:142299:1 gene:GSPATT00020289001 transcript:CAK86617 MRENFHIKIRAEQRQKEFAKRRIVKLQATPQYFRQAVLENPISELLKEIDQPDYLQFISF LSSVEDYQCNKYMVDQGVLTVALEKTIQLMNNYTQTSTQEVSLNYLLSIIGNLIDFINFP DDKLRFIIQRAIEISNYSMSEFKITIVWLIDEFLKKEHLQEDFLNSVTNFLFNNMGRKDI TSAIFDTILNLQSSQPVHLSKDHLDELYKHFRDNDNQIVQKSLFILVNYLEIMHKIDLDE EIIVQLNEIFRYKDYLALLKLSNILYPRIQLEPKLILQRMEVCAFGLKLQEYFSLINKLI KDEILSSEEYLKSLQNIEMENQCENNKSMILQQITQYQD >CAK86618 pep:novel supercontig:GCA_000165425.1:CT868585:142809:144400:1 gene:GSPATT00020290001 transcript:CAK86618 MQLFSLAITQDPVDKLFEFQQLMKQKTKVPKQNIVEYDSSDIFSEGYITVSEQSEDDEFQ QYDEISQYDEVDESDDPSDDDEEMIIQQQQQKEQQRIEQQKIEQQRAEQQRLEQLKLEQQ RQEQLRLEQQLMEQQKLEKLKLEQQQQMLENEKKKKKIIEIPDDWSDADDQMQVPKQQKK KKQKKQDLEDEELLEVLNSAKKTKVQSLGDLEEMEKKIIKKKKKREPVQDEEFVQKVPAQ NQTFIHFNNKNNYLELAPCSNNPIQQRACSLCKSEVGYQLDDDNWYMIGLQHGYKKEFLL LIEITKQSFGDSQLYLSFSSNGSACMTSDTLKQDIDVENVLQRFSGRSWRHFIKNELFAL PQKLEGRSFQFKAIYQNKQFTFSDFEIKFASNLIQELKSLSEQEVSIRTNTVEFIGESLQ CTLTGLMKQQNDELPFSLKFKNGESTSELLIPNCQIPLHNEILYGVSDLYFGEYFKETLQ QKLKGNFRGDFNATTSFENGKLKVRLAMKKFNK >CAK86619 pep:novel supercontig:GCA_000165425.1:CT868585:144417:145004:-1 gene:GSPATT00020291001 transcript:CAK86619 MSEEKVQQIDPSIENKPAPPSGPKRPEKEPQLDNLDEIFKRISDDFSDVAPLQQIAQKFN VNPGQLVLGIIFVAFTLTIFGAGNLLVKILIGILYPAYMSVQCVKQKDDAKSKIWLSYWV IYLLMFLLDRVMWFAFHDLLQLYFPIKNMTLIWMYYPKTRGAIIIFDKLVLQLNQLGFLE KPKQKHE >CAK86620 pep:novel supercontig:GCA_000165425.1:CT868585:145105:146727:-1 gene:GSPATT00020292001 transcript:CAK86620 MTEEIEPHIARKFEIIQKLGKGAYGIVWKAVDKKLKTVVALKKVFDAFHNATDAQRTFRE IMFLQELNGHENIVRLLNIIKAENNKDIYLVFDYMETDLHAVIRAGILEEVHKKYVVYQI LKSLKYIHSGELIHRDLKPSNILLNSECHMKLADFGLARSIAITEDDSSPPVLTEYVATR WYRAPEILLGSTNYTKAVDMWSVGCILGELIIGKAIFPGTSTLNQIERIIELLGKPNDAD IESLESPLAVNILASVSIQKRRSFQQFFSGAQEDCLDLLRRLLQFNPKLRLTVNQAIKHK YLKEFSSPDEEIECSEPIRIPMNDNKKFSIKEYREALYNDINRRKKEQRKKWQAKYLQQL GMNPDELINGLQQEQSEIITSVKSQQGFENVNGSSIQHQTQQQQQLQKSNIEEIQNIIKQ QQIQSQQLKKSQSQAGIQQQQKLTSQKSASNFVSQMYNTFQQQTQNIDNRFYQQQQFLQQ QLQQLQQKAQQKKKT >CAK86621 pep:novel supercontig:GCA_000165425.1:CT868585:146742:147824:1 gene:GSPATT00020293001 transcript:CAK86621 MCTHLNFSHNNNVMMYLKLREEGCEKDTARKFCRKQFCKIDQTQQNIGPPGHKILKIQPD RLLGQKGWQPGFLMKFDLGVGYKESADLNEKFTPAELAILKQYKQYEKKKNAALLQKMLA VKSYADSLRPPTLDVFSKSYLQRVKQISQELREGQAKAKKYFEFGDMKFYALVDYINRFG QNKDSINLKFDPILMEDIKFQTENTQKVNTARVFRRMGTISNIEKEANFIMALSQQAPVG DNIEKAKQKPEVVSLLKLNHQKIDLAEEFNSTQYLFTPTNSQTLQPSRINDDAMKSFVKQ FYQKQFDDKLNSLTTYNRNIRVRTQANSEFKKISRQPSNKVKTSSL >CAK86622 pep:novel supercontig:GCA_000165425.1:CT868585:149230:150140:1 gene:GSPATT00020294001 transcript:CAK86622 MHISNKQSEDLSIYHGSEIQKLQVPSKIDYRQSAVPDNPCYNFRFRIFIFFSYIVITQIG EILGTPQDDIKGIFDVGHNCTEGINKLYHSHTWFASLMQVISGLILDFAFFYVSLYWVLY VRNFRLFAALIIFYGIRAIHLNIFKLQFADNYYWQDPGVPTFVVKYGNYSDFFYSGHVGF LVICALEMRKLGKKWFALFFFISSFFQAFIVISFRIHYTIDVPAGYIFAHYFYNQVCYWE VKIDHALYWISIKCCPVKTPQSATQPTKIPDLDTEKP >CAK86623 pep:novel supercontig:GCA_000165425.1:CT868585:150550:151260:-1 gene:GSPATT00020295001 transcript:CAK86623 MNQPYLHPANQIIQDSAPKETLYVTGLNDKIKLEDLKFILYILFSQFGEVLQMVMKKTQK LRGQAFIVFQNITYATNAKAALSGMIVYDKPLIIEFAYKKSVIFDRIEGKFYYKQKQHKE LQPTLPNELMKEKKQKKFDEKIQNSSNFNQGEINNVLLIESLPPFVTEIMLSELFRQYPG YSLIKLIPARGLAFVEYQNDDQATVALKGLSNFKITPECQLKVKYAKK >CAK86624 pep:novel supercontig:GCA_000165425.1:CT868585:151305:152083:-1 gene:GSPATT00020296001 transcript:CAK86624 MGIKCSKRKNKSFRNEIIQAQPIQMSLTTSKEALAFCDSQDLANFMRTKPVKSYIQEVIW KQQIYFDSCEQLTRIIEGKSEILLRSYNDFTEKALKIKLMVLKYYKENFLAYQEQVPECI EKKDWIANETMQILIETNIILNCLMDKNFDVEQELWWGNDYFKDRIQSLGANGEEKIQND QIVQPIVQYLQALKNKVTQSMPINQQQQRPYQQNQSVVNSTAIAQVHLTKFYNDLKLEFA KDAGEEQVSS >CAK86625 pep:novel supercontig:GCA_000165425.1:CT868585:153344:154126:-1 gene:GSPATT00020297001 transcript:CAK86625 MIIFVLFCLTIIGDSLRVSYNKQCDCSKFSTDSSCLEQTDCIWQGNICRARECSEYYNID KCNRVASCSWNVSQCQKFTKCSDFYMNDSFDCYKIGDQNLNLSCQPSEQGNQCQEFQRQE CGNVEEDCASFESQWRMCYWNNNKCNIVDIRYCDQLFNEDLCFIYGEGLGCQWKNGKCTV IQCSDYTFESTCVLQRQNSIEDDPLLCRWTGIKCEEAQDVSHLDFSNCLVNSFYNYIWDS ANNQCVSCQTYIPPTSESTP >CAK86626 pep:novel supercontig:GCA_000165425.1:CT868585:154507:157307:1 gene:GSPATT00020298001 transcript:CAK86626 MKYFRKQLLIIISLINPSFLLYQNLLLSMNITLILLNQYLKHHNYYNIQRLEQTLTYLQW LAIYSQLSLDCFQQQICAQIIILTDADKIFKIIVGVGSSINSILQPNIFRFYFTIPYMII VVSVILYDNRTKEVKEKKESIQNQQTNLITFKQKSIQFDKQASQRQIQENILRSPDGDYP DIQNSPIIMDLQCNEEDDVLAQMAWIHNQSILVYNQNFEIVYQNFFLGKLNKNQNKGLQI DYESIFLESIIEIGSREVNDLFGNSEIDLDQENSLFLDSTVHSRYKIKGGLHKIRQMIGQ LYNNYSKWKFFTFTLFKIKNEELDFDNLNIRVLVTEVKLKLYTLFMFEPIQKTTSKRVLD EPLQFQNVFQTFLSESNNYINAIHLLILLCSHDIEKNNGKVQKDYLKQMRMSTQKFMMFL GTMKDLTLQLTNQLTFRNSTFKITDILDELQLIYDDCLKIKEISIIPIIDQEMLVYNDSE RTKQVLKCLFEIAIKYTVTQKIRIDIHQVSPNNYQFQIKDIPFRDEISQLGYSTVLKNTA QLMKTNCKDFDMSNLLELQVSAMIAFLLSGSLRKPLELTFDNHMSGTFTFTVESLSINSK QAYYAQQMKPKRPFETSLSMLLAQAQDSSHYKNEESKYMSFTQISKQYSLKPDTPFDLQS AHFSQISKIKQESPQIKPLGSQHQSNSGGTNKFQDSLFPKSLNNNPSRVIKSDSGVNISS KPPTSTLDFGDSTNPNLDPPELSPKFLHAVIKFKLTNQCCSKVIIADNDYLNVQVLQILL NKYEVKSDKAFTQQQTLQLIRNKQENPCRCKNGAYLLYFIDAYLPQSGVELIKEIKGLFR TQEVDRGFIIAMASYSDMELKLNCFNSGIDYFIAKPFDLFELSAILQYIQF >CAK86627 pep:novel supercontig:GCA_000165425.1:CT868585:157323:157775:1 gene:GSPATT00020299001 transcript:CAK86627 MVYGRLIYNNVKDYTPQWFKTIPYQQTVKPSFVRKPQVVSRMNADPKVKAFWRFLGRNVT DNPWAWQVYIFANSFVIFALCYYPWLWVYQFNNKKRTIDYALQQEKEFKAKQAAAEE >CAK86628 pep:novel supercontig:GCA_000165425.1:CT868585:157848:160233:-1 gene:GSPATT00020300001 transcript:CAK86628 MFRFRRAINLFNRKDGFITKLKNKLLKDDEEEKQIIPHQTMKQPLNVKQEIEQFISQQTE ISDLERIRMKNLDNKLGTNTHFHEIQSYEERLDSDNVMRRQVLARRELQKEKDLAIAHLF DPDPQQKVKTPWIRNINDFTSEAIKQIPYPQHPKLFSEGDFFRYFEKKHYEVDKVAQFDF SESLYTQLIQIQTLRREETVKHRYFELLDCYQNIPIVFKDYTYFSKDSKEGHPAYNVYKR KNESKTKELIKDDDELVFSGKIIADLIPKHYEDLILDIEEEKFQSLYKEFLPNKSHDIYG CVIEQLGLTFLIVRSDKIQLVLENVDNTFAFIENYLYYIEKSDLDLQKYVLKLNLENFDQ RTIIYQISPGENVKIKNYGIGKAAIEVQMSNENKFIDLNGQDIVNYKKGYRFNIDQNEVN TFVSSAPLEEQPKEIRTREGHLVYECKQGIIRSFCANDHFLAILERDQRSQNPDRLIIKK EKQNHEMIIQESQYSINLESHQIKESNSLYLHLICPYKPFQILKYNIDIKAISLHFSEQL PGFDYNNLQVETFKISEDFNFCVSYLQDLPVQFVVIQLIDQEQYQSLTQEDVVLYENGCA ICQIIVNPSCQQQVLDNLQKVFQEVSVLSDKVILKTKGALSGLIGSYTHFFVQQFYAFLC HNGLFDNSKKMIDLPISSYDITRVPSLRNTFISYTLNDELTYQSQKMVALLRENKDNQVD LKKEFGVVLQQCESDVDEAIFRLTFLMSVL >CAK86629 pep:novel supercontig:GCA_000165425.1:CT868585:160299:162575:-1 gene:GSPATT00020301001 transcript:CAK86629 MEELFALQQQLAEIQETSTKYRLSDRIIIDLIEKLVKEYDLKVYHSTDGQSLITPKELSK EIHQLILLNKRVAVNQLPDILGIGFDKIEGQIEKDSNGWDVVRMQDEMMSHDYILNVCEE INEELQQKSIISLQEIMQKFAFPMQFVQKYVLQQVGTVIQGVLNEDKLTTVTYLEIITAK LCGILRATLRPISFMKLNKDLEIQNSQKICEQLLVTKQLDGKIISGQYVSSKFIQNQEAQ VKQFFEQNSYVEYDKLYSQFFIQKPKEYLKQMFKDNVIFLDTCGFSRDALISKQEQIQEL LINEGHTNLQEILPVILSDQDIETLFSLMQLTNCEYANFMIYHKAFLDKLVLAFKDKIID SIYQNPQKLIEQQQIQDDTPQDIQASAGGVANKKNKKQQQPQKKKSQNKQELFTNKEITD LLTQKKLVEYNDCVDELFQFLQPRLSAFYEQIKIELFESKKSASSQVIQEIQKKIEDMAL GLMITQRSLQKIQQECQEVNTKVLVDNCLFGYRLLVENLVVITCKKYNIQLPQNLFADPN HPSVVNGQIDFGLQKSGRVFLNKVALGEAILFLPKEQQKALKDTMELYTKKSLDVLSQHA FFDVFNLKVHLDKKNDRNLLLFIKHHCKEFIKQRHHEIFDENVFNQIILAMSTDLGLYFI GNYDQHFYSALKQIVYELQNDKQIKNILNNITHSINQQLEITAEAKELIEILKLL >CAK86630 pep:novel supercontig:GCA_000165425.1:CT868585:162894:163079:-1 gene:GSPATT00020302001 transcript:CAK86630 MRHGISKIAFYYFLQSGYVFTTKSEFEIIKNRMNYDNYVMQLSTLKLKHFELESIELQEI Y >CAK86631 pep:novel supercontig:GCA_000165425.1:CT868585:163932:165587:1 gene:GSPATT00020303001 transcript:CAK86631 MKSFEQKDENLENEQNQQSKTQKKQKKIIDKLTQRTEEYFSQSQGGISSSKSLAQISNNQ LRKHQINRLVEECQKMKNIKEIPSEQVLKMVKTYDMLFAAQSKQVTLKEQNIQIIDIKLR VHNEFEDYLYSQHQLIHNTFRRGLCLLLLDNEYSILRKGLKKFFDINLKQFCNKANSTLT NKTEEIIHNYTFSCLPKESEIKITQMEKVNGENAQISYNRALDRWIIGSKNVTLLCIDES ELNQYDSQITNDPLDNRYRYAMKIAQEWFKIIGLSKNVDSIKNYLNDHTLIGEYCGNNEY QHFVQYDHVQLKFYALVPKVSAQSSVNCLHPDQTLAILQSLELNTVKFNHIITKNYEDFE KQLLDIIKTVSISKLSEVGEGNVLYFSDSQQECFSLAKLKSLECNRLLRILDRFKRKIRE KLRLILTKDQDSNATLNKYIDEVTSLAKELNQLFEHPIQIDLNIDLAKKCFEATQIELIQ KKDADFKNFCVDMIDNKFISFLTEIEQKDKKENVFELEFQKHNII >CAK86632 pep:novel supercontig:GCA_000165425.1:CT868585:165764:166971:-1 gene:GSPATT00020304001 transcript:CAK86632 MSKQQSYTICDYGECENTIDQDHHVHNHDHNHHNHSHCDHSHDDHDHDYHEHDDHFEPEA DKSQDTQLIKFIFGSSDYKSAMIIALSYIALAFYSFYSGLTSSSAHLTEESFDCILNSIV IVFAIYCGIKAKTFQSTTTFPFAMQRLEYLSSFTLCIHVSILTMFQISRNAHEILEDLHV INHPIDENQQNQNNELYYASLRIALSFIGIFLFVEYIQANFTTDKEQLQQFILNYKDLIG SNPSRYYHTHYLNMHAITMLFLCELLFNIGTFLECMLDNTLSYAAIGTIIAMTNTIIIII QLSPLVQTSIQALLLATNEKFPGIRQRLSEFNSNIYIWNHGAEHNAIIMASGQNKRETRQ KIKEIVNNKFKNCFVNIA >CAK86633 pep:novel supercontig:GCA_000165425.1:CT868585:166997:169525:1 gene:GSPATT00020305001 transcript:CAK86633 MLIIILLNLQVAVYTRYATTQVKNTVAFKQYKLYTSETSVFKAGHSINLEQNLILEIRQE NIDQVDIQIYDEANKHFRIPSDPPFNYNDVKDPQQFNSYDYDIRVQFNPIVIQVQRDNAT IFTITDLIFSETYIEFTHIPQNKQMWGLGERNQVGFRFKEGIYTLFARDEPNIIENGKRP GKHVYSSHPVLLSMEESGKFNVMFYKTSSPMDVHYEEDKMKFITIGGIIHVKLFLGDTSP RSAIKKYHKYLGGWMLPPFWGFGFHQCRWGYKNSSVLIDVVQQYQKNLIPIDIIWTDLDY MDDRQIFSVDKHKFPKKDFQYLKGLGVRYIPLLDVAVGVKYGSEDEGYRKGTEYDVFLYS PYTGYRFQGYVWPGDSYFPDFFHPNISKYWNEMHEHLYEQVEFDGLWVDMNEPANFCEGE CDWNKLNNEIIFPYIPGQIPLANKTLPPHLLHHGQYLHKDVHNLYGIMDSYYTYQAQKEL GKVQPFQITRSTFPGTGKYAQHWTGDNGASWDFLYLSLGQVFQFQIFGIPMVGADVCGFM GDTNDKLCCRWIQLAFFYPFFRNHNNDLSKPQEFYNLGFHVIQSAQKNIHLRYTLLKWFY SVFIREQNHGSIINPLFFIFPEDYLTYRDFVMDTQLLIGEELMGAPILEEGVTRIAYFPD SNWYDLITGLELKGKQDHTLYCSYNEIVPIFLRSGYLVIQNTKEMIKNLKSLDNHYRIIA APLNQEAKGVFADLDNFEDEEKALEAEIINIKLAVEEKSIKITISQSHSELLIDEILIYG LECSYKQCTSGYYKYNSVFKGPFSLGQDKTELVLK >CAK86634 pep:novel supercontig:GCA_000165425.1:CT868585:169595:171237:-1 gene:GSPATT00020306001 transcript:CAK86634 MSLYAQMEKNAQFQAELQRYEEQADFLFRKQRMERIESFTDQFEFLANEYPCAVYYQGLI YNSVYAAYQSARTQDEEMRQKFVNAQSVQEVYQIAQHIDDPPHWTKQRLRIMEVLLRDKF RRNADIRDSLRATNNYKLFHTFTHSTPSNQFWGVVDGKGDNQIGRLLEQIRTDINNYEDQ DKWLLMTLPIEQESKMIPKVELKVLKEGENLPKIEMKHSAFLYFGSHQRNHVHLAHPSIS RRHAAIFVNNQSQVCLVDLGSKGGTFHNEQFVEPHLPVVLANEDKIKFAKSTRIYQVLIS YDDCKKWLKDKIDKLRNDLRQLERINKGKMSQEELKATLSGAIEDTILIKNLPEKASHLE LMELFRKEGKIKELKIPIDRQTGNTRNVAFVRYENERDAKRLISDRNKVFEYKNEKLKLK LVDYAYVEKFQEELRWGITEQQSQPPQQQQQIQQPTQLVQVPITKPVEVKQKVPESSSSS DSDSDSSSDSSSDSSRSRSKRKKHKKHRHKKDRHHKKHHKR >CAK86635 pep:novel supercontig:GCA_000165425.1:CT868585:171810:172492:-1 gene:GSPATT00020307001 transcript:CAK86635 MEFKFPIKNIYQESKEIQLNPLPNEQMIKLKIELTTIQINGSRILSPIFILEICRFTKIL SRNLSPSTNPSQIQERNSLLIDKILEKVKLKKNIQNQKKLANLKILKIKQRKSNFKRGAQ KDRITSQIIQRSSKIEVKQQQVIKQVKQNAKLSINHEYQKQLDSKYLRQYQNIKTIDGSE QFKAKIFNIHSRDLPIDYIIDSMMILE >CAK86636 pep:novel supercontig:GCA_000165425.1:CT868585:172511:173165:-1 gene:GSPATT00020308001 transcript:CAK86636 MSKQQNLLYNFNEMTPAQQTMFLKAKTELGQNQKIKRGGRIQKINSLDKFLDIKSLNLKV NLEKISSDSESSDSLQSENEFQKEEAQFQQKVEEKPIIVEPNNEQLDTYFKLIQNAQLKR DQIYYLLMKHYCDNVIEGTFVKINEPNLFRRKEQSYAIAQVLAVVEGEKSYQLEQTQTYK LLKLQFGQVKETKFRHITLISNQQIPKQEFVV >CAK86637 pep:novel supercontig:GCA_000165425.1:CT868585:173178:174890:-1 gene:GSPATT00020309001 transcript:CAK86637 MSRVQTAKSQQNIRSIEEELEHQIKKSGLLDADRKNFYNNAEETKRKNNEIIEQLKKENR DLKKLQDELIANKRGASASLAKTQGAFISWSGDIKDENYWRRKLDDAKHLTKAKKERLIQ LQDKLNEVSDTKFGVPEESPLMRQIRILENNLDKVMIKYNEAQSIRKTYEQIVKRLKEER VGYDNQLAAIERSLKGKEHDYEELLLLAHDATHAKELAQAELKKYEHKKAAVRELRKTYL DAKRKAIEEREKVIQKLEKREKDNVQTQVEYNTSNIPIQESSNPNVDTNIQKQKLADYEE AFHKLKEATGFRDVNDIIQKFTSQDETSKSLSDLKGEYQDKIEQLVTERQKLRDHLNDLK YEGGEALTRKQIDEIENNVNNAINKCERTKLKYERVQKILVNVKAGIEHLYEKLEFFKLE GKPNIVISDDTLVEGLAQVVEKMKLILQLIKNDASYRAQDFKQTWKDFNKEHIDQFINLN LRDNNIKPENVSRNIRVRIQDKEDDDVSDGEIEDDIDQEVTAKLKQKYGAQAKDKNAKKL NKPNKK >CAK86638 pep:novel supercontig:GCA_000165425.1:CT868585:175759:179153:1 gene:GSPATT00020310001 transcript:CAK86638 MSRGNELDDLLFKRRDQNSKQGEDLKQIIENIPYPHADITITSYSEFSDNTPQKYLHKLV HTENTEVQPQKVRRNRTQVDAYATDSEVEQRELKSLKVDQKRKPLQQQPINQQEIKPPLV PQQQQQLQDFYASGGSYLESMTPQRMNKIVDWSKQHVTPGDAKNIFPQVIAPSIEFSNEN SLSLQFKNVDPEPKKPQILSHQNQNTQNSRLENRVWEKQKRYMENEIQGMKKQQRKLENE NLVLAQKLEEQEELTKLVQEKHKDYSCLEILRGKYLEVAKQQIKQLKQQLDSKDQKLRDL NEQTKGMIENEKRMQEAIELELRELHAQLEIKDQMVRALKNQQNNEDNERQKALQEYEKT MSDGNKFIAKLKSQIETQMKALEEKESIITSQEAQIQNLQVRLLKETEYRKQDEKVINEF KSKEALLYQQVTGNTIPEVHNRAMEELQAECINQKILISQLQSQLTQQMQMYQLQHVNSN SYKECEQCKLCKDELANIIQECSLEEEAKTIDATQSIAQIAREVVTLLLTKQREQIDHAF LQYEEQIKELTQQIDYFKEQNDEVMQENQKISDQIQMVLEKKEEQEQQIFEEYEKLRQMN QNLLSENKTLKLELEQVKLQLRNETQAHSNEIQQLKNEIQNNKQSLLQAKQNETKLVVQQ SQMKSEEKMLINQEMENMKTLFQNQIQELYNKLHNQDEQIQELTKELYSKKKQIDEMQQQ QSSSIKKQKKEKEQKESKEQTQLKTYAQQLSDLNDEKSKLLLKIQHLEQQQTYQTKRLDE EKHDRLEKLNQQIKEKDKKNIDLYNQNRTLQSLQKELDDQISSMKDEIEKQKKQIQLKNS EIKQLLDQNKQLQDKNQEINNRLSLLQQQMNQFESEIKHYEQSPQIPEKLRSQASVRSFD KTPHAVIELVEGFNQYQQEFYHLKKMFTEKLKAQEQNLTSLQQANQQITQQNIKLIQENN ALKDEISSASHGKNYEDRGSAQTGDSLNIRSFLDHDHKKTLPCKTPEQHFSIVELVQPEI MSIEDTVMIFKEILRRSVKSVEMIKMICPLPEIKELLMIILQVEEKMQQQNQTTANKKSK IINSILGASNIKMIDQSRKKSACGGIRVKQSHRSETGVVEFYE >CAK86639 pep:novel supercontig:GCA_000165425.1:CT868585:179519:179793:1 gene:GSPATT00020311001 transcript:CAK86639 MEQKHLMRYFVEEQQTLHRAAAVYGSGLAMQLNLERNILGAPGQQSYIHLEIAMNKLNDI DELDFMGNKKFEQEYVKGFQQI >CAK86640 pep:novel supercontig:GCA_000165425.1:CT868585:179803:180583:-1 gene:GSPATT00020312001 transcript:CAK86640 MLRDLINNHKPLKNNFERLSTLFQCAINAYKYPLNGNHLSQLGELSGYYCVKDIHQRMLQ HPVGQRILIDKPRVTPETFKVEELLKLNQNTFGQHSSLIQSYQYGKFMRDRDFSSSERPI VKYIPDLELAYVYQRYKEIHDFIHVLLMYDVSVYDEIVVKWYEMAQLGLPSATLSAFVGS FKLNCQEKQKLLETLPEILKRANRSEFIMNVYFEEHINTDLTQLRKSLRLL >CAK86641 pep:novel supercontig:GCA_000165425.1:CT868585:180592:182181:1 gene:GSPATT00020313001 transcript:CAK86641 MYINSENLLPKLEGQAFGIDIEFSNNRICLIQISDGKEIYLFDPIALNLEQYMREFFKND AIKIFYSGAQDLKWLKNEYQIVVNNYCDLKVLAQKEPDLSLIALWKKYCGVQFEREDKKR LQKSDWFARPLTEEQLFYAALDCKYLVVLREILLQQYTEEEQKSIHFSTDLKEPKLLRYL KKQLADNQDVLLPQKIYRHIKFQEPFQTDDLKVIGLIEQLTKKHHQDYQEQKRQRFLQFQ EKFTTHKPVYSNCRIYSLSGQQLCCCDQKKISWYVKNGLGEYLDEKSIKLNFDPVCEFDE KEMKFYNEERSNRCVICGASTNILKYQIIPYMYKHYLPNHYKSHRAHDVVILCARCHEKA SAQQDKKRAEIAKLYEVPLQHYGEEKLVVDKLSHVLKKYESLTKAGKHFDMMQYEDIRNQ FKDLIQLPAEFDKQELTKINDKLKKELKNSHGEAVVKKLDTDEKIEEFIMIFRKHFLSSM DPQFLPKEWAIDHRFKRNFGEKSVYYQKEEQNDQNKQDIQQMDQQLIQK >CAK86642 pep:novel supercontig:GCA_000165425.1:CT868585:182242:183390:1 gene:GSPATT00020314001 transcript:CAK86642 MRFISQNTTPKYEQQQQIVLPNVRGRSNTMQQSKQKNNYNKQLTLNNDHFQNTGNELNSS RLDNIFQMEIMTHKFEQLKVSLSHSTQGDVNKTNVINSQKKKKKQKAQYNESNYRINEYQ LFKKKIDDNIQTELRQIQESRQKLMKCVLNTRQRNISLQLYGDDLTPVITNEKVNRQNEK EKNCNYCLKLSRITAFIRVYQEIIHTEVSKRKCLLRFKSLKEESTKQSIQQIMLTQEVFI DDILNIDIIFKYCKIMSSSQQNSKSISIKSDQNSFRNKLRKTRDSKAPNLNNLISLIKDA EISLNYFKEFSEQIVKTQLGMQQNYNMDKLNNNNEEVGIDLFENYKDKIDQNEIQYSYNQ FSIANQEIPSQNQYQMDVPTKQ >CAK86643 pep:novel supercontig:GCA_000165425.1:CT868585:183557:184925:-1 gene:GSPATT00020315001 transcript:CAK86643 MSLKDFKIISKLGDGSYSNVYKVRRIEDNLEYALKKVNLTNLSDKEKQNALNEVRILASI HHQNIISYKEAFIDPVSNSLCIVMELATDGDLLQKIQKYIKTNSQFQEKEILKYAFQILN ALKALHQMKVMHRDIKSANIFLINNEVKLGDLNVSKVAKQGLLYTQTGTPYYASPEVWKD QPYDCKSDIWSLGCVLYEMAALKLPFQAENMDGLYNKVIKGYYQKLPKSYTFDLQNLIRM MLQVSTVLRPTATQLLELNCFKNFQLTLQNAGQLIKTIQFPKNKSYQNIFPKSTYKQDQQ NQNEQVSSSRKRLATLGGGNDSLHSNPTRLSQYEQNIQKINKNHQLNSLDLQNLKQDHNS LNKILSEGYLQSKFSKLAVNSILQEKIRKQIIMPSLQQKASPFSRKISSRQINETTILPC IL >CAK86644 pep:novel supercontig:GCA_000165425.1:CT868585:185126:186536:-1 gene:GSPATT00020316001 transcript:CAK86644 MTTTLPFISKNKNYVEMKAVTWQKDSHGLFDYETKSLSVKKHRVEGSCKVSREENEIVIQ DGKAKDEANLPLTSIQAQGDQYFIQPNQNSAENENFLIVRSLKNADGVQKGYTLQEGDLL KLGRVEYHVIEIRDSKGQIRTVKDVFQSEAKITPSLDGNVTQQCKICLNEEETPEDPFIT PCKCNGSCAYVHFNCLKQWLESRGYKKESGNTISYRWKKLECEVCKELLPQQIRFKGKVL DLAALERPNQPYIILENTQISEKDKKAQRGIYLIKGTPDDQIKLGRGHQCEIRISDISVS RLHAFIKYEMGNFVIVDNNSKFGTLVRLQTPYLLCMDKIAIQVGRTVLTFVMKSFQNLNP NIHGAGVQTVHMSDQQRAALQGGQTGFYQGANKTQTNNTNNNNQKKNDKNLG >CAK86645 pep:novel supercontig:GCA_000165425.1:CT868585:187109:188944:1 gene:GSPATT00020317001 transcript:CAK86645 MGSQCCSAPQNQQTIELIIDVKGSFHSQTLKNLNSNQIIAQNGNEGLKDHEESFELMGIS PKLVLGGEDKGQEKRKALVQQDEKSLKKGEKRKESVSSVGTLKLGVEVFVNLKQGNIHKY YVTGEVLGEGSYGKVWKVTHKNSGMVRAMKQLKKSSLILEEQQRLFAEVNILRNLDHPHI VKLYELYQDEQNYYLITEYLSGGELFDRIKKMSYFSERKAASFMRDILSAVVYCHEQNIV HRDLKPENILFVNESINSTLKIIDFGTSRKYYADKKMTKKLGTAYYMAPEVMRKDYNEKC DVWSCGVVLYILLCGYPPFTGVNNKLIMQRISDGKIVFNDNDWALISKEAKTLISKMLQV DPNQRISAKQALADPWIDKHNSNEQVNLVVLQNLQRFQAESLFTQAVLSYIASQMTSNQE QEELIKAFQILDKDQNGILSKDELIEGYSQVLKDRELAIQEVNKILHIVDLNQSGQVDFS EFLMASMNQEKLMSLEKVKAAFKMFDANNDGKISKEELEMMIGNLEDNLWQQILVECNAE EEITEEEFINILLNQKL >CAK86646 pep:novel supercontig:GCA_000165425.1:CT868585:189854:190419:1 gene:GSPATT00020318001 transcript:CAK86646 MGQQNSNAKLSQNILVFGVNMLLDEKLLGSEWQKDQINSCQDFTLYKNISEKPNQLLWCP KRSEIIQKSIESIILNIEFEGVLYVFEQTQDHKVLNEHRKLIKWLMSEDSMRQCSMILLC VSKSSDLAINIKSQIEIELDLESMDSKQARNLIIKQQDKESTLQFKKIISQLIKMKNES >CAK86647 pep:novel supercontig:GCA_000165425.1:CT868585:190444:191385:-1 gene:GSPATT00020319001 transcript:CAK86647 MIFYFITLLANGNSIIVDSNLRCSCSEIKVQEDCNTIMNCKWVNSKCQDYVYECQVDITT DIKSYTCNWNSDTNKYEAQRFECSQFKSSYDCGRLKPHCFWNSTEMCNTFTSCPDYNEQH CPIYDKECSIQDNACIDGLQQCKNYTSEKTCRGVESGEQECLWSNDNQCKGQNLIDCTSL TGYKICDVNLIECKKNAQGNCVSITCSDKKQEKDCTNARIVKQGKSTFYLCVWNNGQCIE ATNAQHLDIETCSRETASNYKWVDNKCQVCSVLQPKILEQQKDGYVEYENQIVIIYLSAS ILSKLAILLLCID >CAK86648 pep:novel supercontig:GCA_000165425.1:CT868585:192025:192533:1 gene:GSPATT00020320001 transcript:CAK86648 MVHKEFGDIFKRFIQIPIIKNTYAEHFYSAFQFLPGHALERNSNHLILRYKVPQEIMNMN GSVHGGALATILDCATTIAILRGDRNLSRTVSIELGLSFISPAKLNDSLIVHAVCQKVGK NVAYSICDIYEESGMKLVTTGRHIKAVLPGTFFDSDFKKIS >CAK86649 pep:novel supercontig:GCA_000165425.1:CT868585:192659:194012:1 gene:GSPATT00020321001 transcript:CAK86649 MKHRKIDPPEQDSVSSGYSTPDEKSVQKQAKIKHLRKHAFVNRISFLDDMDKDSELNKNR LVGFYNMLYVVAFYYFIINPIMTYWKTGQWIETSLYNQMRRDLFMCIVTWPLFYAWSHIA LLIQYLAMMNIPKVLIFIIQHVSQICMFVYAHYLVLTRDWYLPQGAFVTFQSCVFFFKMH SYTMTNYKMRREWIDQGCPKSNDPFSYPNNINFRNFTKFIMTPALVYEPQYPQSGKIRWW YVIVKFINTISMLIMGYMIVSNHIYPIILKVNALSLVDSIFQMTLPLIFLCLTLFNMIFE NYCNFWAELTHFGDRQFYTDWWNSTDYEEFNRNWNRPVYEFLYRHVYLELIFEFGFGVKK AQLATFLFSAMLHEYTLAVSLKQITPIMVMFMMIQIPVMLCTKRIKGTKFGNLFFWWGII QGLPLILNLYLRFNELPKLLFE >CAK86650 pep:novel supercontig:GCA_000165425.1:CT868585:194041:194340:-1 gene:GSPATT00020322001 transcript:CAK86650 MITLLIANFVLQYGEPKFDTKQLMQSEAQRIFNQYSQIIKDEKKPQVFGFINQQTNSFLK RMEEHRQILKQQIEQQLKISQGNILLQKALLSYELKKMN >CAK86651 pep:novel supercontig:GCA_000165425.1:CT868585:194390:196450:-1 gene:GSPATT00020323001 transcript:CAK86651 MITILLLIIANVKSQSQTFCSEGCKIFTDATECDQLRPLCSWRQETKTCIKGYIKPQINI YPSQITQFCSQNKDQCSITYGCSYYNNICTRFLGCDAYQSYSEEDCLNISYLCIWDNTKQ GCVRSPDYDSKGGLINQRACSAAGLAWRSKEGCPKYSSQCIFNGIFCVEALGSCSQYQTT KENCQKLIGGDGQCTQSDSATNCMAKTCGMYQTSQCKSYRSDCFLDRNSLCQQKQRCVSS NATKKSQCQNKIEQDCYFDGKSCTLVPLQYCSEYSKSQCKGSVGKDGICILEKDQCVKLD CQNDKNQQQYRDLELYEDYCQSINVCEQKNEGCYQIGQEGCKNIMKISTDCLCYKCIVNK QECLFASTQLECVQNQQSTSTIPCYWNEDKNYCQFATRCSQLSNQSSCLQLKPSCVYRNN NCVDLIDLDCPQIYNDKNGDQILQKYCLQNRGCAIFNSKCITLFLSCDNYTSEATCYKDI LNQPCVWNYKTSKCLNYKERQGLLQIECELMSDYYIYQDGTCQMKKSCVFLNETQIGLEG SCAQYKLDQIKSSITACSELDDNLCLQFDNICKKVKINDTKNSCQNLECRDLTSTQCKPI KGFKLQDYKYCRLFGDICLEVLPTNQKDCLLYSAGSKFFHDKYCVSCKRNDECKIQQIYS LQVPTNENFQSKLSIIMIIFYILIIQ >CAK86652 pep:novel supercontig:GCA_000165425.1:CT868585:196511:198604:-1 gene:GSPATT00020324001 transcript:CAK86652 MFIIIFSLLHISIQQIQQCQYILNQESCINSCEWKNESCQIKQSNNYCNLNKLTYEQCRQ HPTCAFFDDKCEPFFGCQAYQNYGANCPRISINCISISDQPVCVQKPINCLQITEQKYCG YIDHQMGSKDSCEWKEDKCQFAGCEKVNQQKEISSLICQKFHSVCKYDGIECKTQLLECS SYISNCNSIIPLTGRCQYNSIQQRCMNASCYNYMEDSYEKCQEHFGQNGYQCVYNDDYFE GLCLEVDYKMQVGKCLIEMQDKSSNSYLSISKCFGINIFKYDQKITFFVKNNNNALQRSE CNTSLTQYECNSMETIELKKCQWNNKNCVPINSCDDYVLSYNSEEDACAKLNLGCKYIPC SKNINGCCVQIFGCYGYQQKEQCTNNSKNVTDLQHICIWESDSCRSGKCEDFNNDSYQCN NLTECRYIGTSCQNRKYISCEGLDSYDCAQQNCFYINKKCYEASEITKDITELIKNLNED GDTFCRHFSDKLMYCSDTKNCRLKSLCKNYSYYCQSMKQFDNVQCVNVNSECQPMTFCSQ IQFQTKEKCYQASPVCNTNKKTCDYAQETCSDYAQEESCNFQLDSSLCKWNLNQCQLLKC EDLTSDKCDSKYLCMNPQACRKNMCISYQNNCITIKSRCSLYKKEEDCYKSISTFCFWDG NLCLNSSNCQGTSCQQINNYCALEEDRDQKVSSFKNS >CAK86653 pep:novel supercontig:GCA_000165425.1:CT868585:199021:200054:1 gene:GSPATT00020325001 transcript:CAK86653 MIKPQDVKEEEKRMITPNELPGIIKVEKQGNSTIPDSQYQRTLEKFYFALLRLQEAQYLD QNEMTPKYPFVFQYQFNQIMVHLGGHQVQQKLIEDLKKYDYQQQFYQKTKSVPQLKLSVQ KRKGFSTLEQKDSKNIPKNYCKAIISYAQKNQTLCQEILREELKVAKFIEYLTSQKKKPL NIRVFKALLQQCDDPLQDEFNRTFRIISQIFIKKYAINYIYNSKIVQHNWHIRYRQQIFK GIRNPKRFSHIKKL >CAK86654 pep:novel supercontig:GCA_000165425.1:CT868585:200374:202398:-1 gene:GSPATT00020326001 transcript:CAK86654 MKLIIVLFVLALIQDTKQTSQFTRFKNQCNFIPSNNNQIVQIWGYWNQQQCIQCRPWCTC SDYMGCNQISCPVGHVAYQKTKICIPCPKGCKECRLGYEMNIRSCSECDDGYQLIGKMCV QLTVFQIRTSQLKEVYMVYNNPYSQPLNNKFAICQELDSTTSLCSKCPNNYFLNEFNQCE QCPTGCICGQRGTCQYCMDGYYYSPVANEKTATVTAGQRLQSGFYQYGRIINIINYIGSG SCVKCNDPNAIQCTSSFSSSCAQGYYMNTAQTECVACNPECSACKTSAGNCTDCQNGYYL QNSSCLLCNGNTIQDIICLDCIQSGYCLQCAANLYLSKGQCYTCSEGCVQCTNSSCIMCN NGYYLKSGSCQSCDQNVQYCDDDTGIPTQCIQGYLLVADINNSNNLVCSQNTNNCMMMVN SGQSCSKCSSNYVLYKGTCVECQGNIPGCTACTDNNTNLVCSSCSSNNQNGNNYYLDVNN NGCTLCNKGCLTCSSTACNSCLIGYYLSNGQCVQCQQQACQTCNSQSCQTCQPGYYLKQV DGQNTCMLCPYGCSNCNPNGQQCSECLPSFVLRNNGCTIGTIFCAEYNEFGVCKTCMYGF ALRNNICVSCIDFTSGVSILIQHQYVCGENAEPCNSFILVAILLILFLN >CAK86655 pep:novel supercontig:GCA_000165425.1:CT868585:202410:203050:1 gene:GSPATT00020327001 transcript:CAK86655 MSSEINIRQLLYYKHLNPSPTQQSLRTVKTMQHRLNQLRSSAQSDFRLTTLQTQTPVHNF RYGFNADEHQNQNSQIHFLEAFDYPFRYINSYITESKRNIAQLQDQSNRIRLEMSNIISK SESELNQIQVNFRESLNKKYKQLREDNVQFIEAQYKLSKEYQRLAKARQMMEEKHLLLLQ KVVQLENTLQGVTLELQQ >CAK86656 pep:novel supercontig:GCA_000165425.1:CT868585:203281:204458:-1 gene:GSPATT00020328001 transcript:CAK86656 MGNKCLKPHHNEMECRNTFVDEFYSFKQLNDQNTHSILNQASIKDYQLLKVLGRGGFGKV MLVQHKQNNQLYAMKIINKRNLTSEWMRRSAKIERQLLEILDSPFIVKLKEAFQTQQKLY LVVEYMSGGELFQYLKHYGKFSEDIAKFYAAQILLSLEYLHSNCIVYRDLKPQNILLEKG YIKLTDFGLSTRNDGLQFSQCGTIDYLAPEVLGHQGYTNKCDVWSFGVVLYQMLIGCDTE QIEFQNALISNQAKDLLSHLLDANVNTRYTLQQAKQHAFFDDIDFDALEKKKIEPPSMFL EHSRFKFFSKSCLEQSAVDTEESECASQVSQFTYNQYKSKISRADTLCSSYGVPKSF >CAK86657 pep:novel supercontig:GCA_000165425.1:CT868585:204495:204792:1 gene:GSPATT00020329001 transcript:CAK86657 MNDHADIKRINVYIPFIEIYLQLEIKITSKLHQLAEIIHQVTSNDNELNIFRGELLGMDQ VIAQIQGITSGDPKCYLTAYAEMTGA >CAK86658 pep:novel supercontig:GCA_000165425.1:CT868585:204870:206324:-1 gene:GSPATT00020330001 transcript:CAK86658 MSNPNKIDSIAANGFQCNFFNNLTYEEMKSDLQKFVCFIKYLLQMLLIHEQYENGEDFYK AYEIYVIGREKLVCIQGFNEEQLTDLINYLETNEKMIKFCDFYRDCKYFEYFPPHPQQVK NFQTQKEREIRNKQELLTLQILNSQTNIVSSAQETNIQQFENQQILSDIQFVPSFQELYN IVLNYDNDSKLDKAYQTIQLIDRTQLSQDQIIKLSVIENSYEFMMKNLAELDSNGWTLDK KSHGISISYKFPPKSSSVSLLMEAEIEADCAKLMSLITEVELFSQYVPFCNHAATLKTLS KTQKVCLSQLYFPVISNRETIFLGQGIDRLEENGTIVFLCKSIDQDQQFLDYYNLQLNKS KNVRLQLNYYIFQITPINKTRCKIKAVNNSNPQLSFVPTWLVALIARKFAFQLVEKIVKY TKNFEKYPWYKKTQENPEFYQWLQNKIDNYFA >CAK86659 pep:novel supercontig:GCA_000165425.1:CT868585:207421:208064:-1 gene:GSPATT00020331001 transcript:CAK86659 MSYYRNRQDLFREDSFCIDEDFEFNPDNSPLLFVGKENIFDQYEDDGLDTEKITNTPDCD KKQLMTTSKKIGKVHQIKKTKEQPSRSQSERKKVVYMLPGESKNIPKNFTRALKHFILTH FDSSVQQNPEIKKFLNTKPEKACKQTLENSLKNCQQLKQISKMFFGNIQWGNIFLDENKV ELEPYFRFNQIWFGTRN >CAK86660 pep:novel supercontig:GCA_000165425.1:CT868585:208369:208911:1 gene:GSPATT00020332001 transcript:CAK86660 MGGSIQKIYSCLFPYKQIRVIMIGLDAVGKTSILYRLALYEEIKSTIPTIGFNSETIYYK NIQFTCIEIGGGDKIRLLWNQYMDVKDTGIIFIIDLSDPERLPLAKQELKRFLNEKETKG SPLLVLANKQDIAKFSIEELSKFLELPHHQRKCYIQPCSAKTGDGLYEGLSWLATIYSSK >CAK86661 pep:novel supercontig:GCA_000165425.1:CT868585:209190:209417:1 gene:GSPATT00020333001 transcript:CAK86661 MSDRKQQLEKKEKDYHQSLLMEKQVLDEFHKPYDIMRKPQLDTMNEGSLSEKVKQYFQNL NVIKQTNMVLIQIRL >CAK86662 pep:novel supercontig:GCA_000165425.1:CT868585:209632:210586:1 gene:GSPATT00020334001 transcript:CAK86662 MTSEKYANKTTGLGSSRTDQQRLHTAKLKSLESLISDKSNKQVLQPLGRKDQIKMLQDYL QEKINEYSDVEKKIDLYKKEGDQMNDYVEDLIKEYCLQLVRQGKKENITEDQIKELQDKK QQLKQETIQLHNLNKVQYFELEVQQGLSLKLNAQKQQYKKKIQLFDEYYKKTEQKIRQKQ PNLDFNEMPKAQRNKQKPNAVAIIQKTPDEILQEKTLLIDEYTKKIEQLTFQIQEITRTC ILEKQELIKKIQDTKEEQLKLSDKILNLRLRLNKFDKLEQSLSSDKCISDIKSNDTEHSQ QQQQELII >CAK86663 pep:novel supercontig:GCA_000165425.1:CT868585:210608:211538:1 gene:GSPATT00020335001 transcript:CAK86663 MDQQIALYLKMISYYEQKLQTIKQTLYSNNSDNIDRSFELLSNNEDTITKNTLLNFFVQN LHPINYKDVIEFIWFIGDKDRFTISKQQFQNYLTKLLLHKSNTIIDHTNNDLQVQLLKLL TQQIRVIKQIKDSKLQIINNDEFNIIKIFQNLSDHNDIINTNSLLNFMKSNKVQFNNFDF DLLTFATFGRKCNITFDQFKDCSLFSNNISNKQYIKSREIPEEKQMTHSYIVNLSKSIIQ QQQLMPISEASSIVEFKTSELKPKTKRYQEQTNVPRQY >CAK86664 pep:novel supercontig:GCA_000165425.1:CT868585:212329:213197:1 gene:GSPATT00020336001 transcript:CAK86664 MNKRNKKPSLIAQLYFDLIQEMDNSIIDNDIDQSQILNQDDASLSYNIIQLFKSIDQMRQ QLQQQLNEHIKNDYETVIQNLEASVRKHIRTQYQLNLQIELLNGKIEELLKEKEVSVKQF EEKIHKLQFQLQEKHTESAGNLQTNFLNQFSQLDLNTQKKTPSAYEKINKLMSNKSQQNI LSTNGFLNQDERSLSQRKTSYPLRKSIDTKLLQQDSKKRQICFQKSRLQQSTIKQDYSCS QTQRDEQVSVDQRKTKKIILQLKGSIPQYSNIHLTRSGLQF >CAK86665 pep:novel supercontig:GCA_000165425.1:CT868585:213217:214300:1 gene:GSPATT00020337001 transcript:CAK86665 MQGNTIKQLLLDIVDLIDKDIIQTYQQIIQVSIKQISEQSEKQQYEYILQIIAYLNRVKT VFEEQLEEHMNNDYEAVIQKLEASIRIHIRVEQQQKLQIDALTQKIDEITSEKDIIIKQQ QEKIKSLEQIIKDQQKKLLDISPKEVLHKKTPSAFERLSKLVSNKSQKSLQITNNEANFK ALLKICNTEKDRSLSKGRKQSSVKREIGYQRSNEETQNLEPKLMATERLRDSKGEKRHRV QKSEKLNEQSQQSLSTEKLNKCNKSKKKESPLIRQKPEQTLSSQSFDQVGKSLQALQRQT SNTRLQNNHSSQLLKFLQKK >CAK86666 pep:novel supercontig:GCA_000165425.1:CT868585:214313:216711:-1 gene:GSPATT00020338001 transcript:CAK86666 MNQLNLDKLLYPIMMTIRSLRTYFKQDEDNNRIILDCQNKVEGFALSIMNFLLDLIVNGS DHTSISFNKSAPSLQQELRNKLDCIQDFVQSKDAANLKKNILKCYEMKEGFSESESEDDG VSLQIKLTGKMKEFNPEIQQLRLELDQKEETIQALKQKLKYRQNSMEMMAHNHHKEVSVL KAQFVLNPQPEDPSSIFDIKYFDQTQMLDPEIVVLMNEKINDIKNQYERYVKQFMDKFQK QRVQHNNEENTKKPSFDGFTPKELLKIALDKESDPYIFFKHIQDIKSINYFLNVLVNQQK HYGIDYDQINNAFKTKNEDLKKLVDTRIIMQDCQAQISAMYLVDLEKKKEEILDLNQLIT QQDIEYKQQLKLFEDLIQNNNENSKQMILNQQQKIENMRSENHNLSTMLLNQKQHCQQLK QQNQFLMNNLKHVLNVLLKKQNQSIHGLDKQDLLKDKSTPIKDILQKLNNLEGYDLITLI QEVTLLLYEIESVKVVNQILGRGRVNKQTQTIQAKDEFPEQKEEKKSREKKEQKVIMKHL EEIKQETIKKNKQKIASTQTDGFNEFNNTQYNENNQEQKLTIVSQSEKCGTVSLNMLLKQ FQSSIEQKPNSIRDLTPEPSIEKQSSIFNKLYTQQKYENPRWHQLRPLIEKLTDEEFLEV VNTLGIYSFRQQQQTQNNTMDLPLYDISRVQQSMSYNSTNKRRRDSSVDQTRNVFNELDE QREYALKLFEQKRIDNYRRRIKRLVPVQPSQCLQVEEKLRPKSQIRSSQQKHGY >CAK86667 pep:novel supercontig:GCA_000165425.1:CT868585:216722:217420:1 gene:GSPATT00020339001 transcript:CAK86667 MGFVFSKIFNSLLGQKEMRILILGLDNSGKTTILYKLHLNEVIQTAPTMGFNVETLTYKN LKFQVWDLGGQNAIRLYWRSYYPNTNGIIYVIDSFDEGRLKTSKEELMTLLQDEELKNVP LLILANKQDMQGALSETEICEYLKLEEEKTRNWTIVKCSALTGFGLSEGMEWMANAMKK >CAK86668 pep:novel supercontig:GCA_000165425.1:CT868585:217832:219285:1 gene:GSPATT00020340001 transcript:CAK86668 MESRKKSMLKNDFLQCQDQMDDDIVELCNQVEADQDFNILKIPLLKTILEGEITNSKILY LQMIVKKCRDTKQIDDLETLFEDYNLGQRIMAKCEKESNFVFLDEQLYLLGQLSQSSLLC QATLIQIQIVQCLNKIVDLEIESDDCLMSTFMYLITTFVEPNSRIPFSDIKQFMSIVDKL CKKLRGINYLDIELDLDNNEKYSESKHSLLSRILLFVRYYIDKSQFTANLTLYQNFWIIL STLAFVVQEQYSLRQTALQVISESMKMNDQQLKFDIIEKHPDIFFQLLIIIDHHQQNNRT TDKCIRTQASIIMKQLFCDQSQYIIQNIHLNQISLQFLQLVEREKDRQVLYHQMEALFLL CSNSTFEQCIDLYNNGLLTLLISTYQEMKEWQDFILSKQLIKLTFAVLQHNSSDNRILNF LKLHKITSYLNDIIIHCKHDITCQRANQLLKYIQ >CAK86669 pep:novel supercontig:GCA_000165425.1:CT868585:219786:220584:1 gene:GSPATT00020341001 transcript:CAK86669 MLFRRVIFRFSKEKIDKILEHDDYLTSQIDKMAAAFSSQNNKIFNNYIVFQNKINVDGFS TKLNRSAAIYITLWGMVGGLSYFYINPWVTLIPAFFSIQTISSYVTGRKYLSKFVQAITL GKDQKTCLIELANKNVLEVNVIDNELLNISDVIQASNNKIEEGVNEKYRQSNYVIQFETK YDSKLYEDLRVLVTKDNAEIPNIKLLQDIITGEDVSGYIYEEIVQNQEEVVRKETDGELE KRLKDELKI >CAK86670 pep:novel supercontig:GCA_000165425.1:CT868585:220618:221355:1 gene:GSPATT00020342001 transcript:CAK86670 MSEDPNEKWKKILLNPYGKSKDDVQNEIRAMHKEQQMIDEAITREALLQTIKAKKTYRNL TNPNTNADKSKQVPVDGDLKKGAQVFMRACASCHSLEIFTGKPYAADFSFQESSGPSLAQ IYNKPAASQRVYEEYTMALLDSKIFWNSYNLFMWAKDPQAMCKGTKCLQRGELLESAEER ADLVKFLKGFAKATSDLYRRSFTKYHGYEWQNYREQGLAKAREVAHQRQGYEPEKK >CAK86671 pep:novel supercontig:GCA_000165425.1:CT868585:221374:221726:1 gene:GSPATT00020343001 transcript:CAK86671 MKYLLVIVLVFVITAKEFSYMETDCLPATDLNGQKHDPTYAEQARKHLTKYAEQSTEDMR KYSDRQPYPNLNTYSEQNCAGSGADLPKRDRRFRRAG >CAK86672 pep:novel supercontig:GCA_000165425.1:CT868585:221842:223254:-1 gene:GSPATT00020344001 transcript:CAK86672 MNQEVESKETKEQKEQIEQESDENEKNDKKEQTQQQEQKKKKKKNKKKKKWGEEEEIMTD FDDDWQNKVKTSKILQDPDLPQHIKEKYAIYENNPYMMIVSNVPLNVQLKELEEYFNTLI TSLDPKITERPIKAIEYGATKSWVVLECSSKEAKRALVTQDQVQFVNNCKIKVEKPRKFL ERILNPQAKEAELNADQKQEDNTRLYLGGLPTYLRDEDVMKLIQSFGTTKYFNLVKDTTS NTEISKGYCFFEYEKTASTAKALKALNNLQIGDKKLKICKKINGRDQPSNYAGSFLASCD LLRIPQVQQMLTIPQSALIPSKVVQFLNMCSIEDLYEDDIYEELMEDIRSECIRFGQIEK IEIPRPDKDSGFCNPAVGKIFVKFYYQIPAKKAKFHLAGRTYNKRTIITSFYPEEQFDYK DYLING >CAK86673 pep:novel supercontig:GCA_000165425.1:CT868585:223392:223845:-1 gene:GSPATT00020345001 transcript:CAK86673 MLIIVFISLILVNSSVIRRSETKVDEQGRKYHYSEKYEEEFSYEIQKRCSDDDSEGQLEF ENDFKYDEDVEGQGDEKNADGNEELQQQRDEKQPDNEDEYYKEDEKEQLKKEKLKHKRQD KEVDEYVLLLQNESLKLHLTAN >CAK86674 pep:novel supercontig:GCA_000165425.1:CT868585:223880:225423:-1 gene:GSPATT00020346001 transcript:CAK86674 MQKLTPSAHSQISTPNSIRRLHSFGNSNGVVLMNQYFNLPLISTKSTKEYQGIQVIKPNT ISMIGEFDDYNQYHPQENNKIQLQTLVSKGEKSPNCPNYTILEMYYQNKSISNKKPIKKR KLVRPSQEAIEEQKPSRLQNKIVQNFDKPNDSSKYTLERNYYSRQGELNEDAQQFIFPDL NQVSHSQKNSEFEILTQRIKLRRRKLKVIFLFVFSTMVISKQFRFFKQEERQLISKLNKK LQSCQKIILQNGVKFIEEQQQQFVQIISNKVIHYLNSRTYINECNLIDELSNPIQSLDLK KIRAQSFSKLIYQNLELLTRSSNFPKLLKCQLITSLYKTSKQQSSFFVGERCHFYSADRI HISREEKLVISMEYLLFQIVVPNLVQLVNKIPQSNKKCKIQTQKIIIIIASLLHQQFIDR FQNMRKVKNPNGYMVNKQLIIQYMQNDLFLNEIKVAHSTGDNNKVLEGLIDQEQLQYLEI SKPLWKSQIDILFEKVVQNVESLINF >CAK86675 pep:novel supercontig:GCA_000165425.1:CT868585:226016:226363:1 gene:GSPATT00020347001 transcript:CAK86675 MQIIKDEMIMNNQILDFGMTENQKLESICQQLKHICTVSLKLLPPKSRQKRIGKKRVLKK WFKNHTYKKESKRVQSKKTIPNYFEVSRNEMEEKIALISGLQQQIQQIKLMMPQI >CAK86676 pep:novel supercontig:GCA_000165425.1:CT868585:226823:227225:-1 gene:GSPATT00020348001 transcript:CAK86676 MKKEQHKIIECTQPKSALKKEINKGNQIQRFDRKGQEIKQGLKYEITINDKAELFTLNSE QTQIVNLDLSNSSKQENTECYIRPSLRTLKSLELNSKEEAFLKMLSYNFEKNKRKGKRKE CCVIQ >CAK86677 pep:novel supercontig:GCA_000165425.1:CT868585:227853:228035:-1 gene:GSPATT00020349001 transcript:CAK86677 MNSEIIEVCQHQKDNILVKLKNGEKKWFKFDEVLKQQPKMLAQWLEKQVFFREVEEDKLY >CAK86678 pep:novel supercontig:GCA_000165425.1:CT868585:228331:229653:1 gene:GSPATT00020350001 transcript:CAK86678 MKKALLLVLVIVGSLATEFDDQIAELEETQFGQTILQTIQMEMQTDDPVVSNLVDIMQHL EQTLEGEQKRDDERIVRFKQNCDIALSQLTEIINTSTVTSLTLKSDLDSLNPQKVQAVAS LERKNLEIADLKAEIDYQTLKRQKESATYQTILDNLEQALFGVNQVKGYFNKYLDVLVKN RNRFQQPQPSFLQEDFSFQYNDEDVEEDIDSKGISSFAQVAQKVNKLKHHVHLEGYKSMI EILSQLASKAQTSADEPSQCEVLTRKVLSILKQIENYIQSERIREDQAENLRQSSYEDLR TLLSDQLVKANQDKTYMEGLIDSLSNRIQQAKNEKFEVDQKITTKSKEKENRENDCRLKR QEYETDTASRIKQKRSVAVAVDLISSKLGQLKRKLISN >CAK86679 pep:novel supercontig:GCA_000165425.1:CT868585:229828:230002:-1 gene:GSPATT00020351001 transcript:CAK86679 MDQHKHQTEILENRGTIFEQYYNYSSMPYNKEKLFKKAEKRLIGLNTKM >CAK86680 pep:novel supercontig:GCA_000165425.1:CT868585:230175:230774:1 gene:GSPATT00020352001 transcript:CAK86680 MLSDKEQVEFLGKKPPACTKSTIEKLMMNFQQISRNIDDLDQDLNQLAKRLDYLEESITE HYTLVQPQKQETKKKRRTANLIKRDFQCPYEKCDKIYGTDISLNLHIRLKHNGGSKIERE ELAKKILEAKFQDEVEPEHSFNLPPNFIEDFYIHHQEYINNLEKAYNKKILL >CAK86681 pep:novel supercontig:GCA_000165425.1:CT868585:231935:233876:1 gene:GSPATT00020353001 transcript:CAK86681 MEDFQTVSKSVIHQNVDFNLILEALQEGVILVHFEKSDDPAYPNLKVEYQNQMSKKMFQK TNRELLLLFEKMPSEVLQDESPMLARSSLVLLQSFASQQYKNINKKTSVLNAVYSLSSES KKDCKVNGMSTQSPGYGSITSQLNTVLKCLLYVFTTKKLRFEINGKVEAMIVETNFETDN NKKQIELIITFGGENILLIIARDVVHRKNIKELLDINQSKSKTLSFVSHEFRSPLNVMLN ILSELKEASFQNKHLFKSVQIVKENAAYMLNLANDLLDLAQIKAETFNLNLKTFNLILLG EECLEMFKLQAEQKKIDLKIQTNTRPLFLFTDRNRLKQIFINLIANAMKFTQFGSIIIQF EQKGLLVDVGVKDSGIGITEDQQKKLFKAFGKIKDAQNQKLNEQGVGLGLLISNKIAQQL SYNNQGLQVISSGGAEKNHGSLFYLTLNISDFHLKAASTKIEQLSNSIDFPITYSGDEDL LNQKLESFDHIFQQKVLDRMPKPSCQHILIVDDNVFNQNILEMQIQQFTKSTIDKSYNGL EAIKSVNTKKCNEQCTGYEAIFMDLEMPIMNGMTASEQILKIKPDIKIFIVSGYDDNKLK QEGEKLGIKSFIIKPILKDKVQKLIQEYQL >CAK86682 pep:novel supercontig:GCA_000165425.1:CT868585:233962:235541:-1 gene:GSPATT00020354001 transcript:CAK86682 MFPKSYDELYQCRLFKKKFLGTSARYFYIFVDKVVVNKESNRKRTDRVFNIENTKRIVWK YTAEQPIKLKGITIDNENSQQEYFGEDDVLRELKKYISKLFFQAKIQEEYVAIQVIGQGN YALVLELQHLHSNQKFASKCIDKKKLQAIEQGMESVMNEIQIMRILSPHEQLINLIEVYE GDNNIYLIMDLAQGGSLYKEMKNKLTLYSRQEVQKIMYQVLSGLQYIHSKGIMHRDLKPE NILFKEKGNINALTIADFGLSVKVDSYPYLYPKCGTPGFVAPEVVNLMDKAQSYTTACDI FSAGVIFHILLLGEGLFIGNGHQEILRMNKQFQVDFRRQKYQQLDSDARDLLFKMIAQDA DQRYTATQCLNHIFFQNEMAPQLLLKMQGSPTKESFDHYLDTYNSPDQKLMNKDSKNLSI VTRTPIYAPKASTPELPKQRSILEELSPLSSFSLDQQRNETQREDQFQFAFTK >CAK86683 pep:novel supercontig:GCA_000165425.1:CT868585:236327:237694:1 gene:GSPATT00020355001 transcript:CAK86683 MSNFYEQAEFLNGGKLAHQQETRFQDQDQLPHETEKTLKQLDLELAVDEEYKEAFEIARR LLKFYKSENSIKNGQYFAGAALYFGFRCKNAPYLLIEISELIKKESATKVAKCYLKLLKF VKLDAKVPQIVQLAKSLQYIDPSIYIPKFVRLLEISRDKHKQIVETAMKLIKRMMLDWMA YGRRPSSLCGAALLISARFHGENVSTSQVCKTVQVCDETIRKRLAEFNQTGLSQLTREQF EQIENIETGIPGPVNDPPSYRRIKQQEEEMRKGLTEDEIKSLEESTLKKALEMIELLKVQ PEVFKQETNLKQEDPASFSEVVKEQKDCEVLSSLSESDEQEYILSEQEKACKQLVWQTMY KEYIYDKMNRNQKQDKQQKNLQSGNKQQNQKPKKQVNVKQSFATPQESVTNNYQNDGINP QAVQNLFSKEHDFFSQFS >CAK86684 pep:novel supercontig:GCA_000165425.1:CT868585:238440:239016:-1 gene:GSPATT00020356001 transcript:CAK86684 MRDLQSELRTLYIKLGIFYVFLIFDLIWSSFIEPTTMNQISQDSKEGSTQILWMSSVHII ITGIIFVLFCTLMWQTQPLKLGMIKLLIRYSCLSIFRDFIYVFAISGLMLIIVVIERVAI FITNTKSNATVVEVIQSNWSSFFYQLFYFIRYLLRPLYIFVMLHGSMKITKPYYHMRNPE LFIN >CAK86685 pep:novel supercontig:GCA_000165425.1:CT868585:239705:240907:-1 gene:GSPATT00020357001 transcript:CAK86685 MRQNDKHPVKSKIPVAKGKHAFECGGQTFVVDEKYEFIKQIGQGAYGVVCSAKNKKNGQM VAVKKVSKLFNLVDAKRIVREIKLLKFFDHENIISLVDLPRPESKTGFNDIYIITDLMGT DLHKVIYSSQALTDEHIQYFAYQMLRGLLYIHTANVIHRDLKPSNILLNKDCDLKICDLG LARGYESEEEFKTEYVITRWYRAPEVILNASEYTKAVDIYAAGCIIAELLGRTPLFPGED YLDQVQRIISVLGTPTPDDMKYIGNPNAINYIKSLPKRTKQSFAQLYPKSNPKVCELLTK MITFNPDKRYTVEQCLEHDYFDGLHNPEAEPRCDKVFDWGWDNFELKRETLQKMVYEESL QFNPVKL >CAK86686 pep:novel supercontig:GCA_000165425.1:CT868585:241846:242481:1 gene:GSPATT00020358001 transcript:CAK86686 MEYIKFLQDSFSPQNSQEYESRRVISVPYSSEEDISISSPLYTQDQIGKRVNLEYEEGEL KIIVIEDENVGSKSKTRNAFISKKIYKTQYLNKTNQKLRKYQSRERKVVQTYPGESKNIP KNFTRALKDFILNHFDSSVQQNPQIKKFLDTKPEKACKQTLQNSLQSCQQLKKIAQMFFG NLKWGTIFLKGNKVDLETYFKFNQIWFESIN >CAK86687 pep:novel supercontig:GCA_000165425.1:CT868585:243298:243804:1 gene:GSPATT00020359001 transcript:CAK86687 MNYRRIDNIREIKKVGRYSLYILNLNNIKQRFPPKQISVTNPTNQINYGKILVKRSPSIL YYQNFPFRSIYFSFLVIKFKQSSIQKYCRQDDKDSNSYAQKYILRFQGSQHQYILKRLIL HNKRAFARNQRNYTNRYCSNHKCFIGLLSITNYFWLLQSN >CAK86688 pep:novel supercontig:GCA_000165425.1:CT868585:244330:245013:-1 gene:GSPATT00020360001 transcript:CAK86688 METIVCLNVEMVQQFNRRNVMMDVNTNQIDVQIVHTNVLNIVTHVLMAFVLIVIQGSIWI LSVIHANLIVVIKYWQVMNFVMMAMNLNTMVVLVYILMSNWMFRLLIGKCLLCDAPLILV ESTGFCQYLKSCEDLIGLYYDKQSNDCLPQCGDGIVAGNEYCDDENNIPNDGCYECKFQC TKNCLICKDGICFECDKGYTLDNNQCDLNKESGQINSSINDEAKKKQ >CAK86689 pep:novel supercontig:GCA_000165425.1:CT868585:245080:246033:-1 gene:GSPATT00020361001 transcript:CAK86689 MSNIYIEKCEDGNTIQYDGCYNCKYQCQPQCTKCIKGQCFECTTAGFYIDPTVSPWQCKE KCGDFLIVGNEQCDDGNTSDTDGCKDCKYFCRSDCASCDYTTKNAQVVNCLGLFLTLTIV KIYMEMVQSQQILMDSIQSNVMMVIQLIMMAAAALVPFQCQPTSICTSCINNRCEICATG YYLSNEKVCIPICGDSLIVIGEQCENSYILPYKGCQNGQAKCQILVSYVILLGLVVQNVN QVIIELIIYVIQIVVIKQQLKMNNAMMATQFMEMDVISVNLVARIHVLLVQKESVMIVKK VINQYLLMKFAVQLIIR >CAK86690 pep:novel supercontig:GCA_000165425.1:CT868585:248240:250305:1 gene:GSPATT00020362001 transcript:CAK86690 MSQQQYEGLIEENTQLKKAIISSKAVIGRKINEYETLQAINEELKQNLDKMRMENQDLLQ KYRIALHDRKQTEQQFDNATKNWKLLIEQKQREIEEIQSRLTPAFDQDMMRIKLLNELDL PHRQQLEQKQLEIEKLNETIYQLKRKIDLEISRVETIQIDKDKEMKLLQEKHKLDLADLQ HQISDLTKQVEDNKDRDTIRKLRKDLEEYKLKFCATDTENEELRNERDKIREEKNDIMIK FARQLDSERNDKRQFKSDFDKLQVRTRFLEDEFRKEKQRREQVATDFEILKTEKDQLLTD LRKKDDTIHYLQRKITDMEEEQLEQEQKVQDKLTRLYQDEHDKYLQERNKAVTLQKDVDN LKKRFSDLQDDYKVLRDRYQKENTENKDSIRVQNEEIEKLKKTLSQQSKEIGDLERSNKN KEEQLHDLENENETIKRRNRELQHRIQLIEVNPLPTPVQTQPIMSQSQVPTLSQVPQFAQ QIQEQYDTTKPNKQQITVQSENDPQNQQQMLAQKQSLQQITEENRSLISKNKKLNKKLKL ANEKILELSMKNTILEKQVSRQHSQTPIQDFDRSSLGAHAHSQSPFRQEVYQQLGNETIE QRPSQQAVHTDTRLDRYDKQECQTRQDRFTQQSQDKHRPRGQSGIDARGVQGHPIEIRDD ELLNKVMLLTDASGQNKHW >CAK86691 pep:novel supercontig:GCA_000165425.1:CT868585:250335:252513:-1 gene:GSPATT00020363001 transcript:CAK86691 MPPKIIKRSPQETITANQNLNQQEAEPDGDESEYQHERERERPQLTEKELNEDMPSKMLI PTNPQAPKNITQYDYLQRKYKTDELVEQLIIHFRMDGEIIHKDSNESRIQEELWETKQAL IKEAERNLEMEDPGAAKDKEAIKQTMRNKFNYNAREAQTDGRVIRERGVSTEPPPSDTLK GQITQWEIFDAYIQNKAKEESQKKKDHTSDNTVYKPSFKRCLKIMERTVVHNDQKEKYND YKYYWSQGEVVETSKNEGHLLPIWKFSNEKQKKKHVTSLCWNPRYMDLFAVSFGSYEFSK QRMGLICLYSLKNTTHPEYAFTCEAGVMCLDFHPQSPALLAVGLYDGTVLVYDIRNKHKK PIYQSTVRTQKHTDPVWQVRWNPDISKNYNFYSISSDGRVMNWVLMKNKLEPEEVIRLRL LGGLCFDFNKFEPHIFLVGTEEGKIHKCSRAYSGQYQETYIGHNLAVYKVKWNNFHPRTF ISASADWTVKIWDSKISTQIMSFEQGMQVVDAMWAPYSSTVFACATQDKIFVYDLNVDKI GKLAEQKPSKQPRLTNIAFNQRDPIILVGDTHGGITLLKLSPNLTKSGVKASNFPDGKVP KEFENMPLEEYEKQKMENLLQVVSKWEREDS >CAK86692 pep:novel supercontig:GCA_000165425.1:CT868585:252916:253843:1 gene:GSPATT00020364001 transcript:CAK86692 MARNAEKAKAMLSRWYRLKRDIRNTTDGKYKSIPKVQECVNLQECELERQEVLKVVSKLV SDIQNAGLGEHRIRELNDEINKVIQELRQWEDRIKELGGPDYRRLSAKIYDTQGIELTGK EGYRYFGAAKDLPGVRELFFSEPPSEPKKSRTELYKNISYNYYGSQNQELQEILEEEREL ERKAQEQELQRFIEDNQELVKDFNTREEILQYIKYEVDKRDDVLKRMEEEKQQHSDSEEY EQDQLEQRKQELIRQYYAPEKIHSQYVTTVEKDADLESFIRGNMAK >CAK86693 pep:novel supercontig:GCA_000165425.1:CT868585:254295:254717:-1 gene:GSPATT00020365001 transcript:CAK86693 MEENQNLEENTYSQEEVDRITQESIESVLKEVQYDESKVQGWINAICEKVTQQLIELGKP YKYIVHCMIMQRNGAGAFVTTSQWWDTVADGQIIISWPKDKQAKQEQQKNTLHCICSVFA VSMI >CAK86694 pep:novel supercontig:GCA_000165425.1:CT868585:254729:255577:1 gene:GSPATT00020366001 transcript:CAK86694 MKQQLNYTLWITFLVSTVLIYLFFSSGEFSFILTLACGIQCFGFGLVFVTISITKETSGL SKQTFICCAQALLARLFSILTFEGYLPSDATGDYVYRLFEILSLIFCILTAFSIKNNKQE LFQWYYFTPVMLVTAYYVHPGLNSHSFCDISWVFALYMESFAILPQIHLFTKREGIIEYH TSNFVITQALNKVICVIFWFYSYEELNRSSDESTISVAPQLSGYFVMVAQLISIIITADF VYKYLQSWKQGIPLVMLPS >CAK86695 pep:novel supercontig:GCA_000165425.1:CT868585:255612:257446:-1 gene:GSPATT00020367001 transcript:CAK86695 MKQQLAKEPHFNGEFEDSGSETEDNSKKKTTLIMNVSDTQYDVVKFVGKKLFKWILQYEP DATNWDMFWTDAAVQPETLGKMQPYQKINHFPGMYSLARKNHLGRNLMKMRKQFSEEFKF FPQTWLLPAEYGDFKNQFVKGKARTFIVKPEASCQGRGIFLTRNINDINPNDHYVAQRYM HRPFLIEGLKFDLRVYVLLAGTDPMRIYVYQDGLVRFATEPYVPPNSSNLEDMCMHLTNY AINKENPNFVFNKDASRMDIGHKRSIKAVFSKLEQEGHDIKKLWQEMYELFIKTFCTVQP ILSHHYRSCQPDNYANNMCFEILGFDIFLNHKLQPILLEVNHTPSFTTDTPLDSLIKKNL IRDTLKLMNVSLKAKEQIIASRKESLQQRVLTGKKVKLTMEEKMSQMKQWERQRNDYENA HLGDYVKIYPLEDSQKYDKYIEFASSLQDSWTGTNIKRNQKKDMKESQIPQQQQQVKNNV VRAPFKPNTPANNLPKLQNIPRPESINIHGEDEQVSAKVTKLSNVKMQPRQQSEPPILRV KQTVKKQIQQAIPKPCLQPKLFDMESIGQDKFNNKRQQFQDMMLAK >CAK86696 pep:novel supercontig:GCA_000165425.1:CT868585:257460:258221:1 gene:GSPATT00020368001 transcript:CAK86696 MDLYHYLFKFIIVGDTSVGKSCLLLKYTEGKFKEEHDATIGVEFGSQAFKYKNKQFKIQI WDTAGQESFRSITRSYYKGSIGVLLVFDITNRQSFHNIVRWYNEILDCAHEYVDIVIVGN KIDLENERQVSADEGRLFAEQHRIHYIETSAKTGQYVDSVFQQMAMRIYEKIENKLVDQN NENLGIKLGTFYRNDEDDDKELQTSLGQKKKKKNDDCC >CAK86697 pep:novel supercontig:GCA_000165425.1:CT868585:258248:258947:-1 gene:GSPATT00020369001 transcript:CAK86697 MQFCQSFFSLRLNRLEEILDKHSQVIVCEDAAYHHVWRLLAISYPRCIIHPKLKYKTACV TSAATGLRIGFAIGDEKYIKKKQIPLKSIITFVRILSYKLPQRNAQNKLFDGQYFTKICM KNNQLCQQMVYLRADSNCILGFHKEDTFYIPEKYFKDDQNGHQLTKDFAFAYYIANQGGV VYVFLLGSRFVRWAFCKTTETIQDACNRLK >CAK86698 pep:novel supercontig:GCA_000165425.1:CT868585:259075:259554:-1 gene:GSPATT00020370001 transcript:CAK86698 MQDNTIANPMVPYLQLQMYAKFTQLDTCANMEQGFPNFRPPQFLRQAIKVEALTESLQYT QTAGHLRLLKAASDFYEKHMGIKVDTAKRQLQVLGQNQFQHVFSRLSLIQTMKSYILTVL LVLIVEISKDFYRPLIELQGLSSIKIQSIKQQAQFIEQI >CAK86699 pep:novel supercontig:GCA_000165425.1:CT868585:259729:260356:-1 gene:GSPATT00020371001 transcript:CAK86699 MFTKLFRFSFSATTKNPKVFFDISINNAPSGRIVFELFADATPKTAENFRKLCIGDTVSK ISGKTLHYKGSSFHRIIPSFMVQGGDFTNHNGTGGESIYGRTFPDENFTLKHTTPGLLSM ANAGPNTNGSQFFITTVPCPWLNGKHTVFGKVASGLEVLNEIEFCGTQQGRPSKKVVIYD CGELQ >CAK86700 pep:novel supercontig:GCA_000165425.1:CT868585:260580:261705:1 gene:GSPATT00020372001 transcript:CAK86700 MESCQNGKHLGQLLFGYCSNIQCISNLKTFCDICKYHHDEHYQDLQQLDSLDNIKLKNNE QMNQMGNFIENQQKFYLEFLATFKEMDLRNLKIDYQNNNDLIDQLKQIVKIESVLNTALP ICQNVQQSCTLIMQELNKLKKNKEQEIQEKPKQGKKQKLIQKQGMKQKQLSQKQVISEIK QWQDFKLHFSQKFKYKWIKILNNGQMAKNEDDRGMVICEPMLPKKGQYRFAFGINENSYL IWIGICHKEFLMQNDFKTYFDEKPICNNGVYLVNNYGVAYSHLPTDNTESFIFSPDDLII VYVDMDKGNITWKSKVSNNKYSMKFDATQDVHACAGITSFSPVFLVEKF >CAK86701 pep:novel supercontig:GCA_000165425.1:CT868585:262407:264142:1 gene:GSPATT00020373001 transcript:CAK86701 MKAPTHRCLLSSLIESNEKTTRQAKTHESFERLKNSQPQTATPKTQMTSRKSKQMSLVQV QQLIQQPLLRKNHTALSEVPHTWNTSRSIEKTVDLLAMSQHKRVKWLEKQKSLLAQQQPV TERVQTSRIKQMATAANYNNFLKKFNGEYLMILDKNKTAAPLQKVQNETLENNERQFIFK QPLRIVKGLSEHMLRMKSITRQHESLRSPPRKFSNPRYQLTNANRVRFSKYYQDDSLKVL KSQKRDPNQIFKELHIEDIQYTDLDRAQNHPINIALFNMLKDEEIILKQQQLEEAEKYTA QELIKQRQEIERFDELTRQEYHRKCYVDFKSKVINPKYQPNYLRLDQSLLELFPKNQVVN SELQKKLRRHKILNMIKMFLFRLHELHLNLEDLIKHKIYPLAAYANERSKLFFDLVKSNK IELTRRELQENRYLVYEFDPSKLTPLHHAVIRNHVEMVELLMEYHADVNRRDILGRTPLF FGIRSGYNDCVQQLLYHQAIPWSNKKNQYDLYLDMLSDKVRDQYRKSKNYHLQMQMMPYT KRAAFWVERRYYFTQ >CAK86702 pep:novel supercontig:GCA_000165425.1:CT868585:265003:265311:-1 gene:GSPATT00020374001 transcript:CAK86702 MIKPRMCHLSYKPVESLKFRTLDFVLLELEKINFSVKRNQMAEKFNNEQEIRTPTFKTHL NQNQSAVKEIANDIQAITPKRLIKSKRNYQARRKVSLRNPKI >CAK86703 pep:novel supercontig:GCA_000165425.1:CT868585:265722:266879:-1 gene:GSPATT00020375001 transcript:CAK86703 MQGNEDINKVYTLEKTLGEGAFGVVKRAVKKSTGEHFAVKIINKENLSNEDLLALQTEVE ILTQIDHPNVVKLYEIYEDDTYFYMVLELMTGGELFERIVEKDHFSEKEAAATLRPIIDA LAYCHKMGIVHRDLKPENLLYSTMEPGALLKVSDFGLARFVGSEEVMMTQCGTPGYVAPE IINGKGYTEAIDFWSVGVILYIMLCGFPPFYDEDNDKLFSMIKTGNFAFPSPYWDQISNE AKELIKGLLTIDPAKRLTTDKILKHPWLLNNTHKSIVNLQAKLKDYRASKKIKRIANVLT IARGWGKMAQVKKN >CAK86704 pep:novel supercontig:GCA_000165425.1:CT868585:267811:269026:1 gene:GSPATT00020376001 transcript:CAK86704 MIHKDVVFGNHITANKLERLVKKQRMLLKSSYDTKQETRNRKICKSKSFKFEIHPRFFQD TRNPSMKNYIQLQLPIENSPIRRASSKPVLEVFSRSQKSMTSLLLKNTIIANKLQRRWSN YELMSEKQKRLNTKAPKSVIKYQYLMAVKAKKKKIQRMKMQYKFLGRKNNKVKKTRSKTQ PLDPVIKMRHKRAQTEIKESNEGPIFPLENSLVRKIVKLIMMKKTAVKRQTCFQIPKGSM LLSKEKLIKFQQQINQKLVSQSESESQIDGSPYLDSLGSYQRRVNFEEKKFQIKVMSRKK QNLIKALQNKQAFSIITSYVSNKAKTQNSIQQQNSQNSLYQKSTQQTLCDFMSKQGDRLT TFHTTQEQPQIKQKAKQRPNMLAKLFPYLQPYKNSNK >CAK86705 pep:novel supercontig:GCA_000165425.1:CT868585:270701:270943:1 gene:GSPATT00020377001 transcript:CAK86705 MGKDLTKYIIYQELYKQMESKNTRCDIQSREKIRSLVFLNNSKEEQCDPYYDILNWQFPL IILRLLNLSNQIWDKLISLL >CAK86706 pep:novel supercontig:GCA_000165425.1:CT868585:271428:274451:1 gene:GSPATT00020378001 transcript:CAK86706 MLQFQELAKIQLTDDNRFIQTPKLCNEQGTKWLIGYNHLIESDEDYEKFKDGINKDQAQD LLLEDINTAKIVLENEYNEKYGENSFQQLNIGCQYLLLSILLNTGRVLQYSRLIKECQAT RFYQALKLNERKHISDENQNNLLKVKSIEEQKFFQENFDQNGNPKKEGVWKYEILQTNEE NLIYDTEKLNDRFATLEQYSIFAMNTDLEFCNRKSPEWITFLRDQEGKKYNFASYNEEKK LWFPVNVKDQDYQIIGYSHICKPKEVLAYKDGLTEGQVNYLFLADYDKNFLKLRRFFKSE YPNVDLDSLTSQAQLLLIDLSFRNWPLTSYRKSIELAIQNRIQEAICESHIYYRPDESVN SHYYVRQDEINNFLNQISSTYVLQKNVQKNFLEDPKLNNIVGVKITENNFSFVLNEEAET KVQIQDLFTFLKVYYDPEFANYQIDMSFTLDPEENDQEMNSPFQQKIYFPKYLEGTHVGE VLQEDDFILKQMSLGIEVLSREPLQTRPFDYGNLDLQPIYKLDPEYFDRIFIKIGKLKLH IIEDKNNNVNKLEIENIQMAVDARIVNEELQDEEIQDENNGAYRFANQFGKIYDQIAQKY PILVRLKQVLMANYLAKYMFEKGISLDYKLIEEIFNKNLIQNYQPYKSPTLKLDVEDEQQ IISVRGGIDGNTEEVNTQLEEEHKKLEQNPNQQSVEIQSDKLDAKIERCDSVASFSTQDS ESEIQLEPAISCGKCGQNLESCELNKKNKDKNCKSCQGQKCSKCQSFFDCPLQQIEKENY CKECIKCHECNRQEPRRSVGSFFYHEDCLKISKNSALKKEMIAYIKKYFGTKIKKGDNND KQIYFQSEAYSSLNLKDYIGYIIGYNHPIIKSKLQIDPKTYKITQDQADKFLIQDLDSLE RELYDFYQRQNKKPKDYTENIRMLLLFVLFIFKDFQSVLNLAHQKAYNHLYIDITKYQYP KEGVKLLKTRVELALEFFNDRFHREDGRIRKEGILNFVKKGLPTYKR >CAK86707 pep:novel supercontig:GCA_000165425.1:CT868585:274606:275675:1 gene:GSPATT00020379001 transcript:CAK86707 MQQQQLNQQSQSIKKIEGSSTSPYSKIKFDNKQSQSSPSILKEIGQYVYNLNDVIGTGEF SSVYKGQDQNTNEIVAIKIIDRKSIQNNQIFRSLLVNEISILKSVDNKCLLKLYNYLETV NNIYIVTEFCSDGDLQSIIEKKGYLPEHNAVKILKHLIKALLYLKERNIVHRDIKTQNIL VSNQIPKLADFGFAIDLNQPQTRDILQIGTPLYMAPEIYSHYQYTSKTDLWALGIVFYEM LFGKVPFNAKNPKELEQMFQFQRKSQTIQYDNGPQKVTETAQDFINSILVIDPKQRFDIS QAANHPLIQNPHPHANKQN >CAK86708 pep:novel supercontig:GCA_000165425.1:CT868585:276350:277881:-1 gene:GSPATT00020380001 transcript:CAK86708 MIREKREQFRVQIRKEKNEELFTKKRTNLTSSIPLDFPKVDYDQLISKQNLPQCVVNLDQ YLSSKIEVHPQIINDLLLGAQLTFDLLQYFPQECSKFLTNFTYHMKREQMLQLLNQSQIV YLNNLLNGSSDELKENLTKIVCNFIVELNSDEALRLAQSLQLLTNICYSYTTHGCHRELS MNYLRIIAHLFFNNYKEQNMECVLDIIDKISQCKGDDALQLQSFKTMRAVIGSIHINQLE IPYLNQQVSYLSLQIVKCNNRKEQAIDEKKYHLLTFYSNTLSSNLSHNKAIIKLIYQGLI QIIENDNNFVLNEQNQCFEKIVTQVQNANKEQQEFPSNTCNLIIQLLKSRYKESTIIEFP ILKLISEFYQNENQLINIDDYLYIALTVLQTGEMIYPDRYVGEFLKYQGESIIQDIQRNT SDYTHMQIIDEIIKMTEYDEELDFYD >CAK86709 pep:novel supercontig:GCA_000165425.1:CT868585:278483:278827:1 gene:GSPATT00020381001 transcript:CAK86709 MNNPQMYLNLLISNPIIPKCRPYDPILDVGRKVSWMLDKFEDEYEQIESQINNFIYFLNC THLLILAENTTPQMPCHIAQQIHSSHSLQMFSLIIGKILQLPHSKTCLSFLTRI >CAK86710 pep:novel supercontig:GCA_000165425.1:CT868585:279089:279700:-1 gene:GSPATT00020382001 transcript:CAK86710 MFSCLFQDKQIRVIMIGLDGVGKTSILHRLKLQEELKSTIPTIGFNIETIDYTNIQFTFF DIGGVDKIRLLMHRNHFIIDQSDPNDWQKKKKELMRFLNEMKQKVLPYWFKLINQILLDL LLRNQSSFWSFNIMKENFIYNRARLRLERNSMKVQAGQLQYIYVQNLILISTLHRRQRYS RQFNLRKL >CAK86711 pep:novel supercontig:GCA_000165425.1:CT868585:279992:280522:1 gene:GSPATT00020383001 transcript:CAK86711 MSQFRIQDIFLQESYSQQFFLEESYNYCQYYPVDNQINEDEKKKNEENRWTEKILPPLKK SNPKISNKIAKDKKKETYMLPGESKNIPKNFTRALKNFILNHFDSSVQKNPQIKKFLATK PEKACKQTLQNSLQSCQQLKQIAKIFFGDLKWGTIFLEENKVDLEPYFRFNQIWLG >CAK86712 pep:novel supercontig:GCA_000165425.1:CT868585:281521:289724:-1 gene:GSPATT00020384001 transcript:CAK86712 MSISIIQILFTLFDISSSQYQVYSSLLFYDTQFTERYDSMAYLYSGGFIRSSDSGTPQFI SCAAPFTDYITLTQLNPSAKTQYTFAQTNRDWISIDLYFQGTWSSEMVNVAVGSFSYSYT YTSPTTYTMATGFCDTAPFEVKTLNFTLALSTTRQQIKFTSTNLNNGMVSLKNLHVSQLK CYPSCSSCIGPKYNQCTGCYYGVPADDICPPCPSNQYYQRNIGCRDICDIDSPFYSNGFC QSYKTYLIREAEIKNSDYKTENSNWYLIYDQQYLDLTPTIIENNYKAFGIFKFNSGIYRY ISITPTFQSTYLIGLEISIIVYNHIPVNCGIQFKINNTYYGSIYRNGSGLQTNKLKISST QDLGSYLTYSQSIGYKLILYVDIPNNTFLFSAIGNYTDSTAGWGIMKIQITSGYCPENCK LCEVSFKCKSCSGGYYFYRDGKCIWGCSFSYQKLVGSYCQDYDEETPYSEFLVKEYIDRT GDPCQYAQYSLISQSGSNFLKGSDIYFSYWYGNRVFGGPFVWAQAKFQRIHNIITPHHSV TIAFYIVYGPSFPTDGSFIYQIENNTPVSKSTTSYFKTYPDGSKEDKVYEKITHNTNTLT ISWECFGPNNEPIMAYCGFYNYIIVIHNCKPYCLQCSDQSTCTLWNSTYDSSIIKFSQAE CLNNQYYDKESVKCLDCPQSCLTCTSKLDCQTCQSTYTQSKLGCTCTIHQYEESNQCFDC PVECNQCLTSTQCIECLITNYRQLSNGQCKCIDGYYQIASNPQCKLCHQFCKTCIGPTSD DCVTCNNIANIEKVGSTCRCPAGKSYQDATQACMSCHSSCLTCFRLTIDGCLTCDSTLNR KLKGLKCVCAPGYYELNNSCTNCPITEDSSLSQCYKLCNNNLQLWHTITCSSCDTGFQLV SGECQPICGDGQIKGHEQCEDNNTILNDLCFNCQFQCPAHCLTCVQSTTLPCPDVCGDGI ITGIEQCEDGNTIQYDGCYNCQYQCQPYCTKCIKGQCFECATVGFYIDPTVSPWQCKEKC GDFLIVGNEQCDDGNTSDTDGCKDCKYFCRIDCASCDYTSNKCLSCQLPGFVPNSYYCKN VCGDGIVVVDPYGFNTEQCDDGNTINQDGCSSSCSFQCQPTSICTSCISNRCEICATGYY LSNQKLCIPICGDFLSVVGEQCEHSFILPYKGCQNCQAKCQNSCLTCDTTGLGCLVCQPG YNRIDNLCYSNCGNKIITQDEQCDDGNLIYGDGCHFCQFSCQDSCLNCLKGICYDCQEGY QLIQSKCYSICGDGLQKYKEQCDIITSLQIYKNCQSCEFRCDFNCFQCQFGVCQQCKDGY ELSLDKVYCVKSLQYNLMIIENCDIQIGNYCIKCSKYAYFEKTEQKCNLKVAPLSFCQYQ LKLSPNLYCSYCFDYCATCNQNSCIDCQNGYYLDKNFTCISYCGDGILAHDEQCEIYDKN CLSCMYVAPKLCELHFKDQCFQCEYGFYFNLYRHACESQCGDGIIVQDEDCEDNNYIEFD GCYNCKYSCSQQCINCLKGICQQCDQHFLLRDGFCYGQHKQIDLFGECQFNLNGNCLVCK DEYQLNEYGDCIPKCSVSCIHCYNGKCYQCAEQFELNQNRCFLIQQCQIGFQLNYDLQIC QSSCGDGYITGWEECDDQNIEKFDGCYQCKYECDDDCTQCIYVENKCLSKCQNICLNCIQ GACQLCSSGYFLNEYFICIKIDCEYDFSCTSYCGNGKVEDMEQCDDQNLFNDDDCNNYCE QTCDVNCARCIEGVCLECKEGWKLDSYFCDPICGDLIVVGNEECDDGNQISFDGCFLCKY ECSQNCESCLYGICQICQNDYEFDQLNNLCKPIQPLLKNYEQLNCQLLNNNQCIHCQFGY LDQITSTCIIDYNMNKCFKHCKECVLSKCLECEFGYYGNKCTPKCGDGIIVQQEECDDGR EYQLDRCLNCSHQCPQYCQSCAYGICTNCFSGFYLDVVSNSCNSDCGDQILASDEVCDDG NLLEYDGCFQCKYQCQVECLDCSLGKCLQCEPPTILQESTNFCQYLKSCEDVIGLYYDKE SNDCLPQCGDGIVAGNEYCDDENNFPFDGCYECKFQCTKSCQVCKDGICFECDKDYTLDN NQCVLNKESDQINSSINNEVKNNDKTSNFTSSNNTSGNNKGGNNKGSNNASSYYTSSQGQ NLEISSLSENLICRENECAYSLKPNMVLIYKQQSFALQYVEITFDQQVKFRDQIEKDRNL FDLSIEDLDSQYYNITINSIQDISFDLQNASYSVQIELFLQLQTKPLLLVQLNQEVVNSN DQALYSFNQSITLQTPKIISKQIKQVTVYAQQSNKAFLIGAISICAISLVSGESSFVVET LNLLQYQSFLRYINVDYPENLNVYFQAQELLSVSSYLQYFQIDDYLNLITRKEKQIDLNG KFQQYKIEADLFTNILPQLIQFIGFVTLIYFAEKLYFILFRLSLQKEYLQQQETYFSKIK IAMINSILVFQNCIRQSIKTKYLQYYDQVIQLIYINSWDLIFKMILQLHYHEINNLRSIL STSFAGLIFFSYINLLLKLFSICNDAKEKNQIANLKIKFVALDVSRTMIFHILLILFQEQ QILQCLLISISSVTQCIIIYKYKSCSHLDRILLLIIEGILTLFSLSLFLYIDIDLDYFSY EKKVTLGFIQMAFLIMSLGIVFAKQLFLKIQLALRLICKKKELIVSKSK >CAK86713 pep:novel supercontig:GCA_000165425.1:CT868585:290670:291485:1 gene:GSPATT00020385001 transcript:CAK86713 MILRINKQFFKTFCSTKPPVDEKKNVMYAMPHPIWNQEAMNNVKIDHKLPLTFGDRFAHL FIQSMRVGFDVLSGYRKVFPWQDNIISEKKWINRVLFLETVAGVPGFVAGMHRHLRSLRG MKRDLGWIHTLLEEAENERVHLLTFLTIKKPSLIFRTGVILAQLWYVALYSVAYMIQPRV CHRIVGYLEEEAVKTYTHMIEEIEIEGSSIHSWKTRPAHQNSIEYWKLSENATLLDVVKA IRKDEEHHKEVNHKFADDYTQQSENPFPPGY >CAK86714 pep:novel supercontig:GCA_000165425.1:CT868585:291489:291953:-1 gene:GSPATT00020386001 transcript:CAK86714 MNSNNIYPSFEMDSEQHQYIKLKFVILEKDWPYPNFEIVVAYNDPLMFINKELQDRHGGI TDIRLYLDAEKQNQQVIDYIRLQQIFNKKIGDALAIKGSNSQETAPNVTIYYDFKNATKS PVQLF >CAK86715 pep:novel supercontig:GCA_000165425.1:CT868585:291980:292633:-1 gene:GSPATT00020387001 transcript:CAK86715 MGALCPRSNIEVVHVEQNENEIMIDPQVEIDTLINQETNYKLSLSPKFSLNNPTLQTCDQ VIQSILSISLSFMVKDFSEQINFLKLQIHKQIQDLINHEIEFQDELLKMLIDYYEFLSYV QENSATKVVTWWKEPKTIVDLQHAIKHWSQQFFKFGGRLRREKAFRQLNFEPKTPERFLK TREWIKKQTEGQQDQPEPKQSQPVERNLQVEDGFEEL >CAK86716 pep:novel supercontig:GCA_000165425.1:CT868585:292637:294224:-1 gene:GSPATT00020388001 transcript:CAK86716 MILSLLCFIGFVAGAPAEDLVDGSTWAKFKIPYSGKMYSGYLPIDDAGKKQFHYFAFPAF SLAGPLQATFPLVLWLNGGPGCSSLYGAMVENGPFTVELGTNNFKQNLFTWLNFANMFYL ESPAGVGFSFGNTTTDDKSTAKDNLKAVIEFFKKFPEYKSIDFYIAGESWAGIYIPTLAN EIIDYNAKVAIGDRIRLKGLMIGNGCTDPTECTDLGFNFPVHFYKFLHGHGFISEKLNDK IETMTSYCHMKAIPECMEIFGEVMEQINGDDDFYFNPYNVYGKCYQLPYYNEKGELVRDK RFKLHPMKEGVVGQVNECSESEALFLYLNNAAFRKALHIREDAGYWNDCSNIDYKKDPGA TYHLYPKLLKNGIRILKFSGDVDAIVPITGTLYWIDKLQKELNLPTIEEWRPWYKPGDKG SEPQNAGSVWEIDGLTFVSIRNAGHMVPMDQPEAASIMASHFIFEMPLPSDIL >CAK86717 pep:novel supercontig:GCA_000165425.1:CT868585:295411:298790:-1 gene:GSPATT00020389001 transcript:CAK86717 MSRHKTQFKTIKKRTNKTSDLTEKFKKNLQQQLDSDEDDGDQQNEQKSKHESLVNEYLAF TQLEQALTTGLRAAISGDLVPKNPWCEVSRTLGVQNLKTDIYTTFEKLAKNFRKTPCIET RKYHIYKISYSFKQKIRIINSQNELEEVFEEQKFEVYGYEKMLEYVFLPNLKEFYFAIQN ILPSFIKEYPQGRIQVVNSLSGGYIIKSGLITYPKAHPKDLEINCECFVQASSDEVAFDM FANFVFEDCQSINNKQDLNLIKVFLIYYFDQDKKEQKKITWKMKNISNTNKNKFLNDIKE YTKNKSNIYWKGYCRVKGFENLDLMEGIDDNNPEGFQYIEVYKIYNLHLFKNDGQQVQKI SYQNQPLGSLLKGVFFDEGQAQIYASYFYKENETFNKSVYYKDFVKNHLMLVLKKWEEQN IGASAWELFYLALYIENADQRRDLLDSIIQIISSDYSSLIQLTKLNNILQMLMEEYDKKQ QAILRPIITSTYNVYRQELMVTFGRSSGSDIIRYREFLFRLLREMEDRQGGFLIEENSYQ VLKAMVKVTKFIGIGNLQRVGAITVSLSLYIFDSLKQNKLISENSGYYTYEEFQSLKAPK KMLHVSTEIQAKKIDKEFKYKKEADIEDVIILQFLNEYDLFDNLYYILRDIFFGKQLPNA LPTAQFKVETKAIKYQLFEIERKEVYDQMINQLSEDKRINYGNDPSMELYNFITEDMDDL DLKPIIGWKQCLYYAAVFEISGLKAYLDEFPWRKALKRPIPEAYKVMPILAVSGTYLFHD ADVINRTYGEWSLHWDWIVKGASYPHAQQIFIDTILKYVSWLEVSTEMMVMGFFTKKDRF EPFLTIEEILKAEDLSEIRQRLLSKFTEGKAVFFKTIFKVETRFKNVNFIINLHYIDQSG SNLQSLLQEDICENYFRVFFDLSEFKLWNRLYPYSNNRALKLLTQQQQNVFYTGSLNDAI KLELIKFYVTESEEIFLTMCRILFSYSESFYNYSKEAETFYFLFKYLNESKNKERPKISS ANDIRDYYSEWQKAFQDDLIAYDNIYFDSIGATVMNYLQHGTQAFDNTQTDFEGYAGKMM KLYDMCQIISDSVVLTYRQLLLEDYGYTLLWLPKQR >CAK86718 pep:novel supercontig:GCA_000165425.1:CT868585:298807:299657:-1 gene:GSPATT00020390001 transcript:CAK86718 MVSKLVCSVPVQQMDVNQQQLLLKQYKERCKIKQPHIFYTIESESQKTTKPGQFGQTEVF QIFVFFEYGQLTLHELTERRQAKRYLIPEPEVWCLIKGVMQAMHFFQNNNIPHGMITTKT IYFDEEYLLYRVYDQELIGGRWGNFIKYQQTKDPEIQVFLAPETKPFINSEASLLETAQI NPFKVDVFAFGIVLLQCLTLFQAFENPLKWLDQFYSKNLMTFITQCIQPDPSKRQDWIGL YQLPLEPSQDQKINERNSLIQSHFENRGQKTFR >CAK86719 pep:novel supercontig:GCA_000165425.1:CT868585:300232:301086:1 gene:GSPATT00020391001 transcript:CAK86719 MIQKQVIYLLVLVILGNTLTVDTTMHCDCSELRSQDCQLSQYCYWNDNYCESYPNKCAIF TTQSKCTPTLTNYLCKWDDNSCVSHFYKCDEFSSSSDCPCYWNLDSECAEFKGCSNYDEE NCPASQGCVYKVSECQAEDYVTCSDQTSATCSGKEGMYSACAVNNDNQCDSYSLFAQCSD LNNFKTKCEEFGCKFENNECSLIECKDLSVQNCTSVKVDTETKKLCRVQQQKCVEAEDTT YLNIDSCYISTDGNYKWVGSCVECESLVYSNLLTYVSLFLVTYL >CAK86720 pep:novel supercontig:GCA_000165425.1:CT868585:301746:305576:1 gene:GSPATT00020392001 transcript:CAK86720 MEKDKKEFQNKQANRLAEVMSNSAVLEEEKAVFLKNIANGSHLYIDPLVDTVKKTLKSKF PFQTKFNALMLLKDAMNTRNKEMANYVTIKILDRLKAIAKQSLKPDKNKLFTPPDPGDEF YLLLLECLYNWSIFFQLPADQKMQPSAFKNVCSELQQAGIRFPTQFKYFQIIDLQNKKPE QPDQKQSSQQQQQQKQQQQKQQVTPKPASKPQTKPKKNDNKAFDAAVESFTSIQGLFNVI IDLLRENEDLTYLLSFYSELESLIIRIENQLFELEEEDDPRKEMLSEDLIKLSTKGNELV ADIQKCQKGDMPWIVLRNKHHPKLQEFVQSLKFPLDEPNVIEKQKEVQQSDQKTQEVQKQ VPQNDNPFFFSQVANFEQDDIEKTKSDLILQQQQRLQQQSQPVPVVQTQKQQELPQKQQE QTPKMDQWDSQQAPISWNQPENTQAPETNFQFNGFEQRQATDPDNQFVSQWNMPEQKQEQ QHFENPFSQQNFDSKDKHNLPFDSKRHNTDPDSQNGWGVQKQEPQGQQWDPGFAPWQNER KDSKQDEEVVVEKMRPTIMPQPQEQQQQRKKNPNPFERQSSVISEDNNIQFPNLWGNPDT KPSQDGFTGFSKVMDNKSEFKTEVDSKPDSNIFKFNDQDIDLEIMAGSSKYSKQDRSKSV DSQQGMHMPQNQWEPKSNGQDFKNKEVDSGLDGNYQWGMEMKKEDPDDFVDPYANRFEDD FSKKIERYAFDDHSEKRANLDQFGMSDQPQFDNQFAFDIQNLPKNSVYLMGQKMLQIDNQ VIEFSKQFYSKSARKTGIRMADAEVQASEQILTLLKNNPQQEMEHQEQKQKLLKQIQILL QQNGEYEQEVKEQKSIIEKYEQDFKSYKQQSQKWTDVYTSLNKQLINLNEYYKQQKEQIA ILQEEIYKLSHENQQLNDKYCETIKQISDYKCNEESLLNKIRIIEKQFKQITFNHKCPIQ QYLEQYLKVPNLIEINIPTRFSVIPKDTENKTARIEVKYDTSLFMDILRGCAQPYSNEID DFKFSILMPRAVLYEDKVLQIGCITKINDDDLSLLLHIRNKSQNNISITKIKLIAPSNNQ LNKLNVQDGSVLQKYTTFKIQMKFQYQEFMKQPILEFQIDDKQYHILLPVSLIKFGVSEL VDIVSLKEKWKDNKSNSNSKYLDMKCVIKSTINQPNHKFVNQLTDFKRYFQTLQQFENYL IMQQTINQSEFGIKFKEDRQRQQLIIKVQSSQLLKPKAEELIQQLLFLFSE >CAK86721 pep:novel supercontig:GCA_000165425.1:CT868585:305621:308634:1 gene:GSPATT00020393001 transcript:CAK86721 MEVQIINSQIEGEHKLKSIQCVRISYERLVMLVTILYIHRYYCAYVLGFWQHFTFGGFLI NLLIFCTSRAPQKRPHTLRPIRTMRSAKSQEMERRSISNSGNTTRMKVRFLKYELLEDKF KPLEFEPKNANSLTTKEVQAGQQDYGSALMKIPMIGFLEFTLEELSTPFYTLQYICVVIW LVQGFFYFAIVMMSCSLISTFIKFYLLRQSLKRLQSLAAIHQQVMVIRNDELNKIDVNEL VPGDIIKLQTMIVPADCIITGMALLNEATLTGESNPVPKQTGQILFEGTKILEVNTGSTA QVIRTNYSTIRGQYFRNVLYPQKVTHKFYTQAMKFLAGFVIFNVIIAAATLVIYLDYTTE LILANIFSTLTWIFPPAMPIFFSLTATIALLRLKNENIIGSNMDKIHISGQVDVTCFDKT GTLTTNELTVIGLWDKQDCNICISCCHHICQSEGQLVGDVLDLEMFKYSQSKILFDKNDI QITSKDQKIYKIIKIFDFNSELMMMSVIVECENKYYLCSKGAPEKLQSKLNSQNQEMLSQ LSFYVNQGYRVISLAQKEITREDLNLERMQLEINLNFLGYLIFENQLKHDTADVMKQLIE SNLKVKILSGDNPLTTVNTAYNIGIANDVVKLFDVRNSEIVEIDIRRIEEKQQIVQQKQY LIDVKDLQNYIYTLNQQFALTGDFMEFCNQHKVDVHSLYQRTIVFARVKPHQKKEVVFMH QQLKCCVAMVGDGSNDCSAISQADIGVSFSQADASYTAHFSSLDQSIKCIVTILAQGRAT AQTLTEIFPLYTLLSFLATCAYTCLALEGQNYSDFQLLFLSFLCYIPIMASMTLTKALPN LSKELPLDDMYQLNNQLSFYTHVFIFTGGLLVSVAIVMNAQDHHFDKPEPPIRDYLRSGM LNSATVIIAQIYSQFLPFIFIISKPFKEYCYKNYVYMTYSTLSFSITILFVFFRPSQQFL DLVDFNSEISL >CAK86722 pep:novel supercontig:GCA_000165425.1:CT868585:308737:309779:-1 gene:GSPATT00020394001 transcript:CAK86722 MKRRPSHNPAIFDQYIQYDGLEGEGFRIAQSHNSTDIYIVDDYGGWVDKELNYYDRDGEP AGYFDKDYNFYDMQGYRIPGGYKGGKSIEREIKKKQKYLDSVPDNSKQKFVVEIQNLPPN TVPIQIVNYILERCDLKQSEIEECKKVQRQSTKGYVVVTGAEKAQKLLVLEGRKFRLQEK DGKFISNDIQIFVREAKFNNGRQFSDYPNNSKNNQQVEQDDDGQYKIAFDNLDSKKTNQE LINWIVGQTNCSANEIELKRPNQKTSGEVLFLNENLMYLVLKQNGRQFGDSRITFKQITK Q >CAK86723 pep:novel supercontig:GCA_000165425.1:CT868585:309939:310296:1 gene:GSPATT00020395001 transcript:CAK86723 MKSKQVIPKGKHAFDCNGQTFIVDDKYQFIKQIGHGAYGVVCSALNKKSQQLVAIKKISD AFSDLIDAKRIVREIKLLKFFDHENIVSLLDLQRPDPSTKLQRHIHHY >CAK86724 pep:novel supercontig:GCA_000165425.1:CT868585:310301:311118:1 gene:GSPATT00020396001 transcript:CAK86724 METDLHRVIYSKQELTDEHIQYFLYQALRGRVVHSLGQHHPQRSQTKQSICDFGLARGYE DESEFKTEYVVTRWYRAPEVILNASEYNKSVDIYALGCIMAELLGRQPLFPGEDYLDQVQ RIIQMFDSLEIRNALTYLKSLPKKPKQQWKNLYPHAQPLALDLLDKMVTFNPDKRLTVQE CLAHPYFEGLHNPEEEPICECTFDWGWDSFKPTEAILKQMVYEESLSFHPLK >CAK86725 pep:novel supercontig:GCA_000165425.1:CT868585:311123:314065:1 gene:GSPATT00020397001 transcript:CAK86725 MKFIKGLDFRKNLIDNGHLGQGNNMVYNVSLNGKMYALKQVIVNSSYIENEIKIMQSLAN SPYVINLIDYMILETVSQDKYTQSQQFAYLLMEKGQQNLEQYLKQREQQYLDVEELHRIL EQLINIFEDLQQKNIAHRDIKLVNILIMEPFQLKACDVGCSSQVDSIQTISVVGTKSYLA PELLNAETNRLKHNPFKSDVYSLGLCFLYLVTLQLWNSRQIVGSKIEEEIMADYLKHVKR ITADDNIITSILKKMLQINPNNRPDFIELKQIYTQAKESQNQLINLSKGTTFESFESPKK SPLQFDYLSPDVVINRSRNSGSNFYKEERQKSVKNLPSNLSNSKKQKSQANLLSESTKKL QVVKSSKNASTSNLHLKDAQYFNKPPTPNPIKLTQSAKAKSFQDSASPIQTQSNFQQRQF SKFHQSVIENKKIHTESFSQTMPAIQTSMLADVKPFDDFLYCDYEDLTNLYQFQNYVCLY QNGQKSNKTKCLLPNSCKLLNLQLNNSTPELHLSPVNDIQILILELIDDSNQQALIYRWP ENLGSMCFQAITSLEIIVNTQQPQTNNIIQFLTKIQHIPKIKLIYRSEISEQDHRTIIWK LSRYNCTSFDFRIPNIKLNLTQISQSWQFNQSLEELALDYEDCDIHSTFSFLVTSIRTVV FKKFYINLTKLQSKNTEISLLLEYLGSKHQMRDLTLIMNYITNYDKMVQDKLKLNISKLK NLEKLQLSIIGNNVNFDFIEKLFTIFEQLKELKMVILKFDRVFEKQKIRELKMALPYLQP YVLQFYGTNNTLTIIMHNNDLQKLQDYGTTKCNQGKLVKLSQMYECIKCSKAHSFFLKHQ FQICSTCVTNCHSKCDNFNLNNTEAITVSSDSLTQCQNILNTIKKNHTFIESNDTRDFKC YCNLLGNCTQAICNKDRNRYEIQFKCKSCNKVLCRMCSSDCHSCHINKEMYINNFRCECD CDKHI >CAK86726 pep:novel supercontig:GCA_000165425.1:CT868585:314090:315775:-1 gene:GSPATT00020398001 transcript:CAK86726 MNNNNSHTKFDILPKFMTTQHKLLEPAYLRNTRSKISYNESKMPLIERSSIVQQTPKSKQ QVVQIWILVLDTLELLVIGGFQDLSKFHRFQKFGHSTARLEPLHRKVVTEVTDVEEVESI PKDERTYHKKIYKYQKMPILALIKSFEIEWIREFEGEVNKKSTKPLQLSFSMALAILNTH PDPLDFFQNNLQLLERNTGVSRLIQKVNHFQKEEFQTNVVVIKNQSGQGFFRIYFPINTN IARPIELSPQQLYDVVKNNFFDMQSFVAQFDQQKLQLYIQSKVDDGLILKELNEPQFLQQ TSQADIQMEQLTKTQDNPVSTIIQTGLPVHKELAILIIGEKHEFPDKILQKKLIKKVVTF ENNFYTCDFLPIQILLREKNSQKIIKMYSPSLRELDSIFVQLNIYTMQQILDLYVVLNFD MPPEAFDYKDFKKGTTIKLNLPDVTEQPLEITEEQINNGILSSQIKEIEINNKDLQIIIE PCMLEIYNQVTNSTIKKICSSKELTQVLSKRYLIGFNLI >CAK86727 pep:novel supercontig:GCA_000165425.1:CT868585:315807:317933:-1 gene:GSPATT00020399001 transcript:CAK86727 MKQSNQKSIDESFRKIICKDVQESTLKEFLERLDQLNYEETSTYLSGLVYIFTRKRWPQL GEYLLKKCAEHIAFYEIIKWSYEAYTYKERQMAENSVAVFDQKIEEAMVNQISENQFLEK ESRSNYVNSIHRFVTCLTVLSLHLKNVPLNERQLYLRRNLIKANRALECFRRNNPGIKYC KGIILPFKGRSQMVVRIIDTEAQSFNTKKRVPYKLVVETVDMDEYEQREQQLITLQKPLS VDSQAFLEFDVMHQFDYTVFEDFLQENKDKLARQQILHQDKKRFDQQFPPLKKELIVRRR SCIDQIQSYTNKQLYEKDFKDLFKQEEKLKHSDKELDNITFLDQIANEYPNKKGTIEKIQ KQLNDSKNFGQQQVIQQVQSPSQNDLKSGPFSDQQWDDKRQVIQSLSPYGQFNSYCLRSL LIKGGDDLRQEQMMMQIIKVSEDILTQVGLYVKSYDIIITSVDSGILEFCNDTLSMDQLK KTMPSMNLREIYNSIFGDNFEEAQLNFILSLASYSLLQYLFQIKDRHNGNILIDNQGHII HIDFGFILTIAPGGIKFESAPFKLTKEYIELLDGQESCMFQLFKSKLLLGYLELRKNVDK FAFILEAMKIDNELPCLEKFDMKAFKDLDSNQNLTNNNLRSMYINQLPKVPTIDIQNIMT IFKN >CAK86728 pep:novel supercontig:GCA_000165425.1:CT868585:318432:320439:1 gene:GSPATT00020400001 transcript:CAK86728 MSKKRLDRLDAGQCSTDRSHHKNNNSTDLNFTLHQRLNSNVDEQLQSKITFFSKFKQKDM PQVNDSLQGMAQTLSKVSFNEIQHHQLQREYEKIKTELAQFRAQQIKLYSTITKLQKDNQ TLMEKLKFYDEEKLNLQRKIQIEQKQNEELTKKLKRSEQRIQIILESNIIFQKDQIKTTL LDTLHENEHYKMEAIQKQKDLQKYYMIINHRLQINNSNLNHKLNRLTNRIVAAQRHQTES EFIDQDKMVITFTEIPTNFIFRHIFQNIECKQFISTSLEQTPEQFGSHFNLIPQDQKKIH LIWLFNHMVNYREFSQQHNNFIIKLTELIVIKTYPELYSFIQNISQFLKVQRLTLWLRDY WTGFFETIQEGQSQKIICSKGSFKDCLEQREAVNKLTAQRHLLYQDSKGDDIYQENTYLY PLITDTVLPAGLLEVFQPTQNNSYSDIQYFTALLGTFVKIVVQKIENEIVMQNVAKQKDI LISQFLCLMQSNDKLQFTNSVKEMQSKLLQISTCEIIFIENNQMFKYDRQGLQSISCIAG VCGQIAQNQKPAFFSNLTKQVHYNQLIDMYTLAPVYIYPIVQDNECKAVIELTLTNKQID RHRFRDPLTSLNQQSNQARMFCECVQTAYLCKFN >CAK86729 pep:novel supercontig:GCA_000165425.1:CT868585:320842:325729:1 gene:GSPATT00020401001 transcript:CAK86729 MQLIMLMQPLFMIIENGLEEWNPDYSFLHLITLLIFRQDVLLLDYDIAFTRVYLVVLVTF TIQKAWQFTITYMLYRIKERERQFQKMEECSPLRISLMILAIYTQFSMTILHLIFSTLCI ISFAFCIQDGQEYVHLILSLLTYILWQADYLITYTISSTSLTFKHNYLDVSQTTLFTYLA YLFQQLQIIIYCILQNQSSVRLCNIVLLLLEVLSSLVNQFLFQTYIFKESRQVLYFTCSL KFVLSFYYLGRSIESKQMFEYLIIPLLLLPIMYYGLISVDTKLHYEAFVNIFKEEPNLNK LVYQLKLILNQCDIEKQMIPLKSSLINKSHRKICHNPSCICSNKIFISEISQANAITQAI LKQFIFNKISFILYSKEAQKSHQYNQLIVVQTLLLYDFGWLTDSIKNLTQLLNCQTNNQN FVIRKEIQKKIAYDESCNETSTKKDKSDEHQTQFIRLKIQISLIDQCRINYILYLAKSKL KSYLGTSMHAYQQLMVTDFIQSFLTYDQSLKQIQQQTVEAINDKIEFYYKIIKDEKQNLN LLGKCTKEICFKLNKLKKLLKQIYAAYPCSSIQRCICFFQCELLNNYYEANKTSTLTSMQ DDKMFRNKKITNYDIQVDQTAYAILSIKGELDDFHIEQVSSYFLKLIGKASSKDIHFFQL LPRFMKNWHPSFIHNFFNDGQSRYYKSFNQSFISTNTGLLKTVYLCYDITKILSNQNLVF AAFLQELPDQKCFLLSYGTNQRLTFSENFFKKIGFHQKLILGLPQVLTIVNGLYLQYLIP SFPQGDVESDTFQLNTEMRFLDDKHLKEVQNEGVDLGMYILDPKQWQKEDQVQFYSVSII VTKRYVAQQSYLLIEFSTISKITKNPTENSKFQTIQNNDITSLQSQEAEFINDSFASLMN LSDEAEPKKVNIFDVRMKEFLQNELQEEDAANIVSYRKDEFQNNVFPQSHRLLLSERKKE IQQEFFNIEQMRMDSIKQSSIYESHKQIPAEHNVIDEIQSQQSSVGGVKESQLFKKFEMI EKIIKKKKFDKMSVYLILLLLQTAFFISFALIVITLISSELLMFIQEIDMISLHASIMAP HDLYLSIKVTISAYQQQYREKYIDNATLYKLIDPLYQFNAPYYFDLQNNLFDVLQNEHLQ AFFTDQQATVYFMGNNDSVTYSKELSFREELLAILHAQYQFKRVFDARKNANGQACQVLQ FANYFNLQDKLEELTNQILEYSKNRSTAAADQWFVIWLIYQLIAVCIGLITLFYKRSILK TYEKLLSLFYFSDKNSLEQEIQKLKHLNTLIQQNQDLLSKYDFNFREREELVNRKKKESQ SIKQEKPKKKGEQKLQKIIPIIAFFCIYIYFLVYSVIIHEETKNYLTKYKQTTDFYRLVQ DLRFRSGNIYVYREIFFRWSNFTYLNASDLDRLYGLVEKSQNVMQVYVNGLQHQQNDEYL FSDSYVDFVSQVENSNLCNFINSKYLNLTPYCESALDGVLMKGMIPSLNYISQSIRSQQE INNFTKRAEVHFYELEGAQVICLAFQNVSEQLKQGMIELTHTFNQTNEILSYLFFLSQLL FSIVFVTCFRKLLISEFTMYKKSLQLIPIQVLLGDDSLERALRQFEFTEKI >CAK86730 pep:novel supercontig:GCA_000165425.1:CT868585:325752:328097:-1 gene:GSPATT00020402001 transcript:CAK86730 MRREIFGFYYFIIELESAHMIESSHQNNAVFSCTQFKQTQHNAESAIFDIDSIILSIDEG AAKHVNTLNIQERENYSIALKELSKQQDYDKMYQIMLSPNHSVSNLIDKSQNMINTVQRH NSSFQQEYYCQQPAFYQAEASSKEKHPQLQQAQKQDDLQQQQESSLGSIKRPTYMKKFEI IDSFYSNFNFSQQQIMVFFIIFTLVVFGIFSVIILSLLSSDLQTKIKEIEMLSFQADIVA PYDRYLAIRAQIYYYQALLTAKKINQSQTSDLVEPLYSIVGVCYNELKENSYVSLLESDL KEFFKDVYTNTFFMGMTDKIIYSRNITFREFIHQLLNYQYDFKIIFDKRIPTKGCPCQVF QFSNYFNLQDNLEIMSQEILQFSRDKCTQIIDKWITIWIVFIVVCFVFTLLIYYLKTGIL FQYDTIMEIITHITEQSITKETEKHKILLNNLLSQTDYINSYQLELQTEVQQNSQNRQEN DVLRKNKRKILIRASKLKSVIFSFVIFVIFLIYSCIVTFSTQSFLNKYQSTAEFYKLIAD LSFRSGNMFLYREMFMLWGNLTYLNKTDGLRLYNLIDIAQTKIMEYVDQAPRINLETLLV PEEFYQFFLTVQNTDVCNFLDENYKQVLTPYCLKSFDGSLMKGMLPALTYIHQTIITQQA INNFTYRAEDILYEVEGGQVASRVFSFMNENLQNGIIKKTESFNYQNQLLSIFFLIALGS ICFFVVNFHYTNLKQHLQLIKFGVLMIPQSDILKNDFFERYLKQIELKLGYKL >CAK86731 pep:novel supercontig:GCA_000165425.1:CT868585:328391:330751:-1 gene:GSPATT00020403001 transcript:CAK86731 MRQIIKKEDSKFLDQIVCNMLQLNDSFYCYEPFKIYIFIVSMCQPVFYLYYYDYDQFQEK IPNTTIQQMIFYFFRPEILIFKFFPNLALLIMPYIILVLLFIAKLGIIFNLANENQRYLK RQNNPSILNNMLTTFTSYYQQLLCVVLHYPIQTLIISAISNTLKQFQNQNSAYFALLLFG IIIFFIVEIDALLHLFICFPSSNFHIRGFEKSLVTSLDICIYAIELLQIIFFGTINKQEI SQFSQIALTLIIALLKITNQLMYQGYIYKSQRITLYFINSMIISYSLYAFIDLKNNSIII WPLLASIVFYADYQYQINSIQSIFINTKQPITMYVYQLVKFSNNCYQNEIQPLQNSLIWA QHKAKCSNLNCSCKEPTLNILDVQQANIITEQIFKQFIYTKIKNITKQIHENRNIHNLDA AFYEIAQATLFMRQGFVLIAIKNYNRFLNNSSNDYRVRVISSEKSLTNRAQKKMKQSQAD SQIQDIINNQHKKVLKYDAMNRNFQVSTLKLIKIQYLLRQAQMYLNENFTNAICTAQQLQ MSDYLNFYLNSEFQMEDLQKMIIKIIKLKIEFYTYLLQCDAMNSDKIFAYKMKYFTQVLD LEERLLSKYEAYQSIKLRQMIIFFYSRIYNSYQKAQKFKQINNNQQQRYVFSNQVIDFYS DKIMYVLFQLQDDLQNLKIKSHSSNFLKIIGRQPNDHLIQFTDILPDFIREEHPAMVQRF VQTGKARYYRNLSLTFVKQQNGLSKQMEQTFDTVTLLNDNRVVFASVLQDVLEQKGQQMQ MDCYLV >CAK86732 pep:novel supercontig:GCA_000165425.1:CT868585:330791:332353:-1 gene:GSPATT00020404001 transcript:CAK86732 MRYSPASQQYGQAYGYSQPQQSVRPPYQSYKPNDNAQSHTVTHPHVREMYHREAPITVVT RDEIESVWKAKCSQLEQALTECQTEVMRLRGIGATEKITYVEDTLKINQLYLEIDRLNKC LLDMSLELDQYKHKLYMATDKQARQQAEIDIDKMRAIMVENEAMLNAEIVRLRDQNEELS KRYRILELSMADSRHQLSLKEYEATLSQLRQEIDRAQFSINSQRAETEEWKLKYQRLEAQ LRDSAQYEVEIRRLKEMVDNRNREIESLKLNSQFENDGKLQNLQLEIERLQNELRQKNYE TNRLRSQIQQQESTIKYLNSKISELDNKILQMKSEYDSLKANQLSYKPIIQSNNEDKEQI DRLRSQIQQMNIEIEDWKSRYNELDKMYQDLEYEYQNSNTSYEEVTKLTNDVQIWKNKFQ ELNREYHQVQEELVVTSAELDSLKKKQNELSKSGVRSSAASYRPGQHPDVFPQAQI >CAK86733 pep:novel supercontig:GCA_000165425.1:CT868585:332675:333525:-1 gene:GSPATT00020405001 transcript:CAK86733 MFGIILISALVLAQTNVELSEIEANPIPTMVNFDGYQRNADQTEQEQTHFDFADSFTHSN TETIQNVENNKSIEDPNNFFLDNQNISTLNSEITSMSTNENQIQGSNDIPQLDMIDTEGT QVLSQQDLNNISETQQNAENSDCIVIYSQCHYKGESLKLCESQRDIDNFDHDIRSIQIPK GYSVRLYNKEDFTGEKIILKESQECLIKPLALTQLYERQSDKFTILAQNLNLRGI >CAK86734 pep:novel supercontig:GCA_000165425.1:CT868585:333545:334949:-1 gene:GSPATT00020406001 transcript:CAK86734 MKNKDYRIIQNSSQLTLDSLKAPYYHMGKACQFNFYELDPQIQNELQLDESKQVVCPVCH IKGQLQLDVTYMQNELKDDETQWVVEKSTQQMLRMQKSTFTHSLISQQQDVLKLQQEFNS KMLNNNKLSLEFIEKLNADRNNEIFQIYRGSFRNTTPTLIGIINFKLILFYHLLKLKFEF DIYDNTDKNNCIKLENKDCDSVKISEVTLINNFIYLIIQQENVSQLYRGSLQQFQNQKEP IFYIAEMPGYTLLGSSRLFKCQRNLLMIGNQGSIIVEDQINENVEMNEKIKIPELNELTQ IGEIFDSQILLADLRQANLQGIGSLIELNISVTQIKQIRNYCFSVPNKKDIDFSLIHDNC FYLLNSESLNKTKAQVKYFLPGTDNNSLSSTFNIINHHSYLKVIIMPTTKFKVGSVSCAL VGLTFQNSQTAFPGVLVFNDMEKKIEIELI >CAK86735 pep:novel supercontig:GCA_000165425.1:CT868585:335029:336174:-1 gene:GSPATT00020407001 transcript:CAK86735 MSEGIKKNAKSFTPYIAKPSDIASVDASFDPIRQYRLRVQSSLDPQVQQLSSDQPLSNNL FFNPQYTTFDISQRVSFDGNNDFMLNNLRGPFVNSSLDSKLIHKPFDVFQSQNRQITPMM SYFFNSPRQSFYPFQQQPQQNELPPYSNKSLDRQIKVTQINSPSQFIIRPPSIQKQQTNT NLNHFKKEELKLLIKEDSCESEVVNMSESSWNQNKDDKKVIKIGKRTTRKRCVFQESSDS YKISRKKKGSKVNDTKNITKNFSKAIISYILNNEELILGFMKKDQYDSFVQLLKTKKNQM TNIKQLRDLWVDGGKNPDFNRVFRIISQYFLKNQSVAYVYNSRISNTAWHLKYRQNLLRA LKEPDNFKFIKDI >CAK86736 pep:novel supercontig:GCA_000165425.1:CT868585:336180:336873:-1 gene:GSPATT00020408001 transcript:CAK86736 MNFELRMQIKQGTFDLYFHSQLIFFCFIQDQLQRQKMKLKNYDDDIRFKVRQRYATISDW MSKTIDSEKVPEVKPRSQYSAAIKIQTPAMISLQAKSVDTVQDRKPRFSQSQQPTTMQSS KIYRKFIDPKHITRSIDYSELGDSQVKIRKKNPYQNQRLNRQFQAIYNKMKLILDSYNNR ERVLLQYIAKLQREIVTLKSGHNHQTI >CAK86737 pep:novel supercontig:GCA_000165425.1:CT868585:337058:337372:1 gene:GSPATT00020409001 transcript:CAK86737 MGKGDDESKVFAPQDLEVLRMIKNSNISIHQFLLAARYLNLPAFQGVIIRTLLDLLISKL ATEFYVDVTKPKQSLENLCKKYPQVPVVTKEEIKQYNQVLQYLI >CAK86738 pep:novel supercontig:GCA_000165425.1:CT868585:337713:338017:-1 gene:GSPATT00020410001 transcript:CAK86738 MSDEEDYEEEEEDEEEEEEEEEEEEEKPQEPTVQSLARDIHGVCFQAKSIMNRMHVNTDP PQQYYQQDYIMNQTTSLLELFKSIL >CAK86739 pep:novel supercontig:GCA_000165425.1:CT868585:338409:339141:1 gene:GSPATT00020411001 transcript:CAK86739 MHSQPLIKIEVDHKPKMMLQLPDQLNLRIQQSFSEIPKKNRKSSQVSLNSLEVSPKITKM NQNKKDQKKYKDLSELQKSHCQFKKSLNKVKKSHLGDNNCEINTIHPNSSFYLNGVKLPY SLYIINKNRQMAIANLINQANPHAYEQSQQQIQMIDNQIKQVRIENQSKQKLKDYRSRSY LQNAYYSMLCVNSDSKKRLKKIIHRQFPQESRFQQL >CAK86740 pep:novel supercontig:GCA_000165425.1:CT868585:339180:340071:1 gene:GSPATT00020412001 transcript:CAK86740 MITTPNCIESKIYEAQNSTQTLKSEQEEFKQILLKLWIQTSKSFNEDFEEILQLAINSDI KDVVNSILIIEEARLEQIITDRQQIQILKDEIQALQQQNSLNSVNNELREAQQQYRQLSE LVIQLKQSNEEKEHQILEQTKLNQQLQIELNLFTSQITNLSLALVQSKEEKIQYMVQSQK EIKKLMVKDLQQSKMIQALQIQIIELETLVKQTSQRNSVESSNHQISQTQREEDQTRLLS CSPRTTHIDFSKIVLQSLQNKKTEMVSGQSLSQIPYRFGK >CAK86741 pep:novel supercontig:GCA_000165425.1:CT868585:340253:343479:-1 gene:GSPATT00020413001 transcript:CAK86741 MNKPKNNHRRALSNNDFQQIITSKISGLLEENNTHKIMQKNQSFGNVLFQIPEQTDIASS TEQSMQSAKKTQMIDSSNKNNRSQEQKENLKNQGTCSNLISQIQYMIKSSHPIKEITQKT LNSDAQDKNKQLSFTNELQKRHSQIISQSNNKNDSSSLTPDRNRAELNGSKLNSHKQNSD QHQKSKIELSSSLFNTLLKGDSKKKLNLEQQESYRRMELQMNKMQKDINTIKMRQDQLDQ FNRNIQHQLCDFMSESKKQQDYGYQSLQKLEQLEQITRRNEESIQLIRQQLILDQPNQIN KGNENIINFGYYSYLSNIQKSHLLQVGQRIIQKAQQLKRQQQTVIYCTFLFIIIIRFQKF YYLCKSIGINSSKYLTFTIISKFLVASDNHLGANENVGPKSNRYQDAFDAFEEVLQIASQ QNVDFVILGGDLFHEKHPTEHCLLKCVDILQRHVFGDNFGGIQMEVNSLNYQPNFSCSNF NVQLPIFIINGNHDDIVTERNESVSILDILHESKYLNYIGKITDQSNVCIKPIVLVKNNQ KIALYGLGYMKDYQLHKIINEGKLVLDSLDENNFNILIIHQNKYKGNHFQDERNFIDPLY FKKYKIDLLIWGHEHEAIYTLDTCEHYQVFYPGSTVATSIIEYESLIKQAGLFTLTKNQM KFESIKLEKSYRPMIYKSVELSELIKTAENNQNLSNQEIAEKLLFDFVEKELVNYYQTSS FRQKKPLLRIKVEYSGFEIMRMRYIETKFADRVSNPDQIFKFWEKKSNLQAQIQKRKEQA QLLNQQFDNILKSKVEVNSQNQHMMNEFSNMLSTKLYQQNFQIIEQGDFLNILDNFLSST NKEKTNLFNDLYPKTIEKFKDNIVPQYNNRILQIVKKQREYQDSLIFSIRDSIIKNFDGT TKCEQLYCTNFGLDISQMFTKFQEDFRIDIAKTNINQVSKSNATLMPSNQVQLQKKENFQ QESPIIIEDDSLPKNGFTQTSIFGQDEQEDEEVEEKQDNKGKKGAKKRKPIKKEDDVVIL NKKQKYQIELKPYQKGMNKLL >CAK86742 pep:novel supercontig:GCA_000165425.1:CT868585:343529:344599:1 gene:GSPATT00020414001 transcript:CAK86742 MFGYKLFTIVREKTVVIVEQLGKYNRTLQPGLNILIPLIDRAAYTQSLKEEILPIEKQQV ITKDNVAIHLDGIAFIRIIDPFKASYQVSEPQNAIKLLCQTILRSEIGKLKLDQLLQERA ALNRALQSGLSKAAAEWGYTSLGVEILQIEIPEEIRASMQAQVVAERNKRREILESEGKQ ISEINIATGAKTAAIKIAEGDAEAVRLVSQNEAKALTQISEALQEQSKKRVLDYILLQHY LKGYSSILKSSKVVVVPKAKEGQGNDFMSLAAMMMFNNQNSPVQKIIEVPSKPSDGISNS SVSQSEISKLTQDQLDNLIKKNVYYNDPRLYSDDEEKRTF >CAK86743 pep:novel supercontig:GCA_000165425.1:CT868585:344913:346370:1 gene:GSPATT00020415001 transcript:CAK86743 MSNLILKLRCKEHKKNKIIGKCDNNTCSKDPLFCIDCLAQDYHQNHLEDTTNFGDLEFIL KEILLQWSIKNRITKSVNSKSEISEVQLDEETNQLILINNQIQYIESNDLQLNQENDQLQ EIQNQETLIQEKIECGQCHQIQEISQYVNDEKNGISNNCLDQKCSKCQSSFNYPLKKIEN KNYCTECIKCHECNRQEPRRSVGSFFYHEDGFLQISKNSELKKVMIAYIKKYFGTKIKKG DNNTQIYFLSESYPCLALEDYSGYIIGYNHPIIKSKLQIDPKTYIITEEQANIYLIEDLD QYERELYEFYLRQGRKPEDIPENVRMLLLFVLFIFKDFSSVLNLVQQKTYRHLYNDITKY QYPKQNSVSFLKGKVEKALDSLVIVFIEKMEVLEKQLLLISLRKFYLPIKESEYYSNDLI YNQHQIIYLLLTFLNARSIHSSIMLIFNYLNQLTLSSMYIIFIMNIFTHNNRIYDSSKSP QNQKI >CAK86744 pep:novel supercontig:GCA_000165425.1:CT868585:346805:348373:1 gene:GSPATT00020416001 transcript:CAK86744 MFESTCQFESHELKPILGVCTNLTCKNFRPYCHQCLIEFHSDHITEIRDLQQISFWAQSC APLQEQLIQSINQYSSIVDKLTNLKNSLEFDTQIDLSQLRLSDLDCHITCVVNLSSIQDF IQEIFNKSQDQLELVSILCKETISLQKFKDNIYYQQDKAEEQQVEQIEIISETNENQKQS IEKQPDIQIVSNVDESKMRTPEKQKKNEQPKPRNSEQNRKQPQNYYVNTPQTRSQSYKNQ ISATQQRNSISNLKKQNIQQKPKQLLVNTFSLSSKEQSNLLQLLGNKSEDDNKKSIKTQP SEIQAPCFQDQIFIQQKELSPPKVYGFRFSDNLKSQRIKLQKDGKVAKGFGGFVLCEPCI PMEGQSTFEIMIDKCDLVYLGICNKYAVQSYDFEPNMQAFDTHGSYLISNNGFSYSCFEQ ELNNVKQKLNFSSGDRITIIVNYLQSQITWKTKYKNLLTMRFDSTKEMYVCVKFQKLRFS NDSQVEILNYELNN >CAK86745 pep:novel supercontig:GCA_000165425.1:CT868585:348393:348862:1 gene:GSPATT00020417001 transcript:CAK86745 MNKLPYQPLGFVLKFDPPIIGLLYHPIENKKVNKKKKKCYAIHLNNLIFLVEPEDIVEAL FNEHQEFLDPDVVKPQQVYKLVLRLVAYRDHQLRNMQEMGEEMGDGYYEEEEEEPVQQPK GKGGKAQAQQRRANDNNRSF >CAK86746 pep:novel supercontig:GCA_000165425.1:CT868585:348904:349877:1 gene:GSPATT00020418001 transcript:CAK86746 MRRQTLKQDLYSQISTIGQSSSSKRSNLNIDDLIYQFKMRKSNYKLAKQYSEIIKIFDNK QSPKQPFEYQSGQLQLRSQTQLMQTKVRLRTDESQELLQLQETKQRLSHQIDIHQIINET SPIKITPRLNYENFKTFQETERKSYESNNYNTHLANFGPLSSNQCETDEEIQQISQQSVQ KLSKFRTLEQEQFQKCFNKISSQIEQVNIKFKKQGFLMIKLFSKWTVKEKATKMYFRFFE HKIQSYFDLFQIYEPSIEENHNDNQNTNQSILSEHVLTPINLNIQNFQIPTLKPVTSFGA INFEEYLKKKNQNIE >CAK86747 pep:novel supercontig:GCA_000165425.1:CT868585:349943:351310:-1 gene:GSPATT00020419001 transcript:CAK86747 MLQETRSQQFLVEQIKKPLYYKLQGQVPFKCILSAFEAAGFERIDEENWLIYWGLASKET LKEMKKYQKTNHFPGCWSVGRKDNLWIHLSKVKRKFPTEYYFIPNTYLLQHDFERFEGVR ECAPKKTLWIKKPVASARGNGIKLINKKTKLTADKRYLVMDYISNPHLINNFKYDLRVYV LITSIDPLRVYMYKDGLVRFATQEYSLKSSDIKKRFIHLTNFSVNKQSPNFIANNQNIEQ QVKASKWSHKEYKQQLANQGINHKILFKSIEDVVLKTCIAAEPLLLDRNGKTSEHKNNYF ELFGFDILIDETLKPWLLEVNVSPSLNSASDLDAQIKTKLISDMLHLIGVEYHYKKAFRV QIKKRLYERNINEIQSINSRNFRQKVNQEDLDVMLQSLEEQLRLGQFKCLYPNKKNISEF DDFFEYPRFHNKLIQKYFEDGSNWVI >CAK86748 pep:novel supercontig:GCA_000165425.1:CT868585:351321:351695:-1 gene:GSPATT00020420001 transcript:CAK86748 MQKRVQIESRKVQTVYAPLATSSLTIHSRATSQSQSQNNTIKVIQKQPKIRNRSLITRQI KQKQIFSQTDSCTNKVLTERNLEIDCSLMEPSTTTSIQQYHLVWQSPYRPHIYTIYFPYP CTII >CAK86749 pep:novel supercontig:GCA_000165425.1:CT868585:351715:353383:-1 gene:GSPATT00020421001 transcript:CAK86749 MTEAQQLEEFTIYGIQSEFSARGQIKYEDLRMLISDYMQMQPIFFLVVQILEQEHQIQIV EKIKIDIQTIFSTFRSLSFLHEVPRFLNGRLTHLNVRNIKAAIQLYHDGLLTMKDIKDAC LIFHLREQELMSEGVPCNATEINNLLWAIGKAISNSVLEEWIKRCTDFLQIKGFIQEFEF LYLMANTVDRWAYYEKIPKTKMDLTRDPKMDNLYVVDQTGYKTAKNPDAKIQHYMNYQYN MDKAVFKGRSLKDRNEYYIQKRTQKHQQFLKNKDAGIGEFKKLLRDPELYVEIKSKLKNA QQILNHSKLNGKMLRVQLLEEFAGSKEFLQSQSGQHNKQLQQEESRKSIPTIKQLKEHPQ FQKQTQKIQISEAIDDIRLDVQQHKKMMQDPAFMTEYLQRASSAVSTKASMRRNTSGRLA VQSQTSLRPSTAIVMPTYNKMSRPITAISNQEHQKPRLSQTKIPQILDEEIEIFENEQQD KKNQNTVFYGSSNSTKRLYNIDLPWHTSSQHNQ >CAK86750 pep:novel supercontig:GCA_000165425.1:CT868585:353412:354227:1 gene:GSPATT00020422001 transcript:CAK86750 MDYEQNNYQAFDIEIVDTEQLRIDHARLSFIRKVFLIILFQIGFTFITTLIAYSQIPIID SLCSRPLLFWIFIVVLILVIFLLMRFQKLAKQHPYNYICYSSFTLSISYLFFYTIHHYPT YSNHIISLITLQFGIIISLLAYSYFTASEINLNKGLTFILITIALLFIFLFLYFELSLKF LFILSFLIILYGVHIIIDTLLIVNGEKHELDIDDYIIAALMTQVDIIGLISILFQKLLSQ ISKIQINSN >CAK86751 pep:novel supercontig:GCA_000165425.1:CT868585:354240:356071:-1 gene:GSPATT00020423001 transcript:CAK86751 MSSFLKPVPASSPPKSRNTISPNTQSKSPYYNELQQGSTLQKSQQSLRNSHYISKFEKSI DMDMKQSNTFNNPEFEIKKLMNLVELQQHEINNWKRRYEQAETRCITSDQTQTMLEYEKH LDQLTKELKNSIQQNDELSNKLRDKDTQLLRLNKQIDIQKQQLNDYSSELKYLQHEKVNQ DKDASIQLYQKDQKYNQNLQEIQQAHLEQLQLMDDQIQKLQDELVRRNQAIEFQKQEIVQ LDKIVNEIKTGEKNLMQQLESLKVKYQELQEEKKREVNKLIQQNEQQVKSQEKEFLDEKD CLVDRIGQLQYENNILNQQILEQQNLIQGLQDEIQSQVEQNCNLQEQNQLSTQDLDQKYR KSVSEIKMEYQQQINKLLQEIQKLNQQLDSTQNQIQQNQQLNQELQIALENLNQHHQTTT AQLKQVQNDYDSLQLQYDNDIQEQNQDIEQLNDQVNQLSELLEQRSNEFDECRQQKGQLA LRNNENNLLIQRLQVELECQKQKLIEIQKQQEVSEQVKDEIKRSQIEQIRRQMESQVEIL ESENRTLRYQIEMKNRECEEWKQQYTRNQ >CAK86752 pep:novel supercontig:GCA_000165425.1:CT868585:358389:361161:1 gene:GSPATT00020424001 transcript:CAK86752 MGCGSSQAEAPDKKNGNHTQKNSISSPDSGLADKQKLYQRASGNYLLKKGKYDQAINLFN RVIEIDPEFADAYYSKAIVAFEQNKIQDAQNLLQITLEKQPDHVYALNEAGCLMIKQRKF PEALDYLEKAFAKQQNFSEVNYSLGINDLILCQAYALSKLNRKEESLKYYDLAIQEDSQQ KHFYINKATTLFELNKHEDALKCVSEALKLDSNYIEALITQGTICNENLGNIYKATQQFD KMYSTCFAILKLDNNNKLVLQMKEEAEKNLNIQITDQQSSTIIPIEDKLTLAENLVSEKQ YDQARKLVNQILQKDPQHNQALRLSAKIQVEQGQYGDALATLNKVLSQQPKDISVLKEKA FVLEKLKRLEDALQCHNMIIDEETNQEKKEKQANMYMKLGKVKEASEIFESLNVKQSLDD PQTYIFKGKLLLSQEKLDEGMTFVQEGLEKNKNNIEIMQLLAQFHKAKKNEKEALNLHQQ VLDIDKHNDCSHFEKGLIYCDQNLYKKALESLHKINNLDFNELCYFYFGLCYNKIEDYKN CIKNLNTYVKIGRVNLEQAYFMLGGSNLFLLKFDEAEENYLDCIRQNPNNAEAHYQLGNV YKQDKQIEEAKKYFELAHNLEPYNETYKQTYETFVNEKNYLVEYSNSLLFTLSTLIGLCQ LNQKQQDYDQQKSEKYLNLLLLLETNQKKRFNTIENHFEQLKQLIAKSYDMQYTVIDDTL FPIMQYWHNQKICKKKKDANDFVSSIIHTVKLLVNINSDKILNVINSEKSLNNKYCVEFA NKSQHELYKNRSGCLGLVDGIKILGFLLKYHKDFSKDRMAFSELLATKYQVEEIQIFHES YFKQ >CAK86753 pep:novel supercontig:GCA_000165425.1:CT868585:361265:363517:-1 gene:GSPATT00020425001 transcript:CAK86753 MKGNHPYTITFPIWQCEQWSALKGRLKLTDEQVVIARWNDTRFLDEELAFLESYHRIKPH AETKLLKPQISLLPSILQIVRTNTYTDLIIENVSQWLLINGDLSKLLLIYFGYDLYQGCS PRDCTIENIVKNGDSIVILDFGLKKRQENYCVYWNPLILKGKPCRSSYQWSLGILYLVMT QGTYILNEVHRHIADWLQGGRLDIGSLISNKKPTIQNLISSLLNPENPIPWHQIPYHSAF REDNACKQILKDFQIRLNRMEVKCRSSSRISSRDDSNSLINLPQQPQYNKNPKLTEQNLL NQSLITKISNMQKMHQENVRSVVGNRYSSVQSRQKSSSHQSRQMIQTYSSNKFNNFFSST KLLPKPQIKSQNNSHTGVKSFRNQTQNTTIYTGYDSSRKINDNSQELMHQQGSQQNISQS FTKNEFFYDKIRARISSVQGNTIKMSTKQRFGSSSQNSKSDQSKQQQVVYTKGSKTQEEL QQMYQQSENAMEQYKAIYSSDLEDNQQQRPNLDESRISNAENYIQLIKRSSQQLSLFSQK YNRSLDALNIIGQTVAKCLTFFNGLQNFWVIPLFLVFKRMLQLRKEIEILLESKINSFGL DEWDYITSCFEFENYCNKVKQDNQLVQNELNLLLNTAKAKAEKLDKNRKDKVEWFLNDFV DDDIKDICFTYFHGQIYQNIKEKKGVPKSTLEWLRLQIQAQASLIIFQLPVLMCDKENFT YEGYLQALEFTDENQILQYLKRNEQYLESK >CAK86754 pep:novel supercontig:GCA_000165425.1:CT868585:364071:364448:1 gene:GSPATT00020426001 transcript:CAK86754 MGATCKFRERERDIISQDSLPSLPDLNPDAEGYTNFKYQVYGKSKNSRNTANTPETLERP HFFIIYPKNQHQRNDLILQLQDLNRNNNYHIEEYSQMTSQSMESKFESNFSLNEVSEGSF NVFRV >CAK86755 pep:novel supercontig:GCA_000165425.1:CT868585:365585:366037:1 gene:GSPATT00020427001 transcript:CAK86755 MDQFQTCEGKLLYITYNLYKSNRIGIEQKSEIKGKTDKQFIDMLIAKDFRIKQVMNEINN ETNIQKIERMLENINPEEYSPRDIDDNLSHTYKQKRPKLFKFQKSNFSKFIEAKANEDTD TFSSNKHLEFRQRLYSSAADSC >CAK86756 pep:novel supercontig:GCA_000165425.1:CT868585:366067:366701:-1 gene:GSPATT00020428001 transcript:CAK86756 MIGNVSFFYLNQKYFKWQLDIKSTTQKLVNYIFILVFLKGMLDFISLNNSNWIPHIVVIL YTFVYLLHLNTYLFKQNNRYVVHNQSLLRLTINKLKSLFDVQDAFQNLAETHFVISRKIM ETGFVGIIAALFLRDQIFSLETLFILGGIFMILVWDTSLVSTSSKLTLKDQYQAASLFFL DILMPIRNIILVILV >CAK86757 pep:novel supercontig:GCA_000165425.1:CT868585:367485:370726:-1 gene:GSPATT00020429001 transcript:CAK86757 MGSACYSHQTRKQHLNDIRFGQQNLMFRMVISGIKVKNIQQQSAYVIVKVNEHLYKTTMI RYTKNPHWPQTFTFDLHIDPQSMQTQFFTLVLYSNIQPSKSLMTQTRFITRSNIHYMKLP VDHNIMIFCLVQMLLLIQNQSSIIFDIKVAQKLHFKINTKHIRCILEEQLGDIAYSFLLK IKSQENESQSAISPKYMNPTYSQNDDVPYKYQHIKGGSQRHVSTKKALEAKNEILWEFRT EDAPFMEFDIFADDFQITTFVFVLYANKQTKQEEYKRIGSTYISFNKFFYDNTSQIAQTD TLLIQEAQLNEQLISDGTYIGNWAGTFIIKSNQYVSQCSGVKTETGTVNQSTLLLKKAKS KNNTNKEVDWLIGLQIKVETLSQTMRDKKIKDSEKMKNEILSTLTENHNIKYQSVDEMLH SQSVQIKLWKYLLEQSSKQQTEMVKEICFTVLSELIYRDDMDLDHLSIDTQIERIHNAQL FVTLMIKVMPLCLQRVVDTSCSNKEHDFFVKFAVLSYFRVPQFKQALFPEIENISIHKFY DLAFQNEKGQQLQKELESLKLEMPDKQTVHFYYPFVIQWVNFVQSKFGFNVNWQNIQGYD EIVQLLLSNIQLKNHKFIEASCAIINNSMLLSKFIHILFNQTRVFDAIQVFNTLNIVDVW LQKVNQNYHVLPTNFDYSFFFKGIKLILNGDHAVSISKSLQILYNNFHLITTEPKKELCD FLFSTDLFFKIFMHWSPLVRNTFIHLLIYRIQHRHRSLQSGFEKIRDINKELQYAFFKDY SQKERDQLINDMIYLKYSYIIQIIRKIYQQFQLYNGGKQYVQKVIQERSLKAKLIRKKAK EKKEQQILDDKQNEQDSTTLKNSSRKSELPEISFQSQVSKAQSAIGFAERPNNSMKSLDD DNQKVEEEQVPDNTPMGSEFYQSFSSFIDLNKILQEVEQEQENEENRRRLPKKQQNRCLS PKNITKQQDMKILTRKFPELEFETSGLKASKLKYLQIAYQEYTIVQKEYLRWINQMKCNQ RNFNQFDQVKDHQVPLLVIRVPKDESNQEAPQSDD >CAK86758 pep:novel supercontig:GCA_000165425.1:CT868585:370760:371851:-1 gene:GSPATT00020430001 transcript:CAK86758 MKIFAFLLILVLVSATNHQHRLNYTKQRSITAVMAEVEMKIKSHAPLDAVLNILTLFRDS VNEEQVNHDQIFVVELNECQGEYDFRNAEIQNARSTLRDSNAQLNICQYSKQRTIEQQQV NQQQENTFQQHLNTILTTADSESAYFKKRSRQYEDSLNSIEEALTILQGISFGYRSFSEL SKVSQRMLQTSFDINKTPLYAPIFNAFIQLAKQGESIDLSSLQQVEHLLKDLKSAIQDAY NQFTESNAQSVAQFNQSKEKVSKVLSRLQQQYERQQVKLDKLSACIGVQSAVSNSASSKQ QRNQQLLEQAEALCSTFQTEYNYGTQSRRNEIQLVNQLEDMVRQRFEQVLEAKPVLYYAK LKY >CAK86759 pep:novel supercontig:GCA_000165425.1:CT868585:372221:373416:1 gene:GSPATT00020431001 transcript:CAK86759 MSNLQVQLIKKPDAKYPKVNDVFQVKTASTPTASQLKDGEVFLENLYFSIDATMRVWISG AKTYVDPVLPGNTMFGQAVSRVLASKSQKFQKGDYVIGVVNWTLYQIISDAKLHLVKRGG DIDDLTGYSFLGPLGISGLTAYIGFEAIGKPKEGETVVISAAAGAVGEIAVQLAKTYYKC KVIGIAGGPEKCDYVKKQLGAHDCIDYKNENLSKRLRELTPNGIHVYFDNVGGEMLDEIL MHITDHTRIILCGAIATYNQTGEPYKVKNYPRLIIKRAVMQGFLYFDHPELFKPGQATIT QMLKQGQLKIRYDVQNGLEQAPNGLAKLLLGQNNGKVVVKAKADQPKL >CAK86760 pep:novel supercontig:GCA_000165425.1:CT868585:374170:375254:-1 gene:GSPATT00020432001 transcript:CAK86760 MQINLVILNSYYIQDRIKGGANSNYEISEIQLEQEINQLILKSNPIQCRESSDLQPNLEK DQLQEIQNQETLIQEKIECGQCHQIQELSQFANDEKNGVSDNFLDQKCSKCQSFFDCPLQ QIEKENYCKECIKCHECNRQEPRRSVGSFFYHEDCLKISKNSALKKEMIAYIKKYFGTKI KKGDNNDKQIYFQSEAYSSLNLKDYIGYIIGYNHPIIKSKLQIDPKQYKISQEQADNYLI EDLDQYERELYEFYLRNGRKPEDIPENVRMLLLFVLFIFKDFSSVLNLVRQKIYNHLYIE INKYQYSKDMVFLKVKVEKALDFFNDRFHRGDGSIRENVVNQFKKFLPTFKRE >CAK86761 pep:novel supercontig:GCA_000165425.1:CT868585:375900:376949:-1 gene:GSPATT00020433001 transcript:CAK86761 MNPKCKDNSLICLKCKSQHEQHPNYVFTLLQIQDFLQRNIQINKAINNPLTQEILDHFVK YKQELIRRVDNIEKQLQDSIALLNENNSIKEIYNQCVNLKTQLNYEAFKKVVEKLHDNCT FDLNLQKLQSNELLQKYDTAKPQMIMAINSLQKLVQSIQQTFEIKKEPTSNKSSQNKLVQ IIKRYEQVAKDSDGSLTIKPLKMNKMFVCNFLVEQTCELIGFYQPFLYKNKDIDYQQQPL KCLYKIHLGYDLTIRAYEFQAIINHNALEKPIPYCYYIKLPEQFRLISGQVFTISLTILD TQSYSVSFQKLTDNNQYLKFQFPKFNQYLLPKEIKEIDDYGYFPCFQLI >CAK86762 pep:novel supercontig:GCA_000165425.1:CT868585:377043:379758:1 gene:GSPATT00020434001 transcript:CAK86762 MKYTQYILKNLIPEWIKLYLNFKYLKTHLAVVTKLKKLLRKAKKLKPKDVYQQLKHDVAS NKQLFEKLEQDRNDFMIVFDEESEQIYSFTEWKYRELLIFFEKLDKQMKIMEQMADYTYE ERIIVTWNMGEYIKEKPSEEAIEVRVYLKQKEELLETSFKFYNECQQLQSYISLNSEGIR KILKKYKKQELKGIRNKEIEIQYFGNVSQLQKKLKKYETKINILKTDTQSLMINYFYADD PSQCRDLIRKYTEKGQISLKTVFYFGFFAGAAVMIILIILGMRFDGLLDPNSDKVFNKAF PCFRGMALFIIYYWFITLDLAGWNYFNINYKVYLGFNHHFSTVQELLQRVSILTAIFLVT FLWYCIAVEDSLGDLSRAVQLFDIRYLPIICWIVSILYVFYPTTKYFNPQGRKWMYKMFY GAIWGHFIKYESRYTFFTDQFTSMITSMRDFDYTICYYHHFIFLGHEHNGECNFQRRFTA AQASIIPYFLKCIQYLTRARDKGKFLFTDEMYNFIKTFIAMSVGILAYLTRLDIGWKHYW IAVACFCSCFEYYWDLKKDFMFFEKGTKYKFLRSDLGYNNPYIYYTLGVLNFFLRIAWVL TISPDMYRIIGIKNEIFILGFGFLEMSRRLINNFLKMEKEHINNLRSLKSISDMKFPFKE KADLELEEIKSVYDVESISTLPRASLGEEQQQEGQQLDSNERNNLKLSEINPKIVINQCD DSKEEIRSPTKMLQSPKHSMFSKQNKKVSFLNLQFPQQEELQLQQQQSVLSKKQSILIDR QFQDIKIPRNISFENYLNFKQSLRRINSFRLTQFLEQPKDTQINVHDYLDIHPNMDLVEK AKREEIQMQSKQKKLKNDNKQYLKMIEQYYIKYDVKLKHQ >CAK86763 pep:novel supercontig:GCA_000165425.1:CT868585:380075:380391:1 gene:GSPATT00020435001 transcript:CAK86763 MEKQQISVSFTYIPTEEEKQKDLDKQLDIHTKQLLHPKLKWTAILLAFLSVILYSIGLDA FIQIHLEEDSTALYLIATLCLLPLIYCLFKVCKQKKDL >CAK86764 pep:novel supercontig:GCA_000165425.1:CT868585:380713:381493:1 gene:GSPATT00020436001 transcript:CAK86764 MDQSELKCQHLGHENGQILGVCTVRNCQGKRPFCLGCKYEFHNEHKDSLKKFEELVNWIN ENSIAQNKLQEVLNKLKELIQCIEKQIKSTQEDVDKKFTQMNYTTLDNSINNFIKIWEVQ KEVQEILERKSVSFIIQQVIVEIKLKYNHSQSPSQNLVPNHTQLPFKEEGSVQKEENSQK LRCQTPYACNQINNLTGQNREINNKYIFQNTKQNRGDELPKFNIQQLQQKEFPGIQQNQA FQNKNQFIQNPK >CAK86765 pep:novel supercontig:GCA_000165425.1:CT868585:381965:382937:1 gene:GSPATT00020437001 transcript:CAK86765 MQRLKSDLHCPFDKHDQSLIVGVCVNEQCPDKRPFCVACQFQFHSSHQKDLKRFDDFKNL LSENSQLTEKLKSHLNDLQQLVKFITHLIEATQYRNNHQILLEMNYTDLHDSINQLITQW NQQRNLCELLKDIFSTTVKDKIKTLQLQVQKKPNDVRTKSNYLKTETDVLQTKDQLLDLP SPQNINLSKVSNKLADPTINITRNRNSIEIVEGIILKENSQNSQDSQKLSQLQNRYFHPN PISKQKHRSQYNVDQTSNKSKYISENNLDKSLEQLEMRHSNSFAFVNVLDQLSNISKRTQ ISDKKSANAIKIHQIN >CAK86766 pep:novel supercontig:GCA_000165425.1:CT868585:383367:384584:1 gene:GSPATT00020438001 transcript:CAK86766 MSYILVKQLNDSQLIVLYTAGAGAQALCHFTLHPGSSKYAMESSSFYAKGSLELLLNRNI EKFVTEQVAEDMALKALIRSHEILRQEYITDKYDFCSIRFNTTLGIGVTAAIRSVNERQG RHHAFICLNFGIAKYTYYLDLVKGVRTREEEDQFISDILFKLIIKHSSQHQQQIKEQEEE VLDKIQILENAKSMNLNLIKSLQNQTGLLNSILITANQYQLNPIVQDAIILSGSFNPIHF GHIELAKMSQQLMGLPNVYFELSIKNADKQDITIQDVEKRIELMKKQNLNIILSNKAFFK DKNLFLKNGAFAIGVDTYKRVVDVKYYNNSIQERDLSLLLFLQNNNKIIVAPRYNETTQK LETLNDYEIPKILEKNVIELKEFRNDISSTKIRQSEGN >CAK86767 pep:novel supercontig:GCA_000165425.1:CT868585:384620:386016:-1 gene:GSPATT00020439001 transcript:CAK86767 MNFIYGSTSSYIEIYSKNLEYKIRQGMNSIENINTFFELIQRTNMTFSQNSEKCLQDYYF KNSIINYCQYCYGNFDCSKNIQMQNQYGKDFRKLSNILTTTIAFQNELNIYFTSISSDCY FSTCPGSNFTNFVPSSRKWFQNHLDQINSSQFVISEPYVNFLGGVYISGTTSIYDQNKSV IGIGAIDLNFSQFYQFNYEDIDLLVIDNQGRILISSYYYKTQTKDVLSLQNESIFGFNQT DVNQIISQNQTQENCLLNIPNTICIINKNTNELWYIRSKNITDEYIILLKFNSQAYSNYI SLLKNMIEEMFQSLIGHLIIGIVFTTFISLCIHLISFLLLQKPIDRLINSFNKYLLWGKQ INFNLFCDGSQDQLDRLSDAFLRLINQSKSNQRNKQNTIKQYLQESQYPINYYVNSKIMN TKNRTSLESFENQIQKQKLVQQFFDLYRQQICKIEN >CAK86768 pep:novel supercontig:GCA_000165425.1:CT868585:386210:386832:-1 gene:GSPATT00020440001 transcript:CAK86768 MQFLQKLIAIPKNVLLSLKQFQQKKQQIQIVEPIKLSEDCKIETFEIVEEHRVRKRKQQT DKQIQTDLVYERTIQNSNQKQRGKMDNSKSSKQLKKIQKYTEKQSQSLSEASDKTKPSQY SYCQSNFLHSFELDEQQAILENVESLYPAKSKSVQQTHQQLFNNYLHKLKLKLDDKELLI MKQNTDNINL >CAK86769 pep:novel supercontig:GCA_000165425.1:CT868585:386993:387975:-1 gene:GSPATT00020441001 transcript:CAK86769 MDKMPIGYVKGKGNPLDKKVPKNKQYDHVKQTLNTGPTVRDIEVVSNAKIAKKRSELFKR IKCSTVFNFISENTEQETIYKLADQQQQEQEQLQQFDTQSQYSQKTRFTEVSQVSAITYA TEQLGITDQSEFLLLDLRDPDEFELYHIKEAVNFPAPNLRQDKLTQQIHRFKNQKDKHII IYHFDEKNGIPSATLFAEKGFENVYLLSGGIEKFLQHYPQGVIGIKVPSIPKPEENPNKI IKRSNYKETDSQINKSEKNISDTKSQISQKTKITRQSSQQKQQQSQYIDK >CAK86770 pep:novel supercontig:GCA_000165425.1:CT868585:388089:390548:-1 gene:GSPATT00020442001 transcript:CAK86770 MLNQKCFMVNLLQSMILSYSIYHQSQNSCVLNLIQLIIITLITLGLILLQNVFNKFMLQG TIICIELDMFCLIIMNIHNFGRIPFSQIIIAQILIKDLFLDFKLGVKSELYAFQAIIPIF LLVYSYFRIEFDREQPQLLATLIISLYCNSSLPKQSQPQMTSMITEITNTNKQDILNSKR NVSQFDLDQNQNSLGMRETSTTADNGIQETSIQCFELLQEGVILLVPESNTATFPYSIKY VNQATKLLFNRETDQEVLQFIDGLNTFQLFNGEPNEDLLFVSQYQRQPSLHLFRQTTESK DQPSQQQRNLTQDVQQVQDRNQKFKIKQIIETLLKSKTQECVVVQAQLNTRFTLFTTQQI QTSQTYINTESNQLIELTLTLSKNQYIIIICRDVTHRQKIRYLKEYDKQKSKMLSFVSHE YRSPLNCIIQMLECVLKYQNIINNPDINEQLQIALDNSNYILNLSNDLLDLAQIKNGKFR VEKVLFNLQSLIEECQQMFKLKAKLRQVQLSTYYNSNLPKTLFSDRNRIKQIIINLLSNA FKFTQSGKITIILEQFKQNALRFGVKDEGIGISEEDQQKLFKAFSKVNSEESRKLNQQGV GLGLVISNQIAQNIGSTGLNIDSKNDKDNHYCHFYFDLYFDENFKKKISSFRVPEISLQP QEVDEIISFKAIPSNIKEDLSTQQNCLHYLIVDDDCFNIFAFKRMLLELQKNKKHQFTSE FDIDSALSGSESITKIKTKKCSNTCQGYKIVFMDIEMPTMNGQQTTKIILQSFPNQIIIG CSGYTDQQEYEKCINSGMADFLVKPIRELQLIQILNKNQ >CAK86771 pep:novel supercontig:GCA_000165425.1:CT868585:391750:392424:-1 gene:GSPATT00020443001 transcript:CAK86771 MFNTNFNAYFVPHQLVYPYGYQYLIMPQPFSVPNPLHYNIQTQTQNQENKSSEEPNPHIE DIQKITYSETESKPELTSNQEEIRDTQIQNKIIKNILTKKSQKPNFLVKSTNIQKNYAKA IVSFACRQKHLICSILGETRAQEFLKLMNRLRNKLRNIAHIKRYTHHQDFLMMFRILGNN FLRNDSVSYIYNSKIQQKSCHVANKTIVRNSLLKY >CAK86772 pep:novel supercontig:GCA_000165425.1:CT868585:392726:393195:1 gene:GSPATT00020444001 transcript:CAK86772 MFRKKEVIQIAELRAEPLKDIQFQLSEELYESKFIYNINRMQWKLKREVIGDVQKVSEIN SKISIIKESHKSQLKEELVKLTQDVVNLEQQKVNQIKTIRKLVDDKEFAEKKSKEMKKLF LQSQIRNEQLKKMILEEEERFKSLILN >CAK86773 pep:novel supercontig:GCA_000165425.1:CT868585:393217:394353:-1 gene:GSPATT00020445001 transcript:CAK86773 MEQQLQILFQRLEEFKQDQNEYWEEVKQLSDETEENQLPVILENEEDCNSSEILTILNQI MTLVNHLREGEIKIIERYKHELKQLALELKDTQQYNKEMRSINIQTDYDHYEKMYFQERQ RYAQLEKKFEGLKKLDESSFKQDVMIELATLKNKIQILTEASTEAETLLIKKDQQVKEYQ KQLQKCQKELTQKRGELSSLNDQLKSKDINLQMSQNMNRTYETELQKLNRKNSGSQKRPT KCYETLTLEIQELTHSNSILKQQLLQTQKRLKDLTAELQTKDQQLVEVLNQIDEIKLHMQ QNNNKEDELKEAMEYLELKDLEIDRLHRELDNYKRNVKDNPQIRDLQNLLLVMSRNLQEK EQQLLRYQQYN >CAK86774 pep:novel supercontig:GCA_000165425.1:CT868585:394441:394781:1 gene:GSPATT00020446001 transcript:CAK86774 MIYWRETSVQCFKAQEQKGAGTEQCKADSKKLLETIFDSFKI >CAK86775 pep:novel supercontig:GCA_000165425.1:CT868585:395523:397352:-1 gene:GSPATT00020447001 transcript:CAK86775 MQQMEEEEQQEQLQNLGSLFVFQKIRETQRNYGLQHGDYQRYRTYCYNKINKLRHQMQFT HGKRFQKKVIQDVVKNDPRVLQVLLYQAEKNWAHAMTLKQLINSGVNKKINKRQVKVYLV KKFKRAIQYSKQLTTICELRTEKRTSLESEAYNWYLQGLYHFETEKWEKALESFAKCYTI YEQIIKVCDQFSSGVYQERLEQLNQQIRYCNAKAKKLATLSADELTKMLKDQSDPIMIAK FEEMLEEQRQAKMTQQQGAFEIDYQDSKLPIKNEKVVKLILKIQESKLIGDLDSFTTLFS LYDEASKYVKIDKDQSTSEQEKEIHSKVLGYINYQRQSATLDRNEMHIRQYTEKFIKEDG VNNLQNLQTKKALKLKLTTPQEIIKYCDNYSQILRQIMDEERFNKDITLFKILDAKEFFC KALRCFFVGCLYFTNEKYREAYSLLKYHDDLSRIADRKYIENKLEPHSLLKLLVPLSTQI QNKSKLNGLKLQQDQVKTIGQEIQNIGLEDKQNQKTLFELLDSPEQITLDNVLSYKPADL ISLMQPIPPKPIQLDIAHSYLTYPTLEEPKKGGFWGKLNIFKKQ >CAK86776 pep:novel supercontig:GCA_000165425.1:CT868585:397352:397919:-1 gene:GSPATT00020448001 transcript:CAK86776 MDSQFESYPIQENNQELQEMILKQLQQQAFTNYISSTQHKERMLLTENSKLKEDNYKLQE KVNMLEIKQEELVNEIQDLRQLVKRVYNEGEIHAEKLRMKNMELVQQNETLSKGLENLQK NMENFSSIKQMSEFFENVEELDEIYQEDN >CAK86777 pep:novel supercontig:GCA_000165425.1:CT868585:397962:399594:-1 gene:GSPATT00020449001 transcript:CAK86777 MKLSIRRSLHLHKHEWQEHSDNLSIDSLQNVQSEILNEEPSPFSLPIFLIPLVYATFILI LMIQVYHQQQPQKDPSSASATLKTLQENLASSPILDIQNTIQINRLHRHYQSCPYGFEIT TIGTWEGVNSGCLCSNGELKERSYCFTHFKSDCQSVPYYKGQQFQYWKGEMLCVEFAKKW KWVGNQDCPSNYYKCGAGICISSSNSKCPLTDLIETQTQTEKQIKIGSKYFNKYRNGSTP LINFQIVPGVHPNSMCFNSKVQPKFQSGKYYPLAIVPEKGCDKYGNTFNYSKIIDSDYQL NVYDDNDFTNFQSIPYFLDYIDSIDTYTLQLMSRITINSTNPECNIVDPDSIKKMRLQGE IINSYSRYVSKISLILTTVLLITSFLFYLLKDVNFISIDFTKFQHIEYQLIITFILCMSN MALGIIYYTQADGLKGIDGQNRIFHEYQKYNCFTDEGITIAVKEVITFAEHSYLNTEPLV KGCFYGSIFFIIIITILLFLQYKRVQQFFIKPWKITQN >CAK86778 pep:novel supercontig:GCA_000165425.1:CT868585:399818:401744:1 gene:GSPATT00020450001 transcript:CAK86778 MQKTNFKNLKDLLQNKYSYQDAKQNSRTSSIAEVLQNLKKNKDQTPVSIMKEEIINFTKA IGFGIQAPPSKSKSKEKQALPKSIDKKPILNNLAPQSKGNSRNNSSQSRNTSAHSIINEN TNRSIAKDPKIPKGFQTGRLRERQEMNSIFEQQKIKILNQSQDQQKQNLNNSNVSKQGTI DLVNLLKQQQNQKQQSLTNEVINESKTFGEEFCGLNKGHFIYNYVIGKGGFGKVWKVELK KNRQMFAMKEMMKSKIIAKRSINSVMNEKELLSQLRHPFLVNMCYAFQDRDNLYLIMDLL TGGDLRYHIGKMKRFKEHQTKFFIACIVSGLEYLHNNNIIHRDIKPENIVLDKRGYARIT DLGIARKVRPDNSQDTSGTPGYMAPEVMCRQNHGIAVDYFALGVIAYEFMIGKRPYNGKS RKEIRDQILAKQASIKKEDLPNGWSIEAMDFVNKLLQRKPQNRLGFNGPSDVKNHPWLKG VPWEKLYNKTIEAPYVPINVEDMYRQQISDGEESQDELIKENQQLLRKNSVQNLFAGYGY DCNQDPTYKGTRSTASTHNTSQQNETIKF >CAK86779 pep:novel supercontig:GCA_000165425.1:CT868585:401780:402630:-1 gene:GSPATT00020451001 transcript:CAK86779 MSDLHTSLLNDNVSFDQLYENVKAQLIDYQQSVDELQVSGESEIDQHNVNQVFLQLKAIQ LEHMNIKENIIKLEQSSRGVDQRNKTKKLRDLYNSHLQRHQQLYKNMINDCGYESLKEVL KDIERMMKMTSKQDVQNFTLNHSSNNQKNAQNNSQLQMMDVQQFEQFDHLEWHNEIIKQN QEEIDQIQYKTQTINKIVQDLALEIEHQDTYFDVIETNVTTTKENVIKTQDQLTKTQEQQ KSGKKKLWCMLICAVVAFLVLLLILLL >CAK86780 pep:novel supercontig:GCA_000165425.1:CT868585:402647:403761:-1 gene:GSPATT00020452001 transcript:CAK86780 MGQCVCSEQIAVLSEAPPLTFTSKIETIREVGALPDEPLIQGDLEKNLSQTKTRQLDDSL LLEIHRPDVKEAKLTSQLFQTSSFKVKELLDRLAPFEVEDSEAYFYGVYELTNGSLYQGG WLEGQKNGKGVQIMKNGSIYEGQFSRGTANGKGRMIYADGDYYIGQWCDDQHHGYGEYYH GDGSMYKGDWFENLQNGQGFEFFSDQSSYTGQFKLGKREGYGVYKFPDGSLYEGSFKNNQ FNGQGTYTWSDGRKYEGEWVNDQMDGKGKMSWADGTIYQGEYKNDKKHGFGTLAWPDSRQ YSGQWEYGKQHGIGEYTNSQQGKRKGQWVNGKRMQWCD >CAK86781 pep:novel supercontig:GCA_000165425.1:CT868585:403800:405686:-1 gene:GSPATT00020453001 transcript:CAK86781 MSMQQTQKPATVYQVRQSSVTPPQKQHSNPNYMSCQKMRMSIIDQLSPIKDLPVNQSQRS SFYQVRGKSGSTATLMPNKTQPNRLLDSTSFNSTLNYTQESHFDKKSTTIPKGMKINNFE ILKDQLRFAICQLEDLKKSFDKVVQSQFDQVIQVIKSSAQLSNQLVEENVQIRNHLNTLQ SNSEYDKLKLIMEQLQQKITVLVNDNSKLNHQINYQQEENKKLISLISESDRKYQDLLLS TQNRLHKENMNPNMNQNLHINTHPNSSNTKGNYTQRELSYKLEQAEIKLLTLQISNDNLR QQLQHTQLDHQTFEQLKETITSLEVKSQSFLNQKDSLQQENDLLNQKISSLEKQLKDQSQ KAEYIVYINQLENDLKQIRQEQINNHQTITSLNNTISQLKEQISILNIQKDQSENNYVQQ VQSQQNELQQATSRYQILWQEISEMRERLEQRQISEDSHNMLSNAEKALFETQIQNLNDK IKDYEQILLHYESKSRQLEQQINQNQLEMDNYRRQLENMNNFNNNSLEIQELQWKLNQLN QIIQRKDKEYQVKLDELQSVKQAFDGQVKKNQNLEMRILHFMEQEVRNNSNLIRKQ >CAK86782 pep:novel supercontig:GCA_000165425.1:CT868585:405686:406843:-1 gene:GSPATT00020454001 transcript:CAK86782 MRLALCLLVILAVASATTKFNLDYSKKRSITAVMAEVEAKLKNKSPLDAILNVLRDFRDA VNTEQVNHDEIYNVQVTECESENSFRRAEVTDASNVLRDSTAALNVAQTSKIRATNQQEV NQQQYFSAQEHLNSVLSAAETEAGYFKRRGRDYEDALHAIDEASDILATIYSGSGSFAEI SRVSKSMLQTAFNIKETTKFAPVFYAFAQLAAQEGQLDESALERVAQLLETLRGNIQEAY NDFTESNAVSVAAFNDQKDRIGQTIARLEAQNERLQNKLDSLNQQIGTQSAIAQTASGKL QRNQQLWDQAQALCSTFANEYNYATQARRNELQLVAQLEEMVEARFNQVEDENHERNQRL ANQA >CAK86783 pep:novel supercontig:GCA_000165425.1:CT868585:407350:407931:-1 gene:GSPATT00020455001 transcript:CAK86783 MYQDNQDEDDKLKNDIEKFRNLLDEEVEGNHRSEYLSKCKQMSENIKKEIQKRIKKGDEN QIMKLMKYYSQQNKRLQEMEYNNVDEDTSLLHDNHQVEVQLFEDNVTQRKQRLQNVHKSM ETVKSIYEKIHEVATQQVDQMFTIEDNYTYAENKTQKASQELVKAQQSQKTKIGYRIVII CILIVIVGLMFIK >CAK86784 pep:novel supercontig:GCA_000165425.1:CT868585:407944:409055:-1 gene:GSPATT00020456001 transcript:CAK86784 MQNILLPYTKELQRLENCLEGSQDGGVYLNPLQEQMQRAKQNVLKLESIHENKKKLQADY SAKLQKLNNKLSSSMEQSLKKKETQLIALSNKLKKKNVKRKPRKMSVQDEIKEIKEETTA KVELVEQVPQKMQQKKEVTIQETPTMKLAEPKHLDEFEFFKKLNKSMDAASQRKSQRLDN IKQRLHGQDEIIHQHLEKSNKTKEEQEQNRLTQIYNKLLNLTQKEQQKKSKNKIKQKKKS LQINMSSSESNKYNNTFRYEEQRSEPNRTVRSITQDIKFINVKQRLEKLQNEREQQVKTI LDKHQQYSYRLQQNKLILDELSNTCQYYNFKIKEQYLL >CAK86785 pep:novel supercontig:GCA_000165425.1:CT868585:409668:411137:-1 gene:GSPATT00020457001 transcript:CAK86785 MLITLYFNPYSHRCLSVKTVLQLTKSDFNEKIIDVLKGENLKHAFTNINPNQTVPAITEG FFSLFESHAIIKYICINKPDFGLYPKSLQNQALVDSYLDWHQNEFTKLLDYSKEFYLKPL LKGDRVPENRVSRLIDIEEVLHFFIKTFLNNGHYNYIFDQHNFTIADIRQTIVYSLRAVC DLTSLFICNFDFEKFPVLEQYIMRIFKIPELYISHQDYFNLISKQKYKNQFIQSILTRQP KQEQITLYFHPFSSPSRAVRSLFLLAKIEYNEKVIDILKSDNYSQINPDKTVPSIKQGTF TLFESHAILKYICEQYRLLSFYPLEDQKLKAQIDSYLDFHLNEMRQITEYVMRIQKNQNG QEAKSKKQTIDQLLQFFVKVFLNGGKYKYIYNQKTISIADLSAVNEILFLVMANYEFNSV PQIQKYIINILDNQNVKQSNKEYFSSISSNQHHNFNQFNKQLIASQKKRGCC >CAK86786 pep:novel supercontig:GCA_000165425.1:CT868585:411211:412185:1 gene:GSPATT00020458001 transcript:CAK86786 MKYQSLSNDIRRVKRIEQIKEDQLNKLISNIGQEIQQNIDDPYKYLIKRNYSIEDRTLIK RDNIQQRRPKGSMSIAEIWTKKMKKQCDKILEEMKKKQNKQMLDLSKQQSIDNRQIDESI QSPQNASAIIEQLGLKALMGKTKQKDKNKQIVQSPQQKLQSQSQILTQSPQPIRDRFASN FHFEPTQYSPIQQYHHNSQSTSCSTKNLINSNFRKYSIKNEVKREVNKCLYECDSLIQNY QDVDTRLKQPSKSRLDIFVEKQRREALEELIFIERNKPKNIY >CAK86796 pep:novel supercontig:GCA_000165425.1:CT868595:1:542:1 gene:GSPATT00039784001 transcript:CAK86796 DDTQGTEGTTDQHEHENEVTEGKTDDAKETEETTDGQADDQSKTQHEKENHKQEPEEPCV ILYSECHFTGDELKLCGAHPVIPNDMKNFKVKSIKVPEGVQVTFFNKPNFDDEKLHAKNE MECLETPLRLNLLELMNNLRMSKHININSISVTN >CAK74879 pep:novel supercontig:GCA_000165425.1:CT868197:5280:7749:1 gene:GSPATT00039040001 transcript:CAK74879 MLQKKKFRLLLIMDSYDEMKLENIQKNLYLNNKLKQNWSDPLVIFTTRSEIFTSSNYPFW FAPNNRENLKEIQLQKFNSDQILEYLKKFTIYSIKMLIFEIYEWQALIQNRGGLDINKFE IGWEKLQEQCLSCLGIKQFNDEVLINQKQIDNIVSFLKNNQFFNLKSSEALRSLSTKLQK LWSIQKYEKMMKQINLHKLIETPYMMEIIVQVLPKMVVKASEIINLKLNFMKNFPNMLKE SCKSHYLIKMQIMKQNKNPCQKIPIIIKKLLKQAQKVWIRLITIELPLMFGIKWKKIQIL YNFSSPNNTMSFMKKIFKIFENNLNPTNKAIFQSIIQKEKIIEVACDALQELNLTSYDFY DEFINQYHYNQIEKQRNLGKSIQIDRFLYDIRMYSINLAKIMSTKQMTQVQYQQQGFLYQ DEKKEEMWLNEFFNDDDRQFGSYKKDLRSCSLVQQKGTNFQFVHKSLQEFYVAADLYSLL VLSKDLNLQILNWTLEQLSKEQNSDQNCLEFLSNQMNSENRIQNDISVQYRLTKVDAFKK NIESTLNLLKILEKHEFSLINYSTETYIEMRKYLIQKIKREKLIIEFLKFLVYLTAFGNS FIQAGSNSFNLLVEMKVDLTNHNFEKIRIRDTSLVGGNFANCILSLSEFNNVNINGINLN RAQLFGCKWKNLKINDLHSLNGHSSNVQSVNFSPDGTTLASGSSDKSIRLWDVKTGQQKA RLDGHLGIIYSVNFSPDGTTLASGSDDKSIRLWDVKTGQQKAKLDGHTDYVKSXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXWS >CAK74880 pep:novel supercontig:GCA_000165425.1:CT868197:7825:9297:1 gene:GSPATT00039041001 transcript:CAK74880 MGCQFKIVKIQIQLSICNCHLNLSLPDGATLASSDGDILIHLWDVETGQYKAKLEGHSSA IYSINFSPDGTTLASGSEDISIRLWDVKTGQQKAKLDGHIDQVLSVCFSPDGIILASGSG DKSIRLWDVRIGQQKAKQDGHSDYVMSVNFSPDGTTLASGSGDKSIRLWDVNTGQQKAKL DANYEIEYACFSPNGTILAASCYQSIQLWDIKTGQQKAKLDGHLNYVFKICFSPDGTTLA SCSSDKSIRLWDVKAGQQKAVFDDHSDMGYTICFSPDGSTLASSIYNSIHLWDAKTGQQQ TQLDGHTYYVRITCFSPDGTTLASGSGDKSIRFWNVKTGQQKAKLEGHSNEILSVNFSPD GTTLASGSSDCSIRLWDVKTGQQKAQLDGHFQRVRSVCFSPDGDILASGSEDNTIRFWDI KSKQHFLSEEQSHEKNFEKFKLSKFRSQILLNHSIYCSLISYLQHYRSFNIQPVSFSIKR CPNLLRRICS >CAK87756 pep:novel supercontig:GCA_000165425.1:CT868640:871:2418:-1 gene:GSPATT00021379001 transcript:CAK87756 MIKQSTFSKCGKHHKDIVLIGKNIGKLCMQCITDLLAAQENSCPSIQDAVLLPENISNLF IRQLEDQSKKNTEILMKIKIYLANWKSYISKEIDKIIDKIDNQIYQINDTIKGIARTFLK MEETVQFSAAWGNIKSKLFKYSDLSNDIVQSVFLLQQKQQELYEDVISNTGLYTTNDIIE ALEELNKYANQNDEEQQNQQIFEQLLDKSVFQEDLCRAIAFSQDCSIMISGHVSNKIKIY NFKNEEIKFNQELSEHTNIVQCLHFMKHFNQFVSGSFDKTIRIWSFDNSQSIWYCQEILR EHISAIQCMIMNENEDFIISGSRDNSIKFWSKGQRWTCIQTLTNHDAWVSNLSLNHSQNQ LISCQAWGDKLLVYQLDSNSKLWILKQTIITTFGYSLCFIDDGQFVYQPKGIDVLHIYQV EEGTKKYKKAEELQLNSISESYDNFNMQFIKENSQLIHKSGKCLYLTRIENNEFILEQQI KFETEYIVGAITINGRYFVTYDDKNKGFQVRKNIK >CAK87757 pep:novel supercontig:GCA_000165425.1:CT868640:5216:8853:-1 gene:GSPATT00021381001 transcript:CAK87757 MFSETEHMIKKTLEELQDSIKQIYNMIEMEDKSYLNFLEEDKNLAELSIANLEKLIEIER GHTLNDWNEVKKIYLIQLEERKNWWNQEIQAFSQKLNTEMKGITSLINVLQIKQKEQVYE WKDDIQQVLTTTKHIDDQIYSVINQILRNETITDSLKYLLQDCNPKQYQQYISEIKNLSY IEQQSRLYTVKKNIKLIANVLNNIEDLDFNQEDYSSDVYLQKRNNLIKSIQKEEKIIKFL KFLVLFTSIEKNFIQCGSNSLYLLVQMKVDLKGQCFENINIKDTSLIGANFAMCNLSGSQ FQNVDISGINLNGALLFNCNWINLQINELNKVYGHREQIRSVCFSPNGELLASGSYDHSI SIWNVKEGKQDFQLNGHTNYVLSVCFSSDGKILASGSADNSIRLWDIQKRKQKQKLNGHN NSVLSCLVAQITQFVYGMQKLENKNGKWTMKLHRFILYASLLMVLNQHLVMQITQYVYGT LRQDNKNKNLMVILIQFNQYSFLPILPYQLLVVQITQYFYFILKLYNKNKNFSFTLIGFN QQASPLISPYYPLVSLQFNNFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXFAGKWGGIECSQSLRSLLRQLNTVMGCQNWTIKIKIRRSHSLGLITSG SADNSIILWDVKIGQQIQKLEGHTNWVQSVNFSPNGFLLASGSLDKDIRLWDVRTKQQKN ELEGHDGTVYCVSFSIDGTLLASSSADNSIRLWDVKTGQQKFKLDGHTNQVQSVSFSPNG SMLASGSWDQSIRLWDVESGEQKLQLEGHDGTIYSVSFSPDGTKLASGGSDISIRLWQIN TGKQILKIRSHSNCVNSVCFSTDGSMLASGSDDNSICLWDFNENQQRFKLVGHRKEVISV CFSPNGNTLASGSNDKSICLWDVKTGKQKAVLNGHTSNIQSVCFSPDSNTLASGSNDFSV RLWNAKNGELIQQLNGHTSYVQSVSFCSCGTLLASGSRDHSIRLWNFEKNTIYSVSFSYD CLTIASGGNDNSIHLWDVKTEQLKANLQGHNDAVRSVCFSADGTKLASGSDDKTICLWDI KTGQQQVKLEGHCSTVYSVCFSADGTKLASGSDDKSIRLWDVKTGQQQAKLEGHCSTVYS VCFSTDAMISLSFYGMFRQDSNMLN >CAK87758 pep:novel supercontig:GCA_000165425.1:CT868640:10595:12364:1 gene:GSPATT00021382001 transcript:CAK87758 MSEENDESFNQQEPHIKLIKAIKQNNIQQINSLIQQAKNENIDIINYQIRYQPIHLAVWI GNMKIFLLLIQNGANVDAISDNHMNCLTIAVYRQHVNLVQALIANIKDPNYLSKRGTALH IAAQYDNEEIMALLLKHPKIDINLKFKNQTPIXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXEDRSGITIKQYGMAFKAMIQQQVGKKDWNTQDNIISISLINIATQYFYYEMELKTK LQLIEIKDPNQIVQNFNKNSSNLLNFQQDHQEQLIQQSIKLSDFKILTCIGKGSFGYVYE VELKDKQSNNLDYVLTEVKILRTINHPFIVNYLMSFQTTKNLYLVLELLQQGDLAMFMTR GVILDLNISRLLIGQIVLAIEHLHKHDILYRDLKPENICIDASSYIKLIDFGLCKYITEN ELTYTLCGSPAYLAPEVLNNNGISKSTDVYQIGLLLYEMLTGYPPFYTREINVLINRIKN ESIYIPMNLNEDAQDLLKLILKRNALERINIEQMKKTQVF >CAK87759 pep:novel supercontig:GCA_000165425.1:CT868640:14455:15459:1 gene:GSPATT00021383001 transcript:CAK87759 MITLAKKSIDQDYEILIKKSIYKKKQKNQYNTKDDKFQDYFFEQNKLKVCKLVDFIQISI PKLKSNVQIPRNSDNQYQLGQLNNDFYCYNYKINRIDRQGSITTVTFDPIQRCFTPVENV IEIFGSRSEVLKQSFEKLHSKKLDSTQYKVMKELDLLNFENAINKPCTGYISKVFEGQVI TQQRFMNDLYLNAIGINSEMAVRHAMETGFLPIPLYFSEDNSSGQHLSNFFILNTFNQSV NNMQVCNYNGQQFPVKITFHNYYCYKETEKCYYEYLFFIWDIDKKWLSKQRVHENYLDYF NLKSSPESQNQIYYNSDQRCGYRNI >CAK87760 pep:novel supercontig:GCA_000165425.1:CT868640:17527:18372:1 gene:GSPATT00021384001 transcript:CAK87760 MQSSYQEVIQKYQMLVQQRSHIKFFISSYGTLVYQIKLQNFIISIYQFLFQQKKKLIQML QLLGNKDQNQSDCISVNVFIHLLQANLHLETSNPPDIPFYIFINGFKEQIFAQIPETQYE QTIECYLDKGGLISQYDNRTLQMLGFTNNCTFHMTLKLLGQRINDKNNKRLYKVLAELKN KTIQLFVEQLKTTPVSSVFHDILVELFQNYQLDISLPFVFFINGTEVQPFDIRLIQDFQT KQGIIIKLVLPKKQPNQDSIEKLKFALNKVSRLNVVKEKLL >CAK87761 pep:novel supercontig:GCA_000165425.1:CT868640:20045:21391:1 gene:GSPATT00021385001 transcript:CAK87761 MANFPLIMIILSFGSSFIYYDTGMTYDFDYDYDSDFDCRNGYSKIVTTTFSDEFEQIPQV FFTNEKFDQDTAEVEFNLEITTITKTSFTLQISCSVNRVYRLNLKWFAIDDQRIEVLSNF NMENPDDKTFQIKNPNAQTGFVIITSFSYTGPIDFLLSISQITTNSVTVSITKVEGKFTN LRKIGYFVVVGIEEAFINLGLQTTTECFSSGDLAIQPNRWFAISLQGLNYPNDNNLRIKA IHSDTPTTISYTWGTWDQSETPNSHSQIWIAYQFTKIFKPLECFSIRTSRKQVKDLTIFP TFYLEFVQSNQIYTTDGDYEYYVDISNAPFKMGIQITCENGKKIKADFNKCNSCSYQNTH SYTYNCFNQMNYIGFFPVFQQAFPQYNHLKINMQSSSLEIINVVYDQVITEKTIVNIQIL DQ >CAK87762 pep:novel supercontig:GCA_000165425.1:CT868640:21728:22093:-1 gene:GSPATT00021386001 transcript:CAK87762 MNPNPDLQCVRLQFPCPPVCPCQSIAKRNWVHQSCYKNSYITEKGDIFCNQKDCQSYFIK DAQFQCQEVKQSSSYYKYKSISEFAMGLALAIQAADYELKGNNLVHFITTLNSQVAIRWN S >CAK87763 pep:novel supercontig:GCA_000165425.1:CT868640:22527:23759:-1 gene:GSPATT00021387001 transcript:CAK87763 MHKNKPFLGDKKMKSYRNYSENLNIFIKFFSATFGVLAIAGSGALLTHTKVMNKQFTTTL SSLVERLFLPSLIFTNFLKAVTISSLITLIPSIITTFCCLLFGYCIGLFSNKYWIKEKKL NSIVILSSANPHTTNIQLQLSYGLTTYLSKMTEQPEKQIEVKLVTIIIIQTVIVNALRWS IGKTILEQHESDQNQAEIELSDLRIALPEQQTQNKNEPKGEKSFWNMPLLSVLASLCCLV LYPIQDELINDTFLHSAIFLPLQTISKATTPSVLMILGSNLYLIYFNNSSQQEKTSTIIQ IVANRLILLPFLGLATILLLDKLSIMTDICQLFILFITFCTPSAINILVMAKQYQQNAED VVSLILLYGYIGCIITMPIWMIIYLAIFQST >CAK87764 pep:novel supercontig:GCA_000165425.1:CT868640:23859:24983:-1 gene:GSPATT00021388001 transcript:CAK87764 MEILTIIIIVVSTTFGVFFISGCGAYLTHKKVITKQLTSQLSSLTEHLFIPTLIFTNFLK SLTLEILHQYIPCIIITLLCFIFGYLLGTLSNKYWIKEKTLNSVIVLATANPQTTNLQLQ LCYGLSKWFAMMTNQPEKQIEATLITTVIIQTVIMTSIRWTIGRSLLQQQEMELEMTNLS EPQSHCLMIPLSSQLTFKSENDSQKKSFWNAPLTAAVVSIACICVPIVQTTILSNPLIYN IIFAPLQTISKVTSPIMLLILGSSLYEIYMGNSANFGKHQSILYIVFNRILLMPIIGMIM VIFILSQNIIDDKCQLFMLFLTFCTPSSINILLLAKQYQQSAEELVATVLLHSYLLAIIT LPLWMIIYLIVFIA >CAK87765 pep:novel supercontig:GCA_000165425.1:CT868640:25070:26936:1 gene:GSPATT00021389001 transcript:CAK87765 MTKFALIFALLLICNFGKKTIYDRLHGTHIEEEFENEVVTEITMYDEEDPKLKYEKMMNT FMRLNETEVIGCRKTDHHEFFGMFFQNAGRIGNGGQNDINLIKYEDDCYESMSVSYDTND KENRVRVTFTPGKYKNSQQCSEFYLIGTTLNYNIVNIKDQKEHTVYFNFRNQKQVEAFKY SGAYIFRTCDYLENWFGDLLMTLELFFGGFSSNPYLGPIFGSQPPDWMVRSNIELIERAT GYRWQERPNVVVDLKASEIQSGDFLAVTRFDGLDQIIEWGTGGRIGHSAMIFEIDGEKYV IESQDAWYWPTKKIQKTKWEDWKVYAKNAGFNVAVLPLSPEKRAQWDQEGALAYWKKMEG QPYGYHTFLFGWIDTPTDNYPKPLSAEFATYMFSFFEKIAPGPITSLVGEALNKRLGTEG LSVSEVAIEAAKQGIELAELYAMVERDEWVYSDGPSQACAAFVTGMYKAAGLFKPFHIEA LEFTPKDIYQLKFFDSNYEVPKKCKKNDPDLPYCQLMGTHRIELEGYNTVEPYENMNERC PSVAPEFIRPDGC >CAK87766 pep:novel supercontig:GCA_000165425.1:CT868640:26937:29255:-1 gene:GSPATT00021390001 transcript:CAK87766 MSGNNFNQTGSKPPVNNYNFENVRAQQPAPGGPQPSRGKPLGTAARQPQAEARPMTSNRG ANFGQKKDPFNSTQNQINLNKPKLETNPEEQFKAIEKEINTLIEQSAMAKLRGNLSECLE KAKEAFNKEKKLRQSKEAQNLVESINPDLSYCAALTQACALHANGLHQDALAKYQEIIKC KQYPQAGRLRVNMGNIYFEQKKYSTAIKMYKMALDLIPATSKEMRFKIQKNIGHAQVRMG KDKIKEAMTTYEQILKNSPDFPTGFNLLICLTTLLLSQPSKYQERVKKRIMKNKGTTITD KLREDTKKRRKKAIYYIATSAKLIAPLIEDDIIIGYEWILEQLKNSTFPEAETEIEICKA MAYLKKKNIEKSIETLKGFEKKDKQIMARIATNISFLYFLENDYKQAEKYAEIAITYDRY NAKALVNRGNCLYVKNEFLRAKEQYLEAIGVEADCIEALYNLAYVNRKLNMFIESLQALD KLQTIVCIPEVLYQMATLYEMTGNSKQAMKWYLELLNKVPNDPNILARLGSLFAREDDEP QALHYFQESYRILPTNIDTISWLGVYYVKQEMYEKASLYFERAAQVQTRDVKWKLMVASC YRRMGHFQKALGNYQKIYSDYPDNIECLRFLVQLCREMGLPYDEYAGQLRKLEREMEMME GYQGGQDINLINNEEEQVRLPQGDDNPVSFTNNTRRGNKQPPPKTNVRQNLDDEQFQDGV EDNFLP >CAK87767 pep:novel supercontig:GCA_000165425.1:CT868640:29317:33067:-1 gene:GSPATT00021391001 transcript:CAK87767 MKKNRFQQQKEEQKKQNYFDEEEDIDLEDDGLFGKEVLTASKPYEEYFQKFNELYNNHIV KFSAFEEDYQIPLTSPDYESIIQSHFMVYEKNMGLFDFMKSDNKVFDKISASFVFIDNQI RQIEDQVYQQLLFYSVYKFLDKLTIYGELADLHLEEERKLTDGEAEIMICRMLGQFKAIY DIIKKLINYITHLVNQLNLLYNKKDPISKIIKIFNLNFPLDTIGRALQLLYILDNVVEQN DYLQEHWNFCKRMIKIVKPDPTKFNSNAQNVRQLEKIMIRLDKTVLSGSCLQSVLAQNWD QNKNANIPAIKNNKEFYNVLNTYLKERYELFDKTLGTAKEMFERDLLLPTFCVYALVRQL YQNDENRDLWKNLWSLQKKVPMVDGHSQVLCYLSKFLMEVTPLSKKSATMDPKDPIANFK GYLQRLSASFQSSLTAQYVAFTTWIVRVDSFSCSQASFNNIDKIDRERAQKYIEERMNQR VILVLQGLTIASQTRNLLQALLLGHFGLEEQSLDMSLSKDVVLAVEMLKAIEYYFEQKYD VFQPALIQNHITKNGQRIIQQVLEKMKANIKSFGTGGAEILGALQILNSILKSTLSPLKL QTMYYLMDFMVAKDIFTNSEKEDFRNILWKLDKLILLPFYVKKVTASTYFYWCRELLPSF FQYIYQNPSQAKRFQFLLNAVSDCSIQLKDCMHLENPDELFDSFRQYVVKEFYAQYLQPL ARDIEDYLRIQVHTVLIEKITALNPFKQQVKDLKRLLDIDYVLIFDSIVNLRTEINQILN ETFYNINVLNMYDQETYEQMRSLANSKFGLNLINVYLPTQTVDQGNFDVLNVLKNIQQFF TKYTYNLYQQQFVQVTSESKQVYSISIQQIADSIRTHGIGILSTTVNSVYKFLQKKIQMF SQFLFDDLIQSPLVREDRFFREKKDELDGQYPYDRAEALYKEIKKMGTFDDGTNYLDKFR TLITQIGNSLAFVRLLRSAALHVNSKCLEYVPSQYNPTQLESLTREAGFSDATVSTAKEL EEIFTQYKSSFSEKIDYINLLLKAFSSINTPENEHLSLFYLIVPTLTLNFIEKMLISKDQ IGKKNSTTEVFISDDGFILGIQFFLTLLDQKGQFESLHWRKEIKNKFNIDLKSAKTLATT KPNQSQRATDEINMQKELSLRKLNMQYDEFQMFFYAFNSCKILFLGE >CAK87768 pep:novel supercontig:GCA_000165425.1:CT868640:33102:35433:-1 gene:GSPATT00021392001 transcript:CAK87768 MSVHRKILEKSGRLDSLQQQQQQPEPQAQEYGKQNSDNQDSDVLKNLEACEQYNSINSIR STSRVFPRTKQVYMDAKIPFAVIIQPHGQSIEQGFPTVNYGNNPILRCQNCRAYINPFME QLKEEEYMRCNICTCIIKIPNNLLKPVDLEKRSDLTTGSYDIKAGQEYQVRPPMAPAYFF MIDVSQKSQELLGIMGQIIKDMILEDKFNERTLFGFITFDTSIHLYNFSSKLKQVQMYVL TDDNEMPMPGNYLFDLQDSKDIIVAFLNQLDHLFPKPQLRSTQFLSAFKLAQNIMQDNGG KLIILTSSPIKELNLTDNAKSPQNHFLPTNNVLKQIAEKMHLNYISPSIFVLPCGFNNVA TLNQLVKLLNGDIFYYDDPTIQAQKFYYDLRAILHKEYTWESVFRIRTSIGWKIKSIYGN YSIKNADLLNLICAEDQKVLMYELELNQPRAPYDNLYLQTALLYTSTKGERRIRVHNFCI PISNSIKTIYSQIDQSCLAISLYKIALSQLNIARDVKDCIQTKEFLITATRSISNNCKIF KEPLESLNSYMLGIMKSSILNYAYDQQSVLTDRMNYFRSIFQYLNVDELVTYLVPQLYNI SNLQEQDCIYDDSGYFIYPQPISLVLEEVSNGGLLLMDCGHCLILFICKIHDENQMSDIF GKQYCSINLIEDNLYSNSKNIINDKLHYLIAELRKQTFNVIVFSNKYTKYAPLYIVKQGC KSYWEDVFFQNLIYDDFNKNYRMGYNQFVQYIG >CAK87769 pep:novel supercontig:GCA_000165425.1:CT868640:35458:36053:1 gene:GSPATT00021393001 transcript:CAK87769 MIHTLYRWMPRFRFASKLGSSNVASGTQTSATQSNPIPVYLRPYEAKKYEVPSSKIKLTT GYSFLDVEPMPRAKIMKLCYTILDKLKAEIPEGVLYRIYTEEKLKYIMRITDEIEDIRQL EEEFGFEQIEFLIQSLAKEVDLVDQMKYYKPWEKTQEDSTFELLRQRHPALKHQRNERPP REQTKFIGN >CAK87770 pep:novel supercontig:GCA_000165425.1:CT868640:36092:36851:1 gene:GSPATT00021394001 transcript:CAK87770 MSLLEEIQQEVRFLFVSFVQITNYFPKLTNKLQELGQSTITIQQPNTALKGIQIIISVLD DLFGILLEIHTQYAQLEEQLQKYEGECRSHIRTEQRLKIHCENIQELYEQQLNIEKDHKA QILSYQKEITQLKKDIEDNKHQKNQQLQHKTQSIIDKILLDQQKQTIQLDIKSRSNTFHN NFMNLNQQKQSMCLKTNTRKNRMATEYQDYQTKQILQVYNQFQIID >CAK87771 pep:novel supercontig:GCA_000165425.1:CT868640:37023:39898:-1 gene:GSPATT00021395001 transcript:CAK87771 MQIKQNVIQSLISQNSAIENLVQREGLQLIGRSRFNLGDQSYGKLNDTEYNKKKTQITLL KDQQYIDAEQLKRLEEHNEDQSNQQQKWVILLLFKIIRPEEPKKIIWDLIGMSFIFIQMI TIPLILTFSFEITGGFAIFNDIMDYYFLIDILMQFQTGYYEGYQRVNIFVGRKQIALNYL KLWFWLDLISSFPYDDVLSLFIDESNSQSLKRNTQIIKIMRIVRFIKVIRLMRALKLKKI INQIEDTLFQDKTIISIISFFKICLIILSLSHWLACIWNAIRFIEETDNNWYTQYVINFN QEKDNDPDFWFNQYVAGIYFSITTMITIGYGDISPKNTVERSFGIFVMILASGVFGYVMN SIVLLFQNMNESLEDLLNKNTAAIKYMKQKEVNKKLQSRIKNYLEWLIEDEQLQKSYAHD TLEKLSLPLKNQLTQIVHGKMMNQIKFLSKNFSSLLLKKLAFTFQEEIYNPEDWIINQND PINDQTSIFFILNGRVSVCFPKSKGINELVELSKKQYFGEISFFGNVPRCASVKARNFIN LFRLSRKSFLEQCEIEDIEKFFQLKFKIEFEQDYEDLNLFCYVCQAPNHTAKHCPNVHYV INKYDRITIIEKYNEEIKLFINERRRQRKKCNTLKNLNNKRNQQVIQQITEEAFNLEKYI NFHQTKPSVLRIKDSFRTDSIKEYTNFTPMSNLNSIARLQNYNAELYAENVRQQKEIQKK NKLKSKFVQLFQNKKEQRQSLGNNQAGNKFSKLVMNLTKLKKAQDQQQQHSEQESNQISI TKLSSPPTVKPKLLRAPTMVSRKLNEGKNTRLQRLPRKTYLGQICGLEKYNYQFMDEVIV DSKECSAQPLKQSSAIKVKKKKTIIIQKSTEATIIDSYQFPDVSFHKQLKRSQSSDELKS NYDLEKYSKLFLEDMIYLVTNYKLQNCK >CAK87772 pep:novel supercontig:GCA_000165425.1:CT868640:39969:41345:-1 gene:GSPATT00021396001 transcript:CAK87772 MSKVYDLYIHLLVKQQQSPMISTISKYEPSGFKDDSNPLSMANKVRVMRYRSDKLLLPDT ILQHYINQFIHNRQLFILFLRQLTSPILEHELSDQQQIENIIQQIELDSILFQQLNLWSN IFQLTHQQLQSLFLFQNMSDYLNFLQEFQLNQLQRFQNIDLSNTKTNEESAKIEETQIVY VNDMYENHYKPSSKKKLASFTHRNELSESEQNQILKIIQKKKLGSIRDIFNTIITQFDLK PKIEFNEQNGQWICCIEIKEIQSKQSTQNKSQSLICSQIHMIKQLCPIVFDYIIENSNQN IDQIKSNKGIINLGLPISKSNKLMPEEDYLKQLPYCYEMIENYIANKNNNETCSIRKLQN EVITYLIQKYNQFAINKQLLSVASTYELNMTFLENDSLQSIQKTFTTKLRFCNARLIGQQ YIINVIGNLIFGEEGSKQFDNINQFLLQIQ >CAK87773 pep:novel supercontig:GCA_000165425.1:CT868640:41401:42923:-1 gene:GSPATT00021397001 transcript:CAK87773 MNRFCDYKSCQYFIKQPTIEQRSKLNKVMCPLCMGANYCSTRCRDLDWPIYHKEICKKSQ PDRARSCNDTIDSCSTTSVRRRPEEFEIIMIGSKQELGRGSYGSVKLVKDKQNGLLYAMK IMNKRQVFEYCSVENLKREIKIQRKLVHPHICKLHHYFEDKENVYLILEYAQNGSLFNYI KKRSKLPENEAFVYFFQTCLGIDYLHKNKIIHRDLKPENLLLDHDGNVKICDFGWSAESL TEKRMTFCGTYEYMAPEMLNKQPHDFSLDVWSLGILLYELLHGNAPYRGRNNEELGNKIK SGQPINFAPTLSNEVITLIKGILKYIPGERLTMDQIFDHPWMVKHASSYNIDIWTFVYKT QYPTRQIPTANFQQKQKIIEIQKKDPIARPISQDYNKYKEQPINNNATNYKAYQNDYNNN KQEDFNKPRISRVSNRNEIMMHQHQLQQQQQEEKLSFMDRVFLAFGCLNREKK >CAK87774 pep:novel supercontig:GCA_000165425.1:CT868640:43689:44938:-1 gene:GSPATT00021398001 transcript:CAK87774 MINNHPCTFQSECVSLYNCIDGICTHKNFFPPTFPELIGMLSIAIFTGFASLAGIGGGGV VVSLMTMFFNYSQKEALLGVFLPIFGAALGNFLNLAQQLDPQTKTPVVKIRSAIVACPAM IIGSMVGLILNKILPAFFLISILQYFLFLSCQKFYKTALKEWKNENQRKLTQNSVEIPIL NKLQEEQTLQGASLKENIDLIVAIGVIVVTVLLGFFLRGSPNVESIIGISYCGFFYWIIT LGLVVVLYYYFEYIFDRFQKEELKPLKKECLRDSFKAGIFNGFGLGGGMILIPMYLGMGF TTIQSTGTSSFNVLLSSFQVAAQVIILGYMGTLQAFSLFTMTVVGCYLSSSYIFNNLKKR DRLSLIMWGLVGFVIFAMVNLAIQFIQKWSHNGYQISELFAFQSIC >CAK87775 pep:novel supercontig:GCA_000165425.1:CT868640:44960:46336:1 gene:GSPATT00021399001 transcript:CAK87775 MNSGEYQKFEELGLDQWLLKLCWKIDYKEPRPIQVLSIPPLLQGKNVLISSQTGSGKTAA FSFPILQTLSQDPYGIFAIILTANRELAVQIAEQIQIFGASVNLRLALLIGGLSSQKQVK LLGQIPHIIIGTPGRCAELLSIDVNFQKYIKNVKYFILDEVDRLLEPQIWDDIKQVYEQC ESPQIALVSATLNNVTQQLKDEFANVKFVECINNPEQKVSETIKHKFVLMPDLVKDYYFI HLMKKLEGASTIVFAPTCRKCHELNELLNHFEIKSTCLHSMLPQHERISNLRAYRSQKTQ VLVATDVASRGLDIPNVKFVINWNVPKVEADYIHRVGRTGRAGRRGTAITMMTQFDVERI LAIENLINLRLEEIKFNEEKVLSNMTEVTKAIKTIKIKMQQDGTTEKFMDLQKKKVKSKQ KKKESLEDQSS >CAK87776 pep:novel supercontig:GCA_000165425.1:CT868640:46337:47135:-1 gene:GSPATT00021400001 transcript:CAK87776 MNKNKESGKQVQNIKVNDSNSNKNKELQKLVQNNKHFEFLSSGKIKCLLTHHEILPTLQE FNNYLNGKSYKNAVENDIDFTQFEPYIVQHKTDKNKLFCNLTRQNISKKKSVVLKHVNGK RYKYYLSKYLEEQAKEEQENQQENQEDEGQNELEELNNLVNEAEQQEQPQKQKNGILKGG KKINKKKFKQQKEEKIEVEEEIQSKQKKQQQDGKQVKKLKNKQQNAQVE >CAK87777 pep:novel supercontig:GCA_000165425.1:CT868640:47254:48468:1 gene:GSPATT00021401001 transcript:CAK87777 MINKASSLTRMTFRMFRMTTKNQTRYTYGKLDHFFAHYEMSEFESPKITINKFQYLIDDI FYSNSLQFNTSLASYLNANEIEISQLLILLEKIYQNNVYLSRTNKQCLTQTILSKFDSFN EDIISVINQACLLEFINEQLMELFNLSLIAVYFWLSTFKIQQSYKKRSFHQKVVRTCHKI SGCMFSQISFLFKGLFYIELEQEATYLGQIIELYKSFYKLLITNFAENQDFQNMTPKEFT ILLTALLKLKDQFQSQKQVLQHNPYQIKLKKQAFLRLVNQLYYQKLMAEDIISVLQYNVQ LQQQQQFSQRIMIVILFEMHFKWSYDVSKNHLLNLISNVNIREIPDSNLAVTSSCQPYCP GINYNTQSIIQNRYFIFLIDRK >CAK87778 pep:novel supercontig:GCA_000165425.1:CT868640:48669:49409:1 gene:GSPATT00021402001 transcript:CAK87778 MQNSLMYSNNALCKTEPEESLGSKALDILKEKIKIFELEKIPYLSNSQKKRLADKQRCRS QKYQIHPKMIQPQQLSQNRISNKEQQISSQNRFSLQILESVKSRLSIQEAKLMDIPLVKV SRERVLTSKKESENNISLILMEKYYQATIKYEEKQQKQATKRSQPKHTSKLMINNQAVKN FQRKIKNSRRELLKELNTSLNDHQSNIQQQQLKSISADGQNLQEKNNIRFRPVRTIYLKR NYSTSS >CAK87779 pep:novel supercontig:GCA_000165425.1:CT868640:50517:52180:-1 gene:GSPATT00021403001 transcript:CAK87779 MKQKKVFQVDRERLNKLKTKESTACLDWALKQPNINELQSTIQQMSFIELHLEIENIEMQ GVCHILCNNQMQLKFISIANGLLIFQETNCVVLSDCVIQKKKFHCHHNQLFLIIVQNHGG RLILIFQHPMSQKEWFKNLKLSAKQIDFLKKYRIQDNICLNFYSISHKKKKKKFAAQIIN RKTFKSYDQQEIVNNYIKILRNTNIQNVFPIISIFDDNDILYLLTDQFIGSSFEQLLSNQ KTIVTQADLAFIIFSVLQNLRSLQDEDLFHGNLNLDNIIIVNQSGQLGVYVINPIYKLYK QKQIDYYLNIVPDYLLAPEINENQTPSVCSDVYQLGIILMLVSFYSISQKLNNSFIERVL NNKEILTSQQQIKFQKCQDSDFPYLFSACQLDLIMQMTESDPSKRIQVQDALKHAWFINT KDKIKTQKQNFNRDLPSLKTIIEMVEQSDYDIKRKSLQSTAINFKLPLQKYEFSPYVQQQ PELSPLRKTSESLNEVLDEEHQISNLIDQLNNKQYIMLPSQYNHFTQAKSQLRLVQTENN LDQLHI >CAK87780 pep:novel supercontig:GCA_000165425.1:CT868640:53134:54559:1 gene:GSPATT00021404001 transcript:CAK87780 MASLKYTINQTTTQDRETLANGIAHIQFPTNEHVWIVQNPQKYETIVIKFDIPCKIKDFI ISIKLSLSLDTKSCSQVKISLYNITDDSVKIQLKQLETVNFITQNQQKKIQNPDFEDNTY QFAEITFYSQNNELSIKSLQVLGQSGQNQTLSQYALQSETNHMKQQFRPSQFPLIKSSEK ESLIIVSDLKINPTNQISQRSAQMVQKSQQFSLFNKTQQQKKQQNHDKQSEDFQLQRAFE ESIKTHKKELQKQEQQELEIEKQIDDMYCEEEKDNNNKRHNLQSSYDSINKQLDEALLKQ QRFSQPKSIVKQQLFSSINEENNDVIKVQIMNENQMSEQEYEEMIQYHSNMVNKDFVQYH KALEESFFKGTSLFEYMNQQFKPLQYQHVYIVKDALTDFEYKRLELKVINFGGTVVKQVT NKTTIIISDKPIQVDNKKFNICVLSTKLLESCSIDKWPQFEKYEVK >CAK87781 pep:novel supercontig:GCA_000165425.1:CT868640:54629:55229:-1 gene:GSPATT00021405001 transcript:CAK87781 MIQQQLDNQPFKFSTINFSNPNSSFHKYVKDEAQTQQMPESRIEVWHRASISTEESQEDS ESQKIIKKGKISKTKDKDQPQPQKSETKNIPKNFGVLLKKYLCSKNTDNQAIKAFLKNGE HKKNFSRQDFTRLFNDPVAAELSRQYFSGFQIIHDLMISEKIQDVKNHMKYISKFYNSTY NKQELDELKLQ >CAK87782 pep:novel supercontig:GCA_000165425.1:CT868640:55755:56670:-1 gene:GSPATT00021406001 transcript:CAK87782 MSTNLDVRQGCMEQIQEIDNQQKLLVEPHYRDDEEQQVDQFQEDDQVPVYSPYQISIFRK PIPQTLDEFHLDEDLKKKVCIEECSTNEQSLDHHAMGDLEILAVMLERENQKKFSYPKNL PIPTNVEEHFSNLRMRFQPSQKQEEFISNYIKSDDIMRIKIGLCDEILNDEETLQFEDWV EQLCTSTNHESLKEMARKQKVARYLQKKHSRTYEKKVHYHIRQKVAEERLRVKGRFVTWT QALKMLNQQDTIKSWTHNDYFKIKNLLNEKFGAVRSERSLNQ >CAK87783 pep:novel supercontig:GCA_000165425.1:CT868640:56929:58526:-1 gene:GSPATT00021407001 transcript:CAK87783 MQVIYQMSCLRKHILKSKTYFLEVHDDEMILSEHKNMLNAKYVVSYKWETRVMWKVSENE ELCAFGIYYNNRIKWFDSDHNSLNLLKIHLSARVFFGNISIFYDSNQILGQGASSKVCLV KCKKSISHYAAKCISKKYLLQKKSNDRINRLRQEIEILQKLDHPSFIKLYEIYQGENSYY IVTDYLEGHTLYNYIKTYPDDHKQVSYTNQIIITALNYLDSKKIIHRDIKLENILLQKPN EITSLKIIDFGLATYQQPLQKLSVCGTPGYIAPEILKHSNNEEYFTQKCDIFSAGVIFYK LLTRRTLFRAENTLEIMEKNKQCQINFQEFEFKLQKETLSLLKAMLDPNPKTRYSAQQCL DHPYFNCKLENMNILQEILDKATGFSGITQIIDQKETHSIGQECQAAQVKRCNPTSYKIR IEQLKRSKSPRKYADFQLYYPSFCQMNSLESISSKGSSYNISHIENLINDKLDSVIEEDE KQQIYNL >CAK87784 pep:novel supercontig:GCA_000165425.1:CT868640:58796:61058:1 gene:GSPATT00021408001 transcript:CAK87784 MFNNYKLNLKENEHFDQFKDKLEDSLKEEFFLLIDEIESQLNLHVYEQEKLLDQINNLQL QTQNKLDQELANNFEYQKQISQLNEQLQQQNTQISFLNKSMKNVYIEQLDLFSPIKAPSL SPQITRTQSINKQVKRKLLMTDKENDNHVNCYSSIKKIRQNEYFLDEQEFLVYFKEQCMK EFLDKQIEREQELFSLWQKMQNQSLEKCQLLQQTIDFVCSQMDAYKQQYHSDIKIYTDAL QQLEMRVSKYQIAFQHILQNNLTQIDLHNIIQLAKTLNSEFNNVNDLDKLIDLLMEFNQT SQKFNMSLQNNQNIKIQNLQKKIQELEQQQKEYSDIKEQRQELLELSQQMNNKIIQQSNQ IEEMNQQIASLTQLFAKALQDLERIQEENETLRKSYNCNTNFSNTITTRTQEGSVSLKNH FIANRYSTYIPRESFSCKNSYRIDQRQTNFSSDFKKSQPNYELDDICCLLDQLLNQGYTS NTLDFINNFQCQSGNFKIQMLWQKLKDFIVIAYYSQNQQDDIFTSLNKFITDLKLPINQF EFINEDGLQHTANKLESNSILLCQLLHRLASDIHDMKLSQSYIVEPNIKQESQKDYSQCI MQELTNLSKQLIHICQENDDIIVLQIERQIEIQEQLKLQRNKQLRDIQTSTCKILMELFK EWKQNYLNISQILLILCKGWQQLQQQKQDRVLDGIIKNVHDEIKQLQQSNLGQIISQELK AFSFIYKS >CAK87785 pep:novel supercontig:GCA_000165425.1:CT868640:61574:62016:1 gene:GSPATT00021409001 transcript:CAK87785 MDQVKKNPIDPKDKVFQLRGSAKPRTSFFVSKIFLKKFDEVEIHALGDAISGAVRCAETL QRQGLATIQKIETLTQTLERGQKVKIIVSLRVTPDGKRRINEEIKQ >CAK87786 pep:novel supercontig:GCA_000165425.1:CT868640:62754:66634:1 gene:GSPATT00021410001 transcript:CAK87786 MFPQQILIQLPQHQMEIVVQVKIGSGTQGSVYQGFIKTLNQQVAIKVLKELNPREEKILQ SIKQHKPKHIIRIYAIEKLKNQVYIVMELAEMDFFKFMNTNQFSTYDIDEKSELFLQMVQ GVQEFHQIGYFHRDLKPENFVCCRDIRQNLTIKLIDFGCSKEQSDIGRQTLQVGTPYYMA RDVLNSTNYTKEIDIWAMGAIWYEMITLQTFFQGINERNIYYQISTIQTDQIDQKIDKLD KCQVEFREIMKQMICIDHQKRIQLDDAIEKITQTLQKIKFQKMEAKIKIQLEKEFQEKEQ QIIYQNQMKYENLYRQKKLDDENQCKQLMEQHQHLYEQKIIEKENELRKILEQKQQASDQ NQKNYEVQKLEQTLQFQSQLNSYKLEIEQKYQQEMKQEMQLLQNKSKESLLYIKQKEDEE NKKLINEEFQKQYQLELSKKQQEYQIQFQNQYIQEELNSKKKQLNYFINLLQNAIQNHIS SVNQQRSEIDSYEFNNSDKQYIINQIQNFISQKQNQLYQISEHISNLDIKNEYQQLQFLI ELESKYKNEFQIHIQENQMIQQQIQSFLISFVKDQQKNILLEKQEKEEKEIQQEMNDQVA KYQNLDKKFDEQQMEIHNIQVICEKLSIFNYTVTNQFKQLKFHADTIAASVNQLRIYYSS KENKVSRDLINYLQIQKLQIDKSIDKLQDDILQMKNNLSIQEEELKYEMKNDMQKIQDQT KNIEFKIQYKKKVNDEQNENNLNLLQQRLFNIRQLINQLNTISQTDIPYSNIKEYMEIKS KYVEEEKNFTDLSNKLEEQQQRQKQIKIIKTKQSILNMQIKLKSNQFQESIYDLKNQLSQ MEQNCKQIDNTQIKNDLEKKQTELNNLKGLAKQKYDQIIQKTEQDMVFYQTIESLQEEAN SIQIELENKKNEQSNQIAQLQDLFQQILKQCQSEINIQFQNIKDELQQLSQDFNQKQIVF MNFDIQNYNYQHIIEKHQLKQDEFQELMNNKMKNSYKILKQNMELIKNRQNVKKHEKFKK IETEIYKKSEENFQHLDKQIKEIESFYQKVEFFDLESENEKQKDWNLKQISIIEMNKRFC GLRGQIQGMQKSYQEEITTLQMEFKELKEQVCFLTKEIPSNDQIKEFQERFHNNDQSFLI LIFLTILIKAFVLKKYVIRLQDFKEKQNKINIQAKPVHIDFREKLTNLIEANQIIQKYES FLKCKRTVVLREELIEDEKKIEKQIQEIYNYVKTVNTVKLRRYIKNQQVIKTITDNYFEN LEHCKLEIFNKLKHPKNT >CAK87787 pep:novel supercontig:GCA_000165425.1:CT868640:67119:67846:1 gene:GSPATT00021411001 transcript:CAK87787 MKRWSPESSATIKKQIQKRLEKRQTIRELYKQKSWKTLVKVASQTKGLYEEYDTIKVQGQ VICVGDSVQINSGDQHDEDYVGTIKQIISIKEPTTAKLICLCRIQWYMRKSEIIKSQPKC SEWISEQELFITNHQEYILAQSIITNCKILSCSEYQELDEIDSTIYFNRLEWDLQKKQFG NMDAIQQYCLCFQPVNPDRQYIQCDSCKNWYHFECVGIKNGTYDKNEFNCRNCQ >CAK87788 pep:novel supercontig:GCA_000165425.1:CT868640:67930:69992:1 gene:GSPATT00021412001 transcript:CAK87788 MQTSFKFRAPCNLQQFQQEIIVENEVFFLYLQQIPELDTFEEFCSNIPDQDNQDINFSKQ SHTHNSQLIRIDCQQTHESKSSELQQSIDSRDQKSKSKIKSVQSQDNEQIAYMQFVEFNP HSIISESINQRTDTQQSQKDTMAEPPAPQNKNTTKFCFPSKGSGLNNLKKQLCVNQDIQN NNRNQSNSEQTVLKNQSLSENKNNIEEDLRKQITQLCKEKTQIQQQYQSQIKQLLEQNQF LEQQLIQQKQQTQTLNQDKQILYQKITILQKKQGLDKNTIIENCNLPESVPSKLANNQKI QYLIEKLNQKCQENARLQYEIILLQNNIELLETSKVLQLANNNIFSLDPGKTNNQNHSPQ PQSQNRAQSLSKDSRTSLLTQQKQPLNVTINYPAEGKNIVNLSAMISPLSKCAQIIQQKQ LNQKIQKNTHQFQEDIQSQKIHTKNSTSVGELMSIKSDAINYSSIINRILRKESYSISSP DGRATQKFKQALNEKLNNLQQDSSKSYGSLLFSLGEQKNKETNNCFRQTSPKREKKKSKQ LFGFMNQQNSRKQSDLLKSEESHRSDLGRRSENKNGMDPNNEIIQFMKEMQNKKSDISKN IKQPNAGTILTRPLTADMKDANSNCQQKF >CAK87789 pep:novel supercontig:GCA_000165425.1:CT868640:70143:71245:1 gene:GSPATT00021413001 transcript:CAK87789 MEYTRLGNTGLLISKISFGNMVNFAPEDEEVNTEIIKTCYEAGVNFFDSAEFYENGQAEA QLGRSIKKLNIPREKIIVSVKIQGNNFDGGNKVNRLFTLNRKHVIEGVNASLARMQLDYA DIAFAHIYDPDTPIEEICRGFNQVIEDGKAFYWGTSNWKPQQVQDAFNYCDKHGLIRPVV EQCPYNMLTRNILEKDYVDIFEVGYGTTIYSPLQGGLLTGKYNDGLIPQGSRAGTDNGWL TKELSYSLIFKKFLEDPESIVKLKKLGDLAQSIGYTQTQLAIAWTLYNKNVSTAIIGAKN VQQLKDSLKAFELYKKWDKELEQKVEAIIQNKPQQEYNWQTGKPFPDRR >CAK87790 pep:novel supercontig:GCA_000165425.1:CT868640:71323:72459:1 gene:GSPATT00021414001 transcript:CAK87790 MEYNRLGNTGLLISKISFGNMVNFAPEDEEVNTEIIKTCYEAGVNFFDTAELYENGKAEE QLGRSIKKLNIPRERIIVSVKILANLEQGGNPINRSFTLNRKHVIEGVNASLKRLQLDYA DIAYAHFLDQDIQVDEICRGFNQVIEDGKAFYWGTSNWKPSKVQEAFNYCDKHGLIRPVV EQCIYNMVIRNLVEKDYADVFSQGYGSTIYSPLQGGLLTGKYNNNQIPEDSRAGKDNGWL TKDSAHAVFFAKFLGNEDAITKLKKLGDLAQSLGYTQTQLSIAWVLYNKDVSTAIIGAKN VQQVKDSLKALELYKKWDKELEQKVEAILQNKPQQDYNWQTGKPFPDRR >CAK87791 pep:novel supercontig:GCA_000165425.1:CT868640:73135:73749:1 gene:GSPATT00021415001 transcript:CAK87791 MAHKGQKSNPFIVDARTPNRIIKENDDNTNTYLVSYGNNQVLEDKDYIEVNYPELVEDYN FFITTGERYDQEFLQQKALQFSLCQIQENPIIEQHLLERNNKVQIKQQRKQTIRYKCLNI LDIQINSSLKDKKLAIKMKANEGNITNIPSMEKLIKITHELQTIGYTQDELIVSFTLKNH QECIEDKSRLVIEGEIDKNIKMND >CAK87792 pep:novel supercontig:GCA_000165425.1:CT868640:73820:75602:1 gene:GSPATT00021416001 transcript:CAK87792 MSNHHRNLRELFSSKFSKPFSNLPSDRLRIGKSPIAVGNFIQYAQKKNAEEKQQRNHTPV HTPNKIRIGQVNVNEYALPYKNPQQSFFSKDSNRSRSKSPMQLSIQKSPKQSTIDSKKIF ENDDSMNLNRQVSQKLSNHNKFASANLKININEVPHIRIPQVSSSTKIKPNVQNFESIFN SLLVKYDSIQQQAQQRPPIKKVYKEEPEDEELQIDTQICVAKSSFTFHFVIGKGGFGRVW KVELKKNRTQYAMKEMSKAKIISKRSVNSVMNERNLLAQFKHTFLINMNYCFQDRDNLYL VMDLLTGGDLRYHIGRLRRFKEHQTKFFVACVILALEYLHNSNVIHRDVKPENIVLDCNG YARLTDLGIARIWKPENSQDTSGTPGYMAPEVMCRQNHTIAVDYFALGVMTYEFMLGRRP YNGRTRQEIRDQILTRQVQVKRNEIPDDWSIDAADFINKLIQRKPNNRLGFNGPHEVKQH PWLQTFPWTKLLNKEIQSPYIPPPIQENLDYINNISEDNDTQDEQIVENRLLLKKNSVQN LFYGYSYDQNTQTQLKNTKSTSSTLVVN >CAK87793 pep:novel supercontig:GCA_000165425.1:CT868640:76135:76476:-1 gene:GSPATT00021417001 transcript:CAK87793 MRTAIRKRTSTTTTTRLQIKKSLNIDVFLKQIYLRAEYFTQRYMLCFPNQKNHAPLIKSI YRVLLIPDNCIQGLRLSDKTLLYLIVHLKFAEKGIHPLQEQAYEKLQIILQFQ >CAK87794 pep:novel supercontig:GCA_000165425.1:CT868640:76671:78139:-1 gene:GSPATT00021418001 transcript:CAK87794 MQDDYEDGEGNFQDDQNDEEYDQFNQIDQMDQNQFDSSSRDKNQQDKNYGNYAADQQKQI EDKISEDLEEKLKMLDEYSKDKSEEQILNIRMHQLALAKILVFTHGKGQFKLVKAHTNIG EAYLNYKCYEQAIDHLTLALKKNAKLFNEEQESKSYNAIILTLLGKCYLEINSYEESLDL LKKAYETQCQIYGDETEHSIQTLTLMSNCHTKMKDFDASEDCITKVFAITEAKYGYKSEQ SAISYIEKSKIYACQENWKDAIQCLSSAIDMLIEINYQKTEYVAELYQQLSTYYEKIEQI DEQIACLSKVKQIYIELYTTQDKKVIKIKRQIAIIQLKQEKHQDALAELKETEDLEVKVY GEGSVQVAKTQKIIGSILLLLQEFRDALNYFQKSLKTFEENGMKKAVAEVKQKIKRAKEM KEKGKTQFNLKDRQNIMQEY >CAK87795 pep:novel supercontig:GCA_000165425.1:CT868640:78153:79904:-1 gene:GSPATT00021419001 transcript:CAK87795 MDVSIQNQVQLNQILERIRNYLSKASKQGQNPSYENMNSEQLMQAFFEIYFQKQQEHQQL RNNLKGNQVGNQINELEYKIHCLQDNISSIHKQRDKLNEDIISKENELNLIDKQMKSIKI SSPVNQQFQELIEGITMCKEKCDYLEEENQDFKKILIYEMKKNSELKQHYQNVRERYDQN LIKLQTLEQKGLEINQRMMKQLKIINDLRFFIKSQRNDAFQQITPRKRTFNDQFMSAQYS LEDTRIIQQFRFIEVVFINIKLIVISLKNNLAQTNNKDQSESEKSFNPEQKSSNNSNDKL LVSSTLRYKTHQNLGSSRLKQRLISNPSETNKAFSELDEVEEDLDSDHKAALITDGMYVE EEMSQRQPWYYRSALPQQLSNKTESKNWEFGSEKKRFKSKPSFASFTSNSSCLKGCTMTL KEDFQNIQQSQPSERVVEDDELKEKDTEVNNSYEIAQLVQQEQIISDSTILINRKHRSVI YANSNQKDKKSSVITFIGVTICSIGVLCWGAKKLYEKYC >CAK87796 pep:novel supercontig:GCA_000165425.1:CT868640:80082:80411:1 gene:GSPATT00021420001 transcript:CAK87796 MNNVPLQVILIHKIIKTKGDQEDMILPDSDQEDQCPTPNTNKENGDNESRLRLKMKQLRF SNQNQVYYFSQNGRSSPNQSPALSPKYSPNSLQSILKPKSCSIFGQAKR >CAK87797 pep:novel supercontig:GCA_000165425.1:CT868640:80648:81932:-1 gene:GSPATT00021421001 transcript:CAK87797 MPNIESAQQISNNFKPEINQNIIEENKNLFNVNISKSIYTNKITQLVEQTQQKLTLQTRN QPHTHLSKSQQKLQRKSPNLQRDHSDFSSDFNQQNSNDPNQDPVQQKLAKNRESARNSRA RKKIYYELLEVKAQELQIEVDSLKQQIQNQQKYTEICNKSQEKFQMFLEQQQLLFDKLET YLIKNKDNIEIGMILDALRYRTNSNSQERNDAARNHFDQMVEVCLPIQTRYLIYALEKNK DFFAQQPNDYSDWMVDSFQKTDIKPEQIVKVKRMKTKLQSVRNNISDSIHKIKEQLKLIQ SEALKVDQMWEQLKECLTPVQLGSCILAMRQNQYRQELQTSSLFLQLKNSQMSDEEEAYD CPDQFTVPNNRKLIKKSQN >CAK87798 pep:novel supercontig:GCA_000165425.1:CT868640:81955:82388:-1 gene:GSPATT00021422001 transcript:CAK87798 MSDMFQGNKSGVSYGSQFDALGASFGRIAPQDKQSIGSNNGGGSFAQVNVSFLVQPDQYR SSVGLEEGNLQIKKGHFLEVVGTLKCSLRRQEWIDQILISNRKWKRMPYNCKKTVRDHQV NSK >CAK87799 pep:novel supercontig:GCA_000165425.1:CT868640:82623:85423:-1 gene:GSPATT00021423001 transcript:CAK87799 MDFELNDSLQADKKRHILLTLNGQADAEIIKFRFFQGQITMHDIKKKMEKHFKMKISWLR LYTQQGVEIFQEDLHFIKEGTLLYVSDSGEFDAYSQISVYQIMKVLGEGGFGKVMLGKHK VTGEQVAIKLIDSGKLWNAEDIDLVFREAEVMKNLRHNNIVKILNCYTLPNMQVVLIMEF LQGGDLVEYLQEKGGLSEQEARIIFRQIAEAIRYCHDKRLIHRDLKLENILLTSKVEKII KIIDFGIATVSTNFTIDKVDRGSLSYMPPELMSGQAIEIKPSIDIWALGVILYALVCGNL PFTTKSDEQTIDNILKCNYSFPSSLILTKEYKDLLANMLNPDQNERYSAYQVIRHPWMQK VITQSPSNLLSPKTINNKKMIKKEVTMAGGPNRNQQLQLRGGITMMRPAVQSNPNSAVIP VIYEFQTRNQQDPSSPRSSFLENCTNRSVQEPPESQSPDKAVPKTQPIRKYSDFNQKLID RIFREDSKKEKSRKWKSNSPKMKGSPTKQQNQGSLIQCTSCHFNSARTTNTSPQTISRST LHTPSLFSPRQSSENKKRSNDKLEIQTIINLSQRLLLKTIFKVEEALCQLQKQTCFINYK YNSNRDKKIKIFNCKRKYMKIIRKLQSKNCAISYFHQDLELIINDQFLNNIIRNKKVSHF YYQIISTSFSAMFDMLMTSVIFDEVTTYWQIVLNCALFYKFSYQVRLICVLIMKKDSCFL QIVIWVFLRSCRSSFEIGFSIFTCQIKIRDYGFRIWIHYATDATFIKRQFNKIEEVKLMW IILIVSQVLQLRLLAWRFSQYSFDAMILFSYIQSKLKRVKLLSHISQIDRQFIYVAVASH RTNLKKLIYAICFHMNHKSFHHNFVIYDSSDHVNIYILGFEFGSSPLNQA >CAK87800 pep:novel supercontig:GCA_000165425.1:CT868640:85435:87582:1 gene:GSPATT00021424001 transcript:CAK87800 MDDIQVKVFIPEKSKAVTPKRDRKLEHQQRKLKQEQKKQKYFDKIHSNRQTEQQPQHQIE NNEVQQINQTNDHKQSQRKPRVPMKQLVIHQKPQSVTLPSINVEQEGEVFTEQYFEDLQI HPNVKLGLKSSEYIKMTKIQQLAIPIVDTKANTFIKSETGSGKTLAYMVPLISHLMSAEV RITREQGTYILIVCPTRELSLQCVDAALKVGKKCPNIVVGALVGGENANHEKARLRKGVT IVVGTPGRILYHIQNTQSFKYLNIHTLVFEECDRILDMGFQKDIEQLIELFSDKIDIPSC QKIMVSAHVNQNICQIKGLEITPKNYKFVGFSKEFIKGTKNKDIQINDENQQCDWLGEGD PTWQIPSTLKQYYTLIQEHQKLAFLFAYIRTQIGKKTIIFVSTCDEVEFYSFLFQQVQFN NPFKKDQQQHKQAFITQEVYKLHGNIEQQQRSKTYFNFKKSKYQEGCVLISTSVASRGLD FPDVTNILVFDPPDSYDDYVNKVGRTARINKNGISLMVLFENLESQQFIEETQSHLAAPL NLIESAEYFKAFQYYLFETKKIHDKMPDKFLALMIKQKIKQDKDYQLQSRRAYVSFLRAY GRLKSFKIKTLNLHNLSKSFALEKAFSEDTKDERYQKDLKFINREKFRQREDQANETRWD RERKKRRVMSQAELSKMEFM >CAK87801 pep:novel supercontig:GCA_000165425.1:CT868640:87676:89295:-1 gene:GSPATT00021425001 transcript:CAK87801 MARLIGNSNIKLRNPLLKLSQASSSSSKLIAFKVVFIDDVQNHYTVNCKKVNCFYTQGKQ IKIEKLMEKELNQYSDLNQLKTPKFYFYDLNGNQITMYDQTIETYLVSTKDNNHWLYDKK YEKLLFQIIQMDTSFLSNNISDDKSRKQSLLNSNQHIIHNNPFDHLIPQSSFRSRILQKG IQDLENVYDNFKMTSRQNSDPFIITNCKFKTTHQSFSSQNKLSNLSYNNKTNIPNSTPRH YLTEQEQYFIDNMQKCQDELDNFINKLKSNLSKENEEVEKIIRFYENVLYPTPKSRALEM KQISTSSLISTKLTKILGKQFPADSKTPKAYVPQEKKMLHKIPPLLHLNIPSLQAKYRLN RSQLYAFFSLYKVLHLISGYMKIKRKQLQVQGITYEVYRNGIETIQDQAENMARGIFDII DSRCSGFLDWGQFLYLMSSVQAKTRDQRIDLFIKIADSNKDGQLSYQEVVKLSQQTLQKF IKNGNREFLDEMSQFFSKIIFDSVGIDYQQEIQFNHLKEVINQGHPNSDLLCMFCGADS >CAK87802 pep:novel supercontig:GCA_000165425.1:CT868640:89352:90780:1 gene:GSPATT00021426001 transcript:CAK87802 MRENVSKATGKRNDSIDYQLLTDLRKTHRELYRSIEGNRRVNQLEKDQLDKQTINSECLK YSNTQLQQQIGQFKALQTHHYNQIRRQLDLEGKNPLDHNKEILHKLETELEVRREKKKKH EEITSEFEHLQSQYDQKKSQYFQVLPDLLKQTQSHLIPTLNIFEIPHLALPSQQEFFQQL PQPLHQIYQKLQTKFLQLEIISHPISDSFYKLSQFELKVTIEQGLFDQIALNYLGVKQES FYENIYPFSFSIRYFTTLDKIVFQVDRNNQISSEELFCGLFKGDPGEPIIVNAKSDEEIN INNKYMTFRWAKLLTGFELSPQEFYLQLQKRIYNIQLIKIQLQKLQRNELLTNFPGLNFE QGFQLKYFGNSQINDDSPFWYKLCQGESLIYKQNKDTTLLVEDKTLQSYIQLNYRFEGQA EHLHDCYKMLLAKDDQQIEIGIIVPLSYPQYTLLDYIYLKYQYFTI >CAK87803 pep:novel supercontig:GCA_000165425.1:CT868640:91891:102680:1 gene:GSPATT00021427001 transcript:CAK87803 MSQNDLELKSQDEAPQGQSFVEENLNINMSDQQQPNSPDLDKGSPDHVNQNVQDINDDQK DLNGGQINLQLKLKSASVDNYGPIYVRKQFLEHQDIYDLEQLQWKYDPHDILSVNFMRKH GYANLFAWMTEQGIQMSETDPPRLEPDAFDPDFVYFLKLPHPQEMIISEENVAEYVSDGV VNINYLKTLLKQMNAQFIPQFIQDSSWPENVKKEFLAQLHKFMATLTEFSFSQEGITELY IPNEDLNNIEQASHDKDLLQRLEAILLHWQRQIKDIVNNQELAIENENAGPLDEIAYWRQ RKNNLSHIHEQLEKPELKRIIQILSDSQYVQSFKDVTENIKLGSSQAEDNLENLKILYEP CKQLETATPREIPELLPNLLFRVRYIWEKSKYFNTPERIQGLIHKISNEIIKRCKSSINI NDMLDGDVEQCIQDLNDSIECGEQWKKIYQRMSVAIEKMSSLTKGPKWDFNNSIFAQVDA FISRCKELLEICEGQLQFARKGAGSHIPQFGGSKGQEIEDNLEQIKDSFSKHLKPIRDIR KTDKDKILDVKASKWHDVFNAFRNGVKDLDVMYTNIINNAFESMTTVQQGVELLEAFDQL AKRLSIKRVVQNKAIVVLELFIQEIDATKHEFDNIKKLQYYPLQHGSFSGQAIWVNSLSH RIMRMRYWIDQMYFIEDSIKRTAIEKFEQLSSNLKQFIIESRLKEWKEDSKDLEDIVLTT RLDKQVLLRTDEKHPDFQYKKESLRPKIGHLESNFDRQLLKLLHETSSWQKLIAVGVVIP SYANDFTQNHKESLRVLRELVMLVVREYNNIIDYMTETEKKLFAQHLETVNKVIQPLASR LRWSAKTIIDSQVRDCRRACQEMFLKLKMFKTNMDKIDGKCNEIANKLLIKIDRKKQYDH KSFEEEQEKHRRDMYQKLKQIFDEIRKILSETYDPFLFDRVEVQTVWLKQVKSIDYKIKE SYEKAVKNSLIDLQKVIGSEDGKITPVPIFKLSVELENQQQEYRPSTNYLKQMVSQTCEY MREIMKDFKSMDEVMKEERKKKLDEAILLNSKDSKNPQAQNIRRMSEISQVQQLEQETQI SIRSLDNEQNIKIKNDSDGLIQKINSKLSKTCDALNSVDAHGQWKRYDCLLRTMINRNDP ITNIKTQLETFDLHQGEIQQLETTKTQDCIILDNTSIKSTLIDIMISWQNAFLNATQDKA LQDLNQLYSLFQTSETNLDIVPQDLQQLKKSSDLWNKLNEDRPSIEAKLGPLEDKFKLLE DYSIQLKDDDITRKNNLRNTWANFNVMLDRIQERNRKVHNNLYLETQKNLDEFIKETGDY KIVFQSNAPYQATNMPHEKALLNLNEYSEQVKQYRKKEESMKFGFDLFKFNYVPSPDLEF MEKEIAQLSYIWRTKEEWDQFIKDIGSTAFRDVNCDNLDENGDDYLRKLKALPREQQKWE IVNHMKQIIDQFKQTLPLIIMLREQYMRDRHWDKMRQHLGTNIEPDSKDFNMAEIFKLNL LSYGEAVKDVCEVAKEEFKIENALDKIDQRWAKLELEMDTFKKTYKIKKTEEIFTILEDH MAVLSAQKTTAFYDSFKPTIERWENCLQQISETLEMLSIVQRQWIYLEAIFATQEKESEK QLMGDINKFAAINSQLSGHMNRIYEDKNVKRSLSYEGFYQELCTMNQKLDESQKILYQLL EKQRKDFPRFYFLSNDDLFELLGNSKDVFKVNKHIKKCFEGIKKFDILTQQYQTGRAKQD VYEVQAMVAPDGEVVKFTTKVLCDSQLEKWLGQAEKTMRDVLKKELFSTMQSIKKKEGMR WVDKWVKEHPGQLLITASQLTWSGDCANVLNQIYNSERPEKNRGWKAIKDEKQSFILELT KLIRKPSNEVDRLKLVALITIEVHQKEIIDHLTKNCQSPHSFEWLKQLRFTGTAVNDIFE CKVEQANSSFAYGYEYQGNNGRLVVTALTDRCYMTLTTAMHLKKGGAPQGPAGTGKTETV KDLGKNMAKFVLVFNCSEGLDYKSIGRMFSGLVQVGGWGCFDEFNRIEVEVLSVVAQQVS QIMNALKEYEKNKEKSSFQLDSDVIPINDQFAIFITMNPGYAGRSELPDNLKSLFRPISM MVPENEIICEIMLTSEGFKTGHALSTKMVTLYRLMIQQLSKQDHYDFGLRAIKSVLNCAG QIRRDKSNEIQKVKQDENARENQKESEIDEANQETQILMRAIRDMNIPKFVSEDVPLFNA LFNDLFPNVDLQEQINETLFNEIETQMRNLKLQTRVEHINKIIQLYDSKNTRHGNMLVGQ SLAGKTTCWKVLKNCLNSLNEREPNKYPKVKIEVLNPKAVTINELFGYVNSTMEWNDGVL SSMMARLCKDETPDQKWMILDGPVDTLWIESMNTVLDDNKVLTLLNGDRISLPPQMGLIF EVENLAVASPATVSRAGMVYLDINDLGWRPYIESWVEKLTDPLVQETIFEFIERWIPKLF KQRKWCKEIIPCSETNVIISFCNLMDCFFKSEKQLSMDIQNKSDVYWTLLEKWFTFGLVW SVGATVDEDGRRIIDQQMRDIDLIFPSQNTVYDFFVNSDKNEWASWDEKLGTGQWKPENN SPYHKMLVPTTDQVRNKNIITRLLSNKNAVLAVGLTGTGKTVLLNGVLLQMFEYTTMNIV FSAQTSSQKTQDMIESKLVKRSKNKMIPDGKKMIIFIDDLNMPRKDIYGSQPPLELIRQW MDYEGWFDRTNRELFKFILDIQFVSAMGPPGGGRAEISTRIQNKFHVINFVVLSDLQVKR IYQSILAYKFQEFEDEIKLLIEPIAQATYNLFQMVTNNFLPTPAKSHYVFNMRDISKVIQ GVYQLDRLYCDNKMTVLRLWAHECLRVFHDRLISVEDRQLCKQLINDQLVSCLQTTIKEC TNENEDDTVFANFMEESGGKYIEVTYNDRENLKKFLEEKLVQFNTDNKSKAMNIVLFQEA VHYICKINRIINLGKGHGMLVGEGGAGRHSLTKLATHIAEYKSWQIEVSKNYRMKEFRED IKKWCEEAGFKGVSGTFIFSDNQIANEGFIEDINNILSVGEVPNLFSQKEDYPQIKDRVR KHYREENKLDKDAKIQEEDLIEYFFTRIQNNFHLMILMSKTGENLRNYCRMYPGLVNNTT MIWFMPWPEQALVEVANRYLLQLKLDDELTANIAKFFGTAHTKVLSLSNRMFQELKRIYY VTPTNYIELVKGYNDLLEKKQNEIGGEVRKLTLGLQKLDDAAANSEELQKQLSIYQIELA KKSKDCEELMIKIESESRDANEKQVEVETRSAQVEKEKAEVETLAEEAQKDLEKAEPALR AAEQGLEQLDKQQLAEVRAYSKPPNGVDNVLQAVMIIMGKEATWASAKKEMTAPDFLQQL KKVDKDHIMNKTLVRIEKITSDPDMLPSKIDAISVASGTLWRWVLSLEMYAKAFKDIEPK RAKVKHLREKLKKSEDEFQQLQENFQILKQSIEKLKTDLQRAKDDMEMYTRETSVLVNKL ERAEKLISGLASTKEGWAIRRKELQGKLEVLVGDALMTAAFLSYAGPFPSEYRQQFVAEQ LIGQVRYLKIPYSKDWNFPDFLVKPVQFFIMESIRITR >CAK87804 pep:novel supercontig:GCA_000165425.1:CT868640:102736:106047:1 gene:GSPATT00021428001 transcript:CAK87804 MIDPQVQANNWIKNMERAINKNNIKELDPQNEKMMSIIETAIANGQIVILENMGEDLDPS LEPVLNKQLRTVNNKLMMYMGEKEILYNPNFRFYMTTKLANPKYKAETQTRVTLVNFTVK QKGLEEQLISVVIQIMEAQLEKSKNDLVNKKSQNEITLRKLDDDILKMLQEIKGSLIDDE NLIVTLDKSKETEEEVKKQIETSAVSMKKTFAARENYRSLARIASKLFFVLNDFSLIDHM YQFALSNYIEQFGENINTYQSRGASINDSLQEKLSDIAARHSEEIFKTACRGLFEKDKLL LAIQMAVNITSELKSQITIDLEEYNFFLRGGDPNADRKNQPHNPISDWVTEQQWQSICDL DKLPNFTGIINAFTHNGKEWKKWYLSPTPESDSLPGEWDQKCDSLKKMILLKIIRPDRVL LAAQAFVNATMGQFYTQPPATTYDSIYNDTTKNKPVIFILSPGVDPYHQLEQFAKMKDCQ LLPVSLGQGQAQKAIDKLYEGSKAGLWVYLANCHLSLSFLKELEKCMETLRQSDATNEKF RLWLSSAPHPKFPISILQKCLKVTTEPPKGVKANMNRLYTNMSQSKFDPQILSQQKLTNQ LHYMKLVYSLCWFHSLIIERKRFKSMGWNVIYDFNDSDWETADNILLMYVDQTQHDSKHN QQQQQQQLGQQQQDQPVQKSPPWDAIRYLIADVIYGGRVTDKYDQRLLKVYANSFFQDKI IFEEKYKLVDNSQYYYIPEEFKPKESKNDKISNHVLFYRSKVEDFPPVERAEVFGQHINA EISSQIADTNALIDSIISLSPQSVKAGEESMETKVQKLIQETLGKVPEEIDMQEAIEKVR PGDQNPLKIVLMQEISRYNKLLNTVRTSLINLDKGLSGLVLISEDLETIMHSLFDNKVPQ QWKFCYSSLKPLSSWIIDLEKRVAQLRMSFGFSGFSFPTGFTTALLQQSARKVNTPIDQF GWEFSFLPHGSEPQAAKDGAYIHGLFLEGAKWDEKNYIVDAEPMKLHDQMPIVLFKPQNK ERYKVIIYFYGQNFYLCPTYYYQVRCGVMERPSYQFDVMLPCKPNPGQASNEEDFWIKRG TALLMQLSD >CAK87805 pep:novel supercontig:GCA_000165425.1:CT868640:106056:106640:1 gene:GSPATT00021429001 transcript:CAK87805 MQKIKNIYQQPSSINQDSHYFLRPKHSALPSMLEPFQDIKLNYRLPKLSNSYNQSSSEEQ KTKSSHSHLQKLSSNRYLSKFVRSTTIEEPILKKNQEIIQSCDLKIKEHKKQLAKVYMKL QANQILYRNYLHQELQQESTQNYKPQVGFQENSFNFYNYQQPQPKANNILQSQQLMHFRQ QSKRFRTNQSVQDK >CAK87806 pep:novel supercontig:GCA_000165425.1:CT868640:106653:108209:1 gene:GSPATT00021430001 transcript:CAK87806 MFYQYFLIKNNPSLSRLSVLKTTERTCDVLQTTAHTNKSSKYIHEKFNTEHSTNEGYLIK KSNPFSKQKKSKINILINNIKNTYKSEIEKIKEESRIRQTNPQIHMYCVSIYIQSQDMLL RNRDDIAVLIDRLRRILLNKSKRSFCIEIIQEINNQISKIRNLDIKILVSLALSKIAKYY NLLHYSILLAKNAKRFSDSEPMLKYKIKAYEILSLCFLKLRLKQAKTYITKYLMCSWKLD KPNEELKGYDQMGKYYYYEGNIEMAQFFHNKMINGDTLKPNSSLKRLAVAKFEQGSVGKS KKEKQSVSTEEADFNISSDDEPFEVIFAQDHDEGLQKAKHNFELMRNDQKKKPSLLHYQI RKQPQFDKTNLKRALQESKNANSFIRIPKTQQTQSLLTERGTLDLTKIKGLSHAHIQLGE LKNPVLLNHLSPNRCLVNYQHIELNKAPQSYKNAEDIEPLFDIGDIQKMSKNLNKLIAIL TGVEEWLQTQSELY >CAK87807 pep:novel supercontig:GCA_000165425.1:CT868640:108330:109695:1 gene:GSPATT00021431001 transcript:CAK87807 MFIYYLLTITLAALPNANLSIGPLLQSYADDEDLDQIVYVHEKVLQNFDYASFPSLSCCP NNVTKFRVMNLNKQGSNIQRFNLPFYIENLGEEPLSIEWLNITEELSDQDIQVQVNYQKD FQIAQGFNNYLGLNITHLCWKALNNDRYWSIKRVTLQFEGFDPVVFNYQFICGKDYYPVR YLVYLVRFDWSNIILIIFESLMILVLSMFGRIYAFKVVFITKQLKHELPQEKLDKIAQES SPFQGFLLGWSQALFYMSLLIGALFISLYLQEKAEKPIQIIVYILCVICAIHFIDELFCA FRKRVPFFVQTIYYFRYCDIFALIIGVALFAVYLATEQIWILSNLISICILGSLIKLFKI TSLKDCLLFFLPIMAMDLFCSIYMAMTIRYEWDSLILRYFNTPLSAQIPYFRYIYKKKCA WVSIFNILFPGQNLIDIRFLPRICAQI >CAK87808 pep:novel supercontig:GCA_000165425.1:CT868640:109852:110970:1 gene:GSPATT00021432001 transcript:CAK87808 MISACTLIAFKRNELNLFWSGNFYDEILLDPFKNEMDKKSVKLISFFNIGVSQDLKKKYA LNSGAEQPAAVYEPFELVKENQEGELKLDSILFEGLYSFQEVYTTQNQTYGNNQYKINQQ ENTLVENQKQQQEIQTDLKEKKDTALVDSILQNSQENQPLINRDKNDDKVENPQQDQQKN IDLNQKGQNEDVSKINIESKTSPDVIKQKSLGKATIAYIESKKLEEAILESPIIYSKNNE KQSQNNEPNKKQQKADIQIEINEQQKVAQGNQNKQSNQQDRVDKVEQKQNQEQQNNKVFT SPARQKYQVDPPKAKEPQVDRFKQKQQLRQQNEGFKKNLEFFQNQEKVGNFKAPVKK >CAK87809 pep:novel supercontig:GCA_000165425.1:CT868640:110980:112790:1 gene:GSPATT00021433001 transcript:CAK87809 MYRTTQNYSYAGIKLKIKLDLLSKQGISDNGRSIITIDKENSYALLQKKKQLQNREGSSS SQQKNENRSNSGLQKSASLQQFYRAQSAVEKNSYQNQKGNQQQIKEMKNISYLYLLQQGG YKLRHNQTKSTKEQNSKGSEFLLNDYKKQKPVENQAYKRLNEKLEYLEKKFNQLKDSFVN KSENQYDKKSDRMQQKQYSLISKYFPKKFMEQKNTTQEQRKVTKDIKSIFNIYSQIKEFK SHSSNSQLLKQTQEKKSAQLQDFVTQIKRDFNNKNSQLLKGSYVHQSNTNLNKSERQQSM DAKYSYFNKLIETQPNEPFLYYISTVLSAIKNKRPTQLDELIKDHFSQTYQGLIYASRLT IQFDPEKVINLPRSNNLKTIVFDLDETLIHCNPNVSIPGDIILPITFPNDETVQASINIR PYAKQILQTLSKHFEIIVFTASHSSYANIVIDYLDPKRQWISHRLFRENCLQTTEGAYIK DLRVLGNRKLSNVLLVDNASYSFSKQIENGVPIIAYYDNKEDQELLHLENYLLNFRHVKD VRDLNQKQLKLKQFLDYSDFDDLYNNLHGMYF >CAK87810 pep:novel supercontig:GCA_000165425.1:CT868640:112876:113649:-1 gene:GSPATT00021434001 transcript:CAK87810 MVTLKDQEVIWNFLGIYYSLIHSSFLNFLCSLTNFMKQYKLDQLAQIIKRLEHILDNAQP RNSQHSLFRLFPTFQPDEQTSSEQSTNQIIFDEQATQDYTKNDLKRFSTESVFIKLDYTE ISTQLRSILQNSFRSLNVDSVNHISEMFIKNTQKDNPYSALIINQLNLVQSVIEAHQICQ KYDTSLQNLCDQFKNGDQQDLMAQIISIRSKFFSEINKHKIDKTLVPQYDQFQLIKEQQI KIQQTQQAIEQLIQKFC >CAK87811 pep:novel supercontig:GCA_000165425.1:CT868640:114118:115942:-1 gene:GSPATT00021435001 transcript:CAK87811 MSESPHSLKAKIQFLHQENQQLRNFIEDLQTVIKLNKQSMRSMIDQDDTIPIPNQKAGVR ENENTVKALKLVLEEQQKEIGHLMSSLEKVQKERDFAQGKALISEEITYAAEKSEKKLIA ELESTIYELKHTISQQAQKLGQFEKKADQVDDTTGVMIRYRDVINPNSATILLHEEMETL HQLIVRLQLQVNYLKSIQLKLVTLINKVITNLQMKNQVIDQDEDYEKAQHEFLEILTQIQ CKQNIRSRLPTVDLPARINSIQSPMNTDMMHSPVLSPFPVKAPQVQKKNYQNLNQTFDVA INDSYQQCAKQEDPKKNISRVPKLNLAKAFQIQQINAKRSTQQIKLTEDQLQHRVRKLEQ ELEETKKNLQREMILCKHYEIENESLKRYIKQVEANNDILVKSNLNLNQKWEKIQKSFMY YKTFFVEHKDQFAQLQILVQSEKEKAISQEDYNEFGFNSARVSHARVDQAQRKKTLLDQT ITSYVPLYQESLMDIETHLGRVNQSLTYNQNNIINSSYANENDGELATVYLDKNEISMEN PDWRNNLKKEWTKLATQIHSAQPKNLIQQIKQSLPIQKLQNIKS >CAK87812 pep:novel supercontig:GCA_000165425.1:CT868640:115959:117724:-1 gene:GSPATT00021436001 transcript:CAK87812 MNDTLFDTKVLDNFEQEFQQVHDDINPKIHSRIAPLIFAIKGLKQEINQVFADFIRETNK ATDVMRIKDMFEAVLMEYNLREKESNKLMEAILQNDSKQIRQISQLLKEIRELQHEVNQF QRQSEVKRQRQCGIFKSNPTDFKKQNTQIIDETSIYDWVVDIDFITSINNSGWKVWLSPK MINQEIINIKALEGATVAVTGLYDKGKTFVLNSLTMSNLPSGKKVTTRGISFKHVNVDNG TKLILVDTAGTYSPVKIENELSIVDKEATETFISDLVFDLADYFLCVVNDFTSLDQRYLD RLSRNLQQSPNKTFREIIVIHNLKDVESPEILEHVWSTQVTQIYANGTLQKTKVAALNPI NKQLQEKHVLWFKTPYTRHVCIVNDDCNLGKGLNPWVFSLLRYWLKAVFIPVNRSFSVLD CLLIQSRQKLVNFFRKNIKIDLQDTDDPLIKVIKTENEFHDKIQIPQGQVDMSGLITGQQ DSFQPATDIIAGDQYIILMDAPGLTNDDVDIQRQNVVTLVKGNKQRPYINQGQLEKSERK YGEFTLTFKIPDIYERQWSYFGVEKGVITIKYDKDKDDI >CAK87813 pep:novel supercontig:GCA_000165425.1:CT868640:117769:123082:-1 gene:GSPATT00021437001 transcript:CAK87813 MFQQFLNCFKNKSAKDGSKEYEKDILSSVIDILPNYQNKKGEVTAAEIRKQTNQTDNPKE SNDNLIKYDDDDNPYCRFSLDYFALNNRFRVFCIQISQSKLFQWVHSLLSVIVVCVLIVK PYNNENSGLNISISNAVINGIIISCDVIFSIQVVISIISYGIWNCNYGYYKDPYAFINSI CLLFALILRQSYLYIFRALFLVDFIVQTKYFQIVKKLSNTVKHALMMTAYVVIIITLLTY IYATMGVQIWSDQLHHYCVKDGDIDAYPARLCGMGRKCPEGYVCQRGYFHQPFTQYDYIS FDEIPNGLLTLFICHFIEGWVEVEQNLADTFNKYISSIFLWSYIIIGTFFLQNLLVAILL NQYQMDQQVEELPKIKVKSQTKIQISNERRRTYFLTPGHLSKSKQRALQLIQNQHRKSRQ RSQLQIKLKAIVSSNFIKYFYSLLFITNLILFSLNDESAYHNDFENKIIIINIVFLFIYI LEDLARMYVWKQKENKYILLLEFVIDFISIIVQLNDMSYPFVLNAFKGLRLLWFFNTQTS WSNYKVLLQALQKSFVNIPKLILVFLTYMIVIGILGREYFAGELIFDEQGNYDKQGSNIP RANFDSIRNTVSSLFIIICSDQWENIFYTTLETKSWIPYPFFIFVLILCRFFILSSFLTI MLDNYEEAKNEADKSKARAQRVISSMQKTKVVPAAQLQQIQNSSQIEKKRYFGRLSKMSD DSDDEEKESKHHVLQRQASKYPQITIGKNNNIQKQSSIQQVTIVKSNDEIRISYSSSQSY KQYFMNSALFVLNNKSILRKRIQQLTQSKYFEWLMSSIIILNIILLGMDLPIFEQKDLIQ KFNLIFTIIFIFEIVLRILAHGFIWNQIEPNRAFVYNNQNNFDLAILIISSISDLNLYNS GYLKAFRALRTLRVFSSARRGSQSEELNLISKSLFQTISLLSSMIFVTGICIWILSIFCM TIWKGKLYFCTNVQSYDNIYTKQDCLDQKGEWINNITNFDTIQDSLLCLFRIIIGEGWTS QMYYVSDITERGMHPKVDSSANYQILYYILLFLLNIMLLNLFTGLIINNYRTIKENISNY KSLNEHQREWLQMMYIMQKKNLIRLIEKPKNQFRQICYSIATYSYFELIILILLLLNLIF CSANGNSINQTTKSAFYVLDIIFITLFHIEVFIKFSAFWYYYLKDSWNKFDILLLISTDA LLILNSEIEMPKMFMIPLIIRCLRVLNTYKILKLNRQLKVLIDVIQEILPTLLSVVAFII IIIIVYALIGIQTLSVVKSTSQDAQYPFYQEIGQRNKNFQNFWDAVLILIEVTTGQYWPL YMSDYTINKAGCHSQTPEDILKEGVQGCSTFFGYFYFISFLVLIRIIMISLFLAMIIESY QECLLENTAVINPYQIEDFFLKWSDYDPKGTGWITPEDFAFLMFEMNPPLGFKDENACLQ LFDFNQNHKKQSAYIYNPRTKMHLKKIDIFKKLSDFQVLIYQNEMIHIKDVSLQIAYNAV KKKNALHGIEQIEDKVVLRNIRKSWEAKFPDLGDQKFLHFAVDIFAYSSIRNILRGAIER RKVKKRIKEMQKQNNLRQLEINENAYLTTRIADSNHRHRRKSQINKRVFPFAQSSYRIKE QNRDGNVYNRRVALRTDLKQSQQQQASHQESVSLSTHNFYIDPQETNNGFPMKIVLETQK IDFPSDNSQRSFFGQMKLRGDISQRMSDEEDNI >CAK87814 pep:novel supercontig:GCA_000165425.1:CT868640:123105:124721:-1 gene:GSPATT00021438001 transcript:CAK87814 MHSLFSMYYFALNKKQIAQEEYTIYPLLQNQFIHLLQHPDTQHLAFTLISQLFNKNCDLS TLKTQVIESLLALIRWDFTLKNPQQGFESTSTLLNAPFPDLHNLIRIVEPTSLIKYYFIN KQGIDINGLLQTMELFLTNRNFIFDHAVEFIEITQRVARHQNEQVRSYALKFIHRLADLQ DNQTFRELIHATLLAGLMDESLEIRVQALNELKILIPKEKDQLLILLYKNSHCDDLRKVS ESVAQQLFPHFSGNIQTELMNIINEKRLNECVILCCYSTISRNWRIRRSGLKTLQSIVQY YPNQELPIEEILKVSQNCSLFESHFDVRYNGFLLMYHIVRMYENKITQFTDQIIQNCLFH FSDDHIECQELCTQILIILIDRQSLIQELYKQQRLIVNNDLALEDLKVKIMKIEEVQKQY ECQVPQEDIHDEQIRKYQSRIMLGIIACFVQMIKLRFDQSSVEQVSLMICQGLQIVNSYR DMMYKYLISGLKKVYMQQKELVKQMINQLNLTEMLRSDGDVELIGVIEDIVGTSILQE >CAK87815 pep:novel supercontig:GCA_000165425.1:CT868640:124873:125265:1 gene:GSPATT00021439001 transcript:CAK87815 MQQHEELGFGQVLSIEIAKIGDYKNMFGTKALTLVLVHFVSLMSFSLKFEKNQGYAYFTD VKVEVIVVFKCLHTASGFIVHTDLHLGFDTVLGFVWLSTVDVVLAISESEQDNDVEEQIQ EIAHGVVDGN >CAK87816 pep:novel supercontig:GCA_000165425.1:CT868640:125368:131358:1 gene:GSPATT00021440001 transcript:CAK87816 MPIVNIFLHSVIVLSYFYFYRSYQFNQLGLYRTFNLASYCYYLIVIFIVAIDWNSELKIQ PYIFWLLSIFKLIDIILFLPYKMTFENQVYMFGCTGLFIVSLLGSLSQITSSISGDNIFE TFLLAFPIFLYLFRQFQSRKWQDLILYMRTGSTKQMDIKKLCSSLEALVYYIEQARVDSY VFMLSLFVLKYHTENCNDPNCECKEDLSKSNTQNGESMTHRSSFQSRTALLSNADKNSNN QNISQNLDVSQFQKTIKDGSRKNDSFAGGVQPQYEQQNIRPKTVIFQPMKFVQNNTINED LISQYVKRQFHQTIQQLSFSLHLDEVEYLSLKYISFMFSFKQNSLSSLTKLKQIQSRTVS FSYFFRNVTKVTESQFIDALKLKHFEDKNSYDDVVKMNVTEIWRLEMVKDDIVKSIVSVL RKKITLWENLCSGDIQNMNRFLEDIIKISLQIEESRSTVDMILSDFTNQVQRNYSYYLKY QCFRSLFFDSDYKQAVIFQRKVEDSWQLSRAAQKQGQIGNFQWLSGDLVTLEVSAGVQSG QIIKGVSNRLVDMLGYSNYEDMIQNLRIRGLQSSHQNQNSDKLQISSIMPPYLTASHNYF ITRFIHRGYTYYYDKPINSYACDAQGFVFPVNINLSFNFQNLTDFTLLGSILKIKDDDEY LIFDEWGRILGVSMHTFDKLILKGALDEFGMVQYKSVNAKFQKLGNLKNALLHKIARDDN AKLFKKTITMHQKQSTTKSQLKRTYQKPSDILLQFGSIQHFLPQVGKSITDLLARDIFKK LQRESQEQFNKLTDKAQDIQQQNNNKLFEKQASNIFQRQISQFIDRQQSLNQGGLSSAML DKDQYRNFIINNFIDDKGLMKKNVSLLQDERLVLYVPRNYNELIEYFNDAGERFMESKND METKSIRRQQMQNKYSYIKQQQNCYHLFDAEFQDFIDNKVRKYYSKALLKEALESHDAKL LQQVRYKCVSSIDVGVGGVSDKDQFLYFVCKLSSLNLQTAKRKDVLKIHLKKEKNQQFDG GEELRRSLIQQASSLDSFDKEKPRKSLPFQQQESFGPPQQQIKYKGEINEPIIVTLAIPD SRTYLSSLDRDDGPNMKVKFEAQNQKLNDSSFDIENSMKESFIPTGGNHSMTQSLNLNVP QLMRQNSIEKMKQIQNQSKEYLKEQSSSLSEKKEEPPKKKLKLSFLKKMEKALVPKDPPA ETPTTPIAPTFLQPQQQQQQEKQPSSLSVPEEKKSSFLNPTGLFAKLFGRLNLRRVSNIE IKNDQFEAQDQGFREQSENSEKGDMKRSGHSTPSGTNSMSSNNITSQGDQKEDDEELNNE DELVNIFEVMSQASNHQSIKSISAISMATQISLRCLKYMPRQAKSLQIFKIILIILNISC IISLLLTTQNYFNNLSVDNLSLRINNQYRYSAIILQSSNQIDQQSIITFDQIPNITLMNE IISYCYNLSLNISQSYFDVINSIQNEVSFPLPQLDVLQIPDDYYIVSLQEFLTQQKIEID SIELINTYNRSDATFKQLYNNSIDYLLNRLVFTQSQINNMSQQLRSSKNNDVLSKALIVT YLMASFGGLHLVVILLIVPFIRQINNIYFRVLCIVSRITQEEAEDEIKKLTLSQHLLETQ DDSWVTQNQIKLIFYNKSKEIDLNEKYTKNGNVKAKGKESSYFYSKLSDTTLSIWKDMGL YIMISAISIGYLIFSIVIIQTQINTFTPFIDNFDETVSTSVYTASLISKLSITPQRYLNL ESASIPSQFTLLNFQQNQQLSYFLQTISSDTNNIKSFITDMQKFLEQVNLDYGYDSNSSK ILITSENYQSRIPYIQQLSVLSEINHLLKDNLCYYSEETLCQQQQQFDYFNTGLMGALDV LQKMEFSYQTFLNEYHQIQYSDQQNNTSQINAYYNSQDYRFLVMYGQELIFKTFDRLVLL LETFLNDEKESTKQLIQNLFIGIGIPIMFLTILLAALEMNLLKQKVRRVMLSLTFLPTFK FQDKIVLSLIKAILKI >CAK87817 pep:novel supercontig:GCA_000165425.1:CT868640:131662:132002:-1 gene:GSPATT00021441001 transcript:CAK87817 MIQDEQVFCYQSSKQLIQQKEKKQQRGQDNLRLLLSKRTSIDVISYETPSVYLDMNCSQG IKKYKFFIGILKPGQKWIGLIAINRNKLSIAGIFGDKIIQKVTL >CAK87818 pep:novel supercontig:GCA_000165425.1:CT868640:132582:133861:-1 gene:GSPATT00021442001 transcript:CAK87818 MNDFDYTSFSSFNCQNGYSQTATKTFSSQFQNIPQVFFTHEYFDQEIAELGFKLAITAIT QTSFTVEISCNSHRAFSLKLRWFAIDDYRIEVLSNFNMENPDDKQFSIKNPNAQTGFIAI TSMRYTGPIDFLLSVTVNNITQISEIKTNSVTVSITKDAVKFANLKQIGYFVVVGIEEAF INLGLKVATGAFSSGTIPIQSNRWFAIALQGVNYPNSKNFRIRAIFSNTATTISYTWQTW YESQTPNSHSQIWIAYQFTKIFKPLECFTIRTSRKEVKDLITLPTFNLALVQSNQIYTTN GNYEYSVDKSNAPFKMGIQIKCENGKKIQADFNKCNACSTKKTHSFSYNCFNQMNYVGFF PVFQQAFPQYNHLKIKMQSSLLEIINVVYDQTITESTIVKIQILDQ >CAK87819 pep:novel supercontig:GCA_000165425.1:CT868640:135450:135926:1 gene:GSPATT00021443001 transcript:CAK87819 MIASLKKIYLWQEKMQKGNSFEKLENSNQLVSQDDFVLKTFLIERTNFQKGEVVKKSLEI IIIMLAIRQDAKELLEEGITSLFLQNDIRLSTSLPKIVENTSQLGHSYFSRIQVTRVDWK NTLLVVINYQNAQCEFKELFYKMQIRSSLMQDRKNKFS >CAK87820 pep:novel supercontig:GCA_000165425.1:CT868640:136543:137988:1 gene:GSPATT00021444001 transcript:CAK87820 MELENMAQTSFILKKLKQNQQPVLQGQKLELDIKQSNRFSQPPSNQNAKTQNSSIYCIRF LSMSKRFKIEHLKVKHFVDAIQNIQNLTGKVKVIGTIFKIMRLKPYYFQQYTEIKIAESV QNEETCYLEDRSGRIKLEFTNCKLNLPNNTSKTINAEDLITGIVVLIEGEIVGNNIVNVQ SINLPDFIDPPIYKPLNQTAYVCLMSGINYDVENNTTKLRHLIQYLQGNLYCGSGDDVSQ RLSQIIIAGNIYGRQRDAKRCLTGSIKQSQDFKQLYGQLQENIKGIDELVNELAGIIPVA IMPGQNEPVSQILPQTSLQKAHFGESFENNSQVSFLTNPAEFSLGNILFVGTSGQNIQDI KKYQTIKDQPDIDLIEMNLFYGHLAPTLQDTLIQQQQFTNDPFIINQLPNVYFVGNMKSF GTKRLSNNLRIISIPSFSESGTICLLNLSTLDCFSFEIQ >CAK87821 pep:novel supercontig:GCA_000165425.1:CT868640:138149:139699:1 gene:GSPATT00021445001 transcript:CAK87821 MDNYKKYLFDEKFQEPQPRNTYSFQAQESQEEQQIESNFDDEYKRDFLQNQIKNVKEKLI MMGFPPLGDLYSNNNTEVDLTVKLLVSFIKQRREDMDFKNSYHEKMSKIEAEKQQLSQNL ERVSNSRKILEQENTNLQAKIKSAEKVQKEQVNKLMAEKEDYQKQLSKLQSRSTQFEHEL KQRDLEIQKIKEHIKKQQSSKTYKNSLEITQPIEQGGPSLFAANGEYEFSQVVMKKWEEV NNKLLRENEQLRENLIKIHNELGEILQIRREVYIKRRKIDFGDENIPQELDNPLQNMHQF KTDLYKAPLETNGKQAVQMLTENLQTFKELMKKFDQQYELQMNEEDIEIEGEGGKIKACK NLTELFKNYNYIFDAQDKMISQIINKSQNLKKIDELNFNLNRFNRVLDDKQIDDVKKYLQ DQKKYLDESKNEMDMIKKQFQQQLKKREEEKQIIQMKKQMLEETNEKFKENIRLLENASR QALQQLNQEYK >CAK87822 pep:novel supercontig:GCA_000165425.1:CT868640:139966:146498:1 gene:GSPATT00021446001 transcript:CAK87822 MDQQHQLRNNKKRQTISKELAQRKQSIIQQFQCILCFNFDQLALNQQSKILHPNRQNKKN RIERNLEMHPQFLLHLSKNKQCFLFSFKSRKQKDKEPDEIEEYDLNSSDYSEETILTIQY VSYESRRTSKKFIETLNEKSQNINSQFDQVIKEVKDEGQLLKFANLFQLDQEESQENEIA YKKIVKRYDKLYVRNPFQDPYYIQLVPLTGVSRLIYELKKYQDNAKFLQIRKTLVFISLL FPILAKEMMQSRLYKILMTILILFNVALFIIVKTQHREDTFQIEQVITILFIIEILVRIF VSGFFFTKNAFFRNIQDIYDFTLIFATTINLYYPDIFIIDISPLRMITLLFYLGDIFVGL GVMLLALKQSIKFLLEALMIVGLFSLFFAICGVFLFQGLFNFRCEYDNGDETDGWVQCNQ NQCYEDGMTCKYTEQTPKMPTSFNNVIYSYGQILRTITMDDWSWVMFFTMRIYHPQIWIY YLGIIFLCGFFGFNLVIAVLKTHYAETAEESEKQQEKLRIDKLIKDNQEYPERELVNVFD VAFLRYIGFFATIQKYRESFQRRSIISWNLESEAQMNKTVTEARTLSAAQKKLSNQYNVE NQSLYNRMKELTLKNLLLTKFRLLKLQQKKINIKKYSDDPIELEILQKLQNLSYSCLQSQ VNSQIEYQFASQHDILLKLIEIDLALQEEKKFNPEKLRKIKFKHQYPDWQSQVQKIKKQA LRSIEIKSNKFPCKYKKQNKWKTMIKLEDDKEQETSKNSVDAFERSSEKKFNFCFDKNVT IKKKSKKFAKQINGQNMVYVQGYYLTYQEISVKINTKIPTIKNNQTSNQFKYRKIREKEI QNGKLITSSNWSGKDVLIINAKKLQMFSHIFLQLNHQDIIIWMKGLKGMLLNFKKHTYRI ITSSTSQTFFDLIIFINFTFLALWNIVNSNTIHSVENVSTIILSIELILRLISIPTKQFT SNPNFLFQAAIVILNIIELTIKDLLIGLGEQNLRLIRGTKCLLFYRCLKYNAMAVKIGHI ASMTFKQYIYLTFLMFLVIFMYALVGMEMYASEFSQTDSLGQLHSYDNIFKAFMTIFNIM TNDDWYGVYVMGSDLNYSFALIYSYSMVIILNYLTYGLFMAILLDGFGKYLNQSIDNTPI FQSEDHAQLTLNSNEELEQQIQQTIFSPQISQTNIMLEQTQIQGKSKVGLITNLLKSIRA LNKELLNKSPKLFIGIECQTSLYIFEKDNCFRIMCTKLAISKSYIYFMDLILYASLITFI MNTYYDYEDTNNTICDQIQLIINIQMFLDILINIIAKGLFLDKGSYFVSVWQILDVIYII SHFITFNNPTDHYAKIFDLCLYLGYLRPMKLLFRISWLTQLRIALGYSLVDITNVLITML AVWIMFGVYAIILYEGQFGFCEDKMNFNVNYEQCIQENRNWINYKHNFDNITVAIPSLFV TSTLDGWGEIYQVAENSQSADIGPQAFNSYVYTYFFFIIFVFIGSMFFLSLFTGVLYSNL KENQQKIEMTDESQSQKEFQEISSIIIKDFPVFSSPPTKGMRKLASDITNNTYMLTCMYL FLILDLCILLLFESDMSEEYFRTVNNIHNTFTVLYVIWVVLLFLALGVGRFFDNYWRRFY FFLIIVSIIDFIADYSVDWIMVYYKSTPHDSGYQLLRLFFSLRSLRVILIFQGLINLQRL MRVMVFALPFLGKIFTILMIAMLIFALIGCQLYGEIDSGAVMDDQINFQNVAQALLALFK CASGDDWRTIMTDTMQHNPLCLEDPKYCGSVNSQYFFFLFMLLSNYVLLNLFVLGLIEQF EQFFQLQNSLIQTYVENVDKIKTVWCKYSSETQGQAMNYKFLCKFLLDIGKPLGGSKEEN LWDVAKLASSFKLKCDHYGYIQYNQLMYELFRRCFINEVFREGTESSIVKIKQFNKEMQL RLMYYRKNRLLERTNIGPTKQLKANFNILHDYLTVLILFKTWESYSKLVFLKIAKDGNFS DCDDISIQIDKVSKQNFNIYNYEDDMSDSDNMTQNQQSKQLSQVFNNTVNQMHYSQSIRS SPKSLKKNSVRNASFKKNHKMKVKKKDSLSQRIIDPTEYITQTKHQTTLQSQDLQDQEQL PIYRNQFDLSLQNEYQQGTLLSVKRKQ >CAK87823 pep:novel supercontig:GCA_000165425.1:CT868640:146590:147601:-1 gene:GSPATT00021447001 transcript:CAK87823 MQQLSKELNALISKAIQFNTKLDPFTTNELFLKWRSISNGEIDNINQFINIGIDIVKLQN RYSRNIKKSYSLLNEYIQKYSKDLQNQTCGNYLSLARSTHLNDQLSLQLIYNQIKVDSGV EFSVLKYCADLQCNDMELVSQLIDNLHYKVDAQLLNQYLYCLFVLFPHDQSSLLINLIKD KNVSEITPSNFLLMSELYQNKNIPIPESWKIGGQDSILQKNKQSHLQKEVQLLLNVVNLS YEQEKLIDDLYQIDFFLPQYNQILEVNGPQHYVFDIEQNNSKIFTGKHYYKQMCLSALGY DVRNLCFLDFYKARGVTAKLIYLKSILE >CAK87824 pep:novel supercontig:GCA_000165425.1:CT868640:148205:150891:-1 gene:GSPATT00021448001 transcript:CAK87824 MKNRLMLPFAFEAKLLSEQNESNIPSSKIQSSKLMQCRSSPFQADYWCLPTNDRKQLNYE KKNVFTRKFMDNMLDHIRKVKRLTNFHLSLIGDQGASEDVLTKRKSSTLHHLNQQQLRFF QDIKKSIIIKLEKLPLIHPGQYMKVVWDIVAVTARLYFLYIIPIDLAWVNQQFIFHDMWY ISIMFLLILVFDLLLSLNTIYFQNGEAVQSRVHIIKNILQLLIYFIVSAFTDISLDISNN IINMGLLIFLVHHKTIINYVTNYEEGLNLSKQTSSILALLKLIAFLFYVIHLFSCFWFWI GKYSIDNYEGRSWLVSTSMLEQSWNTQYLQAFYYTAVTIFTVGYGDVTPQSNIEKIVSVI LIMISSIQLPYSVNTVGTIITEISAFTEEKKRKLRIINSYMNKTSLSSGLQVQVRKYLSY YWENKVVSQSEEEKEIIDSLSEFLRQSLISEAHQKIFDQCTLFRIPFTEQFKKQLIKEVQ EVLLSPEQDLKQPNDILLYYIEDGSIQICLQQGRKINLGIVNKGASIGVKNFILGTESLE TFKSVGFTKAMILKRKSFLKILQEHPEDYELFCSIRDKLIFQGDDQYFNVKCFSCGKDTH KLIECPLIKFVPDKEFLIKKYLYPKLQERVEFKRTRQKVQSLKQNRKKLEQYALLIQKDE PQLFQLYKFDNILNIDEEQLKFYIKRSNTTQLINHHIPKIKKKMISIFELNQDPIRKRFR QLVNKLISINKIYPYFLIQTYVQFEKMIEQRSIQLTLQILEQRLKNIHQLDNKRFNQYLS DINFIIQKLSNKTYIDDQEFESPQTYKYYFRKDNFSIVKQKNYFQNLSILKRFIQYVQYP GDLIQQFKLTQIGMFIVANKRRQNFCVSADQVIK >CAK87825 pep:novel supercontig:GCA_000165425.1:CT868640:151884:153144:-1 gene:GSPATT00021449001 transcript:CAK87825 MYELNVHKCAKFRTFENKVDTRRALVFLKLLDNSCPREEALKFCKKRICRFDTTKKLEGP PKFRLIRNATTSRGWIFPEEIKYDLGKNYERPKTEEDKAFQRFFQSNKLKIVQEQQQQVE VVNKFMKEIDGQLNMKYSNLNELRRKIQDVRIKQDEYRNTPVNYLKFEGQRFVQLYEVFK KHYDNDLLGFEKQFPVTVQEKTKRNEDFFVKANKKPETNNLQKKFIKLQNEGEIIAKMTQ SEGNKDQGISIKSLQHINDVKNLAMEFNKTQYVFDDEKMKKIKRNSLHFLRLNNKHLKST QRDIKIEEFEEKLERLITSRIDSITRIGDQNNVDYDQINSLKQKTETARNKEYTKIRSHF TPRIAKQNDRVYTTQQSTRKQFLLRSNRSQNQLQKSN >CAK87826 pep:novel supercontig:GCA_000165425.1:CT868640:154313:154603:-1 gene:GSPATT00021450001 transcript:CAK87826 MQSPSLQKQSESSQKSDQLIQGWLPVKSEVEQSIITYLDSLGINQLILVDKFQNQQRNSL LSIENVGTPQSQKILSLNLDSELCQRRSFRLSSDQI >CAK87827 pep:novel supercontig:GCA_000165425.1:CT868640:154633:155566:-1 gene:GSPATT00021451001 transcript:CAK87827 MLNQMFQSKLTWIASAFNIQKFFSNYTSNYLDNPQYILKLINCECHPIKVYIKSLITNNL FPNIFVNFERVKFISIIQLKKTYVQVLSVSEIKKYKILYFLRRREYDFLIITCMDQNQCI SINQGITSEIVIIYNQKSSMEFQFNYFLID >CAK87828 pep:novel supercontig:GCA_000165425.1:CT868640:156221:157324:1 gene:GSPATT00021452001 transcript:CAK87828 MPKVKQLYGLSQISAISKSPKNNTNRLNGSQTQPASNRMNLQYDAECERKDCMMIKWNGI QMQHQLQLLQKELDKYKSLYVKERKKIADTYKEIEEIMNAITKKEKELKKKETQLAELEQ QLQCQNQQNDSLSQSLKVLNKQLTERESQLQQQESNLNIQTKNQNALIDDLNNLKSNLES SVKFLEQKESQLFANLQDLNQQEQDTMKRVDHINQFLKNSNDHQSLMQSIEQLFREKTKS IENVKESVLQRQEELQYYEQQLQLRSVCASANEAAFMKRVAQTERMNQKTPTQESNKKGV MRFTNSNNHNGYSNQNTNTIFDHQLYSTLCSRENSPISNKESFKLIKKPYLQHQMPMTEI NNFDIKI >CAK87829 pep:novel supercontig:GCA_000165425.1:CT868640:157561:160125:1 gene:GSPATT00021453001 transcript:CAK87829 MGRAEKFRCLDNTNVKNLLIFFNSSIIFAQIIENLIISRGPFIMTCLIFVLVMLIYQALS FYFRAMRIQLIIYSQYFMLTLFSVELQIDTYFLIFQQLSIFDTVFFNRNEKNKIVQNILF FGAIVINTYVFLRVGFHNLYFTDLIKALCQSFLLKLLLYHFRQEHDVSLKEIQKLNNQEL EPSDPRVGNVECEPQDASKLFKTFDVVPEGIIILEKNGKEDFTIKYSNSAAKYLVDADDH DQMLSELLDLRSVSPKQKSEEHLYKYSFSIQSQQSVKRNSFKIIPKQQSHIKSAFKISQQ LILENQSSSQKQEFQFPPVQIIFPGAQTKTENINHPNSPSIHYATQHKKSLDEIDSFHFL LTQIWVQLHTLVKEKILNYQNQPLYYIHESNPFVTNITKYQKKQCLDLKVYSAIHYNKPL LVIILRDVNHKDYIKVLKDYNSQKSKTLSFVSHEFRTPLTCIIQMLEEVIENRNNLNLSD QQLIQSALDNSKYILNLSNDLLDLAQIRAGKFKIRNAIFDLRSLLKECLKMFEIQAMKKN IQLNYYYDMSLPTEIWSDLNRIRQITVNLIGNALKFTLEGSITLRAYQDGVNEICIEVKD TGVGMREEDQPKLFKAFAKIENKEASQMNAQGVGLGLLISNSIATQLNSEQRGLGFRSAY QEGTTFCFLVTNNKSTYIDEIENYEDSRKDIDFDLETKLNDFRRQSQNEKKFILQKNYCA CPQILLVEDNQFNVDSFLLKFHRTFKSLVKIDYTITGQLAEQKVQQRFLKQQHICHPYKL IFMDVELPIQNGIVTSKNIKNYYRAMNATVTIVGCSGYAEEKEKKECLQYMDDYIVKPVP KQELERIMTSYFFK >CAK87830 pep:novel supercontig:GCA_000165425.1:CT868640:160302:164579:-1 gene:GSPATT00021454001 transcript:CAK87830 MLRENFDVTLKSILWFRFLFIINTLQAVQLINGSDLFIQEMLSKYRINLLIYFGNSNYLI FAYIIILSIFFHIAITQIAIKMKISIHQFIGSFFVQTIYYVQSMPIILNSLYAILYQDQY VHLILFILTVLLNIMYIKFSCQTSFLQIDGSFSRQIDEIVIYIFRFALCLLKVKLPIVEL QFSILLIESFLLILIQINNTQLNSHFIFQLRIFLFVIGIAGICKLQWIEIFLIYMFTIGV MQSWRNRLQINKLTDAKNQFQLKFLISQIVKNYSNDETIQVLLIQRCIKSNLKYLQKNNN SNIIKIQDRVMIERYFEQNLYKLYFLLLQQNQSKLSFFDFIFVKANIYLIQQECFLKSKK QLQDNSQIVRIFEEQESNFLLLEQANITKQNFYQALIKLTINEQQYSKIIRTQCKKFCKR MQQLKNDFQTSINIKAQTLQNSNITDLNTIQTIQKYYFVFYGDYQKVYEKSYCRHFKLGR KLKNYQVGIEISTQSCLKVIRYVFQIDQIFLIKVSYLQKKWTILNSKMKYLADFFQDQYL DNILDLFPQFLRGYYQQQLNRYVENEFVDKSQLRTYLLKNNYIISCRITFHHEILDNDLI INNIIEIEDKNNVLLFDQYGKILGISELLYNELQNLDEYYTSDIFILTFLEKAMIQFYVP EIEYQVLQLTSSQQYNQVEFQSTFPKNINHNDCLQQKINFNIESLTQIKFNTSSNCHENQ QNKTIKMLNPKFSMQFEQIQQQLGQEQNISSHKFELEYVELDTPCCNYFQLHFSDLEYHF ESQDRSLKFEAKTIKIHSAQDNKINMSLIEQIVEKKEISRNLKLQLILMIFLTIYVAGTI FVNKQSGLNEIKSYQSSINFLINPQALTFSYAGSFLLQWNRYCVESGLYNLSSYIEFQRQ QKLNYAFTLWKGIHVNYTLNLSQRSRDLGMEMVDVIEYDKNNNKIVTKMDFYSFYSITRE KMVRVQKNTNYTNVSTHDYSIFKANGFIRQNIMTIFKFHDVVINDEIEYLKQKVEFSQTN FYLIEIIQMCCANTFTVALIYSIHRQVKLKNQIFTLLSLIEINSIKTQIQQTKIFHSFTN YSQKSITNFINNDSILNETSQQNKNNHTILRLSNIKFTSKFRLLIPIIFIICYGAQMIGA YYYRALYYDNYKHSLLMTMNYIKFKKLFDSSILLGELIKTEHLIPSNPYQLINQTETIEQ YLMYADNSTNLFNTILDDLVVSDYFNDTMKSLILNAFRKDLCLSFQRFLVFCNTTQIKQP YKLSDSYLTITQDGIGGVVQNYKKMVQQEFNTERQTLKYSKNNVQFINSQIHQNFFVQYA QDIQSCFFTCFSYFINESIYLSDLFIEAMAEYFNLTGIILLLLYFIFSVFWVIYQYLQIH QIKFVLILLDPKVVQKKGIHQILMKIMNYL >CAK87831 pep:novel supercontig:GCA_000165425.1:CT868640:164990:166110:1 gene:GSPATT00021455001 transcript:CAK87831 MKSKQSSSVDTSGSISDFSPGVSNRPGQVAKVTRLEELASAIEQMSQEEENSKEIENPEE LFSPLEFKLDLSVIPSTNVKTEEFYNLVLQNYLEKQQKPTQFLNKDNKGYDNEERMRFLN WSIEITNSYKMNYSTFFIICSVLDEFQKKTQKITRDSLCLTGLCCMYLASKFNDVIPLRI DQVIYISHDKFDRNKIFKKELEIFECLDHQLNFSNSFLFLTLLLRLYLSKIKDKRDDIIE ISYFTLKVCCFDYGLQCKYTQSLISAGCLTYALMLVNSDNQGRVNRNIITSLLHLLENSN VVKDEQILSVGEDIEVLMERYFIDNEFRGKIKNLIEYNLIQTNLVKSYLEIKNQDL >CAK87832 pep:novel supercontig:GCA_000165425.1:CT868640:166159:170305:1 gene:GSPATT00021456001 transcript:CAK87832 MINRDAGFQDLESGILSNNISSVQLFDIDQSSPNVGNKLDQKLELSQLQQLEALKLKRDI FDNTHKQAINQGHKADVNEEDCLMSNLSGKRSEPQGSISSISYNLKIQKPLTLNSKQNKS NKSIQIQKKSDQDDFIIASPVRNNGTKNVGSKSDAKVVKYQTFVQQLEEKQEQNERTKLA QIRRDTVKQASLIQRGKSKEIKTGYRFDLSFSLNEVWTQKGFIIIRLVTRFIQQLKTKTE RIKFRLITQRIFEVICDNSANFEYMLINRLIKQKPSISLIIFDQIQNKASKVLNSIEIIY ALFGKYVKVIKPDSLFKIVWDIILLLFIVVNIFYIPIYISFDVRSSGAFEWVFNLLPSWI FIAEMLLNFNTAYYDKGLMHEDRKQIIKHYIKGNFFWDLIVIIPYLLLNMDVPYVRYALL LRLTRLSSLMASIEEVLNLEDNMQVFLDLLKLIFFLLLTGHFCGCAWHWVAVIEYENYGE ELTWLTRYDSNAMDYYWFDRYIISLYWSVITTITVGYGDIVPVTTVERIFVIVVTLLICG VFGYCLSNIGNIFKQISDKKSIYKQKIREINSHIRKRGLSYKLQLKVKKYFEYFFKIKQE EDQHAEQFIEQLTKHLKEEVLVDIYSKTLNQSRFLRENFSDQTIHRLCQVVKETKLYPEQ LLFQRNDSPKALWFVLSGAVEYVADHQIDDEHFYTETFLKKLTQGAVIGEREFISQTPYE YNARATKFTQLLVVDFQQFYLIIQENSEEFEKYSLVKDNLLFNSNYKAFSQICEICGWTH RFIQCPFVFLQPNKNKIVSSFTSNKTNRRLTFPYRTLNKQHWKNTLPDVQEAALGYIVLN SIVPEKEINDAYLINLGFEMSEKDEDQQKQGQPKKNSVFKDQKVMSQFENNLQQPIPQPS IQSVIPADQKSQNQTAIFIKDGQRPSVTNVRLYNEQYFQESVIDWDQGSQYKRSLNRIKL QVRDRGRTLQSTKGKLHSNLNGAVDILDESMVQEEVKIHNANDGSQNFTLKKLKVGKSKF NTNPNAVGIRKVSWLEFDGGTNQFLDNKPIHLIKQISKGSKGSDSNFDQSPPSYSQELEI KQRMEARENSLNSKKTSSVDLARRKKRRKTTQLLQFLQGQEIDNKKAERSLLNNEIYSSS IYTAGAPSNLALVESNNKQENQVESNSLQVGNSVDGIQKRIQDIVRVHYEMEMDVAKSSK IYFPDFNLDVVIQRITIYYEKLNEQKQEFDMRESKRTKTNLTLLDRLRQVKNTTIKTSYI DKETN >CAK87833 pep:novel supercontig:GCA_000165425.1:CT868640:170556:172165:-1 gene:GSPATT00021457001 transcript:CAK87833 MQNPLITSTLYFPRQSYFSKYKGLLELQQKQQLSPEERRIKEKKQKNNQTSDFEETILIT NSAKLIVPQRRITFENQTNAMEDPYFQKNESSISQRYLANFNMLKSQKQILPSLPSQNNL NSIKLQTRQLFAKPLIKKQKSLDHVVQICYQNKQFSQLQEGASLKCLFKSSELKEEDYDK ILIIQFENVILKRSCSFWENSNEVTSCSISLSSKNQEQCENAFCVCNLRREFKNTLKILS KAYIIIFLVQNASFVLKWHKYLQEQNYQYDAIYKTRITKNVRIGGIQMSRVFNDFQKFNL SKIIYFDSIDISNASKLHPEDLQYKIPIANCNIETTIFLFKKNMQTKQFDSQLLHNISMA FYSGKEHVISKKQIYFENIDLHFVFQYYTSQNQIKKLNQLRKLNELKQSFQKILESLNES QTVQQEKDEYLIQWISATRNDFINDFKMKKSERVPQFYFTIGDIIVRNQKLKWQHFNYKS EKMQSRYEHICKLISKIHQDHQSFERHQHSIKINCILCIE >CAK87834 pep:novel supercontig:GCA_000165425.1:CT868640:173146:174086:1 gene:GSPATT00021458001 transcript:CAK87834 MNMNQTKLDCYNKTQAASSGAKGVNTFVSGTLMSNWHEEAQLKEDTGFGRAPVPQHIKKK HTDLMLKPPEEIPLYKEPINQIDTTTRLFGKIQPDIPKPASQNVGGTVNRADLVPKVGKK AQLIEQQYLQQIQAELDSREADSFSQTQQRYFETTCKQEFTQKPIENNTIGRRVMRTQNG TAIDGDKKDVDLLSDMGFYQKPQLSTDQQLASVLPQESYLTAKPITFHSEKQGQGIIYQS KPVNEVRPFHKTDQFVKTFHHFTHVKN >CAK87835 pep:novel supercontig:GCA_000165425.1:CT868640:174229:175628:-1 gene:GSPATT00021459001 transcript:CAK87835 MMKVISRMSSLILKKSDDMILFAHDNPVKLGETLKFGNSFGVVMSIFERNQLALLLQPSE VLEPIQHVDSLKAYPQNDICTIADIKESNENTTDGKKLPKRVLPQNQILTHNVYIDLFHP ITYGQMLIIKDKELLKKLNSFDQIIYYGKSPIQTTTWFKHAENQGSNYFLPKYALQYAKQ VAKSNKTLLVMDQIYDHFVAHQKLQETIQSYVYERTTKTVYLSNLLKELSDSCGYRPGHS NLTVAVLQKDSCEDLLNDELEKELESLQSHTPSATLAKPSPFSLQIQSPLYYHLAQELYE ILAQHNEKKAEYLQKQQLKIHIDPWDFYQYFDCKPIVQMINLFNQQNSQEHELTILVDFS VKAFKDELICQLKGSPKEILNDLLAFSSTIKEFDGKTFAEFYYEKKNELDSNQFKNAIIE CLGRFYELYMIHLRMTDQLLQYKKDYI >CAK87836 pep:novel supercontig:GCA_000165425.1:CT868640:175809:177280:-1 gene:GSPATT00021460001 transcript:CAK87836 MLILALFLISVELALGKLKVIGPEELKQEFAKNNAEIVFSIANFGNVPWGRRLSGTLDMS DPFEACTDINQTAKSNFVLIKRGGCSFVTKVRHAQKAGYQLAIIEDDKAEIIDNITMSDD GTGYGLQIPSIFISKSDGEVLTKYLKSPKVKSEADQIQLLIKFDVRQQKNVDALFAFSIQ SGATYKFLREFQPYYEKLKKEQFNFTILYQLYQIIDTPDRPVDYKNCLSYGKYCSPDPDG RGVGTGRMVVQETLRQLCIFNQSKDQWFEYMQSFRDNCTSAQEFEGCSPKVQLEVGIDNQ KVEKCISDQQSIRIFEVQSEIFNYKENKILDDQLRLWNTAGIQELPGIIINHQDYLGQIT GANVFLDICYSFETPPESCGTYVDGYIFQTDKSTNLYLTIAIIIIVMVIFFVLLFCVYTK LIRKEFKESSQSQVNEMVTQYIQLYESKDKKSREAI >CAK87837 pep:novel supercontig:GCA_000165425.1:CT868640:177434:178106:1 gene:GSPATT00021461001 transcript:CAK87837 MRLVVCALLLFSTVIAIPKKQILLGTKQDEPVITQEIKSSDVEQAPQQQTDVQQDEQRNE FKQQNTDQSDNERSLIEQLSQFQNYFEILLSKQQQIGVFLVCIICFAFYLMIKLEDRNVK KKEHNPKRMIKRQCQEHNIFILTDSLYEKKYTNQPLISTIFGSINYEEHLFRNKSSDDLK NKQQIPIMRRSNSQPFLKQQHPDYSAEEIHNLLKDIN >CAK87838 pep:novel supercontig:GCA_000165425.1:CT868640:178234:178628:1 gene:GSPATT00021462001 transcript:CAK87838 MVNVPKNRKTYCRKCGSHQSCKVSQYKKSKESPFAQGRRRYDMKQAGYGGQTKPIFRKKA KTTKKVALKLECVKCKLKWLKVIKRCKTIVFVDANQLKKQQEAKKNAK >CAK87839 pep:novel supercontig:GCA_000165425.1:CT868640:178886:180260:-1 gene:GSPATT00021463001 transcript:CAK87839 MIQQQRQVLNDNDLHTLIYKGEIGINQLLISRLSGMHLLDVLTIANFYTPDASIKEHLFK TAKQNYKSDQEFPKLIQRYELTLAIIESESLNEKQYISLYATIMKTKIKEFFTNQKNQLF CNVYVPPQYTKTLTIFCYSPNLTGYLALVTTDQMNKLIAMEEMIPQMDNEEQVKSYAFDQ IFQDNLVLPQINTTPEKDQQKLVRRHKKSLSEHQIFDFQWEQKEQQMITQMQSLPQDRAL FFSQTKQQAFIQDDYQFPSLDQINTFKPFSSIQEEKKKTEPSSQQQQSAFRYEEGIPNID DLEFFPPLELVSTTSCPPLNNKLQMTDQKKQLSNPIYTGRLKFFDEQKNYGFIVMDDDKS DIFVHLDDLQKAGVTKEVLKTSKQGSLLRFQFNCMVYVGKYKKSRKAVELKLLANQQVNN FKGIA >CAK87840 pep:novel supercontig:GCA_000165425.1:CT868640:180425:181133:-1 gene:GSPATT00021464001 transcript:CAK87840 MKKNQIFSKSINIIRDHQQEYKPSYQSASKSKQESDEENGNMYAPKIMKKDIRKQIIKIK NHSHQGNMQIHQQQPKKHGILNITKGESKKQNQQKPTGSFPIFIRNIKDGSTSNELKQLI NDDSNILGVQINNKQGTITFSNQQSADQAIELINNYKENGYQMSAVPNYQQSSKVIVLGQ FQSGRGFKEGGRLRMDAKESIFDRIQTKKQ >CAK87841 pep:novel supercontig:GCA_000165425.1:CT868640:181217:182798:-1 gene:GSPATT00021465001 transcript:CAK87841 MKTNEKDEILLCKFQNDIVHNEKLIQQNQENYVIASIYLSEQQTQIPSIQASQNGFPITL SQQEKIDPQLGNNKKFQEQSLVNIDDLLSKSNQVKCNIKLHESSSFSQIFPLMDDNLTCT SQNSSFISLEKQKWSIQTSKKIKKQKNSNIRGAKTQVSKKQITEKCKTQRRTEKVVTQQI SIQNDQQELVQVPSTGAIIHQEAILNEATLQLQNQYYMHNLIGYLKGTMKDPFMAQMYLN HFSQLFDNLQKSKLIVCPAECTLSGQIQPQKSIKVQLNQEIQKTLIIDLDETLVHCNEFS CLKSDFFIPVIFNEQIYQVGISIRPYAQQFLRNMAKDYEIMVFTASNPDYANKIIDYLDP QHKLVSYRLFRDDCIQISNNCHIKDLRILNRNMKDIVLVDNSAYSFAFQVENGIPIIPYL DDKNDKELLHLQHYLQCLNQFDDVRSQNNKIFNLKTVQNCVSIVEAIKELSASFSQ >CAK87842 pep:novel supercontig:GCA_000165425.1:CT868640:183022:184356:-1 gene:GSPATT00021466001 transcript:CAK87842 MGNCCNGGGSVLEKNVQVDLGQHMSEKSANSDILNKKAIIIQGISISEKQAHCRGHIARK KVKTIQEQDKSGKRESQNDSSQQKKQNQQANPQNKKGAQEPKEESYQNRVESSKAHAPSE KYKKLDRMPDYLTAKTKQVLSQIEAFVYDQEKDEFKELPFLEPYELDGGSVYKGQWKNGL RHGRGTQIWQDGSIYEGYWYQNVACGKGRLIHADGDKYEGEWRNDKAHGYGKYVHMDGAQ YVGYWEDDKQNGNGKEIWPDGACYEGQYKNGKKHGKGTFKWADGSIYIGEFDQNNIQGQG EYQWEDGRKYVGEWKNNKMDGKGVFTWLDGRKYEGEYKDDKKHGFGDFKWPDGRMYKGQW ANGKQHGIGIYIGSSKIEKEGEWQEGKRIRWLKKGGQQTREDGN >CAK87843 pep:novel supercontig:GCA_000165425.1:CT868640:185736:186707:-1 gene:GSPATT00021467001 transcript:CAK87843 MSEGQQEFEGQEGQEGMQEGQQEQQQQQQQQQQQQQNQTQQKQKPVVPKSDPPPEGAIIF DFEVCVDCSSHAWCTHHDESKYTQLYLDCKAEIEAQIPRAYCTYNAKKKPSTGAFEISHK GTIIFSKRNSQVFPQPKLLPERIRQFLDDVENKRDLAKWATKKEVKQEQPRQIKERPTFQ TYMKQREEQERLAKEQKLKQQEEERLKQEQEERDRKEKERQEEEQRKIEEEKRKQEEQRL AEEKAAAEEKERQEQEQKILEQQQEEANKEQQEQEQQQEGEKQPE >CAK87844 pep:novel supercontig:GCA_000165425.1:CT868640:187346:189561:1 gene:GSPATT00021468001 transcript:CAK87844 MKKVKPEFDSADQLSRIELYKRLDQNNPLNQGMRPYEGFNGPKKERSMTDRLCLLFLLLF FCATVFHGIVLISYGNINRISNGYDFRDEICGINGLINQPYLYYLQPSVDMNVAMCVDEC PSSTGKYTCLYSIDHFTTTNFCYVQMSSTKMARYCIPKEPVSRKIVDDFLSQTEPYIKRT MSDILLSLDLIIVSIILLGASAYFLTYLLSFPSIVKFQVWGQIWLSIICFGILSYLFYRE YERVIDTRCLYKIDKHQCGGDRATLFYLAWIGTAGIGLLFLFNIMKLFNKINLGISLIKT SSQIVLVLKQLRFFAIFVAFIGFVQLGYSCIVIFYGMTIGERSTVQALNINGDAVKIFTT TQIYQLSLPFQAFMILFFLLFVMSVNEMFNTYALSVWFFTKRKDVVQIPLLVVLRELLLH HLGSCVYAVFMEIFFFIPKWIFYTIYYFLSSLPQDSMIVRFIQGCCLCCLACYQNCLRYL SKHALVQVAIWSEGYSLSSRKAYFLQDRHKEKIHDLDFLIEFILFLLKLSNSLVISLPIY IYLSVSDTTLLGVKVADIESPLIPTLFVFLIGFFYVSVFQVSYDITTKSVIQLYLVDREM FYGEQRFVEDFIQKFMEFYGKKEVDQIKIKSNAQRKYNAQVVPENINKELDEQSYQSEEQ EEEEDEEEEQEEELEDINLQDDNSSPELGNDYNGKNKTNAHHIVADPFQLDDASDSRSQT QWKSGTNFNK >CAK87845 pep:novel supercontig:GCA_000165425.1:CT868640:189581:191167:1 gene:GSPATT00021469001 transcript:CAK87845 MQLEKDSKQSFLYQLPLQKTWMLWVALALACFLLFGDAYAFDNPMALQSTIQTEMNLNNV QFNMLYSIYSAPNIILPFFGGILIDKIGVRVSILIFSSILILGQSIVVIGGYTLSYGTML AGRCIFGIGSESLNAAQAAIMSQWFQGGQVSLALGLCLSIPKLGSALNSLVSPQIQASHG ELGFTFLVGLFIVIFSWGCGLVLIYLDKKNEVLMEKWRELNPEEENNEHKEAQPSAQEMS LQMRKSETSESERSVSLLEDEDDDNDDDEKEEEAAHEAKEEIKLSDLKHLDGSYWILSCI IMLSEALFVPFLDNGNAFFQVKFGFSQQSAGVLLTIPYVFAACVTPFVGIYSDKIRQRSL LIVLTTVIFIITHLCLLLIYCDSACGVSALPLLSLGICYSFYSAILIPSIPLVVKAQMIG TAFGLLGVMQNTALALFPLITGSLYNSHLINEEGQVDPFQGYVYQSYFFVGVSCFNFIIA ISLYVFDKNGSKKLSRLKSKSK >CAK87846 pep:novel supercontig:GCA_000165425.1:CT868640:191206:192752:1 gene:GSPATT00021470001 transcript:CAK87846 MSKRNNSLTDRKKSKGKLKTAISQRKNSDSQENNFYSEFQIFYSQAQNNTFTKFEYMKEF FHLGLTEFPTDEEINQIQLEILTTSGWNSNLKKNWTLNEKKVLIWLIGKLSQFRNEDLRD LSAELFEEVSKMICRRDKESCKQKWSQMQKIALQQQPFRPEEDKKLYEIIMRYQSIDQGQ KWSQISQELNQNSTVYRSSKQCRERWLNNLNPKILKEPWTDEEDIQLLMTVKELGRRWAE ISKVMDGRRSENNLKNRFNSLIKREKDLPFLQTQNGSTNNLDELLSGCNGPDITDLQKQV IDAVLAKLKWRNSETQNKINHQKSDDIQQNQNEKSTFQYTIGNIEQEQNIQRLTPCLINL DKNIIYFCTYEILEQFQDLHQYKQQQQFKDHFHRIKSELHIFDAGFPNFKSTLSMIEEIE DSQRSHLNLYPNDIDGFFNLEVPDIFSKPAHVNPIEIITQSAMKYIHRWKTESQLNYRRR NSVTIPRSLPNLIQIQS >CAK87847 pep:novel supercontig:GCA_000165425.1:CT868640:194514:194812:1 gene:GSPATT00021471001 transcript:CAK87847 MSLHSSFGYDSLRTIIFAKAIKECEIIEIQNEHYLFESSSDIKSSISSDSEKHLFDKEFI MESPESLMTFNNQQFSLSQFNTQQ >CAK87848 pep:novel supercontig:GCA_000165425.1:CT868640:195654:197037:-1 gene:GSPATT00021472001 transcript:CAK87848 MYQRISISHCQLCKMQAMKPISLICSHTLCFKCAQNISIQENTSQIQNKFRIQCPLCRKV THTYDINNLLMENNELNLITDSSEMDKEILNESQLQNDSKNGRRYSNFDIPNKVQSTAQQ KMSELLTQTNNAMKMMNEKPKQSNTTIGHIHKQSATVAVIFDDNRSKVQLNQQPYGSQFQ SQIQKTLIQYQQQQQELNQQTQTINKDILKPNNNTPNASPYSTPLASQFHINFQNTDNKK QPQNPLQFQPLKDKPFYLDDKKYTQEVKENLFQKEIQKEITEPSRHKRSATGVPNKQLTT NNTSIINNVNQNSSINNESSEQIIAQYFQIIQKSLQKLEKDTLMVIKNKNVQPQALYQKM KQLNQTKDQDGELIQSIKMVIKLQTELNGLSTPSCHRKTSSHASHRYSNNTTNTKSDHSS TSILQQEFKNLKKLF >CAK87849 pep:novel supercontig:GCA_000165425.1:CT868640:197075:198676:-1 gene:GSPATT00021473001 transcript:CAK87849 MQSWQTCALSGELIETPVISKVSGHIYEKRLIEKHIESTGTCPITGRPLNIEDLIEVKVS RVQKPRPVTATSIPSLLSLLQNEWDALLLEQFQLKQHLEQVRHELTHALYQHDAACRVIA KLIKERDQARIELAQLQHKLNHKIEVEANNAPEKLSSNYVAEIEENALKLTNQRKVQRKQ QSYFDQFPGPEILSTYDVKQQYQQTQGGTTLDTQGNYVVVGGLAGQVQLYSQEKLVYQVS EHTQNITSVNFFTYDEHIRFVSASLDGNLKIYQFNSQTQEGQVAQTINIGSSITGVAIHP IGYIAIIVTNNGLLAYYNLKSGQQLSRVTDFEGQCQFTCVTVHPDGLLLAIGQADSQIKV WNIVKSQQLAQFEGQNGAVTNLSFSESGANLASSSSTEVNEWDLRKPGQFQKIHQDQKIG AISYDPSGQFLAIGSNKTIHFFDVKKKQEFFNIESHRDVVTSIRFGQLSKYIYSCSVDKI VNIYGN >CAK87850 pep:novel supercontig:GCA_000165425.1:CT868640:198694:199253:1 gene:GSPATT00021474001 transcript:CAK87850 MFKKLEDLSKPTLLSKTGVKELKQSLTDQYPFFKEVEDEIFPKKANIQSFKLRSEYKVEI VQVDKDYACFTKENLVIPHLRLVHKFPDLLIKQQVDAGAIKHILSGSDVLAPGLMSQGGQ LNQAQKGQVIAIYGEGKENAIGIGIMNASSDQIKADPKGHAIQLLHNLGDALWQLSFK >CAK87851 pep:novel supercontig:GCA_000165425.1:CT868640:199256:199690:-1 gene:GSPATT00021475001 transcript:CAK87851 MGKKEKGKPNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIVRFAQKNMLTEDVRIDP QLNEAVWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDSFDNLKTEITKQQ >CAK87852 pep:novel supercontig:GCA_000165425.1:CT868640:199720:200359:-1 gene:GSPATT00021476001 transcript:CAK87852 MQQKLETIKGWDIDTSFESQGILKPKNNSVIAEEPCQTKTLSSERKPSPKKINKTPKTRT PINSVHCEQGSLSSNSLGKLYVKKQFKQPLPPPQLLTVSKLKLRLTALPQQPTIPQKAYS SAQLNTILQLKRKNMYLQSILKKVNNNESDERYNKSQSDQLMVTHNRASQSMWMESTMAM RSFFNTRIGHFKANGTKHKFAV >CAK87853 pep:novel supercontig:GCA_000165425.1:CT868640:200443:200937:-1 gene:GSPATT00021477001 transcript:CAK87853 MSQDSWYYDSSAPCFDFLLKQEFNIETRVSDGEVIVDGEAKIQNETKNIPKNIGVLLKNY LKKNHQKELQYNLAIKKFIQKGNSRKNYTRKDFKTLLQNSEAKKICSEYFCSFQIIDDLL KSKKIGNMEIVLKYIKKLFLATHDPEILSTLKYPKH >CAK87854 pep:novel supercontig:GCA_000165425.1:CT868640:201830:204860:-1 gene:GSPATT00021478001 transcript:CAK87854 MQAQTQITQAELELAELYFQQAQVKYKNDYIKDFQSKNYLQEALQEINKSLRLQQNNVNA YLLRGEILSELENNEMALQDCNIVLKIDSKSANAYYLRCKSMLDYQATIQWKNKCLYSAL DDINQAISLNPNFEIAYSRKGIFCQAVYQRNNSLGARTQFRSIYLFSKGDSSSKFSQQSF CFIKMYLLYVILKQFQNNKMKSFIKLIKLLRQILMILKLIQIEVLQCINQIAKIFLLQNK VNEALADYAVVTNINPYFIQPYIKRAKILIDQNQIEDALKEIRKAQNHEKNNAEIYYIEG NCYQKIGKLDMALHAYNRAITFCPKDEQYLNQRSELYFRLERFEEAIKDLNKAININSNS QFSYYNRAQSYLKIGQKDQAMKDLEKHVEINPNHSDAYYQLGFILTMLGNINQAFFYYCK AIQLNPKFQKAYANRGIDQILKVASLATSLGLKQQAFDDLNKAIELDPNCSNSYYNRGFS FSILGTLFVNLGNFELALKDLNQAIQIDPNYQDALNNRGLVYNHLGQKKEALKDFNQIIL LNPTNGAVLNNIGTLQFYQGLRQDSLQSFLKANQYCQNPVILVNIGNIYFEERMYQQARN YLLQAKQLMESQGNNSKNCWNLSPANISALNEKVSLLLRIEEQMGSLRSQIMQKQQILNE QQPQLPSVDELEMQIFKDSKGQSIIQPITSNQDTCQVQRELSLLKQKTQYLQGVFEKIKL SDTFKIERGIEILNLPENSHKLLYFRSLVWHLYNYLNSMQQISTGFYEIKESAYKQNRFE QFIYYLKETIKYIPSVMKYLSLPSTVFDCINQALGIGMKKTLEITFKNRIIKITNILKRS TIGSLSIEQQIQLAALELSSEIKPQQQQQRQQSKFQKFVDMIANLSRQQEEFSQDIFWKK GIDDSLTILSYMNNQINPIENNNQTLSQIIIDAFRNNQPQQLQSS >CAK87855 pep:novel supercontig:GCA_000165425.1:CT868640:205518:206342:1 gene:GSPATT00021479001 transcript:CAK87855 MVYKIRNKSFFWTRAGWKNNWHPKNFNAPRPSSSEFTIGIRCRYDHNSFLRAYHSYRKIS RHCKQYFFGNKELEELFQMGLRTFFIVPHIAECQVTQIKHGGERRMVDQIDRDFELVSYN SHPYQLFTYTIWNQYLANQQEAYEQRKNGGQAIEDQVIDHISELVKDEKAKLGAGKQLSI ERTAEIVMNVMRQLRAAQQRPNLNNRRADGEFDDFLEQRRPFTAPNNQSATH >CAK87856 pep:novel supercontig:GCA_000165425.1:CT868640:206501:208358:-1 gene:GSPATT00021480001 transcript:CAK87856 MSIQKKVTKFTRQKSEQGKFLNLYYEVQLPYFNPQCNSQFATALLNNDSLLNIYQQTMNS YLQGYYKQAIFFAEKLLCLNQDSVAQPYFVFLLGMCHFGNQEYSGVYNLFLKHKLTQGDF AVLGARALYSNRQYEMGIEMLQEESSSQSDWVRGQCYEALENKSSAVSNYFECLQKTPTN VRVFQQLVDSYLISSDEKENLVQLIQLNAEEAWLKDYYISKTINYEIANSKLADQLQEEK RKIAQQLQIIDKAETQQLKPSPVRSPYIRKEEIPIQNDLIYVALERKNNIDILNVKAKKA YYNYDIASAYDWSLKAIKLDPLYFEVIPTYVSCLLELEQIAELYYCAHNLIENYAQNALS WFVVGVYYFSTKKYEVARKQFQKSIQLDSHLIYSWIGLAHSYAIQDESDQAMSIYRSITR QFPGCYQAHVYIGMEYLRTNNLQTAILSLQQAKDINPTDPMIQNELGVIAYKQRKYNEAK DYFLNALVFCQNSNHKIRESALQNLGHTFRKQKDYKNAIQIFEKCLQLNSVSPQIFFALA FSYHLSELPNSLSKAIHHYHKSLSLKSDQTFVQDMLSKALQEAAEIGLSEYIN >CAK87857 pep:novel supercontig:GCA_000165425.1:CT868640:209374:211372:1 gene:GSPATT00021481001 transcript:CAK87857 MTTFLQSTFTISEKPNYEFLLNQVDSDSDNERQKQKLQQVHDHQKEHKKFKKLIDIELVK EELKSRPNVEKQLSSFQKKSISKIKSVRSLNDLIMPTSPVLMDQLGNLNKIKEIRSEVPP ELMGRIYSEFRYEFVSALNPVYRQGDQNKRFYIVLEGKVVVMKPKLKMVGSSKIEFDDNL KQKNQGKTEDDPFGLKILFPDYIILKILFPGDSFGEAAIKLDTTRSSTVFTLEDTHLIYL NETAYLEYINPYLSIALDKKMKYFGSTPLFQNISTEDYMGIVLESKMITMKAGDLFYEEG EKTKYLYFIMNGEIELLKKVGSKSIILSSYGEFQCFGEVEIMMKINRYTKAKVISPRVNC YKIRKRRFFDNLGSFGTYENMKKHSSVIYKHWQLICNSVQKQINPRDEVYEAAQNDNKNR PNLLASSILNKKVIESQGQKLSQIKVFQNLADQKIKDLKQFSSDNQYLLQRVYSNTLQQY QAKLLKKPQLAFCDSDASCIRNQNQNKQLTNLSINHDDNSTRNPLNENSIDLKLSSNRSS VFIKRESQQKISLPSLHPSLHFVRHEPQSLNSVLESISKLPRVPKDNLVLSLMYQQAYKA ENPTRKAREIQQECIEQILTLKTLFNRDLDKIK >CAK87858 pep:novel supercontig:GCA_000165425.1:CT868640:212358:213094:-1 gene:GSPATT00021482001 transcript:CAK87858 MSNQWQLKEELQLCRSQLSKLSVERDQVLIILSKQTVFELNKVIRLVERLQIQITEKDAE ILMLHTQLNEQEQYHQQQLEQVKSHYEYLKESAIARLKSEMSFDADSMIKELRETKQMYQ EILEMEAKRKSSYSQDDTRSQSINDYQKLYTEKQELQQLVDKLQKMKASQKSDQSEELVQ EIQYLRDQLQKLTGELETAKQTINVLQVQVLKQQDLLKDYCTNDFQG >CAK87859 pep:novel supercontig:GCA_000165425.1:CT868640:213106:214219:1 gene:GSPATT00021483001 transcript:CAK87859 MTESIQFRGPLEVEGPGIHSINLDDLDDDDNYMRPGIETTGLQNRKQKDQPKKRSLQFAV ICFFAGLLYFYFTYEQPITLQTEFATKTTFGCNRQHHWTKKGCELNPIGCNLSVEQASSC VVCEPTHHLSLQGICIEECKESNGQFCTSKNNTKISNIYKPKTNSYSYFEIPYILIHHQD SAGLLYVSDVELFQLEPFLSLNYTVVKFEDYFLLNNEELHPAFKQFQNFIEKTLLNGLSI NKTYVAYKDKSQGLLSYLNSQNITDHIFLVQPTVLEANQFYDEIYDVQSYDQLIANRTFN VTVLHTGSVPDELKCKKNRLMPELDNLDEKDNSNESETTIEEEVDCFKIEESQIIEKIVH PN >CAK87860 pep:novel supercontig:GCA_000165425.1:CT868640:214732:216868:1 gene:GSPATT00021484001 transcript:CAK87860 MNQAPVIQVNSPVTSFSSPGFKVLNQNEKQYAYHFARASWEGARICYFQRSYESPGLFYI FHKIFSTEKPQAVKQRLSQSGWSEEEVQQLFAYIAAVFQNCGNFKSFGDSKFIPEISQDK FEQFITTSPGYQVDQVWENVRKYIYNYDKPYGLIDLQEKNGSNSYYSNNLKGELLEAVDK FLIAQGVSELNTRVVKVEEEIHVLVASVNKGEKELGEVHNHKVKLVYGDFSPFLNSVVTH LTQALQYAANDNQKNMIQAYIEHFQTGDVELHKSSQRHWIKDKGPVIETNIGFIETYLDP LKVRAEWEGFVAVVNKEESALLNELVNKAEDIIKYLPWPKEFEVDVYKRPDFTSLEVLAF ASSGTPLGINIPNYDDIRQTEGFKNVNLGNTIGKLSKDAIKFLDEADQEIFYKYQSEAVF LVVALHELIGHGAGKVFMKDKDGNLNFNLENTINPLTNQKVDSYYNHGEQWHSKFGEFSG AMEECKADATALYLSTYDDVVKLLLPNQSEEERRNTVFAGWLFVVHRAVQGLEFYNPEQK KWGQAHVLARNVILQSLIREDPDIIKITETQLNGKPYIHFKFDQSKLYTTGKKAISNLIL HLQVFKSIGAGNQGVEFFSNLAAVSDKFLNYRNIVIQNKFPRRLEIQPNLVLEEGQVNLK EYEPTLAGIIESQVEHHLDNIETTKELFLNVQKLFQN >CAK87861 pep:novel supercontig:GCA_000165425.1:CT868640:217620:218655:1 gene:GSPATT00021485001 transcript:CAK87861 MKHISISCNLIYFDQNSISPPLSKERKKVLKQIIQMKPISIAVLISVSLLLICEIQNEMI RFLILVSLLLLFAISLTSVKSQIEIGRKFLLNLMQEFTNQYTGISIEGLDYLGMSQIWDL FGTKIRFSNITGLNVDQDVNREVLKIYATSTKIDLVVAENKLMIPIKMQDLSVSIFIKAG QNQLNCTQVQIDCSSFYLEDNILRQYQDVNFLKKYLDKNLITALIDPNKIVEYLAQQFLN SGMIKSVIKNSEIPIRNLINNALKNYYQVLQEFLKQLQNDELNPKVLIAGLKNVPIARVE NKEYIINIKKIQTTKSFNFF >CAK87862 pep:novel supercontig:GCA_000165425.1:CT868640:218738:220308:1 gene:GSPATT00021486001 transcript:CAK87862 MIDYSYLAPPKEANDIVHSNHYVKHQEQEKGVRKIYETVVYDQFEMEKVKELKEEIKKQK IILSSDWKESDYLRISYAGRFDKKTVIKKLQQHIAWRCNKIYHEINSHSESFLKEGICYL LGRDKQYRPIVVLNAHQIDQKKHDKEQIFQALSCLLGIVKKNMFVPGKVETWVFLLETNN LGGQGLQQKVLEVLIDNLSTNFSGYLERMFVLNPSSGINFLWQQIKAFLDEETINKISFL PSKEIKQLKEFIEPNQLEEKFGGTHPNLTKFWPPYNLDLPDGYTKKDNKTNQKQNMKISN MKIEEEEENDPYDQVDFNLNDFMKQQQQIYYSISNKPQQSIQIEDKPENPRQDQSEDNPQ IDSKQPHNQAQSNNQKPILDEPEQPELQQQVFNQEEEKKQNIPQNYEIKESTEQVILTER QKNQQQQGNDNEQDKLSPSDQQQFNSTGNKLNEENNPDNIPIQRLDPVNNDKGCCAKCEI F >CAK87863 pep:novel supercontig:GCA_000165425.1:CT868640:220746:221333:1 gene:GSPATT00021487001 transcript:CAK87863 MNITQQSEETHQSEEINQSEQINQSEEINQSKEINQSEEIQQSEETRQSEQEAFIKITKN VSQVGLDDEGTFKYILILLTGNNNQEFYFVRGLKKYGYHAQNFEHFCNEVKNNLKWSDFN FDLEEGKIKLQLDGHKFKFKCLGGGRIKHSFIGQSIEIYGYSWLYGQCDHKISLNAIRTV YPYLDSNVITRNDGY >CAK87864 pep:novel supercontig:GCA_000165425.1:CT868640:221354:222951:-1 gene:GSPATT00021488001 transcript:CAK87864 MSNKQQQAKDYLTACFQCKGFEPFFIVTKTSLLRILDNMAQQQFDRELADELFQHCPTNF KGLVKIEDFIEIVIQADIVLNEKINKANQFIVNQTEELAKLTNKNRSQILQIRVIGAKNL HQGLINATNKPYVVVHFNQEKKSSRLAHNDILNPIWDETFVFPIKTGNEQTYISILTLDS TNNKSNLIGEVHFGLRELEDQMKHNQWFNIYDRHGSMGSGSLQLELQLLLDESMFYEQAQ QVMQQQILNQQEEKNQYESDLKILYSPFQNGILQPKRLIVPDAPQLMFVHEFSKPIIYQG DKKVDLTVYKTPQLSDSRVLQILNQAPESVTTVHPEIDYPARHDLKQKANYGYDHVTKDM KLTAIFILLYVLSSIYLCWVKTDFVNITIALCTSLIVFLNYFEKNHLNIFAILIILTLLY DVSWLAAYSGVWWNQNKSENPQSESELITSQRITILVSYFVIFLKIALSCQLWNLKKNIE PLNNHKQFGIGTHLYQANGGHINPFIQ >CAK87865 pep:novel supercontig:GCA_000165425.1:CT868640:223485:225613:-1 gene:GSPATT00021489001 transcript:CAK87865 MNQKQEKKIDDITLQQLRSMAENGAGESLAASLNLDQEDLIIWPLEQVQRNFKEFMRSTS QLEIEADNAKERIDFPADMEWPTEDDLEQMFQKSMSKQSSLYYLCRIQGRQNKKKWKDNE KQFLTWIIIRYCLWKNKVCKELNDQDWRYIAQLIVGRNATQCKYKWLARSKFKLVQVPWS KEEDEALAQIYMEYQKQGKHSKWSEIAKEIALRCKTQIVRQGKQCRERWINKLDPQISKG PWTKEEELTLLQLILKKGKKWSEISKIMKNTRTENSLKNRYHTIMKKERNKQPEVKEEIQ QEIVDLLQQHNHIYQADNLVHLYEDIDPKELKIILQVIDRLSESTGKQIRVSTLITDQQM QQEQLYEKQQKVKQESQIDQIQQKNEEANNKQRFLDTMEKIKIDLDQQIKKLVNKQDLDQ NCTINLLKQILKPQENETNQLQFDQYYDSMILNFQANQVEFEVEAITNLTAQDVEDCQFG IVDFEQSNIFMIPQQYLQEVINKVHGSSTQAQVQQQQQMLQHQQHQQQQQQQQQQQQQQQ QAQQAQQVQQSQMQQQQQQQQRLNISSSLRQSIPQSQQAQPLQTSQLFLGDQFNLVNSQY DFENQQQYQQQIPVQILYPQIIYQPIGQSINLSQGLQQNYWMQQSYYQPQYYVKAQEQQF NKSNQEEPK >CAK87866 pep:novel supercontig:GCA_000165425.1:CT868640:227090:228175:1 gene:GSPATT00021490001 transcript:CAK87866 MKQIISNVSDNFLTEPRSSQQSCHVIKSKPLITYTPLQVNKPQNLIQSLNGLCKYFNKKD EMKQITYFAPKSVQRSSASLSPRQIITNSISDNSPVLVKQLLCSQKYLKENLNPKEGISI TEFKSVTQLMLPFQDQKLMKVNDSSHKRSMGRFAKNQKSRNASVQYHEDWKSKYEDLQQS LCQRIQQLENELEDMEKNRKIDRMHSDEQTNQYIEQLQSIIAEKDQVIYQMDQKHQELEQ IIQKQQEELDKYQQQDKPRYCDNLEIKRLYMVDQQFQKLKGQLPVLASTLRNIEQILDTS QAQQNNLSLSSVSVVEMINEMNQGIGLGIQNSNRNNELLFRNISESKPFNLSDILSRKKQ Q >CAK87867 pep:novel supercontig:GCA_000165425.1:CT868640:228212:228964:1 gene:GSPATT00021491001 transcript:CAK87867 MDQSQNQKIQLPKSNQYKRQSTIEYPIVYHLLSTYMLTVQQNKKLNKNEKQIRLVEMGKK LGQNVMESLASTQFEKIRLNPDQGKYTDYVKFFGKDFWSYMFGQPVSKVQINKAGSHYDI EDRYEEQKKGNNTITKLGFQMTRRIRLNDKILQDEYLSLWASFVQGLVIGGMKALTIDCD SEVEFINNEPSDLRFTIQIRDFLSSRSNFLI >CAK87868 pep:novel supercontig:GCA_000165425.1:CT868640:229657:229872:-1 gene:GSPATT00021492001 transcript:CAK87868 MGNIEMKEEAITTLQECYHCKGAGYVVPKFSRKYLDQDLSPTQKRERDQCLDCLGKGHVT LEHYEEKNFTL >CAK87869 pep:novel supercontig:GCA_000165425.1:CT868640:230197:233040:1 gene:GSPATT00021493001 transcript:CAK87869 MKQSQVNKFFTNLAGCQLISNVGTPTTTAPSKHNKNASSTISNGNKYLVYSKRTSRLHLE STKKLPVLTTVMKTETQETPKTATIKMRKASGNSGDFRIIHQHQRNKTETLTLSNNINIS KLDSPKENFEEVEQLYVQQNIQHTATIPTQIAHAVEILQSALTSTRSEIITKQALPKVNP VQVLGETYEFTKIPKISSKIKRTEINQQITEIESLNEKSCHLMRKLRQLLHTHREKEKLL ELEIKKKKESLIDRQFSKTLSNMPDEKTPKAIESKFNFKLSTITKKQTRIHPNYSIYDNE SMLPIKTIIDALKEKKDEKQEKVQIIQQIQKKNIKVTVAFEENEKEIEFQMPDYIGDFQQ SQIQSTEIKDNKQSNIYSLVSKSMLQKQISQDICSKFALRLVKKFGDKVIKTQKDNDAIE NFNSFKASQFTRYYLQNHIQKCLEIKYEPQQENEEISTCQKQSFLNKNYYSETMQEIQSK NMLQITQQHKDQYKSQCEVQTLFYTIGQHQEGHLSDNSILSPNVSQLDTSDIEMQTQFKI NYIYYMLNLISPNDIKQQKLRVIVNTIDDETKNQLLHQDLLRFPQIIKTLEKKIKNDPEN NQLQILNDLETELLTTDLTILQTQFSIKYNYILTGQFEDFLHHQVTQMEKIYVEYCKTQI DLDSAKITDRQFTNLNIPQNSRSRLASPIGSNESKLTRKEINPLLAKGMMLKKNQKSKNL LATQKFASSQNIIYKSSRNLQALLHANTNSNHNIQQFQSSHQVRTFQKESEQQTKSKSSQ QHISIKSNLITQQSMDPSSLLFRSMLLHESQQNDKSNIDRAFSLIEDHRLQDLKDLINHD QNINLNTQDYNGNTFLIQAARTGAFEIIQYLLRKGAEITIKNNDGLNAIQIAIIHLQFEA ADEINRFSRSNSFISH >CAK87870 pep:novel supercontig:GCA_000165425.1:CT868640:233268:234557:1 gene:GSPATT00021494001 transcript:CAK87870 MLPFLQKERSNSFNVRKPTERNSIHADVSPLGEGRGILIILILNNEDFVAEFIQNPRYLQ FLKYKEFLNEMQIKQFIVYLQEQLIQSQSKAYTYHYCTFSQQQKKIDLLNTSSRETYEVL FEFADRINKEKQFNYAFGVDFNMIHKSKFKNILFLSDIRIDLQIIEYFQPQKITRVRTFS HQSVNQTSKEQSEILDTEDKYQQLASNKRNKEIKQYLKEQNKLKQETKNKDQLMIKKIMQ TEKIKPQKQQNEIPQVCEQGLMQFQLIFGIKDIQLDRDLFSQFLLLCEMTILFTKNKLLQ VSIQKIEESKRLEVLLKQLFFDYKILKSMNIKLQQLNPTLTRCLLRFLKQGLEYDRQNRL FWNDFIRFKQYYIDRVWGVSDFRLFMMLLNDENQALASKIMKVPPQNIQRTILNLQPEAL HTIVYSLIF >CAK87871 pep:novel supercontig:GCA_000165425.1:CT868640:234658:235155:-1 gene:GSPATT00021495001 transcript:CAK87871 MFKLTPYSDLQKRKKMVIGMHLVDPSDRYHYFNLVGGNNQLMQKTSSITQLNKQEHLTQR QMLTLPVTPNNKQPSFLEQTQSLVSKQVSITPRLKLVKQQTKPLLKQLQGQLIDKKRNES FKGKATIPNLSQKKIIGLKKQILFELVQPSEFDDFVDYVCNKKLI >CAK87872 pep:novel supercontig:GCA_000165425.1:CT868640:236249:237953:1 gene:GSPATT00021496001 transcript:CAK87872 MSLNVQGLRKPSQVNSPSSIKKPIMSSLLFNCKAPINVPNSSQVSPQNSEQNLLKTLHSH KGSQVDVTNLTKLIKHNKSPTIEAFDTQNSQNSSQQQLQALFAKAREITSNKQKPQIVKQ QVQSIQTQSINQLKELFDNDIYCRNTFYFHFVVGIGGFGKVWKVEHKKTAQVYAMKEMSK ALIITKKSVNSVMNERVLLSQLKHPFLVNMNFAYQDRETLYLIMDYMSGGDLRYHIGRMR RFNEEQTRFFVACIFLSLEYVHECNIIHRDIKPENLVLDNKGYVHLTDFGIARVMKTENS SDTSGTPGYMAPEVMCRQNHTFAADYYALGVIAYEFMLGRRPYVGRSRQEIRDQILAKQV QIKKSEIPNNWSIEGADFINRLLQRKPQQRLGFNESQEIRQHPWFLNFPWQKLKNFELVP PFQPNRTEDNFDQKQILIEDEENNELIQQNLLVLKDPATQDLFQSYEFNANQNQNKISST TDQSSSSSSKHSRNFSQKIEKQQYLESGTK >CAK87873 pep:novel supercontig:GCA_000165425.1:CT868640:238822:239172:1 gene:GSPATT00021497001 transcript:CAK87873 MEQQNQVEQNYENSYVNDHKQAYLEFSERLIFRFEQLLNKIEFNRDNMILFEQGQVQNLL DQQPIQIRQRKRITKRNNIILMIGNRKRYVCGLCKLIFETHYKLGGHQKGCRTHKY >CAK87874 pep:novel supercontig:GCA_000165425.1:CT868640:240004:241907:1 gene:GSPATT00021498001 transcript:CAK87874 MVFGSPVNFQEINEEALVTGGIVMVFALIFGTIAILQTYCEHHHISVMREASVPILFGLF FGLITILGYFSQGIYFDSRIFSFALLPIIVFKEGYCLNKQHFMKNYFYVLIYGIFGTIVQ FLISFGLTQSLVSSSIFWSPPEDQKQDDYSFFATMFFSACITSKDSAVSLSVLEFEHAPK LHSIIFGEQIINDVIVFALSSTTQRYNNENVRFERDNWYNFLIFIALTLAQLLVGLIVGL LTGNSTILIFRCISEHSSVLTIFTIYCAYFAFSFCEAFDFCGVMAVLICGIMMSHYQTYN LPKLSANSSKYKINRLFRITVKALAYASETFIYFYIGFAVTATEINNQDYLKGFTVYPFV LLQFFVIQPMARFLSMLLSQMLAILIQKDQKHALKINLYEFLILCYSGLIKGVIAYALIC EVDSEFVKSTHYYPYINFATLYLIFGTTLFIGGSLKYVTEWAYSRMDQQHLTESSDNSGI KSNLKQTFIREDIKAYESATQNKQKWFKNIDENYIKPFLIYNYLERKQDILTAKKLKKNK HAMEKQLEEEMQMYEESVRERREKQEMKKMAKKSKLTNLELDSGAEEEEEEESPKNNHPH ENQNNHH >CAK87875 pep:novel supercontig:GCA_000165425.1:CT868640:242252:243117:1 gene:GSPATT00021499001 transcript:CAK87875 MNPKQLSKVYRNDLENSFIRKFQRSFLSLSLKSSQTTRVQLWKQKQPRYQINEKLKQLSE WNEQKYNHIKLKYYTRAKSSQSSIEGQNTKKATQIFLLLPYHCPSQTRFNSCKMMWKRLI LGVQVILYYSKLQKEQLISKTASCPHIPPKRNSLKSLNYPQSPPKRKFRSRTQGDGKNQL NGFGDYIKAMYESEKQKRELCSKSVIIKEFRCHDSRIKRLGTSKLCDQTLDLSKIGCASI KDTNQLPPLISIAPYQEENIRIKRVSSTMIRRIRTLRLY >CAK87876 pep:novel supercontig:GCA_000165425.1:CT868640:243496:243642:-1 gene:GSPATT00021500001 transcript:CAK87876 MFEKEIVIDGKGHLLGRLASYIVKQLQRRQRIVVLRTELIQQLGNMIP >CAK87877 pep:novel supercontig:GCA_000165425.1:CT868640:243926:244651:1 gene:GSPATT00021501001 transcript:CAK87877 MRGPKYVEQDIIKKVCYAEQFQQHKFKLLNTKSDPHLSKKHVLSDNDKYQQIRANKFYTK LQVDLQKEQRLYKENAKILNRIVDIGNQKTYSSMPKRSVTRQSSANSIKSLNLSYRKQEA KKIVGENEKLMQRLQRTPSSFRNKETLFKDYKKTLELKNRISKFSQQNQQKMGKIIERLT KTTTNPKYSKTNQSRTSAPTYQNSALSRLRIEPDTKQQKLQFPRIK >CAK87878 pep:novel supercontig:GCA_000165425.1:CT868640:244832:246147:-1 gene:GSPATT00021502001 transcript:CAK87878 MLNKLLTVLLTSLFFTVEAENQLTIAFGSCFKFYRTHDTDVFKRIQSFNPKYFLWLGDAA YLDYMPILVKEKFDITNDDKYYAQFKKSVIIKGVYDDHDSNENNGGKFNPLKESAKQLYL DFIGEPKDSPLRKQDGIYQSFYADQHNKILVVMTDARYNSDKFTGDSLGENQWKWLEQQF QTESQLIIMTSGVQVLHDDRDGPETWFGWSKQKLYALIKKYNKPIIFLSGDVHFSEILKH PCPHRLGQNLYEFSSSGMTFANYDHIPFVDIIFQFLFPTTFSTYQDHYYKSNFGILKIIT NDQHSPIRIEYETHSSQDSSVVLSKTILIEELQKSKFDETQSCILDVPTTERQWQNYRLR FYDNIVIVIVSLFLGLLSIIFLIYNFISYVSKFLELYKQIQKNKQKLKYE >CAK87879 pep:novel supercontig:GCA_000165425.1:CT868640:246147:247038:-1 gene:GSPATT00021503001 transcript:CAK87879 MAEQEQQQAPAAEQEQAAPVEKKGFGRGGRGQRERREGGAPRQNRGPRRFGGEQEWVPLT KLGRLVKAGKIKSLETIFQFSIPIKEYQIVDHFLKNLKEEPLAIGPVQKQTCAGQRTRFK AYVVVGDSHQHIGLGWKSAKEVQGAIKGAVINAKLNLIPVRKGYWGNKIAQPHTVPCKVT GKEGSVRVRLVPAPRGTGIVAAITSKKVLQMAGIQDCYTQSKGSTRTRSNFLKATFHALK ETYNFLTPDLWGHTKLESTPFQEHSEYLAALK >CAK87880 pep:novel supercontig:GCA_000165425.1:CT868640:247110:248965:1 gene:GSPATT00021504001 transcript:CAK87880 MIIPLLLLFPITNAYFEQKLTRNVYQYQQFKINEKVTAIAPKKCPSDLLNYTHSDTYVSQ ICFNKNVFYNVEVILGDNVTRNNTFQLALDLTSPWTWYKQKDCISCKKINPLEYEIDDEC KRNSKNNNCFNEQFNKSFNAAKWNDIKVHGQIYSQNSLIQGTFQTDTIQMLAYNSSSKMT ISQYIAYEWGNSTPPSLVSITGLQMLQVQYINNELPILADGVIGFGFGYTETDDEKTKSD ADFVEKLVQEKTKLNLTKQLFALYTYESAVNFSEMVVQVGGIDEKYIRKQKSNGTWIDRL EKSGYYWMVEINKIELKNSEGRDIINTELPIKKAFFTLNSQFIELPYDMMKVLTQNLQQF HSNTACDLVDSDMYILYCKNLPKSIQADYILTFTFGNNQISINNSNHLYRECNSSSEDNR IWDCLFNIKFSQSEYVILGEPFMKNHYIVFENAPGNNTRKIGVFQAATHMYYPDNPNQYE WPLFNAILFIFIFGLISVCSITFLKSLCKDIFRSFKYRKAQNPEDQQSLRISKDIDYVDY STQEEVQSQIKNIEMSDQRKIEEWNKQQDQFKFGEQFTNSLESNSL >CAK87881 pep:novel supercontig:GCA_000165425.1:CT868640:249331:250177:-1 gene:GSPATT00021505001 transcript:CAK87881 MSQYGKAEYWEERYTRDPEPFDWYQRFAGIKDLVQGCFTPESKILNIGAGNSRLSEEMFD EGYQNITNIDISHVVTKAMQEKYKDKGPNFKYLHMDARAMEFEDGSFDGAIDKGTLDAIL CGESSSSNAQKVIQEVHRVLGPKGVYLAISYGLPEHRLQYFEKPEYDWNVIVKQVHKPTI STSIAITNEDKDAPNAHYIYICTKGQQKAAKQ >CAK87882 pep:novel supercontig:GCA_000165425.1:CT868640:251619:252700:1 gene:GSPATT00021506001 transcript:CAK87882 MKQGDHLSSTTIIFSSGLASVISTIISNPFEVLKIRLQVDKMHCHEHQHHRNPQRSKPKF KYIHEIALTGQPTRSQLNHYGMLSKSLKLWKPHRMINVIGKSQATNPLIKIYQNCTCITT NSLVQAFQHIYTHEGASTFFNGWRYAVLQAGASNICYFMFYERTRKFLQQLELPSSRLVV PLLASSFSRALTTTITFPLEYWKVLQSSTVGYSKLKNIQLGTQLHSAYLITIQRDILFSC IYWSLLENLKIEIGKVLIDQPNAVNLLSAMLASSVTATMTLPLDVVKTRKQVSTRSDFGS SRELASMEILQNIYKEEGFKGLFKGYQPRIAKVTMHSGLVYMMYEYLKQWF >CAK87883 pep:novel supercontig:GCA_000165425.1:CT868640:253446:254975:-1 gene:GSPATT00021507001 transcript:CAK87883 MLLFLVLVFFGNAREYSIELKSQQTQQHLIELVNLLQHSHAQLLELQHYERIGQDLQGLL KFETVFLEKQIKKKQLKHQKHHNKKEGKQKKRQPKYMVVNEALMDVIPLTHEEMDVFQNS GTLTPIDFAIEQQMEHQGQKLVSTERIQGGAYVADVPLTNIANTMFIGELQVGSAKGKNT FDVIFDTGSALTCIASEQCKDIGCQKSKRYNRAESKSFNEIGKQVEIVFGSGTLKGLINR EQISVDGLNLKDALFIEVTQQIGDAFHEGEFDGIVGLGYPHMTGVPTLFDYMMKQHKLHQ NVFTFHLNRATGNSGSQLVFGGSDDSQIKGQWIYHNVHDQFYWSIMAEEIRVGNKNTGIC SHQHKCKMVVDTGTTLLTGPTKDVRTLLSMIRVEPKCQNFPNMPDITFVIDGKNYVLQPK EYILTITQAGIVGCAGTIFPLDLPPKQGPLWILGDVFITKYSAKFDREKNRVGLALNKNV >CAK87884 pep:novel supercontig:GCA_000165425.1:CT868640:255486:256111:1 gene:GSPATT00021508001 transcript:CAK87884 MSKKQQAPVAQKPVGKQQQVNRKPQDRPGLTEDEIEEIKEAFNLFDTEGTGRVDPRELKA AMQSLGFDQKNPTIFNMIAELENEGTDIDFDQFLDAITSKLGNRESRDGINKIFDLFDDD GSNSINLNNLKRVAKELGETMTAEELGEMLERAASNGRDISREDFYNIMVKRTF >CAK87885 pep:novel supercontig:GCA_000165425.1:CT868640:256113:256784:1 gene:GSPATT00021509001 transcript:CAK87885 MQFLAKYNTLLQQSPLLTKSVTGGFMFFAGDAVVQAMEAQIAKKNQQAHQYDFRRLGIAW LMGNVFMMPLFHYNFTYALPWLVKRLPFDTSTPFRAAVGSVLIDQSVWACYILCHYLMII NVLESGSVQKGVDAIKNNFVKAMITNWQIWPAAQIINFWLIPRHYQVLWVNFVGFFWNIY LSYISHN >CAK87886 pep:novel supercontig:GCA_000165425.1:CT868640:256899:259318:-1 gene:GSPATT00021510001 transcript:CAK87886 MQTEVPPCSEDQNQKDTPVKIDKQTNDEANQNQTEAMDIEDNNSNQQNQRKRKQNNIQTQ PAIILTQKRQRKPPQQAYVYRDPSPQKIVEKKKPKKYKISQEMEDICTKIAKWEQFQISL NSLGYPSLVLDEQKIFDQLKDYDQKDEAGKEYYLKMLVRLIGEAMCCKNRNEINLQIKNK IEELIQQKNIIINWTSEKWDEQIKSFLEIKAELNEQIQHASQFDFQALQTLIGNNLPNYI EESKLNVTLVVLKTKQIDDLKKVCDLNELKNVRLIREYLATLNNKVDQLRKLKEKINVIK KKEDEDDIIKQYNSQDSKILEFKNTPIKFLQKTEIKVKTPATQQKQKQKPQNLEQIENNQ SNENDQINQQVNYQAESNEATEKKVSENKSNKKKEKEQQKQEKVIKGTLDKFKFKKPEMP DITNQAIKPEISTQFETLTAPKDNNQQGVERDSQQQQDSIELQQIRQSSQQQQQQQPQTI AKNLKHFFPEKKQQLVQKQDEYQPKQLTPYKMEQFEALIEKMGNMQLKEEKISVSLNDYN TDEIEIQENKPLQQVKIRKIHIFIADSDKEFNGHQFVQLSQFIRPRAPFLLDDQIDYDKD SLDEVEEILAENLSDMNDSDEDQSEESEQKDSFLVDDNHLSQDEVEDPEELLNNKCIANN TQVQNGIVYIKYSSVDPLFFENYKAQYIPQFFNVQQLKDQNHGTILQTLATQQVNNTKTV QIPKAKMNFSNNAQEKQNKPKKPKATPSIDLFALKSQQNNKQPLEQITTKQKDQQKTVFK D >CAK87887 pep:novel supercontig:GCA_000165425.1:CT868640:259888:261032:-1 gene:GSPATT00021511001 transcript:CAK87887 MNQLQIEELQRKLQQLQLERMNEDSSDEEPTFQSVQGKQNDKQLFQNLSIDSFEVYTTLG TGTFGRVKQVRIKRDASRQVYALKIMKKHDIIKLKQVDHIKSEKNILNEIQHPFLVQLKG SFQDQKCIYMLFEFVSGGELFSRLRKDGRFSQDITLFYVSEILLAIQHLHRKDIVYRDLK PENLLIDREGHIKIADFGFAKKITNNHTQTLCGTPEYLAPELIQGAKTGYGKSIDWWALG VLIFEMLAGHPPFYDIEPTNIYKKILNGVIEFPKFLHVRAKDVIRKLLNSDINKRLGVED EGAALMNHKFFRGVPWQKVFEKKISPPWIPYLRNETDSQWFDKYPEERDDIPPVDDEKQH MFDDF >CAK87888 pep:novel supercontig:GCA_000165425.1:CT868640:261995:271314:1 gene:GSPATT00021512001 transcript:CAK87888 MSNINREQCVSKLQGHLKGATATIQEIEELLMNQEHIIWQQAREIEELRRRLKQLESLDF QNEQVLHLQNINVQLKTQIEFLRSQIQEKDAMIAQLTSKPVQAASPEILAAQQQLIQQNL QLQNIIGDLQNQILNKDAVIGQLKTQESTLDQLIGQLQSNIQSKRNKVGVTQQGNETLSV LNELQQYPEALQDVIERIIQEYRRMQFLIEEKDIEIQNLKSRLQLQQQKANDSISEIKKR DISPIRSDQALDLAKQTASMDDMINDLERKLMSQDNRKKELQAKLLEVGTQNVELEKQWS QSKDQLPKSVDELVKQNLDVNDKIKELQKSLLSKQNELDQVQKQINTINDNQQQLQPQQN LNKQEDQVDKVNTSQNRNNVDDLVLENQQLEDLIVELQQKLVDKQAQKEDIENEIKKLNI SALQQNLKDLQKQHGLLDNDNKNQKTQIQQLQEEINQNKEIQQKLSQENKELQDQNNQTQ SQIKQQEEKLAQLQDQKNKNLAKLTNDDLLKLQEKFNQTEENNKILEQLVQQLNEELRKQ QQDNQPLEEELSNIKNKLQKTEQENSDLEQQVQQLEDQLNNFKKQQLQTKESAKPSYSKS AEQQRTTPVEKFREPFQNIVDKEEFSFDLGSLNQQDFSSQEVTSSPENIKQSQFAKNKTQ EGKFITIPLDIIKNYEYLIREKENEIDELKNELANQGLQSIPLNFQTGNMGSDQQENANF QLEATAPNQNNEQNPEDQGVTLEQLQKTIKTKDDYIQMLLDQIEEMKQFGSLPEEQQKQK LEGNQKRSDDLVRQNIEIADIIIDLESKLANRKIENEELKKQLQEANKDYNDQQKRAKMY ELYMLNKDLDEEVSLSPKLQEEDLQNEQLEQLNQQAPELEQPEDPQKQAKKLTIKDKYEK KIKDLKKENRNLIAQLKQLRGAQQQDQSNRPQQEDDAKLKQSNPSVQNDNEHPEQVQQQQ QPKPIDIQKNTQDLQQQYEKGLEKQVDLIQEVQSLQDIIENLEQKVQQKKEAKEQLEAQL CALDKKNESSQQDPQLQESATMASTSKLDQEALQRQYDQEVQISRLKDQLADKQNKLEQM EILKEQLKEKEDELKAYKEQIPSIQEYQNQQFLHQQEELVNTELRKDVQRLEDQLDNQLK LNKELQQRMDNQHESAALLSQKIKLSIHDSNSSSSQIIDNRQRLVEKQQKADELVREHLS LDDLIEDLEKKLVEKNDYKDQLLNQLKEGTKPAVQSEANEQPKVEQPNQVFAKQQNQEQF VEPIQEISDVQQVISNNEDQISIPLQAGQILQQLEGDSQKQDIKEPNPSQELSNQLHPTK SQPVFAQINQDAEPKLQQVKSSQGFTSPQDLFNKEGIDGQRLQEVWDLLKNNNDADRRIQ ELRDALQRKNKRKEQLEGVYNKDQELVSQRVSDSVNEKKQLQDQLHQKNLQIAALNDELS KLQQKVFEKEKVIDEKDREFRNSQLIKTYQDNCNKADELISKNNQIEETLNNLEVRLAEK QQRVKELELQIGADSSISNIQDPRESGMIKSYDQEQDTQLQQQEQVLQGYSMNIDQLKNK IEQLNSELAERDKTNLELRNQVADLKKQIHGYQLAQQDVKVIKKQNKQLQDEISALVQDN LNYEDLIRDSEFKLQEKKSRVKELDMEIKNAEIQIQVEKQMKENQLLQQKIQQTDELIKK NLELDEALTNLELRILDKQQQLSQKEARLSNQNSRILQQPMYIYQEDSDDKASNIRTETS PRAQNQHPLIQEHNNNLSELTSSINQMQEQVRQLSLDDSELDFYLQQKKEKQLEIDSLKQ QLFQQNEVMQDLKQEQIEKQQQIDQLKKENSNFDELVEELQQSQKQMIEQEIQKKESNQP QEIGGSEQSEIIKTKSENLELSKKLHDLKQSQKQLKNQIANYDYLILDLETVVADKKNDI QRLNKENQSYQQQNRKQKGRRDLLHKEQNNLQYQLKLLEPQLQELQQTEKQLQESVTQLE EKLKQLDEKQKQLENQINQKQQITSALELQLSTINQEILQQQDKKQQLDSELNQLRDENQ GIEQEVKIYRNLSLEDITLNEQIDALTKQIHEDKQTYETLQDEQKATQEQINLLLPQNKE IDELKETAKLQYAQNVEALNNLQLELQQKIEQKNKVFQEVSDTEKYLEENNHVLQDLNER KANLEDQIKKEEVLVNAVSAKSKRLDETLEAEKQNYNVLNAELEDLLKRKQVQEQELAKA KEEIQKMQADQEQLLQQQQQFKNLKEQIEQYNKDIEINLKIIPEREEQLVQLKCIIEKKD EVLSATQAEVDKLNKQIDEIQQDKEQKEKELEDQSNLVKSIEEQIIDKYQREKDLKDQLD SIQLKDLEAELNEKMEQSMAQQEMLDSIEQLKQQVQQLRDDETQLKQQIQGQESLNNSKK QELEQKQQEKIELEQDLHSASAQMEEMKFQLEEKNEQLDKLNDQFKKVDEDSKMMEAVLQ LKEKELKQLQKKKENLIEELERINNDVVEAQKQLVTQRKKQRSLGAEPQQQDENMEEDIQ DKITSLNSRNNNLKDDLQKLVEMSPEPTERKPEEQQEVKDAPAPVQVSQFAPDRVQQTLQ DRDKKIEILEMTISTLQQQQQERMSMIPSMFQSKLSVQPSFFMDDDPLLLELQDKFTKES ELLKDLQNKIKQTAESHYALSLQLKDWAEKENRLAKELDTRRQSVEQIEFELQAVFAKQS DLESEKVNVLQRIYSLEVETQDFYEQEANTKNKLKEKKDYLQTLYKNLIESDQKLLQLRN RMALYSQEGRQLAEQVENLENEKENKQQHLQDIQADLEHVEMEKQEKQALVQSIAKEISE TQQEKDKLEIQYATVHSKNQQLKSQIGYEEAFYQKLLQELEIAKKRDQTKFQNLFSDGST QTEYDLEQFESLSTCTQLYRITKEDVYQLKENCKQRLIQIYTNENANIQQTINQNKHLQK MIHLQQMIIQIQENENVNLIEFQSRRSSRLGLNVMDLSIYNMKLDLEQIDFMMNKTKLVE VHVSDNQIVVADTKIARQWIVDKQFDYENSLYPLGVLNIIYKVSLYQVINISLTGTKVLN LYQNLL >CAK87889 pep:novel supercontig:GCA_000165425.1:CT868640:271325:272869:1 gene:GSPATT00021513001 transcript:CAK87889 MSSNSKKNSSKPEEEYEDVGYFCGCFRKKSKMEPSKTMQISKVRHSMNRSDLDGSKHYAS SQLNLLNSTNSESQRKVSVASPIVSSFAITTFENNYLQNQLFLLRNNQSGESIFAKITQI DLQSKKMQNSDLKKSRLFEQKNDTEQILNTKFPELTSKYIASRIKEQITTLAEYGCGNGE NTVQFTKYLDFVIAIDKNTNACLQTKLNCDQTTFSQDLPNPKVEIINADIFKLKKNLPFD SIFINPTINNDQPICKDILKECSPNLKELLNLISDQIENLIIQFPAQIDYSQLPLLLNIN QQYRNNNKQQSFIAHCSLEIEKIYIQGKHIYNIVYYGKVANISRDELKQLLTMQMSNSEI NKEGFNKVVAKLNDKIGSLDLIYELLQAQSYKYSFDQFLIAVCEKYKLDYNDYAFMLYQK LSKDYSQNTFNCKLRDSNNGIQESQEFGFSPKFSYSLNGLSQKEEDCIAESSDNLDLIGL SNGKANQCK >CAK87890 pep:novel supercontig:GCA_000165425.1:CT868640:272873:275338:-1 gene:GSPATT00021514001 transcript:CAK87890 MNIYLSISINLLIGCDATTSILLADQGITQTLAAIRFSIALVSVICHFLIHYKIRVSLLT LCLQLLYQVQLASEIRQAFSSLDPYHFYIYLLLLYQEQSTQQHKYLQYSSIVYCMVEIQL NNTFIHQYIITTLISILIILIKLVNLQQLRHKHATSHEVLYSYSTVPAEQIMSNRKIDLI QKDELIYITNHIKQGIIYFSTNLDILYINDKASKILMAQTDDQAMLQLQKMILQGLEQEE SLTKLHKEIKCRASQKQLPQKADGKMIESLIASAEKHLKRCQSLSEKILEQQFQYKKQKK KNYLSKHDFKQLLLSLFSESQTSSLLEHRSFVKANKLTVNFSTNNHEKTIEVTFCKLINL LNQNCYLTLFQDVSEIEKQQQFVQKYKFQTLYFNSFSHELRTPLNCSLNLLQALKHQPIQ ENLIQQYINPSIVSNKLLMHQINDILDYASLGLGTFHLNMQEFLIKDVYNQLEEYYQDIC QSKGIKLNFQIADGLENIKINNDPERILQLLVNFINNSLKFTELDDTISITAKKKQKPSG LINFIKFIVHDTGKGISKVDLEAINNIVNASVDDSIFYQLRNFTTKYVGLGFSIGMKMNH ELSYSKKSYFKIRSKEGEYTKISFRINNQMHSSSRSLKGSTIRFDEIPESGNLYDERITV EDHQMPLWNQTFFTQRQHQNDQNPPILICDDVPFNLLSLNLLIKNLGFESEMAYDGQDAI NRVKNRQKMQLLQYKLILMDIEMPGLNGYQTSVQLLQIDSKLNIVMCSAYDSENNLLKAL ESGMKEILIKPVKFEQLRQLIFKYIK >CAK87891 pep:novel supercontig:GCA_000165425.1:CT868640:275821:277730:-1 gene:GSPATT00021515001 transcript:CAK87891 MKHLLLFYFTICFAASQDLNIKLPSQMKLLQKLRENRRDRNLEDIKTSWEPIRIHFEYVE TPPADYIQQTETVLEIIKTFFGRHLLVKRQTGSLEWKSTYETDWGLIIVPASLQKSYDSD LVFFVAQETDADAEYVARAGPVIFDEKTGRPIFGLMILNNHYMLEFQGTNAKFEAAVQVV LHETIHGLGFTNNLYDNYINSTSGQKYDFTVYQRVNQQIDLPTPRLTQLARKHFGCCDLF GGTMEDQNGRGTAGSHFERSIFHNDLMTGSMISGNTLFTDFTFAILEDTGFYRVTKHASD VQLWGLDKGCDFYQQQCYSDSTYEEFCENPYDPSDEKDNVANHLSCSYAQTGIGFCVNDG LVECPYYYIIEDLDCRDADNYDAKVFQGTNFHFGYDSMCIRGGLPKKGDYLYIGFSCFQY SCDEDHQLSIIVDGTTYDCSDGGSIPSFDDNKYLYGFMCPDNPEDICQSKNECPNQCNKK GYCLGGVCTCIPGYAGRACEKACTTYRDGIECVQTCPANTFANDLTMYCIGCPANCATCS ALDVCTLCEDTHELVAGFCELRVYSLMLVTSFIALFLAL >CAK87892 pep:novel supercontig:GCA_000165425.1:CT868640:277832:278821:1 gene:GSPATT00021516001 transcript:CAK87892 MFENSHTNNQFSFHSSNKFKKLTKLEPLIKQINQVVPQNTSRGRSFKCYLFNVTAGQCKF RRKTCTCSDCGGTNAFVEKQNALQPITYIRAHHIKKRKRKMHRNKGGGRHLSAMITRVPL KIEDITKLIPKRKERKAKTLLQNQFIEQTLLKCKRLLTKDDCAIGYEYFFANELKIAYQT KEFLTTSTFEKTPTQAKQQNFGVTVSQKTIDESQIISANNLNINQSQVLNTQLPRVNYKI KQKTSLHSPRVYQGILQSHNLKPNRTVDKYISQSTHCSPTNIKLPLISQKQVTQATRKKS NHTYLKGSPLKLLLELKSQILLQKKMSKQ >CAK87893 pep:novel supercontig:GCA_000165425.1:CT868640:279371:279947:1 gene:GSPATT00021517001 transcript:CAK87893 MQQLQSRIRASSVQEQGEQRAKLPNILTPTFADWKIISDARAHPQISRRNPLFKFGLIPP KKAATPSSFGNQNPQDFRGLKSEEKSQRMKEIIVEDRVSTTETNNLLQFKSDKRYFQLRN LSVSGPKQKNQLLLECKQLFDNQKKAFEEIMNKNNLYNKYSRVIDKEQSITNQKIMQIFN FYK >CAK87894 pep:novel supercontig:GCA_000165425.1:CT868640:280605:281229:-1 gene:GSPATT00021518001 transcript:CAK87894 MFPVKLIDLQLSPSRSEFKDFSTMISENDLDYDTFKLEQVHKPPQIKKSSSYPKNYVLYL TLSFQYKQQLIEESNIEGDQIIQECNRFVQDSQVSVTQLLQELALEQPKKNRSTGYLKFF DENKNYGFIVMDQDGSDLFVYADDLAKTGISREYLRTAKFGNYIRFTFTCMEYFGKYNKS RKAIDLEYQKPNPFFQTIY >CAK87895 pep:novel supercontig:GCA_000165425.1:CT868640:281870:285940:-1 gene:GSPATT00021519001 transcript:CAK87895 MIKQLIKISYLKPLIFYTRIRYQFLQELCILGMQNSFEENQGKKLIEARLISYYTKKLQK STILESQQQRICAQMILNHLHELQCEKHLLEGLENFFNQKNGHKRGHILQANDLNLKNLI STKLSPSNERVIQKMLQQYIARETPEPKRQSRFEHIKNKSQSLQQLNGGRSVRQEYISPV EYMRKLKTCFGHALHPDNQDQEDPYIPVYNVIYDRQNMICRLFRTGQLLLTGDDLGLIKI WSASNGLLLQSLKGHTMAINSMDISYCNKYLASCSNDGLVIVWDIQIGKPVAALKEAQDD PILVLVFYQSNSENVNYLTVASEKGYVYIYDVQDLIKCNGSILGTQFNTKIKQSAIKHEA DIIRLNVNYNKSNKGKVNGILCMEFNKQGYLAMGTDQGEIIIFDKPENLSKVINKVEWRE HSGTVHLARWSKDGDQLLTASFDGSARLWKWQEGGIAANQHNRSQVLLKYKSTEGRRVGE IQCFAIAWSAKSTYALASFSKKLKKKGDEEKSKTQIQVYSTHENQVIHCMDQERLPQLKL DSHVVFLEAHPIFEEVALSADENGLIILWNVQKGTPLKVFHERGYHLKLPNLEVPLKDGC FSPNGMTFVVSTDYGSFSIYGYGAQEVFDQTPVEQFYVSDSEHPIIDESDGFRVMALDSD MEFCYVDRGSICNFYRMPYHYHFQNNFKTLFPYLISQDYQGKKSVNLQKPKLYTFIQMSK GITIDNMKNEEMFQGYFAEMKQMEIKIIHDIKELMKIQDQVRIQKLEGEIIDVANQPIPE RSPSNHNVKLIKKTEQKSGELIKRALIKDDEDSSPQISQQVAQQLINNNNESKKQSQRRK KVIESESESEEKISKQSNQNMKEDAQIEQSPLQTRSRRNQRNQQSRLVILNSHRQQNDLR RNRSQVTEQCTRCRILMDNVVRCPECKSAFHQECSEIRLFLSELDFGTQKRICINCMAQF QRKKQAQTRQGDHLRDKYLTDDTNSPQIGDDVIFFTKGYEQYLQKCLQNLDLSELLVDSA SKKTIVFPGDVLTDQESANFVYCKVLNINYIFPVINTVFKRQVNQNTYIIQVFELQTKDL IFKCFYSYDCDPYLILEESYASSVRQIRPYLNQQQLSFQTDTDKDNGFGHQYQFIAQETP DVYLNASDWQVLKCKRISDDTYQLRNQKSMIAYCHLNFWEIASMINKSNKPIEIQGASLQ SALTTNEAQQINKDINAMIKKQTKISFFFSNEVDVQQYPEYLDFVPLMSYITLIQRRLEN DFYRSKDQILNDVERIRSNAYVFNPSKSEVCELADKLANILHSIVNGDQIQKQVTIQVRE IQTRKKKKLF >CAK87896 pep:novel supercontig:GCA_000165425.1:CT868640:288501:290551:1 gene:GSPATT00021520001 transcript:CAK87896 MLNKNGTYSKSKFSSAKSIQSIDNRVRYSQAPEELGKRAKLPLDITVFVMAVEVERLQRE NAQLKSELQLNSDSNLDRVQYESQIRDLMEKIRVQNNSQSNLLVDIEKLQRKVQDQEDQL RRQQQSQKECDPNLRTKLTQAELQLAAFQKQLNQQVNLFGGQSADQIMRELEELRRKKSQ FEDFSRQINGRNVNELLRELDDLRRKQTSFEDTSVLRQQLLQSQNRVKQLEQKLSEMDQY ITQIESDLNRFEFENQRLTISMSSKIQSENNEATLLRNEIVKLNNEITKLWSDNETLVLE IDQLQTKLRSFSEDEVRRLRNEMSRLQEQNNHLQSQNQSLMSEISRLNMTMEQSQYAISD ANKQKYIFEEYKEKIRQFELKFQELQMQNERLRQQDHKVAVLTTEIERLNNLIKQLNTDA DDWKQKYQRIELALMDYRQIEKTNRDAVTKNELLLDEIERLKKILEQKQYDMDQIIKRND LIQSQISTYQVNASASVSRIQEYESTQRETSTILQDNERLNRQLQQYQQQISTLQIQVQE QTTKNQQLITQQQQSYLINNNSAEIDKLKRQIHEYENKIAMLSLELSRIRNTKQNSNSFD KSDKVMELLSIIVIMSAELDNLRGITYEKSTVQSQRLQDNTSQSVRSQSINNQQANYSYS NWKQK >CAK87897 pep:novel supercontig:GCA_000165425.1:CT868640:291001:293361:-1 gene:GSPATT00021521001 transcript:CAK87897 MSTSMQCHTLGLSLHQSLLQNQTQLQIQEREDANDLLELFKEALPTSILVIDKQTHKPLY HTKNLETEFKFDIPHSDEFIRCLHCFYSENKVKLQSIIDSFFPQIYSARQNFKNLFSEIM DNCQYFSQSPLLKKFSEQFEYPEDEFNEEREHKNQHEIIIETPKNQLYSKPSIIMSEETP KNQKSKIIEELLIKSQSQRTISLVQLKKRRLKISLNHCLWFRKQAFMIILQSKEIDKQLD NLQQQLNEQLQISENKDLILATVFHDFKTPINGIVSILETLDCKSEITQIEKYYHNIIKK NVYLMLYMIYDIQDYARIQKKKLRLCLTDFYINEIIDEVIEMCSIQAEQKGVEIKTYYDI PSYQIRSDPNRIKQIIMNFLSNSLKFTEQGSITITVSSLNTDKSQNIKRSNTSRNIQYQV GDQTIQQIRKSLQGRYQQSSVNKLIYSISVEDTGCGIPDNIKPQLFNLFATFSNQKIENK SGTGIGLMVCKNLVGLLGPSESIDLWSEQNVGTKMSFQIYAKLQDNNSIKSANYISCFKQ EHSSQHLSIQQHDDSLNGKDTHQVIVRSSLLRIYTKPQEKTELDLNEPSSEDVDQTKRRN IIQFQSLGQKLFLQKSLQKEDSQEVQDPKQRLKQVLQNKKFGILLVDDQIFNLIAFKTLL QDLISDLVIIEAYNGQQAINKLLQNQKNLNIKYVFMDLYMPILNGWQAAQNIRTMINNRE IDDVKLVALSGFDDEVEQEKCEKLGFDAFIPKPIKLEVIAEVFFQLENEH >CAK87898 pep:novel supercontig:GCA_000165425.1:CT868640:294910:295817:1 gene:GSPATT00021522001 transcript:CAK87898 MKPVFSIPSLKFSYGVVRKVFDKQRSKSVGLKGIKLNDEGVPNKTMREKGILQMLKHPNI TKYFIEQKKIFLKKIQMKINQQIQIGQSESQITQFQVYRIVMRSLTRELFIKWDDSKIAN FGLSKVFPISIKKFPSEVCIQYRASEILLGDDNEVTSADIWAIGCIIAECLNRQPLFRGD GQIFIVCNIMFKFLGTPSNDNYFGQSKIPHFRLNFSKFRAENLTSIKPIFDRIQYDEFQE QKIFKYFLSRIRNFKY >CAK87899 pep:novel supercontig:GCA_000165425.1:CT868640:296290:296727:1 gene:GSPATT00021523001 transcript:CAK87899 MALIHNQNDMQNMFSKAGKIASEKYIIFKEKKNLKNKPRYFNGKSQSVASSEYVNQQANK KNARNIKQKQQQKQITEAEKQLSRDQIIIYKKEQFATDYQPNFEFGKKQLLRSTIRQIKE EKGYNDQKTSLQLRNLF >CAK87900 pep:novel supercontig:GCA_000165425.1:CT868640:296919:297059:1 gene:GSPATT00021524001 transcript:CAK87900 MLEVNNFRDGRFQTVTSSFLPSKVFRKREQLDESSEEIEQMEEQQL >CAK87901 pep:novel supercontig:GCA_000165425.1:CT868640:297376:298147:1 gene:GSPATT00021525001 transcript:CAK87901 MNTLMPSNLNDICIHLSGIAIHVTEQIKNQNEQIQGMCLERQKTMEKLQKQLEKMNQVIS RMCDKQPQQTSDQIQILKNQQYKYELQMEEELELPCYRNRIFQIKLKLVQNEKTIINVNN LLVELQIWTYDKIPKKLTHNNKNQSIFKGCQQTVIKKGYGKLSRIQIKEVSSHFPKGTFM MMIIPTDDGAVIGEESKFIIKKEWIKPLIINDVSIKAKKFSDRHNPYYAKSDGTINILEQ E >CAK87902 pep:novel supercontig:GCA_000165425.1:CT868640:298702:304028:1 gene:GSPATT00021526001 transcript:CAK87902 MEDKINKLFALFNDFKNQQLFSLALAQIVNVYNDYPACLYQILRKTNKLIYSRAQDPRLR LEHSQIAAAIIERTYKIYNERLEEYLLSSSNDSIPENLFHFEPILDQQAEDAMQQEQIRD YEEIKSNIKLKSEQIDFIHLINDGSAILGKDMKYLKRSQENKNQKLDDETLDIRQEEIMR LMGLSGNFNLSNVTRLLEFMQGDAEIPIDMNSSSDEIVESSSDDDAVGQYKKKSKTKGKL PETNLKKKPSAQKKNQTTKYCVINPFNVIYNRAVYELMNHKWQERHASALVLKSIVRQKG FLNLGFSYLIKGDEKEINGILRASLIEYISDKNGQLNKLEYLLTRCCVLIVMDRFADYFG NQTIMIVREAACQTAITLLTDRNYNTNIWIHYPDSFMKFVLLLKDFIEEGQRVQIYEVSQ SALFLVKGLLKDHLQVIFDNFSEMLLQVGQRDNEDEILELLADIWKEFLSLGLNQKYYNT VYTITMEQLKKQDDISFAGKSIFQFLSALFQIGFKIQKQFQGEIENLKKFFFHRIVEVKE QFYKYLLAFSKQQATKQVDARTINFMKIIFQTGVFEEKFETFQTLMNILEQLSKHLEEEQ LYEFIQFVHNFSTDHQFKKYFYLFDGMKRESIDIHYPNLAQQTSNPIDNQTFRMIKTAYL IKDINYNHQLTVDLDPINFIYTFRNYINNRKELNLEVDSTRQNGCCFDDLDLKIQVSMQQ LNVLLKNYMQIEKEQEYLAIIQGFVKIYGDFAIAPAHSPKLRQLYPVILNQLKQLSSIDY EMDQESIQKLQDTTSDLVQNLQAFTKLSNELNLNFKVLTTDHTESLIQRIRIDKFHLIQD IASERLSKQMSLNPNCCDLTSLYVKQSTQQTVQYILSSKNELKRKDYGRKRLIWHLLRNQ VDFQSWHLFFERLFLQQLNYIPSDLRFNQTLMTQTSKLTIRVEDLKYNLWGIGLIQNAYS LFLQNPEHSDFDKWAQYLLSKLLNYLPVLSNITDDDNNKIILDDLKGGILLEFDQTFKKV VVLKNTLLKLCAKFIHFLSVSKNISVFDQVIMQINQLIKRNENGMYEILNIILKEFPISL APISGFLTLKAIKKLTAKDPNTAQAASKLFGFLVPILTIMDVPYSPISPSLINKFQKAKE FQLGFGKMTKVDYKVEGGIKDRSILRDYQWDGIRWLGFLIKYNLHAALCDDMGLGKTIQT LVVLANEVFKRKNENLVSLVVAPSTVVDHWYAETKKYISNAVLKPHIYDGVFQGNLIMVS YNQLLKLNQNFLNQEFYFLILDEAHILKNSKNKTSRVIRSLKAKHKIVLSGTPVQNHLLE LWSLFDILLPNYLGDEEYFKRNFSKAFHTNIFSLTEDEILFDEQQIKTLRLLHKKVLPFI MRRTKQDVLPQLPAKIIGDYYCTLSEPLQSQIYQILESNSFSTDIEQQITKTQGEQKNKV CESVLKLLHKLRQALDHPILVRSVILPNKRPKKKVKTENIEIMAEQEINQLLDKQEKPES YSHSGKMIALKDILQQLGFQSTDDVPQQQTQSDQITIYTNFNKVLVFSRFRAALQLIAEQ LLKAQFPGLQYLILDGSVPQNQRYPLVTKFNEDPDIRVLLLTTQVGGLGLNLSSANIVIM FDHDYNPVNDQQAMDRAHRIGQKNVVQVFRLIVKDTLEEKIMGIQRFKSAISKAIVNQDN ASLKQMEKTDLLSMLESTSQGNNKEKNSEEEQIEKLSGPYSKILGQLKLDLLEQLNFDKE Y >CAK87903 pep:novel supercontig:GCA_000165425.1:CT868640:304381:305583:1 gene:GSPATT00021527001 transcript:CAK87903 MLEQSGHTKAHSECFQTVFESDIESVQKRPKSSSQDNYKKLFEIVKDCSNSKLQQAHSDE IPEFDSLEDSCQIRPSLCTNKSSKQSQEREEKLFEKELIQPLNEEDYQLIAKSEREQCKL PSIVMKNGGCYTGYWYKKKPQGQGEYKFADSSRYQGEWSNGYASGKGKFFDAEGGYYCGD FHLNYMQGKGVYNYSDGSIYDGQWSNDKYNGFGIEVKGDSHYKGQFRNGLKHGHGILIFG NKEKYEGAFINGQFEGKGTFMWPDGRRYQGDWKNGMMHGQGILSWSDGRVYVGQYVKDKR QGFGTFQFADGRKYSGQWMNGLQHGTGEFTEQHNQITKGVWREGKLFSLI >CAK87904 pep:novel supercontig:GCA_000165425.1:CT868640:305606:307007:-1 gene:GSPATT00021528001 transcript:CAK87904 MSSLRFDGKVVVITGAGNGLGKEYALFYGKRGAKVVVNDLGGSMKGTGASSSAADKVVEE IKAAGGIAVANYDSVEFGERIIETAIKTFGKIDILINNAGILRDVSFEKMKDEDWDLIYK VHLKGTYACSKAAWPYMREQKYGRIINTSSASGLYGVFGQTNYSTAKLATHGLTLALSRE GLKRNIFVNSICPVAASRLTETVMSKEVLSSLKPDYIVPLVAWLSHEDCKETGSVFELGA GYISKLRWQRNQGYFFDTPFTPEDVKEKWDEVSGFGSVVYHPQTSSEIFEIFFNKEEFVK QQKEGKTKSTSPSQNAQPTNVTLKAEKIFNLMRAFLDRGEGKDLIPKVQGVFNFEIILQK GGPVIKSWVIDLKNGQGSIKEGKEQADATFNMIDDEFERVCQGKLQANEAFLKGIMKIKG NMKKATLFTPSLFPAPTPENFAKYSQAKL >CAK87905 pep:novel supercontig:GCA_000165425.1:CT868640:307775:308530:1 gene:GSPATT00021529001 transcript:CAK87905 MKSSRKSPQKMKAFNILHLEHVANRIDQLLNPTQKEQFTQIRQIQPGIKFVINNKLTAYK QKQSVFDQIQSSRSSIIQTQDRQRRQNNNSSKSSSFLEKKINQLKKELGDLQKARLLEKT KPMYSIHKMALQNNLQEIPQEQKLLIIDKKPQSARKIIDKSIEEQNGPYKKKSQQHMFFQ NIAIENSLNNIDISIPTPEIKAKTRVVPSQQRSVSQGLITKQKRPIKMCNNDLIEFKGWD VEEEDDYLRLG >CAK87906 pep:novel supercontig:GCA_000165425.1:CT868640:308556:309358:1 gene:GSPATT00021530001 transcript:CAK87906 MSYDTALTVFSPDGQLFQVEYAMEAVKRGLCCVGVRGKDAIILGVEKKATSKLQDVKTIK KVYQLDHNLCMTFSGLNADARILANQTRLQCQQYKIYYEDDPSVDFIAKFTSQQQQKFTQ RGGARPYGISTLIAGFSNNKPKLFQTDPSGACSEWKATSLGKSAKQVKDFLEKHWREGLH EKDALLLTTKALMDVVESGNKNIELCVIRKNECWFLNENEVEELTKITAQLQ >CAK87907 pep:novel supercontig:GCA_000165425.1:CT868640:309660:311263:1 gene:GSPATT00021531001 transcript:CAK87907 MKQESWDDLHLANEKSITKILETGEQVLFSSLLYKFNEVNKRQERTILITTHNLYNLSKL TVKRKIPIKRVYGITVGLIGTEFVVHVPEEYDYRYSSSERRDYAVLCIIKAYCQQNKGAA LPIFYKDELTLTAYTTTKVDKKKGINRLPTTGSELMNEDQFRKRLDSQTEERLQTRAKTS TLYAKQKGEVVTIDDFDLIKVLGRGAYGKVMLVEKKSDKQYYAMKSIRKEDIADPEQLEH TKTERIVLEHVNHPFLVSLNWAFQTPEKLFFITQFMKGGELFQHLKHVKRFEESRTKFYV SEIILALEHLHSKNIIYRDLKPENVLLDDQGHVCLTDFGMAKILKKNELAKSFCGTPEYL APEILLETGHSMAADWWALGILTYEMLYALPPFYNKNQDLMFKQIQTKDISFPTTPQISM EAKDFIQKLTIKDPKFRIGYSKTEDVKNHAWFKGVNWEKLLKKEVETPFKPQIQGEAWLE NFDKQFTAEEAINSYAPENNLVSQDEFREFDYYQK >CAK87908 pep:novel supercontig:GCA_000165425.1:CT868640:311287:312771:-1 gene:GSPATT00021532001 transcript:CAK87908 MNSIPKIGDMQTKSKPKISSNTGLPPLLSPRIKIDPTKTAQIPPAFRSISTVKKKSIEKK EDTRPVTDNTQNEKCYPSARKQKGQGLIIRKLQNRQSKLQTSNQEPLEQIINKFQSPAVR MLEFPINDFHDDTLIKEEVMLQQNEQGTENRFTTMKNQSFSVFQKFVLESSNSNKQGQES SSNSQFDFNTQIPTFTEGEMIGAGSFGQVYIAQENQTGKIYAVKKINLKGDFDQEDLKGL KSEIDLLKSIKHQNIIRYVWSSENEDYWLLYLEYLSQGTLTQLTEKFGPLHINTIRSYSK QILQAIAYLHENNIIHRDIKGANLLLGVDGEIKLGDFGCSKIKEKTIQRSKQSGDILHSL KGNNKNYTYFQVASQDENCRASDIWSFGCTVLEMATGKKPWHEHDFDNSLSALLYIITSS AVPLIPEDLDQDLQSFIRLCLQRDHKQRPTAMHLLQHQFIINQ >CAK87909 pep:novel supercontig:GCA_000165425.1:CT868640:312771:313340:-1 gene:GSPATT00021533001 transcript:CAK87909 MKRLLRNAQILQKQSRYYHHDPASRAIVGSPQEIAPPVHFKLYYLPDNLAKTGQGMRHPH FNAYQMEGVTTYDNWEYKYYGQWWHIGSIFWNSLMLVYPLLLWLVIEQSQQEALLAKDDM FKKYFNEAGGFYQYTFIGPETTLNY >CAK87910 pep:novel supercontig:GCA_000165425.1:CT868640:313366:316474:-1 gene:GSPATT00021534001 transcript:CAK87910 MEINKLNEVNPDDLDVIFRGIQLFIDQKENDLDTTIPLLVDKYKYYQLQDHDKKLFHRFQ IRVSELIEKCKNQNAINYVLSIRDILNYKAQNFAVILTKVHKRCEIQTKQQNEGNPQIQM KKSVPSWQLNSQQNRTLFQFYDIDFWKLYDIMLLNKQELPPQFRQYCSNLIVYHQSKNEN VERLFASSLERNQRFLQSRSLPLMEITTLADKAKKLKFLQLISTMETPYANKNITMFGNQ SPTKKTQVSVKHSMSISPQRTQSRDPQDQDIRNIFDTENCNLFDTNYKVTRAKNLSLHND KNAAGSTQFKQKGKSKLQQENFSPQKGCKNQNWMLPEIKSPFLQDQNVRFSNQTYSDTLK LCVYLESGQKISKETSSGIRSQQYPLLIIVTHSKQQKALSENDFKQMLVIYFYNYCLFTL LLMASFSNFDEKTSLINQCVKTLQIAPSRRTQSDLTLLLQLVEAIAFFKNLQSQNPDIVL RCMSVLSYKTVKKEEILFHVGDQGSLFYIILKGSVGVFILLPSPDDSKRFELKEVNILKA GNSFGELALLNDNAKRTATIIAKEDCMLAIMEKHHFKSILGAQEQQKVQDRIAFLCSFPF LQSWSFREIKTFSYHFEPIQITLNQAVIKQNDYQKVSNFEVTYTLNDSHKLKGVFSTHSI CVLGPGEFFGEEAFLMDDGKTFILKLFNIFSVRWSRVKCSVICRSQCGQILRISREDIIK RFWDEKTQTVFISLLQSIHQFRLNKKRYYEQIADDKLKQLNGQEGFDQFVKPTFKAKVRN NCALIRRETQMDKSDMEYQFYKRQEKKAFEQFKKLFKDRNCSYAQVKTFFDQKTIGSQNY IDRDSYFKLFFRFPSQLKNSEISQNLSQQQPSTARTHYRLTTQPKEEHERSAHFSTKLRT QRMLQKLKVSEVQPKSKTVHSSNSPLNIHRLELRRFGTQSYLQLK >CAK87911 pep:novel supercontig:GCA_000165425.1:CT868640:317095:317730:1 gene:GSPATT00021535001 transcript:CAK87911 MGSCAQCNTKSEVVESDMHQKHESVHTKLLELNQITMVTIFQRMKVNNKMEIDQLSDKVF EDSLKVSEVNQEITLIQSIHESLKRKQRQYSFQECMNVFNSSKRGLQKESINKLQIRMTD PNIPKNLSHSSSSNHQFREFNKQFKVSEKQHIEFEESIKSILKKTTLRNSESKSVHFARN TNSSQTQMKSRSCNHSKKKRKKIQQFDNYKF >CAK87912 pep:novel supercontig:GCA_000165425.1:CT868640:317744:320110:-1 gene:GSPATT00021536001 transcript:CAK87912 MNNNDPSDPGDSSGDDDFVEEKPLEPRPYKTDHEDETIQVNWHFQLSIKEIQSFTVKDRP LIKMQFIKKRKEFGLTFKFSDQETSERTGEIKALEKDPLQLVKNKVIEMGIQGCNPFQDA SSQTVWNRKINKALQVDEGDERQEQPDEDFKLLKFLETVYPLMEEALQSNETIDIYQDDF NVLPLSEQNGDQNAELTNVIKEIKSFSYLNCKGKKIQCIQFQPTSQAIKSKYIVAESFVE NLLFEERAIYQLKSHKSLIVFWDFEDIHSIEPVLLLQSPLEILSFEFNPKDPNIIVGGTI NGQVMLWDLSGTALSVFASKKTQKTKQERNEIQELQPKMVSALQDPASYQAGSSNEVLRK QVASHKNFVLAVKWFPIGMEFDKKHFNHLILTSSQETYQFASISSDGQILFWDTRLIDKD SKKTQQDISTIPWKATYGIQLYRPEGGGMMSGGQIQFRKNQKTPTLSGTSDEGEVFIMDW GEKQGEEGQKNQLVSSIWQQQRSMRPPIALDVSPFFEDVLLTLHDFNFCVWKHNVTFPIF ESLIMKGAHITCGGFSPYRAGVIIVGKTDGNLDVWDMLDQSHKWTIQFQVVACAITSLKF NDNMAHIVAIGDSDGTLHLLEFPQSLCKDQGNEVKAMRLFWEREVKRVNYYSERFKIREQ QAKQQNEKEIQAQPAKETKQSGDTKVLDEIANFENEYQKFKDALLGIGPKPEDEKGAAKG KK >CAK87913 pep:novel supercontig:GCA_000165425.1:CT868640:320118:322478:1 gene:GSPATT00021537001 transcript:CAK87913 MNIKQISGIAQSLILIAYLSINPIHYQLILSVLGVLMQMVVLKWKKHEDIITEISQVLLT VPMGIIQTDVCSTLIVTLQLIQMKPSKFLLFKLSYVVILGMVMHGEVSQIVLICLSFLTF AVDSLLEQPKFSYSPIHLSNKKLREENELDKTDKSDKIHLQSIAYSDNQAGHRTSAKYQF LQILDEFPDGVALIIFDENRLPQVDFCNQTMMNLLQTTQSDLLSSLMSLKNLHIMKKSQQ TQDLATSISTYKSMYSPEKNKIGIVRSIYRASTTVQNHKCDQFFNTKLKFSKNPFKMALE QAILQIHSQEVQSLLTKTQIGFDLHTILNGYTTSQSKKDVCIEIKLFISYLNDQPQLLIL TRDVSYKDYIKSINQHSKAKSNSLNFVSHEIRTPIKCIIQLLEEIEQKNDTIKNVLWNCY YLLNFSHDLLDLAQIKVGKFKLHKIKFNLEQLILQLFDLFRIEARRNSIALKLEYDEDAP KQIFNDDVRIKQVLINLIGNAFKFTIEGSITVSVIDQKDGTFEIKITDTGFGIREEDKKK LIKAFGRLDDDETKQFNRSGVGLGLLISNMICKSLSTKESGIKIESELYKGSSFSFEIDH ETVYDTVIICDNESNNEEEDQVIVEAQPRVQRIYSNQAFRQRELLVIDDNQFNIDILIRL FNGKGINRIDYAISCQHALKILDERIRNHIFYRVILLDIEMPIIDGFGTAKMIKQYVNET MATMPVLIAVSGHGLHMKQQALNNGFVDYYEKPLKAGDIEEIITRYLQ >CAK87914 pep:novel supercontig:GCA_000165425.1:CT868640:322524:322956:1 gene:GSPATT00021538001 transcript:CAK87914 MFVCCGKKKKDRKHASLGNLHQLNLICQDGLYFDKNLKIITEFNKTNFCDTLQGNCKYYC PICFKYYDCMLHTTCCSNYVCHVCAVQSLANKMYNCHYCRNEHCKYVDVDPAHQLKIYID SHTNL >CAK87915 pep:novel supercontig:GCA_000165425.1:CT868640:322980:323676:1 gene:GSPATT00021539001 transcript:CAK87915 MQKVDYIFKIVLIGSQNVGKSSLMARFIDQVFNDSYLSTVGVDFRIKTLSIHDKTIKMQI WDTAGQERFQALTQSHYKGAHGCIAVFDVTNERSFEDAKKFLKLVIEEHGLIPEACYLIA NKVDLVNKRVILGKNGNDFAHEIGVNYLETSAKTGDNVNQLFLDLGKIILNLVDQKRTIA QPPENDTRLRTLDTQKIEQEQGCKC >CAK87916 pep:novel supercontig:GCA_000165425.1:CT868640:324123:325091:-1 gene:GSPATT00021540001 transcript:CAK87916 MQLNQSPIPSEKLSFLNTLLDKELDMLKCNNAPSYLQKTQPSSYFEQESIQHSSIRALSN NPTSQTKRTTNGDLKEIQDKIVTIEARIQKNIDKQIQPNHLVQQQEIKDSDDETPLKNKY SHIQSSHKKQIKFTEQEHSNKTLNKQSFHEERKNKFQQLEKNIESAENKLSQSKAQRKSS SQAKSKSKEKSQSSQQRGISTQQQDVQQLQIKVSQLRKQWEDDRAQLIKEKQKNQQMQQQ IDQFSKKLKKALQQNEKYGQIEQEQQRLQENFEKSEFIRQQQKQLIQTLKQEIEDLKSNN NITKSKSKKRGLEDNSNKYLNK >CAK87917 pep:novel supercontig:GCA_000165425.1:CT868640:325099:325748:-1 gene:GSPATT00021541001 transcript:CAK87917 MKVLWLLIVTLIVANTQQDSCYEVDPKDPPRKKDKISLIYHSGWTEELVVAKPKGNRPQP QEYMNQEYIDQHLKYFRYGASFIITTAWLDNNGREFVGNKNGIFVIPKSQMDQLLKTANG NLSIVERNLGVTPGGWQNRRLSRIDVPFPEKFGVRMPTGNEVGANQYWRPGGVLPNGLLE AVIDMVPKSDYQEFQLNLQ >CAK87918 pep:novel supercontig:GCA_000165425.1:CT868640:326349:327815:1 gene:GSPATT00021542001 transcript:CAK87918 MSELQLISPLYQQGSVMKELTIASLQTAMSFLLEYLPNTISIYLLEFKEDSVIVGALGLG ILTTQAFGMGILNGLATGLETLVSQAYGANHYELCAKLYYRSLFLCTLFMIPIALFLIFS TQIISFINNNTELAEETGRFNRYMILAVYLNAIFVNTKVFLNGQNIYKYQFYTQLVTCSL FVGISYFFIVKQELGIVGCALSLTSLDLLNNIILFSLIFVTKCSQATLYKFKLSYLKNTT KFLKEAIPIGSILWLEWISFDFYVILVSYLNTSTISAHVLMSNFAGFLYQFSYGISIAST TFVGNEMGRKKVDMAKKYTKATFLIDGIFLLIIVGLFSIFGKKIVNQLSEDEVVVEEIYN SMFLMVAFIILDGLQAIISGLVRAIGREASASITFILCYLVFGEIIGYLLCYTAEIGLKG VWIGIVIGASAYDVIQFLNLIWKDWNELAEIILDKLMLLHQQQNFVLQDDEM >CAK87919 pep:novel supercontig:GCA_000165425.1:CT868640:327851:329530:1 gene:GSPATT00021543001 transcript:CAK87919 MKTFIIFIIIEILCEAKEMFINRNELNTIDTFQGFGVVLDANITNQSSNAHLCQIVKQKL TNLMQTEIKLTPFVDEEVFSLFYLNGSLKVETNHYYIVSMVPFQKGAVILFSDGRLIYIH EINGNIIKMESNEKIQIHPSQLIDPIHMFYFSTIQKIVIILQKIFIYVQINQNETNIFGI YTNQTDNKTLTTQNIEFVDEYLFILEQKEFIIYACNSEGLYQIFSKKLEMNFIDMKVQQQ NDVYHIFFLHLLSGVEICVYDPILFKFQEISSYDMIPIKGFLIGLYEQILMIVDEDQSES IIYELHPINQTNKWMIFNKYKVKNIIYDIQFTDNYALLLGKNRHDILYHSLPTVDNQIHN QIIIPALQQIHLIDQTEPYDNKFIGITKHTYFVTNFSTAPQRIDCQYQEDSGPIQFSYYQ NSTQCTKLPQKQEGQLCLYISNYTIYYKYPILTTEHYIYIQIVGFLFALVCAILIVFFFN KYFEYTELMIGKQNIDQQHLIDNPGGSNFNSVITSPQNVNSNSSKKKGFSSNLKSPSNLQ SCDVTISQNKVEEQQSFAQ >CAK87920 pep:novel supercontig:GCA_000165425.1:CT868640:330118:330743:-1 gene:GSPATT00021544001 transcript:CAK87920 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK87921 pep:novel supercontig:GCA_000165425.1:CT868640:331016:331673:1 gene:GSPATT00021545001 transcript:CAK87921 MIKCMRYHQIIIISMLVSPSRRLVKRDTKSMRPSMFLNEFNISSVSQLITVKKEVNESST RSVDTFGSVTQGNEIPDTLFLPFVEEKPRIRYIKSTSKPKQSLSNRKVVLLQSNSNSPLT QSEIHLPQINSIPNSPTRFQITNHKRHLSNIDEQYKKVEFRKSIQVIDFVNNIITKDVID GSVKPLKKKLQRQQTKMVKKE >CAK87922 pep:novel supercontig:GCA_000165425.1:CT868640:331973:333425:1 gene:GSPATT00021546001 transcript:CAK87922 MSAQIQVCLDTLAFDQIEEIVLINGQPSVELTVYTPFSQYCFESSKPIKEFYEYVQHIQK HCFMLLSLDKAQIDLLQSVKDKAKTIIAINQLIGELLYRRDLITKQKTINLLGLNQQIQV YSQIKALIPNIVMGFKISDSNLTVSQMIIVNQFLVVTLENQSQLQKFGKIWNLIEPEIMG QMIVFVIGEATPFHRIFDKYYSFKLFCVENINDRLVVGTCQGSLIIYQITEHSIQEKTTI QVLTSPIYRIHHFNEEVYLVTDSQVKILDRQNFDLIGGGSLKNRLQAAWISCLNFDDKEK LLFLGTSQGFILVYKRTEKLEYLNQINFNVNTSIKSIQIMENYLYPCTENGVAIIQMKYM DNQFQYQEKTAFASQLKLVGFKRTQSSVFGFTQCGLLVQWNPENGQMNQAYKVDKNCLFG LQKSNSIFIVTSEQMIHIVNFQ >CAK87923 pep:novel supercontig:GCA_000165425.1:CT868640:333615:335481:-1 gene:GSPATT00021547001 transcript:CAK87923 MIKKKISKFTPYDRVLDGLEQEELIDEDINMKIIKVYNRKKNNKMFLLERLISNEKEHLK YIDEIHKYEFINSDGLLCIEKWTYDMLIDQNLNKLYKFILVFDTYSQNLNQYLENIQSKK IQLLKEDIVVFFRAIILSINYLQQQQIVTFNPVTMSCILICSDTCMKFNCIPILLTQHSL EKAKKESLLYQAPEQVKDYKNITHIYSQAVYSLGLIFIQLFLLRHIDQLRKFEDIKQSIK EIQLKYGDTYKSYLESMVEQDPHKRIKLYELCQTLSIQEPQKLIKDNLKITFSETFEYYR KQYSILNVPEARSIAYGIPWTRNILQSNLFKMKNYFLELLDLSQSQQISEQAVTIIFQQF RAFVIGGMNCHLVYELDDEIPALKEMGFRICYIENNQLLYICSGYSDQLLTANTFCYNIQ TQKWTKLSDCQKPGIGCTLVCYNNQSIFKYGGVEINNKSLNYVEELKNNEWQLINFKKPH FILPSFSFAQQVNPTQIFIVGGYFDKVPNTKVIVMNIDTQAHHIDSRKEQTYVEFIMNEF LDYGKYGPVEAMQISTNQLFLLQKRNEKQQYLTVQDQAGFVELRKIHI >CAK87924 pep:novel supercontig:GCA_000165425.1:CT868640:337183:337437:-1 gene:GSPATT00021548001 transcript:CAK87924 MEKITKLPLSFLQSLGDTQPLIEEEEMIPIQLKNNRFNHQKPLRCVSPFEKKSKATKKRC GHVILTDQLHQWNNFLHQKFQKGN >CAK87925 pep:novel supercontig:GCA_000165425.1:CT868640:338357:339601:-1 gene:GSPATT00021550001 transcript:CAK87925 MARSTTDSSSSGSSSSSSSSSSSSSSSSSGSSSSSGCHFHYEIGDKIDKYVITKYLCSGT FGMVLEVIDQQGNPYAVKILSETDHTEVDVLRKIQLLDPFGEAGIVKFYDYFTWQDYHCI LLERLDINLHDYIQSHTLNIKEIQSIFKQVTTSLMFMHSLGITHTDLKPENVVFANQQKY PDQLNDQEIQLNQQTWEVPLKPMNITVPSSILGNTEHLKSSCDATPGTPFNRIIHWYIQK TNLNIGRVFIKVGNNDLMHLAIIQSIFGPIPSYMKQRSKFKSRFNSLPKVQNYKQLHQII EDQDLLDLLNLMFQIDHHRRINCKQILEHKFFLKTILIF >CAK87926 pep:novel supercontig:GCA_000165425.1:CT868640:339630:340438:-1 gene:GSPATT00021551001 transcript:CAK87926 MSYFPTLRPESFVEERKQVTLPQTEYTTKYTRSSRPEGYQFWHKKGKLNSYQSKVLSTNY QLQSQQIPGQSILLGGCGQTQQGCTPCGQSPCGPSQQTVVRLGVVSREEIEAPWRDEVLY LQSVIAELEKKKEVQIVKEMDNTRVHELEDENERLRVLIEQTRSEVITQRITEVNNEAEV WKRKFQEINHDYSETQEKLMNAEIELEALKKLQLRSSTVTRSVVKSSGSTVRQSVSGIKP NI >CAK87927 pep:novel supercontig:GCA_000165425.1:CT868640:340468:342968:1 gene:GSPATT00021552001 transcript:CAK87927 MDKVESECVLCVQEAEIIALGHCNHKTFCYQCMIKMRVISKIKACPICKQILDKIILTDN LQAQFSDYSISNLMSINYSKDSKDVFFTDSAQIKEKIEGLNSFKCPFQDCQMDQQISNFN QLKLHLKVEHMRFYCDVCIEQKTCFLGEQQLYSDYQVRRHMNNGDLDEDSNIIFKHPFCK FCRKHFYDEDKFKQHLNLAHINCSLCEDMKFTFYKDHGSYERHLKLSHFLCEEPECKQML VVFKNQCELDMHKQSHCNDPRIKKMSNLNVQQIAGFYEDPKQKKQLNDKEGEDFTDQFIS LEQTKLRAVTQIDQHKDENIDFREYLYVDYNDDQDKLSDQSEKMHSQQNIFLEDLQLIKP YTLPHMKFQSFMAKCSQFFKGQKNKEESLRKLIQLFETDKMSAEHYVNSFIQMFEPKTGL RLITFYMAMKDVKDQFSKILDDAYIKQLKALPRRNNPIIIVCKTYSELFIKLLEELNKNL IKRIEDGDLVQYQDTIMPKERIFQLIEVLRHVKTSEMGKFKFALNFGLSTQMIFQKFNVK NFIIYQLEHISAISNHDLVPFYLYVNLSMKILRGEQIHKEKKDLNECVYYEFFQNNKKLF SLIKKPDNSDLNRQNLLQKQNQLNRNGIEMNPADFPSLPKAQKQEQKLEQQFYVKQNEPQ QQQQQVKKSKQRQQQSQAIGKWQNSGAAIFQNQAAIEDQFPSLTSNTTQAPSKNVKSNNG QFQDDDFVPLADYKSAKQNEESEWDSNPIIPKKNTQMKEVAQIKKKNKNIVQISGGFR >CAK87928 pep:novel supercontig:GCA_000165425.1:CT868640:343349:346996:1 gene:GSPATT00021553001 transcript:CAK87928 MDFLSPDNACGQSLLILVARGSAILAEIQRLSENIPKIITSKAQEYKKYEKILFDFEYLK NIDVLEDEIQRNQEINELDENFKKSYLPLVERFYRLFESIYLYYSDLMAFIQELEEAVFM QYSVETVLQDPEGKRLFAESFYLYGCMLLLVDILIPGKAREKLIISYYRYKGGQTAIEFI NEVTKLCAETGYSKSGTRPAFYPEEYMARFQLPEKLITEVINSIKDEDIYKYTSIYPSAD HRSIALSVQGSMLYVLLYFTPTILNNKKAKMREIVDKHFYDQWVISFYLGYFVDLSEQWN PYGAAREAIKNTINFDYIQELGTFYRNKLTEQINKVQEYLYEGQMTQEFVLDKISSLMNC VRESNIAIRWILLHSNTSIPKAKELLNKIDKQEVLQLLLQSSKFENRLLKAIKAIIDNKQ QYWDEDKVKCVERMQELSDYFSGKALGKVKADESYMQWFTEMGSQIQSLNYSDSTHAGRK IQRLIQALEDIEQYPQISTSIQVKHYLNETRKDLTHMVKIVHIKSTLPSHISYISDFSYS WQCLKGYKELMQAKVQSNPHSALSLKTTFQKLSSILNTPTIRIIQAGSPDLNSVTKYYSG ELVKFVKEVLQIIPIQVFEVLQDIITLITSVLKPLPSRINKIELKDYAQYEDRTQIAKLT NSISVFTESILTVDPYLLGSIEVNPREMLDQGIRKELMALIHKILDSQLIFPKKDIQDFQ TKLSKLGEEINGFKLAMEQIQDFVNAQGLKMFTEEFNRLIECYTSMELKGLITGNKEYEE LSYDEDIPMPDDKSKQYGAINFIGRLLNQIILITNPREVVFVESTLGFYDSNGKDILNLK TIGLILKCIGVSGLNGIDLLLSQHLSKAVKDIVRILKQELNQENRNIIDTLIKQVRLFTN YDENYDKVYKQLAKQFRPLISKILYPLQQIGQYINLRKLICLVLQMKAKVGSTKLFLCLE TLNNTIINDFINRQYDSTMESDVKTQMENNLLFDLTKLFNYVGLSDPIRKIYALCEMPEH TPLILALVILHQLPLMDFDKKLNCLVKKAKDNQLQFEPNCLLMGIVGFLNQFNQLHSSLF VSYLGFYIKSTIFFMLQSKELQKQPEILGDFFMLLTVIEEIFRIREESYENFKQLIPFGL FNQLKREMIKW >CAK87929 pep:novel supercontig:GCA_000165425.1:CT868640:347024:347800:1 gene:GSPATT00021554001 transcript:CAK87929 MQNKESTEYDHLFKLLLIGTSGVGKSCMLMRYVDNNFTNNFYNTIGVDFKIKSIFLENKN IKLQIWDTAGQDRFRTITCSYYRGAHGIIIVYDITDRESFDSVKMWMSEIDKYTHGITHR YAQEDVIRMLVGNKCDVDDKRAVSYEEGEALAKQLKLQFIETSAKLSTNIEQSFLTIAKN VLEKSQNSIKTESAQNMKIGQISSTQVIGNTNKKSSQCC >CAK87930 pep:novel supercontig:GCA_000165425.1:CT868640:347829:348251:1 gene:GSPATT00021555001 transcript:CAK87930 MFDIRFLPFLLVFTYAIIVERPITQISWCGASIIYTREDETIPQFQGLEEEKTIFFITEA HQIYQSNGTVWNQIEGLFEDIQISPADSRVIFLFGQHGQKSYRTNDCGENFEEIDTEGFY GFRLNKMNWKMDDSFQKIIM >CAK87931 pep:novel supercontig:GCA_000165425.1:CT868640:348274:349945:1 gene:GSPATT00021556001 transcript:CAK87931 MIGAQGYYQTSKYLFVLTSSSNGGYELHYGHSKLEEFQEKLVELPLQILKEYSYTVLDTE NGRIYLSVSHYQQEQSVTNVYISNQIGQDFQIVLTNNVRSTQDGNCDFEKLLGLTSTYVA NTYDQRDQMDKSTVISFNGGKKWESIKAPKYDSEEGISQNVEENALCTLQTIYTVDQAPG IVLGVGNVGLIISSEQNTYMSADGGQNWIEVRKGSHVFEIADFGGVIVMAKDYEPTNEII YSIDYGKTWKTKVIYDDLFMAQNLVTEASGTVKYFLIYGKTDKGEGIILKLDFSDIFSRE CKSNIDYDIWNSKCIDGSQTKYYRKKENSECFNPKQMITKRIIEPCPCKREDWICAQGYI NKLEGGDCLPIVNISDYCEPGKTFFKTQGYIKLTQCVGGLDLGPIETQCPTSLNLNDIIS YLIILALLAVMILIGYAILRRVQTQGEKSQNPNVNKYNQMEFQEDEDDEDQQL >CAK87932 pep:novel supercontig:GCA_000165425.1:CT868640:349984:351431:-1 gene:GSPATT00021557001 transcript:CAK87932 MQVLRFDQLVNRSPQIEELCKCMICLEIFIDPMCCESCENHFCQTCLTTWSQNQSARSCP VCNKLKEKQGQRILKNMLNDLDVYCCYKQNGCLGILKYSEYFFHIKSCQFKQVKCEYEGC NIDILLKDKDQHDNTCPFKLLQCKWCQQEIWRKQLEQHELNECLQRKVQCGKCSSEVPIL MMQNHVDTCPENIYKCQLCLSDIKLKDLELHNKNECPQVIIKCSGCQEQLKRISMILHMQ SCQFVEIECENCQQQIQRKDLKDHTIAKCFKTLKQIITDQQRQIKGLFTFCEELSQQVNL LSQLQQQIKFSKHFKHKDIEVIKDGKIAQLNLNENKKFDRLIAIKNSKNKPIEIEFLHIS DLNVCVGITQRQNIFNPKDYSKHNHQSYLFCANGIVYIDENKEENGKKKNFGFVIGQKLE LVYNDQFVSIKNCTTQQYLKYNISYEYFDKDDIELYPVLCLRSNFDKARIVN >CAK87933 pep:novel supercontig:GCA_000165425.1:CT868640:351461:351724:1 gene:GSPATT00021558001 transcript:CAK87933 MSVQEFIANKKMLDVEWRFSSFNHNIILVATANSSKENYSDCFLQLKIKTIDKNMVEETT HFELTLAQFNELFTEIEKVKNLMSLIK >CAK87934 pep:novel supercontig:GCA_000165425.1:CT868640:352388:354115:1 gene:GSPATT00021559001 transcript:CAK87934 MLFPQLLSKLYNYLFFSFLLIIQVCLVFIHFYCFFCSAKYSLNSHSQIYQYQQQYFNIRL RQSHYLCITFFILFEEILQFVYFINIKHFQMCHEFLQIMLSSAYVKFIFYTQLEISYIPL LVIPQIEISFSKIKRFSFSHIERLKNNILIQQIQQLFQMETNSQKETKRPQQILKMAKLN NNYKEPEDTYEPKRRVKYQSAFNSIDKKPQLNEDSSTFNGMEYFESLQMKKSSERKEKTP QKVESVSSILAQAPLRERFSNLHLINSIDKMLMHSKYSSLCHFFKEIDEMLLFLQSQQKP PFLNTIQELLSQNSKIKVTQQIIQQILEIFPNAYEVNWSLNEKLIRLVRSDLMIKTNIKS HVQLGERLIQFQQLMFDYIQKNGVEIGLAILPQNPFQQILQEKLQITDTLTKQIQQQSSK HEIQSQVSKEPTYSKVSQNLIEKLKQKKKLEIVHTDLSAPKKQLIALSTLIHQYFLIRDV SNMFLTNVLKYSLNSLKQFLLDENQLKTYIDQLIKLCPHWIQVIENQNGSIVRLNKEIDL PSIIRSIEQM >CAK87935 pep:novel supercontig:GCA_000165425.1:CT868640:354118:355019:-1 gene:GSPATT00021560001 transcript:CAK87935 MFNTSSGQRIRYVKEASGAKSTTQSFKYKSKECDLSANDSTSSAHLKPLIQVKQSYNQHF ATALPLRKLASPQKQAPVDGRIVERTYSSVRTSNYVKRSSVAPEKRVENKSVIYEKRSIK MPICPPPSTNVIEEKLEDDQIQGQGSEMQSQKRRKSITFFEQTVNTNSQQDNEEIVEMMS KYSETELKQVQNLRVIINGQFDEREIFVDISSIPYEWKLEQIAQQLNEIYKQQFNQSLRN PAISVLIGKIQTKKLSKDLKKYELVLMSLKGQLKESLIVLEDSS >CAK87936 pep:novel supercontig:GCA_000165425.1:CT868640:355066:358344:1 gene:GSPATT00021561001 transcript:CAK87936 MEFELAGTNHKAVQGWRFDTNGQEPLKQQLIEPSEPQIQTLGFFEKIKNQFNDLLKPPDK EVEQRIIYLDGKVYPQNNMPNIVKNQKYNILSFVPMVLYQQFKYFFNLIFLLITLSQFVP LLKVGFLFSYVAPLAFVLILTLLKEAYDDFQRYKRDKEANSQEYTQIGKEKAIQLQSWQL KVGDIIEVHANQRIPADLILLHTNDVTGTVFIRTDQLDGETDWKLRKAIRHTQNYGQNKN LTTLNASITCEPPKLDIYDFKGLFKLEVGGGEGQREALSLENTLWCNTFLASGKIQAVVV YTGKECRSALNSREPRTKMGRLDDELNQLAKLLCVLLVCTAFTIVLSSGFQNEWLLQLFR HVLLLSSIIPISLRVNLDFSKLYFSYGISNDKDIEGSLARNSTIPEELGRISYVLTDKTG TLTQNTMIFKKLSLERMSFSVETLGMLRKMIKKHCTNSKFPMEDILKKYQESGGRKIKPF KRNKDQIVRDLISALSLCHNVTPVEDEGQRTFQASSPDEIALVNFAEDVGFKLVNRQLTE INIQNAGGTPESYKILYEFPFTSERKRMGIILQMQGQKGAIFYLKGADSVMKQKVPEVQR GFLMDECESLSREGLRTLVITQKYLTEDDLKNFTTEFEKAKNQMEDREARCAKVLDFYEN DMELLGLTGVEDMLQEDIYATLESLKNAGIQIWMLTGDKVETAQCIAISTGLKSPTQEMF VIKDIEDSLILQNELNQFALKNNSVLVIDGQSLKIALEFQHTAFFHVACNAPAVVCCRCS PTQKAQVTELIKEHTQKTVLSIGDGGNDVAMIQAADVGVGIVGKEGKQAALASDFSILKF KHLAVLLLWHGRLAYKRTSVMAQFVMHRGLVIASIQTIFSIVFYFVAIPIYNGWLMLGYA TVYTMFPVFCLIFDQDVTKEKALEYTELYKALLKGRELTVKTFLLWLFKSVYQAAVIMVY SFAVFQNTFLDLVTVTFSSLIVAELLNVFTEVNNFRLVMFIAEMFTLVVYAGSIIFLQSY INLADIDQKFIINVLILVTISWLPLHIIKLVLRKWDPSESDKIMQKIRIRK >CAK87937 pep:novel supercontig:GCA_000165425.1:CT868640:358987:360394:-1 gene:GSPATT00021562001 transcript:CAK87937 MDQQEEQQISSVTFKELGVCEELSSACEKLGYKIPTPIQQQSLPYTLQKKDIIGLAETGS GKTLAFGLPILQHLLANPQPYYALILSPTRELCVQIQEHFQAIGASIALKSVVILGGMDP LAQAKALAQKPHIIIGTPGKILYHLENTKGFNLKQLKFLVLDEADKLLNMDFEREINAIL DIIPKERNTYLFSATMTNKVSKLQRASLKDPVKIEVSSKYQTVSTLQQNYLFVPDKYKET YLVYLLNELAGLTSIVFVATCQMAIKITLLLRNLGFQAIAIHGQMSQAKRLSSFNKFKSK ESNLLIATDVASRGLDIPFVDLVLNFDIPQNAKEYVHRVGRTARAGKSGKAISLVTQYDV EMYQKIEQLIEKELSQYPLEESDVMVFYERVQEANRIATQELKDLLEKKVKKTAVDEDDL DDRKIKQINKKIQKDSKQKKGGFKKRFKLDV >CAK87938 pep:novel supercontig:GCA_000165425.1:CT868640:360405:361112:-1 gene:GSPATT00021563001 transcript:CAK87938 MGLWAWTLNQMLTPKFWTLVRREGFWQTYIRMHRAQSRSSHYAGGQNGPIQCVGQDQFGN KYYEDFDVTHRNQRRWVEYNDYFNPWHTLGDRVPPIWHGWMAHVYDEAPTRTGHSHFVQP FYQKPATDNQSPTPNHYFPQGAMQSLNRLEFIKYHRNRRAAPFEPGQASGFEGKKLVVEK QTYSEDVMASRE >CAK87939 pep:novel supercontig:GCA_000165425.1:CT868640:361825:362834:1 gene:GSPATT00021564001 transcript:CAK87939 MIDSSNKLYIFALNTLLPIYYLEVPIQVVNITFGKKSEEIAVFSEKEILIYSLQDDIIFQ KKLEIINLIKFDFLDQDYLILTQTHLQNSIMQVDTIDPITFEKVHYQKNYNLLILFQDSI QLFNNLSPYLSYQQKFNNKSKLRYDHQQQLICVFETNQLSIFFIFHDQIKHRHTLSLNIE KIQDGCSFSLPSYKQPGSYGDQIFIYMAMTRGKLGSQFQFVDQDGDRQCIGNTFQEKKCK TIIPISKWGNNLLVLFEQGCLNRIKLYKFPQFEKLDFKFQYYPKNETYSELEDEFDKKIK QSSIELKEDQFSQRILDPYYSYCHELI >CAK87940 pep:novel supercontig:GCA_000165425.1:CT868640:362901:363913:1 gene:GSPATT00021565001 transcript:CAK87940 MIPYYYGSIIIGPSGVGKSTLCKGLLQMMEQIQRKSIIINMDPANEDSYEDYLCINILEL ITVEDVMKMFKLGPNAALLYCFQFLLDNIKWLFDKLLKYQDHYLIFDFPGQIELYLANDS IYNLIQSLTNKNNSTLQISLVAVQLFDCLNCYQVNTFISASLVSVTVSANLSLPYLAVLN KLDLVKQYGEMPLSLQYYLEGENLKYMLEVTDQCDEEGQKFKEKYGQLTYHIAELIDSKE VVSFEPLYVENKKLIMRLILKMDKANGYYFLENLPPIYKSIQEDVGEEIDFLPTELVMEL ETELFESN >CAK87941 pep:novel supercontig:GCA_000165425.1:CT868640:364102:365542:-1 gene:GSPATT00021566001 transcript:CAK87941 MQLQQLEKAQKLGINKYLCIEKKTKKEYILTLQASSDYDDRIRQLDHQNFLIIVDRWQEN GMMCIIQNSEPLQTINGGGCFGEQKILSIILQLAQALNIAELKGIQATVTLQNLLLDEYK NIKIDLLSLNATPLNFASPEVLRKESTHSGIWSIGIIGYFLANNRMPFNGDNDKVLAYNI IYKDPQPTDQSKFPILSQIIKLILVKNIQKRYTYQQLISYLTDKVHLRDTPSSLMMNQQF SRTISKKEKSICSFKESKIEIINESDSERNNQSQFLEIKPVVPQIITLDTIENMHSVHRQ LKNRPQSSVQRIIKDDQKDLMIRLQRIRPNSAVGFSGKEYISKNIKQELSNRRIIKSSVE PQVTTNTFRNNFMKKQQFKEVSVDLKEAKQIKNEHVIRVYNLEYQNEQQMVSKRIHKPFQ KVLQNPNTPKCLNQKEKVFFDEIQDWKSKMQQNMKQQQVKKSEPMLKLISQD >CAK87942 pep:novel supercontig:GCA_000165425.1:CT868640:366648:375235:-1 gene:GSPATT00021567001 transcript:CAK87942 MRLLIILNLIIQIQVMPLDPLYQVLHTQVYFKDDNFTWKDGFSYGIWSKYNPLSITSQVG PVGLQESNCFQLHNAYQIDNQSLNLIYYDFLDYESKTISKTLEFINNNNEQHKIQVQLEI FNYENVWYFLGISGTPKLNQLEIILFSLIEVLHFDILSMSYPYQDQEIQLTFGGSLIVLD SKIESIQYGTKFSYFPGSIVLRKFQIEGISPGLSLVESAKIVLGKNTYCQCTENNKKKIA DSDLDYLDYGTYISENLNCDSFFLSGWIRIQEIINLDDGFEYQFIKLGLNYENQKFSNLN LSPLTLSYKISSVTNKIIITTYSYTFPIVTLDFLDNPFLIITEFDVINDLTLWHNFQIQV KENKMNIQIKFLDKQNVYEFQESIIFLQFKQNQFKLLYGNCQQLETNFLKIQIRDVTFTI CEDLFTQQICHLSCQDCDGPTNRDCLSCPIDSNRSYLNEQKACICPIHTIDVGICHSYQD SKLIILQQFQQWNQCKYGYFQYNQECCKCPSIIREDFITCLECLRNPGQWSKDPQCKTHI YVSPNGDIDKSFYDESNDHFVFDGISSIQYCQYCENTGIDSIENLFSDFSVINENFKNLC FNELPNCYKCQLFACQQCYVSESGFKCSRCTYQAVLTDGFCTYDNSDIRNTCVSPYYISS TFECKLCPIDNCKYCFEFQQNESQIRCTLYKDFEEFINDERIQIGCALCKENYIFDFNQG LCLYQKPQITNCLRSYINFQGQSVCTLSSFSDFSIAPEIINCQKYQKNCQQCLLTPDEKI QCIICEPGYKNSIQTGTCFQYKIDNAIIVMDGDFYENDAWSWFIQSFMMRFLPNNYYYPL RTTYTSDIVAMPTKCTQGYDLSIFSQCAKQCSQDCLKCEKSYENFICQKCPLNYFNTPIR TQEDGQCISCPQLCEICRNREQGEIKKLQPSFQLSENNKKYTMICLKPIKEINVVIDPYT QIAKYCFSDDCQTHFSLMFTSDDIFQSCENQVGDIFTHYEDGINIDYCNSVGVDQITIDY TFYNEFTEEICYLICPLIYWTNLKTKIFMLNRTNLIITSKSSCLIKLSSSSFIQNFDEVE IHTMGFLNVLPFILENDKNKIGLKLHEVLLQDSVIKDNTIFQTEFFSNITLKGLRFQRLT FENSYVFNLEQSKFEGYIEIETLLIENCILNNSTLFNFANKKFIISIQKVTINQCYIYNS SFFSFISNNSKFINFVYLTTIIITNSNFVNSFLINSDNYFNLNIKNLGFNMNIVKFSTII SFDYNLELSNVQTNKNTFVQSQFIAIKNAQSKDKLFCIINNYQALENILQEAILFLIFSG LAMNNIVFSISDIYISEIDREDQTNIQLQLFKIHSNQFNLQNAVIQNTRNCLVFYIIENE EVVMKNVTFQSTQAAYRIPLNLKCWEALDSKNAQPSQLLGFSKLISKDVDIYNQFSSIYS QIQVNFGRQFLNYSLGRVELSNIRFEKNMLLQKEKADLFSLLVIYSYDELLIFIDNIKFK SNFLHQFIDNPLETQAGLIYIQSLLSKVEIHSLFCELNAVTNSSNSFITINSYSIQITNY QVLNHNMLPQELWESYFGIQFNQNIDQAGINLAVQDSIKILNKGGAGQIIASIFSCTNCY FQNILAHQSAIFEIQTQNQGNIILNNIAAYNIETNLQSISSTGCIAIYSQNSILNLKIVG GYFENILNRMSSTILTIQTSVQGNKINLDDIQIINCISLMNQFFNIQFSSLSQQSNIVNV QHFKAIQTEEFWIKYFDKIGIISQSEISNIISNTNAVIAIDGGSIQIRKFLIEGIFLSPI LKFNNLAKLKMKECQFSQIQPVYPFNLISISQTEKIKSIIYLDRVQIIKHQAYSVNSSVV YFLKYIDIRNQGCVLESNLINQEEKIYLNDFIENVIELAQKSSSLVQISLNSIEDSLILY KVDIQDNICKYCDYGIIYLQIENCNLLKIQDFNCGFNIINEYGCLNIAAKTQIYPKIQIK DSSFIKNKASIGAAIMASNMFLKLENSYILGNSVTISGGGIHLIAINSELLIKQTIILSN EASEGGGIYLEGDGKLNDINFVSSYLLFNKATSYGNNLVETPSHLALFINGKEMQSQSYI QNNTLTNSMNLKPYNIIQQGKNEKSNTLMLPSNQQILSYLLYQPQSQRYFTYISEFSLFF KNSRNEQLFKKVNSTCRVSQQKILKEKQQNLEQREQKATIKYDNQNNNIDISLLSFSLDP YYGLYDHFLIYFNCQPGDSQKEFGYVIKTKGFLCQLGEFYVDNGCQICSSSQGFYSVTYN TTKCSVFDKTKFFSITSNKIQLLEGYWRPNMLSDSVDYCFKNPKFCEGGWNTGNELCNLG HIGGLCEECDTQNIRGNGKFIKTSQDQSCLSCYGDQDSILPFILTTTWALLSIILSLKSI NASNQLFTSLKLRERHFQIIFKLSQDHESVFLKMLLNYLWIYSVIFTFNISFSFSFIFVD QASNTSYFMANNLDCYLSDIEEISLIYSRIITMLVLMLFQFLLIQILSLIYFYLSKSAPQ QQYNYDTISNTLIYLYVSNFGGLIKMLCSVISKREISNLSFIQGDVSLEFWSTTHYKWMI AFIIPGLGIFCLLIPFSLFALMYRLRDQFQSMKLRRHISYLFNEYDIKNYFWELIKLTKK TIIILVLTYFEIQILLKASLLGLCLLFYQLLAVEYKPYNISKLNHLDLQAGQICSITIFL AAAKYVCEQQNNQVLSIQLQIVIIILCIILCYPFLKGIILAYKKKYYVLLLASLKNLFKK IKSKSKLANLFKQLWKQQQSKEQRLKKNLMKLKSVSRSSIKMRRQKYVLNQINCFKSQDN CRI >CAK87943 pep:novel supercontig:GCA_000165425.1:CT868640:377182:378036:-1 gene:GSPATT00021568001 transcript:CAK87943 MKNTNIIDFVDAILSCLLGTLDDDRLDVNILIGMVCRIIFFVVTIIVKDLNTYTWFELIA QMGAWFIFHVYVITCEFPYFSKGLSQTEKREQRYKYMDMFQLIIAISYLLANGESNLEEY TIEFYTLTFFGTNLFINVFGLFLSNCQKNKKPDYQKEGGLKNISCGLCLGSIIVIIYLFI IKSKYEEEIEASTALRIFWLFSIIGEFVVAFASLIFGFLPKRRQFTFAKDGWKGWLGIFY GITFGLFSIFYGFLIGIIIILGFIILVTACKQRSVYEVPPTITS >CAK87944 pep:novel supercontig:GCA_000165425.1:CT868640:381163:382094:1 gene:GSPATT00021570001 transcript:CAK87944 MDPKEHRLNNLVQTFFFEIAYLSLESNNLIVLQFISNFILTFGDRQEQLSKQGIQRQRLE GIYQQEEIQQKQIFGLNQFLYFNSFDILDKQRCITKIMVKSRVRVQLFKTIASELKTPNQ NFLCWAHIYQSLMSCTIFVFEIKLKMLFINFELLGLANSCCDDYSLSQDSKQVSLTKNFY SLWIETKFKNHMIMQKNFQQQNTISYRQKQNEQYTLDSSRFLFFKLGNYQGIQMLTKVVQ FQFQYANKVRACINTKQHLNLSWLWLWSYKQLILHSKIIIQYNLQQKSIPKWRKSGIHEL QCY >CAK87945 pep:novel supercontig:GCA_000165425.1:CT868640:383971:384599:-1 gene:GSPATT00021571001 transcript:CAK87945 MVKFLLLLILTKLGSSYIYYDTGMIYDLDFEHDSSFNCQNGVSKVVTKTFSNQFEQIPQV FFTFEHIDIESSDMGFKLAITTITTTSFTLELNCYWRRAYTLILRWFAFDDQRIQVLSNF NMENPDDKTFQIKNPNAQTGFLQLTSLRYTGQIDFLLSVIIIIIIDKPNYYQFSNCQHYQ SSRKVFKSQIDWVFCRRRS >CAK87946 pep:novel supercontig:GCA_000165425.1:CT868640:387114:387824:-1 gene:GSPATT00021572001 transcript:CAK87946 MVDNVFRLKSNVQIPRNSDNQNQLGKLSNDFYCYNYKINRIDKQGSITTDTFDHIQRCFT PVKYVIEILAVVMKYQNNNSRNCIAKNQIQPNTKQWRIQICLILKFQLKTMQLVTQQQFM NDLYFIAIGINSEMRGHYALETGFLPIPLYFLGYNSSRQYLINIFTLTICKFAIIMVNNF LLRLRFTTITVTRRQKSVIMNINFLNGISTKRGYQTKGHMKITKTI >CAK87947 pep:novel supercontig:GCA_000165425.1:CT868640:389163:390563:-1 gene:GSPATT00021573001 transcript:CAK87947 LQRVLFLINFKCLYQYSRRFLYLDAINGIFATYQSKEDYPLKPNKIMVIELINSVKLSNN LLFFKVILFLSKNQIFQQQSSIVWLSNQQYSIRLERLEYTRNIINILLINSHIIFRLRNG IRDKIAVYRNRRSQFDCVKFQQNSSNLLNSQQDHQEQLIQQSIKLRSLGYVYKGVLKYEV WALKQQDKQRLKQSNNLDYVLTEVKILRTINHRFIQNYLMCNKQFKTFFFNFSNNKNFLF NCQTDYQLDEQSLLLSICISTISYTGIQSQTKFKQMLQVMYNQQILSCVNLQLRMNQHIH YVDLQHTLHQKFSTIMECQCQQITTKQGYYYMQCLLDILHFIKERQMYQSIE >CAK83936 pep:novel supercontig:GCA_000165425.1:CT868486:1011:1112:-1 gene:GSPATT00039568001 transcript:CAK83936 MIIYSFFIANDQDIQYQLKDLKLDVILEKSKSV >CAK79293 pep:novel supercontig:GCA_000165425.1:CT868321:28:441:-1 gene:GSPATT00039311001 transcript:CAK79293 MILKIVDEFYLYTLNSVQICVHYQLIMQQLFDCPICLQTLLQPITLTCGHTFCKPCVRSK YFYQSYNSCPVCRAPIQIYLNQFKVNILLENLIKQEFNSEQNYQLRVLNYQKRMDLRNRR KWYHTMMIIIIEYSKRL >CAK79294 pep:novel supercontig:GCA_000165425.1:CT868321:1520:2995:1 gene:GSPATT00039312001 transcript:CAK79294 MQSIGNQILSKWYSTNYTYRALFQYEVKEEGYIMGQLIERNEDILDVVVHTIRFTYRQGF QAYQCQDSALTTDSGWGCVIRVGQMMMAELLKRHLKCFYKVDLFSFPPLLQDVLQMFKDD DDMESQKGFSKPSKYGFSIQKIMRVAYKEWGKKPGEWYSPNQIVQAIYKILQEINIPYCY GLGFVPFYESQIDLRAIFQEMCMMEDCVCQKKVFSIEQFLKSLEKLEIGKEEMVQVMHGN DSISDVCCEDQSEQNKKEIGNLLKKYICQKCFVPVRAVAVCLLSRIGCDEPNPDYLQAIR QFMKKKYFAGMLGGRPKEANFIVGFVDNKFVVLDPHLVQEAKMNPEEYIKSCFPGEALFM SDKEIDCSLGLVFYLKNLDDLIELIYDIQAHQQINFFSFAHIQHWKYTETKKEDQIRRIR EMHEFLNEFLVPQQQQQILNLEISTYQEAYSNDSASFDQQPILKEIDSSYEEI >CAK79295 pep:novel supercontig:GCA_000165425.1:CT868321:3585:4635:1 gene:GSPATT00039313001 transcript:CAK79295 MKYYKQGQLLCYIGTWMPFVILVTIFLFFYGVYMQTYLLPRIRSEYIEKPVIEINTYLIQ LVHINDYVFSNSTVVITVLLHTILVLFLITLIRVVTTLPGKVPKEWLNRVEGEINKMIEN EENMINFHKKGSQTSTSFSSEIDDEQRLQLNSKARLELIDKSGHRFCKNCQAFKPKRCHH CRQCKTCWLKMDHHCQWLNNCIGYNNYKMFINLLGYSWLLISFIMITYSRCYYDTLNSYS SDSKLFLVSFTFLYCSFLWILLTAFTLFHLWAIKSNITTLEYCENKPRLPVQKSALENIV EVFGINPLIWFLPIQPNTKPILD >CAK79296 pep:novel supercontig:GCA_000165425.1:CT868321:4684:5314:1 gene:GSPATT00039314001 transcript:CAK79296 MEKIDMLVKVVIIGDTTVGKTNIMTQYCDTNFKMNSLPTIGADSRVKMIQMNERETIKMM IWDTCGQERFKSITKNTFKGAQGFVLVYDITSKSTFEHVEDWLESINDNIDTNTVSIVLV GNKSDLDELRQISRDQGQALANKHNLNFFETSAKLGINLSEVFVSLARNIRKIINSANKD TEMLTTDKTKSKKKKGAVDIPYT >CAK83392 pep:novel supercontig:GCA_000165425.1:CT868467:41:350:1 gene:GSPATT00039541001 transcript:CAK83392 MVEFSSGVRGMALNLETDNVGIVVLGNDREIQEGDIVKRTGAIVDVPIGMEMLGRVFDAL GNPIDGHGPVKTNTSKKS >CAK83393 pep:novel supercontig:GCA_000165425.1:CT868467:358:765:1 gene:GSPATT00039542001 transcript:CAK83393 MQTGLKAVDCLVPIGRGQRELIIGDRQTGKTAIAIDTIINQKPNFDSGDKNKQLYCIYVA IGQKRSTVANLVKILTQAGAMKYTIVVAATASEAAPLQYLAPYSGCAIGEYFRDNGMHAL IIY >CAK83394 pep:novel supercontig:GCA_000165425.1:CT868467:873:1420:1 gene:GSPATT00039544001 transcript:CAK83394 MEMDHQLPYQLFETQAGDVSAYIPTNVISITDGQIFLETELFFKGIRPAINVGLSVSRVG SAAQIKAMKTVAGRLKLELAQYREVAAFAQFGSDLDAATQQLLNRGAQLTEIAQIKIIRP NVC >CAK83395 pep:novel supercontig:GCA_000165425.1:CT868467:1421:1604:1 gene:GSPATT00039545001 transcript:CAK83395 MLERIRSTGELSKQDDAELKSILEVFIPEAGLAMKQ >CAK83396 pep:novel supercontig:GCA_000165425.1:CT868467:1626:2580:-1 gene:GSPATT00039546001 transcript:CAK83396 YRQFSFYIFPYSTDWLEKQKVVFSILRRNYFIEDYRISQDALCSFLCALEYKYNKRGNQF HNYDHGVTVMQCTHAISLEIMKTQYAHLLNQFTKFVLILSGLCHDVSHTGRTNIFEINSL SNLTIRYHDRSVLEQHHAATSIKLLCAPSTNIIPNFTSVEFRDFRKLFISNILYTDITEH FNLIKNFEARIKELNFGTEDDIKLMTGMIIHTSDFTGGAITIQFEVNMEFQEQYNLEGKF GYPQLPYMKDLDQQPIMAKSEVGFFKFIVRPLWSIMSKFAEDRLQKSVENLEQTILEWEK LMNN >CAK84800 pep:novel supercontig:GCA_000165425.1:CT868527:7:1848:1 gene:GSPATT00039708001 transcript:CAK84800 MGCQDRIIKSEIRWSFRIQQFQSISFLMVLHQHRVVKITLSVYGKFRQDYKKAKLDGHSS YAKSVNFSPDGTTLASGSLDNSIRLWDVKTGQQKAQLDGHTQQVYSVTFSSDGTTLASGS NDNSIRLWDVKTGQQKAKLEGHTQQVESVNFSPDCTTLASGSYDNSIRLWDITTGQQNAK VDCHSHYIYSVNFSPDGTTLASGSYDKSIRLWDVKTGQQKAKLDGLSEAVRSVNFSPDGT ILASGSNDRFIRLWDVKTGQLKAQLDGHTQQVYSVTFSSDGTTLASGSYDKSIRLWDVET GQQKAKLDGHSREVYSVAFSSDGTTLASGSYDKSIRLWDVKIGQEKAKLDGHSREVYSVN FSPDGTTLASGSLDNSIRLWDVKTGQQKAQLDGHLSYVYSVNFSPDGTTLASGSADKSIR LWDVETGQQIAKLDGHSHYVYSVNFSPDGTRLASGSLDNSIRLWDVTIGQQKAKLDGHSS CAYSVNFSPDGTTLASGSLDNSIRLWDVKTSKEILQSDSSYKNLLAQFKIPLQNSSLLPN VHPDRTILRICQNSQLEASGTLIFQGQFINHQGIDLKPLLKSKGSCFLQELKQQ >CAK87031 pep:novel supercontig:GCA_000165425.1:CT868606:84:347:-1 gene:GSPATT00039795001 transcript:CAK87031 MQNIHRIEKKYEYQNIIILIIYQRIIKDCVFMKYQIIQLIESIIKVLQHQFKNICKMLQI TFIFIYLFKLILRISFVEINNLLFKVC >CAK78561 pep:novel supercontig:GCA_000165425.1:CT868311:285:976:1 gene:GSPATT00039293001 transcript:CAK78561 MLTGFFSYGVENFQNRYVYMICFQISKQYRQVYQFAMLCLMRQQIEGEKVAKQQNDKFDP DLELKLCQGTLGHLDKTSCGAFSQYKCLNQKKYLEQKENVQSAKQAQNKSCDTKNQILKE VTLILTSRGMEMLCVKNPQQNLHPLPNMIKLNFSNPRLFQSIENEQSWDLLDMDSRSISL TESCRIPIYIFKNYWQQSNGADQKIKSILQNQKKGLYFLKKFR >CAK78562 pep:novel supercontig:GCA_000165425.1:CT868311:1149:1541:1 gene:GSPATT00039294001 transcript:CAK78562 MINGLPQVEEMKKIKSQQLGTISKNRNNSADLRTFAQNKKINLQIMSDAKQAQVKTQDLP KKKDQGHQFMFMAKIQIRNTDMCALINIVNLAFSNTSALEQNLHYFYLFLENSNSFKRMP IK >CAK78563 pep:novel supercontig:GCA_000165425.1:CT868311:2062:2247:1 gene:GSPATT00039295001 transcript:CAK78563 MSVQHNLALLNTHRVIQSVNSTLQELELIDSQYQIMISVLAQAIVEESQQVPKIRTKIQP Y >CAK78564 pep:novel supercontig:GCA_000165425.1:CT868311:2592:3011:1 gene:GSPATT00039296001 transcript:CAK78564 MKTVPASQLLNFFLNYKPNTQQINLGKRKSFIDDIQVDEQLNQYLGFARKSYEVHLNNYA LVTASLCALHCPEESNQTLRVRKHKKGLLCCRTKVSLRTCLRLFPIIDNLQNILILFTRY MSDFAAKESDVLKSQSQEM >CAK78565 pep:novel supercontig:GCA_000165425.1:CT868311:3587:4917:1 gene:GSPATT00039297001 transcript:CAK78565 MKIFLLISKNRRGQQSIQLGKICVSHLQNYKVNPFLIQQHKGNFAEDLLTPTIVHCSQMI VAQGEYHGFIVFIRDSDDFTIFYNKVYNASYPDDFSDTGLKFAFIQKAHVKCQDEPDSIY YYWTKHVVIISNNMPKLYSQEEHLVKVCIHEEHASQSKWLERNLWLHLTIILIYIFYDYY LG >CAK78566 pep:novel supercontig:GCA_000165425.1:CT868311:5171:5894:-1 gene:GSPATT00039298001 transcript:CAK78566 SIQLFSTLNHLIQLQINQVNQMSLQQLNFYLMKCTTLIHAYVQFALFCYRYWHLLISNTD INLQDFVCLKVIYEIYIMLEARTIYYGSITSTILFEILLIRSYNVIILCVDDWTQCTYIG FDQQCQFLQGFFNLYTICTFQRYLEIVSMQKRKPIFCLHNSNTSCLCCFLNQSNHIFVPL MQCLLHTTFQSKYCKVIQLQMMTLVQYHRNVQELCNALTMLMTYQRLF >CAK76061 pep:novel supercontig:GCA_000165425.1:CT868222:129:994:-1 gene:GSPATT00039099001 transcript:CAK76061 MIQPFRRTLNILTIILTKKIDRLETAVEQYDLAIQKNPENSDYYFNKAIVLYKLNRFEEA LEFYDSAIQKNTQISDYYFSKANALKRLKRFEEALEFYDSAIQKNPQDSDYYNNKAITLD LIDRIEEALEHFDQAIQINPEDSTFYFNKANILYKMDKFEEALKYYGLAIQLDPQDSDYY NSKANTLQKIYRFEEAQIYYDLAIQLNPSDVKHNTVNQIFEDSKYNTFS >CAK76062 pep:novel supercontig:GCA_000165425.1:CT868222:2312:4036:-1 gene:GSPATT00039101001 transcript:CAK76062 MNRFEEALDYYDQSILKHPENANYYNNKATILYCMNRYEEALEYFDLAIQKNPEISYQYF NKANTLEQMRRYEEALKFYDQSIEKSPEKSDYFNSKGIFNLYNFGALTLKKLNRLEEALR YYDYAIQKNPENSDYYFNKALTLHKMNRFQEALDYHDQSIQKCAENSDYYFSKGTLNFSL TLKNMNRFEEALQLYDQAIQKNPEKSDYYDSKASTLYCMNRFEEALEYFDQAICKNPNDS DYYYGKALTLNKMNQLENALRYYDQAINKNPDVSDYYFNKAKVLDKMKRFQEALQLYDLA IKRDPEKSGYYDGKASTLNLINRFEEALEYYDLAISKDPEESINYSNKALTLKTIYRFEE ALDLFEKAIQKQPENSVYYNEKAITLNLMSRFEEALEYYDLAISKNPEESVNYSNKALTL INIFLFEEALESFEQAIQRNPESSLYYVQKGKIQSLQLISLDIRQNEQIQRCFDIL >CAK76063 pep:novel supercontig:GCA_000165425.1:CT868222:4068:5362:-1 gene:GSPATT00039102001 transcript:CAK76063 MTPFQKTRARIQLVKICFLNAYSIYQKEIQTYCDIVLGKKCQSINSKTICNCFQSINRII NQHLFKISISSLKQIIDSLIKLMKQSYEYDFKIKIQQYRLSKACINNFCQLTRFNALLGV AQKYNSQRMTQGNEFLQFTQKTQIKNNRKIQKGGKKFLLVQGLFKENIFTQQKNKLNGLD QELDYYDQAIKKNPQKSGFYNGKGTNIYLQLIATTLQKMNRLEEALNYYDQAIQKNCKVS SYYFNKAITLEKLNRLEEALEYYNEAIMKNPEVLDYYDNKRQMLQKMNRFEEALQCYDQA FKKKPEKSGYYNSKAITLKNMDSNEEALRYFDSAIEKNPEDSDYYFNKAITLDKLNRFGE ALENYNKAIMKNPEASNYYDNKGRIE >CAK76064 pep:novel supercontig:GCA_000165425.1:CT868222:6770:8610:1 gene:GSPATT00039103001 transcript:CAK76064 MNLDAFDFEKICINSIFCTDNECQLNHARLLLGDEQQFYNKNEGNKQKCQDFKLQHFKGK KFKDQVQKKTINGVFPHNLCPYNCNQNGCMLLHRSWAGKICLDNLLSVCPNRQNCNLNHK SWEQLREQALDQSQIKLPIQRQYVVRKGAIATNILHFQMIIKCLKDHIDWEKIPSKINQK NAVESCIKIRQERKAKLNKLPDNHNVQLFCEKSEFEYYKKQVQDLNIIDVVFIMDLTGSM KPWKEQMQNTIDKIINQFNNSVNGYQVRVAFVGYRDICDNQDQIIYYHFTKKIDEIKNFI YKLETKGGGDVAEDVAAGFEQALKLNFSHHPDSILCTFLFADAPCHGRDYHNIESDTLID EMPKNYFEQILEKYKNIKQNNFICCVKINNLTDIMYEKMKTVIPLLTITTEKQPQDLSEL VRFTLLHSVSETSKKQSSIIKNNFQYVKADFQKLKLDTLNQNSNKEYWEIYHKMVEESTR KGTTSLKITKQYTELNKDNNSSSTYIFMAFDAINNRELTIRRMPMIKKQRKLKTKQKLDF TLLHTLAKWHISLIRDQSKMVYQMKCNLSFMPTQFCILQILHFME >CAK76065 pep:novel supercontig:GCA_000165425.1:CT868222:8620:8853:1 gene:GSPATT00039104001 transcript:CAK76065 MVKPTQKSNTYFKNIKIISFFKIIRSVIYRLLVISHLMLAKVFQQLWTYKDVIIFLLTRQ FKPILIGNLFQIRILQIE >CAK71757 pep:novel supercontig:GCA_000165425.1:CT868105:2:1563:1 gene:GSPATT00038682001 transcript:CAK71757 TGQTKAKLDGHLSFVNSVNFSPDGTTLASGSRDNSIRVWDAKTGQQKAKLGCHSSTVISV NFSPDGTTLASGSLNNSISLWDVKTGQEKVKLDSHTRGVMSVCFSPDGTTLASGSQDNSI CLWDVNTQQQQAKFNGHSSCIRSVSFSPNLTTLASGGDTSICLWNAQTGQQIAKLDGHIR EVMSVCFSPDGTTLASGSADNSIRLWDVKTGQQKAKLDGHSDYVMSVNFSPDGTTLASGS IDRSIRLWDIKKGQQIAILHRYISEVTSVCFSPDGTTLASGYKDMSIRLFDVKTGYSKTK DDHHFGSVCSVCFSTDGTTIASGSSDKSICLWDVKTGQLKAKLDGHTSKVMSVCFSPDGT TLASGSSDKSIRLWDVEKRQEKVKLDGHTSEVMSVCFSPDGTTLASGSIDRSIRLWDVNF GQQISPSNTCYKNILAQFYPYHFKNNIVQESVSTNVSILLISQQLIFQSQGALILKGEFH NQTAINLRKSFQQRGSLILDNYIEFKQISKDN >CAK71758 pep:novel supercontig:GCA_000165425.1:CT868105:6664:9372:1 gene:GSPATT00038683001 transcript:CAK71758 MIRRDFQLFLLILQLVNGFRSLSNTYNKASYLVECRGGHYHAPGMNPHPCVFYNSACSSV TEPKMIKANGNEMLCHPRLRQYIVWPYQYFEEVLFCQNQAIIYEYSESTDLVLSCQFVVD SCAIAQKSGDIIKCQYCNGYRTGEKCQQPISTLNHYLGCGSNCASCAANYCETCKEGFSP SSSADLQCSLACQIGHLSCSKVGGVYVFEGCKKGYELIDNQCVACPSKCTNCVMGICSQC EYHYHLKDNQCFGDINCTRLDYTYDPNTGLAIGMTCQICDFGYFYNPTQQKCTLCKQQPG LEKCLICFNATECKICLGTHVITADKKCIPFVGCSSNCQTCLNTDPDYCTTCNLKEKFKT STIEPGKCLCDYPNGYVDKDGECAKCSDGQCQTCTKNYYECTSCKPITNRSLFNTQCICN QGYFENGQPICQKCHSDCYNCKGPYNTDCTECGDPSIYYKYIENGQCFCLERTLLQIQSD GNSICKPCHPLCQKCYQPQDNTTDQYCTMCIAGKRRVLTSDYRCVCQDGYGSDGILDNCI KCHYSCKSCKGPLETDCTQCSSAAQRYLTIDNKCACNSAYYDPGFQDPNCLLSCHHSCAS CTVFGQDQCTLCSSTRHADRVGTTFKCLCNDTHYYSDPFFLECQPCHLTCKTCNGIYETN CLTCDTTYRELVISKCNCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXKLGPAALRRWVYVYKLQLEIFSVLNSKFIIRESHKLSHQVAPSEQSLVNSTL DLQREGYNMLKRSQLPCPINVEICEKHLEQTQFWLIVNQEPYLKPTKNLDNIVQTS >CAK71759 pep:novel supercontig:GCA_000165425.1:CT868105:10038:17720:1 gene:GSPATT00038684001 transcript:CAK71759 MFRNNWLKWSKLIFIKTKSEFFKSIFKFEKYPQDYFQNHNINLQVVQLFQQSHILDSSHL QTQNQLKLINSNIHYQNSIFSSFLCQLYCFWLRLMHFVFKIYRQSWNYFLMSLQRSTLFQ RPILFRMLTMPSNVQTCNDIYETICLTCDTIHRELVISKCDCYPGYYSTGILQVLQCLFV MFFFITCSSAQNRGMKANKCVCKENIIVSSTNDSNCSNCSYRCSSCTIAINNCTACPDQS YRDLGPDNSCQCPAYFYDEPGNPICIKCHLRVTKINIKHTCQTCQGSQINQCTSCNLLSK RELNVNGECVCKNNYFDAGVQECQSIDLKQRFFRMRYYSYKLHILQSRQIFIQNHLLMQN KTLRKPFNYNQFNLYISSANKKSMSKQIKFNLCKGCYFSCVSCSGPYANQCLSCLNSESR IMIASSCVCLENLFDTSVPNCQKCDFRCQGCTSLATLCKSCPAGSLRIYNSSSSSCNCPN SYYDDGVNPLCQKCDYTCLTCRMISSRCDSCWVNSQRTYNSLLFTCLCDDHYYDSGTPVC QQCHYSCLLCNAFGADQCKSCLPQTTSFRILSGKVCECLPGYYDDGFSANCQGCDDKCLS CINYSSYCTSCEQTRYLDQDQCLCKAGYFYDGSSNCIRCDTNCYKCNINQTQCTECDPNG SRILNTINNTCQCQPGTTEIDGLCQNCDANCQTCSNTTTNCTSCSLMKLLINSQCKCIDG TYLSNVDNKCQNCHSTCETCYGLDSFCLSCSSDNNRIIDNTKHTCICMAGYYEDIVNNSC FQCDQTCLTCFGISSYCTQCDSNLNLTLNYQNRCVCKSGYFFNLITQQCQGCHFSCTECL TLTQCLTCELMTRYFEGDTSKCLCKDGYYEANQKSCLQCHSSCKTCQTQSNKCLTCEESN LRYLQMNFCPCLDGYYDVGIETCQKCSDICKTCQTNSTKCYSCYSNHLRVINQNTCTCIP GYFDNASLICEKCSNSCQTCKNQKDYCTSCDVNQNRLDQSIIHKCPCISDFYQDSNEICQ KCHVKCSGCINERNNCLSCKYVQGSNRLTISNQCNCKDGYYDDDIQIICNKCDTRCKTCD KDPKNCLNCFSNLRINPPDCKCMNGYFENQYLTCEPCEIQCDTCQTIASNCVTCKEGRIN KLCDCEEGYFEGGQPLCIKCDFQCQKCKNYATNCLTCKGDRLQSPLCRCQDGYYDDFQSL NCLRCDYTCKTCTLDGCLSCNGNRILSNQMTCDPPPNSISSLLNPWCSNCEVAVMKIKLL DDLTAIIVQFDFPLNQNFFTSQLDSNICFNILDQNTISKLGINPQCDIDPNNQQQLILYL GHNPTIIPGDSIKFLQDSFGHNNCNRKLQLFFSNKLEKPSHPLAPVIKYNVPTYLINPCD ENIILMEQKLYDGLRSFISISWSFILQGENGNGGLVNLVTELTNFQLLDLTIPERTLPIQ SNITLFVEVQNFVLEKSVFKILIQTHAGQFPSIFSKLKQYYYSFELINLVFTLNKKGCIE NSQISKDTSQYQINFYEIYRNNSKSRPSNVNYTKLINSDQLEFNIQSYSLSAQTAYTFLL TISDSSIQYYSEQNVTIQITSAGILCQFNGTKKLQRYSDATNIYILCKDLDVQYDWNQDP NLSIIVSCLDLTLLDECKDFQLKKLQINSTQTSQIFPKATFKPFTIQSWKVIATKNSLSY TYKITIVYLEYDFKILDIDYNNGYLIRPVNTYEDLQFTFKIPFQDRQYLLDYQIVIIYDF QLISILRPQYFKYSFQLYDYYQQFNKGNKFNLKFLAQYTNDIIPCQTEFSLALNQPPVCK FQMLEQNIKVLESHKMAINCEQSEDKPYLYQMKVFLFKDDFEEFQNKSSDNSLLFYSFQK SEQFNRIFSQFRNKCNFLDHRLKRNLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLKDQLLKYVEIGNEFGIIYMKNYQ PIRQLIYTKDKQQSRRLLEQNQQDECYNNDTSLFIITNQEPFQKNSTNVSSLAISSKKVE SQIANLIKLKKNLENQNSQNTLIVDTQSIIMTKGVIQMLQISVQLIDNQLLIISQNETSV ENLEQVTKIAEMLIQLIDNITMHISDNVEVNGKVLLIQGMILKLQFQQLTKPKYNQEFQL QSDYLDNLVAFMQKQQLTVNYNYYNLSEIYRAMLQIYLNRSDFEIDQNHLVKTILTNSLY TNNQINQLELNAYYRIDLAEFRYCQTSKETSIFFEYNYYCINHLKADKFEKCDQKMEEID NQRTKLFCKFANKTMTQQNITSVNQTQFDLQQ >CAK76763 pep:novel supercontig:GCA_000165425.1:CT868252:1:2774:-1 gene:GSPATT00012287001 transcript:CAK76763 MYFNYETIVLEFKINKKGCSEQTVATQGDHSKYTMSLVEVYRNDSNSKSSRVNYSEITNQ SSFSVTILNYTLTSRTAYTFEQTTLDALLNFSIKRNITIDITSGGILCQFQGTKKFQNYR KETHIYISCKDFDTQYDWNEDPGISINVECADLTMNSLCKNLNQKLIQINKTESVQVIPK QTIKPYTIQSWSVVASKNQYSDMFKQNIVYLDNDFKLLNVTYSKGYLMRAINNFENLEFT FTIPFEDRQYLVDYQVAIIYNFKLVQILLSEYFQQEFRMFDQFQEFTKGDKTNLNFLAQF TNEIIPSQEDLQINVNQPPTCLVSLSDQTVQAFKPQKVITVCHFSESAPFTYQLRYFLQK QDLIDFLNRTTDYSLILSSYSSSYTVQVTLPFSDGILLIQAMDSKGSYLNIEKQLNVTKT VLNCSQNKIQQQNLNFQISLLLEIVLNHYDDQILYTNIQTYLRADNIDDQLLVYQTIKLY KRIIQNKDSYSSSRLQNENLNSCFENFTKTFYVNITNVNISLTVTPPSLLEELKQIMSIT QKMIKKLADFNEQILQKDVFLDEKLYQKKQAIINSLSAILYLTDDIFLKIPKATITSNQD KDQIINVAEGLISLIEKIAKHLNIQAKVNGFQLSNNGLILIWQLSKNTKEMINKQFNIER DLLDGLIDFVQKEQIELNYNYLNLSQKLQSQLQKFFNLTILEINEKTLKKATLKNHLYND RYIDYQSAPRQYIMDMLQTPYCQEQVSQEKLYSYDCFNINKDGNFYKCELSTEEIDNKTV QISCKCQQLGISQENISYSQNENKIEDNNLILNEYPILLFHGIFIAFSYFIYFELVSIEI KQQQTPQNSRIDCEIVQMKFMNQENIRYSTIIQELQQFSKYASSSFMKYYLALMLQIQL >CAK76764 pep:novel supercontig:GCA_000165425.1:CT868252:2979:3392:-1 gene:GSPATT00012288001 transcript:CAK76764 MNPQYFTTQFSDNVCFVILENQTYQLLGKNPSCYIDPVDDTKLILKVGYQPKFIPGDKII FYNSNFGHQECDKKLNVFIFNYIKSPLNPVSPIILYDLPTSIINPCDDNTISLKLKMNDG FRDFIEIKWTYSVDGSN >CAK76765 pep:novel supercontig:GCA_000165425.1:CT868252:3689:5119:-1 gene:GSPATT00012289001 transcript:CAK76765 MFPYFFFFIACHYTCMTCFGPALNNYLTCASSNNREFKTNRCVCQYTYIEKAIGDPMCQS CSYRCANCSGTIQNCTSCPLLSLRDLGNKNSCSCPAKTYDQPGNPICLVCHSSCQKCNGD KSNQCTSCYTQIMRKLDPSGSCSCMSKYYDAGRPECTGIGQNNRQHAVRIAWIVQLLLII AYLVKQIDTYKEMFVFAKLSQTELPLVVIKFQAEFAVKVAIILAQIVMGLNLINVHNAWL VRRGFYQILVVLVLLIILILASQSVNNAIIGVKLAQEQRLHVYLVIKTLLESLSTRNVYV KRDILITDLILNAKNVTILVFNAALLLQNVFHMLACNCLDSYYDAGEETCAKCHYSCFTC NSSGYKFCQSCIEMSTSFRVFNQGICQCLPGYYDDGISPHCHQCQSQCLTCQNNADYCTS CEVT >CAK76766 pep:novel supercontig:GCA_000165425.1:CT868252:8903:10613:1 gene:GSPATT00012290001 transcript:CAK76766 MIRVQLLLILVGIIIGQAKEYQLPLKLNMTDVGCDYIEHFEFTLADNTAQVIGQFNSTGG FYQLSGFKDYQGVVDNKLHACDIHYTTWQYSIKQMRLYRKAQNLIIVEQDAQLQSAQSQE LELQLIFEQTQYDLQSNQELADRKTAIVSVFFTTGYSGIQSHLPDGIDMLLPFTQINRKK PTQQFNVDLNPDRQLDAIQRYFFQYMTFVGKDGDKLQNMYFNRKKFYIPQSFVDTFFGTS QEYQTIPNSNPTAVSQDEMDEEMVKICYGTLEETVLRQNYAVWLWIVGLLVWWIVMVCTE NDTESVFLEEYKDNRLTMIPLYSMYKLNNDIFFSKLSRQSHYLLYFAWEILIISILYGQF HEDRTYEVGDAIILWYGIVAIVLAWPVGYFFGWIVFTAREQHKEKIKLEIDYKQITSKQS PEAEKLHAAILDEENRMYTTWYVYYGFMFLALWACVLISIWMMKFVDADTSAYWVATIFV MIFMDQIVLDSIVPFLPLIGAVQKYRGYWYDAELASLWKHREEL >CAK76767 pep:novel supercontig:GCA_000165425.1:CT868252:11203:12144:1 gene:GSPATT00012291001 transcript:CAK76767 MNTINQSSAYLHTLIYVSSYIISKSIYRLYKERQFGESSSNQKRGRYQRKRIKILLLGFP TFLCLIHSYTTMYQSYKLDFKTLIQWNNNSKVCMGIAISFYMSFVLYGGPIYHEFWVSLF DSNQNGNLKKKLGKLQFNKFRWDYFSKIVVTPLIEELIFRGFTNNSFNPEYKNNHFYMIY STFLYLLVRLILFEPKQLSANKWNYVKQIAKSLSLGVYLSYVLVQTETLIAVVINHGCIN FMGSPNIQDLLKGNYSRELRFSNILVLIVEIMRFYFLGFLAFLIFCLLVL >CAK76768 pep:novel supercontig:GCA_000165425.1:CT868252:12163:14196:-1 gene:GSPATT00012292001 transcript:CAK76768 MSTFIIKEYINQKTTIFQKSQTQSETIYAQPYMTVEKKKFAQSQVNDILVEQHKLLDTTF YSKAFIIKYCDQIVDLNEGCVFRIEVQAYPEMDPHNIYCIIELLFCELSTITQEDFKIDK LQQYQKCVAKFESRLHNIKLIKEFVSCQFDESHFCQLKLTIHSVLVDFKYHQNDLTLEQF MSNITNKFKQNLVSEFYAGYNKILLQIHEQLESYYQTNVKQCISDEHIQNKFGRLQYLNK SVNFRDFSEYSARMDELKANVQLQEEFCNQLFLEVKHTSSKIFVVWYQFIDAHNFMADTL TKILEQEYTQKIKERWGESIFQHVQTVEDLSQSDISLGKNHKMMAKQYRNNNYYKQLEPL NIEDLDLFPEAKIHPIVFRDVSRKQEFQLKPVKGLHLLVFVHGYQGNSYDMRLWRNNMAI RYPDHLTLLSKCNEDNTDTDILVMGEKLALEVKRWIKEWCPKDNFSKLSFIGHSLGGIII RAALPHLSKYKDKMFTYLSLGSPHLSYTLSSSKVVDTGLWFIRKWKKCICLNQLTLNDSS NPFETCLYKLSTYEGLGWFTNIALMSSYQDTYSPFESARIQRPKGSSKDAMIINKMIDNI MKNLSNQKIDRLDVNYELVGKSLDTMIGRAAHIAFIDNSALIKLSLYSFDELFD >CAK76769 pep:novel supercontig:GCA_000165425.1:CT868252:15003:16760:-1 gene:GSPATT00012293001 transcript:CAK76769 MHLIASGFASVLLEVIFKLNVVDENDRMEAFLFYRDLLQYEYQNADRQLRKSDDQLESYR LIKLLPNQLYELFLDDGDETAIFSNFSREWSTPNLIWTQMMMKEMLLIYIEYNNQILKKL SNDYGQIFPINSPLFPEQRNETFVENMMLKEYNLQPNWQIDEGIIVFMNELVESINQSLR LYLDGNFNNSESSIRQFERLSCKLITQFTTLELALEQFFYNSLHPEFENQMKLLEDYDQS QIDKLLQEQRDTIPIQIVKSVQKWLELIIADHITINPLIKSCLIQIHNIISQSEIALVIL DEFDVVKKSLIICLKVMNSQQHKYDKLLTLVTICDMITVKFLISKLDSQHFNLILQLYQT VIKEDQMIINAFKNLIALIYTDNSVSGDFNKFLLQESVTIQSSDLKILQNKDFNKLNLWR KQFDENYKIPIYEQISKSTKEYPVFPIISECAIQQLPYMSEILENRLKMITKYYDEYLTN LQSEKIIEINMNLNSQYEPQTEQISLRQSTIVKEQSDLVLIGEDEIFS >CAK76770 pep:novel supercontig:GCA_000165425.1:CT868252:17563:19129:-1 gene:GSPATT00012294001 transcript:CAK76770 MSNIYTHIQQIVYHSLKIEKALYTKYSQQKVKKFEDFFSTKPVKWVIDFKDNLHVKENEE YLKKYYPITIQSNKFKQLLEYYKYHKDIPRMFFGFLADMATYFYEKKKKYEYRKIKIQLG IPYEQSKYEKLNEDIQVLNSITKLSEKSAKSLLRQIIKFQKEDDYINIDETWLTMQQQIQ TEKNNQKQLSKQPTQNLKLLKQLVIRNNQLNKDKLKLNLPFNLITGKVQANIRNSQKQSP ERPIPTQKSSSQQHINSSQEENEFQKFMKAKIIKLSQDNKIKIQKIFSNKVDQNNCNSNQ AKHSSAHFITSTRSVSSEQLKTEQMRLSQIISSGGNNQSQPKLFNYITPVHKKSNSQQSL QTEFTPAKKESKLIKIYSNNFSSKIKTQPKKTPEKLRQFYKASAQTSQNSSQKNLLKNLD KLSSFQQFKIMLESPHPKQKKKLQLHNTDTIRLQTEYKQNTKRQSITLTHNKVNGKIIIK KQ >CAK76771 pep:novel supercontig:GCA_000165425.1:CT868252:20496:24620:1 gene:GSPATT00012295001 transcript:CAK76771 MGCCISQQRTQEAVIQTQIKKAVEEQEEMSIIEDVEQEFNDIIEQPEPVINDQKKEEHLA KIQKSEEEEDKQEKKQLKSKTTKRDENYLKIIEYKSIKINYYILTPKHDEVSTYYDFIIN TSHLEFQYEIKCKAIVTSEPEQPFSIKPYSYLEASSNSGYDIFFRNDTYFTIDQQQNTNR QSFDTLLEVERTLKKKKEQAILPQAFQLRQQKQEDSQQNLNSPRRSPKKQKGKIQTEVVQ EMLEIMHVFDLELCPRFHQLLFFLMVQQQMAKLKPYLVNISEVYFLFDQKPSLDEQQVQK VAIFYEYLDYSLQEFQDYLKKEKKQLSHLLFLRLSQDLIQIFYNCYNSYTYRCNFTLKSV FYTSKNKRFRLQAFARLQTLIRFSNEQEVQQLLQTVNEQQYKFFIRDFEKDFGALCDLIL LFKNVDNQIGNIKKIRRKKKNSDLHHQKYAEFAMNLLDSENTDKLVYELIRLKCQPIDKD NFDYILEEIKTLLMTLEQQIQEETQQREKMNDLKEQMIFQNESIIKVNNSEQVGTNAEVD NEQHTFETHLAKKSKKLKVQPSESDLFNEMKYSALFYFETFMERSQKFLEVCTNDAHRAY ALILQSIGQTMNIPSREQKLAEQQLILQEASKLVLKERVFIIQDSFHYYLLLTLISSLVD KPIQLLNQLKLLIQQQNSIRRKQIRPKLSTQHTCTGIIEMRKALILDLFYIDHYLKRHLF QNATHLVQHIVTQQLRFNLKSYLLYGRSLFISGVLCEKMMQPVSAMLNLEVCRLLYQQFF PVQKFMYLDEETNQLVDVEYQENSKQYRQVLLHFENEGSENLNILEFNLGLVYFTLAEKE NALKCLRKVKESREKKYDIVSDEVLEVVLQILKIHVEQEENGAALEICQEYYAKFQYLAK QNIITKSRLIARLQLIMAAIYECNRMLVSALKYYQKANKTFAMSKNNSYVRQLHILQKIR KIVEQIKLKAYQSHSLEQQSEFNIEHYLGHLSEKVKPYFNNQFIRMLENDRIVLYNITLM KRYIRANQSLRNLEYQQALKRYQRIHEKFKKQKIDECYGFTLEKIGTIYLIQRNLKTAIQ HLKMASELHDRYLQFPLKEMRILTCEIYILLGQAMLRNSKDVQKQLTIIDDFIQNINDLL NWQNVPYQQRSNKFAILSNKLGRQVLYVLNDLFERVENYNTLRVKFGQNLNNAQVLNAIS EKFLNEKFNQQSVRNSDISNQRKSCLFGLFSQGKRRQATWKATMSPNQQRNQLNEVVSGE QMELSKSKIEIQTGKAKEQSTDRVDKTQKIKIQITITETERDQQIQQLKKDRPEIYEQLQ EFEIDQKTSSKLKTSLPATALVSPVLSPISIKSPSQQKSQDKKINPFQKVKRKK >CAK76772 pep:novel supercontig:GCA_000165425.1:CT868252:24668:29087:1 gene:GSPATT00012296001 transcript:CAK76772 MGICFGAKKNDRYAIIQKQINNTVKEQEDMQIQEELAYQNKQLLDEEEITLKINTQTQLI VSKDLNETASQQIIEQKASTKKKRKKKTKDENYLKQIEYHITLKSIIIEEEQDQTQSQYN IIMVLEYMGYCHNVQLKGVLINHPKKTFQLIPNTYEDAAKHSRFSPFFQNDFYFNINADP LHNTNYFEKLLHVERTVTIDRQIRISPTKRFSRRSSSVLDEHQSPSPLKKRQNVKKSEFV SELLEVVQVFDLEAQPRFLQILFALLLKEQLPQISNNFVYIEELIFLFDQLPKMEQPNVY RIGIFYESLDYNLHDLLVYMKHEEVKLSQICFLKLAHNLIQALYNCYSNHCYRINFTLST IFYTKRFKKFKIQSFCRMQSLIPFEGDQQLNLKNVPEQKLKFFIRSFKKDFAALCDLILY FKDVNKPLDSIQYLRKQAKTNNSPEYLKYAEITFEKEYEKTLVEVIRFSLDEIQYEKLNE LLCDLKDTTINLEQIIAELELRSETDKKLQKENQNFQMYDQSNSERDEFITEIENLDLIQ QMNSLPEFNKSQKVTTLKCLYKELLYSSLFMNYRFQQNCISYQQNSSDTLHAVHSNILQS FQSIDFNESHYEASLNEQAKNLQPSIDQAVAHPIDRQDPFHYYVLINLLTLSHDRPFSLI IQLQKLIEAQQKIKKELVKPKLKQNHAPGGLIEMRKTIVLQVFYTENLISRHNYSQAIHY MQKIITLQQKLNQKHSLLYAYCLFLNGQLCAKTMQTISAMLNLEMCKQFYDLYFPSSIII PEKTIEPKQPQQQNQKQENQQVQPTKPQLVIKEVDNLSTTNQSVLEFSLGQMYSQLNDQE NALKCLKRAQAIREKRFEQFSDQVIEITLEILRVYVEQEESGAVAKLCCQLAAKMNEKYK HKEVLKTKNVGILQLIMAVIYESNGVLVSSLRFYQRALKTFQGSRSNNYIRQLYISDKIK GIIERIKQIATQTISMDKQCINQLNNLKGFLSISDILKPYFSNSYYQNQKQTNTINQNIV SSLVYANGYLNNYELIDALTRYQATHKIYKKQRIDECFGIVLEKLGLINIYQKKYDQGIQ FMKLALEIHERYLLFPMKQCNIIKINLYILLDMAQKNQQKKILDQFDVIEKFIEQIYEDI HWNLTPEVASRINKFKVMSAKIGSKALHQILELIHNIDLYYNVKKLLYENFADPQQLQEQ AQLYLSKKLIQMSNKKRQHWLEKRTRCQIGQLAKVHIIQRKRVTSMFSCNEAKKVDEQTK SRITQNSTLVDQTNEKIQKNQLSSVDESKETALPNNKILIDLRSAEEILEEQRQRQLELL QQRKLRLIRNLSQSNEFNFQNQQSQNGSFIIQRQSQMMQFNQMKQILQNQQQQVEYQKSN PIKDNQQQSISTSKILQPIKAGGRQKKSLSQPGVDQDQLKNSYIKLADEGLTNKRRRTIS QQSLASISQVKRKINPFEKSVKKK >CAK76773 pep:novel supercontig:GCA_000165425.1:CT868252:29258:33370:1 gene:GSPATT00012297001 transcript:CAK76773 MGVCCSKQRDREDGIRQQIAQTVVEQQKIKEEEEQEQIQFQCYSSSRKSQTFQINPTNPN EICNLVTQVGNEDVAVDVDQPKLDKIKRKKSEHHKDDANYLRKLDHILSIKSIVVEVQKE GDMKYYKISTLINYKELCYNVNLYGTLTTQLDFKYFTLKPRRFEEAHKYSRFLTFFSNDN YFNADKECTNNFNAFDKLLYVERSQQELKANMKRLQKRQSTIIHEKLAETCLINSTNQEM LEIISVVDIEQQSRFLTVLFHLLVQKYFKKLELYLVFITNATFLFEVPPQFDQPTVYRLA LFYDKLDYSLKDLQNMCLKNQMELSKILYQKLALNLIDIFYQCYSNYLYRLNFTLSTIFY VSKFKMFKLQTFGRLKYLLQFGMNVDDGIKLLDEKKMRLFQKAFKKDLLALCDLLVYFKK INNLSLKQIQTQRKKFVQSIKKGEEQDEDTNYLIYIDKILSSTSDRYILEFIRMAIYANE IKQTDKTLQDTLPIIESLQKSINEYESNLELQGKDSQQQNEIQLYDNPRLESQQQKEIES EGSLEFKDDQKLLTTFQFDFNSENEEFSLNKDKFNEAQLVYKELLYQSLLFQDQFYSCYQ KFQVCGKSKLEEAYVEVLYAFYTLKSMNDESWQYKLKVLSKLMNKPIEIMNQIYETSIDH FHITIIFQILTLSQRKPLPLLNEFQRSINKQLAMKMQMDKRLRSHNSSRGIIEQKKHIMV QLMYAQSYLASHNYYQAVHKIQKVIGFQLKNQHLASLFYGYSLLVSGEIQKESLQAVSAM LNLEMSQVIYDGYFPEFITLEETQDQAENGVKEKTTQKYEYLKVQNLATSNKAKLELLLG MSYFDVHDQENSLKCLKMAEILLLRSFDMYADEVVTVVLKQLQLFVLQDDIGSAFKITLS YAEKMNQYYIEGKTFKRKIISKLQFIMACIFEFNGLFLSALRYIERSNRTYTNSKCNNFI IQMHFQAKKLSLISKIKAAFQKIKNKQQLRDPTDLALFSTLNEHMAPTFLSQFYRQRFVQ KSSLLLEKYGLYLIKVQQLQRLKMNQKALKILKKIVKILNKTKKDELYAILSGYVTYLNL ENSKKPQQIKYLLEQIEINERYFLFPFKEFHLLKCLIYLIILYTKMNQAKSIQETNVKIQ MIIDEFLESLQWKSISTKSLKNAPNKFEIFLKKGRISLLYQISAVVEIIEYYHTLKKQIF DAMFNGMQLVQLYKKIRVDFEQFMIDINSQILFQKQNCMFGYYSQIKYQNYEPSVEHKND NKVQETVVLQSQQEKDTDTNLQKTDTSKIVFDIRSYQEILISNKQTRLSKQQLLSKTEPK NKDISFENISGKHEKQKSTNYTDQLRVKSIKKSTKLNPFESTMKKTQKYL >CAK76774 pep:novel supercontig:GCA_000165425.1:CT868252:34131:36373:1 gene:GSPATT00012298001 transcript:CAK76774 MDQFSLETKIREVVYELSQPTMMRCNIPYIYIVSDCIRQIDQQQLSIDYLKQQIRQQNEN QQNHQIETNRRYSEIDALQKQQKKLEQEIMKNLTEAQQSSANFKSRVEKMEMELEQQKSN YQVTMNSQYKTQSDLDQLAKKQAEYSVAFNNKIEDFMKDYYTYFVENRNQVHDISKEQQQ TSKYVQSQYKQLEQLEIYKNLSEQSQSSLADRVEWIIKEMNHLVNQEYFKFTFDKEEKSR MEDNQFLKGAVQLLQSQVQVYNISFDERVKGLEMFEIQYRQQLNSFQQQMNSQTENYQKF FKETSQQLQSQNTALNVIQDFQKNSEEKISLIVNNQIGVFQTNLNNQMKKLTDQFNGLKV TIAKEMTQEFNYKLEDIRQQLLNESLKQLNIRLATFELQIQELKNKQYQKDAEVQVILSD NSLSQQEQLQNFIIEQIDMKMTSSSSFKGQKVVRRNSICLNAKGGFNLIQAGHADIQKSL VLSEQLSEQLEDMKTDIQMLFEMREEMEQKFKVFEADFSVAIQNFDRNHSHVLKLKSDIF NDTEYLNSQIKILFREKDIINRKFSLLLQLFSGGSEVAQITVAYLLKQLKDPIKFTSDLG MVFEFRNEICSYRQSNYTIEDLLKKSFQSFIDSWDKSELLEVREFNPHWYFNKYIYKNHA SLSKTLDRSFEKTIKCTTQTVVDGEEFVRRSGRQRNTSQKQSIMMSMDSTRQKKVTVRSM YKQQERDDSNECFPKVKK >CAK76775 pep:novel supercontig:GCA_000165425.1:CT868252:36426:38383:1 gene:GSPATT00012299001 transcript:CAK76775 MDQERRRRWIQSQQQRLDDIRSRRLTPTHHLKIDNIRLSKTEKIIQMKQSTIIRQQQSMA IDECISKGDCKLIFLQQQKEDIGFKTFSEMMRTNSSWWGKADQLNPNESTLKNRLQFNQF QANQFTNQLTRKWTSTVNEVTELINKQQELQQLQKVKKIKLKPKPIPQVEEDEQHNDDTT KQFDPSSTSIKVFWFNIQINEWKPPVREGCTVTYVPSLNKAFMYGGIGNDLFKNVIALNT QTWVWRDIGVGKGEAPPEGRFGHTATLYKQSIIIYGGEKKFNNLMKRRECYSDVRMFNPA EKTWTQLKTNGDIIEGRRNHIAQCVGKYFIIFGGLNPYGLVLNDACALNLENNKWTVLHI ENQFLEGVSDAASAALFNGEVKMENPYFSYECNKKKGKCPTVNQEGIYVFGGKTQNGEAT NDLRVIQFGFKPIKIVKLKTKGQVPIARYSHSLNYFYHLNALILYGGRNDSKEENILNDL YVLQLMQMNWVLVQQIGGLKYGKFNHCSVLIDSKIMIFGGYTKNVYANADIQLIELDQYK VSKMIKENKNNNQLSINSSDLLTNTNLKVFKTEFYQEKADQEKNETNKQYDTMRSSQVSL KSFMPLPSKSSSQLMQDYKLLRLRSKFSSPNIFQSI >CAK76776 pep:novel supercontig:GCA_000165425.1:CT868252:38410:41098:-1 gene:GSPATT00012300001 transcript:CAK76776 MSKFFQEESNSEGEDEAPKGGPEVDAGIQSLATRTRIIQGLIDSDDDQGQRVIVTEKEKR YARLREIIKDIKIKIKNQDFVTLLDKFEELNKEVEKSTKVFEKEGGLPRFYIRIMCQIEV LVLQFTAEQKKKLGVNNSKAYNTLKQRIKKYNLTIKDKIDEFINNPVTTDQSDDERKKKV RAEKKAAGSDSEPEAQQKEESSDSEDDDKYLLQSNDPMVRRRYWLKKKLPDKKDQKNEEI QQEKVNKKVIADIIDYDVKFDIAQNKEIHIDYDADSVKKKLEQIVSSRGVQKNQVENLYV CEKYIQHFIKENLQRAVEILLITISLQIDQAKSEAPLYFNRQSWLQIYQNTFILFSLHQD KGVKVEKLHTYSKYDQENSFSEFRFISTLLVNFQTLEVELNKAFQNIDYYTQEYAERLSD LYQLTHLSQKLLELLKIKNDTANVALMSFKQLEAIYYIGPAVIQKLLELQTNKQAATPLQ IFGNGDISSKIQSLVENILKYGDKETIVKATLYWVYNLALNGKYEQAHEIFISNISYEQV SQMKTLIQLYYNRALVQLGFGAFRQGLINETHIHLADLLSRDKVLKDLLSQNYMKQVEGE YRYTVPYHMSINVETIEFVYFLAAMLLEVPNINQDLFEDSHKIISRKFRQLCQYYDSQTF NPQPENMRDLIYAASKELAKGNWTKCWDLLKQIQLWTRIPSYEQVQQQIKVRVQEQALKC YLYTFKNAFSNISVDHLRERFQLSEQVTKTIIYKMIYYGEIKAIIDNQDQSFLIFVNDDI SNQTKRLASVIIEKLNQQCKNNEKLMDSKIGSFGIVVDKESVDQVNKQKKKNVTKNKKPP NATTKRK >CAK76777 pep:novel supercontig:GCA_000165425.1:CT868252:41211:42121:-1 gene:GSPATT00012301001 transcript:CAK76777 MQLKSVHQYYIKAKNQSKDQKGTKCKEYTQATASQISLILSKMNGKIIQDSKATSDRTKN NIQISPKLQNCLSASNLMSKTSQSPKLSECAFIDKKFAKECPSRINNEKVVQLPTEINSF LEMEERKRTLNNFKEQLSQNIMKIQHLDQLQTQVKLIEQQLHSIVQTIIQLKDSLCMELQ QEQLSYAQITQSISDSLYKMKDDIVHNEINIIESMQMKPFQNIMTIYKKRLDEHQSCVQQ MIAKRQFVIQFNQWCQQLMLKLQVDSRKANFDSEEKENCFYGSNKIAESPKFRSN >CAK76778 pep:novel supercontig:GCA_000165425.1:CT868252:42427:42705:1 gene:GSPATT00012302001 transcript:CAK76778 MKSITKTQFCESYHQYQIPIIEENKIKDESKEIDSQNSKLMSTSINHHCQDTFSPIQERS LTKIQKRHSFLFPKQTPGRDPYKIIMYMTKLL >CAK76779 pep:novel supercontig:GCA_000165425.1:CT868252:42786:49227:-1 gene:GSPATT00012303001 transcript:CAK76779 MEKLQKFVNEFYQKLNAKPDEFATCRIKLKQYLQQLNLQYGDNIQEQENQYLNQLSEENY SFLSIENDRGITVEYNEMKYFRKLADFIKKLKKQHLQSNYLPTNSPQIGRLSRDRLLEIL TQEVNDLICMSQFIHPYSGIHQQLKIIEQQINLLKQIKQFEEQVQMKSPLNQPNNISKEM ATLILNQDENFIQQLQKEGFHMTRISQVFYYQRYLLSYVLRSELFGLDLPPGFVIRLTLT NKPKRYQYYFVTQIKDESELNINKNEFAKHYLSLFVSDHLDHLVPKYFGTLQAFYGNAMQ QQITQYEQFKNPLFHFKKDIFEASYDLQEFNAIKPKDIFAAFLKFLDFLAETQIFDFNYH SKTNQDRIKSMQISEEVISITYKRVKKMEDTFIYIQEVIFPQNYNVKLIQITQLLNPLFF QFMRLETSKLQKLTEYEDFINKLNLEEVMHKLVDGYLLIKYNNQLRELKQEVDKQNKQVL KSPSFTVIEFFQKLNINYDISLINRIKLIDYLAYTNFYNLDTQFKNHQDEIYDPVIRNFN KSWFDYELWKQAFQANYQYAQSSSQFKNTFLVELLAIQFLGNKFQELNLNLFESISGIDQ TDEQCNKIILYLMNKKMHNIVGLGQLSIAESSLKHKKFNLFLKLLSIENNRKIRNNFFDY LLEDDDQLQKFHNQVFEGVEKYYPHLLGSLGWNYFWTQMTKQSGQYEFQILYKGSVHFTS QQYECIFNNNLLDNRQDLPNYLEVNLNTIINQNFDKHFALLNVKDNSLLFMQPNFPLQKY MAISLYKLISLDQFISKVELATHKGQIYSIYEVINTMIEGQRIRFTIAQYMLHHHFNESN LINKIGSQNLAYKILLSILLLPTNITPDQELLVPCGNYFAPVTTNFYFDPKHDNLINICG FEREGDKINLCCKNIYFLTQFMNEKIDPKYLSAFSNLTIILQQWLKQLSQLDLKVDKTQS ILILPLNKENMIALIHRATLIQQAKQNITYLDLLKQCDPNLGEYYQTLINNSSLNLIQKF NELSSTLPICLTRQDFISFRESFSFSKYPSSFQLKNSIGPSKGLKMVLKYTRLLTEVEDF SKKLQIIKKPNHFQLLINSTDWRNLKESQVSVMLESLLKYSQDYNQPFEYVNFSCLSYRQ FSQIFMQFELIRIKILNISYIDTITDSFINEICYKCSELHVLNISGCAGLIQLGVQKKLQ FLRLKTLLASELPNIKSIEMSGQFLQNLNVNNCPQLTQVYTINQLNKIQAKGSKQLQQEI VEMWMLQGIQVIFDDITSNGAFKQLANKKIIKVNEEESSFQKTYVEFMFSLLGDIYEKFL FTDQNSRSPLPTYLVKSGIVIEDQQSQFLTFLSKQLDDKGKKVYIFGIFQCLFKNQFINT LELQSLICHKSEDKRKFLDQFLIDLSSIIESSIGREQYLVNQTVKQFLQNIEWITIPSAS ESVLEKIIQLLQQISYYKQFIKVSFANLKMIKSSIIEKYLSNLKQSDKYLHFPSLDFSGN SLTVDNLYEIFNEGTFSVINIQHLNLSNAGIDDNMLISISKQFWECENLRYIDLSRNQIT SQGLNHLFEQALSDKVVKTPLNSLNLSHNSVGNAKFELLAKLEQQQWQNKENQMIYLKNL TLQNCFQIDQDISSFCKFLCQSYNLQALDLRRNKFNPETTQELSKSIGQNKSLSQLFFQN ETIDSNLMFEELIKSQTLQYLDLTLKSFKKEISYLFDKLITLSITLPTIDDDSLRLLTKL YQGSQTVLFFDLHILDQELKQRTYYKLGMSKINYFREKKEQKETMLSEKFVKQDTLRIDV SDYSYTGLSLDGGGMRGLLPATILNYLCTQMKKEPYQLFDSIGGTSIGGMLALTMAGTKD GQSSLVDKDGLIKLFTEEGKTIFEDSKRGVWNIMSKSKYDAKGIENVLSRHCGTVKLSET IQNTNVIVTAVKLQKQRGETVAKVFSSRKAKVDLTENFLMKDVGRATSAAPTYFPAAQIK SLAGKEYQFIDGGIGVNNPSNFVLEDLRKCMLNRDQDNFFLLSLSTGVAKQKQQLQVDEG LLSVGKIIDAFGESNQDFVDLELKRHEGKYLRIIPEYDLQESMAQMDCTDPKVFEEYQQA ALVAAESYFHKEIFGRYQDKSFIRWLEENTARRLESK >CAK76780 pep:novel supercontig:GCA_000165425.1:CT868252:49331:50520:-1 gene:GSPATT00012304001 transcript:CAK76780 MRFSYVLSLYLVMVSATMKDSKTILTEIDTQRSGRIFLNAIQIGLATGSPVHEIQSYINN IRFMIEQEQKDADLFIQNTQASCNRLLHDFATNLAYHQQQFKAHSKIVEENQRNLERSLN KIAEVSVEIEENLRKTNEGQSERDLQYSEFQSKQKDHIESISAIDEAYALIEHLSGGSSF IQVKSRFTKVLSRLQNQSTSAGLLFQPIISMMTQMSSRADADQAKKVLQLLANLRVQIVE SKSQDEDIEKQQSQGWQKFLSDLINERNTLQDQRQNLEQAILNYQSIIEESEGKVEYHQA EVERNQNNLDGQDQWCRQQQEIYQMESQQRVQSLDLISRIVDHIQDKIVTLKEYLRERLQ IH >CAK76781 pep:novel supercontig:GCA_000165425.1:CT868252:51279:53504:-1 gene:GSPATT00012305001 transcript:CAK76781 MTTNIQVICRFRPPIPGQVESQIYFADERSVLIENQQFNFDHIFHPGKQIDVFKVAAEPV IQGVLEGFNGTVVAYGQTGSGKTHTMEGTTGDDQGIIKRMVNTVFDYIEASPDYVEYRIK ISVTELYMEKVRDLQNIKKTDLKIREDKNHSTYIEGVTETSIADQSEIYDILKMCNANRM IASTNMNEQSSRSHMIFLMTVQSIDLRDQSAKTGKLFLVDLAGSEKVSKTGAEGKILDEA KGINKSLSALGQVINALTDGSQHVPYRDSKLTRILQCSFGGNSRTTLIITCSPAQFNLQE TLSTLRFGVRAKAIKNKPKINKEHTVEELKMIVQEKEREILVLQEQLSQFKKGIVISEED KEILKDIQEDFNSDIPNNSEQLLQMQQIMAKYEQEIEMFKQAQFISQQKEIELRNQLRIK EDEMQEKIQDYEHQYLRMETDYQKKLSNIQRTLSQKLGQNGEKDIFEDDQVLTLIDNFVK ERVTSKLKQITYLYKKHHKDQLNEQLMAFLTDDKKEFNIQNDQLAELHTQVAQLKKQNLE LQTDNSSQQELLETLEKTQQLLDAEKAKSLKLENQLAKNNRNYDEKCKELNNNIEKMLEG VHQVINEKQKYQRECQKLKKLIDDRQLKIFKLSEEVNRLSVENQKLFVKYDQLKELQRLE KNSMLDTSEVNSSFRQNKKIYKCLKGGTKNPINQQIIGKENVSEDEK >CAK76782 pep:novel supercontig:GCA_000165425.1:CT868252:53754:54657:1 gene:GSPATT00012306001 transcript:CAK76782 MANEARLQSLQNWKASLELKKILDSQGIDHQNQDYLAQLTYKIAERAFGSQSKNNLESKV CQMVEQQTCAICFELMVPPQYSPILLFPCGHSFCKSCVLDGTKLRIQKCSLCRSKISAHA INLGLQNLICTFAEQKQQIPKQTEQMAVQDHATMPKENAFTNQYRMFKLRCDILEQEKQQ LQIQTKELKNELVELERKVVSLQSEREKIISKQAMLQNNLDQVNQGLQTIVDRSKAITVK IYNIQEQMKILDETMKPLQQEMNKYEVLAKSA >CAK76783 pep:novel supercontig:GCA_000165425.1:CT868252:54666:55078:-1 gene:GSPATT00012307001 transcript:CAK76783 MTPNNLNDVINSKDFNDFEDGNTPNPKSCLKQIKKLDILSQFDELKKQDQIDDLQTEISS QKDLNKDNLQNEKYIIVSKFKEHQEEDEEEVTKLNISQISINLDDLEDFQCTYKEQRSQD ISVFDQISI >CAK76784 pep:novel supercontig:GCA_000165425.1:CT868252:55642:57711:1 gene:GSPATT00012308001 transcript:CAK76784 MFNSQKTAELCNKEKYILFIQWCKENGALISDEVQYPSAFGAQGYTGVSAKMNIPANKVI IAIPNKLIISHHKVLKSELSDMFKTHKQFFDDQITADAEFNCLALYIFYHKLQGDKSFWY PYLNVVEQHTMFEWRNRDLFNLQDQSLIDEFMYIQSEMDKSWYKFKGLMNKYPQYFGSLT EEQKDMFYWSNEFVMTRCFGWTLPSTSLVPMADMLNHSNTNPATYHLINRSIEQNGQPNN RYTLKRERIDLKLLELDFKVDPKYSIRNSQEAYIQTNKQLLVHEFEGQTSRDKINQINYN VLQLWKEKQAWELDFESSSQSEDNDTDSEDEDKVDKLSQIRKKELKILQEKNMKLDQQYT QKCQDIITSLIPKNAQYKQTQTNIQIKGKRTFEEDLEKDQDSSESSDDSEKDFDWYNDDD DQVYFCITTAGQIQQGEQIYTFYGRRNNRFLLLWYGFTMNNNKYNSFNLRLWLNPEPMAM NDQIYSKIIVTDIVNTSHLKLGQINGVPINQLSREIKLKGSKLQEDLIIYVRLFLMAYYI GQDANSILLTIPISIDFEIQVFDFTLKLLSYLAQRFKSPYQEDLNLNQNNLTCEEYFALN YRLGQKELILLQINHIMEALKLLRMLKQQPKLNIKEYFMQQSNSVEKIRALRYYIKYL >CAK76785 pep:novel supercontig:GCA_000165425.1:CT868252:57853:58239:-1 gene:GSPATT00012309001 transcript:CAK76785 MGNGQCCKKVDRVETLEVDNSPPQNTKHASPFEEPQQMVSSSDSEEEYQPQKHQFGVFKE RQKSSQLSTGPQYSFQTLPSEQQFSNFVTFQAIPSQKGIHNNVFSKFQKEMNQFANKETK NQQNLQKQ >CAK76786 pep:novel supercontig:GCA_000165425.1:CT868252:58277:60483:-1 gene:GSPATT00012310001 transcript:CAK76786 MKILNQLEQKVGVQREQPQINVQEWGIQLSNHLKLIDDKSSNWVKNKYTKNAIEIINKQK FSTIENEKSQLQTQWILRFCSKFSVIEPTSNWLNLWSIYMSVGLLIYFYFLMINLYFSKN EGDQEWYWDQWKQGYTGWLNMIYTFCMTLDILIEMHVSYFERGEYVKNKKQVYINYLKTG FFFDFIPLFVLYLCLVSEQVNESTIIRYFFFLKFYKLSIYDQRFQERVQLHRKSKTIYLI FKIIIEILIIVQLNAALFYRAGDEENFNWGTWLENDMFNGEIYSKSLQSQYFLSLYWSFA TLTTVSYGDITPANPLEILISTMAMLIAIFLFALNVQTIQEVYLEYKASKKKFQKHLIAI NRFMRDKQISVSLQAKIRKYLDHIWDKQRAREQKLEQVVIGSLAPSLRQELMFESYGMDL IKIPWMSDNFTFEFIKELSEELREVYFAADETIFLDKEAVHDDYSFYILKEGQVDLYINS SSATQPLVAHLRSGAVFGQYSFITGDGRQCSAKTRTQTTLYQVNRTTFINTLLKFEADYE RYCQLKDLVLLGKRYDLLQLSCFTCNLTDHMSNCCPLTHFTFESQKNVMSSQIVKNEYKQ QDRKKARRRKAKQKNIIVNADEFEIDEAQLSELKQQYVEKPNDSYDIDKVHSFKYYFPSQ NIDTFYFNQMRNISLNHKKYFTPPLRHQIVPK >CAK76787 pep:novel supercontig:GCA_000165425.1:CT868252:60943:62284:-1 gene:GSPATT00012311001 transcript:CAK76787 MNEITIPCSNCKQPIAESKHMLHETYCLRNNIKCQKCGQFYDKNDPESHEEEYHKKEKCQ YCSLESEDLKKHKCLKKPKQCMHCDLYFPTDQIFSHETQCGSRTQKCDICNNYVMIREYE SHVITCKPKPKAEPPQVQNKPSYSDIFDKPNYNKQQIDDRFDQQKFNSKKETSQQDAQSQ AFKKQQLQQQQQQQQQQTKPVGSGYKYGQAAGEAKNSYQIKDEDKNRQKDNIRPPSSNTQ TGSRTQKQPTTQAIQPKQQPGSLTNQRQQNSTQKEDKTQFDNQYEKQQYLNKQSQQIQPQ PQQQQRQPVQNQKSLESYKNPQNNKPQSYISNNRPDLRRPDTAIAREIQKQMEVEEQFGM SSEEYLEQKMLNEMSQKEKQEKAPPIKKAPPPPPQTVVPEKFPQYYDSKEFDFEGISEDE KLIQQQIMESLKFNQKKS >CAK76788 pep:novel supercontig:GCA_000165425.1:CT868252:62300:64651:-1 gene:GSPATT00012312001 transcript:CAK76788 MILKIRQLFSTIAEPVQGSKQWMKFFQNSTGIQINIERSLKNEANENLNYYVRQNYKTFT QEQLFQAYIKRDLQFEIQKQVQMEVLERFVADQMNFSENMLLSYLNKEAQQLPAYYSVIA QNLLLNGLKIFDQLNIYQQIEYMRLSIQSGLLHPDIVQKFLQNLKIDDQLIDSLSLLQFR NIMTLFEYCAVSPYELGLQAGFLDYFINKYIQKLSNFELVASEIMKYEFSQLMINNHLSF LSEEQYSEIKIAYAKILEKLFNKNYNFIVQRNLNTKDVAKKLLWIYSPDDFKLIQMSINH IITNTINQGEFKADHMIYALPLYFKYFSPQLYYISLKQCHKQISNYKVQKNDIKQIVYLL NSNSLKGFRSIMSDQTMIDEVDNFIRNSLITYLANVKDQELLIFEEALNPNMEQEVEFEF NDSEYSEILPYYQNYNKNLEIQNQLNQRQFIINLTEKFNVLQTNLQKNGFQRISTSKTNK RVLVLEPILTYIEALYYTSILVPDFQPQTKIFKTPQILKLINNLIYLPNLLEHLVQVNQI WPNLFNEQLKSLINANKKKIDEVYNTCQDCQEFIDKIEQQLKKSELEKSRKLHYRNRTYQ GLLSIFNFVRSKPHTKLSPILKNPFEEYSIEETYSNQEMNMFWKLSQISDNVIQHTHFKE LVWQALKLDKNQWIMNYSDFPHIADFACPKQKVVVFLEEYPRLIRGLDKVYTAETERAVE QFSKLGYQVVFIDYNVYHPDTVQLLSILKQKFLFRYN >CAK76789 pep:novel supercontig:GCA_000165425.1:CT868252:64662:65988:-1 gene:GSPATT00012313001 transcript:CAK76789 MNEKDTLSLLHTKRERMAQLHSQQIRNKIFEVKRKQFVRSRSVQEISKMLQDSQFLNEGF TSLLNLLEEDQDEINFAYLLFYWGILDDLVEVLHNYMKLTEQQLVDLTKMIAFIVESKDA SHYFINTKAPQILEILLANHNNLLIIEHCILIIGNLEKYEVNNYFLTTVIPRLKNYLFNL NPLPVQCLLNFSWMIKNRNLPNQDEIQKDLILLQNEDILYEAIQHLESLNIDANLMGYLI MNVDLINDVQQMQPKLLLNLICENLNKENAIKEITNLLNKCMQMGKLMILQSAFKLAQNY MIQSGMPYFNEIVEKKVTQLLIIFDQDKMKNQGLLRSMMQYLETFHILYPESRFSIEFYT RLLDTIQASHLRLLSIVMTRNQEFIQNIANIGVHKRRLQELANKSNEAKELLKKIYL >CAK76790 pep:novel supercontig:GCA_000165425.1:CT868252:66002:66963:-1 gene:GSPATT00012314001 transcript:CAK76790 MQSHKSERSYQDFLSANESDFLNTYFLQLVSDTIPQYVCQSEVSMRHNLGLKIDIQQLLQ QKRNLHIKPNRYMFSLCDFKLDGAMQLLRNQIQKYDFQENEEKKSSQTRRKLYQSLITSS FSDDIPICQINSWIVLNDIKLELKKGDILLFIVKIKASSYTLNRLQTLIQNEVQYTKSKI SDYITKFKPNIYPILILNADINFPYQKLNCQAYYIGKQNLMYHFFQQLRIQDAEAITEDL ITKYNINRMSQARLLIQKEALEYKKLGKNIDILKLTTFGVAIALGLMYFFKKKIIK >CAK76791 pep:novel supercontig:GCA_000165425.1:CT868252:67166:67701:-1 gene:GSPATT00012315001 transcript:CAK76791 MIYPIITAIILTERSTQYCLYYHQKEDSSNLKAQLDIQKLLFWIIPIFLYLGYSFTIIKQ IKQLLAEDDDKKELYGEVKKLIKQIFLFPTITFICTFSFTIEDIQSLFSHRVGSIQTTIS FVFLSFWGFFNCVAYLSQEPVKQQILEWLRMNKQNQQCTIKSYIQRVPDS >CAK76792 pep:novel supercontig:GCA_000165425.1:CT868252:67886:68134:-1 gene:GSPATT00012316001 transcript:CAK76792 MIDNIPVIFCSSLSLIGQLLIVLLFMYSQKLRQGLIPRIILYLTFSGILQVLNLSIRLLA FYVRVLRIQNVLFLPLFDCTED >CAK76793 pep:novel supercontig:GCA_000165425.1:CT868252:69181:70166:1 gene:GSPATT00012317001 transcript:CAK76793 MIIIFISFFVAIAEQTTFYGTTLNIFKNYINVQQTATSFLNKVHERTEQHEEIFKKFLSI LERIDDLYDQQEKLLIFNDANIEYVLKKTRKNYNDKINQISANIDKNTEQLERLEQNLQK NSTASLKIIEELKNLSSLIQEVLKDNFSVNYQKVIPFLNEKIQSQYFTQLQKLKTLDYQL LVKELEKYSSFISQQTIKESKKRDLANCYSLINSVLKQALILLLSEKQQQQYEMSIKALI IREDNKQLTQQLQQIKRLKRLFEDSQEFKITLMKQYRENIKFVQFKKKQFREQARKIIEV NEEKTKMFINEENILNQYIQ >CAK76794 pep:novel supercontig:GCA_000165425.1:CT868252:70240:71652:-1 gene:GSPATT00012318001 transcript:CAK76794 MLQELNYSESDSNSKKKRGRSCNDRQGKIQKVKVASSQEKFEFEQQYQLFQQFYESSQNV PIDFLYLKEYFNVGFNDCPTDAEIYKIQKKLHSRRFSQSQKKSWSFEEKKVLVWVIGKYC SLKQRNCRFLNGDDFNEIAQYLFRRNIENIKQKWSSMLKTSLITQPFTQEEDSLLSKLYD KFKNDENRWKLIALEMNKVNQTYRTSKQLRERWINYLDPSLIKIKDPWTDREDLELIYQI QQKGKKWTDIAKQLKRNENQVKNRYNCLLKRDEVDDDLNKLIDKILWRISKQPIDEIKNS EKQNNQNNHNQSLLLAVGNIDLITQEESYELTPCMVNLKTNQIYFTPIYLLQEILQYQQL DVRDEFEKIKREMEKFEPPQFRVASLSVISEEYQLPQILNNQQHFIQSFSDMPNFESQNQ PPSIDIKSYLSPFFSIMNLQQKYFRHRTDLTLPKKRNSLPWKSLPQLLIC >CAK76795 pep:novel supercontig:GCA_000165425.1:CT868252:72745:74284:-1 gene:GSPATT00012319001 transcript:CAK76795 MIYIILGILALIYFITQPLIVVIYFKIKYGKRVIIQYAPMLGLLRTLSQSRAINGDAFQA LSVLIKNNRQADILVTNLLFRPLIVFLKPNYYKIFMQQHEAYTKFPMIVDKRLVSKGMLM EGGAKWKQQRKLLGAHFEYNKLINLIPMINDVAEKKLSGLPNSIFPAMNLASSITGEVVL RAFFGEDIAKLSLNGQDPSLCVTQLITRFGQLITESRFFQIKRALLEEKVFSLFPRKIEK DLFELNTVMLDHMKKAIKGRMEKLKNCKDFVHQDYLDVYLDAYLNKNDQMDPPITEDEIL QQSITLYFAGTETTANLVTTACYFLAENPHLQQEIYQEILEILNGESIITAKHLQNLQKV TGFLMECLRLKPVAPMLISKKALQDVYIMDIFIKKGWYVNYMVLSNAQNQNYFPNPKQID ITRWKSDGTLKDSTIDPFAFVPFSAGGRNCIGQYLALIESKIVISKILMKYKLKLNEKLI KTEWIAKITYGLSNQDYITWEAR >CAK76796 pep:novel supercontig:GCA_000165425.1:CT868252:74291:74755:1 gene:GSPATT00012320001 transcript:CAK76796 MINQQVFEQLNSILFKQKFENQIKNMCPTQKNLEFKFIVLKKVETQITKTYKKITKYWIA DETGSAFLNVHDMDESVINPGDVCVMVGAYTNLFKGMMNIHQGKNGIFKKVSEFDLQYST YPNHSLKNWGNDQQTTQI >CAK76797 pep:novel supercontig:GCA_000165425.1:CT868252:74809:75828:-1 gene:GSPATT00012321001 transcript:CAK76797 MLRKVNSNAITVHPFANCISVTPNTNERPKTDRIKPKYLISDKTADTLTSSITTLFHPPM PEAKNFVVSRSAIDKYFYKFISPHRRFSEKKNGNKNTSPQNDNCTPFKVSSDIESFRNSV QNIDNDQFSMSHSGTSNKDHQNYLKKLFCNSHQKPKSLNIRQEKIKSNKHFNIPDEDLVD LNQEQDQIESLENQGNFIQNHIQEDPEQITFQFYNPIETPKMQDQQDQGNFEIQKFDSLQ IIECSVKQFRVKIKKTILINQTQNQKPEIKQNQISEILSEIQTEMIQPNNYFQQQQELED TMFNQAKSEILNPLKKEQNVSTLNTKKGGSSKCYLYFIF >CAK76798 pep:novel supercontig:GCA_000165425.1:CT868252:75846:77413:1 gene:GSPATT00012322001 transcript:CAK76798 MANRLKAQIQFSDEAPEIEHNEPEESKRFEDYYQCLFTIGQGAHAVVKTAKKKNSEDIYA VKIVRSGDQEIQNNVRRTFNNTRCLRHPNIAQDIELYINEKMETSYLVMEYSKFPSLESI IKKRSLTSEELKVVIKELLLGIQHAHSKGICHRDLKPDNILVNLEENSQPPNVKIVDFGV SRRFLSKGQEIEMLTKTGNIFYCAPEIYHKASYSKEVDVWAIGVITYQCIFQKLPLHSNE IHDFIELLANPNQWTFQKQLNTLEQPLRNLIISMLNPNKNERITVEAALRHPFFEICTIQ DVMAFLNKLNTAQDGCCKCRSLQSSLRLDDQQWGSVISKLQSSQNDKKEDEIMMNELLNS FTDVHIIQKHGGNCGFIQLMNSISSSTALMSKLGSKQELLDKSLGFQFSGSSNKLSYQDQ VDNFSKIQSSIEMKDEYNNNKFLTQLGAHLDSSIDVTTYDGSLVQSRYVPLQDQQVVPKK PINSMKNMFDNLQIKEVDEITEDQF >CAK76799 pep:novel supercontig:GCA_000165425.1:CT868252:77483:78464:1 gene:GSPATT00012323001 transcript:CAK76799 MKQQIQSARKPGKSAPKPKASLNNQSSNSKKEITNESNGFDASKQLLIQPVPIENKVQQN CLIEIVELANSLKDDDSVSGLIFKQIIVSIEAKLTEFQERLDNRDNLTELNTHLQNLQYI QASPNSPNRNKSYSKKDDSNNDTSGLLRNQSQISFVQNDNVSIMSAQDKMKSPQGRRSAS KQNSVIIPNNSQQTVSDNNKIAALEKRLRTNEDNYVKLTREFQDQYNTNNQKLEKTIKAL NEKFMSFSATNLQQQYIEITESQKALISHLHQQSKEITQLLPTIQRDQQYHAQIKRDSRI LKYRDIKQNRALLTNAE >CAK76800 pep:novel supercontig:GCA_000165425.1:CT868252:78629:79540:-1 gene:GSPATT00012324001 transcript:CAK76800 MSQQQSMQKSATQSTLRTFTDYGGQQRLVNYANLQRNLSPQAELCLAKDYNSKINKYVKQ EKTACTLPSECDKKTIDMCKENLNKIATLQKNNPTLKPSDPITQESKPLIENKSHIIKTP LSDVDNLWNFHNNPLAPRQNPNSKVNYQTQQPDWKPSQKSIQPAPAKTKDLFEKRELKVA EQKPTDRNPILEDNQGKQYGKHESYGKSDHLECKKLLSNGYGQELKYKEEKNMAQKPNSF QQSERTFNYSSVNQGDYRKKPSEFENRNTFFTRR >CAK76801 pep:novel supercontig:GCA_000165425.1:CT868252:79738:80440:1 gene:GSPATT00012325001 transcript:CAK76801 MIKHYLTQSSSTKHNFSQKLQLQKLKRNKLMVSKAIDMLQNPFDPSQVSQSLKFLENQTQ RKALLDQIIGIREERKRKQEFEKMSSMRGILIKVQKRFTVVKNQDIKFNRDFNDFKIVQE ERNKMEKLQYENLNLQINERKTQFKRMSSLNFLTRESDNHILKHQLSLDPGAPQSSLVLT RKTQRNTTLLQSKIANKQIPTECNTEKVLTSPQNCLIITQETDQE >CAK76802 pep:novel supercontig:GCA_000165425.1:CT868252:80494:85105:-1 gene:GSPATT00012326001 transcript:CAK76802 MITQTIKKLFYLSAIADHDRSDNLRSSWNKIKIIIYFIQVISLLFIDNINIYFDNYILVL ARFITFQQIQNSYYQTLVLIAILSIHLIMLLMISLLLRIKTKILVNTIQFYLSNYDWLFL IPSQIFCFINQQFVITVLSILVLFLSASQYIISIYIFRNSGFITKNGRAQNITFDLIYIF IFESIIFLRIGNLEIITVIKYFLVIAIVLVKILEFITIEKFSDKTVQSFYQIFIIITFVF IITNQIHIYIQFYCYFRYSSILFQLLNFFYAVEQSHRLEAEYFYYNAIQKFDPQCQIKAY QQISNHKKKCKNITCPCQARIRLENKQEIIKFTAQILKLEQQFCYLKYISFQIQNNNKGF KNYLQIMKVVKQQKDIGFSFYDKIMIQSLLEIVCEKTKQLYKEVIPNKFTEVVYSLQWFE SNCERIKNQLLILLNTKLRLWSQYVTDKIESYQDMYEMQIKITYEINKIRQALREFQYDI QIFLNQEINLTRNLFYQRVSQILELSFYSVNKYLLIEKRIKKIVEFEQGQGDLLNYQMFE NQKAMQLLVVISKHQRGRIEKVSNKWLNIEKFEHLSNIMPMQFIELHNQLLDNYCRFGPS KSQIFQTFIKYGDTPLVSKVKICLNNYPKYDHEKLYLMGTLVKDNIEEDQDYILVGQDFQ ILGYSEQLWFKIFQHLKFQDGFQELSIFQILPEVKSILKEHYENMQKYNHSFQNHEKIIS HQQKAVLYLNKTMSKQMFNSTNLQEISPQERLDKIESLSNNYINYENCELQLNMMFSLTE NLISYNTKENKINYIYYWVTFNFQEINSLLDKQFNQQLSYEVQVLEENKIKKIQFNQVKI NESITKTSSSMQKSNSEILSFQQVIGIVRQLTAYRLLMLLSASYILWTIIAFILLYQQIW KKEIQQTECFEQLDYSTSFLDGYGRAMIASRHVIYLRDFNKFLTSYNLYPIDSETIINVT SVDKISFSLYIYKQSIEELVEFFQKETAKLKIYDQQNQSVDLIRINFYKNQTEIQTILSL SQYYKIMLQTLYMAIKSFAKDPTLYLIGTTDTWAQVNTRSILYFNFKRVANITLNFMKQC QIDDKQINDQLDFNLNVLLIIIYSMILVQIILFIILYSAIKKKQRFVLSLFCRTSLNEGI EEIAFIQKLLNIVKNSKLWFGLYEYDDEEENKITRSYSGKAMKSHISHHLSSRVLLKLII PLVIISYGSFLTLHMNYIDYSINLSPIVTAALKTQYIRLLFLDTINNWDVYVNQKFYESM IAIQPTATGRYKITSQNINHGYQLLRLTNVDVDALKANLLELQSEPFSNMIYSQTLSVDS DSLLGQDICLMEMFNCNMNQTVYKERVHYQQMYAIYEVGIINLFKQSISVMNQNDFFSLD ENYDIINAFERLEKSQEYFFYFLWGFDAFLYQMRLFSEFFIDLSKTQLQDLSFNIYIIFY LFSIVTTLSLAMILLFGNIAIQQEFIHTLEMLYQIPKQTIINKQLGRQIKLL >CAK76803 pep:novel supercontig:GCA_000165425.1:CT868252:85249:86779:-1 gene:GSPATT00012327001 transcript:CAK76803 MFDKLFRQFSQFYESFNPSYFIIAVVAFSQGVQHLADLSINYMLKDDFGLSPAMMGLYLS YTTTPWIVKPFWGLITDSKPLFGYRRKSYIILFGIFDALGWIALSKYGTDNLSSALLLLF LIQLSTCFVNVVGEAILVEVAAQASRQQTNFQHGASKNVSIFFGVRAFGTLISAFFSGAL LHYFTKQEIFMMTAAFPSLLVLVSFFYVEEKVDPRALDQNDRRNNTMQCIKDFWTFFQNP LIYKPVALIFCFMMAPSSSTIMFFFYTQVLGFEPSFLGQLKFVYALSTILGVLLYNNYLK DVPFKKIFITTTILYYFCYQSMIILVTRKNVEWGINDKFFCLGDSVMLQLVGELNLMPIL VLACRMCPKNIEATMYAMLMSTINFGSTLGSQWGALFLILFGVNQNDYSKLWLFIIVTGV FILMPLPWVGVVIGGQDPYNQGISS >CAK76804 pep:novel supercontig:GCA_000165425.1:CT868252:86851:88532:-1 gene:GSPATT00012328001 transcript:CAK76804 MFHSETLQEHILYDKIYQYLWVKSETSNPPSILIPETVILIRSMPIYWYFTDRGSGEVKR KMHKNVNKENIKESWLNSVGKSGVVGYLLHFIENLDLNYPNEKLKVCGQIQIIYFDKEGF IKFMDSNVELPFGILQKYVEAADDKNSQIQALWSKQITLFTKRTTKKSYLNKSMNIYERL CTFEGPDYLSEATQVKDFQSQRISEQIQKMINHLDSISFGKLNISQGIFYFKVDRQAKCW FLFCGNLKFDGEKHLKDCPKDLYQQAQIKIPKSIDGIMSVYSQKPQQLNKESKCIKCGGV EKENNFILIPYHYILEYDQNTIQPQNWPNEIKKGATKIKLVSTSSASTDLLNTISQVPLV LQKVHEKLNYQSFDQYKNHDGFLHKTLQVCLNCYISLVAFQEKKQKDSVVNSISPHMKIK KSLGGFTSAKSLQQYLRPDIKEKIYTQASDTCRKYKNIKIRPVQQYVQQRLQSLSPTQKS SLDFPSSPDLLSYHTKSSTYELGSNNRKLRNCYNQSLQVKLNNLNI >CAK76805 pep:novel supercontig:GCA_000165425.1:CT868252:88816:89121:1 gene:GSPATT00012329001 transcript:CAK76805 MFVIKTRKNSHPQPFSYYEIKIHEVKSYPSQRALVSEQNQQNYLKLRLGTANTNLISVQS RSKTLAHMKKEKVMPQEMNYNLAYKKIFSQKNLFQLMGGNI >CAK76806 pep:novel supercontig:GCA_000165425.1:CT868252:89529:90993:-1 gene:GSPATT00012330001 transcript:CAK76806 MQSLFVKCQKCQQRPATIKCNQCRYGQTFRLCYSCDSQIHNRSGPIDQQHKTEIIPYQEM YQKNQSIVPVPQKNDQKNSFKKNEIKPTGQVPTKDYLSNDSKKQDYSKTLDVNRVNNKHD YLEKKIDSNDKRVDQPYSSNQKFNLDQDRGSQSIINQLKEEQQQAERLRVELSQARDKEK EFQRKLQKVEKDYEQRSQDDREKIQQLTDENRNLNNKLSQANKHLQDEVNKVKKQSQDQI KMLERVLKEKTQELENIAQEYNLEDIQSMMEQLQQESSMKDQIIEQLQQQLQDNQEAVNE LQDRLASNTKQKQQSSNKKSAKSQDNEKDEVIQELGQQLEAKDEEIHKLEDLIENFKQLY QHMSDEKQQLQEEVEKLANENNQFREIFSQNLHLFGIDPEQLNEEGEEGENEYPEEIAEE NDEQND >CAK76807 pep:novel supercontig:GCA_000165425.1:CT868252:91191:92207:1 gene:GSPATT00012331001 transcript:CAK76807 MINKIKEYMSNTQPVVDALKDLHIYSNDNQFQSQQLISNRLRSFFETQQSKKLFLQSLFE VVQKESVQEGNLQRKFKILLLLHIILSSQVGRSELSKILISKQVNLKTQNPISSKLGVVC QHYYHYLYKLASQTTFINEEVVDGDLLIYFTLSNQCYIGMGMQKIIENVDSFQTNPLLAN IIKFIYYDLQDIFIFILKDIKCLIENKEETKYSREQMLELYKECQVLQKRMLDFYRFNRH FDHFQQIMPPYSLAINKESIGKLLNSQPKMNSLQQIMNQQESHHLHKQQPQTSKQKNSIK FEFKEMKRQQSDSIQFSFSN >CAK76808 pep:novel supercontig:GCA_000165425.1:CT868252:92208:93287:-1 gene:GSPATT00012332001 transcript:CAK76808 MGQVVEADNLGEEYKGYVLKITGGNDKQGFPMRQGVLFKGRVRILMRKGHKGYRPRKDGE MKRKSIRGCIVGQDIRVLALQVVKKGANEIAGLTDQNVPRRLGPKRLTKLRRLFGFKKAD GVAIVQKNLIRRTWTTKDGKKRQKAPKIQRLVTESRLRRKTIQKKTEQARRTKAKQALEA YKKLAHDVHEAHKKHRKASSEIKEKVKEQPKAKDTKATSKPAQVDAKAAAPAKTTAPAKT APAKTAPQPTQKAPTTTTKAKK >CAK76809 pep:novel supercontig:GCA_000165425.1:CT868252:93380:94948:-1 gene:GSPATT00012333001 transcript:CAK76809 MNKKNKKLSRYRYCAMVGSMNSYLQVLLQNKIIQRDRFNAYQSKKDKLFARIVIPEIQET GFKLQIHISTYYQMLYPFKNAVFFLSKSDLDTYQMDLRQKPLNPIKLLKLCMLLQFEQML IVLETDDQIEIFKIILKEIEQQIINASDQNKLVTNFTYATNERFSFDLIQKLPLNPDLET KYLETNFVVTNVIDNVSAEVVVFNGALFKGQKLYIDKEAIIIQKIVSFNREIALALQGMI VEITIDKKIQFQEGNVGCYNQILLGEIHIEVFSQLPIQEKPLMCSDFFYQINNWKKAVTI EKKQKKLSITLQFSVKRQLMSLSRWPNIISFSNLNSQIIALGIVRQIPKVALSPVLLIPT YGFEQQFIEENETLRKFICRCRSCKMAMIDTVMIPCGHVRYCSGCADMMRECYYCDQKSH CCGVIKIKECNPNIHRLLIKGTLEEEFVDHTNFQVLPKLVQFEMEQQALSPNLYFKCVLC FKELISHVLVDKNLKYQFVCNYCANQASRQDWNIVKIQYQCL >CAK76810 pep:novel supercontig:GCA_000165425.1:CT868252:95519:98191:-1 gene:GSPATT00012334001 transcript:CAK76810 MISYLDFQSFSTTRQEVSISLNLTFVSINQQLKGKIKEFYRDIKNNMNEIYEECFKAQHH LQEIKDLIKLVLKQFYIEEFYLIQFEEGHQCVILTNNFDFRFFKEEYKISSWAHKNTAHQ LNTTQTEHYTLLKTHNLPKQYIISQHAVLFLTFSDSAHKTYSQYFMATFLYDKFITLIYQ GYQIIGLKKMQSVRNEILQLVSKTIQLYNYKLMYEILVQIMQQFNLQNLLCQFEKELTKQ QTVSNYLLILLSSNLDIIGIELEGNLSLQLADKVKSTFKKSIKKYKKKLKSKKDLLESFQ QVTQHNEMIMCLFFNNFLNLYYMTVQWNHDQFVSKYSLPKEINYGEPIYRIFDQNPTIIQ KVKEIIDSPNDHLEYNDGFFKFSLKIERGFKQQIKQISIFLFNIQVKRPLEELFRIFRSK VKILLTIRKATLAFKSKQLMMENQFLRQSALVMYLPDQDRRRITQTNNQDHDQDLEKYRS YTMKQATKKLQQFLNKYDEEDKTNPELRKIAIDPNLQQKLLDYEFNLLNKKMYKNKYIIA YNLFQMCEYIKQYPLLTKEFINFLTVLKYKYNKKSNPFHNFTHGVNVMHGCYLFGHHQKF GTYFSDLQRFAMTFAGLCHDVDHSGTTNLFQVNAQTKLALLYNDKSVLENHHVAVTYKIL AHKQCDFFGSIPKQDRTTIRKYVVNNILATDNQYHFNLLNDIEIRFGQSKGDPKIFETEE NKLLLSGFLTHAADFFGAAKQQQVARAWSERLRKEFQAQSQLEDIIGIAQTPYLRNLDNE VQYAKNEAGFLKVIVKPIYESLNSYSEGALALQLANINLSIKKYEEIIESANQ >CAK76811 pep:novel supercontig:GCA_000165425.1:CT868252:98502:99685:-1 gene:GSPATT00012335001 transcript:CAK76811 MISDQLRLVLSNNTPNIIGPQLSRKTVLLKRKNKQINNSTLNQFPQKGNQDIASKGDKSQ KTDKSDAISIKSNSVVPSQISNPIPCISQDLFDGVEIPSTDPIWLEIIPPELLQETTIEK LLEDNKDYLYNLLGLYLQERGIGDLNMSRLVLPQKLQTQYSKDFTLKSADRKIGIDSRIY EDYKIYSSQNQNTTTYKQYYQKPTQNDIIQSFKPTSERSVLAMAALTTYKANHINFKSPE KPEIMKAPSSSTTGKLQLNGNSNYNQDYKWHQKYERLPNFKNSYSKLNPISNSDIFFTKE RASIDFYPSNAEQRINQVLPTPSFQGQFMTTFKHDYQQFDQELLTPKIRNWRQNMVNKIN SVRERSIQQ >CAK76812 pep:novel supercontig:GCA_000165425.1:CT868252:100006:100292:1 gene:GSPATT00012336001 transcript:CAK76812 MKVLIALFLISLVLAEPNLVGQESQAKIHTFLGKENCSDCEVKGGSYCEIRTNTYVCCRS DDHCQKGVGCLQAFTGIIC >CAK76813 pep:novel supercontig:GCA_000165425.1:CT868252:100299:101669:-1 gene:GSPATT00012337001 transcript:CAK76813 MYYPYDFEESEENVSEPVSVSDDDVDVYQDELFANHFYHTPSHSISSKNEDEEEEDDVID QSRIFNYAEVKVFLDEVICPICCQIIVNPRVCKECDQSFCGRCIEKWFQNSNQQCPCCRK SKISHSNAYQNLGIMEGKVPKVLLKLLSKLLLTCRYSQDGCEEIITYDFREKHENNYCQY QQLDCPNQGCEEIMFRKDLEDHYLECQYGSVQCKYCSEDKLRMEIESHLYECPCRPILCE WCQEKQQAIEFNEHLELCEFKDIFCQYCKKKYKRYDMKIHTPIFCLNNLYENSLELLQQK DERIFELQKQIEYLKSSKLMEQSDLNQSTYEVLSEKYKQDFEEDVEEVIEEDIQIEESDQ ELEQQLDKANQNQLSQENYENLDKRFLKEGKQAVVEIQPFKNESMSTRDDQLNNLWYCSD VEINQMIDFFNI >CAK76814 pep:novel supercontig:GCA_000165425.1:CT868252:102522:102833:-1 gene:GSPATT00012338001 transcript:CAK76814 MVLIYSRLQPSAQTMKLRSQNYQGNVNKQGKVPKSLIKKEENLPVGPILLGVFLFVVVGS ALFQILNVASSGQEI >CAK76815 pep:novel supercontig:GCA_000165425.1:CT868252:105463:106881:1 gene:GSPATT00012339001 transcript:CAK76815 MLQQKKQQTAHLNPILWQPLEKLQLTHLSQHLIYSGIIWIRSEDQQLHEEQVSLYADRLQ IYQLFCNLSQTIIRRNVQTIKLIQGKNNTEIFFNRSADLDNWFNMLRRYTIQLNFSKDYK LSKRLGYQDPQTQIYQAKCFANNTNYTVKVIQKNNKFDPKLIQKEINILRRIKHKNIINL VEVYEDQYTIFLVFENYFGTEMIYRFEDLLNSQEPQYARIIYKLLEIISCIHSLGIIHGD IRLENIYVKSDNLMDICLANFNQAEFIDSQEKQIKGSEGYQSPELLNGKPYDQSIDIYAV GIIFYYFIYQVMPFSESQEHAQNDNKSGQIEFPQVRNTSYAIDLLKQMLQVEPKQRIKPN LAMNHEWFIKMKVQEKLFKQKSFKDFTLPTILEKSDIFTQSPGQQPKRESIGVFEDEFLL ESLSDRMGLLKNAFNPSKLNHDIFQKKQK >CAK76816 pep:novel supercontig:GCA_000165425.1:CT868252:106948:107318:-1 gene:GSPATT00012340001 transcript:CAK76816 MCDKRKRFNSALTFEEFVDYHNQLPDTPQLKPIKQSAFFIPELDLANDVSQTQLNIPLET ILEEELTSPKEIIKAKKSIKKRQRFFSENSQYTVINILERRLQKKKSYIPTLFEL >CAK76817 pep:novel supercontig:GCA_000165425.1:CT868252:107548:108501:1 gene:GSPATT00012341001 transcript:CAK76817 MQYQQANINAEYLNDKAQYQQPPQGVACDWTTRSTIVYSLNNNRYQYNPQSQIQYPQQPY VQYAQYPQRVQYGNGYQQQMVVLPQNALVVAVQPINPIVAKEMALRSYTRYFYGCNCCIG VLAMIFLIIRCVASFQQGGAEAAAGVMYIISDFFFVTGAICGIYSISRYVERLLLHYQVL LVIALIFEIIGSIIIFATYGDNEDNDDNDNDSDGDKDNRRSVGLIFFIVSLIIVVICYGM FIRYARQIRYMMIDLNITRANLQNQNAQQVPLQNQGIVYGGAPQV >CAK76818 pep:novel supercontig:GCA_000165425.1:CT868252:108588:109073:1 gene:GSPATT00012342001 transcript:CAK76818 MPIYNEVLGGGSQTHKCSVDFMFRNIINLQTLTKDHVKLLLDNLKIELLNTNQSQYQLIL KANQLLACHLYDRMAQHWKKQFGLFEYSNVPECLDARNYFQLCVRMNASYCLAKKYFPEQ IIFNLNIDTSQPMNILDQIQTYRNQDSELFIKHQLIISIIR >CAK76819 pep:novel supercontig:GCA_000165425.1:CT868252:109144:110809:-1 gene:GSPATT00012343001 transcript:CAK76819 MEHQNYCTTENGVRVCNVSSTLPNCAAENILIQERKALWMSLAGLPQYITLDLSQVQERP KFIKCFGFDCWHDYQSNPSVIELMVSLNGENFITWTTLYPELKQGIQLFQIDPLGTRYQF IKIIIKETFGASKTYLNQVYLLEEYAMISTESVTESQQQTENNNSQYKQQFEKEELEHHE RSDRMDRFERMERLDRNERNDRNERNERNERQDMKINEYHDLAQKIHSMGREVASLKQSH QQGKSSQSQTKQGFHKSNITEEVPDSFQRLTSTERMVLDIQSKNLNEINVLKKEVQDWKD KCEQLESQVQRLFKLVTHIKEKQQEQEDSMHKYIQQNQSEVSFDANKKQVYNNGQVTSQQ TQQSQQMTTTQQPQPQQHQMIKFEEVIDKKITELSGNFQTLFQQQESKFKNQQKELVKSF KDFIQQEQQQDKYRDYEKKKPVSRGRQKEKSASKSSSVKSSHSSSSSSIINFIKSKKGQS KSRQHSKSHLKSQPRSRSPADSKENDRYENNRLSPKQRKVATLLGKLQEKLQKRVICVID QLGQEN >CAK76820 pep:novel supercontig:GCA_000165425.1:CT868252:111125:112556:-1 gene:GSPATT00012344001 transcript:CAK76820 MNNLQLTPEEDQYFRQLWSTFDPQCIGSTNAKETVLFFKKSQLPADKLKAIWLMAVRNEE NKLYTQEFFTILKLIAYCQNGFELNPQLLIENKQVRLPVFDGFPVPQQVNSSPMLGAFQQ SIPQPSQIPISQMYQTAPVLNQQQQYKPPLVQMAQLEISNQFEISNELYEKYSLHYQQQD KKQQGYIEGESAKAIFSKSELSKEVLTELWALVDTNQKGFLFKNEYIVAIHLISCCRKNI PLPIQLPESLQRLISQSQPQQPIIAKEYQGQYDVSQISQSKDSRVQSGQQGQYQQNNELQ ALTMQQQQLQNQLSQLVMQFSQNSNNQAQKQLIQQKENDIEFLIQQMNKLQLIFNNLKTQ EQELQTEIQKCLTKPQTQKPAPQQPQQQINYSQSTYLPQQLNYPQQQQQQQQQQQQYHEI SNMNYSPNLNISNNNNYPSLSIDNTIYQLKDGYENYQNQNNASQDIPW >CAK76821 pep:novel supercontig:GCA_000165425.1:CT868252:112857:114389:-1 gene:GSPATT00012345001 transcript:CAK76821 MNQDDHNSNEHKVEKQLHYYDQEWPQQPPSLSPRFTPQQCYLFPPQNMSNFNLHAEPEFQ DKNKPKYPFRKLSAGDAPDEEDQFRQPYNPYYQQVPPQMKYPQNNPFMQMKYPVPYPPPW YRHPYPPFYYPPFDSSTSVQSQPTCAILKGLSPFNAIARKANVQNYTANASPTIGSAHRA AIAPNAKIESTIPMKDQKPSKKPYSEIQKPSLRSSPIMANKHKSSQNPNRRKNKARKPKK DAIVRNQNAKRNIVNAIVLIKNVLICANAKTVQTKRNHQRNYLIQNQIIILQLSNSYKNR KLSKKKIRSLKKSKKKKFKLKSILKIKKRKKRIDNHFYVYIIYHCKKSNRFLHIKLAVFL GNIYLRVIYSVEFTFQIFHNFFHLLNNRTQHQSITNNTFFIVFIIFWNWLLCLALMKNFE TNNQFPNPLNNLPCVQVNDRSMPKILKELQTSHSQSYKLPRKAQIHTSLSFPLEFYSFSL FYPNV >CAK76822 pep:novel supercontig:GCA_000165425.1:CT868252:114413:115102:1 gene:GSPATT00012346001 transcript:CAK76822 MATIDKEQVDMDNPAFAQQDPHLKINDDDDMGLPPQFRNRYPRRPPLFDGLLNNVRAVTK KVEHIKGFKFEVAGGLSNNFHLAHSWLIPPSNKGKAPNPNPMKQPPVPSYTLAAQYLGGS LKTPFDQPTYIMTGRWDSTGKLEAAIIKKLNEMFNFRFSAFYLNSDPLNAQVHLDCDITG EDYVHSIKLGTGSLQFQYDANHRKQTRIRI >CAK76823 pep:novel supercontig:GCA_000165425.1:CT868252:115104:115561:1 gene:GSPATT00012347001 transcript:CAK76823 MMTLTERNLSLMSYAMKFGINKKQNFYTQYVGASDQLILAYNHRLLDKAYFMSELEYSNQ TGESRAILGYRQKFAISEVIVTLNSNMKFSSALTLQGFAYQLKLCAIADYHKDSYKFGYG IAMGQV >CAK76824 pep:novel supercontig:GCA_000165425.1:CT868252:115589:116721:-1 gene:GSPATT00012348001 transcript:CAK76824 MYPEYYIGQQKQTQPINKITSSYNPVVQDVPRQRLPNENPKDYMLSAYTSLIHVIDITST SAIYRVDPFAKYLCCWTNIISQAEISAIQERTKWKHPNLVCFLFYQDASDKYAQTREFRI YYEYLPLDVKQLIEKRSQTSEYVPEEEIWKMISGLSDALLFLQKKGISHSALTLESIYFD EEYLLYRVQDVSPFRAKAPHLFSNEFQPPENGQKSNKFKNDVFGVGMIVLSLALLTDCRD TYNQGVLQFDRLEDNLQKLRKLYPGRVENVLRAMVDLDNERRPDWIEFQQILQQHKEKQV GLSEQQSNIVLQIEKQKCKGNTDVNINNQENVEMKMNSQKGDGLDQKLINLNKRIQETLS KSLSKNLR >CAK76825 pep:novel supercontig:GCA_000165425.1:CT868252:117291:118620:1 gene:GSPATT00012349001 transcript:CAK76825 MVVAVPQRQQPLGDYLLLVPFVCYGTMKDGNCLQLNNLQISYNGIQNKPGLQRMHISRFS PNQSEHQQQINSHECQTNQLIVDEIFGLQLKDCVKLIRSVEMFQWVRRSRQENNRTTYYY EQIWSSTFHADVGDGYFNDQSKWIVQAEDKINENVYVGAYLITKSLAEQTDANEIINLTS EHAQNVAKYFATQRIFQHFDAQGKEMYFQQEKGRLLNNDLRVSFRAARIGPTTVVSQQQN NTFTPYIIHDKYEQTLNQDGENLENPNPLGILSCCCCCCFCCCQLCKAIEQPLSELNLLY QAVLTQQQVFKKLAEEYACLTLCQRITGYILMGFGFYLIFYPVTWVVSLVPLIGDFLAAI TGFAFFLVSFLISIPFSILTIAFAWLFYHPKYGIALIALSGLIGAGIYFYLKSQQ >CAK76826 pep:novel supercontig:GCA_000165425.1:CT868252:118762:119382:1 gene:GSPATT00012350001 transcript:CAK76826 MQETKTHLKGLRTVIAQKKERMSHNYQHSIINGSFLIEGTKVINQFEYQKESEAKMPTKN KFQNLFRYHRLSLKNEKQEKLLSTAQNTRKNFTQQRPSVLNVEFSIDQNIARNKSIEMNQ IRRPQRIKQRKKDAELNERDRFYTVQFITKSEGKYENRNLLELNVLKYQKLSHSPKFGNR HPMKNIKLNEKMKKEKNQNILLQGLL >CAK76827 pep:novel supercontig:GCA_000165425.1:CT868252:119639:121344:-1 gene:GSPATT00012351001 transcript:CAK76827 MLDQLSQITLNESSTFETQRLPPRLQTTEKKGSGRFTFLKSESDDKQTQAQNNRDRIKQQ LRGRIQLIIKKPLNDEKDLYEELQELGRKLDVEINEFDSEYICPNLKKFDEDYEMMEILG EGCLGLVKRIVHKQSQIEYAVKIVQTQDDEIIRNMILEFKSMFKLQHENIVKVHKLYIDF NDGFQSESKAHVVMELIKGKEMFEVINELGHYSESDAKELFKQLLSAIEYMHRNGICHRD LKPNNILCVENKNQIKVTDFNVNLKDREKIEMWTYTGTVAFSAPEIFSGEGYNQMVDMWS AGCILYSMLSGQLPFLSDYLNDLIEKIKEAAIEFPNDLFEQVSEEAKDLIIQLLQKDWTF RPHPDAALKHQWFQIVDDDQVRKKSLRKLAIRKNMPRLSSKYSKNSHKQRNILLGSSQTI TIKNNRSVDSKCDLQQADSSNSFLKIPTKKSIFFSTNPGENKGVNQNSSHNQEESPDQPN SSNLSDDIGEFDQCTIHRFVNFQKQYNVDDEVQEEIK >CAK76828 pep:novel supercontig:GCA_000165425.1:CT868252:121655:122365:1 gene:GSPATT00012352001 transcript:CAK76828 MELNTDPIILQNSSTVSLGQFKPKYSQVIYSGSQVAASKEQQLNQGNENDSNKQKQAPLI EKEIEFRKIFSKFAVTNAIFGIYYLLTLILRGILLLESDDVVGIILLLTSYTLWGIAFCI AIKTFKDQRPSQLIIYQTNLFVIGIIDSYVQSFIFSALQGERVFYEFFFMSLWITTIVLL ITLYCYCKTSKDLMIVIQYLKLEYLQHSSDTNV >CAK76829 pep:novel supercontig:GCA_000165425.1:CT868252:122420:123760:-1 gene:GSPATT00012353001 transcript:CAK76829 MQKKFNQFANESKDSTMNLFRVRPQFLTHVSPLAVEEAHKNFFSGSKELLDKIPDHEKGP RQDSKGNIVKYTVVGSVQQFLAEKSRSQQQHRKPAQIKVTANSQATPSTLQQNEQSERMV FSSKEQSSKDIQQKKNKVKTKEFQEVILNDDQVQEHIQQIQQRIERNKIENEKKTRQLQS RMSKGESLKMSQCERVIETFDQVQQDWDLTKIKLESKMQRLPGQSLLDRIEQHRVKEEMK RLLDALTPIEVKQGNQFWRLGLRKKDSNIKPKTFVDLFEKNYQVYGNPKSPQVEIVRRSS LSQLDFKPFSTFTSQSALNKKLSENSDLILKLMPTVPDDVGNLEIVGKNVLEQEIIQLRS QSHKNSFPYVRFSNNSNYEKYIMKVPTKPETQQDEEIIEENYDRKRLLSQGRLSEIKGYY >CAK76830 pep:novel supercontig:GCA_000165425.1:CT868252:123776:124351:1 gene:GSPATT00012354001 transcript:CAK76830 MQHPRSTSAHYNTCNSQYGNGWLLHDGKQQVKLSETPVPTYAEIVRSMQDFRRAEKLIKN KLVAQQFGLEQQKKETEEEKQRRKIDSKVPPKPFPVKESEYTKPAQGINVGSPIYETSNM QYGQLNPTKFELIEKFYPRDAKFTQGFQGHTYKFDGLTTSVAFSKTHKALDEY >CAK76831 pep:novel supercontig:GCA_000165425.1:CT868252:124389:126421:-1 gene:GSPATT00012355001 transcript:CAK76831 MISYILNQSTSFQTSENKFDEVNRGTQTFRYKNRQLEAQKEKLSTECDPLQERTIGGNVN IMFSKFQMKTQFKRKNDEFDPINCVKNLINLSLNVLEDEFQVIHQQQNQNNQYSHISLEM QMIDQSDIEAFKLPPTAQQFQQPNKKTHKKPKNKKNKVDSQKKPQKNQTFTQKQHHQQPQ IEQQIKEKQCNQTSPSNTHKMKYQTTSQKQQIVSSLNQIQKIEQKSKKDSSDLDAQFNHI GAVSTTTGNSQSESDDCQLQQDENNQKNSSIQKQSNKEKYKKKNQNNVEIVGNKQYPYSS QTTPIKRKQLNLNKSKTQDIDEFVNNIQVKSFQNKIRKRICFNRLHYIISMNSDLNIYAY GSFETGLDLEVSDVDIGIWGTQTLSYNQIVNFLQSINQLLKQTPFLVNSKLIQSHMPILK LELNPKTAFYNDDAYIQQNWQSFHLDQQDSGKIIQVDLTWIYQWSNIYNNPHLGFASTTI IKDWVNRFYWYRDIMLILKYLLKSKNLNDAHTGGISSFCLSIMLAAIYMCKHYTQNDKKS ILLDFLKKYATQFDPLKEGIYIDGYGQLNPFIALDECPPYNPLTIYSPINYQIISQKAIR FPEIQEEFKKLYEHLTKSNKIQDYFDIPQKVNQQLFI >CAK76832 pep:novel supercontig:GCA_000165425.1:CT868252:126593:127726:-1 gene:GSPATT00012356001 transcript:CAK76832 MFSKFFKQQTDFEKALFTSSILNSKPFLNIADLKQLMQGFPQEKQLICRTPQPIKKTFQK FFQSLPDKVSYRVKLKILATCHVLLDDFMHGEQFSESLLDWDGFRYKEKNQDKEKFIMMY FEMLQRLANGLHLLKMAKSQKTYTLDSFIENQSNYYKAINLLTVIFNYMPIIKSELDKHQ EEIIGEIVLLVWNDVIAIYLFFEKIIMEFILDFQSIQYPIFFQVFNLVPDYIRLTQQIQQ FYKLNDHFQEEKQFTEPQWKVVDQKMLDELELFNQKLKIQSARGRLVKNKSKASFSTQLL SPQHQSSGSFLFGNTTLGYVKRLSTVDDPFDNSEEVSKKYKIMIK >CAK76833 pep:novel supercontig:GCA_000165425.1:CT868252:128299:131470:1 gene:GSPATT00012357001 transcript:CAK76833 MDKRKLLFEDNLKTNFQDFNNDFKDNKLKVNDIKNRDQSNKRVSTKQPYEANNKSNELNN KTNSSFSIYQDNDTSYFRKRIEDLQFRVDSQDLKLKAKDKEIQHQQSQIKQYILELHQIQ IIEKDYKEQIIALNSVLSQWKDKYFANLKEYQYKLATITRQASQDQNSLKKKYEEIIEQQ QKEIEQIELRFENERDNIYKSMELQNKSQHINEEINVRNSLSIQQELISKYDELETENMG LREKILVFEANQDELKFHIQQISGRLLEQVNKTVQLEQQKQQEIQNCQNLLLAKDKQINN LLIQIEESKNDEQYLDQEKLVQLENLNNQLIEQNSQLEDENQKLKTQHSDQFEQENEELK LIIQKQKQQIQKQQQELTQYIQQKNQFEQLSISEANLKSQEQQVEFNAILQQLSQAEQEC EKLRQQNHTLQNSISNQQIFGYQKDELLEQIESYVRDIKEKDEVIQSQRINQKEFEKVLA QYRAENKEYKTKNELLSNQNEISTLQIEEILREKQQLQKQLESLANENKLQTKEIQNLQE TINIQMRKENDNSLIMKIENLKNKNSILQEQNIMLSQKADEVLEEFEQKTKFYQLEIAET QELYNLISLQLNEKELKLKQLEENLVLQKEHSQQLFENNQTLQEDQRLYHQQLSNFKQIT EDTQIYDVDFQNQLQDQQIKSKTSDRQKGFEFNNVEERQEITTYVSKQENNQNEELLQMI NDFDLQLRSEKELNENLNLQLQNLQGEFLALQEDKLKSLIQTEKQEEQIKQLTQKLTEQK LLNEDLQQTYQSNLEIELEKNKNTFENTINLLQEEKDQLKQKTIEAIQENIDLQNKLQQF ETELLQKQLQLSRIDHQQVVESYENENSNNFELEQSTEGRQYLIVKNEKSEQVNNQQEDD VQVQEFMKDENSQIKKLEQEFEFQQQAQVPLNQKGLREQIVSSFEQSSQSVEQASNQETL VLKLIEKINFDEHSVLSNPKPEQQELFEVDQQPKEVSFNQQQDDPSKISKELANNLINNV LIDAIESVLNLSK >CAK76834 pep:novel supercontig:GCA_000165425.1:CT868252:131910:132597:-1 gene:GSPATT00012358001 transcript:CAK76834 MEEQLYKIVIVGNSAVGKSSLLIRFCDDQFRDMYLSTIGVDFRFKSLRVNGQGVKLQIWD TAGQERFRNITNSYYKGAQGIVVVYDITNLKSFEDIRKYWMNELNHYADENVLLMLLGNK SDLATDESRQVTTAMAEEMAKEYNLKFFEVSAKTSDQVEAAFQAFTQQIQETGIAGKVRK PQPQQYLQTPLPQEEKPKKQDSCC >CAK76835 pep:novel supercontig:GCA_000165425.1:CT868252:133034:133443:1 gene:GSPATT00012359001 transcript:CAK76835 MYSNMIQGIPVSMDQNSSCYAKQNNIVYENVVMVNKQLPLWANDQEKQTEIQCQFCKRPI ITLTKQRIGKGSIVCSLILILTFPILFWLPCYKESCQDVVHLCPNCGHIVGEKLYKPCS >CAK76836 pep:novel supercontig:GCA_000165425.1:CT868252:133858:134325:1 gene:GSPATT00012360001 transcript:CAK76836 MTKKIKKQYLSLQILVQANFSKGENDSQKLSCKYYRSFERDIIIYWNNLLQEHQYISKIF NKQTVKLEFLGYTFKEFYDKFNIDNGISQSLNILDILCSFIYVTMYNIYQLIQIHYLLIQ QISNSGLKKVQFIQFNNSHKFFVEIKFFIFLSSIS >CAK76837 pep:novel supercontig:GCA_000165425.1:CT868252:134635:138124:1 gene:GSPATT00012361001 transcript:CAK76837 MGQEQSKLFKRQPCTLQYKYDDHDISLKFDPKAKVGYQKPLRFQEIVHINPALRDLDKDI LIQLQFLHEQALKLIIFEKLKKCDELVILYQATKFIVNCTSNECLELINTFNIINILTQK QEQDLDKQILIIIIIHNIVGKLPSIILEQQQILWLIRHLNPYNALNVALILQILADICVN QDKCIENIIEILNKLKDEAKWRFATEPIFKIMEVQQNIYLIRDACTFINALAETHSDDEM CELIKQQIQQYGLTVIYDDVKLKLKNQEYQISHCSYEYAMQFLYDQKYIPHYSQVDNYYF NNLQEFDPKKPLIFKYGYFDEIFNTDPDYFEMQKNQLQVQLDVYDQFIQPAFNPNKTMLE RQNPLFLSTITKKGSEEGLVGALKGLWENKPSETTNQQIILNAIKKANSLPEIQELLVKL NEESSGVSVLSRLIDLLTRKLDQIMADQKKRDQMKKEREEGYKQQIAYLQLEIKQRTSQE SQRDLKNLNEKLNQLIDENKKLQDQSQQYSQLKNKFQDMSGQLLEAQKVMAKAQQVAELE AKLEEYASEINRLKTTHIQPQLCKIQASDGGSEPPPNPTDNITTVQAAPQKAAPPPPPPP PPPPPPPSAKSQVPPPPPPPPSVPKSTNNSAPPPPPPPPPPPGGKTGAPPPPPPPPGAKA GGPPPPPPPPGGKAPPLPNAKPAVPTKPKCQPTVPMKGLSWNILKPDQIGNSVFQGMNEN EIKFDIKSLEEKFASKPAKQIQQSVGASDKKKEVQKISLLSGERTKNIELILGKLRMSNE KIKNALLECDKSTLNLNVIESLLNVVPTDQERSLYANPEELDRESLQLSDLFYLELCQVP AHADRMQAIRAEFLGIDMCKECRGKLKQLKKGFEFQKNDEPFKLLIKCTLAIGNYVNGDS ARGGAFGFKIDAIAKAADIKSTDGKETLLMSIVQECEQIYEKQGKGPFFSNDRNDLLEFM CKLPISQMNIDINEIKKVQKFVQLAIKSQSKHPNDKVSRFEELSQQLLLEITDLSQLLST CESIYSDLCIFYCENPKTLQSDAFFQSIQSVWNNCIRSKQQIEKLQQLKIKEEQRNKLEQ QKKQQQPIANQANMHESLPKRVGALQQLQQSLPSDAVNQLRMMKQNKNENN >CAK76838 pep:novel supercontig:GCA_000165425.1:CT868252:138526:138747:-1 gene:GSPATT00012362001 transcript:CAK76838 MGPKNKEYSLYKKDLDQKSGFRVKVRKRENLKDIQKESSQVFQGSPTLKLVPKINISISF FHFQEKVFSTRFI >CAK76839 pep:novel supercontig:GCA_000165425.1:CT868252:139029:142218:1 gene:GSPATT00012363001 transcript:CAK76839 MLQNFKEYFTIYQEHLRNKDQWRLLMVFVSIYQMCDVIIVTGDAVNEKIQGDKFIMIYRI LTQILLIAFFEVLLLRLLKIKNGLINLVTLLKFICVVICWEELDYYTEEQLFHRILDKFL ILMFFVFAIESQVCQTLAIIFNLVYTLLRNFEFNSKINTIFGIKIIVIHVILQFFMITVN KKNIRPNSNSQLVAMGSSNKMIYTQLNSQRQSNPNIPENRNISQLPQEQKQDDQQTESVQ QDQINEAILSSAELGIYLVDNLTQSVQVINPQLSNIIMKEDQLSTEFLETELYDFGLLLE EPCLLLPKFHRSHDIGQFLIFTKTLEYFPSILENNIQSEPSQIKRLQLLTKKISFKAFFD NLIAYNHLKYSNYRQLIDFSLKVYIKFDSCYMQVVLSPLIYKLKPQIAIFVSDITEDPYI TQLLNATKNNDFMINDISQKIKQPLNCTISMLEIIMNTTPHEIREKYISPALAGCKLLIN TANDILDYAQLQKKDKLDLVQMDVQIQEFVTDIINVVKSQAIFRGLKISINIKQNVPQFI RTDPNRLRQILINLLVTSIQATVRGSIIFCVSKSQLLNEHVDFIVKVKANETNFSILKII ERTVRFFKSQTLKSKILDLGHLRQYSQSILISFYLTKCLSQIPFEYNYERVDNKEEFSFV IKIQNLYPQFNQNLNQKRLSEFTNQQSFYQQYQNKGDLKRYQSQMSSLQAEDLNIKVELK EAKQKLNINIIQNQGPVPKDKQQEIQEQSNESDESLSDLQDDEVSIESKNGISYRVEQML KIKPYFFDYVNETQKKYEGSNSQPSQQLTFGGLGQGRSSIYSSLCFTSGTMQPNDLLDCF EKMEKIKQQKNKSNCGCTKILICESVDLDLYALSHQLTNIGITYEYITQKSQIVSTLVKL LNSDLQQQQNSNQQKQNIDNQQLKITNDNQQLKNNENQPCCKGLQLIFLAIEQQEEELFA LFNSIKDVYAEFKAEPRIIGLIGCMDEENRAQLRKLPFHDYLSKPIMIDALLFILAKWIK MN >CAK76840 pep:novel supercontig:GCA_000165425.1:CT868252:142233:143018:1 gene:GSPATT00012364001 transcript:CAK76840 MFNKWFKPTIPILRINSGIDHNSAKLVEESLKPLTRMKALAVVVNSTGGLLVQGELMKKK IELFAKTHKIPLYTFAEDSALSAGYYLLSIGNKIFVDEASMVGSIGVLYLNLSIDKLTRK LGFEPRKFSSNKKLFLNITGLNDERDEEMEGIVKDQFGIMRQQFFDHCDKYRPQLQKQDA DVKDLIYNANIFTGIEAVKYGLADEIGNFEEVLNRLHPDCQLRDITRIPLAQYYIMKQQS Q >CAK76841 pep:novel supercontig:GCA_000165425.1:CT868252:143026:143898:-1 gene:GSPATT00012365001 transcript:CAK76841 MLSEQIVYPEPKIAVEFENQLVCHICDDELERPNHCDFCGKSTCSNCLVKRRKLNDEVLH PVCEYCERIFLERILILSAKKQREELAISLESANVELANKRQQLYSFKNLLHSNPGEVTS EQKIQKLDEDIIEATYQKNSIILQLQEIETQKIELKQSNIEKTQSIDIKQVKLQETINKA QKSKDELEDIQRQIDEFLANLPMLDAEQKSALTQLDFSNYEQIFRGSNLPELMNQQAIKQ SQIGQQPNSKKKPDQKEECNIF >CAK76842 pep:novel supercontig:GCA_000165425.1:CT868252:143919:144437:1 gene:GSPATT00012366001 transcript:CAK76842 MINSSLFSKPKQRQIYLIYASRNHTQPKSTPRTHLKLPNCSPRINENKSKKTNSFYIHKM QRSQQQSPVVRQPIRNRDSSESFMRDLELSGNEDLKDINKSLLLTSQEINKKMQFNIESY LNNTLKSKRINQNLNNMIDFDLNQDNNSINSFEFSRSRRETPLQPIRVYYKK >CAK76843 pep:novel supercontig:GCA_000165425.1:CT868252:144461:146869:-1 gene:GSPATT00012367001 transcript:CAK76843 MSNTLQSYENTCHQYEQDQIQFKRRKDMNLKDEYSIKEKQRGVFDFDETSQKENQTYKAF SFRQINVLDEKTIDENLNLINSYDHNVRVLDLSNSKMTSLHEGIQQYIRLSIINLANNLF QEVPNCIFELSLSHINLNNNLLKSLKLGEKWKTSLERLDVGKNHIRILPDELFQMEQLKI VDLRSNDFTKIPKAILNLEKQLKGLGLDWIKYTNQIYYSSKKDMMIADDLQRFWDQINQL LKDNEFIICHDYLIYNQKYHDDQIQDSITVQIPKQSNITRATQRNTELTLQELSSSCQFI QTKTLGQDADVSDNGSNLMHIAVENEDIGVVRALLNIRFELSSQLDDASHTPLSLAIKEE KYHCAKIIINASTNLNIGGGEYNSVLNQAVSKMQYYLIRDILNAKVQINKQDKKGNGPMH NLIPSFKKNLQEATKIGHLLIERGVDSNQRNINNNTPLHLAIKKSSYSALRFAISINEQY QRDVFSFKLLGHKGNSVMHFAAKSENIKIILQLYSINPYLLFTYNEEQKRPFDIIQKNYT LSKLISVLELIFIRNHIFKQHKDPYSSSIVQPIKKEEKDKMKQKEQTIEISKKNSFDLIE SDEEGTNRIGLKNFQILPNLKRQQSQPPKRPSIKKENKVSCENVGPIFIHKSIQQDRNDI KQIELQNQQNNSKYNKNKFINKQIHQLLKDIENIQSQMQTQQDQYSQIALEKIFNALIQQ LNYFKEDPLTSLMIQNATQLQKLQFESRQTANLSYDTSPLFLEYEQFMKL >CAK76844 pep:novel supercontig:GCA_000165425.1:CT868252:147839:149416:1 gene:GSPATT00012368001 transcript:CAK76844 MTNKTKILNYMRPAMAIIPDVAEPERRILFKYRALWTAIATLLYLICSQIPLYGIYKSSA GDPFYWMRVILASNRGTLMELGISPMVTASMIMQLLAGAKLIDVDQNVKEDKQLYSGAQK LLGILIAFGEAFAYVWSGMYGDLDKLGAGNAILIIIQLVFSAIVMIMIDELLSKGYGIGN SGTSLFIAINICENIMWKAFSPITHKTQLGLEYEGAVIALLHGLFIQSDKIGAIQSAILR DSLPNLTNLLATVLVFLIVIYFQGFKVDIPIKNNKVRGGLTSYPIKLFYTSNIPIILQTA LVSNLYFLSQILYRNFKGNFLIRLLGYWQELENGQTVPVGGLVYYVSPPRSISEAIFDPI HTILYTAFILGTCAVFSKTWIDVSGSSPKDVAKQLKEQDMQIVGYRDSSMKEVLKRYIPI AASFGGMCIGALTIMADFLGAIGSGTGILLSVTIIYGYFETLKKEKEQGTLELF >CAK76845 pep:novel supercontig:GCA_000165425.1:CT868252:149925:150290:1 gene:GSPATT00012369001 transcript:CAK76845 MNQRKYEKQSRKSSQNKNQDLEQNPKRRWSKQDTPRNYDNINKLQYSFDYSRINNKYLEQ IRNNTKIKQLVAKQKLEQQNSHLFKIQQEFIPKPKWQNYQDSLYSMINQQKCFAYLDYQA S >CAK76846 pep:novel supercontig:GCA_000165425.1:CT868252:151901:153933:1 gene:GSPATT00012370001 transcript:CAK76846 MTDIIDRIVVAIRKRPLSQKEILKKEEDIIIVQNDNSVIVKEIKQKVDLTKYIEEHQFNF DLTFNQNHSNEQVYINAVRPIIRAAFQRAKVTCFAYGQTGSGKTYTMIGDIERQVPGMYL LAGQDIFQIIEMEEYTHLQVYVSFFEIYCGKLYDLLSQRNQIQIREDAKGNVNMINLMEK KINSVQQLMHFIQLGQNVRITASNSSNSESSRSHAILQVILKSGKTLHGKMSFIDLAGSE RGADVQDQNKQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLTGNCK TVMIGNISPSSQSSEHTLNTLRYADRVKELKKPENRFSQGDLMQRELMLARQTKNVTRKQ FNEEEDQENVRSFSPISNIKRQSLQPLHQSTQMYNNQNFNQQKEIRKSDISSVIHKFNLS SIPQINVNFNNTQQLNQSNYFPTHQRINSATSYQNDYDHFNNSQSNLFLKTQNPTQQNNQ LFQQHSFNQTSTYSDLFSESPYHSQQEQGFRQLNQQAVQQSQELEEEFDYSIPQKSQRQG QSNCSQIVQDHKNLIDKIIEISKLEMKEITMYENQNDMQSYLQTISQQLQQKVDLIQEFQ QKVYEVQQQSQHWTFQPNDSQNRDELFLYTNY >CAK76847 pep:novel supercontig:GCA_000165425.1:CT868252:153957:154259:-1 gene:GSPATT00012371001 transcript:CAK76847 MIQSLLIKNPLQRLGASQRDADEIKDHPFLKQINWKDLLNRYSSLNIKQRKYKPPIPVIN EDILNQEFDIPFDFILSADKSSAINYINGWSFVNNDFVQF >CAK76848 pep:novel supercontig:GCA_000165425.1:CT868252:154309:155210:-1 gene:GSPATT00012372001 transcript:CAK76848 MKQDSFNLCQRVRIFEESNERVITSPNSKQQANAYQMLVRCQSPQKVEYMNSHSNRSTAY IHPAQDYTEVIGPSSIRIILQIGKGSFGDVYLVEKRITGRPNQGPKLAMKVLPKNKFLGQ NLLRYALTERNILSYLNHPFIVKLRYAFQTNTHLCLLMDFCPGGDLSKLIQRQQRLSEQQ AKLYFAEILTALEHLHQNDIIYRDLKPENVVIDQYGHAMLTDFGLSKEGIHDNYGAKSFC GSMAYLAPEMLKRVGHGRAVDWYHMGILLYEMITGRPPYFSANRDEMILQY >CAK76849 pep:novel supercontig:GCA_000165425.1:CT868252:155322:156281:-1 gene:GSPATT00012373001 transcript:CAK76849 MQRQYMLPTPRETNQQMNRVGEQPIKGNDFSVKKTQKTKDYNLEAIQPIYQNSQESLSNC NSNKSSSKNSIGFEQQPSYMEEQQWKSSHYHTNTAPVHLYQNIGENDAAFLIKDQDTGNV YDIRNTEKIPVNREYMTKLKKRHKSAWQGWWQQKKENNRSLLNYVKSNNIKEVEKLLEIA SKDLKPEINIRDDSGLIPLHYSCMNQNYELALLLLKNEADCDLTNSQGQTALSICAQKGC EYILSLLLTIGADINHMDNLQNTPLHYACYFCKLMVQTQNTRGLLKYYWLGLQQ >CAK76850 pep:novel supercontig:GCA_000165425.1:CT868252:156717:158148:-1 gene:GSPATT00012374001 transcript:CAK76850 MNQEYFQYEIEKNTQTQTQLNQLKQLKTNLKKIKKLKFGIIADSMIIEENSDSFIFKFVE ISQDVELGQGKNFDAVFFGEENEKFKILRYYKIIELINLAITLSQYRIHLPMLNCQHFIL GSDQRESQIYITKRFYLGYFITDVLKRDNEEIVMNKNVPQEIKDLIGTGQNIEFDEKMTV YSLGCIICYMHTQKHLIDIDLEQIQDNQLRELIEKCKDISPDDRPTLVELKHKYLLILIS EQILDLGIQEKKKFLIEGFINVFIAPYEQITGRLCVMEFFTKINFVSQFFSKMIKGNHYQ QKESQLQSDTLIYLLVKEELAKLKLDNNQELSQWDQDNTKDEVDEFKRNYLQNYTKILQE ILDIIRKKNTQILIQQISHDQVRSNDKIREMPDQLKEIYPELKSSFICLKALNKVFIYKN LLECFKPIQNKFIKENAYRRYDIILNELCSNKEIIVDEININNIQQKI >CAK76851 pep:novel supercontig:GCA_000165425.1:CT868252:158483:159023:1 gene:GSPATT00012375001 transcript:CAK76851 MIKNNSKSYSTLRKKSTQFISETSKGKQQTVSNKTRAKSITQTTIKKAIPTIKPPVIDLN KIEVNPFTFANRNSHNNSSDQTNSRSQNSDVEQQGFVAVKQNNQDQLQILKRQKQLLEYR LMQETESCQQYQQNYHFLQERLQKLELAKNNYINEMNSFTKCLKLILNKDE >CAK76852 pep:novel supercontig:GCA_000165425.1:CT868252:159069:160794:-1 gene:GSPATT00012376001 transcript:CAK76852 MFTFIIIFLINLIINVSNRSCQTSHLTVKGIKYTLIAYLTTPNLYQCLFSQYLCQRLESD FKVLGRIGKGGFSKVYKVTNLLDKNTYALKKIELKAKDIKDSLEKNIERAQRGKVFQSQS SPEAKYLARLSHPKIIRYFNSWVEVMQNKSESKLIRKQEQDQNEFERNNFSFCDSQSNSK NDLENLIMFKDAQNEQISYSEQENDIQPKSRVSRQKQSHNTQSKKSTSQDEKKLEFDKII FYIQTEFCQETLENYLQKRNNELLRLKKKKIEKYQLKLQNYEKEAKLILEQIIKGLDYLH NECKLVHRDLKPGNIFMNSPEDVKIGDFGLVTKLKQFYDFDDQDNDDDICTKMYAAPEQI TQNRNKSFYDQKSDIYALGLIILLLFHPISTSMEMIKVINDARKGILPSILRDKHSKIAE IIMECLNNDPKQRPNINEITFQDSSKSLSSSKKSSNEFNSDTTDYFIKNIGICQVKFEEE DAQEKYLILNSRQIQIFKNQKSLKAQMIYNLNECNISYIENRIIIQHSQLENFSFTTTHN QLQDIYDQLCELTQSFN >CAK76853 pep:novel supercontig:GCA_000165425.1:CT868252:160819:163055:-1 gene:GSPATT00012377001 transcript:CAK76853 MFFSLSSIRKPKQEFNLLHLETQEYYFLSLKVSLHNNNEIRGKLHICSRSLVFQPNQPKL PMIKMKYSNNLILKILRNIDTKTMNQIMSIKWTPSLLMEDIDSLDINQLYQHFKRDKNNE LLDRLYKVQSRTANSQYTIMFLRVDKLFVINRNPISPYITETCDENIVFSISQSNSKQNI VRFLKLYQGLTQAEDENSFISTIINTTLNEAMNEHRAYSSQQGLKIELAVKCKLIKPEGN IFGLFSIQRDEGIKYIPLINSPKGKILMWQLTDIKFFLKYRYMFKQIGLEIWFFNKKRSV LLVFEDPQQLDTVYKYLIRKTTKNQISSITVEKLTELWVDGGLSNFDYLMSLNTFSSRSF CDLSAYPVFPWIIAEYTDKNFDLTSPEFFRDLSRPVGALNKHRLQKYKQSYQEQLKSQKN NNNNDMIPYIYPTHYSSPGTVVYYLIRKIPEFVIKLQNGVFGPTDRIFRGIDSTWYTTLN LHADSKELIPEFYSLDPDFLINCDKLELGMTQEGEIIDDAIIPPWANSMTDFLLKMRMAL ESDYVSSQLPKWIDLIFGCKTRGEEAVKQDNLFYPYTYAENVNWNQCRTSIEKQALETQV AEFGQVPIQLFNSSHPNRKLKIHPSLKQKQQLNSSSQRNLQLTDIQQESQNKDYVTCLQN QVSSLQWENEKLKFNLDTIKQEFQLQKIETFNSQYDQEEIDKKGQDLMSDDSLYQQIKM >CAK76854 pep:novel supercontig:GCA_000165425.1:CT868252:163074:163768:1 gene:GSPATT00012378001 transcript:CAK76854 MSQRKKKRDPTPTRVALVCLKTTQNNIQINNQSLLKIFSKHGSISKILIFERGQLLTKVF IEYDEVNSAIDAIKYLNNTKILNQISCNVYHSRLKQLKLDTVPYTKGLDFTNIPSNIIEQ VEQIEQQLENNDKTFNNNEWQDFQFENRSTDEVSDEEEPQFSEEKMKDIITKLNQIDEEI NQTIETKIVTALDKLRQTQQFIKQQENQVIQINQ >CAK76855 pep:novel supercontig:GCA_000165425.1:CT868252:163778:165281:1 gene:GSPATT00012379001 transcript:CAK76855 MIYSKFIISLIHKLQYFNQSLFKYLQPMRTNNANQIRQTLRQTLFKGRKHQFQSNDNIQI NETPSITLYKNFDEIYCYKNKNLGQGAHGIVKICYNKLEGNAIQYAVKVFRSGDPEIIST IKKTFKINRQLNDLQCVIKAIDLFINVTKEEIHLVMELCPYPSLDKILQQKKQLTIRQQQ LLILELAKAIDKIHSKCVCHRDLKPDNILVQLTEDDAKIKIIDFGVSKKFVTKTRNSTQN IEMWTRTGSLFYQAPEIFAGGGYNQKVDIWSIGVIVYQLFCQQLPFQNDQIIDTIELICD PNYNVENTAQFESLDLLQQDLLKRLLRKEPEKRLSSTEFILHPWLHPDNQEQNQNQFCFS LNPDKLQNLSTSEQLIGVEQIDQNMVLTKEPYCASTILRVEEHKEKIDRVDEIGNHIYFY SSSKQLTQEPEIKSLDEKQTSVNQLEDFKGEIIQDTHKSLDEDVELNNYKTVGSFIMN >CAK76856 pep:novel supercontig:GCA_000165425.1:CT868252:165919:168539:-1 gene:GSPATT00012380001 transcript:CAK76856 MSKQKQTDNKTNEQFISDLRSLFEVTSNRHKQDKDFLKKFTSLIKKEKLIDKKNIVNELL NLFKLASNSKTLYFNTIVDGLLLLQAKDININLVLAEAIKGPCQIDKKSKENQDNEEIKL FCFQKLEIQHAETQLNLSKVLVFMERVLKMISTKTKEETKKIFQFLTSIQDILSQQLQLQ SLICILILKIVLTLMKHQVLQKTDMKVLYNLIDEQSIHQVFKNILTIAKTTYVHKYYHYI FKSCFMLLCEICIKNKMAVYFQAFLCSPLSQTHLKTIFNIIKEFNYLFFQDVKDLPYLFE KPRFLIDYLPTNFLLGMLNRNPGNWMCVQNFIQNHIQIDDKYLNFTIANLAQRQSKIVDP GYIFQSNKLYSSQSLLPRKKKNINQIDQLLIESIQMQQDVGDYNTYIYCQLHLLLYLNSY DLTSNYFSKTQISCDSRFFKRLFLLKLEDKIYKYQEITKLSKPRQGTNQYFTFQINKQLK QLKYPFAQTQIKNIYQDVKQMLNINYHFQFPFITKFSQYVKVLFKDLTQQFLNNTLFPFD YQQYFGLIMILSSYSKQAFQAFIFSIFNSLLVMKKPHVVHQELTQLQLSALEEVGVMFNE LNIEDSIFQQMIVAAIHFFSTFEIKKNQKINQFTQILERLKTYLRLNWKSEQGVLKQYKQ LMLMAVDRFLMMNGLGAQSLKNNQSKFLKFFNTHLVDNCDFIEILIKITQHIKIQEVSKY LEYLGECYLGSLAIHKQNRSLKFQLCTQVSKRIILDFNALIYLEQNMRDPNQLYELLYYF QREKRLKLEQPNVESNGRYQCRKKLVYLFMKESQFTKVHYNCLLKLLK >CAK76857 pep:novel supercontig:GCA_000165425.1:CT868252:168668:170724:1 gene:GSPATT00012381001 transcript:CAK76857 MQAKREYLCPISEPKPNETPIYRHPMAKDGFLPPLDNRKVNMQQQFLVQFEKLSEKKTLG TFNEETQRYDHISYKELQEIATSLGSSLCLQDSIKEVKDQQNNVIKPVGIYLSNRREWTL IDVACILYGFTSCPFYDTLGVESITYSMNITQVSVCFVQASTIGFLAKSNLPYLKTIVTI GAQDPAILNTLAQQKKEVITWEDYIKKSDGKVQPFPNLDAQHPLTLVFTSGTTGEPKAAI QTHLNFTSMLALFEHQDNFNFTQDDVYLSYLPLPHTFERVVHLAALSGGAEINYFSGNIQ NIARDIQRCKPTYFCGVPRIFNRFYEGIQAQLNSLPPEIQQKFELALGAKTKYYRATGKT THDQLDEAFVKTRAILGGRQRIMITGAAPISPKILEYLKVCFCCQIIEGYGQTETTAASF LTDYNDSVCGHIGGPTISQEFKLVSVPEMDYLTDQIVDGQKKIRGEVCLRGPSVIKSYFN NVQSTKETIDEEGWVHTGDIGEIIDGALKLIDRKKNLFKLSQGEYVSPEKIENCYLRVKG ISEIVVFGDSLSNYTVGVIVPEQTFLKQWATELNVQGDHAQLCQDKAVRAHVLKLVNEQG KQDNLNGFEQIKNVYLEPKPFMLVGILTETMKMQRHKARQHYQDIIKFLYQEI >CAK76858 pep:novel supercontig:GCA_000165425.1:CT868252:170787:174169:-1 gene:GSPATT00012382001 transcript:CAK76858 MKQSDQQKTHVSRSMSQHLLTEQDIKKIFPYTQSIHNRYLEQNRMESIRDQLNNQQQQSI SIHIKDLIVKDKLKPRLLQPLTMLDESCNRGVKRMKKLLYHDSQNVNLHNINQRREMVQL AQWLDMMVEQVHSQKLTDYQEYYNKLEIVFQGSVLELERQISIVGFEFGQFLKKLWDAFT EEVQKIILGLQQRNQQIEQKCLEDINQLHKNYQEAIEKQAESMRMMKEEALAVQNSIVKM KKENVYLRKKDKRREEQLHEIMSEFWEQNLQLIEYQGIEKFHKQAQTSEEMDLVLQQKDM FEFFKQKFEVHKKDIENQYKILTLQDEYYDGSTHLIITESSVDTSDLIKTKVQSEDTSEY FTYVSTSTQTPKQAKKIDEEVQVQPCQGNQESQVNSTQLRKERVPRNQTESKLFRRARFP LSEIIQEYSALYFPEQELSSNDPQFTVIMADQITQLKDRIRGLQGDNSSEDIFAIKQYLL QNYGNFNFYFNQCWNVLQTQISVVMDAKIDKEEMELEMKEIENKFNENVALFKKYHNRAK EKETISKFYEESLIKVIRYAPQFLINQIKEQANGYGVLENVEFKEFKRRQGTNMDPKQQS QLSIKDLDSPTKSPIKTLTNINISKPLMKIQKIPSQIEISSKEDDDVYKSDSSKEGSGDE SDVSFSQIKLDFKQSKPKLTIEKKLRISTCPVKSASYATNLLKQMLSKFNINYRTGLYQL STILQNYNELIMKIPNTIQNTPLHVHMYENIVAHYGQSQFSDANRYKRTIRSFLFFETKS STCQLVVKFLKAEYDIQDLNLYLQIVQSLQEYPNVSYSKFIDTLLKWFSDNKYSQSEIDN IIYDISTSEVAYMQSQQGPCDYDILMYQFLEIFQKHKNRTSVKYKHLYTSVDLMNKGSID FNQWNFLYEVLCCINYSFSIRLFYQEADYCGDGGKMMSKQRFTLVCDELKIFQEDDQIKL LDRETYFTIREKINTSWMREKIVMKMSFIKANKVQILFKLISIFAAIDTFVQNPKNCQFE AETICYMYKLLQKTWKPQFLESQLNIGIPVEISLINTTYRKMELKILNKD >CAK76859 pep:novel supercontig:GCA_000165425.1:CT868252:174416:175346:1 gene:GSPATT00012383001 transcript:CAK76859 MQEINFQDLWKDFIHVMGAATDMQAVLDAVGKEHPLWLQSRSRLSIRLNRSNNLHMQNED PSLIQDIKYRYYEVVTMQQFGEIVLGYLKFYESNLQRIPIRLPEGDVGEWQDFDENEAVY QTQSMIKLLMKKRYSFRRLWIEQLQKGSPITTISTDRQQARSVQSIYIPLQKPDGLFGSF DSPLSMSNHVNENLITSKKSVQNSIGDSEQNPGAKRLSQNTPKNRLSKLRKQSLDVSIDS LRESHISQQRNMSKAKSNLKTRQHELNKEHMEKSMIEKNRNCCGTGCCQF >CAK76860 pep:novel supercontig:GCA_000165425.1:CT868252:175392:176284:1 gene:GSPATT00012384001 transcript:CAK76860 MEIQLLKRLKSDIQLQLKWLTQTRFNAIIIMKSQCKYLQASVRALEMLYSNSISTPYFYQ KLQEYETLTFNFEFKRDRILKAKQALLGAMLKTEEKIDDPKTAVQFITLVENLVAFAETH YISIKEFSRVMHQQLNEKLEVKIEINKINEHLVTLNKLQLQNRQMLLKLPLDQMKQEEED TNHKDEEEESEEIQESTNQQVEDVQQIEQIIQNQTPQQIEIEQKDENIKSPIKIEDMQES ENQVDDQIQKEQLIQQTQKIKPDISVIKVTEKMAVNQFQIQETISNGI >CAK76861 pep:novel supercontig:GCA_000165425.1:CT868252:176678:179959:-1 gene:GSPATT00012385001 transcript:CAK76861 MNQQQFDALCHDCCFSQSFERKQLADQTIYQFIEDIRNIDGLYQIISQTQSGSTLFVISE FIAKIIVSERQFKGFQVAERSSKEVQVIVGQCYTGEEVEILYQKCRIYENLVTLFCQTLQ KELAMHQQNSICNLVGLLIQQIMMLNGTQFMKFQDRLNVFFEGNNIETLTIGLKLIQNVI QNIQQYSSYDSYVSYRRIMFGFQNQEIFSCFEIVCRIVKTSPPELYKQSLSTLKDILMFN FNVSYFELESDFDPNDQNNVSFPDKFADYFTDQQLIELLFNIVQVFSQSNSSLALLALKS LKRMASSKKRIFLNKDKKRLFAKEMYAGCTFLFQTVQSTNEEIISDILELNTKLNNCYGL KQIRFDFAFSQKWLYCLQTFCIQILQRQMKIKDPHMYQMIELMKRLLKFISDFELDTTFK TSISSTITEIGKSIIHLLLNSQNSFFQGYTPQNHKKLKNTLKEFFENLFPILSIDLSNHL KMIYHSFKNAAQDQEKFIIELSLINYIVINPLILDRSNEDIIQVVQTVIKESLQFLSIPQ SNLPPLVIMSAMSLADNLFQFALSESDESIGRQRSNKIFFDTCIKPIQTQPQQATNQLLQ YIVMQLQIQNKEIIEYALIIMKETIVRLKHHLYNDSFQSSSVVTQIKVVLLNLKNSALQQ EQFFSCRTLAAEIISILLFDSAYENYIESIVQLNQLLTIQPTSQSIQIYLYEMLGYFKHV DVSKIFRLLIKQHLMKIADLTRFILIDNPQQFQLCKLCLKLMVAITENKSLRFQYHSSSI VQIELVRTFQGILTSYVQHLIVAIQDEKVKQEFSAQICRLVGLVYKIMNNILKGKYISQA CQLLFADRKYLDLLIAILDITHKISNYIILYNKSCVQMIQVLQVISSQQLQLFELNPQSL STLLSIVENLQKHLLQQLSQEYKTQTTSSYLQPTDKISLDQTTDIVISTLEFVSEEQQLT QLGVIQSFVLPLDSIIDSILNDLIVCLIQGKCSQQTFNKINRQLFAIICTYYQNFVNVLS RQFVKSEQQLGQALINVLTKDLELRIKQQNEEQFKKNMPQFLSQFGII >CAK76862 pep:novel supercontig:GCA_000165425.1:CT868252:180449:184238:1 gene:GSPATT00012386001 transcript:CAK76862 MNFIHKATLVEITIIDNPQKRNSFRNPQQQQQSVLPLNLIQKQNRYKIFSLETVLQIYIF IFNQQCTREMDRSTANVRSSINFLSNNDFEQREKIEIQLIPALQSFQTFIKMSNESQMAQ ISDSLMAIDFFKKNCPEGSSLRDFIMYAGKALTYEYYKKGSIIFHYGDYGDKFFMVLKGN VGVLVPKGNHDIEMDRDFLVETNRNELWSNVWKGRKLQSTIDMEVLLAQGEDPWVISNRY FEGGVCLYQKIYAYYSGQTFGDVSLYTDKPRTASILVLSDDVHLITMNKNEYKQICEKSL QDMNSNVDYFMRMLPNNSKFVITKFMQYMHKIEFAPQSILWKEGEESKFFMLIFKGRVEL VKKIGRVRITLCQLSDNSWVGQEEILDQSNRLSTCQCADNTVAYYIHLEEFNQIRRNFPE ICKILKEKSQLLKEYMKTRYEMIMNNFINKPETIQKQQQKVERKTISEIFHTSIKTKTQD IRSHSPKALSIIEITEYNNKINQSRVGFLFPIQGGFRNLNIFPLDKDSVLSIRDRVCRQL TKQNKKKERVQPQTMREGTLLSSTISDLFKQNEQVQPYSGFKQNDLKKSSFSFVNLFGQG STNNPTNSSDHFTSRLTTQQSYFRMKTEQGRSQIQTQTSFDQKYLMFSKIQEQQCRTKQV TFQQSSHFHSILKQKSKQKNTQLQDDSKTKKVPLIIEIQIIMNFVERRNKTNPDQHQLLS MSNQSSSRNSAVKATLKVYSEMREDGSCKLAMRFTQLKIVLATHKLVGFCYKLKSVRLQH YFDVLILTKTSNIHSVSILNKPQQGQNYYLTPPTKKKSVKFQPKYSIAPFLLLNSIIQQH LHRNFNILQYNQNRKRRHSSFNNVLEQLYRRKQTQNFRILKSRLLLSKTFRRISFMINQK IKSIQLEVLLTTSQYIQNNNPNSHQESLLDSEFSQQQQTLQESNNDIQDYEINNQMMSAK EQLAMKFASTSLLIGILSQIMIKAQFAFLLHLRIGNNQKLDIREIKSIDISENLDQSLIE EEKIKPKIIAANQINHFLIQKLRSYFEQINEGPSRVSRPSIKLFRGGDKKSKSENSNLKL LLTGQKLFKEDDPQQKSTDITANEKKCSFKALPGVRYVTQYSDISKNAISDSEVSEQSII TDYANTLQSIQTSILIKNQTNQQYKQNQRKESLNSAIQQYLSPIKSQKNSPDKESKKTIE NKKESKYSKLTLLYCFPIIIILFIVILMK >CAK76863 pep:novel supercontig:GCA_000165425.1:CT868252:184261:184813:1 gene:GSPATT00012387001 transcript:CAK76863 MQAQPTQPSITTSAGPRDPQWVDRLKEEYTALINYIKNNKSEDNDWVKLEPANKECTNWK GKCWVVHNLIRYEFDFQFEIPPTYPLAPIEIEIPSLDGLTPKMYRGGKICIDIHFAPLWQ KNAPKFGIVHALQLALAPWLAAEIPVLIEEGKIKKD >CAK76864 pep:novel supercontig:GCA_000165425.1:CT868252:184835:185911:1 gene:GSPATT00012388001 transcript:CAK76864 MSKILRSDYEEVLKRNPNDIRSMIALGCHSFNLGYQLLQQQKRNQALYWFDAAISLDPNM VDSLCGKGNCMINTQGDALQLFDKHQKAIQWYDRALSIAPNHVPSLVGKGTQFFKLIAKA LYQLEIDFNKLAKPKLLNKQKTEYSLNERDSLEKQKLEQRFKEKGIKQCILQEDQSKERL EIRLKEKEKLNLNKEQETKLNDKGTHNQYSQAKALHQYKIEALLQGKPKPKHQIELEQEI ELELESQKYFDQALSSKPNQIQALTGKGLCINTFYKQLDAENIINKVLSLPSIQGHNDKQ SDIYAAKGTILINSR >CAK76865 pep:novel supercontig:GCA_000165425.1:CT868252:185935:186656:1 gene:GSPATT00012389001 transcript:CAK76865 MQKPIVSMTKHQNWILIIFKAYLEKVLIQREVGQYFRLIENYDEAIEWYDKVIKIKPNHI NAIWGKGESLRMQDKFRKAIAYFDKVLKYHPKHFLSLYGKGEALRMLKYNYDASVEYKQA LELQPNHIKGLFGYGEVLKLMRKYQQSLVCYKKILEIDQGNMEAVQLKYEVEHFISQMQQ KEQINIMRKQDLQSNSKFFDGLQTNTLNFRKKF >CAK76866 pep:novel supercontig:GCA_000165425.1:CT868252:186703:188050:-1 gene:GSPATT00012390001 transcript:CAK76866 MNSTLSSEDLDNDIRIQQVQQTAPDAVQFYITEEHFNNDPYDIIQQKVATFQPIQSKDDS RCDQAQKDGGKKFEDKKQIKCMRSVGTYVIQQIGRKILSGDMNLTKISFPIKAMIAKSAL EKNLQSTIFFPLYINRAVQTVDFMEQLKLVITATIATFHINLSFHKPLNPILGETVEGFL SDGTTLYAEQISHHPPISQFYGVGRDDSYKYFGNYCYEASAGLNSITLKNKGKRTIIFNG GQRIDYNFAYELYSGTIMGSMKVETLGVSTYQTNKGLTATLKFGKVKRKATDYFEGSINM GQQELCKIFGTYMGYIEFDGVRYWDHRHMQPHQVTIKPPFLPSDAQLRGDYTNLAALDID KAQIEKENLENLQRHDAKLRTKFKEVRRKKEEKKKQHDHD >CAK76867 pep:novel supercontig:GCA_000165425.1:CT868252:188341:188897:1 gene:GSPATT00012391001 transcript:CAK76867 MLISSAKQSVRSSNNESTNFNSRLCRNLQSMTISARFQTELLQLEQQNEPFLFSQQRSTL SSVDFSRQISSKQSCRSSMPNININGYHNPCSKRKNDRMILRTTPCLIYMPGLKREDIKE DTSSQLAYQKQIRSPLLKTPIKHISFQFRNRDKCNPLHKIN >CAK76868 pep:novel supercontig:GCA_000165425.1:CT868252:188909:189404:-1 gene:GSPATT00012392001 transcript:CAK76868 MNPLYITQDELHQMFQSPNQTKRSADSIVTLEPQTVKFQQTFSNIQTNIETIEQLKKELA AKDKLINDMNSKTSQQIESLLSQQEKLILENLNLRKELSASKAQQKHQQQKLDLYMTETK TLQQLRLQERTRYQRDIKNIEKFNQSLTK >CAK76869 pep:novel supercontig:GCA_000165425.1:CT868252:189433:190163:1 gene:GSPATT00012393001 transcript:CAK76869 MQQDNQAQEAQILEDLIIEDFQKVITSLTDAQVAINYFEDDPENPKILVFLNLVIQSLNQ SKSNYQSINIKYSNLMAKIKLIKQHVKELCQEIKIQIKYNTIVDEYLSFFTNKILDQQIG IEEKKQFNVSSKESTNVSELLSALPVEKWNHDIFISKEQIIASLMKRKGKLFLEMQMLWI NTVKSEPKVEEYGKPLYLRASYKSDLIFFGVAVCLCIVVAYCMSQ >CAK76870 pep:novel supercontig:GCA_000165425.1:CT868252:190163:192529:1 gene:GSPATT00012394001 transcript:CAK76870 MDNEINNQDQQQQQFLVDESVKPQNLFTNHLISSNRRSYTNFSQSQLIQSNLPQLLEKVL IHILSEQLIEMGTFVVVDNCDLELFCSQLSVQPLKDFIQNQDSSKLHPQQLQHYQNYEKD LETIKIQIDPRKLRMLIVQCNKLVVTYKSIQLLINKFKVQMYANSIDGELSRLQCHHLLE KIEQELSQIQKYHDVVKQKLAEKNEIAQFLNYPIKDVYKQEIKRQFCLIFIQKDQQTFPQ KQRTEIQPTLTIHKKLPSYRQSTISLLRPGKHTQSISNDNRISEEISIKSRITQHFYSIE KKINDTMSQKQLSFLQKYKTKFSNTTYDSFTMKLIENIYLSIQTNFLINNIDEVFENYND QINLQQLQTIMKLLKTQTCINIFEKIINSKSIQLNEELCSKDLKAKCKQDLKIISDIFTQ EQLIRLHQNIHQLNQTITSYLNQLDNGNKNTINFNLLQIQADFQQISEDIISRMYGQTLL MLKYIQNNSIILLQSLSNFLSKTNFSEQHLLLYPFQQLASAINKTINQVLHQAQNEIVSN LLFETYICIISKHMIDRRQQYIEQLNSQSKLIMLQISQIIQGKLLKQQIYSYSQSKVYLY DLNEIQQSIEWLFDQHILKKQINRTMDSIEEFSFFITQIISQIKALFEEEFFNENIKYNR IASQLQLFENYVKQEILKKPSEQQLKIQWSNKIFRLFHKINNEYLPTSRSMTRRKQTTEP KSLDNQTALQSPAQSSQQQKLTFFKQSIYVKGNRTHIQQTERLTQSTVKFKDQKELEKKI S >CAK76871 pep:novel supercontig:GCA_000165425.1:CT868252:193074:193977:-1 gene:GSPATT00012395001 transcript:CAK76871 MQQVQALPPQFFQGLKIQSTLGEGHDAKVLLALETKGNEKKEYALKCQAQKIQDTAINLF NEIQILIHIKHPHIIELFGYSQDFTCLVLQYCPYGTLVQLIKSSPFPVCIAASLMYQVTL ALTYMHEQGFTHGDLKLSNVLIDSQYNIKLCDFGFARWNGKMAIEKQTIAGSEGYTAPEI WTSNPNYIKSDLFSVAVIFFILITGHPPFESNNPDNHDPWWNLIKNKQWDTYWKDIRSNL DQECKNLFVQMFSIQPEERLSANHLNQWFKLKQTTQENLIQEIKKRLTEM >CAK76872 pep:novel supercontig:GCA_000165425.1:CT868252:195299:196923:1 gene:GSPATT00012396001 transcript:CAK76872 MLPLLSKQFYNQYKSSYQNQQDWIDSSLDSFISRLKNLSTNLKKPTIRVEKSYYYQDINI KDLIGIHKKEQKDFTVNKHKKKSVIKFLHKQYNIIQNQKSQILQNQINKLSDLLSIILNN VKEYKLILKIILMRCITFEDQIQQQQNQIHSSKIIQWYYQNVMAKEISDFQTAIIRQVII FYKLSDNQFLYMICYKRKFFSIEKDPIFKCNFKRIIKDQHSLVIQLYSFEPKINWIKNDN SDLISFELCQNKNKQNFKFAPHIGKLLKEFMNGKVCFQGINNHYKIAQYIQKRNYGSIVR MRNIFNEELVTCKILKQGTAEQELVFRNEVIALQFLNHKNIPKLKEYYIESHHNYIIYEF IEGASLDNFIKKNILNKDQIYKIMKDLLAIVKYLHKEGFSHQNIKLENVFYCSIQDHITL IDFGQSKIKNIIHLKHVSSIYTEITQDQTNANTDSNRESSMEQDYKDCGIVFLQLQAILN KFKNNSKNIEQLRIAKTERKWNNSLDCY >CAK76873 pep:novel supercontig:GCA_000165425.1:CT868252:197099:198504:1 gene:GSPATT00012397001 transcript:CAK76873 MCTLNQGINSLSLIKFIYPKMGDHFKKIDILIRKQQFQKSLSKLEKLQVELNTKLNNPEE CSETELILQSNIYERYAQIFIDINQVYRAMQYLINMIKIEKELFKTQNDKESILRLCNSY AKIGRCCFYCCYYEQTFKYLDYAQQLLIKHGLTQTGVYAMTLTQLGNYYRFMFQDDLAES MLYESIKIREDLFTRQSIEVADSLHGLSQLFSDEGKIEEAMKSISEAISIWTEVLGYQHI KTAKSIYLKGNLYLRMKNNQENLSAAEKLITESLEINLKIMGESSQDIADCYHSLGKIKA YNKTSNEFEQYFKKSQEILKTLYGQSHASIAIILNNFGRSYFERKQYEEAVNCFEESIKI YTQLCGNMHGNLAITLKNCADCHKELGRYKQAYIDYQRSLEIYQKMQINSSQANQIQNLM SQISDKYLED >CAK76874 pep:novel supercontig:GCA_000165425.1:CT868252:200138:201529:1 gene:GSPATT00012398001 transcript:CAK76874 MDDIFDNIFKKISAYRMSVASDSKCENEPNQTSSEIKFLWTPKAEEKLKELHVLQQGNWK SISSILNGPSPIECMHKWQQLHPDQTHSRQLWSPQEDEQLKELVQKYGKKWSKICTVMNW RTGKQVRERYLNQLQGTINQDKWTEEEDKLILKLYRKFGTKWSYISSFLNGRPENMVKNR FYANLKRRYQCDLGDSDDDDFERESAISSDDERIILQKRRKLPKSTKDDQKQKKIQVKDA NQENLQRMTRSKLIKQNDENSQKLDGSNENENNSGQQNANSLINNQITNIKRENLLKIDH SNINSQFLQQPLPTQTANQEAQIFNNSHIFQQYSNMALLNQSNTKIVTDQNSLLYLQQNK QSQLPFAINQYPGIQMINPILGFVSPQQQYVYQLPCQQNYFDQINYFQKWNEFSSLYNNQ LLQSGLQP >CAK76875 pep:novel supercontig:GCA_000165425.1:CT868252:201598:201963:-1 gene:GSPATT00012399001 transcript:CAK76875 MAKYGQFDSPYAKVFFLLQQLLKEELITQTEKEHLKDLIIQNDQSIKNLIVVESYQELYE QVLQFINNKRVSLEGLSDFEDDDSTSKSLRHYLRNKLKLEIKQSNFNLAIKKI >CAK76876 pep:novel supercontig:GCA_000165425.1:CT868252:202872:204430:1 gene:GSPATT00012400001 transcript:CAK76876 MLRKAILLGAFTTGCTFFMPPQQKKDLQGFTAGFINSFSAIKTLIESLYDYQVELTKFEY NTEEYHQQRSIIHQRVADRILRLSIENKGVYLKAGQYIGNLERVMPREFTQTLRVLQDQG PQVSFEDVKIVLEYELQKPIQEIFTTFSHKAIAAASLAQVHQATYNGKEVAVKVQFPQLR VQYRYDLMIIHNIAKLCDFVVSNTGTSELHFSDLFSTFRKALEKELDFTLEVQNAEITRT NFKNNTRIYIPQFYEFSQRVIIMEFIDGVKINDVNKLKNPRECANILIDMFGQMIFKYGH VHCDAHPGNILIREQNGKQQLVLLDHGFYTDIDQEMLQNFRCLWNNIAKFNYKEVEKYAT KLGIKKEHIEFLPLIFFYRTISSKKKLGDAFSVEERQYLRNKDLVTLENINALLRSMPPE IMFIIRAANLVGIHNALLGGTTRDRLLKFTEYSVKNSEKSVWKQKFEWIKLKIALFLFEF FGLTHK >CAK76877 pep:novel supercontig:GCA_000165425.1:CT868252:204693:206130:1 gene:GSPATT00012401001 transcript:CAK76877 MSHKFVIVGAGLSGLSNAFFIKHFFPKAQITLVEQSNRVGGMIISNNRNGFICEEGPRSI RMGKYNRPLYHILNKIGLYKEFVPSIQQPYSYIYWNGKLNAVPLQMNLETISQFVQDNGS GDVFKLIKAYPKMLFKKLDTDNLGDYLELVLGRDLTEKYAESVFYGIYGESVYNLSKALC YQKSHMKGYDEQQISKDLSFDKDFEQARDQKLKGANSYRFKEGVESLPKRMHAYLQETYE SDYKVKLNSKAKCIDLSRKELVVESREEQEEQRLNYDYLILNAPTHQLSQIMINSNLNKV GEMFRGVKCNTLVTRNICWDQKILPKEFKGFGYLINPKQQQVILGMVADSLSFPSQYPEN ATNLSVMSIYDVNDHVILSELSKHLGVKIPDPKEIVTKSWSKAFTQFSPGHQEEMKKIES ELNAKSIIIGANNYTLAIPELVYLSYSKVKQLYL >CAK76878 pep:novel supercontig:GCA_000165425.1:CT868252:206174:207643:1 gene:GSPATT00012402001 transcript:CAK76878 MDYETLFAVLPKEPKKWSREDVSQWLNFVGLQSLQSTFSMYLFIRVVNNSIDGSCLELIE ENDLIDDLGINSKIVRKKLMHWLKNGLKEYTQHIKSVMVDDKRCDKMEQENTSLENTESA QAQYGQINISHDMMTNYIKNNEMLSQQFQQPLCEIENRPQFLKKQQTGIQLEQEVENFAP KISNELIIQPTEGPQTNFYCIKESGGKIGRHSSNQILILEESISRFHAEIVFQDEDFFIK DIGSTTGTFIKVESKLELEIGMVIELGSNQFEIQQLTSNNQTVEVVMLIIEGLNSSEKHI IALNPQKCVTTVGRKQTADLTFSEDHHLSNIHAKICLIEGRVYLEDMGSTNGSWLRLSKE GLFSQLYPLQNQTAFKIGTTSTYLCKRTTQLITDKNNENSCIICIENDRDALYMPCKHNT ACLKCSKNLKDCPICRTKIQDVIRIYKN >CAK76879 pep:novel supercontig:GCA_000165425.1:CT868252:207688:208950:1 gene:GSPATT00012403001 transcript:CAK76879 MGSICAKDKTNKIVIKSYAKPGNTPTPNVPPKTMNTYKYVGEEFQQEIEKNDPSYSQKQQ KWNQYYQRKYDLLNDVNYSALLKRSPSIEQLQQQTNEDDQCKEPKLNSNKQFSKKLILQL AQQLGGPFKLTNQDIIDAYLLKEINNEDFKNILMDGAISKYRWNFWMAQVYRNQDYDPLL YQKLKNETPSQKVLEDITKDVNRTFPSHDHFKDNNQGQQQLLSVLKALSILNEDIGYVQG MNYIVGFSLIVSGGKEEEVFWLIHFINTNPLFFWWEIYRVNFNYTKALCQVFLKNFYEQL PGLYQHFQDEGISEQQYIWQWILTQFLYTFPIDNVIFFWDFILATDIFSIIRISIAFLKE YGFDLVNKDIGEISEYFTGFIKDQLQIDIPQIIEAAKKIDVNMTDDQKQFFKNYKPQSST >CAK76880 pep:novel supercontig:GCA_000165425.1:CT868252:209265:209666:1 gene:GSPATT00012404001 transcript:CAK76880 MAIMIMMQKKPFKHLLQIIQTYSKSFFVFLIYRVGSDSNLEQENLKTVLYILLKQQMKTV LGQISIKIERSIQRNSSVISMQEQHVKWLQQLKETQNEVAQKEGSFKIWIFIRTGLGVKL LIGYNILQQQQSA >CAK76881 pep:novel supercontig:GCA_000165425.1:CT868252:209732:210031:-1 gene:GSPATT00012405001 transcript:CAK76881 MDQKKKSQLIQGYCNGLLFSIFLGIYDYPFSSANATWTKSQLRLDYAKFIGSKALCFPPM LALFQITCAYMEDWGYSYPTQVLAAAGVGICYLSIVKYK >CAK76882 pep:novel supercontig:GCA_000165425.1:CT868252:210044:212889:1 gene:GSPATT00012406001 transcript:CAK76882 MNILILLILQPCLMIQLLDGLIVVEYQFVDYNNIQFALTCKTQFWCLIGFGSTYSNADII RMSNVNGVLKIEDTLEQNKISFTSPTVDAQQDIYVLDALINDTYIFSSFLKSTNSDDPTD ELIDSYNNVEMFYAINEKSIDFDTYTQFGRFQLQTYQCHYTCATCYGPFAYQCTMCNDNS IQVLNGQCNSNYYNNVTELLNKQILIKDEFILQWEYDKNDNIILQIEIFSCDWFGLGFNN DKMENTDMLLITIETITINNDKGYYVQIDDMFGTQNTAPLSDTQLFGTQDWILDGFTYNN VTDLLVIRVHRKLVTSDKYDFDFSQKKDTLSIYAFGLNGQQYHSANRGAFQFELSKNNQS YQEDYWDKLVHNGHVILMLLFWSFISDVGIFYGRQLKSYPKYVRVHGMIFLFLSIVTYFF VFGMISQQQKRIQQLGYQETKIILHFILGVVILLIMTTQIFLGLLARNNLESNNGTYLIY KVKYIHKYLGYLLYIITKIQVIIGITIYDSSYIGLFIIYYVLLFLIKFTIEMLYYYQVSA MSRKRIKYSQIEQDQQTLYESIIEKLNTGTPYQEMIEDYPKITYIILREAVYDVSDFNHP GGQYIFRKIKGREVGRYFYGSYPIKDTKLHKHSQFAINYIETRYLGDFKNESCPMLYTVS DFKKPSHVWTLQEIKSMGTNVSLFKFINQDFKVNQTIQGVQWFGRYFYIKPYGIGIDFQE RPYTAVSCLSEMNIKYRYELIEYLKSKDWTKPLPQLPQLSNILQFVIKKYNGQKNLSKFI HTASHKRFEITGPYGFGLELDDHSSGVHYVFCQGTGVLPFLDLCDYLLRKAIFSIMYQDG LPIQQQYNSLDKFKLILCVSSKTQILGYPILQDLEYLSGQYNLNLFEFHITKLHYTKRDI QDKLKYQAAKVYVCGFQQFEDDLIELLQQCGVDSRYIVQI >CAK76883 pep:novel supercontig:GCA_000165425.1:CT868252:213465:213830:1 gene:GSPATT00012407001 transcript:CAK76883 MFQAQQIKSKLNIFFIMIEQSKQKRSYTKIPPSKKRALIHKVFQNGLQIKQVCMSMKMQA AQQLQLKYATAKTIILLYRIKVVRKKLIFPSNKRCLILPLDNKKNNVMIVSLVAGKLQNQ K >CAK76884 pep:novel supercontig:GCA_000165425.1:CT868252:214661:214943:-1 gene:GSPATT00012408001 transcript:CAK76884 MFARLISRIAMPVLRSQRIQFGPALTILNNRLIIYNLTQVRIPVMDELTESEQDEELQDS VSLTSA >CAK76885 pep:novel supercontig:GCA_000165425.1:CT868252:215137:215972:-1 gene:GSPATT00012409001 transcript:CAK76885 MQEYKLINTREFPKGKLLSKEWHIRIKNGFVYVFAVDHDQDFKKGSVKLNNCILETKMNR NLKLPIKIENLKFEIVIVQDQCFLLMNGRNVEVFEISQRDFQSENRLQNPNLDIQIQEML VVCDSILSALFDMDFHTQQHHINEQLSNLEIFLNQTQNQELRGQIQDKIEQFNQIQSSMA QQINFEQNKRQVKKQIVIKEIKDLNEEQKPQKPEIQQDTCCIICMDREITHALIPCGHQK YCEQCALMSINLQKCSICQQPITGSMKIFR >CAK76886 pep:novel supercontig:GCA_000165425.1:CT868252:216326:217348:-1 gene:GSPATT00012410001 transcript:CAK76886 MTHRYSYGYKKSYIETPKRYQYQSFDRLPKLPSITSDAKQSWKIGRKELLTNLGVMSLPG QLMSGKQKTNQDAFIIEKRLNFYGVADGHGVNGERVSGFIRITLPKYIEQSLLDPRETLI KGVLQTNNELVNNSKIETVIAGSTLCCGLIKLNKLYIANVGDSRCVIAKQTGNSWQTIEL TKDQKPSREDEAIRILKAGGRIAAQQDIYGNQVGPLRVWLKTLNAPGLAMTRAMGDRLGA QAGVIATPEITEYELTNEDKILVFASDGIWEYLSSQDVVSILSQCYDKNINAELAAQKLL NFAVDAWKRNSLARDDITCVVLYL >CAK76887 pep:novel supercontig:GCA_000165425.1:CT868252:217369:218078:-1 gene:GSPATT00012411001 transcript:CAK76887 MNLNLNLEDYESALHAIETKSLKMGVSDPLDPQMSQIFYLYGLIEFKKIENTLPFQDVTQ EFKKIDEFLTVAAEICEDDDLLIKIYSLIGDLYFLVDLNDIAELSYLEAIKISRDPIQQV QLYIEILQLRIVFTKQEGTAELFQKAKQLAFDNNSHLLDAIFKLEEEYVVSIQDSQYTPK QENTQGEIKLRTSQKYKQTRNLGVFGSYKRSNAKEELMN >CAK76888 pep:novel supercontig:GCA_000165425.1:CT868252:219173:223270:1 gene:GSPATT00012412001 transcript:CAK76888 MGICSSKKSDKEAGIQLQIEQTVAEQKKLKIEEELQVQNSTRRSICNQFSTLNTNGNAVT LHQAEQEVQEYQDGEPEQQQQPKQAKTSKKKPRSTKDDVNYYRRSDHVLKVFSLVVELTQ NDQKKYYNITIGTKIKDCVHYAHMNGILITQNDFELFTLQPETFKEANKYSRIPTFFSTD NYFNIDKESPNSFISFEKLLNIERKIALKQTQMRSKKKQSMIQDQHLNKKEADFILTTNL EMLEIITVVDIEQQSRFLNVLFHLILQHYHQNLDQYLVFIINTTFLFDIPPQFDQPNMYR LALFYDSLSYSLKDLQQILLNDKSELSNVLHKKLSLNLIEILIGCYEKYLYRLNFTISTV FYVPKYKSFKLESFGRMKTLIEFGQNPEVTLKTLEEKKYKTFVKSFKKDLYAITDMIIYF KRVQTQNLRTITTTRKKYFVNMKKEQLENLEEEKNYCLLAEKLFCHNQDRYLLEFLKMAI YSHEGSDVDKMIQDFKQATNSLQKELNEVDFQQDSKQFDEQDQNQEQQKQSNSNSVDLDE LAEVKQIDSFPVNIREDYKSIALNKDKISEVDQTYQEIIYQSLLYGNKFQACYEKFQTLQ KPIFQNCYVEILNIFYFIRQYPEDSWQEKLKVVSQKMDKVIDIIKTIKDDSVDNFHITLL FQIMTLSQKKPFVILNQLQKCISNQLKQKMQMDHRLRSQNQAKGLLEQKKHVMLQVWSAL SYLSSDNYFQAISKIQKAIGFQLKNQHQASLSYGYSLLVSGEIARISLAPVSAMLNLEMS LVIYNQFFPEFKSVEDQLDIQDKSEYNPFQIKYKDIKVKNLATSNKAKVEFLLGMSYFDI HDQENSLLCLKRAQELMLRSFHIYAEEVVFLVLQQLWIHVLQDDIGHAMNIALFYANDMN LQYRNGREFKKKIISKLQFIIGSIFEFNGQYLSAIRFIERSNRTLTNAKGNNFVIQMHYQ AKKIQIISKLREAFFKIKSKQQVRCEQDLSLFQTLNESLGQTFQTLYFKQPITLKTSVLL GKVGKQIIHIQGLMRTDGQTKAMKLLGELIKALNKSKKDEIYGLVQDKYLTLSLEKMNPK EIEVQIHSIIEIHDRYLQFPLKQHHVLKCQLILLCVLLKQKSTNQIFEHFKNIEQTIEDF QNILQWKSLSSSSKDDVYVQNKFETIVKKGRIAMVYQIANVTELIELFNKFKKKIFENLQ NRDKFVDLANQYQKKIINSQAFLKLLETKQECLLGSYSQVKIINDGEHELQQIEPVAAIQ RQSTQHLKVVEQSTEVDTKEHQKLVFDLRSIEELMVEKKLAHHRKGKTQEELLRIEETPE PEKMKKSHKRNETEIPVNNALKKKKADYKINPFQSTLKKQQKQQL >CAK76889 pep:novel supercontig:GCA_000165425.1:CT868252:223530:225095:-1 gene:GSPATT00012413001 transcript:CAK76889 MNSVSTNTSFTDVRIVKIYFKIHYITQFGQAIYLCGDDESLGMWDPCKALRLQWNQNNEW TICVKMPRIARKFEYKFLVNDYNEPSICKAFWEPGENRIITKHLLLNGKKSEYFNQEFWG YRTIKLKLNHTLQPKERMMIIGSIPEIGSWKSPVLMKQQLKIDILTQEPIQQWSISFIVN PLNFFFRYYYVIRNDETGNMIWERGNGRYLKTADLSSIRQVLDQYDLHPIKVKTQIYTAF QARQIHKNGSFSSSKIPKSKIKKNNQGYSFADKEPSFFYYEEFGRLNKLDWNFVVQFQTY EINENILIGPYPQNEQDILYLKQKQVRAVLNLQTRLDMFHRGVNWEQIVDAYKRHNIVMK NYQIFDMDSEDFEKKSNKAVQILKKLINEYEYVYVHCTAGIGRAPSIVVLYLASILQYDL KEAIEFVKQKRQQFYINYSMLKKSFQKTLVFNHGLGYQDLTQTL >CAK76890 pep:novel supercontig:GCA_000165425.1:CT868252:225559:226542:-1 gene:GSPATT00012414001 transcript:CAK76890 MKQAQPFIPLAVDDNKQYDIKNVLQKQFKQLTPEEYHFFSFLIATNHVFEQLTNCQNKLN NDPTFSLWKLFEFFDVNGDRKLQLDDFIITLQKLDILLKREEIESLFSTLGGEDDNIISY AEFANLFKFTPTPQWRQSKQLSEGVLNQDHQKLIHQIFSLYAKMERSILHLRTQIGNDIQ QLENFFRKLDQKQKGYLVFNDFVSYLKSKGVQVKGNDYYRVFFMLQQYKSGRISFNEFLK KFSTKESYNNYLHQLDLLIHPPQLAARQSIEQQPVLQYKIDSTTNFQGKQMEQNEITSKI SQQSRFSQDLDDSIRLPGYTRYVFGNN >CAK76891 pep:novel supercontig:GCA_000165425.1:CT868252:226834:228759:1 gene:GSPATT00012415001 transcript:CAK76891 MFGGGIFGNQNPAQTTGGIGQLLGNVIQDNQGQQQQSTFGAPQGGAIFANPAQQQGLFGS APGAQGQTGFGGLMGGQMQQGGLGGGLGLQQQPQGTFGLTGGLGQPQGLGQQAPTFGGLG GGLGTGLGGNPGSGFGQQTGMVGQAPQTGGLFGQQPQNGGGLFGQQQQPTLFGQQQPQQQ QATGLFSVQPPVNQPYGQQQQQQGGLFGQTPQQPQQAGYGYGQQSTTPSFGGNSLFGQQQ PQQQGGVLGMGVGLGQQAQPGNQIVLPGGVDDSVNDASLEQRVRLEDTVSAISWGTTIPN FLAISSWDGKVRILEIQQNSYKRELFERRSFQVDGQVGQVKNPIICMDAKGDLSQIFVGC GFDHTVKVIDTNSGQIASIGQHQALIISVYWIESAQMILSISTDQSLKMWDVRAPGQPRF QCQFQYKPLVSDCNFPLLVIGFASEKLSIINLNELQQLPGRFQYIDSPLGTYSQLTALAI FPSRDGFTLGSIDGRGHQTNITTKQTQGMPTEFQLKSIMTFKAHKVEDNQKGKVQNYFFP VNCIQMNIKNNYFLMTAGGEGQMIFWDINVRNKIRTFQFNCNPIVCAKMSPDGSMLAYAL GNDFSKGPEFFNEFQPKIQVHFIPENELRYPKN >CAK76892 pep:novel supercontig:GCA_000165425.1:CT868252:229010:229808:1 gene:GSPATT00012416001 transcript:CAK76892 MGASQAQKLFQKAIETDNVENAQQVLKQFPELLNTILYPAGNISPLARASWRGCLDMVML LCEGGANPDASDKDGLTPLMWAAKRDHANICCTLLRFHADISKRSREGFTALDYAILLGN YDSAYIIYEFDKLIQDPASYELIRTIKQWRYVNYEIFLQSLQQSIMPQNVGDYTTKPLGR VYNDPVVDPRESWKDMLKRIMKFDPPPICERSELPPHLQPQNRLLGRLNSYIYGMNPMPI EGNSMEMQVPETNDIA >CAK76893 pep:novel supercontig:GCA_000165425.1:CT868252:230021:230572:-1 gene:GSPATT00012417001 transcript:CAK76893 MGFEDQIPPIPNRDQEKRYIATVEKSFYDQPLYKFYMNEALRERKMDYADKLNTFKYEWI LNFAASGIVFSLLYFIPVSYFYRQTSTGVPTYYQPKNKAVFKQGYLQNQNWRRFKLYSFL VFGSAFIFAHTYTDRSQIHDEYYNNVGVIKPKFE >CAK76894 pep:novel supercontig:GCA_000165425.1:CT868252:230577:231017:-1 gene:GSPATT00012418001 transcript:CAK76894 MNPAQTIAQQFLQQYYQTLMTNKMGLIQFYTDASHMTYGGQQHDGLKQINEKLESLAFQK IVYKIDDMDVQPGALENSLFIFVTGQLQMDEAETYKFSQSFQILPNGQGGLYVHNDIFRL VY >CAK76895 pep:novel supercontig:GCA_000165425.1:CT868252:231118:231895:-1 gene:GSPATT00012419001 transcript:CAK76895 MKNYEQQSILVDKEFCDQNIFTYYQRSNQIHPQIRLFALQQNNIQRKLNGNANLSSIQHS MNIISIFMSPKAINQQVCPNKVLPIEKTFKENKLNSRVTQLKPPIKGLKLELSKEFQPRI NQSNSLKRKICKSTLVFETPVYEDCNKHYTDQKPETFRYKDLELNSYIEEYSLLKKQTIA SVKLHNGVQHQSRNENSQTSRLSIQLYSHSFKPIIKTKSISQNQQIQQKNFNISGWSDHS NK >CAK76896 pep:novel supercontig:GCA_000165425.1:CT868252:231932:232042:1 gene:GSPATT00012420001 transcript:CAK76896 MQGGMDDTQDKQQGDQDLNNEEKHILEQKCIKHLKY >CAK76897 pep:novel supercontig:GCA_000165425.1:CT868252:232167:232488:1 gene:GSPATT00012421001 transcript:CAK76897 MKKRSKQNSFDNRQWQNHWLNSNQVAYPQINQDEKLKAQLQASKTDGKADPKNDKNKSPQ KGNKDQKEKNPKDQNQGKNDKKDTKAQDKTDPKQKKK >CAK76898 pep:novel supercontig:GCA_000165425.1:CT868252:232611:234584:-1 gene:GSPATT00012422001 transcript:CAK76898 MKKWDDDARLKNYVNKILNVKSTMTNKLNTASKKRINRDTEYSSNATYRSDLVGFKDSVL YKQLEQSNNRQLVEYNLQQYTNKLLLRGYKSGLQQLASQSQESQNIVLEEIKLLPGHRQK FVDLFKQLNEQQEPGNDNKVVNHNNFAQNRNTLPGSLNSNGQIDQAKEVFTEILKPINRR ASLKCFSPSHEQTQIKPRVLPKLEKVQSKGKKERSPQFEEINSKQQDLQVTNVVQMTNTK ESISKQAAKTVKNPIQNQIKQKLSTQKSHQEKPNKFINKLLLINGKNKNEINLEREQIQM MYQSFDNGRLASTLINIDIEEISYCVGIQIQKMMVLADLDQIKNINKYAIQQVDNVSSLS DSQNIINDENNGDYIQDQDFQMEQVDTEKQHINKESLYQEEDQEQDYLEEFENNEQQNCN PESEYLDEQFQAYDENNQQLPKSQFSVDTTLNLQESILFNKVFIDMQLTNYIPNVDIIQN YCKNIMTTTKMEREVAIISMIYINRLLEHNQGIEINCLNWQKVLFTALVMASKIWDDESF ENNNFAKVLPQFSTIQINEMEKVFLKLIEYHLYVNSGDYAQSYFILRTYADKKQRSYAVK QLDIATVLRLQRGGQQLMTKQQFLNTLNKSF >CAK76899 pep:novel supercontig:GCA_000165425.1:CT868252:234739:235062:-1 gene:GSPATT00012423001 transcript:CAK76899 MNHGVFQHKFDKQKQRTITLIQKLEKDQRQLDKIKQRNILRVERLMSLNQKDLNTVCNSS LSTDHKNEIKFSLQSRKNTFQIKLESLEESFVFQKIDTNNQSRNYWY >CAK76900 pep:novel supercontig:GCA_000165425.1:CT868252:235328:237943:1 gene:GSPATT00012424001 transcript:CAK76900 MYDTRQTYFDKDSSLHNISNLSDKKDYHFYQRDLLAELNHSQQRLTVQELENKVVMLATE NERLLSQLNDKNREIELLQEEQRMLQNAYQSQKDERYRAIENRTTKMIYENEKVVLMNQS LQIQLEELSYQYESLQKKFQVETEIIEQKWKAHYGELFEQQNKNLTANIEKLLTELKQNS DLISSYEDKLKSCSKELQMAKEQLDYKDKKGNELLNNNQQISNIIEQQDAVIKKNTEKIQ QLQMQLEQQVNENRQSKQKLIHQEKDIESRRIKYEELIIQFEELKLLEKEERLQLEKNDQ EFQQYKHEINQMKSNLNELNRNHKLQQQENQHNYNKEINHLKKQMQQVIMENEDLQKLLT QTRQNLNHEITKTNNLEKQIADNAQEIQTKEQQLLMQYKELEAQKLKFDKLFQENTSYLK QQQNQFDIKLLQNQQQNKLQTEQIVQQKNKEINNLNEKVNVLLQKIDEQTSYIQQLAYQS NIKGEEIEETRPQIQSLRNELHRLQQLTVEQSNEIDNWKLKTIRMEENYEMQNKDQLEKL QRFQDEIQQLQTKLHKTQEENIKVNNNLQETLSNLNQIQQLLSETHQQLKFAENKIEIQS QELVETIQLREQLNLLKEKHQKEIEALKKAVDSSLKVKIEKEIAQEREKLENYSQQITLE KRGLENVIQNLNTENQLLKTEIMQQQEYFKLQVQDLIVDQQKHLINIDHVYKLELKGLSE AQQIQYNQFVVQLHSQIATLIEENKNLKEQSQSWQQQLKKSEKQSSKLITEMECRVQSLK KDNSRLIDEKCYEKSKNTFLETQIKRSTPVREVRSSSTYVVGTPKRNRYGRQSVLREIDL INHYY >CAK76901 pep:novel supercontig:GCA_000165425.1:CT868252:238106:238914:-1 gene:GSPATT00012425001 transcript:CAK76901 MNQTGDQHILNHQLNIQNNKLSLQVEVLTQQVQLLIKQNQQLQAKVADYQNLKAENITLK KDIMNLQEKIQEQESEQLLVQETLFNQHLEEMQKKHKKQIQDLKTIYEDKIISLQRERSQ MQDQTVQMGISNQASKTLEQQAAKIIQISQKLEKTLSDLPTQSPSHGHIGISKEMYDQML EQLKSKSSKNIILEKIPDQQNFSLRYKKTSITNIKKEPNFGIKRNIHHSKPYESPQTQNS GIALTISTLTKRS >CAK76902 pep:novel supercontig:GCA_000165425.1:CT868252:239059:240072:-1 gene:GSPATT00012426001 transcript:CAK76902 MLQGEANFAEKRQMRRAKTNFENEMDDGMNEKMTCYESVLNCFGSFFGTLRAWLPCCCCF CPYPYYEITQGQKGLLQKFGKYQRTLEPGLHEFNPFTDRIIPVSTKTFIIDLERQLILTK DNITVNIDTIVYYRVVDVCRSAYRVKKIVEAVKEITYATLRTVAGEHTLQDIIENRQKIA DEIEGFVFDVVSEWGIYLEHVFIKDMQMGEELQSSLSNAPKAQRLAQSKIISAKSDVEAA KLMREAADMLDSKAAMQIRYFETIQLIAKNKNPKILFLSMDQTQKK >CAK76903 pep:novel supercontig:GCA_000165425.1:CT868252:240204:241563:-1 gene:GSPATT00012427001 transcript:CAK76903 MEEKLSELKLQVDQLTEEAEYDFQKGEHYKPQVTQIIKQIDSLIDNEDLEISKKHKIELY FLKGKASDILPEYSKFAEEALTKAMKLNPFHIDSLNTLGHILWKKKDYVAAKQCFETAIE KDPNNIKSLQYLSIVLRQVGDQKDKSQNVTKSLEIAKKALTFDLKNAQSWYLVGNAYLSD YFMNPKKNNNELNLALSAYNQSEKNQNRENPDLYFNRGNIHCYFEDYQLAFNDYIKANKI DQSLTTDTLKEVQQKVLKVYDLVTNKGRITQKKLQNIVKQIPIGLREQPKGFDHPLQMCT IGDLKDGINKGLILASKSLVSYTEQNTVPAGFVIVDSKLNFTSLSIYNASQEIYEKIREL TDVFIIEPEVKQISCEIDGKQISYMCIQVKDANKIYVENEKIVNQLAHSMVVNQTFEK >CAK76904 pep:novel supercontig:GCA_000165425.1:CT868252:241862:243696:-1 gene:GSPATT00012428001 transcript:CAK76904 MSRNNQGPSISTLVPILQRQCPTGRVTLNDMYIGDMGAKLVADTIKNYPNIGILDLKGNN ISPNGFIEIFQALKTNISLRTLTLQWNQLCANNNLKALELLYQVMSINKSITHIDIQNNR LNTTCAGLLANIIRNNPQLRTLDLRWNELGNQGVRMLIPAAAANGYILSIEIQGNGATED SIRELNSVLKQNKDNVNMAGMQDPGKNQGRYQPGQRQPLKEMAQHLEEERRAVAKHLEKL IERERALGLQLKEEYEEKTLQWRQKLLGNQAKQDQLERNAGELQNHKNILKNEIQKWDNN IKQIQQQRSFTTKALEERFQESEKNIRDLEKKQQEELAEQQRAHFFNLREIDNDWGQKCD QMMDENRKLDDHIQIQSEQCNKVKDDIAKFNSSSQIQTMEVIEKVKKLVLEEFKEKLNQM EKQVERIDQDRLKIIRDSQQCILEAQKELRQITEQYSDMLKDKVRMELENRNLSVDNYQL EQFSSTVTQDLVYRKDLEKKVLEQLTKIKEKEFKINNHEVEQMNKINNNFKNDTTYHSKF KEDSRHRDEALDKTLRESDIENHKLAKDINKLTELLSARSIKAVLSTFQEHKVF >CAK76905 pep:novel supercontig:GCA_000165425.1:CT868252:243788:244625:1 gene:GSPATT00012429001 transcript:CAK76905 MDDDIPDLEDFSEQLQKKKEASVDIGDYAKPVEEKPIQIQQPKKQDNDLTGLKKGFLNDQ KKDDDIIKPKEKKNPLQIDEVQENMKQNNQSQFGQFMSQNKEEWLNQDLLQKIASNPKLQ KLFTNPEYLSAVQQMQSNPTGIMQRYKNNPEFVELMSEYMKTMGEHFQQLKPQKQDKPQQ QQQQQQPKIIPVDQNPPKKQPQTQEEKVQYIIENDKEVKAVLQDPRVVAFIEYLQRTGKA DFQEIAYKDPQLMQKLQILIQKGVLNVQRM >CAK76906 pep:novel supercontig:GCA_000165425.1:CT868252:244640:245026:-1 gene:GSPATT00012430001 transcript:CAK76906 MLSNQTNQELIIAINNQLENSCPQTNLCRQSDPIAEWEDQKTESILKINHLSITTDDQTQ DRKRFYSNNEFRKVSFDESLNTVHTYSKDNKKEIKNFETSFKHKKKADKSDLPKSKRIGN HKQRKNSF >CAK76907 pep:novel supercontig:GCA_000165425.1:CT868252:245131:245799:-1 gene:GSPATT00012431001 transcript:CAK76907 MHSYLEELKKKEIIVKQINSLIQQLQQHHLTQSLVPKSHQDIAEFMVLNRLRNVDTYIQL CEMTGKCLGQARSDIKENDRTINDLFHIQLLQFGLQRKKDEQKAFRKHYKIPIIHINTPS TEQESCSLYNKKLKKKDKYLKLQKVIEQIQSNQSLRDQVKIEEYFQTKKMEKLLQIDGWK IIDQSPLHQPVSDQLIKGSLHNYYTYCRSIVEDFMHVIDNKS >CAK76908 pep:novel supercontig:GCA_000165425.1:CT868252:245812:251203:1 gene:GSPATT00012432001 transcript:CAK76908 MIAFLSGLILMVIQVMAKKQKDFLFDLKESKSIQVDLSTSKSDKDYLILFVRPQEDEKDV DLGEIQFKSADETYKLEDFYENAIFKSKSSEINLKCITSPCKGRITLVNSDVLELTTSTQ ELSLTQKQPAQIVQLMLPSQYDRLVGEFKLLDDESDKIKIEVFDERARLYGDKVQISVAL SSKDCEKCKVLVVLSGDLNFKEETTISSQLHLYRDLQDIEINEDVQDYLFERNENQYRVK LPQSYNIIQIGILGDLLPVIRVTNNQDSEIFNSQDPIQISQKGQAYHIHLRKAEVNNNNE IIIRVNKGGPLKYSLVVKILDEPILYNNQIILATISDKGSHIYSFKTSYSDQEIGVHLKY YQKGMNSLKIGECDGTCTTNTEDTHNIRFRQHEQNPSAYVSPECSSKDQEGFCRFQIQII SEMKNTYLLNTVNEINRKIIKSDIPYQNYLEKQQQENLIVKYQHQDDDVELIFTVNTHNV VYLISKDSSCYPVRQECAKYIGGSDHLVILRGEQLKHTQFYVTLTTRETTIYQFQLKVLK QSDIDVKILKEDETYRGVLSFINGQQKIQYFRVVISDQVDQNIEIIQDKETSPTIEFAIH SSRMQVALLFNKGNQLPNLENYNLITKTNYLSISPDNQYYTNQGNYTIGIANAFDKATDK EIMYTLTYSTSRTVKTLHVGQQFVDMAFGRKSKYFSFYYSRNASSFYVSLQGKDSQKLIL KVSNDISQNSQEVKYFKKEHSSTLLLEQFELNKLCLNGLTEEQKEEEEDSNQLLFCQAYV IIENKGQEDILFQLNIWNPDARIEMKDGQQYQFNLEYLSKETFLYYKIISNDTDVQLHIN SHYGYTKYEIEIIDTQNQQAVSLFAKEEYKTQHSKSIYMSAFAFCGKNCLLKISLVAQQQ EYNISQINRTLDFDDLVYVTVTQEFMDIQTGIPTQIQVNQNSPRKFIYNQINDLSQESKM KLVLHEIYGRGTICLSFNDEDQQNLENCEFQVLGNVLELTKQQIQSKLDELKLKQNPYIV ITVFSLVSTSKLQLSIEIFDDKNNHKLVLGIPTRIKMNAQEESFYQYFNIQSNDDLYFKF IKMQGQTYIEVSRCLDDSKIACESEVIINEQFLTGQSYSQHIIHKSDIKRYCELCTYVIK VKTIGNSLDLLIVITSQLNFVQLPQNIAFTDFLDGPDDYNIYHYSYDTDHQIEVQINQYN GDTQMWIGYHADLNISNYLYGPYNTIKQMKLMNITNSITYYQAIIPPRQHLEENDKDYNI TQQINGNQTLVGHYNDDDIYIIVKNNQQRQTNYSIIVTQSTTGNGQLLQDGIITSAYLSK LTPTITFYHQQKNQQQLQLFVKVNPYGNLNNLPFEDYFKIEISDEANSSNFTIIHAFSIR NNQLTYILPVLEGMITIKMHSLLEISSNDFNHVDYSFNPRGDIIPIPKQPQPRLYYFSNN YLNRIDLQISIVGQDVYMINQNSNQIDMIVDQRPKYYESYIQGDGKLAIQVYNCLGDLSV SITQDYDQFLNNTYNGTKTTLEGQLTDILMTVKPGPIYYQFSSKSSVYKFTTKLYSQQDK IPYGQLILGGDGQINYFFGTTDPDIITIKFKPIKCVGCDLQQEHNSVIKYYVNWGSSVEY SHIIGLCQYHSYNNLNKLQVEHFDQKDVGNYYLNQTEEISFNITVDKQSLHNQLFISIRA QVLQFNNVPVGDYELYYHIAEVGLPKNAFYMYKHRFFEFVIALSILAATTFSMLCCLCIF YRKARKLKKQNLNMQLEQRMESAKQKEQTEVQVGYDSFQDENNEQSNQ >CAK76909 pep:novel supercontig:GCA_000165425.1:CT868252:251227:252654:-1 gene:GSPATT00012433001 transcript:CAK76909 MIKAKKKQQQNKMEQLIQNKRQEHLELLNQLEKLEIQQPSKDIQLIQTITQQQKKEQDIE IIAAPQLVSPKWLMNLKPTMTYECDVVVQEESELDQIVKHQLKEKIQKQKEMQQQAYLEL INELDLQSKHHAYDEKVKEDFKCTYQYLSQGRLVSIDIKYYDFLKLNPQNYVNDTIINFF LRFIENDIFKNKSLLIYNTYFCTRLLSFHAEYKQIYAQYLQNNQMLQRWTKENIFMKQYV LFPLHLREHWAVIFVVNPLQVCEQLCNNNYQLSNDVNKNGYLIYFDSLLVQDQRIGIQIK FYLMHVYNQEHKRYTDDQIYEIVMRSTFPVHQPIVPRQTNLVDCGLYMLEYVERFLMNPY QILNNLEQDHLKWFPKVMIFIKRILIKKILNALSSGQKDYALRYQENCRMIDQQFTDSNQ YDYIDEQLLEQLQVPRLQFHLSEDYNYYYDVSPSVGV >CAK76910 pep:novel supercontig:GCA_000165425.1:CT868252:253168:254291:-1 gene:GSPATT00012434001 transcript:CAK76910 MGTCQVNCCAMKNGELVSKDCRKNEIDLDNIDLQNYEDNGSSINSGSRQEQINQSPKSIN KEREKFDADNDSQSLKKNLDPQSLKEVSITYINCMERQKFGPIQLDKGAIYEGEWLLGKR DGFGKQQWPDGSSYEGQWKDDKSCGWGKLLHADGDIYEGEWSNDKANGKGDYIHINGAKY QGNWVDDKQEGLGVEIWPDGAKYEGEYKVGKKNGQGILIFVDGSKYEGTFVDNQIDGYGT YQWPDSRIYSGQWKRNKMHGHGQVSWMDGRKYIGEYVDDKKHGRGSFEWGDGRKYEGIWI NGKQQGIGVYFLPDGEKKYGEWKDGIKKRWLDVEEIEKYLVQNQSNQQLK >CAK76911 pep:novel supercontig:GCA_000165425.1:CT868252:254677:256123:1 gene:GSPATT00012435001 transcript:CAK76911 MIKNCIYPLIGFFTVVGRIFIFFCFWWAYDGVEEAFSDKRLGYQNEFYAWESPPIFKIQQ LFSNPNCPDGTEILYNYIWQGRQSFTEDGVEQKSISSHQFKFWVYEPLPSKKFILCATLG LKNETYAQFGRVKKTCEDRQMRSCSNGRFCVPSTYQYCPITKVEFDEAGVLKTEVDPSEM PIAYFKVDTYFVSVYNNRDQNLYPNQPQDDKLVEKYSSKDIEYKDNSFIPLNTVNDQLFY SINSASDYDRTISANYNKTLFYRRYKEWQYEALGDLFDYAFNIFDSLDEIIMLSDSGTIT SLIYLLLVCYLIPALPVILRFISVFKVENIFIWDIVIRMVLLFTLLAQSLYIIKQCQDVV NYSNLLLKNDNIVGFEDQYDGVIELIDGQEPYHIISIAVSLGFLGVEVFLWFTIGLKACF AWIMKIFKQIKFKKQIKEEIRREEDIENLNQLHSKQGNAEQEKLN >CAK76912 pep:novel supercontig:GCA_000165425.1:CT868252:256172:257222:-1 gene:GSPATT00012436001 transcript:CAK76912 MRMNQELEQQRRALKEDYIKFKNKDSNYEDDNYDENLDYDENEFNDVYKDDSDQEQDLQQ QQNYDSSEDEDFNNDVAVQQMVNQVRNIKSADQNRDSQQPIKYDDKKQEILEQELIVDEQ KKILRMQSLKADALKQELDNILQQNKMKENLIQEIELKGKNIVDQTKKYNAQIVSLNEKY EQFRQKLLEAQQKTKASEKENLAITKEIESVKKEYRKIDAEANKKDIGINRTVEQIQKLK LEQQKNKLSNNDKQSDLSLNIDQFKKDNQRIEQQITESLQALKKQLKLIDILKRQRIHII AARMFQFIESDFAKTTDLSNKIQY >CAK76913 pep:novel supercontig:GCA_000165425.1:CT868252:257286:257892:-1 gene:GSPATT00012437001 transcript:CAK76913 MGTNNLDEWIEKGSLVRSREFVHSHRNKLKPLVPRSIEKPTMGLQSNRNFIATNKIDVIL KSPKQPQEDPNWITKKNYGQIPLYLSQIKDQLQQSYLEEQNNAKRQLEEQNNKLQLLSEE ELQQIRDGLKQRYDEVNKQYQQYTHLKKVDTVGQKRRKEQFEKELIQLEKDMDKMKKQYV FIEK >CAK76914 pep:novel supercontig:GCA_000165425.1:CT868252:258208:259464:-1 gene:GSPATT00012438001 transcript:CAK76914 MYFVYFASLICLLAAQGEEESIEDDEFLNQPPDEESSFAHPLHRTYLKDSYCMDGTKAAA YVFEGSTDDLVMYFYSGGICVQDSTKFLKYGDYAYIDNCTHRNSTFYGTSNGYPEEFNAN QGLMGNTQYQNVHLRKAHKMFLMYCDGSMWHKQMNPEAFKGALSQMKLIPKRIILAGSGV GGWYLVNKYNELRTAIKEFYSEDVELRILLDSVIFDISRNQEILDAYTEVTQRVGITIND IFSFDALRKVDVPTFIVHSQYDWWQLEVNDRFECIGKIHLDKCTPKEKKQIEKIRSGILQ ELKDLMKAKPDWGLWAISCVFNEMVIWTEAWNHPKFQIPMATGGKLSDKFQEQNICLVFS WLENSGDNHVHYDIVPWPDNKPCSNIFHNGKTDPQLDEMIRNYEIKESRRKREEKYDL >CAK76915 pep:novel supercontig:GCA_000165425.1:CT868252:259506:261128:1 gene:GSPATT00012439001 transcript:CAK76915 MIKDDVSIIAPTLKELKANFLTKKSRPIPFRLQQLHNLLRGLKELRNEFYEAFQKDLGYK DQYFCELVQYQAVLTHIECDIKNIYKYTAKRSVSTSIMAAPGTSYLIPEPYGVVLVIGAW NYPIFTCIPQLSQAIGAGNAVVVKPSELVPHVSNILAKLITNYLDQSLYRAIEGGVNVAI NITKQHFDLIIFTGGTEKGKLVAKAAAENLVPCILELGGKGPCIIDSSSDLALAGRRVVS TKLLNCGQTCVAPDYLLVEESILDNVVNTFKQQIKDFYGPETKNSECLNRIVNEFHTNRI AELLNNHGGKVVHGGQFGLKEKWIEPTFVVNPDPNSELMKNEIFGPILPIITYKNIEDAI NFINSRPKPLALYYFGTNKAHKNAILESTSSGGVCINDCIFHLINQELPFGGVGDSGYGV CGSKFGFDQCQHLKPVLEKSTIDPQARYPPYTDSKKSQMKFLSMLGTQTQSEVLYKLLLV LLLLGVIIYVTCFCGCSKNKQQADL >CAK76916 pep:novel supercontig:GCA_000165425.1:CT868252:261137:262719:-1 gene:GSPATT00012440001 transcript:CAK76916 MDWRNKLELIQNKIRGQLHARKIDDLEGVYQLMAEFDKDNSGYLDKDEFQKFLSKIGVFL TTQELRAVYDKYDQNKDGNIAYAEFVNLIRENMSDKRINVVRSTFAFLDQQRQGRLLIEN LYRLYQSKNHPRVRTRQKTADQVTKEFVNAISKRSKDGQSISEDEFLNYYADCNATLPSE KEEYFTDLLTSTWGVTSGADYVSPERLAQLEIILFEKIRQKTVTKDDEGKTAKKAFMYFD LENKGTIDIYQFAQALQKFGCVFSDKEIQALFNKYDADKSGRLCYDEICGLIALMGSGNN ANVNPVFQIARSPPSETLNRIRADLIKKGQHSVIRMATIFANSDKNKNGTLNRQEFQWAM KESGFLLTKTEYDNLFRYFDKNCDDEVSFFEFISFLRKPLTQFRADLVNQLWNRISNGQP SVAIQQLKQFYDASKSQDVSLGIKTVADATKDFNEIWKDVQAVTQQQFNDYLTDISALIE SEPAFEKFIRNSWR >CAK76917 pep:novel supercontig:GCA_000165425.1:CT868252:262894:263235:-1 gene:GSPATT00012441001 transcript:CAK76917 MIFDEIPYDDSNQNEIYNENTNHEILLQQLKNLATAQEAKIYSSFNQDWKAQLDPQVRSW MTQVRQHYFETQKKSQKVISNIQLESYSSDNQTADISLDDYFQL >CAK76918 pep:novel supercontig:GCA_000165425.1:CT868252:263666:266207:1 gene:GSPATT00012442001 transcript:CAK76918 MKAVQTFIKEFFQQNQIQELYKNECFINSLTLKFISSELEQCYQNRQMTKVVIQFRLSIM LQIIINVIYIIDTYQIYQSLDLVIYRSLYTVWMLISLVMQKISQRYWSALINGLILINSV FNILLYFYLMKFVIKTEDKIEDVISNSCVSGLQQVLFAISLILIQSNYLMQSLTITSYFL TLMGIFEQFQNNRLWSQYILLLFTCLLLRQNEKSSRLNYLLIHKKQTNLEECKKLFDDTI PTSIIILELIQKQSTQRNDLLNSPFSLKDELYTPGNRSMNVIYYNKSASIQFETESEDKF IERLQELEMVIMDNLNLDKEKKKLLEKIIELQSTIQTEIKLNSYYPFAYQIISLHHDMLC SRLTRMQDKLQFYDTILQGCLWDGKLCMMVILNNATERELRLQNLKELDNYKDNLLASVS HDLKTPLNVQTLLTNVIKNGLENKQNIQSQEILEIIKHLDDMLANQYIQSNMINDLIDYS QMKSQGLRLNLTHFDLSLCIQQIKQMFKTQIELKNLQLIITELNENSILFSDQARLQQIL FNLISNAIKFTFSGKISIIIQIIKVQDTQLIQFSVQDTGIGIPTQIQSKLFKAYSTFNLG NFNRQGIGLGLVISKNLVGLLGPKPQIELISKENEGSSFTFTIYMNMFEKQIQPMNCNYE FISSENDPSPIKQMPSFSIVQQQRSTKKAKTLIDLSKDAKGSLHILIVDDSAFNLHALKL LLKKRLPKSIIDQALNGKEAVEKAQQQQFDLIFMDIQMPIMNGIEAIEQIRKIDQKQSHK LKRPIICILSGGKDDFDQNLTKTIGADMHLEKPLSIDDLRFLLEQFQLL >CAK76919 pep:novel supercontig:GCA_000165425.1:CT868252:266252:266812:-1 gene:GSPATT00012443001 transcript:CAK76919 MLKRQKHKLINNENENPSENYECKFNESPQRLCQERRAQQCLEQISSQFLLSNTFDVYAG WNEKEEKPYKNYHRPVQSQKSTLDSSFRVRSQIRTATPNQRRSKRTQSHMKETKILLDIC PKQCFNYPLPAFKTKQFSQKEQIKYFQGFYERDNKSYDWMNNLLQVRKIKM >CAK76920 pep:novel supercontig:GCA_000165425.1:CT868252:267008:271305:1 gene:GSPATT00012444001 transcript:CAK76920 MGCCLSNHNQHDAIIQNIIEQTVFEQQQIQIEEGQQERIRTTLNSDQELIHSDDSKKQYN VNTEHHVKSSSHHKREFEKVDERNYLLKVEYNVKIKQFIVELIKLDTSSFYKIQISASFL DFEIMVDLKGIQVNDNHQHLQIYPFTYSEASRHARYDCFFSNDKYFNIDESDFKKQHFFQ QLLLVQRQVLKSHQKSTSSQEKRVVNRRWAMIADLTSVLIKSSNIPQRAKTEVNYDLVNE FMEVIQVFDIETNPRFLNIIFHLMLQTYFPHLREYLLFIEEVYFLFHDLPHLDCPQYYKV LLFYQGMNYSLKDLHIHLDFEQLQLSDICLLKLALCLIKILYSCYVKYLYRLNFTLSNIY YFRRLKVFKLQSFQRISSLIPFQADVESSVNCISESKMISFRKCYLKDYFAICDIILLFK NPRGQNQRTISKLRKKKPQTETDVKYKDYLLYADSIFDEVEDRVILDFIKIGLNRSLLGN DFNIEQALEDLKSNITQMECLILSLEQLQKQKRKDEHILNYKNNNEYQDVIDLSSNSEEE EDQDNVLKHFDLELDIENLTMKQMDNKENEDAKIREQLKNEMNYSSLLMNHYFLKNCDNF LKKEEQYSIDKVQALIYLAQAKSQEILDENVRKNQFQKYLEAAIYQVQKQDDKTMSTSHF LVLIQLLQYSSRKPQVVLLRLLQLSQQQSQKKFLMRRKIKKAIHSQTGLIEMRKHVIIQL ANVEHCLANSRLNEANSMIFSIIDIQMKRNLLSTLLFGYSLYIYGIICQETIQIQSAMIT FQMSKTIYDSFFPMEKWIEIKEKQQEDNLYKKPIKEAKQKDEPENPQKVLINFHNMATYN LSKLAYNLGVVFCQLNDPENALKALQKAIEYRKLIHDEFSDEVIEIVLIIFKIYIENEES SQVLKLGWLYARKINQLYRDNKLQCLHNKNFAQLEFLLAGVFQNCNSYFSALRFYSRASK AYTVSRTQTFNRMYFVQQQRKLIMTYIKDYQLHNDRMQSQEFYYQTKVRSIYDKMKPFFE QVYFVSSILYMDNSLNQLTGTKLLHSNGFLKNNDYQLASQRYQEIHKQYSQIQKDECYGH NIEKIGEIFLENGQLDKAKIQFEFAIGIYEKYLFFPYKEYCQMRCHLYIICILAIQKQSE NLIKYFNLVETFILDCQQFMKWSIIAEERKYNKFQLLSKKAGVKILYQLVELMDLIDKFR NVRKRWFKKIQDLDYINQRGQKMRKRMKVHRSENKLLAEFRKSCLLGNHVSIRIRKYEEQ IKVSLDDLQKLQEKRLQEMLKVQPTNQNDDQRVVEQSILIQKPSQEQDQIPQQTTRSKKS KFFILDIDENTKKKKKQKQINEEVQKIESSQQTSSRNIDLASSTLESQRTQPTSERALKC YKSKSSTKANIIQNVQDKNNISQRGTSQKSCRLNPFQKVSKKQI >CAK76921 pep:novel supercontig:GCA_000165425.1:CT868252:271321:272863:-1 gene:GSPATT00012445001 transcript:CAK76921 MRQKSQTVHEGGLKKLQAVDYEKCIYNCLLIKQKFIGRGPRYIYLFKNQICIGKSPFVQI PERQFQINQDMRIQWSYKKNQLKSVIFQVGGEQFEYFGTNEQLRELKQKIALYVFQVKIQ DEYQAESILGKGSYATVLELSSLQCQKQYAAKCIDQQRINEKKNGYKQLLQEIETMRILS EIKHQNILQLHELYIGNQNYYLVMELAKGGSLLSLMKKRQTLFSRSDIRIIMKQLLEGLA FIHSHNIMHRDLKPENILFMNKDLESLVIADFGLAQSVDSHPYTYPKCGTPGFVAPEILE QDSDQAKYSVLCDIFSAGVILYILLIGEPLFEKKDRKEQLELNRKCDINFSKFSHDQLND IEKDLLIKMLSRNPEFRWSANSLLKHKFFVSDDQIESEIDFYKLNNMAILKKSMMPTFLK NPFMQNNCKNQPTTRDKSISLINRQRNCTLVMQTNLRFDKSSQEQLNIDQVVGIGSFGLF KDEINQKNF >CAK76922 pep:novel supercontig:GCA_000165425.1:CT868252:273008:273382:1 gene:GSPATT00012446001 transcript:CAK76922 MKNKNKKSQVNSLFRYIPTATQEQYIRRNSYSYPSNNSAIQQIFNFYSKQSYAIGVNATF DRQYQESNSLNLAKFFHFCRDFNIKFLNRQELQDLFKKCATQGSNVTLEEFEQIFSLLPQ IYCN >CAK76923 pep:novel supercontig:GCA_000165425.1:CT868252:273494:274390:1 gene:GSPATT00012447001 transcript:CAK76923 MRLLGKPFQMKDDKQRFTKDQLNYQFKLFHPDIKDNTLIKEILKQRQLKQENQKNKDKEK KIKQKLQFELKFQSGTELYQKYPRNIKLIKHLEKRKEIKHIDYFKSSFKQAYSLNIQTEQ NQPRKSSFITWETLDDIAPDNDLIQNLIGPDQDDYCYRNQHEIKNNKSKNQRNRLPYSII SLSQDVRSISDSNPNYQKNCTTIQTERQQSQRCQYQESSRIPSSQQYYIQESPKSIQLQN IQNQIEKPPYKNNVNISILKRVNEIKQLQDLKEKYLLNKIVNIQLRKEQCNQQNGTNI >CAK76924 pep:novel supercontig:GCA_000165425.1:CT868252:274628:275790:-1 gene:GSPATT00012448001 transcript:CAK76924 MNNNSRDPPSKILLLVMTQLSPTFPLSNELLFEQFSKYGDIKKILIFERGKANKAFVEYY DVKHAIEARKDKLGKYLAEGEGKLTIHFSRLKNLDLEVVDKSRGTDYTQASSTNSDLMKH SNTDDPNILRQQIDQFTRTFTQTSQRNLNSARNDEINNLLNSDSDDDIDIWKQQKQQGPQ ISQDVKNMITQKPSKIIQVTTIDDRVTAKMLYNIFNKFGNVEELLLEKQMQRLFVRYSTI EFAQIAKEYLNNIQFFDQQWRISYHPLQQLQPTTISDEYMTYYNPNGPKVIVPLSKTIIL SGVTEAMEISEMMRLVAKVTEIKIVAANSLEISMVNISETLKIIAVFSDYEYKNQKLIIS IK >CAK76925 pep:novel supercontig:GCA_000165425.1:CT868252:276066:276927:1 gene:GSPATT00012449001 transcript:CAK76925 MQKLIYKFSSGLFSVKNHDKIKGLAIAELNNPQKKNALSKQLLVEMRQALSELAASKNIN CVILRSSTSGTFCAGADLKERIGLSNFETELVVKNLRETFNQVANLPQPVIGVIDGFALG GGLELALACDLRIVTKSSILGLPETGLAIIPGAGGTQRTPRVIGVALAKELIFTGRRLSA EESLKIGLVNYVEEDGQQANNKAEAIASQILQNGPIGVRAAKSAINRGMEVDIESGLKIE EQLYYQVCHSQDRLEGLKAFAEKRKPQYKGE >CAK76926 pep:novel supercontig:GCA_000165425.1:CT868252:277024:280011:1 gene:GSPATT00012450001 transcript:CAK76926 MSTNMRGLNTFITDIRHCSNKEQEEKRVEKELQKIRGKFTSQKGLAGYQKKKYVWKLLYI YILGYEVDFGQQECAFLINSSKFSEKYTGYVATSILVSEKTHDLFTQVASSIRNDLQSVN EINQSLALTMVGTQAPQELVNALHQDVQKLALTESRSTFHVRKKACACLLRMYRKYQDKF QPSQWAQGISQMFESRHPSLGFMTAATSLLVGTCQLNNPSIFEDCTPKLIKLLHKIAIQK DSPGDYNYYATPAPWLQVKILKALSFFSPPPPSTDSHRQLTECLTKIIKKTEVTKSINKN NVDHGILFEAANLVITYNGAVGMELKNDILKLLGIFISVKEPNLRYLGLETMCKFVKLAG DSLEDHLNTIFKSLRDNDISIRKRALDLLYLISSPNTSQRIVEELLSYAENGADLQIKDD LVLKIAILSEKFADNLYWYIDVVVRMINSSGDFVTEDIWFRIIQIIVGFQKEGNQELQKY AATKLFSQLSMPHVHETLICIGAFIISEYSQMLVEQNKEPQKLFDILNKHYTFSTERSRQ MLLNAFVKLACKYPELRDQAIMICQIAGEHFDPDIQQRGIEYFSLLMEDDKLLNQIVVKM PPYSELVQQNNPLTKRIYVMVLNPKEQKDPTLLNQARIQASQEIAMAEQRLQSKPEQLQK HLSWLKACPYLNQFQGQIALEGVNIMVPPSPEDNNLKSPSISNVNEIKVLLTSQIGKVVD KPGDLVVQYKSEISGHLGKVSFQFEGASPIQNLSILVSQTNGMLFNILPVKQGDFPQVMM QVLSCDGNMTLPIASVFYEQNGQQKKHEFNLPIYTNKFVQPVDMPYDKFTKFFDDFTNNL SNQNYFKLDSFIRNTAPSNIPMSEVLKKAGGLLSVGLNLKAQPFPSLENLQMIWACGQLM IKPPEQSNILNLPIMVIISSLDQTNEFLRVGIRCGGSGEIAKNYMKLIMLYLG >CAK76927 pep:novel supercontig:GCA_000165425.1:CT868252:280023:280628:-1 gene:GSPATT00012451001 transcript:CAK76927 MKQVISVLLIIAVAHARSYTLYKQCDATWGKDQLGTSSNTICSAGCLVSSVAMMLHTYGV TTDGTTTPRTMNTWLKKNGGYASGDLFVWGSIKNLGFIYEGKVTAAQAKGKFDAGQHVIL CVNNGGHYVLMTSYSGDTFNVNDPGYSKTSYPASGVTNAAIYTHSKITYFKNHVLNLE >CAK76928 pep:novel supercontig:GCA_000165425.1:CT868252:282897:283279:1 gene:GSPATT00012452001 transcript:CAK76928 MSFKAFSQFEEQQISQTLNFLSDYICCLTSAIKSLKKNEMRKKSIFKRMSSILIKYSKNI KTQSNLGASQLKYSANLLQSMKRISNHYQKVIRVITRINERVSRTCIQHVEFREIYLI >CAK76929 pep:novel supercontig:GCA_000165425.1:CT868252:284438:285278:1 gene:GSPATT00012453001 transcript:CAK76929 MQKVQIAYNYCQKESHTEEWLNTICIDQNCQQERLLCCLCLELHEGHRVTALKKFLIEYK QQYQKKKRDEEEMNDKYWLVVYLFIFSLSKILESFEKEVIQVKQIIDGNFDNLLSQIKES ISNFNCLETCQTYDKIGKILDKISTSEQAIDDVKQLFSSIETITSKDGFQFQIVQPRPKL SNLQERHFSSQQIFNDYVEFNKQICLNFEKTINKLSKQIKGFFSEIQNNDDSDSLGQIST SISNWKEDHTQILTQNCQNGIQYQPKQNDQTE >CAK76930 pep:novel supercontig:GCA_000165425.1:CT868252:285596:286114:1 gene:GSPATT00012454001 transcript:CAK76930 MGLDIKMRLVLSNTFMSLVIVCNLAYYSYLKENDDSHIQIASLITNIPTSDPDKYSSYLS LSIAAFCLMLVGFLIGMVQPFLKQAALTYAQALLILVGYVCLFAGIFILDSFSYGFKEGE SFNYQVASFFAIGGVFIQGMVNSWRQRSIS >CAK76931 pep:novel supercontig:GCA_000165425.1:CT868252:286127:286573:1 gene:GSPATT00012455001 transcript:CAK76931 MNSLERLKQQFQKTTSSLSNFHKTATNLERQAYFQGQYDILLQIVPFPSMDGLMEWVRKK RDEILQILEKNSVRYQISKHPLLTSEPAAFKMKTTFLEEPLPQYFQQQPQMQMNQSSFEE ENCNAYYTQNNSQYDYRKRRKDQQYRNS >CAK76932 pep:novel supercontig:GCA_000165425.1:CT868252:286600:288069:-1 gene:GSPATT00012456001 transcript:CAK76932 MSDQCTKGTNWGVVSIDDKNLCMKYNQSNIIKLPLKKVVNSNTQKNDIVLQLSTDDYGEN DDMLCEVRFYIPPQEQKLKQEKEKKKQENEENQISMEEEEDGADEDAEPTFQQKLQNEIL TKAKIGQSSADSILTIHDVPLIVPRGKYTMDFFTKDIRFHGNTYQFTTDYKGISRFFLLP MPDEINLSFVIGLEHPFKQGQTTYNFLVMQFKKDVENEIKLKYSRQKLDEIGWKGIKEEY SGPLYDIVCEVLAEITGIKVVSPKNFKSKNGLFCLRCSVGPHSGFLFPLEKSLIYLQKPV LHIKHEEIKEVIFQRIGSTNLNKFFDVKIVYKNQNQLFSGIERDELDNLTSYFQQKKIAV RKLQDEVPHLPLDDSDDEEDDDDSRQKKKNKTNIDPNNLDSDDDDDDFHAGEDEDDGSES EGSDEPESNSRNQK >CAK76933 pep:novel supercontig:GCA_000165425.1:CT868252:288172:289251:-1 gene:GSPATT00012457001 transcript:CAK76933 MNQNHIANHSKLKNEKIPLPTNQNKIRSVFSDVSNLEFSTKIIQEKRICNKIEEQQQYQM TKRRNAIIPCIQKFPAFFNYTETKTKTYKIRQCSILEKSMLSYHEVYYSEIQQFERDIEQ KKQEGYFDIKQHCFTEKQLEKALDWMIYQIIRFKNFSYESLFKTIELIYLYLSKSQQVKF EDLELISGCCIYLSSKTVDLNPIYIDDLINEVLECRFDYYEVLRQEKLICQTLNFNLFCT CSLQIVYRIMQEINSDMEQLYDSGKIDNLRKQILDNLLLMEFGNKFRQISKGNRAVSCIL LTMMENKIDKRVVIIQLLFNVLSGFGECKSFRNEHKLDREECN >CAK76934 pep:novel supercontig:GCA_000165425.1:CT868252:289299:289865:-1 gene:GSPATT00012458001 transcript:CAK76934 MLRISKTLFSNLTNINRFAYHTISDQIQLTDFCIKEIQRKQTTKFRNKFLRLGVDGAQGC SGFKYSFNFDDQILDDDYVLKLQNEIIFVVDEITLKFVNGCVIDYEDKMIRAAFYVQENP NAEKSCSCKASFAPKPELL >CAK76935 pep:novel supercontig:GCA_000165425.1:CT868252:289941:291184:1 gene:GSPATT00012459001 transcript:CAK76935 MFFDIFIILLILFLLYQVYQYKRPSIKLIYKENEEIRQIISKCPSLFTYFPTPYLNGFLH TVLSSFKHPTEKHSTNKEHIENTGMSIDWIDRGHGIDKNKPLLFIMPGLTGSVEDGYINT IVSEAHKQHFHNICVYNYRVLQKEGDFTFRPKFYNYGLNMNPQNYDDPYRDYEHFEEDVI QNKRTRVDLPADLHHCLSYLKQKYHFNKILAIGCSYGGAQLGNYLGRFSNIRLVDAAVLV CAPHHMLINQKYLSYSMDIALTKILKGKLKECNPKPECYQRYPIECQRVVNRALSANWIS DFDANFVIQLYGYKSVKDYYQHFSLANRFPLINIPTLCICTEDDDVCHIMALQENQLQES AKNIICVAKAGGHIGFLEGWKTESIWFPKPAIEYLKQFAEIHYKNH >CAK76936 pep:novel supercontig:GCA_000165425.1:CT868252:291607:293214:-1 gene:GSPATT00012460001 transcript:CAK76936 MNGSHRLKFQISFSEDEVKPEIITLNQFDDALKFEDRYEALQTLGQGAHAVVKVAKKKDT DEIFAVKIVRSGDQEIQNNVKRTFNNTRCLRHPNIAQDIELFINEKMETSYLIMEYCAFD SLESIIKKRKLTIMELRIVIKQLLLAIQHAHQKGICHRDLKPDNVLVDLSENIEQSEVRV KVVDFGVSRRFQSKGQEIEMLTKTGNIFYCAPEIYHKSCYSKEVDIWAIGVIAFQCLFQK LPLHSDEYQDFVELLKCPDKWKFKEHLKELEIPLQNLIIGMLEQDSQKRITIDDALRNSF FEQQQKESTPLIISTNLENLIYNSNKHMKQLQTSLAINNNYFGYHNSNLGNMIQKLQGEN NQERVDVEELTRNFGNIHITQKQNEKRGPIQLMNSIGSSNVLMSRFGSRQEISYQAQSDA LRKICDIKGSGDPFGDFAIQQSQELQEQQESNFQQNNSQKLFQQLGSQIESSINLTPEDQ TKVINQLDSLGVPKRSSVLTQFFGDLGIKEVDEITEDC >CAK76937 pep:novel supercontig:GCA_000165425.1:CT868252:293263:295577:-1 gene:GSPATT00012461001 transcript:CAK76937 MKVEVFSVQTISENGALCLLLIIEQKNHKLQIMLDCGLNQRLECNHIKHYMNKIQKSKLV LLSQPSIEYAGGLPLISEFQIKTLSTSPIIEFGVRNFVDQLIHLQQAFLKKEIMEEDFKQ DWKRILDAADDAYRQIKPLKFGQNETMMFENGLFQVTVCPIRAGKVIGACAWKIQVNTLN IIYITDYNMIKELHIDSLNIERLLIKNQKQSLLHREIVDLLILENYPKEIVQPQINQLSI PPQFFIKTKMEEELSLYHKKQQGQLIFAFYPNERILEGIKSLHQIFKENEKYVGMKWDIN VLYLDLVDKARTFGEFLCWDNIQSLNYDNQSKIKSQIILTSYNNLFSGPFFQENLLTDPN NTIIIFDKPPCLINENMGKIRKSFELNLQIKESYSINLNYIRKLLLKTNEKENKIISNAP STTYLPGSTTDLVETQSVDIGNQKTDDQFSVVSDQKPNLEPLFDKNNYKSHNQQYFIDTE IKEQIQFSTVQLDKPLRPIQQLHLRDDYGSDIPKHIKLMKSKRRQQIETQTQQMEEEQDA ENEKQKLQESTFAMPNMNKVQNQKVLTVQATVSVKQLPQNLRNAQMLLEYLNPQNLLILN PSTKPRQGGVQLAVAKQSQAQSLISLNDGQNLPFVEMSEKQIEIRSAIQVKGKLELKIFR NTQTMSQILKLVATQNKKPICHQNIQLLALYEELKKRGDLKLELGFCQISLNNGQVIVSK TKQGFKVEGYFSNLYKEVRNLIKTLNI >CAK76938 pep:novel supercontig:GCA_000165425.1:CT868252:296439:300865:-1 gene:GSPATT00012462001 transcript:CAK76938 MIVKGEPFEFLDGDSLKIDQPFLQKLISNFKEQGQERILVLSVLGPQSSGKSTILNKIFG CHFWTSVGRCTKGIYLQLLKVHNKAYFNNLFDYIIILDTEGLQSPNQEDLEFDKKIALFV LSISDIILVNVKGDITKQFRELVEMCIYTLGQMKSFTSTKSITWCFNQNNDVNNRDPFLV QLVSIATNLNNELSNQNQETEQIDYNEILGITEDNVKILGFASTEKLWKKNDSEGVYADW RQLILNGTFSSEAYEEGIRIIQAYVNKFGREDDLDGRRQMENLKYFIEKIETTWKSIITL PDLLEFSELIQHQQNQFMRKQFNEIINNYQYPTKIQFINQIHQNISERNESLSIEILNDI LSEFTTSMNAQFDQINNEFLEKLTQIKNEHKISKKVIKKYENMVQVRIDSERSAISLAML SEIRIQETNFQQKMGFIKIDHFIQQLIGNENQLKQYKEDESKIESKFKQLWNEILTQDKQ KQDKSFMGYSDQLLKVIKENFKNYILTTKNENSYKQQYIAKLNKEKPKQIDYLTSLEILK PELSTAQLMFIANSSKNQLFYDNFNQSIEKKIARCSPNQVLSINQFYSNQVEIIYLTKED FNKYKQKDLSIDLELYKKEKGIDKYSFTSFLQNFSLFGYEISKLKAEQLFNSVNKQSRFD INMLSSCFQPGERQYFGDAQYDSSIDMIKKICKNLMNYYKRHVLITDLSQNNEIESLKGR FNEKEFFLVSVKQQVILKQNTGSQINRGEQIFSYILNRNQNSQYENTFKQSIARYIEDLM SDINYERWKKVYSEIHQMILDEIKEMKAKQFSYGLIKRILQKIEGKIKDLNMQFSDFGVI LNDIGERCIYYYAIFSIWRVLCFKQYRSCERASEQLSSQEQVQYQKFKADIQQNNKEQSK IRGQSLAEEIINQTIARFQRSYCEEAKQIWAGFNKESNYDIIKQLDKDILERNDNRITDD QRLHYIKNHTDYVERFVKDNINKLKSDIQTKFTSKLQQDLKTYLQKVDANTKYLYDYVIS PLQAKDYFVQQENPDEAPKLLFKITLGCLQGYVEQNLLEKIKQDKIHVFQTQDFHRFELP LCSTIQKSDEEIQILFNFVQAFKQRILLGIKNSDLIQVQLETLKLQDDLDAQQLRQIGCL QFCPLCKRKCDQEIDDSSHKHQCQNGHQLRGMTGVLIGSHPSLYTCEEIQDDYQISLLET SIIKKWKDIKQLYNGWIFSCLSKDELNIQKEKFMKIWNDNIGRMICIKLTQEIGKDVFYV PKQEVQLGGNQKTAHYILILDDSGSMEGAFFEAAKKGLVAFLQEIQKNPESRVTIILFNH QARCVVDYEIPDAQVQQKEIQFRGGGTDFDEPLKLAFDKIANNPDFDNFSSHSIFFYTDG QAQYPTKAMEKVKQFPSDKREKIELVACSFEDSPTTLVRVVEFGKQYFGFAKIQASMEPQ MIAQVWIEEVSQVTHQIKSG >CAK76939 pep:novel supercontig:GCA_000165425.1:CT868252:303434:304654:-1 gene:GSPATT00012463001 transcript:CAK76939 MSALSKYNKTLELQSIAEDKSNNIFSSEEVFKKILDLFLRQLKKKEDKKLAIEYLISQFP NNSDLINLRASLNEGVIRRILQTFSVNDFGLMENKKINLQYDRLYNVMQNKDWISLHQLL EERDFFVICRFPTSLQRQKHIFEKLQHHGFRIIELMLQCRNTSFQLNSIILKNLIFFFFL PKELEINDQEKTGLFQILGEILCNSWIQFEYHYQQLQQKNQDQLQLKALQEILNLLKKDL KILHDSIIQLLNKLLNNNTTGNLNFLIVENLIEKLLPINFDLQNIFYLMCFYCLISFDNH YRKILAQNNKVQKYMQLDQYIQCRVSENNQLNMELINRVQSYYKNQCVRKDELDKWKIWS FSELIEIIIEEKFLQSDQKIACRKLEFIVIFIIKSKIFQFADKYKC >CAK76940 pep:novel supercontig:GCA_000165425.1:CT868252:305438:307291:-1 gene:GSPATT00012464001 transcript:CAK76940 MAFVYRSENRGTYIPKTELVGPGQYDHIPEIENRANHHPFNSTVERINKSDKLNPIPGPG TYNLQGSFECQKVIFQSDEQEIKILEVPKPISVFRSTTVRFKEDRFEGPSPTQYFQDERK KFHSAGQANRPKKLNVLEQLARDNKYLSIPSIPSNVHQGYIENKDNQLEQNPVDISYDIG PGSYDLKSTFNNTKPRGVSWHKPRSQDKKDNQSPIGPGYYDICGQSQPMYQMKPTTAFSS KQSRQSDFKFQNLKNNTGYIKKGLDNKQYSFHQSTNPATTARETDVDSEYSYIEDATPGP GYYENASTQQTISQFLNKSQAKGSIKTRTKRFQSKSQHTPGPGSYQLEVTAHRHKGAQPP FLISKTRFEEQQSETPAPGSYKAANTIEQRLISKLMKAPLGQFGANENRFKEQKLLVPGP GAYEVDKLDDAKKDKKLPEQKGTYAFMSHAPKSQDLSIPNINPAPGAYNVNSHTIANKII KPEEDDPKLAVIKPPFGVGAERWKIKEVNEDEEDDEPPYLEKSPQNQLGLFKKKKKDPPP FMCKQERFSKSVPKNNLPGPMDYADGMFSNWNKRTFNIIFAEI >CAK76941 pep:novel supercontig:GCA_000165425.1:CT868252:307325:308531:-1 gene:GSPATT00012465001 transcript:CAK76941 MGNCQACMNDPQNISEYGAHQNAKQASLHSIVRRSQPFSKASLAEIVNASQHQLRTSQKP SINIQKEASLSMVGDGADIQKQKTNSEQLISVHCPIAKLAQPWGRPASELQSLIGSKVKM VLSRLKEYQYTRGDDPEAVFLEPQIFEQGMVYDGQWKYGLRQGRGRQVWKEGSYYEGYWK NSCAHGHGRLIHADGDYYEGEWDNDKAHGQGKYVHADGASYEGSWKDDKQDGLGHEIWPD GTSYKGAYIQSKKEGHGIFKWPDGSYYEGEFLDNAIHGMGTYVWGDGRTFIGQWRQNKMH GLGEFKWADGRRYKGSYQNDKKQGYGTFDWPDGSKYVGEWHDGKQHGLGSVVDEKGEEHR GRWDNGTLLEWITQKD >CAK76942 pep:novel supercontig:GCA_000165425.1:CT868252:309170:309617:1 gene:GSPATT00012466001 transcript:CAK76942 MTLFEQLGGEAAVTAVTAQFYANIQADATVANFFNGINMADQTNKTASFLCAALGGPKAW GGRNLKEVHANMGVTNAQFTTVIGHLRSALTSAGVAADLVEQTVAVAETVRGDVVTA >CAK76943 pep:novel supercontig:GCA_000165425.1:CT868252:310743:312332:1 gene:GSPATT00012467001 transcript:CAK76943 MPEKLFELQKIDGIWIFKQNSMSLIILFKMRSATQEELKQRAKQNEISSGEEEVLSDSDE DCDPENYNFLMSQIDLAALTYGIVQEDQKGQEINLRERVSTQQLKQEVNHVNQHISGNHQ NITSVPNPIKLLVSKQKRRYNYNGFNLDLTYITEKIIAMGFPAENIESIYRNSMQDVRRF FDSVHPGHYKVYNLCEERKYDHSNFNQVAEFPFQDHQAPTFSLIYEFCLDLDYWLKLHEK NVAGIHCKAGKGRTGVMICCYMLYARQFTNAYDSMRYYGMIRTKNKKGVTIPSQIRYIFY FEKALNNKWVPNDMPNKQVELVKIRVIPVPNVNFFGGCGPWFRIQNKDKEYSSKNQFSVK EYKLEPYIEFKLKDIILQGDVMLQFLNQGFLSSNQKLFQAWFNCDFFDYTGILMIDKFML DKACKDKSGKTFQKDFRIELHVVEVNQENKSFNSVHNNSNFQSTHKNFGF >CAK76944 pep:novel supercontig:GCA_000165425.1:CT868252:312372:313475:1 gene:GSPATT00012468001 transcript:CAK76944 MNQSQSTHTNNSRFVSLNKDPLEKYKQGLRQKFMQMYDQIEEQRFHQPITPQEGTIFLTE QQSLRSLLNSSRRPSEIESFQEECLIIQKENQLTDLYQAPKEISLSYTQKRLKNRIFDPI PNVNPIFKSLIGRSLLQQSNTKLLQQEQSCQTSFNIEKENKLQTQSVSLLLPKSTIGIQT ADIPIKQENVQVQCSFRQSRCDSEIVNIQSYLDRHYDYLQYQQHSNWNPNISELKLLNMS DDYQYSFCNICKQFVKNYINQNNNHQKSCKVQTYENSLSELIGKFQYLLSSETNLLRDFD EMCKCTRRYCYAALEICTLLRQTQIQRRIEQLRQDLIKVNQEIQKNKNKHSLKIAQLFQF LILQLQN >CAK76945 pep:novel supercontig:GCA_000165425.1:CT868252:313550:322368:1 gene:GSPATT00012469001 transcript:CAK76945 MEIIKIGSIVSISHLQDDDALIGGDGFIKNAVILKGMSPLNKLDTKDFNISYFSQMNIPN CLFVVCPRTSNGKKVEVAKIFPQRKKKPFGSINDDVTDQKSEVTPVRSQVLLYYVCQQIK SVTHSVQPLLSEKQVRDNQMNLFNEFKFNVDGFEKEKGNYVKYEEPIQLLHLASSKWLCS LLDEAKFENQNFKLALSDYTSDETLFKIVATYKYQKEGDQIVYSQEIVRIMRMIPFLGKP TYLHCSGEIPQKAIKEYRENSEVKIQKREINASLEESTSWKINLFAEELPIDGDLVWIHH SETCSQLAVDYERDLNRAKISVFQTDLSELSFDNYSGNAYSMWIIEGEDYKKGGPYLYSN NYRLKHFHTGLYLACVRVIPKEQEDIKIDPYGKKKVIIPKSKKVPATFALQVQPNKDSLF RFEPLKSVNKDEIQSDFIQIRSVTQGKYLDVINKFHQDENTLKPVILNQKNEHAVFKIFR ANKQETQEVLFLDSCTKQLRKYQFYINLLFKFEQNQMYQSLSDDVELLKIKTKKLATAQR CIQNLDDFLRQKIYNSSPSQKYGFISPKRQKLLKDQHFFDVLLQILTSTVTKQELEIWSN KKGNLKALEQPSMTEKNQRKQQTISEKDKLELSNSKVEVCNMIFVLLQTSVINNTSNQLY LFERLPDYQHYCKYLPQTINFLIEMVKSNEKILINLCNNLKIEFDYIKKQEIEDDLKNKV KVLINFYEKDAGIQQDVSIPVKVHDRIITRPINIIQYFFNLIIDDTAKNNEHYLRFLRHI CRYKNNAISINQENLFKLYKKYNKQTKLLQIETNNGCIIDKEISFQYELLQFFSEVSYGR NYLWQAELSPLFEKDILINQIWNPNQVEGNQIDDKLRSYWCRLSRTLYIDQQPFQYLIVP NFCRLYSPYQDQLKNRNDEEIEKQFKLKKMFKYIKTKRSEVYEAIASRASSDLLNDELVY NAADQILTMLQLDIMPNKYYNKIIKYMSEMFIYDRKNLDYLNAAVKSYQKTVTERKSKKS IVINQFGNVFSGMKDLIQLKQEDKEEIAEEEEDEEINLYDQPKLFLNPIMKGFMAMSNQL EGLITLPKSQQEIEFKLKVCSILDHFLDRRQNFLISNILLFFLKYQYTNDDLSDEDDDQT IKAAPKIFNNNYKTLIPTIARTGIDEIDEPEDTTQDFLQMAVKKPPTFTNYFQQYNIKSE DEFQELDYYLSQAQKFDNNERLSEDQLKEKQVASLLPYLMSTYFLVKDQVLEERCLNVIM RVFNQREELRENMKKLQILFDDDNIKIYEFVEHLQKDLRVMVEKTEIWLTQFRAGYDVEE LDKCAQIIRQLTLCMRQGVQVNDRELVVEVVNKEINDSEKQSIMTFLKIHEIVINLIQNG MAHFISVLNDEFIKIERKEQLLLLFSNAFKFLICYCTNNKGNQLILYQYLEIYLQFIQYD VGQCKLLIEIFRDNPRLLNTGVKSLVQRLIEIIEREGRQVKFVEILLNLISIAGQYLLEN QILILNTFLPMRSLKETEQRLLYAEGSQQKDLRFYFDDQFLEPEENLIKQLEAIDWKDCY RDEPFLYHSKILDLLLQTSLIDNTVREYNPSLMPTDNFNISVFKLKKMIKPSYLLEILRL DDDMVSLKQRLARMPTQFIKGQASQYQDEQFNKKKGYTALKPIVCEFLRLVHIVSEKNNA QEGLLSQLSRQFIHFVEFESKKLEFVAAGTDYQNCYVDYIIGQVIPLILCYHQRVLSVQQ EDVKNALIEFSSQFAQALCSTFYQKLTKYEQLEIISKLREYYQNEYYEPAYRQEGEQSIL HLQHMQFQLEDGEDLQQPIEEQHVQSIQKKSQSKSKNLLSQFASLNLSLINKTSKHKRGD LTPIKLQEQWKRFAEELFRSPKLEEQIDIEKEALADAIIGIDKLIQEPCEIKPSLESILK KFITFLQTAVLQQDNKGTIVILLKIMSKIIEKQDSDEKKEYYQKLFDNLGATRMVLNVIT DYSKLLSNEMLYYLISFINVLLRNGNSQVQNTIFTFCQTQQKSEVMFQTFAKYLEIAATS GRDKNEDKEEAEVQKQIVNCILKFFQNCTEGHYQDMQNYIRYQYNSRASKDLINLVADLF KNYDRTKQNFSNLMCCLDTLNELVQGPCAENQLAVADSKFFDVVQEMFPLRKGAVSQQKA VKSAATKRISVLPSQSVSNLLTRGMKAQLQNKILVLVLSLLENREIKGKNSIIKRIMRVL PMNVLERHLSKIYKKWFNKYTGDYNMQAFELLKIDAMDLDEESQEFKDCEIIIQNGFYIM FLICYYMESDEEIDSVFMGYNKQYLKSQIQQNSLFDPNSTLGQLFALGMELYEMSLLKTQ QMFNELNKTRKDDPEFILEQQKRKQEEKKKRLKKAFMFFRARTAHIEVVRDDQIELVFFP LLPFSKLNKDEKQSFQQTVDRSSAKSKVQDLMEKSPELIQIMRHEEEMNRFYKQYKLIGF FANYIQLWKDLAFYLTLIINTMIIASFSHQSDPDRPKEDVSSEALDEYVFFRKDEWTQAQ TKELISALGYAMMVCSLFVVLFVLARIAPLIIKKALQRKPLLEGQGMIKLLINWLAKFFF ISNLVYYLGYGALSVIGTLVHPFFFCFHLTVILIRYPTLSNVVRAVTMPWQQLVLTLLLI IIITYIFTLIAFYALQDPFGLDCKEVSICFLQIFDKNFKIPGGIGGDITNNNPSPTYEIF RYLYDQINNLLLVIIMVSIASGIIIDTFGQLREDENKMNSDIKDKCFICGQENIIFERSS DGSSGGFKNHIRQNHYMWNYLYYIAYLQWKDPQDYSGIESYVDKKIKDTDLSWIPFGRAR ELDKGEDESEKQAKQMEQSSSNIASLLTHSSDIIQALKEKKEKRKQHFTQQTQPTQYKEQ ILSQQSMALL >CAK76946 pep:novel supercontig:GCA_000165425.1:CT868252:322629:323833:-1 gene:GSPATT00012470001 transcript:CAK76946 MKCIGNYQLGKTIGSGTFGKVKLAVHIPTQQTVAIKIMNKSRMVDIVDIERVQRELHILK IVRHPNIIMLYEVFETNKYIFIVMEYCQKELFSYIVKNKKVPEVNACALFQQLLSGIEYL HKLKIVHRDIKPENLLIKGRIKIVDFGLSNTYDDLLKTACGSPCYAAPEMISGKLYSGLK ADIWSSGVVLFVMLCGYLPFEDANTNQLYKKILSANYKVPNFLSSDAVDVLKFILNPDPD DRPNIDQIRKHPWFNLYKTSFQIKQGILIGQHKIPIDNNVVSQVEKLGYTKKYIYQCLCS NQHNDVTTAYYLLLDQIIQSGGQTCADIASDQFQAQLIELNSQTAKSEIKINMTMEDEKQ DTITMNNTTNYSQKSIKQKIKQNRKISHV >CAK76947 pep:novel supercontig:GCA_000165425.1:CT868252:323961:324242:-1 gene:GSPATT00012471001 transcript:CAK76947 MERCALEYCKRRDFLPFQCTLCHKKFCLEHKDLKDHECPYQFAEGRQCNVLNATKLYNTY QTNQKMRFQSNMYANKQRKRNQNVFNAKSNQMR >CAK76948 pep:novel supercontig:GCA_000165425.1:CT868252:325423:326252:-1 gene:GSPATT00012472001 transcript:CAK76948 MIYLNEYNRTLNEGLDELQKTINSLSKKEYSQKQDGIKQCEAQVKIISSKIESYQLEIYL LDKAQSVKYKDLLESINQRFLQLQSELKFKKNEAQKYDNLFKGRSNQQPQGLEDMNSQQV IEMGDQLQKDASIIVDRTIGIVQQGNDLAEKIIMDIDQQIAQLDSMYDKVKDTQSVLKRS AEKIKYFAKQVYTDKLLMCLIGLIFIAIIVLIVLSALGLDDGKFMTPDQVKGSLASDSSS NMNTSAKTASK >CAK76949 pep:novel supercontig:GCA_000165425.1:CT868252:326590:326781:1 gene:GSPATT00012473001 transcript:CAK76949 MPKMEGNIQKKFTSQNMDFYNQKNETKIKRDIFAIRELNANQIEKVFLKEILMTECLRYQ KQS >CAK76950 pep:novel supercontig:GCA_000165425.1:CT868252:331440:333639:1 gene:GSPATT00012474001 transcript:CAK76950 MSVMSQNNDPSMKQRDNNRQQITSLSKHLQVHSLDQLSNMYGKSKPGELAQSLVYGDKPK KENNRPSKQMQTAVSEKPNQWQTRTLAVSPSKFTVLAQQLYNHIPDTLEAHKSQRAFHVK DQKLLLSPERLHSLPNQNGDKTPEVCNRLLEYKTFVSDKKQTLKEQLDAEYLSQCSFQPQ IDEKSRKMAIKATNQEVRSADEFHEQQMRHLQKVNEKREVLQKQQEEDEQAELSSPKLTV KTQKLTLKYCEQPLVERLHEVKSKTQTLPDSTLGHSFKPQISKKSKEIKREESVQDFLYN DAKNRLEQKRQTETMIPQSVIAPTDKSQSWFVQRFIKEFYYQLSGLDRVQETKDYSKIYQ DDFQKILGGLGFGQCDMLWNELNNGFYVLSRNLLVILLAIQAVPQHLIEIPLYKPKVEEN IQVKSKYQCDENGNLILSVGDCQELHKMFYKLYLNTKQQNGNGVRRRMARSPQPDIRCGS PKISDRTKQLAEAKRQDSSLFEWFTQQEEKKKQGLEELKKQVEEERMKECKSIQREITID LQQLAKPLQKQEDRTTVDVEFDNQQQHCTFQPQISKPASKTQASNYASQEMEKQAARMKE ARLRQKTLANLKNKGTTTNNTIRRKEKCLTENELNNEPKQKKNKSRPISDKTTPMLYVDV KIEDGKSARIVVFEGDTSISLANKFAKEHKLDENMKEKLKDLLDQQINSYLMKIEEEQEQ EDD >CAK76951 pep:novel supercontig:GCA_000165425.1:CT868252:333752:337031:1 gene:GSPATT00012475001 transcript:CAK76951 MFNEELGVIDYEVESDDSIEMIDNPEDIVIPTPIQEQKQITQQIIEQQPQQQLNIENTET IERQQLEEEQMLRRPYALRWKKKSIKKLEKITSKLTDQDYDEIKDVLTKKIAMSESKINN SNYYNKNNTTTNNNTNNDVIISGSILKNPQTTLNQVQKQKQFQVLVEHFANKPDFLEAII AQDLEQENENHDGGILKKPMIVPPKAGLKKQQGRKKVNVTFEDQSQPPNGGIQFIKTSVL PQKQPPPPQPQQQIQNILSKFQEIREKSAAPPQTQYTTAVQESSNMPLGTGAWYPNKQDR KSQPFVPPHAGSNPKMLPTVSSVQMISSSQAAQQSLNHKFLEMNQNQSRISNSPSRIRDM ITLQYTSSYQVDRPPIMPSRQQPVFQQQTLERNINYELNQAKKNKEQLSGHQQSQLRESQ IKLQAKIQESVKRQSVVQPTIEENDSDFMDDGMDSQPFIDFKRAGNIPCASQQQTYQQYW QEINFNTDIPKDYYMNEKFRVDLEQEIETSDPYELFKLYFDQSIFKYICLISNKRQCIRI DEDILESFISALIYIFYIQLLGMREIKRVRFDHILDYVTFGHICKEIRIDELEDYSFIFE KISKNFKSHYQPEEFLTLDSPIFYQNHSVDGLISLSDGMKGYVLDFIYGIKEQKILQSLQ IYQGKHHKLYLGPDVSSLNLIIQLKKKQFGALAKVVDKQTQLTQDQIQEVKQNAQKGQST QFLSSDNQTIMLIFAERQQHLQQVEGFVSSFADFTRLKPQDTKIKSDVNKPIILYLYDKI KTQYDKRGKTYQYSQIPHQNGNQHLEILVQLVYSSIYNANILNKIKNQSASLTPEKAKQM YLEFVKQLLHSFYVRSLKRRGINQFPQNHTLESGDTGTFSCIECGESSQTICRECSNHFQ MLIPVCRSKNEQCLRSHIEMLASQPKITNTNRKLTNKQAIVKFEFYRSQIEASKDSNAQS ALPVIEDTLQQLDTLDPDEELSIGIQTLLVSLSDMIQKMFQQPNVLVPQKIQQHKQEVYT LSQIDPPIKSVQQLQKSISQVYNNSQEVSHKSQIVPQIYKTKIGEQMNIEPSIQKLQARV ARINK >CAK76952 pep:novel supercontig:GCA_000165425.1:CT868252:337254:337671:1 gene:GSPATT00012476001 transcript:CAK76952 MLKKALTYCLQDDDPVQVSELIGVKEYQKQPKICQSNFVTSSDLKQMIINQKRDNSVQSY RKIQHYDADLKPINRTFDCRLPLNQHTCKKYINLKMIQEPTKPKLYFGIKHQQFKIKIKM QGRRLEDMF >CAK76953 pep:novel supercontig:GCA_000165425.1:CT868252:337718:338257:1 gene:GSPATT00012477001 transcript:CAK76953 MNFNRQELLNLQNRILNRPKRLNSVYVAPAFDDIGILAELPNNKVPSLGFKQQSALRTQI KKYRNLYLYDKKLFRNKKESRDFRENIENKGSTYYINSSKLSAQPLWEDDMKITKLSIIK SEILRNKVPDIPKLHNNSQSNCPRRLSNINPEPIQFKNLVALPSIRGWDDNNQNTQRSN >CAK76954 pep:novel supercontig:GCA_000165425.1:CT868252:339015:340637:1 gene:GSPATT00012478001 transcript:CAK76954 MMFALSKYNETLNLQSIVQNQKNNLFSSEQVFEHIISQFLTKLKKSNDRILAIEYLISNF PNNNYFINYKEQLDGKLIGKKWQKFNDHGLQKNFEYFVKRKKLHDVHQSFDWKRLNQLLE DKEFVLACGFPTSLDKRKHIFEKLQHHGFRIIDLMRQCKQKTFQLNSIILKNLIFFFFIP QELEISHLEQQELFQILGEILSQFWIQFEYHYQQLQSLTQNLDNDSSASQLKNLKDLLDF IKQGSNIILQKNHIHSLNLTNIEILIDKLLPIDFDLQHIFYMMCFYCFQKFAQYYSTQLD LQNPNVKFINIKQYLQRIMSPNDQLNQNLMLQLQEYKDRQIQLITQQKICLNQSIKDLLQ QITKKNWYELIKKLHVINLNSYTQQNAKFFNLLTNISSEKIYEVAKLLETLIMEDSLIKV VLILEQQKQNFYPQELESILRIVLENSYQSIINFQLLYSVLSQDRSQTEKENILKVAEYD ENLPLEQKIQMLSNFSYDQLKLVFNQDVLINTLIESYLQNLTQNHFSLL >CAK76955 pep:novel supercontig:GCA_000165425.1:CT868252:341128:343687:1 gene:GSPATT00012479001 transcript:CAK76955 MSDIYPRSLNISLEDFNISLTLLNKQQQEELVKNWIQKVKDPEAFKQLIPFFFNIIKRGE PSSEFLTIINEQIIKEEELRTKYGCFNQKSIFQIFYNNADEELKVMLLKLISKQYPIPLL YRTSYDTKDGEYDKLTFNFNTFYVFEENYSIINLSLANQHKSIGKTELINKIFYKQYKFE ISDNNYLNKQTIDIMYDFEFNGSRNLSVADAHGFIPYEILDDILPLFRMWIIQLDTEREI KETIQNLQKLKSFKNKQNVICFLIRNSIRDLDEKETAELQYLNIQFKQIIDLSDNDLNNQ MKQAEIAQASQFLFDLINKNKSFTLKQEQYMNQIFNMVSCVQDQKIQIQQAQDLFQDIGK ELQIQMEHVDGFYNQNAFPLRSIEWQIKKEKDEYQKVQKSHQHKQIEEKLDQISLKIKQL QSSISLQKPTQILIYFYQTTIYYIYILLIQFRKFNEKSTQELYRENQNLKEEFLKLRREQ RNLQFQTKNGNNNYSYEQINQKQKQIDEQIAQFKQNCDIISKRKVGIELFWREVISQREQ CQQSHIDFDPSKVVKEMISKAEPFEFLNGDSLKIDLPFLRKLISNFKEQGQERILILSVL GPQSVGKSTLLNKMFGCHFWTSLNGCTKGIQFQLLKVHNKAQFNNLFDYIIILDTEGLQS PNQEDSEFDIKIALFVSSISDIILVNVKGDITIGFRQLVEMFIYNLGQMKSFTSKKSITW CFNQNNHVNDRDPFLAQLQSIATNLNNELSNQIQENEQIDYNEILGITEDNVKILGFASN EKLWKKNDSEGVYADWRQLILNGTFSSEAYEQGIRIIQAYVNQIGINQLKNLNQFIQDCE TSCSILT >CAK76956 pep:novel supercontig:GCA_000165425.1:CT868252:344286:344654:1 gene:GSPATT00012480001 transcript:CAK76956 MRLLLVLLLIGIISAIMAPPITNNDKKWVRSTAENDSCHKDCKNSDGHVCAGLHKQQCCQ KHWCIKDEKLHDVWNCRSGFEIHVDSCNSFPKRDAGFLQEDD >CAK76957 pep:novel supercontig:GCA_000165425.1:CT868252:345855:346906:1 gene:GSPATT00012481001 transcript:CAK76957 MGTCGGICGQSNPENTIVKIQQTNMGDVDNQIEMGLQMADEGVLITNQQRVQEVQNQTNL NHENQLDETQKRHSFNFDEIKTQILQMSVLDKFEPVTLESGAIFIGQWQNEQRHGWGKQL WPDQSVYEGEWVNDKACGKGKLIHADGDVYEGEWVNDKANGLGRYCHLNGAIYEGEWKDD KQHGYGEEQWPDGSKYKGQYEDGKKHGKGKLQFADGSFYNGEFNQNEIHGKGSLLQVIQG RYVWADKREYEGEWNNNKMHGIGITKWPDGKIYDGEYKNDKKEGQGSFFWPDGRKYIGQW LDGKQHGRGTFTKVDGESRQGEWVDGKRIRWLEVEEQQSD >CAK76958 pep:novel supercontig:GCA_000165425.1:CT868252:346980:347634:1 gene:GSPATT00012482001 transcript:CAK76958 MSQGNQDPQLQAEQIDIIRKKPSLKFQPVENYPKDQIEIQSVKNNKLEPIFFQDPRVEDK HCLLEIIVVKQIESKQLAKSQTRYLFDIIQNSFVSLTGRSVFIYSNCNQTTRLKQIYYYL IYNSHFDHNIVTNKYFTMSSLLWILSVAIGTIGIRRQKYNPLIFYFLGIFVSFTIEFSST IVILATNHIADHQLGIYNKNGLVRLQ >CAK76959 pep:novel supercontig:GCA_000165425.1:CT868252:347904:349447:1 gene:GSPATT00012483001 transcript:CAK76959 MGKKIKKGKEGPVKEYVSRTKALKKLQVNLKDFRRLCILKGIYPREPPQKLRKQHKTYYH NKDIAFLKNESILDTFRQRQAHLKKIRKALTRGDKLKAGRLRRNQPKDKLDHLVKERYPT FIDALNDLDDPLCLVSLFASFPTHKELHIPNTLIRSCQKLINQFFLYVSAHNCLRKVFLS IKGIYYQAVVQGATITWIMPYPIQASLPLDVDYKIMMTFLEFYQVLMKFVNFKLLGQEIE ITDFSEEVMKLKSLAQNNQIEIDEAFKEDPTIQQMDQQQQETKKYSELFKGLTFFLFPEV PKSSLEFVILSFGGEVMWENDNKNSQLTDPKITHVITERTVQKNKKREYIQPQWVYDSIN QLKLLSVADYAPESTLPPHLSPFEQLNLEEVQSESDEEDGQQKEHKPYQNNKKQKEKQAK QQEKETKKLAETMLSRKNKRLYDMIKRKEDEEQQKKNKLQEKKKMIQSKQQ >CAK76960 pep:novel supercontig:GCA_000165425.1:CT868252:349459:350606:1 gene:GSPATT00012484001 transcript:CAK76960 MDQELLFWERFLHNQNEVSWDEFSASFTQFVKILEEIELDNDQLLFIKCKIDPDYRNIIK RADYVIFVETYWKSKKERLNLFQNLFTLQTFSIPKQTLKHINLKVIYIADGCPTQFKDIQ ITRTTQNKIPLKQEELFTLGYTKECDLQIINDRQVNPIHLKIIWTPYNVFLIRDTSRSFR TCQRIHQQLILDALMVIRLNQNTFFKIKFIQPMPKITTQISTYEDNRNPSIIDLVKSLEL FKSVKDYREKFRLPNYNEDTPILVVEFIEGVLKGKEFLLKSEQEYMLGSGKNCSIVIPDS SLQREHCKILFKNSQWLIQPNESQYLDPTQYGTFALLANQYQYDQYMPSKCHVLHDGMRI VVGPILFQVQYFS >CAK76961 pep:novel supercontig:GCA_000165425.1:CT868252:351191:354827:1 gene:GSPATT00012485001 transcript:CAK76961 MNITQLELFNQACHQYKGMVISSDFIQAALLSKYQITPAEFLRPFLPRKIQRLKLGLREK EKELNIKLLDLREYDQIGNVKLDKHSRLLLEDAQVVDQVQISRNCSKQTLDQICEQNKMA GFQQLQQVVGDCLGFQYHYNFLDHPIVLFYVVSGQEKNLIQEINKIRSESNSLIKMIFKN NISDFDMVSKVIIILNDAQEAVQSESLDQNKDLSKLRSYTLKYNLPNPIEQTHWSRFAYQ RYNYDGEVPKHPLGILDEQWTKIYRQVLEEVILQQAVIMLERQINIQTQQYQEMKQKTFD TFLTSIFTTRTGQPTETEYKMSQKEQLLRFLGDNHYLMNDYESAAIYYKGLINELKNNKT NTTIATLNATEYYLYSRLLSQQKIDNLKNIFDEIQMGYHKIVHFPYMLRIMYFYIITTHM FGKYNKEQIYFLQRFHRYFKDLGSTTKKDYFVVYQILVYEQIAFIHLRLDPPEFRKFAHN LTLVANKYESENFKKHALRCFKIVEQLYQQSKWVPLLFWLEGHIGANCLENQRIQEAIDS FKLAFNQINERQSQEQHNQLVVDWKKAYTSIEQLQDHRKKYFTQSNTALKIPIIKGPVDM LTHGQDVLDSIVLKNIKQKFKNLWNTVSKNESFYEDASIRSFDSLYLEERIACFNKTPCI LNIDKISDLVDKINKFGRQCFAEDTITLRFFINLPLKVPSYINGLQVMYQFYTLKENLLE IDTNNEKEYVLTKINTLEIKDIPFQGAIQEYLEVSITPPQAGYLIITGLQWNFINIPAQL QINYDAKDQSKKKSVNFYNKFRVFPKFIPINIEYYTKPVIAYGEIRPILFKFTNKNPEEI VIHITPIIPYHFGFEVKKIVLSAFQVLEYQFYLRCSFQEEFVGQLLFKYQQGQSGGIRIQ KLEIPMKVEPSFKISLQTDLIVDYWKFTLQVQDYFGGKGKLRFDRILCLNENWQYFDVPY QSSGDPFLLTTFKMKKIQTKDYRYEEYQNRLKERFYRDGDNFNEIVLGDQDIPAGVAVDF VNLDIQHYFNVFYQKLSDQRREEFPLFIIARWTYRNEDEIVYGLHELPVLQQNYLHRDVK EFPMTFSISAPYEIEHQSMITMVPIKISFKNTKYLEPITFTVTLFNGDEPLKSVNDIQQP FFLWEGTLQHKITLKPQEMKVLSLEGVFTEKGVYDLSRFRLTMHNKQQNEYYSIDHETVI IKII >CAK76962 pep:novel supercontig:GCA_000165425.1:CT868252:354853:355535:1 gene:GSPATT00012486001 transcript:CAK76962 MSKKGNKKKGGDDGEREEEEKVMVLEHKCRALQTRFVEEQERGDKAKAAENEIRARVMEM ENDLKKEKDKLFCIVSDMTRQYKQMQEELLKQITDLRSTDVEKEEIIKNKEQYIQDMVKD YEYRLKKKDDEISELKRKIEEMSAEFARMLKDTLDKMQERIQLAQWDNDTDPQIMKKIKD IAGMQTHQQN >CAK76963 pep:novel supercontig:GCA_000165425.1:CT868252:355584:356175:1 gene:GSPATT00012487001 transcript:CAK76963 MNTITFNSNIDYRSHPQATFPTRNINRNATQQSPQKNPKREFDYKLIQSQTQNLNTSSHS LSRLRSDTPITIRGQNYRYVGQMNGNQKEGVGSYYTNELEYEGQWFNNVYHGFGKLYQEG KLSYQGQFKKGLKNGAGIEYYEDESYFVGNFEKNLKNGIGTLYKKNEKLQGIWQNDKLFE KY >CAK76964 pep:novel supercontig:GCA_000165425.1:CT868252:356201:356377:1 gene:GSPATT00012488001 transcript:CAK76964 MVIPKQLSVFVNLTIGKGYVLKKWNKAKAFLDKFKIKYQVILTQYQNNCHDYLLKEHL >CAK76965 pep:novel supercontig:GCA_000165425.1:CT868252:357141:360372:-1 gene:GSPATT00012489001 transcript:CAK76965 MNYIIGMSVTQKHQISIKENLAYAAGSIVVYYSYKENQQEKYLIGKAQVHAILISRDGTH VFTGEQATKNPAVNIFKLTEDREINVSQQLKGHKFGITQIVESPAKPYLVSLGSEPDKGL FVWDWIQGTKLTVNKLSKPVNTIRFNDNGRLLISAGQGHLKYWQFKDDGSVIAYDNMMDP KPFLLNGQFMHKNFIDIHVTQYQVFALTFDSLITVFSLQTKQFEKWMDLKAANSYSLTSH LNYLITGCSDAIIRIFNMNMQHIVTLSKPPNLGNYNIEKGVTKLKVQGDQFADCMAVQIY QNHLVAAYSDRTMFIWDIGNFEKIVVKRSFLNHSSSINDLQIMTQQSSIEATFFVTASSD QTLRVWHIYDQQPLGLRRNAYCKYLSKIIYIGDQYQHFKANQQAQLQIRCVRVSKDGNYL ACGDSAGILRIFSTQTFTLYKQIQAHDQDIMTLDFSEYIDLLATGSRDRIINVYDDQFQK IGSLEEHTSSVTCVKFSKNKLISCGLDKAIIFREFNGQKFKIYHQEQISKIYSMDVSFNN LFICLEKKISIYDIPTGKLKQSFETDANSKILLYSKDDQNCLQIATYSDKNIKIYNNKFE LIQRFQHDQLTSMGFAMDNKHFITAGHEGCIIIWKLNKIFKKKQLGLIGKKLKQVFAQQD LKSSKEWTINSLWIEDELRGFLNNNKQQQQQQQQQQSQKQEEEQVELFKFESDNDMDPKS SKQIENALSPLGNSQFNYKDQKFDKDDIKTIQNQLQIVQNNLNKVNEKQQNKYQIHKNQE TIQEANQLEEQSQLNFFYEKSQYQQSSRSQSVDSQVQRKRTREEIKQDKLKQLNRKHDAL QQNLVTQESQVDQTQRDTTQLETKRMDQDTQINSSQLHSNINSYADYQLPQNKQSIPTES SLYINNATQTQDHIKQMIGPISKDSINLNSSYAYDQHYHQQSVDPTQQQIFQQTNLAKHH IRQIQVELLDLTNLLNSVKDQQIKDNVLREIDDDLNNLQKSIQLIRKQEQPEQQTNRMME QSQAQLSEEDIKKIVINCSKEMFENYSKVLLEQIKGKNV >CAK76966 pep:novel supercontig:GCA_000165425.1:CT868252:361029:361280:-1 gene:GSPATT00012490001 transcript:CAK76966 MPNTSIVMVNQQKRSVQFSEQDTVHIVENWKEFNYIPKEIKIKGRKLKAEKIVIRTDLEN YILEKYNRIKSTLKEFTNSCSFI >CAK76967 pep:novel supercontig:GCA_000165425.1:CT868252:361829:362825:-1 gene:GSPATT00012491001 transcript:CAK76967 MHPQNNFMYNQQGYMYPQQYMYPHPPPNYVFPQSMQPPQFYYMNPQNPAMYQYNIGQQQQ QQQQQQSQRIKCNQPKTKEQELKQQKQQAVKQQPNQIMQQQIEMQKTNLKTLTEQQIKDQ VGSITKQGFCHYCNKNGKMCYYCFSNYRSTDCRHGFCFDCLMFKFKINPIKILLRPDWSC PIKCKQCICSRCTNINHMDSDQFISNDSVESCNKYAHIIENTKKIKKQYQKKKNIIREIS KKEEITIGKSLEQRQSMKKTLLLNKIKKQMQFSQECILKLKQKHNQNDEQILHQVVRFNF GSLIDLFKEYKSA >CAK76968 pep:novel supercontig:GCA_000165425.1:CT868252:362866:363894:-1 gene:GSPATT00012492001 transcript:CAK76968 MNLVQQIKSNFGMIQRKEIIAKNKKKVEIQNQPQLIFSIKRRHNDQAFDNIFFSNEEEML NFLYSRKQKRLNLDELVGNLSLNEKNQQIVGLQRIPLQNIDSDQNQEFKETAEKSLIYFK RDRFVTKFRKDFRDSLLSNNRKKIIIQLEQNKNCLTSVNEKANYEFDEDYYIIQKVEKEK AKSQITMKIDTKQIEKQIAEQQYGSDAEESFDSEDSQRTDYDDYDSLDEESSQQEAMEEE EQYSDNNSDDSVDLRRFQSNQVQQDDFEVDDKEDGNQGDQTEMFTNFIKQHEKLIKDKVY >CAK76969 pep:novel supercontig:GCA_000165425.1:CT868252:365606:366128:1 gene:GSPATT00012493001 transcript:CAK76969 MPHVLEDLRRVFPKKSLLLQTYMLGCFYLIHQQLNCDEKKKEKQTMPIWRSKLIENQHQR HLKQFQLKILIDQQIPLKPQLKSSYGLMEFRTPQFVGFPNLEQPNSTSQVHTLQIDSKQI QNFSLDDLSTIESEFVPDLKTDLQIQKSVDMTQSCIF >CAK76970 pep:novel supercontig:GCA_000165425.1:CT868252:366228:367792:1 gene:GSPATT00012494001 transcript:CAK76970 MSQKSKTLLQLLQQQQHFHDDINTSLESNYRHSSEHTEKKFDLKLQKSILDLYSQIKSNI LKTEDHLLLCQIRKLVPLDKPEDLYTDPNSLICYIGCIFTVLMQDKVFFKSYQLNLEQQV EEQKIRDQSDYEEQLIKLEAEIRQHIRIEQQLKLFAENTQSKLEDALKIKEEQEEELQAI KSDFQVLYEKNNALNQKLKSQEKEIQMIKNNSTIDTTNQSLKSTLNNQKSQYKKENIENE HPKQQSITINLQVDKQKPPLQDYQDRIQTDPQDDYFKPRNDSHKRIISTHVANTQNNKST YLASRTQTESCEYQERKRKHNSSHYETQNVQKHQRNKTAQNVLNLIKDQELKRQQSVKAC QDKAQSNQNKVMKKPSTSQHAEPNRSNNSVHSFRKQPTEVSQIPRPFSCAEQIEESHHSF QKDLSMDMGNYQKQNGDYQDNQQQIKKLLQQYQQKHSLNDTLTKKLLQEYKKRQGYNCKT IY >CAK76971 pep:novel supercontig:GCA_000165425.1:CT868252:367978:374687:-1 gene:GSPATT00012495001 transcript:CAK76971 MKQLEQFANTFYDLLQAQPQKLSNFRVKLKFLLSQLKFQYSDLLEEQQKLAKKSPNDSNI IQNLISNLQQADKRDHQIEQNELIFCNKLQELLRKLKKPQIENLKDLVQEVLTADIKLLQ DISKIIHPISPLHEILSQLKNYIAQENTYYEDKALLKCPLQTIHAITKEAATSLIKGDQN LISMLEAKGYQIKRLKNNEEIFYYQRYLVSYVLKSLIFQLQLPPGFVIRISSYESKNKEL RKQYSYFFITAKVVQSDLVIDKIEFAKHYLALQCTDHLDSVIPQYYGDLQASFSKDISPQ LTMYEQFKNPLLYYKKYIFEETYKPAEFDKFQPQNIFENLLNFFQFLSDTQLNDFHYKQE EKNEVVRYKSLQVTYDMMQLTYQKIKKLEDTLITVQEVIFPQQLCVRLIQITEKMNQVFF QFQRLDTSRFSTLSEYQEYLGKINLEELIHKIIDAKHITKYNNQIRQIKQDLNQLEQQHE KSVGKQTQNPNLLSQYYLQGPKWIIINCCQKIDIQYEISLTNRIKLLDFLANLNFHLDTQ FVSQQEHIYDSIVKGFNDSWFELDLWKQAFKANYSIQSQCQFNNTLFVELLAILFFKENY TKLNLNIFESLEQVQENERNSHILILYLMNKCFKGDLGFGKHITLVESALKHKKFYLLLK LLENNQGQKIRFEYFNYLLDETQNLFSQSAYFSNLSENDTKYHEQIFDQIAKIHPQLEGR LRWDHFWNQIVKNFGDHTLKILGRGFWSLKEEQYKQIFVNNQLGNQGEIPSYLELSLIAQ INKNNNKNYSVLKVNDPFINQLLFIQPNFPLQKFMASQLYQLIQLDQFSSRVELIQNDQQ IYSVYQVINQFNNNKRSKVTIAQFLQHNEYNEQRLRNCIGNYNLANKIVLCILLLSTNTS PDEELLVPCGNYLSPVSTNFYFNPQFDNFINSHGFEREGESVKLCCHNIYFLMQFMKEKI DYQLLQNFQNITLTIQQWLKQLSQIEATASQSAGLLLLPLYKENMIELVRRATIIQNLIT KPNFHITYLDLLKLCDKNLGEFYQILIENHTLNLINKFVELPQLSTNSDSLILSDFINFR ESFAYMKYPSGFNVRNMIGPYKGLKMFLKYSRLLSTTTLQNFHKKLAVIKKQQHFQMLMK SFEWRNFKDNEIQIAFQQLSDYSKDFNKPFELVNFKELQSKQFPQLFQSLQLIRIKILNL SYVDAVNDNFLFDIAQHCTELHVLNLSGCQNVHQIGQISNILNIGNQKQLQFQRLKTLIA QNLPNVKSIEISGQFLQNLNVNYCKNLQTVYTNKIKLTRVQAKGCVSLQLEIVQLWIIQG VRILFDEHTSQGSLKGFVQPQLIIDVDKEFNYDKIYLELIFQLLSDLYDKILHQDQYIKS PFPQFFLKNGIISDDFEFQFRGFLQNKFDEQNKKKILQCLFTCLFQNEQIKQLDLKSMLK NRGQERIKILDQFLGDFIQAIHLTIEDQDSKVKQIAKEFFLKVESLTIPCSKEDIIDKVA QLIRQIQNYRTTLNISFTNLKVIGNDIIGKFFEKIQRNDKQIHIQQIDFSGNSLERENLK NIFQVNNIIQVNIQQLILRNAGIDDKILLEIAEYFWECENLKLIDLSQNHLTKKSLNKLF EDGRNLAKIPLNNINLSENLIGDANFQILAEIEEKQWQNKEQNMLYLKSTILRNCFEQNL DIQPFCDFVAQSYMLQILDLRKNSFSEEGMQQLSKSIGKSKSLTTLYYQNNQKTSQAILI QEIMKNTHLNSLEIILSHQAHYDEEFLKIFNYSSIKNLEITLPIITEEEMKQLVELLKSN ISLVKFKLNISNNDIKQRTFYKLGLSKIKNIMEIKEESNKDIYFYDPFYKQDTLRIDVQD YCQMGLSIDGGGIRGLMPATIINYICSEIKKEPYQIFDCVGGTSIGGILALAMTGTQDGV HPLADKDQLVKFFTEDGKIIFDQQKRGVWSLINKSKYDAKGIESVLQRYTGTAKLSETLP HTNVIVTAVKLQKHKGDNMAKVFSSRKAKLDLTENFLIKDVGRATSAAPTYFPAAQIKSL AGKEYQFIDGGVGKNNPANLVLDDLKKGMLNKDKDNFFVLSISTGVSKQKQHLQVDEGIM GVVRILDAFGESNQDFVDLELKKNQGKYLRIVPEYDLPESQAQLDCTDVKILEEYQSAAT TAASQFLEQEKFGQYQDKTFIKWLEENTARRLESL >CAK76972 pep:novel supercontig:GCA_000165425.1:CT868252:375560:376693:1 gene:GSPATT00012496001 transcript:CAK76972 MNNKEEIVLGYLEQADDILNVDDELPSYANGLPYFLENEDKFESIKCQQCSNQMKMLLQI YAPLNNKHASFREIYVFLCLNEQCSKHNSSVRVFRMQSSQKPQLLQSKNKDYILSPQNKS FIIDTEIISAKENNNELQVAEELLPTNLDDEDKDVDLKNVKFDNENKIYDNYLKSTEEKE NIEDIDGLENDQQNNIDGCFLIYQHFLTQYQNHVVRYCFDSQSKPLWFSDKKQPQIESKC PHCKKNKIFEFQINNSILTYFPELYNLEWGSLYIYSCPSSCSVGGQILVEETVYAHSDEQ EFISPNLKVDPNTKLVTIKQDTNKSNKQKQQIQQQQQQQIQQKIDEQDEEDDW >CAK76973 pep:novel supercontig:GCA_000165425.1:CT868252:376749:377933:-1 gene:GSPATT00012497001 transcript:CAK76973 MNQNSIYGSDVFQKGFAISSKIRQDKGHQQNQVIENEFIQNNLIKKNPNDFWFNVFYKRK QNCTPMKGRLQKKSPHFFIGFQWKYCELENRVFSYYKSDNKYELEGALDFDLQEFEFKGV LNERNQTIQFTIIPKGTQKEFVFQSDQPENTHLWALQIKIQLNDSIGSLKQLRFLNQIPR FWKNTQLKNTKVLSDCQDGDIALFKSKDNMTKVLRAVTLCEFDHVCLLYRDNGQLCVFEA VSNGVGTFLWSDLTEQKFVNEYEKICIRRLNYAKRQTPEVQNKLREFIKNNLGKEYGLNP GKLLQKVSLIVPQAPQPEDKQRTYFCSELVAKAYKEMGLLELEKSSTQYFPSDFTAEKKL QLLQGATLDPEMLVIFET >CAK76974 pep:novel supercontig:GCA_000165425.1:CT868252:378251:378813:1 gene:GSPATT00012498001 transcript:CAK76974 MRKSNKVVQNRIEKVNSELLTLTYGAIVSQIIKDSDTVIEANEQLEKMGFNIGQRIIDEY LSKAEIKQCRDKIDVAENIGKGAMQMFLGVTAEVEVLPETDKIFTFNLHFTENPLNDFVE LPEHLQGLNYSNMIAGAIRGALSTIHWIATCRFLKDQLKNDDKTILQVEMIREKFKDDE >CAK76975 pep:novel supercontig:GCA_000165425.1:CT868252:378838:379399:1 gene:GSPATT00012499001 transcript:CAK76975 MSTYRGTFEHDSFLGWLNLLKIRRLQFLYDVGERPPYPVIISKPTVGDVLKNLNKADFGL FATVTFLGFFAARKATLGLTTTEFVRQRGFSIAWNSIMMAGALFACMNSNNRLTGFVDNG LQWRRKEQRLNKYDFTSEFEEGTIWKFFRLR >CAK76976 pep:novel supercontig:GCA_000165425.1:CT868252:379446:379973:1 gene:GSPATT00012500001 transcript:CAK76976 MQQQQQQQQEMLKNLTNQQVVQYNKMRQDYAEISRVYIDIEDEKKEHTLVLDAIKNIDPK RRCWRLIGGVLVERQLEDVLKSLKESLELLEKTGQNYNTALKQKEKEVLEFELTHNLRPQ QNQQQKQQQQPVESSKTQGVLT >CAK76977 pep:novel supercontig:GCA_000165425.1:CT868252:380498:381887:1 gene:GSPATT00012501001 transcript:CAK76977 MNQLIFSLLIVTTLCGQEQGSFLQEKQDNKIFTIKLKETHKTVTAKEMYDFLSTKQTYFR QQTPIDIQEIEFGGYVPKPQQKEQKKETSLKLHNFKNTQFTGPITVGDQEFQVIFDTGSA NFWIDSAKCKNEGCKQHTQYKPSLRSKHLGYALNVQFGTGDLNGEINSDVVKLGEIEVED QNIAEIIEENGSVFQNSGFDGIVGLAYPSMAAYNFNPLFDNIIQEKKLKSNQFSFYMSNQ VNSYESQITFGGYDVTKLDGPVHYHPVIDKYYWMIKAENILVNGKDEGFCPKGCKLVADT GTSLITGPFEDLMKLLDLTNINDDCSNLNQLPKLTFKIDGVEYDLESKDYIMELKDDGTE IPLNNDVSASAFLQGTSRQCIGAFMPLDIPDPQGPAWILGDIFLTKYLSIYDRDTNMVGF GKAKH >CAK76978 pep:novel supercontig:GCA_000165425.1:CT868252:382509:384079:1 gene:GSPATT00012502001 transcript:CAK76978 MKAAPRIYENQPTEKVDNADFFIQQFYIECLATFSYYIESKGEAAVIDPLRDIEQYVELA KERNANIKWVLETHFHADFVSGHRELAAITGATIVYGPTAVANFPMKQAKDGELLPLGTV QIRVDHTPGHTMESSCYVLVSKGKDHSVYTGDTLFLGEVGRPDLAVKSGELTVEMLAAHL YDSLRNKVMKLNDDVIVYPGHGAGSSCGKAIGAGKFCTIGIQKQKNYALQPMSKEDFTKQ VLEGMPKPPQYFFHDAKLNKSGPADITLLLNEVQKALTYEQFMGFAKSGATILDTRPNVA EGIIDGAINVTFMSGLVNFVGAVIKPDTKLIIIANQDCSRIGYDNILGYLQGGFEVYKNN GGPLATTVKLVSLVEFCDVKVDPEKHAFLDVRGPGEHKETGFIKGAIRVPLPEVEANLAK IPKDKIVHVYCKTGGRAKIAMSLLVRNGYKNLVITQEGGFPQMKEKQLVEVENV >CAK76979 pep:novel supercontig:GCA_000165425.1:CT868252:384184:385338:-1 gene:GSPATT00012503001 transcript:CAK76979 MEGGAQPTTNEAKEGHELHVQTLENKIRELPLKDRLKAIAIQHHYLQKEKLDKECDEKIH ALQKQYDIKSLPIYAEQQSLINGQRAVSAEEQELFKEFFSEAELQEAQQIAQKAEPIDNY WGTVLTNCDNLSHVFGEKDKDILKHLTSIVLETSADTEVFTLRFTFKDNEYFKNKELTKK FIIEEGKDFPTSTVGTVIEWNEGKDVTVKIVEKKQKNKKTGASRTIKKKETQLSFFNFFK NSEDKDEGEEEGKAGNIDEDYEIGKTIAEEVLPYSLEYFLGINPDDEDYEDVDEDEDGED DEDEEDDDDEGDDKKKLLQQRKKSGDSKKSDKDKKGKAEKPECKQQ >CAK76980 pep:novel supercontig:GCA_000165425.1:CT868252:385447:386298:1 gene:GSPATT00012504001 transcript:CAK76980 MQYQSVPQTEYHDQKASVDSSLLGDAQKNFAKKVFSIVGFQLLATSAVAYSAMNYNFIAE LCEYLYIPAIIGSIVTGLWIYLSPSSARRFPKNYILLSVFTLSEAIALAITCSAIGDPEI IFQAFIITTGIVISLATYAMTTKNDLSYHGAAIFLLSFGCLMAGLTYFIFRSSFAYQIYL IGGAISLGFYLVYDIQLIIGDKQLRLTVDDYVLGSIMIYTDIIKIFIRVVKILMKEKKEK >CAK76981 pep:novel supercontig:GCA_000165425.1:CT868252:386606:388034:-1 gene:GSPATT00012505001 transcript:CAK76981 MNIYSRFLSRYYFGAVKIFKLPDLGEKIKEATIKKWHVKIGDHVNEFDPVADVSTDKMFT QIPSNYTGKIHKLFHQEDETCLVGGDFLEIEIESDNQESATPQTQHHQVKQEVTKQQEVH QTIQTNNNASNHKLATPAVRHLAKQKGIDLNKIQGSGQDGRILKTDLEKQTQSPKEQPQS STKINIKSESASTVIKMSDFQKGMQKSMTEANSIPHLYLKEEVDLTELAQMREQLKKEKN ITFMTLLIKSFSLALTKYPILNSTYDPTKQFEYTQHSSHNVSVALDSPKGLVVPNIKNVQ NLSISQIQDELNRLRILGEKGQLSFNELSGGTICLSNIGTIGGTYTGPLILAPQVCIVGI GRLMTVPRYDAKMNVVPRKIMNLSFGCDHRVIDGATVARFNNVWKTYLENPTSMFIHLK >CAK76982 pep:novel supercontig:GCA_000165425.1:CT868252:388493:389091:1 gene:GSPATT00012506001 transcript:CAK76982 MLDNCDSIRKGLLYTPTMGEQVDEDIAASFEQKRGINYQHEYMRLYIANVVLTQQLKELL QEKGDLVSKINRLEEAEICKTNYRKLDFEEPKKSEGPSKKQMVQKVQLNTMMKMQYQIIS IKLENQLRRIELFKLILLFNSAKNSSLDQIIKYIYYFLFNSNSIEKIMQYQLFL >CAK76983 pep:novel supercontig:GCA_000165425.1:CT868252:389231:390841:-1 gene:GSPATT00012507001 transcript:CAK76983 MSSFASRTGQKIKEWWGAKVTVDPALEAKKQKINEIDQYIKGLNQSFNIYKNLIEMHGHM GRISAISSKLFERETDTNKRIGIEVASMFGNLSELFRSKNEPVQEINKNFLDWFQMIETL KVQLANFQETRLIYDHYKMKVEELEKNKSNALQKGQPEDFKLSDKIRRNQSKLSSSETNY KQRLSEILNNMNKLLGSYFKIINSSLDTFVNINYDLYMNAKSILKKNLKAFNKFKYPEQE PIIDLLKEQKEMATLLEREQQKEKELKELREKEQEKEKEKEKEKEKEKEKEKGNQIQSQF APLQQNTQWDINNKFNPFGQSQVINPNGYQNSQMLPQQTNTQMNNFSSNFVNQQQQFGAP MTPTQRQSMGNNFTNQQNFQQSFDDVGFQMMTDMRQSQIQNRSQQQFYSQSTIVSPTNFG NNNPFTSQQYINQRVSLQQPQPIQQFDTPLNRMQPPGSQNPFCDFDNDLSKRAVQDNPYT YNLQWQDNQKTNPFS >CAK76984 pep:novel supercontig:GCA_000165425.1:CT868252:391388:392014:-1 gene:GSPATT00012508001 transcript:CAK76984 MQKQRLKQLQLDQPKPIKQSSAYRKFYDEQFILLQQQYPKRTTEELTKLISSKWKVKEKM NKQKYFQKNGFVNLSDTERVPVPQPPPPIMIIFQKQLRQDLEMTHPEYSGTQIDSTIKFE WGSNSALKEKAADEYKKLRQEYENQKKEFIIKYGFWPQHKKHLGEQVKQIHHNSLQINPL AQLLNKKVKKE >CAK76985 pep:novel supercontig:GCA_000165425.1:CT868252:392280:394046:1 gene:GSPATT00012509001 transcript:CAK76985 MSESNSTLFYAGITLASGLITDFLINRLNKKEVIEDPKPLRSLTLRKRSFINSKQAPVPE SLLNSENPLLDEIKFLNSATCDIERVNHLSLGLDDEFPNIKSPLLGEGNLRSVFGGGGFL PDDAFVYCGSYVINTAVFGPGKRIEQTKKWLRAGPRKSLFFDPKTVKAAIVTCGGLCPGL NVVIRELFMSLHYNYGVQDIYGIKYGYKGFYSYDWIKFDANYVKNIHNLGGTILGSSRGG FDLNKIVEAIVNHGINQVFCLGGDGTHGGVLELFKELRKRKLKISIVGIPKTIDNDIAII DESFGFETAVEEAINAIRSAYKMVLDWSDQWVEMLDSLLCLLATNASRDAHVCLIPEFRF ELYGERGLLEYCYQRLKKKGTLVLVIAEGAGDAMLDYKVNVVETDASGNKKSQDVGVIVK DELTKYCKNKAMSITLKHIDPTYMIRTVPANPHDKIMCTQLAQNAVHGAMAGFSGFTVGH VNNRLAYIPIEELLSGKYSNRVVADSREWQRLLASTGQPSFLNNEEQMIQQKQQQI >CAK76986 pep:novel supercontig:GCA_000165425.1:CT868252:394053:395025:1 gene:GSPATT00012510001 transcript:CAK76986 MNNIRESNELQFIKRKSPYLLQEAPQKLVKISTMSQIQFKEFLESLSLNFTQLTQNLQQM LTLYNVQIFLENENDQLLTNSDDDSFTKSQQRLVDQNYLQTWSQSSISGLKFHKSQTNLS KRSKPIGLVCKITGPTNKTTGLIQFRNQQLNWLEIALRLDKNKTKFLSKAPFTIQDDILL LQKAIQLNFDWKKIQKIIQPKRSQADLISRFIKLQSEYNNIDNLIQRVQFAIAQLPKYDK NSMRILPQQSSIIEKSMVEYETLSILDLNLFDAMSLQFCLVKHNQIYFVTLEYMQQLVNL KLNQRKLLQFIK >CAK76987 pep:novel supercontig:GCA_000165425.1:CT868252:395548:398263:-1 gene:GSPATT00012511001 transcript:CAK76987 MFEQQFLEQRKSKQEQVVNTKSRHLIVMNQLKKKEENWNNRFYVQNQNKNESVEKQKPKQ IHNTSKLIEIQDYYNIPEFHRQLFLMCLQTLPKNNQAIQFEIEEMQQKKSHIQLCMQAVE AREKCLAFLINHIHQIQDNPGDEQLLQKSAELITHLRILSINVVEQILGWRQYLMKFLVN IHSHESISLPYLYLRENYLIKMRKDIQYITNSILSNYYQFSIKPDPFFVAITKSAEDPNK IVQFISKPLLKRIKNCEIMIQDEVNSICKDDRSIHNQANSKDKIQMSPEKAIQPGRIRPP KRQEQSQNQKHHDQNEISNQQQNSVPKNIPLRQINKQGSATPQKQNSVKVTPHDSNHKQQ AQQSPPQSDQKQSSSIHAAGPTVEQQNLFTEDDDTFKVKKCQLSDQNVIDHLNNINEDFK KSWRGEIQLMQNQYDQQDDSFCLGIYFKDQMLALGQCYLDQSLQERKLILSHFSTADPLQ FQKLLKVILQFIWEIDPCLEIRMSLYHYNQNDSFQANKEITTKLKELGFKWKVVQSVNSE TRFTVMAIRRPSDLDQPKQFDPIFLQHLYLTCDNNTILSTDAFTSLYCLTTLNTKVDLDD EIIHQHKENLISSEFGFKGIKLQEQTSDEFHAYTKQYFEGFDQLNPFISEVSLSSNKVIS SFCKECYRWAKCKLAQHQRHIYNGFHVAQNADNAKVFMSDSGSIKVYLISTDQSSTSIFV FECKFMKYVIDNDEISLQKIQSILNQCGAQVPIDQNLYIPQFIVNSKVKFSENVIGLGRF STAYRPKMVDIQNAQGYIMKPPFIFGMINEDFNEVTGMPNLFFKVQDTHCIQL >CAK76988 pep:novel supercontig:GCA_000165425.1:CT868252:398428:399067:1 gene:GSPATT00012512001 transcript:CAK76988 MIYELRFCAQCVHYSDEIALVRRSSYNNTLTNNWCQAEADTDPNIIDQKSPSIKKKRQGR YKNVPYTFGRHFRNWIVAEVESIRCPVVQKFISKRKTNPRYHDSFKDFNELFQHSGIGRQ LGQIFFGQKKWVQYLLGNERVDGFQIYFEVERSYYEAAIKGTKITEQKVRNL >CAK76989 pep:novel supercontig:GCA_000165425.1:CT868252:399207:400641:-1 gene:GSPATT00012513001 transcript:CAK76989 MIAYRNGIYQGTLQNGIKEGIGIFWWPTGSIYIGEWYKDMIHGEGIILINDNIIRAQFKN NKFHGLCVNYTQSEFYRFEYGQLNGKCLKGQTVSQYRRGDLIQIDTNLESVDVLLDEFQN RLLDLEEILDRNQCTSIGITETFLGRMKRGKQLGLGIENMFTTEKRIGIFHDQSLTNIGQ IWMNGDIYTGGFKENKYDGLGCFFISGEMKMIQGIFQNGKCVEIKKKQNGDIEAYKILAE QTFQALQSTTIQQRVPIPYLQQCQFEVSNTWTQNSISQIPQSIQEKDEKDQTFLEVELDI QKEIEKIQNDQQTIEAKKLLYDVVNKYQDNDYQLQLHPLEVDQLQTFRNNPSVLEIENIQ GEHQKSKFVSSHSQQTEYFHVNDSKQPSGHSCKRLPLQTLKNQNNESSETKQSIRVTTIS ARSEPYSQVLSSRKTPYLQ >CAK76990 pep:novel supercontig:GCA_000165425.1:CT868252:400730:401696:-1 gene:GSPATT00012514001 transcript:CAK76990 MKFNISYPLTGAQKTVEIDDDKKCSIFFDKRMGQVVEADNLGEEYKGYVLKITGGNDKQG FPMRQGVLFKGRVRILMRKGHKGYRPRKDGEMKRKSIRGCIVGQDIRVLALQVVKKGANE IAGLTDQNVPRRLGPKRLTKLRRLFGFKKADGXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXIYITNQVKILIINQIQYINVVINKYDRQQISLRYSPN SILKLYSSTYQPLPMIFCYDVNLLQCLHINLYCQKRPIEGLSLECGVNIIVYYIIQA >CAK76991 pep:novel supercontig:GCA_000165425.1:CT868252:401743:403083:1 gene:GSPATT00012515001 transcript:CAK76991 MSESTNQNLPSIQPLELDTSDTPNPKFAENTSKSKENKSTVSQAALNLYKCCIGSGILAA PFTFREGGYLLTSFCYFLICILMIYSQLVSMHLICRCYFRELWMKSINPIQNYVYLNKLF IIVMQWGCCASYVLFFMEFLEYAIYHHQDVIFSHQLIYLSIAMCIIIPLVFINNMTLFTK FSTIANSLIIISLIACIVYFNIELVKEVNYNDIPVARFSNLPLVIGVALFSFEAIGTLLD VRKSMQEPAKFPKLMTLVFSGCTMQFWIFGLLGSLSYGDTTNEIILFSLGNGAEAFQILY AIALIITLPLQLLPAFHLIERIKIAKHFTREGTSGFLLRRTFLRLLQVLAIAGLAIAIPQ FALLISFIGGLCGAVLQFFFPLMFYLKWTWRFKPSELEGQIYICSMILGCILGLVAVADS IYEIATQ >CAK76992 pep:novel supercontig:GCA_000165425.1:CT868252:403239:405641:1 gene:GSPATT00012516001 transcript:CAK76992 MFNHKSKRPSLLYIECKSRDLSRRNSRLSDIFDDTINKQNIQQQQRQLSTTSKKPASPSL NTMYRQAISDQQVLQDQTQMLIEDLNGKLLQRAEFIGQIEELEELIDYHKKHSCFEIAFV IRIEKLEILIQQQQKYSRNATELLRQKELYYDELNKIVYQEALNALGMYLKQWGQQFDLI QNNYGPETYQQLVAEKEQEFIQNMTILYDKKIRGITQLFRNYQYIDKSIKSNVEFVDTNL NQELYNVKQAIKQNQELIQKILRIHLEEGISSNLNEKMQTLELKNIRLAQKLNEIARNQT STQEKIKTKKETEELYHGNLTGFDDISYIDFDELQNERSNSQQKLRDFKGQHNNSQTQSF FNYHGSQETQSKLNQFQQTYQSKNFEMETKYSEGQCTSEDSTLQHFQELNLALIQSGKSL DGHTMKFQPQQSLTIQDNLSPQQNIFLRLQTITEEQLSSKRNRQKMDQKQKIDPSPVNID LKNILNLKTIKQIDNSTIPLTDQTKRFSNNEVQSMDQFKNQIEINQKYKKMPSSNGSLFF SNQVSIPSSTQKNKNEQFFKSKDSLSEKLSKQKKSKSFDSTSNKEQLKSFIANSSTNNGQ TKEIQKVQNTINQLTPIEQEILKILKPLQKGQQIYKRFSTTKPNLTKQEFDPFTCSNPAN CGFCPRIICLSKNLDSIEFKNQMRQVDATIKLEEIMRAMIPSTIQQSISIKKQIQCKYIL SKQESAVCKILFWPMSLITQNDGRIELLFSNEQVLEQWQANLLFLKDNIKTLQSINKKLN Q >CAK76993 pep:novel supercontig:GCA_000165425.1:CT868252:405708:406192:1 gene:GSPATT00012517001 transcript:CAK76993 MPIYNEVWEEEDFMFRNMINLQTLTKNHVKLLDNLKFEFVEYKANQLLACHLYDRMAQHC KNQFGLFEDSFVPECLDARNYFQLCVRMNASYGLAKKYFPEYFLTNEYSRPNPNFKELGL >CAK76994 pep:novel supercontig:GCA_000165425.1:CT868252:406473:407666:-1 gene:GSPATT00012519001 transcript:CAK76994 MIFIILLVIINNQLCQSRIFEIKEDDGKLKMETMNGFEIELFFELSLYISQLNLIQTSKC TQNCKDCTRRQCYLGNTELDYFEIKMYDLDTQSMVLQPLIYLNDQNQNHSSSLLSLSNPV HHINSFVNDGFQLCQSKTDKTFETSLQMNLKDIPLQKVLLSPILSKKSYTTQIQINYLKF GDTQIDISSHLIFLNLGQEQLFKFDPRFNDTQDELKFGKIQQNSEFNHFPDQVFSNLQSE FEKAGFNFINVEGKFILAGRGLNENSKYDLKFFNKENEEHPIILSPNQYVQEFDGWQMLK FVITKNIQSIVLGSSFFENKKVQFDFKENFVTIQNQFDERCFQYEKSTLYQQETLVLQVS IPLIILLALFLIKYQNNEASPEYQGEDPIKM >CAK76995 pep:novel supercontig:GCA_000165425.1:CT868252:407702:408922:-1 gene:GSPATT00012520001 transcript:CAK76995 MYPEYCVAQRQQPYPLHKITQSYNPVVQNEPRPRQPNENPKDYMITAYTSINHVIDISPT SSIYRLDPFAKYLCCWTNTVGSEEVSAIQERTKWKHPNLVSFLFFEEQSDKFSQSKQLKI FYEYLPLDIKQLIEKRSKTQEYVPEEEIWKMISGLCEAFIFLQKRGITHSALTLESVYFD EEYLLYRIQDVSPFRARAPHLFENQYKSPESGGNLRVNRFKQDVFGLGMIILSLCLLKNC DYLFETGSISVIQLNDCLDQLRSLYPGRVDQTLKKMLLIDFNQRPDWLEMQQLLGNLKDM QFTNLDLGLSNKSSILNSSFQLQQQQQQQQQVYKAYDQLTLSQENREISNNNNKFTSSIN NNTTIKTTSTDSVDQKLINLNKRIQETLSKSLNKNIR >CAK76996 pep:novel supercontig:GCA_000165425.1:CT868252:409485:423238:1 gene:GSPATT00012521001 transcript:CAK76996 MNPRRAQSSGNRASSAVKRVAMPNGYTLYNQSGQKFHLPNMKNASQRSIEETDNRAKTST LQPLHSEVQTIVHQRPQSTIETPAVMMNKYINYRIAQPYSCTVDVNARLWEASKNTTIGL KRVSSAVKKEETEYQMDCETISGTLKPYEFLSSLGGKMISNQPSKQQSIDVSRQNNAQQP RPQTVTSIGKMDFTIIQEQQQRLQSAQQTLSRPPISKRPMTSIQRPQSQAFDVAESKRVK QDRIQSATLDVFQKPATKHKKQITDMIDQQVLRPQSNWSHQRPISSKTTAPQSFFKIYTK FTNVSALDLPYEELFDRNERTVAATFARFGDLGYYSPIQRIGVYMQFSAKLKKEHLLKII DLQKTMSSAEKTDGEVPIQLAIVNELFPKQGRDKTGINSGANDALTREPVSLCLYPEYFD DNDENIDQMELSLRKFNEMLAEMNGNYDPSLLCKDYYIHIDREFWPENILKEVLISEERY RNYISGDHIRNDEVPKFKREWILNAFNLIKPELLRNEDCSRFIVKEILELFREYMKRAML DYILRSPEERKRLHITLLPRTFINSAQRIAREGGYNMKLFPDWHDFVQRGKDFCKNNLTL ISTINQGLSDWIQDFNSFKLCDLSKMKQVARLGYTFTFQEFQRVQTIYKLNVLCLLEHVW FRGVMLIIKLQKFLRQKQYKSSWTITGPKFKQQSLRQTVLEIIPEEDFIDEDNVIYKGEE QSCYISIKEALQFYASKTTQPYNFDRFKDSCQALNAFTEYHVMLQNPNPIESDKLSQFQL EDVRQQQDEILYKKLEKEQRKAVTSNATVLLQLQLRGYIDRSLQHFEQHILNIEIPKSYN IELSDTNFSSLIQAFQSMKDREFIPPKWNLLSQPYDPFIRLELVIENEFVKLKENEESVK NEFVDAFRSIIISFDKFLTSKICQKTIQPVPLRNFQFNFDQDMGGMTKEDFQKFFKSFWP QVKVEEKQQKEHPELLLASEEQREVYQEKKYMTIASIEEGCYLAQEARILKHVSEHYRKT LQVLDYFQQFTQFFNKVYEKEIKSLKKAISNEEFKMYMEILNKYRSLLDKIPSTIQFPLF QVNCESVCSSVKQMIKEYKDKLFINFESVLIDQSKVISDRYLQISTYIRRSLKTPEDVEA MDKYITDVGQERVRIKTNTTDIFIKVMFLLKQDYVISEQLLLLSEELYHRPAQLDRELIE QEEKHQIERGRLEEELKKQRSQFEERVAKYCVEIKNLDSFTERSQYKGYVKDIEEFEQRL ADAATEMQEIIQKELTLFGYPSQFENFVKLQTQILPYSEMWKSIGLYMDQKKKWMNGPIM DVNYGDVETILKNQKKGIVKLSKLFKQNTIPFRVLGEFTEDVEIMSQNSKTLEVLSNPGL RERHWKSVQTIIAQTFNYKEVSLRELNNWKVVEFIRDVEEISENASKEFTLENALVKMKR EWDSIKLVVLNYKGRGVLILQGQCVEEIQTLLDDHVIKSQTIRANPLIKFMEEDAIRWEK LMMFIQQILDLWIKVQGMYLYLEPIFSFEDIIKTLYDESEKFKKVSSNWNIITKAVEMEP LALNLEKIPNLMDILQTSLRLIEEIQKGLENHLEIKRLEFPRFFFLSNDDLINILAETRD PLLVQPHMRKCFEGIEELIFNSNTDILGMKSVEKEEVNFDNKVSPKEFKNCVEKWLLKVE EEMRNSISHLIRQCFGELQELPVLIKWIRRWPGQCVLTCACINFTNQIESTIRTGKTLNK FGSDRVNHLNEIVSQVRESQQQNERLLLSALIVLEVHNNDILQDLIKLEMKDTNIFEWTS QLRYYMQEDTSVSVKMVQTSIPYGNEYLGIGSRLVITPLTDRCYRTLVGALHLNLGGAPE GPAGTGKTESTKDLAKAVAGLNTHAMAKFFKGLASAGAWSCFDEFNRNRIGGLQAKSMSI ESFQFEGTEIHIQQSCNIFITMNPGYAGRSELPDNLKALFRPCAMMVPDYALIAEISLYS FGFVEARALAKKIVAVYKLCSEQLSSQDHYDYGMRAVKAVLTAAQLLKRKSTEREDILIY RAIGDINLPKFLTNDVMLFNGIMSDLFPDVKVQPVEYKNLQEAMNSVLQSQNMQIVPNFK RKVLQLYEMINCRHGLMLVGQTMSGKTSCYQVLASTLTYCHKNGLQDERCVQYHVLNPKS ITLNQLYGYSDPVSKEWTEGVLGEIYRKCATSTSQDRQFLVFDGPVDAAWIENMNTVLDD NKKLCLMSGETIAMTDRMTIIFEVQDLTQASPATVSRCGMVYLQPDQLGWFNVFLKQLQE VQNVDQGILMRISDLFETIMEKAQKFIKQKCHEYESVPENSFCIHTLSMLIQLIQTHPEA IKKQADPSILIDAFFVYSVVWTVGSSVDEIGRKHFDQYLKKLIKEPLRNENKKDVVIKID KQSQIPELSNTNIYDFFYDSELLKWRMWKELLQDSKIPENITYQEILVETSESLRITALI DKCINRHSPLLIIGPSGVGKTCYIRKHISQLQNYLNIFVNFSATTSANKTQMIIDSKVER KRKGFYGPPLGFIGLIYIDDLNMPAPDKYGTQAPLELIRQFLGTKGWYGHDRQFMNILDC NVIASMGLPGGGRSFVTQRLLRFFQIVSIVTPDTNNIVHIFNSIISWHLNNIKIENTDND IRKSFQYAIEATIDLYTQIRDQLKATPRKSWYILNMRDISRVVQGMTLVINSKELSLDHK KVQRLWLHETTRTFFDRLEQVDHEQYSLMLANSIKLKLRDDLKHMIKPYDDVATTKYNIK SYVWSDILSEEPNVSERKYTEVMSSTRVYSKLQFYLEDLNSNTKKPLNLALFDYCVDHLL RIQRVLNMTQGHMLLIGLGGSGRQSLTRLACFIREQEFLQIEVGKGYTYEQWKETMQKLM INAGADNKEITLCISDSQIKKPFILEDVNNLLNTGDIPNLFGQEDFIPQIDKLRLKAKKE GKQQLFDNGNNAQFYDYFIECVKKKLHVVLVMSPIGDTLRSRIRMFPSIVNCATIDCFHQ WPEDALEAVARKFLEDIQIKDSQQRLLVAQCKYMHSSLQTISEQFKSQEGRHNYVTPSSY FELLKTFQAVLHVEKTKLEDTRNMYKNGVTKLDQTSEEVKRMEAELIEKQPKLVEMNIEA SKLAVIIKQQADAMEPKRLQVQEEEARVSECVKEAEIINQECEKELSVAKPKLKQAEEAL NTLSPADINSIKAMLKPPITVKLVMESVCVLCGVPPISMPKPENPKERFMDYWEASKKFL ADKDFLQKLIGYDKNNIKPEIMQKVRNNYVSKKDEFNPKRVEKASSAAKGLCEWVLALDE YEKVLTIVRPKQEKYLQSQQEVARLSESLKILQQDLSVLTAEINKLELAYQETMNNQQQL DRDIKECEVKLQRATKLMEGLGGERERWSKSSAIYEQRLKQVLGDIILSSGTIAYLGVFS NSFRQKTIKMWMDNLKGNMQFSENFSLQNILGQPILIRQWRMNGLPSDQFSIENGIIMNR CQRFPLLIDPQGQGNRFIRLNEKDIKLVKFTDSDFLRTLENTLQFGQPLLIENVYEDIDS TIDSVLLKQIFKSAGVMSVRIGDNIIPYNKLFNLFMTTKLSNPHYTPEISTKVTIINFTI TQSGLEDQLLEICVSKEQPNLEEEKNRQILQQHKNKQELQKIEDQILRVLNKAENILNDE EAIQILQTSKEKSKDIEEKQETSEYTERKIDEARVQYKPIAIHGALLFFAVISLAQLDSM YQYSLSWLLNLYQEAFIKSEPSQRIQQRINNINNMTLHLLYNQVCRGLFEKDKLLYSFII LIKILEQKKQIDFEEFSFIIRQITIPTEVPENPYKEWLPNQAWARIQVLIKVNKKLSQIV DSMHNFPEVWMELLNSPEGHQVRLPEPFVVITPIQRMCIIKALGPGKLPKVIQDFVASEL GDKYTQPPPFSLNQSFQGSNPKSPLLFVLPGTDPMNALLSFAKQKDIQLRSVSLGQGQGV IAEKEIEDAKQSGTWVILQNCHLYPSWMPKLEKIIEDIQTQKMHNHFRLWLNQYPSEDLP ASIVQSSVKMTNEPPTGIKSNLQVSYSSALYQQLDYSNKKLSKLFYALSFFHAILQERRN YGPIGFNIYYDFNQSDLFISIRQLQQMAANVSIPFHALHYLIGECNYGGRVTDERDRRVV RALLDEYLTDKVTQDTFQIQDFPIIEGLSYEEYINQLNNLPLVQPTHLFGFHPNAEIMKD QQYTDEILRKLQQTLGSSYSEGQQNDESKKADNVLKQLCEEYLANFPRKFDMEIANAKYP HDYKNSFNTVFQQEISRFNKLISIIQQSFIDTLNAIKGLTAMSDQLEKITQSFLIGAVPD QWKKHSYPSLKPLASYLQDFLRRIRYFQNWLDKQIPYVHWISGFFFTQSFLTAVLQNHAR KYSIAIDKLDFDFQFLEEPQLNFEINQLPRQVNDGTLIFGLFIEGCRWDYGREMIVESNP KVLTTLAPIIWLKPIQGEVDTRNQYVCPVYKTAERRGVLSTTGHSTNFIMNVNLPTEQSQ HHWVKRGVAMLCQLTD >CAK76997 pep:novel supercontig:GCA_000165425.1:CT868252:423400:423930:1 gene:GSPATT00012522001 transcript:CAK76997 MYANTFKQSQDQNNPNQLPQPFSYIPDSPTSKAQKKGEQELFKLSVNKQISTQSDVENIV NSYLITNEKNNLPLTNHNKFLQVSNFPLNDNFEKYEYEKPIKISQPSKIYCKFCNTRKPT QSLLKNGSNTYILACILFIIILPLFWIPLISKKCKDQIEICVVCNRQTSYIPFRLF >CAK76998 pep:novel supercontig:GCA_000165425.1:CT868252:424310:426394:-1 gene:GSPATT00012523001 transcript:CAK76998 MSNNTPFQVYLRVKPLLDNNQDSLIQELDEKRIVITKQGRNNKEFHFDRIFNNENNAQIF SEILQHNINYFLEGYNTTILAYGITGSGKTHTIFGNEKDEGLAFQCLNYLVEKTNAYPNA LVEVSFIEIYNETIRDLLNTNQKSLVIMQDSQRGQYISGVQQMVCKNCEEVKEVLNLGNQ NRSLAQTIYNVSSSRSHAVIQVNLSYKIDNQILTPKLFIVDLAGSEKVYLEQKSKNQQEG SNINKSLLALSHCLTMLSDKTKKNQHIPYRNSKLTRLLQDSLGGNTKTIMIACVQQNKQS YDEILNTLTYSQRATQIKRQVQKEVTSIQQNSKSEDLSPTNESQHSGDTSSKSIYLTKIY NDIYQNVEEYYEINNSIMEIQSQIQANSLKIEETQETKQEDENYMKLLAAQKENQIIEKQ LQNALKTNLQQKNILKSLLLQITEEQQSTINYWKQRFADLSKQILDMKSDQEKSQQELVS KDLIIAQQKTIIESIKLQKNQSQYTTSGDSDQSYPISFSSSTNNSQIKKKASITQQPAED RPRFANISHIKQRLSPRERSPASSIFGTSPVRSPLKHHSIYRNLNSISKDDSRELSKDLS NLSIHKKSRVVDPQVTTINLYGTTYINVIDQKENN >CAK76999 pep:novel supercontig:GCA_000165425.1:CT868252:426957:427700:-1 gene:GSPATT00012524001 transcript:CAK76999 MKQQLVIQGVATGSSTKVTLKPKPVQQKPKYQAPPPKQPIKKQTKANVPQQQQAKQQQQK PPETKVVQLTESILSDKSEPDQQQFKLQQEPIKQNEFAQIHVPVYGEVTVKYSHYNEKFP IKDGQLLAKAIDEKYCLSFVFQGQYKLILKSEDKKKTFEFSRGGWDGCENGKTYFVEIEE DPIQEEWDRKNSKPYQAPKDEPCPLKNKKVELISSELKGMSIDELKEKGSKYKELIEARD LEDILFK >CAK77000 pep:novel supercontig:GCA_000165425.1:CT868252:427704:429374:1 gene:GSPATT00012525001 transcript:CAK77000 MNNQKLINNTYITKKRISSGSFGVVYMGQDINTRNFVAIKVDKENKEESSLQREAEILRK LQHLKHIPKLYWSGREVDSTYLVIQYLGRDLTHYIKNYRKFSLKCVLNIAEQMIIILESL HKHNVIHRDIKPENILVGKEDEENQLFIVDFGISKFYKDENESHISLRDKQPFIGTTRYA SINAHKGLSLSRRDDMESLCYMLIYLLKGQLPWQNLQFTSEEDKINQVGQLKMKMDTNEL CQGLPIEFARYLDYVKGLPFKSEPNYKYCLSLFRKISNEHNYLSKELIFDWIISQKTDRE GQSSSSNLLNSKPSLFKKSKEDLSSCNNLLGSQLNQSMEQDMNSLLKMPDKKRSTLTPEI NRKKNRLQSISSNNDTVSDNDFNNSVLIGIQPSILSRLSRISYNSNSKFQNSKSNHSCTP NTNQLDHLISISPDSNLENLLNEQKKRSNLSQSFNPMPKDDDTTIEFQKMNEGDEGIERK YVELKLRFVRARFKPGLNNNLKQ >CAK77001 pep:novel supercontig:GCA_000165425.1:CT868252:429422:429941:-1 gene:GSPATT00012526001 transcript:CAK77001 MEERRTVQEQQIEEQIKDPGDFSVEKQQKDERVISYNSKSKKKYQKIIKKMKKLKKAELK KKNKDNQQYELEIEGEDYSEVKRNQEKLKILKEIMSSVPAYLLMSPAQKRKYFKTVNIQF YEMLQKELGSQCSHSKSVHFDLGRNKIKTFKSSDNVIELSQSLI >CAK77002 pep:novel supercontig:GCA_000165425.1:CT868252:430581:433027:-1 gene:GSPATT00012527001 transcript:CAK77002 MKLKRIVAVRKRKLVICIEKGTSLPQKSNCFIYYNFDTKDFHTNTQMGSNPKWDYRMVHD IVYNELVVNQFNRMPLELYIIDDNQPLVEGSNDKVGYCLIDLAPLVKNQMVDLAAEVKND DGDKVASVYVKIFWYDIKDEDTLNQQRSMIAESWEENITHKISSEMRSRGLLGITAFRVF DRDQDQIITYEDFANGLKNVLNIKMNPQEMQVYYSKLPQPLNQQKFQEFFRLQSNESIQY EAGFKSQYQTQELSSINQLMQQNTMQLQRTQLEQIHNSIYDLLKRKLSYGKSVQELFMEI DSVKPDGQLTIDELQRYLQQNSLLIPIAQLREFLKFYMDINNDQLINFREFTNYFKLVPT SQQQQMYSQSSFQQVSNQRMQSNFPSIQDTQLSQSPIDIAITAILNYGQARQWTLMQVRE FMDENRNSFIETGEMKKFLIQFGVHKNLQNGDDDIRQIIAFFDVNHDGRISISEFADTLN MYNNRLQIIKAQPTQQNIQNYVVGYLIAYMRKYRKTVPELFNDIDLDGNGYLSKVELKNI FKNKILVPVDEFELNELFMEFDKNRDGHISISEFLQIVKPALDQDKNDQQFNQQGIDKEK IARKAEEICFKNARVLQLAFQAKAQKPGYVTETQFKQILRDQKVGFTPNEIDDLTIYVVL PENGMINYNQFLDLGKLRGPQQSDIFGQTSRSGFGSLSQIEQERAIGKAKEVFNRISRAV KNKYTPQQLFAAFDKDSNGKINKAELEEVFSKMRIKNLDKDDVELIFKALDRDEDGSIDV KEFIKYLE >CAK77003 pep:novel supercontig:GCA_000165425.1:CT868252:433124:433546:-1 gene:GSPATT00012528001 transcript:CAK77003 MLSPGENFFDLWVGKGEYQPAELVSSYKTSTQLNLDLGSLLTFVTIDFYDHETQHTSVSE GTSCFYNLQISFKVDADANFIQYLESNYLKLELYVSQGSEPTKIGSGLIQLKDLVYENKN DTISKVISGSLTFHGESTIR >CAK77004 pep:novel supercontig:GCA_000165425.1:CT868252:433675:435327:-1 gene:GSPATT00012529001 transcript:CAK77004 MDQQAMTNALGNLKRQNELRQQQKQQSSIPDQQTESNVYNDDFDGDDAILNVIKTAQDQK PKQQPQAALPKRPESSTKRTASMPKKKPYQFNKLNEGEKEKLFLDVYDENIKLKENQAKF EKMYGTMEVKLQQMQKFMGNKTVGAGESNEQNNQLASKVNNLETENEKLKQKIQAISQAQ RVVVAHQPMKKKKLVQADRPEMEQTGIRGGQFVDQQNQIKQLLDLNDKLKKRLLEQEAQF RQLQAMMNSKIVNQQELSQKNAQFVTLQERCNKLESNLSGMRSQLDDERKRQSEIMMLLR EEKEKNHLLEGQVRSMELAQKSVVDLQADLQEARRQKKEIEERLAILMESPFFKEYNERA TIQAKMKAMEQEQIRNSTDLKNLRETNAKLETENRLKREDIENLKKEFKILQERNQELNI KLAEKDQQLLPFKDLNYWDNDQFLKVLGNLKWTGEDPAWRKIEFIDRTYLDSDDPVYLRK EIEHLKLEKGELAAHLEKTQTLLKNQQDIQMEKDKMHQTQIEQLKIGIKGCQ >CAK77005 pep:novel supercontig:GCA_000165425.1:CT868252:435350:436330:-1 gene:GSPATT00012530001 transcript:CAK77005 MSEKQQNSLPYQELSYLKGNKQFLSFERALPIIDFVHQLKSRDYENEFSFLKQITQTREH DRLLYRSAQIQHLNRYADILPYVHSIVKPQLDTSDNSYYINANYIRGGNDEERKYIATQG PVNQSVQDFWHMIWTNNVGAIIMLCKLFDRQRIQCEKYWPSEKAIYGPYQIDTISRQESN KDIFESSLIMKTKEKQHKVSHYQWCDWPDFGIVKEDSYQVLDWLAGIANEAAQDNKTPVI HCSAGVGRTGTFLAICHIKQLLIKNDAQISIFSIVRRLREQRPLLVQSVQQYEMIYKYTI WLLKNLKYM >CAK77006 pep:novel supercontig:GCA_000165425.1:CT868252:436376:439667:-1 gene:GSPATT00012531001 transcript:CAK77006 MQEIQMVVPYRQNIGKSIIFYLLCIFSFGLVYVVATWHYKIWAILRMSKCEIFAAEHFRI DSKDGTQVIVPSHHYMQKGLDYIGFNYRYQRFIYREGRFEKILFQLQNNKVAHQRDHLKS VEQLKDYQDLYGINSTEIPRKPILSILIDELMHPLFVVQLLQIFLWIYEEYTSYAIILLL TSIISMIDTLFEYRESYREIRLNSKLEHEVKIIRFGQKITIHSRELVPGDIILIEPFQVI ACDCVLIEGTCIVQEQFLNGEQTPITKSNLPDDDSAFVQKDGNMLYMSTFCLRADENCLA FVVSTGFNTKKGELIREVMLTPDYSFDFYDDSMRYLKYLSIVAIVGYLIALPFKIYFLIT YPFIEATDLITDILDLLAVCVPPTLPTTLQIGLSLALKRFKKKKIYCFNPNKINLAGVVS QVCFDKTGTLTEDEQRLYGVIEMNNQGQLNPLLKDFDNLNKIIRLVMACCNNIVNEEEII YGDHLDLALFKNSSAKIFYRTVLLDGINYDIVKTFEFTQELQRICCIIHNQQNHNKYSLV KGSPEKVRQICENIPEDYTSVFKYYSHHGFKVIACAYKPLLQEDVIPTREYSESKLTFLC FLVLENRVKDNAEQVIQQLKSAKIDIILVTGDNVLTSMRVARQTRVVEENEQLVYGELVE NNNELQIDWKDMEQQFKEIENQMHNDTRTLCVEILQQKLPNSISKFNFDAKSCENLDNNI AVVNEEAQEQLQKSATLAITGKLFTYLMNQAKENKDYKYIDQLIAKTKVYAKMRREHKAE LINYLKTKKQIVFCGDGGADIQAMRTADVGISLTNTKLSLAAPFTSGQEDLSTVPIILIE GRGALTTSFQAFEYMTMCSLVQFLSCTILYFQYSYMTNSQFLILDLFVVLPLSILMTYTN NLTKLTAEIPQRSLVSVEVLSIILGQCFIQMTFQMLIYLILIYQDWYKDPVTLSHQEYGE AGFMQSFEATSLFLVTNFQYIMLSVSLSYDPRFKKPFYSNRPFTFYLILLVILQLYLLMF TYRDDTEDDYLNLTFKVKGYEMPQSWLMFLLGMIILNSTLTHTYQIYGVPYVIIKMKNKL >CAK77007 pep:novel supercontig:GCA_000165425.1:CT868252:439865:441388:-1 gene:GSPATT00012532001 transcript:CAK77007 MLTLFIAIVIVLSLALFFIFIQPLVPLLLLKLKLGDKAIIMFYPIFGFIGIFMRSIKTNQ DVMKSINVALRNNPRAKIILSNFLNKPCIMFAGTEYLKQSYQDHDDFEKLDPFMLPSFMQ KGLAMSEGENWKRQRKFLAPAFTFEKLKSRLPMMNQVVEDIAEKDDKTNLNDFMSRITGE IIVKSFFGKLGEGFQLEGKEAWVALTKLTGEISLIMMDNLYAFVKSLLFGVKTWTILPTK QEKDVVRRVENVRIKLNELILNRVEQLKDSPVQDQDKMVFLDLYVTEYLKQKKQNEQQID LEEILHQFITLFFAGTDSTATICGTCLYYLAKYPEIQSEILEEVNEVIGNADINEDNLNK LIKVNALIQEVLRLRNPAFVTLFRTVKRDKQVQDIKLKKGWALVQMHNANSILDSHFDNA TEFNYKRWLSKDTIIKNDNGYIYIPLTAGPRNCIGYHMALMEAKIIIALLIRKYKILLNP AVQQIRFGIKFFQCVEPDNCVLFEKRI >CAK77008 pep:novel supercontig:GCA_000165425.1:CT868252:441889:442956:-1 gene:GSPATT00012533001 transcript:CAK77008 MMNQVVEDIAEKDDKTNLNDFMSRITGEIVVKGFFGKLAEGFQLEGKDAQIALTNLTGEI SLIVMENLYSFVKSLLFGVKMWKILPSKQEKDLVRRVENVRIKLNDLILKRIEQLKDNPV QDQDKMVFLDLYVTEYLKQQAQNEQQIDLEEILHQFITLFFAGTDTTATVCGTCLYYLAK YPEIQSEILEEVNEVIGNADINEDNLNKLIKVNALIQEVLRLRNPAFVTLFRTVKRDKQV QDIKLKKGWALVQMQNASSIQDTHFDNATEFNYKRWLSKDSIIKNDNGYIHIPFTAGPRN CIGQHMALMEAKIIIALLVRKYKILLNPAVQQIRFGIKFLQCVEPDNCVLFEKRI >CAK77009 pep:novel supercontig:GCA_000165425.1:CT868252:442979:443413:-1 gene:GSPATT00012534001 transcript:CAK77009 MQTLVMAFIIILLLALFFIIIQPLVPLLLLKLKLGDKAIIMFYPIFGFIGIFMRSIKTNQ DVTKSINVALRNNPRAKIILSNFLNKPCIMFAGTEYLKQSYQDHDDFEKLDPFMLPSFIQ KGLVMSEGENWEEIKKIFSSCIHF >CAK77010 pep:novel supercontig:GCA_000165425.1:CT868252:443952:445520:-1 gene:GSPATT00012535001 transcript:CAK77010 MEPILIAIFSLIMLMVYFLIIKPLVPLLLLKLQLGDKAILMFYPIFGFLGVLTKSIQINN DLMHSINHALRKNPKAKVILSNHLTKPFIMLTGSEYIKDSFLDHHDYEKMNPFMIPSFLQ KGLVMSEGESWKRQRKFLGTAFTFEKLKSRLPMMNKVIEYISENDDKSHLNDFMARITGE VVIRSFFGELVEGFQLEGKDAQVALIQLTGEISIMQLQSAYYFIKYLLFKDRMFEVFPCK KEKEILRRVENIRMKLNELILKRIQQLKENPVQDQEKMVFLDLYVTEYLKQQKQNEQQID LEEILHQFITLFFAGTDTTATTSGTCLYYLAKYPEIQSEILEEVNQVVGNADINEDNLNK LTKVNALIQEVLRLRNPAFVTLFRTVKRDKQVQDIKLKKGWALVQMQNASSIQDSHFDNA TEFNYKRWFDKGNVVKNDNGYINIPFAAGPRNCIGQHMALMEAKIIIALLVRKYKILLNP DVQEVRFGVKFLQTVEPDNCLFFKKRIQ >CAK77011 pep:novel supercontig:GCA_000165425.1:CT868252:446002:447254:-1 gene:GSPATT00012536001 transcript:CAK77011 MYKVIILCSLVLFASSMSEGETLLQSLQSTEFGKTIIQTIQVELQGNSSVDKIIDLLTQM KDRINGEQDEERQKSRDHTQFCDDKYDEILFVIDSSEYQLAKDQQTLPLFVQEQKNKQRQ LLDKQEIENRNNQRIAELTQERDITRQQYEARRDELTNMVGALQEGKRIISKLSTKKWDP LAGTYSFLEFSQFMFNELEGHQKLLKKQSNGIGLLYELLLETSQDPGIQANQQGVAKIQE IIDELIESVFDLLKQELLTDNAREQDYQNQKERIVIQNRRLEATIATFKARVLIINQTLL ELNNDIRFNTEKSTLLRKQKDDWERTCVDYHNGYVEATKIRTQQSDILTEVIQVFNRNYN DFPNLIQRISI >CAK77012 pep:novel supercontig:GCA_000165425.1:CT868252:447271:448324:-1 gene:GSPATT00012537001 transcript:CAK77012 MILSRGLFNLPLKQVQFFGRRKDYYAILGISKTATEEQIKEAYAQKAQKLYPNVTTSVAI NDVQAQQGFQDVAEAFAVLSQIQSRNAYDLLNKEQPELLYGEEMERYKQSFQRNDDGTYK RPGQVASDYAKEKQEYLKKERSVFNVDDFGRYKGGVPRPNKGYVRGNSLMGVGQYHRPLY HNMKQNPFETEHRITSEDAQYFRVWSTEERTFKEWSYLHQNAVVDYEYLKFNDYRIFFRW VRNFFLVFLAFPYFFQSLYRGHLREIIDEINEEMAEGMPIVGRQFGNLQVVVGKTGTLKL QDANGGHHHH >CAK77013 pep:novel supercontig:GCA_000165425.1:CT868252:448337:451672:-1 gene:GSPATT00012538001 transcript:CAK77013 MSSYTSRYRPSTLGSSGINQNQAATTQSSYRTQVTTTTTSSNLSVPQTNTTSTFERSDGR RSSTIKAPPATAVQMAPPSGERKWLGKYPEVVCFLLALENDRVMRENDALIKRIKELESQ SEGGDVRVRELQAKLDQANRDITQYKSDIDKHLIRIKQLETEIADYKSRLGQVDNSLAQR LKDAERKITDYERDINQWKSKYSTDKSSWDSEMKRLQDLLSQRQRELDDLKNNSGRNLDG LQKQIRDYEVRIRDYENKFNTLQSEIDRLTKLLNERNAENDKLKNDLKNKLLECDDWKNK YNTLQQQFTSMKSQYESKINDLSNQLQQRDRDLNDWRNKYSTLENKYNNLGNQQNGSEQK LNQLTQLSQQQVREIDRLNGLLRDKDAQNNSLKEKLARAEQDNKNLLDQINQLNQMIKQL NREIEKLQGDLNGRINEINYLNQQLQKCNDNIRNLEDQINKLNDDIMNNRDEIMSLKSQN EQLNNKINELNERAGQQQGDLHAANSEREERELTIKQIKEQLDQLLTDKQILEQQLNDLK NALQQKNDDISRLNQQNKQRLQQLMDLQKKCTELEYTISELRGVELKCKLLEDKINEYQK LIDDLKRRVAQQDLQLLQAQANERRLQDQDILIQNQAKEIQRQNDQITSLLKENDQLQQQ ILQLENEINKLKSLENYVTDLEKQLQNAQDELNKLKQLLDSRTQDLNDWKLKYQQLQPLE DQYRVLEERYKQAEQRVQQLQNDIEKLNKIIQQNQIDIDTHKWNLNQQEMTMKVKDDALE ALNERMGNLVHDYEEERKKTQDYEQLLAQHQQNLDKLAQAQTQNNNLQSDLNNANKDIEQ LQSENNDMRQDLQELERQIQEFKQNEARYKDIENKFNQQLKDIERLTEQLREKTHQYDEL NSQFGALDLQLQQANQTIDELNKRIAELEQTKREHEVLKTQTDQLDNLNKQYLADLDRLN AIVNQRQKELEELRQLMQKLEDRIAYLTPFEEKFQVISPKYQESQEIVERQKEDINKYQE QLNTVDELNKKVQDCMMILTMQFTECEGLRSQLDEKDNLIANLQQQQHQ >CAK77014 pep:novel supercontig:GCA_000165425.1:CT868252:452382:453189:1 gene:GSPATT00012539001 transcript:CAK77014 MDQNKFHEAIQIYTNILGIDSYNIAALFGYGDALTILKEFQQALEQYRKIKKLKKDLIIV FLKIGLTLGSMGQNKKALKYFIKAQNEIMEDHKLEWTRSKEVEINELLRQTLNYFNIQSL NKEELLVYGTISQSIISAHILIRFKHYDEAQHILNQLKSSNPNNDQIFCLEADILLVSAK FDEAELSLNKAVKINPNSCYTWVKLSKLFQNHFYSNDFNNIRQIYRSVISIRQCNIKSVP SQS >CAK77015 pep:novel supercontig:GCA_000165425.1:CT868252:453379:453997:1 gene:GSPATT00012540001 transcript:CAK77015 MQYEEALEIYNFLLQDDLQNSDILYEKACTFMEKKEYDEAHKIFDQVLALQPNNLDAQVK QGKDQINFLGDILLVLGLFDDSLEIYDKVLSIQPNHFFALWGKSESLRGMQKYNESLICY DTVLKQRSMYPYAYHYKGLALQQLNRDEEAINNFISALSIHPELKQSKEALQISQLKLAE RK >CAK77016 pep:novel supercontig:GCA_000165425.1:CT868252:454344:455602:-1 gene:GSPATT00012541001 transcript:CAK77016 MKKYTSNQQLRRSNGPVGRYRIFKHTEIDRANLFEGLPYVQFDAFIRRDRTMQHVVIRDL LKIIMEKCNQLKNLLLDKFRLKRIFLLMDLMEQPKKKGMFNQRLEDTIVLIDQIGSIILQ EFKKNPEQIGLKQSPIKHLQEHNPEHIDPHQEEKVYDKNVDLFNEMLQYLRDRIELYMLM MRQAGHKILDDQQCMSFLKDVTALRYNLLQLSEDIRVLDANSEENLKLIKLTLQKFETVR DKRIEKLSPEKKSEEQKIEDKKLEDKRKKIEYIEKNLIGKTLSNMDDQAESEVQKKRAQR LNELLQQKFNFNKSSIVTRESKLILNQDKLEQEKVLLLESSQKASKIKHDLVDNRKRLAL EKLKDPTKDPQLRGALEIMTRVLNINIQDQFQE >CAK77017 pep:novel supercontig:GCA_000165425.1:CT868252:455721:457206:-1 gene:GSPATT00012542001 transcript:CAK77017 MKQKPQIETNNVFDILQKASQNLKSQKLDLINSVRNMSTKSNSNKNIFGKEFLKQQFCKE NQQKKKQMSNLELVAAMQKYNQLLQQKRDTPTRNYSKSQSLLNQLVTKVTPNLELNMFKQ SSRSPIGTDIRPQTSELKAPTSVQHKRQQSIGSKDQNYKKLYNAMLQKPKKAQSHQTTPQ HSRQLSLQQSQQLSNRESKYFESIKFNKENKENSQFQYYLGKIRQVFTRPLRDDYFSCIY REHFFQTYQGIYVASYLRPVDPNDLKKKTVQLKQKEKYRNKISVIFDLDETLVHCNESLL QKSDIVLNIQVGPNEMVKAGVNIRPGAVELLESLVDDFEIIVFTASHSCYAQQVLDYLDP ENKLISHRLFRDNCIMTTGGMYTKDLRIFDRQLSQIVLIDNAAYSYAWQLDNGIPIVPYY DNKDDRELWGLQTYLQGMIGVPDVREYNREKLKLNQFFDSQGPASVFEKLFQQKIEI >CAK77018 pep:novel supercontig:GCA_000165425.1:CT868252:457637:459155:-1 gene:GSPATT00012543001 transcript:CAK77018 MSKHPIQNYQILKVIGKGAFAIVYQGQHLKTKEIVAIKQISTDMQEGPHKNKMLELFNQE IQIMKSIKHQNIVQLKEVQYTSDSINMILEYCALGDLEKYIKKNSAKNRLPENEAKPIIL QLLDAMKILRLKNVVHRDLKLANILINEQMQIKLGDFGFAKSVTTDLLESYCGTPITMAP EILKKYDNYDHKCDIWSLGIMIYQILYGQPPFVSKKGTVTDLINEIEKQNINFPEQLGIS SECVDLIRKMLVEDPKKRASFEDIFRHPWCLTEVIDLRKSILQTYVPQHQEFMVNLSFTV QQQISQLVSISRLIQSIENKYQEFAEYCVNFRSVLSNAFSSVQLNITYSNQKVCIKPENF KYFNYQQELLIFLANLYETAIQSKNNNILDFLDLGVQDYQISNYFSILYEEYTVTLLEEM KNLKLSQEKNKGKALTQIEDTRGLNKFVKSDLNTLIELIKDRFKNY >CAK77019 pep:novel supercontig:GCA_000165425.1:CT868252:459328:460433:1 gene:GSPATT00012544001 transcript:CAK77019 MMNHLRNCGKFSTLVFADHSSGKLSKSTLKILNAAKLLNQQISLLVTSNDANSVVDQIKS SIPSNVVHQVLVAQHDSFKAPQADVLGNLVKQLIDQHGFTNFVTSTSTLAKDFLPRVAVK YAAQPITDVIAINNDVFLRPVYAGNAIAQVKSSDKVKFISFRPTNFEEIQQTGEKVTNIV QVKVENTKQLIEHVSDELVQSDKPELTTAKFIVSGGRALGSKENFKILDELANALGNTAI GASRAAVDAGYAANDQQVGQTGKVVAPELYIAVGISGAIQHLAGMKDSKVIVAINKDQEA PIVSVADYTIVDDLFKVVPELTKKIKEIKQ >CAK77020 pep:novel supercontig:GCA_000165425.1:CT868252:461192:462967:1 gene:GSPATT00012545001 transcript:CAK77020 MIDNPFQFEKDPKKFTNSLEYDRNAIEEEDDQKQQTRLTQLYIGKSASRYGLLRKLEEQT QAEKLAVERDHVFSQDFKSKSFNVKPDPISCHLKQFNPRMLEKNYQDSLQKGYKIRSILS EKKETLQDFVEKKREICLTNLNILTKKEETNRLEDFIKNEQESLRARKLYFKNDCELVKR FMSEVKSQADQAAILADKEVRRKEEVKVDVARILAQIDRLKLQKSKLQDEYDKLDKYRQF LEKIKQHYKTKFADLEKDITLPQSTSTTKRTLFLTGVNVLESETRSKEQLEQLQFEYKQN KMADTVIEILDAIEEGNLRNMQNQRDAEEEMEQKKREAQHLREILAIHQKENEQRFKVLE KQYVMHQQYQQELKKQEKVDQNKLEFAEGENLDMDKIGKRIIEIYTDVSKKEQDPLIKKL GIDSKMLKFTLNQLEKVVLELSEYKLQFLMKSKQDFMDAEKKINLKKKEQRQTNQKDEEK KKQIIERRRKKEEQMANFYRGRKDMRRNYPAEKVVVEQVVEDNDENDDEKYLQESYELVY VPSQKVDNISSQQQLQQQQ >CAK77021 pep:novel supercontig:GCA_000165425.1:CT868252:463066:464529:1 gene:GSPATT00012546001 transcript:CAK77021 MPTRNVSETNLNNNQSRNNTITIRQNSQASSRKQTKDNGYHRIAYQQQIKKNQISLKRKR SVSSISSTSSMQQSFMKMEEKMKKFKLNNPEIIDQKYMISGYLELSKDYKNLVFTIRKYG QDLDALLKFGQNDMKEDNICKVDQFFEKHSDLLSTKVDDYFKIKESFYRFLRMRIQEPYY YRVKKKLHLIEKQNQTFQTFRIYLDAFKFINKDGFEYFKDDIQLIRSFKKPPTLENIGTL FNEKIEQLRDQILKTQELTDGKLLSQIFDHKIEQIQRWKEQIIKLAYFQYSFNIHYLLNT NSKTRKYIKSKKLYEQLFQILEETDQFLIENYTINQIYSEQIAQFKCDLSEIKQQILEWN IKLRENEFYKQVLSLVKQNIYLKSINQRLETILSIRSSEEYDQRMDAKATDMIIKAKMQL QNIVPTIQQIKSCQQIKDDEYIQKQFNKFVLMKDYFQNLKNEFEI >CAK77022 pep:novel supercontig:GCA_000165425.1:CT868252:464616:466040:-1 gene:GSPATT00012547001 transcript:CAK77022 MNIKSNKTNHKNKKPAQNAITSDSLDITYICPRILAMSFPGDGNPAYINKIDNVAQYLQQ RHHNDYQIYNLSGIQYDHTKFKGRIYTFPWEEKSAPNLGYLFMLCKHIDDFLSSKLSNVV AVHCINGIGRTGTAICCYLLYSGRFSNAEEALFYYDKQKHLKGGGITMPSQIRYVQYFEK ILFQRHIHPPIKYLTSIVIHGIPDIQDKQCKPFLEIYTYENSCKTLKYTDKLEYKDQKKA KDLLLKDIELIRINIGKPFLAIGDIMIKFNHNGQFEVEPMFRVSFNTAFVEDNKLAFRNE DLDPSKLQKDDRFPADLNVEIQFKEFCKCTNEIQFNQRCKSCSNLSKQLCPDWELIQNIL NDYTKHSLEESQILLFGDAAFDNLNQVKYQTWILVQGGRAQSDIIKDVDDDF >CAK77023 pep:novel supercontig:GCA_000165425.1:CT868252:466233:471979:1 gene:GSPATT00012548001 transcript:CAK77023 MLILIFLNFIIGILCVNRNESKVILTDFYNKNSPVFVIAPYYESYTIDLFNSKDVLENTS FNDVNSTNQNLSFQITPFIETSSESIEQAFCPVDEWGPLYQPARFYLSQTAIDLLFYNQT KDKIVQVQNKLHRDLPSLNENFKNISCYSIFQLRKNNQFGLDCNINNNATLLIFDLVFDE KTQIIVNFTYNDYYYYSYDDEDNENFLKKCQFRKTINVNIMDVANEIKFEFLQYCPSLFN QKAITNDFLIIYHDRLTRHNRTLKLDAFKANITDLNSCKMDDNSMIEQIFINSLINGFDN TTWNIGFLFQSSPTICKCNVQEVYTSHYNIKCNSTLIELYQPNQIRMVQNLNDEVVLVTG TNIAILVIYLERSYQSENFFTISYKQIFEPGYLLVDMSITSQYLAVIMTDSPFAQQISPF YSKPNLYCTRQASGETKNILKIFYLNAEYKTVYYQSDTFNQFQSFFQSLNQIDFGNFILL YNFFNKKLNIITLFEKQLNVSYLLGQDNLAQTYNQQIAPVIISFNISGGYFVYDQFQDTY HYNVVTFPRYIILCFIKLEDSSFQLIAQIHKLEQKEQQSLTQCPISKDIYVFQEIINSID LFEGIMGPFLNFNYVPNYNISRFYPYYQNHKAVIKQNQFYEKHNQTRSVFNVLSAVNKNV FITSNIFGIVEILLTYSDIGKDPSLKKLDSVKAVIFNKTCIINAKEYVTIVYSSYFQQSF EKQFPIDIEIQQCTEGRYRDLQKYLNEVNYYKQFEYYDIAVQYQDSIIVICQKIQTFGGS ERCQNITDMQRPGDIIKQLVILQGPIKNERVSYFFTILYNSTLTKLYFYVLDVFLPSQVN PGITYHNSSLYNLLDTQNVIVSFRYTYINQQTLFILFSSSQIVVYTPGPSNSTRDVKLYN FTSTLTNVVLNIPECQNNNYLGFEITKYFQSKLAKQYLIVYCQENANGNFTPYILQYIIT NINQINFWRRLPHYDTILSNQTILISGLILYVPVITKSVYNDYFQNYYIYNLEDYTARSL VEKIEIEKKKNESIITYPISNLMDSLIAGSSISLTLIITEKKFEIYYLNQKAEFIFHLQS QFIPVYNNSPFVLVIGVFQPQKTKTMFRNYTLVYNFTSTQISFAYDSSETYKVVINQDQY IEAGGFYQYLFLVNQSIFNGPIASYNHSSNQENLSIIPSPYLQMNKYLGSYMNEVSEFGE ITQIIDVGDEIYVGLFNQTIDNVDNYDELIIVLTDYYVLFYQKHSIPDANRSCIYFDYTQ GQQTSNSSFCSIRLIHYRQFKPFNSFLFCQMQLNGVNVLIIVCQLKYFHKLADNFSFQNK ENIQQYIYLEYFYTKQNNSITIPTFATNNRQFCFCYYNDLQPFLYNNQVYQMIRTGYQQL NSYFGIYQILLAENQTIQFIQYNSYPNQNDSQPFQYSEDFALSVIQQESIQQLYLIVLQP NNIFVQVINLTDGFPAYGPGQNLIFQDDEDYVRFEKAVFIQAINHFQPHVVNESHMTQTL SVGNNNQIFEIAFDVQTFQAKLQYTFYQYLLCHHNFLSRPQIELSYQNDTVFYIVSQCLS NQFEYQSVQVEMTYLLNELNTNIVYTSLFIRNYSRNYSRPVEILEPYLVNPQELHFQKIQ LYQSDAQANTSKSHLLITNSTYFLIDLILYAENGYYLYYPIQSANDDRVCESSHLSHQDH SVYLNITAYNSYSSCQAQFEIGWIQQDSQVNSLAWFYTILSLLLLLILVVVIVAVYGFCE KQSQIGKKDQNLPLERIGDDESEYGVELQGPGYNQLMLGDVFKDLLRNNKSKKQTVSYTD ELSD >CAK77024 pep:novel supercontig:GCA_000165425.1:CT868252:472025:475662:1 gene:GSPATT00012549001 transcript:CAK77024 MGCGLKKEKKESNTEIASLKPLIKFKIIFSNIKIKNIPLKKAKNVSKLKFRINNPNPHWR QTFRSFHESDLETLKSEIFKISVFCAEKNRCFAYVVQNMWEVANGPIHYDLFLESTQANS SRITFDLRMVQMTTLKIQSKQVMCELKDQLMEQAYNFQLKVRSHQVDYYSDFSPNYLNHY LYRQSSIKQYGYIDSKEKLRSESDEFQLVRDIFDSSQDENIQADLKSCYLKKNGLKNEQD LILKKSKSNNQLSTMIHLSTNQSQTIKSLNSRRPYSTMDLITKKDLLWEFKVQENNPIIS FDIYVDEFSSSSFEFILWGSSKPNEKSDNVSVRKIGNSIISLNKFFSQNVNVLAELDRIS LQEVRFTDKLWIHGQCVGRVKAEFIITKDTFIRQMLGGFRTEEGIHRSSLLYIKKDKYSG PQSPNIYQIIQINNEIDELAGKLNQKSLQHRERVKINKAINQIIEKLSSLIKNQELYENL EEMIAAQLVFVDVTKNLLESADLIDEDLRDQYYDVLITLLNRDEFFISYLGFDKDLKETY LLRNDQNYVSKNKKLIQQLNQKIRIGLHYQNFLHQTFEKVAQKLNQQGQNDKEELFVNTF LVIAFFRIPQFQNLVIDILKEDQRSLNEEFEKDFGIYCFDNTFSAEWDKNFYDYLQSDPR HQEHKKQLQGILNSIDWKNIILTSRVFLKWFQLMLNHYKKAKHPYDMSLTWSGFPGYLIL IKYLLLEIKYSNEYPYPETIKHASLCILENENMLNYLVIVIFHKTKLYDGIAVFYTLDMI NDWFHIIKKQNKKIPTDFNYQFLMKGLFMIFDGQHASSISKALQLIFNIFPQMPIEIQKE ISDHIFDNCFFKFFMFWSKLVRFVFQHFLIYRIQHRLRNYRQESIRDIYKEFECIKLQKQ KYTVEEKAKLLNHLIYIRYSQMIELVLKIKRKIAQHNLQQESQFQLQLEAKSLKLKLMKK KQQQKKYQQQQFKRMESPRHDQIDISFEKQQRSNISLTFSLNDPFYSSDIREDEKSIDQS HDNAKENNVSNYDQNEIFLFDRSISSIINLNDLLADIDQDKKINEKRKSRHSNRNNSVEL NSEKSQKSRRDDNQNPKKLKIYHRMSKEENIKKSFTKELLSYLFPAIQEWQEQQANYKRW FLKAQAMIKKQYKQDQQEQQLMDVDPPEINLYVPKDETENENVYTEH >CAK77025 pep:novel supercontig:GCA_000165425.1:CT868252:475771:476655:1 gene:GSPATT00012550001 transcript:CAK77025 MSDNSSEENSTSSREINMQQMSIKLYVEMIDAKDNSSTYIEYFPSFDLGKMIQPNGINIF KLTHKLKELGIQLEGRTIAYYSYDCEMYINCGMDPVHCSYVIPFEEIKLNNQLRIKCLQT AISLIHLVMSEEMNEKVNKMKEQDGNDQQNLQQQGQENQKQCRRTKERRIGYIIEKVSKW REYYSGIMIDGESKRFTLEEAAQKVNISKKSLDDYLLQIRYGRKFGFNFNEHKNEKVGVL RAFVKKNNCTKKKKIKTE >CAK77026 pep:novel supercontig:GCA_000165425.1:CT868252:476656:478585:-1 gene:GSPATT00012551001 transcript:CAK77026 MIQHLNNSNFIYSQNTEYIKYKQISTSTIKENCSSITIPVFISNDVNFAYLTQSIYVLYV CQFYLLMNQNYEKYDDNFQMIQKLEERKIKQIFSDNLSLSTPAFMNTQRKQTDIQYIHKE RAIMDVRLTNVIQLDLCQFHYIEVPLFGHKKPLVIYFQFTDQAKMKFYISSHTITPNRFN NEESFERKQILRYTEQGEHILFAQRCLYLAIFSQQATTIYAKASFGIKPQQQQKMETESK LRPSTGYQFTRSSQFFFTRKPSKDMISLNKNIEQYQPDKIKLQQNLKRVQSARKLSETLH KKKQIFEEKKETLKERFEFQEKKKILKEIILYRNNIDIFLKVQQKLWLQNLYFIQLVSII KKRYYDNIIFQFKSSIKKRRQYRVRKVLQKMLQKSGRDIFQRTLFQGLLILRMLSKVKEK GAKKKAKRIVHTFMMSYGQIGEIFQKTYQFKRRMRKIIEVYRNYRKRVQAYANRIIMLWN KYWNQIYMEIKKEDQEKVKQIKMQMQKTIINYHIEEEIVKSPYLDIKVQGVIVNNYYKEI KNLYVRKWRVLKIKVKSSKFQTLMATQAFDKKNELLLFTIVDKSVLKQLIYKYMQEKGMI QSLLLKKN >CAK77027 pep:novel supercontig:GCA_000165425.1:CT868252:478915:481503:1 gene:GSPATT00012552001 transcript:CAK77027 MPLNLIGFANGIQLSHDDIYQLAEITDSLRENKRFGYYLIYDRLLLSIRQAALTQEEIEE VKGQYNTDEKEADQDLIIYTRGISRQKQEMEHNFSFFEQLTVLVGSENEAFKYNINYLLA YFVNNDEFVQKLTAVYVHKETGQEVEIEGVDLFSYDQDKIFQQKLNISEETQPKYFRVHY NGENMAMISFKTHEAEETFRIDKTFSYREGQDATGQLETYAFEQLRLAGFRGRIVKKDEY SQIIMLAAEYLQKDPKVEEQKRNQPETLPQLPENWNQGKFQLIINRCSDCEKHKTTTWHN EADFANKFNEIGQILKDLFPNIEVIGNWDKTQQLEHFDVYIRGVGQLSQMDKEGRVFLFR KNEKLAKFLDCFLKRMLKVYDDIVLIAQAYGDTNYLANKQEQFLRTNNYSQRSKIAHDHP CNIPDKQEKSEKGEAQYAGSDFICKNWGCGQPYKFDSTPNGIKTCKHHPGRYEFGSKHGL WPECWTCCGKKWEAEGCKLEYHKGVPEKDLYNICINVGPLDPRTGYPEGTCGMRFQDGDS SECKYNSGTHQAAKWPDPAAKVYFVQKITHCNYNLLIYIQNPAQKEKETQPNKNIQIRPD VFRETKPYNEFINKDRQRQMKLIETEKELRICTNWAQKRICVDVTQECLILDTLLLKFKK LLKNINKKKDPKFYGNLIGLVVVNLGMNQDVFYQNTQDLQLLNIRQINTSGQIQKLKSIL KRRYQIYWKKMLEQSHSLTPETASLKYDLLCKTLGSGGQISVYKLPELCDKFELNLWVCS EDLAFQFKFTDIMEGRAQEYLADTSGNIDKHKFLDWWFADVNRMLEISSK >CAK77028 pep:novel supercontig:GCA_000165425.1:CT868252:481667:482483:1 gene:GSPATT00012553001 transcript:CAK77028 MKNQNNQFIIVKECEKIKRISNKINNFIFIYVLGLVVLQTEEHKFNNYNRQKMHNAVITI PQHGNGRLYKTSICRHFELGNCSIGEKCQFAHGQKELRNPQWYYQDFTLDPILGKIPTID SNIVITNYKTVLCKYDQQGFCKNGVNCPYAHGTNEKKQARLAPVQLKQMQENKENGDDEN VVKFLNQLTDKLMKTDAFKNDKVFYLKLSKKQVLGQLKQTQVMIEEKHHRDAAEQLSLVL SSPSRSSKQQKAYETVYKSLTLN >CAK77029 pep:novel supercontig:GCA_000165425.1:CT868252:482612:482904:-1 gene:GSPATT00012554001 transcript:CAK77029 MLHQVLIQMIRLEIEQNKDKVLIEALTKQLEIQKNIAEFSDQELMKAQEQIMSQKQRIAD ILNLIMIKGDAKQMDDVEKLLQNKEFLSL >CAK77030 pep:novel supercontig:GCA_000165425.1:CT868252:482940:485367:-1 gene:GSPATT00012555001 transcript:CAK77030 MLQINVEVQTVYFYIDSPITYCHMQLNDSVTAVMLNSQLKCKCYEQQDIYEKAEIRTPYD PKNKKKLKLIFFENQSKFGEAVFDLDFYIENKFQQLSDKLSIQSEQNEEAQLTFMFEWQF IEKPADNNPKIINPYLSGNSPQNTQRNVGQSPKRLAQSKKIGDENEKRTTHVTYSQWKDH KEYLKNQLERKLKEPKHPQKVVIVDDCNYNWSDTLRKPDAQVRVVSPPKRFGTPTKTNKK FQSPTNTLNSQRQQDYELRMINEKLSKVDKQLQQMNNDNKEEEKKKYSSKLNQKLKQRQC DDYRNGSNEEHTNSQSEKGIKQRSLHYQSFVEQKDSKDYQFMYNSQIQPPTQQYQVSDQL IKSLNDPQTSKQGIKQNVSNLDFQKGSPKPKELQQQLSQRSKTQQQEDLINNNQFNNYSN NSDKYDELMKKYLDLKDKYQNSCIEFSLLSTTYNQLKDEYTQVGLQNQQYQKQIAFLQQQ QLINGNNEQNNQSAFNQEIVKKELEFLQRDNNMLKSQLDQSQYNVNQLQKEKEKNQIEIY NLKNNLLLINQEIEKVQNDAMQMKIELKQNSQTQQGSEGYAQLNIKSDSQLINQLKAQLE SQEFNAIRSKEELELLKIQYQELEKENLNQKKQIGNFNQIIQSLEDEITKLKEQLEEKKQ DIIRTQALNNKDNIGDIIDGYKQVIKKKEQEILDQEEGIKEMKKQIQVLEQELQSESELK QEIEQKLNKKVNDLSEDIEKLQSDNQYLRQMMSQTQNEQQSSFQQVSYLHQAIQIEFHIL VRNQFQRVQFQRR >CAK77031 pep:novel supercontig:GCA_000165425.1:CT868252:485451:487363:-1 gene:GSPATT00012556001 transcript:CAK77031 MKNNSVKFKLNVPKSSTTSVISEFSCDESPTPNAFQFQSFTHAQTISAKLNKNSVYNQQN IMFNTPRRMAVPFQEIEKDEVLSKTPINGQLFKGTKQFNSGQVEPVSFSEALIKQSKYTE ESLKKIQKLERFLEKAKQKYQKPIHILSSDESPSKPIAPSYISNLAGQEKKLDTKVNKKF NSLMDELRIIRKNIQYFSAFDGTYAQSQKTKNNFISLKDQNGIIINNGNHLTRLELINDT FKCEKICDIHESDSNFISLCICDQNISKDMLITGSDRGNIMGWNFFKNQAYHYYGLNNQY NCNLQEHSLPTFITQSLNNIYVGTKNNELLILDERMNERQCLSLTIKKLQSNVIEAKSIL SPLIPRLGSAIIESPQINPIDPNLLNETNFRLDEEQDSIKDDFNEQFPTFEQLQDSNRQE SQEIKYIDVNDYNVLVTMTDGFIHLDMRNPTVAQFSFQQNGQQALKALFSPGNSNNIIYA KQNSNRCLLWNAQKNEVLFHKELQSEPMDMIVAKETQEILFLHQEQNDSIIKIYDNVTSK LKYCDELTIPNFQVQKILLDHQCNTLYGIGPYSLRTWNYFQQKEIDLIREEMQNQLDALN >CAK77032 pep:novel supercontig:GCA_000165425.1:CT868252:487795:489102:1 gene:GSPATT00012557001 transcript:CAK77032 MLLRFITTTHELNWASLYYFTKHTRPKAQPHREKTRAKAISDDKSGKIAKSDQEQEQKQQ QENLKKKIELEKLRALQPKMHTWSSFYETHIPQTKKVPKTIYLSLNEQPEGRRKHYKKFD QPPVDITEECNQKFEIKQHTYEDPFASPKRAGLIAVKVGMTAQWDKWGYRHALTVLQLDN NQVVQVVKNDTYTGLQIGAGRVNIKTLKKPQIGHFLKANIPPKKYIKEFPITPENTLPVG FMLTARHFTPGQYIDIQGISTGKGFGGTVKRHNFKTQPATHGNSLCHRQLGSTGQRQDPG RVFKGKKMPGHLGNDKVTCEGIQIYRIDAVRQLIYIKGSVPGKPGSIVYLRDSWKAQKKN KELLNFPTLAVENGKEYAKEIVMEAPLEDPEMEETHENDFPKAGEDAED >CAK77033 pep:novel supercontig:GCA_000165425.1:CT868252:489163:491933:-1 gene:GSPATT00012558001 transcript:CAK77033 MKTPFGLQQYFATKQAQHHQLLDEESERTHKMMVKEIEIDGNMCKKQASLVLDNTPVYFP HQPYDVQKSYMESVIRALNKKQNALLESPTGTGKTLSLLCASLAWLKKNRKDQLNSDQPK NIKIIYSSRTHAQLKQVAMELKKTVYKPNVSMLGSRDQYCIRGDFSAIKGTLLNQSCRKS VKSNQCQFYKKEHLILMAQNYSTLISSLDEAKQFGFKNKLCPYYFERQRLDFADLILLPY NYLLEKDFQDVVEIENSILIFDEAHNVQSTAEEGSSFLITHNNIIEAEKDLEKWIDELES VSIFYDQLKAKLNSAKVPTELKEFRSIMITIRVFAQYIESFKNNPEFVISDKDEKYLISD ARRIQNMIFEHTQDKENTFRLWSENSQTNYAKGVNKNNFAKYLIHCSVLIEVMGELSQIP GYHFESWVKFMKNVFDLIKVEDEREKQKLSLNSLQNEFNQYKLSFILDQSNQLSINMWCL EPSLAFSRLFSKSIYSILLTSGTLSPMPSWACELRIPFEVQLVNEHIIDLNKNLRVFQHK TFDFSFNQRNNEEQVSKFGVTLLSLSQIIPNGILVIFSSYSLMNKFRSKWTYNKLLPRLC EIKACLWEPQQSAEMQNVFDLYKQKSKKGAIMFAVHRGKVAEGIDFSDELCRAIFLVGVP YPPKQDNHLLEKMGYLDKIFNDPEFTNQQRIKSSEWYTQQAIRATNQAMGRVIRHINDYG IVYLCDKRFEYRDIKQGLSKWAQPAIQPWVNDDEVIKQTKEFYNRTISIKEQCIKEQIEQ KPIQEQDCKKRKLQFFGLQNKNDFEKLKDETQERVKNLNEQQQQNEYINLQSYQMNYKPQ EQQNKNSNKNSQKQLDISNFQNINLSNLDQNSIQEIQQKMDIDDDQVSRMESKKKKLCIR LKNQK >CAK77034 pep:novel supercontig:GCA_000165425.1:CT868252:492573:495522:1 gene:GSPATT00012559001 transcript:CAK77034 MTNLKLFQVTGYQNEIIVQKYPYYQIKSELPYITTYLKFHRFSQIIEESPKFQEQEGNIK GDKRCSYILGVFKTYNKSFIVIVDECTKVATIQDQIIYHIDQVSYFAIDDYNPNNNKDIL ESINNQKKLLQSGFYFSLYGDITLARHFQKYENSFVWNNKLLSSFRENKISSSWQLPMIQ GYVEQIDSQIDKQPVTVVLISRRSRFMGGTRYYSRGVNDDGHVANFVETEQIIISGSILI SFVVIRGSVPLFWNQDGVNSIKLTRSKELTQSAFSKHFNLLRRYGKIFCINLMQNSRQLE QVLTENFYYQLQKAKLDHVNYQSVDFHSLVKNGKSSGVNSYIYQYDQTLEKFQCYFEKDR QMIKKQNGVFRINCLDCLDRTNLFMSKLCLYSLERALRNLNLQLSGNNDILNSFDENNKK LLHDLIIKYKIMWANNGDMLSFIYSGSGSTVSEMAREGKRGFMGMLKDGYNNIERFYNRQ FEDDAKQNTINQLLYQSTSQTHFDSWIAQQEKQFCTFSEISILLITWNVGGNTPITKDFL QNILHFQEQSNPDVIVFGLQEIVDLNPQNIVIMSNEKTLQLWNQLIQSNLSKIDSYTKIG NSDLVGLYLAIFVKTNQISRITQIDTDAIKTGLGGTLGNKGGVSVKFNFDDSLLGFTCCH LTSGNKQCQQRLSDIDEIHQKAFQNSKQKISLKNLDYSFFFGDMNFRIELSYQEVIEQIK NYQQLISEDPNSQKAKRKLAHLLNFDQLGKNKNKNQHLQNYHEGSINFLPTYKYDKNCQI YDTSTKLRIPSWCDRILVNCKEELICSQRYYQRNECLDSDHRPVSSYYVIEIKKIDKEKL ESVKSLYCLSQMQNFKSPPPNFDVSTKPFPTKQFQQPQQPQQYGNYQDLSQESQNDQQRN QQQLNLTPQHQQQIQNTQDFLKNNQDKQQQYSQQHQGFEQYTFEQMLQQQKSAQQQSNQH KLDLQ >CAK77035 pep:novel supercontig:GCA_000165425.1:CT868252:495647:498841:-1 gene:GSPATT00012560001 transcript:CAK77035 MERKPIGEKLSNLSALIQKQQPNKDQQNEQDEKYKQIFPNMNQPENQDNGEQDKLKQVQN IIQDQKQFQNDISRLLDRLGKLQESTSQSQSQSQTPSVKQQYPPSQYDQTPNQTPNNRMV QSVSTLPKQTPPQQLPQPVQLFPTNSPISDQTYRNLKEQNVDLLRNVAQLQPLVQVVNEL KVALQQTNDKIKDQQKQIEQLLKENMQLKQQIILNEQEKINNKRQFDDIMANNQLAHQRD LQAIKFQAQENLNGLEQTWKQQYVLLKTETDAVINNLNAKLAIQQKDNQKQQDLINNVVN QNKEIQKSLQDRINDLNMYHQALEEKKLEYEKLQNNYNTSLEKYENNTKQLINQYTQKQR QQEQLINELKQHNTILSQQMVELDQTHKQTLQSQQIQVQHHINGKLEEVQKISIVEKQQL QQLYEDKINKISQDKESQISSQKQSILFLEQKIAVLVQENKTLVEQMNKIKKKADNLEQA MINQEAAYQNLTKDSQYKTLSLTKQIQELNSMIGNSNQNNFQNFTRKSDTYDSTERIQQL EQQLSYKKEEMDLLLHHLDQVFEINRDFENKIKTLQAQLELMEEKNNREQDQSNNLIKQQ KQQIEELKSQLETSQKLDSKKESQIKELYQKLNEEEQLRRKQNDQIMMSEKQQFQDQIKQ LKIKNVELINYNEILEQNLKELQEKYDQSLKEQSEQKQRFEEQKQIIEQQIQMLQKKNQD NQIAQPNSLRRDQKPVDLEKQIIEKDQQIKLLEQKLKENQLKTKDFELKQKTVEETENQT VSMQKYYENKIKELELRIGTYDDQIKINNKQLSIYQQKIKNLEQQLLATEDDISRLNEIN QNLEEELAGQKNDKPISQPNSQGDLYKLQEANLNLQEQLNQIKKEKIQQTQKYESQIQSL QDQNNNNLQTINQLKRENQQISDNLNNKIIALQQQIDSLQKQNTSLKQEKIDLNKEYLSL NEQNKCLSDQVTKLKKEKAQMTMKIMNSGMANLIGSQVSSNSEKNL >CAK77036 pep:novel supercontig:GCA_000165425.1:CT868252:499380:499854:1 gene:GSPATT00012561001 transcript:CAK77036 MQYQVYSPAFEEVVKILKEQGPFFGVMGFSQGSSIAVRLASKIAAGEVDLGYDLQCFIFV SAQVNPFANTDSKSYLCRVPSLHLIGMNDFLVDRSLGLVVQFLNPYVIYHNQGHKVPTLT YEQVKELQKFFQNPAQDWTKTVYVPQLAKL >CAK77037 pep:novel supercontig:GCA_000165425.1:CT868252:500538:501287:1 gene:GSPATT00012562001 transcript:CAK77037 MEVKQKVLCFHGFGTNSELLSYQLRQFKKEFKDIDFITLNGPIPLNRNVNISKILQVIMD ESIAKMLENKNIYSWLNFLQFKNNDIDSSKLKLAILVFSPALEEVVKILKEQGPFFGVMG FSQGSAIAVRLASKIAAGEIDLGYDLKCFIFVSAQANHIPETKQFLCRIPSLHLIGFNDF VVDKSLGLVVQFLNPYVIYHNQGHKVPTLTYEQVKDLKRFFENPQKDWSKTIYVPKLAKL >CAK77038 pep:novel supercontig:GCA_000165425.1:CT868252:501347:501574:1 gene:GSPATT00012563001 transcript:CAK77038 MQDEKTLRQYEIGAKVKNFIPQITNYLKILQDLEFIPSDGTKLIKRISLPQNQAKSDKQY QFHGTRNSMITLLMI >CAK77039 pep:novel supercontig:GCA_000165425.1:CT868252:501965:503139:-1 gene:GSPATT00012564001 transcript:CAK77039 MSYSRMSNASQQLLSKQLNKTSKSYFSQSSQQGTSNTELVKIFAAFFGIVGLGTGGYVAY QNSQQQQKQEAKAKQTHISNQTIDNLRQKSIENEEQKKEEIITMFSDVNDRMLRYRGDPT SEKMLADYTIYGDLKWFEVDPEEWEKPEEEMIMKDENEINKHPIKRALINERITHAFEAE RILQSIFVNVNDVLSEIERTGTYTPPGIFFRFYGYVYFQIRRKPINVESLVKAQKYISLF DLFFQYQTPVLYYHNQYLEIPLKPAIFDNIDWLPNAYLNSKQQAKIYLTGKPKHVFSMRI KQGTMDESTQRFAWTNHYK >CAK77040 pep:novel supercontig:GCA_000165425.1:CT868252:503289:503928:-1 gene:GSPATT00012565001 transcript:CAK77040 MKLTKNDAQMHEVNLNLKTQEQQMLYNKKYAFFTLQNDKYVQIICELPNIFTVEDAITFT LSQFQKEIGKFNKNNDLGENFRSKKGSDYVLKISKKSGQPKDDLPCLDNEQILFETGSQT FTLVYKNNQQNSENYQQPQTSVTTTMCSQQESQDMSIKSRASYTNGLNKGKKPIKENDKK EKSGGFCFFNKCF >CAK77041 pep:novel supercontig:GCA_000165425.1:CT868252:504027:504924:1 gene:GSPATT00012566001 transcript:CAK77041 MRKKRKEYIESSIRNLNLERSQYIRQRKKRGREEKYQRSMYLKQKEVLHLQRKSQFKIDK CSKKIGNIYSKQQRQLIVLYHLIITKQRKKGSDVSILNQIYKRKFKKEKRRKRILTQKDG ERSETKGSLQKRNQD >CAK77042 pep:novel supercontig:GCA_000165425.1:CT868252:504933:506030:1 gene:GSPATT00012567001 transcript:CAK77042 MANQVEFKQLGFLKGHGDWVTTIITNQDPTLADLVISGSRDKSILVWKLFKQPDGDLAGQ PRKQLNGHSHFVSDLVLSNDNKYLLSASWDKELRFWDLVNGTCTHRFVGNKKEIFTCAMS PDNRQILCGGAERKFKLYNVKAEEKLTQQSHLHSDWISSVRYSPIIKNIQPYFVTVGWDG WLKVWNQNFTIRFQFKAHDSQINSVAINPSGEYIATGGKDKKLNPFEYDAGGIINQLAFH PQQNWIVAATENGIKAWQISQEEKAETKTPIVTLDHHTETLVAGGVKKIQKHGAISVALD ANGAKLYGGFTDGSILVWEVSTKN >CAK77043 pep:novel supercontig:GCA_000165425.1:CT868252:507129:509548:1 gene:GSPATT00012568001 transcript:CAK77043 MNCNYHQDSRIELICVASHKCQRKLCSQCEHQEEYSTHDARLFEQFHKSLLKQLDESNLE EKNDFKQDLSDIESMLQKIKEELSKIIAEICYNIDQKNEYYLNVTNETTNLAEQSYVKLE ELLEIHQGTLLDDWKCEKQFYLGQMKDMIQFSQKEITTLSERLNQKIICFREFQKIGQKC FVWKEDLYEVLALTKDINSNDEIFNVILQSIKKQDKTPLDYCFFNSIKKKFKEEINFYNH DLTEIQKQTKLKNKNNDIQIIAHVIKNIRELEFYKMNYSLQDFQETRKILIQQIENDEKI MKFLIFLVKLTSFDEKFIQCGSNSLNLLVEMKADLRGQCFNNIRIQDTLLIRANFARCNL SNSQFINVNISGINVNQAQLIGCKWRNLKINELIKLQGHKNSIQSVCFSPDGKTLASASD DKSIILWDVKTVQQIAKLNGHSNPVRSVCFSHDGATLASGSGYPIYNFENDSDDYSIRLW DVKTGQQKAKLNGHCNCVYQVCFSPNRRILASCSDDRTIRLWDIEKQKQIAKLEGHYNGV QSVSFSPDGSNLASGSYDKSVRLWDPRTGQQKAILNGHQDDVMSVCFSPDGTTLASASKD KSVRLWDVKTGEQKAKLDGHSSYVMSVNFSSDGATLASGSRDHSIRLWDVKTGQQTVNLE ASSIRSVCFSPDGLILASGSYDNSISLWDVRVAQENAKVDGHRNIFQQVCFSSDGNKLYS CSDDKTIRFWDVKKGQQISKLNGLNQNFQFICFPSNGNTIAYICDDHSINLKDVQTEQLI AKLNDYNGTVLSFQISIWQ >CAK87296 pep:novel supercontig:GCA_000165425.1:CT868611:107:424:-1 gene:GSPATT00039799001 transcript:CAK87296 MSKINCLITERVSQIHEEELEDSVQYLVLIISVRKDSLKTCILQQQMEKTSSNSLKISNF GLCSKRQILLDKQLKYQTLIQNSLLYVIQEACSQTKHAKFYPQFS >CAK79908 pep:novel supercontig:GCA_000165425.1:CT868346:535:2629:1 gene:GSPATT00039358001 transcript:CAK79908 MGQFLGHLQNAQPPQSNPLLNNSISKLREYHGSFQSVCDTFSIDLTEFEQIFGSNETMFQ IWDTDNNGLINALELFSGLIIFAESNFEEKARFLFDLFDFNELNSLSLIDLDFMLLSCAN ATFKIMQINNEVNEEEISDFLSNFFSDNQRVNISQFLKWCVKTDEIRQFLQLIKKEAPEL KVTAQTEQLSQKIDVLRQVFSKEFGKKLLLPDSKRPYFDQRGLGSILSSKAYHSKIQWIS SLAKKVYAPQPQVFQKDVYAKMNWVYGFRGKDMQSNTDTALNEKMIFFTACIIIVYYPKI NEQRHYLEHESEVISVAVANNLSLMASGEYAEQPAIHIWDNNTLHNIGVIKGVHQKGVHL LTFFGNDELLASCGIRVASPILIYNIKDFTLVLSTQVNEFAVDLLTIKNFIGSFGGAQYR QQQINQQSLLNPFKKYENSFVVCTIYQIIQFQYYDGHFLTKEIQLEEYNLTSPLTCATAL RIYSRDPYLKAYQAEEGEAIVIISGHQNGAVILWENFERMDLMTTYKDQIVCITSYQFGI IIGTDASTIHLWDFKFKNNIKNIDLTAFSFKLFSYVISDIVVAGDKLLVASTEGDVVEIF LQQKQEHSSNSFVNKLRANRINYIIQLSGTSQALCILERPDSDDKFGILCRIIINSVWIL IGDS >CAK79909 pep:novel supercontig:GCA_000165425.1:CT868346:2670:3600:1 gene:GSPATT00039359001 transcript:CAK79909 MDCINFEDGGAVFALGTVSGKVYLRLDWEESPRWYDCKHQVNDLKFSSDTSCLVCAVQDA FVYVFFLNNTSYFQTAPKKIHFEGEFPICLDFVDDCKAFIVGTTMKNQYKIELPDLKSKN LLQENEKLNSTTWVIRYPLSSSSNQNSKKEQYSLAAGEGGYVYFWRDREQLETNCGGFLR GHASNVSRLQMTKSQDVFYTVGTNDNTLIEWKIDFINDLADFSKPFQQDDKLQINKPGLN NFSMASNKQDFNQTIDEIFKREKDYCFFLNNISDKFRDNFVQFRATNQKMLNGLLHKN >CAK79910 pep:novel supercontig:GCA_000165425.1:CT868346:3630:4648:1 gene:GSPATT00039360001 transcript:CAK79910 MKRAPPLSLTLDYIYGFLAYDKRRTLFYVHFYNKQEKKRRGGQQQSDLKSEQRKRMEQMN QQSIMLPVQFQKEMLLAKQALLPYDDSHNDCQRHFVYITSRIAVVYNPLNNQQKFYEGHR FKITCLAIHPLKCFVATGESAPRPCIHVWNVFNTEPVKIIRTNHKNGIYDLVFSRDSLFI VSIGIDETYSVQVTSWKNETIIAFRNSGTFPICCVMFNPYNRYEFATCGYQNITIWSLQG RNLIRSQVILSDEVKYSNGCFITCLSYISYLLSDKVESDIIVGNNFGDLALVSCGKYIVV KESTSKDDQLFEDILNIGRQGCYHNLWRR >CAK87299 pep:novel supercontig:GCA_000165425.1:CT868613:638:811:-1 gene:GSPATT00039802001 transcript:CAK87299 MSHELMFAESEQNQTILTDDCPKPYALNEIQVNIMLTAGFNISCNLQSFKLPVTLFN >CAK86791 pep:novel supercontig:GCA_000165425.1:CT868591:1856:2122:-1 gene:GSPATT00039779001 transcript:CAK86791 TLNLLEIVKEHKFCNIDSSYQHLHRNKKDIDIKNINKRIDYRISIIFCISDCY >CAK71785 pep:novel supercontig:GCA_000165425.1:CT868108:5060:5965:1 gene:GSPATT00008264001 transcript:CAK71785 MQQKRYDSVRKNKLQVHQSQPLQLQYSSQPKQVPNKFQIAQIGKDIAPTIIPAFIDMINQ ETDVYYLFDSFHSILNSLKQAEEDTNITQKNKQHTLDWWKVTGSSQSWTGVRNIQPLSES HIKQSSRFLDSYNSRGSAIIENLKRQIGELTEEQKFKQNSRTIGQVAIKLFDEQELYVEM SKQGRTLITVYQVQNKFHKCHMGFCIYTVLGELQEKESIINKMYVAKWHRKNGNFLNLVT EFIQYIIKNEAVSRIKIKINSTQGQLIRHLQQLNFKLSSTVPLITQIKVLTFVLLSKEFK I >CAK71786 pep:novel supercontig:GCA_000165425.1:CT868108:6804:7640:1 gene:GSPATT00008265001 transcript:CAK71786 MRAQSPTQKFIPTSAITTTRLVSSPHSNIRDQRADSQVNSRVTKITTYSTRPKTTITTTT TFRPVIETRTVRLCTDKRCQGHEQHIEQLTQENQQLHQRVLELQQEIDQYSICQRQHTNN YSCEIDNIKKLYEESQINYKSEIEYLTQEITQYKTQFQHSDDQVKYLTQQLKKQSNNENL ENQIALLTTEMERLNTVILEKNVVVEQLTYKINQLDSELFNKEEVIENLEINASKLKKQY QEACNQVFLIRSELDRQLDTIQQQERNIFILNQDKQAI >CAK71787 pep:novel supercontig:GCA_000165425.1:CT868108:7714:9586:1 gene:GSPATT00008266001 transcript:CAK71787 MRSQEQQTTIQAQYKEIDNLMLRQDSANQQIDYYQTESDELQKYRNILQNELEMSKQENT KLQEQINKLKQQSTDLNKTIEKLKLDLNQQLSSIQQDFNFELQSKNDELEQNKSQYQQEL SVIAKKFQEENYQLRINNEDLENELNQLNNINQMLQSTVDDKINEISNLKNGYHQLNSEY NTFKMKLQEENHKLKLEVEDALITINQLQQKKNQLESTIIELNHIIQSLQQQITQNQTEL NTLKYKSGEEYSTLRIQIEQLDQNNIQLQTIRSRLQKDYEEKLNEFKDLQDKFNQYKLTT QQTIKDLEKKIYEYEEKLSLLSSEIQRMLFQINQKNDLIQEQTTMLDEYQREIKLLQLQL QENQLEAEEQQKKLESQMNEALNQQREKLFRFESENLSFKSFIEEQNHVIETLSKQIDGM TGDLKYQQQLDEELQKKNSFLTKQLQDLQSEFCETQFKIDHLLVENKALRQELEIRIDTE SELKFKLDKLIEELAKKQQQINEFEQRTREYETNQQNEVVQFEEKITYYQNEVETWKKKF IILNKDYHKTQEDLMMVQAEFDAFKQRGNPIVVRESTSFEVRKSSLYKENIVTTKSSQVS QSSQLIVKPLKEVNI >CAK71788 pep:novel supercontig:GCA_000165425.1:CT868108:9633:11242:-1 gene:GSPATT00008267001 transcript:CAK71788 MNAQHTEFIESYIDQSNNFSKTKLIDKTVQNNGIHMKQQSILRYLVLFLSIWIIIPSFYC FDQPVAIYKTLQQLFQEDQTINFDLYFASLYIIYAFGNAFFPLFTGGMRDCHGDRMIMTY IVAIMIMGQLTFTIGVYFKSFLLMILGRLLLGCGIESLLPLWSSFLAPFFKNSISIVLSI LQLFSQIGLVLSIYLTPIIQKQYNLMISLMSGIVFILVGYILLCLGFLIDKKLENENYLS SYRQLQPSSDIILTEDTKIINKLYFFKFKDFKIFPQMFWLLLAFNSMFFCSIVTLVNVSI YIMASVLFDTNNQIEELDIALFWMLGCLSLFIIGPLVQYFTYRRYLIIVSVIIIIIGHIQ YLTSPHIGLIILAVGYCLSFVCTWSAIIYIIKLKSFGKAFGLTVGFQNLIFVFMPFLLDV VNRNIMGTFKVLISFSLFALILAIQILVEDIRCFNILDNKLAPMQFAKMNNVSNEENNNN DTDLFAEYLEKPQ >CAK71789 pep:novel supercontig:GCA_000165425.1:CT868108:11299:11491:1 gene:GSPATT00008268001 transcript:CAK71789 METLIEKKNCLQPYQELTICIKQAAQQSNPNKRPSYTSICQQSFQKLGQCVVKEEK >CAK71790 pep:novel supercontig:GCA_000165425.1:CT868108:11525:13211:-1 gene:GSPATT00008269001 transcript:CAK71790 MNQSQTISTLNTSIQKAKSDFQQITTQNRSLISQMKIDNSEYTDQLINQKQKNTVVDTQI ALSVIKAQEECALIAQKIEIETKKKQDLENLVNAMKTSAKDISKIENTNSGSELTQVQKA VRDAELKLQQIHIKHNEQLAEVEAEKEQLNLSRRERVIYSTVFKGIEKDAIFKQREYQNC IRQNELLKEEQKFLQDQLKLIKELADKEQNKFQQEYEALFKPQKDDQQEQQSMASDQKSQ VQGPYLTEQGDQSKPILKERSESQQEQKDVAQQVLEYEAQFNKLYLETGFNNVDAILKQY YNQEIWIDEIYKEINEINQEIEQTEQKNEKIQQYLQKFKQMAKAPIKEQVNLEDSKIERI SRGIDQNKKQISQIQQQYMRIQEQIGVDIINDDDDETTLLPKIEQLEKIIDNILLVSNQY IPKQDQKKQKKGAVKFDDDKSEISGTKQHTELKSQTDHQIDLDIILTEVEKRKTLAESRH TKEELSKESADQMVLSQNRKQNSKRSKYQQQQY >CAK71791 pep:novel supercontig:GCA_000165425.1:CT868108:13294:14186:1 gene:GSPATT00008270001 transcript:CAK71791 MLLSTSKLTTSKLNKSQLSSPQRSTINYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKV EKREVLEERIKIIEDVLASEKPKDEQKFKVIKDSVLKLQDQAHNQKSEREAFDDKKEKDF RTLSDNIALSFEQERNIRGQGETKLQKQIDERFAQITLTITRNTHQYEDRSQAKISEVLQ QIQVVKNQLDQERRSREESAESLSEQIDSEINKFSDQLLVEKKVREETQGKIFRMIEDVH GKLQQDINFERREREATTEALLKLLEDACIKIDKNFRSF >CAK71792 pep:novel supercontig:GCA_000165425.1:CT868108:14251:15231:-1 gene:GSPATT00008271001 transcript:CAK71792 MLKSKESKEAEIFECLSRDDSFTFNDMENLGPWHKYGGSIHQELQRISSLKLGKSVSEHQ SDKIIGSNMQIAQMQSGRRFSQEIIKQTQLPLDEKIVKSASIHQESRSRTQLFKQLRSPK PKENSLITTKQEETQTNHIKQEKTNVGFFVQCYPNRNKFERSKLSNFQSSKEYFEKITLK MMYGLNRMQNDETTQNTNLNQQFIRQQEQQERTSQTRKAPKTVSRNYFYKKELKFHSSNT SQINLNKSINNSINSLLNQPQNIPKSRIPFNKIINKKTVISFASHNQLLEKQYQQSQIQN NKVQRMVSS >CAK71793 pep:novel supercontig:GCA_000165425.1:CT868108:15243:16795:1 gene:GSPATT00008272001 transcript:CAK71793 MGNIVSSNSNNSNTEQPNRRKPRIFGEKENNEPRSLIKFKQEDQSDAESEIVPIQIPEIS REMTPQKQEDIYKVIVPSPRSDLGSEIEQLWNKAVQEQSCQLAKKIITTYLEDGTMYNGE WFKGTMHGNGTLSREGLLLYEGEWKLGKKSGNGVEYYTRRTYDKIIPACQISDKQYWKVY NGTFEDNKIHGEGILELINGSCVFGEFANGQLHGQLTYECIISKQIVKGVWNQGYLYRFS SQAKCLYKTLNVSTDATQEDIKASFFELAKKYHPDSNPETSIDPEKFREIQQAYSTLSNP EKRKYYDQENGIIGQQFQGNPTFGEQEDVYQRWAKVNREHIKQNEAEYSDYFEKQYFRNP DYFKRKVDEDSPWNMSYNLYKKHYDIKKEKAEYVLHVPTETISYWEKKSDLKERTIEQKA EDLKSGKSLYIGLFVTMIIGGIYYVYQDQNQNKQRVSQTGRVKEGSGYKVKALPLNIV >CAK71794 pep:novel supercontig:GCA_000165425.1:CT868108:16865:17426:1 gene:GSPATT00008273001 transcript:CAK71794 MEKQLLVAQQRAVQIKGDRYGVEFQYEINGKIFKQKTGFGFKTIGDLMSIILKNEIAQNL CPFNSQNDLLGYDMLLAEVKHISIKPVDEQDMIFVHFIVNNTSQSFLFNKKQITVKNAIS EYNKDKESALKIDNYKQYEIMGKQINEGNINKFLCEMISGEQGYIKQFVQ >CAK71795 pep:novel supercontig:GCA_000165425.1:CT868108:17470:18232:1 gene:GSPATT00008274001 transcript:CAK71795 MLKKEEIWQSIKMFQKQSKRELDQTRESEDQSSFISDNEKENLFKNKQQSCQCKQQLLSL KKECMLQIRELKAQHQIEMENLQNQFQKIIIEQKQQYEQEISQLKEDLEFYIGEQNDKEL MQLIEQEQQKDKEIFQQQLEFQITEKLKLEQKFILCQKELKQYKEQAQYFQTTQQNQQIQ QLQQSVHKNCAPQLFRDTNRKVKLQTEGIDRSIVNDDNSNRVGICNSIKNFNATIKHMEK SQQSQC >CAK71796 pep:novel supercontig:GCA_000165425.1:CT868108:18565:19102:-1 gene:GSPATT00008275001 transcript:CAK71796 MSNAFKSAGNNLTKGDLGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQI LKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEKT ALQQQLLGLQRRIAELELQVGQEQA >CAK71797 pep:novel supercontig:GCA_000165425.1:CT868108:20063:21316:-1 gene:GSPATT00008276001 transcript:CAK71797 MKISILLSLLVLGCLTMDFDHQIEELQSSNFGQTILQTIMMELQTEDPVVSNLINMIQGI ETTLENEQQRDDDRIVRIRQNCDIDITTLKNQINQNTIASLGLKSQLDSLNPQKVQAVAS LERKNNEITELKAELQYQSHKRETETAAYETILDNLEQSLFGVNQVKGYFNSYLDILVKN RKRFEKPSFLEESYSFKYEESEQDDTESRSLTSLAQVAQKVNKIKHHVQLEGYASMLEIM SEMALKASDDPSQAEVLTRKVLSILKQIENYIQSERIREDQAEALRSSNFDLLKTLLSDQ LVQANQDKTYMEGLVASLSTRITQGANEKFEVDQKVAIKTKELENRQTDCRLKNTEYETD TQNRIKQKRVVVVAVDLISSKLGQLKRKLLEN >CAK71798 pep:novel supercontig:GCA_000165425.1:CT868108:21318:22518:-1 gene:GSPATT00008277001 transcript:CAK71798 MKIIVLIVLVGVALARDEYFFAQLRDSEFGKTIIQTLQVQLLQEHPADTVVHLLKQMKDD LLNEQRAEDEDLQGSLQSCQTASEAAAAVITVAKERKATAEDRLPLLQQEQNDKKQQLFD KQGEEQRNLDRISVLQEARNVQRGEYEQRRDELVGLISALQEAKQILSQGITALKKSSFA ELKSHHQNFLKYYPHKKGFHTMVNMLLEVLQDEGTQESAAQKVVKIIDTLVDSIFQVQKE EMKADDGRELDFQTQKERLLLANRRLAGSIADLNARYEVLGQKILEVRNDVSTQDAVINN KQTEKGDWDQTCSDTEKAHRQQTDSRNGQLEIIVECIDIFETRFDMETKSYIQRIRF >CAK71799 pep:novel supercontig:GCA_000165425.1:CT868108:23212:23811:-1 gene:GSPATT00008278001 transcript:CAK71799 MSYSVCQSNSQFRSQGTRQQVVEQCVHLDEDLINEIAENLNYKVLIKLVQVETPLNIKYL EEFPIGHQYAILVYLTGDMRKFYYKGVLKIEQVFENTQFLSYVVLHLQTDGIRGRYFDNF DQLDAYYQDLHDKKISSNSHKFKVYEGSQAENKDNARLKYTLKKVLHKKYSVFGNVWKLF LDKWNCRDFTSYLKSSLGR >CAK71800 pep:novel supercontig:GCA_000165425.1:CT868108:24194:24385:1 gene:GSPATT00008279001 transcript:CAK71800 MSLEQIQQHINQIKTSHQMPNKEFQNTFKLFESYVTSLDKNNQEQLNQVFKALKRFRSRL EIN >CAK71801 pep:novel supercontig:GCA_000165425.1:CT868108:25248:26259:1 gene:GSPATT00008280001 transcript:CAK71801 MFGVECIYEIVIYLAQEFQDQVDQSFRLDCKRDSKRIEVITTLQQKDKHTFQNCLTIFLN RYISIAIMVNDYSIVSKSHRFTQRDQEKSGDFGRREKEDKQLILVILINIQNNMKKAVKE AERISSKISSPMIVDLFESQGSGLMPYLKNAVKTRLALNQAESCFIDFKRSQFPLFAKDR YFEFLEAYNRKDKVDLIRLLSVPLYDIVKASLKDNKPLPFKLYKEMTDAQLVQARLFSQK KMALQSSQTWHQITVKFNFIDPETKKDVVKYNVLERRESDSSEKDWRICKLD >CAK71802 pep:novel supercontig:GCA_000165425.1:CT868108:26303:28306:1 gene:GSPATT00008281001 transcript:CAK71802 MLKIPCKYHPNSSVERICASSSCKRDPTLCWECMIDEADHARNHRDVMIDFDNFTTRLDE EFRNVLEKRKKDQQLAMEGHLKLLHTKQDFIDRYESQINNRKCWVDYIIADTMNLLTSLL EKVKAELYEHYDERFLQYQNSLNFLVQSIQHRYGLVPLPTKEEMMNSLQELSKQNKECNT YVSELKIKLLPPEDLGKNLAFIDTFQEFQIQNEIVEELEKQWAETPQSDPENPTFQQEQN FVEIMNSIKQQCEVLMDEFKVQINLKDLILSRNTQYQNPDESLNILSDVKNQTSDQKLTK TQRQYDSPKLFETLQPNSPVYNAGIENNNSQALKFQSSQQSRISSSSRIKFYRTVETSQM RGVTSLLQVDQRTIASGSRDKTIRINNLEVPQQSFTLEGHVDQVTALCMFQEKLLISGGG NLDSSIIIWSLSTRKQINKLTGHQSGITSLIELSDNHSILSGSYDNLAIIWNVTSGKSLC ALKKHTAMVSCLQLVKRDIVVTGSWDRTLAIWQLYFEGEEIVSASYLRDIKTEGAVLCVK KYSERSIIFGGTSNKVSVVDWMNGEIKLKLNTSQFGICDIICSDYLLGLGASDSTIRLWV NNQEIEVYRDHKIIINNYNTNPKMIQVGKNQIAVINNKDEQPHFNIFIIE >CAK71803 pep:novel supercontig:GCA_000165425.1:CT868108:28490:29062:1 gene:GSPATT00008282001 transcript:CAK71803 MNKFLTNYKQFKFQNQMRSFQVSKDSSFRILCPSNKIEMEEETVETQIQSEVKNIYRPIK IILPPPNLPKIVSSTKTCNCKKSQCLKQYCDCFANGQVCSENCNCVGCFNNSLNNSQRKE AKLQIQTRDPRAFKQAFKGCNCKKSGCQKKYCECFQNNLQCTHQCRCEGCVNCLK >CAK71804 pep:novel supercontig:GCA_000165425.1:CT868108:29189:31001:-1 gene:GSPATT00008283001 transcript:CAK71804 MIKQLFKLGTNVCGQRIGKNLKLFNYYQRFYFTTGTQSIDQLKTKLAELNSKFYEIEEYK QKEKIMLDVIDVKKQINTLLFPNKQEENKFYEGYRRDLLVLAQLNFENDKREDCSKLLNE IMQGDFRNEFKDHKDENQAFLTGLFMIHCMSNLDKLSIAEQSAGEAVQYLQYFIDNQCPI YQASIQNQTPNSENLFEQELQHIQNMVTHLSLYSKICQERGKFSESIDQLGIAATLLDNT GHLFRKIFSKQITVKTELSDDDLKRHFMNILINEAIILKNLAKSCLHLGRFEDGKQLIDA SLTYFDYLIEQKLLSPNDENYVATLIELYNYLYQKNEKLEEMNAIKNKLDDIAKNTKENE NTLYTLIRYELFLNKYYSTNLQKLIELMAKIKQDVPMQIEFNQQLIQALIGKGDNKAAQE YCLAQLNILKSYFDSSHQQYGETLVTLLELAINEQDFLSAKDYIVQLSSESHNITYISDI EQIIKFQRLRTEFLERLNQQQPIEQLRKTLDKIESIAFTKFSRQYKKSTVLADFYEKIGD KNLQKEKLQECLNVLQQNGTFPNSESLIKSIKKSISEIQ >CAK71805 pep:novel supercontig:GCA_000165425.1:CT868108:31129:32724:-1 gene:GSPATT00008284001 transcript:CAK71805 MQKQIDEVEFLLRKSPQHRSKAEINLLVQLTSQLPFFKQYMKQDNGLQIQRKCCKNMYCE KFKASEIVFYVDSVGTKFYIILEGSVTVLVRKPNQSDMEAVRILNKGESFGELALLHKQP RLATIQCNTDCTFAVLDKQQFKHILQEEQQKQLDEVKSLYYYFKNIDYFSQIRIFSHLHR TQLKHIYLNSFLYEFEKNQIVIKEGQKADYFILIKSGSFLVKKIMKPNQASVNLFEIGEL QVFGFYHLNINLPYEYSLVCNSSKGYAYKINRTSLVERMFEQQGEELKLHRIELQQLANL RTETDVKTASFYPQYFCKRIQTEVPEEFSQEKVTQPMATAKRNLLLYQNKKKQRMDQIKL QMDLASQKLRKQPLKVSYEVSSHTQSELFLKTHRGYNFVQQGEIQMVQSQQTQRQQQHHQ YPINYPKLPKKLMIKTHFPEEYAEKQSCDSPLSTTQTQMRSIIGVKKMVLPTAQNRVKSR TFQSQVSSSPLFKTISCILQQRYSSITSSNHFI >CAK71806 pep:novel supercontig:GCA_000165425.1:CT868108:32945:37962:1 gene:GSPATT00008285001 transcript:CAK71806 MKFVLLLLYSVSFQGILGETVQMKDKCQCSHIQLIEECIIDCEWDYDTKKCKDPNPSLIR THYCSNLRDTCNKTQGCGNYNGVCVPFTGCSAIKRNTNYECQRYSELCITDGYKCVEKSV CADYKTRYSCRNNYINYEWSGFCYWDKKECRNAQSCHELSSSLKNDAECRGQLSWCTYKK GGGCEDSGEQCKDQKFQQQCVTNKRRNVKCFWDGLACYDYSCKYIKGDCIKNGCSIDKDS YCMDRLECEEYTIQKSCTYNKSNIQCMWEANQCRIKSCENANANRQTNQSCQEIDSICIT KFGGGCKKNGECGEANSKQGCQQNTRGDECYWNGYLCVIKQCQWAPAAYYKEKDICKDFQ SNCVYGKDMCIEDGCYAQLTRDKCNENKKCKWMGQCDIKTCETAGANVTYTSHQDCQNYL SNCTLSDSGIGCMLIRYSCDQYTRQIQCYRSILSKCTWHNNQCLDSKCEYYNYSTHEDCN YELQNCTSDGSQCISLKSNCSQYTQMESCKINKQNYKCSWKNDKCVDLTCDMISKSENYS THQDCYTESPNCTILERITGCIETPSDCRLLNQQQCYGKKCIYSNGECREKQCSDYKGDI TQTNCEAFIEGKKCMRGPGLIPYSCVDKVAQCGDIKQQYQCEDFQDIDNNKCRWDYSFCI KQQEILKRKESNNTCINGSTPFDDNFCIRQKSCTDPGIATVDYTDEICENYLISCQMQNT KLCKTKNVDLDSSNCDFYKSKTNCTSQSHCYWANSCKEIKSSCDALETKEDCQDNKDTSG TFCIWDVDHSSCKNICDTLSSKNQSCSTQDSKCVLKGEDITKCIKKKQNCSNYVKSKVEC EDDDRCTYNLNELCVEKQCSDLTTSVLHDECNSYKSECTIAYPGGCTNLLSKCTDYKTQL QCVINNESKPCYWSEYKGCVDKNCAEIIYDPTVGEENQYLTFCYFDKEAKKYGDLKDSCT KYSTEKQCQKQLDGYECIWQEDKCQKATCENIKIVEYNHQGCYDKNIKLKCTVNLTNDKC IDLFSDCKQYQTKEQCVITTLNESCVWDSSYSTCNFKTCQDKQITVEDKCSDYLSSCVDN ISKCRNLICEDYEYDNDVDCRNADSKCTSNGRFCVLRGTCDQNQYKQACNIDVNNKQCSW NYNKNQCSFVSCRDAPTSLTRTQDCETYFPNQSCVTKIGGGCLVATSCYDLIQFDCEQNK TLKCIWEKDICRFKECKDYKSSYVLDCQSKSLNCTSDGVTCVEMKNCAQYYSKQTCNFGT DGVCIYLDDRCQPYKNCQSIYYTSHQQCYDTLQNQCTSNGTQCIPITFCNEYKDKISCVK GIDGDCGWENSKCVKFSSCGDYHFKTHEECNSINSSCTTDTVSACIELLECSKYGQKNCS LDKSGVVIKDGLIIKQNQCIWKDQKCTSITCQDMYGTNHSSCYSQMSTCTSDGTKCLVME SDCSSYSQNICDYAQSKEGKCAYVSSKCSKVDCSTKTLENQCKEIKHCQFINGSCQSYIK CQEYKTEKDCTVGTDGQCVYKNSQCSLINDCTGANQQKLCPTKTCYWNDQTSTCQPHTCT TYAIQNSCGLFYNFKKTQITYCTYNEVDRACKETSPTSFNLVDCYKKSLGYFSNTNETCS SCIITKSNDTDHNTTNPGNSTSSYNAVNFALIIIVLLIVI >CAK71807 pep:novel supercontig:GCA_000165425.1:CT868108:37967:39347:1 gene:GSPATT00008286001 transcript:CAK71807 MFKHAGTSENKALIEVNIHQLNINISVPNCEVMVVFQRGDRKAQTQPVSLVNKCARIEQI LKIPATLYYDVKQKEYQKKMGTLIVLVKYEKGMKNAGQIDIDFSSYLNQKKGKIDEISPL SKCPDSNATLSYTINFLSQEQRSKTPEANINNIREDQSKAQITQLDLQNKQLKEDNIRLQ DEVDQLKAQNKQQQIYIQQLQNQLKQGQEVLIKQNSSKPNYETPTAQPEECQKCYEFQLE LELLKKQKSIKQLPCEKCSNLEQQIESLKKQYSQGSKKESSDQKVELLNEANTHLKEQLY ELEQQAAKIRKENQDLKNKLNIQNQQLIDCRERIQELENNDEDDKRIAEYQKLIEKSNDD LLDIQQKLFSFQTECDQLQREKNEYEQQLEILSKKYDTLELQLFQQKQRYANAMHAFLSI GDTNAIEVLEQFSGD >CAK71808 pep:novel supercontig:GCA_000165425.1:CT868108:39975:41599:1 gene:GSPATT00008287001 transcript:CAK71808 MKMKSQIIILDVIILFMVIVVCSIGIYVEAKLFYEISLESSYIMMNSTDIKQVDRIGSQI ESYLITKHKRSNQLINEGIQLLDNIANFLFHFANRQDQQIYNGILDLCLTEEEQKIAIYI KNTPKFCYQTNGVELETMMKNDNITLLYYGLKELEHYSIMFNIQIPNILQVVDTSSIIFD ALYPIGLLAPSYNPQQRSWYQNHMAQINNTKNEFFFFTNVFQSLYGSQEYSFSITQSLFN KKKEFFAILKTVLFITDPNLHNIQFNVLLINQEGQVMENGMENRTNRTGILYVYNETITG FNVTDWKEIELKSNQNGLIEEQNSMLILYNKVYKSFVNLKCKKFQKENFTLILFTNLTSQ YILKQQILDRYNEFLLQYGYAAVVICCLAITLFCLSLIFINVICNPIVKLRQKISQHVLE IGNNTDKMIFKMTTKKKRKQGLVFKLNEMFMNISDVLKLNQNKKSEQCRLIEKMQYNKKC KKEQCQLNQQIQSLSDSQSNYIELNEFNKEILKLLMNGTNNNSQL >CAK71809 pep:novel supercontig:GCA_000165425.1:CT868108:41603:43101:-1 gene:GSPATT00008288001 transcript:CAK71809 MVKFEEFQKLTPKEKKKAMAELHDLPLQSHSKKSESKTQLWNLFSPKIFYLIQYMDHFLR FINPHVFLGSVQFLQLQTSKETEALLGTRLFERLRKLGVPSEKIDQIIQQDNLNGKLTSV AELLKLRQRALVDYVQENLWTVEEAYQALIILFDSGEYEKATEIVDNLYPCVEATEKLNH LRNGFLWARLNCKLIGLFKNTNNAAVCIEAIKDLIKQEDQIKYNFKQRADLLHAGVLVCF ISDDTNAFLEIFSGEQFLEVVHTIAPYLLYYYTVALLINQQFIGNTSLAIIGNNANKSIK PFHLLNYVEEIIVKFQFNNAIKMISDISTEIEQDFIVKSKKALIINACKLYFFSTYIKIF NGIQIKQFSTYLQLNEEETESWLVNAIRTLNINAKIDGDKIIVQKQDINAQNTQLLRQLR DLQPKSNMLISNLQRIINIK >CAK71810 pep:novel supercontig:GCA_000165425.1:CT868108:43117:43644:1 gene:GSPATT00008289001 transcript:CAK71810 MSNQDSEPEIDDSDLEENSRSRSSYGNPIEDQDEEMDTSQSKGQESVTSDSSDDEDENQI IRTGAMIQQSKGRKVLKNERITPPFLTKYERARVIGTRALQISKNSPIYVDPKETTDPIQ VAQQELNENKIPFIIRRYLPNGNFEDWELQELERLD >CAK71811 pep:novel supercontig:GCA_000165425.1:CT868108:43753:45663:1 gene:GSPATT00008290001 transcript:CAK71811 MLTLDNFNQTSHKQPILNSPRSIEACQRCGVLTEELIKISIKELKQRNPDLRLNKQGWKI LWRHHEIRRQETLQLCREERKQIIIQNQDEHQTNLQYDDYAIQVQNELDQVEIEKIQERT KREIQQMQHFQKLQQEINEKSQQKQLKKQQKEYQRQQELQLHKMKQEQDKIRRDQEKKLK EQLEEQRQKQIALEQYQKEQERAQQEIKKHQELQEEHRRKEEQQKLKQQQFRQQLQNKDL QHEMEQQYKKELLQFKEKERQAKLLQQQQERAQASKFAQEELAQKLLQVKEQQQYKLMKM QEEFQNKQIQSEHQRQLFEQAQHQKFMEIQNKVLLQKEKMLKSAEKCKQKQEIKQEINIS KQKQKNERIKLLQEQKLVEHQQKLEQQEIEEKQIKQKVEELQNTNKDQRVELNQKLILKD FMIKEKQLEKKQLLEQIKLQGNQKMKNKKLALEKLYQKQLMERQLLKASYNEKLKWNESL KISHYQNEQNWNLDLRPSTGLSKSSFDIPRVQSALNKKMEKEETRVNEFLKNPQKRQEFQ ELEEIQHQEMIQLIEYEQKLEEERERTMFQIENYQEQMRLEKIFEIERKQAQKNIRNLQI KHDYQIEELLST >CAK71812 pep:novel supercontig:GCA_000165425.1:CT868108:45903:46952:-1 gene:GSPATT00008291001 transcript:CAK71812 MQKGLLTLLAITLLAGTTLLNTTTTNESNSFLEDEVMKVYQNWQKEHGKRYTQFENSHRF GIFKKNYQYIQEHQQRVEAGLETFELGLNDFADLSVEEFEAKYLKYRSTPREQTNQVYRR TGKQVPIEVDLRKDGVVSEVKNQGSCGSCWAFSAVAALETALRQGGVKNVELSEQELVDC AVKDEFESEGCDGGEMYDGFQYASKYGIAIRSEYPYAGVDQKCAAKQTKTRYQFAGYVDV EPLSAQAYVEAASEHALSIGINASGINFQLYKKGIYSAKCDGSKPALNHGVTNVGYAPDY YLIKNSWGQSWGESGYIRFARIADKAGQCGAQQEVNFPLYKASESVVFE >CAK71813 pep:novel supercontig:GCA_000165425.1:CT868108:47273:52816:-1 gene:GSPATT00008292001 transcript:CAK71813 MSQQFQSQSMSILGYVPALVVQHLLNLKMNKLIRKLPEKQRLKSVVMFADISGFTRLTEL LSQLGTEGAERIAFAINRYMELLVQGIGRSGGDIFKFAGDAMIVIWPPPPNDQNFQQNLE TLLKQAIQSALLIQEKLTKAQIEQGIQLSVKIGFGVGEMNIIHVGGVFNRMEYLATGDPL IQAFHSEHCLTEGGKIIISQQIYDMINNFFECQKVVDHDGHYEVIKLQQAKVKMKADALL IKNNITLSQFQMIRNEIQSYIPAALLPYIDINEEAWSAELRRLSIMFVNLKMDLNSAKNA QGLEQIQRVIKTVQKCIYMHEGSLNKLLMDDKGSTLIVVFGLPPLSHQNDPVRAILTAQL MNKELPKINCGCSIGIVTGTVFAGVVGTSGSRREYSVLGDSVNLAARLMQAACSETTHKI LICLETAKSAGHCISTAFLRQTQVKGKNAPVHIYVPLDKPLEPTPGNLLPNFKTHNYAYG FAKKSDFIAIQLFGREEQHKKLLNQIDKVIKGVDKKSLIILKGTYGCGKSSLAKKVLHRI QEKINSNQYSPWKYGEYPHILVQQLDPITRTFKLNGLRSILKQIFLLYAKRVERPPNMEL FNMMVDVNIHPQTLVQMLQEIVGLNDNRHLEKFPQRQQEEDKEIDVKRIIFQFLSNFFEQ IPSKLSELYEGRAPDQIGWDVMKTSVQRQINYSIKYTNIIAPVVLCLDDIQNYDQISFKI LKQMIRTYDRLTILALYRDSFQEMIIQPKVAEKRKSQEDIAIEGITALEDRMDGNPFILM QLRGVERKGGVDDEFAKMIRFSFNIQKFMPEQEYHQNISESGIRKRIVSSIHDVILQENQ SKEINNQFLFSENKQMLIKTDVELLFMQWIYMKTSGNPLMVLNFVQNLIDLDLIRPSPKY ATITNELVNLINYDESIIVDAPYCRIEVNGPIIDKLSCLEQLLLKAASVIGDIFDIQLLN KIYPFKNAVNNKLQKYLDELEAKEVIEVMEVREQNIFYRFTCPFMRDCLYQRITFKQRRQ LHKAVAEAIQQLPLPFETEELMECKKLQFQWLMAESNASQQINFDNDNDNKSNSKPNFLI NLIYPKCSQDTQKFKNMSSKALKSIILKQIGNKFNKSQNQTNIILREGILIKKSQNRVSS VPRYVILDGKELKLYSSRQDSNNSELPLCSIPLKSIYSVLALENEKNFTLQICSTYWYKK LKEMGDRKFLFDAKDNEDLQLWTIYLEFAKALAIYEDFTNNYGKICFPLSNQYEYYDSQF KYDIKIENNKSIRGIQIEKTQKNNKESIIYRNSRRSNRQSKFTVSSKQFKFMEKNQTDEL QIQNSQVVDMQLLKERVNLFLQKSILLLFSHLFEQSIQKADDFKILGNTSIAMRKLNNVF KIDKTLINEQNKKSSAFLQQQQIIIEDKQELKQQDSQETKQQDNWELVIQKVEMDNDLTN NQIKNFMLEEKIERTDMIFDLERKNSQEKLQDYENNDFTPKIDQQLKSIKDIKTNIEEED IYNFQLDESALRKQQHLRIQRLQNFKCSFGNDSFQKMHSESVLRSSPLMKQEDTLTEVLA TQSQTENSKRESTDIYQSSQQPPQLLTKISEKESITDVSCQVSRHLSTCQVDKIIPVSNN QRSRQTSNINNQQNKSPAQTQKSLTPTNKSQKIAQFNLFDDCKSKAKRDSQISSQMNSAR NKPAHLQTNYFNSQKILSKEIKSNPFVYGTAINNCKPITGDNFMLKPGYKVIVQRVDKKT QLIECSYENLVGIFRLRDIAVIEDPAIEQKIEIAQPKQPSKSPLKKQALTTRPKTFLTPT QQN >CAK71814 pep:novel supercontig:GCA_000165425.1:CT868108:53133:53648:1 gene:GSPATT00008293001 transcript:CAK71814 MLRNKDEEIKRENVIPFKLVHHEFSQLKDKQNQRNQLLNNNKKNKCKQIYKGRGQVQRQD FGSSQPLIQQLRYKIKPQFVLFFKPNHEEMQIYLEQQIDEQFSIQGDNFKVSYFKQSLVI DFIKRRVIQTQEYKFSFEIELGRIIAEITVYEID >CAK71815 pep:novel supercontig:GCA_000165425.1:CT868108:54069:54998:1 gene:GSPATT00008294001 transcript:CAK71815 MKNKQHKKKIIKFVEIFKEILEQSGYGQIITWDGDGKKIKIFDKSKLQLEVLPKHFKHGN YSSFLRQLNLYGFISCKDQDGVLTYENPYFTKKEIKMRKIQKKQQFSIEKQSSDDIFMTE YEDLRKTIIDLKEEQMKIQQKLIQSVQHQQQCHLHCKSIIDVSVFESIKQQLLTIKEIQT RRGTFFIESVKMIVRAMKPETSASFEYLIKCVFPKEYDVVDIQSKEEGVIEQQQYLNSPA PFGKGVIDKIGEYLNHKNEDFNPEALEPVWFCGYSEQDFNFFGFEL >CAK71816 pep:novel supercontig:GCA_000165425.1:CT868108:55095:56041:1 gene:GSPATT00008295001 transcript:CAK71816 MQQKKSPKFLVKLFELLDSEQYRYLRWSEDGRSFQVCDLKKLERQVLKVFYKQKSFASFI RQLNLYGFKRSKIRRNVNVFSHRHFIRGDKDQLINIISHNKLRVLEELSNQDNNLKPQIY EAEHQQLTETLKDLQNQQKQIQIKFNEQIHLQTQLKLRILQIMNQINNHDISINVKAQNS YQLLCKVMQIIPNNEQHKEEMAVIKYICKVFNEFHKDSVTSLFESPNERLTPLPFYLQDS AVIPNPLDIKVQQNKLSDQFQIHATKIFQPSQVKQQQYLL >CAK71817 pep:novel supercontig:GCA_000165425.1:CT868108:56200:57383:1 gene:GSPATT00008296001 transcript:CAK71817 MGNQCGQCECTNAKSNQQEKEIVVLDHNEIRNSNNQSKGSITSIKANGESNQFSKEKDKN FSNNQNSVQSSIKKEQARFNELCNDKDKLIKAAIKIQSNYRGYLVRKRKLQNRLKIVQKI VIQGANKNYLQECVEVINLPALKNDASLERRAPYQFKSGAIYEGQWKGNLREGIGVQIWK DGAKYIGEWKNNRACGKGTFYHVDGDTFEGEWEQDKANGKGIYRHSNGSRYEGEWKEDLQ HGLGKEIWTDGSKYIGQYYRGRKQGRGRYEWPDGSYYEGEWQNNKITGHGVYCWADERGY DGDWLNNCMNGYGVYTWKDGRRYEGQYKNDKKDGHGIYYWADGKKYDGMWSQGKQHGQGL FVFADGTQKHGIWKEGKRMKWLEITT >CAK71818 pep:novel supercontig:GCA_000165425.1:CT868108:57462:58620:1 gene:GSPATT00008297001 transcript:CAK71818 MSCCPSILKSSSSDQQMLDQNKCKTLEEFWLRRTPSQLIGKNTLFKKVLATRVSELPDTH EYYEDLKKFGNAIKELDSLKNQVAGKVGFVFTDTPVFDLKPVIEENKVETPARVGAVAPI DVVIPPGPTGMDPASIQFFHALQIPTKIEKGQIQITKDFVVLKTGQKVGQSQAVLLQKLG KKPFLYGMEVLSCYDNGSILNKQQVSVNLNDIVAKFQQNVQNISAISLQNGWVNEASAPY VLANAFKDLAAIGLQSGFIFDQIKQSNAPVAAAPVAAKVEQKPAAQQAPAKAEEPEEDVD MGGLFD >CAK71819 pep:novel supercontig:GCA_000165425.1:CT868108:58919:59904:1 gene:GSPATT00008298001 transcript:CAK71819 MKTKAGEEIDKLDLDNLAHTSQNCEYKKSRFPALIQRIKDPKSTALIFEAGKMVITGTKG QSEAEEAAKKFRKQIEKNNRITIEIGDIQTSNIVANSQLPYEVNLMKIHDDRSLQGSISY DRSAFPGLIYKMQNPKLAAPHFSIQERLSSLVQKMKLKSKMPIVNYSIFSKNTHKKKSIN EEQELLNIFCVVYITTSL >CAK71820 pep:novel supercontig:GCA_000165425.1:CT868108:60309:60956:1 gene:GSPATT00008299001 transcript:CAK71820 MSKRTRTTLTLNQETNQSSNQTRKSSIQVINFNQQDVNQNQDKIYFSTRQGSPKKHRHTK SLGDTLMKSTSQKLIKTTQRDFQETNIIKEGALYKKISPKVIFQNDKINVYFVKEVNKFT QSSDTKISSQDIGHREQTMQEISNSRVSIKGPMTSSQNLLQARITQGILSDPKHLFAASN KKIKLDKAESLNTSVKSSLCKLLKLYH >CAK71821 pep:novel supercontig:GCA_000165425.1:CT868108:61607:61834:1 gene:GSPATT00008300001 transcript:CAK71821 MQIEAESNINIQEIYESMVSQQFNIAILRQWEKEWLLENYLFPQIKNNEQYLQNNKQIIK RACIYYNSDFKFKIA >CAK71822 pep:novel supercontig:GCA_000165425.1:CT868108:61965:65802:1 gene:GSPATT00008301001 transcript:CAK71822 MQLFCMPETQFVQKECYKYLSSALLLSSNKIFLKWHFLRYPKMVQSYMSALKVQGGSQKP ESLFIPNIVQDMIQIIFSYPISEANFKENEELIQIESQNQFRTFLERNSAPLPTPKHIIK AQNEKLLYRKVTQTQMRYLKCIEKFVDFMILLLSSIPTRKFLKIYLLSTNILPYLKCTLP QYHSCVLQTLVQSFEHYLTYPINDQDGEVLSLKDQQVMQKDFLKKLQTVLYKQFKFMVQQ KELEEYQFIKNLRRSEIEKLFQMMILVFPIDLQPKLYEPGKNFDFLIQEVMKAAAPPPYL NHYLKDTPLIPNEQMIWDYKHIPEESKSGVLKQVLALPKLNLQFLCFEDYLERNYKLFKL ESAFDLRKDLEDTIIRMDPKFDQNGAFIYFSGWAKAATEVIKFRIHEVQTPEIGKRIPRR VYGEIQYSVERMAQNVRKDWDSLKKHQVVFLISFRKEIDMQEFQSELSERQNLIDQIEEN EGCKLFCEKYGIRAIRGAEIELVYDERRREILDWEEPDPSKKFQGNLRILHVFLDQAQYQ EDIDCIKLNNCEIYGNFKLLVKRNPKENNFKAILGSIQQVILQNPKLPKFLDEILLGYGV EDISSSQYYLKNHTSSVDFSLRDTIIDQSHYDDVIQQNSFIPEDLKQRITFGNVNIRKRV NNIRFTKPQINAIVSAMYPGLTLVVGPPGTGKTDVTVQLVNLLYKNFPNEKTLLITHSNH ALNDIFQKISKMDVDEKHMLRLGIGVKDLKLSKTFSREGRIDHMLSRRLQLLEIVGKIQK SVQIMFSEEYSCEVSEIFFKLHIQRLWDNYRDKVQADPNVAFPFNTFVEQNPLLFEKAQT REEQYRVIEDIFEQVRECRPFELLRNKKERGNYIICHQAKIVAMTCTHAALKRNSFCEQD FQYDNIVFEEAGQILEIEAFLPLTMSLTGKLKRLIMIGDHNQLPPVIKNVSFQKLANMEQ SFFIRMIRLGVFYHQLTDQGRTRPEIMKLYSWKYKELNSLQCCHPSSDNQFGHANAGFCK TFQFIDIDYKGVLENKPMPYFYQNIVEAEFIVATYMYLVLRGYDPKQITILTTYNGQKML IKDIITRKCSWHSLFRTPEKITTVDKFQGQQNDIILISMVRTSQPGYLRDIRRIIVAFSR AKLGLYIFGNLSIFNKVPELQQTFSQFVSEPLHILPNEVYKTKRAHDDLQLEQSQSVNHP DQMYEIIKTQLIERQRQNSNIENLTEIQQEQELQSQ >CAK71823 pep:novel supercontig:GCA_000165425.1:CT868108:66287:67364:-1 gene:GSPATT00008302001 transcript:CAK71823 MQGDFGLIPADDISLDETKIIKIQTSVRCYLKKQKIVEQQVTLITQNQVESNLTQQNVSV IEMIPKDAQKVEWPQNLHLSIQNKLKELAPLQQNSYPCYLLNDGCQYQGQFLQFQKEGFG RQIDIDGTLYEGTFSRDQKSGKGRQIGCDGSLYEGNFEENEFNGYGEFQDQNGFIYKGEW KQQLFHGEGHEINQQFEYKGGYKFGHRQGYGEITYQDGSKYLGEFQKNKYEGFGIFLFED GRKYEGQWVNNQMHGKGTFTWPDGREYSGNYSYDLKEGFGIFKFPNGSSYRGTWKQGKQH GHGVMVSELGDQREGEWIEGRRIKWR >CAK71824 pep:novel supercontig:GCA_000165425.1:CT868108:68273:68726:1 gene:GSPATT00008303001 transcript:CAK71824 MASQLLERLFQNNPNLNEIQRDRVAETLQYLETHKISLLFEELLSQLIFEMSNQPRKTLL DTLKNHKTQTFFDTSDYEVIFEHFDTFNDKTVKMNSIRQGLQIIGITKSEEEINQKYQAI LKKGFVNKNEFVDIMINEYSQR >CAK71825 pep:novel supercontig:GCA_000165425.1:CT868108:68728:69899:-1 gene:GSPATT00008304001 transcript:CAK71825 MIKLLGRFAFNTIKQPSVAVFNTKKYDIDYLTKVNTSLPEEKQVKLSFFAEQLNENSARL AHECDTVCCFVNDKVSAQVLRKLSSQGVNLVALRCAGFNNVDLKEADKLGINVVRVPAYS PEAVAEFSMALLLSLNRKIHKAYNRTRDHNFALDGLVGSNLHGKTIGLIGLGKIGICFTK ICKGFGMNVVAYDPHRDPKLAETLGFTYVDESDIFYQSDYISLHCPLTPQTRHIINDHSI TKMKENVMIINTGRGALIETKAVVNALKKKKIGGLAIDVYEQEEKLFFKDVSQEVLTDDV LARLLSFPNVIITGHQAFFTHEALMNISQTTLNNIYEFKVKGSCVNQVHPS >CAK71826 pep:novel supercontig:GCA_000165425.1:CT868108:69934:70616:1 gene:GSPATT00008305001 transcript:CAK71826 MHGGFNSKKLEQEYISVVNKMTILLIDQLSLQLQLSKIDQITNKQFFDKQFTKLLNSIKS LENQKRLPPKFSNLLQPLQFVIQNKANQMTSNEQVTNAKPKYIPPILEQDVQYSTQFTKR YSNTQRQIQYINQTQKKKNFSPNKINRVCKYLDQKKTRPMNYNIGEFYADFQNDSQIVGK NKLLDYKLQSSELNKIAKTSLSVDTSQLQEISRFSNFHEQY >CAK71827 pep:novel supercontig:GCA_000165425.1:CT868108:70736:78336:-1 gene:GSPATT00008306001 transcript:CAK71827 MVFNYVITVAISIFAAQSQFYSLESRQDFQFKVQRSKLPNQLEQYLREYRKQQYLLLQYQ QLWYQYPNRFVYNRKYQFCKIQLGCGINQKSINVLLTYDQLEIYTTKYDFGYYSSIYIQN DSSWIYIYYKQNLVEGQKSVCSININQGLYPECIMLPQDNYQYFGFFQVTSDLTCYFCDE AYSYYDQIYTFTGQANSITVKKMLALDSNIQMIDQITILSNVEPEVILDLRVYERYQTKI LKDWSDYQRVVQLGSSLDYDIFDPQIIPGNQTLQFSNQQYIKFENFKIEKTITIEFQLLN NPIEGYQTLFNFTCQRYSYNIMTISADFHNQQIFINFTSYDVNYSCIMNFGERFMLGIVE LMDMMVILFIQNEKLVCSAGQPYSFWIKETDTLYIGSNDNTNLYPFQITSIRISNGFYSP QEYINNNICSYSINKCFYCLNGQYLLEGQCYSSCPPYYEANEQRKECIPKCHPTCLDCDL ADPTICMVCAGIRVNTPDCKCPAGYFDDGISPDCIRFLPDQTVEEGIYEFGCYSYYSDYY SYVYFSKNYQSPPLVAISILGHLDQSEGLEFQTFVDSVYNDYFLLRVICKSYNAQYKIQW VAGRSQRFQSVLQSDTSGEFQQVYLSQGYKNDVSGGILGWCLMSLVSNTIDLNLEYVNVN LFQLSANQYLYMLKYQFFEFLGYIQYSFNEMLSQSTQGQGFSIGGTTITYGIQSYPLTIP TLLSIKRISTLYSYSPQYYIHQKKRAGSVEFKIRTNGQYIINYFTADLIYFTVECLDSFK PCDYIEELQKCNPILTGCSCNPNQYLDLAKNVCVDCDPNCLTCNTNFANCQSCPAEYKTQ LIQNLTTMYYYCVCATNQYQDSNGICQDCDPNCLTCEIAPTYCTSCGPNQTINISQQCEC VVGYTLTELGCEKCQSPCIECKTTVTNCTSCQYSGQVIPSCSCPVGYKVVGGICNQYCPA LCIDCTSQTSCNQCVYLSSYNAAVNACVCQNGYYSNSLECLLCQSPCLDCTSLTTCTSCI NPTYYVDVTCVQCVSPCLQCSASNICFSCINDSYYLQNNLCVSCASPCLTCLDLNTCKTC VNDSYYYDGSVQQCKLCLSPCLTCETDTYCKTCVNTLHYLSASNTCLTCVPPCQSCSSET QCINCIPGYYFDANMTCIQCVSPCTLCSSVDFCSECLAGYFLDANNTCQACLQPCATCIN SSTYCKSCADPLMNLSFGICQCASDQYFDQTNSLCINCHTTCTTCTDQNDCCLAAEFKML NPSTNLCDCQNGYFMSLTTCISCTSPCINCTDSSVCTSCVDGYYLSGTTCLRCSSPCLNC VNAATQCQSCVGIDMTLTNNVCSCPTQYYMNASLTDCLQCHPTCLTCTDAGNCCFPAEYK VLDSISNACNCIDGYYFDSNGVCQKCSRYCLTCETNATNCLSCDTKFYLDVSVCKCQDSH QFINDLNICENCSTNCLTCSNNATNCLTCDETKKYQLINNVCQCKTNEYLNEKGICTQCH STCSSCVNGTEIGCLICIPQRKMNPDNKLCECAVGYFQNDNSECIKCNSKCGKCVNDHEC QTCSENRSLNSDGVSCICNNGYFENEKQVCQKCSPPCFNCTSASDCLSCIDVNRDVVQSR CECQKGFFENEQNQCENCSSIKGKVNDICNYINCGDGELTKGEQCDDGNKNSRDGCTDCK VDSLFTCVNKMLSRSICFQCVANCQTCSLKGYKSSCDQCYDGYYLKDNECLKCSDMCYTC KDNKTCLSCTIIDAKPDDKGACPKCTNVKGYYIVNRKCITKCGDSIIVDGELCDDGNNLD GDGCSSKCQVEKYYTCKESSCTKIPQAQVDAAYINSTTSDSMALNFPIDQGDPCAKINIT IDQFLPNDFQSFIKYEQIDENNSKCNIDFSFNKTIDLGNLIHIFIPVKVRTSRRVLEEET REIIITPRKKIIYSQNQVKQAESASDAQSTLGEMMYFIAPSVILLGGFNFFWTIMDILSW INNLYYINIYFPENVRMFFQKSAWGDFQLFPAFFVLNEPTDPYYVESPKKFMEKGVDPIF LNNTWTCFAIIGITIAVQLLSCVILLILECIWPPVTQKSQLLSNKIFQLNETKASPTKFN SNLFSKVKNKQPVEIIQQIVINPRKRFPYLIDKIYQPLHKFKVNFLSNFIKSLNLAFLDL VLAIILQITTVPSSLMDYQIVFMNQILSYVCISVCVFILIISYYVTTKHHMLLDHEIFQK QYGTLYESINTKSSTAMMYSFINLNRKALFIVVVVYFYYQPLLQTVFSCFISFLNIALIL YENPFPSNAELVQNGVPDFCIFVLMLLSTGFALDDIIGLLSADQRFQIGWLMIGTIGLSI FVQLVFLLREFVQDLQEKFLIVFKKIQNCLKPKNKL >CAK71828 pep:novel supercontig:GCA_000165425.1:CT868108:78443:78943:-1 gene:GSPATT00008307001 transcript:CAK71828 MTILLSLFIIIANKPNQNQYIVLIDTDSETASKKINCTYQQLNGHYNHADSSLALFHNPQ NVVHIVVQDQTLQIKEEYLECALFQLHENHLGSVIVIPINSVQGFSQKITGMLQVISEKY EILIYIAQHPMNYSSNQINEKITSVRREELVSSSELSVRLGIRTIL >CAK71829 pep:novel supercontig:GCA_000165425.1:CT868108:79105:80014:1 gene:GSPATT00008308001 transcript:CAK71829 MAMMVPPQMNPKYKTSLCKHWTTTGNCSIGSRCHFAHGERELRNPNDPLPQLPSQNLQDP KLLQVYFSGSLGIHNYKTTLCKYASNNTCRYQEMCHYAHSPEEMIPFEKVRNVTTQVLIS NYVSLIKSHYLLNSHSIPGFNINQEQVELALQMEFQKLIVAQQLKFVLNHLDKMDYTQSE LRLKLNTAHELLNANNFPGCSQTISDIINRPNVPTDEKKHYQDILYESAQEGYRIVEGYQ RQIFEFQCEQAQQLQETYQKSENPQTLFGNAPTLPTTSIPGYRF >CAK71830 pep:novel supercontig:GCA_000165425.1:CT868108:80428:80958:-1 gene:GSPATT00008309001 transcript:CAK71830 MNLQFQLELKLKDFEYRKLKQQLNMMKSVVDEKNMKFDSIKKSLAETFSALIRYVQLELQ NLKKELLCLISTQKEEILQFQNEKISLQYIQELELLNQQYYEEKEQFKIRIDKLNQQLIE SEKNEVYLMMLNSKYLKENEQLSQQLLSKSRQMSQTEQNFYPNKTGFISKFLNKYT >CAK71831 pep:novel supercontig:GCA_000165425.1:CT868108:81066:82148:-1 gene:GSPATT00008310001 transcript:CAK71831 MSLILKNSVVFPIFKHQETLTFHRREQPTRTTKRFHTVAPIRRLVSQSTRPINTTHQGES IFERSPKEKQKTTTTPRPMLQKDHSLQGYLDHLNSVRSFRPPLVGDATIKKIKEEVELFQ TESQTTVQRFKALKEQGDKRKKISLLETVRNPLAQQYKRFTEQLYNLIHNLHLLKDYLNN SRKLFQVVKNQIGIRKNLYRILINPQTEELYEFSEISRLCCIRDVQFINGEQRVVEKFDL TLMDDLIYMTDSIKQLLQQNQLKAIERMRDNIKVEKSEINKLRGQLGMREESHQLSIGSA AMQRFKPHLELENIEARLGLLNQIPKQSRWTSQLLCDIVDRLNE >CAK71832 pep:novel supercontig:GCA_000165425.1:CT868108:82326:84128:-1 gene:GSPATT00008311001 transcript:CAK71832 MSEASSPVREGSYKLRTHSNCNFMFSRSLIQPHTERIQIQGDNAMLLKFEDLNQSIPLLG LLSKPHYKLTDGMTIYNQVKKYSFFQELFNTNRLRNDMEQIYHLCTKLQLEVFKQGSIIL REGAIQNDKMYLIIEGRVLIFKQQYSITRQQLKGQQAVSKTELQSDILKTYMKDYGILIN DLNPGNYFGERALMESNRDAQRSATCIADVDTYLISLNRNEFFEVLGSFKKDADFKREVF QQVIPYFERITSTLMIESLMYSFNEEIKLRDETITQEGEQANTLYILYEGEVAIMKKHEN HQMLLCSLHNQQVIGEESLFEENYYYTVVVHSQQAKFYKISSQSFLLKGSQQCVKGLKSM MEKKLQARTLLFQTIIKKSIEHRETTKTLIRNRNQSTQEENYKKQYYGITNRLQRKLDTT INRGSSIFSSNQTNQSLNTQNSLKQVTKCLEDYALFQVKFEENKQKRNCFKQSIQYQQLQ QKLGINQKTESSSPSKQETEQQKDFSSRTDSIYTLKNKNSLSSRTKSGLIQNFLQFNTID AMKKKLNNQKKNYLIKSMKQMKDLQLIKIRCQTLEQ >CAK71833 pep:novel supercontig:GCA_000165425.1:CT868108:84939:91268:1 gene:GSPATT00008312001 transcript:CAK71833 MNEITEFTILQLKSQLESSLENTGSQSKESLKLLLQYSKTLSSYAESQPNNRAITILNQA FKMLLPEKPPKLLSIQFQYWRLLIMTAIKLCKALEINGQLQQGLNVLIQIENITKQYNKS LEWAFNVELEYLYYHLAIIWQKLQNKERTFYAANECILLLRSNLKNKAEPKQIHMIAEML KLQSQTKNSIHQQLSYYNQIHFYQSKYLGDNHKETIKTIMRINQLKSEIQFEKEIEEFSK EDFKKKLPFQEVSQIDFYGEEKSFNLEQQQQQQTKQFDSKEYLRQRLFQQQRQLVLHAKN NSQSKSSLRISHQSKKSLEEFKRFTDSKINLKINSRTSSSGENKFFYFLNVNNLQGRTKT ETTQYRTTQSKNQDRLTPQGVPSQQFRCKTNKSNVSLNNTQNQQELNSGKNIKDDLFKDL MTQRPKSSIAQQRLAKQLQMTIGNQVAANKKSSQQTQLSNSKPVEKILKRLNTQELNLKK RTLNLQQPIQHSEVSTKKSNQAQEIVFGSISQKSINLITSGDDHTQKRSDLDQFEYNLEK IIMIQRYFRFKLKQSQNYDIKNEKINLNKFSVGIVHEDDDKLADKTPALRGKSKDLKFAY QRSNTSSVESIKFVSKRDNFLQPQLFQYKQKDHSFNSQASSKLINQKSGSNSQINEQSSE SLLDFEQLYQNIDKKWSYEEYIQGQCFFFCDDQADQIMKLLKFKAKFLHQNEDENLSLLC ELEIEKGFQSHIIILPIVLSMKNWKLYAKMKGLQFFLSLLKEFVEQNFPIIPQSSKILIY KGQYFDMLLEPIIQLISVIMKHICIERKLIGVKLYYTKDVQIKKNRKMIQQYYQKQRQEQ RQYYFKSLQFFMNHYDIRINKRANSIDLNLKSLMGNDTAKQKLKAAENAVLFAIKAKTAI ESQPPKPPVIPLMLFDDDNAQSPQILEVSMRDPDVNRTQLINIINPQKRRQSIQEQEMNR FSNLFQQHQKVNHQRNQSNSTPKKTSESIFKKTFTIETNLLQQKTLTRPQTIIQQVDQSF SSKSQTSSNNQSKDHELQPPSISHSVSLSQQPVPSFKKKNVGNVSQSYYGNTTNSAQNLL DTQQNLVANQLFDTQALEVLELIPEQENELEQKIITIYQKDDHFTVSPQWKIKRKATIHN FQFEYFWNQHKVQKKVFQKIYHNPFNIKEILMVGTQHIDKQYFLISVTQILKEQIQIFNQ SVLNNKDLVKIEITYRLTYPYDNVWQDSIVIKFKEFIKWFVPDFELTSYIQHFGLSKNQK IQALLYLGRYAHIKESKLKLEYYEEKRNKLKIKQQQRRDIEISRMKQIESMLIRDKLDLH YIQILGLDNLYQELLKERKIIEEKIKRKEERLRVFLQLTDPNSLDPTTQQPKYIGYDYDG DSEEKTIQVPLSLQMQVLKSYNTVVHLKSQKQIIKMPSSYLIWEGDIIKMWRPFQRKIWQ QVKIQNRDKLINAIQCKLKFYILKQIIVKTLSLNGKKFVIKQQKQLIQSDSAQELLYQYF QLKSFSNQQFYKFLSILRVQLLQLDDCSHLWNSYFKQYKQLQHCYVSQIGDCLLEIVVLI DNFAQVLNQNLNRYVFIRINIYEKQNMKTKMHKLVLTLDDLHITSKKQYDLSNENIPQII FDDVQKLMHQYVGYENQGITKHPTLVIKNSKLNRTFKLVNSFPWLNNFNYDEFQVTLYPQ KFQFYHPQGLNPKVICKVVKFLKIPKVFSQRHHSFYDSYEKQYDKPQEDFTVRVPSIITI EKFQEISSFYIYIYFPQICRRFITKLHFDDILINQDINLKLNFQFKEQLRIHRSEKLWHS LIESFKIVSNDQKKLILQIEKFKISCILQEVVYQKIKQIEKTQEFILFIVNIEKKIEQDP EQILIHYSFERMKLQEAKNYSMFLKLQWLNQYDNIQSYRLPLYELMIGYFEKTKTGFNMF DYKFKLVDLIRMCQISVENIIMNFSMNQKINYNNTLDQLMPQQLTYNINQTIKLNINLKT IQVDKSVYKLLYRGVLLRKPSILVGIYQKLNKQKLYFYIQRARDCESFIHKIRFQEIENI YPGFQLNLQVNQRSIGPNIFKILKNRLIVQSYYQLN >CAK71834 pep:novel supercontig:GCA_000165425.1:CT868108:92178:93633:1 gene:GSPATT00008313001 transcript:CAK71834 MGAEQSVAHGIVKSKNFTIIGYKENEIYGSFKLIDSQSNQYAMVSKTCQSYEEYTNLCQI LKESKSLNEIDHLVKLVEVDTQEETNLCSTFYKVTALYEYYDISLRQITKEASINCLFVL KCLAEILSQLYEHNIVHGNLTPDYVLIEKIKGEVKLNNSTQLTGYNHYKRILSGDSLWYL SPELLTALGKKELRPQYNHEKNEVYQLGLIALEFWGNCNISDIYDRQNYRMNETVIQESI DEFGVRNGYVLKNVIKQMLQEDPNARPTMSELCSSFKQLFEIQKQIEERKVQQYEEQGQQ YEQINNYQEINKEALEIHDEVLQFNKESFQYQEEGKNNIHYENSPRDQFEESPRKVEKQN VEFNTQSVKQSVNQSIKEYYVTGSIAAQFGQLNLLESNADYKSNGITHLQDDGDVLQEKS QNHPTSPKGQDSIKPKKSVKVNVASANKKGVSIKRPKHEVMDTKLPKQAVVVSKKR >CAK71835 pep:novel supercontig:GCA_000165425.1:CT868108:94233:95171:-1 gene:GSPATT00008314001 transcript:CAK71835 MAQQSAEFATKVDESHKGQEDDKNTPQEPKQQSEEKNEAQKSENKAPSLFGSMINGQNLF NNNQTSSIFQGGLFGSSQPTTGIFSSGPSLLSNVNVDLTKPGPSLFGISYKAPKSDESDP GEDDGQDEQKPEDDEPNQVVMKYNYTSNTEQLVKVNVEKFRKNTNDILEKGSVAIEKTKT GESYYLVYRNAIQQSLYTGQFIKGFSEIKPLGQKAENLIIKTISRKEKQDSKQGENDEKK SISIDTLKIMFTTKEGSEEFKAEFAKIFQ >CAK71836 pep:novel supercontig:GCA_000165425.1:CT868108:95250:96188:-1 gene:GSPATT00008315001 transcript:CAK71836 MVKHSRTKNSNQATEGLKNSRYRKQDNIKKEVGKPPNIDTILIQIPNIWSTDKQIQMILA FLYENGRHISIHLAGAKRNSKNEQDEFKRKLKEEKQRQIKNSQPTYEFLVNFCVEHLGYP ESQQKKSRIIVFFFRHNTQPLKKYIQKKYLSIQNTQWYQYIKKFYFLLDEQFRSELIKIL ELIDKQQTIEQIATYQIETFLATQYLQDIQVIFKNLLVQIIKSQEDEDLNKYTLTNCSSA EIFEIYKKKIYFYQNRVIEFISKLKLLFNNQDPNQFQHTLYNEEQKYGQPDPQISIYYDE EEYLNSDQNIMG >CAK71837 pep:novel supercontig:GCA_000165425.1:CT868108:96449:97992:-1 gene:GSPATT00008316001 transcript:CAK71837 MSSTLNFSQLQNNLLNHQHFTQAFSTSQLQLNSSSQVIQSTIIQNQNEMIVENDSQNRDH SLNVYPQAQQTTKPYFDKESPHLMISVYKQHQQSNQKTYILQDDKIIGENQIHKNEIQII RRKKTSRSDDNNLDFGLASADPNNDYIPCKISTEFGFKYSSRITPQILVFLSLKQLNSKF AEMSQNVFKLIHQFIKEKPKFYIQDYGTSLKTLVRIHKDDPQIMNENNQYLIGADFYFHV VQLSANPQIQSKKQQETDTEYFFQTLVREHIKDRARIHGLTKEESEIFQSKQFQTNLLEC LQNYVSTKKQGRKQYNLTNCNRPFLKIQFDTPIIKQMAIFIARPGEQQIFKIGRSQDCDI IVNMNTISRKQTQIKFNRNQWEICDGEGTKQSANGTWQSLQQFENPLNSIQQKTPQPLKI EDKMEIKISDIIFRFDMAKFGIQKRLKLNNHLYKELTRIDDF >CAK71838 pep:novel supercontig:GCA_000165425.1:CT868108:99054:100552:1 gene:GSPATT00008317001 transcript:CAK71838 MIKRLKFNTEQIRRASSVLQKIDLRMSQRDFSNYQDIIDIMIFILLKPCELRSQADVELL KQATRSLDFFANLGSDLLHEQCCRNLRHKYVPIGYFIFKEREKGDNFYFIISGRVQVLKD FNPSDPQASIFDNEIKQLTNFETFGERAMDSDALRTASVRAIENTHLAYLNKESYQIIAK SVKNQLKKAYFEEFANLDFFHNWRFQDIKVFYDRAYVKRYSMNATVYKEGDPLDYVYIIK KGEFKIVKIIKTSTIDLNEMFKGDFEKILSGMSTPKTYTISERLEARFQRKKYGNLYYLE KKKPITIKYITAGQMFGEMEFLMQNNNARTHSVYSVTDQSELYMVKREYFETILDKVPSI KNALIQLSEQKNNNFMRQLMQYEKNFNDLTEVKKDLERNINLDFLQLGSTEKRFPSPVMQ QLRSSQPEVKKVLKIPKIEREVQRIETKKKYLMRRRKTSVFKIYDSNSEIDGSQYNFISS IK >CAK71839 pep:novel supercontig:GCA_000165425.1:CT868108:100571:102270:1 gene:GSPATT00008318001 transcript:CAK71839 MKSQYDYSQIQYECIVVRKHFISDVKYYCYVLNDYLLMSRVRLLTIQKVKDQRPKYVLQL QLTQQVGWITELKNNKLLLKAFTITYQNKFKDFIGKMEDLQKIREHIKNKVTFSKISDFY EAQYHLGKGSSAKVIQIKEIGAESAKLAAKAIDKKYLQKSEFGMQAFLNEVAILNFLSRK NSNSPFIRLYETFEGDHTYYLILDLLRGRTLAEEIDLMKELLSLKSVKLIMQQLLEGVRI LHENNIIHRDLKPDNIMFREQNQYDTLIIVDFGLSTFTDVSKYQFPKCGTPGYVAPEILN LIDRDIKYDKVCDIFSCGCIFYKLLFGHSLFFGNTFNEVLAQNKNCNFVLDGRDMDMISI EAQKLLKRMLAKSPAERITAKQALESDFFKTPIIQSAQNIMKLSKISNNISNKNIFQPTA DGQLSEAESPFQRVQNKFKNQIDFDADISENKSSFIQIKQLPQVKKPSCGKMVDSSLGSF YIKDPLSSFKKLNAMSLKNLSESQDNKVNQYEIDQMQRISLFNRQKEY >CAK71840 pep:novel supercontig:GCA_000165425.1:CT868108:102306:105042:-1 gene:GSPATT00008319001 transcript:CAK71840 MFNKKKDYIKKKYKDVPPLNIGDVNRILQQYKNDEDKFRMFTSILEIQKQHNIRTHESNT EEILRKNVQRLIELYGDVDINHDALNNIYKNVDEEQDIQNQIEKIEYSNIFQGDVNQQMI SIQRKIEKYDHYLNVIQTKLSKNVFTNYSSYLQALTHIDQIGNISQELLIKVRSSREKMQ KTQQMVLKQSKQILGKRQSILNIQQLIETLKKIKDCYALPYESFVLSMQNGYVDASIYLP TIINIEIIEGLELIPKNYKEDRIEYVRQLTCKGILDQFINYDEKIYKLHYESYLYMEKNN LVQPYESVVHLLIMRAFEAKLQMVFDQISQILSIDFYFDNLTDSLNQISKDQLTEFYREL CCQTIHFFMNFHLIIRFHLDYSQNTTIIELRKQIYEKVFDRICDFINQTTSWPRMNKEDM ICFFGMLTILISKCEVFGGQDLQKYKTFIEDKFSNYLESRGNDKQIKEALINEDCKKCMK ITLNQTQTVLQIANKQSKFHIFEYKDPFPDTLNIFKSIEYKKIIDLIMGSTVSFKKQTNN SSIIYLGKERTLLLTQTINQIYIIIQQQLLYIHFCQQHKEKLVEELIFLINYYIYTLAIP IILDEHKRYIFDDALNFNYDQKQEPDQYTQQFEHNSQVIIYREKYGDLVRYLKKIQIPED LKVKKTQTFDLMDRVVYIESIIFVINEFDSIKDVFQEFKPFYSELNSIIGQLQELVIRDC INLEPYAQLVQCKYELKQNDKDDQQRIQLIDKIISTNEIKLQGLSQQQLAEVQKMYYDEL IYLFAVVYSRIKKVNNIGRDVMLNDYYKVSKTFNVENSLYESYVKVLNQAQAETIIEYMN NHQKIFPYKMLMGLFNAQGLQNCKKQQRKDQLFKIFQQYQQ >CAK71841 pep:novel supercontig:GCA_000165425.1:CT868108:105672:106207:-1 gene:GSPATT00008320001 transcript:CAK71841 MSTPKAFSKPTLQTQKSSKPSLFAPKTGSPHSQQLIKTKQSSSIHIKTPIVKTERARTFN FSEAESKPELKRWDAVGKIEKSINILRKTRGQIDFSFQIKVEEIINQMMTICGVDEKVTK LFLQIREERDQRKQSQLKTLKFIKGQQDQIEQLKRRCEEYY >CAK71842 pep:novel supercontig:GCA_000165425.1:CT868108:106694:107811:1 gene:GSPATT00008321001 transcript:CAK71842 MRCVNDGILLKEFCELDLPNIENWFEKDSNNNKLLIHELISKYYNYIKFKSQQLRTSFSL TLYKKEKYLHFSQLSVGQTQNEINLILQFVDKAFEVIETKRNGHLKYKLCQYVFLMILND LFKYYSCSLVAFNVLIKRIDQLQLEDLLQMAEITRLLYKFCNQFDTFINQNKFIAGFEYF DVEYKITPDLVELIVQYMNLYEQAQKGTPSQSKEIHFNTQKSIQVLAKIISQSPFKTQSQ ESFMGQGNAEQQYSKSVMYCNQFKHKFDEIKFQEFQNNFSSNYITIPEYYKQNKAIPEFK EEDEELDILAKIEEYKMKLQCDQQIHLLYPEAFNEKKFLNFENIYYQ >CAK71843 pep:novel supercontig:GCA_000165425.1:CT868108:108326:109060:-1 gene:GSPATT00008322001 transcript:CAK71843 MSEKSQTQKDYQQENQLIKQQLLQYWVKISKTLDDEYEEILQLGSNSDPNDIINALFIIE DARQEQQKVQLLILIQLDKQQLDTLKKQIESQNEKIITLQQQHEKQPQVNNKQEFNPEIR QLEMKINELSLALVKSKEEKIIYMEQAQKQIQLLKEQKLELKSKIQRLQFEQSKKSSFAE PEENLGKSSKEYIEDDITRQSVSPQTQKIKLDYSKLSLNQNLKKLTKVDQVQGTSLTSFR FGNK >CAK71844 pep:novel supercontig:GCA_000165425.1:CT868108:109796:111029:-1 gene:GSPATT00008323001 transcript:CAK71844 MIRTNSSVQIQKLQIPLQQISPFNGQIRYSNPYSDTPSTPQKSIIAQQIRGSSTFTPNKT QQTFYFKENSNTIESKIQSLVNENCYLNQELEKARNEIQNNAQQQEQLYIIKERVSKLEE LIDTQQNEIEIWKLKYQKAAAGDSRLEIQQMEQQIYNVIDENERLNKIISNLEQQHQEKD QEIKTLMMSIQKYEVEMKKQKDKLIILEKANKPAVSCNPFAMDNKKPSKSSISDLRQSKC KISEQDAIANRKKSDHVYKQVQTKSQQDYSDLQNQIKQLQVDNQQLQLTIEELQEKLQSI DLQKSEKKDSQKDPNQDQNISILKQELSNQQILILEEFKKYKQCQSQNTMIKEQLLQWEQ FLKSLNSQICTPNEEEDGIDAAIEEFQSSSANCLQLIDQFQK >CAK71845 pep:novel supercontig:GCA_000165425.1:CT868108:111497:113006:1 gene:GSPATT00008324001 transcript:CAK71845 MDQKISLQPGLIIESRFKLLKKLGSGSFGIVFLTYDLEEKEYCATKFESRNLQMRMMNRE ILILKQLKGINGFPQLIHHGKDKQYQFYMSTLLGENLEVLLQKCGGKFTLQTVLQLSIQL IDRLEVFHSMNFIHRDIKPENFLISKEDTSIVYLIDFGLSKYYRVADGRHIEFTQKTGVI GTARYASINTLQWMEQSRRDDLESLGYMLIYLVKGELPWSNVKAVSKEDKYEQILQIKMG LPLNQLCLNLPKCFIHYFQHVKSLLFQQQPNYSHLRNIFEKQLLQYNHQLYDWELKTIEN QQLQDILIDPNSMPDIRLQSDLDFVPPVDDEGEVFRLKQESQHHVKFMKQLHEKYFDHNT HKQVQQIQLQKNTSIEPKSQATSKQNNYQTSNHTLVQIESQPYILNNISQFSKRKDSKSY TSFKQSQEHKENRKFRQSNDDYDLEMGNEDGPNLDLQILQANHFLQ >CAK71846 pep:novel supercontig:GCA_000165425.1:CT868108:113111:115321:-1 gene:GSPATT00008325001 transcript:CAK71846 MFDKIICNKTSIIESFFYDLVIQIILTPQLSNKRNIDLKIQLKILNYKIQSLMNAKTFSH YFKQIDLFTKPVQLLIKKEEGHKTLIGAALSLAVLVVMFIFLVNGIVVYADRSKPVTLTT EVYHAEPNLYELRPKNFTLQFGFQDSSFATYIDESIYYVEPMLVRKSVEIINGTQQAQFK YDDLPLVQCSKDIIKQDSLAEYFSHFDLPTNYCIDWNKIDKINMQGTFDAENYQYILLKF KMCTEENKKKDVPKCKSKEEIIKRLTHNYLSLQLSSYYVDLQTPQNPYIPKGEDLFTTIS SKIFKEITIYLQPVTTYTDSGNFFQDFHQDTTVKYMHHTEMIDFNEEDLLANVAIRLHTI EQVNYRQYSRIQTLLAELGGLWNVLFTIAMLIQIPFSTISYKLQIINSLFNFDGQEETIN DDQDNYLLEQHSAPIINSKQQSKIYVENIPRNTLNDNQQSNRKLIISPIKQNTPLKKSKF QQQDSFRSSVRSSYKVQQKLQELNQQCIKIQNAATLEEDIKVKTIDKLNQQVKGFFQTVS KKLNIPYYKYILSTMKLYQDDQQIKQMNFSINRMEKSFDILYIIKKLHEIDKLKMILLTK EQIKLFDYLPKPTIASNPDKELQGHQYFSILKPLKSNFQMALEAQQAYKEILFKMYDPIN KHLVDCMDENILEFLQFQLYRNDGEQQDEDILNDGTIQVDKQQRMNSEQILDNFDSESQQ N >CAK71847 pep:novel supercontig:GCA_000165425.1:CT868108:115982:116300:-1 gene:GSPATT00008326001 transcript:CAK71847 MQQQSSVFFQCQRFIPELSEPIGLFEFTNQDVQKKLLSDELQGSFNQNSDHKPKKSLQND KNSQSIQIKLGQYFAKQTLQGRSYMLRKQMGNKESNG >CAK71848 pep:novel supercontig:GCA_000165425.1:CT868108:117330:118684:1 gene:GSPATT00008327001 transcript:CAK71848 MKIRLITNGKDRQIKLQCLDLLNQKMKRSHSYDLPYITVLSSGQQLKKQPKSKTMLLIAN SSNYGNSARKSLKSEKHDLMDAISLASQLIQEAPPQKTNIRRASQYDYISLKQRRLDSFA PLVFVQQHSQEAMIRKKKKLTQRKMQYKFMKRSMLRKQQRVQRSKSALLPQQIKIKHKRA KTVMDQLYQGPILRLDTELEKKIRMLVYSQRCSRLILKRKTFIQEPQNNIFVSRDKLLRY QEVMANKFVKLINKCIQDQADSSCDSSPQIMTKIQFKKTQFSKIQVGENTISQYLTPRLQ SDCNLNIFSRNASHSVIAQYVQNYIDKNKAQKRSVRGQQKLAMINQPSLNEFNKQFLAQK RSVDVGRISSQLKLSRSQDNGNKQIQLNQKQSQFINKQKNIMIQQKSDSQFNQNIRIRTL PSDISLSKSKISLQQRLRPYLK >CAK71849 pep:novel supercontig:GCA_000165425.1:CT868108:118778:120435:1 gene:GSPATT00008328001 transcript:CAK71849 MHCDSFAHYELQTILSETDYSKRATKIICTIGPACWDVPTLVQLIDAGMSVARLNFSHGD HKVHGETVARLREAFKQRKDKPVAIALDTKGPEIRTGLNKEHKSIVLKKGQKLEITTDYT FEGTSECIACSYQSLCKTVHVGSQILIADGTVVTTVDEIKESSVMVTVQNDAQFGEKKNM SLPGAIIDLPTVTEKEEEDLVKFGLKHNIDIVFLSFTRKAQDIEDVRDILGPKGSGIKII AKIENQEGMQNYDDILKSADGIMVARGDLGMEIPPQKVFQAQKWMIKRALDAGKPVITAT QMMESIITNPRPTRAEASDVANAVLDGSDCVMLSGETANGAFPVIAVETMGRICCEAEKC VDHEKTYWNRIHDRGYLGDTEALAASAVQMSFETKAHVIICFTLTGEIARLVAKYRPRAP IIAISTEDKTIKGLSMASGVTCLRVPSFQGVDTLVDYAIKSAKSRGIIQTGDKGIVLLGG SEENPDESNILKVKKIN >CAK71850 pep:novel supercontig:GCA_000165425.1:CT868108:120582:122543:1 gene:GSPATT00008329001 transcript:CAK71850 MNPKGYKFEVRIYIEKLEMKCNLSFKVQVILKCGNQKLMTEQLADFNQGNANIKETLCIQ TNLQQINGTFQEKKIELLILLITTKGNKKAGQCYIDIAQLLNQKKYDSNQELLLENCPVN KAKIYSTIRLAEVEKVDFEVINPQSSIVIEQGSDKTQGLFTPLKAALENTVKKYFGDNQS AQSQKLENQFSRNQTNYPILTDGSPHLNSQKQQLDFQQVQQDLSDLQEELQQQKIIYTEM KDLNNQLKKEIEIQKEKKEALIQQVQEQKLQIENMIDQHKYQEQLTQYEQQLSQIQSQLR QALKDNETLTLNYKKAMQQCNKLSEEYNQNQQTFNYEEKSTFQLNQKIKELEEICNEKDQ LYQTLEKSNNDSQLKNINFENQIIQLHQQVQQLKAKLIDKDVVNELQKENLNSLNIQILN LKNQNSSLQQSQRMMNDKIDEQSKQIVNQQELINQQTGEIRQQYEDILNQNHQSIQQLTK ELNEKNIELISYQTTCKQLKLELDAVLEQHEKSKQEGVKIQPESDLNYMEKEQQFQEEIK KCREREEELKSKVEFLLQSTNQLQQLLNEQYQSERSYEKFVSRPLDSKRKRRGECSKSID LIEQGNIIEIFQVKVGSRMSLIVDISSGEM >CAK71851 pep:novel supercontig:GCA_000165425.1:CT868108:122726:124527:1 gene:GSPATT00008330001 transcript:CAK71851 MKKLRIQKSKIKILNKNQQKKNRFIINQVNLQIQIKALQIEILQKENLINNVSSKILQLS QQNQEQIIVNEQLQFQIKEQTQHAQQLKQEFLISKAEQDQQNNNQILELNRKITALEITN QQIFDQKQLKENQIEKLQQDRLYDQKIEDQSRKEENQYIVDNLLSPLIIEETQESQQKIL EQKLQALTIQLNQQTSALLQAQIFIEQIKNEYNQTLEKGKMNESELKLLLEQSKILNNNL QSQCNSYRIQLNALEIYIQQKNSKIDELETQIKQNQLQFQNELQELQQQLFEENDQCQKE KEMIQQYIDELEDKSLQLINQVKEMDIQLSDIRRQNEILSKQLVEQQQIDDRAQKLEQSY LDNIQKYEQQIHEISLKHMQNNNDMMKQIQYLQQQSQQDQVDLQKQLAEQEDKYAIEIQL ITKNYLNGLKDKDDQIQNLQQQIQSFQQVKLEQQNEIKLLGSINDNMEQEQFENFEYNSK IQFTNEKMIVQTQPQEFQLNQLQNAIKELELKNQELETEKINISNNYQQALIEAQSLNRI QDNSNEKMEKIQEEVIALKCRIGDMLNTAQEFGGAQLVDKLQQALGIKE >CAK71852 pep:novel supercontig:GCA_000165425.1:CT868108:124562:126246:-1 gene:GSPATT00008331001 transcript:CAK71852 MGNACCNHSEIHYNHLQGNTQILTPTLQKIETWNSFQDDEEDITSPLKQYITPSAASKVD LDFSSDTNQSKMKSTIQLPGSKKSVKISYDNFVTMKQGGWKEQYNLIKKLGQGSYGCVWL AKHKKTGILRALKQIKKDSLLFEDQERMLSELNILKSLDHPNIVRVFECFQEDDQYIIVT EHLPGGELFQRIKKLQCFSEKMAAGYIIQILKAVSYCHEKQIVHRDLKPENILLSGQGEE VKVIDFGTSRYFSSNNNMKKRLGTPYYIAPEVLNGKYNEKVDIWSCGVILYIFLCGYPPF TGKTENEIFAKVKIGKIIFDKDDWSNVSKEAIDLINNMLNTDVKKRFSAQQALLHPWVQK NAKQEIISQQLLNNIEKFCVKSNFQKAIMTFMVNQTLQSQEINELKATFNALDKNLDGNL SKLELIAGYQEILKNKEQAEDKVNQILDALDLNHSNLIDYSEFIMGAMKFEKLVSIKRIK QAFRMLDTNGDGYISKEELQDSMGFLEPEIWEQFLKDCDLNKDGRISEEEFTNILVTL >CAK71853 pep:novel supercontig:GCA_000165425.1:CT868108:126535:127987:-1 gene:GSPATT00008332001 transcript:CAK71853 MGLQINHYLLLRILIYNNGAQIAQKSKSFPILLFQHFRKFSLNILFAFHSLLFRGRESEY KFHLLCSTRLTVLDSISHSSSQQKYIRQRCCRSIILDLRDCKLILNSQLIAAFKSIGALQ LVFVSLRITGQITWGWIQTLIIIWFLLGLSFVIAICLFIDLLQKCRNKLEGIEEDKKLII IKGGIWLNLIAFGITILPFATLFGCALKLDFHVVQLNQYAFGLTILYYLLQLAYFYKFKS KLIDYFLYYDMAQSNLYVEQQIQQINQNQQQVENRIQLKMKRMHKISISKVPQFMVRLSQ TYYRCAQNNDKQHKPSVIQQKNLNQIDNKYQMNDNDQSKRFDLLLSSPRQRLEISSSLGQ DLTSRNSDKKQFEDQSQLKQEQQNQNSQKLCLVCYEKENNMINMPCGHGGFCKECCEQLL SKSELCYLCRKPVTHSLQIQEVQNRESLVEVIEVLEQQNY >CAK71854 pep:novel supercontig:GCA_000165425.1:CT868108:128214:129317:-1 gene:GSPATT00008333001 transcript:CAK71854 MQQQSSPKRIAEKRGSIIKQPNDSPTVSPSQLIKMNSIDVQNQNPLEIKMFKVERQNPRV GDLVAEDHEDDLTYQGNIPKKLEQKQKGGYQKEYQMAKVDQQRDSYSPDKSTTNSLIISA YPSIIKNFQFQLQSDFLTSPIQQQGIVQCAFQINKSGFNTFRPKFYFLKDNQCYLAAKKV GNKYLISADKENIERKSPQFVGQVSCKKNNQYYFYDTGLNPKRKKEPYRQCLGELRICAI PKANEPRQQCFKFQNDPNNEYLNRKPKWDPKLQTFILNFYERVKISSIKNFQMILKDEMP EKIYLQFGKWDKHYFNLDIAAPFSPLIAFMIALSNYDQKLQV >CAK71855 pep:novel supercontig:GCA_000165425.1:CT868108:129323:130333:-1 gene:GSPATT00008334001 transcript:CAK71855 MISPFKKLGIYLEFQQFFKMKTVNDEKITIYNGEDIKHFVFPEKFDHTQSGVPGNPCYNF RFRIFVFFSYVVLTQLFQLFCTPSEKIIGVWDVGHQITTPINNLYKEHRWFSISMQLLSA IILDVAYLYISLYWVLYQRNFRLFAALIIFYVIRAIHLNLVKLESPQNYYWEDPNIPSLV VKYGFFSDFFYSGHVGYLVICGLEMKRIGKKYVSTLFFLCSLYQAFVVITFAIHYTIDVT TGCIFAHYFYNQVCYWEVKIDFFLKILAGLFERTSQQTTAKYEVQGIQQIGVTTL >CAK71856 pep:novel supercontig:GCA_000165425.1:CT868108:130791:131603:-1 gene:GSPATT00008335001 transcript:CAK71856 MTAKILILLGLFVIVAWQLVARCTCQQLFWEEECLLGGCFWKNDICIQVSCENRISDSEC NQTTTTDDWNPNCKWVDEKCLDIDTYHCNDTCTNSSICEVDDTESCQIKYTLDRKHCSDF STNNCNIQLSNYEFCEKHDHACSSVTYETKCNEILTEKACNGLGCYWDAKKTQSKCNSKE CLYFDQPNCLWTINGERKFTFCSWNETRAFCQESNDTTPFTNISRCSLDSNFIYHFDNST GNQECQACVDPAELYSQLFQIISFAVITLY >CAK71857 pep:novel supercontig:GCA_000165425.1:CT868108:131865:136432:1 gene:GSPATT00008336001 transcript:CAK71857 MIQFYHYVLSHFLNKHHKVKIDSEKIVSLLFIVYYSQLICVLQQTQDNALDQQIQINLLN LFQYYGQIWRPYNYLIQYKGFRQYAFLIPSLLITLIMIDFFLQWMEEVRSKNAQQKKLNF KAIHNNNEKILSVIFQVHLYTISLYELDLLMLSLRDGISNLPESMAVLAFSFFFLFCKLL FILLTLMCSESIHFPSSPIHFNVLSIGQYFNIFLIVISLYLKYEMGDLQTSLFHIINLII IGFYIYQECQKKVNYLNTLVLLLLTYGFFNNLSFFFVIETSKKEYQIALLYVLCPLFLNL VIHYSRKSTIIVYEKNRTIDQIFNNLLILDENKSNIYKQDNFQTLILKQITTNADDPFNS ELILFNNKIIKSKKSQKAFWYYKYILIKLSQQQYTETLMLIHNFKQSLFYSELSKQFVRV QITISFIQQLKLINIKSEVYQLLNYNINKNFDLDKLNSSTFIHYKVQIQKSQIQILNLFK EKEALYAQLLEGKPTKINGIFNKSYNILEQALQLQQDLRQELTTQKTYRIHQLLTMLYVE FFNNIIQAELLKYFQLNNEELKQNPLENMDFLNLKLEDNLLNTQIKYFSQSLFLKLGYKL KDESFFHFKMLFPDAFYDTHQDLIRRFLESGTCKYLNRISDMLIRGQDNVYFYSMFQLNI ENSSEPELSFYVFIKINEEQPNSVFINKQNQIIGYTTSFLNYINKKNMTFTSKSLYLQEL SKVIIINKQQLGQKYVKGRLTYDLQEVALEYIERKSIAELVILDENWDYLLNHEVFSQEP SQHCSLLLNQESVVLIPNQLFIDDLNSPLSLARRDKPYQELLQSDIKQNQTIKSKEDSNI ISEPIQDKPKYKQKLSNKEQKDDINNDQASSKFSRGFLDNSIFYSKYNKLNLFLTQSKRN RQITMALLLLLLALLINIIFQLISLTQFLPQYEKVNSDLDMLSIKGNFMAPIHNCVTAQV SVVNYILLAYVFKEITQEVALRKMQFGMDSIYKSYEHLKSSFSTQLDNQNLQGFFYDKEI IMYQFENLTLVPRNISLKPALVYYQEAQYFVSIIDYYNVLSVIPSNDFIYYMANILEVDN YFDYLNDEVEIFVETRMKNFESSQTKFFLKMIFLQLLIVTLGISLVLQNQYTLTELFSLF TNINIFALQKEIQKLQKMIHLLNHDDAYQIYNFEVSVWEKEISAQTFSYNLKINLSRIIC HEYKLPRLALITIFVIVVSIYLLPQIILESMNGVFFDKYSNSIDLLVRLSSLGSAVTAVY EIREINYLISGKSPFFDFFTDQKRIEQNAKVEHELNKINEFLFFYLFLDLQKLQQYLLVN NPQVMMILYRYLKMFNHQICVNLQLILAEEHCDLIYDGVMKLGLINALTEIYSQIKTEYE NSKGFQIQYRNKSGAFEEVEIGLIASDSVLYLESEVFHSVTKKTSEIIDSHQILIGIQIT ISVILGFILENSDSQEHDISNTLIKNLKLISDCYKCKMYEVDMQL >CAK71858 pep:novel supercontig:GCA_000165425.1:CT868108:136446:138362:1 gene:GSPATT00008337001 transcript:CAK71858 MLKFVLFILFLNFIDDVTLRQGGDGQMLFVNGKPHQVLVKQKRFAQLRSMPELSEDEGGD DGGGDDMGDDEIEAGGGGGPSLGGLGGLAGGNPRDAETEENLRLDAWSIIRSLDEFMVVQ NQRLMDALYGDAIEQNEEMEELIYDLTDNIDHWTYRVYSKFQKTNLALEDSRYTSAKTAI IAIESQIRKANQQLKIYYGQLYRLQDQIPDEEEQCAQFYTCKECLSNQGCGWCPLNDKCV VGDENGPLFQQCQHYNYQFCQGKQCLKYSTCNTCLSDSECGWCQVDEDDIKVCLQQPRNF EGCPEGHWHHIAGEQFACPFKKQKQKMEEDVPVHKFWMRPKAPPPECKPVDSAMRAKIQK ERQEYNDKSEYKGEQNRNKEVQLNYKNTLQQKVVQLKNLVEELLERRDDIQAWVDEYMKA RGIEPSEPAENQDQDAGEESPQENQEQEDSSNASGQGANNRIQVKKEKKQKKIVQIFAEE TAMPEEEQMPEALQEEVQEEVVEQGEEDVEYVFETSKEYKVDIREIHVEKEKKKKVDKEE IEDKKYKDQGDRDIEGDLETDYYKDYYKQRKKHFRDFEKYYPK >CAK71859 pep:novel supercontig:GCA_000165425.1:CT868108:138472:140286:1 gene:GSPATT00008338001 transcript:CAK71859 MGLGDELSNEVDRIKVSLKLQNKALAKELRGATEERADNISELSSDIKTILDKMAYVTDN KMTRINDRVQEHIYQTEAISLIIIMDQLDKKVQELAGIKQQIKQLKAKIPKLSDKCSKFY TCYECLQDESCGWCSMEDKCVEGDEKGPQKISCNFYSYKQCNGRQCHKYNTCATCIADPS CGWCIDDNRYMSGCLQQPYDYQGCPRNGWFHLESRQNSCPQQLRKVYEEEFDENSYWSKP LEDSAYIDEEESNEQDKNNALNGRGGVEESESNTEYNKKQQQVVSQIKQLEALVKEIEKE IEALQKRYQETVEWLDKFNKGEEGEGEQQQQQEEEQQQEQQEETQSTQNEAQKKKEEKAK KKEEKKQNDQESKKKKEEEKKKKAEEEKKKKEEEQKKKDEERKKKKQEEDEKKKKRKEEE DAKRKKLQEDLDKKKQEEEQNEEARDSNEQEQQQEDGDAEGNHGDADNNESNNASHSDET NQETAQEGDDQSDQSQKKSKKSSKGGDSKNKAKDKQGSKDKESEQGDEQGNEEKEEDKRE IPDSYFREPQLQNKKWEDFYNGNFNFIAQEQEVDDDEMQFDSIDIVDEFYDPFHLN >CAK71860 pep:novel supercontig:GCA_000165425.1:CT868108:140534:142142:1 gene:GSPATT00008339001 transcript:CAK71860 MNKYPTTNPVEEFNRNVTITNLKINHLEYRNVEGKNLFERVKGYQDLIGQFRKQKIILYE RRNMSAPGQECYGMDDYGDIFYGINFASADYLGLCTNEQAKEAAATAAQEYSVNSCGAPL AFGASKYYMQLKEELKDYWNMKEVIIYSAGWLAGYGVVKGLIRPYDFVIMDELCHNCLTE GAHAATKNVFRVTHLSLEAMEKKISEVRSDNPEACILVVTEGLFSMDSDYTDLVALQKIT LKYEAFLLIDCAHDFGCMGKTGKGVFEIQGLKDFSNVLLMSGGSKCLSTNVGWVACNSFE VIDYLKFFSSAYMFTNSVNPVQCATALAQLRILNSEVGVRLRTKVLENYHYMKKELNSRN YKILGYPCPILPLLIGDELTCRIVTRLMLDEGIHCNGIEYPIVKMGQARLRVNLQPQHTK DQMDTFIETFDFCFKKANKLTQDSLERYQLYLEQQEQQQQHTKNNLNQVNFNETKMELKK PNL >CAK71861 pep:novel supercontig:GCA_000165425.1:CT868108:142235:144279:-1 gene:GSPATT00008340001 transcript:CAK71861 MSSVQTELDNSNCNGRGLYFEQTCQCDLGYFGVDCSQKLEELHVASYFTFIGLFLILFML LLVFTVQQLQISLKTNKIPTYQRGLSYLKNFLGSPLNCILCLTLLFNVLKIVWLILDPFQ PFDGDKRVFERILAEVVYTILFYIYGILLMVWYTMYDEISFNVIERNNNSKSQQLFRDEL KIETRKWIFVYYKDIMKIRLFFVFLIQLTISTLNGNSIIQLGLRLSQQYKTILYIAYAIL LLNFISFIFEFFLYGKLLNQCIESQLRKLDRDSREKNIEEVEKNNEDQSAQGNQQQQIIF SKSSLGVPQDEQLQSPETQDQQVLRLSSQSGSEDNNEQVSTLRAIVSIEKLNLSNIKKSV SFKKQQNSMNEIPDQPFKIKVVQSSYDLEPNKDVQKNHLKTIVSEESQSSKDEGVFHQKV NSCLLNEDDDTNQEDGKWDIDKNRQNIRKIKNMQIKIVEKTKQQVIETKKFKKATLKINN RETLFDPQANDERKTKVLSGEDYQQEIMAQQKQIRTANLNADKKVIIKIQILIYAGVFLE ICFGSLSIVILLTDLLKTAAGTLCYLYISAMLQYLSLITVLKLFRDVRSQEVLNLIWIQK VGNGKNKINQKYFFTIPQQQTKIDDSKKKFEQRINMHIR >CAK71862 pep:novel supercontig:GCA_000165425.1:CT868108:144718:146307:1 gene:GSPATT00008341001 transcript:CAK71862 MGCVVPKAQEKSTDSKTPKTSSAGQPFSQAVNASSVFEDTENIETDYILHKLDPLTKVIQ RRGFPSKIVPLELQEPTIAKILGVNYFRNLRRMQILYCNWYWLCLKLQKHLNIQGTMSYY CNKKRLSLIGEYLEGGNLLLKLDVFSQMQQFAMIEIFCQIMAGLQYLHENQIAHGDIRLE HIIFTNKSLDKIKLVDFGISNQMKSLSTNWKAVSSMHELSFRSPEALKGQITMKSDIWSC GCLLYFFLTSHMPFQANSIQSLRNSILRGVPNFEGSEWNNIDPALKYLISKMLHPNPSQR PSARQVLNHNVFVGRARILQNPNKTLQRHMRDFKISSQLQTAMLIYIADNLMSDQDKKKL MDEFMKFDLNNDGQLSKEELLSVYSRTLSHDRALKEVNNIFKKIDVNGSGKIDYQAEFVI ATIDQKKYFNKEKLMLIFQQIDSDHSGQLNKEELKKLLRDMSIPIKKYEQLSRQLDSNGD GQINQEEFIGMMLQI >CAK71863 pep:novel supercontig:GCA_000165425.1:CT868108:146397:153859:-1 gene:GSPATT00008342001 transcript:CAK71863 MEKQKSLIDVQKQQQCQLTFEHGQSYEEKVRRKQWLFEYMTECLKEKILELFNTMFEDYI NDLFLIASFILILVGIAYDDNTEDVISSLILFIVYLLPFLIETGIRALQLLIEQKQSWQS ILQQTRIVYTRLKGFKKEDLVYNDTQNFVKQARSSSIRSIQYLDSQSNVPDILPASNVED VNYSNLKRGDFILLSRNQQCPGDLVILDMSNRHGYFYSKSYFKQAFLQRKEPMKTTKVEL NAPNKGDLNYLRKILKGKLTFNNNYNFDQFEGHIKLSKDPKGEPILPENILFFGQALQFS EWVFGILINVGGQSLYCRKVKSVKKQQKNKNRYKNLSILQLFLYIVMPIIASLIQYYGYQ SNSSFSQILLHCYQQFLVILPSYLNLFLHLFDVVLIINENRSQLQSKDCKELILETKQVA KQQNIYYPVNMTEIMDTTHVFLNIQILSDAKIEALCHGDTIYQIDQTLIPDLVLSQSFKK TQFYYNLIKQVNDQNIEILQEKIETISPNVPPQKLSITSHQQINFNIIKDTGNKRPFIKQ NTQTLHSPILNNPSSARPSLEIFHSMDGGGLISSTLAGNLLNQQQHSQVSQEPEEEQVKQ VQIFRENTLVELGLSQPFQYFELFCVISLCHLTRSELMTLGEESRLSQKNSKLGFTERER IRKPKFNIDQYFLAEDEALLKLSKLFKISYKTYGYNKQEQLCYVLKINDLEIPYIIHYRL YHQGFIDYDETKNNKMFKIPSRFIAMMIQDNNFQIKESNQLVLLCRFCIVTISDIPGYEK FDLVKKTLLQRQIQYLINRGDIIVCFLKMSIKQEDLQESKSLVINDYEKTIQLIELLSEN QYDIIGLFGISQNDNQDLIKESFITNRARIIIQSEKPYELVLPFCIKNNVLHNETITYIF QSSSRDDILYQIRQIISSLSQEDPKKSSNQLNRRNAQIQTDKIKINNNALIIDGNVLDLI LNDKYLNNHFGFIIHFNKIIIVYNMNNNLKQKLLNFLTAYDSEYSSSLCVNYSAGSHGLV KMSNFSYQRHDEIESDVMGFINQYSINIDELEVFDNLVIKTGFQLFQIKDATQRICIQWN LCFALLQMTFYCFPYYNGQLLSKDRLLILQILVPAILLTYAIKTIFVEQEYLSVKINTIK QKSLDLENNHIVELLLETIMDVVLITIVKYIFYINDIQIGVYNTKEEIALFFSFILIIHL FKLAFLSKELYLALFLSLSTLLLILLHITIEMINLDYEILREFLIQPTTIMSFLMMLAMK IVVNLFGQFSIYLYNCFLERSQQDIDFNLILEIISKELQSIKNIQYLIKKVFKDSEIDLS VKQIFNKGENKNQLIQLNNYTLNFEEHIYNQEFIQMYISKWKFIGIITNLSYFFGLDIFL LIHYGLRFEQINTALFSIMLILTFCQAFLINQSCYPKYEKLIIYFGFFQTIFRLFIISVD VYDHDSQFYAFIFYYLVIFSLTQQINYKYSFIVIQQIMTLISGIVMLYANKMQNAEDVYL GEIIVLFVAFSIISLQSRYQDDVTQREDYICGKVLEIERSKYQSVMSLLLPPFVLGRLDQ GNLNFSENQGLVGIIFVDMCSFDVIVSEEAQNIVQLMDNIYRQFDQICSSTNCQKNRDCW KDLHGMFRFVMCREILTQGSSEEPYRKVGRVSLWDSIRNYSFQMGTQSKEFWIENRVLMG VIGSIKPQFSLIGDTVNTTSRLCAHCPEGLIQISLQAFDQIKNVKSIKFTNNKIEAKGKG IIDTYLIEKKRNFEQSFNNKQKKSEMPHIDQKLKFVLNNEQQQNSFKNQNQASIAAIRQK TTRNLTNKLNSMKQDDLQQLNQPQNNQGLLQPNQLISSTQQQVIPQKTPTLNFTASQLQL FRKHTKRNLINNQANLSTLNQIQSPHLLQQNINPLGPNTKLVPQKSIFSQQQQNQQMSMQ LEKIDKYQQQSSIHLPQQDPSNQNILVGIPDIPLDQSLNVMDSSIKDQTPFNCRRDDQKK FIDSAIRVIKFNDIELFIKSKPEVFNKTIPLFKIQEFLYEGRSPTLQENNNNNWHLISKE FLFEAFKNSENVTRYYGKELENGINSVVVSLLLLLIIHILFIILSQLQQVNTQQAVIRAL GCFFILIELYLLKAHFFEWKRERLLKVIIIQLVSQCVILGVFYDQDKDKSVILSIESIIT SCLLFAHKWLYVQEKILICAFMFTVWLIIISSVFIINIWEVFFLLISIALLLQRELLSYL FSYKQMLNQEQIEIKKEDKINLLSCLLPIHVLSQFLDDSTSARYFSDVYNDCTILFADIA GFTKYSSSVQPEEVVSMLKNLFDEFDTLTQVNNVFKLYTIGDCYVVIGVTDNFKRDPIQE ALNVVEMSLNMLEAITRVRNQIKYQDLHMRIGIHTGNVIGGIIGTDIIRYDVYGKDILIA NKMESSSEEGKVLISETTKKLIEENFPQDYIFHGRKLINIPSINTTITGFFLETAI >CAK71864 pep:novel supercontig:GCA_000165425.1:CT868108:154557:155331:1 gene:GSPATT00008343001 transcript:CAK71864 MDYKIHEYSPEELYENKARLFEQLMAEWNNMSANEQEKYNKKVQFTNAGEKAKQRKDEST LETLYETAVEKHHNIQQSIIEEKCEKQTSFEEIKSAEIIKEQQNNNAARFLEEQKQEFKT LNQEFSEKETNSQEPPLKIQRSVIIQEPRDHQVNVNFSQKDEKIQESNEIGEQKDNLGNR RSNAGRKRSQKETVEKIKSKRGSVKKRALSLSKQIQLQQHNNQKHFFVDETLSLKQLKEQ IRNLLKQHE >CAK71865 pep:novel supercontig:GCA_000165425.1:CT868108:155375:158496:-1 gene:GSPATT00008344001 transcript:CAK71865 MQLKCADYLKEAVNTNIEQIRYSFMSKACKQIAQLLPFQSMWHLLFKQENLFFYMLMLQS MFGQNIKMLLELIIERFAPITQFQIQFLNACTLVFSQDTYILSDVIKSHLPNIYKECITQ LQFFIKQIPKNDSQEGQSVAEQIAQAYKTILGNIHDKQVTIELYTSLQQIVHKWPLIHNI NPLIFHEVEEIIAMRTPRNVEIQFYEEPTECDSPTKSNSIRKENQIVVELQRWLSKMNYP IPVISKRIGSSVINSQNMFKLKENIEAMLSFIYCPLKYDPANCWMKIEFDQKISLKDIPN LKNCYSLQAPAHIYHIRSIRSLQSLTDEENHQIIAKVNPSYLQIAFSYLSECLNEDNLKN CNFNHLTQLLIFYLEKEQDISIYFCLHYNIPYAFLHYINYEQSSTFLINLFLQINLLGHY ITEHQKKLYEQVWTYFNASKLFDDIITILLNPKNRQDLLLKCTSNFRNNNMLEVFTLHPD FQLKEKVYQQNENNFNFTLEEKIHLKWDIDQIKKFVQKKTIKQLSFFNKVSHKILPSFNE NPASMMDVIRVAIQNAEIINEPHKKRQPRATRERLIVLRSASVMFDLDEQDSQKDQFIPF GYNLNPLEVRVETKDSNTKYDQKSVSFMRDSQKGPNQKKHSRNLSLQLYPLSLKDVTLGI WDHEQKQDQYQIENLMKDEPFCYNLLQFLSILIQQLILGDHKLFSNTYFMNNRQQMLSVI LLNENCKVFDNLMKIYLFKIKLTPCMKENSAVLCGQLVNLIIKKKPEYMNFQDNAQTYID YLCKVIINSMQWKTSSSQAIELDVGKTLLCETLCLMIEYGINRGQIQLLNRITATTWSCL IEICKYCFPIRPNQTFQGIFIKLMKLNLEFGSEFNIHTIFMKLNFLSIVKQLAELTQLNA VQNKKFNYLNEFINSICNLISNQIIKQSSKECFKVIQNLDSWNQLKLQYKITSHQHANSF YSVDSRLLNNSTPATSHSFTKIRIRKSAHSQASKE >CAK71866 pep:novel supercontig:GCA_000165425.1:CT868108:159281:160790:-1 gene:GSPATT00008345001 transcript:CAK71866 MEPQNLLTKSAIEGFDNLLLTQTQFMTQKKKVFLEDNIKYGKEIDRDYNKLQDILDNLQG KIDKIIKSQEDDFMIAYREQMTEVQKELKNMKRKIDEEALRQKADEKKRILEEERDYFKQ EALRLDKLCQEQLRTIEELKFKLKITLEEKQYYEGFVIDSKKENKALKYELLYLYKQKSE EQKAITRLGSGGNVGQRNKAKKMLDMRPMTQDGTQVSSTKDEIGEGSKRGFSSIKQTQKT QFSNKIQDQGSSLNDFYKRELSSQQQSRQNPDMEQIEEISKKDIISDLKLQLQKEKQQTQ QLKAELSKQNCQRGEMEQILLECIAETKKEVHSRQCQQKQVLNHRYLDLNHNSGDINFTQ FTHTDKITLLRRYIEREEFLDQLYQLTFNNQLQITSSLKLNEKWKMDAEEATKKFNTFKT FKYKHITSQPILKTALVKKNELVTSNNFTDKTKGLY >CAK71867 pep:novel supercontig:GCA_000165425.1:CT868108:160844:162244:1 gene:GSPATT00008346001 transcript:CAK71867 MQTVKETVQAICNILQAFGINNIDPNVFRQAKHNLSEAIKPISSIMHNLLILDLFDFEYK LNEITNTHADPDGLEIILLALRNSPFIIYKNGKPGMDYSSSRDLLLVVGQLLAESDIFDK YKKLIEKKVANLKIKLVNKPKEQDNEIPALKFVSEGSQVKDFENLNRIIRHQFKQLSCLF KRKEAQQNQIDKIVQQMNLKDFTVDQLLSLSDPDQLNEITANLKEIIQFLEFETSMHRHQ EIFWAWLVRSDVIQESTVDEDKKDVRDHADYGFPDETIIFSPGQQMIKLIEHTQTKLQQL SDQFELFESISSQFEDVWEKVSQLLKEDPGSEQKLQQLQLQIHKIVAQNNIKLMSLKTFK EQVFSEKDLLYCQANDFIKYAIKHNFAQNSNHNESNGFEQKITYQQEHFAQRIEQLHQYI EDEAGRIKVHLKELVFYPLK >CAK71868 pep:novel supercontig:GCA_000165425.1:CT868108:162272:163654:-1 gene:GSPATT00008347001 transcript:CAK71868 MKNQFSYGSKYNSQIQQTPFGNSQIVQKNNFQSKSRLPIFDDYKIQQPQSFSQYNNFSIK QLQTPQKYDQTQQQPFNVVQIPKGLNNIGNNCFMNTIIQMLYGIKQFRKAILDLPVKQLQ TDSITFHLQSLFQELKFSKTQYSINPSQFYHAILKKHNQFQFGRQEDAHEFLLCLFQTLG SESKNQQNEHNFFDDIKNFFEDIFLGNNRDLESKIEKEWRLKRQLENNIITNLFVGLFSN KIICKNCQFEQIHYEEFNVLPLSLEYYLGICTFQKESCLLNELIKFQFKPQIITNYKCQK CQKDQHQLIQKIARLPKILIIQLKRFNYLNSAQRINTNIIFPLENLSLIEQCTPNIRSCS YDLQTIIHHSGTCNNGHYYATCKYAEDNSIKWFRFDDSIVEEAQLEKRQYDTYGTPTPYM LIFEQK >CAK71869 pep:novel supercontig:GCA_000165425.1:CT868108:164076:165314:1 gene:GSPATT00008348001 transcript:CAK71869 MQSKQSETRQSWSYQEDKLLLELIKLYGCTSWNHIALELQSQGKNPLKVRSPAACRERYQ NILNPNLNKSNWTLEEETNLFNLQQSFGNCWARIASQMPGRSDLLCKNYFYATLRKVLRR LSKAVGLDQSSDVLKQVKPSVLGIIYSKEDSFKIFNIEDKVKKEFQQLIKQYRFTEKAEL RQLQENDLNYIKSMLNQLFVINNNYVTQKERNFRRKNSKQENCSVENNSKSTIPNGSNVQ NQKNSKLESKLLNATNFKLDSHEEYNQPKNSDQQDNQSIQVYENLNQPHSDFILMNQSQG SFYAPQPVFTFCIQQNFISWPIQSVQPYYTPQYLTYPQSHPLMRYEFLQMM >CAK71870 pep:novel supercontig:GCA_000165425.1:CT868108:165643:166382:-1 gene:GSPATT00008349001 transcript:CAK71870 MIKNMSEIQRSRNYFDFEQLDCNRYFQNYKESESSLKDHINSHQILFLLAKNPAVGNHLK NIFFIICDAYGILSPVSILNPEQDIILQYFNFESTEKGVKVPVATFLACLKMLSYHSIQL MEPNVGYSILAGQMENLGKRIALKNTRLINDAIHIGEFDNFELFKQRFQGRLLELLIRFL IQNILGQTYLNMIEYQEIQDSK >CAK71871 pep:novel supercontig:GCA_000165425.1:CT868108:166851:167711:-1 gene:GSPATT00008350001 transcript:CAK71871 MKFLALISGGKDSVYNIIRCVQEGHELVLLVNLYPKQIGIESDSFMYQSVGTNVIQAIAQ AMDKPLMTREISGIPKITNLDYQSKDEERIGDEVEDLYIILKEALLQYPDIKGVSSGAIA STYQKLRVEDCCNRLGLTSLAYLWNQDQFSLLDQMIQNNMNIILIKIAAMGLTPKHLGKT IHELYDYFKEINKKFGFHPCGEGGEFESFVLDCPLYKKRIQINESEVICHEDNSVAPVYY LLIKKYELVEKN >CAK71872 pep:novel supercontig:GCA_000165425.1:CT868108:167739:169148:-1 gene:GSPATT00008351001 transcript:CAK71872 MKNGGKRKETNIQMLSEVGIVCDRYLYIQIHLIDPFLKHQLKYMQKLAILTLVVALAASK FVDTHTTLAQIDANPFGNVVLSAIKAHLQAQTPANEVNMLLNGVAAGLQQDQNDHDHTFE LDTTTNNRIVEDLEKEILYHQNQISSNTQLRDDTIEALAVSEEDIRVTIQDIATNEQTYA REEATRNQQHETFVAKVAAIDDVIDAIDEAAKLIQHLSLGASFVQVKSKYETIHKRLTDN TSHSQLLQPVVAALTELATHGVNQKALTKIAQLLSEIRQQLVSEKAAKTDVEDRQAAHWA EFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHTLELENSEERLAGQQAWYA VQSEIYETQTAERAAQNEIVDRLQEHISEKLSTTAQFISKRN >CAK71873 pep:novel supercontig:GCA_000165425.1:CT868108:169526:170140:1 gene:GSPATT00008352001 transcript:CAK71873 MKEKPLIINNIFVNIQKYFFNFYYCMSAPFYTVKDVPAQDFIHAYAEYLKKNNKIKIPEW ASIVKTGLGKEISPIDQDWMYVRAAALARKIYVRGHWGVGNLTHMFGSVNDNGKHESGSG KVIRYLFQQLEQIKVLKKDNKSLLKKGSRIVTKEGQQDLNRIATQVALAARK >CAK71874 pep:novel supercontig:GCA_000165425.1:CT868108:170433:171177:-1 gene:GSPATT00008353001 transcript:CAK71874 MLNSSIYSADSNSAIKTKGNQAHLLSTAISKLGDSSFKSRNQESKNLSPVRLRLFRDSPK IYKGLEGCVVGQYHPLAPTHVVFSNDKTPSPHRSARTFRDSPERAKVKLNQFQDWNSKLD IQLQLQNNSIAKLNNQLIQQSYQPYSHKSSKSDLFSARTPTNFQKYGRQSDISSLSSKLN QIPISQIGTYQLGHQSELNALQSSLSRIMKTSRI >CAK71875 pep:novel supercontig:GCA_000165425.1:CT868108:171424:172800:-1 gene:GSPATT00008354001 transcript:CAK71875 MYVLNDECAQMCVNQIIISDICNFKTRNFDSVFGINKLIMRTLVALCLVAAVFAIDTNKF AVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKNNTDEEIFSQVIGDLTNVASLN KQQWERLGAVRTDVEAQVRDGYSWLAWAEARLAEIERRNAQLQDQRCWANGLFVKSLADH ADAIAVVQLLSQDVAGWLTNNAGVELVQKAETIADKLSAYSHLFQQDAMQKFQSLAEVKR DGTTGEQVLSILADLQAELEATLATLQEQEIHAAFALAKYVSDTNAEVAWLNSEHERRTG LVEKLETHTPSSSCLISQSTQIMERLLECSCWCHRRFGRKKRILCFRNSKKIRGKRHHRC CHLIIQGSSQSLSLINIPWQKMIRLQNIQFKNIHQVKTTSSLILFLLFLSTFHQPLQMTN QFL >CAK71876 pep:novel supercontig:GCA_000165425.1:CT868108:172865:174646:1 gene:GSPATT00008355001 transcript:CAK71876 MKTQLQRGSYGELSSIRSYPTEKLNTQSDSELIEVDMEKLNFHSYYLASDPIQLQSIQVE GELYIKDFMKKLIAIVSKKITENEQIKMLPIIGYQSSIDRMSHPIQQYFRQHEDPTFDYL TGGLEEDEEPVRFQQEQWLVQKINSLPAKLLEVEQQSTNSNRQSLTAQTFMRSRVLIQDQ AQLNNQKNVKDKEKLPGIVDLNDPLDISATEELLRAQKERDYKVKQDLKEQERKRRQKEL EEQQLKYDLISKDKKSKLYTYDYDGKLISVVTLKGHKFPPTAATLGSKFEDGQTKLSMFN KRKTVFQNSGSQIKKKQEDEKDKDTKEQIKFQSLAPLVNENMHLQQGVLMIQEGRVREGP KDQPISLEKMSDPALRMVRNEYLSLTQQINASHNQSSAQLVKAEDAQIKDFTNDHDQKQI LNRIRRLSQPSITSDGNIKINSMQIYEQVAQVIFDDKEQYPISTAKLNNNNTKDVRMMRS PTDQYNISLYKNTAWGKEVPSTQSNTTKLKGNKASAKDILSTVGVVVKRTRERRGNSETT HLPKMKNISQF >CAK71877 pep:novel supercontig:GCA_000165425.1:CT868108:174729:175973:-1 gene:GSPATT00008356001 transcript:CAK71877 MARYAKKPINKYTQPTDANRRVQTEAADIDDIDLQQAILKFKNLQEKQKTPLKNQRTKSK SPAPPQANQPIDYSQFTFTSLKVIGQGSFGIVYKAKVNETGEIVAVKKVIQDKRYKNREI QILQELDHPNIVETKHAYFTYGDSPDEQYLNVIMDYQPETLHSFNAQFLKQQQLLPEIQA KLYSYQLLRGIAFVHTKGICHRDIKPHNVLVNPDTNVLKICDFGSAKKLSPLEPNIAYIC SRCYRAPELLFGATNYTTQVDMWSVGCIIGEMFNGLPLFLGASAVDQLVEIIKILGSPSK EEVMSMNELYDIKQYKIVQIRKKEWRKVFQTVVDPSAIDLISKILTYCPRTRLTALQALT HSYFDDLRDETTFRMYQSKIQIPDLFDFTKEELSNNQSLANKLIPKWYQKRNKC >CAK71878 pep:novel supercontig:GCA_000165425.1:CT868108:176039:176645:1 gene:GSPATT00008357001 transcript:CAK71878 MSTNEQELQNKVEKFVDNTQYMKKAKSFHRQRSSISINEEQRDLTQEIAEKELQKSTLLE ELLKQISQIVDNLIQRHMFDFQNQLLHIQNELYQLKNKQCTIGSQESAIKQTMVLSKNSS FTDFNEQFLYDEQIKNLKNKIKNLKEDVNKKTQYHDSLINMLLDQQQLLFIIKLKEGFKR EFEKKLI >CAK71879 pep:novel supercontig:GCA_000165425.1:CT868108:177194:178172:1 gene:GSPATT00008358001 transcript:CAK71879 MKNQEISAYAGKLSQIYQNDSISHSQNIQLANDEISQSLANSPSMNTNLQVVLKKLQSKK IRQLYQELGPVYDIDENYEIRNFDDDSCYFGQVENDQKNGTGILVWSEVGNILDGIWVNN ELNGFCRMIYSNGDMQSGNQLNSFECEFKYGKANGFGVFSTKSKVVKGLWTNNVLNGEGQ EIRSDGSKYFGQFKDGQKNGKGIIYYKDGCKYEGEISKNKLDGKGVLVWNDSSYYEGEFR SGIINGSGIYVSGNGNSLSGYFEEISNKDRIQRIQCIHNSGSERFIEKIRYL >CAK71880 pep:novel supercontig:GCA_000165425.1:CT868108:178340:179630:1 gene:GSPATT00008359001 transcript:CAK71880 MFKVAVCALLVLASTAINVQSSIWTSKDQKTFAQIHQSGWGKFILNYAELHLTTGGILSE LNSEIEKLVDEMEEELAGVHHEFNRRTDVHNREVSRLEQEIQDKERELFNAHDFYDNVLI PQGERFAAQLEQLQENIAQNRRTLEQATVQRANDHETFESEVVEHNEAIAAIDECLQLLS TLAAPSLAQVKKVQKNLAKIQNSLKKHNQFQIFVKVLLEITSDSNFADQGALRDIVVAFN NLRVELVDSLNQITADEAEQVAEYNASVIQLNQEHAEFQRAVVVKNAEIEANTTKQEQTL DLIDELDEDLATLNGQLQAENDDYAFATDVYNATVAEYNKEINAANQALELLNQPRFQDY VKSQLKGA >CAK71881 pep:novel supercontig:GCA_000165425.1:CT868108:179696:180399:-1 gene:GSPATT00008360001 transcript:CAK71881 MVADKSFELHQCFQDVLILLYPNQLQATLLDVELASILQLRRQWLGSIILRALVCYHSCN AMIDFLIQENINTCGLKNEYKISNYGVEIVFNQIPYDCSYNESDKYVKYTGYYIFKNEGA VDDGDDQSIKYVEAYTQDFQLSIDEDWFFVLLFQCSHINVQSNGGLEYYDDNVHDGYDKR KGGVFLKHEGTCHSSQKQQWLQLKPQMILLMSRQTIIRNAF >CAK71882 pep:novel supercontig:GCA_000165425.1:CT868108:180581:182665:-1 gene:GSPATT00008361001 transcript:CAK71882 MQQSKFRTVSPVQFQYISTPSNCSVGGALKRSKNLKTQSPYSSQNKEGLYNLAQGENDKN KNRCKEIGIEVAKFLQQINKNESNFTSMYSSIVGIDNKNHEDSQGEQYQYKLQQQNQSLI KQSELQQQEIEQWKQKYEQAARQLNEMRSKYDEDIKILSQEIQAVNERITSCEQQRNYSF FEQKPTGDNLTNMSMQTFQRSLNENDNQIECNKLIDEIAEKNSIIKNLTFALEKQKIEIS EVQLRSNGEIEQLNSRISQIQVEHQNQIQQQKMKMEFYQDSQVQNMKIAYNNQIEILKEE INQLKCLIDIKNQEIQTINNQNQRLKYQIDLENNVLKQENEVLKFQIMKKDQQYLEDINQ IKNDYFQMQKNEIDSIKQNSQRQISVLESEIKNLKEFLSANNSEQQQLMIERLRQREYYE IENQKLNSIIEDQNKRLLSQRIQSESINDESVKKMQEIQIQCQLLLEETNQKMEQINREN VFLKNQIIHKDNEINNFENIYKKLNHYEENLLKLEEENLNILHHYEQQDKQKQKEKEQQI YQLQRNFENQLKQYDFQLQNLINEKIEYMQMLEIKNQECIDLSLEVKNLQLKQQNIRIDN ELLYSKLISLSNTQESNRVLNDPFNNTIQFKRMSEQISLLQNELMIKNKELTQMIDKYQQ LEQIACQNQIKQVDQSLNDLMKKQIRKSSQVLNQ >CAK71883 pep:novel supercontig:GCA_000165425.1:CT868108:183642:185167:1 gene:GSPATT00008362001 transcript:CAK71883 MQISKKAHSSLNLANNDLLETPDKKPTNHHVIRSNKQEESAIKEPMVVDVSTYNHPPNLQ KLIPVSHIFGAYKRVKNVITRTPTQKSMKLSEQFGANVYIKREDLQIVRSYKLRGAYNKI ISIPESERHRTVFCSSAGNHAQGVAYVCNLLKINCVIYMPTNTPNIKFNAVKSWGKQFVQ IELVGDTFDESFRASKERCNNENGIYVHAFDDEKIIEGQGTIAVEILEDIPEDQIDFVFF PVGGGGCGAGISSYFKQVHPETILIGVEPEGSPSMYEAIKQQKVVELDTINTFVDGAAIK KSGAIPFEILNSVLKDIFLIPEGHVCTTMMKLFNEEGILVEPAGALAIAALDRFKDEIRG KTVVCLISGSNNDLGRMTDIRMLSEIHQGLQYYMFVNFFQKPGALKQFIIKCLGPTDEIT NLEYTRKNNREKGPALVGVKVKKPQDFEAIKSKMEELKITLRILQPNQEIFKMLL >CAK71884 pep:novel supercontig:GCA_000165425.1:CT868108:185366:189881:-1 gene:GSPATT00008363001 transcript:CAK71884 MNGLSKLLNDIIKTSIVFQQFTLKLPTLIQLIFGFLAQLQMVSYLEQPAYEPCKSFTIIL FLTRPHIYIFTQGNYEITFGIIFLLIQIIIIVWIYYCIAFNKKKQNNIINEIQFSQMNST KLQAIISIISLFLFINKVYLGHNIIEFCMLHLATKDQSSIIIVIISIVLILQLSIILFIQ WQFLNRSIRFIPQLQYLTINQSDTNIINLYLKLLQIINYAFLQNKEAAKVAQAVLIILNF IHKFWQVRFNHIHMRNPYLLIIIMTGSIGFLMAVLQLLDSIFNCFTLRLWIPLAPINLFI IKILLDRNIQQILINKPFSEITITELKYVSCIIEQGIDTKKLENQIFLFQYVQVFCSKNE ILFQYEQLLSQQIIDKIRQHMLQQILRQLENLADKIRNPFYLGQIYINYVQTLIILDKYV EAQQIIEQLFRFQSNNPSIMNSRTYRLTIRVELSNSQQTFLKILECKIIARMQNKMVQQT SKSKSNHQTISLAMKQLSIINSNTIDVQTMFLNVVISKIEFFNIILNDKTQQNQFNRKVQ KILFEMETFLQKLKKNYELFPTISNQSVLMFYQVEVLNNVLDAYNFSQIVALDEDIILKH NQSELFNFFNQSFNFALFKIIDVQNIQIEYHQITQGQHFTIKEGEQLQTLIPQSLRQQHQ LLVEKFLETGQNKYYQTIGETFIKIRQGIIQPIDICMDLNQKNSQNIEIITLYRLPQSEK HIIFVDSNLRLQEISHNLFFGGLNLSEAYLDHIIGISIIKIIPQFKRYIEEKTFDIKICP INFINGNEEDEQKGKTRTFNCLSDIENITLYSCHLSITQRFFQENQYIYVLRLDNLKLDK VNSITQFRCQQNSENPFDIDEQIEINLPDPEEEYQKQQMILNTERQEMQIELLQDNNDFQ LIKEKKQTETSFPRFSNKINKKLENFTNLSSIAQVKRSPYYQAFKQSSIILNSQKQSKNW VAFIIIYLIYILIAIIFSIIIFDETYEFKSLISHLELLSIKNEVYQPIDSFLVTRYTIVN YNQLLGLKFIDDIEYAHLVQFPRSNLLSGYDLLKKSITKVLYIPQFQPFLEENYLILSLY VTNNTGVNYNISFRESIHLLLNYQYEYKLAYTVKPLLTDGPYFYYSYKNSLILYKKFVDL ENFTLEHTLIYSNQKMTIIRLYTTVFGVISFILIILKIYHLKQIRNAKNKILSILKNQDL HQVELEIIRLKQITLKIQNSRQIIYGYSMDLDNIDKSLKKKQSNFKYRQINDKKLVSLNI FRKAFALLFHYLIYFLVSLSFYLILHNQISYYQNVAQFYQKISDASVNVLILYAWKEALY FKATFTFFTSSEIKDLYLHVEQSLDSLKQFNQDLLQLDQIDQIFGPSNQIVEKITQISVC DNLSEEYKKKASGICDKVMQGALKGGLINALSYVINSISNEYQATHFDTRQSLERLELEG STLLSDVLSKFSGFIKEQFSLQITDLQYTVYVKLCLTVDCSINLFII >CAK71885 pep:novel supercontig:GCA_000165425.1:CT868108:190064:191779:-1 gene:GSPATT00008364001 transcript:CAK71885 MIQALTKMDPIQQYYVNDDYIKSISQREIKTNTSYSPKALIKGYWKKNYSPFVALNSSNQ EKRITLPQINAKSYISNDSRNEENQNDVTSSFIHNIHQEPNLSKYQIKPACANYYQMRKE KYSVKIPGKIIKGSFSHNKNIKFRSPKQNPNNGLTIEQMGIKQLTQANAKRKSSASNNSS FENTFPYNQPVYELSKSPKTQFIRPITSLPKQTSEIQIKKPFINTVLLQLEQLKITNSQI NNVVLIVFDELLGFCESSYYGFQQDFLQSQLYIDYQHTKDHYFSKLVQNSTIYTLTNLKD MIFVLSKNFQLIFLTKHFQNQLIEYVNINRLPIAAIYQMKDMKKSSINLKALNCMEILND LQLNRPSNIIIVQTYETTDYNSNQNINIYEQSIIYPYGIENGINHFYTLILPNLTMQCLL KNDQKQSHQLFHSLYYIEQFCQSLLVNCLFDRKNQNFTRFTNSFYIEQLLEKIEFKLNQI DNLQSSQNLQSQKYSKKEMIIRIVEKFENPQKYQELSSDLVFRNKKIIKRIGRYKQESIN LLLNVKEQLSQEFELLNYCQTLLENCYYIIL >CAK71886 pep:novel supercontig:GCA_000165425.1:CT868108:193570:194074:1 gene:GSPATT00008365001 transcript:CAK71886 MKAEQQQKGATKTVKKVKVNKKAPVRLWVKAAFTGFRRSKVQQNENQALLKIQHVDDVSS SRFYWGKRVAYIYKAHSLKNNTKFRTIWGRISRSHGSNGVVIARFNRNLPPRAIGSTLRV FLYPNRA >CAK71887 pep:novel supercontig:GCA_000165425.1:CT868108:194081:195799:1 gene:GSPATT00008366001 transcript:CAK71887 MSQPPQASQSKLPKVISQPLCQAVSDAVRTSSGTRGLDKMILDAKGQVLISNDGATILKQ MDLVHPTPKIVFHSILQNLVEIYHAQDVEAGDGTTSVIVFAGALLKSCEMLLEKGIHPTT ISEGFQYAQENALKALDELKKQVDLEDKQQLIERVQTALPSKVVSSNSEQLVPLAVDAVL RIVNPLKSNNVELKDIIIVKKLGGTIDDTELVEGIVFSNQKASQTAEGPQKIENAKVALL QFYLSAPKTNVENSIAIKDYTEMDKILKDDRKYIIDLVKQIVASGANVLLIQKSILRDAV NDLSLHFIAKKGIMYVKDIEREDVEFISKTLCLVTVAHIDQLTPENQELLDLLKLMLRIT GVPAQSKALKILVRGSNQLDLDEADRSIHDALCVVKSLVKCRGLIPGGGTPEIHLSLKLT QKANTLAGARSMSLEVIPYTLAENAGLNPINVVTELRNRHLKSQISFNIIIQNNIVDDII TEQMVQPILVTRSALSLATECIRMILKIDDLVIPARLCLTYLYIMIKWIN >CAK71888 pep:novel supercontig:GCA_000165425.1:CT868108:195860:196468:1 gene:GSPATT00008367001 transcript:CAK71888 MFKNSRTKQVNQPVFKLKQFLNTIVVPCSSKDPTNSLKNSIESSRFASYHNISNSLRKNI ILNQHSLLPTNSNRKSLVIETNQTSTITIDHVQQEYNNKKNTKQIRKHFRQLKNLSIGQN SNDNLNSTNEELQTLQSNKNRATKYNTNNKNVQQKSRKQKLIDFLKGENQGIQFTQLLQK KYSKQYTLFPFFTIKKYQELQF >CAK71889 pep:novel supercontig:GCA_000165425.1:CT868108:196503:198229:1 gene:GSPATT00008368001 transcript:CAK71889 MLDNPKIQLKTELTLLGMEYLINIQANDHLLYIELESKYAPQIWKNTYTIDYIEELTRKT GNQKKFNVFLSMLQTAIQKTNENIFFEILTYQDLENLKQQKQQNQSHISRTSSTNSKINK RYLILSYKNDLEKVHYPLALNYEEHIENSRLMTKIQNLKTELLEHKSQKQNDSEFQVSNL ISISKRDNQTFDSLVNQNELLKAKAKRLEEALTQKKGAVEVDQLIRDNEDLQNLLNTSKL LYEEKIQKLEQQIDLKSTEIVLQMSEINAFKKELSRLIGQVEMDNHIKEKIKLMNEDEES KLVKAQKTIQKYEKEVEALIQQIDSLKKQDAVQKRKINQLETELSQSMKRFSYKGVTDRL YSSYSNHSNASKKSNYSVPKRENSNNSSRNASPNVRQTSPILNKNSHSSVQNSSANQRPK FYNTSPMNKQPIQKKSSPARPQQQIKPNPIRTSPITQQKPSPGRNNIFKQPSPPRQYNQQ HRVSQLQQTKQRTNQSANNYKQPIKQVHQYDWKSGNVTELDNKINNLKNILSKAKR >CAK71890 pep:novel supercontig:GCA_000165425.1:CT868108:198246:198941:-1 gene:GSPATT00008369001 transcript:CAK71890 MAHILEIFKKAIEQKTEEQEGKLMEFDLDNVKIVKLEKQVAELLESQSQLESLSLVECSL KTLEGFPKLPNLQNLVLETNQLDGEAIKFIATTYPKLMCLSLAENSIKTFAELESIKQLK KLQQLDLSDNPIAQLPGYFQKVFDLVPGLSVLDNKDKNGNDIEYSDDEEGIERDSEESDD DLDEDDGDLDDDDEESSPQPTKKTKK >CAK71891 pep:novel supercontig:GCA_000165425.1:CT868108:198960:199562:-1 gene:GSPATT00008370001 transcript:CAK71891 MRKVTAKVLVVGSSAVGKSALIQLYTTGEVNFLKDYQLTQIAEISTKLIPFEQEEKDVEL YLFDIAGSEIYEQAILKSNILKDANFVFLCYDMTKETTYEAAKEWFERVTKANGKKLPGV LVAMKSDLQAIRKIENKQGMQLASQLGLSFFSVSTARNQDIDHPFQHIAQQLIK >CAK71892 pep:novel supercontig:GCA_000165425.1:CT868108:199610:201007:-1 gene:GSPATT00008371001 transcript:CAK71892 MQSNYIIKLDRLQTNNQEGRRHLFRSYKGLVYKNKPISCYQMHETILNYYQFCCKIMIIQ LNQQKCSMMNLLGDWLQYSNQWSKTFTNIQRVENYMYQLLKAIDFMHTNNIFHRDIKPQD YSQISSENILLLGDHLKLADLGSCKGIYSKHPYTEYISTRWYRSPECLMTDGYYDCKMDI WGAGCVLFEITALFPLFPGSNELDQVHRIHNILGTPNPKVLDRFRKHASHMEINFPSKVG TGLENLIPHAPKDVVDLIKQMLIYDPDERINAKQALRHPYFKELRDLEQQKLLETSLQSI KLLKKADDSLIEEDQNTSHVMQKKTLLNQTNKILQNSFKTRNQQFLDSVKLPTLTKKQAD LKKAYGPVHFQNKETKKKSVQYEYVLYGKKANLGNFLNTIRQK >CAK71893 pep:novel supercontig:GCA_000165425.1:CT868108:201158:202042:1 gene:GSPATT00008372001 transcript:CAK71893 MYSSFITKKPIGYSLLITCMILDLFIATSQYSNYCEFFNKPIYKVSSDNGITIYQTNSEG CVLSQNFELTYMGLSVSDQNAKSEVDNRITILNSINTELFAYLSLFVFYFHIITSFLPLF LDFILSISEYWAYKTRNTLMISLIRNLIVINWQLMQPLLLLTTVVNSITYKECFEVQDLR FLIPIYTTEWAILFIVVIVLETYTLFFFLVKWRNEFPCYLLIFQMFHFGIFHTVIIYNMV KGSKKLLQVLFTYKQWVTVFEIQKLYLEFYVIQERFRNHRIQQAKFAQLYNQKF >CAK71894 pep:novel supercontig:GCA_000165425.1:CT868108:202473:203837:-1 gene:GSPATT00008373001 transcript:CAK71894 MSKRNDKLSQKQTQNKWIDKVVCKNYRILSPLNQGQKSSYFIGTFGHIYLAIHQIKQEYY AVKILQTGKNPNLAQSVKNEVDVLYKLNGNIGFPRLYYFIQNGTESFIVQTLLGQNLYQL LMQTPKKVFSLKTVLMFLDQAIQRLQYLHMNDYIHRDIKPENFMTGLKEDEIYLIDFGFT LKYRDQGQHIERQKNENVIGTPRFCPICSHLSLSQNRASDLESLGYLAVYFLKGSLPWMH IHAETPEEKIKLIGSKKMNTSIEELCSGLPKTFEDYFKYIQNISFDGDPNYEYIQKSFQK LYQTLGYPFDSKFDWTKEEIRNSQDNEKNINKQQQFLDVGRKSFTADVNIEELPSQIQKK QIERIHHLKIQEDLFLMTDLQLDEIQEFEPGLTLFERMQQINKQQLKKQK >CAK71895 pep:novel supercontig:GCA_000165425.1:CT868108:204219:205966:1 gene:GSPATT00008374001 transcript:CAK71895 MIKVYNPELLISDAQDKLTQLVRDDFKPFILRCEQHKLCVLENENLILFSVLFDKCQPII DEALLTITLVSQKNESKIIAIKFADKKGMSYLQHYINSRKTYTKYMGPLAYQPVRKERTS LLSTESPEQNFRGILNLAILILIANHIRLIFENFQKYGLLITNVFWKFEMVQINGNPIMQ ALLVLIINLLSGFGIQYLQFKRDFNPRFIRIFNITNIALTIILPCYLIQGSHPGLNLILL GVQFIVFMKLISYAHFLRNTYFYIQRIKQVQNKKVSLDNFFAEYEVNGENLKILEKYAQN QSRILDFQHYLYFLAAPTLCFQLSYLRTNSIRKVWLIKRIIEYIFVILFLLIIWFQYTEP LVENTYKMLTKAPTLMVLADRLLKLAIPNTYMWIALFYGQFQCFLNITAELLRFADREFY KDWWNCKNLEEYWRLWNLPVHHWLVRHIYFPCLKSGMSRTTSNLIVFLVSALGHEYIVSA SIGVIEAWAFVGMFAQAPFMLMQKKLEKLLKLQDSQLGNLMFWMTFCFIGQPIMIFVYYF RYLEKIGHPI >CAK71896 pep:novel supercontig:GCA_000165425.1:CT868108:206014:206286:-1 gene:GSPATT00008375001 transcript:CAK71896 MLQVDELESDRIFQMTLIECLEAIARIADKASMPGIDAVDLTWDQRVVQPLNLKLEKMII QLANTCASEEYKLQYGNINKSMFTVVEEDD >CAK71897 pep:novel supercontig:GCA_000165425.1:CT868108:206485:208818:-1 gene:GSPATT00008376001 transcript:CAK71897 MNPSSTHLSRNASYSMPHESQINPQFLAKIAAMVPYNSDNKKKFFRVDNVLKPKKKPDPL EEELENEIRKNFERRFIKQTFSWTLVQLYYQHYKKVNKVRQQNEFFNISDSVQTAKLSQA EQIDVLPCQIGVVKTKGSEQSLQISHQKYGDRYASMLSEGLKVNPNIKDFYMANNRIQSS GATKLLNQIGKVAKVLDLQQNNIGKIGTDSIWQQIQSRENKLEVLNLEDNKLGDRNVTRI LKALLNSNNKLKSLNGSKNYLTNDIAEIIKESIIQLDNMEQLYIHWNQIKGWGGQKIFEG LIENKTLLVFDAGWNSFGIQERKMCNVYRRYTIFCLLIRLCCILIYHPINLIQQMHQNRT IYGFHFVNNQGVVDARGFLQRQKNELQRVLGETPRIKGLQQTTTIRQENVCWICEGLQVQ KFTWTPDASGEGEADPLFIHLDFEAYKQVYIPKIYDQITLTLMIPTNTTQYIYTMNDTQV IANDQPNVPYGHQLKINYNYNYISVLMDNVNQVKKDKHFQTFDQVEFKPLFGVLPRTPDP VYIPPKLKKQKRYGHFPFLFGLKILNLRKGIYQRNALKKTFLVNPDEFLEIKTVLWAHYK LIKETYKQYSSNSPTGDMWSISSNVITEFAQQTELIDGKTLKLSDLELKFIATCAASIEY KEILEIQNELFVDFNLWSFLQVFQRISTWKLKGNKNDIRAMQTYMSIFMHTSGGMKEISM SNLTIA >CAK71898 pep:novel supercontig:GCA_000165425.1:CT868108:209073:209521:1 gene:GSPATT00008377001 transcript:CAK71898 MSKISEINIIDGKRKRVMSTDGVLACVTTNLLKTTNQKQGIKQKKPLKQKKKIVIQQESD SSAVQVVEKSQKETEEKVRITKSALTELISQHFLIIDDEEQKQQQPKKHVKHQLRDSNSE SSSSDKSSSENIPKRYKHKA >CAK71899 pep:novel supercontig:GCA_000165425.1:CT868108:210561:211783:1 gene:GSPATT00008378001 transcript:CAK71899 MQQQSPPPKIIQIDFSTEMLGKYASYRIQVDQNIVCRRFNDFVHFYEALRANYPGVFVPR LPEKQAMVTLQLGSQGNLGEDFLRVRRRMLQYFLISIFKRKILWNSPETKQFLNEQNQTW IPINNESTSLEEKYKTNLPDCSNLEITLDMKCQFYDFQSFVVKVKPMIENFKKMCNNYVT AKTNFNTEKLIFVNYVLPEFEKNLLFKSDKKFFEKIKPLDLMKNQRYDQVRKFQSDQILI DLSILESDIESHLIQFEQIRKWEDRILKYEDKIRQCQLDLQQTINNQRNTVAKLLFGSKD KEILRLQNQIEQYSKTIQELKVLINITMGQVCLFEIPDFVKEKEQNLFKLIKLIGQLEME QVEHSGFSFNISN >CAK71900 pep:novel supercontig:GCA_000165425.1:CT868108:211788:213465:-1 gene:GSPATT00008379001 transcript:CAK71900 MNQPQVPQIEEQSISKSKMKYLKKQEEKDKKIAEKEAQKEQQLEKKKPSIAKQKVEQQAE EEVDPRLFHENRSKQVVALKQTKEPNPYPHKFQVDLTITQFREKYGPICTEKGKIYEDFV SVAGRVVTIRSLGAKLMFYDLQGEGTQIQVMANEANHKDQELNFEKIHSLIKRGDIIGVK GNPCLTKAGELSIAPGFIQLLSPTLHMLPTTHFGFKDHEQRYRMRYLDLIMNKKVRDIFL ARSSVIKQLREYFDGKGFIEVETPSLNVIQGGATAKPFKTFHNSLHRDLFMRVAPELYLK MLIVGGLDRVYEIGKNFRNEGIDQTHNPEFTAMEFYWAYCDYNDLMTVTEEVLSQIVLKL KGSYKFKIHKGDNPTITLTEHDIKSGHFKEKEEDFLELDFTPPWPRVSMMAELEKKLVKK LMPSLMHKLKNIRLNALIQELLLDQLINQLVISWKSILRIQPFQLITHNQ >CAK71901 pep:novel supercontig:GCA_000165425.1:CT868108:213691:213813:1 gene:GSPATT00008380001 transcript:CAK71901 MNKYNPRPANKKTMVNDQDFIDELEQFLDQLDKTKKVKIH >CAK71902 pep:novel supercontig:GCA_000165425.1:CT868108:214551:217252:1 gene:GSPATT00008381001 transcript:CAK71902 MNFTIIVYLLWIVINCLAQQISQTVEIYGNEKLLNIQIILSSQFDFQLTFYNNKTHSFEI LNCSNLDRCGCQSPKFSNFYCFENNGEYHFHFSKQPYVNGDTIIKKENKNQIQNNNEIES HSSNSHFRILDLEEYLNKSLAAQTVTYTDSDLSSSLQLGTYELYMKWEIYTNGSFDMAID YNQLNWIGFGFCPTMSNCDMIILYLSQQTVIVMDTFSYGQSTPRTDKSNDINIVSYAVNS TGYKVRFNRKLDTGDTSDQVLAKGDKYTFCVAWSGADQIEMHSAWYNFDITFDNGIVGQA TLSNGDNILFYVHAVVLFIAWGIVADFGIIIGRFFKSINSYLWIHAICFIFVDLSTIVLV VLMLFVGGDSGNTVEDGALEAHKIMSIVLGLAVIIQHTLGVVVKHFLESTGKENRQALFT IRMIHIVLGTVMYLAAKVVIILGFIKNEEKLLLVLGIIWTVLLILVRIGLEIYKSFNSSI RTKIAPQQQQPLSEQQTKLLKLLQGNHPTASIIKELPQIKWVLFNSDVYDVTDYQHPGGN FIISNVNGQEISRYFYGAFQLESTTMEPYTHSQFAYQTLLKRYVGTIQSVNYNNQNPGSF WDLIEKVDLSKNISLFNFTNPNFQINLRQNVLDLGQHFSVSLQEVGGKIRMYTKVLCMSN PYQTFREQVIKYNEGKITQPPLLQFDKINTVPLIIKKYNFPKALSAQIFEHQGNFWVQGP FGRGLELESKSKCIAFVGGTGLLPFLDLLDHLLMKSIYLTNKQKQQQIQQFFPQYDQLEE SFEFTLLASFQNEEEFIGKDWIRKLYQINQTNNLKLFNMQIRYSDSTLDTVIPAFRSRFN EELVRQHLGDFNKKVYICGPPNMIYSLTQIISKTEQDQTKVMIV >CAK71903 pep:novel supercontig:GCA_000165425.1:CT868108:217763:218709:1 gene:GSPATT00008382001 transcript:CAK71903 MQKILSLLTTALLLSGLAFYSQNEESHSFKTWQKKYNKFYSSSEEAYRQIIFNQNVELIN KHNSNPNKSYSMAINQFVDLTREEFQAIYLGKSTIVKTENIELSARKNFEAVDWSSKLFP IKDQFNCGSYWIFSAVGAVEAFLRVKKVLKWSLSEQQLVDCADSWGCYGGDADFALDYIV NTGIVYELDYPYKGREGFCKVRREGEVKISGRERIGSNEDDIKQKVQEYPVSASVDCQGW AYYSKGIFDEGCTDHRSNHDVVIVGFDKDGNWKIRNSWGVGWGEQGYMWLKSGNTCGIMN RVDRAI >CAK71904 pep:novel supercontig:GCA_000165425.1:CT868108:223472:225035:1 gene:GSPATT00008383001 transcript:CAK71904 MEQKNPYFIDYQSEIDKIVETIKQSNKPIRYQIMNATKSNLELAIKLHPVIIHVISHGDY DEKSACLYLEFQDDGISTKFQTHEIQNMIQNHKAMTRIKLICISSVVAKNVADYIPKSIS SVVFQKFYNKEDEEGPAFWGMFYEKIFSDFTIQKSFNEAKQKLGSKFWENKNNRFICCCF HKHNEKCPYDPASIGYEGSHNQHLSCAQQKWSHILEKHAQVHQKQCGLNCLGMMTHKCSQ LEFDDWGCQNYSSRIENILKKLQISYQDDFRQNLLFKNELNCCCCDQLSGILSIVDSSNI IYENAQHTFEQKIQLHLYDQNENNLNFTEKIENNEELFTEINYIKIDKQIILVHSFDWQN SDLQQYTINAITSYFEIYLKQISNKKQIKRLSINLKDYNINNWIEYVASQLNLHYSSPQD FFIKFKEYFINLQNQPQYLYIFLIENIVNIYDTDQFKKFYEEIQNAIETHFILIFTVNNI EKNYLKLLTQDKLVQLIDLKQINSLIDAEIAQE >CAK71905 pep:novel supercontig:GCA_000165425.1:CT868108:225855:226492:1 gene:GSPATT00008384001 transcript:CAK71905 MDDFFEDNQTHEISNGQSGGFQEQQYTAFDAFENGTPPQGITFNTYVSPEQQLRKDKLRL IEDERLAQIREKDQQESLLKQQQKQKAQEYLQVFKKQQEADIAQKRSQNKQKQEIWLENL KNHYQNKNSWEQIASNIALRDGEYPGQKDVTQMRQAILNKRSDLTK >CAK71906 pep:novel supercontig:GCA_000165425.1:CT868108:227154:228186:-1 gene:GSPATT00008385001 transcript:CAK71906 MKRQNSANATKSPSKYFSNSSNQFSEILRQITRNCNNKPIRKASSPNSNKQMQQRVKTQI SITRNPNQNIYNIKISESVPKNKLQYFQVLEQIGSGKFGKVYKCLLNQTKKLYAIKMIDK LKLKTKQMMHQLQREVAVQQMLKHQNILQFVEFFETKNNYCLVLECATGGTLFQSLMKQQ NRRYSEPAASNIIKQVACAIQQMQKLSIIHRDLKPENILWCDGQIKISDFGWSVQDKKER DTLCGTIDYLPPEMVYRQQYDNSIDLWSLGVLTFELTTGRTPFQVQEGFNLQFPDYLSGD VKDLMRGLLTDKINRKPIEWVLNHVWLS >CAK71907 pep:novel supercontig:GCA_000165425.1:CT868108:228212:229341:1 gene:GSPATT00008386001 transcript:CAK71907 MFCCLGRKKRDNNEMEKKNSKKEMVERFILQNCKSNDEDSFDESVDKSNMIIIDYDNNQY EKKPWSGIQWQMIIQTKNLNQIELDELKRNVRFGILSNLRPATWFWMTDIKNTQMKKHTS NIYQKLKSCESQYDSQIFKCIKQLDKKYNDLVFDILRAYANYDIEIGFSKGIDQIVEYLV QQLDPEQYINLDYSFNQLNRMCDFYEQMVFWMTIHILFHLNYRRILKQNISFIETEKFQN TLMPSIKCLIEQLNVKISELIEVPLLFFFQKQLKKDDFTKLFDVFLFEGESILLQILLKF FKISEDLVCQFQAEADLKIFLNQTLIPYGISKIEKTNQKLMYFLL >CAK71908 pep:novel supercontig:GCA_000165425.1:CT868108:229374:230657:-1 gene:GSPATT00008387001 transcript:CAK71908 MIRLNLLAGQVKEKIAQIDPMEYLSLYDLLTPEELEVRKAVEAFVQKEVAPKINDYVEAA QFPTEIVNAVKPLKLFHHFLNKPYGHGTSYTKQGVILMEAARADAGFATFIAVQNLLLNY TLEKFGNATQKQKYLTKTRDVEYIGGWGLTERGYGSDASSLTANVKKVQGGYILNGDKRW IGNGNKDVLIVWARNLDTNKVEGFIVETKWPGYHAEVIQGKLALRIVQNCQITFTNIFIP DENKLESVTDFQNGPNQVLMHSRVIVPWIALGVMRGVYEHSLKWTTSRKQFGKYLASFQL QQERLVRILSTFQASFLMVLRLSRLAAEGKATIGMISSVKAWVTDKTREVARLGREMLGG DGIISDNYVIKALTDAEVLYTYEGTYDINSLVAGREITGIGAFK >CAK71909 pep:novel supercontig:GCA_000165425.1:CT868108:231049:231434:1 gene:GSPATT00008388001 transcript:CAK71909 MDLLQGRFQNENSDGDSLRQSWTTEDSLSRTSSYDAAEEVNDLEERICQYLNDLNIQSFT NPTLKQEMYNLSLKQLLFSKMISLSNTNSSSLMKKRSLHFAD >CAK71910 pep:novel supercontig:GCA_000165425.1:CT868108:234001:234549:1 gene:GSPATT00008389001 transcript:CAK71910 MHYQYKILQTKQSLTYKQFYEKILWLFVQSSEHEKKFKDKSILFGWYWIATNLLWCFYFV KFEVILREMEYREQVVQNQRQGKVDEYLQIPTYLDVERLCKESTIILTIILFIGFCFPFA CFILGVISGKKEKNEKNQSICLKVKIMFAFSFILYLSIYITGAYSNHLFKLNLKFCFLII KF >CAK71911 pep:novel supercontig:GCA_000165425.1:CT868108:235092:239323:1 gene:GSPATT00008390001 transcript:CAK71911 MQERISSSLILNKIYYLYQLNASSSGDKKYIIIGLIEKHLQKGCNSKLERKQQNFDQQND PLFDNNSIGYCFCQQHQFYDARRGVFVNSQKSKVQQHYKSFFRFEIKSLYEHCLIQNKDN HQMRLLYARHLFFSIQNKSQALLQMSILKQKFNKLSFFEKLDFHLMLMMMENKIMIQNTN SYRNYLDFEYVIEVEMIIKKIKIKIVDLIQNYLKYWNIVNLEKVEESDLLKLDNKIQIGI NECEKLWSKINHYNKEFDVQQNEIKYLSKKPQWELLYLWYKRYILNQKMKGQIFDYHPTS NFQQNYCDEDSDSDYENHFLQAFDPKKVFHQMTSIIFAKENGNVVKFNEYSKQIFGIQGL ININQIIPNSMIRNHMLRVKEFVEKGKTSSLYSRKKVLIQHHNSYLIPANKYLKISINQF MLLEFIVMIRPIQHESSGNYLVINEDWEIVQATKQLKNMFEQQFNLLLSCPKLLLYSQFQ NLLEKDDYLLFQIENNKNYGFSKQQTTQSDLQRQKSMLNDNVFDEVDQDKQFTEQFIKEH EDDLDERQRLLNSNLQNQNEQYFQILIRIPMLYQRMQAEYFNLIINAEKENFYDYPEDSI MKKQSIIIRNGRKKIAFNFKILVEKINFLKKLRLVSQLEVYRYLYRKYFCKADQLKRVIR IDAKIKVEKNQLIDKIQIIKINRFETFNNIKSKRQSMLLKGDVNRKTLRIKLEPQQQQFQ KQISQQFANLPSLKDIKIPSNENVLNNSCRGLINESQMKNDFNDSIAQEISIKPDYNIFI KEDHNKIKKHLNKINYFKWTNRYFMVGMIFLTMLSFSYGPNVSYTDLFLLRANSSYTSVL VAQDVSVIYNQLIDMALCNKNATLCSNIIQYTNIYDQQELLDQKVLLDLLNVNKSFNQFY RDKYNIQTIYSTIIIKHDNEIHQQNLLEDIKNFQVLLEQLLISNLSQITFEFEYYEILQE DLMPGLFESLSQIQIDLLDEIYQEFDFRSVFFLVDMISGFMFLGTLMAINFFNVFKIIEY YQIMYSQIQYFDQPTIENVIKYYKKLRQYFNYFNDIHTYQTNNYISFQSEGFIKAEADYK MTMALKTTKFIVSDYWFKLKLRLMLLYFILMFLLIVMALTYNIFMQKYAYQLKIEISQNP FLFENTSPWTFTFSKELYIESFYDIHKIISNEYQEYLEQFVVDALDTNSNRLESKQSLID DYYYSDICNLLNFQNQTECQNIADGILKRGLQQTYYQMATLFESQLDESKTKFTEISFDS IYNLNLLQPYIFASRKEMWTIWINKFQEIFSYYVITETQLIICFYIFSFLIYLVTLELYY EQQLRIDYQKVRGYYKRYFPNNILNEQKRIKAILKKLSLIG >CAK71912 pep:novel supercontig:GCA_000165425.1:CT868108:239371:240598:-1 gene:GSPATT00008391001 transcript:CAK71912 MITFQLTFGEFKLPTQKQEQNYILLNKLLNIKLLFLSNQKITLGKSNILWEWLKFIYPSI LSSQKGTEHAKNQLIQQVYEQTKYDILPRIENFNIEGYSHENIQQLIKEMHDNIAITVNP YSFQGDSIQYNGKQLISNYLTKRKNKKELITIPITNQIIIQVVAKDEFIRREKYSFLFNQ IIEDSSTKYWTFLIDKESVSSIKDDQIIMDLYEMTKDRLGYHVQFQSFVECYFNKLANGL EKATKKSISPKMCIDIGLQWDMLGKVIKHIASYIKFTENICFEMAEIDQRKSINIKLEGF DSIFKFGQAELLVANNIIVVKLNIAMITNGNYQQLLQTQNRSKLNNSEIKESVDIQQYLV SIFNEQQLSQL >CAK71913 pep:novel supercontig:GCA_000165425.1:CT868108:241474:246071:-1 gene:GSPATT00008392001 transcript:CAK71913 MIYQTIKYIYEQSRFHQNFHGKPSIEERHQCLTTPHQYTKTCHGLVDFMFKSNAKYPLKL KLLKVKNYEEQYIGEIDLSVSDFVDFEVVQEYQGKMIKETFVQKEMFKVYNDQSASIGQV SLKIIQFCSSSVPSNKSSNIPGSPKTLEQRKKHQQIEEGKVKGFDWGSSKDEEIIIQLIL ECQKEMASKINQNLEEVVQRIKQMENTLCGLLKESCFAPDIMGRRNALVRIKRIRELLDN QISGLLKQSDPAYLEILVQLRSTTKYGRIKKTDIESALFHFGCEAAKLLLLTVDDFGMCL VDYMLDSDSLPELLYFFNQLGLNEDVQLEMFAQSLFQNIKERLRKNHYLKALACFINALN MYPNIGLIIAQYCYKFLKIHKFCHLFLLEFNIHDYQHVIVLSKLRKLCKKFLNPELPFMQ SMEEQYRKAKQETYFKIPILPKKVETQEKFDLLQLPELQQNIFHEIVRRKRWNLFARSWK QFEQFVYQQDHQGISPMMMYLENAPLDQILNIDSLQNQTSLSGQTSLHYLIMNPDLSVNS LQACINKFNMLNDKVWLGFTPLALFLDRLVYKQKKHKSRIIKELKAAQETNTNKGVQVLE ILSQTVINIIKHFNFSKYLLYCQNIKKDYFDEDFKKEKLKKPFQNQQQKNKQIIEWDHFY YQHTVPAMILCKLDESIQELIWQQFDWINWLCSKQNYPYETYYNHTLMVSLAKTTQTQYI YQYLTKDVQITYKDNDNFSGDKNNTFDFLPIFQSIYQIAEITTKLKDDIQKKYKGIQDIN KKKEDEDDNPKKKIKLMYQNLAQQKYQQVLDQLMSEQQSTEGMLQNNFKYQGVVNDLMVA KSLNFGKLFRKAYDSKFHDMLNFVDILLKALSHNNMPAAHMALFFAQLRMNKSLRVAQLK TNQQLYLQSLNTHWCIELDFASFQAMINDIKDICQQNEVNFVILILAMNGRFNKLKLIHD RMTNQNYIIQALPIAAHQINTVRNALYYENLNKLLQECDVKRLYPEDNLDFFKPPKDKEK PKPDPKKPFDKAKPVFQKWIIVQGALTTQLQQIYKYAANSYQRVKSEFSILAKQYQQLWY QEYSYKLRRLFPRQNFRSVFYSSKQKESDFVKTIELLIEKVLQLKLTPSDDFFNYVHVHD KFSELLSQSPNQNICIVQAFKSMINLFKYEQSNEVLSRCINFLKKYLNSKIFKDLNEPDT FIRESQLLTNYLSKSDPSSTQCKFACEVLILMDQNFTTQEFETLNIIQQPQQLASLLLKY KTLEKRTLGFIQKYLNSSNNQAKQALPFNESNMRYIVDLAKQGINLINENALEFLITKGL FNYLKDYFLSSLKPKGMLNDDQKQFYLGFAFSSGSQETIQLCLEDLCSLKSSELKQFMLE GNLLSRAIAKGSQETLLYITRKIMKQLTFSGKEILKLLLIQENIAEPFQLDKQKRSLFTT IFLLNYDIFYKEFFVDYLQKILPQDYKNIMIKILNATVFGLYFNQLSIVDSLMQYRQCYN >CAK71914 pep:novel supercontig:GCA_000165425.1:CT868108:246189:246476:1 gene:GSPATT00008393001 transcript:CAK71914 MSSHLKQSINTLYLFNLIGESFFFNAIIQMYKLLGYETPWTPYKDVYYKGRLDICLDVFT RKSLDLVYILNKYNYKTQSQLLSIINFHQISIYIS >CAK71915 pep:novel supercontig:GCA_000165425.1:CT868108:246631:248112:1 gene:GSPATT00008394001 transcript:CAK71915 MLSIFQVLKNGICTQKKKKVVVEQKVEAPKSESESEEQPKVVEHDFRRARRKESLDQIEQ EMTKRRTQEIQHRNTLLKKLKIKISGDNINAPILTNFAKMKNYLNQDLMNQLTKSGYQKP TPIQMVAIPIILQKKNLIAIAPTGSGKTCAFALPTLHNLENHKEGGPRCLVFAPAQELAD QLYKEFNKFNKELKIKQIQEMNREKQAFKQAWNHIDILISSPLKFLKLHKVVDLSTVEYV IMDEADKYFELGLLAQVKQLLRILESLQITYMFFSATLPEPVEDIYRELLIDPIKIMIGG RNHVLSRIDQQLRYVSNEYGKIQEIKNLINEGQMTPPVLVFVQSKTRAEALMYEIEQLKV IRVNCIHGDMESKTRQEIVEQFHKGTIWMLICTDMMARGIDFKDVQLVINYDFPQSMITY VHRVGRTGRAGKQGKAITLFTDDDKSMLRSLANVLKVSGCQVPEWLFQLPIAKKELKKKR ERFPVKRENIEDQ >CAK71916 pep:novel supercontig:GCA_000165425.1:CT868108:248213:249533:-1 gene:GSPATT00008395001 transcript:CAK71916 MNKIKIYQLLNSSFQSIIDEYNSLQYFYNRISRFMIIRKNVLLIPYNSPFNLEMETNVTV NQMLNYFKENEHLLGDTNMWSCYSYNRNIKLGGNDEVGNMDDELFIIVCQKHIEKQKSIA LTIQPQNIRFILKVHDLFTHIRNKYSLTENYQNWRCYSQDYNQHLKPNEKLLDLQMDNFI IATDEIYIQKRIQAGLNVHLAMEVQSDSKIIEIVRFIQKYLKLQGDIQQWTCHSLQLNKN LEFNSTVNDIVGEQLLITTTNGIFNTQNLFQTTFDTLNYGQSMNQTNQIFHQYDQSQLSV QQLIELKIEILEGVYKRSFVDHFNPNTQIEKLAIFILNYLSLDMSQVALDLFINGHQYNN QRDRVQTLYQFGIKSNSIVQAKVRWLDTKACLN >CAK71917 pep:novel supercontig:GCA_000165425.1:CT868108:249604:250278:1 gene:GSPATT00008396001 transcript:CAK71917 MVKEQKPKIYRTENKFDTYMTKDAFCKFISDELIAKDGLKENFQFQNYKVIFGLFMIVNV IYSHYHFIPYPQDYYILIACIIFYYVSTYIYQWFEKVKEGDIFILYDDKKTRKTFGFGAS QELYQKFVVLRIYSMPHKALLVERKIDSAEYLDVKGYIVQPKMRGLINELLQEANKK >CAK71918 pep:novel supercontig:GCA_000165425.1:CT868108:250325:250905:-1 gene:GSPATT00008397001 transcript:CAK71918 MNIINQLLAGANLFRLNFQMCNNKNAIPQPKEKEEQLNLLQNTIFSNLLMASQMKTVQQS QTQQVQVVQKSKKISKRNNNCGHPEKEHYAKGMCNNCYHKYGRTKKPWICGHDKLYAQGL CQNCYINKYNQKRREQGDNEVLKQEDPNQEQLEQQQQS >CAK71919 pep:novel supercontig:GCA_000165425.1:CT868108:250937:251720:-1 gene:GSPATT00008398001 transcript:CAK71919 MIIPTQDLPFNSLQQVGQRDVNQDIFDLIYWVQQEILSPELLPYFDVSKIQDTLKGQLES IQDLKRELSKHNKQRDTQYLTLHVIELERIQYFYQQYLRTRMQKLISNAFYYYNNIGEYQ MSLREKEFLKTLVEKQADYLFTNCLQYYQKTQRNFGVRLDNQNQSQQSQQDDKINVQKPD VNQYVVIHVNTSRTIHVGSIALSENDSALVSYDDIKQLSYVILRFMVPIKSVTLI >CAK71920 pep:novel supercontig:GCA_000165425.1:CT868108:252062:254175:1 gene:GSPATT00008399001 transcript:CAK71920 MQNYLQQVQQMLKIQKFQKMWNYCCQFYEFGILHPTLNILLGIEFSALATTPSSFIQLLL SKHFYLPQNYLTPTQKKEFDEMLQKSDEFDQRNLGTVLGMIVLGAIGFFVFGFALVGGIG GGVLGVVGGHQVGKRIKKTLQNKQDVKEIDIYDIKVRTILKWAYLQKKQYCYNMNLQRFV IEKILTEIKIALHLKYFSTNNQTQLQNLLKKTFLFLNQDLYLCSIELSLLLLEEQLKILR YYSQQDQNVCLNQEEMKLLNQQINQRNQLEISVLKAIDNVIKPVVTLFSNQLPNYKQPQI IKKAREFLYSNEIVQLSKQFPDPQMSIYYIKMLSSQLNLSVNTTNLKLYVQQQNFRNLEN VGQDIQCIMQKQNANQNRLQEEEIKINIEQVQIEEQQCDVIIQNNESQIELEKIVEQNPQ DIKLKLHSHPNKIYFTKIDPKCNINSTPYQSYEDIINNPEKEKQFSRYPQHYCQQSIKKF DLFLNLIHEPTDKWDLAINNKDAQIYKTMKEGSDNVFIKGFCIIKNTSLELALRVVYDIK LRREWDKLLRDFQIIKTESEDIDILAYYVQPPISLVTPREWVQRRILRYDFPEKGQITLI FYSIDYPQHPVNRNRIRAHTEISSMIFEAYEQNNVKISICSNNDIKGYIPKMIVNRASAS GPIDWFKSLQEACNKYR >CAK71921 pep:novel supercontig:GCA_000165425.1:CT868108:254275:255166:1 gene:GSPATT00008400001 transcript:CAK71921 MTKAEKAAIMFGLGSTAILAIYSIVKPRISQGSLNTESLIQRRNAILNKDNMRVLYSTMT FFYLQGYKKTGEDLAQLKEVLDVAKPKLVALQISEKQLEEDYRPILRNPHLQEIMKKVDY HLKTNPGEVTKMKELDFYAGLENIYAMHYCQQNSCKIIPADEHPSFYDQLYNQKIGLKEV TKSGKVEIPENLKQLYQSYDMSDLEKTEEDIKEDIYIKKRADVFVDKIVHELTPTFNIIP DVPYKVLVGIVDPKLQNTIAKEWKQRFLSIYGHQILEDEQHSQQESQIQ >CAK71922 pep:novel supercontig:GCA_000165425.1:CT868108:255215:255474:1 gene:GSPATT00008401001 transcript:CAK71922 MQQVQIHNQDDDPTQRKLEKKQGFISKLKKMLLDQKFKDIIYVLESNDGFIILNLQKFID HALPEYCKHINYQSFRRL >CAK71923 pep:novel supercontig:GCA_000165425.1:CT868108:256490:256841:1 gene:GSPATT00008402001 transcript:CAK71923 MSVSEINFSNKSRFINILEQNIEEQICFSETRRKTLNNNDYFQEQIDQKLIIFTCTSLCP QQNQFRNQDIKELALAECGLLFEKNSEITIHNFGQSLHTTDEKSYTIEK >CAK71924 pep:novel supercontig:GCA_000165425.1:CT868108:257614:258964:-1 gene:GSPATT00008403001 transcript:CAK71924 MPRCNPLFTERFDQILASMVEAVGEARWADIARQMKQIYEFDIPQQTMIYARWKAIDPKI NRDPFNQEEMAQHWRMCVKYSCNWEEIRKAYEKQGQLRDKGYLASKYYQLFWFKLSDLNR GIASLSDYNQPKIDQIRDITKKRIMELNGRDIKLVKNKSAIALIKGCKTIVKVMSFMVDN YKLDQNLLNQEVLRIITVERFQEALFHIFTIDTMILISLNEIRPNDDFTDLFEINEEIKQ KYKKPTKVKSWNTALVEASSDEHTEDEDVLLVEVKVNKFLPKNQFAKDDINQIKDSEIYK LCSTDQNHKFYSWYNQAKDDQADLIVNVKEFNDTQEKVKQQELQKPENRWQLKKKTPYVA KKQMKAIEKKKKMILAQNQKEKDKIKTVRGRIKLDKELFHCQYTKFFDKEPSESEVEEDD YHYSLVDADQIYKLMMNNQHIQ >CAK71925 pep:novel supercontig:GCA_000165425.1:CT868108:259083:259539:-1 gene:GSPATT00008404001 transcript:CAK71925 MVILQKRLPSLDTTQVIELASTIIEQPDCKHVDKVASYETALTTLGKVAMYCNVAQKEQL LNKFLDSLPHEYQDNQETHLMFIKEVQNNNPTLMQFKDLVIQALNRIKTQDCNNPENELL CDEGRKLISQLLC >CAK71926 pep:novel supercontig:GCA_000165425.1:CT868108:259572:262241:-1 gene:GSPATT00008405001 transcript:CAK71926 MFTNLRSTDNTIRQQAEQELYAQVAANPIAILEQFLIAMQNKDDTEFVSILLSKTIFENE ENIGKLEWSHVQFVLKFCVSELKQDNKLSHLKRMCDLAVKGLLKFTKYDEMIMLLFEIGG SAQTLNQKLATMYYIEIMCEFALCDEQLIKHSQQLNEIFTAYLNDQSPQVRAATCQGISS FLVSIEEESLLNRFSNNAVVLLQQFAQVMQVDQEASVQALQSINELLENHPKFMKNVYGD LLNIYTQLMQSQTTISLKKSALHGLQTLCQIAPAFIRKSDQFKTSSILMIMKMLTEVDRK DWENTFDDNCLQLNDLSSVAEDCLGKMVRDVGVKYLLPIFVPLIMQALRSPVINEQHAGL IAMATLSDKAAEHFQNELPSIMDLILPLSQSQNKLIVYDLLTCLAALCQEFTPKIQINYG SQILQLIVTCMQQKISQKIQYISIACLVDFTRELVEDKEAAKNVLTPVSTFLIEQLYSVI QTNLTGSIDQQQQQILEQALSAFSALATSLQEHFTQYYDQMMPYMMQMMQTVTINEVKSL LLECIGCFLVSISTTRKEQCKTDSNQLVTHFIQLQNKMESDDPAHTSIFFFYTQVATALR CEFGQYLEAIFPLVERAMRLDVGFSVNSQAEGKNITKVKLDLKFLGVKSLSLNTSALEQK VEGAHTLVNLAEQCGKSFYPYITKTIVLMKEFINYKHSSQIQKSMAKCAEYLLAACTLET DMAQVLIQVTPILITEFTVFLNSKTYDRAAEIAEVLANCLNQVKAPILDATTIDSMYSTS NKALTAIVKTKESITYEDEEQFEADCEEIDQLLDHITNIVTELISNHKCESVQTMMPTYY SFLNTKSTKSQTINSIAFFNIVLPLCNESVFQQAQNEILKCYSSFAKNS >CAK71927 pep:novel supercontig:GCA_000165425.1:CT868108:262881:263473:-1 gene:GSPATT00008406001 transcript:CAK71927 MIQQNRYEIIIKPQEIRFEVELDVTVKVKDVFEEFKNLPNVQGVDVNNWTCFSVQRKKYL QIDEEIGNFQREILEINTQPQQLQQSQSPQVIENINVKIVIKDGAIDRMLDAVFKTNNTL DDVANTVQQYCALEIDNKTTAIDIFIFGQPYNDKVKRGKPMSDLQLKDNLTIDAKIRWIG G >CAK71928 pep:novel supercontig:GCA_000165425.1:CT868108:263603:265627:-1 gene:GSPATT00008407001 transcript:CAK71928 MNQTQKSFDVSKGGEKLSDIESLKRRTYKKILMMSSMNKNIMKYIQKAFQLGPKYLTIEC LVEEVKTTPLTELDRYGATILDKDRLKELQELLGKIKQMVDQDVKQLSSDQLVQLIKYFV LSPVEKTYIQKIEAELAETKNLDEQIKAAQTRQKEQQDLKIEEYRQEKNGQQIIKQNLSS QQHQISVHENKLEKANQKLNEIVAHNKQLREKINQLRKEKNMVEEISKNLEKELEDKKKN VEETIKSAGQAYYYRNKAEEELTKLQKKAEAQKKEFEQECESLNEKIQHDKKFKAFIQSK KKEQEYLDKLEKQIAENQEIIRQKSASNAQIDKEYMLSASKEQEIKDAFERIKQETGIHD KKKERESKQLLTVFIELYQNNQIMSQFVKELQETVEELERQIEEKKEEIQMYSTKGATND NQRREQKMALSNKIQQEEKKKVILKAQYEKSIETINLIKKYLEEVFQAIDVDDETIKKLK SAAITEENMVHFLGILEQKGLDAIQEYARLIAEQLKLEKGEVHGLSSQVDDLNNIIAYEN ANIMNYYSQASQFRQECPDDVLSFNEEENDMKQRWFQEEEFKKQAMESISKRSGPKKPIK PKFKEREQKQ >CAK71929 pep:novel supercontig:GCA_000165425.1:CT868108:266629:268275:1 gene:GSPATT00008408001 transcript:CAK71929 MGCGSSNGIQEPSNKVTKIEDTKFAYQGFVTEKKGKITADYNLIQPCLGKGAFGEVYRGV HKVTNQVRAIKLIRKKLMTEEDCLMLTREVDILKQLDHLNIISIYEFYQDSEYFYIVTEL CQGGELFDRIVQEKNFSEKKAAEVMKQVLSAVTYCHEKNIVHRDLKPENILYESNNADSL IKIADFGTSQKFNPDKKMDQRVGTPYYIAPEVLDRKYNEKCDIWSCGVILYIMLCGAPPF NGDDDYQIMEAVRKGVFKFKEQEWKKISNEAKDLVMKMIEKDTKKRISAQDAMNHPWIQT YCQKKEDDLPSLTEALQRIKAFRVEKKLQEAALMFMVNFIATKEEKKDLLKQFQALDTNN DGRLSREELVNGYKKVMSDIDAEAQVDEIMKKIDADGSGSIDYSEFVYATINREKLLATE RLLQAFKIIDKDKSGAITKDEIKLAFGQNSGISEEVWKQMIQEVDQNSDGKLTFEEFKSM MMRVTQNQG >CAK71930 pep:novel supercontig:GCA_000165425.1:CT868108:268380:270089:-1 gene:GSPATT00008409001 transcript:CAK71930 MCKLYNCLKYLSLKTATSLLQLLIISIVMGLCSIVLVVNRIMMDALISEISDKLLFKQNF QQYELQTEMLKYQVNLPMIQRFQMMNSFGKIYQNFMPQVQIQNFNYPMECPLYEGQHHEQ YRTLFSLPEFCISYHNQTKNIDNGQINPFLNILNQLIIPFTFAPITELYMTNTDENYFFA SNPPIFNYPSYNPQVRPWYLNHLEKSQTSNSQGFVSYVYKSYGNEQYSFTITYSLFESNP SKKNKRGNLQAIMGQDLSFQEYSDHLYFKQFTFLITNLLGQIICTNSIEDIRLDQGIIYV YQQNLTGFTTQDWQQIQLYASQQSYTNNCTLQIKHLCRFNTKYKEDIILHVLNLKSDFYL IMYQPYIYNRFQNVTIQRENIEMAENTKLEVIKAFARNISYLLGASLSLLLCSWIGLYLF FRPIKQMREKMEILIRNKFSSHNWMQKIQNEFDDKNTNYLKQALKNLKTKITNIKRKKCQ NCYLIENFKYPRKILTVEFYDIKNLIKKLPQDQVVEDQVRQTEIKIDNIDSPEHKNIIPQ IITNKLYSQTLNSEIDENVQLLNSMDRVF >CAK71931 pep:novel supercontig:GCA_000165425.1:CT868108:270203:271371:1 gene:GSPATT00008410001 transcript:CAK71931 MQQEQSSHLTINHFQLIKVIGKGSYAKVLLVRKNDTGKLYAIKALKKKYIQQKRQEEHIM VERNVLVSANHQFIIKLAFSFQNERKLYFVLEYCPGGELFNLLQKKKKLTEDQCRFYVCQ MILAIEYLHENNIIYRDLKPENVILDADGYIRITDFGLSKKNVKQDKDAFSVCGTPEYLA PEILMKQGHGKPVDWWTLGCIIFEMITGMPPYYSNQRGELFEQIKYQFPKYPQNLSPILK NLLEGLFQKQPEKRLGYNGAGDIKSHPWFEKVNWDYILQKRVEAPFKPKLTSEEDTSHFD SEFTECTIASFDTASSEGRIYYDFSYGGSMLKE >CAK71932 pep:novel supercontig:GCA_000165425.1:CT868108:271371:273455:1 gene:GSPATT00008411001 transcript:CAK71932 MQIDYAFLENLNKGIQVDFNKKVYLRDQSIPHAPCKNLCLNKQEQRLALKNALRYFNSDL HERLAPEFLQELLTYGHIYMYRLIPDYEMKAYPYDKYPCKSKQAQVIMHMIMNNLNHEVA QFPHELITYGGNGSVFQNWAQYHLTMKYLSEMTEHQTLVMNSGHPQGLFPSHPDAPRIVL SNGMMIPNYSTQSHYEKHYALGNTMYGQMTAGSYCYIGSQGIVHGTTLTLLNAARKYLKT DSLNGVVFVSSGLGGMSGAQPKAGDILGCISVIAEVSEKALDKRLKQGWVKKKITDVEQV IAEVKLAKKEKRAESIGYLGNIVLLWERLAQEEKNLVDLGSDQTSLHNPFNGGYYPVQLS FEEANIMMAKDPEQFKKLVYESLIRQVDAINKLTKKGMHFWDYGNRFLLESRRAGANVNS ENDDQLFRYPSYFQDIMGDIFSLGFGPFRWICTSGHSEDLRQTDKIAESVLVDLIPKSPK IVADQLNDNLDWIRKAEENKLVIGSQARILYADTQARTEIALRFNEAVKRGEISGPIVLS RDHHDVSGTDSPFRETADIYDGSQFTADMAVQNVIGDSFRGATWVALHNGGGVGWGEVIN GGFGLVLDGSDDAQRRAKMMLQWDVNNGVARRSWCGNQNAQFNIKRQMEIEPLLKVTIPY QSDVVDKLEF >CAK71933 pep:novel supercontig:GCA_000165425.1:CT868108:273484:274600:1 gene:GSPATT00008412001 transcript:CAK71933 MQLYLPRFCMGQIFSRFVKPVAPKNVNQKKRALQIAIKEKQQQLEQSGLLDENIKQERFT HTTNYNFETYLKYTKLVKAEKEKEVQVIQPKQKRVAIYDRPKFDLSLTDYYVWTTFHDPY YKPDEYSEIVVCKIYLSPKGLIHAFGMGMDPWRNKLATKEFDFEDSNLDKFCLYDAKQTT KYWGPNRTEQFYNKQQYLKPIYRKQRWPTFEEFWTQEEKAPFRVNCTRYGDFRKFKEWIQ QEIERCSKLPSFEERVLKKYGQPEFYADYSKNYQCKTEPAVYKYTREYFLEKGQKLDQSN VLNIPLQPPEHLGEEYRVPNK >CAK71934 pep:novel supercontig:GCA_000165425.1:CT868108:275273:279393:1 gene:GSPATT00008413001 transcript:CAK71934 MTPNYIQHHFYLVDQSMKHLLTSDSKQIVYSDIGLSEVRTNCTKLKNFQDLEQRNISVKE MITKQANWRPFIEFQDKSDEMVVTIEYCTNCKEHQRSTRHNEEQYLSYALLIKQSILLNY PDIKVYLKPLVFDNDKTITSLFLQRRIGAFEVQFQANGQQSQLFSKLQSKSWPNVNDILK AIHQQFKKTNLLIQLVYNDGDANLKGINVTMQSIQNKQLASSQHLRPQSRLSENRSCKST SLHRQRALSQQSNIVRYSEKSDSQGRVLFLNLPIDRYEISIQESTNNQLFNEVVTLQELV RTDGAYHQIKLSKPKLALLRVEVVGPQQQTDVMVPFTDTQVHIIQNQERMLLKEISDCSG IYEGSLDPGRYTLVVSKYGFESVQQFVELSGGNNTVKIQITTRCTEISTLLNQNTNQTLI NSSFISKKKKTIKPPQQVNLKILSLLEVGQMYVFDYFSEQIIGKAKIIITDSISNKIITC TTNSQGHAKLLFNYLNKGTIKVEHEEFYTLDMTYGVNEEVDLKSSRNTFKLIKKTNILSI ISDNNIEIYQNDQRIRKQLNHSNICFFEISSDHPQLLYIIIENQKFQELLLKVLLSEEVI TIKQPDIDLLTFPQFWILGICGKSDCQLYVINEIVSHLEFRNFYDDLEKHNQNVTKVFSS DCYQTQQKLIWKNQFIDISKLQIVDVLPNCDEQPIIFTNQNAIIEGNSIQLGSFSQEQQC NIILTNQQVMQQQPFKNSNALIIKVQIIHKDIYVYYESCAFVAIYDSSLKQKGYAKLDSY HCQDFETNQLVAVGQSHFTLYQLQITTINPQLSENKLKRLRLIKFTFWQGRWSIINLQLQ FIRIRSSETLNGAFKNQILARDNQIIYLLEQDEEKKYYVKNQIQIFKKYILYMNPQETCL QVLTNSHVLYYNDISTHRQYKQETDHSRLILIGSTNSYAPKCYYKNRQETTLKLENDIWY VDLLDENVRFGLQVKGHIQGIKILLQSKYCVTDLGDYKIKRSKGLWMFGFLTYNNQEHKV HTIENQFQDEQQFQYEIVVETEHSSIQDDNLFIHSTQEGFSILNTITTQKIQDFYLETPI SLLKLCNNTLIIGLQNGKVQLYKKESQQTYFKYQELQISDKNIIDVQIMDSSLLISTEDK KLIMLSIPNEYKIEYQETFDKSYINNIILYNKNQMLTTQVDGRLRLWKRERQGQSFQLNQ TRTIQAMKQISKIQQVPWETQNVLVGGDTLILLNAENGKQIKQYTNSSVQQNKIVCIQCI WNNIILSGNSNGQVIKQNYGNGELLQVIVIEEGVKNLFVNNQYFLIHSNKKLYVQ >CAK71935 pep:novel supercontig:GCA_000165425.1:CT868108:279674:280905:1 gene:GSPATT00008414001 transcript:CAK71935 MIIDIEDKEIDEKREIPIYPLLQPEQNIIEVRNVHKTYLLGLEGVTALRGVSFNVQEREF ITILGTSGCGKTTLLNLLGSIDLPTKGDVRICGQRIRASTEDKFLASLRLSSLGFVFQTF NLISSLTAQENVELPMILKGTLSKNEIKNNARMLLEQVGLGTRLNHYPNQLSGGEQQRVT IARALANNPSILLLDEPTGDLDTKNSDLIMKIIIDLNIEKGITIIMVTHDTNLKNYSSRT IRMLDGKVANDEKNDEQNRRKHIDDLAKVVRQYQDINFGVRAGTVDENRQNGSKTEKRQP KNYKVLNHLYISNSASNNRQDFNPQIQQFNTPVQTQNPNLFIQNKDSIQE >CAK71936 pep:novel supercontig:GCA_000165425.1:CT868108:281260:281642:1 gene:GSPATT00008415001 transcript:CAK71936 MDNQNYKENLNCQQKFPIWSFQECNKTRILALYLGKLPKRKEIKRLFERSRSIRQVQSFT YNVETFVFKTQVSKVIIKNYQQFIISQMIMGNKNLIKESQTTFYSYIKCIQSLVLIIY >CAK71937 pep:novel supercontig:GCA_000165425.1:CT868108:281839:283997:1 gene:GSPATT00008416001 transcript:CAK71937 MIRNLIKKADLLVDPYEFNISQKEKSLTPIGFIQFQFLGGFISMLLLIFTSFYIVGVFQR SIQNQNTIYAGTESDKNTQFILSNENTIFSIELSTLDGKILNNNTNVKDYFTLTAQYIQQ QRVEGKIGFTRNYSSLNQSKCSTDKVQQFNFNSASMTEEQKENLICFDGDFKLQGQFYEP YFAYIKLTISVCNNSTSKTCKTSKEIESFINKGVNVDMFIKGSKIKQSLNSSSPADIFST NIFWRLTTGIGDNEDIYMQPLQMDLSKIHLNNQEKIQFFSDIFNIENSERLYNGSVVQRQ ERRQEPISINSGVGLCTFYLRASSDTSFYFVIQQDMPSIILSAVSEATALFLAVMQIVKI FYRVYNQHKTFEILMNSVFKFDLKSVQKRKQSYVNQQSQTPGKMQSSVKNTKKLLQQILD YTINYSFSQYIFYIIRKYFKSCKKNIEDEKELVISQIAKSKIEFDLDLTNILKKLYEIDC LKLFLFDDDQLLLFNSFCSPVFQDQMTDQKELFDILTASKQNKSINQLQLNQAHIDNNQN IIKYAATSTIPINVRLAKLTRFFKAQLGAENAQDLVDNFQKITENKNKNWQLNHQLMQFA MQNKSLFNLVQQNYTTNLQQQNEDEQKYLEDQIRLDVPNELEEGRKNSEVVGSKESGQSL KDLEIHQSPDCLQNRLQQP >CAK71938 pep:novel supercontig:GCA_000165425.1:CT868108:284002:285206:-1 gene:GSPATT00008417001 transcript:CAK71938 MKEQLLGKIARYRIFLQQGLQIPDENGDDLRCIDFNFDKYIEQQLQIEQEQKVEQDDQKI VQTDKKIPELDFKLNDQDLEGLLRDLENVKFDGEIDFTKQNISDQTVIRLSVLIQKLPIS SLILSDTKISYIGGLELGKAIPNSKLKKLILTNCNLGHSSNILMEQLHFLEEVDFGVLGN KALRYLSQQKHELSHIGFQEDPKEVWDVQTKELFLKSIPNSLLSIQFQIDNADHKKFIGI AEIKCQENRDAYLRKRAIQYKSKDLDPDHPDYYDCDDFKVNAFKFSVKSYLSNVFESLLD ESLYYLEKERQNVLRDEAIYHVTNELLPQSIMEDICQADGSLIVLAKYLLTKIKK >CAK71939 pep:novel supercontig:GCA_000165425.1:CT868108:285229:286385:1 gene:GSPATT00008418001 transcript:CAK71939 MNPQKVRNGPNFMQQLNKKKHVDCALSSNSDSSDDEVDEIVAIKKDVQKQRFSVSAEAYG QFNKKENFKAKFIPKSKEQSERIKQRMQAGFMFSALNEKEIEIVVGAMEEKIFHKAEYVI KQGEEGNVLYVVDTGELDCFKNYGKGDVLLKTYYPGESFGELALLFQSPRAASIIVKSDK AILWQLDRETFNLIVKEASIKKRQQFETFLENWDLLKEIKDPYDKLKMSDALFEKQYKKG DVILQQGQKSQEIFILEQGRVSVNKNNQTLFELTKLGDYFGDQSIVAGTLESFSYVAEGD MKLMYIPKKSYSSTLKEIESTLLKNIQTKYGKYQ >CAK71940 pep:novel supercontig:GCA_000165425.1:CT868108:286834:288027:1 gene:GSPATT00008419001 transcript:CAK71940 MQSSKEGQRNFSTQVSQSTDTNEIGVIEDSTLLERIRAAYKDIFAQSSFSSLKNYQFQND IVTGEPTKVLSCAFDNTTQFLASANSDGLVNIAYLGKDYTIVPLSDPKQKPGPATCVKWR PGQVVEQLKHTLLSTYANGSIIYWHATSKQSLFNLEDKDNQINCCEFSLDGDKFVKLYDC GKLSKAPTTFVEGQSAVHQNRIFGMKFNQGDHNQLYTGGWDKMVIQWDLRQKKSTGYIFG PCVYGDSMDSKGFQLITASYRDEKQLEIWDTRTLNKLQTIEWAEYANNVQGLLPKHNVSK LYACKFDKRTNYIYAANAGSVFSEIRQFDSQYRCVDTYCCSLSGVMTIDYNTSYNKLSYG TSDGKLGVLNLVN >CAK71941 pep:novel supercontig:GCA_000165425.1:CT868108:288385:290501:1 gene:GSPATT00008420001 transcript:CAK71941 MINLLKRADLLVTPYEFNVNQRQKSLTVIGGVLSILVGIFSIIYMIGVIQKALSDQETVY VGTQTITLYLPLRFQIKMEIYLKIYLKFNSIYIVNIRYIQINVQYVEQRRNASKIGYERN STNLKLKKCETTTVEKFNFDVITISNSQKQNLQCADGEYIITGQYFDAYFSYLKVQVKGC KNTTDINCKPLDEIQSFVKSGVNVDLFIKGSRLRQQFNLSSPVEAYPTNIFWKLVLGMSN NEDIFIMPFSMDLKSNSIFYDLMNIKLEETLFQTTTFNKQERRLEPIEFTEGSTLCSFYI RSSPENQYYFITQSDLFTIFSSSLSEATALAVAIMSFLKIFYHSYNQHKTFAVLMNSVFK FDLESVKKKRQSSIYQSDQSGTIFQQRTSTTPIKTGQLQQILNFEISYSFIQYMKYLIYK WFRSIQRYNFFKTEKEIIISQIAKSKIQYDLDLTNILKKLYEIDCLKLFFFDDDQLVLFN TFCSPVFQDGMANQKDLFDILTNQQQQSKKLLSNSQLSEKDKRLDLTFVASSEIPINVRL AKVARFFKAQLGASNAEGLVRTFQRINENLNQNSALNQKLLSFAKQNSSLFRLVQSQYEG IQQKQLQNQVPDEINSQEKQNSGGKDSAWSMEVQSYQLESAKRPNEPEEQNIQQD >CAK71942 pep:novel supercontig:GCA_000165425.1:CT868108:290784:291312:1 gene:GSPATT00008421001 transcript:CAK71942 MFQTTSSINSQQSPNRFKFKSKVSFFNDTMVPAMTTKITLQYKEFLRNLISCIQEKASFV NFPEFDQLKSQQIAVSIQSCYNPKFKSTGVDETKEAFQDLLDEIKQTINRKYPFIKVFRQ QISHPDHYLQIQLYQPEVHSVVLYSRQFSKRANHSQINS >CAK71943 pep:novel supercontig:GCA_000165425.1:CT868108:291650:293257:1 gene:GSPATT00008422001 transcript:CAK71943 MSPKQIYQDKSREPNHLRKNSLLNCSVKQFQQVVLMKPNLLIQFNKSMLMKQKLTGNCIQ TTKTQLNVDELLDDNTDTVYVSTRVERNDVIKCIVKAPEDATVNVTKSITETLTFDINEQ AFVFYGRDKQEYLIDVSKKDCFSQKRTVFLQGNADVKIEFDLVSCVETTLQFRAYNILLR SGSHKDIENCRLEIFQSDQADQEPIVGMTNDQGFYSCPGLMFKQVRVHAQRKGFLQVSYD FDVLANSTGQLLNIPMIPDYYSLINQYHILIYVPNKNNFQLDFALVCPDGTKIDSKNRQH NVMKSKLEINRINQSTMLWNFSVHVQSLNPFLPDNCFQFFINNQKPKRTLLCQEPLSPQC KENGVSSAAKASCFKTVNLNEMFLKTRVMQTEIKTFVRQESDVIAQKINNYCAEESVRIF VTFGHKVLETFMIQSNLLMHNEKCFGVIDLDKRSFIRDNEVSQQNIKRNLSKMPTFKRDQ KGGNEDRTMTTILSHITNQRFQE >CAK71944 pep:novel supercontig:GCA_000165425.1:CT868108:293260:293818:-1 gene:GSPATT00008423001 transcript:CAK71944 MSSDSGSHKKEEANRIYVTGYSAKESEMEIKNAFARHGEIQEFSWKGRFCFIAYAKPEDA SDAVRLMNMQDFNGRNLIVELARAKKKDGACYQCGKQGHFARNCRLNRRSYSDSRRHSKK KKKHPKHRSSSSSSSSEKKKSKKKRRKSSSSSHSRSSD >CAK71945 pep:novel supercontig:GCA_000165425.1:CT868108:294112:308093:1 gene:GSPATT00008424001 transcript:CAK71945 MFFSHLTPHTVASLQGTRIQQLHPAKDSSGLAIANVCFSEGVHYWEIVCPFNTDGVKIGI SKQQSPSENFEGHLFEFKTTTQRVVGVLLNFNEQSLQFYLNGNLSTNKGIPKGSLISCPW YPCVRLSQFGNSVYLTMKYEDRSVVEHCEQVQLETQQSQHPNPFFLINKNEIHQLQDRLV SVRNCPLVGQQIPKADLDVLIKMKLVICDYHDQNEIVYLILNEETSQKLKRVRQYLKHHV RKLKEKFESKPQEKEKDQLLQEITQMLPNNEEIVQEEKKDEFEYKYSIELCRIADRIIVQ LIEQFEEVRSIESAKMRLQTFVDHPATDNPFKVVEYGKYLQPGSGVKYAYCDNDINNNGT KHSKLILRSEIPAQGQIIPCKSDRYFSLRNQDRLTIYNGEAELFKVIDVDLRQLGPYQKN IKVIDVQQEETPSHIYKILENYIIGETEQLPLYDQTILEKLIRMGFEESDCKEALIQTNN NFDKALDIVSRTTGEWQCKFCTLVNKETNQICEACEGPRPDDSQEEEFLIKQPLQIQQDL VEVDQKEEIHQEFKDKITESTINHVSVIHWDSNNTTFPILVASVHNKNILSIKFISYSQN YLDQFFINEDGYYCVLTNCWSKNKDYSLLLQSETSRQIVETLAPLYSKHCKKLEMNLVDH KAIQLEYEIKAIDSAIWNNRLYVFLMGDQALNIYEITQNSKIELQKQIFIGSDYNLLISK TKCLIYSQSKNLIINSQLNVSEIQEPISNAYIKDNIICYESNTQHKQIEDNSEILENNII QSEEVQIFQTVTDDVHSQKFQIADNYTHIHVKAVFEGPIEEPKQIPLMNSPLTVSDPQKL PLTVHSFKGASFNDQTFVTQMLFDSNKPFSSNYPNTQFIFRSQFDEIMLVDHVRVKSEIT NVFRGFPIGTGLIFTSKEEHELNDTSEFDYFDKQQYEKWKSTHQSLFANQPVGYFEFEGD SKEALCKLEVIRPCKYLMLKPTNFRKTPHDHTAHIQTNSVEIKGFAAYGIEIPKSQIENS TFGTISDCQLLTQLRKRIDLPDKLIVQCQYQGINGYPSNGIIEFDTVFSGDFIIKPYEDQ EYKLLKVTVTGKKYNNLYINQLDNLIEEVYQKKPGALSVLNLFMKKESKKVLEIISLSKF ILGNVLSQNVNEQVTEFFRHLQQHDEFHDILLQVAQQILNKIEKVVLTESGLEYFLGLLT YTAKFKTQEITDLAIKSLLLALQKLKTIEHKDMFLFSTKYGGPQGQVQIQEIDEKQIEQN GNQKTLICQKDPLSQILIMQLSNKQQIRRFEVNLLGEFEIEKLSLRFQQTSNSVKFRVQV WVDNLVLDQVYDEWTFVQFTDYVHKSANYNNYNCLHIGLNRIRARKLLVQLTLGSDNYYS KQSVQLQIPQNYLTIIPEFYGSLLNAELSPLEITEDFRFKNTLSLGESLYYVYQSDKSIL MDSYNVYKKTDLTDHYIVMSTKSLRRNADYLEQAIYKLQSEMQLKEKSNLQTNHLQNLIE QTQLEFLKVAPPRNYENNSNFLTVFSSLLLRMLIAISGTVPDVLEFIKLIISLGDMNGIT DLALQFIQKKVKDQIPEEQWNELIIGQFTSQNALKKKLLHQLPIPILESLQKLIELKDDV LYDALVTQLQRIPQQKYTEDGNPDNEKILTLIFEFLINDTKFRLKLLQNALPKCTALQIK NSLNEKILTDIFSRGISQPEKFKFLLEMLLQPRQLESRYTDWAATLQLSDQINKTLQIEK DSLIYISKYIIYFCQIYIKENVEKLSIDNLLLLFDFLTKSVKKVNKLKTRETNKQLTDFE DFLQRQHIQICYPDTINRLIQLLEKSENYLAWNKVLKVILMITPQLQNELQIYQRVIQSY LGCKQKLLMNELLQFTLNLAQQQVQQNQTCSQIIDALLPHLGLNEINHIFIVFSQDVQHI YLNNVNILLKYIGMYLPYQGGKDGIINADSQVIQQLELCQSMIYLLVQSEQTLPQLKESP DLNNLFEWFCLNCYSGKQIHSYAGQLLEWMSESLDQLFELLPCKQYILKQLFKQQYYIDD VITKQYENNQITSYTAFTYTTQILEVIQSQFDKYLTSDDVAQQFQSDIYHYLINKIIQEK QITQVQFLEEEFGNKMKVFNLPGQNVSNQDWPLHKKGAKSRLALITLPQGMRSEYQMVFQ FDNEIEIKNIRLGIQTFTADFTDKQLGTPSSILLEVGKSLEELYPIAEMQLVNDEHYSQY QVKVFCYNSQVMNKQQLPSGQSVKFISFRMRQQYVEQLDTTRTMKKLCLGISFISVIGYS AIRQKVDFIYTLQEKTAIEILSKFCKPNYQKTLQQLANDSIVLEQLQNNIDKFMNALNSY SFQLSPLILAIAKYNHQVGDWLVMKLMEYPEQSHSKLLSQIILEGEHVHERFLKLHNHLF EKLLALQINNHQQQDLLWYEKLAQHFIESYCNVLLLQNSKLKQRELSLNINKNDIIHLIE LFQLMHNKHARHLLIKLIVTITYLPHPYQQIEEVEQILQFTLDKSRTQPYFLEVLGPLSL GNKVSIGWIVNQLDQIFDKFDLNSVIPFFYTLSTNKKIADHLLKYLLPLYHLLIEEPTSK TILKQLDDKTIETVAKFISYMVQKYHAKFIIEALIRDIGRLEGNKDMKFVRSLLVPILNS EDYVFLKIQFEDQGRYILDPKLIAQKRIDNTQKQDEVVFESQLLTIKQKDQLFAHLTETY WNKVAYQKNDGDSDILPHLEDKFFNSTPQMMVINYKINNTDKTIILYHNEKCIKQDKSDL AYSWNQGKLKVYYFNENNLIVNEEIDLNAFIEIYDEQGTLTFQNNDKAFLELEYVAGEPS RINLTSLNLKDQGIIDNLKIEYYVSGVRPQKQKKALPQINIPQETISQIFPNYETNQSLQ YYSAVPVYQLPSQLKLNELKQLIVFNKYGLKQECNIFEKQTKLCELPTNELNIIELEVNA RDFIDNIQPKTLTFTYPQQLTIFPLFEEMNGVNAMLSVIREGIGLWKNQQRANAWLQFLN ELQSFCQLPNFFNLFMKNQLCVNLLFDLIAGPPDSNNQKLEEEEQNAVKFIYTTLVSVFS ASSGPEVRIKALEQNLIKQILDRIALVSKETKRVFRNVLEKQVVQESPQKNNTLQKSTKQ KRGVGYASDNTGQNQKWNTIEYVEKKTQKSQQLIGLLGIVESFFDFQHWHPSEDLLHKLK NTLFESALLPLLESAFRSGSLLEISKEFDLYCKYLKIVQSMSHHKVLAGVFLKIPEQYYP PQTQSLFELLSALADTSKIFMNCIQNNRKKNEEEEHSFEIAKMIIDTHKRMENCINKMND SGSSSNEEDETEQLKKQKELANELIQQILSKQLPEAYRTLLSDLRFNYIDMKVGGRYKHH YSGNISTQINQDKIVRLAQEFADMSTSLPIEHTNAIFVRADKERVDVMKALVMGAKGTPY GHGAFLFDIYADDSYPNAPPKMNLSTTGNGKVRFNPNLYSCGKVCLSLLGTWRGNASENW DPKISTLLQVLVSTQAIIMSEEVYFNEPGSEQEANTDEGEKRNEGYSNIVRYCNIKYAMI DQIRDPPKGFETIIKRHFYLKKQEILEECNKWVELADTKEALYTGLLNDHNSSWCSEFKK SKKAYHKKLSEAVKELEEELNKIQPPSAADLEGSRVLRTHVKKQPKVKNLKEGMANLDEV DVTYTKIQQKEFEANDENVLDRWSRYIGAMGMDAVKKQANSCVLVSGIGALGIEVAKNIV LSGVKMLTIHDQQKSTQFDLNGQFFIEEKDIGKNRAEVSWEKLQQLNSYVRVNYETSELL NIDFTKYNIVVVCATYPNDVLFKLSTLCRQHKVKLIISSVDGVFGRVFNDFGQSFIVEDK NGEQTVDYIVKSVTDKGENKLHFEITGKHEFQDNDVVMIDNIEGMIDSNGNSINKTIQKV KVISKSILEIQLNGYSKYIRNGTIKLVKVPVELSFHPYNQEFIDKPIYDPNMSEYDFIKL QNTEQLHSLYNNKQIKDENFELLFKHYSILGEFSPLSAYLGGFVSQEAIKGITNKFTPVQ QLFYVDCTEVLQKEISKDVKVSERSLSRFLGTEIAEKLEKSKIFMVGCGAIGCELLKNFA MLNLGIKGSITITDPDHIEVSNLNRQFLFREKHLRKPKSQTAAAAVIQMNPYLRDHIIAR LDKVHDSTEHIYTDQFFEDQDIIANALDNVAARRYVDKRCVNSRKPLLESGTLGPKGHVQ CIVPFQTESYGSSNDPVEEGEIPYCTLKMFPEETFHCVEFARDKFGKHFSARPKQLIKMM AEDYIPQFRRQQTFQERLSNCLRTNQTPLKIALSGQEESSRNTSLMTSNNQYVKTKDGNL FWTMPKRPPKPIQFDPENEIHQQFVSTFAFLRAKMFSLQTDKDWRTKTYRQSVAKQANLI TFPEWQPSEEKKKSISDKVKEQGQKEEPEENETTQTQSTQEETQLLFKQFKSLLPITLAS DEFEKDNDQNGHIDFIHSFGNLRAANYKLEPMDWLTVKIKAGRIVPALATTTAVVAGLQT IELIKTLKNVQISDMKNAFVNLAIPFVKLTEPGLVPKKKINEKVTVTLWDIWTQEITKQT TFRQLFEILNQQYDLHPRDVFLKAQPVYMEIMYAKKAEEKKHFLGPSNHQSIRNTEICWI >CAK71946 pep:novel supercontig:GCA_000165425.1:CT868108:308179:308759:-1 gene:GSPATT00008425001 transcript:CAK71946 MRRRESQECGGKIERLIHDGQPVGPYRIWADDEGPGIAMTRTLGDLQAKKIGLISEPEVQ HIELTKQDKFMVIGSDGVWDVMSSAEVCGFVLKHEPKESVAEAIVTECRSRWDEMNKQKK TNSKIGDLPYLKFGCDDITAVIAYFTFIDELEDNYFQQQRY >CAK71947 pep:novel supercontig:GCA_000165425.1:CT868108:308793:309353:-1 gene:GSPATT00008426001 transcript:CAK71947 MFLLNWFGGNSNQSGKNKKKKKKQNVVQNLNKTLINPMKEIKLFGHSKMGYGPKKTECQD SYCTMERFTEDCYFFAVYDGHGSSGKEASQAANDYIQTFLEKNPKRIKALQNDKQRESFL KSAFKNAEAKLRSSGIDYSNSGTCAISIFVVKNMCYIANLGDSRAVLFRQTAKEKLGH >CAK71948 pep:novel supercontig:GCA_000165425.1:CT868108:309388:310466:1 gene:GSPATT00008427001 transcript:CAK71948 MLRFIYQGFSTLVKQNPLKNGFRFENQNLTWTFGEFDTHSSAFAYGLIEQGWKQGDKLLL LLGRSNTSEAATTFAGAAKAGVITVPFRSNDPNEIEKTISIVNPKGIVFSPNQLVGETKF IEVLKTLVPETTQTHSGESLKSSKFTNLKWLIHTGFYSYPGTYKFRESLVYASRNFNRLS LPTVTGPVTAQLRNGQLQTYTYQDLAKLSDKVQGSKHVIVSGDPQTVTNFSIVVGGLERG YNTVLTGADKLTKVQKILQYYDNYSLVVDDSVLSEQQQSVDVGNLQNLFTVGDVTKAQNV FQKQAIQI >CAK71949 pep:novel supercontig:GCA_000165425.1:CT868108:310483:312735:1 gene:GSPATT00008428001 transcript:CAK71949 MDQSSSILSIKREKFRTVIRKEFIEQQLKKKRIIIPEQEDHDLNDKLKIIKKQMIAIHEN IENDFKKAMIKYYDYLVDQDLMNELLLQFELNWQQNYRINIYWILCNLTLGDNIGAKFLF DTNFDFLKILYTDIKNPAYNEFVLEIIQNLLADDDIDVFEYLMRDDELLQMLNKNVNIIS EENYKKLLVHICVKIVEFKSLLSNYLVETLCQLMPQYIAFQCTYILTSRMEFSNHHLVLH AIRYLLNNDDNLTSIILRILQEITDSYLLYQILQQKEIHSFICNLFLTTNNSNCIKSLLT IFYNVVESEEIQFYICQKEVLNLIIKYSLNLEYTEKSLNVLEYLMQDDNFLFEYPELVDE KYYCSQLHNLNDEDPNTSIAILNYIKRVLEFWDTRNDDKYLNIFSKYKNKKICICLKIKV YQIQQISYFYAMIELLLFLLIYKYFGMFRTLDDLKKGEKDEKQKKTSNSYAGGEKSGLSV ENPDDMDQIINNAKQGGTRPDQDEDPKEWCKITLWSNGFQINDGEFKDINDPENKKFLAE LRQNQVPTSLRSKYPKGLSVKLEDKKTEKYVPPPPPKYVEFSGSGVSLGQQQFVQQQQQV KVDLSKQGQIQIDPNQPTTNIMVRLSTGNTITLTVNTTTRVSAIQQHLLRMMNLPPQKQI QLISGFPPRPIQNLNQTVEEADLCDSQITQTVV >CAK71950 pep:novel supercontig:GCA_000165425.1:CT868108:312785:313517:1 gene:GSPATT00008429001 transcript:CAK71950 MGNRSSNNSNQKIGSEAKCPNCDRKFGPSTTYNSLNTHIDLCLQNQLQINNVGFQMPQPQ VQLGDNYIWVKQNNQWKRIQSQVNGGQIQNLSVQDIKNRSFPEKQMWFNLQLEKFRIPWQ LGSDKLNVNYNDLLQSSLTSARNVNVYKEVKVVFQNDKVQDAGGLLREWLTLIFKEMCKD IFTLTETNDVTYKIAKQSQYFDLVGLAIAKALFERMTICVEFDRPLVKKLLGQEN >CAK71951 pep:novel supercontig:GCA_000165425.1:CT868108:313555:314269:1 gene:GSPATT00008430001 transcript:CAK71951 MSWKYLLENQFDENELQQYFIICKDDEIIELKQNGADILVNNQNKQEFVDLCIQYYSEKM ISKQLGQIQTALYKYIPKDYLNIFTAEEFEMILYGVSVVDLAEWKQHTTYKTPYADTSQQ IQWFWKILSEFDQDQLKKFLHYCTGSYRIPVNGFSKLESNRGMYSKFQIVPIDYKNTNSF PIAHTCFNRLELPKYTSEEMMRKYLRSIVLNDLEGVFGME >CAK71952 pep:novel supercontig:GCA_000165425.1:CT868108:314531:315118:-1 gene:GSPATT00008431001 transcript:CAK71952 MFIRQIITQIKDILSFLLAPSFPIKSNTIKSLNRMQQPLFSINKSFYLKFFFQSLILIQI SNSVKKTSNKKVIKFLMILLVFIFQTTYIKISYNSLSQQILWYWLINNPIWFFKFNRIRF YISQSSDELNQKVVKYQIQCSSQRIQIITYRIQILIISIYSSESIRIILIKILLKFDVQA QFNIKYCLEQCQSNN >CAK71953 pep:novel supercontig:GCA_000165425.1:CT868108:315360:316064:1 gene:GSPATT00008432001 transcript:CAK71953 MKKIKKQKNYRSKYNSINSNERALIIQYIEQYKYSTSHVQLNNFQVSLITGHNISTIKAI YSVYKKEGRVQKKEKRDKILNITTQVLLLVVDDTNGKCVKLGEEIQKFEAIKEEDKNIRD SKEKMIQELLQNKKCQILSLLTNQQAVNNFTQDVNNIGQEKALSNEFLTTENNLNIKCGH KQSLKYLRNRQQENFPSINQNLQMLDKGFYSELQSRLYEQHKLMRM >CAK71954 pep:novel supercontig:GCA_000165425.1:CT868108:316623:319835:-1 gene:GSPATT00008433001 transcript:CAK71954 MNLFSHDEINLLEAAQDGKLEFINNQLTSLTSRHLQQACKKTDYYGRNALHYVHELLQQA AYRGHYKVVEYFLDLQCININSQDNKGNTALMLTCVRGYNTDINTLKEGQKFAICSLLIE RGASVEQYKKLGINNPLHWACFFGDLKTAKLLMYIEPSLMLFTNDRDQFPIDLSLMTGKE LDDREEDERVLEYMIMKFLAQYLDNEEHRKKLDVFDEEYEAFKNLHKHNLNLKSTQQLTQ VGLRYLFWASTQGRLDLVRPLLKCKYSPFEPSYRGRNALHAAVYHNRLELVQFYLESDES RIFRKENVINLMTKDKPQTALHIAVERGHIEIAKILIKKGADPNYYNFRNHRAFDQSRLN EIKQLKRELLHNDEKNYLRSGYNHILVGWQKSKNQLLEQQFNNIQIKKNIEKGQFKYISY ESIDKQYTYYCLKVDERLKNVVADQNKMMIYNSREGYLSPFNLNIQEQFENFHHIHNQQI LLTLLYDEFDIEQFISDGLLLEQFPLHDEEEKELIIKFWKNERINILFEPFQLRKSTSRT FSALSTYFGPEVGMFFVFLCFFSTWLFLPAIPGLILGIISYFDEEAIQAIAPIYTLCMAV WATIFFEFWKRKQSETMYNFDMHVAKEQRRTIPQYKGSFIIEDVTHTIEIMDTRNVQWKY FKSNTPLVLLALVFIAGQQTGYYYLKQVYEDDDFYQTLWACLLALTVLITNEIFNFFSKH TLIYENHQFQDERENVYILKVFAFTFLNSFGRLFYRSIIKPDEVELKLFSISFTITWSLI HLIRYTIYPWISFSFIKLKFNWDFNKQKQLNNNKQINTQQKIGDTETSGMNKSFDKGIST QYFLQQIELNKRMIDPPDHVEQFTYFMTQFCMVTMFSAGSQIIPIIALFFNLLNIEGLLY GFRKFVKRPLAEPKKNIGVWNDILQLIGYIGIVSNCLTIYQANQQELNKLVGANENSDQD QTNLGLRNFLLLIVAEHIVIGIKFVIEGVIPDEPEWVELVLKKEEYLSEQNKSNIKKNDS IKPLENKVKQD >CAK71955 pep:novel supercontig:GCA_000165425.1:CT868108:320184:321631:-1 gene:GSPATT00008434001 transcript:CAK71955 MPKHVQVYTERFDQVLEMLVSKVGEAKWAEITRQMKNLYGINVPQKPMVQARWRAIDPKI NREPFTPEEMVQHWHHCVRFKCHWDAIRDQYELIGQQRDKTYLSQRFSIHFTQIISDLNR EIGKIASYNQQKIDTMRETTKKRVIEFNSRDPLSVQDQSAREIIVSCKSLVKVMTYLVDN SHLQTELMWAEVKKMISPAKFQKVLFNIFIMDTIVLISCNELKAIDDTSDLIDADDFRKG KYIKPTKIRFWNDALEEESSPEHTEEDEILTTSVRLSRMGPQTQFTKKDIEEIKESFIYK YCNTDQNKAFYNWYNEAKTQKNAIPSGLDCGKKLLNYDPAQPKRKYVRKQKPETKVQKQI EKFKRKKKKIQIEGEGKQRIKTKKGRIRLNKERFPGQDTIIFNQQLEEEEEQSSNDYQLM DAEQIYKINQRMNRQNAGSQSENDSQNSN >CAK71956 pep:novel supercontig:GCA_000165425.1:CT868108:321769:323975:-1 gene:GSPATT00008435001 transcript:CAK71956 MFFNCSYQDQEKTFIQISSEVIETEIATINVPNAKVIYPKDLQNQEISQIFSQNQDITMY SFMHSSSTVSVSYLEFMEQFAITYHGYTVILENEEHVNRWNPPKSERYFLVVFSFFGILK KFSNDALKNFKKDLSNRSLIGMCCYKSIQWLGLVEHYGQDKLLSPHIVKNFLQKYDLEFV FIRCYQNVSLNSLPFIYDEIRNQYIFDFNDMNQGSTIYFWNSKQYLGSYFIPHKHYEILE NVKRMLMDWKNKSNPFTFLNEYNLNNQDLDFYKNYINRILHEQNQPQLTFSTLTSIIFNS ILSGSEKTTYKQNNRYPLSFCINPTIIVLIPLGFNQSGFERLFQQLQQIFFFVNKITSPG QITNKYQICYFNRSLSWDNSIKLIDQLKKKKNVVTVGLMHQNNHQQYKLNSEVCFPFSYE YISQSLIESSNNYQDFQENIEILLSYRNQDLRQLPVHELLCIPLQPDDQQSKRNSKLCQE QDIQEIIFNKDLNVEQMRSIFFTLRQHQLHLTDHYFQILSYDLIADIESQLKIFLQNPKM SKSYIIITTQQYKPIEQKQKPLQYIITDDSWKEIEDYVLDSLELVGQKFRQSETIAQTIS CLTDQFLASGPIVYNKNLIPFNIHIKGQKAYSAKMQMVVIVLNAIIIMIPENTKLFQGIT IYTKQLEAQHMNEILHILKDNIQVLQRENVEMRSVLNQQFSLKNQQWNAYIVKFSSTEIK FKNINQE >CAK71957 pep:novel supercontig:GCA_000165425.1:CT868108:324216:326277:-1 gene:GSPATT00008436001 transcript:CAK71957 MILKQFKKEFYFSFMLQQLNKEVLLKRREALEQVIKRRFIYQPAFSLYGGVAGLYDYGPV GCAIKTNIEQYWREHFIIEEDLFEIAATILTPEPVLKASGHVDRFTDLLVCDSKTGTGYR ADKIVTETLENRIAKEGDKLAADVKARYLAVIKDVDTFKEDKMKEVIAELQIKALETGND LTDPTPFNLMLPTIVGPSTKLPAYLRPETAQGMFLNFARLLEQNGGRVPFGAAQIGLGFR NEIAPRGGLLRCREFQMAEIEYFVDPTEKSTFKKFNKYINLEIPLLSRQLQAEAKQHQPF KMGDAVKEGIINNETLAYFICRTYLYLVEIGINPVNIRFRQHQADEMAHYSSDCWDAEIE MSSGWVECVGLADRSAYDLNAHSAATGQKLQAARKFKVPQPRQVLQVILDKQKIGKELKK DGMALIKYVEALPDDEKQELSDYFQNHEDKVFNIDGKDLTLNRQLVKFEQKTMNVMEEKF IPHVIEPAFGIGRILQAIIEHSFNQRDDPQKTFFKFSPRVAPVKCSILSVVQSEEFDVVI QELTSSLKKLGISCKTDNAGVALGKKYARTDEIGIPFAITVDKETLAAQSVTLREIETTK QVRVPSVEVPRLILELSAGLILWTDVLAKYPVFAAKEEDA >CAK71958 pep:novel supercontig:GCA_000165425.1:CT868108:327041:327370:1 gene:GSPATT00008437001 transcript:CAK71958 MNSEAINLRTAAKKTNDEIIQEIIDSGNKNEFDFIRQVWEPNETSTSASIETSLSVGYGK KIRIGTYINKFLDSQLQPQVYYKLKELH >CAK71959 pep:novel supercontig:GCA_000165425.1:CT868108:327381:327677:1 gene:GSPATT00008438001 transcript:CAK71959 MNKYLILAVASLFILLIAYQTTSETNLKQKQIHNFKNNHQREIGYQSDNEECRNKCQQAN GMNCGKNWQNCCVPDQCDIGWITEICDKRIRVIGCTDE >CAK71960 pep:novel supercontig:GCA_000165425.1:CT868108:328114:328468:-1 gene:GSPATT00008439001 transcript:CAK71960 MNKKITLLLLMACVVCMATLMFSFSTQDGELKMKKSHSFSSSKNHEWMDIGHQIQIAKLN VKMQEE >CAK71961 pep:novel supercontig:GCA_000165425.1:CT868108:329393:330911:1 gene:GSPATT00008440001 transcript:CAK71961 MLRYQSEESEAKFKSLQLPSIKSSHTVIGASELEQIKSHIGIGEQKSKKTLDNNQRVWAQ RIEKQRSQKEKEKFDKFQIEEEERRKMDKVETEYQEKLKQDQVVDANNKIFSQRGDVRNM KSKMLMSENEKINDALVRFNKERQEMQMTLEVERLRDLEGKRQMDEQAELEKNQQKDQLK RETYAVLAKQHNEMKEKYYKEYTKLQQEGQLIKRQAEEEEQEKEKKKEEEKVKKQKLLSE RQKFMDVKQSIQDEEQKQLDKEAKDRDHFDNKKERVLEMRKQREAQKLDRQMQLKQRIYD MRVVQLKEQEDNYMQRVQKHAEELQRHEEEVARQKELEKIQMIKEGETFRQRQLKLRETV REKEEVDVKKEQVIKINALQQLAEIEEQQKEILRKRNKELLDYQKIQIEQKKQVRREQYM NELKQSKQIELRAQQDRDTFMNWAKQQVEETREQGLNLYPLMKTLKL >CAK71962 pep:novel supercontig:GCA_000165425.1:CT868108:330943:331640:-1 gene:GSPATT00008441001 transcript:CAK71962 MIDHQSHSTEHSIDNQSDAKSEESFEVVEKKIKNKKQFLEEEPRKKKKELCRNYQIMGIC KYGEQCFFAHCPSYYQSTFQDQVLKKTKPCRRYFSGSCYFGQKCQFLHSQCIDVVEQREF IEKQYKELKLMVPLNPIKLDQTQRFDLQRFHHLYKIFGRKLNFRRDELIINSCRNRLQIF VSICKQSDRFEQLLMSNNTSRKESEQS >CAK71963 pep:novel supercontig:GCA_000165425.1:CT868108:331730:333891:1 gene:GSPATT00008442001 transcript:CAK71963 MLTDSPSYKRSNKENSPKYCSVIRQSNISHKLINEVLNSGSDYLQDQLESIDRKIIQYQC NIKQKITTLLGESTCSIDQQVYQTKLQDLRMEEQNYQQMQSDIDKQQSVLYDYYETEFNK LKLQYELVTQEHQQFNKERSEVSQTYNQTLETKAMLQEDLNGKLLQRAELVGQKEELEEF VEQLKNEHPQLTEIVESIYQCDQKAKNIVKNINNITNQIQSLYSQQDEKKKQLAQFHQTT LLEVQVHQQTQKVKSLRNKIVPIQKSLNLQHPDSILNEFVLYYGNSPLQLESIEFQTKLS TFIQQFRNHLFKQGNQGNNWGSMKEFVFQLEQFILASLSQRLIQFQLSDLKHNLKQFGDE LLLSNEVCTIDYQVEVKRQQIRELEDEKSSILYRREILYDQFQDRINQQSEAAFQQYQDQ NKEELNNILTQFGNSEYKSILDQTLKEMKQLMQDQEEEQFNNTYKLLQQYYLQDLAIKTN IQIVDQEIIPQLKNISQSITNAKKEFEKVNGSERPYIERQNKIEQDIEQLEQEFKKKIDH FNSQEAELQKYLSSIKLAIENTQEQLLSKNKPNKALLEQEILQLNNMLTQLQEQKKQIEA SQFSKSPQKTDASRRSSNGGILAISKSLNQFQKLRKEPNPNSFNNSVVKGITPSKESSNL YHVAKYPKPNCKSSQELRLKSNISLKSIK >CAK71964 pep:novel supercontig:GCA_000165425.1:CT868108:334424:335207:1 gene:GSPATT00008443001 transcript:CAK71964 MQVSIEIATWTHNNHGLFDYESKELKTSKINVRNTTNLILNEENTDTIVQSDNVGGDCIG SISFEGNSIYFKSNPDFQDAYVKLDPKQKQQLQAGDLFKFGRMEYFVSELNNGDKVWMAE DHYNLERHIKIQKKKDPRQCRFCLMDDQEQTEDPKNPFLQDLCSCKGLMAYVHFEQFHAN KPRNTVQYHWNKVLECDVCKDPLPARVYIENQPEPSFR >CAK71965 pep:novel supercontig:GCA_000165425.1:CT868108:335213:335530:1 gene:GSPATT00008444001 transcript:CAK71965 MEKLDGPYIILEQITRQESLSKSLTFMHAFGTCSVSIGRGHNSEIRCQDISVSRNHANIS YEKFWYIQDQGSKFGTLRIIQNKLQLLKEVQEIQIGRVLLKIKII >CAK71966 pep:novel supercontig:GCA_000165425.1:CT868108:335629:336467:-1 gene:GSPATT00008445001 transcript:CAK71966 MFYKHSHHSSRFTTLNEESDNQETCDARSYRPSTTLASTSLSLYNRPYLHTKSIPKSLIE EGYRSKSILYHMCLLSNDKNVRIRPSRREREKTILFTDSMELYHHKIIKRVKEMCRRKSC NCGGCGIKTKFERKHDDFEVRTQQAISSDIKLLSFTRQGQKKKQTIIKEFKIKQINEKKI KAQYSSMNTQLMINLPSTQRESFQVDQLDTQRSLLKSTQHFIQSLPSIKNIVPLTILKQI YIAGKQQKQCVIRKPSHDYLIMKAYKLK >CAK71967 pep:novel supercontig:GCA_000165425.1:CT868108:336695:340087:1 gene:GSPATT00008446001 transcript:CAK71967 MLTDEPIGEIQDFLNYSLSDGCFSIQGSKVNTNNNIPSQSSKHKGHESKRVTFDSSINSP TTQFLMIRKSQKSSDPQQLQHQQQQIFSVIQFCFIKRFINRISWKKKINSQFNLYQYNII RDLGSSFNLKLFRDSALNLKPMISQFSQSSDGLQQIIKKKELSKKIKKQITHLRTCKDNL IKKIEQEIEKIPLITPESRLKIVWDCVVMLSRLYFLFTIPIDLAWNKYKIIYGELYLPTI LMILLLVFDFILSFNSSFYQFGQIVSNRATIAKNVISKSYGLEAISILILIIYAIISNSS QEEEFNLLTEWNIPKLISQVEETLNLSKPSSSLLELFKLLLVLFFVLHCYSCLWYFVGYY SYLYSEKGSWLEFYHVEHETWQVQYLYSFYFSTVTMFTIGYGDVVPISYLERVIAILYMM ICSIQLSYSVSTVGAIIDTISAYGQEKMRKMRKINSYMQNRKIEYQLQYQIREYLNYYWE SQNQVENDELNEIINQLSENLKEKLMNQSNSLILNECPLFQHNFSDALKSKLVNKIKQAV IQPENIINFDSLFPQSPPDIQIFIQNDSIDQVGKGSSLGIISFISGKQSQERFRSVGFSK LLLLSRDDFLKVIQDFPEDYERFRNLYDSLQFDDISVLQMKCFSCNSKNHRVIQCPLLHY IPDRELILKRYCYSKPQNRNSKYERNPFRQRGYFAARFDQEVIEQEANSFNNNNWKWAEF YEEPEEDPLKKSEIQQQQIAPILEQSNSNLQAQQQQLQQSQSLVLPIQQVTDQTSKVNLE PPSIKKKATLFKQRTKTIELLDIDDKQLKASLMSKARNQNIFNNNLMIITEEENQENSPK GKHTQSRASFSLDMYRKKPKEGIQKMKKVVQMITNMNRMKKRPKQKKETKSIFNQFLGVL QSQQFLKGIQQKVRIRIKQIQDGKKQILQEKDIQDTMLLEIKIKMQIEQLNEYQKKDQEI VMESYKKYKQYQIQNNLDQILEKIYFYKQQHTLNNELKSYQSKLLKYMIYPEIFFEKYRY QQVIIPKFEIEESRRGSDQDIEQTLKRSRMLKKSFRNLKLSQIRPMSDGTGKFQM >CAK71968 pep:novel supercontig:GCA_000165425.1:CT868108:340184:342148:1 gene:GSPATT00008447001 transcript:CAK71968 MNNQQSRNFVGKKIDDYILVDVLGTGAFGQVFSAQNIITKDSVAIKSVSKAKLKEHNGLV GQLLKTEVQVLAQCSNINVVKMNKYLESENNCYLVMEYCNQGDLEQLWKKKNRIIPEVEA IQYIKQILNGMRGLHEMNVIHRDLKLPNILISNNVLKIGDLGFAKRMESLDGVVKEALGT LGTMAPEIIEFKPYGILADMFSIGAIYYQMLFGVLPFSIKSYNDFLKDVKSSIFYSIILQ DQPNFSRNNIKISKESQELLIRMLNPEPKLRLQWNQLYESPLFSNQVVNPALCQLTVEQV VAKQVDLKMNKQVYQQNQQKFDNINNQDMIKKLQFDVPNLEHKQVQDNSNDEKLLQEALK QKQMQQQKTNDLNNVFEKYLNERNTLVFLGTVLHEIHQRKHTQNTNVFLPAFIISKKLII EMNRFLEVLRQQRNIYQCAYFFELYTFPQFNAFIKLCEEDFQIQMAHYNVIQQQLQTILF QMQNQANEKYISELSPAPSGQFEENYRDILINYYMQLQDEKGLNDDQDNAINNLSIYILD TILFDKEVKRQLLNQKVEDYLDKFNFLTCKEKDEMIAQKFQQIYSF >CAK71969 pep:novel supercontig:GCA_000165425.1:CT868108:342461:343402:1 gene:GSPATT00008448001 transcript:CAK71969 MNKIVLQTKAFYIQDFLNVKETWRKSYLIAIVLLLIENIQLVSIYTSDIIQFEYDQFLIH IRGVIDFCRFYTIFGNSNLIKSIFVIFGFVLQSIFLILILYPLLNIRIFIQKKQQLKFLT LEQVLQQLSYQSGESNNSSRHLLNWICCTPHFCFVVLVYQQIFLNLVLICNYSESLNSLE SVGLIFSILLITQQILIGLFIHLHQFEYRMKSYDFLGKFQDQKMNFLQGFQLLYIFQIDR ILEQYYYLEYSYNHQQFKYLESFVKQQGQYIVTINKFLQIIEYLDSISKLLSSV >CAK71970 pep:novel supercontig:GCA_000165425.1:CT868108:343519:347435:1 gene:GSPATT00008449001 transcript:CAK71970 MKQDLKNANFKHTHLEKQIRRLYIIFKEQVDLKKQQRLLDPKQSLQIYTFISSHLRECKL QRDRKAQKNIKLKYKCFCTDFFKDDDTFQSLEQMKQFAKELIGQTLEDEIIENQDIDLIL IYIYFLVQIKKVPTQAIYEVIRLSMMQKEQTLKQQAIIRKLKHDALDKFSELVRKNDLVN QKFVFKKVYLYEESLNVLKSNLQTIVKQEKDFYACILTQVIDIDLIVNIGFKLLENIKVL EKQLQLLYKTNPQNNECDTIYNIFHKYIHYNKLRPKLYRRDGQMMLQFLQSSERIIYDPG SCVIQITLLQPRGNVIRYTRTFQKAIGYKDEEIQDQNINRFMPQIIANDHDLYLDNFVER GRINVVRNAVRVILGKTKSQFVVPINTRLRLEASTTEFGATALITPVNFTYGYMMLNEQG QIEELTKNLFDDVFEKYLGVELESVRGLDCLIFIPELAKIWESLFNEHFEKLDKRLECHL ILPQLFRQNSKSLQSSRTTVVSKSLLQQNIIRSLENYPQDNVIYQISLHLTSLTTINLRL VILEMPEYKQIQNQKVTSRQLIQLRHRSSQLLNYSTQNDVYTQKADLLTSAKDILFNRDI DECDLEYENAIEEIKMVEDLRNQLAQINMINTAHNQNSSKRLLQSSDNFFEERVIELRQN INISEKSNDLSDSESEFKQRIQEQNLQYNSGSVGSRSSQNNTTALKRQMKDCLSDNRGLN VQTKLFLLSTYILVIVGYFVNYLILYFQFESINQDQNYEQLPFQFSYFYNEFVIAQSYIN ADDFQFGKLSEVTLEFFVDNIKDIDQTIARLPHINTINNLTMKSRMIIILSQLSKVQRMK EEILYSDFVNNTDQFNTQFEIIQSVQSINYSNDLGIYVLIEELVLIIFLTRYIYLCVKII QMKTKIFKLFCTFSKEVIQEQFLQFSSLYNQLNNTKFKNHETDEEQFETTMMRQYQKTVT SNMLDKHNKVGKQISYKCTQYFNILKQKQILLRFSSFYLSLFMRLCVPFTSLATLSTVSA RYNEKTQFEITNNYLALSYAEQAIILNQTIQQDGIDRQNKTVEVLKSLTTNLAQYQESSN TEIYDILSNSICQLLFKSYQYSYEEYSNLFSFEQCQSYSNLEKGLTFVVQELYSYQFEFL QLLQINNQSIYSYQEFLKNSQTQVQRVYAQYAFLVIIEMLKNKIKTLVTSTLVINSIMLG FASIIMSGALLITMKTIEKVKEQYKQSKQLLTLFPFDRLMENAYVVSFISQDLHFSV >CAK71971 pep:novel supercontig:GCA_000165425.1:CT868108:347528:348784:-1 gene:GSPATT00008450001 transcript:CAK71971 MYTDPVAELLRPKQSNLIKSSDSVGSYRPKSIQSNRSNKNIISQQQQRPPSQQSQVGSNG AKRIVHIGRNGKKIEQLSSIAPAKTTNDLCYEFDPFVLDQISPEDPIWRQILPDNMPLDE QTLERLLEDNPDYFYHHLGFCACYRCKCGRCRCDVSNQVKLKINGSFLTVYDKDFIPHKS SYNNLTPLNSKTYATKFLDQKTIDFTTSHQQDYKEMPIQSTESFKPPYRPQLGSMSNLTS YRSNYSNWGNNYQRFSRYPHISTSTDIKFIGKTQYQDSFVPPNSWKVESQNYFKSELSPM PTGPFVGMTTSQQNFQPFKVARSPQKPTHHKYETTPSFDGQFTSTGMKDFTQQQDDYCPA KQFQKIFRKKLAQKVEKKKENFIERRINNAMKN >CAK71972 pep:novel supercontig:GCA_000165425.1:CT868108:349034:349540:-1 gene:GSPATT00008451001 transcript:CAK71972 MGCAAGYSLQQDLDLSISHTDSQMLLLNNPIRNLYQPKTIESTFLCNSLKWCDEDDNLEN LFEECCKLEEELVIANTRILRNDENEVKELYQLQQSKYFSQTSICVYKRRNLYKSNNKLP EKIPNSILKRKRLKDDQVFSGKIPSKIKVQKVVRFNKCYVKFIPDTLM >CAK71973 pep:novel supercontig:GCA_000165425.1:CT868108:350446:354242:-1 gene:GSPATT00008452001 transcript:CAK71973 MNFDKSLKNRQTLSFTGFSPAKSNINIEASRKNLQSSSFGSPHHASSLEVQLKQEFETQY NERILTMRSFYDMKYQTLFETVKEALDKIMNDELIDTMRQDITSQEFVYQRVKEMFEEII LSEREVLIEKLSSQYAYLKMEFGKIEQDKRKVSLWSNMQLAIELQVLQDEQADKEAQAQN QVQQAQEQISYLQQNNDQLNNKVSQLEQKLSSASQTAKEIQQLQTTAKEYNILKEEYEQL VQTNEKLQQINKQQVQEIEQLHKISENLQLKVQQLNKIYQELEGEVISYKKKSTDSEAKT QFTISNLQNQLEAVTLQFNQQKGDYEKRLEKEAQTFQNEINKLSQKLQRKKEKSTFHKQN AKQLQIRLDQLIRDHAEQLQNETQQFQRKINELQQQNQLQLQQAQKSIDDLKESHDKNIY SLRNQFEQINKQQCDDSDKQKINLLNELDRVQSELESVVLQQQQQIESNYIPKIQYEQQV KELQKKITELNQKLREQDALMKNQQDEFENYKELWNRQQNKLHLDVKDRNEIQEQLLARE ETINNQNKQISQLTQQVKMLESDYQRLQERSKQQLEYIEELKNDVSNRQSEVLKIQKQLK QQKDELMEQYRQLEGENKTKNDKVQLLNSQLEKLLNESSENEKLLNYKSKELQQLEEELM QYQNYKRNAQQLSEENDQLRIQIDKLINIQELQEQKQEEIKKQLDTEQKQKNQLILNNES LMQRHQKYVKTIKEQRKYIKRRIVSELQKIKQEHASLEQYLRQIIRMKNNEDNLLIASIV TKVKDLWNRKEIQHENEILKIRNEIFDQYSGSASHLRTAYEQTLDETQKQFEQQIENLRD AKEKMEDENKELLHELQKVQVILEDQSALITNLKNENKTLKSNGMLIENELSSTLKNMND MKDSFEDQIQELTKQLKKYERKQQHQQDLKGQIDNLQNELEKLRTLNRSNTQETESRIAK LVKQQQSEVQLLVNQFQREQIKLRQEQSDLKDQVAQNEEVIHKLYQSLDDVNQIVNQKDK QLQNLIEDIEQERASDQQKITDLQNKLRKEEDEIKATRQKGQRDIEKIQLQIASAESEIS QFKIENKQLRIQCEQLAEELEKKTKQFDQLNREYEDQKIIQNQEIADLHRLLKIQVQNDD MSNYYSESKELAQRVKELQKINSQFSDNNYLKRQESSIQKFDSSKKLNSSNNLKPRLANY TPMTKK >CAK71974 pep:novel supercontig:GCA_000165425.1:CT868108:354348:356616:-1 gene:GSPATT00008453001 transcript:CAK71974 MRARGPSKEQESIGKSVDNNSVHKRRQELWEKQMGSNQVCQGYISNTQGSAESGIKQFLS SMRKNGSFQQIESQYRPNITENETESPNKSQLKVTDTQLLTPLQKHTSKNQTTYDILSQI YELQNRKSRNQSLLEELMRKTKQANDNLTFQRILQRKKYYKRSQRCQSVAQKEYELEQLK GQLIQVKQVQVLQIQKDQTPKQQEKLMPLLVRKEKTKSPPSKDNERKKVQVPLCKAKKIV IDDTRFWDIGFTKFIQNPSYQEINQLINFCNGIQVIPALHQKYYKLFVGRGNNHMMIKGI FNLRPQWSIANSIDDDAEINFVWTQKFIDLQPSEIKPIAQTIISEEINSWIDSQQMSVIK QAWDKIEGKSKKKLNEYNIDSPPILNNLHNYKELTQLNHQTTNIRIHNHLKGGHQLGDKK WLFHNLSEYCSEQSIDVWNYIPLTYHIHGPTDREFISFQQTFQHLALDKDIKNIWIIKPG EDSNRGNGIKVSNQMSEIVQHISQQGHTFILQKYIENPFLYQKRKFDIRGYCLITIMNGV KRVYWYKKGYLRTSSSFFTLESLDNQKIHLTNDAIQNKLNGYGKFEKGNKVSYDQFQAYL IEHNKQNNTSLSFDELYADMKALTKLATQSAIDKITNEEQILGFELYGLDFMISSSFKPI LIEFNTNPCIETGCPVLTKIITGLLENLMRFIIDPLFPAKRMGNDDFMNKNDFELLLSC >CAK71975 pep:novel supercontig:GCA_000165425.1:CT868108:357387:359562:1 gene:GSPATT00008454001 transcript:CAK71975 MNKQSSYKTVFGGCSSLILLVILILIFSSNITSFFNKESLSATVLTEFEEIPSLSIIDDS FFLFAVQIDQDDFLKKPFYEIKIDQFRIQKFLNGSSIQTQKEVQLIPCTLDRFNKIFSQF GRDMTDQFNQFKLHDFLCFEQVVLDIFVATTNLFQSKEHLEFEFLKIQVQECSNKTNCAS KEELQQEVDKNGAFKIKLFPINKILNPYKPEDNYLQTFLDDSFFFRFLPSNIYKSVDLFI KEYEVTNDQSLLPFSQLEQSQFYTLDQSEIKERTELQNSQSSFVQIQIRKSPYKIKIFRK YLKIDELLSNLGGIQQIFIFFVGTILTIYNRFQLLVELANKLYEFTLVSFQKEKYYQENL DLVNQMIYNKQEKMPFQEKPRNSDVDLEIQPLHQSTINQKSKNELLKFSEQMSPSSQQQR NPLKKQTEFALKKNKFIYEQEAMASKLNCQNGLEYFQLQIQQLIQRSKPILMTFQMLINF LTCQKVFNNKKHIQLMNQAMQFILYLLISDKITQQIDLFNILTKLNDLEKLKEVIFSPQQ LLMFNFTPKPLISLDNKTEVFNRTIVEERTRSNNTKQDETSSNSEFQNKTHYNLQADARM YSKIYSAYDDVLQEAENEQDPSICNNLSKQIILKLGAEVQTIFKLSKLIDFHQNQNNTRR RGMTAETLRRVLCSKTNLQE >CAK71976 pep:novel supercontig:GCA_000165425.1:CT868108:359621:360380:-1 gene:GSPATT00008455001 transcript:CAK71976 MLRSQVYLVFIPHPCLSIYNKVSSKLLPELEKRLKATILVVAKRTIESKWVKSHRSQTRP NSRTLTSVYDGLLDDLVAPSTILGRRTRVRVDGTKFYRIFLDEQDKNEVEPRLDAIKAVY KLLTTRELEFEFRRDDVFYSKRGGAKKATKK >CAK71977 pep:novel supercontig:GCA_000165425.1:CT868108:361309:363375:1 gene:GSPATT00008456001 transcript:CAK71977 MSTEQKKEEQFHFNADIQQLMGLIINTFYSNKEIFLRELISNASDALDKIRYKSITDPDS AGLTIEPNFKIKIIPDKNNNTLTIQDTGIGMTRDEMINNLGTIAKSGTKAFMEALSSGAD ISMIGQFGVGFYSAYLVADKVVVISKAVGSQQYRWESQAGGTFFVYDDSENPVQLTRGSC IVLHMKQDNLEFLEEKRIKDLVKKHSEFIGFPIELQIEKTTEKEVSDDEDENKEKKAEEG EVQEEKDKAEKKKKKIKEVSTEFEQVNKNKPLWMKKPEEITKEEYANFYKQLTNDWEEHL SVKQFSVEGGLEFKAVLFIPKRAPFDLFETKKKKNNIKLYVRRVFIMDDCEELIPEYLGF VKGVVDSEDLPLNISREFLQHNKILKVIKKNITKKCIEMFQEISENAEDYKKFYEQFSKN LKLGIHEDSANRTKLSEFLRFHTSKSGEEQISLKDYVGKMKEGQKDIFFITGESKASVAA SPFVEALKKKDYEVIYMIDPIDEYVIQQLKEFDGKKLKNCTKEGLDLDQTEDEKKKFEEQ KSAFEGLCKLVKEILGDKVEKVQLGQRLDQSPCVLVTGEYGWSANMERIMKAQALRDPSM SSYMMSKKTLEINANHPILTELKKKSDKDKSDKTVQRSNLVAL >CAK71978 pep:novel supercontig:GCA_000165425.1:CT868108:363382:363589:1 gene:GSPATT00008457001 transcript:CAK71978 MIKLGLSIDDAGIEEEDEKLPQLEKKEDANTEATKSKMEEVD >CAK71979 pep:novel supercontig:GCA_000165425.1:CT868108:363702:365781:1 gene:GSPATT00008458001 transcript:CAK71979 MGCGVSNAHQFMRYSQEKVTENELGSLENNKGQTTVRRNVKYTNQLLMIPQQGITTLQEM LNNSVAKFGNQPCLGKYEGDNFQFLSYNQVNEEAIHLGSGISNLNLVKEVQEYQHYKLKL IGIFAKNRREWMILDWANIIYGYTMVPFYDTLGPESIPFILDQTNIETMLISADATKSLL QCKEKHKLKNLVLLDPLSEQQANDLKNKGYHLFKFEEVVENGKKSTVQHAQVQPSSVYTL CYTSGTTGNPKGAILSHGNFISAIGSTQATDANITSTDVHLSYLPLPHVMERLIVLTMLF TGSSIGFYRGDPNLLKEDIQKLRPTIFASVPRLYNKFYDGIKAKINEVTGVKKSFAERAV RVKLENLRSEAKYTSGLYDKAFQGVRDLFGGRCRLMITGSAPIQQEVIDFLKIAACCPIL EGYGQTESTALSFSTGAWDPKSAHLGGPAANTEFKLVDVPDMNYTSLDVVNGVKTPRGEI CLKGHGVFLGYYKDPEKTAEAIDEAGWLHTGDIGLITENGGVKIIDRKKNIFKLQQGEYI APEKIEAIYNRVQGVSESFIYGDSLQSQIVAIIVPMKDFVEKYAAEKSIQGDFEQLCKNP EIISYYQKNINDYGRANKLNSLEIAKLVHLEPQPLQTFGCLTSTFKLQRHIAKQVFAKQI EQLYKSQA >CAK71980 pep:novel supercontig:GCA_000165425.1:CT868108:366559:367319:-1 gene:GSPATT00008459001 transcript:CAK71980 MYYNQPNPVYVFVPCYIPYQIPPVPKFDSTKEEQHSDSEKEQTKIIEEEVHTNASGIVRG KGQLWTEEEVNNLVQYYKKYHGNWKFVIKHLKGRNISQCSQKYRKLMDQEKRTKKKWTVD EDKILLESYAEFGRQWIKISQKLPGRTSKQVRDRYVNQINPTINHDHWTDEEDEIILEEF KQGGARWAIISKKLNNRSENQVKNRFYYTILKKYKGEQHPYLKVQE >CAK71981 pep:novel supercontig:GCA_000165425.1:CT868108:367375:368765:-1 gene:GSPATT00008460001 transcript:CAK71981 MRPSKQKFNISFREFVKHCEKLKSDQETKIIKSIKLIPTASPDEINDFVNSNQIEGNITN IAKDFDFLPKEYSSILSSKSITSPTPIQKAIIPLILEGNDVIAVAETGSGKTLAYALPGV IHIQAQPQALGPRILVLAPTRELVQQIQTQYELFTRTCCVYGGVYKNLQYSELLGIKQSR NNISLPSVIIGTPGRLLDFMKDGYPLKSITQVVLDEADRMLDMGFEEQITQIFQKVRKER QTLFFSATWPKEVQKLANFLCNENPNLLQIGEQGLSVNKNIQQEIIIVYENKFEQFVELA ERLKGQKLLIFCQKKIDTQKLEYRLSLHGLKARYLHGDLKQAERDYIMEDFKTGAINCLI TTNLASRGLDISDVDVVINYDFPDNIEDYIHRIGRTGRAGKKGLAISFFEPGSINNRVKS ELVQVLQQSNQVIPQELFNMMQ >CAK71982 pep:novel supercontig:GCA_000165425.1:CT868108:370968:371947:1 gene:GSPATT00008461001 transcript:CAK71982 MQMKKNSLDRQAIQNLFTNIDNQFKIQLLSTNCFPLQYIPTYDELSSILNTFEKSNFNKK GAWTPCQEKLLNVVVFGVCLQNRILPHDLVQNIHLLQMIQDWERISRLIIHHNWKACRNR WLQEKQAKASWTLEEDQALIQLYNQHPNKWCDIAIELMKKCQTPYARQGKQCRDRWVNKL DPNIKKDPWTKEEELMLFQEVKKKGKRWAEISLQIFQLRRTENTIKNRYYNLIKQEQNKL KLSKMSNDEKEYFVMNKIIKELQEKVYQNQHNCLDDTNWKQIMSSNFKISQFSQQLCNIE CLIMIKGRKLVKLNGTL >CAK71983 pep:novel supercontig:GCA_000165425.1:CT868108:373691:374160:-1 gene:GSPATT00008462001 transcript:CAK71983 MSQKFKVRKLRDQKPEDLLKDLEKLKSELIQLRTVKVSAGNAQKLGRIGLVRKRIAKYLT VINQQRHDQVKSSTKSSGKLPVDLRGKQTRAIRQRLTRSEKAQKTLRQWKRLNNFSLRKF ALKE >CAK71984 pep:novel supercontig:GCA_000165425.1:CT868108:374192:375393:1 gene:GSPATT00008463001 transcript:CAK71984 MGNCFNQDESQNSSLKTMKKVESVLSQPIHENESGKVCLKDFLSQGEIGRGQFGKVLKVK MKCNTKEYAMKVIKKADIIKYGLVNHTMLEKNVLEYSNNPFVIKLQYSFQTEQKLYLVME LVNGGQLLRVMTRQPQKHFTFVQAQFCAAEVVLGLEYMHEKLKVIYRDLKPENILVTEQG HLRLTDFGLSKKYESLDMKFFTIAGTPEYLAPEILNNSGHNYTVDWWCLGILIYEMLVGK TPFRDKANNFRNIEQQIKECHIVYPDHLNEQSKDIIVQFLNKDPSKRLGHKSIQEIKDHS FFKEINWDDVANLRIKSPILEGVQKLQQQIAKEAPVAKKIFETPQSQVGQTAGNFEGFSA NHDEF >CAK71985 pep:novel supercontig:GCA_000165425.1:CT868108:375406:377194:1 gene:GSPATT00008464001 transcript:CAK71985 MFNNNPQKYINRNDIKEIKKKFDWIVIHSVNSKIPGVTLEQAMQIVAQQIDSSPHIFSRW VTRQSRRNVATWPQILELIETYNQQQETAFDNQIYEQSSFQFIEDKFMVMKPSYFQITNQ QEKQIFVYYIENMSYVKLKQEFAILIFNNKTLALMNIHNFKPILVVSAQSIEKTKKKQAD EFMGILQRLDQQQSKDSWKKQINFYKKSIENSFHFERLDTNSSMKERLDPILQCKRDIEH LQKVVNLNPWDPQLRPLNPDPKMQLIRKRGQSEHSKRYQVLEQENYKLNSSLQVQLICSK DNNIILVLENLVLQYYQLEYDYKNGDYSLKKCHEFTLNDKIDQIAITQNKWIKQDHCLIL KSKQMLVYSMPDFKLVDKIQVGQQADTMLSNKIIIICQYDGLFSIIKENLRKNQQEQFYS VGLQKQVKFNDLKQSVSSLDYSSKYQFVIFGSMCTLVSIYDIRKGQFIHQFSVLNTSQQF NQMNDKILRVFVFDSQNQYLIIFTSGYVTIWDIQRHKKIQECMKQSPQNKTQQQYFQGMF LEQRGMLLLGGFNLQSWVSRINPIQISDTILDSNSSPIYS >CAK71986 pep:novel supercontig:GCA_000165425.1:CT868108:377303:378975:-1 gene:GSPATT00008465001 transcript:CAK71986 MNELAQINEYIKKIEEMYSESFFEKRMNDTSSEEKNNEIKFMMEQINQDQGVIENLIYIS LFLIGTLQAKQDDEQENNDFQEKQRKQLLNELQQERDQILQYKNLYHEKCQIIDEQLNVI SDLEQQVSILKNQNTNLENQNLRIQRSQIDNGSITEIIQLQEQQLVKNQNFQQELQAVNQ KLKSDLQANQIEINDYKDQIEELNLLLKQMKFEFSQLKLQKQQQDILIQSLEQDLKIQNE IVIDYEIQIDQLKEQIRSINITKMKQNEKAIEKKGDDQDYNLQNSAYTTNLEATQFSEVQ QSNYQQTQPNLKLQLPLLSYRQQLYKFQIPEVSERQEQSDQTQKGNQNESDLYTVVSTFE VNQVEKENLRDKIKSVQEDNQIESAPNSQFVSQTARLDLNKTQIPKFQFSDINNRITVAK QRKSKTIEQVGVQQYLQQLGKRSNSKIIEIQKSYPDPYYIFFELLVQCVKMNSDNFDEIY SVNTETLYKECQKEGKAYFEWQDWVQKRLNIEQMQNLG >CAK71987 pep:novel supercontig:GCA_000165425.1:CT868108:379008:381239:-1 gene:GSPATT00008466001 transcript:CAK71987 MFNSTTQLANQLPVFKSQNYSELKDYQDFLKLIQKFHIQKFMLKSKEQLYHYLSNQCQIQ NYNSQSDSDGDSSYENQGSGQQTEDLSRNKIRNKSQKMQAKQQDILEKQYPQIQNEEINL QNQSFEIKKSDHHKKEQNVKRSKENTKNNEILEKLKSIPLIQDFDDEDIRQMVNDFQQED KKQSKQSKNSQIGKDTMMRDDYQYKWPQCRIRILQALTILIEKKVPVPKILQDFKILQKT YIYSLYLKDCDDLANQLWQKVGENVSADDQIKYYRTNYNTDQLELIYEMVKLVYEKREQH REQIIATCSGIIFQKKLRQLKDKLTAKAKCADKKCELIIAFLERINNYVLTGPIMNKYQH GGCSEAQLLHQKIIKKAAKTLLFSAVQLDVPVPEVLQYLSLRKSRYNVQDRPEEQGIYIL PSVELVKLIVDKQGMETIAQQLKMNDFDYLDFKGLDQLNFEHSNKNIEDRDETVLYSVLQ LVLDRIDELDQLSFVINDRKFKALQSKLNELYKDEVFGEPNQQQDIPQYVGSVMNKVESY IKQSKSSERSKAKLCCIKTKFFDSEIIVKSNNEDLTIEQLEFCKQLFILFLSEISSKDIA FPEILRYFKFVDGKLFFDFNQKLIGIMLLCKAIRQYFISCMEMENEFEKQITDDNKIIIN SVPLLKLIIRDEFLLEAPEIFAKQISILCHHKEDLILDIRELFKYQPICEMIKLQVIDDE YEDAILDLKCLYKFITEQNSYPE >CAK71988 pep:novel supercontig:GCA_000165425.1:CT868108:381508:381942:-1 gene:GSPATT00008467001 transcript:CAK71988 MNDAKTDFIRWLQKERNDQFTQLFSEDVLLNLKQEREISKLQKISLNNYSNLTHCGSNIQ FHKIIKSNVQEINQTNKFQQTKENDFIGIPIFQLYDTISFETNLLDQNERIDPLNYQQPQ IYRQPKQEFVKEEIPLRKLIAILK >CAK71989 pep:novel supercontig:GCA_000165425.1:CT868108:382043:383831:-1 gene:GSPATT00008468001 transcript:CAK71989 MSKTPNRLVLPELENKSFRDSKQIQDLRRKLEQQKDTIKELVNLVKESKTEQSYRPQFNQ SVIQPNPEKDEVTLQLLEEVKTLRRQINNIEQGPKQPVQHPIIIPQYLPGPPQQQQQVQP MMPPYMFMNPYFPMPPPFMYPYPQQQQSNQDDPYKKIVLEMLQKGNNHLRDPNRQRKDSY PSQYTDDQDDRSRQHRHHSNLDGRRHYDDRQRYKRNKDKKQIKDRDHHRIRDQDYSDHQR TNSRVSINDSQISYNIRQQGKGKGKNNQKKIFTEEEKLKLRRKLSGVFWYFRIGLVLRKY LKRVWLQRRQEYYNTEAQQLIDKFDHEFNYKEVFVLFVVECNKNKYLTKNWNIFDKQEVD IKSKLIFSIITVLFKKIPFMTKSSMTDEHKQFIKKISTPGGYLLPGHPQFVTDRVELRPN VTMGQINVEVTKMIQMDYVYIQILVQRVILIHEWYSQYSKIPNYKEAMKIFVTLLHQLFI DHFISLPIYENPDSIFNQKQIIYCDFTQQNYIDVAVSIDDKHPKYQPTKHCCILGLGSNE EMRPLYEQPGYKDLQIQFKDYCEYFYQSLNF >CAK71990 pep:novel supercontig:GCA_000165425.1:CT868108:384821:385402:1 gene:GSPATT00008469001 transcript:CAK71990 MNFNQLVFPTQNKSQKSLNSHQQNSNNSNKKTISNTPLLSKHPTTNKINNRDDIKNKEKF LDLKNLKTPITKQISLDTEPQYFKGKRPSAQTISNDVEKAKFLQIQTTRTVRTSQIDRNN TMKIQETHQISTEQRNKNYFKSDCINNDKILDLLLLNTQELKGIFQKEKEPPQRAKPRIN NVKGFPSDFFSLL >CAK71991 pep:novel supercontig:GCA_000165425.1:CT868108:385805:386660:-1 gene:GSPATT00008470001 transcript:CAK71991 MQSFRLIQLNLNTAIEGKDWNKLYSLQFTVKYASKLSISLNNDDIKGSLKLQLQKSVLTI QRKSNILVEYLTWYDKKENHKSLFYDEQQLRTSRELINSFFIIRLLLNTTC >CAK71992 pep:novel supercontig:GCA_000165425.1:CT868108:386682:387698:1 gene:GSPATT00008471001 transcript:CAK71992 MNNSFNNFRECYNLPGLRSFNSQSDTSLFRNKQSSFKNDRHNLHSSNEELFADIERSCNM NVSGESLPTSEEEHSLIDQAIDMFSDTKVILPKQQADIRDVQDQMIKDINHVFVDSCYKS YLSTEIFEPEFLENNQSLKDQFYENNHRRKRKTISDEDSHNFIVRIDGIEGDTRTTVMVK NIPNKYTIQMLKELIDYHHSASYDFLYLPIDFKNKCNMGYAFINFVESRMITSFHNEFHG QKWPHFNSEKICQLRYARIQGRSALLQHFQFSSVMNQKDKKLKPVIVPQNELQRIQQMIQ MQKQ >CAK71993 pep:novel supercontig:GCA_000165425.1:CT868108:387769:389340:-1 gene:GSPATT00008472001 transcript:CAK71993 MSKNLQLNELASRIYMQDILREFGYFKALEQQAEYFEYIEETINSLEYKQVKKGNIVFHC GERGDYFYMILKGTVLVYVPKKEDEVQKQKQIMTQMNQIKEDMTNNSKKKDDVKQMQKQL QDLQNELKEFQNMEDILLFPFKSRYYQKLPNGQMICLYKKVNTMRDGDCFGEVSLFRNEP RAATLIALDTLHLGALNKSNYLRIFESKLEKLNFTLGMLSKMFPQSSKEVVIQLSFDFQR KLFSINQTIFKQGDVVDGLYLIFQGIVEISSDNVRVNQFSECQFVGLFDLKNPGLRLYTA TSVSYETIIYFLPKKQCSGLDRFMRERINDYRISSDGFRQDWVKKCHRMIAKQQQESNKQ ILRDINTKEILQKCITQRELKTTSNTISKDRINQIVEYNNHQCSLKEKLKNVKLCLQMRD FDKEKEIVEKMMKQQYTQLPRLRERPRNLLETYTNLMSKVSRFTQSPAFHSPNSSDHSIK NIHVEKKLSQRMKKSEQIINQIMGI >CAK71994 pep:novel supercontig:GCA_000165425.1:CT868108:389459:389948:-1 gene:GSPATT00008473001 transcript:CAK71994 MKLAILPDPIHRQYFANHVNSLKQIKGRKNKVEEDSLGVIRQLSTIKKIKDQVQAFEAIE ENKSIAYNNQKIQQAIMKISNAKHSLPKLYIKRKEKTEISDEIAKENKRLKRSIENVQNS LTYRTKHQNIRKSYQQVLNEYCQSRLQQQNEQLQQ >CAK71995 pep:novel supercontig:GCA_000165425.1:CT868108:389965:391026:-1 gene:GSPATT00008474001 transcript:CAK71995 MLTGKEESALLLLRSNRGNQESKELPKYLRSTFTDTYQLQEPVKEKRGKKMLPQYAFGNS LESPSFFRTPNTLICKESYDNPFIKGQQKPKSKWDSLRSNTLNNYDFYNISEQKFSNQPA EGFNNVRLVNTVTNQINFVKTPKVKNQDYTFQQAYRTLQKTSHFRRKHELFTDFMEKNDN QNVYGHLNDRQRPKGHLRDVKNFSLTPQEVKLQHKYTFNSMITTPKFKSGRKEEFPRVNR ADEKGLEVEFDLCSFSFLNSLSNSIILTHIDNRMKGKKQDRYNADPVTEDYQEDEIGLIE VFEKHLEFEKQYD >CAK71996 pep:novel supercontig:GCA_000165425.1:CT868108:391792:392790:-1 gene:GSPATT00008475001 transcript:CAK71996 MKSERISYMTYKDNVDQDEFHSVLDLQQNIQSRPTLYIRPKQIHRNTLQLGSIQESSMEP LLNSETQLSKLVPRKRQVVPIGQVKRNRVETLLLRSRPQRQKSQLECSLDTSAALIKLIN KNRWIRYLMNLYIWLNIGLRIGYVAIVIFFVIKNDYNNQAQDENSQEIMTQFYWWIPGSF IGIRLIFILIIYKLLSVGVQTRDTRIKIVYDTYEAWFNKTINERDQLLIRCNQMVIRNGQ DELELRQLQQQVNSLNRWLLILKTYIVLIPPECQFIFLKQKSNGFFVGAKFYNEIIRNTV LYTLIDVFFIDRKTIDDGMGSFIW >CAK71997 pep:novel supercontig:GCA_000165425.1:CT868108:393491:393948:-1 gene:GSPATT00008476001 transcript:CAK71997 MSNSNTKIQSQTDMKQKLLNILSEKNSKVTSQQKPCSSLDYYSLQRKLSQRSHKLPVEMT KFERERWLFEMMNTQDVAQQEQELKEIYVRTTKLITRYRNKEIKWNKKKEALQNEIDLLR SLLEQS >CAK71998 pep:novel supercontig:GCA_000165425.1:CT868108:394028:394655:-1 gene:GSPATT00008477001 transcript:CAK71998 MLSNLIQADTKVQPAVQQTKAKKGKKNQGEDQPQQVVATLGPNVAGNELVFGVAHILSTW NDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKL RARGGVDTRQPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK71999 pep:novel supercontig:GCA_000165425.1:CT868108:394690:395365:-1 gene:GSPATT00008478001 transcript:CAK71999 MVNLRLQKRLASTQLKVGINRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKVLWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKATRVKKTQNKFAA E >CAK72000 pep:novel supercontig:GCA_000165425.1:CT868108:395387:395769:1 gene:GSPATT00008479001 transcript:CAK72000 MGTCGAKNKSKNKMKEQVISTNQNNGIQNQEQNIKTNREGDIAQQVQQTSDKQDPNKEQI EDKVSQINQEKNNIQEDYSKLSEVLPMSTVGIHPTTTPIIQISKKKVQDDDFAKHHLEV >CAK72001 pep:novel supercontig:GCA_000165425.1:CT868108:395903:397562:1 gene:GSPATT00008480001 transcript:CAK72001 MIKSRFFFSYQTKGIFRRKNQNIRREDFVVKVADEDVHSKRLASALNIDENQSESSHSSA QSNFFFRESNLQKLDLSDLYRIDFDNNDKISIVPTLIEPLENIVKNPGIYPISEFKNHHN YNYLKQIQRNGQMNKFDVYVPPSKDITLQQFAKALNLRYCVSTSTFSNVLSQIFYLLSGF HGPDFSSIFEQFPDEPRKFMISQRKPTSALLHKVDENMYALDGDPGIFEEELIELLMSGK IVERQLTQDQEEFENRYIKLDPSMPLVEDFHRFMELNNEMCLRSQIDCRNRSENPIVFEI KARGACPIRYDYPNYRDYLDYEINQYKGLFQSFEREYYDLIRGAFLKWAIQLKIGRMDGA FVAYHNLLEIQGFEYIKSSEIYKRVFGTEEFSDLSLLVGSRIATKLFDEIIADINEDFET LKIGLYSQSFTKKLILFVEIIKDKSDFQKVFKQENLKDEYDYYTKHPLKNKVLKYEFLLK PEINGVEYCYPTIFRKNDLISIKYKLRSLGQADFGDYMNFLHDCYKHEEINIEINYSGAW QKSM >CAK72002 pep:novel supercontig:GCA_000165425.1:CT868108:398074:399859:-1 gene:GSPATT00008481001 transcript:CAK72002 MSEIFTLQQLAQMQRNFVKRKIQINLECKNQLKQLRDQVVQILDWKPTPSEFECHIFWKD TYVTDEEYRRLLPYQRINHFPGSYMLGKKNELCRNLNKMRKQYPQDYDFYPKTWHLPYQS EELRNKQGTGIFIIKPEANCQGRGIFLTKKLDQFLDKHYVVQEYISNPYLIDGLKFDLRI YVMLKSIAPLKIFMYQEGLARFSTKKYVKPQKKNLSSVTMHLTNYAINKRSKDFVFNNDT NKDDVGHKRSLSSVFKYLKDQDHDVDQLMSQIKSVIVKTIQSVQGDLAHLYRSQQHNVDG IEQCFELFGFDILLDSNLKPWLLEVNHTPSFSTDTPLDKIIKKNLILDTLILLDIRNKPK KNYLDQKRAPTFQRPPKMNQEEKEKQVNQITIYENKHLNGYIRVYPDENLQYYEQFMPPK QKEKETIIIQQQLDITKQPDKIQKLQTESRPPLAKPPPKLSKNKSFSDLEAQTNQPLTLR TVAPQTRTPSQSRPFSSIAIINRPMSALRKPLYGNQHQNLNQSSNQQKLIYPPPIEQPPK PVFKMLQIKTFSFQKIENIQKNE >CAK72003 pep:novel supercontig:GCA_000165425.1:CT868108:400967:401428:1 gene:GSPATT00008482001 transcript:CAK72003 MAKAAKDTRPIADQATSEAACTYAALILYEDNQDIDATKLAKIVKAANLRVEPIWTKVFE KALKGKKVGDLLHGNSGNAGGAPAAQATATPAAAEAKKPEPVKEVKKAEEPEEDVDMGGL FD >CAK72004 pep:novel supercontig:GCA_000165425.1:CT868108:401859:402859:-1 gene:GSPATT00008483001 transcript:CAK72004 MHQQTAKFVEVLPNYDQLRINELKQIEQEQYYISQLKEQRERMEVLKEEFLKKDQTITDF QIQNQKLREELNKMRVALSEQIKHQEKEKQRIKKYTQERDQLMNDNQELRDLVQHLREEN ENLNSLQLEKQRQFEEYVVQQQIENEQLKAEISKLDELLFGTEPLKAENNKLKAEIKEYK NEKKKIQHDIRQSRIEMNQQLDEFKLVIQDQHQEIAKLQQYKEMNNQLKQNYQEFEHQFK LLKQENLDLKLELKRFYDKEESEIKMKSEIDRVRQDLISVRNQEVEKLNELFKGIFQRNS LEQSREKYI >CAK72005 pep:novel supercontig:GCA_000165425.1:CT868108:403522:404452:-1 gene:GSPATT00008484001 transcript:CAK72005 MFQQFLDGLGDLKNKPVALKQVKKILKIEEKSLPKNISNYFVEFTKHTSHKWQRKDKMIF IWTTLKHLEKQGRTDLKPNDDDWIALSEILGAPPELLNSKWIGMLKTDLKQSPWTIEEDE LLNKVMTQKFISWTHVALEYNRISPIMRHAKQVRERWNNYLDPELNKCPWSEQEQIQLLQ LVQKYGKKWSVISKQIKGRTENQVKNAYNSLINSYRRNHIQSLDSDEIIISKLLTKLDPP LQQANASTPMIQAIPTLQQQFIMQALLIERLKGMAFFAQFPGFMATQQQQI >CAK72006 pep:novel supercontig:GCA_000165425.1:CT868108:405105:409251:-1 gene:GSPATT00008485001 transcript:CAK72006 MQQEDRKKADSGKLETIKIMNAFSDKEWSNFDKIERIELTLEDFGRMNVVQVFKNLKSLT LINVGITVVEGLDDLIRLEELNLNENQIVKLSGLKATINLKALYISHNAIQKLEGLDSLT KLETLWLCDNKIDTIQNLDFLVNLKQLWLASNSISNLRTSLDKLKSLYDLNISGNKICSF KEALNLNRLPNLKVLAFYDPHFGDNPICNLCNYQTYVLYHLRNIYKLDTLMISDDQKSFA EGTFMKKKMYYNMRIKTMQRTFSTLCKLLKKGKKIKIDSLCEDISNLNIRLAQEQLDKER QQLLENKYEEYENQNEIYNSIKKKVYEYCDQSIQKLMTELETGGNIRLEEGKTNEKWYGS CVDLIHSRFHADQMGKFGIKDIQIKRVVRIHNKFIRNKFEEKMESLVDVSNQSHKKSLEY LFYGVDPNFPSEIYNVIEEGFRSYKDSQSIGLSPYTPLVNSILGADAPRIQHHLNGQEHA QKLNKRFIKRRLYYQKYNIIPPGIILICKVLMIKSVSDLKHPYFNTEQPWSEMFQKFPLD GKQYQDEYTVLRVMENDQKHKLWFVLDNNLILPEYLAEFEYVMQSPLQNKIADFGSALGV LESEDDDFINPANFNKYKDNINDLYNQLAEDLNSYQFENLEEYPTYELKAQDLDRSECAS LKPALVNYFKYCLSRSTLYELNPNLVGTPNLNEILKNQTQFLNLSNCSVQEIPLIKGQFH TLILSYNKISNIKGLNELPNLIRLDLSHNEISNLNGLQGLNHLEVLDLTHNNIQDVDQVG ILKYNQNLKYLSVVFNPIGEYKETRKEIVMILKNLAFLDHLPITEDDREPTVNQKQIITT GMLQTFSKVQHDWKQHIQSVMITHQKLSSMKGLEELVQLRHLNLGHNKITQITSIQDSIL LEELNLEKNSIIQIQGLDNMQYLKKLELGGNKIFQIDGLSNLINLMQISLEDNAILNLKE FPDLKSLMEIYLGNNNITNQKEVNNIKHLHKLIILDLSGNPFARDTNYRSYVLYIIPKLK VLDGISIEASEQQMAKNLFTGRLTEEILFSRLQGQPANKITELCLQNCELRDFDDVFNVQ SFPLLVELDLSQNLFTSTKMLGFLPQLKILILTSNKIETLLYPTDINQKKGLNGCQQLQI LDISQNCLKEFNGLQYCQLKELKIMKCEKNEITRVDQLENLKQLKELDLNYNKVRQFDPL SFAAQNPIKCLKIDGNGLKNFQNIQKLFKLLVINQFILQHLFASSNRINDLPDIEHLVAL TQLKELELVGNSLSRRPGYRQMVLRKLPTILYLDGREVTQDERERLELVERQAVLPQMQI QQQQNTKVPVKLSSINFDGIFSK >CAK72007 pep:novel supercontig:GCA_000165425.1:CT868108:409260:410143:-1 gene:GSPATT00008486001 transcript:CAK72007 MKTLILTVLICFGASQMLRGSPKSLIEEEQAIFESEKEDQSFYVGRDDVSAETLQGEQNQ EAEASLVVFKSDDTPMVYVEESIDATQKDGFQQQESLQIEKVEDQEQVQNLQEATTDSAN YVDKAISLEGDTEAQSVQNSSTDVVLNIAPKTESLSSSENTKKHVYVYNPLAKKPFVGIK FPPMPVTKDRNYLSNSDTLTIKLPPWSEQSNHRVEDDLEFFQFIRPSDVSVNEY >CAK72008 pep:novel supercontig:GCA_000165425.1:CT868108:410734:410966:1 gene:GSPATT00008487001 transcript:CAK72008 MQQNNINLSRMSTSQQELFEYMSETLQTSEKGLLEMQIQHPQNFQKLVEKMKRTMRREKA KQRKAKR >CAK72009 pep:novel supercontig:GCA_000165425.1:CT868108:411028:411636:1 gene:GSPATT00008488001 transcript:CAK72009 MDYNADLRKQLEELQEKHQKLTNKSSKIRDQLSILQQLGRGSRLGKISLALLTLVSVFSV ISQKEAHQTITTPLVKIEEISSESVLRPLNYEKSYSIIDTNTFFEEEKLGVGISEESENC YNQLLPIPLYRLNSQIEYALEQHS >CAK72010 pep:novel supercontig:GCA_000165425.1:CT868108:411653:413503:-1 gene:GSPATT00008489001 transcript:CAK72010 MFKDMSFMFPLATVNLTNTRIRTLTNLIESNGGQMKLGPDTLMIVGSDATVELCQKQFAK FNLDFEQYRYQFLNPDWVSQSLLNKKLQEQKKFQLFPEVVFQPVLEEVPEFKTKLVYVEA LAKTVPVREEVVEQDDGDINIYNIKDNELRMKYEKRMEEFRRDILKEYKQILDYEYDYDL DNFHNQVDDGYEYLLEDLSILKKEEPQIPESEKFYGDDDCQITETRKVKFDILDGLDLGK SVVNVEQPILSAQLKETKQFNPGLKRKQQFWEAKKGYFVCEAGAAQKCQNNEIIEELEKL LKIYTNEKDKGRCIAYRKAIGFLKALPYPIKSSEDLKDMPTIGDKIKKKIIEIMQTGKLT KVQKLEGQEKNVAISELTRVWGIGPTTAATFYFKGIKTLEDLKKNLHLLNRNQQVGLQLV KDLEQRIPREEATLIFEIVKREIDDLSGVQGLFKATACGSYRREKETCGDMDILITRCDG KNTEDFLLNLITRLEGKLLTHHLTMPKRTEHDCETYMGIGRVSNQAVHRRIDLKLYPKEQ YGCAVLYFTGSDHYNRSMRLWAQKNGYTLSDHGLYPTQRGAHNKKLWKGEVIPCEEEMDV YKILGLKYKPPKERSV >CAK72011 pep:novel supercontig:GCA_000165425.1:CT868108:413598:415558:-1 gene:GSPATT00008490001 transcript:CAK72011 MEGYLKKWTNLFSRWQDRYFILNEDVLLYCDSQGGQIKGQVHLKVSALILVPEDPLRIII NTGTTEIHLRANNINEKIDWINALKSAQDKCLSRQNEIRFEQKIQELLTDVWQTGAIFDE TLSMLIPKLEKNSQIKEMADRLESIGKNLKTKITLCAQIVEEEKQKLQNVENGTVYESFI HQNSAQSVMREEQLNYQHQPQHGQSSLMSITEITQLIREHQPIKYSNLINNPAFQKIKFA NSPKRDRLPYRKDPNEKINVWYLCKELIGKDLGRFSVPIILNEPLSMLQRLAEQMEYSDS LEEADKIAGDSALRMCYIMGFGVSPYSANIGRTKKPFNPILGETYEIQTQNCRFISEQVS HHPPISAGYAESKAFQFWAHTDVQTKFNGLCFQVNPVGLFNVILKSSNDHYQFNRCTTKV QNILWGQQFLDHMGQMKFVNLTTGDNGVLELIEKSGKSECEMRGYVKDKNGIEKYKLKGF WNDRLIAYDSQREIIVWKRHQLPQDSDWYYNFTEFAMQLNHLTIDMIKELPCTDCRLRPD QLAFENGWIDLASSEKHRLEEKQRARRKAMAAASQVHVPMFFEEMIDPKTNLKWYKYKGN YFQQQWKQVGEELLDLF >CAK72012 pep:novel supercontig:GCA_000165425.1:CT868108:415634:417758:-1 gene:GSPATT00008491001 transcript:CAK72012 MSALEIARKKISFDVNKLSHFIWEGEERFKRFMAFQKLCSSDPLLRNNPKDIGIGRAETY ELYAKKMKKLLEVADLRDIKEFATLIYPEALVSSLNYEMFMPTIMNLGTDEQVKLFYEPA SRWEILGCYSQTELAHGSDINRIETTATYDKQTKSFIINTPSLKATKWWGSDMGITATHV VTQAQLYIDGKRCGVQNFVVQIRDVKTHQTLPGILVGDIGAKFGYNAKDNGYLRFDNVRI PKVNMLSKYVNVSDDGQFTKTGDDKVWHATMQLMRQHFLDSVWKCLGIGLTIVIRYSLQR KQFKDSLGQEIPIFDYQLQQHKLIPLIAEMYGCMLGSKKVFAMAHDNVARIKQKNDFSKM MEVHVVLSASKAYYTWNCFFALEKVRQCAGGHGYSYYSGIPPLITEMSPCVVAEGDNTVL SLSVGKVLLMYLNKAMQSGKAPNTTCDYLEDIFNYLTELKQPLVSKECTRDLNKVLAALR YNVAFNVANAGQKLQTLMGDKGLTFKESTDKHLGILLQEIAHTQSNYWTYRTFLEEVNTL TDPNIKTALTQLCLLYGLNKILEQQGQLFEYGVLSGPQFVWIRETRDELISNLKDNALGL VEAIAYDDNSVRSAIGDSQGNPYEKLYHWGQGPQSIK >CAK72013 pep:novel supercontig:GCA_000165425.1:CT868108:417899:419872:1 gene:GSPATT00008492001 transcript:CAK72013 MPLSNIPHYLTSYLAENNILALFYEKIFNLTTRQMCSINFKLSFIIQNVKKPLNITYLIS HPVLNDFINYKYDFSNHEMVDYYVNFLKSIAIRIDRENFFLHFNLRYSTFPLLWQAQKFI NYPDTLVSSTVKVIILSLSKLFDIPQDGVALTQSIIKQENKSIKKFKNYLTLSPFCLAYQ KYVHQMKCLLPNLAQVKSQDQLEDLIMFFSDFLQQCPFLIPLFEQIMLDQLILPILDYLL LNKLSDFRTEFKLGFYLIHQIISKLPVNEILKYFSQDMIPKDTGNRLKYNYIPSATWVYE TENYPFQFEQTYFKKANNQNEIGVIENQPENNLINNYYKLQMLQLLKPRDNSILLLQLAI WHIIKNHQQPWPVNHIIQLISKPQNQIKYTKKIIDLIIQFYLNEDTNKLKDIYLEYENYI KQLIANIKSGKAQLSEALIINWGIIEDFDWNLFKKSQTTISNDDFQNWERENELSQFKLV YTYLLLKFLVMNNQKEPSKTKIILNQEISHLTDDQYFKIDKKRTYLIISIEKGYVFQTIP CSNPNRGVVTFIYPLAGLICTQEADYLKFEQNQLAINQAKPNKIEMSNNLIDEIISKIND SKIELEKITLDKLEQLIK >CAK72014 pep:novel supercontig:GCA_000165425.1:CT868108:420284:420760:-1 gene:GSPATT00008493001 transcript:CAK72014 MNKKYLGWDIEEQEYLDSLIPGNLPRIEKRHVESKSHRVKAILDSKIMKCSSSSVQPTQN SKSTQISTQTTQTAFQNTLSSCFQSPMNLTSYPVLRYPPLQDVVHKANTKSSGPLKRRKY KCSIINLSQPSIQSPQTTHKLFNINKYISQRYSCINYF >CAK72015 pep:novel supercontig:GCA_000165425.1:CT868108:420782:422702:-1 gene:GSPATT00008494001 transcript:CAK72015 MKQIQSQFNHQLIGRIPNQLSSSYRKMNDRILAYQNKIQITKDDNQYDIYLITPGYLKSN KLQYMFNWKINFIESRLCQFSKDEFYQIYLYNCFLFGDCYKANSLSYIQQKYRPFESYFD IKNENRNNILLYGENMSEFNQFKLSNSAQNEFTDFNRIYVNKKNPFVSFSVYDIINSNEK AISYFQKLVQQRAFQNLDEALQYYGVTLNEIEGMNVHELLHLEKLIPGNVQSYRQNYQSF HEYIAFSAYTLHINQFHRNVPNMAFSTNECDQCNSGIPSEYCTSLKPIQPLKQPQLIPIQ QLQEYPLDYKTYDIVCILFHSLICQFKIWSHQDSVESILNYQVVEEAIVTSSSNFKRNYE NLELLGDSVLKYVVTVDIFRQYELLDEGEMTSLRSRLIMNTFLAQLFEQLGLQHYIINQD LHFKQIRNPLVNDMISSDNKQLQISQKADIYEAIVGGVFEVTQSLYEYIIILRRTNFPIS FYQDILFDFEPITEYTEHIEIRDYKFNNPQLFEIAINPLQYDRLEFLGDAAIELLVISYI FRAAREKQQRNPNWILNPGLLSTWKQQLLGNKFMGEQTIRMGLKPHINEIPKLYGDIFES VAAAILLDSGWKGLNQVYGSLYKKHMNEIIEQ >CAK72016 pep:novel supercontig:GCA_000165425.1:CT868108:422857:423674:-1 gene:GSPATT00008495001 transcript:CAK72016 MYILNYYYKLSIDINGQIKCCNKEDIKKQVVKKVTKVIQKKVPKKVVKKETKKVVPAKKK VVSRKPQKKTVVKAAPVKKVVPKKAKAAPAKKQVSKKQNVKEQKDDKKKVLKPVAPKADS QPKVPVKYAAYTLDQYQAYQKAIKDWSSKTNQEIKDNLRKNLQSMSGNKDELLNKIADGI VLGSIPRCPHCYGGRPKYNQSTGSYFCVGYRDDTDFKFCNKTIATLDRTPWQL >CAK72017 pep:novel supercontig:GCA_000165425.1:CT868108:424452:425656:1 gene:GSPATT00008496001 transcript:CAK72017 MQKLLLITSLVVSIFAENTNYAYTNWNTIQGTVSMNSTLENYEQTLWLVYISIGVPAQNF TVQIDTGSNILWVPYTDCSRCDISTRYNPNGQEYFSPNGSTYYQAYGSGECSGYVATDVV SIQDTVINTTFAMMFATMEQGFAFPTVMDGIMGISNNQTFTNIFQTAFQAGQIPTEQYGL ILNQAPLPSYLIFGSIDPILLNQIQWVIPNDIYRWKLFINAFTINGQDYSSLMGSVQSAI LDSGTTDLLVTEGLYGVILKDYLVPGGCFMSGGIYCPCQPTADQLAYLPNITVSFNVVNV TIPFFNILQGYYVAATGDVCQVMMSYLSGPPFMVFGDPALLSIIPIFDKTANQIGIIGGV PNGIPNGDYPGNDEIDEAVG >CAK72018 pep:novel supercontig:GCA_000165425.1:CT868108:425659:427669:-1 gene:GSPATT00008497001 transcript:CAK72018 MTRAINLKLQDKLILIEMPSNANLSDLYKRIKEHPLTPNPNQLIGLKTVSGSLTLDYILS RPEDRSVFPLNKLKQNEWLIGIYKQQTGQLSLKDFVFEKCIGKGGTSEVYLIRHKGNARL YALKMIKKHYITDCRRLEQVLREKKILSNVLNQSPFIVPLYATFATREHLCFLMEYSPGG EMFFHLQNYRFTEDEAKKYFCEVICTLEELHKRKVLYRDLKPENILIDIRGHIQLTDFGL SKLDLMNEDVTHSFCGSPEYMPPEIVSRQGYSYPADFYTLGCLLHELLLGLPPHYSQNTD EIFQKIINEELELPDDLTEEVTELLIDLLEKDVSKRIKDFKVLKRYQWLSDVNWESIKNK QIEMPIEIDIYETHIHGEFLKVDVAEFNQRNETGDLKPQDDLFEFFNYINPLYQDQFLLE SKQQNLKNRASSDLVVEKKQKLVLNEKQSNVNPNQFKKCNSVKQSLKSASSPRINNQFFN SGHHSTQNSPKKHLQLNLQDIDNQLSMKTPKQPKSLTGTTPTAATLALLRKSFQTLLKKA PSLRNQDNHPTLRTLLSERSLMDRNYANHINYNQIQKIIQLQHSSPTNREISQRPPQQLQ KRISSTSTHLKSQLSQLSLFTLSSQITQRGSTSHRPKLK >CAK72019 pep:novel supercontig:GCA_000165425.1:CT868108:427699:428554:-1 gene:GSPATT00008498001 transcript:CAK72019 MNRLLITELGDTMRSEMKRDMTKTVKSNRTMLKTQFPEIKNNFGSSALFMEIDKTQNPLY QKSLSDQALLNLSKVANLSLFGPKNRQNLENHLREDLLIKKQKDYLNLRLDKHVERILDD KNLMSKRKRQLLNELKKLEAKEKLKQFHHIPTEQSQFSSLVEDTDRENKLMSIVNAEGKL LQLKRRYEKKQQEEQKMKEQVKMNYCKFKDNQIMHIEALKIMEHEEMENDVKDIFMNKDM SEIRFMERLNEKHVKEQVQIWKQHHFPRQHRKWLSK >CAK72020 pep:novel supercontig:GCA_000165425.1:CT868108:428577:429342:-1 gene:GSPATT00008499001 transcript:CAK72020 MNRIIITEIGDSIRKDLKEDCTSNDLNFSSSKRYLRFKTNASESTVELKRQNTINPLLYP QELVSIAQTKLYNMAKLSLQGQTEQLKNCIQQDLNLPKKSSYINRNLDKLVHQIVDNQDQ FTKRKSQLLKQLMLIKQSDRSKSNYTLAFKDNEKVQTILQVPSIVNAEGKLEQLQRRFQR QNQADLRMRQRLASCLEQSQEKNSNRFDINFSGFNISKKELVIKSNSRMRIRIQQNCCNK QINTD >CAK72021 pep:novel supercontig:GCA_000165425.1:CT868108:429556:431241:-1 gene:GSPATT00008500001 transcript:CAK72021 MSAVGIRGERDQGQDVRTSNVTAVMAIANVVKTSLGPQGLDKMLVDEIGDVVITNDGATI LKQLEVEHPAAKVIVELSQLQDKEVGDGTTSVVILAAELLKRANELIKIKVHPTTIISGY KLAARQAVKYIQSHLVHKITEDDTEILLNAAKTSMNSKIIGSESHIFAKLAVDAVRLIKT QGYITGKPKYPIQSINVVKSHGQSSNQSELVRGYVLQTQRASQQMVTKVKNAKVACLDIN LNKFKMQMGVQILVDDPNNLEKIRKKEMDVLKERIHLLLSAGANVILTSKGMDDLANKYL VEAGAIGLRRVPKEHLRRIARATGAKIITTFANEETGESFDVSSLGEAEEVYEEAIGDND YIFFKGMKKEQSASIILRGANEMMTDEIERSMHDSFCVVKRTLESGSVVAGGGAVEMALS IYLDDFSRTLDTVEQIAVAEFAEALTAIPKILATNAAKDSIELISKLRVLHSKSQSIKID EKGFKYSGLDLIKGEVRHNLKHGILEPTVSKVKAIKFATEAAITILRIDDMIKLEPKKEQ MPGRH >CAK72022 pep:novel supercontig:GCA_000165425.1:CT868108:431438:432145:-1 gene:GSPATT00008501001 transcript:CAK72022 MPGYSRTLVFKYSNNYRWDMIYRQNPFNKRYKNSKLISQNQISPNLRDDFIKIVNLHILT SFQMINIEKIQVLFFINQWQPFFYFLDAIRKRINVLQFWVAFSGFIGVRFINRSASRCRF LFKFKICKFKFQMKFGQCRLVNVSINIHIRDEQVIIQNNMSQIKIIIFKKMFQYLKIRIS ILYGTIYIYKRSYEHFVIVESINKIWNQITSRMITKQRMTLTKNLIDLIIILRLT >CAK72023 pep:novel supercontig:GCA_000165425.1:CT868108:432616:434588:-1 gene:GSPATT00008502001 transcript:CAK72023 MIRIQQLQSQIVEPAGLKGQYYEQRPQNKLEWFKNNGWGYKDTKFILEQDGAVRLTGNKY RFSNQRMMKFKDWAEAKVGIDLSLNCEAQAEIPANPPIINEQFMNAIQGQFNEISFDNGQ RILHSHGHTMQEIYELRHGKLARIVDCVVFINSHAQAELLVKLAVEHNVMLTVYGGGTNV TWALQCPKEERRMIVSVDTSRMNHVRWVDRKNMTALVETGVTGKDLEKELSRYGVVCGHE PDSVEFSTLGGWISTRASGMKKNRYGNIEDIILSVKVVTPTGTLSQSLDYPRVSSGPDLN QIVLGSEGTIGIITEAVIKIKAQPEVCKYESILFHNFALGTEFMYKLSRSKVWPASVRLV DNNQFQFGMALKTMPHSKREEFMDKVKKYFITQFMQYDPDQMCLVTVVFEGTKQEVEFQE KKVFELAKSYKGFRAGAENGERGYFLTYMIAYLRDFAMQFQFIAESFETAVGWKNVPAVC ENIQRRIVEECSKRGVEKEPFVSFRISQVYDTGATIYVYFGFGYKGISDPVKCYSEIEDA AREEIMKNGGSISHHHGVGKLRKQFMQKQIGDTGVEILKRIKQQIDPKNIFGNQNLI >CAK72024 pep:novel supercontig:GCA_000165425.1:CT868108:434928:436466:1 gene:GSPATT00008503001 transcript:CAK72024 MHQQQIVLSYFAQLRLQISDALHINAEKYFKKQASESELVLVSRLTGKINKRNTEQQRTI VISSENIYNIDKKSIKRKISIHKIFGVTVSRSSYEFVLHVPQESDYRFKSQEYRDIILYY LSIVLKLNNKDGLRLYMVDNEELDQFCLHDATADCKKQIALHPKTKLLLLYPENFQLSYI NLMFAQNKNLKNQSINILYVHDPLNLRVRLEDYQKLAILAQGSFSKVLLINQKIEKQTQF LVLKTIQSYNIDINILQFHLENYTQSQYMEQLELCLRQQDQIHFFFKFVRGGDLFSHLQE VNYFSEIEAKYIIAQIALGLSYLHESGTIYGDLKPENVLIDENGYVQLTDFGFGRLRVYQ EFKKVQTINFTVEYAAPEYLLQGDLTRMSDWYSLGILLYELVIGISPFYHHNHEIAIKMI CKGDLYFPKTPAISYECKDFITKLLQQDSQSRIGFENDFKEIQSHKWFQGLNWQELSEKK KSLPYIPKINDEDTVPQQYFRFEKLTDEEKGW >CAK72025 pep:novel supercontig:GCA_000165425.1:CT868108:436845:437726:-1 gene:GSPATT00008504001 transcript:CAK72025 MNFKQKNIRQTKVQFYYEQAQERRKRYSIKNVKAYQLFQSPQKSSRIIRKNKLDISSTKL GNSSCCCSECGKESVFKQRIFKIYTFTETQHSKKEYLRQQQIMDRYRNSKYIKVFKKLVY CLLFIIRYKIVQNIRYRQRQRMKKAFKTRIDNPRMTLLNVLEIASKQFRVSPKFKSATNF DYVFSQNATAVNSPQDSDEEFYHLKPRKSLVQKFSSDEQYIHKKLSKSQQKLYLITGLNS VLNQYVTKKLNSTQQKSINQQQQKIQPPLLSKHTSPRQNQSTHLPNIRLKQIN >CAK72026 pep:novel supercontig:GCA_000165425.1:CT868108:438220:439153:-1 gene:GSPATT00008505001 transcript:CAK72026 MKKQEQSKTLSSRKPQDNAKSVKKQEKLTKIQEAFNFNKASKYIFQSGFQEGYQDRIDFK DSEIAELRKYFSSLDGDGSGAIGIEELEDPLIALGLVNSREEVEKIMSEVDEDGTNEIEF KEFLTIMRGVQKGGNLDQGEKNPIYDFFKKMSNGQLEKGMDKHIPFKLNVSLFRRKQILN AITGDQKELKDKGQKILQAYKRQLLNYKQQDRINRGEDPNDISLDQFPNKDNGPNPRNGF PKLQRVIQPEQTIGNNHKHYDK >CAK72027 pep:novel supercontig:GCA_000165425.1:CT868108:439790:440524:-1 gene:GSPATT00008506001 transcript:CAK72027 MQINVRQSSKSRILLNMIDNYQKSPDDEALLEASKNSSVAQIERRFKKSQVNQSYAFPRT EENNQKINSESPISKQFSPKEQLQQKDSFKDLLKKIYLSNLKKNSRKTQINPEFIKQQYS ILQQSHRKSFQTLNKSKLQQSLSQNRLLTDVGYKQSYSRGQSPENKQFYSNRPVGTQLSK FINQNIKEKQLSQYNYNQQNSCRSFELRYQFNKSPYSQMQNKSFDLIKQLQQFSSLQKVK SKNN >CAK72028 pep:novel supercontig:GCA_000165425.1:CT868108:440854:441330:-1 gene:GSPATT00008507001 transcript:CAK72028 MSRLYTLEDEQLDYGLDYESVLVVKELDQKKQEKGNDKDLQQFLSLQFYSRHQQQQKSSA NLRSIQRLITEQKENNKKLKENKQINYKIKTPSLKPYQGSIISPKCQTKTPQLAKTIKST ITITPLNFKDSLQKIKLLVSKQQQKHQLDFSKFKKSIY >CAK72029 pep:novel supercontig:GCA_000165425.1:CT868108:441389:444927:-1 gene:GSPATT00008508001 transcript:CAK72029 MYRINQNDDPEYDQFDPDNLDIEEVVRQRWKQSKGNLVGMQNNRLPSFRKRFIDPIVSQT RQVEEFLKENAISFKTPDGKLPVDPFLTWESINLPLKIKNVIDELKFRNPTPIQSVVFPL ILSGNDVIGVAETGSGKTFGYLLPGLIQLSGQNYPNNFRSQINGPEMLILAPTRELVMQI TQQVQLFVRPGDVANAFGGQNRDLQAQQIRQNPTILVACPGRLKDFLDDGIVNLSKVTYL VIDEADRLLDMGFEDDVREIVSQIRQDRQTVFFSATWPKAVRNLSFDFCAENPVYVQVGR SNLTINKNIDQEIICLYNNEKLQTLLDILDQLKITDKVLIFAETRISCEKLSVDMTSEGY YAVALHGDKTQKQRDEIMSYYKKGDTKLLCATDLAQRGLDVSDITVVINYDFPKYIDDYI HRIGRTGRAGRRGRAFSFFSFERDTPQMARELLKLNNIHQIKFNYKLMEEIANGIKQFRD PNTQKGQIKYGTQLMTHQNNSKFRMPNLTQEERANLYMQSYQYDNLNRQKYDQGYDYKKH HHGQYNNNRFPQREENFYQQQFRNQGYHNQRRQQQQNYRPYQQHQQGEQSEFQRQRREDY LDPDRGNGRQENYRNQQPRFGNQGHENQRRNYGEQNNQYESHRKFQDDRTDQFDQNRYTT FQYPNKELQSSNMRDQQQETRNQYRFDQSNNDYRGQTNTYPGQSNQNFRGDTQFDQQTES SKNYRDQQYQYNYRNQYDHQQPYGQMQGFNNQRDQHGFQRNQHNNQRQQNNWNENNNNKQ GYPQRPNDQQFMNPSFSGNSRDKNFDYQNRTNNRSQQDDIRGNQNSRQQRNYNQEQDNEK LNYQYNDQQRRNNYRNFVDVSKPLIDEFQMRADQIQQPESIINPDFNNFKFNQGQSQEIL KHDQIQNPSQNTSFQPNQEQNRRFNANQEEPEKRVSLNAEQPTINRFYDNQRPNPNIQLP QAKLEQQTFNQQFEQKQPQNNFFNKNSQNQPQLIDQQNNQNQVTVVDQKIVQSPKHYENS RQNNERPNFIQQQFQNNSRPSQNDYQSFNRNERIQDESDEDDNQYDFTRYSRQVNDNLKK PEPQAIQRQNQNEGGYSWNNSNKGEIQDSEKKDVDSQAQY >CAK72030 pep:novel supercontig:GCA_000165425.1:CT868108:445869:446545:1 gene:GSPATT00008509001 transcript:CAK72030 MSNPELSEESRPPKLLLVFSKCSEFSEIDLENKQSPKILKLRKLNSAIEYNDQLHMVINK QIDIDEKKVESPKNEIAKWQPCSCTKTNCLKMYCSCFHNGQTCVESCKCEDCKNTNNNVP QRDKAVEYIKKKAHRNKKVSQETLFETKDIWGCNCKKTRCLKRYCECYIRQKACTVECNC THCENGKDEDLYNEIRRQNEQPKQSKRQRSGRLYNN >CAK72031 pep:novel supercontig:GCA_000165425.1:CT868108:447025:447720:1 gene:GSPATT00008510001 transcript:CAK72031 METQQAQKDLQFLEQKTASLSQNLEQLWLEFNQQQEMLKLITSQNQYTISKKTKKFKMVI RFVIPYLELKQVFQMRFLSKEFKQIIAKEKKAINQLINHQIEKNKEKLYEFNIIDKTSRE LYMYIVKLNFYGQKVKETVLPKDFQCILKQISDPSQIYAIQVASKFQYPQKKMAYQNPTQ KEIIDNYCKIAYHPWQGNTNLVAEFEKYRKEILRQKHLKHLQSLRPVVDNL >CAK72032 pep:novel supercontig:GCA_000165425.1:CT868108:447841:449007:-1 gene:GSPATT00008511001 transcript:CAK72032 MNNYLSDLKQKTHEKYQELIQKEKVNQNAILASISLVQNEQNVILCSLGTGSKAVGLNHM NEQNHCLHSCHAEVIAKRGFQLWLYEVLSKPNDIDKYFEKKETKYSLKKEYKVCFYVTQP PCGQAQLFPESKIYGMSAARPFSEFFQEAENIPNCDTQRLRSTPANSKTPIQQKNPSFCC TDKIMIWNIVGIQGALLNQYINPIYIDNLIIEFREHFSLKEVFDIGKRSGKSMEDVLKIN NKFISKAFKIKKPKIIYTRKNLFVYSPYHPNNDELNKRINKDINQQSLQSFSYLYVDSLG IEKINSLNGLKWGLAKKDISKLQFLPKIAKYQLFQKFKHLYEHFEGKQLQCNYRQVKNKN IKYLCLKLNIKQCFQEWSLNKTQYEQFQ >CAK72033 pep:novel supercontig:GCA_000165425.1:CT868108:449553:452320:1 gene:GSPATT00008512001 transcript:CAK72033 MNNRLLYFGFCNAAISLIAGIVSLIQASCCFNFSIIFIAEFMLMIAFIILQYKRFLNDLI REKFLGVSYLLCQILQIEYLGSLQSSEYILFLSLRLFIITTSLERITFRIVLFLVTYFYL GIRLQFYLNMFPYLGLAFIPFLFIVGQSQENLSSTVIDFFQTTLTNPVLILDAQTREPLF HSNQMESQFNYTSINQDYFIQYLLSFQDSQQKTLRSIFDEQKSQIAQKTRIQYHKLKEIS NEDYHYVETLQNKKLATLIQDEINQEKQDLINIDSPKQQIEKLKFPVSNQFNDDTPQHGK SKFRFKDSQYKLFGINELKQKRINIVVQHCIWKNKEAFMISLKDLENQKILQILTEELEE SKKQNENKDQILATVFHDFKTPINGICTIVEAMEEKYNLNAASKYYLRIIRKNVYLMLYM IYDILDFARIQKNQLRLSVSDFYLNEVIEEVIELVAIQAEQKGVVIQTHYDIPCFQIYSD PNRIKQILMNFISNSLKFTEQGSITISVESLHTDKANHIVRAVSSKNVLNQQQNQQTVGQ LRKQLSGKSVKSQSGQGRMVYTISIQDTGCGISDLIKPKLFNMYATFPSKDVQNKSGTGI GLMVCKKLIKLLGPSEKIDLWSEQNKGTKMSFQIYSRLPDDPKRSPNYISVFKQESSSKN FNIDSPSLIDEQDQMQQKFVRSSVLRVYTRPVDKNDTEAGFDFYPEYEDEGQQKLQNINI LQNLRSPTPSRIKTKEQNLDDSQEIMDPRSRLQRILQHKTFSILIVDDQPFNVLALKLLL QDISSNISFLEAYNGQQAVNKLKTFQKCKNIKYVLMDLFMPILNGWKAATKIREMIAKQL IEDVKIIAISGFDDESEQERCENVGFDAFITKPVKLEMIAEVFAQLEYN >CAK72034 pep:novel supercontig:GCA_000165425.1:CT868108:452346:452544:-1 gene:GSPATT00008513001 transcript:CAK72034 MTKDYNILYTFHKKLYGTIKEFVSQMLYKSELCSYTKSVSFEQIIQNRWSNEIFANK >CAK72035 pep:novel supercontig:GCA_000165425.1:CT868108:452563:454617:1 gene:GSPATT00008514001 transcript:CAK72035 MIMPSQFAVSDRQLFDFKKPQNNSDSEDEQQQQQLLLQNGYKETKRQKKFLDIEAIKQEL NNRPQIDYENTPKVGKKPSRLMAKSLPNFIFPTSPVLIEQLQMVRAIRLMKEQVPPEVLN KVFSELRYLYVPANTAVYRQGDQNKKYYIILDGKCVVMKPREKMVGSKKLEQELEGKAQI QLMRRNDPDPYGLKSAFPDYIILKVLINGDSFGEAAIKLDIARSSTVFAIENSHLLFLSE QAYITLLDPYLSKSLDDKILYFTQTPIFKEVEFELNEIMGILLESHQITYKASEILYEEN ERSNHIYFIINGQVELSKQMNSKHLVLSSYGENQHFGDVEVFHKIPRYTRARVISPRLIV YKIRQSKFFDNLGNIHIYENFKGTTKVILKHWTLIYQTAKSQIIQKDEIYQAAQDQLTNR RNDASKKIVNKKIIEVQGDKLSQVKVFQNLADSNFQDVNSIGNSPNKTILQRVYSNALQQ YQARLLKKPQQTQCNDDHCIRSQKEKTFSTERASPGRKSSQLNLKLPTMMSNTSSNSKVD LNQVFESISKLPRIPRDNLVLSLMYQQAYKSENPEKKAKQIQQVIQASFRNVRRDFESKE KVVSNWKYKSADSIIKNLKLSDASEEKRLKEDYMNFVQSKRLS >CAK72036 pep:novel supercontig:GCA_000165425.1:CT868108:454635:456314:-1 gene:GSPATT00008515001 transcript:CAK72036 MSVHKSPKNSILSTARTRPQTALTSPRVPQVIQIPNDDQDQQTQINKCTATTSLTPKSLI KLQERKTNVGGRPENLSCNLRVTKEQAEIDPPEDYFEKEVQVNQDEYEQFKKNFHRIVFD AFGNYIYTKPGPIDEDFKLQVSSALNTHKQTSSTLKQKLQIKTQSFQPKKTIENLVKSTS EIAKMNPRRRAILEIKQKAENSLYQMINNLAKDKVLSESKSLLEQVTQQMLIYIDKFTRE NQELTDQLEMAKGKIFELQSSNESLNFKNIQLLKEIKNSKHQLDELKRSTVDIEKFIPQY NIMTKRFENFQAEKFIDRYDYFENSNLLLTKRVSDLELDTIQLEKQIVHLQKDLETNQQI NKHQYDTKLHSPPHKQDLPNQDYEQYKDMYLNLFKKILQIYSNWTTKSKALLPDKMDEGP RANLVEPLEMLQNIEKMISISSNEKLQSYLRKIIVSANQLQRKYLTENVNEKFDPDKIYG RISKLVDNLKAQISNLQSQVQSYKQSQNCLTQLQTQRSKSFFHEKEQI >CAK72037 pep:novel supercontig:GCA_000165425.1:CT868108:456495:457735:1 gene:GSPATT00008516001 transcript:CAK72037 MSQQKILAKQDVRKLYLDEQGNVDFNKIQARWDDLKKFKVSLANKHYTQAVVEKVKTMPA EDQQRFLDIMIAGLTNDDSSVGISATRPEDYDVFLFYLEPLIREYHKIEGETKQEHDWNI PVGEYVLTKIDPALEQVSMRARVARNVVGYNLPSSMDKDERIKFENQMETVFENFGIPGN YYSLTPGHKNFISDQEADELRKKHFLFIDMTSDNYLMSNGVASDWPFGRGIWVSQDETKM VWVGEEDQLRIISIVQGNDLGKVDQSLHELLTAIEKSGLKFAEHPVFGIITTCPTNMRTG KRQSILGKFPNLSKSGTDEANLKEKAKSIGLQVRGTSGEHSSMDQEGTADISPFARFGVT EANVTKGLFEGLIVLYQLERTTIPEKRRNNCCITF >CAK72038 pep:novel supercontig:GCA_000165425.1:CT868108:457845:465225:-1 gene:GSPATT00008517001 transcript:CAK72038 MGNEISNGSPGDLEQMKRFMNMSPGQVKLIKEKFELMADEDLTIDRNGFIQLMKLKETEV DKVFQFFDISNDGRIDSHEFVCALSLLSQATLKEKANIIFSLYDFDHNKTITRNELVILI KTTLTALGAMSQKGECTIQEAERISDDASISLAEFHSLLSKDQDVLKMLLSYGLCSLEDL RSDFGGAQQGDVPYPDSDLESETQRRQLAYDQKREMRKIGIEANLEEDNNRVQSENNQKL NKLTNKEVWKNQVKNGQPSSWKPGKGDINPPSTFMELDYIYGFRSFDTRNNIKFVDQELV YFTAAVGVVYNPQTNQQRFFFEHTDDITCIDVYDKYVATGQAGQIPPIYIWEVKDQIEPS RAAFKGILRQGVQCLAFSNDGKKLAAVSMDDDHTLVVYDVDKGALLATGKGPRSFVFDIK FDKVDKYLILACKNEVYFCSYDQNQVRLNLGIWDTKTSPFSSVLCIALCDNNVITGTYRG QLLIWKSNRATTAVDAHKSAVLAIHTKRSQEGGVVSGSKDGTIIVWDINMKAKEKINVLN LNLKIFNFKVQSVTIGPNDQEKSVHLVFGTRGSDMVEVQGSKTKMLMRGHSIGVLRGLAM HPKFPTFYTIGEDNILACWLIKDKKMSSCTRLEYPSSAIHISKDYKYLAVGSTNGTVLII DPKTLMPTFNFKDRDAEVSCLKFSPDTEQLAVGHDAPSCDVIIYSIKNHFKKTNVLRGSP SRVISIDFSSTCRVLQINDWSQQVLYYELGGENNQKVQPDGAQKYKDEKWATYTAIYGWH VLGVWPPLSAGSDINAVDRSNKGDIIVSADDYSGIKIFRYPAAQTGQGFQRYVGHAAHVT NIRFSADDEYIISLGGADKSIMQWKVSHDKDAQIEQDQACESIQDVQIPKDYYRLNLNEE ENKQEGQKQYQAFVKATQPTNFKFDEKKYNVLPQGNITLDYVLGMKTDQLGQDYFNGVKL LENGQNCILMWLLLVLLMDPNMPVGQQILYHQDEITCIAIHPRGRIVATGTKAFALNEKQ VTAICIWEAESKKVLSMLNDFHTKMIYCLEFSYDGVFLLSFSSEYSIAIHDWQIGQLIIT VKTMRSNIYGICSKSPTEFMSCGQRNVTFYKMNGRNVKCYPGILNSNQFEPMLCCCVAFK DSYEITGSDNGNIFLWKENKNIKHYQAHRSKVSSLVAVGKTQLYSSGLDGQIKVWEMNGN NLNNVATVIDITEALHQPKQIGIISMDIKNDNIIIATKQAQMYQASLKNIQNIKLLLDCH YGGEVWGVAASRNSQTIITCGGDSVLRQWDINQYTLVKCSSPFENDIRAVDWSSDGKYLA VGDIRGCIYLKNSKAGSLKQSAQGKTFWIEDIKFSPDSTKIAFGAHGTQSQVEVWEIEGG KFSKQSSINISLNSSLIKLDWAMDSIHIVVNSSTYELKFANVSSLKDVPGPQVKELDWHT WTCLFGFNVQGIYKKDEYSVTAVCVDNQRQILATGEYNGIINLYQYPAVCQFNQIHKEYP GHANAITRMRFSFDDSKLISTSGMDRGIFIWKTDWSKSQQQLMLQDENAYGKFDEDIDDL AALSVPQEKIRRLGSDNFIWKTDWSKSQQQLMLQDENAYGKFDEDIDDLAALSVPQEKIR RLGSDKYGKVRRRENQFEKNEDQQNYNDQNEKGDEFTIIRPWMGAIKEPSNYYKDPLNQN KQPLVEVTLEYVHGYRSKDCRNNIVYNVAALAVVLDPNPNANTNSNAQRFFNQHTEDVVC LDVSNDQSKVATGQLGANPLIYIWESNTQASICLLKGYFTKGILHLAFNDSGDKLAAIGM DECHQLCIFDIISKTQTGGTLLVRDQIGKDIVTDIKWKNDSEFVTCGLNHLKFWKLGHGG LTYSKAYPQKPLSYKYLCATVNNEDYLLGAVDGSLQIFSGGKFTAYYQYLEANRSLEAIS VSKDFILIGGADSKIVILDSKTYKSILSFKLSECMRNSLGLEVKSIQLGYDQKTLLVSTN AGDIVELVTKDAKININSKFAVSKTLMKSHCSPNKRSLNEIWGLAINPQDSDQYYTCGDD GTLRSWSISQKKMLNCIKTNLDVNGAEIKQDEVGELPDNTKGRCIAVSLDGISICVGFKD GTFRIYDKEFKQKYVNRLAKEWISDIKFSHDQSWIAIGSHDNSIYIYSFPDMKQPTLLIL ISPIDGNHLHSNCGGYELLFWELQSGKQLPNGANQLRDEKWLTWTTPYGWPVQGIWPDIQ DGSDINAAVRSNKTYNEKDKPPDNYHLIATGDDNSQIKVFRYPCVKKESGYILGRGHSSH ITNIAWSMEDHYLFSIGGEDNSIFQWKISKLR >CAK72039 pep:novel supercontig:GCA_000165425.1:CT868108:465391:466678:1 gene:GSPATT00008518001 transcript:CAK72039 MKQEYSENRQQLLSLKYQPTSTNNYRNQLFWQPQTDNLNSTLMLAQRIFREYKQNKFQVR KVSAIHQQLKEKEPEQKKQKTTIIDSKRFHMYSHPKNTRVKQLNSTANNSFYSKKSTEKY QQSSPIKPKTASSISRAKRMLPQQISISPIDSVGPLVSAQSWCILNGKNGQLMSGQHQYK SRQMASITKIMTCWLALKLTQQYHLDLDNTYFTVPEKAERIGGTTAQLSSGDKLSIRDLL YGLMLPSGNDAAISIQHNFELYKGCDFIQQMNQSAQDIGMEMTSYSNPHGMNSCLYHEDN YSSAYDIGVLTYNAMQNLQFASIVKTKIYFSEIEDKFGESKEIFWENTNKMLYQGFRGVK TGITKEAGPCVVEYFEDNQNSYIIVLLNCRSVDQRWQDTIKLLDWIRQ >CAK72040 pep:novel supercontig:GCA_000165425.1:CT868108:466685:468390:-1 gene:GSPATT00008519001 transcript:CAK72040 MQKFRNIASFSTIPSYFSLDPNQLSARNPHKAQNFLSGKWIDAHKYQTIVDPLNGEPFIT VPDVQDRQELQKFIDSAKECPISGLHNPLKNPQKILNLWGTAELKKKEVHDFLVKLIQRV VPKHFAQASGEVVVTERFFQNFSGSFNVAGDRPGQQSSGYRWPYGSCAVVAPFNFPLEIP SLQIFGALITGNKVFFKGDSRVNVVMEQMLRLALHCGLPATDVDMLFGNGEATEFILKQG NFRNTQFTGSSKVAEHLTKVLNGKIKIEDAGFDWKILGPDVPDVDTQNYVAWQSDQDAYG STGQKCSAQSILFVHENWVKAGFLDKIKQLASTRKLQDLSNGPIITWNNTQIKQHIDNIL KIPQSKVLFGGQELKNHTIPSIYGAFEPTALYVPLDQIQKNKAVTNELFGPFQIVTTYSS DQINQVLDVINNLENHLTAGIVSNDVNFLRHVQSNTTNGVTYSGIRARTTGAPQNHWFGP CGDPRGAGIGTPEAILSVWTCHREIIHDTDLAPQGFKGQQS >CAK72041 pep:novel supercontig:GCA_000165425.1:CT868108:468699:470995:-1 gene:GSPATT00008520001 transcript:CAK72041 MSIAKQAIILCMLLYNNAGFTTLNLSNHLQGNLMEVDIQQSNQKQLSSIQQLQKQIPSRE MLQIKSHINTQNRQESEDFIETNNNQNILIQLKQLQDAGQSQMLIGEDYQVIDYANPQEL NTQASEESQNQSYQNTNSQINKGKTDSNNDQFKLNSQDEITSQQNAQNEEFTFDEEAGYM SDSPQDFELNNDSKDLNKSLNNSEQEGNQGQPLLKQEDDVANKENQQQKPNEIQKLNQGE NEVEFKQNEGLINKQSSEESLQENQIMKSQLNGQDVADNQVSHINHESEMSHTQKMKVEQ DEQLQDSLKVGYIIENNVETDDYLKDVQDAESNSLKQQSLQQKLEQEEQYLKQLKSNEQD DENKLKQLEEQKNLIYNQEQSEQQEIKQINKQIDIYEQKLQEIQSNSQNESNNQNTESKL EEQTQFRPYEGQDLIISSEVNYDEGNMEQFEYVENNQVIDEHVNNNQAIEEKAETLVLQD DEQNNNFNWNQNTQYQNTFGQSNYISNREKEDNDVQDFDQSVPAQPQEVSIDLNLKPSDL LAQINLSEDVLITATNEKSDLDQKPQDEENQILNFEDYNQQSNEADQNQILSINSQITLA QQINPEYVKQDQNVESENILVYQQQSYHEQIKEIHNDLSLYQMQQLIKRTSTNTNLRARG RIQDLLDVQQPSLLFQNNQKQPNDLNDDEDRNHSFLIDQNIQRYTEILNQSNQLATENKK GQQEMISMKNNNFPQRMEYENLQQTRN >CAK72042 pep:novel supercontig:GCA_000165425.1:CT868108:471048:475199:-1 gene:GSPATT00008521001 transcript:CAK72042 MNSQQQIISLIKKNLLISYRNREVFIEVLLPIIVSIMLTFREYLSEMKQLMPLLYSLATA STQRSILIKLVEEKSKRYKELQKIMGMSEKSYLIGWVLTGYIRVIIAVIIFELSWYLMFP IFGISWEEQFNESFISLTWPYFLFSIASMNQIFLFSSLFNEVKIAGEIQSFFQIACVFFI YFTFVESAANSFPFYFFLSIFSPQCSIAFTYVASMKPGVPGDILSANLFPSLQIMDIYSP TFEGTQMAAQAVVYFLLFLYCEQVIPNEYGVAKEPLFFLKRNKVQIKENDLFAQLTTDDN DVSSAIYHEEIKYSSPPSIIIKNLQKRFGTLKAVDNISLYLYESEIFCLLGHNGAGKTTA ISVLTGMISKTSGLVSMYGMNLDTQLPKIRQSLGLCTQKDCLYEDLTVEENLEYISSIKG RVDKQEILDILRKTDLIGERSLEVKVLSGGSKRKLSLGMSLVGNSKIIFLDEPTSGMDAY SRRQIWTILERIRQDQRTIILTTHHLDEAEVLANRIGIMSKGQLLAVGTSNFIKKKFGEG YNLKLTFNDVALKNQIYEKVNKYVPECFLETEHSNENQYVFNIPFTSKNSLGQLFQELEE MSVQIGLSMKTLEDAFVKIGMEEEQAQLSFRRKSEIQIAKQSLGIPATDDDTERDNQEFY SYPVEVKEEEVENDLKSIPKCLSNDPSYSFFSQIMAIFLRRYYTVVRTTTNYFSIIIPMI AFINGLVVVAYVKFQDEQYKELPDYVLDHFKINLLASCCVIAFCFNATIYITQPVLEKEY QLKQSLHGMGLRNSTYWLGTFLFDLIIFFLNLLLFLIVSALLDLNIVFDNIIRALSCFLL FGPSQILFAYIMGFVFNKLESALKLFTIFCFFILFCLPNITFAFAFFFYKEFDKPTFLEN VLYVLEILFSVISPFYAFFSAYLFTANNYDDVEAFFEAPYLLTTTEQYLLIMLGQIAVFA GVLFFLENKKSLLIHNHTQQEIQDNVEEEVQNERDRVLKPNNNDPIKSKFLEKQYIKGKP TLQQLTFSVKKGEILGIIGPNGAGKSTLINIFSGINTPTAGQALLNDIEPKQQIMSVMQH VGVCPQFDCIWENLTPVEHLQLFGRIKGLQGKELQTAVAYFIKTMQLDLFIKTKAGQLSG GNKRKLCVADALIGGSDITFFDEPSTGVDPISRRFLFNTLKRNIQLRACSAIMTTHTIEE AESLSDRIGILIAGQFKCLGSPQDLRQKHGSGYQIQIKYINPQVTSSIQNQFPNAQQLDE RREGYLMYSVPKEGFSFYKSFNFFQRQQQEGNIEDFQIEENSLEQVFIHFSKIQQEINEK EGIAFD >CAK72043 pep:novel supercontig:GCA_000165425.1:CT868108:475387:476064:-1 gene:GSPATT00008522001 transcript:CAK72043 MNTSSQNEYYRGNSRIQREKPIQKVLETHLSYTKSPSKEKRKFNDEYEGTANSSYQIQPN LFVNRPRIAPSPMFKTTVQKHGVIKYVLKSTERIHSESQKHSQTLNKQRSERDIFCNKMI SVSTKRSYKKIKQEYFISNEESDIFPRAEITYLQNSEVDVKPLISEALHQINHFENKLIG KNKYQIISYAKKEEKLNTLNQTQNEIFDDDMSETNVLVFKSKKKF >CAK72044 pep:novel supercontig:GCA_000165425.1:CT868108:476106:477133:-1 gene:GSPATT00008523001 transcript:CAK72044 MEEERSDQVSIEPLFFPSKENEQKLIQFLSQAKQYIRICVYTFTNKNIVGKMLQMMKENP ELKIQVITDDAQTKIPSQKAILDQILEEGKGQAEIKLDNSTVSLMHNKYLVIDTDYIATG SFNWTKSAVTTNKENLLLIKSKKLVQQFDENFQSLWKDFKFMNDRILEQQQQEIDKAERQ RIKAEKLADQAAKKAEQEANADPNNPNPTPVEVEAKPKKAPKEPKPPKEPKPPKEPKPPK EPKPPKEPKPPKEPKAPKEPKPPKVPKEPKPIKQKIKKVAQPKKKKENQENEDISDEEEQ QVVQDDVEDDDDFVDEEDSIEDFLADDDDSEDD >CAK72045 pep:novel supercontig:GCA_000165425.1:CT868108:477208:478258:-1 gene:GSPATT00008524001 transcript:CAK72045 MHVAKTTNEYIYICIFINFIGVTRMLDEDDVEIIHSSQSIEGSPKQVIDIQIIPNLQSDN QKLQQSNKSLEMEVKQYKQLLEQTLETLRQSERDQNTLKLQLSQKDFQLQVIQATAEDRQ KSHRIDSHKKIKDSNSNRDSFGNKQTNVSSVGGFLSSIQSPRCRCDSFHARGQTLIETLR KIQFSLKQNEQAPHSQDLVKVLQEFEENLKYFLTLHDQYAIEIHQNKLDQTEKPTQLKQP STHSISDHFHSVEDYNINQSPNQEIFNNDDLDTSQFEVFRNVIGQSE >CAK72046 pep:novel supercontig:GCA_000165425.1:CT868108:478416:480176:1 gene:GSPATT00008525001 transcript:CAK72046 MQRDSYLNTRKLSKGFFPITRLGNLGLMTPMSNTLTTDFEQMTLDTSPKESVFNQNNYLN YDTIDEQEENICKLQVPGTLNASKHQSFKVPKEQKRKSPKHKTCQPQLTEPLSQGVEENQ DAILEMQSPSSNDTPQLNAQTLQLIKELWNSEKNESEYFQDIIDGHSVPQGLSYEYSYLN QPIYSPLLESQSPQVQFEPDIEMDLCVTSLVDQLWGNQIVSRKLQKMLEQGTQEQKELIA QKLERISPQIEKDVFGNYVVQKLFECTNQKIQLRMFNKLKSHFYDLSKNNFGCRVMQKLI EYTYNREELQLVVLQQLQSNMRSLIYDLNGNYVIFKMLETYDKLKMEFLIPIVEESFNYM GQQIYGCKIIHKVIQQYTPQQISRIIRLSVQNYSILSQTEYGNYVLQHILQYWKPSQEKG YLVQLVIQQFYQLSINKYASNTVERALEVLGKQELIAVMKWLLCRSPNQQQNHNPTNSSS SNFVVLANHQYANYVIKKFLVLCDHNVQKYISDHLYQNQSELTAIKSTVHGQRIYSLLEK QIQH >CAK72047 pep:novel supercontig:GCA_000165425.1:CT868108:480224:481392:-1 gene:GSPATT00008526001 transcript:CAK72047 MSLFTNSFRKLSQQQQDNDIVKVYYKTDMKLYAKVRYNRGTTVGQIIDELIKELNLNGKL QYQIYLLTHKKNEPSLGGISIKRKLRRNEQPFKILFLTKVYKFSFYLDYMLSQLSQNTYD SQFNQNENYQFTKYDKEFKIYKITKEGGQKQMKIKINSTGAAICQEGKMEKFIEYSDCKF DFEEDLVFTITQEQVSIYRASNKNEFYAINKMIYQYTKNTEMKYKISVLENKIEHCTKQI MKELESNCYEFTQSKQGMKENARFHIFFDIFKTTQVNKDISAIENDDLTINFDEFRESIL DTLSILPTEQFRKGLIAFNSQYKYTASCKIEDQIEEQNNDKLLQSLDNVVISEEDLRRYS QFLS >CAK72048 pep:novel supercontig:GCA_000165425.1:CT868108:481405:483124:-1 gene:GSPATT00008527001 transcript:CAK72048 MNINKLPQNCKERLADLEARIFKKPKIEILEQFQKESIPSFEIKPARKSVYDQTLLKQLQ ITQFTKNAQNKQHYNNYNKETLIEENNETHAIDALKRSLNELAIKDSHVKQLEQHISDLT QQIQNFKTSSFKYDTAIKAFAIELDQNQRTKRNQLIEKNTKKFGQYQYTREKTKIIQVWV DSKEIKDLKEKLGSIRQQLDLCENQYNISLSRESLNYLVLQREEEQLEKQLNGLENEKQL FIRDLKLRYDEQHAKFKNFDQYPVIGERYVLLSLLGKGGFSEVYKGYDLKEMKYVACKIH QLNSNWTVNSKSYYVKLVTKEFRIHKQLQHPNIISLFESVEIDSNTFCTILEFCSGQDLS FYMKKYGMIEEKEAKLIIQQLLEAVKYIHFNKIIHYDIKPQNILFNQNDVKLCDFGLCKE LESENSQIEFTTQGAGTFWYLPPECFAKGDNPQVISNKVDIWSIGVIFFEMLYGLKPFGN GESQETILKHRIIETSEKVVFPEKPTLSKECREFIEGCLNHKQQDRFDIHQACNHPYMRK >CAK72049 pep:novel supercontig:GCA_000165425.1:CT868108:483289:487394:1 gene:GSPATT00008528001 transcript:CAK72049 MHLVQSNVCVASFDLTQEAIKVQINERQGDSKQANVKLQISLKEDCLITHLILKWSDTSI KGFAFSSLECLDCFDQNYNRLINSKEVVNTEQCSGQYKIVGLGFGRKLRLSFSVCEPPQQ LENKLKAKLSIQVYGYQLYQSPAANQMVALLNISHIFKKNNREINQAEADILLGLSLLSS RRFVQAAEIFKKVANFYAEKAQILVKQKDYYFLRASGLQILIADSINQPSMLNEKLQALK QAVQYMNISYDKTQLLFTLQNTDSYECVLRLSDDLIKIISRVLLLEDNQNFDALRMANMR CLEFIIEQHGCGLGGQIIQIFKLFLKMLNQQQGQLIIEMIYKIVNMILQELSQATLKLIQ DIWNQIIIQGLFDVNIEGQKLIMKICEYLLQADQAHFRIDILFLKQLNQVEESKIWNLVL QNIIPKQNEKKISKILTWINQQLIEQLNFTNYNNLQQSQQYQIIKLLQLISIIVRQQKEE SQIPFFEEFLNKQLTNTIKIKQIYTTSNQNLIILKPILIKLLDQTVKGKIQFDCFELVWN ILIEILIEGNEYDQMYELINPFLQNIFLICQTTSPGQDILVVLDKILKTIQFQCPQLISK FESQIYSYVEIFCQRVPHSIHDETFKIFDILIAFSQEFLDQALIEKCVMALIDQYTVKGQ AQKQSKQNFIKMVVQYQAHFNSLIQVCLIENQEPQIQSNLLSDNKNEYRQDREKEKKEFK SDNKQLTQEYEIFNEKVQFICELFSDMGQQFKVVAQLILNSPEFISSLINLIKSLNSKIR QKGHLTLQLLVDCYINIETSTRIKIFEDRIVFVKFIKDILTHSLQDKTDSKCVLNSLLIL NNIFNLKFQKSEYSKVLDEVSCLWNEVSDLIDTPFNSIYVLFFDLLNQWGQLLNKMPNSN KLDDFIEKIFLWTQEKLDCQEPWHQYNILKFCGYIFGLDSGKNFRVFPTINSSFFEQASK LQSSQNKQLQLMSIVMIQLCTPEQIAIRIIQQMAEQYNQLRHRQNKQRDQINRQSENIIQ QLFQRQNSVKDLMMQSDTFGSTSMDEFELNQEDFLWIRKVDQEVLSQCLQTLFMYQVQQE SKIKQSDILDKEISMKQSNNLNQNVNKNILDDDPIDLDDLGIIEVDDEEWNEYDDLRKIP ILKERIKTISPHIGSRTPNVGIGKQNQERRSSGNRQVFDQRKGEVEDQVLKTLRPHNQVF RPLTPPIGQQPQQNFSGTTEIQRDMQLFSDYMLQNPQQFQKIMEEIKINNNTNSQSQLQQ PITQIEQQDQQFENSRRRVDELEFDPQFSNSSQDDITEEPQLKSNQQHQQKKKKSKKQPS VKKLKSPIQHSLYHSQKISSSQLVGKSDEKIKKKSKTKQS >CAK72050 pep:novel supercontig:GCA_000165425.1:CT868108:487482:491512:-1 gene:GSPATT00008529001 transcript:CAK72050 MSSIYPTNAGLLDKNATTMKQQNWYQQLLFTWVYPLLFVRYLFEPQIGKNQPLSQQDLFR INQDDSSVISYKRFHKYFSKYFSSFNGLQRSLFQAFIGQLCVCLVFYFISISLLLCQPFI TEQSQKFFIYETEDDKPALSSMLMFSLLFFVYMLTISLLKPFQLFQQSLLSVKIQGALQQ QILIKTLKFPVIRSQHYSSGELINLLQVDIMQASNYYNACFQLFLSPIFLIATVAIFYMT LQKEALVPVIGTVVQTIFGVIFGYYYGVIQKKYMICKDLRMKSVDEALIYSKQLKLNCLE EYFEERIKHNRDQELVYLKYQVYLQLLILFMQCSLSVATYELWFLFADELNFGLITIMMQ NFSNIAMIFSELPNQLRNFQTSRNSINRLSNYFQQHEIPTNSPVYNTSSENSIEFNNAQF DWQNNDTFVDDNNKENLNQEETGEIFGVNINLSIGKGKFIAFVGGSASGKSTIMRSILGE TNNTGGQITLNGSISVAMQEPWIISGSIKQNITFLNAYDSVKYKRVIQMCGLERDLKSFR NGDETILGEKGDNLSGGQQKRINLARAVYNDADIYLLDDPLSALDIKVKYQINQQCFNGY LKNKTRILFTNSISNLQGCDMIYILENGGIVKQGTFAQIKYDIAQSESEEQKEYMDIKFE ESYYPKGQEQKQDLRASLIVAEDQQKGSISKEVFKQIYKYLGRCWLFIIILVYFVTTVSG QMFGNSKLALDGVSSDEFRSIALVAYLITQFPTCAVIVLLKLYYLYRGLRTSKQLHEKVI NSLINASYTKFYNTILIGRLMNRLSKDIYNIDLLFPNEVYNLTSWLTTLLLPLIACYLYL NFIALPILVVFFLFIIYLTIIYYRCLREVTRIESVSKSPVFTLFQQIVRGSSYVRTSVPY EKVIVQQQENVDTDLGNQVCLNGFQFWYQSIAGTLTNVFQTILFIVCVSFIFPGKTQKMT NMVLSQMQVVSQLLLNATVSYGNIQMYGISFERCLHLANKIELEEKNTSFITPSGEDDNQ KNQSIVNAIELDKCSFQYRPNSKYILSNLSFSIKLGEKIGIVGRTGAGKSSIILALTQIL DQTDGSITILGKNMNAYKIAELRKQFSIIPQDPLVFMGTLRNNLDPENKHEDSSLRKVCE ITQFIVSISTMAQELFSEIQVQGNNLSQGEKQLLNIARCLLDNQKIILVDEATASIDGPT EEKIKDIFEQHLSQCTILTIAHKVTTIMNSDRIMVLDDGNIIEFDSPQNLLQNENSEFKQ IIELIKHSEKL >CAK72051 pep:novel supercontig:GCA_000165425.1:CT868108:491859:492890:1 gene:GSPATT00008530001 transcript:CAK72051 MNNEEAVQILMLKSEQQVKMINMLNSQLEKSNSEKSELRFQLMAVQNDNMTLRREKEGLH FQLEQLSKQLMNNDSKKDVQIGYSNLVEQTSQQDNRKNDQSQIKQELIEKEYHKLLNENS KVLKTVQDLEGKNQLQAMKIEQLQHQILEIQNEVNFKEALIKKKDNHIQDYERRISHLID QHQEQIFGKEQQIQKMRDYMLQSYQQNGTENQNHQVEMSKLLFNTGNQNQNANILLKNDQ QQKQLQQNYIPPLQQLPLSGERLNTDINELQAQSSGRQQAKQSTANPGQMRQSNEQNTFQ LNTVQSEFSSISQPKVSERFKNSDYN >CAK72052 pep:novel supercontig:GCA_000165425.1:CT868108:493370:496526:1 gene:GSPATT00008531001 transcript:CAK72052 MYIYKAGIVLLMLGVGQCEDQPISASSDISGTALSVFFIFFFLGIGSFMKEINKKLKIPF SPMLFVLGLFFGFYWDSLGIIGQSCKKISEIEPIGVLLIFLPTLIYESGYNFDWHLFKRL FAQTAILAFPCVIILSTLLQLSVKVLLNYGDDYFTWESAFMFGAMLSCTDTVAVLALLKE SGAQKRFQSLIEGESLLNDGACVMLFQISYGIVRGRSASAFDVGSLFFTLCVGGTLIGLI FGMACVYWIKKIANDEILVLNITIVSAFLVYFISENVDFGVHISGVLGLVSLSLFMAAFG RSRISHEADHALREFWEYVVFASEVIIFILGGIIAGIRVFKDESEITQLDFYKMIALWWC LMGCRFISIALFYPWLKNLGYGLSWSQILVLTYGGLRGSIGIAFALIVAKDESLPTKWRD IILFHMSGIAVCTLVVNGTTLSLLIKLLGLSTQSDIREKIYSNFLTKLNEEIDNECKKNN ELKYLKEADVEYVKTLSGFKVYQSDCNQIIDKLAKHEKAQKEIQMKNIHQPLMEEEEEEE LDQNLLTEIRRIFLMALKGIYMEQFESNQCSPDTIILLTESANLDLDNDKEHMNSWEFLQ SQFSERYINLLFYMKDKFLIGILARNHLFSYIYTIYDAVSAYIEAITILKEEASHYHFSQ NLLLEILNEVEDNKKSAISYLEGYLEVSFPEISRELHTKKAAFEILEFEKSVLKNNLQSG QLNDKEFLRMKRNIDKKVKNLNDLNPPWQMPSLIDILTQHELFKSLTQPNILKLLEGSRE DSFGRDQLIFKEGDRASEICIITRGAGNEFSDRSKIRERRALNEATPIYMLVAPSIRYQT SLVADCVINATFIKIQTLQMIMKQIPEFEEAIWRNSIPLLCRVYSDQLKPLCNLKINQIV EIVSKSVFQKIKKNQLIRFEQGGILLKGTLCEGKESIQEASSEDEDALKNSGEDQDSTQK DALCLIGPTSSPLRARTGIIVLLFKQYQMLEQYNQLNQNINEGAKSSNRRSSKSDLQKRS LTVVH >CAK72053 pep:novel supercontig:GCA_000165425.1:CT868108:496564:498277:1 gene:GSPATT00008532001 transcript:CAK72053 MIFLSTLIKVASVTTILLLAGGSTYYYMQNDNNSKVETKNLKGHHNHSTHFLHGKMDEEE VHICNHGHFIKDIEIEEPERTAEIPLEARLSHEQMLIKNHKQGNWDTIRIKTDYSSFQAS QQQADFIENKLVKAATGFLKAAIKVYPSSKLFLSGQCGTVTIPSSFKNGITGVDLVLFVT ASTSQDTWVARAGACRLDPTTLRPTAGSLEFNLKYFNQLDFSKLSEGKWFKWIQTTIHEV THVLGFSSGLFPYYIDPNTMQKLGVNQIVKTQGGRDWIILPKVVNAVKSHFGCLSAWGAP LENNGGQGTAGSHWERTTFGNEAMTGSEFPDSVFTLFTFNLLESTGWYNMDHKQSEPFNW GKDEGCPIAQGQCVQGLREFCTAGSEGCSSDFTGIASCSSNDVLTDGCGYWRAYGNSDCR YNSDFTSQLAQYGGYYGNDGKCFYTTLPTKVGFQGISVKTMQQQSRISNKSYQCVTSGQV INVKYSYYSATVTCPDVKHFCNTQRVCPNACSGVGTCRGTTCYCWSGYSGADCSVSY >CAK72054 pep:novel supercontig:GCA_000165425.1:CT868108:498317:502359:-1 gene:GSPATT00008533001 transcript:CAK72054 MEEERGLSGGKVSGAQNRENGQREGEKPKMIPYFQLFRYAKNRDIVLMVLGSVAAFLNGG AIPSFSLIFGSMVNSFQEAGDEMVRQAGWSAIWFLLVALATGILSFTMFATWMIAGERQG IEFRKNYFKAILHQEVGWFDTINPNELNSKVANESFAVQGAIGEKVPTFIMTFSMTFFGF LYGYIWGWQLAIVITATLPVISIITAVFSVIIQQSTMATQSAYAEAGAIAEQAINGIKTV KMLDGEDYEHQKYYQLLQSAANKTTKYDFGVGIAIGLIWAASLWSYALGFWYGAKLIADQ TYNPNQDSVYTVGDVMTIYFSVVTGGFSLGQAGPCVQNFAKGQAAAATMYEVLDRKPKIY NCPNPKKLLNFNGEIQLKDIKFNYPNRPDQLVLNGLSLNIPPGKKVALVGESGCGKSTVM QLIERFYDCDKGEVLFGGIDVKDLDIIDLRSRIGLVGQEPVLFATSIKENLLYGKTDATE GEMIDALKKANAWDFVQKMDKGLETYVGIGGGQLSGGQKQRIAIARAILKKPQVLLLDEA TSALDRTNERLIQETLDEVSQGITTIVIAHRLSTIQNADLIYVIDKGIVIEMGTHQELMN LHGKYEILAKNQVKKQEEEQLSQSQIQTPSRKILLDGLTKPNDTTNTQRIIQMNVADKRN ITEEAVDQFKQLKELDLIVKGQTENTQYDKVADKEQVEVKKEPDAQMGRLFSYNKSERFQ FLLGVLAAMANGCTFPIFSIFLSDMITVLALSNPRNYSDEERSDKMAYVRGEADKNALYF FVIGCCALTLWTIQSFCLSYVGERLTLKLRSDTFRKLLRMPIPFFDEPKNNAGTLTSRLS VDCKLINGLTSSIIGINLANVASLVCGLTIAFTSSWALTLVTLGVTPFSFISGVLQAKIM QGFSAQTDEAYKDSGNLIMEAVTNIRTVFSFGNEQIILGIYEKKVQMPLEQATSKGFKAG LAMGFSQMNMFVMNAIIFYVGAVFCRDIDLSVNDMFKTIFSLTFATMGAGNNAAFAGDIG AAKNASKNIFEILDGEDEFQREVRLQKKRLAQSITGDVQFNNLTFKYAGRDKNVFENLSL TIKQGQKVAFVGPSGCGKSTLMSMLMRFYEPDQGVITINGVDIKDYDIRYIRRQFAIVSQ EPVLFNGTIRENIQYNLTSINMDQIENAAKTANAYDFIVKNQFEETQVEQKGSEKQRGQG FERQVGPKGTQISGGQKQRIAIARAILRDSNFLLLDEATSALDAASEELVQDSLNKLMEG KTTVAIAHRISTIKDSDMIYVFKDGKIVEEGNYQSLTNRKGAFYSLEQGISN >CAK72055 pep:novel supercontig:GCA_000165425.1:CT868108:502832:503795:-1 gene:GSPATT00008534001 transcript:CAK72055 MFNNFKRNMRILNKDMVETSWLKCLIDDIPKQKHILILSRCLDGELNNLSSQEALKKIIL RMQHPQKTITALKSYYLVHVLRQFLGPYVTDKTIELSQKSAADAVLQSVAFLYCSYLKNF SNNYEQINILYKMHRQLEVLILKLDVPIVKCITIKLIIDIIFLYESLNKQDDQAQRIRAI KQIKRFLEIRKLLELPDELLYKLNTLNFNEIDQKITFQIQPIENNYFENKPKVPHREALK LDMKCINQRKLSTSANDWEDQSEFQIQQQQFHKSLQPSPNRRRTASQTPNRTTSIRDNFF IPSQGPLSFIMMS >CAK72056 pep:novel supercontig:GCA_000165425.1:CT868108:503897:504181:1 gene:GSPATT00008535001 transcript:CAK72056 MENKQSLQIHINLTQFISKQHKEEQSAKLYEDWHQLIKQKLQGSKQDETRRLVYGRLSET QQKSRRLTPNQTVQKDQDIIKDIENIKFRLQKID >CAK72057 pep:novel supercontig:GCA_000165425.1:CT868108:504724:505978:1 gene:GSPATT00008536001 transcript:CAK72057 MGNHQCFQSENLQDIYKSQMTNWHIGVEKEVQKLGFNVNVRSDHLHKQNFMQLYSIVKPQ YEEIVTLSTSESLFATEFENIRTSKKTLDPFKEFNVTTLIQDCEPSLQEFERQVLQQLST LNGCLLEIFQSFRQTQLPNSSNQLDTFILKFRDSFVQILIHYYNLNLFAQLNKCQFLSTS SLQCLVSNMIFNDQVASHIYEIKKQEQKQENQKIHNKLSLLREKTLVDFGISIKYCLDCA TREYIQSKLSSKVNTCTRTSQMIQQDTFETLIIEDVDSTHLPSRPQAKLLQGTFFQQSPF QNAIEALKLIQFRQTPHHKVKQLVACFRCIYSAIIDYYNYYKKQPSIISTDEMIPIFHYV LCKSSLQNPYTHFEIMQKYLGNLDGLEGFYLAIMEAAFNIA >CAK72058 pep:novel supercontig:GCA_000165425.1:CT868108:506131:506819:1 gene:GSPATT00008537001 transcript:CAK72058 MSNRIRFEFKKGLETQSQKVQQLEEKINELQIDNQKKQQEIDNLIAGQKKLTNDLKKVWN NFSSTLKSQRSESQMISNLSVFQEQSTIYQDLSQQTKQIIQQEIQSRFLLIKDIVLKKSD FDEYSKKVEEQFETLRQKVQTFTQYSQPEFEFGPRRDSFQGKVPTFQFQQPPIKGNAQAM KQYLYFTKLQDSLKKRKKKMNKQQY >CAK72059 pep:novel supercontig:GCA_000165425.1:CT868108:507209:507949:-1 gene:GSPATT00008538001 transcript:CAK72059 MQNINQLSARIQQSISKQLSTRTDQTDSQILNTSDTQKLLKQIYIIKESDKKNKKPKFTA VKQSLQFQFLTEPKQINIKQFIQKEHTFEEKKKNSVDVTNIGDQTWRIERQMRQSLNFKD LHKLDESIAIDILNRQMGNTETNFYEYKPKSQRRAKSQQVKKRDIVNITSIPFTIPKNNN QLNKTAYDFKVTRQDQILYKLPVSNIGVPIVKTQRSMRKSHYHYPFSTMNNFNYSRLNKS IWVCNF >CAK72060 pep:novel supercontig:GCA_000165425.1:CT868108:508721:511950:1 gene:GSPATT00008539001 transcript:CAK72060 MLQIFVFSILSLLTFGFNVLRPSFVERNRVEMSFSQRMSYNFGNNFNMYTSEGVVINENS IQFEKPGRLVMNIPLVEGIDYALQFKFDSLNANHIACVGIGSQGFILSEVLGIEKTNLSH FQLGYCSDGTNSTQYPDMYTDFNEFDYYSDEEFDEIDYSFGESQMTNVFGQKEFYMVLRE RQLIFMDNEDSILTIFNDLDRARAFVPIITMHYRNEIQKKLIKKRECVVREEYAVLLQLV GQLNPFKREMPPEMKAQREQEKKKKETELAKMLKQKQDENEDENQEEEQEKKEEKEEKEE TDEDVKKEQQDAVKRSEETKKMHEDINNQGEESSDQAALANAQGEEENLNEDNAADQQDA LAEGEEGQQADQEDGEKGEEKKSDQTDEKGDEQNQNELTDEQKSQKSDESRSENQEDQIS IDGNQNQSENQQLEDQGELQSGELSSEQQMKPEDDTTESIVGVLDVQSHIINAKQFTREI IPLRNSVVVENKYTVFQDNQRLAKALQDYKSCGYSYLDIRPSQNNFFVQIQIDNFDDLYD TYPVGFGVYAYQMLDRLKGWRNLDDQLWCIDKESEDLWQFGALYRTDGTFIDSYMFGEYF KYQVDKQAQRQTKINIYFSNKNRVAFSFDDEKTIMLTCVNPKFKYYVPIFETKAYKGVPS MTLLQYVDDIQIPFEYSDSIENIQNAFSKIFSLINKFIIEKRGSFNEDDDDYTISGIDDD KGASINMWDIKLYDAQYEWWIKIDDLKQDKQDINICIGVNQMSSEDILTNLFDRQYKAQV AYICDKNDFIHTFFQECPISSNPILLVPGSVLRFRLSDYLMEVYDGYQFAYFSLRKTGKT GVIPSAIIRSDSKNKITFLKGPINFGPNPLADRVDYEQLNRENFASYDIFTFPILENAKN GLFKSNTNEPLFKKNQVPKPGKKEPPLGRNYETEQEEQKVEDQTTHQESNKEEEQKNVEN EEAKKIVSSNVPSEAHADIHGDTNVKCLIIYEHCDFEGPSKLLYPNRFEAGPPNEFRSFE LCRDTKLIEIIIEGHVQEKMFVSQSVECLPSPFFVDEIIIM >CAK72061 pep:novel supercontig:GCA_000165425.1:CT868108:512265:513397:1 gene:GSPATT00008540001 transcript:CAK72061 MDIEALQKYKRLFEQGMSYQPIESKKNNLSNQYSSINSFQSQASQNQSKDEQNKIFLYVS LYERLKPTMSDQDNLQNQNELDKKQNNSDKKPQTQVKSQYQRKIKLNNDRRLRVCNVLKD LYSNTSGLRNVEDQYKYYVREIENQSALYKNYSLNFQNKAIVRKICNAFLQVRGDGNCFY TAFGFQFLYHLLFSYSDEEFDEFIKKILNQQISFGIKYVRKQIDDKQIEKDCLEEFIYLI EDLRQEGKEIRFEKFRQTFANWQINENGDGFLYCLQTIFFRNLSYQYLEKSEFKDIVLDK ENLLIWEEECNTNEVIIKLLAQELKIHTKLLFLDNEVTIREYEEENKNTIILLIKPGHYN IGWNIREQ >CAK72062 pep:novel supercontig:GCA_000165425.1:CT868108:513524:513912:-1 gene:GSPATT00008541001 transcript:CAK72062 MDQKLNQETIIGKEDTDVDNHKFSIVITKHSPLFNRQKLLESYNEKEVDTIIRTLLVLPN LQQNIEFTLDAKKVTLGPEHYTVPQ >CAK72063 pep:novel supercontig:GCA_000165425.1:CT868108:513923:515464:-1 gene:GSPATT00008542001 transcript:CAK72063 MPFAQQHYPFERKELFETIFPADFIAEGLDQTRGWFYTLNVIATALRDDTPYKNLIVNGI VLNDKGEKMSKSKKNYPDPEIEIINQFGADAMRLYLINSGLVKAQSLNFSKDGVQEVIKN VFLPWYNAYRFLIQNLQRYESVLGKFEFDENAITKGDNTMDKWIVSSSQTLVEFVRNEME AYRLYTIVPRLISYLDTLTNWYVRLNRGRIKGDQGNQEWVVSLNVLFDVLLKITLLMSPY VPFITESFYQNLKKCIPQGKNNQESIHFLQIPEVRKELIDPKIESQVEKMQIVVESARKL REAHKLSLKQPVNSLTILATDEQLLQSVQFLSKYIEEEINTPSVLVEKNIDQYIQLKAEP DNKICGQELKDKFGPDLIQQVRNFTQEQIRTLKSEGKLQLKVKVKKEKKVEEQVQQQPDP KAKKGKKNVEYIEVELDCELLLKHVKITDQFNTEKHKQLLFATEDGFSIILDPSQTQELK NLGLAREFTNRIQKLRKKLGFIIGGQNPYLLSI >CAK72064 pep:novel supercontig:GCA_000165425.1:CT868108:515550:517202:-1 gene:GSPATT00008543001 transcript:CAK72064 MIQRIQFKIQKFLTFLSMAQKTDFTNVVERPNFPEEEVRILKYWEQINAFHKQLELTKDC PRFTFYDGPPFATGLPHYGHMCAGTIKDVVCRYFAMNGRYVERRFGWDCHGLPVEHEIDK TLKIQHRGDILKMGIDKYNHECRSIVMRYASEWRRIIGRTGRWIDFDNDYKTLDTSFMES VWWVFKQMWEKGLVYRGCKVMPYSNGCSTVLSNFETQQNYKNVWDPAIVVTFPLVKDEKT KFVAWTTTPWTLPSNLALAVHPDLVYVKLLDKASNTHYILAESRIVELYTDAKLYEVVEK FKGTDLVGTEYVPLFNYFLERKEQGCYRVLAANFVTSDDGTGIVHCAPGFGDDDYKACLK AGIIVPSDPLVPIDSSGRFLESVKDFSGMQVKEADKEIRKLLKTNGRLIKDGQVQHNYPY CWRSQTPLIYKAVNCWFIKVTSIKDKLVVNNKKARWVPQSIQDGRFNNWLDDAQDWCFSR NRFWGNPIPIWVSDDFEESVCIGSIEELRQLSGVEKHHRSPQRIH >CAK72065 pep:novel supercontig:GCA_000165425.1:CT868108:517202:518317:-1 gene:GSPATT00008544001 transcript:CAK72065 MNEMEGKEPQRRRVPPPVPKFRPQPVENAQINAAMQNFFAEIQQEVEPTQTVETAPSQQS NAANQVQQSQAQQVQQQQQQPQQLPASKDLSHLNNKLSSILRVCKNSTKLTPIVNKPQEY DPSRPNDYEELKRQMNQSETQNEQPQPQQIEEETEPSYDEVELGSGEEKALKMMEKFGYK FGLGLGKYNQGIQNPIEVIKTSNSVGVIEVSSLDFTDLLPQNVVFRKTFEQHKQQPTNIL VLLNAITAKDVDEYFKDDIKAELGKYGYIKKIHVHIKAELEEDMQVRVFIEYANNEEAMA AFLAADQRVFGGRIMTCRFYSIENFNDGLYDVEL >CAK72066 pep:novel supercontig:GCA_000165425.1:CT868108:518770:521466:-1 gene:GSPATT00008545001 transcript:CAK72066 MLDNNKNALMSCSAFKESGVLKGWGERLLIYDLKQQILRYIDVKGQTQKQLQIQNYVIHP VAFHQGKWAIKLKTQQEIEPKLVRFGWNNQSEALQWYDFLNGKYLAMQITKEKKEKTLQE WDQFMPESERVINQGVPSMAIKPSQVSRVRQMIPLLPQFLQNDIEIILENTLENLQGFSD IHSFKVLYQSQTKQLYQDPKDQLHMRFFLKSEIPPARIFDVTIFRSIIGIVDAHICRQFE PLNCAIIYAEFDMGHKYLNNQFKQTKPQSQKDLEHEFFSQESFSKQPKSHAPIQNDKIRL IYTQKHFQIDENAYCILKKYIGNEIHHNQIDKDKAEGSDEFKITRSCVLIQAKQEDRFKA QIIEDIYLDCENREKGEEVMKRFLLNFENIDNQIIEADHYIKQQTEHVLIKNEDDDIDNL QISFIQLVPEVQRITSEQHQQQSLQEYKEILDLVQTGQFIQRSIQRKFNQNILPGLEKFL ETTKEDGHFLLTKYYEVDPKKGGLIYTNKKLISDQRSVLLDIIKRMGSNLLSGKSLMSVS LPIQVFEARSFLERMARGQGHAPVFLEKAAQTTDVFEQLKLTVSFHIASFMMGVQQEKPF NPIIGETFEGRIKGCPIYLEQTSHHPPISNYIMYGRGYKLFGAFCPIVNMGTNSLAGEQQ GHSQIQFQNTNLKFYYMAQPFMLYGVLLGQRSVNCHKRSYCFQPDHQLLVEITFNPKDKN AGFFSSSSQKIDQFIGKICKVTPDCIQKCLKAHKTNSGIKLKILPQEILDTYNINIKGRW TSFLQFDNATYWDIEVHRPYILELESSPLPSDCLYRLDLLYMKMKDVSKGQDVKEEMEVD QRKDKQLREKIGNKNKKKKKSS >CAK72067 pep:novel supercontig:GCA_000165425.1:CT868108:522501:524542:1 gene:GSPATT00008546001 transcript:CAK72067 MNKKQGSYASVLKEKIKLMDIFGQSIQLSFRQEEQHTTFVGGVASILVLATIISFFYSNI IGFFTMNQVKSSTEMIFEDDPGMIQLNSNSFMFAIQIEHDNFVDTPYFNITVEQRHYKNQ NGTLTKLPNEYIDLVICTQEHFQQIFQSSNIDNAEQFKDLNMTNFLCPNIEKRNVWTVGG AYTSSDYYFLKFSVTNCVNDTQSNFTWKPKCRTQDEMFTQLKAQGSFRFQLFTTNFIVNP DSPYEYISPYLAIEQFYTFVPNQMFVQSDIFVREKRINTDKGILMYPMNLEETVAYREHM DSRQQFEIGGLNSNYYAAFYLQRSPFSYNISRSFVTLDELLSYLGGFSQFMTVILGMIIN AYNKQSLLLQLANDLYEFNFSEQQENTRAFISNLLQQSRHGREMIKQKGQTKELKIQSIN PFKSKPQMDQKISQVISPQQTQNQFIQISSTRQLQSMKEKTYDQYEKFKSFMINQKKLTL GLRILLGDLLPFDCLQDPESVLFKKAMNQVSKELDIRYIMNQLHEITKLKKVMFSREQFQ LFNFSHKPTISLVKEKKNRLSSKLLYLGEEEAVNNELQIQYNTLVQAYSKLTSCDTAFTD DQIQLNQRIIGLLGRDLPLWIEMELQQENSESIKEPEPDDLDELSKT >CAK72068 pep:novel supercontig:GCA_000165425.1:CT868108:524950:527019:-1 gene:GSPATT00008547001 transcript:CAK72068 MLQKFKEGLKQIDIFGQSINLSFRKEEQYKTSMGGFLSICIIGTIISFFYSNIINFFAKL NVTSTQEFTFADDPDALILDKGHFMFAVQIEQDNFTTNPYFNITVEQRHYYRFPNGTQYR YPNQFIDLVPCTLQHFQPLFEMYSVDFGQQFEQQNLKNFLCPNLNFIHSLNMTVGGVWAS TDYYFLKFSVTNCKDSSSSNFTWKPNCKTSDEILSTLNKQGSFRFQVYTTNFLINPNRPQ DYVQPYLAVDQFYTFVPDKMFVQSDIFFRTKKVTTDQGILMYPQKQNQTFAFRDYGDQRE QFEISRITPNYYGAFYFQRSPYSYHINRKFLRLDELLSYLGGFTQFMIVVVGVVVRFYNR QHLVISIANDLYEFDMSSRRQNTQIHLNSLLARTERGREILKSKMSKKIETIPKIQTLTP FQNKPEMLQTSTPLKKQKSQIFDKKMSIELQQPTQQVLQSRLSAMKAKTLQYFDDFKEFL KRKHVIGLNLRVILTSIIPIESLKDDDCLVLQRAIDQVNKELDIEYIIKKLHELTKLKQI LFTSEQITLFNFTRKPKIALIQESNKRRSTRCIIDGITTAEDCGMMKQFIDLVNSYDKVT GQEQEHQTQEQIRFNQRLILLLGPDLMRVLEKELSAQQQQQQIESVNNDPKDEDLFSERE IQVLH >CAK72069 pep:novel supercontig:GCA_000165425.1:CT868108:530542:530772:-1 gene:GSPATT00008548001 transcript:CAK72069 MKQENETQNHTQNSNQNLLFEGLNELSKTVLITKQQIFPQQSKFQAIIYQKDEEGLKNLL RFKQNLAKKIIKKMKN >CAK72070 pep:novel supercontig:GCA_000165425.1:CT868108:532291:533092:-1 gene:GSPATT00008549001 transcript:CAK72070 MDKITSPCFDLTSYQTDYLRPIPIIFNSDFQWDDNMAINNVQWNYSLEVNHDKVSQVREI IQNTCTTEISDEEQKILFNYLQNEKDLLKNCGFTCQRLPQIIEKNKEIAHFLLISICHFD GFEEYLDVFIQSDVTQNSLELFAQLFGELKLPLEYITQYINYCIEYCNNIKEKQQQNKLV RYVSIFIQHMLKQKAFATKDVLTNLQAFCIEFSKVGEVSKLFKLVKAQESTTL >CAK72071 pep:novel supercontig:GCA_000165425.1:CT868108:533336:534667:-1 gene:GSPATT00008550001 transcript:CAK72071 MSSNRLKEMRENFHQELRKKNLESVFRLKRLVNTPKQSNPVEKLTEIVNKMMRSEELQDY EYQTINVILDEIDQITVTDTSLRFELSEQLSVIKSLVGSLEQGSLNTQHINIAIKAANCY RNLTLQQPQIDQYDQVQNILASLQMDSFNEQALKLLATITKNIENDNQVITILTLASRLI DTCDSNLREKGLNIFENASRNKQNIQYILSMSAISAIIDFVYINEKNIQKISMTILVNLS YTSDFHECAKLLDLGITDVVYKLLKNSIFTYSRIFGSMIFNNLMASSHLLLDKVISNLKL LQLVFDLLENDVFDVRIELFQAFRNFLVVCTNQQLQNLIDNGILDYLTLGLDDHDNEIIL IAVQTLYQTMKRFISTDSQYDIYYKFQLKNIPKKLEKLQQNDAIYDDVSQFIEEFYDEEF DDYEY >CAK72072 pep:novel supercontig:GCA_000165425.1:CT868108:534779:535216:1 gene:GSPATT00008551001 transcript:CAK72072 MKKQRSRKSFSLNDSIDEDRRKEEIIQKLLESSTNLQPKKLATIKFDLEENEMPVVEQQP ENVKQKNQFTIEYLTPSVVKNNLDMVKENLHIVIEERMEDSKFLNWSTLIIEFIKVLAFF NVLFQQTCSGSFSAWFYMMFAHDVN >CAK72073 pep:novel supercontig:GCA_000165425.1:CT868108:535246:535987:1 gene:GSPATT00008552001 transcript:CAK72073 MTIKVVNVVSSKYESRLSKKRPTLIKRESKYPMAGRHSYYGQLIKEIEKWENTVAIQNDP QPTRVIQYVKLHQYNEFFYLFLILWGLLLLGTQCQSDIVLGTFHGFVLLGIITLSLKLLY YLSFKFCISAVVMNYLMLADKNLSNNVMIFILIKEVIANIPKQMKKNYDFEICYLCSQQI KQMTSFIDLPCNKMHRFHENCISKWLSTHLFCPVCVEPIELMNVQMRVLA >CAK72074 pep:novel supercontig:GCA_000165425.1:CT868108:536068:537052:-1 gene:GSPATT00008553001 transcript:CAK72074 MGSTLSKKQKSGLILFCVGTGFLIGRLYYNGKFNKEPEPQSKQEDSETYTQIQISNFPKD SVQVNEQLLQKAAKQIKVEFQGHLLSMNTIIQIFQRSIDLVKPEYREITLNNRECIFIQY HSREKRSKGKIQQFIVSIIENLIEKKQIDLCQYLQISEEVLQESAMSLEESGQYQQFVMI QATQRIQIKESIPNKKTLSVDDLKKVLSFQVQILNKKPKELVQMIQALSQANQYNQILIP MAINTILFDYCYDEFQIEEEDLMVMIQNQNIFADLKVQSLLQQLEMIIFQLMGVA >CAK72075 pep:novel supercontig:GCA_000165425.1:CT868108:537328:537735:1 gene:GSPATT00008554001 transcript:CAK72075 MKVIFQNWEARLVQPPDAQVPVESVESVEEALSILNQNNQVLLMTQDEILHLVTMGLTDF NHIDCSKVQEWFNKNYDKYLLQYYLDQMNAAMKQQMVNGEIQIQEVIIDEGKPKVAKFEN EYYNREGLEFAKSLK >CAK72076 pep:novel supercontig:GCA_000165425.1:CT868108:537764:538315:1 gene:GSPATT00008555001 transcript:CAK72076 MHTNYAYESKFQKFYLIRIIQLFEDKTLRQPFKEIKLYSNNQTPRKQNQIQQQSQQVYDG EMYISTKELKEILESLPKLQTKINLSEHFKKKIGSSQKCSFASVKETISLIKQKNEKQLQ EIQTERVINNFLKIRSMGTVRQNQIHTQSLETQENINHQFNIKFILVLIIILFYYLLDDC YIR >CAK72077 pep:novel supercontig:GCA_000165425.1:CT868108:538356:540598:-1 gene:GSPATT00008556001 transcript:CAK72077 MNSVFKCRQHNQVAIYINVKPQAKEPVLLCQRCILSKNYTAKLLEEFLLQAQPILGQYLS QDILDFGFNKKQFEDSIEKLRTFLYETFKTRINSFIQKLKFQFEEQKQILQYIKNQIIHY QKCGQNQTFLLDDQIEDQLRYYLTNQKSKNPKQKQIDYLYGQLCSTATQPPFINIPQIQE DFHEILDQFEDKIKLSKQLEYPNFKITIFSPEEAQNIDIDDFNISKTLVLNNCYPQLTTL TDSIEKVELILDKPIDLIGFSKYQQLKELFIRFDKCNVGITRQIMIPNLQKLTLDLKGNK LTKFDQLVIPDTIVDFSLDLSLNCLDENCIYYIGQTIDNLKLQRLSLNFNGFSQYRNSIW STGLIQLCRNLFSQQLKILHLGCGRCSINDVGLEFGIIKLLSKQRQLEELRLDLYSNEIT NLGELAKAVSSLKDVKVNANQNPLQNYLHLDPLIFSTLNLLKKMNNHDNYKLPSLTNSEQ SIQNHFKQYSQPVRETWYQKNSIDNNSSNQIDINDLPNFEITSPEKQQYQSQFDHEFPPL DCSFKQEKLSFQPKQQEQDQQYNIEYSYKKNSSKVSETYFNDNQPQQLFKQESSQFYQIQ DEPKQRFNFLYLNQEQISPQKSRQINYAKPQKELTPAHQKAQSKSKSKTPQKKQNPQDSQ NLKYIILETNVSSNKKKFICQNPAPSYIILDFTDKENPKSVSKQTMQVQLSLKDLMY >CAK72078 pep:novel supercontig:GCA_000165425.1:CT868108:540954:542693:1 gene:GSPATT00008557001 transcript:CAK72078 MQISNRSIEDYKNHNYTNKLLLKASNIHMKPNETISPIRKKSMMDSNIPSIYNETDRMTD RIRLQMREKNKSCCNMPDEDISDSPFQKYSQFVIMNQNVKQLMIGIEKKLKNFKFAKEND HNSCASIIAKMKCFCLDNCCFDFYRCTDLILLKYLIYLLIQELNSNKIGDSTSCLSDQPP PQLKNLIKDAIAIMHETVEELKNKQLIDKNSNKSIDQLNKQIKKMQQVMGGSNQSYDVFT TPQSNIKKIDLFLKQQSATQKREINQTSPSLNSEKIIQFQIDELSMKNDQIQKLNGRIKD QEQEIKILTQQIAEANLSLQISQKSLQNKESEMKDLIKKTDKLLETTSQALKDKEAYQNT CKSIKQDHDQLKTLFDDLQKSNQSIIQEYHVLRQSQNDSQDSDMQKYKSDEERYKQDREL LDKNNKQLKADGLALGSQLKDISNKIMQVSPDLLPKSLQQLQRDLYLQESKINEKLNNIQ YVQLEQSNKPNSMQKLQQKQIVNQNQNQYLNQYQNQNQQFEHQLKSIKSHSDIMTMILIQ CEVIEKFIN >CAK72079 pep:novel supercontig:GCA_000165425.1:CT868108:542695:544121:-1 gene:GSPATT00008558001 transcript:CAK72079 MIDEIKKKVKYNQQCPYIATICRHMLDFDFEKLCSVTLSNLNVYVCLICGKYYQGKSINT QAYIHSLEQDHHLFMNLQNTKIYCLPDNYEVVDHSLEDIQYNLDPKFNNLKELDDNVEES RALDGTTFLPGFVGLNNLSKSDYFNVVMQALCRIKPLRNFMIFLQFQKSATEPFDYNCLL SQRFSELTRKIWNPRNYKGHVSPHELLQAVTLKSNKQFKIGQQSDPMHLIIWFLDNLKKE LLHINKINTIISDCFQGELQYEWYKPLKNAIGYQPIPIIEQKSFFYLSLDLPSTPLQKDG SQKALIPSISIQELIKKYDGFTNTDSADGRRRYTITKFPKYLIIHMKRFIKNNFFMEKNP TIVTFPLNDLDLSQCLNLEQQNVKYNLIANICHEGTAKVGIYKIHVKNEANNQWFQIQDL HKQNIVPQLISVSEAYVQIYELQQQQQ >CAK72080 pep:novel supercontig:GCA_000165425.1:CT868108:544145:546466:1 gene:GSPATT00008559001 transcript:CAK72080 MSSEEETQQSLPYKVHKSTLKWAPVFNMTILLSIAIISCLIRVFSVIRYESIIHEFDPWF NYRTTQYLVKEGPYALWNWYDSESWYPLGRSVGGTVYPGLMMTSGMIYWVLHKLSIPIDI RNVCVFLAPIFSAFTSLAAYGMTKEITKRSEAGLLSALFVAIVPSYMSRSVAGSYDNEGV SIFALVFTFYTFLKTVNTGSILWGVYTALAFFYMVASWGGYAFIINIIPIFVLFLLITGR SNSRIQVAYNVFYILGTLLAMQIPFVGFQALHSSEHMASHAVFILINFLNFFSWIRNFVS KKAIITLTKFLIVFLVFSILVGVVILTLAGKTQWSGRSLTLLDPTYAKKYIPIIASVSEH QATTWSSFFFDLHYLILFSPVGLYYCYYKPTETKIFGALYTVLGVYFASVMVRLLLVLAP AVSIMAGIGISWAFRKFAKSVRSFILPKIEKPKKYRIPPEIAIIGLVILGYYCSIYVLHA NFAGSEAYASPSIILSSRDRQGNRNIIDDYREAYYWLRMNTRPDDKIMSWWDYGYQITGM SNRTVLVDNNTWNNTHIATVGMAMASSEEDAFEICEKLDVDYVLVIFGGILNYSGDDINK FLWMVRIGGGVYPHIKEEDYYGKGTYRVDQYATETMTNSLMYRLSYYRFDEVQTAYGQPK GYDTVRQAVIGVKGYKLRHFEEAFTSENWIVRIFKRKQRENRDGVAFTSKSTSQFTIPKE FSPVTKFSKKANTKQRSTLSFQNNE >CAK72081 pep:novel supercontig:GCA_000165425.1:CT868108:546479:547265:-1 gene:GSPATT00008560001 transcript:CAK72081 MYGGIHEKKLTEKDMQLSCQNIREEFQKEINIEHQRINVDSAKKKAVLYHHDYEGFRQMV LGANLYTIKSKELANFGFNETNNDKIFNSSYQKYQQPTQEDINQLQLNIKEIQCKNFRDF RTLFSKYYIKPLTQENYAELMNILQMQSEDNIKKIFSIDFHVEYFFKILEVFDYSISTLK DGKELSFIVGFLDELVKIKDFMQQIKKFLKKSEKEELKEFFGRLKQSLQMEYEQIQLNQL IHTKYEELLIQYL >CAK72082 pep:novel supercontig:GCA_000165425.1:CT868108:547569:551662:-1 gene:GSPATT00008561001 transcript:CAK72082 MELKNQILLVILIPIILILMLAVLQVGLLGFVSIPSFQDSLLDFHINRHLNGLQIEMNII EQEIYIQYQAYFNQIFGVSAHLRHYCFGEFQNYSSWTLDDKQLSLNAFTSTMKDSQDYKI AWSHSKYQTLTELQNQSPQLYFQLTKAAQSLILSDIIINNTRSLKQDLNLNTTSDTSSDA EALVPINYAATMIHCTDSFVIRFPQFTETQQQKLTGFDVSQWLPEIPMTLDPTLNFTMVY DRTDEFTSGPSISLTQIVHQTDTTKCYMTAKVLNSYLEDLTTRHLQQHQSQGEQEHQLFV AIINKEYTVLSPENYSDRNVTQAIVQYINETKQLSNSSYSELISKMDAPIYDKIKELRTN DTLNSTQLIYSFGSYKFYLLFSEVVTTSSKRVSIVTAPENGRRYVYIEPEVNDFKMPTFI LIHYFEENVLIQELYEGTSLNNVRILEIIVLIVIIVLSVVIFLFVWYTATRIGLSFEQPI KVLTEFMNSIDIQNMDQEEELINYQDYFNSFEIKSLFQTMNIFVTTIKYSNQKYSNSKHS DAIALMELSRAKDFYKKEIGNMSAVGICANNIGILHMKGGRVFEAVNEMEEAIYIAKLEL MEIKELKKWCTTMMAALQEPNLYQNLRLRLKGLATKFRQQIEITWIKKLQKKQQDEQKNE NNTQMKAISSSQRQKNISNTSKNISTTVIHSKNIPHMALINPQSSCSSKNTQLASVAVNS VTTPINKKKRTLTYEKSLNESKKGLQPINQVDQENSNYYSPQLSPNVSNNRSKYLGQSYN QSSIMQSQRLDMKESIINYEDKQNNNQVEERERNNSGSSDVQMSELQMIKEKLSELTKKK KLAKAKLLNRQFQLAQFMFNICMQYSDVFIPETLKLFTDFEATAEKDQRFTQSKIVRLIN LHVKKALCYIQIGDISNFQLSEQKAEEYYNKLIQDNANLEQAQQNEEQDFNFVDMFKNVP KEVLFSKIRQLKAIYQLLRGNFKTAAEILTEIIEMGDYYDPEVRDFCLMVLEKIFKLFNI SPQPIQQFRNQISINVYEIVFMIDYSKEMNIEQINLSHSICTKIFSILQPQDLIGMYGFN NSLHEAFPLQPKGTYKDLLNKQLYMAITAPGGNSRLYQALRFAVKNFFEHKINISNANKS NGKSDDQKSSLKKECNNFTIHEENEQDLSQSQFPPLSNNDDPKQKLNESQTEGEQDTESD NDDIQFFKNGNNVNLNGKSEDNNDQQQYDFRSRYKFICIFTEINKHMCQKQEEKLKQLLE KNQVDLMVFNIANQNANMLELKKLSKITPRSIFVNATANLEQLFGKSRQNQLQKKMYLEF F >CAK72083 pep:novel supercontig:GCA_000165425.1:CT868108:551707:552747:1 gene:GSPATT00008562001 transcript:CAK72083 MPPGKHKSKLKTANAPNVIKPRPIPIFLSRQGSRVEGQTNNSNLKPNPLLSRQPSQSQMQ EHSDHIDIQYNTRKFNVTLMVQQENKNYTLGDINCKLTDDNRVWIYDLIKTLKDMQIDAV DHLKNSTIQILNEEETQNLVNHALYTPNLVVYENLGVDPLNQDTFFKVNEDQIKLQLKIR PSKISLLDTILEQQPNEETNSKKNQKTKQRTLSQVVERVALWRRLYTGFYDQNKTFVQMS LDKAAEKVGISKKTLDDYLLQIRYGKRYGFDFKKNSNEGISKLRQFVKSKKENKKNDT >CAK72084 pep:novel supercontig:GCA_000165425.1:CT868108:553147:554410:1 gene:GSPATT00008563001 transcript:CAK72084 MNVVHWTNNNNESQSKSIRQSSETLPPLKKRISKRLQKHIQDTSFKELYKQQKLAEIVQK AMERNECQYEEYDEIKICGEIIKLNDKVIIKNEDSNVEDYIGSIQKICSIVEPRTLKLIC LCEVQWFMRKNEIICHKPRARSWIGNQEIFSTNTNDYVLAQTIVQRCTVVDCEEYFNMEN CDSTTYYNRLEWDVECKKFTNMNTIKMYCLCQQPWNPELNYIQCDKCQKWYHFECVGVKE GSYENKEYACGYCN >CAK72085 pep:novel supercontig:GCA_000165425.1:CT868108:554478:556588:1 gene:GSPATT00008564001 transcript:CAK72085 MRKPIGLTLDFLDIQQSQKEQTPKVQQIDTFEEFCSQIPENQQQQELNFSKQSQSFHSQQ IKTNNQEESICSEFKQSIINQEKENSQIQYIVFEEFHPQSTIISGQSESIRNSEKQLQEK NTDLIKEPPAPSNKNNTKFSFPQKGTGFTGLKKQLQIAIPEADHTNTSSYEQTMIKQQLK QNQMNNAADMELKQQIFTLQRDKEQIKNQYKLEIIDLNAKIQELQQKLDIEQANSLQLKQ ENEKLQLKIQSFEHQYSINIDKNQSEQFSISYQNKLTDNEKIQYLVEKLNQKSAINAKLQ YEIFKLQNNIELLQTKQIVQSYNINQISDYEQISDSHSPPQFASNPPLNNYKNRESNKAS VLMQQKQALLNLSANQAQLDQKKEINLQLNIFELYRSNMISPQAKTCKASTTIHKNLTTH QTKNTQFKEDTLQHLRKMHTKNSTSVGELNTFKQDLTNCSNILQKIMRKDSTQSPDKPIQ ATQKFKEALNSKLQNVPDKHKSYASLLFSLGDFNKPRIQDAYIRQNSPHINEDIQFKSRQ IFAYQPHQNSRKQSETIKSEESHKMSTEQPKYYDKYEYSNYQRKDILQLMRDIKSQKLGS KIIITYYQYLPKSITKRIIQSMKSSIIYVSIRGLISEGLSARSNNCD >CAK72086 pep:novel supercontig:GCA_000165425.1:CT868108:556729:558591:1 gene:GSPATT00008565001 transcript:CAK72086 MIRPYLYYAFKIKDGLFIGNKRAANDIQFQFYNKITVIINCAALEINISNPKVKILNFEW LDTEEQQIVVNNNVDMVYNTIEETNDNGESVLICCLTGQSRSVAIVAAYFMKKFNWNLKT SLQYLQICRKDFEIREGFLFQLSRYERELLIKLKTDLTEQNEQVQEQEILLKNTYYNAKL SEIWSNYNMSEKTSGFSKGKHKKHLKRVSWAQKLITFINTTLDCVKLNLFLNQKNESVIQ KQSLFKICKGNTEKKSSRYMMTLRNKTPDPITNMSISTESKYRCTSVVKQIESDAKQFQS NRKSLTKGEKYDAIQNEMTNKIIMIYFLLLIVVTYQQKCQWVDEDNHKYDYTNLDHPSAW HVVDTQNGIHIKSQVGMGMFNMVYIFNFCNINLSCHGVPVAVYEGLEVMGTITDNCDIVG LKSTTSISHIQDKPKDYGISISFNDNSQCVETANQNRAVQTDKPRTAIFNIVCSEKAEKQ FRIVQGYGCTVTLEIYHPAGCPLNGNIFSYISFALKCFIIATILFNIVGFIYNKKIRKIT GKQAIPNIKQLEEIKKLVIYALQNCERLVRRGGKNGYQIV >CAK72087 pep:novel supercontig:GCA_000165425.1:CT868108:559367:560465:-1 gene:GSPATT00008566001 transcript:CAK72087 MNLLQIILELILNWWACKRIVITLFQLLEFTVGTTCSGPTLYTFGFRWVAMDSEDIYVVN SFTTTPAHSLTYPHSAPNATAAFVSLFTFGYTGEVKFQIQVIELNSTHVTVGIDNINNLR TLGFQIILHPISFVKIVDSINAMSSFTSPSYALQPKSFFIMPFQGFWHIPDTENVTIKHT QTQTSTDISYTSDSQGNTYYLNNTHLVLWIINDPLPAECTTIRITQIKDLQASTRPTIQI SVPELNLVYDTLGQYDLKLTASQVVINIQVYAKCFKNKKYVSQFNKCNTCQSKRYMNFTH NCYGAINTLIYTARLTQTMLTSQQLVLYLYATSCKITWLLQTSVIEETIILQVQQQTI >CAK72088 pep:novel supercontig:GCA_000165425.1:CT868108:562152:562637:1 gene:GSPATT00008567001 transcript:CAK72088 MQQQIIRILAILSQVTKVFINKLISEWMKIKKTNLTNYEYHILYVYQFQSQRQFNLQKLS GVATNKINLAVKILKIRSEKTQVQQKSLRKQVPSSFKETKISIVYNMKTMRQLLLSYTQY VTQKVMIIFVDKLNYSKIKVYLSVNRGVTQTNRISFWTKKI >CAK72089 pep:novel supercontig:GCA_000165425.1:CT868108:564883:566253:-1 gene:GSPATT00008568001 transcript:CAK72089 MNKGQFEKKTESLQNFITYQVEVVIMMETAQRLETGQNCMKIIMSMLPKYSEIAKHLMKE NIIMDKKLANGKQNIDTIITVQLRLCNFEIIIKFRGGGDYDEKGKKQGKWEDLAKNFEDS TQLIIFANYQDDLIQGQKIIRLRKINGSSLEFEDLEVGNLKQGWKEGSWIEIADNFKEYC QILHKGIYKEGIKEGMWQIYFREQSNEQFVEIGGGFYENGRKVQSWIDIDDEFCRFQNKI FRSRQLIYNVEYYSGIKINFCEIKFRHLKQNAFQQIGKGEYNQNGMKDKEWVEPNDHFWK QESQKKKLQQFFRSWIIYKWGQNKIVVNYKGDKPYNVFIKKELNSEQGEYTQEGKKHGNW VQFDDRGFRNYITSWKGDYHQGQKKGEWIWQIFQKQINSWKEMQYMKK >CAK72090 pep:novel supercontig:GCA_000165425.1:CT868108:566383:566725:-1 gene:GSPATT00008569001 transcript:CAK72090 MNGLNLQVEIVKQCINIFSSFRGGGTYDHNQLKQGEWTELSKHFKEDFQVVLTGSYKNGI KHGLWNVLFRRNEKTRFNKMYDAIHQNIQLRRKLYLRRDKIWIMD >CAK72091 pep:novel supercontig:GCA_000165425.1:CT868108:566774:567416:-1 gene:GSPATT00008570001 transcript:CAK72091 MGSTIMVLSVINGIFCIEEIKMKNGELQEEEGMIAKEKKLENGLNWRREQSNQLVFKKDL IFLIGKSSIRMLLIKIYALSNVTNLQDLCINFFANQSGINYLYDDDEFPSVYKKYLNQSF SFCKSSILVKYQIMEQQGFKIGSYLSGNKQGEWNIVYNNMKIGGGQFNQNGSKQGVWTKL CKYIKPYDQVNFKVKFS >CAK72092 pep:novel supercontig:GCA_000165425.1:CT868108:568189:569478:1 gene:GSPATT00008571001 transcript:CAK72092 MQNLISQVKCKMHLENEVQFVCMSTECIAPKLCCLNCQEMHDMHHSLLKNLDQAWEEILQ HKQKQNEVQKDFENLWSQMSAFLTQWDRIIDFNKLLVRLEKELTQSLTCQELLDMIQKYE DLSFLLEEKAKMIKNKYCHFDKDSKLEVDVMLALFNEARQLKKKGDKINAIDKYSQVLEM NENFIVARLDRGKLNMGQNYEQAQFDFEEVLKQDDLDVRALKGLAVCQKMKCNYGEGLYY ALRGQKLKQISPQLHFHLADCCKFEGKSQEALHFINIAIEQKKRKYENKMKIFYKNKAEI HLELNDLQNANIFINKALEIYQNYELAINIKERINKIARAQNVIL >CAK84299 pep:novel supercontig:GCA_000165425.1:CT868505:1603:2406:1 gene:GSPATT00039681001 transcript:CAK84299 MKEIKRKINNNQLDCHQESQINQNLLIPFMIIKQHINKQQIKKTSYKEILYMMKANQLPQ DCLLANMINLNHLIQFMINKLLINNRQTKINHFNPILTIKMLLQLMNLQQNQLIVRTINM LQLILQEIKIPMLEFTKNHSRVIQIIRKTNQRRQLKMQVLDLAHQMLMMKMNVHKERKMI LQSIMKKKRYQNNLSLKFKKLLRKQKKKKQNLKKNKKTKRRKNQKKNQKLKSLKLKKNNL FQKFLLMMMNLLLKERLQKQKELVHQLM >CAK63540 pep:novel supercontig:GCA_000165425.1:CT868027:159:621:-1 gene:GSPATT00033393001 transcript:CAK63540 MSSVTQYLLNLLFESKISKSNQAKTILNRALKIIYGFCSNFVTTSKLLEITFPNSKILQL ENAISYLCNTAILPQVHIPLFQIFNYLSSYYPATQFSKYDESIFTYIERLIIILRVCYLN SVIQNCRMINIQQQIRF >CAK63541 pep:novel supercontig:GCA_000165425.1:CT868027:1195:1962:-1 gene:GSPATT00033394001 transcript:CAK63541 MKVGDIPNEVYNRRNQVHRLVEMEEMNLKNDENVHQSLIYEENQKKPKVSQSEPVGFLAR MFGMSKSKPEQKEEEYKPTELKDHYNLYDEDWFDAQRNIIQKSQETSTADGNNEIIHLIC PDDTIEGLELQYGVPACRIRTYNNLQTNDIFYLKKLSIPNPTSDNKKQEINMEKYMQELK IGLFLDAVCQPEDKNRKVAIFYLDMNNWNYMKATQEYLDDYKFELQQHKAKKVSTHKAQA >CAK63542 pep:novel supercontig:GCA_000165425.1:CT868027:3708:3998:-1 gene:GSPATT00033395001 transcript:CAK63542 MNQQLNQISKLNSNKKNFQSKLNKCNSYSGANKIRKLKHYCHGSINSINIYAKYSAQNNL KELTLTTIGNSISIVQKVRNRSTKQEPILLVEFFDV >CAK63543 pep:novel supercontig:GCA_000165425.1:CT868027:5322:7797:1 gene:GSPATT00033396001 transcript:CAK63543 MQNPQEKIDPLFQFEFIFNSELSQNIDSVYQQNLEGFKCQSILYNQEIMICDLMLLLEDG KLKNDKLSISGYFKLMDLIQDFVQIQTQQNQFSLLNYCVIKYKDGKLLVESKIKFDIHYP SLTNQIDELQAKFSQFVDQTTTQGQELEEIIRKASLIYSQYNSPITKNQINNKNNQVFYY IQNRFFMKQYLINQSIEEQNKSLQYFQQILAIIQSQPNCQQILDKLIEVDFTTLEQIQQF VKQQEFQHSELCIMDDFELNKYYIVHLQTAKSLQQKIKEKNAYAEYQKQEDKKKFIQNQL NDIKATQQSHPNFLKSFFNQLKLKFWVLLDVPYQEQNEYYHKEIKTLEVDLKSQIHQITK QYSESIKLKYENKHQLEKLVKIIVNHNDIGKIIGKIFEFKIKLIHQYVQASLTFNKNEFE KNLDELYEVNKKIYLPQLQNHPSIKQQVDIILDYCERIKIMIQNKYQNVEVDFKQKEQMN TLLKLLESINYQNTDLQDKQEKELYELTNRFCPNLCISKSSRFQIFKNRIEQKISKQKLW TKITSKQKSEIKAVEFQNQYQFPISNFMENSQNLDQLMCAIYANNTWNSLRNSLQVELQK QIELDQYAEQKEKIYKQYQDRIFIPIEKFDVIFKIHFEQQNEKKIMEEIDQFFDKKRQEE GQILRDLQEFVELTDEFIKSLDQEKNDYFQQQFVNKPEYKSIEEMQDDVQKLIQDFRQLK FQKYYDFKSLIETIHKEYIHQCQYVFINSPSNFKRFKLEKQNKEDKDIKKKIIEFYGSQL LENLKLQL >CAK63544 pep:novel supercontig:GCA_000165425.1:CT868027:7935:9680:-1 gene:GSPATT00033397001 transcript:CAK63544 MHPNSPIQVIDNEQTKAHVISHRNDPNESAIYYTIQILDKTGDNWKIDRRFSQFEELLKK LKVFFGEQLPSLPKKKYITFLFGRSIEDIEKRKIGLDQFVQDLVNRPEIVASSPFTEFFE IDKNANEIVVNPPQLLYELKEFQLGVRDFILNTESGLMFVLASNCSVINRIDAYLTNMKA PWEFKKDEEAQLAVGSVECWHQTLNGEYKKLWAKVYNSQAITCYWDHIASVLLIGLDSGQ INQLIVLEQEGFQRYSDSQEYEQHKSRIMGLYYDRRNKYMHSISKDRRYKVFNLRMKDLV ADFMPDQYELTSLLASDERRKVFIGDRHGQIFIYDIEKQMPSYMIKIVTNQLFLRGLFID HQRNYLFSISHENGVILIIDIQNPGQEQFAKQITSLNGKPKSREICWSSKRGEIYVGNID GTVTIWDARNSNQLSHDLDITKVHWLEEERKLVTASKDKRIKVWQFPQFWRDPKVMEKEH QIEHSITFKKLRESLFQNQKVQIDINQEDNEENGMILWDQPQKKYSGNSFEDQTELK >CAK63545 pep:novel supercontig:GCA_000165425.1:CT868027:10346:11336:1 gene:GSPATT00033398001 transcript:CAK63545 MINHSTKSYSNNELKTEVNLDKSYNSLRIILHGMNKDKRRSQERLVNQLFVRLIKQKREA LEIEEHLNKMLLVIFQMTIHLNQSKRKQLINLVNKNEVKTFLNLVQTQQKEGVSRGATLA ERCLAFLESLEANLKCLCWQFGYLNPSSFGVISKVKDHIKRISRCPRTSISRLRIQESQL C >CAK63546 pep:novel supercontig:GCA_000165425.1:CT868027:11375:12522:-1 gene:GSPATT00033399001 transcript:CAK63546 METKKVKLGEYDIMNTLGTGSFGRVRLAKQKSNNKYVALKMLKKIEILRLKQVDHIISEF NILKQIKHPFLIEMSGYTQDERYLYFVLEYIQGGELFTYLRNAGTVQNEEAQFYSAQVVL MFEYLHTKNIVYRDLKPENLLVQSDGYLKLTDFGFAKVVEDRTYTLCGTPEYLAPEILLN KGHSKPVDWWCLGIFIYEMLAGIDPFNDEDPMAIYQKILKGKVKFPRNFDNEAKSLVKHL LEQDVTKRFGNLKNGVDDIKSHKWYETFNWKDIINKKIKPQYTPVIQSDYDTSNFATYPD STELPDPVKPQDDPFKDW >CAK63547 pep:novel supercontig:GCA_000165425.1:CT868027:13041:13843:1 gene:GSPATT00033400001 transcript:CAK63547 MKRKQTQKIEFEQPIGKSQNPEIPKRPNSGKLTPIIKSPLPPRKATLSQHHNQKKQQQEP LQSQDIKVIQIRSMTPNQQKLFQHNNPSPIKLVQKQQIAQSEKKIIVIPKRPEKQQFRIT NKIDSSVEKKNQPFKGRSPNQEQAFNWANTELTKVKDFLKQKQNNIREQHYKQTKQEVFK HQPKCAQDFSMTSHKNDLPQLLKNYQQEIKEVRQQYMHENSPPLNMSEIMEINEDGLSSL AQSTYHHQMH >CAK63548 pep:novel supercontig:GCA_000165425.1:CT868027:13886:14491:-1 gene:GSPATT00033401001 transcript:CAK63548 MTNHQLQKKTLHLSYFKENIELLKMKKIIQYGIAPKRYYLLMILATVQQFIKATTNGDMK CSNEFVTQVMSMAREYIQLVSDQANTICLENGKKTISGEFFYKAIQKLRLEGQIPLLKEI EEEIKEEVNVKNQNKARFQDEEHLKQLEEQQKELYEKAKIENNKRQIDEEDNNKPRKALN LQFDQEEGEEDPQ >CAK63549 pep:novel supercontig:GCA_000165425.1:CT868027:14760:17555:1 gene:GSPATT00033402001 transcript:CAK63549 MSINHKKKIFENTAPNPYFNTIESPQVPKILEQSIIQQNPYFNSQLGNSNQFELTKQVLD ERQSFSPNDLEQFLILFRQPQKINMKLDNSQSSQSNQQQFYEQLEIEGEKLRVKLKADAR IVSSIIEKHTKMKSNKQRCIEQMNHLINEIKAYNNVSRYSELNRSIKYNFNQLESNQLEL RDIQKEASTFERVMSVSVQQQQSHQQGKNQLDQTIGHSSNETSEAEFTSLSLQIYSSIEM HAKEFEQTISEKKRLMHISQNYHQYKQVCQGLIQLIQKYPQFEQQQSQQMLKLESIASIY FFHFQKELSQQSLHYTQITEDIRLSLSIIDKMKYLLDQAKSLDCITEFYLYIESQLTGQQ IIIKSGNNLKLAKAYQKQFNMLNINNYQYFHILRHKVYNKCLLEFDKIQFDIKTARNLQS VLLDYVKRFKNYISIDYIKLFNEVLVKLQQDLKKESWLYLINLYTELSSKKQDLLTIIKH LQNIKHQNQYLTRLISVFQQNIEKELEQIKDLQPKLNQYLQIQDFKAQKEFENFYDQYLK CYNEIHPKQQSILTDINQFLEDSINPQLNDEQYQYLRSLQTLQPSIRSLSNKRISGNFKE IKTQFDELLQFEVDIVQLQISMKSIITTNFNLILDDFLDITKSNTNSVLSQLMEFIQKNI KIISEIKSPSLYNIKEQQLRQFQWVWIFFEQFEKFRSSLSLLFNNNQTINYSQYLQFMNK NKFSSLFYQLSKCNYKICKKWHDFQLDLSHISLKSYIQYITKLHDKIIIIGQFDVPLTQI QFNEENCQLLLEFLELDNTSQLQRFICKYQIYRKMKQLQLNQVTFNKLKQYFEHLQIEQD NEDFIDLRNILIECSDSQQKDSEIYSTLQEEWILDHLEKGDTSFIQGFVNQEGIFSSNLM RNTAKLQRLAKLSNEQNLNWRNIKQILKQEI >CAK63550 pep:novel supercontig:GCA_000165425.1:CT868027:17606:21100:-1 gene:GSPATT00033403001 transcript:CAK63550 MRSQDNSKLNISVQIKENSFIKGRKLDSYSNTPLVINSREHPQYGNIIKQEENDMMLGNL INRSTKAKTKKKKKKKTLNIKISAPVTKASYEKLEKPPKPKKAINTSMNKPQKQKKVHTS PLFNWGVNQERLKNYILNEQMKQRNVQETMLKRVNKTDVDIKQRRDQLGVSFLNNVSMDK FLKKKKLNKSMTPTKKDQNKPKIQINQEEIRKYKEFKQKMNMIAKQEQKKKQYEKQFKIH QNLQNLNEYIRSRNRSLSVGDTKQRRKNRTASTYGIIYEDQKENLISDRYEQKKKRKVLC QFKNQSDKSKLVQSSNHESMRQPCSSIYQYMSNSSSANIPNESDPEQDFANFDNSFYSQK LITLGEDKDEMLSSQRDWDEQDIKEIQEIRSIEQAASKYSEKRQKSQTDEQAAIKIQKVW RKYKTRQILSYYQDYFKKEEEQEEFNLNDLHQSQIQELIKMGYIKIDSKGKVQLIEQKVS ERSSNSSVQNKKKKPNPSKEEAPQTQKQMFDVTYHDYIEGFSDSNESEGKKTTEKKQFLS AISEQQEMENCRESTEKNSLIMNQQITFKKKKRLSIDVDEIEKEYQNQQQVEIGEIRCAI GSESLIKSLSQEDQTFNQEKSIFEQSSFQDFVQVKFKELMARDKMDELISMREKVLEERH KQQIKTINEAYQNKQISPKTYDQQNRKLEKWVTKQRKDLEKKKTEIIKGQQSTYETVMKT QRDILFMKQMSQSNSQSYIKIIDSFSQDSVLYSEQSLRNSIIDIQNQNFQQLQLSLPQKS SLLSDEDLSKSQNFDDEVIFKNNSSPSHQQYADYEPEPFNLHQLAQSQVLRVQDIVREQS SMSEKQAESYSILISNMLIVQEIQLLCQELGRHNIDIFELVTKAQINRPPSQLQTNYGTN QTRGFKTGIPSVKIYLTHLTEFMLCNYKDEVLAKINVPLGPSSKDMLRFLHPISDSTIGS DEESNSNENYLQNIIMTSELFGTFERFLMNEQILKSQSSQLLELEHFHNKAIFDALNEAL DYHRPYGMAGQPYAWKSDAVRLQFRKKSLQDMPNIIKSSSDKVLEWCHYLAGFLIDKEDC PYPKILMFDQECLAQIKEDRMIRMLSAEVIENEDKWISYDEEHTVVAIDLSDMIFDHLLE ELLQEIH >CAK63551 pep:novel supercontig:GCA_000165425.1:CT868027:21401:23077:-1 gene:GSPATT00033404001 transcript:CAK63551 MSKISKEAFEYLNKMRQNPQIAISNCLKEMQCINQEKFLYKLNEGPKVVNECIQFLQNQK PVGPLTWSKGLECAARDHVKDTGPKGVTGHTGTDGSSMSDRIERYGEWDVTIGENISYGQ TTGEDVIIQLIIDDGVSSRGHRKNCFKAEFGAVGIFDGDHKQFKTQCVFDFAGSFQDKAG LDAGGGNSQQGVAPQKEGGQSAPMQSREQAAPAKAQSKDPNDKIVKDAFDYLNQVRQNPT LPIPKLQELMKLFKGNVLYKPGEIPLQTNEGTKVIQELIAFLQKQQPLQPLTYEKGLEQA CIDHVNDTGPKGVCGHTGTDGSSLSDRIERYGEWNGKIGENISYGQKNGQDVIIQLLIDD GVGSRGHRKNCFSPDFYLVGIAAGDHKQYQTQCVFDFAGEFTPKGAQGQKPKAQQQSQPQ SMKDQMKNMMLGAKGGNDAQAPQNQEEEKLPPGCVSVSTSTAVTIKNGQKITKITKTYKF KDGSTKTSVQTLTEG >CAK63552 pep:novel supercontig:GCA_000165425.1:CT868027:23123:23977:-1 gene:GSPATT00033405001 transcript:CAK63552 MLIPIILVLALAKCQDEVINQDGIETIQEISPEEQLRLLQEQKLKKERDTIYYTCIILAR MHLGNYGSELVEIVDNQASKEEQQSVWIKLYTTHAISCSKQLNYDESVQVLLQVRSEEFD HSRLPALFSEIDFNQFRNGSWEREISEQENAVWKYIEDFESALAEDSNKKKQQERERDDD YDDLDLEYLKIAAQQTKGKHRTLYDYQPKLQKANLSDIVFLILVFLCIGAGITWIIRKLN SADEQQKKKKTKQN >CAK63553 pep:novel supercontig:GCA_000165425.1:CT868027:24005:26448:-1 gene:GSPATT00033406001 transcript:CAK63553 MSDDEDQVIIVEEDSPGVKSKPSSTKQYERQQNKMDKIVNGNNPLIQQITICEKAVQERF HIDQFITRFSKDQNSAIQYLIDNQVIENTNEDIAKVLMNTKGLNKDSINKYFCKPDQKHQ DVFEAYCQQFNLKGKSYLDAMRLLLQRFRLAGEAQMVDRVVKVFAKVYHQQNPHEFKSDD IPYVLAYSFIMLSTDAASTKILPKNKMTKEQFLKNNIPVFPDISPKYFEEVYDSITREPF QTTLDYLEQMYNRMILCNEKIQGEQITKWLQVAFDLMKGCNLVKYGRYNGGQPRKFFLSS DEKRICWRSINNDNEPARYINMCDVHDIALGQNTTEIMIKNNIPPEFDISVDIKVNDLEI KSKWINYLRAVIINRREMEAKRAEEKQRRQENEEKRSEIWRNDILPFWRSHWDYEPNKPL NYKKYISVKKEEAVQKAQNQANSASVLESLCRCLKKTISLNPIQNRQQIQNQQYSNQSEI NNGSNIQQEMIVQGKKNKSMLLMILWKLGLPDFARRTLWPIIIGNNLKIREELYAIFVRK TTNIQEAIRGDIIRAKQQYPFITDVKAKELSNILHAFSNYRPDFGYIPDLIDIAVVLIKH LQEYDSFQALVNLLHQYHFLSVFQNDTRQIEWRIRFFEENLQRILPFVYNHLKAIKLETK LYLMKWFLKIFLHQFKFPMLSRLWDNFLLEGEIYLFKVGICYLKYFQIELKMSNLDEVVR ILTNWQPEVNEDYFFIQIDEIPIKDDDYTKFLEQQKAAVLNTQIHQTLIES >CAK63554 pep:novel supercontig:GCA_000165425.1:CT868027:27073:27678:1 gene:GSPATT00033407001 transcript:CAK63554 MVKNVAQESVNQKLQLVVRSGKITMGYKQTLKAIRNGTAKLVFISNNCPTIRKTQIEYYA MLAQITIVLYQGNNVDLGTGVIWEAQLFLIEFMGMSDFILFQVPPVVNYTDAQVQPSLML EILTSQHNNDTFFISTILQIIKIPSNPILESIKYIKNIIQKMKVLFFMYILLQILNYNYQ LFNISSYTLIFFVQYSFVHFL >CAK63555 pep:novel supercontig:GCA_000165425.1:CT868027:28006:28707:1 gene:GSPATT00033408001 transcript:CAK63555 MFVNNYCLSMNCIVAPLNGCGGIYLGNVDAAQDSQLLNQYKIGAVLQILDQSVPVKGAQK LWIMAEDSEDFPLYKYFDQSIRFIENQSKKTNVLIHCYAGISRSAAIVAAYMMQKYNWSV NQTILHIQSKRRIVSPNSGFMKQLKDFERKLSNQDQQLSLNSFKLDMSNYRPSSAMSNYN SISTTAMGNYNKVNTQNTKSSSRLDDFSNKLDQFRHQLRVRQKAY >CAK63556 pep:novel supercontig:GCA_000165425.1:CT868027:28743:30613:1 gene:GSPATT00033409001 transcript:CAK63556 MFKDLGYQLLIKWKLWKVLVVIFPFTFIYGIYLLFVISSNSDRNSFDGSQLIHAEVNNQL AQTLSLAAESYMKEFGKTNDIHQLLMEKLSNLGLETFSQEKYKNVIGKLKAPRSPDYECS LISFEYNDESPNIKIRSIAYVLALIKLYQSDQINYLSRDIIFVGYHTNYKRYGQGITAFL EEYVNPQRVSFMPRSGTIRSSININLDDKFDSVALKVFGLNGKVSDRDYYNSINMLMEKQ SFQYQFTETNYNWMLQLEKYLEKELRTYWKLFQLDSIYQLPQKRFLHMDPYFSNQIEMFQ GDLHEAHSYIMKYGIYSLTLRGYNTQNKDDSVLLTNKLLLVGEASIKALMANDEFIHSGS TLYLPLNRSFTLTIQNYCLPYVFVILSCAIFAIKSVYSRWHFNLFNDNKEELGRSNSMVA HKAIIEIFMGFTLCFIPNMISYFNNKQYEYFDQYFVIMLVIIVTYLILIYSSRKQIFGQF SLPRRESVAEWLWVELVIQVCFVMMYAGIVHIPIATFIAIFLGPWFFFIQPLRFKPNFKL WDIFTYVINLLFLYGYFQILSYFGFDSMLAFYKQVVINYNNVGVHLFYYLNGALIPSLLR FAELLLF >CAK63557 pep:novel supercontig:GCA_000165425.1:CT868027:30685:32172:-1 gene:GSPATT00033410001 transcript:CAK63557 MHIRQQKLFESGGETVKRNLLESYSMQNRKPNINEEQQLREQVERLTNELILSKSKDKTK VSVDKEIQCEDKKQELLNEIIKQKDKSIEQYEKQILSFKNMILQSNQQKKELLLKLEQQD LMRKTQMIKVSQNTQADLVYNNVSETSQTEIDLGMNYSVKQQESTTKVKEQISKLVQTET QFYHQASMKNQDEMYKEVEQYKLVLRDYDFENRRLKELLQISKENVNRETQITVEQDNKS VQVGEEFRDKMENIAQEWQISIEKQKQLQKQYNQQSIDLNTQRKQYDQLVSDYYFIQSES KVNEKKIKELNEEIEQLKYELQSKDPSISSAETMTSPKDSQKSYKKKGNNQKIKNCVQNT YFNPLNVDELILQQEENQKLVTKISELKRELVETQKCLKAIQVELTIKEQILTNQTQELQ QCQKELKDIKIRVESVDKLEQRLQEQESRYQEEIQKQNQKLTILNSDYLGMKVINQTLHI QLDKYQNKLKQQQSP >CAK63558 pep:novel supercontig:GCA_000165425.1:CT868027:32666:33550:-1 gene:GSPATT00033411001 transcript:CAK63558 MKEEINHLLKLQNYYDILGVQKNATDDELKKAYRKLALKYHPDKNNAENAQEVFKRISEA YSTLSSPEKRESYALQQQKPQAHPHQGKYYRHFQPDEEFELFAQSLKRQFQRRAARNEAK ETPKKSQQNAHYAKLLNSIIKLILLFTFLYFASQAFQFPFKKQPLYQFQKSLQYSVQRTS NKLQVKYFVGDQFKKEKFSQEKLLKFDYDVEKNYVNQLKRQCDAISLKQQNYMKLISKSI YSSEIKRYQKAIDQLDWSSCDLIKELKLNFEKFEAYF >CAK63559 pep:novel supercontig:GCA_000165425.1:CT868027:33564:34466:-1 gene:GSPATT00033412001 transcript:CAK63559 MGNHNQKERLLRYIDQTKTEKIRPILEMSPNLINEDLAKDILQTPLARAAWRNDMALVQL FLDIGANPNDGGSSSVTPLMWACKRDNQKLVSLLIQSGADITIRSNQGFSALDYAILHGN YRPAFFLFEFIQEILEFTSYYQFAKDNDYRFVNYEIMITHLRLRTPYERIPNIYEKPKKQ QLLDPVIDPRETWGDFIYRQIEFMNPPLVERDELPVDLQPQNRMLGKIRQQLNGLPVEKS KAQQYRQISPQNQKIDQSNNHTQHQISFS >CAK63560 pep:novel supercontig:GCA_000165425.1:CT868027:34501:35401:1 gene:GSPATT00033413001 transcript:CAK63560 MLVQEQKQNRTSRCKKCNQDVPNSQLYAHYENCQKQCLNDQPNSKEIPEQVKPTVIDDKQ QLHQHLSNIVPQEDELQANQQQVAIGFRMLKLCETCQEYFQVEIYYNHLNECQTQKQAQI LNQNSINTNTLIFQCSEEIGGNFKAFEQVKEEHTSEIIHSNYIVYKKTKITKDKNTGFTH YHTTYESKQNIISENKILENKNQTKPKEEITTNDKMPSLIIQSPLNLDVTQTPQPDDEEL CPICNKQYELLDQTKLFEDCQHLFHLNCIQKDVCPICAAQPQQNQ >CAK63561 pep:novel supercontig:GCA_000165425.1:CT868027:35795:41267:1 gene:GSPATT00033414001 transcript:CAK63561 MSKDEAVTATLKQRLSAIQDKDIYFEDRDSFSEDGKYGEYVQKQNKEIMKKVQELLNDGQ STVNQQEEQSFAVMNENQKQEILEDLEEEFGQSYVEAKVKVNTYIKLIPKNELQLAYIYS DKYPMLAIRKQILIFTKLISSYAQLITTHPLFELMTLLMIIFNSAMLALDDPTTDVQTSF QDLTDIIFLAYYTAEAVLKIVALGFIFPKKAYLKDTWNILDFSVIVTAYIPYFLASNSVN LNALRSFRVLRPLRTVSSIKALRTILLALFASIAQLRDAVVVLIFFYSIFAIAGVSLFSG YLKRRCIGEMSGITWISDEILFCADDNNCPFPEDTIYNENFICGKQIANPQNDLVNFDTF GYSFLQVFIITTLEGWTQIQTAVMLTFSQYVVLYFIIVVIVGAFFLVNLTLAIIKLNFKP EKIQEELAQIKEEIEEYDYRQLRQLKLYEPERYIVDTKGYGTTWDKHHDFDQNAIMKRRD NSRGGASQLNMIKQNNLKRLRGKNKVSFQPIKSAVYYSNPIVLKNKQLKIEGIYGMGNQS KLNQQHQGTTQNNNNNRSSVVRRSSQSSNNDDRTSSKKEEKNNSNSNNNNNENESLSRPT RFAPRKSMQFGEQQISSEFLNSPMMDQLTENIRPLNGGTMLVHHRGSMESSKSGESRPDK TPINNQLEIPRLGEIRQQSQNVKSNQKFSLVAGMKYQKPPRNSPKPDSQKSLDQNEFDSV NLSELNTISDDDLDRRLEEMDIFVQDKNGDSESETKKKQNQKEMIRQKRMENRRLRDLNK NTQQHLDDTSLKLKSKLFKTKFYPIIAIDQDFFSVNDVLVSRMLLQLEKEKLEQEEKIKE MDIKITYCFKNSKQSLELKKSSSGKIKSMTKSGYLKSGLKSKKNNLSLRRVQPIMDELHP FEDLQFPTDKNFENQEDQNQENVNENSDSDDEPEENNEKNNNFNGSASQKIKVKKKKKDQ EQNDKLDFEQMGEKFIESSDCIVDLNRIHAVEIEKQFNQQELALIPAWELEQQKGVFYQE YQDIKQKDIEESKGSITAQASIEDVLLIADYTFYDQKFAKQMNSVMKALNYSRRETFIYL QGFIGFLRVCQNHLLYFVQSSYFEAAMNLAVALNTVILALDGLLPDSSANTLNQFNLGFT ILFTIELGLKLIGMGPKNYISDTMNIFDAIIVALSLVELFFLGGGTSGKSSLSAFRSVRI FRAFRVLRVTKLMRSLQFMGFLIKVLGNAFQSFMYIMVLLVLFIFIFTLLGMAFFGGQLS KTPSRQSYDDIQSAFLVVFQVLTLENWNSILWDLLIQDVSAFITVPYLVFWIMIGNYVFL NLFLAILLENFEEEYKNDKAGLDTNIEIGQDSIMDNTTQAVNSTSTLKSQMKTKKATVAK NLENNEDPESKKHKQLQQVFQYFVEPGICQFSLYMFSQENIIRRICYRIVKDDKFETLIF FMIFLTSTKLVFDTYIPDTGQLKETSLQIDIFFAVFFGVEMIMKIIAFGFVQQESSYLRE SWNILDFFIVIASFIDVSVSTINLSFVKILRLLRTLRPLRFITHNRSMKILVSALLQSIN GIFNVAIVVILVWMMFAILGINLEKNKMHYCDTGDDEIWYHYGPEECAQHGGVWANRKVN FDNILNGMLSLFILSTLEGWPDQMYWFIDADESGPIKGAQLQFSWYFIVFILVGSILLMN LFIGVILVNYHLAEEASRDKILTQPQVDWIELQKLIVHANPNLAMFFSPENPFRAKVFII IKHRYFDPTILMIIVCNIVTMGLSQDDSPLPMIVYCNLLILHSLLYSSLKHS >CAK63562 pep:novel supercontig:GCA_000165425.1:CT868027:41297:43025:1 gene:GSPATT00033415001 transcript:CAK63562 MRNSWNQFDFFVVCASILDLILQFTGNSFISFLIFRVLRVTRLFRLIKSFEGLQKLIETA IYSLPAMLNVTALLFLVFFIFSILGVFLFGSIRSGWAIDDVNNFSDFHHSFELLFRCSTG EDWYKVMFDTMQDGQGYYCIFFIIFIVIQQYIMLNLFILIILDQYEINYFNSDNPLNKFQ EYENMFIESWSKFAKEDKGMKMSQQLLVPLLLDMEKPIGYDLKLKLNDEISEWRRINPQL DTKENVLKQTQILRAQAKRDVSTQIMKMNIYADNVGQVKYHQILFSVMKSYMWKKVQVNL SPEGAEKILIKEDETQKRLKKKQVGVHSKEVNLVNPIVHFLFVHMAFKTLRRYGEKKKQQ KELQAQLLLEQEQEHYSDGFSSDSSFDNKIEILSRNSKDTDHPRRPDYGRTKYLTLPNTE IYKEEICLNQETPIVNDSDRSSREEEEEPDEDVMQQYNKDFKRHLVNPNGSNGNIQDDKS ASNLGGGGGNTRSSQSRTSQIPKQPKRLTLKPSDLISGLGASKKSIQSNQPSANQNPNAS QDNPSIMNQSSGNSASNK >CAK63563 pep:novel supercontig:GCA_000165425.1:CT868027:43088:44548:1 gene:GSPATT00033416001 transcript:CAK63563 MFRRVFGISNRCTPTRLRYLREYVCLREAANPSAQQAQIAQFQQVSNKYGLAVPQLATLS GIFQLSQLLRPQNFPNPNDYKIHVEKLELLFRQSQQSNETLSQFAQSLEFKIPEIAKLTN DARKQAAQELFSIYLEGIHYDNLVSPGYVEQLESIYRQRTKEAAKKIQAEVKDPQLATQL IQSLESILENYQFHQGRYVGLIKSFIDTLQGTEVQVTQKLDQQTQEKQLFIQTVDKATKK FSAEDQEYARNVDGHDYKLFLQELYEKEYQSGFAQNRFNEKGQKLVYESQAQEHHVLRYQ ILAGVFAGYFLYLFYRGDAYYSTIQPSSIWLSRDKPKRAIPFVRQVLKNTDNTYEVVFEQ NRIISRIENVQPGQIKLAQDTQFANALVLGNPTDFGYHVKVADNSFIAPYLYSGNGLDFR AFINV >CAK63564 pep:novel supercontig:GCA_000165425.1:CT868027:44550:48169:-1 gene:GSPATT00033417001 transcript:CAK63564 MTDTKMIQLELQTPPHILSSQDHKETQNLFEYQYDNVESKGNYAQKYQSKNRKITSNRPD FMLPDNGIQTSKYTLLNFFPKQLFEQFSKLANVYFVIMGALQMVPEVSISSGIPTIYLPL GFIILVSGAKDFYEDYKRRKSDIEENKQQVTAFDGTSFVKIASYNLRVGHIVKVHQDEII PADMLLLRSSEKKGICYVETKSLDGETNLKQKNVHADLLQIFKSDDCFGQLDKRIVLKYQ APTPYLYKFIGETTTSSFQVSSINFNNFLLRGCNLRNVKYIFGLVAYTGHDTKIMMNSFK ARTKRSKLEVLMQKFILMIFIIQFIMCVIASLVYSIYYYNNRMTLTYLYIAANTSEYTIP YNFFVRFGNWMLIFNNFVPISLLVTLEMVKFIQGKIMSLDEKLNQPRVQTSNLNEELGQI EHIFSDKTGTLTCNIMEFKQIIIGNQNYGDILKSSEEYITDDELQNFPLVSNVDFRDRKL IEAIQDKNHVMNEKVVECLMMIAICHTVISEQRDGKLVYNATSPDELALLNFARFVGFEF LGTDETNIKRVSFQDQIIEYQLLEIFEFTSQRKRQSILVQVIKTGEIYLFSKGADSVLLD YVRLSSEELAKNEYHQLVQRLEEYGKIGLRTLVLSKRKLEKQEYQEWHKRYQQATQLIEN REERMQVLQDELEKNYEILGATAIEDKLQQDVADTIAAIKAAGIKVWVLTGDKIETAINI GYSCSLLTNQLVQHVVDEKEEALIKERLDDILNKIGSQDLNQRQALIISGDALLHALKPD IQKKVSEIGQCCEVVLCCRVSPKQKQDVVTLIRNQNQSCSTLAIGDGANDVNMITAAHVG VGIRGVEGQQAARAADYSVQEFRELRRLLFYHGRECYRRNSVLVCYTFYKNILVVLPQFW YGILSMYSAQSLYDTFIYQLFNILYGALPIMIYGIFDEEYDADQLTDNKIQNYYQQGPKG LLFNIQIVLFWIFCGFWQTAIVCFLPTYSISENFVDDNGFTHHLWAQGTMIFGMVVVVCN LKILIFSNTYTPALLGSISFSMISYLLSWIILDNLPSAEAYVVFDSLFQTPNFHFGNILV IAAICSIDIALNIKLNRVLSKVNKNMSLRLPNLSTNIQPQTYKPSGQVATQKHTGFAFNC LDRDELENQDKMDLYGVNNYVESYIG >CAK63565 pep:novel supercontig:GCA_000165425.1:CT868027:48316:50033:-1 gene:GSPATT00033418001 transcript:CAK63565 MNFIFYFYLLQNVLNTQIKRPQVSILNETLTPSNYNLESQKEIEILYGNILDMFSINLHK RISGKVNNVNFSLGEFEKVDPSKIEDCKIIQRDVEIRRKLKLWIDKTGITEGVYITDIAI SEQYSQAFIVRNDFKLFQLNFNSSAYGIYLRTFDYSFYDILNLNEIELDDTPQLIIDDQS NKAYIFTQSGGVSFQFDFEAQKEIQINLEDQIQQRHKIFCVHYNEKHRLVFVATGHQGVD VYKLSNGTIMLKPSINSLFLNYAQIIDIKSDGGDNLYILDREQGLIFCLIIDEYEYEYQF LINIPDAKSFDFNNNTFFVVAKTSNKQDYALEILVNLQAKQYYINNHYFDDMIINEVSVL NYYAILIGQNDHKIVQHSIYSGFIESKVISHKSFYEPQLIKTKKFKQNNLDFSNLQSVAV IGRQEFQILNLIISVPSIKCQKSEKLQSQFLVDISSTRCTHDQIETTTQGQLTLCKIQHS FTIQNQVLGQSEVKNVLNIIICWFIALIFYLRYFERRRQFTGLKMGHNFNQIDGIDLEMV EQNQLIS >CAK63566 pep:novel supercontig:GCA_000165425.1:CT868027:50043:51068:1 gene:GSPATT00033419001 transcript:CAK63566 MQDYKYFSDDNPYCDPPDIFELFQFYNQYFFEGILVTCTVKWSTRMTLCAGKQFFLSKGT CKYEGQKSCTITLSEPLLKFRSNDELKSTLLHEMIHAYLFITKPSEAFINEGHGPAFLEK MNFINQVTGLELSVYHQFHDEVDRCRNHIWRCNGRCQQKPPFFGYVKRAINRPPGKTDYW FQKHQEECGGIFEKISQPEKKQPQKKVQKKKKSNDVKQEKMIKLSNFFQNEQIYAKFQIN QKEIAVEFLMVLNSLLNFELFNQKLESIISCLKETYQQNIEKFNIKKLINIKQSLEKCNN ITILKCSKEVGEQMKILSISVRTNGCPPQVSILMQLNKLLK >CAK63567 pep:novel supercontig:GCA_000165425.1:CT868027:51088:52129:1 gene:GSPATT00033420001 transcript:CAK63567 MITILNKAVNFYTKNKRRIDPHITLLGLYLTTKFLIKKTKYILSTLMLSQVDIVNKYGKG SYALITGGAGGIGKEFAIDLAKKGFNLIIVDFNQVNLESVQQEILKINNNLMVKTIQLDF SQGNNPDFFKKLQQEISNLDISILINNVGTVKGATGIFDRQPIQNIIEGFNINFVTAIML THAVINQMHLRDKYQSLIINLSSASSNFPLPHYFGYGSSKIGMASFFDALALENKDNKKI DILTLKPYYVSTALINYRKGLFVISPQQLVQQTWKYVGRTTEAIPNISHQLQYYFDSSIP YWLMMKINQFQRRPQKK >CAK63568 pep:novel supercontig:GCA_000165425.1:CT868027:52661:53456:1 gene:GSPATT00033421001 transcript:CAK63568 MQAQRKRFIGGNWKSNNTLAKSLELVDSVVNKLEFDNLDVVVSPVSLHIVPIQQAIKNNV QVALQNIGHKGFGAFTGELSFEHVKDLKINWVILGHSERRRTPEISESEEFIANKAVLAI NNGLSVIFCIGETIQEMEAKETQAVLEKQLKPLVDQVKDWSKVVIAYEPVWAIGTGKTAT PEYAEEIHANIRKLLPDQSIRILYGGSVTKDNAAVLIGQPNIDGFLVGGASLKEDFIHIV NACK >CAK63569 pep:novel supercontig:GCA_000165425.1:CT868027:53456:54955:1 gene:GSPATT00033422001 transcript:CAK63569 MFYSTEPEPFPIAVISLELEDQTEEIKIYEGDDIEEIVENFCQYKGLEQKYVKYLIDQIN QQLKKEPSPRFGDSFGQNFRTQQPLYSNQSQQSEVCYTTQASSVEENSAQKSYEKWQQMM NKKTDLQQLLNMSSNIVQWTVPNTARSFNENKKITANERLYRDGLDIQKNRNEKAEMLKI QKLQQESKQATFKPLISPRSKLITQQKKKVSKPENSINEDAFHAQQKSQVQNTQKLQNRT QVQTQKRKESPFQPNKQINTSRNRSQNRSVTPIYEKLFKQAQEGKKKKEEFANQEFQKIH TFKPQINNPTTSVDHENQKILVQKLVQEHDEKRQRIEKKRHQMQMQNQPTFHPKITKDQT FIKVSKQRDYEDSQLAIDLQKVQSRLGSKNSFSSSETRIQSQQSEQTFFDNQMIKIFNLL DGDKDGYINKDNIDLHKIDIDTLEIIKDVLIYIDDNNIVADQNSFKKICYTNGLQAKFNK S >CAK63570 pep:novel supercontig:GCA_000165425.1:CT868027:55046:56204:1 gene:GSPATT00033423001 transcript:CAK63570 MYKIIQPSQEEEIYFGQKQGQQIQQAQDESFYEDISQIPTQLQKEELEQILDLKPHFVNS KLPQNQHNFDLWKSIEQIETRIQLQEHNFQRINFEHQEEIKKLKNDLNQQKHLYETKIEE IKQSRIQSSNSQISTPYSSENNREYNYRTNKNYTEVSQEKHYLQQLVQDLKQQIYILQTK SFSAPNQNDFSWEQKTNYLEQQDKTNIDKICQLETQIINQKLSYENDISKLKKQLEEKTL EEMVQRNQVQQLQQNLNNYKNKFNQVSQQLQQQSQQYQSQIYQLQLLQQKNYPLENKNQS QQLLELTEQLQASTMLLEKKIQECEFYKQKVLQQQTIHQKQFIYSHRQSLTPTTQRISTQ NQTVFSIPNKQQLFQQFQ >CAK63571 pep:novel supercontig:GCA_000165425.1:CT868027:56399:57051:-1 gene:GSPATT00033424001 transcript:CAK63571 MDLVTKQAQNNRKIPEAIFFENIDELISKNSVQRLMESLQEAYNKYKFMEAQLVKQRESM QNKLPEIERALSIVEHLEHQKEDEVVDFLITDTIYSKAVLPKENKTVALWLGANVMVEFE FNEAKGLLSYNKENASSNLRQFDEDIVFLKDQITTIEVNIARVYNANIRIQQTQQQQLQQ QQQAK >CAK63572 pep:novel supercontig:GCA_000165425.1:CT868027:57097:57746:1 gene:GSPATT00033425001 transcript:CAK63572 MSNNQTFKDFPNQSHIELKFNTKDQHGLEKEEALQKYRRNINLLTKLFAYDVDQPELEKQ NDILQSEKQNGQYQIKTHLLESMRSSLIHLQNRHKETIEMFKKKNELFKQRINEDNKINT QEEFQQFINELQSEDLLCDSHPFLDKKSSFFMQTNLQQPIQISQDQCLELKEQLKDDQCI LLS >CAK63573 pep:novel supercontig:GCA_000165425.1:CT868027:57886:59648:1 gene:GSPATT00033426001 transcript:CAK63573 MQINEPKAILFNKLYERDVCIRCILRLFKITEINLYRETKGFIQLLFDVGKLIGNENKLV EDYDLLFYKRKAEIVESDVLPLTCHSSLKDQFIKNPFHIFDKINKCVICQGILQCLEDQK FYAMLVDEILQKQYQYEAFKLQVKTPVGQQIRQILIVNECISEIGQNDPFYEYISTSNVA IDIKACVKWIMCNPLSESLKVPSDINDDRFQISLNFESIGDDVKQFEQFKDIIQEIDDQK WQEKMIKKLKMNDPQLKTETENRLLQKKNQQSQTFLPTQNNLEKISKLNNAQLSQFKIIN VNYPVKLEVTTSYQNIFIQGNYIKLGRYISQTPWYIGGNRIYEDSVEDLVSAEACKIFKS SSVKFHSGGREDIDVRMLGNGRPFAIELVDPHLGLQNQTQEILNQIESKINSQNVVKVTP LTFTDTNIFGELKNSEINKVKAYCCVVECKNIINGDLVQQANQIKDLVIKQKTPIRVLHR RTQMVRDKIIHSLLIKQINEKWLQVYVLSSAGTYIKEFIHSDLDRTVPNLCSLLQNECDI YQLDVIKLYEKIDEDVIINFKSIDAECGSKV >CAK63574 pep:novel supercontig:GCA_000165425.1:CT868027:59803:60443:1 gene:GSPATT00033427001 transcript:CAK63574 MIWFQNKLLDKDQFLEMQHTSIKYTHSIIYKIICFASSNIDQQEKDALADQFRMMVLERK QNNVRNLITQELETLYSNNSVCHFVIRIPPLNYTLEAQFYLNPVFEYHYPNINDNIRLFN WQVDEETLVVFGEHFEVGQMETYQLNQTQFIKYSTLFMLRQTFLSDQQMLLTGYIHIQLL YGSINIQGQFQLNDFKNRYSFQLLF >CAK63575 pep:novel supercontig:GCA_000165425.1:CT868027:60552:60770:1 gene:GSPATT00033428001 transcript:CAK63575 MVKNGYLNQNVIQLKQITQLCISQIIDTELEKEPITYNDVYQFNQFQNMYANLDSLELSL HFPDQLYQSSST >CAK63576 pep:novel supercontig:GCA_000165425.1:CT868027:61055:63418:-1 gene:GSPATT00033429001 transcript:CAK63576 MSQINFENEGYEYQPSEHSERDQPTPTKPNQQQIPQQQQRFTQESLVYSACNYKFKSSLA SQDTNRIFQSVSSPNFQKGPLILVNNEQDQQQNQVLQPKQQVSNQNMPKVVQIIRPDSSK KQQLQPSSPVKTPVQESKLPQFVQQSQQSQMSQLSQQQQRQQQQSQQQPQSTVAELEQQL MQERLNSEQLRIYNHIIKMELEKKLYNQGVFITNSKNKKRNENAIDIYLELQHNKVQMQT IQNEFKQRDQIIQHLENQLKQSNQINELMKKHENELQQQNEDLSQSMQEAMKNITHANST IQQQEQEKDALIDHIEQQKEQIDQLNQQIEKLKFENQERKKQSETSNEQIKKMIQIQEKL GKLEEELQSKKNDVVSAENSKKQLQKNISDKQKEIMSFQLQLTEANNKLLECEQANRELS RQFNQSVYQMSQLKKQTEEQQSRIENLEQLNYEIESNMTKTGRQICEADRMMNEKEQLIK KQQQELENILKQNEEMSKEFNQLVQVHQQFGALNEKHQQFQQKYELLQKEYQQRNKEFQE SSQQLELFLQEIQQLKQTNNELQNERQQILEELDILQQVKYESEKVMEQLKFDNNELSLL LQERQELSGKYHALEIKFQADIEYYEKTIKDMNEKLDLSQNTSPNIALEEKRLVELHKIY EKLDRLLFHLTQKITNEKPSFKVDLVIQQLNGSIEQIEKYFKLSSIRSQQDKFQNSQGSL INDHNKINTIRPSEADIFKNSDVTNIKQIQTQQTVAKRKYFL >CAK63577 pep:novel supercontig:GCA_000165425.1:CT868027:63486:64748:-1 gene:GSPATT00033430001 transcript:CAK63577 MESLNEYVLQFIEQQRCHRHPLEKAKMIKMKCNFRDSFICTQCIEVDSHLQMMSMKRASD LLTNFIMFEWKQPQQKTQLIKDKLQQFTDVFNNLLQSLESQINERDQKSQNLQKTYYQKL NEIERIIRHLTSEAKAETKIKDVTQMDRQVQLSDNLKSSLLLLLQNDELLQTCNQKEHSF FNQKLDKRLLILDETLQDMTSQIQQQIEEFNQTMQNNSISRKLSNVEPFIGTQSMFASPA MNVSPSQRQLFISTFSSQLSKTFLNPFTFKQVHSQFKLISPTRLLVPPNTGNKTNKTRLA LIGPRLQEGPLKPPKSITFSIQQQILQTFQIGVCETGSVELDPSKPHKLFLASKFPFFNR GDQLTLTVNPATGLIQMVKNKAYNQPVQAELQIDCWTNMVFVVASSDCGGSGEIHIIYDE >CAK63578 pep:novel supercontig:GCA_000165425.1:CT868027:65188:69922:1 gene:GSPATT00033431001 transcript:CAK63578 MIIQYTIVAILLIFTLAKRIMLTENFNSLKFNSTGWKCLPSNIDSLIYLCNNGMNLVKLS QECNQIGKTFIDIPPHFSLQLYVDFIAYRSIDVNEKAFIKVDNTKIYTYYNQQAGNLYYS NQCETSNEKFSMITAIQEFTHTSTQLTIEFSTSLNEPFWNEGYPFRNLQLFISQCHYTCK TCSSEAYNSCLSCFTLNPSPTLWQCQTCKDQQTLRFLLTTQGCLQECPDGYSYDQELVCQ RNIKLLTLSNLNQIQPMISQDFLLITQINLALRSPLVCSATEQYLPYYLNEILYQVLNLG SSSVAIRLKVTIILIGTWIADNSVQIQLNGEIIDTISFNNLIVTTQKSVILYQDQIKQVC NFKDVFKIRVELYQVINQQTLNLSFNGNNLIGQSLSWSIQDVDIEQEVCSLNCNSCSNRY NCNTCIPSFYLHKGQCIVNCPSFSRKVGGQCIDLDEELIDQKNSKIEYLVKEFYDVSTTQ ERVNELFKLVSNSANNFAKGDNIYFSYVPDKKVFGGALVWIDAIFQLQLEIPMYYHQVRV KFQVILGDDFNTGSFVYKINNKSDVILTKSTANPIQNVQIWGDSQPDYVLQVDETIKNDE IYQRQLIIQFKCNNPNQQVNKQFCAIQDLFITAEYYCTKEYRFDIFNYQNGLNPCVPICG DGYVVDEEQCDDENLDPFDGCFNCQYQCEEFCQYCVQGKCLLNLEGGYENQMFLENIILQ QEIVYYNDKIYNECQIECLICHNGNCYQCMEGYILDVITQNCYMLIIGCKNVQSYWKEIQ NYAKISICESDIPYSKLDNIYKDPDYQCINCLSFEYQSCNNKCSFCYQGLCFQCQSGYTL YNNLDCVTICGDGLVNKDKLSIENNEECDNPYIEGCQNCMIQSGYTCIQEDNSVCWTCDA KCLKCINDSNTLLCQQCIDGYYAVNSVCYICDDNCLTCKDDSSLCTSCFRDDCQKCEDIP GLYTDYQIKKCVPQCGDGIKMQFYEQCDDGNIIDGDGCDSNCNSEFAQDLYSIEIQRLSG TNSNDLNIIHDSKVQLDCQNTKVTIEGFDQKEFLYKSTISDSGCQISFIFFKSIRKTNMI HIYIQYREVQTRILTDQYQQQKEIQVNPIEQIILDDSQKSQADAISNAQSSLSILIWILA PLSILFGLFDYLWAVLEILSWINNFYFFNVNFPFNVQTFFLNSDWSSIISFPTYQDLNQP GCDYYFESPPRFTEKGVDPLFLNNAQVPIFFIFTSVLLYFASFLILSLFKFIDQATLIKI PIHNHSTFCIQNQKDIHLHRQNQPQFLIKSNIYIEFIVQKLIIISNKFQIRIKQTITLCL LDLTMAITLQLIYGKQFQYSMILINTILALLFCCLIFYQLRQSYFVIGIHKNLAEFPPFK LKYGCYYENINIDNAFGLKFNFFGLIRKITYIICIVYFYYNPLLQTTLCFISCSSGVLLL LYSNPFESKGQFYKQLISEAGLSLITCVTIILSIDDILNQMEETTKINLGWVIISLVILC VLCEILTLIFEICRLLHEIGIQMIISILNRNKTNKEIETKAQIQESKLPEIILANKLSFI NHGQIHQIFI >CAK63579 pep:novel supercontig:GCA_000165425.1:CT868027:70316:70772:1 gene:GSPATT00033432001 transcript:CAK63579 MLKFQRICLRQFSQKKILTDEQIKEGLRPLLMKEGYFEDDQYLYYIDRDSRVRKVPREYQ KRDGIYRIKFLEPIYRWWIRRSPDTQFAIIPYFLSALFFTVIYNGANFIIEREDQIVEKA KVDPNFNKENLARWKSEKQQEK >CAK63580 pep:novel supercontig:GCA_000165425.1:CT868027:70801:72694:-1 gene:GSPATT00033433001 transcript:CAK63580 MQFKLQNLFSKKEHKYLFGAFGILALYGLTNFVAQYRIQRKYDKLCEQRREELKRSIQQF RQSHDLSTGLNAQLEKDILNFTISDIKYILFEKKATVKQVLLVFINRTLSVATSDNLNLI TDVNFIEAIQEAEKFLQIPQIIYKYDLFGIPVSVKDTYIQKGFDNTYGLASRLNKPATYD GIQVSLIKKARGIIFVRSNLPQLAMTFESTNRIFGRSLNPWNKDRAVGGSSGGEAALQAA RCSVIGMGSDIGGSIRIPAAFCGVYGFKPSMVRQTEVGEGVIEKAASGMVNIRPSKGPLG RSVDDLIVMLRVLFDSKSYSELPPQIQDPYWYPRDLDFTQNAKKDKLRIGYIEQFNDLLP PNCMKRAVKEACQALKDKGHEIVEINLDTELEHELAVAFPRLVAAEGGFKSFGENLKGEK IIEEYELMETGTKIPVFLQTYILAPLLRIFGQKTLYVMSKQTHGLDVYQFLVNSGKQKRM NFQFLQYLKQNQIDAVIVPGFGCPAVKHGASKVLPLAALYTWMWNTVDVPAGSMPITRVQ GGEDLKIDGKERTIDLVYIMMNRNMQNAEGLPVNIQVISYPNQEEMVLRVMKEIEGVIKF SEKHPYPY >CAK63581 pep:novel supercontig:GCA_000165425.1:CT868027:72884:73946:1 gene:GSPATT00033434001 transcript:CAK63581 MDQIEYVNLEKKPQTNKNNQRGRQNNRPNKNDRNRRNQRDNKPFKNQRKQWRNKDQQRQK RPFQSENRIVATGQKRRQLQQRKFTQRPKAPRNIQPNVIIKGLDPKMTEAGLQEACKDFG PMNMCKLDRDNFGQVKPEGIGLVRFTRVEDAKACVEKLDGVTVQIIGENNNEKVISATFV DDQEQVDDNRNRGVLNITKRSINKRNWRR >CAK63582 pep:novel supercontig:GCA_000165425.1:CT868027:74184:75923:1 gene:GSPATT00033435001 transcript:CAK63582 MHMMRTRCNKHKQNYIQFICLQEDCEINKLGCISCFPDHDGHTKQNMEIQHFFEKITQKD RDLELKYLQLINSNSAKKNKEQIIRSIQQQCKAIQSRAFSFIDRHCEALINSVQNKNLND STSQLKVKGYHDELERIKNKSTYTLENKEIEFLINFVLTNDYSEMIASAFQIKEKNIQEF TYDINQIVNSIDYQLSELYNQLDSQLSAQQFASSPSFDQKNKSFQHNYSLTLGILPKSPN RNSTKGKSKSPLRQTSTNQSRMKTPESNKKQTYSIGSTSKIQISKFLMTSPLKEEDDFIT KNKNLESLITNVLMIHLPFKYLNDHLVFLKNNYVEFQDYNRITQQEKVQIIEESNSQLLY VLWDNLMIEWLKPPLNFSIIQIKVYEVENNFNSFLELFDKQLKMHEFVAYVIKQKIIKPK VDYQTWFILASRATNSFKMYNIKSSGKKVNREMTVEDQSFSCVDQLKAANVYAQFPKVIQ DHLNGSFSIDKDLKIIIKGIMDPNFQTQLLAERLQIMLNLVTNPQVAANLTFQNIEKHFD QVLINFKYFINYLNLSLKDTLQN >CAK63583 pep:novel supercontig:GCA_000165425.1:CT868027:76666:78752:1 gene:GSPATT00033436001 transcript:CAK63583 MYELLQNVDNYIQLGFASLSILFFYIVYQTDVIGNYNSQTFMIQNEENWDYLRQQYAQLY DSQELDKFHFPNEMASFCYKIQEKITSIQWEYIYFICLSGLVLMLYSFFFLDSGFLYFGM NIILGGLTMFYLCYFINNVYLGTVVKVPLRTDPLRWAYGNGFFLSLFCLGMLIISILLVN QINKFVFHKPIGIAYVLGGSLSLYFRREQQSILGRSIQIGTDLHVKDNLGSEGVMDVIGY SEKLASIMGHILSDNHLLDLIIVLLLYFTLPRIFQIGAMNTNVYSIVMLINYFAQFIISF FISSFQSEDIAKNVLQNVRTQIIAASLFSIISLILLYIYNSTYMSIASFGLITSMLLIIY LEYVTNHSFPQVRNIASAALNAPMLNIMNGNFLGDMGQLFFVGLTGLFIFISKEIGGDEG LYAFLTGLIMNAIVMTSIQFSGNSIMIGLRFAQIAQVDSLPLEKINLAACNYGLYLKTIT IICLFLITIIFFPLQEIQCFAVFIGLILAYFFKGIFLKFVGTQIVRQLRGFYEGTFLEGQ TYNLWELTGLIGLQFIILNFFKYFTSKFGMYSYVYSYTQIVLILSARAIITGSSLKNVRV LEGTLKENKIIYLSHMYADIQGIAMEESPALPQIGFLGISIVLGLTI >CAK63584 pep:novel supercontig:GCA_000165425.1:CT868027:78840:79543:1 gene:GSPATT00033437001 transcript:CAK63584 MSSQITKKPSLKLQLNKKTLDTLAKEKTNIPPSSKCSQKTVSSINTTSCTSSSSKQNYPC KESKSNPSKNSDQHSQLIQYLLQENIELQKQNQDKDQLINFLSTKQSPKRRSILNTERST EIKSQKLPQISQAKNSLEIDNLNEGVQAPFCFTFCQQDTQSQQSVRNKKLPKEFQIVPNK KRYFV >CAK63585 pep:novel supercontig:GCA_000165425.1:CT868027:80336:82695:1 gene:GSPATT00033438001 transcript:CAK63585 MNQEIQQFRATLPINEFKQEILKQTLENTFIIITGDTGSGKSTQLPQYLLDDENFRLSIC EKRKQFLEEQVEEKEQPKVQGFLSKKQKPFVPEDRKNSTDLKIVVTQPRRMAAISMAKRV AFERNQNLGSEVGYSIRFDNSTTNTTQLRYVTDGILVRECLQDKDLTGYDVVILDEAHER SLYTDVLFALVKTAAKRRKGSLKVIITSATLNINIFKTYFEGCPYVKVHGKSFPVEVKYS EHNITQQKRNHDAVNAAIRMHLHEGPGDILVFLPGSEDCEVCRKFCYERLAEVLNSGVEV PSVLLYTLYGSQTSEDQSQVFQRADEHTRKIIFCTNIAETSLTIDNIGFVVDTGYVKQKV YNPRTGMDSLIIQPISKTQAIQRTGRAGRTQAGKCYRLFSKQFYESLSEHTTAEIMRVNL ASVMLLLKSMGIDDVVRFEFMEQPTQEAILQSLRQLYLIQAIDEDGYITPMGYEMSRYPL EPSYAKALITSKLMECSSEMSAIVAILSTESIWQRITRVDVDGYQKLQETQTQHADPAGD HLSLLKIFSEWRQATFNEQFAKDTLLNLRSLRQADNIRQQLQQLVEGTSRKKCLQFYEQD YLYKLFRKQKDSKKWNINESIKLALCSGFYFNTARKMHNGEDTYLMVYPEGTVVDTDPQS VYTVIQQYPETVIFTELGGTSQVRGNWVKPYFSNMVKVDLFKLARIEFQQRGRDAVHERR QKKIQEQEKQKEQEEKEKLEKRQLYKARFEQRKKVKM >CAK63586 pep:novel supercontig:GCA_000165425.1:CT868027:82723:85949:-1 gene:GSPATT00033439001 transcript:CAK63586 MSRVNLIEKNSDSELVGQGEEADFKNLFKLDNIRDGVSLGLVQQLGGEQGLAKIFQVDLK RGVQDEEQVSTLRNRYGANLPIVKELTPLWKLIVECLGDTMLQILIVAAIVSTVLGIIEG EGGWYEGLTIFLAIFLIIGITAGNNYAKERQFAKLQSKLDEGHVQVKRGGNITTISNKDI VVGDVLLFQLGDIFNVDGLYLSGSEVKIDESAMTGESDEMLKAPLDVCLKDQKGKSPFLM SGTKVNEGTGVMLVLQVGEKTVQNEMKRLGESDSTPTPLQVKLEGVAETIGKVGVIVAIL TFVILLVRLFIEYAQNDEQTFWEQFWHLDCLQKILKFFMIGVTIIVVAVPEGLPLAVTIT LAFSVNKMKDEQNLVKTLASCEIMGGVNNICSDKTGTLTMNTMQVNSIFCYGSNYKDYQL LQIKNLEKDYLDLLAASNLYNSSAYPKRGINGKFEQIGNKTECALIEFCDMLGYQLSSYR PSDNILRVIPLNSKRKMMISLVHHNNKIYLFTKGAPEMVLKKCSKFINSNGEEAKLTSQD TNNIQALRTLGNAYKILNYHLEYDFDSIPEEYLLTDLTLINIAGIKDPVRPDVPSAIQQC YRSGIIVRMAKAIARDCKILGPDSDLHEYEAMEGSQFRQLTGVEVQEVKDLLKFQEIVVH LKVLARATPEDKFILATGLKQLDNVIAVTGDGTNDAPALRKADVGFAMGITGTDVCKDAA DIILLDDNFSSIITACKWGRNIYNCIRKFIQFQLTVNVVALFMSVLGAAVTKEAPLTSIQ MLWVNLIMDTFASLALATEPPSDRLLNRKPYGKRESIVNSIMYRTVIGASIYQIAILCLI LFIPDRIFDFDDSLDKEYEDRPIQRLTMFFQTFVLMQICNSISCRKLDEVSLNPFSGLFN NSLFWLINLIEVAVQYLLILFGDKFAVVCELTVWQHIFCWIFALGGMIVAIFVRTLPSRW FNGINIFAEEGIEEENLDETIASKLRRKSSIRIGSVYDENHENKRSVQKRLSVFKE >CAK63587 pep:novel supercontig:GCA_000165425.1:CT868027:87334:87771:-1 gene:GSPATT00033440001 transcript:CAK63587 MKFKSLYQISFQIKHFFIENDLEIKYTLSLIMLVDSIIFSMLLLQLFIFHIYLIINGITT YEFIVTPNIKKINPQINILRALPEVIPKAVPQTQLIFHIDHKNTIIELNSSEQQMIQEPQ DKHSNSNEIVFNNQILA >CAK63588 pep:novel supercontig:GCA_000165425.1:CT868027:87789:88063:-1 gene:GSPATT00033441001 transcript:CAK63588 MYENYKLESYCDICEAYVKENTKHCKHCNRCCQDFDHHCKWVNNCIGDLNYKIFMMMVTS TMLQFIYTLDCLYQNYNIIQYIE >CAK63589 pep:novel supercontig:GCA_000165425.1:CT868027:88962:90606:1 gene:GSPATT00033442001 transcript:CAK63589 MNTIKNNDSSFYSVVYQDTYDPQPLSSEIPSSENEVPFKQLRLALLLSISGDEAIEKSFM ESNCQSSIWAKRGSKASFLLTSITIMKSMVGVGILGIVPKCDEKFWCNIDNSYNDCCLFL RHDDIKSSTQMQEFKQEKQLFNNWIFYISSQLDYLHSQYCNYIIQYNNMSQRIDVRTNIQ YHSIFGDASQLLIKFYKGQDYEVPFYLSRTFLLCILGVVLSPLLIVKSIEKLRFVSLTAI LSISTFTVLAFYNFFGKDKIPDGFSLLLPSTFNFKNAMSALPTLLLAYNWQFNLFPIFKG MENPTDTKMKYAMFTGYSMASFLYLCVGILGYATYGNDIQTNYLKSIKSEEVGSILYVIL NITFVVSTTLTLPVLFFGGRNNFIQIYKQLTSEKKTVQEVKNYKEFLDETNSKRQERLIE IKLKKRSQKLRFYLLTLTLFILLMCGAIFLDNLALVFNIKGAVFCNTIQFVLPTIFYIKL VEKVKRYRFKNKQKQYFYYGIKVLFCMSWVFLVTCVVCGTMAAGH >CAK63590 pep:novel supercontig:GCA_000165425.1:CT868027:90754:91659:1 gene:GSPATT00033443001 transcript:CAK63590 MSDHSQLFYNKDDNTDDLLLSQFSYESQFRSQESLPQRKKRQNQQAKQNQVIQEENSNSY IIEDQQHSYLKSQQESKEVQLLKLASHLRQLLQKQQQEFYEKELGFQQKLLTVQQQHDSM ISLMKTKYQIIIEELQQKLSMQQTENANLKQQIFQLQEQQQNQVTQRIHKSSGTEASKDA NCFFTQRQTHQNSKLNDYYQPEHDEDKLLQLYKQEIDKVKQQTAEFERKFQNEKQSIKQE ISALRNQKLMLQTLITNKQVTNQSTDKPYSQGMNSEHKTNKSKRDSQSRAYSHVSKKSFD F >CAK63591 pep:novel supercontig:GCA_000165425.1:CT868027:91712:93807:1 gene:GSPATT00033444001 transcript:CAK63591 MDQGVSQGFSLRKICKQRLKGLFVSLIQTNPNVQNYFLIVDQKTVKVVSAYMKMAELMEL GVSAVEKLELGRKPFPKLHAIYFISPTQDSIQRVLDDFKDKKNPQYGVVHLFLSNEIDQG LMQKIAQCNSLITKIASFKIVNLDFACTSDQVFTIETPEMLTKAYTSQNVQQLLKEASYK LATLLISFNKFYSFEFLYNQAENKLSEQIAKLAAARLQELLASFVKQKNEQYDNIEKEAG KITVMIIDRSYDVATPLLHDFYYQSMIYDLLDITNDIYETEVEAGGKQIKQKVIFNENDD LFNRYKYRHIIQVLEGIPVEFREFINNNTTAKVQQGQMNNLDLNQMSEIVKTLPQYNELL GKYTLHMKLIEKSWSIFENKGLKEIGEIEQGLITGIDGAGKSISTTKIQSAVATKLMSET LDEYDKLRLILLSKSQNKVLASIGLEMSEKDRKILTDKIKVEHQQAILNLIYLGVNPQKG GQKKSKSSNRINDDLKKQAKHKLASACTELSRNTPLIETLVEGFVESNYKKPQKFDSIII NEDGAGSKGNGKSIRKGGQLARMMQNEDSDDTINYTPKLIIFVVGGISYSEIRSILSNQK ITSSQITLVGSTNIVKPKDFCQGLLGMKTI >CAK63592 pep:novel supercontig:GCA_000165425.1:CT868027:93849:95087:-1 gene:GSPATT00033445001 transcript:CAK63592 MTSKNDSDLYRIHLINDKNSLSFFQFLLKGFQHNNTNKLIFYSFINCSIMKRSDSRSSDR SSKQKAKRDHRKNSSSSSSSRRDKKKKQKKDKKERKEKNSQSNSNFVNLDIVASQKTQRS RSKSKQKSKSHSKSQPKDDPNIIKKGRGHMNSCLFGWKNEDFSQANSYLKRIDQSIMKKK QRSQANKAQEQGQANNDMNVNLEKKAEDQQVERRNYSQGNYRRFNNSNQRRYDKRDRRDY DRNQRNDMNDRNDRNDRNNSFNRDKYRNRYNDRDRNNKDRDQKGDDRNQRNYQNHSKWVH DEFEKDKDQNSNNNQTKPRGSPIYK >CAK63593 pep:novel supercontig:GCA_000165425.1:CT868027:95714:97092:1 gene:GSPATT00033446001 transcript:CAK63593 MKEDRKQQKNNQGLYQWVVLTSFCLNSISNIFMFTGLTPIWSEVAIYYGTTDYDLNWFTN MYYITLIIFSYLFNPIIIKYFGISQLLSCCLTSLGLWLLYYVKHNYQLGLLCFGLIGLGE AFYFQVPLYLSKLWFAHDQRIISTFIAQYSNNIGMLLGYTVSSVYFNDIDESEFDQRFEN LILFNAILATIVLGCNFTTLKSPSIHLDIIEIRLSIWESIKKIIMAEEAIFDFLSISSFI GLSWCYTILFGTQQYQLGQTYFQVTQTNIYFQVGQIAAAAYCTWQLQRQSKAGIQQDYDK QIKTVISLGFWMLVFEIILFEYIPFVILVFINFFIGAGLGGLYSVFLESLMEKHFPVQEL AIGSIFASISSTVSLTITMTLVIPKFLKYGFQISCYLMIIPFSYIVLFYKTQFRRFEAEA >CAK63594 pep:novel supercontig:GCA_000165425.1:CT868027:97665:98737:-1 gene:GSPATT00033447001 transcript:CAK63594 MSRSMRKGNTPALNSQIRPFSPNLTFKTDQLENQVLQPCQEKAEVQYLRYEIQQERQRSS QLELQLQCEINQRVQNEQLFINDINMLRQQNEDYKFRSQELEIKFRENSIYHQNLLKESN DKVVAMSMEIERLHNYQLIRYNDNEQILRQNDQKQLAQELIAIQKLVEDLRNELITKQKT IFYLQEQLQMTQSTQRENIGPTGKLREQEKNIDQLVSQINVLTQILEDKDNSMQQQNKQV ENIYNELQQVTQFNRQLNEENRNQKLALEQGYKEIDRLNRIILLKNQELQDSYHNENLDW RRNFQDLNEKYHKAQEQLCFAQAELEACRATQRMTYLQQDK >CAK63595 pep:novel supercontig:GCA_000165425.1:CT868027:98789:99285:1 gene:GSPATT00033448001 transcript:CAK63595 MGKGRINSIDEIERTLLVYKSKSELIISKQLKKNYIKKTMSNGSVKDILKDKKKVRFVAE SAFKQVDKDGSGYLERPELEEVMNNVAADLGVEPPTSEEIDEVLKELDENGDGKLSIDEF QVLIEQVLEMMAKVEG >CAK63596 pep:novel supercontig:GCA_000165425.1:CT868027:99547:100291:1 gene:GSPATT00033449001 transcript:CAK63596 MSFYPGYRYPYAAPLTSSFAAPLTYAPQVSYAPPVQYAPQVSYAPPVSYAAPVQYARPVT QSYVQPVLQQSVIAQPVVAQPVQQPIKGESRVEYREYQRPVVEMETETVQVQVPKTKYVT DYYPVEYQTEYIPRTVYEQQTEYVPVTKTVPRVEYEAFEREVQRAQPVVVQQPVVQSVVQ QPVVQQPLSYSLVRPAPTYAAPVAYSSVAPVGAYPSYYGGYRPY >CAK63597 pep:novel supercontig:GCA_000165425.1:CT868027:101403:102786:-1 gene:GSPATT00033450001 transcript:CAK63597 MSRVYRISNLIKIIFSEKVQMSSFLEKMVDEIEDYRCECKISESCMVCETKKWMQMLQQF NGILNQQQMPVFPEDNFNIPLYLSKVYEIIHAVFIFKSATMKNLNNHEDEFFKIRSDNES LKVKAKRLQEEIDQKIKQIGQLENQITSLNKSNKLVNDKLLSEKEELNQKVIKIQNKVQL MQSEFKKKELEFLKMKDQLRSKDRINYANKYDVIPLKTQISQQNGFDTWVSTRKGLIDEV CSLQDTLSTLISILNHFFQSLNNGSDSFNPDIIRLPQQGGKSIFWIKQQLQKLNGQFNID DSFLKELPQLSSSFISQEQQQQQQPLASKQQQLNLSHNKTNSISNSGKKDSFKMINRSQT LHFQQQQPLPDETISILSRISINDVSLVESTNSAKFAEKPPQDKQQLKKWEFVFKQQ >CAK63598 pep:novel supercontig:GCA_000165425.1:CT868027:103200:104520:-1 gene:GSPATT00033451001 transcript:CAK63598 MQTQNQDHVKCKYCKERIHVIQMYAHTLECNSSNHPNFNSQIKSVVLSNQSQLQKQKVTN QEINDQQSSSRQPDQFVESFIQSSIQPSKIQQQQSMNNRSHSNFPQQNNKQEIQQSNKQS SNLYTESLLKQAQRKCEYCDEEYPIQILEQHYPLCEAKILIESLTLAEDQHENNHYQAQN QQDFQDESDNQDSQDNQDSQDNQDSQDNQEFQDNQDSQDSEVNQDQNQPQQYEDSSDSSD NENSNYITQIREEILPDGSILKSITTTNFVTGEVRTRTETVHMPQQLQQQQYPQQFPQVP FGGSFQSQNQGGNLVSFFDQVFNTNVFSNQPRLRNNIFMPMTNSSFLHQVPQELTNLAVI KYVPYDGLAQEYKQCTICLTDYEDGEELILLPCIHRFHKTCISKWFKQMTTCPICKNDVA EQEMAFEEDDQL >CAK63599 pep:novel supercontig:GCA_000165425.1:CT868027:104741:105191:1 gene:GSPATT00033452001 transcript:CAK63599 MVEKSTMRWLCAVCTCCCWLNYYGFLNNCVRGCQICIDVFDATASAVWKGIFCIFMCCCF GFECVACVLCIYSCIELFNDPCQRYQAEDSWINAFWQLVYLKDLEIEGTVREKLKEIFAK >CAK63600 pep:novel supercontig:GCA_000165425.1:CT868027:106716:107190:1 gene:GSPATT00033453001 transcript:CAK63600 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGAAGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAVLALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK63601 pep:novel supercontig:GCA_000165425.1:CT868027:107448:109483:1 gene:GSPATT00033454001 transcript:CAK63601 MYFECPCSFRKELEQENQIIQHIDNCEQFNSDSPLCNMYKRGNVDEAPIEQLVTFLCDLK LQVERIENVLRRRGYNKKTASNQQELNFDHYAKYTSSSKIRSNTSGVKQNGITVKIVSHN ISSEKQQGQIEQENLNQNNQLPPTPPLSPSRFEEERNQNTFTAHQPTMLIEEQPKTNGQQ QQLQKQPSDQKVACEACRKAFYFNQDFEKLWFLEHCGHVMCKLCIHRLAQEKFVENDGRI MCKEIGCIARITYFELKQILGIDKFNELDKKLALKNQNIVECIKCQSQFSFEKGNPNEQV KDQQGKSISGDALVNYANNRFICKQCKTEQCRQCNSVPFHIGMTCQQYKTNQQANKCILC DFPCEGKVCNQEDCQKRIQRLCQKTLDCGHECNGVKGEECLCLRCSKQEPDDYCNMCFTE ALKSAPCIKTECGHIFHEECIMKKLDAKWNGPRIVFQYCTCPLCKKWLDVKHKEIQAKLN VAQQLKLQIQDLCTERLDIEGLKQAKELTDPKSQYYQKPHEFAMDKFCFYECFKCKKPYF GGMKNCQAAAENNDRAQFNKEDLICSSCCPISFEAKCNKHGVKYIEFKCRFCCSVAVWFC GGTTHYCEPCHSGRNPNMNKPCPGQEKCPLGVNHKPTGQENALGCALCRSQRVDDILKKN >CAK63602 pep:novel supercontig:GCA_000165425.1:CT868027:109761:112159:1 gene:GSPATT00033455001 transcript:CAK63602 MRSNQPKATENGSKPSQYFEGNRKGEVNELKILVKNTINEKDDKKKREVVKKVIAYMTLG RIDVSKLFPEMCMASYTNDLVQKKMIYLYLTTYAEQNKDMAFMAISTFQKDCKHNDPKIR GFALRNLCSLRFSGAIEFLMPAIKEALSDIDAYVRKTAIMGCVKVFYMQPEQLNNIEDQL YKMISDNDPLVIINAIHALNEILAQEGGMALSKKMVDYLLGRLKEFNEWGQATILDELSK YSPKDDKEMFNIMNLLEERLKHSCSAIVLGVIKVFMNFTKNKPQIYEQVITRVKLPLVTL ASISEGNFEIMYTILCHIKYIASKGYNSVFASDYKSFYCRVDEPTYIKLLKLEILSQIAC DFNLGDMLNELGEYVTDVDQEISKKSIQALGAIAIRLPDLATAIVKQLSSFVTLQDYITN EVILAFKDILRKDPKHIKDCLEIIQSDNITDSNSKIALIYILGQFGSQIPLAPYILETYI GAQESVELKHTLLTSCLKVFFVRAPEMHQVLGKLFYVIINNENEDIDLKDRAAYYYRALK SNANEVKQFWQYNIKVDKFLEETIINKEALLFEFNSLSVIYEKNVNKFIKPIEYFNNLRS KELQDLNQEQSQTQTTQEQTSDVIENPQSQPYGQTNGQTNDLLEISEQPQQQMSLSVDSF IVNFAIDADHFENMWTNLNDGATFTRMLVRNDIANETSIEQLFKQYRLYNIAAGEEENVL KMYFYGSHQCQVFFEFEINKNLSTVILNTRSEIEDQAYLASKYVEDFLRQVHLIE >CAK63603 pep:novel supercontig:GCA_000165425.1:CT868027:112317:113883:1 gene:GSPATT00033456001 transcript:CAK63603 MYIYIGIGVSLILLYTLVIKPLISLLLIKIKFGKQAKIIFYPIVGDIMEIEGSFKKYNDH YESLRRYFTENKDLKFILLNVFKTPLILIGDPEINKMIHQDHENYKKIDFLNNNSRLMGQ GLLYQSGQKWKSQRKLLGKPFDYDKLKARIPMMNQVVKQKLKNTYNNPLDLTRSIAGEVV IQTFFGQEAQNAQLNNREAQAEIVELFNDVAEAIYGSVYGFLKWMILGEKQWKLFPNKLE RDINRRIDELTQFARKVIDNRITSIKQEGFKKNQDDFDFLDIHLQEFLGSKNESGITKDE IISQFITLFFAGTDTTSSVAAMCLFYLAQNPDVYDALIKELENVVGDGDGDVLDQHLPKL QELNSFIWEVLRVRNPASGPLVRVAKQDLVLKDLHIKKGWILMPANFVQQQTDKYFENFK EFDHKRFLKAQAIKEDNGYVNIPFSAGPRNCIGQHMALMEIKIILCHILRTFKVITVPDK IKDLKWRAKFLYFYEPLDCIQLVKK >CAK63604 pep:novel supercontig:GCA_000165425.1:CT868027:114042:115478:1 gene:GSPATT00033457001 transcript:CAK63604 MSLQRENTLIKENRLKRIQKLFVARSLAMNEPQTQSDRNIFQEINDEFNIDKGPKYNQKG EIIKHSIIGKPDWFNKTKYGKKQTQFDEFNLRQLPQKSQTRIDQDQKSMAKSDVKSLQKG GGSGKFKKEKRDQLTTKTQLLEELEKIKDRIELNKEIEQRKIKELQLVVRCNATKQERVM DKHTKMEQYWNDFTYKQANQLGRFPSDCQLIQAENYRTRLEAAAAFDALKTDYERFGPRV WQMTLRKPDQSNEMLLKLKDTQMIVENEIKYDFIVGSDLPNAFTESSVCGHKGGIEYYRK PNFLPDSSQLTFSSRFRKSTDSEMNQSSLPFKSFRSEEYLMKKIEKQKSQFNQSHMLSID KTDGYDQLIILGKNQYEIEKQMLLHDGTDNTGVYRKNIEKIPEELTKEQIYEQNFTGTQR LILPQIYKTQMSRIKRQGSATSRSQDSRSSQDFQTENQTVIQ >CAK63605 pep:novel supercontig:GCA_000165425.1:CT868027:115480:116201:-1 gene:GSPATT00033458001 transcript:CAK63605 MILVFRLNVFVREKTQVDLTPLFKKIKRIFKQQKKFVLLEMVLGKFAEVGRVVKINYGPQ EGKLATIVEILNDKRVLIDGPTTGVQRQVIPIRRLTLTKFNLKGATRGARTGVITKAIKK SDPFAQYQNTIAAKKVAKKALRAKLTDFDRFRVMILRKRRSALLSTQLKSLRKNNTGGKA AAQAKGGNKKK >CAK63606 pep:novel supercontig:GCA_000165425.1:CT868027:117159:119821:1 gene:GSPATT00033459001 transcript:CAK63606 MANTKQNMRPLRPNFNIKNTSQVSEQEPPQPTLENREYKATEPKINLRPFVRPPSSKKDD VQQSQQFQESIMDSKVDDQKNTQQRRTPVNGFRKFNLQAAAPISQNEEVLNVPQQYQGPA INLFANKEKIQQEVSQIKQKELRQMVQVQMKQRPLNAPETNMFAGKESVDGQDTFKKMKP IKPTNNKEPITKDTIKVAELLENKIQFQAPQEDQQIETKKVPRTRVKQAVQEQIDIEQLN NNQQQNAKQQGEDTMMEKTQREMNKNISKKTGKTNQIAQQQQQNVQPEETNKQLAQTKEA IQNPQQTVTSIDDYKERTIQFNTNTTKNVLQGKTEEQKKRVKELQQLITLEVDDYSNQLA IQPRTEYETYLNHIKANIIRNSCDQAFDDRLTVDTQTDEFQTNDFGGQCPEDYNRTFLAH KQQYQKDNRLCEFIEQACEIFDEILNIGNRNQIQFDQEQLNHKFPDKIKSAFKCKCLNIV AHQIYNNQLEIFETYYIESDKFKWLNGSLIIQYPQNVLYYIPSKVTCLICEQNLLICGNA DGQLAGWSINDVGYNNKYIDKMRELLQNEFKIKSINFASEWNLSILDQFSDTNMNQLSQI QQITHNSFIKLIFEIVVMDVFGNIQIWEVQDLKYNEQEKLYVDLGIKSKKKLVLLNTISK NLTKIYSCMSMDIDNILICGPSKVINISQQRSYFDDSDMQPCVIGNIYYNYFCVGYIDGH IAVFHKDFTKSIWQLRIGLKKIIWIRILSDGLIGAIDSEQNIYVYCAKDNSQMVGKQLAD QDRWRFNYAQYVFIINSSQNGQELTIVGKDKNTDLQLIKERLELQGTKTDIFDNIYLDYY GQ >CAK63607 pep:novel supercontig:GCA_000165425.1:CT868027:119852:120525:1 gene:GSPATT00033460001 transcript:CAK63607 MSRTNKQVESQPVPNKKVQAPAKPQKPKENYLTPEEINDLRETFDLFDDDKSGTIDAHEI KKVLEDLGVDARNKFVYQMVQDLENFGGSIDFDTFVNIISDRLGNNKTKDGAFKLFQIYD PEDTGFIDFTNLKRVAKELGETLNDDELHEMIHHIHILRKTESPEQISFDEFYEIITAPR RY >CAK63608 pep:novel supercontig:GCA_000165425.1:CT868027:120654:121250:-1 gene:GSPATT00033461001 transcript:CAK63608 MINITLIFITLTLISNAQDLPDVKIYIESRCPDTAAMMRGISNATIDSLDQLANVEFIPS GKLNSNSYEANTYITNCQHSEEECYGNMILACGLQLQKDQVSSTKFVRCFFKEAYKQNFQ EQIDKCLENTQQKLLVKACANGPQGIELLYANRLRTPNLGYVPSIAINGQMQKLKEDFGK VLCSLSDAQAICKDIKWV >CAK63609 pep:novel supercontig:GCA_000165425.1:CT868027:121263:122744:-1 gene:GSPATT00033462001 transcript:CAK63609 MIFLLCISYVLANFNTQYVTDQMEIQHTNLNSSKTYLLSMIITQFPQNNYCLPLLQVIIN NEIYNDSQAYSVRARIQKVYINGTGSVIIKVNCNMLEYFKNPKNINKTMHFNLTLNDAKQ HSSILCQFPHYGQNCSLSILQIQKEFSVTILILNNTWLYAYAILENNDYEVIVQNGESLF GVSIVSINKLNVTILPSFLQNFVVLEQNNEEKEIQIYRSEDDQNNVYIIGLFNFNSTQIQ EITITLTAGLKSEEFPFWVTMLLISIIVFGMLLLLIILLLFRRQYKKLTQIKPALDKKVI KKYMPPQKADSKMIKDTCSICLVQFELKDKYCQTPCRHVFHEQCLVDWTTKQANCPVCRQ GLLEKEINELMEIKNKGNRNVEDLNIEEALKSKDDPQSQTYRNFPLLQLSSSPFRTQLKI ELDSSPQAQNSPPILQFEGSPENRANRNLCFQSDGIVESQN >CAK63610 pep:novel supercontig:GCA_000165425.1:CT868027:122752:123885:1 gene:GSPATT00033463001 transcript:CAK63610 MYRNLLKLRYAYQQQPTQLTPIKMTVREAINLAMDEELANDPNVFLIGEEVGQYQGAYKV SKGLFQKYGGERIIDTPITEAGFTGISVGAALYGLKPIVEFMTWNFAMQAIDHIINSAAK AHYMSAGDQKASIVFRGINGATAYVAAQHSQCFASWYSNVPGLIVLSPYDCDDAKSLLKA AVRNPNPVVFLENEILYSESYELSAEARDPNYIAPIGKAKIMRKGEHVTIVAFSKMVEYS LRAAEQLFREGISCEVINLRSLRPLDRETIIESVKKTGRVVCVEEGWPQSGIGAEITAHI MEGGAFKYLDAPIQRVTGVEIPTPYAFNLEAITFPKTEQIVDAVLTVLKGAR >CAK63611 pep:novel supercontig:GCA_000165425.1:CT868027:123908:124960:1 gene:GSPATT00033464001 transcript:CAK63611 MDQFTILGQGAENIVYQYIGDDPTLKDKVIRIRKPREICEITKNLILPYNDTDWTPLKKH FIKQQPYEIGDQKCLIMDNLLYNIAFACGNQTQNILTHFINEINDPKNQRFFMISLLKAQ RKLKKEDKDIYKCSKEEIDPIISKYDPRKFYNCNVQSLFDSIIDLSVVPDSNLKLFNNQQ QRVQNIPEFNIEDIAKIISETLLQEGIIQQLNGFFQMLSQMNFTIEQAFEAYEQLKQRNL TNKQFSEIVEGKCQDPEISKLASKLQTFSSLQALSDLSIVGSFRKEGSGKFIEIGNQKLF YQYTIIDCDLKPLNKITDYMSTIDELIKLRDYYNSLK >CAK63612 pep:novel supercontig:GCA_000165425.1:CT868027:124977:125960:1 gene:GSPATT00033465001 transcript:CAK63612 MNKLLPAIVSDIDGVLIRGKSTIPNSDIVVQELLNCHYTNGEKHNIRIPFYLLTNGGGCT ELEKANSLNRIMGSNFDRHHIFLNYTPLRPIMNEYQNKLILLCGAGHLTEIAKDCDLRYF YTIDEYSALFDQVEFKQYDDGVIRQYETDIKQRNMEQMKNQQIEAVFIVFDPIKWEESIQ TICKLVKEKKDLPIYVVNNDVTYADNFKLPRLAFGTFTNALISILKKEYNINPNIIYYGK PSLNTYKYVQEYIHEKHDNIGNIYMIGDNPASDIRGANLIGWPSVLVRSGVFRGRDNDPQ DPGKYVVTDLMDAYNKILQLEGLKVVQ >CAK63613 pep:novel supercontig:GCA_000165425.1:CT868027:125985:127655:1 gene:GSPATT00033466001 transcript:CAK63613 MGANSSQGEKFVQEFQRQCVKVRELKDLRFGDVQIYRTQQNSYIMVKSIWCNNSAEYDAS ISNCEKRSKLQHQNLVKLLGYHSNSQNQWCGDFSKITVYLEFFDYTLEKSIDTRRQTNQY FTEAELWKMLFTIAKLGIYLEEQNKVLGDIRPCNIQLSDDLKMAELGILKPDQTGYQRQI NNLEVAYLSPEQLYSLNNPSITAKSDVYSLGVTMLECSTLMSGKNLYKSNRIDNQLLQQL LETVKQLGYSNPWYRMVRELLKEQPDERPSYQDIYVNSILIIRMPSNNSKFKSLICSLFP LYINNHLYNNNLYHHIGHNINNIHSPYHLNINNHKPKIQFQFNINNNSNNSNHNNNNNNK QLQQQQYVQLIQLQFLNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXQQMDLPLITFAITIITSITILHVYKQQQPYLNELANAQSNYFVQQPVHHLPQ QIEQSPYHTLQSPQNMTSTMYYQEEEQDDGLNEIDRKIQQALKMTRDTEMRNKQTPNYY >CAK63614 pep:novel supercontig:GCA_000165425.1:CT868027:128271:129522:1 gene:GSPATT00033467001 transcript:CAK63614 MSYSTTDERLMDSPKRTESTEIQSDSQMFKKGFNPSFLGIQQTSKVTVSRRYLDTKTHGV QLYYQEFTPQIVDAQVIIVHGFGEHSGNYQQLTDSFLFNNFKVYLYDQRGFGYSGGIRGQ ATVEQMHMDLDTVLQLVDRSVPLFIFCHALGASMVISFCLMNPSFQFQGLICSNAQLRVP AKYGKFKMLTLKLMTKLCPDLQVNTYHNLSYASKNNHHIKKLATDHLMHPYMSIQFAYNV LLFQQFILPNATKFRIPILLLHGKEDKVASHLDSLDFYRIIQSKEKTLKIFDQGFHELHN DSEWPKMKILITQWCTKMLSKDIKMSFMREHKFGVVVKQYRSKIRIILTILLILFRKKYV CLKTSTKLSIIIFIELLLRVFIPN >CAK63615 pep:novel supercontig:GCA_000165425.1:CT868027:129542:130901:1 gene:GSPATT00033468001 transcript:CAK63615 MKNNRQNFIDLIIHLLDLEEQIENTKIHMTSNEYFTLYRAFQIIDEHNTNQITPEAISKL ISKPAEQCTLLIEYYSEFKDNKLSFANFMNLCLPRSKPQLRAQLTQKLQKTIGSPEEQEL IKEHLSILIANEIHFLQQIKKYPIEIEGLLGYPFKQKDLNQLVSDFGYKINTEAIWKRLD FDQNGVVTYEDMKKLFRECEQGMNENEEAKDKSRNKNTKLGWINNKVCPYHSKSIQNFYE FEKQKTNRQNEWKQIRSFLYLIKEHSQIYLQIDQLLEKLNKYDTLRLFELLSINDRLEFQ YLQKKIQEIVGQEFLLDYSDVEQLMNFFGGHSYEEFKHSLECSRVLERKQPINMNVLKML FIKLILLLQLSRYLKQQYKKKALIQNKDLLNEFGEFSQSNILLMARKAKVELSLEEVNGF FKGQEVLEVRNVMSFLK >CAK63616 pep:novel supercontig:GCA_000165425.1:CT868027:130920:132227:1 gene:GSPATT00033469001 transcript:CAK63616 MEEIVTGEDDVEPQFAFITHTRTTQYRISVMKNRIEIASINSLDQIQVVLSIDQTIHWLY DEGQEIAFGFRQGYFINYYYGERSELSQFRKLIGCKISFGTFKQDYLVGDLLGKGTFSEV TKVTCLRDGKEYALKKIKLRNEAHLKNIEIEVAILNKLNHQGIIKLHDVYRLNDETYGLI TELVIGQSLDSIINKQKSKLEERDIQMILKQTLEIVDYLHQNNIIHRDIKPQHMILCQNK TIKILDFGLSSNESQMSCNCGTPGYLPPESFREQSLYTSKGDIFSLGVVFYKLLNRGVSC FDANTLESVMSKNRRCTIEYQINGYSSQALHLLKQMLQKDPVMRINSHDALNHTYFTVPR ESQNLKEDKGNIYVYQAHPRPSHLSRTSSGELEKTSK >CAK63617 pep:novel supercontig:GCA_000165425.1:CT868027:132485:133457:1 gene:GSPATT00033470001 transcript:CAK63617 MLTYTVMIDEYQTIDDKVYYQININCLEGHRKISKRYSDLKALNDKIIEKNSNFKLHLNL PQFPGRKIFGRTKNSVAGIKQRGKELQKYLEEVLNIKQLQSFQSIKELLPHQQLYQETLQ LTRSGEYDKEVCWLQMDELKRSFLERQENKTPKNNKSKSKSSFNTTGYVQRYHFSIDDYE IQQDVVLYTITLTDAKKTTNYQFTSRYSDLREYHKLLKKENLKVVLPDYPKRKIISQTNE NPLFIQERLEQLQKYLNDIFAIKELVQSEPLQYFITRIKLEGKPIRVGPVQQKQQICTSS VLYESQENLPERKSSF >CAK63618 pep:novel supercontig:GCA_000165425.1:CT868027:133537:133713:1 gene:GSPATT00033471001 transcript:CAK63618 MGCICQKETKQQEIKQYDQKLQQIVTVPVLYDPKTNPIMKRRLQQDAQSEQNRTPTRF >CAK63619 pep:novel supercontig:GCA_000165425.1:CT868027:133720:134880:-1 gene:GSPATT00033472001 transcript:CAK63619 MTDTQHLQSKFSRNNSRQMEKTSQLIKQDKRKQKQLVLKLIDYKQSIQKNEFNNRISTSN FKRKESFSFGFWISFLISYVKVRVIISLNKYILDMKNLIIRYAASIAKPGQQFLVLFGAP GVGKGTFAKLLINDTKFNHISTGDEIRKILKGNTSKSFDPKLIATIKDIVAKGGLVSDEI VMNILQEKLKEPESAKGVILDGFPRTLRQLDLYEKILPTNVVVNVTLRADILLEKLAARR TCVGCGTAFNICDINRDGYVMEPLNPKKEGICDACGGKLVVRDDDKKEVIEQRMKEYEEK TYPLLDRYRAMGVVIDLEVKRGKKDYPRLWDLVKQKLRV >CAK63620 pep:novel supercontig:GCA_000165425.1:CT868027:135409:136272:-1 gene:GSPATT00033473001 transcript:CAK63620 MIDLDFERNGEQLISKLNQMLKQIGEEQQRDGSYNPKLIEKFLKNTKLSENEFEGQFGKS NGVLLRNILLNWAIKSEKQFINDTNCTELSIKDLARELNIDKWFYELFVQLKTKSYFEDY LFYVLEITEALNDIKQIGNNSNLSNQFDFTELQNTIFKYEQSIHKSLFPQLSTRYNDKDN IIQILNSSYQNIIEDIIYQSQVVIKNFYKNFIPLQKIEECKFYRQGLNILDEFIVKSQIS IQNPNNLIKSKLGDFLEDEILKELRKNIHKYHKKIARSLFYDGVDIY >CAK63621 pep:novel supercontig:GCA_000165425.1:CT868027:136340:136777:-1 gene:GSPATT00033474001 transcript:CAK63621 MESLALTQYHFHNSKDINEEHLQLIEELNDEIVCIYYLQNIVTYSFPSNEYINLKDLLYF KVITEIFNIGKEHLGNKQQSNNTNINQNFGSTYKVAKIKDIAQLNKLPKYMDLGLQTFII QIQQLDRIQSLFFHVQQIVYRQRDL >CAK63622 pep:novel supercontig:GCA_000165425.1:CT868027:136905:139621:-1 gene:GSPATT00033475001 transcript:CAK63622 MGSKLSIDKHVSNLEDILELNTKLLEKIKFDSNIPESITQHLKIWKFQEDKHPLKKVKSE PINLSTIQTSGFNFLSTECYLVLLVYKKAQEEYNQFTNFPHQMWGVVESYSNLTPRGLEE PVAASESHLESFLLPQRQQNPSTSNIYEYMIFVWNGKAANPLIKASALSNAFELENLLNR GRDPLLEILFSGGIIKNKKLSKGSILTLQSSAQTSSTTNIETENQVRETVYLFNFLFPVP EKRKEFSKLADFLAKKQNTQAYASQFIHVDLNDEQIQNSNNNKVIQKPKLNLRESLTSRD AQPRDAFKELALPRRNSNEINNKIHEISNEGSPSISKESSKPISSRSRENNRYEDTSPQQ QPIANNNTFQFNLPAQIKSKVPAINIPKLDLNCKTHSQEVSIGVPLVEGVECNDNEKIKP MKLPLASLKLQRDDTTYQDIEEEDSNGFNFDIRDTDRKKLKIQHFAEQCSSVIPNFLYVG GEQIAYNKEVLKQIGVTHVVNCAGDVCKNKYPDDFFYQTYYLKDSKTENIECLFYEVISI IENAKKNNGKVLIHCVQGVSRSVSLCIAYLIISQQITYSQAFDIIKKNRGVASPNMGFTV QLLLFQKRLQASYDSIPIAPRVFAVGRGVSGPQSIACRMLMDQLYSGKVLRTFDSRGVFL VLSEHDLYLWIGPECNKTEKFIQYALDYTKFLKQFEKAPNIQPILMEAENESEMFWGLWG IVGKPGVICQKIREWDQWYEEVDENEFNKEKESNIQLYSSDRVEEVHVEKKAFYIYPNSD DYLLMFDLEDLDQSQLCILIKEINKQVLQVYVWRGQEWVGNDDDEQYFVHEIISKNYQYI SERNIYVFQEEPNEESDVFLDCFS >CAK63623 pep:novel supercontig:GCA_000165425.1:CT868027:139754:140818:1 gene:GSPATT00033476001 transcript:CAK63623 MDQQNYTIVGVMSGTSLDGIDLALIQFENMNQQWHYKILSAQTYLYEQSWIDLLKNAHTL QQGEIESFNLNYTHHLAQKINKFTSQFQDVKIDAICSHGHTIIHKPKEGITIQIGNLPIL SQLVKTTVVCDFRVQDVKLGGNGAPLVPLGDRILFHEYHYRINLGGFSNISYERENVTLA YDICPVNTVLNRLAFPKNYDENGDISKSGNVNPNLLDQLNNLEYYKLSHPKSLGVEWVYD CILPLLDSSNLSQQDKLCTFCEHIAYQIGKSIEKKDEKVLFTGGGVQNKYLMERIHHYVK NVQILDQQASDFKEALIFGLLGVLKLRNEINVLSSVTGASHDHSSGYIYKYQEN >CAK63624 pep:novel supercontig:GCA_000165425.1:CT868027:140862:142131:-1 gene:GSPATT00033477001 transcript:CAK63624 MNKDIIFTCDVSNAKNNMPRKLFLYKRKLMISNENQDQSNIDVGLEQRSREIQLRSHLTT ISWIYNENILKGFKVSYQCNFSMAQKSITYLADAEKLIQLKQLLDGSVLYTDFNNYYQFK SSFMQKEDVQVMILAKQISKYVQTETGELRLVKFVKINDQSKVQQELNIVFELNQQKYQS ILEIDEVYKDSNEIIFVMKYCRVERDKALEIEEIKYIMKRLLKGVKHLHKLGVIHRDLKL DNIVLENQNDIKSIKIIDFGLAVEIGYQTQVRCGTPGYMAPEILNQEDYDELVDIYSLGS IFHSLLSGQKLYPEYQDKNHLILLNQLNQIKVSSKILDLEQRQLLLLMIGHKKYRPSASL CLKHQFFSKFCVIPHPIENKVKQLCFPNIKNPFKSKCF >CAK63625 pep:novel supercontig:GCA_000165425.1:CT868027:143252:144067:-1 gene:GSPATT00033478001 transcript:CAK63625 MPHSTTLSTIVDNTLFNLLIPHSLIVCLPPLYTRPPQWTLPHLQNTKRNQQTHHSIQVLL LILNRFLTNDQRIQYIVEDFSQSHLPRELYAEGPKIKLRERVQSLEGPQWSYENRPKLRK DQANQANQYNKSIIDDTAKDMTMVYFPQWKTHSKDKWKTERGFLTTVYPKPTEPQYFTDV YIEGFEALGDFSRKFEKDELNPKDFRCTIKSTHEPIPSISIRKAIQDSVYNKLFHQNSNP SDISTNTRYPKIYNKSIRQEIISIAKKVDQK >CAK63626 pep:novel supercontig:GCA_000165425.1:CT868027:144153:146804:1 gene:GSPATT00033479001 transcript:CAK63626 MAYENCVVCGKRQDGLYKLVPHFRSSHPSKYIHKMCLLLFRSDWNHRVAANEELYYNDYR RHCSICDKRSKQQVVRCAHSSCRNRFHLDCLNNPVIQVNSNSRNHYPKLDVYCPEHSFDL IRPNNLDKCVESIFNDHLLSQEQEPGQIQKSKHKKKHKKKHSRSRSRSHKKSRRRSSSQC RFSDSRSSKSSKSQPKNPPLPEKVGLLIIPESKITDCMIREVKNLTQAPILEKEESYIEP LPIPQPPPQPIIGQKEVEKQIIYPQTQRPFVEDPEFPYTERENKRDQSILKWWDKIEEIY FKGEEKLPYTKIEEFKNPFEWSNQGLDEELLNYADQTNIEPIIQLRFQCRGSINVLIRSS KAQYYFIRYSFTEHVGKATPKGLLRTNIQLYRYLQETVDIPTYSDKEIVGKDLIYLEGCE LSKQEYQDCFELEQQIKEVNSKLESQLDIESDAIQQFDIVYHILNKELTSLVQLNNQSRE QINIQINDQNFKILNTQQQLLMDLLKWSQIVKAFINGYKDKNEDVLNSFYPCQLTLTSQS SQKAQQKKKKIHSKENTQPLDTDCKICFDYHYTDINPVIYCGKCSTSFHKNCYGLTGPLD EDDVICEACSYESSKLYQFRRGAAKCGICKKLGLPQKYMRNHFYHVSCLLLTNQVILSDG AYAVRYRKNDIKQSCKDNEQSTPQCAVCGDSKGFRFLCSGGETIPCKHAFHPICAYLHGL TIDIESEDLEQCCKELRFAQLNVHIKCVLHCNKTLQELVQQTYYRRFALNYEQTAKCGGE DVFKEEFKKSKGYRYLSLKLPISRNDNLQQFINQNGTPTQQM >CAK63627 pep:novel supercontig:GCA_000165425.1:CT868027:147037:147324:1 gene:GSPATT00033480001 transcript:CAK63627 MGGMIQKFKNLYARPNEDLPTKDDPKFHKTIENQNMHDKQLESLQSLEFKEIDKLKRNFS NTAVRMIQMMQGKQHDTQPYQTQKLQIRKTISELN >CAK63628 pep:novel supercontig:GCA_000165425.1:CT868027:147401:149340:-1 gene:GSPATT00033481001 transcript:CAK63628 MGNNNSQESTKLAQLYSEYQPTKTRDEQFGDCTVLVNKSNPKVKLILKEYTYTDETLFKK NKKQFENKQQLIQNQYILKILDIYTTQDQQVCSQFFKIYVLIEHPNSQLSATQLSSQDYW AVLFGYVRALEDLQNKSISHEHISSKTLYLPPKICDPSLYEQSTNFQQLFQNNKLNDLFL SPQQTMALRQKEFQPQHNPYKSDVFTLGMCMLQCILKTPIVDCYKQLYLVEEVLLTKLHQ LNTLVQPQLFEVIQSMLSIQETQRPDFVVLSKLLDQKYQEQASITNFKYQLRSFNPLDQQ QCSISQHSQHQSIVQNQQQTVPIMKQIKSSKSNTNAKKPSLREIITEQSNTNSTMASRMQ EDVVQPNQPDNSDFVQQVIYSQQISKPSKIDENIDNTENDDNFTNRSSNLPLQDHTDQHV NKNFQLKLSNINVKESQQQFSIPFDLPQQQPQPHISGFSSQGEIFVNEQYQDGSKYNGYK FNGMRHGQGIFYYKDGGYYDGNWQFNHMHGYGTLYYSNGNPAYKGSWNQDKFQGYGVLYN EMASQLNEPFNYQDFDHIEDFWTKYEGEFNDDNKEGQGTLYLSNGEKFCGQFLKDYINGF GLFHTKNQKIVEGRWVNNKLIK >CAK63629 pep:novel supercontig:GCA_000165425.1:CT868027:149819:150232:1 gene:GSPATT00033482001 transcript:CAK63629 MRSLSQACITENSENIEKFIFKQKKLVTLRSDSPTLSKRLSQQPILVQSDSPLKKHKTCS SEIPLSNGKMFLKDGKILFLKQKLPKLELPQTKCKFDPKVEILKWIQTNKSISPLKKRVA FRAIKESNGQNRKSLIF >CAK63630 pep:novel supercontig:GCA_000165425.1:CT868027:150500:151638:1 gene:GSPATT00033483001 transcript:CAK63630 MDYYKILEINRDASASEVAKAYNKLSLKWHPKLSKLDHNTTYHHFCLISEAYEVLSDPIK RTFYDKYGEEKLKEGFFANGNLKGGYSFAGNPFEIFEKFFGTSNPFAQLIDTNGSENHGT LFSHAFGGQNFPGIPGPQDLEIQVECTLHELYNGCAKTVSYQRQVLNKDGITTRQIMETK EIKIDRGIETGQKIVYKELGNEAAGFKSSDLIFLIKETAHPTFKRKGNDLLYIAKINLAN AIAADPIQIITLDNRKLQVPVDQIISPKYVKMIESEGMPVFQQDEVKDFGKPQTFGNLYI RFDIQFPEDLTESQKNRIKNILLEGQQ >CAK63631 pep:novel supercontig:GCA_000165425.1:CT868027:151851:159616:-1 gene:GSPATT00033484001 transcript:CAK63631 MKTRGQKTEPNYAYQFKKDQILGQSEKGKPVFYKVVEDLKTNDHKSQLKVVRLEQQEKQK DGKVVYKESQEALLSVKERTQEKILFFSKIVEDVEVKSTKPNPRSKSTVTSFVFQQDTLQ DIAKSVKQIPANTKQQKKQVSSESESEEEEPPKKQTIKKGTKQAVKEQTQKKEVQKITKK KVESEDSAEEEESSEEQPPKKQVTTKKQAQKVVKTNKKPTKQVKQQESEQSSDEESEQEQ KKKRATRQAPSGKKAQPEVPPVQKKQIKRGRAQKKEESDESEEEFEENEVQSQSVEEKKK KGKAGAKKSKSQPAQPKKFMRGKANPNYREIRQTSEQLEGTSSKFVDHSSIMMNNKELIR AAQTDDIFAHSLKISNLFQRWAPENDANAIELIFKRQDKAMLLKFLKAMEKVKLGTTPRC SLKEIQTGYNDQYAYGSRTRKVALGRGGREGNNAFVYDLDQEDTLTEDQIERLLKIETNP EFFGLMIAQLGEEHQYYDQIALAVRSGNWKTAGYLVQMAMDKGHMYGFNQVHVDVLNYTS ASRIGNIKKPSATKKSGGTYLVTPIHCAAINPNHACLQKLLEISQEYNILDDFHRKPVHY AAVAQTSACLKFLMDNSIDVREGDRNKSTPLILAAQYGRTHNVELLASNNIDGKNRDGNA AIHAACLGGHLETVKVLLKNGAKINLTGQNRMTPLNIACAYGHYELAKYLIEQGAKVLAK DKYGRTSCVLAARNGNVKILSLLLYHGAEYDQPDSSKNTPLHYAAAYGFPECIEELMKAG ADQNLPNSWKLTPLSVALQKNHLGIVKKLLSYPTTDVNCKDDEGRTLISSSLSKFTVDSF EYMKYLILEKNADVKIADLQEKTPLHYAVLLSRKEAKQFYPKWNEMSKAERRVIKNKYEE LVHEMIELLINAGSDVNVQDNNGQTPFIQSLISQNFKVSELLLKLSTPSVNYVDKKDRNI LHKLIECRLFQSEKGFSILQNIIKTVDPTFVNQYDENGWNPILYLFSEFTSTAEQQYSKI YEKKVSVLLQKLFEEKQKILVEEEEKINQEKKLKNNDQKDGKKNLQDEEENEEDEDEEGE GGEEDENEEGSDKDELEVEESQKMKMKLRMKMKMKIKIILMKMIIILKKQEMDYLDPLIF FLNLKQMLQKLRINTTLKHFIIKISQLQSFQSKIKLMFWSMSLNKNVWLYKRQQLSYINC SLIQEQTLIHQSQRESNLENKKRTNKRIQIILILMREVILQLILFSSLIIALSFTEAAQQ NIYPIHLFANSCNMNQVCGRENENSQTFLEYVISKIDVTVKDEELNTPTSQIACRYNYTL DGILDILISNGGSVNNLNEEDVVPIQNWVRQGNVKVVGIFLTKFKADPNFPDKSKRTALH HAINSSNSEADASFEMEHLLIKNGANTNALDIYKRTPLFYAFTKMTYDNDFREIDPFETV SSVLADKHCSVDTVDIHQRSPLHYAAMRGSVISGRYMIKMKAPIDVPDKYGNTPLALAFL CGHSNFCTMLIDNKADVNRYATVVDYEKIRREERKKIKERIERGEAIEQEDEFASDEEEE ENEDQGQGEEEDDNGLYGGARTKQTARKSYGGKAPIKRMAAVAARPYYGNHQQGKTQKRV KVYLLQSTKFPVGTYSYFKLAIKQGWQGLAYLLISDGYDLQRAIEDAIMEEQFKLVRTLL MKVKDDEIVQKQNKNKQNLFHIFSIKGRQCTDEISLMIADELASRQVDRNAKDDQNNTPL HYAAQNDFFGMIQYLLQSDSDPNCYNNDQNTPFSIRLQEKYKALVSDVELQHWKDKKTNL NVKFKVKGKDYQITPILYLIQEYHLEDVIILNKFTDAGCDINEKTENGETSLMLAIKINS LKLVNYILNHPQFKVALHAQDSQNRTPIHYVVQPLEFGSYENIEMLQILSKQFDINQADK LGRTPLDYANDQDSGTMAEVLKKLNAKEGKKQKQPRLPTSVISQAQWVEEEIDVEADAQK FLDQNGEQLDDSKQDTRKIVDSRATSSGKVEVWIDKESGPYSLQMTKVDIGNGIYSENVF YKMQLLHEINRNVFILFTRWGRIGTGGQHQLTPFENAEEAIKEFNKIFHSKTGGNDWKKV SSGEEPFEKKPGKYQLINFKNVKNYKTLLNPFDFSKKSPYQQCNLEKAIRRFMLQFVQVK LYNKDLQQFHIDLDSMPIERLDRKQLEAAKAILNELTDCVEDLQKLRQTGDLDIKKIQNI FNEICDKSSRFYEFIPNLFPPLDSVEAINQKLLLIETLLNFEITSKILLGAHLVKQTINP LTYCFNALNVRVVTLPKEHPEFKLIVQYINQSQQAKVSNIFAVERRGEAERFEHNKQHNR MLLWHGSKISNFMGILAQGLRVAPPWAFNTGTMFGKGIYFADMFQKSFGYTEDWSLYYNT YNGLFQQNGYYDRSNNAKKDEQDEIQRYRYMLLCEVAVGKTLNQYNPEYITNLDKQYQSV KGCGRRGPDYNQSVILSNGCKVPVGQCIDYPQPKKKDKEGNPVRFQLQHNEYIVYDETKV KVRYMVQLDTKDTIDEY >CAK63632 pep:novel supercontig:GCA_000165425.1:CT868027:159716:160781:-1 gene:GSPATT00033485001 transcript:CAK63632 MSSQRGNSKQLFWFQQRSPSINKSIRKQNKDSDSSSSEKRYGKFWKANEDSLLIELHRKY NGNWRQISEEIPGRNLSQCQQRWKRINPNKTKLRKQWSDEEDKKVFELVQQYGRNWKLIE GFMEGRSSKQIRERFLNNLDPEINRQKFTAQEDKIILEQYRIYGPKWSEIAKMLDRRPEN QVKNRFYSYIKRVSMLEEKSDDEDNDQESSISEQPHVPPPIQIYQPLETIQSIKEEHDNT KNDSLKNESFINIQNRTPSNRIQPSINLIQGLDSFDSKQFDGIHSLDISPFHQRRILNEY SPINFEYANHHPTFDNIQEDVKELRSQIECISLEKIN >CAK63633 pep:novel supercontig:GCA_000165425.1:CT868027:160875:161777:-1 gene:GSPATT00033486001 transcript:CAK63633 MQNIKQRKPYLQVHFQPLTERLERKKQAQMKNVLLRNVHAPRVKRSFSLPHNQRFLYRFE TQPKNVHPSRKETNEDLQTREQSPQKRGSLATNAKQSQKLIEVKEKQQRKSCDCSLCGKG TIFQRDSMKEGVFIIQQIKEQEALANKFQRTQKKQHEKLYPQYMNMKSIQIEEGGEPNND FIINSFEHLEDIAPQTCSNQAKCKSSFFDSFLIKQQQIVVDQRRKFSNHRKIFDKYRSIP FLPNDFQKVLTPRTPATMTTTLAPSPRKIKANVQMYLKPLKKPQKQHNRLLTLPEFRQII >CAK63634 pep:novel supercontig:GCA_000165425.1:CT868027:161790:162404:-1 gene:GSPATT00033487001 transcript:CAK63634 MDNNNKLQITISAFGKFGNVVTNPTSVIVSEFTEEFKTKYNIIRSEVLEVSTVACEQYVN NLPDHTLNIHFGVYDGSQVFNIEQCGYNLKDFGIPDMKGYLAHNECIEKDCEKDHCRQTK LNTELLAEKLGNKFPVEESTDPGRYICNFIYYCSLLKSHGCPKSACLFVHFPAFRTIPKE IQVNFVENLLKTLHELHQSGHLYK >CAK63635 pep:novel supercontig:GCA_000165425.1:CT868027:163164:164472:-1 gene:GSPATT00033488001 transcript:CAK63635 MSKKIKYLERTQRDLVHSKFIIDQRYTQTLKPQISDWFKKMKPLPGLSRIFQDYNKRYFT LNLLEITLYYSNKERYSLNDITYIPFEEVQSVSDKPHYALSNQGVEWKEAFGFTIYLTDK YIVLVAQSNEIYMLWLNYFEAIRYRSNGKSILQRQRTPYYSNLFAINLADLKNTQFSSQE LKLKKEQSYPETKDLDKPIYQSKEDKQLAQTPNNEDITQPQQSGNQGSNLQLDELKNQEY CINSQNLSQEQNQIEIQEKESSSDHQKIENNKLIIEKNIELKAVNMDFLEQETSQIIFKG EQNEQIIQLSMYDQILQKEDEEKLSYLPLPKRLDKIKKKPSLNSHQQPIKYAQKKTITNI WGASENDANDGVNHFGNIKKIDQAPKQIQSLFLNIQDAHDQIESDQVDQPELLVKFDELD SSRWAEQQ >CAK63636 pep:novel supercontig:GCA_000165425.1:CT868027:164567:165928:1 gene:GSPATT00033489001 transcript:CAK63636 MKIYKSFAFDQNIPQTDYEKYINPDDLLNESFEELKGEFKNYRTKKGRDIIKQEMEMRKQ LQMKRKKNQSGLVVQQKKHEDQDNQKDMLGNIGLLIRQQERKCKQIKKEVQSPNFGQDYT TMKQKIQKTDVTKQPIFSQEDFAKLIQREDEDELIQTQTRTMHKPREGFKSRFIEKQIPM YKNMEQSSEIDSKKQMDSKILTKSNKTIKQFGLQQSRESPTNAMFEGEAKRISKSYLYKT WLKGEEAQNKKFKKPRRTESYHKAAAQREEIKSQLKERAQVAFKRYESMGKTWEKFGVFS QDILDFRMQTLKEAKIQRLLLEQQEHDLKKVESNEQRDPDMLTRLVKVITKPNSDNKEEV KTNFEYHEQRLKDMRTRTRQIQRAGF >CAK63637 pep:novel supercontig:GCA_000165425.1:CT868027:166183:166818:1 gene:GSPATT00033490001 transcript:CAK63637 MIIQVGHLNGEVKTYNLADQSTAAELMNVLNSELACQVQLVQSGELVNASSILAGERIYY VTVDAEGGKKKKKKKKNFAKPKKKKHRHRKVKLATLKLYNVDNKGVVQRSHKQCPQCPQG VYMAKHFDRHYCGTCHQTFRMDEATIKANLEAIKKQQALKAAQAAAAAPAGGAADKAAGG KKGKKK >CAK63638 pep:novel supercontig:GCA_000165425.1:CT868027:166819:167573:-1 gene:GSPATT00033491001 transcript:CAK63638 MYYIILSKSPFLYFLRKMMSYYWYPSTLQKLIIYANLVFRRILFRQFRKLYTKLYFKENQ LKPSLVRLLIIKLKNIVVATFDLKSTSPKLLSELLKTKQVQQFRRILNEEYKSNIFINLL FIKIHFLKISRQNQSFKNLRVLSISRRFIMQLYSLQFSYKQIIYISKIELIMVIDQLFYR FKMLRVKLQYLNEINQYYNPLNSLKKLKLFLAQLYKKQENKDENL >CAK63639 pep:novel supercontig:GCA_000165425.1:CT868027:167592:168577:1 gene:GSPATT00033492001 transcript:CAK63639 MNLINQCQQSNISCICYSILVGYATIITLIAFKAINRMIQSSTSIQELTPMILCIVQSLL HIIQYAVTENNTIQIITLYFQILIFTSISFLFAKLCFQVKTGTLILRSFKLFKILQFIFY GIILVFQVFALILSNKDSCDKYYYLSIILIIVQQISSTIITAYFGINLLKKLQRVSQYIQ FKTMNPKAVYDSYVKMSLCNPSATIIEPRELIQTNKQIKIVLWLMLTTIILYISFILIAI LVFKKVTCEHSIDKNNYNILVVVYGIIQMTPCLVIPYAFGFIPQVSAQGQDYDDSIIILD NSQAKSKDSIQI >CAK63640 pep:novel supercontig:GCA_000165425.1:CT868027:169497:170855:1 gene:GSPATT00033493001 transcript:CAK63640 MNKNDSKGDIFQRQQIQSIIELLQKLISILKNFNENSINELLKFIFLVSKEIPELEQLNV EMDEEDQYQMFQELNLTDNEIIQLNFSKYELLQIVEHVEEKYKQTKEQLEQILPQFEKAQ ESLKLSIIAKEEENKMLNKQIEELVAEIDRLSIINTDQQTILNQISEQHQCNPDHSQLLQ YLQRQHSVIQEQEHELEELRKIFAKKQLNNIFQDKENYTNVQTLESRIFIYSEKLKELNQ LVQTLQKDLVKLEIQKSLVEKKLEETTQQKDSEIQKLKLEIDDIQLQNQKLQSEINTRSK VLSIDSQSSQHLLAQELQESHVKIQNYEKNTDGMQTNAAYLSQIEEHFLAEKEQYHQIIY NLMADQKKQEKLYNDLQKENNQIQQNYQHLLKIKYETGNLTPKIKKLELQNELLKKIVDG FIQTNSQS >CAK63641 pep:novel supercontig:GCA_000165425.1:CT868027:171104:172058:1 gene:GSPATT00033494001 transcript:CAK63641 MIQQFKIKGTKSTYIIYDKILGKGAYGIVLLAKTLNSGKQFDAKIINKKSLSPTDIVNLR NEINIQSKLSHANIVSMVDACEDNDYLYMLLEYCNGGCLFTNMQLNGPLNEEKAYKYFVQ IVQAVQYLHSNKILHRDIKLSNLLLDKEDQIKLADFTWSTSLSLGYSSPQICGTTEEMPP EVIKKGFQNQKLDIWSLGIVLYEKLHNDLPKNGQFFLKQGISEECKQLMKQMLEVDMAKR PSAEEILSSPWIQKFQRNNRLIINTCRSYSGFKDKMGSPNKLFKQVLQWLLL >CAK63642 pep:novel supercontig:GCA_000165425.1:CT868027:173139:174070:1 gene:GSPATT00033495001 transcript:CAK63642 MQMGNKVFVFTQQDFQKCFVVNLNPPLGQGHDARVYLAMHKKTGEISYHKSLANEIQILK RIDNPGTVLLKGHSEDYTCVLLEYMPNETFLKILKKGPFPFPLAKTLSGYLIKVLGTLHT DGIAHCDIKPENILIAADYNLKLCDFGFARVSNQNLRPAGGTPGYTAPELYVNETINFFK CDIFALGVVLFIIAMGFPPFQTNDPNSRDGWWALIYNKQYELFWSKCEGFRQQQFPKEFK TMIMSMLEIDPDKRISLDKLLEHEFLVDGATEEEVLMEIQKRVKE >CAK63643 pep:novel supercontig:GCA_000165425.1:CT868027:174344:174556:1 gene:GSPATT00033496001 transcript:CAK63643 MQRLLKPITISQPILQRIENTRSDCSSDEDLSFIETLRAEAQNPMIKNFQGMECLVDDTK DIEEFLNSLD >CAK63644 pep:novel supercontig:GCA_000165425.1:CT868027:175164:176125:-1 gene:GSPATT00033497001 transcript:CAK63644 MAERKVLVKYYPPDFDPKLLPNNHRPKGKQDNVRNMLPMTVKCNHCGNYLYIGTKFNMRK ETVWTENYLGILIHRFYFKCTYCYAEITFKTDPRNHDYIVEGGGTRNYDPYRDAKAAEEV LKQMRANEEQGDSMKFLENKTHDSKKEMDILDAIDDTHQLNRRQTQFTPDMLLKQLFYDL DALELQEEWEKQKEFKDQFKVKRVSDVDDKEDKTMHSVTQHLKQVVIQQQPKQVQQQFVK PKFVKKQVCCEKDGEKVGVSKEEEQDEINIKQEIQTQTLSLVDDDYSD >CAK63645 pep:novel supercontig:GCA_000165425.1:CT868027:176144:177488:1 gene:GSPATT00033498001 transcript:CAK63645 MMEIQKITKSIQSDSTLHKSNQNKIINSSKQNNEEGQKDFLKLQAILESKTKIDKTQKSN PSQKPKSQGIPELKVKDPIKNNPNNSVITKKHDEQKKQTKELVVKQKEGEKHHVKQEIGK FDKVQKQIKTNPSTSAQQAPKQKEVKDNSYQPLKIHKPMIKDKVVQKDDDEAKVQQNEII IQKKNNQNNDKQVSIKKDNLDETKKPQPVLVANQQSQKQQIKKDLGEEKLMQLLLSSSNK NTKKSKNKEKEKQEQIKSKESKGQNPQFNSSINRDKIKELQSQKSKPFSIKPQIKDLSIQ KLSQQKNYINDDYDLDDSFINDSESVDVEIDPKEVVTELKKLQRKKEGRKQGDLDDDIEE AGFDVMLKEEKKSRVLGIIDDYREEKYIKKEIKKEKQQKKKLMEMKNKQENKKEEDAQ >CAK63646 pep:novel supercontig:GCA_000165425.1:CT868027:177509:179623:-1 gene:GSPATT00033499001 transcript:CAK63646 MLSNYCKQCGNRHEDQECAVNSAVIEWKYEGNLVTLYGSWSHFQVGYPMVKSKQPPYFLQ AEINPPLPPGYHQYKFNVDGLWKHDPNADVIYNNFGTYNNWLEVVPRKLIQVDSSDDQEP NTDEDVQFRQNYKQDLMKVKVRTYLDWNEMFVMGSWDEWKQPIKLNRKFLGFAKKYINYA YLHLAPGSYQYKFLIAGQYVYDETLPTVDNNYQSKNNILHVNRKQLHYHPQNYDNVYFTQ YQIQKKYERIHGLTMTGIGNEFYIFGGRGTGHNFKNDLHILNPRTKELRVVEDTKGPIPD PRAFHNAIKYGNKIIYYGGLNSDKVFDDYYVYNTTSKTWIQSKPKGQLPSPREKASLTLL SNYQSLIYFGGYYCSHDLEVQKTYNDIYCLDLTTMMWTHYDLDEHALKPPPRSAHSATQI KDKLYIFGGQSLPEGHYTPNFNDLWVLDFSKEASWANLTPVMKGEPPSPRHGHLGSALGG HLFIYGGRGEHSSDILGDLYHFNPETLGWTKPKIHGTIPIPRCYCAADTMGSGNELWIIG GHKGNMIFNQQQQFYSFISLEIRLIDDDDIFGSPKVESRKPEFVMDIIKAITK >CAK63647 pep:novel supercontig:GCA_000165425.1:CT868027:179790:180530:1 gene:GSPATT00033500001 transcript:CAK63647 MDKKFIIVSLEGNVGAGKSTLFEILRQEFPKAIFLMEPLEQWQNVHGNPNLNILEKYYSD IQRWGFTFQIYAYQSRLMAWDKQLRAVVKEQKLQQIDNQFSSPSTNADDEPILVFTERSI ESARELFFKLCYNDGTINELEYHIYEEFYEWLMEHYKQYLVDCVIYVNTPPETCLERLTR RGRQEEACVPLDYLKKLHQRHEDWLSDNTNKFKIINIDATKNYVKDLDIKEAVRRQLIDE ISTLID >CAK63648 pep:novel supercontig:GCA_000165425.1:CT868027:180576:182886:1 gene:GSPATT00033501001 transcript:CAK63648 MKKIISENLSPEEAKTLWKYLDDACYLIAEHQTKQLSFAELYQHAYKLILNKFGDFAYSQ LQISIHNVVDKLISPLNISNDDQLLYDFVQAFEEVQHFSKLLAGILLYMEKSYILQKSLK TIKTICNESFKIKCFEKDQNLANKLLNCFLSQIRNDRNSQFIEHFKLKKALQILIDLNQE QQIKNKEYNYTIDYRINDDFYKHFLERKLIQDSRSYFKEESQSNLNKMTIEEYILFVEKR YLDEVDRVQHYIPKISHQKVLDCFIKIYITSNAQHISQGLYDFIENEKTAIIIIIFQLFV KSEEFDLFVKTFSQIIIDDLQILNQNANTLQGFIKLYEKIFSLYDKIAQYGNNEHQYKFH KAIKNAFEQVINKDNFIMMELNIYFDFLMKKETLRDEEKKLQIDKGFLIFKLVQSKDEFE QIYRKHLCVRLLDQTYSSLEVEKDLLKKLRLECGSVLTHKMETMFSDLERSSEDSQKFRQ KLSQNQRDSIDLDILVLTSEQWPITDSQPIIIHTELLLWQQQFTQYYQSKNSKRKLSFNY GLGSVSLKATFDQNSKKDFVCSVFQATILMHFNKQRVYKVDELIKLTNSDKEIMQYELEN LLQFKLLIQNEEENSLQLNQKFQNRSYKIKVQPKKQQSMALCSKQKVKCQELQLDSKEIA LDRRFSTTKKQLDHKDLFKYIDKDAKTRHFPVEIPFFKECIENLIQKEYLIRQEGQLDTY IYKA >CAK63649 pep:novel supercontig:GCA_000165425.1:CT868027:183111:183841:-1 gene:GSPATT00033502001 transcript:CAK63649 MLKIEEYVCNLKESNTLFNDALRIDTHQSLEAYDNTQFQNPIYNIVPLEYFQSVTENKYS NIFSSCVQSLKNEELQSTIKQISKKRTFSFAESQQLNKDAACNISADFGQKTQQPAQMDL KSQSKFSYASNLQNIETPNKDKWQLQDSMLVLNNSIPQSKFSSPEKKNEKVQKFKTKNSS FNVEKNELTQTTQVSKIICKSNCKEFESIFKECQHNFEKNKARKSDEYIACNIQ >CAK63650 pep:novel supercontig:GCA_000165425.1:CT868027:183931:184719:1 gene:GSPATT00033503001 transcript:CAK63650 MTSIKAVLIIRVNDHQLLFSKSYNKKNIDQDYQIILEHMSQINPRAEERVTLKTANGVWR YKLDENKIAYALLATESYPDRQINAMIRVRYSNQFKEIEKELKKMPDYVDALPNDVEKYA KIWMKQLHEKYDNLAGVDKVYAAQQKVEEVQIVMEDNIHKMIDHGQQLENLDEKAENLKN QSKQFQQQSHELAKIMYWRNMKLKILIGLIVLAVLLYIIVPLAINASN >CAK63651 pep:novel supercontig:GCA_000165425.1:CT868027:185234:186911:-1 gene:GSPATT00033504001 transcript:CAK63651 MEININVGTYDGKLLGFSLDDQFSSNNTLYSFPASTSLIKTTYQNGRYLFIGGSEELIKV YDVRRRVEVTLLEQHNGTITQIAGHTNFLFTAAEDGKVNLWRNKQWAILNTFQCGSPVIC IAIHESGKILACATKDQKLHLYNLMNLKRIALKKFHFNIDKIHFISKEEEIQYLLFQSDR KCYIVDCETNKVAHTIDFTAQITDSILNQDSLILSDANGMVYMIKLTVDTQLQSKIIVKF MAHQKRIKQLQLFDLNDQTYLASISSDGDIKIWDCLLYANEQFDDIDLKNKLKPIYVIRT NQRLTCFCVSVVQRRQADQEQEQTQVEKPNRVPIAKQIKKQLVNRKPKQFNQNARVQNVQ KKIQKKKPQYQVIKMNPILQSVLNSPQYSRTIPKKEYQFANIYRVPTKYKATSWKPYSAR DKSEDNLIEQLRPKIRIRLPSLQHQDSSGSHQQTFSKESEKEKKIEITTKVMYYLSRMTG PENASKSKKYREKEIQSRLMPGVNSYDGLYDEMYEDDDI >CAK63652 pep:novel supercontig:GCA_000165425.1:CT868027:187444:190665:1 gene:GSPATT00033505001 transcript:CAK63652 MDTRQSEHSRGKSQTQIKMRNPFELLIKIIRLPNVCNKCGHITRMKEISDILEQFDTMTS QQIDTINEKLIQKSNQILAARQQLVKDTKNELQQSLQQLIQVRQSMGSSFNFEDKEKCLE RVCEVIINNTIFRTYYAMSKEQIEIQNSLSELNSIIQEQYQKFHQFPNEITEMNKLEYHD NLEIRERLEGALRINQSHKDILKEILIKIGEENKSYTFDIQLQFRQQQLEMLNLLQCNQE QIKEIERIEDELNDKKLELQKYAILFSQFENPFKSNKVSSEESSASNFQSIQKDIIQPPS SIQQSRNVFQDIKNIQSKRFSQPSINENNNMCTFQSEQFYSIDQSHGNLNQESSQKSSQN NSKQIENSVVINQRYGNSISNKFNNSKATSLISQINSQQNNIPIQIVANSTKKIRNSIDT NPMMSQESFDNENENENDENSPKITPTSKTFTFIKVPHPKPYRARQLGAVIENFILLIIF MENSYDRSHQQISLILKFQLSIQLIKNLFFYYVQLEGQVLNQRQNIFHKLRQHEVFIKKF NQKDNPSDSKVLSEQKHLYDSDQDDIVVMKHLYENNHDNIFYMLMEMYNSQGRQIYLYSN GKATDNQFKMNKLKQIINMFLISEQIMYFLKQIIKGYRHLMNFGIFHRYLDPKNIYYVGN NKDIIYKIGGFGHSKFLDDQDSLGEECTKQQNWGYYQAPEQQEIKYSYKSDLFSLGLILH EMATKQQLKSKKELETKKLDLCDLNIDDFLKNLLLQMIVFKPQQRLSWSQLIIRMFYKSI KLEHQNEITISLERKQNCFIKIIKKPIKNYEICLEERAKSEMEINRVLQRNENKNIVKIY DLLHEPDSSEIYIIMEKCEGSLADLLEKKKFNIKEILDLVSQINDGYEFLQQEKIMHRDI KPENILYKTVEKQIEYKIADFGISCKAEQAYSKCGTTPYKAPEVKGDFQYYNKCDIYSLG ILICYVAQKKYPFDPNKLSSFFVLLRDKKTIEHCYPSDTNDSL >CAK63653 pep:novel supercontig:GCA_000165425.1:CT868027:191424:193126:1 gene:GSPATT00033506001 transcript:CAK63653 MSDNQLTDLLLYYAYVEQEIEILREVLCSEPYFQPYNLFKFIDCLKDEPKGYLTAQDLSY YLSDIQFLSNLRTKTYIENYNQNQDGKLVYSEFLRTILPISNPDLREKITQQTPSESIII SERTQYLFAKLMEAEIKLTIQAENYKQQIDPNFFDKICYQNYIYHQDLQSYFKHKYISTN SAEIQQIFNRIDLLNDGKIDRNEWNLWVSARKSVLSINSNSYKQQQKFNNTRYSSTKRKQ QDSTMSDLFAISKQGFYQSTKPPLTNFSYNTPKKYQKQKTDLRAQMHYSLLQNSNSKQEQ SYKTIPHKQITRFYDDDIQTLKKSQSAAKFEALEPVNYYVQLFLNLIQLVKKIERQKILL SNHDDFSLYSSFQKLDKGFKGILIKSDLNSFCKYPQLILDRYGKDNKIRFSEYIKMVEPK DPQAVEILLQKDQKQKGNMLTQTELSLRLLFQLIEEFQQKINQTKDYQSKQQFDISEIFY MLAYDRQYITNQDITDFLQANQFSTASQDVDLLIYELDFDSDGYISYRDFVKIFGK >CAK63654 pep:novel supercontig:GCA_000165425.1:CT868027:193156:194471:1 gene:GSPATT00033507001 transcript:CAK63654 MIEDMLQSRIRSRHTQSLHTKQQQLSRQQGSWNTLKMLSESLQQQQQSQKLNQLNLQRTE SRFQIIQPKHIQYIGLISNSIKTLNTSASPGKYSESPLKGRIKQSRILKTPLHHSRISPE NRLIFKQRLNKIDESIQYIQDRHNQLNGINSNSYKKMCEMINKTEYMSVDQSQNVQIPLI KLIKPEPTENNENSANLVQKLATSSMQWSDSKKKQRSKLEQSVYYKTNKWQKAKFLILQN FSSRRNSTLYSKKYMITQLKIPYLRHNSKQMFMALKQKNYHQLLKYLSLNKSFIHEVDNS LMTPLHISCQQGLYDITKMLLRFKADVNSLDKNKKSPLYYALCNGHTEVVKMLLIHDAFP YSDTNCNYSQFFPNKVMKELFRVAKRILTLMLICPKNKRNKMKEFLEINFLNEYTLPKNL LA >CAK63655 pep:novel supercontig:GCA_000165425.1:CT868027:194527:195642:-1 gene:GSPATT00033508001 transcript:CAK63655 MFKPPLPNQRLSSKALDQPMIHVRSIGSSQSNRLNRTSSGSRHRPASGQRSISFSKTDLH SKYGVPLVTAQNFIVMNGNDGQNLAVIQLNNQESFNSKKRVQIASLTKLMTCYVAIQLIR DLKINIYQIMYVSYDVYILTFKAVQISGTSAFLRAYDRITLYDLLHGLMLPSGNDAANVI AENLSSFVKDPVSNIALQYRIQPFISLMNQYALLLDMNDTQFYNPHGKPTSIFSGLSNDQ SYSCAEDLATLCKQIINDPLLMDIVNKKEHQATIQRNNKLIKLTWENTNKMLEFEGYCGF KTGFTNKAGPCLATLFKKDSICIIIIILNCLSKEVRWDDTIKLSNWAQRKLNLN >CAK63656 pep:novel supercontig:GCA_000165425.1:CT868027:195982:196974:-1 gene:GSPATT00033509001 transcript:CAK63656 MANLINCANGYVCFEGLVEFLMSLFYLFYLIISYRILTRDKSGVLVDVDKYLCSAALAQT LLQTVYFLYFGNKLQPISRKDNDILLSTIRCMGIAMQIMICNILGSVIADEEQTPQVWQL ARGLLVVTLLLWVWFGIFHRGALDYDCVQVDYLILSGFGLFLACGSFYMGNLALEGMQEY KNTLDVARSGAIQVQQHALQYKQVTMRMTQITLMHYCGLLQFGMQFAFDLFTYLKCDTSS GCTEYYNATSFLSVLLLTIFKLISFTTIPATIFWIFYEMNKNKFQDDDQNAIEMKITQ >CAK63657 pep:novel supercontig:GCA_000165425.1:CT868027:197691:198938:-1 gene:GSPATT00033510001 transcript:CAK63657 MQFEYFIDQRIANQVEQLKRRKDKATSQDQNTKQDDDEDDFDGYVPFTDIEHLQEYKQVR VGFEGKYLSEWKGALYYFVIKYNNYPISPVRIEFPKEFRHQYIIQNTGVYCHPDWSEKYW KKSMTLDQIINRVISTFHEIPTYSDYPVDLSFVQLSLNKDEYQQVIKENKNYATNYTMKI NEERSYYEQKRKQNELIKQKKEQEKKEYEKKEQEKKAQEKKAQEKEKELKQKTSEEHQKI QQQQQDYQQQINNKNQNQMPQQYDQNQLINNRIVGNQVPHQPINQMHTQPQLIQDQQRVN QGANQYFQQQPSNTQSFNSGQLNYEQNMQNKSFPGYNQNMQNQQFINNQQNFFYNQQNQN AQNQQIQPQQQQQMPQANNMHFQNQHQPQMQVGKIKILNHDQEKNQ >CAK63658 pep:novel supercontig:GCA_000165425.1:CT868027:198958:200446:-1 gene:GSPATT00033511001 transcript:CAK63658 MDDFYQNFLNFFSEVKGVKNVRFNCLREEFTLNNDTFPDDQEVLKIQQANKMNIQSSPNK PKKNWTDEDKKVLIWLVGKWVTQNKKDVELLSDSDWNTIASMMPRRDTFSCKQKWLQIFK LPLQQAPWTTTEDNILQTIIQDFESQNKGNQWSQMAAILNKVNNQQVHRNGKQCRERWNN HLNPNINRNPWQLSEDLDLMSQAKKLGKKWALISKKLKVARSENNVKNRFNCLIRKERNN KSGQNFIMIRKKKDKDEIHSEKSSISNLLSSEELSLEEIKLINIIIKKIEYRINQTENLR QKKEPLDQIVEEQTNSLKKVQKEQPREFYKEFKEKIQQLQNMKSLQINVKDSELKDDELT TLMPCLIHQEGNQIYFATPEQFSAYLNQSSETNQLGMASENYFSINGQGSFYFDQKVCRS NAMLQSREYYQGQHGVFNQRSFVYQSHAGNCCSVNSLPYSIVLSPYPSHAILTNQLQK >CAK63659 pep:novel supercontig:GCA_000165425.1:CT868027:200569:201189:-1 gene:GSPATT00033512001 transcript:CAK63659 MEQIKNMKVLEQLGNNCYRILIQNQQAQLDVISKRMVLANKSYLQLRKWIEIQYHINHPN VQKLYFYCHDSDHVYVVREYMPKGSLLNWIKYGDIDEELLNSIANQLFSVQQYLNENQLY LDLLIENIFFDENNRIKISNLEEIEHKSKKVNNTQQIGLILYECIFKRKCRFDYVMQNDQ VIRQPRVLITQEIKDSVLKLLQEYIK >CAK63660 pep:novel supercontig:GCA_000165425.1:CT868027:201215:202798:1 gene:GSPATT00033513001 transcript:CAK63660 MQNIIQHGSDEETEFIFDSNTMQLNDSKSKISKANDEIKQLNDQNKELATQLNKQNELLD LKHKQNQQLQVDGMLDVQNFLVIKLKSQLDERELKLLQSMKEKQSLQNELICFHDIMDQT TQRNDQLNSEVFALRLTMEQLQCKYLVITHLETTTMCKEDSNQILQRELKLKENIEAQTK TIKQYEETVRKITNELNQKQAQFKIDNQNKDNIISDLKRKHDLQLQQNTEIISELIQFKN QNLQNNFMNKDYKPELTKMTKEIEEYKIQIKGQNHQIKLSNDQILALQNQIQKLEQSIGT LLTDIQQTKQKLKEKESELQNKLGENMQTIEKLNIQISQLNNQLQLFKNQDQKINLVRSI SQPSDERYLKELQQKNDYIAMLQNENNQLVKLIETLQSQKSDNQGSEVLKVRQLESELKN LQSFIYDSPLVVLFNLLEDRLSKQQQKSFENKKKIQEFWSNQLKQWAEGFEELKKAFNGL KIQFKYDLKYPIQGQQQNE >CAK63661 pep:novel supercontig:GCA_000165425.1:CT868027:202864:204163:-1 gene:GSPATT00033514001 transcript:CAK63661 METAKKLKLKSSRFFEKEMSSKAQELIEEIKLKDRSHLRLREWYKRNHYEKSLKELRKQY EKYFTIAKVDGRTFTSEQFVNQFEIPDIPCIITNTTDDWNVEKYWTFEKLYQLYKETSFK IGEDDKGRKLRLPFKYFLEYLVYNKDDSPLYLFESSVEDMKDGGADMVGRYKYHKYFQED FFSVVGEKHRPPYRWFLVGPKRSGTTVHIDPLMTSAWNTSLQGHKLWVLFPPDIPKSIVK AKGLAAKKEIDPEVLDESIDYFLYALPKLIEKEGADNLKIVMCVQGPGDTIFVPGGWWHA VLNLDNSVALTQNFMSINNFDKIWRSVRDERPKFSQRLLDAFKEKRSDLYERALKLDDID KNEFGVLKDANPLSSDDSSGSSSSSSSSSSSSSSSSDDDD >CAK63662 pep:novel supercontig:GCA_000165425.1:CT868027:204870:210216:1 gene:GSPATT00033515001 transcript:CAK63662 MRQSGADFTYSTAPIAKVRRVEFGLMSHELIKEWTGDIEIKELQTNELDGTPKQNGLNDL RMGVNTNAQKCKTCGETKYCQGHFGRIELNKPVYHVGFLQIVKKVLKCICHSCGKLRQPQ SEDAWQSFQKAKEHRLNRKRLNEIVKLLGRIEKCETSKHKQEENQGNDDFCGEKIPTRIQ AINGQIKIQYNTKEAAKELTAERCLEIFKKIRDEDAIILGFTKDSRPRDLIIKFLLVMPP QVRPAIEMNPARIAQDQYTQIYKSILQKNNEIANCSSDAERVRLAPELMREVAKIIDSEK AGKIKMKSTQPLKSIRARLKGKEGRFRQNLMGKRVDFCARSVISPDANLGMDELGVPQIV ADQLTIPEEVTEYNLERVIQLAKTNKIKYVIVPITDPRSKQRKFQALYFDFTDTEDQIRQ KINQGVIVERCLQDGDFVLFNRQPTLHRMSMMGHRVRILPYSTFRLNLSVCTPYNADFDG DEMNMHVPQSYETIAELKYLAHVPRQIVTPKSNQPVMGIVQDSLLGCCLFTQRDTFLTRD QVMHLMMWNEQFTGELPMPAILKPQELWTGKQIMSMIIPQSINTERGIREDDLRKPNWNA DDKSLCIQRGSLVSGIFNKELVGQGAGSVVHLCWLDLGAEKTLEFMTSCQRIVNNWLIMH SFTVGCQDIAPHINLVAETEKRSKEQDEEYIKLLQIFLDAKKIQDNRYHQKGKRIMDSFE YSFNMKLNKVRDDINSKVTETIDQIRNCMYKMIWAKSKGEASNLAQITSLVGQQNLESKR IQFGFAYRTLPHFSKFDYGPEARGFVASNFFKGLKPTEFFFHTMGGRDGLIDTAVKTSRT GYIQRKLIKAVEDVFVRYDSSCRDSVGAVYQFHYGEDKMAAEFIEHQEIKCVNLSNAQLE EKYELIKEQWFGSEIRQKYQNILTEDVIKDIEEDFEGQALLKGEFDEIKKIRNELRRLFL IDSAPKEELFTHYYLVVNIERIISTIKINKKISDREKCRLNPIYVTQQVDELIQKVDKLL SYDLEERKDCINLFRTHLKVSLASKDLCCRHRLTPEAFQELISEIIYKLKKSMAHPGEAV GAIAAQSLGEPTTQMTLNTFHKSGVTGDKNVTLGVPRLQELLDASKKTKTPSLTIYFDPP LEYAELELKDDKDKKSYLKTEQLVHPHKQDDFTQSMLVQMQGRILGQTFGQYIIKSEIYY QSDPNNPLHITEDQEDNFTDGIFDQDEAKYKWILFLHMDHQKILSNLETWDKIRDSIPKI LDETQVKFGISSQNTIVDPDSMNHKYIQIKYFHDYADQKKFKPERGKGNFDDEIAFDEEN KTKTYVDTPYTILKRLEETIRGYSLGGISKITRILHSQIEKQFYINNKTGGFLKQANVDG KGKWKIIEKYLETEGTNLKEILRLEHVDQRRTTTDDVYEISQVLGIEAARAALVGETRKI FNHYGIYINYRHLYLLYDWMTHRGRLTAVNRNGINRIPEVSVLRKSSFEETVEILYDAAV FSEIDHMRGLSENIIFGQLCPHGTGCFELMVNAKNVKEFKLKSSHADKFTQGGEYLAEQS PYDQNQQTPLMLNTPGPGVSQGFIENSPYTPYHKSPANFATPFGREYTPNSSHCSPFYPN TPLMPNDPYQLSPVGSDSGIQQSVQKQANVSDSHSPGSPHYTSHTNSPSPSYRSSERATS GQRSSSPILNSSRSPNYTSSVYNSPLSPTNTGSPRVPTGSPHSPQGSIFTTYSPVYQPGG GTGNQYEQEQ >CAK63663 pep:novel supercontig:GCA_000165425.1:CT868027:210447:212139:1 gene:GSPATT00033516001 transcript:CAK63663 MGNKQHQAKLRNSNISMNSLGRSVSTAPTNESGLIRFTTDGSLSSSMFGGVEFYFNRMPN TVIDGICQFLTINDLTNLYCTSRFVYERFYTSLPYSIACGNLLYKQYEDRRHIAQQAVQT KHASWPQVLQVFMENKKQMQILHINLRQSLQGAYQLHIIYPPLLMDEITKEGLNSDFQRQ IMQKLQDCQECHTITEIQIMNQTRAYFKKSSLQKQQLLNTMLEIRTIRKFQVDQKLPQLF NILIILCSSIKSLLQIIALTLECAFNANDTVGLLDFYLYNYQMYLIWMQQVDEFATPYLK MFDIILNEQIKSYQSPPFTLQWIMMKMWNQCIYQKSKHFLRSIFLQLLQQVRLNPSLKYE LFLLKDYISQLIDLSTDQSNIRMAGHSKFTYIKDLETLFQVVISQSVDLWNSRDFDFKTD INVLGYVFQKYILENNLLYSLLEQKYEIIKASIETIKQSQEYQKRTMKYDNDNQILQEQA DYSFQLLNINKVYSKIKQIINGDFAMEQNVRLTINYQKNTLDRVQMYLREYHPDLYDSDF LISKY >CAK63664 pep:novel supercontig:GCA_000165425.1:CT868027:212495:213299:-1 gene:GSPATT00033517001 transcript:CAK63664 MKSKQYTLISIEGNIGSGKSTLLRLMQQKYPDLRFIAEPVNEWQSINGDPTLNLLGSFYE EPSRWAYTMQVYAFYSRLKHWKEVLSDPLNPEDRHCILSERSIEADKEIFAVNGHKNGMI NKLEFALYEKFYELALLMNCQMIIYLQVDPDVCFSRMQKRARDEEKNTISKEYLTQIHDR HEEWLLRETHQNTSILVLNGDKEFESDLVQQNKMFNAIDQFLQELL >CAK63665 pep:novel supercontig:GCA_000165425.1:CT868027:214081:217944:-1 gene:GSPATT00033518001 transcript:CAK63665 MFTDVSGFTNLTESLSKLGNEGPELTAFVINRYMELLVKAISQSGGDILKFAGDAMIVVW PPSYKTDIVQVHEDLRLTCRMALQNALDIQNKLNDTCILPDLKLSVKIGFGIGDINIIYV GGVYNRSEYLATGEPLLQAFQSEHCATKGGETIISKEVYEFVKDYFTFETINHDNKQFYL VKKLNAYSKVKLKAEATLIKNSIILNPKILQQLAMFVPAALKPYMEIGLERWGSELRRVT TMFINLSIDLSDAKTDSGLQRIQNVIRTVQYCVYTFEGSLNKLLMDDKGSTCIAVFGLPP VSHQNDPVRAIQSAFLLESELKKIKCKVAIGISTGIAYCGVVGTSGSRREYSVLGDCVNL AARLMQIATQPFSPCILIDQQSASDAQTKIQSIFWSERKVKGKEKGVLIYEPLCLQEDFK KIKDINTHLEFRVMNTQSMGSVTNLNTIVSSKSLQCHNNLSSTIVFDKYHINCREYDEEL QPVGRKQEINQIKDFIQKFLDEFKKNKYVQNRLVLIQGEYGIGKSFLAKTVLKNIESLQN KEALLEIMISSLNPSSRSKLLNSIRIFLRNIFLSYAQRLNKAANVELIQQIYECDSHLAN LISQVLSLTVNDIKANTIREDLTLAKSIKKFVYKLISLYLEQEQLQAQIQNEDQIQEYYS QALIILIDDMQDSDDGSLYLLKSILKNFKNILVVGCIRNQFKEFSFFDNNNHKSECQSEF LSKMIAKQTNKLTINLKGLKQDDQLSELFEKHFKIKSFICMEEDQKNQEQTMFARRKRTS SIDDIYEMVEILKKIRDPLMFWESKPELEEMDFNSLCINYLYVRTCGHPLKMIYLMKHLL DNHYIILDEQRNLGMASKKFRQLIKHEEWLDVDAPLMTVQINGPYIDKLTAVQQLILKQA CVIGDIFDIQTLNYVNPFKDFIRQKPLINELNALYELGILDIMNMEIENIYYRFSYSFFR ETIYQRMTYAQRRQAHKNVALALQKIPQPFDYHNNKEYTRMQYHWTQAQNRNSVIIDEGT LLPRLTLFEKKQLIIKKIKQILDQNRRNVLLKSGYINKRSEKGLQWNWRFCVISSEYLIV SQEDDNPEHILTIYLKHIQLIEREFNSEYFALTITTSQWSRGKQVFENYRKVYFALETED ELNEWITYLEFAKAYAIYMDFVNNFGRIQFPLSSNEYDFQLESELQRDNRRIARLGLIDT NIGFEKIQVRHTKATTSRATMQRKHKPRKSSLFAEGYRGSTSSSQQNTSLCKQIIQLIQN SRKDKIYQNQN >CAK63666 pep:novel supercontig:GCA_000165425.1:CT868027:217989:218090:-1 gene:GSPATT00033519001 transcript:CAK63666 MLTKKSLMSLMGYVPALVVQYFAQHEQYKIFDC >CAK63667 pep:novel supercontig:GCA_000165425.1:CT868027:218117:219947:-1 gene:GSPATT00033520001 transcript:CAK63667 MQQLKTKIKPPFQLDGVSVARRGKVFDYKAEKDIHLEQYHLNREVRQRMVKMKQDRMSQR NLSNQQSKSFEQTIHQQEQTQVLNQSSSPQHKTTSQVSNTQKVPDVSRSLSKEHFVTFLD QLIATVQNVQQLVITYFPQEQMDNQISKQQDELRKISQATEQLRRKLRANSLGNKKEVEN VKTQKNFSKLPQIKKSEGLISMSQRRKDQKYQSHNQDTKKIAIESRTLERNQNIELEETD NHNFVFEHYESVQDNTIKKTITDRHTDQIQSQRDPSTQQQQQLQIRSDQTTQLPSVRSSQ QKPVYQYKKYHKEIQLVLTKPKQYRNDNSIQQISQSPKDLKSKSVGTRDNQQKVQSKNKS KLDLKKINSINISKIDEQKSKKNLNVQDIPAKKSTISPITIKISDVDESSNTLQQKNQVQ VVQDKVQYFSRDSQLFQVNQSQNQTKSYTEPQNEEKGKLNGTAYFNVVEEEERKDTFGKM IESNEIKRSNVNEEDKLKESNHQQEDVFIKMIQNQATQNDQKLLKQQQSNKNTLNDNENG SEVFIKAAESIDPYSDQDVVNPLEDSDDQKSYNQEGFLITTLQQNNQQVIEYQKENFEQE S >CAK63668 pep:novel supercontig:GCA_000165425.1:CT868027:219961:223339:1 gene:GSPATT00033521001 transcript:CAK63668 MNYANPFSLSSHGSFLSPKETPSILTSFLSQNQSIRSAQSNPIKLSFIQHPKNPKKTDLA SPRSKMVTLHEDRKLKNTNFERIKYVSEHSTTPYLCISKKHPQIRIPILPKLSPEPKMEV QRMPYINIMTEPTAAIEEHSDEGRSNGEKSMDNNRSDNLLYVSETSHNFEDQLSTSKLSL KSRFKSAVQKSFRSPPRKSLFNLLISHEFNTLQENERKSIQIKFEPGNQVLATKLIYRKK SKWRQQCIQTQEIKRHSRFINDEQFSISTKAFQRKINLIHGTNSRNLISIESFSLLPKSS RKSAISIVSKLQNNTLSGISDHTIDKNEESELHRNSNKESKPVKFNITVTFQNTDIAAYQ FNKPKYTYHHNEEIQQLQSLSPKATPKILPMTLQQSNNHISLVSTKKLDYNSTFVNLKTK RLSRLSVQQGVTQEEVQKIEQQNEFNKPGLFFRVYYQNKLYKLMQKSNYTKENLVEIEQG FRPKFVSPNTNTIMSNAYTMMSTTEQGIRQRKITNEQLSDKIQFLGIKFPKYQIESEKPP FDYNNESSLEGSESSDDSESQVSLNQFLESPLNPNKPLEKNKKPSKRKKSLQEISNEQDD QTHFYNDQPTDQKFIELSTGSLVLIKKIREAFHIPLTIQPILNILESSAKNRLLSYSVSV CEDFHMEIVEDLDQIANQKLLKFQKNSQLNSMIFQAVQIRYSQILIGRYVSQTRMIDVEH QDPVVQTTILEIQEKNDQSQSNQSQSQFSQIKSQKMNSSKEAVKESNSKKPQTGGKIKQS QRYLTKQDSLQVQQYQSWNRRAMQSGDLSNTLQQSNQQNSNFFTQASTVLITKPTQTSQQ SVFRVMSSKHIDLNSSQQDASSSLNSSQNEETPQSGQVQKSSQTQTQNQTQPQQPQLIQV QASNQISSGRNLRCLDEDSQQELHEQFDLDHLQNNMNQLSMQMHSLRMRTHIKESSRRQK LDQIQQIKLAIYDHNYTEFIDNIQFIPEAQLDTPLQNGNTFLILAAQCGCSEIVHELIKR GADINIQNDDGNTAVHLALAYGHYKIADLLMEAGGSTHIINRKGQNAWGIL >CAK63669 pep:novel supercontig:GCA_000165425.1:CT868027:223382:223929:1 gene:GSPATT00033522001 transcript:CAK63669 MDQETQKPILMNIYQQTFQVQLQKGQSNWQSIKIIEFQFSKISFQRTIKIKKNSKYILTY YCEQKKLTIKIVQTPQTSYRSRLQLVEKNEWINLINQKSQQNLQSDQIAMTEYRTRVQID SFFQNDWKQPYYKPEKIQQLNLEVNQYQPTNDIDSWKQTVYQRLYQKIS >CAK63670 pep:novel supercontig:GCA_000165425.1:CT868027:223931:224970:-1 gene:GSPATT00033523001 transcript:CAK63670 MEAHGSIPNYEITRVIGSGAFGYVFEAFDSKRNQKVALKRMQKVGKVSSRECDILMQLKQ CPNVVKLIDVFYSRSEDNKMVQNIVLEFMDQNLENIIVDHRKRKEYFDTKTLKNYLYQML KGLDQIHKKHIAHRDLKPENVLIQDGTLKLCDFGSAKEMTGTAVNTPYIVSRFYRAPELL LGVTKYTESIDIWAFGCIMAELALLEPFFIGKSEGDQLFQILKIMGSFTEEDLKYFQKVV PFDINLFQEFPEYKGINLNEKFSNIEDKANFVDLLKKLLKYIPGQRPTASQALQHQYFKD IIDQ >CAK63671 pep:novel supercontig:GCA_000165425.1:CT868027:225402:226059:1 gene:GSPATT00033524001 transcript:CAK63671 MSRQAPSSAQKVDPKRAPPPPPPAQKGPKAFNAEDYAKPPHLTKEEVLEVKQAFDIFDND GSGSIDPQELREAFEASGIKTYHNKFIYQVLGELDTDNSGGIDFEEFLHLATAKVSDKDT REQIQKVFNLYDWNKEGRITWDELKRVAQDLGEEMTDEEIQHMFKKADLDDDGFVTFDDF YNLMTQKEYGKQ >CAK63672 pep:novel supercontig:GCA_000165425.1:CT868027:226236:226974:1 gene:GSPATT00033525001 transcript:CAK63672 MQGNNATEKKVIELKEVSLNQEQIGSILKQQQKDFRQYSVDKRKSLILQRIEDIKKDRML KSDGTVHHSEDFRKANKALEYFQPEFPILKFPEDTVLNTYDKTKRWLKEFDFPNKKQYWQ EFKKRDFKHYPGQPFSYAPGVPTYFEEFKAYHYIEHEESQVEKYQRLQQKKVLYVQPTKV VGGEFSRPIFDRTHVHCNIYLILFQQIQQTIDSQVDNQQNLN >CAK63673 pep:novel supercontig:GCA_000165425.1:CT868027:226980:227120:1 gene:GSPATT00033526001 transcript:CAK63673 MQRVKPFTSCSTRSQIFQQKYKPLNAERTQETAKSSKKPNDDDFDI >CAK63674 pep:novel supercontig:GCA_000165425.1:CT868027:227133:228608:-1 gene:GSPATT00033527001 transcript:CAK63674 MGNQNPQGFNPFNPNQGRGNNQDNNNNQNKDQQKKPPPPPQRVGRKKLRKGVEQASKLPA ATPITKCRLKLLKNERIKDYLLLEQEFIENQQRLKPLKEQDQAESEEQKKIEQLRGTPMI VGTLEEFVNENHAIVSSSVGPESYSGIMSFVDKDQLEPGCSVLLNQRSYAVVGIMQDEID PLLNVMKVDKAPLESYADIGGLEQQIQEIKEAVELPLTHPEIYEDMGIKPPKGVILYGEP GTGKTLLAKAVANETSATFLRVVGSELIQKYQGDGPKLVRELFRVAEEHAPSIVFIDEID AVGTKRYDSHSGGEKEIQRTMLELLNQLDGFDSRADVKVILATNKIESLDPALIRPGRID RKIEFPLPDVKNKKKIFQIHTSKMNLGEDANLDEFINAKDELSGADIKAMCTEAGLLALR ERRMKITQEDFRKAKEKILYLKKGNIPEGLYI >CAK63675 pep:novel supercontig:GCA_000165425.1:CT868027:228686:228999:-1 gene:GSPATT00033528001 transcript:CAK63675 MVTQNHEVLFTYRLHQISIQDPQFQLIQFHRIMNRNVEYEEQRRSYSNTCFNYNRNSYFI VLHQPKFYKFQRNIMKLFQPELPQCDLQTFVY >CAK63676 pep:novel supercontig:GCA_000165425.1:CT868027:229028:229793:1 gene:GSPATT00033529001 transcript:CAK63676 MCIWTLFLEQCLTLSSFLWTILILQKSCLLTRYPIQIALEYLKTNQFTLQAIIAFGLPII ASSLIFVKLNICQLDTLTQVSTKLFWAIQSILTIIFIGIIAYHIIRVHLNCKFVKNIEQI KAKLYLEILYCPIILLFSLPWIVYTIFNFELDNSEDVKILQGLFLLKNSQMIFSTFLFGQ NSAFQVAFLENACPILRKTYLAKYDFEKKNNQSQTLNIEICNTLCAQLLIK >CAK63677 pep:novel supercontig:GCA_000165425.1:CT868027:229827:230838:1 gene:GSPATT00033530001 transcript:CAK63677 MEFIQSLLSLIGNIVIVALMLTIGLLVLLYFQQNSLIYLPSFQGFPQSPSQNMNGLRNPS ERNLQYEDVEIGTLDRQKLKGWLIKQNDSSNVPTVIFFHENAGNIGTRLQFLELYFQNVK CNILIIAYRGYSDSTGKPSEQGLKLDGESIVNYLFHRNDIDHSKIFVHGKSLGGAVACHA MTQNIAKGVRGVILENTFTSMGDMVDVIFPKLRFFKSLLLNNRWLSIQKVGQITQPILFI YSMKDEIVPVQHMAQLQNAAQRAKFIEKFVIEDGDHNTNWFREPEKYFNSISSFINKAIT S >CAK63678 pep:novel supercontig:GCA_000165425.1:CT868027:230857:233710:1 gene:GSPATT00033531001 transcript:CAK63678 MQQKYCDECEETRAKINCNQCGQILCEQCDKKIHNKGKRVYHSRELIESSDSIESNKIKQ DAHVIRHEQHKERFKQINSSLPSVDVVNFNLINIQAETPMIFQNDSILAKIEHHLFKQAN KGDLMIHLNDFQKFLKQNEIYKINQSKDIIQQLEKLKMINVTIRKFGDSDPIQFISLQLD HISLQSLYWVLLNIRKDEMTPTDKLVMSRIKECYGLKLNIQDWNHYLAGFYKLQDSNGLI QFNVKKYKQNKWIDEKCIIKMTKCPIDLVNKSENNNASLSASQQINNESLLLFTIEDNIN WKMMDAQQMNSIYKSQWRSFIQFLKDFFDTNLTLNTSQNTQSFGDLNQSDYQSQSSKQSG TQSVPTQKNQDNSKWIRSVESGLQNGQKSQEQYHNQSYSSNVTQKKRSKKSQIKPPKSIQ KAIPGGKYGCAQLLKCCGPLELRVCSLGVLCLMIQEAINRNVLIYYKTLLIKPNTNIAFD FNDFLNIFDDQFQDQFILQNILGNEENQQLSVDKQQQQHEKQNKLKAVQQAIIDILNEYT KGVSLARLPKMIQRKIQFTFDLHELGFTKLKCLIQGIDGISIINDGTTYASLILDEYYDD TNDMKQEQEDDQQVKIDLKYFQKQSLQQQQSQSNPQQQTQQQQQQQQQQQMQFLSPIHVS EYQLKIEQALKQILNQYSNGIPASQLLQILQLHIQNTFEYTQFGCSTFEEYMVKYAENYC DVMIKMKGCIIYPKGYSSFRSAIPQQKMQLGIHHQKSQSTTLPSYAQQQSNSSSQIIKAD SFLAGMQNPSQMIGDVQSQSLTFQSFQTYPQNKDFFMIQEENSHHELDANVRFIEELLKE SDDVEQQKHKVQRSHQTHQDSKQFQSLGQWPNLNSNEKRCHNKYNTYQYSPQQDFYQGQK ISLDLHSWDQDN >CAK63679 pep:novel supercontig:GCA_000165425.1:CT868027:233733:234819:-1 gene:GSPATT00033532001 transcript:CAK63679 MISIILIYYWSAQYVDNNLVSQLLQHVGIPIVLIACIGHYVQCLVAHNAGFCSNLQFRSY IQTTPNSFKVSVIIHEIIKMQNRSPEQDEQKQQQVDSANHILLKTKQDLFPYAFLKLQIF KIPDSNLSCNDYFGCFNNAEVYLQNEIQKAQFKARIDRVSIINNNIYIYAFIQEKVQNLQ TTHQAFIIDSIDQFGSKEKKEIILPVCQGKVEIEDTLILEDDQIEMNEIRHRVKIKIEKL FNKQFNLDSIEQEVYQKLQQCGLFEYLQECDPKNLMKLSYCLPTMLSISNHQKHQIISQT ALKRLKLAEQHLNKLVPFRHGGIIFVVPNDHPKPPFTQLIVVIIFIILYFIFIN >CAK63680 pep:novel supercontig:GCA_000165425.1:CT868027:235046:247514:1 gene:GSPATT00033533001 transcript:CAK63680 MFSFKPVKWFSSLLLSKFLKPYIKNFESQNIDVQILDGEVCLKQLELRNDILMQFGIDIE VIDSSFGEVKLIIPWNNLKTKGISVEITNAKIVLSNKIEMSDFNKEQYKDHLEKLKREVL AKFDETVQKEDAFSNGSSGNNFFADFAIKIFEKFVLKINNLDIVFIYQINNYEIVKFGFG FQSLLINQDDIKPKKDEIRKKIVADNIFLLFEVFDNVLPSQLQPESFIPDQNQSKQEEKK AIHILKKLALKIEFSLVFSEQMEIELKIATISDVLIYLKQRQIRLLLRAMQDIVNHRNDR PKEKPKYGKTAKNWWVYIIRRVIEKEYIRTKVRKQGITNYFKVQQYIELYTKKMLKQHDA KRDNKLMGDFEQKNTISQILILRSIAIDKILEMRNILRSNNPKDQKVQKEAQGWFKRFQN DFNMEHASNLKFSRNVIDSYLSFMKEKESNTRVSIILDVKKINLLIQENQILQQLRNLHQ QVNIFKQELNQKKSLQTKVLDHIKNNFLQKITKVTVKSSEINKQEDWKLDELLKEKILIN LEITETKLELVKQGQFKQLVKAQIQKLILLDTNKFNSHYLKIVEISEGIKVLMSSEQLER QKKILDLDPLEKTIQVYLDIQVGPLSITLCKPLYERLISLQNLIFFDKSTVQNDNQEHSK YFDNFLILTKRVQSNTNVSFSISRINIYLPLQYQIKTQMLLIHLKQIKIFKREHENSVVN IYPAENSNGQVSQYLKDLKTRQTVNRVAQQNQNLEENQNLKPVYFSVDCLRLAFVQDYDW ENKENIFKIQYRKLRKNEQQNGDPGIETIIETLPIKIRMEQSQKMITLESNRTKSRSKMT FKKKIYPKTSMITIQLPFLDFKLSTKHLFLILQLVSIWQTKGYDFYDVIESKVQKQEHKQ QQQYINEDCQEQLKDYMISQLQVDMEGLQLSVTVNTQEFLEKLDKTSSHENRLFLFYFLN LSYLKEVKFYDSIQHLAIQELALQNINCHSYDLQNPLKVLAKQLLKYSDSEKEQKISSFG EDLERVMNQQSEIEQVANSKLNENKSNIKNSNNEGQSPQQQNDNDDQVQIVDEIPPDELQ EEVAPQTIFSQKKGQFQIPSPKHSFLDNNFQEVFEGELYIREYFTDKNCQKCKEKHRLLL TFMKVQDDLGPDVYFLQTSDSQRSRVVINLKQLNLILDPDIIKKMRLIFDIGNLAAEVKK QQFTKWMRENGFWPDFNEVDKKQQSETQTWSTITSDLFQETNRIELQINQIVLMLNYEDQ VVYLMNMKFIDTQISSSQLFKNINIKMQQVRLFDTAVIGGIHRTMLEDYDEQNENQIQCQ IQICNNPDLIRIRKYATYCGVRIQRAKIVFLKRNTNEIQYYLRKVLITSFSSALTDKDRN ELLKVTETQNLSFTTQPKDVEVDSEFGFRFSLVALDSLGIGYRSSLSNEALYIQFKKVGY WFSGIWGQQYDNLIKTGLFDDEIEEQQNISENFVEAKYFEEPGMAEETIFQEINQEQMQE EENLDQRVRQIVYVWGLEVRCSPGTDVNDLFVKAPEDKVSLELFIDFCDETPDKLFCLQE VKPMRISIDIQKLNIYAYDLDYCTICKFFMDNLGEQPQTIKGKYEYNEFNENIWMVLDIN INKAIAKFFKGTREDCRRYYQQKGISQDQLDKNLLYKNPASIAIAKLQTLNYQFMMRENG AKFMRLSVQQLTLSDFRKSSTMKHSKEILFSLIGEQFKGDEDQSMSDTKQEEFNKIQLNP VDELDVEQVDQDLKEKEKEKNKQVIIEQQQPNSALDVKGIQNEQEQQSQLLQQSQNKKKK KLSKWQRFVKKFKEALCCKKKNRRNNNSKGKNKSSQENLQNEKEQQQLIQAKLDQLLEYG PEPMEERRWLNFRERQVLPITLENNNHADDKDDYDYYFGDDNHDITNQKPYQKRQQQQKE DQQKQDLYFIMKTRPDGEKLIKIKLENKNIILLIDFIVEVVQFFRQPYNGSDKQPYQRNP HFNNFPPMVIEINVVNVWAIVLGDLEKEHFEKSKSIGILLDCNYSQTWLGDSWFGPGSCE KNIEATLKKLYIFQTNHIINLKQDEQSKKSTEKFIIPPHPPLRTLLEPFKVCIKMKYSVD FYRNALLNQEIFTSEGVQVKEYYTDYKYYHKCYQSKNEWEISLINSARLKNNFSLSIRDI AELQQIINIFSARKSPEGKYHFYRKPQPPDPFIDDCINTKKINIEMLKIQILKNKDGVKA AQFELKNLRMSDFKDNKKTQLNLLACVSLDYFNKRKMDFEPFLEPWKFTITTLSQIEKPP ENKAIDRDSNKITIANHIEKELKGEEVPYYLKDHTNSLNINITPALVEVAMEALKIYNKK MEDEEPYKIFNRCGYALEIRDIKKDQPVRIIQEDSEYTYNTQKELWAKDFKKHKSDQQQM LLNLVILKPNNLKDRDEEEDEIKVEIKVVESIHSNNFRRTTLDFPSKSTQSKTLIPQNQT QAMNQYSNMNQSQYTRSRIYKSQRNEKNISNYKTIKYVNFDFVGKKFYPLVRQHKNEQQD LHSGKKGTFDAFKTNIDKITGVFLADTKKINEERNIYIQVNVNINPQNGSKEIQIQSTVK IYNYLSTSLELGFQVNGQMKETTSVKLEPKQIYIVPLEFLENKTEVRFTPEKIQNRQQDE QLERKYYQLDTLLCDQQSLGEFEVDKDNSIVEVLEQATQIVTSQGKSNPVIKSEFKQIHD PLNYKYDHIITSMKSNIKRNNFYFIINCVKIKNQITNQRKAMINFNEIGDQKPSEDDNDA CYETYLICYPIFKFTNATVRDINIHYGVFSSNKQQSEKQLPCSPVNPDQHFYCETLDYHD DIEVQFSIENQIKFDQNEPQNEDLFRTKPFKIFNRGFGLGEENKFQIKFKQSKGNDQYLT VLIKKRSTKSRELVLYCPYLIFNETNKLLIYREYGLTQDIPSDDWVYYQNFSKTYQKNKQ KKEIEQHSQYHNLHQFATSTNMNKIQVAIGQLNDQQVSDISKWSNPVSLQNSNVLEIIGS TEILKKDHEQLPSKDSKKRASTDAKGKFEFGMAITSGPGSFHRSKLITITPRFIVHNETQ YNIAMAQVDTEYRDNNLLRLKPGDWKYFSWSNIKKPALAMISFYQESTGLISGWSGYFKL QVQEISLKIPSIKPIINNNNSDYQQLYVLQKVNITLMDDLILLIRFILEDPIIPPYYIEN LTKYEITYKQQSSSQAQKYRLNQANPIMQNNEINRGIHQVIASNPIGSQDQELIQFSAGG SEVTYLQPGERVAFTWDHWIDDKEHVLEVEIEGQTEKYEIDKIQNFQPLTLPGQSQKRKK LVNKKYLYKQGNIFIKDLDKPKEYYCTLNVLKQKFNVYSSDKKQHESYYLQGAKVDESKD NEFVLKINPEKMLSKNLHFQTKTVDEANQWVEYLWRAILIDKPEMVELKIEPSNQTKVVT FFQNKSNDRADSQKEGSEIQQDKEEDTQRQQFTCYKISISNCVSISIIDETPKEILQICF KNIQAEYILIEEVQKDFQLRQNYDDDDNTNVLAEKPQIKQIKEHINLSIDLIIINNQIIN SQFPVLLAPNKKKIFGNQQPFFVLTTYRKDQSQIKKSNASMNNGSRVVVKNESNAQANQA QANDQQQNQSKFKISYIHTLQMFTDTLEIRLDHQILDQIIQYYNLIAAIIWDSNFSDVQS SSNSQFGLGSLQKKQEEQLKKIKQHSISKIYLKNLMLEPIDICFTLKSSPGHVMNSSSIG VIADLGLTLASIDSAKIRLNAFKTQHIFGSSNEIIGRISKHYKGQFLTQIYKLLGSFEIF GNPVSLISNLGTGVIDMFYEPIYALVTGKSGYKVGEKFFTGAVTLIHTSITGVYKTVNTI IGTIMKILDQMTLDKKYMSERSNRLNRAIKNFREGLIIGFTNFGKILFQTIIGVLERPIT GINDGGFLGFLLGIYQGIMGIIIKPTVGIYDLLITIIEGIKNTAIYEEHIMDTRYRPPRI FGDNNQLIPFNFKHAIGTDIIRRYKLKVIDGESIIFFDQLNISDGDKKKQEAQIVLTDSR IVYVLSHSSIHCDKIMIYKIKSIKYQERQKVLRFKLHTPVRKSWFQANSTKYELKYESKI KAIKLAEQIQKSFKDKYNIQLTNLKETSNEKK >CAK63681 pep:novel supercontig:GCA_000165425.1:CT868027:247542:248307:-1 gene:GSPATT00033534001 transcript:CAK63681 MIHQNLIIPMHVLMKTSKKKNDNTFGSIYFVYDRMASLFVQFNLKVNWRIILRQRHQNNF SLKFLKGFDELISKMILHWDFKSQICFNNEDGIFKIIDFGSARLWEDKPMTTQTWHPNSF SIHKNMVQLQMCGQLVVYLQNSISDTLYFQEKVRFKYYRKWSIYWSEINRPGFLNLTQII QFEKRDPAYLYKILLKMSSEGIDLLSKMLQYNPNKRISLRDALNHQYFNQTESQNLSKKL LQIIKSKQN >CAK63682 pep:novel supercontig:GCA_000165425.1:CT868027:248431:249051:-1 gene:GSPATT00033535001 transcript:CAK63682 MCCNLFNDEIIIRKLNHKFKLVNYNNHREYTINSLKISKNKLQKEMEDQGLSKKALINLF MQVLQLKQISQKRLEQLDKGRRRMLTSPGKGNKVFQVIDMDQNGKLIFKIFQELDMSLLL IQISNQIIDRWINIISNINYQKEFKIRFNIQILQTQFKLFVQLILCKVDHDHYTKVKKIK KLPGGAYDKIYLYKCEKSINNKRIFQ >CAK63683 pep:novel supercontig:GCA_000165425.1:CT868027:249349:250266:1 gene:GSPATT00033536001 transcript:CAK63683 MDIEFQIKENKVAILIIGMAGTGKTTFVQQLSKQLKNEKHTLINLDPAVYSLPYEPEEDI RKSINYKELMTKNKLGPNGAIMTALNLYSLQLNQLIEKIEKSDSNIQIIDTPGQIEVFTW SASGNLISQTLSMSMPTIIFYVIDIARCQNPNSFMSNLLFSCSIFYKFKLPMVIVFNKCD VADSKQPLEWLRNYDSFTEALKNKDTYLSTLSKQMVLTLEEFYNNFTVLEVSSLTGQGFE KINEVIATAKQEYMNITLVDIQKRMNDQKQKNYDKQINQITQKIQNL >CAK63684 pep:novel supercontig:GCA_000165425.1:CT868027:250278:252358:-1 gene:GSPATT00033537001 transcript:CAK63684 MQTGLVINQVKERILFFSPTVQGKMEREACTQDFEVIQGLGQGAFGKVFKVRHKKTKMVF ALKQIAKKQIKQQKMTQQIINEVKIMYGLEHPNIVKLYNHYEEEDYIYLILECATGGQLW QKLNRVGRFDEKTVKQFMQEAMSAIEYLHTRNPPIIHRDIKPENILLDANGHIKIADFGW SNINNHQRTTYCGTLDYLAPEMILECGHDEKIDNWSLGVLIYELLTGKAPFAPSSQIKDQ KESQKILEDNILKVKINFPNDFPSLAKSLVQGLLQRDPQKRFNIQKMREHPWFANCQIQQ EVQSEQKVKAENIKDIIKDDKAQFSKEEIAKVVNRQINQPKEEDDVIIVVEDQKEKESTH DFSKNTVQLLNNKITDLQKQLNESKILLQVKIDEIKQLQQQQEQPSLQMNVDTRKLKLLE EEKTKWKQAYEQIRDELHEKQAENLKLNAELEKIQQLTKSLEKQKADKSLLQEKVKKLQE EIDQKDQQILELRTEQDGRVQNSFHGSFLNQSTIEDGKSVADLNLTFQEMKNSFQIAREQ IEEFDKAQRRIIQQEAEIIALKSQLNEYKESIRIAIQEQYEDQMEELEKKQRDQIRELEA KHFNEITKYENDKMSLRDQCNELENLKSEISLLKKDQESANLIIMDLKKYKRLRYQMDSR LKEQDILILDLKEKERVYRKK >CAK63685 pep:novel supercontig:GCA_000165425.1:CT868027:252495:255150:-1 gene:GSPATT00033538001 transcript:CAK63685 MIKFRFCKFAQINNITSLKSRIGGSSKTPEYDLYRSYYNKTIEDVKEQPGVTIVRNINDA KRVIDILNKYKKQPHAWDTETIDIDVKSETPINRGRLICASAFAGPEVNFGNGPRLFIDN FAQNSDLIMLFKDYFEDEKILKIWHNYGFDKHIFGNNGINVRGFFGDTMHMARLLDPSKQ PQEYSLAKLSLAYEEEIKLVKTKRMECLLAKPNLTDEERSSLQLFGDHLLDINLKTSMKQ IFGQNKELKNGQVSKLKVYPKILQMHCLPQYINQWVEYSTLDSEITYFLCLTLKDLLNKT KIFYNLKPTDSDYKTKQNEFGINSLGDIYSKYWNSLGEILTELEREGMQVDMDHVKNIKV KAEEDMKQYEQNFIKWVQTTQEGDVSQFNCSSTQQLQQLFFAPCKKQQVKKTPQTMKEEE EEDEYLSDGRKKSVRKEVEDLPEVKGFQIDNINQIVKENQRTPLKYTEMLIKGLGIEPLS YTPSGMPQADYNALKQLAGDVEKQQYGLIYHHFAGKGEPQKGIDACVAINDLLELKSIEV LLHTFIIPLIELTDPSGRIHTSININTETGRLSSRNPNLLNQPALEKDRYKIRKSFIAKK GNKLIVADYGQLELRVLAHMTKCKAMIDAFLKGGDFHSRTVITMFPHIQEEIDKGELLIE WDKSKGKAPAPLVKDKYAAERRKAKVLNFSIAYGKTATGFAKDWQCEVKEAQKTIDAWFA QRKEVEQWQYNVRMIAKNQFFTQTLLGRYRYLEKYFQQQTRSYINHGLRAAINTPIQGGA ADIVIAAMVKIYKNQSLKDLGYKLLLQVHDELILEGPEENAQEALKIVKELMENPFEIQL ELPLEVDAKIGNNWYECK >CAK63686 pep:novel supercontig:GCA_000165425.1:CT868027:255340:256740:1 gene:GSPATT00033539001 transcript:CAK63686 MKQHSIDYEQCLFQCKTKKKQLIGKENRFIYVFEDRLIINRNQNKGDPARVINFENLIRV KWNYSINPKSFKTFLKSFTLETNNNKELLKYYADFEMLIALKKILQKFVQQSSLEDEYET QQVLGEGSYAVVFQLKNIFTGQQYPGKCIEKKKLDKIDKGLKAVMNEIEIMRILSPNAQI VNLHEVYDGVNSINLVLDLCSGENLQAELTKRNLILEDSEIKIIMHHLLLAVDYIHSKGV MHRDLKPENILFQKPQDFTTLKIGDFGLAAIQTDTPYLYPKCGTPGFVAPEIANLVEKDK EYSRICDIFSCGAIFHLLLLGEGVFPGKGHLELLKLNKECNINPDDKRYDILTIEQKDLL FKMLKTNPDHRPFAKDLLNHPYFTNQKLNAVGIFSMNVIKFEDDNTLVMQDQIHSPTKIG LEKRFSFLQMSNERNTPLKII >CAK63687 pep:novel supercontig:GCA_000165425.1:CT868027:256830:258407:1 gene:GSPATT00033540001 transcript:CAK63687 MESLAQQIKGLQQKNQELSQLENRLVQLKQQLKLQESNNHIIQQKVNKILETKESTYQDQ RDLLKQLEQSQSLKDANYNRIRLMKQQQVDETMKLKQQLSDDKLMRTLTQKQNSQILQYQ LKKLKDQELYNKQQQFNKISEWEFQMKQDLEQKKNEKIEKIRGEQLQYKAQLSQKLDQQQ KYYQKLYDEEQQLLSKLHNSQSIAQNLKQDLSQVQSLSIKFYNNSLTTISSSIKSYSVPK LTKKQLESPYAQIPAILKIKINKEKSYGGSENFFPCIEKPSHQEQQKHMERLYQSKNQLP LNQQHEYQVQKTGIQQFKQTSQSHFESQSHNHNSNRTKFLSSTQTGKQKDFGTITKMTET NRSNNQKNNNTQQKDTQEQQEQKKIETLNNSKDIQKKEDQLEKQKSLKQENQKEQPLQKE PEEVEENYEDENYEEEFNQEQEQEQEQEQQQEQEQEQEQEQDQEQEQDQEQQENEHQSEQ KDNKEDYEDEYQ >CAK63688 pep:novel supercontig:GCA_000165425.1:CT868027:258457:260615:-1 gene:GSPATT00033541001 transcript:CAK63688 MNLLIGEECRSKNCQIQENQQNEQSDIMQIRFWEQIAQGYQQEIQQLQQQLWENNLNKVF HKSNGVPKNFMDKIKRMKMGKSNKKCSICCNEFQKEEQILQLPCKHIFHENCCKSWLSNS RKYSRTDKEGSIMRQPSQIHGIKNIYLFSQLYNIALSKMSYMEILSMQKSFLDKNGISLD DYRNTFIRKTYTLLLLEYICFCGVSLLGKYIYQYEKQWIFWGLIIICQLCHFYFEYSASL AENQNKKIWNTLTSVIYLICGSFAFLFIWTLLGVNFEDQLWRIYLQIGGVILLLNFYSNM TSTHFQGEGIQYHIYPLIECWLIVLITPISIEFILNIFVGIYSPLFQTFVTMIITWLSSY MLQITQKYKLQKEFTFDDIQVLCCMLLGVQFQLLVGLTKSIIKNQNGQNDLSIGSYEIKL KYLKQISSFFSIIILILQISLMFGCGYQPQCFSHFLIKPKGEDYSFSALFWVSLAFSLIL YPLILIFHRRINYIIKWVLVIIEIFFYSLILIGITSFMVMQEDNTTDDQSKTQLKEYLLC IALSMFTGIGIGFEAYSFIKKENIENKKAFVFMMICPIIIFISQIQFAIMQFQAIVITYL IGISCVFVAYAIIVLLELNWQLHKDSIDINAKEYQLGAMLIYQPIQTIIARICTLFI >CAK88407 pep:novel supercontig:GCA_000165425.1:CT868650:437:1947:1 gene:GSPATT00021574001 transcript:CAK88407 MDVKIYIFFSGGGSYNQEGFKYGQWIDLIDNFYRLRQVTFNGEYQKSQKVGRWDTYFRIE GLFQNQFQLIGGGSYDETGMKNGKWIEPSNNFYKYSQVTHEGEYQNGKKINQWVTRYKQS DQLDFTCCGQYDAVDGMKTGLWVELSDEFRQYQNYIITNKGQLNCNGKKIGRWEINFKEL NQFEKIGVCYYNEKGLKIGLQTEISESFFNLSQVFYKGEYHYGHKTGKWEIFWKNKLKNE QLGCGLYGENGLKQGNWIELANDFNKDKQVTYYGEYRYGQKVGLWVTYFRFSEEFEEIGC GCYDDEEIQNGFWIELAEDFSCSKQIIYQGEYKNGRKFEKWQEFKRDKWKIDQGFQKIGE MYYQN >CAK88408 pep:novel supercontig:GCA_000165425.1:CT868650:4933:5278:-1 gene:GSPATT00021575001 transcript:CAK88408 MISTNDSEIRVWKFQQGTLSEITTLNGINKTITSLSIVFYQLFLIKQSVDGIKQKVKNGR ILLITFNCRVCLILINLKTYTSLKEKLFQIKIWKVDFLKNQLYYQYSLK >CAK88409 pep:novel supercontig:GCA_000165425.1:CT868650:8502:8744:-1 gene:GSPATT00021576001 transcript:CAK88409 MQSQKDYQQLLQEVDNMIQEIQKLRLGNGLNLERGFWRNLKQHIVGNMRSVKSWGMEQFL QVRNYVNINVISLIQWWWKI >CAK88410 pep:novel supercontig:GCA_000165425.1:CT868650:8774:9098:-1 gene:GSPATT00021577001 transcript:CAK88410 MGKNKANGKKQFQIIGVNSKAQAYEFGEYKYDQIKGTWKQFYKEQQVCQGEYNDFGEKSG IWREFWPGFWEKSFAIYNGEYKKGKKLVNGKFY >CAK88411 pep:novel supercontig:GCA_000165425.1:CT868650:10007:10513:1 gene:GSPATT00021578001 transcript:CAK88411 MLICYYPKASVNFQIQFYAGSAILFRLIYLLHTCSQWNVADQLFKGHVNSEVYQQHLCSL IKKLKQHHEEQQFVLILDYSPIHKSKSVRKLLIAVRNFRHQTHLNLNPIEKLWHLLRQPI YEDTNIAHQILINQFASILQNFEDMRQRNQFNDPQNQAGISQVRDMIV >CAK88412 pep:novel supercontig:GCA_000165425.1:CT868650:10569:10883:1 gene:GSPATT00021579001 transcript:CAK88412 MMEETFLLDNYETQRIQEKLRKRNEPSSVLKQASYAGNQVWMSCWYSRILLELVLEWVQL HRGKLKRAILGSPQGLLLQASFKGHVRKNILELGQFQTTTMRMN >CAK88413 pep:novel supercontig:GCA_000165425.1:CT868650:11534:12430:1 gene:GSPATT00021580001 transcript:CAK88413 MDQSKKLVVVGTKFQTQNDNQEYLGKSNNNNQIQNLYENQLPYIIKIIYTFMLLKSDSIE DRRSQIELQKPSSIVENSITLSLRRRPHQRINTNKQDGEDSYGSYNLDHHERSLQKIDST PRGSPLQNMQFQKASIFTKAAQKRQQQGQSHIPEVQTQETFQKKQQDVQDSQQNLEELRN VIAKHIFINKWYQGPSYERANDNFFIRDDKIIIIEDDSSVDNQEGLKKPEEILNKLVESH INPTNYVLQKNETLDKVKEEGDRQQKLKKETETKKLIKNSDVRMTIYPAYDNFFKKQS >CAK88414 pep:novel supercontig:GCA_000165425.1:CT868650:13139:13360:1 gene:GSPATT00021581001 transcript:CAK88414 MIECSNVKHQKEYIYKFRLFNQGASQTHSNLHIFEGSNIHKEYQGTTNMDGHRESHSMKQ NGFIFLIIDQSAC >CAK88415 pep:novel supercontig:GCA_000165425.1:CT868650:13523:14948:1 gene:GSPATT00021582001 transcript:CAK88415 MSEIQEVANQIRGKLRYKNERDLIIQSYMLGILQGRNKQASQDVFLSNLEIIMSLNMLID IKVYKPERVYKLYNDALRGPFDLNELCIQLEREVFESQNSQEDTQLTQMFKSNNQAQISQ IFPQQQNLKQQTQSLWNEDSQPSILFPLRGQLESQGFNQIQNASIIYQQLKKDTADESKS FELALQLQKQFEEEQQQLEQLKLQQNYEEEQQILEDERKNQIECKICLDNIQFTEMATLY CSHIFHQKCLNQYCTTQISSRQFPILCPSGCKKNIIYSDLTEVLDDQQLMEFQQLTFKTY IESHGDEYSWCPTPDCQFVFVAGDNPRLDCPVCQKSYCLDCKIEYHNGFSCQEFKEKRLL ESKLKNEKYLDEKFFSFIKGAKYKQCPKCKFWVEKSEGCNHMTCRCKFEFCYVCGGIYQK CECVKNVHANWPTPLNRFRNRHR >CAK88416 pep:novel supercontig:GCA_000165425.1:CT868650:15029:15712:1 gene:GSPATT00021583001 transcript:CAK88416 MAEDQQPFSFTPEGGSATNSTKDYSGKGIATYPNGDTYEGQYVNGIREGKGKYTYNPSGD KYEGEFLQNLKHGIGRLIYANNKGEYYGQWEQGLRHGEGLYTYANKDVYSGQWSRGKKHG QGTYVFNDTAMRFRGTWNNNEIVDGEWIYPNGTVYRGPFQHNKPNGVGRFEFANKNQVEG YYTQTIVPNANPDDTTLNIQLEWVTTKHY >CAK88417 pep:novel supercontig:GCA_000165425.1:CT868650:15724:16845:-1 gene:GSPATT00021584001 transcript:CAK88417 MKFHQLRLKDVSYSNTQLKQEDRQIKTEFGNLSTSRAKVAVIKQFVTEDNDTGRSSNNEK ELFTKMQNLEFQNGLFQMQMQHIHKRKNLEDFTIRQQLTQIQQDIQNIQNRVQSVKVNID KIFVKNKSSRKKINLSSSSSSSEDVGRLEIGFNYRNNQDQMLQQYKELFEVCNGHEKLST LFSNYCGSIKTIEIDIVQQNFQLLIQQLIKMMIDILKKFNSIKIDQLKNTPDKQQCNFEF GTFNKEQKKTLRTTESPSFKKNQDLEDMKLKLNDHYDKYEQEKENRGSDINKKQEKNTQN QKKQIVNNNNNNNNNNNNNNNNNNNKQRIDLIPSLKRKAKPRQQHNI >CAK88418 pep:novel supercontig:GCA_000165425.1:CT868650:16880:18195:1 gene:GSPATT00021585001 transcript:CAK88418 MPPKKDNKKEVKIHLFHIQLVLSEDDGTGILKNIVQFKIQFQVVTQNIQNIVIMFEWLNA NSTERYDTGLIDQWNVVSSEPQQNLDDPPIVTYSFEKVFDQLRFIDSLAEVLSKKKLYMY FVNADDMKVMQEFWFDYSLMLNTPNFEFAFSKMRIMEILDFKFNIQSDKPLLHEQLRAKL NPFQVEIVSCENIPVQAQKSYELCYVQYEFYDGTVIKTDMQVQMQNMYFNSKHVFLLGTM DIAKHFETRPIKFELHDKDEIVRNDVKEELQLFDIEKWLQIEEEKNRPPEPDFTVDPKTG KKIPKKEVKKDDKKEVKKEVKKDAKKDNKKKEVKLGEPILEEQPKKQYNRNNHGVAIMNL GAFIHSNVREVDLLAGIVPRRVFEDTESNNLDLNTTARKNIPKVFTATNYLDLQATMFVK FEMSNALIRK >CAK88419 pep:novel supercontig:GCA_000165425.1:CT868650:18229:20178:-1 gene:GSPATT00021586001 transcript:CAK88419 MLIEQCEPKIIVAVRKRPLNKKEINKGDNDIVDVSNQQSQVIVKEQRTKVDLTKYIEEHQ FNFDAAFDENTTNEQLYLQIVRPIVEAAFNKAKVTCFAYGQTGSGKTYTMLGDYQERVPG LYLLAAYDIFCLLNNECYGHLQIAISFYEIYCGKLFDLLNERSLLQPREDAKGNVNIVGL QERKVQSVEQLMKVIEQGSASRITASNSSNSDSSRSHAILQITLKDGSRSHGKLSFIDLA GSERGADVSDTNKQTRFDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSFIG NCKTVMIGNFSPSNSSSEHTLNTLRYADRVKELKKPNDKELKDQVTSLDKLARELMLPRQ QQPVKMQKQLQNPQQQQQQPQFNPFKNNPLQNYQNQNLFQQPQFLNLQNSVPGMMISQQQ SQQPTYTFQNFIQPPPPQPQPVIPLQQSQQPQFKINETKNKQSYNKPTPQMQEARPYMNE NLFPGQIERKSKINSSMEDDLMKIGQKHEQLISVILEEEENLISSHRSHIDQMVELVKQE MMLLHNIDKPGSDVDEYVKGLEQILLTKMEEIQTLQCQLSTFKTHLSEEETLQKQFYQQR QQLSQQDTECSDIFK >CAK88420 pep:novel supercontig:GCA_000165425.1:CT868650:20249:20509:1 gene:GSPATT00021587001 transcript:CAK88420 MQSNPKITPNNPFYTGTYPQTILVKDKQQPSKTRYDRQSQPIIRGKGRHTVTFKPDIHKI YTVENWKIYNIENDNQPNENKCCAIL >CAK88421 pep:novel supercontig:GCA_000165425.1:CT868650:20614:21123:-1 gene:GSPATT00021588001 transcript:CAK88421 MKMKDFCHATQLQKNKIDQGIKKRSHYLNLDNLRMRKLASRMQVIFWQGYLRIVETGSLS DIIKQRANMSLMVHLTSINGKNLNQLELLDSLQKNEGKIKRIKQLNEGQISDVWINRQLQ KFPQSKQTVTEISRECRIKQYRNEQVFEQEQPVKEIIQGQR >CAK88422 pep:novel supercontig:GCA_000165425.1:CT868650:21566:22043:-1 gene:GSPATT00021589001 transcript:CAK88422 MEVKNYNGWRFCPRCKNLLKPYHDGDNTEILQFKCRNQDCGDNGIIEVKIDKTLNKDALL LKKDYQAAKAIDITDNTLILDPSMPRKRVICNKQICQLFRCNYDEAIYFLKTDKNEKEII IQYICANKNPICGNKWTQREMNEPKLTLQ >CAK88423 pep:novel supercontig:GCA_000165425.1:CT868650:22063:22389:1 gene:GSPATT00021590001 transcript:CAK88423 MDLLQDPKGDRQVNTIPTPPHRPLCEELLFIDEKPNWKLLREHLFKEGRISKGQIMRIVE MCNYHLKNEGNVIYVDDPLTLVGDIHGQYYDLMKVLEMGGDPEQGKYV >CAK88424 pep:novel supercontig:GCA_000165425.1:CT868650:22425:23575:1 gene:GSPATT00021591001 transcript:CAK88424 MKIYYPTRVFLLRGNHECKQLTSYFNFRDECLYKYDQEVYEVIMASFENMPLVALINNKF LCLHGGISPDLKSLADVERIDRFREPPKAGLFCDILWADPVENDELYDEIHYRGNDTRGC SWFFGEKAVQPFLDNNKFICLIRAHEAQLEGYRLYKYNEKVKEFPQVLTIFSAPNYCDVY NNKAAILKFENCTLNISQFGYSQHPYLLPHFLDIFSWSIPFVTEKVTEMLNYVLQPRPDE KIEDSDDEIPNAQKIIKQQTLGQPASNSRPLGKLQQITQKQIDITKSKISFVSKMMKMQT VLRQERENIIKLKGLCPDKRIPRGVLLQGAEGIKDALEHFNTTRAADRINEKWPETK >CAK88425 pep:novel supercontig:GCA_000165425.1:CT868650:23598:25062:1 gene:GSPATT00021592001 transcript:CAK88425 MNEKTVDKYKLLTTDLLGAGSFAKVYKGIDTTNNRQVAIKMLPKQNILNDKYLMEGLRRE LSVMQKLKGNNVVRLLDTLESSNNYYIVQEFCKDGDLGTYLRKQQYLTEVGAIRILTDIL NGFIELLQQGIIHRDLKPANILVHQNTFKLGDFGFAKCISNFQRDVMESVVGTPLYMAPQ ILMRETYTSKCDIWSIGCIFYECIFRRTPWIANSVPQLLNSILKYPVSFPSYISAEAKDF ILKCLEVEEDKRIGWNDLYRHPLIYQKFKDHVDKVINMEAQAEFIINELRQMIVVKQIDL LETFKKLQVQDNQFLTFKELQLLLNEIDPKLTRQQIEFVFNMIDVDMTNQINFLEFQRCL QQHRVRLSLQEIKPDIVYENSPLIQMPKWKIELKKIKDKIEEEEKSLVKLFKQFDTDVNQ VLDLVEFVKFLRYFNSKISNEDASLIFQHFDKNCNGGIDFLEFKSTFESQL >CAK88426 pep:novel supercontig:GCA_000165425.1:CT868650:25521:25815:-1 gene:GSPATT00021593001 transcript:CAK88426 MAQKLLKKKSKQQNKNSNKTDKPKHKIKKNKPQTLYNKMVTEENTKITKTINKNIEEQMM AKARLNQESFKLLKTKEEQKKIAKEAKKQA >CAK88427 pep:novel supercontig:GCA_000165425.1:CT868650:25847:27326:1 gene:GSPATT00021594001 transcript:CAK88427 MKILVLCLIALAIADKFDQFRAFDEDEFGRTLIDTLQMQMSTGEPIARFIEIMRNLETSI ENEQKEDDKTNNEYQNQCTEDIKILQQESANLERRTVEIQSILDELEPLRSYKQGQADAK NAWKVETEKKLADLVKKRETEKAEFDKKVEEHDYATFVIETVRRMFSDKSQSFLQLNNES QWQKVRDYFINASEQAKKFEIKKSYSKMFNVLAEIASAAQSEDFQNSPTVNRIVNLCDLM LKQIEDSKALETKAEQKRLNMFVLEKGNFDKDLTGLNNALAQLTAAILGLDNRIQDQKRD LADYNARLAAKNKQSEDRGGECREKAYNYQLTREKREQKRQLVSQIIGAFSANQRDFAEY VKLRGQAGDFRGKNFQILGNPTED >CAK88428 pep:novel supercontig:GCA_000165425.1:CT868650:27813:28178:-1 gene:GSPATT00021595001 transcript:CAK88428 MRMQIQVLPWHIQLQQKVLSIQTIFLQQLFKQSIDFLKLYQKQSYQQKFTKKCKYKPPND MTSYVITIVRSNPINAVLTPQHHLQIIPKIPPTKVKKIFGNANPEYSNLNYEMVMTNYSI N >CAK88429 pep:novel supercontig:GCA_000165425.1:CT868650:28219:28597:-1 gene:GSPATT00021596001 transcript:CAK88429 MQLTHVAKINSLLLPTQSRQEPVRGLKIANCQISQSQQSSRLEICKFKFLLQKIICIIQK GCKNLFIKSIQQLGTPHHQYELLSRMHKVTPQMKDRKHKNALKNNGQAK >CAK88430 pep:novel supercontig:GCA_000165425.1:CT868650:28698:28988:1 gene:GSPATT00021597001 transcript:CAK88430 MYCCIIIPTVQYAVSQRVVGTAFHFVGMFTNTAMTLFPLVAAQLAQNSVDAQQGYSLVGY FYCGISIIGLDWVSQFHFIILILFLLVNIQIFKVNS >CAK88431 pep:novel supercontig:GCA_000165425.1:CT868650:29073:31174:-1 gene:GSPATT00021598001 transcript:CAK88431 MFHEFLQELGTLKNAELPFPFRNQFKIKENFINPNSKHFESIAQKLLSEEKAGIKRKWDK QCKMMFIWILGKFFQLKNKKTINPNQEEWIQLASILQIDETTLKQRWITLINPVSKSINW DPEEDEIIRSLMHEQDEKHIWTHIALELYNQNNGQFIRTPKQVRERWMNYLNPKLKKTNW SQQEDLQLLNTVVKNGKRWSLISTLLEGRTENQVKNRFKSLIQKIHKDEEEDDIEELQAI KEYLNKQNIEEEQEPQKEEPQIRTQYSRQAKLKFNLREKSKDKIQEAPPIPPQEPQTKRR KISSQIKDFLNIGHDPVVQQQQKVQKTKLKENKQQQQQQQQKQQQQQKKQQEQLINKQND EQLLEQSSFQLDQQQKIMSQQYQMPQLSLNESNKRDLSTPNTLSYYFQPQLQQMEELKND QFRLKQVQMSPIQQQQQQNQIPYQGFRPFQEEIPQQIQQTPVQMLMGQYFKNYPSNYNMI SPFQQINQTPMVYTPAQPMYMYNNNNNGYMGMSPLLIRSPYQEMSPSVQPQQTPPMQQNM WQTPLQTPIDQMQSEQQKQQQFVNKFMLIRIQIWSFQNQTIFLILGLKKEQMKNKIKHFM THYNHQINDIFKCIKFYISLQIYTNEFTQFIILNNKLKFHQIKSVFQSHYIYQISIEFSF QPYC >CAK88432 pep:novel supercontig:GCA_000165425.1:CT868650:31186:32272:1 gene:GSPATT00021599001 transcript:CAK88432 MVNWTQRFVTGLIGGPIVLYTIQNQLALCVLINVVLYLLHAEFQKLISNVLKHNCSDSDR WYVETMASSWFIRIPTHYFITMAIMQSNPLSVHLHMIISIFFLLLVRLMNYLKISDFLKQ NEKTISDKFPSQMVEKKIQMLTFFQMSADIVQFLLFVYPLNFVLIVFQYKQAQALNLIWL ISAWQTDNGALFMGSMFGKTLFCPKISPNKTWEGVSGGIFLSVFSSLILSQMNFLSFITL DDLHTKHFLLISLIVSLASIFGDLIESFIKRVADVKDSGSLFPGHGGILDRLDSLCFSAP FVYLYIQLFMHDVLELA >CAK88433 pep:novel supercontig:GCA_000165425.1:CT868650:32864:33843:1 gene:GSPATT00021600001 transcript:CAK88433 MQNNKIIYSKTQEKRSFLSSLCSCFDCFKKNPQQMNQYHPEIDTPKSSFIGQRKIIVLDL DETLVHSQFEYFDSFDFTINIAVQSQNFKVYVIVRPGVKKFIEQLNHFYDIIFWTASIKE YAMAVIDYIDPDGKAVERLFRDSCTPLKNSFTKDLTKLGRDLKDVIIVDNSVFSFIMNPE NGLKINDFFYDKYDKELESILPFLIWISQLSDLRPIQNRYKEFLNRKKLEKKNDEQQIIY GLSKTVSIQRKKINQKSFIKTLTEHIANRGKVGKDDTNESEKETFEIINSY >CAK88434 pep:novel supercontig:GCA_000165425.1:CT868650:33882:36828:1 gene:GSPATT00021601001 transcript:CAK88434 MQDIQATTQEYDNGKNSGKYSIRSMALPKISIAKQLPYLSGVDEVSMPKRYNRQYYKIQQ PTKEKDLINDKEVFGSLTSNNEELYEDRKPKDLIGEDAYRSYYHTFKNIKRILEQNQFEN IQSMHRLSLKIDSVQTNLIQRSERLRILPCKMGLIKLKGDRNSLSIQNQKFGDKYVEVLS EGLRTLPAIQDFNFNHNRIKEQGAAQLMPLISKQARRIEFQTNLIGEKGLDSILKILPLQ QCKIQILNLEDNNLGDQLIIELCKAINKNLSVETLNIAKNKITNNAHQSLKQLIESNDTL MELYLRWNSIKGSGGAEIFKALQINKTIKVLDFSYNLLGCGNVIAPALKDFITENKVIQH LDLSANSFTQSDCEIISEALKYNHSIYGFHFRGNFGFVDSKGFLIIDSIMKNFNSTHIEQ RIRGVMPHPKPYDHAQRFEKVRDVCWICDNWQMATFEWIPNQSGACADEPIFIHFDYEGY DPIFLGKPVEHGHFRTHRMIPTGDIEYFYTANSIQVASQSVPIKQHIEKFRTKVSIADQV VNVLIDETNIESYSKSKPVIEDWYPNYDVLPRTQDPIYIPAKRKKQKRIWTYPISIWAPK YKFDTEELLRKCFERDCLLKSKKNRIRFKEMLWQAYKPMRETYRFYASVNPTGDVFSMSV NPTSDFVNQCQLIDQKQLKLADVDLKFIATCSASSVDYKGNYRNPERSLVRYQMMEFLVR VSDDKYIRFNPQINIVQATKMMLEQCMPHMSQFDSHKWRAERYFVEQCDDVCKKYKWVFD YVYMRNSSRKVKPGQAPFMCLDELKDICNKANLYDENFVERDVNLAFNLSTLTQIDELES DRLFQMQWIEFMEAVARIADKYSPIALGKKEEKEWTYELRYQQPLFYKLEAFMVHLINNL VDEETKKNWKQPTISMFDEVEEDEYY >CAK88435 pep:novel supercontig:GCA_000165425.1:CT868650:39240:41576:-1 gene:GSPATT00021602001 transcript:CAK88435 MVKTPIKVIVRTRPTVEFAYKNININENTGHIAINIPKSAEQGMNIDFKLKGFVNHQQED WGFTFDKVLQNASQEVVFDICGKELIHSALGGYSGTIMAYGQTGAGKTFTMMGSQIDYKY RGMMPRCISLLFQEIEARYEQQITVGVSYLEIYNEMMYDLLAGGDQNTGLAIQEDNNGYV QVKGLTIKKCQTEEDAIAQLFEGETNRTISEHKLNKASSRSHCVFTVHLEIRSRVESAEK VIISKLNLVDLAGSERTKKTGSEGRTLLEAQFINKSLSFLEQVVVALSEKQRDHIPYRQS KLTNLLKDSIGGNSKTVMIANIWPEKNQLEETISTLKFAQRMMKVTNESTVRVNLDPQVL IKKYEKEIKDLRQELAMHDTLANRGRIQYEPYTPEQQYKQQQIAQQFLNGELEDIDIDSL RQVKELFFQFRNLYRNLVKDLENKNYVPRTEKEPDVSKKKSDQVKTVDPVGYEENKNGFG LGKARKDAKPTTNIENLVNIPKDEFKQLDEKAQQELRKESQITEANKESTIEKRIVNVDK QQAFTDFKNKEGSSINQQILENINQLKEKKESIISLSEVSIQLKQRIERKKGVIEQKQLN KNQEEIAQGIIDEEEYVTLKELKELKKELKQNQENIKNLKSEIILIDQSIVQSKQALVSK FEEHFLKKYGLTLQDINNPLVNQKEEEYSINDPSEQDDVDQDALAYIRAKNKVTQLQKAR KQEKMHK >CAK88436 pep:novel supercontig:GCA_000165425.1:CT868650:41998:43006:-1 gene:GSPATT00021603001 transcript:CAK88436 MGTCQNICKQQENDKECTMMTRNKINKGQESDRESKTDPYLSLQLLIKEDSIAEENNCYV SQKQPCFIKTKEIASIPTHTDRKNRFENDIKLEIRYKIQQIRQQEEEELRKLQEQQLLEM KQKEEQNQKEQALDNQFSFQNSMKQSQSEVPNNQQTSNPYLPRQTSQFAPKKPDADTVSQ KSQISKTPTQKDQQISPKSDMMKKSALKKIIVQREELQSNNASYGTQKSVYSKKVKQLEA FEKMFSDYYSQEERSQSGSHKTQKSVKSILKKNRSLSQRSISMSKNSIKSSHTVFKNRNS KKVRFSNDTNFNNERKGVVRIRKSWWDW >CAK88437 pep:novel supercontig:GCA_000165425.1:CT868650:43229:43624:1 gene:GSPATT00021604001 transcript:CAK88437 MQKSSVGPKLLLQLTIRVGPYDDTVPDEQFWQGFDQTCMVKEQQRYDAKGRQIAKGKNYS IEFDNYVTICVYDPNNEVLQIKETLSQISNLDMMKINFRNQHNMNKKQQQTDQQLRPILK RKNSPHPNILR >CAK88438 pep:novel supercontig:GCA_000165425.1:CT868650:43849:44730:-1 gene:GSPATT00021605001 transcript:CAK88438 MHQKPFQFNLQMFSQDFEFNNKEIIGKGFDSIVYKVIRKCDGTEYALKLTSFKDLQHKFN FQQEIRLLYHLNHEHIVKFFASSMDGSCILIEYMPFGNLVKLIDLQLDIRIIKSIVNQIM TAVKYLHQMNIVHGDIKPENALISKKFIIKLCDFGFAIVANQPNTKLRGGSEGYTAPEAA VNENYDAKKCDIFSLGVLFFVLCMGYRPFLSTHPQKQDKFWNFIKNKEWDKFWKIFEAKK PDIGFKNYMQRLLCADPNERYTIEEAIQEDWFKSNQYSVTQLAQQLNIYLTKQ >CAK88439 pep:novel supercontig:GCA_000165425.1:CT868650:45264:46098:1 gene:GSPATT00021606001 transcript:CAK88439 MYMHINEMDLILPSMKPKGALWLGNIKAAQNIMNLSKENIRTVITVANNVNVSYPKHQKI NHKIFKVHDKENVTIQELIEMTNEEIEEAMKIGSVLVHCMAGISRSATCVIAYLMNENKW TFEKTLKFVKQKRPCVNPNEGFKKQLISYSNEIQKKLIPKQTLQINNPLAKLRRQLHQSP EDLIKYTQLARSPKHEIKVLTKDSTEEEKQQYRQQLAQKLFVNTFSKTDRNRQQLQTVHV NKSSNIKGYISMYKQVEEQKLIGFLSDRFN >CAK88440 pep:novel supercontig:GCA_000165425.1:CT868650:46245:47718:1 gene:GSPATT00021607001 transcript:CAK88440 MSEEEVVACDQCKQVPDNYLSLNCNHCFCLVCMAQNFLKGGRIFKLEGTENIHYICTVCE KDTPLDDGSVSVVESICHQLIQTSLEKVREEQNITKEEKPLQQSQTIAQPIAQPKCDIHT KEDATLFCFSCENKCFCIKCLLRHDNKTHEIQNVNSCLKRLKSKMNDATHTIGASLELMQ LQLKRVQDQDSTLQEEMDYLIQRVQEQFQSLYQVIQNKEKEIVTQLENLKEIQEQQTEKM LNDIQMKINSLIQLRSDFENIGLSEQLQPSVSILNYYSGFIGQMSFSDIFSTVKYFPDND QFFRYDEFELKMQNLKAQIDRFFTQQRSVSQHEKQSRITQKSAIIDQLYLSQRCHTQMDE STDSFKSHQVSTYSKINTPINNQRNLQAKVEEIKQLYSDRVKTQQKLQKQQENSLNNIQR SFSELASFKKQTALSALRLQQGLFDSRVTKKLQQSQQQQIQESQQVQK >CAK88441 pep:novel supercontig:GCA_000165425.1:CT868650:48210:48940:1 gene:GSPATT00021608001 transcript:CAK88441 MQRLQGATLSLWSSFTPVPQYAFATLNLKQLKQRMKSVGSIRKITKAMKMVAASKMKQDV QRLENGKYFGVRSIQDLFANETYLQKKQLTFKINKTLLVPITSDQRTLWWHQFINYQRNT FSGTSKSQCFQTVLDR >CAK88442 pep:novel supercontig:GCA_000165425.1:CT868650:48942:49182:1 gene:GSPATT00021609001 transcript:CAK88442 MYEYYVATAFYHAMLNNFASETSSRMNAMENASKNAGEMLDKLTLEYNKVRQAKITVELC EIISGASAV >CAK88443 pep:novel supercontig:GCA_000165425.1:CT868650:49182:49809:-1 gene:GSPATT00021610001 transcript:CAK88443 MNQQILDKDEIALLQKPWVKNHTTLTNDHILELYRLFNLYCNPRTRRIDLKDVMITAQQL GLVEKSPIVANTLSQVSDQHGDGGVDFEEFVRELTTKLGNTFDQKGREQLFTLVDIDGKG TLDKEDLRKISDELHLNFSQKDIDEVIHNVAGYDAEDITAEQFEKYLAKLTNRRKIETEV LRTK >CAK88444 pep:novel supercontig:GCA_000165425.1:CT868650:50111:51152:-1 gene:GSPATT00021611001 transcript:CAK88444 MLKKRGSDQLDIIFEAGEDLMNSSKNQVIILKNNSIRLPITKPRTFLSYSLEFCGITGLN YKQLRFQSLGRDIRPETSIMTASLVKVIHTNEFNQMVQNPLKTSLQNLFLGGIFSDVILK INDEIVLPLHKCILSCRSPKFNGMFSSNLIESTQSIIKVECKKPELFKLMLGWIYSGYWK EFPDNIADACDLMLLADEYMIMDLKQKCEEDIISKLDISNILQILLFVEKYCDILSPIIV DKAHSLFIDDFDQILRLNPNLEQEITKVPGLMTKLFLNYHQKKIRKARKVHFVVEDFDQQ ESDSDYNSQDYVRNYTQNFYQ >CAK88445 pep:novel supercontig:GCA_000165425.1:CT868650:51979:53306:1 gene:GSPATT00021612001 transcript:CAK88445 MYQQHVPMTRLQREFRLKPLEFSSPQKENMQKIALRYPESADYNSNNGKYQSNLSLPALP PLKKLNKIEQTVSIYKGKAARLPSIQFTASEQQLQRKKQISEIEGMRKSITPEKRLGKRN SLIFAMRTKAGCQPNKATKINQDAAIVCPKNVESVGYRMFAVCDGHGLNGHMVSNQIKQQ LPKHLGRLLKDADNIENQIQKAFTITNRELWNSEIDTNLSGSTTVSLLITKDLIYSANVG DSRAIMCRFNDGWKVVPLSRDHKPDDPEEKQKILEAGGRVEQQKDLHGNPIGPFRVWLSY IQAPGLAMARSLGDKVGAQAGVTAEPEIKQYAITGQDHFIVVASDGVWEYLSNEEVMNIV IPYLEKDNPDQAAERIIIEATQAWRRNSLARDDITCIVIFLQK >CAK88446 pep:novel supercontig:GCA_000165425.1:CT868650:53574:54704:1 gene:GSPATT00021613001 transcript:CAK88446 MKPSAQPPRSNQYRANSREGRLNFAQQAEMNLRGDFKPQVPQEPQRPIQRSNSYSRNNAQ RPATQEEIRSALTLLKAKRDRDRKYTQIPQDVPDRDVYQAPPLMKAQSYQPQTISYEDIV AKEDKKPIEINEFEDDDEELLECPDGCGRKFKRSALQKHIKICKKVFQEKRKAFDTKEHR ILNPDHAKLLQKQEQEEKIQQLQQQKKKQTQTKMDDRPIQGQKKPKWKLQSEQFRAAMKI NKGVPLTQQEQVAIEEVDDRVQCEHCGRKFNEQTALKHIPSCKEKSMINQMKKKSQQMLP PQQNTIKSQFNATNNFQKQQQQPQQQTNNIRNNVNLNGTNNTFTSTQTASRRPPPSSSKK Y >CAK88447 pep:novel supercontig:GCA_000165425.1:CT868650:54734:56761:-1 gene:GSPATT00021614001 transcript:CAK88447 MYKDIDELLEQIELNNDIKTQSTRERNRRSFVDPPKLETQKIANDPYEFDSILKSQDSPV DRQSSFVPRQRPQTAVIDESKKQKMADLFQLPKTIVNKDLPPPPPQIEDTSNNMEVSMGQ SRRQKMRMLSQNKGQPQKSDINSSQDPIANNTQQIEVEKQSVQSDYSIKQSKQPEIQLSA PVNLNVQKFQDEIKQLKQEKDRLQDDLQALRNDKQKLQDEIEIEKRERQRIINEKLEAER SFIKEREQLKNDYERDKQRAVDAVKLENEIMRQQLGEQRQLDHLADKIKDSAKELETLKN QLYQKHEQQAQEKIRLFEKKQKCEINDQETSLEKEKSYVESEKRRLQNIQDDISRREQLF QASMEGDKRSLQSEKQLLQDIKESLRTLEIETKKRLEQESMFIQRQKNELELLKNEMNSQ AQNKLRQLDLEKQLFEQQKNEYHEFTQKNNQIIQQKYSDIEKQQQKNGAKEMQLIALQRD LDMKSNQVTSLHAEYSRKLGLVDGERQQLLQKLKEINEKEQLYHKEISNVQEFRQIYQQE KETIQKQKLELHQQLTLNQQEKIQIEQEKNQLTQMHKTLSHLRSEIAQNSTQGFSQTTAF PAKPVQKNKVEKPKSAQKIPIHNNSSANQTASNFDVGSYMKYLKNVDYLH >CAK88448 pep:novel supercontig:GCA_000165425.1:CT868650:56854:57811:-1 gene:GSPATT00021615001 transcript:CAK88448 MSTSPLTRVQSSAFANGSALRIKQKQSQTQCQRDQISPLKSSDENEQSPSKIINIYADSA LYNNLTTFTTANQRGIGEEDKTLNTCTYSQMVTDEADRAQCQRQRITQDQIRKTKDQVKD KQKEFYESRQTTMKSAIKKQQIDSSTAQSQQIDLTRSSQNFRRKSIDQPPQPQIKPIKNK LNVQNQQFHLQLQSKKQQLLNDIAKLDKEIVMEQERKPVQIDSKRTQQNRNAMGSKPTYD KRQSTKKITESEQKIKQTVNTNRKMNTSQNFKISSRNSPKSAHPKRPVRSQSQEIKEYVD PLKNSREDLNGQ >CAK88449 pep:novel supercontig:GCA_000165425.1:CT868650:57875:58755:-1 gene:GSPATT00021616001 transcript:CAK88449 MNITMDITNVVYKNGKFIFHGISDSKTLGVAKEDDFTTSSLKGIVVSLDINQIMVRECQG FDNPGEQDSDYEFWYPTNYAGDKCLFGKREKYIRRKQRAQCYNKEPNLPVQTESCPCSKA DWECDVGFKRDVYSNCVPMREIKPKQCKGTYLKSQGYRKISGDECQDGVYLGPIEVNCEQ EEKLVTKNEDASQLNQNDNETQQPQVTSKKVQVTQKKASGGGIKFSYLAFGVILLILAFF VKKRYLDSGRTKKRTPAHYYPGRQQERQSLFTEL >CAK88450 pep:novel supercontig:GCA_000165425.1:CT868650:58802:60216:-1 gene:GSPATT00021617001 transcript:CAK88450 MLTLLLLQVVFSQKILDSPLEQVVWCKSETFILSAKGMLYKNNTSEMPKLISNLTIREIL QSEADPQVLYILGDVDTSYVTQNCGKTYQKFKHEKTLHDFRLNPLEAHSLMAFKEFRCNA TTDNLCKEKYKKQYQIIIEFQIVLLNRTRDAIWDKLIELPEIPDSRIVASYLSDQGHVQV SYSDDYFKTTQLLRNNSYGFYQTKEYLFILIDADPFSKGYDLEVIKQGSLTPVELVLPIE DHQKYTFTVLDTVNGSIFISISHLEDMPKIMNIYQSDTSGTKYTLSLLNNVRSLDTGNCD FEFIIRGIYIANIYDNTEFEKFKLRRSTKNTDELLFEQGGEWHAIKAPKYDYKGQPIQCN GDCSLHLLGRSEAPRNRIVSHSYITIGTGNTGIYLGNEYKTYLSRDGGHTWFEILDGMHI YDIAENTGLIVFVSDEDIGK >CAK88451 pep:novel supercontig:GCA_000165425.1:CT868650:60277:61633:1 gene:GSPATT00021618001 transcript:CAK88451 MLSSKAGIDHVLRQFTNKRVLIRVDFNVPIKEGKVKNATRIQGAIPTLKKILEQNPKNVT LMSHMGRPDGKRVEKDSLKIVVPKLEELLGTKINFVNDCVGSEAVEASNAGNGQINLLEN LRFHIQEEGKGLDANGAKIKADKESVKKFRKELSSLGDIYVNDAFGTAHRAHSSMVGIDH KVRVAGYLMKKELDYFARALETPQRPFLVILGGAKVADKIQLIKSMLDKVDEMIIGGGMA FTFLKKIHNVPIGKSLFDEEGYKIVDETLAKAKEKNVKIHLPTDFVCGTGLDASSPVALH DLKSGIPDGWLGLDAGQLTQRENAEAIGRAKTIVWNGPQGAFEIEQFKNGSVSMLNALVK QTQSGATTIVGGGDTVNLVGANKANDKLSHVSTGGGASLELLEGKILPGVEYLTNIKDL >CAK88452 pep:novel supercontig:GCA_000165425.1:CT868650:62192:63111:1 gene:GSPATT00021619001 transcript:CAK88452 MTSSRILLLVLVATASAFTYNEAIAKENAALSFASYCPNAAIHNWSVGYVSKSYPDLTNI EVFENLVSGTKGYIAYNKKESAIVVVFRGSSNIQNWIENISFGKTEYNKACKCKVHTGFH DAFVSLKPKLDSLFPGYATKYPYAAIHVTGHSLGGAMATLYALELAEAGRTVGLFTYGSP RVGDPDFYDWFTKYTKITHFRVVNQNDTVPHLPLYAMGFYHQDREIWYHDGTHTVCAATR GEDKTCSYTVKSTSNADHSTYIGLSSSVDC >CAK88453 pep:novel supercontig:GCA_000165425.1:CT868650:63181:68529:-1 gene:GSPATT00021620001 transcript:CAK88453 MLMIQIVYLFYLALSQEDGTPKQYLTLGDSVQGFVSPRTQNHQVYLFNVPEIKNQTDLVL QLKTLNPNSDPNLYISKKVKEPLSVEDADVQACEAQGMDICVISNEKIQENDVLYISVVS RFPSRYILRIELDQEQMLKVDSFLTFKLTNEKQSQIIDFMLSEFNTEQTEIEINVQVVNQ EYLEEPFQVFMNKWENGVPTNSMYDYKATDTWGNQKVIEFNQQKPFEQYKILIQGEQGAV FRVMASKSQKLKFFNFYETIVQVVEQGEFDFYQVEPQLNCDIGVRLTPFKGYAKLYVHYN KQPPLLESYEWQEKNKDGEVILITQEELKNKNITLKNLYLAVMGEELCTYELQLTCIYER TILPGINHQKFVSESQVTIVNILDYRNEGHYIQLNLHTFEGIINFLTTNCADESICPYTE ELFLNQDKYELMTQYTMLFTNRRKEAQFILNCDGMCAFFVVAVLDKSSTSPGKFTIQYKI IEKTMSLLQNTPHKSQVEKDNYQYYKFFVSENEQMQRLHFLVTPIQGDVTMYVSTTYQQP NEWNHEYKSQNNSINFGGRFANQPQPGTYYLSIYGQSFSKFIITVWAIKNFQDFQQFGKF PWHYIQLYQGDQQQHSLVDEDFVLYKIDMKGYDMNRRDSLNVILQKEYGQFRMFGFNRPE TNESIAIWQSGQIISIPFDDKNYPEILYLKIRLDHTGGNYGSFRIAYYYYKYMIEIFQDE PFFNFLSAGYSQGFGYLQANTEAFVITKRTYEFDQSSLDISIQPLHGLDIRYSKNNSTII VQQNKDHYCQILSATCSEYFYFSLTSKFDAFYTILISKIDKSKIQLVEDQPITKALPIGE DYFYFYSLGQEANILAFTYYGEIKLYAKVVATLDSPEPTESLHDKVSQKHKSYSQVSIYF SQNDLNKLDCNGKCIIKITVIVNRDGDQDFNINVLDYTIQYNSKLIMLRESEIQSGELVQ NEYKYYKIHVPRNDTNLMIMLKPDIFCDADLLVSKSKFPDLGNYDWGSFDLQSDVLVIEP GNTVNPDLTGDYYVGVHGYEKCQYGIQYHLQNVEIYEIFLNQPFKFFVNSEFTIFLKLQT YGLETPFTIFVQSHSETAYFYVHETDLESAKFSSFSQNPAQFKYNNFGMGYQKSFMTEPL QPNNILIIALKTYTSEIVRVQVNNNDTEIFLESQSLFQYFLEKGKEVTIILNPKSMSTSI QIYMYSGSLVCSYYFEKFYEVQNFTTLILLDIRPDNYKNIFNISNQENSYLKLNFEAISS AYFSILYFTDVEEMNKIYSIYPVFFSLKAQSEKEMYYMNYEFSPLLDQGKTFSISVQIQD LHDYLQKSPKHRSIPLIKVYSNSSQLQILPIKQTILSNLIQNEYFQIDNVYRIVLQSQSQ QEQNYQVQVGTSDLRPLVPRIKQLRQNELYQSSYWAVQQNFMYLFYEMQFCNGIFSVFTG KDYEQLKQGQYDQRIDIRQNKQVFGFFDQIQNLDITYLKFDLIKTLNSQITNAQYTIRVY YVDEKEEIPYNDFYPGLQGYLTPQLLQNPDDTVTLYIEFAPIQITNQDLKDPYFELKQIE YFVILQEKSEGDDLSLDFCSDPSNYNSIVRNQTTNETTLFASVTLHDVKFPQQRRTLVFT ILATVDVQLYKDEQLIQLDYYYETNSIRWNPKQQVIQETVSINYQWVGVSIVIIILIIAL IVFVKKRKAQNMQKLMLQISEKYENKVISNYSTEGIELHYRGLNE >CAK88454 pep:novel supercontig:GCA_000165425.1:CT868650:68648:69970:-1 gene:GSPATT00021621001 transcript:CAK88454 MQSRESRLSQRAFSSNSPTMSSAYASAMKSMQDKLRNDNYRKSIDLSPQDIIIEQLKQQV NELSVENDRLQMQLMKVSQEMEMNNRATSQIELLQVERLQHLKDYQERVSELIRKNEELK HDKHEIQMSLESLQRQLQAYKSNEKGLLHKVEMKKFEENSILLNTVQEYKQKIDIKDNII KKLEKRVEYLELEKQKVEQDFDNYKQKHSTFKQHEFEKQISNLKYQLDEKDRVYLKNIDE AQSNRLCREEQMAKRIQELSVKSSEYQDIIQQLTIDYKDLNVKYQSLKIKLEQEEKSHKY LKRRISRQEETEFNQGYREQRTISKLNLDQISPTLPSPRDDQSLRQSQLKQAIRDCLVDM KNSGYSPDKKIDSRKRLISPLSPQQHSEIEAKLKNLNEKYENLIRQAQRESDFKNKAVIR KQLLEIAEQMKETTKIDKIN >CAK88455 pep:novel supercontig:GCA_000165425.1:CT868650:70008:70762:-1 gene:GSPATT00021622001 transcript:CAK88455 MISLSQLKAKNFLVTTASKHYKVPEIEEPKPKKKYQNLAKIQFKFQIEDEDYHSVLKEIV QMQKNKFPLMPLHLHQKPNPSMKGLSIGRITNGQEIQRPMTLEDCRTTIKTQKMSRDTHR DTSQPILEEFNKQLSSTKIKENQPAKVFTDRSKSSKLHMGSTFQHFQNQTQQFEVVFDNN QQSSQLKKRITQKQFNLYKNRKIATPNLSLQFKQLKEKEQEMEKRLLQDMRKEFDREYVE KLP >CAK88456 pep:novel supercontig:GCA_000165425.1:CT868650:71780:72929:-1 gene:GSPATT00021623001 transcript:CAK88456 MHKISTSRSASQNRITLINKLSSPLHTQQDILDPKINSKELIEQLLKTIKRKPTQNEKPQ KRIRSNANSGQKLVEINKNVLHIRDYEPEQQTVKKMHNKTILPKAQQISNYTMLQFLGKG KYSEVKLACEINTGIHVALKIMKKEQISSIWKSIAQELKIQYLLNHPNIVKLYTFFHTST EIVLVLEYCCHGQLHKLLRSLTETCFTEKVAASYIKQVASALNYLHRNGIIHRDIKPENI FLCYNQIKLADFTHSIYSPQQERSTQCGSLAYISPEIVKGETYDKSTDMWSLGVLAYELC TGETPWEDLSFQEMQDMIISGNIRFPNKFSSELRDFIKNLIHVDPKYRMNARQALSHPWL IDSKQEISQFTIDV >CAK88457 pep:novel supercontig:GCA_000165425.1:CT868650:73335:74463:1 gene:GSPATT00021624001 transcript:CAK88457 MDQESVFDLSSTLDFQLETLPFYGVRGRSEELSELIKQNLQNNVHNNKGLFTNPIKQLVS KQKNRFVQDGFDLDLSYITEQIIAMGYPANDYEAIYRNSMEDVQKFFNQRHKDHYRIINL CSERKYNHAYFDGNVSEYPFEDHQAPQFSMIFELCNEIHNFICQDKQNVVAIHCKAGKGR TGVMICCYMLFSGMFNNSYEAMRFYGIMRTKNKKGVTIPSQIRYILYFEKALSFGFEAQD IPQNKIQISQIRLITIPIMNCQNSCNPYILIQNNQSKILVSKFQIVKDSFIIFMTDAVVE GDTRIICYNKSLLSKQIMFQFWFHTSFLDDTGLLIIDKYMLDKAVKDKNHKVFSPNFRVE VQTFTLLN >CAK88458 pep:novel supercontig:GCA_000165425.1:CT868650:74482:76269:1 gene:GSPATT00021625001 transcript:CAK88458 MKQIVQSARLPSSPIFHRKKPTELQNPLEHLVRVQSPCGSTTNNAFGRCPTVKTLNSDRD PVEITITLMVDGQKLIVPIGINQSTTDLYKKLIAKVNQVNPAIVHQIKHFQTHSSLKNYN IDYYLSLEHHPLDVFKEQRHLKLEPYISKKVNTQLSIDDFEILKCIGVGGFSRVYLVRKR DSGYFYAMKLIDKNFILNSNKEIIINNERQVMEQLNSPYLAKLFYSFETKYYLVFVLEYC AGGELFYHLRKLRRLNEELAKQYFVQVCLAIQELHQYGVVYRDIKPENILLDLDGYIRLS DFGLAKPNMDREETAFSFCGSPEYMSPEMLMRNGHNFMVDIYCLGALLYEFICGSPPFYS RNIEQIYNSILNDRISFPPQLQIHSDLKDLISKLLIKDPQLRLGSKNGIKEILQHRWFKG FDIEALAKRRVNISYRPKPLSYNFDEVEFSKGDQEFQKKLQENLKKEKQSKFSRYFPNFE YTNQNIRDSREAILQLTLKQQQIQGQQGLPKPQQRTQITLSPLSMVKGVSNIKALTQSSF KQASQCKRFNTDIDISKIIKNM >CAK88459 pep:novel supercontig:GCA_000165425.1:CT868650:76319:78747:-1 gene:GSPATT00021626001 transcript:CAK88459 MHREELFLRTPQQKRTMNGISFQQKINQLNKKNSIKFSELLKERRFDCKTTQKITCRRPS LPRKPVQRIQQAVSIQRHLSQEPSTQGTYSVVFSNYAHQFLEKRKIQTSNCDPNEDTKIN QQKILKACYNPSDTNNTRSILTSLLLGMQHRISAEKNMGVLGFQVVPFTKLIEQNAIISQ QSIRHKAFSQDKERDKSQSFTEELDKRVKSKIVQNTKQRKSINQANASPVPQKVIVPQSN SAQTNNFKFSLTEYSQLSREQLFQTKLQNYQHFLFLVSCQSGFYTQPQDILTDLKLKIGG GNNSFLIKEILKQRWWWSQQKFNELSDEDSNFIWTQIKVQGFINQQQQSNNCLQQQQKKK IVNQQSINTMKKKQAIDPFLRLMRDDSEYKQIDRNPKTYMKIVNMKNLNVLQVDSNIKIH NHIDRNYHLGNKKALYHNLKKYFELTKQDLNSIIPKTFHIQKGARDKTYLQFLEFYKKQP KGSTWIVKPGEFTNRGTGITVCQSLSEINKIISKKQVHSNGKQFTYLIQKYIEKPFLYNK RKFDIRCYFLITQLNNIMRAYWYEDGYIRTSSEEFDLDDPANLYVHLTNDAIQKYADTYG KYENGNKLSFSEFQRYLDNQPKKFYFYKDLYPQLIDIATTSIKSVYCKLAPYKKEYNFEI FGLDFMIDQQFKPYLIEINTNPCLETSSPVLQRIIPQMVENAFRMSIDTIIPPPDASLWP PCKKHLLFYDNLLENNKFQLIFDEREDSEELIKLYGAILMHDEIDEMDEEEEEYGSDNEN KNFDN >CAK88460 pep:novel supercontig:GCA_000165425.1:CT868650:78897:80360:1 gene:GSPATT00021627001 transcript:CAK88460 MQNSSKKQETHDCNDCWSALLCGLVEILIKTKNQDQIKLLLPLANQFAPIRIHKTVELLN QMIRSPNIDLFYTLVNQEESSQIMKMHIFAQYKKIASQICNKDILQRLGQTFKVDTIFLT YNTSISLSNSENLPLILKIVQFQSHYTVEAQEKQRSRSNSLQHDFILEMKQECNGCLRSF DQVELNKSIACSHTYCKQCLKLYFKLGSYFICRDFYCKIELSKDDFPFLQLSPLMDPSVQ VNKCLQCKATQGTLYTNKCGHTHCEKCIKDSLNKSKYFQTHFCLEPSCTEILNNELIKNN SKEIDVNQLLQRSNSIKQKASDFCDFCKKTQEQGVKNKCGHYYCKSCLQSQYQSIITYGL NKTVECPECNSKFNIDTILEEYYIQLQQLSTPRLSKMRSISQQQPDVQPSPSLGLILNSI QENTRLKTNEDIQQSPQLKRQNSIDLKSIYNGGSTPRRIIPQISTSSRSNYPLTQQSLQF HRSPQFF >CAK88461 pep:novel supercontig:GCA_000165425.1:CT868650:80602:82232:1 gene:GSPATT00021628001 transcript:CAK88461 MFALSKTLSLHHMNKYYVKILKDLSIVKQIPAGSTILVGGFGLCGIPENSINALKEAGTK NLTVVSNNCGTNDEGLGILLNNGQLKRVIASYAGENHHLAEKYFDGELELELIPQGTLAE KLRSAGAGIPAFYTRTGVDTIVEKGGIPIKYKNHSKDFEVDIASQPKHVEYFKGQKYIRE EAIQGDYAIIKASVADTNGNLRFVGTSRNFNEDMVKAAKVVIAEVESIVPLGSFGFDHVH VNGIYVDYLYLGGNYKKSIERLVYDESVYQKNPEKFKKAKNQGLRNRIAKRAAHEFKDGM YVNLGIGIPTLIPGFLDPHITIHFHTEIGAVGVGNYPLEGQELGDLVNAGKESITLNQGA STFSSSESFGMVRGGHLDLTVLGAMQINKQGDIANWVIPGRMVKGMGGAMDLVASGSKVL VVMEHTAKGEKKFLKDITLPATGLRRVDQVITEKAVFVKRNGELVLTEIAADTDLEWVRA NTGFELTVADDLKKFDI >CAK88462 pep:novel supercontig:GCA_000165425.1:CT868650:82436:90664:-1 gene:GSPATT00021629001 transcript:CAK88462 MNIIVFALLTFLFDLSFEISTTNYETDTKFNYCLNVVKGNCELCQQQYFLFSLPQDHNEL GLKAGTRVCVECPYLKFNEANNYYCGDCLDNSQTWDKSRLCTYDYKTKSTGTSVFHKIER PAKQLFYVVKSGLQDFTTQSCDGCDHFCKSQNQTCLPVSKQFSYDLNNLYLSCTDGYEYS DVISGCDPCPENCKSCQINKYISLDDSGKTIVTIKKNCLICNTGFSLLTTRAELNGVETY SQCVACFTGCDACYFGRDGINLNEKPWDDYNNNPVLLTSNNEADEVQFFVDLFKLYRIAQ RCEQCTSTTQSTFVPSLSRRACIRCGTNCKRCEYKFGSILPTRDKYKVVEPETSEPTTAE IEAVESQYTLRCRECDKFTQTFYAVGTGCTDCSIVNCKLCAKVGDPTLGSDSSFSTIALD FVPLPKEEQSLEKCLLCEDGYFLSDDYKTCTIFDTINKPMTGCLTYYKQTVLIQQCLQCD IGYTLYKNDSSGNWECRMDCSSLMQDYLCQSCVINGLKQRCLRCLDGFYVDMQTGKCAQC AENGHCKKCYTLSLVSVHHTEYFDYLFDGQSNTLSEKKILGPYCYECTPGDAFKGPILNE DLRYCEKGGENCAQFLAKGTKGYCDQCDSSLVGISLSSSIDDSDCIQCPEITIGCRERTS DEIRQFNQFYDPTDAKYKKYARLSFKCDDGGNNYYDSKIGRCISKTDCPTPCDRSDEITI TADCQQNQPNASDEWKINSQYSDITSKNTYLILEDKMDSATRDALFDEWNKKAVTKITII LDFQYFSGDNAKCFFQKDTYFTSNIRKNVFSVQNLELKIQTNAPTPNQRIQWYIQKTIYF AYFTSISINGIELYPASDRGNLYSNIPKYETPFGFQFLNNTGSVFYLENVKIGNINAEDH YLDKSAYTTPYSAVAVTLKKQKPFFTILLNTYDIYLKNVVIQSQNYLVSSDVTFQAKPFG LVYDSDITLPYLNIRLENVKFYDIAVEKQALFELQSVSFLTPPQWNSKIIITQVQFEDCY FINDGAFLSTAVLDEPMGIVIINSLYMKNMEYNNSRGIVDFTTMQQIKVNNFQMQDSKVN STVLFHITTIELSDAYLQNTKFTFKGRLIQTQYELKTIRINDPEFSGLKLQFINLEFDQV ICLTPACLILITEIQNDYFMPINITMKGLFIKQINTVGFDETIWEAATSASIRIEKSNRL QVSDFESIENADLAIFYVEQVWTTKFVNINCHQKEGLSIRNNYCLFINNPYKGVELINIQ LMNLMGRDNSFIGISSWSNLIYNTSTSEYQETIVINGVFVTQCTIITTVLAVPSSAILID STQMQIVQVNFMYFFKNTHLMEIQGSLRPSNPTFLIRSVVGTLMLQNSLWRSNSVSGYGS VLYLEVGTQIIFNVSMVNSNFDSETKTPFPSINEKAEGGHLFISTFLLDMSDCTFFNSTA KLGGGLYIKTLKEGKVTLKNISVRYAYTPLSGTVSSRGGCVYIDSMASELDMKIENAEFK DCFTRGEGGGIFLVSYDKKQQFTIQDSTITNCYALSGLAIKTLFYSRTIEQQKMMLQDVK ISGNSSNSLAYLAQLGSFQSIEKFLFMKRIAAIEQDFGQIEVQNAYSEGLFYYGFLSIWQ STLIKLNTIESQHSILSYRPYIEIQEPLENPITVDLVQFRNISSISLANLNCTSITNNAL CRLLEIRIEFPEYQINPALMLFDLISEKTPLTMKNVAINRVICKECHGGLVQIMRVSNSR LIPLVQMSSCRCSNSESSYYGCFSVSSDQYLREQKTMDKLIGTSLTSNLTLEKITQQTNM SDSSNRLLVSDTSNQIKYNFSYAIPNPSYLSHVIVESLNINDVKAIHGGGISFYGLTANV TSSYCSLAVVTGRGGCIYFESYPKDGGSIQHRLNIADSSFYRNNASIGGAIAVVESGINN YARTSITLIQNFASKYGDDVAQYPTSLGIRVKQVLQKQGNLDSHTGWLHYPLVIKSGQEL KKFENQTVILVFLDKNNNIMSYQHDTECSLSSNVTKTKDNQTSTFPGETNRKFEINDVQG FDYSNQIINFDPYNQITLDAVFNSSHINIPIYHDQYPYQCKGFDTGYTLQVRIRSVECEL GEQYNDQQETCSPCSVGTFALEYKTDTCRKIDETKMNYTFMNKISIKSSYWRPHYQSGEV EECKNKVYNCKGGFDVGNDLCQEGFIGALCEECDIYGSYWGESYSNSAKYECGLCQEKTR NQLMIAFITIFTIYSTLQSVKGHEDRMEKVVTMKVLRQLKLLSAKASLDQAAILMKIFNN FVQLLSILEGFKIDIPQGTVDTINTVSMPAKSMGNALDCFLVDGAGDVDMIYLRLIWSLA IQLLYIIAMTLIILVLIFKNRFKWKSLQTMAIYMFIFLQPTFLIEFANLIAWRDISGEGY IQANVSYKHDTQTHSVWLSWFAYPGFFVFLFVIPFIFFYQLLVGKWYERFDQIAFMQSWG YFYHEYSNDKDRIIYYWEFVRIYIRAFISILICLQAQNVIMMGSLSSILTFIYLLLSLYV QPYSNQKLNKIDQISNVILTLTFSIATIVYSTIQNENFQITLAGYVIMGFLIIPFLLYII FEIVGENFESKANILDNIRDKINKKYPALIKARNCLTCWNCCDSFNIPKFVLQNRTTSRR RAKELWKDLMATVNESLEEWKFDRDRPFKVKKWFNDPLVLQDEGMDEYQIY >CAK88463 pep:novel supercontig:GCA_000165425.1:CT868650:91170:93830:-1 gene:GSPATT00021630001 transcript:CAK88463 MPMKLSDSSAIYNRLRLEIESIQNTDDQLFENMIKFLETQFQKPNFNQYIEWLITQPINN EYQFILMLQFYSGMIVNNKEIYDEFKKQKHQFNTILIQVMEYCINKKKLPQLKSDKIDQL REYLNSDNHSLKPYALLFLSQYDTSITNFPDATCHPEILLQVLQYSELNDHQLQFVISQI YQGINQWHLYYLAQLFVQLNKFKPSPLFLDLIQKVYVERVLDQEGNYQFDFRILKNFIQV AQKNQAFKDYKIYMQLLKRVVEMSKETNFNEKTLENIIRTVYFSLRELCIENEIIFDEIS QYIISEELIELKKFLEEWLSNSIILESLSIVNLFKLNIPEGFCEKYMTTMHIQEKHLRAI IRLVNQSNQFQLNERTCDILVSLINKFKVDYLKYLQPNNLQIYPRNLIRQVVKAVISNSN DESIIEFIHKNVQHLQNNKSTCEEIGLDFQFIGPYIKFQIEAEFTAKVDFDQLSKWLQIY NYLATIDDEIITKVKQSLPKFTPSQISSLLSQCDQLRDKLKDFDKIHELKGQNNFIDVYL NHKGNLPKSIQTIDDILLANSKCLDMNYFKSKKIKSELLRKLQETNEIPNGRQAYELLLG WRASDFSIDTFESLIKIVKDQIMDYDFHHTHKLISKLVQQYHLHPAVLFNAYKKLQNVLS SNLKDAKDKVSIIKLAIILKRIYPTLTIQLTTQEDEECQFVNQIIENIYFNKGNVITEIK PFMQPYNQFYPYVCQNLKDFTKGFATFQDKLNVAIPAYVQGVNLKVNTQELVKELEKPSI SALQQLKLACLTAGVEKNEFLKRQLRWNFSHIDPSTNYLFQDDLVICFDVMNKLFPNEEI GKKLELLVINAHESFYEPTLIYLFTEHLLTRRSISIMEDWIIKWKT >CAK88464 pep:novel supercontig:GCA_000165425.1:CT868650:94027:95070:-1 gene:GSPATT00021631001 transcript:CAK88464 MNPENRDHYGFPKQNTQTPSKEEMLKINARIEKWRKMIPKIPQLMANNDSKLKSRLRKGI PEGIRMLIWPCLAEIDQMKIQAKRTYKELVESQEISPHDSQITLDVMRTFQTNDLIKMDT ITSQSLFTVLRAISLTFQDMGYCQGLNYLAGSFLLLMNDELVYWHLYSLLTKYGCLDTYI NPTNTLKYFYALDILVKQFLPDLHAKFTKFNIVPFYYAAEWFITLFSSILPMQIFLRVTD IFWHEHHKTTFRASLAILKIRKEEILTAKTMEQAITILKDQKFFNNYDPDKFIKIAMKDF IFSKKDLRKYYDQFAASQKK >CAK88465 pep:novel supercontig:GCA_000165425.1:CT868650:95116:99050:-1 gene:GSPATT00021632001 transcript:CAK88465 MEFESRQFISQSEFNRSEKLTLTTIQQYVSIIVLLLALGDAVMLFVINGFVLNYITLSYA IINVLIVLICIGTLVWNNQQQNYLKTTEDEWGELTDNFNGRLLFFLTILMAIAEFVFFIL IILSSDYIQGLYQSEKIGNDYQKFDKVVSVMKPIILIFSILIVVGLTYTIHNFYQMTISS ITSRFNIYLLCIAASLTCWGSVYLLKGMDIYPISAILNLIILGLIVFAIISNTWKIKGLL MILAALQILIIPVLLGFQAQYIRDFQEQQESNIANCQQQMNNLSESYLSQHSCASKYIKD IQTQNCPNGEKECSVYSTTCDRDAQVQRWEEQTTDPADHPLGCLNLNCCKTVSNIYTKDL LILIMLSLATPLFLFALILFEFHLSRRTRSDKTLGRNIETLFLLLFIGTIVFGILLLVNN YTPIPLKKEVNFDQPAKAVKAKEFTKIQTRMCQSITQLVGSRVELSSECKSTTNCPLNKV ETGKKETNILFGLWVKGVQQFKRKEATTYQTLAAAKFLWPNYDGNELDFFAIIGKLEYVQ QYLDDVQVCYQVGSKDLTINLYQNVVDIPIEEKNSKVYHYSMFSHFADAAAKPTEPSKGS PTSPTTTDKGSSDPKSSATSTQPKCEIPNCQECKDQQCVTCKAGFDKDDERGCKFTGKLG VSESIQVQLFKEMKSKVSGFVVGEIKKVEDKLYTQYPLQEAKICWSQEGLEKPICEVASP KGEYKLQISTFDVADYSTQLFQPTTGLVTVAAIGYDDYVQSQVVQFQEEINFGTILLRSN VTIPKVQAAPPPKKYQHEAESTTTTTTKPTTTTEPAKPTTTKEPAKEQPKEPAKEQPKEP AKEQPKEPAKDLPKEPAKTEGGCKSGEYLDARKKCQPTGCTNVPFCKFCDKNNKCISCQA EYQLQADKSCLPENGCSKPYSQNQCLKCSTDKEKCDQDFCDAFSTFDNGQCKQAYKLCTT KIANCAICTKENKCAVCEQGYKVVNGECKLGDFSQIFQINYYETEVYVVDVMDWNHLESV KVDLRVGSCGSFQVYGTAKTDSNGKVAFTRLVKGSQYNLIVTHPDYSQNCYEFQDNEVTI VPLSKKLKSGQVRIVLEWHNPDINLDLQLSFNPTDTSNCLVGYLDNECTGAKFGKSSDEE YSFEAIEINALVLSKYLIFVQNFGDKENYQEKLISSNAHIKYYVADKDDPAVTFVVPNDK QSEIVGTTEALKTEWLAWLVGCIDANESEIPESLFSQNGVWWTASLNRWYPEIPQKTSQN YFPSPKICDV >CAK88466 pep:novel supercontig:GCA_000165425.1:CT868650:99293:100799:-1 gene:GSPATT00021633001 transcript:CAK88466 MSEEQPISIELVMAKLEDGGKTLRLNILVRHYRNQLMHKGSYEIGSDLGVTSQFTEKNSA ILENLGVSNNQLEGVHRIQLQKLLKLDLSFNRITGLILGSRQIQHLNLENNKLLKVAYYL QLQIDFLNNLKDLKYLNLGGNHIEKIDFLVFNVQLEELNIRRNTISTLKGSFSNTKKLKI LDASNNLISDTQFIDTITELEELNLSNNQISVLKIENQNENLNILDLSYNQIEDLRVLEF KFPYLTNLYVQSNQIYAENWFDFLKLMSNLIDIQFQANPFCSRDYEDKFIVDCPWLELIN GREVGKPGQYIKQEVLALKEKLQELDIDVNNYHMSDLDSEEGIVTEERLKAILRVQGFQD EEDEIASKINQEDQKDENIMAENEFIKFVTEQNEQMDNIRAQYLRRLLKTQQSECSTERG HQEEQSLQDSQIKQQIVEDSNEQAVVNQGKTIDKIITQTTKEAVYSF >CAK88467 pep:novel supercontig:GCA_000165425.1:CT868650:101007:102613:1 gene:GSPATT00021634001 transcript:CAK88467 MKQRQKSHTYLYKQICKHLNVPCSSGLLNGIQETEIVLILEQIGLQDIEPLQLLLAQCKF KTIILKSMQKNLKLNKSMAHDNYKNELLEILRVITKLFTKSLDVITFVIRDIRMSSEHMQ IISQGIAVVQSLKELRIIQCLMTSNHFSILQPSLLQNSSLHIVDFSHNYLTHQIGVMLGQ LLQEHGKRRDSVDYLYRTKGESPEEDISKIGIGELDLSYNKLNDQFVKDVVPYLERDRWI KSINLKYNAIQKEGFELLIQLLDKNTTITSLDTRRNLGTTQQFQKEILRKLIRNKNTKND LHEQRIEEENENILVNVSAIPQNLLSVISDQESPVMSFGVFEPNNQTKQMSISGRQDQLK FKSGYKNNDSGCLDCTRLQIKVKKQQQIIQLLKQEVQYLRFQLQTKQQLPQQQQQQQQQQ QQQTMNFLNLSDNNNNQHPPPQEVEQEDMLNKIEYMMNELTRMMDGLDAQGSVTNNLNAH LSSTLIGQDYTRVICERDDRANDFMNILGENSHNNSSECSEDEAKSQ >CAK88468 pep:novel supercontig:GCA_000165425.1:CT868650:102648:103000:-1 gene:GSPATT00021635001 transcript:CAK88468 MVSCAQYCMFISAPGCVFMTYLGFMIAIGSETVYVVPHSRVEGSFALFITAVLYAIFFAI SYNNEYSQVQRQQNVDEWINSQAIREAEMEMQDLPKEKEQEQQQLVEQQ >CAK88469 pep:novel supercontig:GCA_000165425.1:CT868650:103384:104817:-1 gene:GSPATT00021637001 transcript:CAK88469 MGNCNINEKKEDQMENNVMSVQNFQFIDAIGRGGFGKVWKVRQKKNKQFYALKVMSKPKI INKKSVQSVMNEKALLCGLKHNFLINMQNSFQDREYLYLVMDLLSGGDLRYHIGRHRKFN EEQTKFFSACIIVALEYLHQQGILHRDLKPENLVFDSNGYLRLTDLGIARIWKPENSQDT SGTPGYMAPEVMCRHNHGVAVDYFALGVIVYECMLGRRPYLGRSRQEIREQMLAKQAAIK RQEVPPGWSLEAADFTNRLLQRKPQNRLGNNGPDEVKEHPWFKDFSWEKLISKEMIAPFI PNGNEDNYLPSDSRRDSDDTMNEDQQIMLRRNSIQNLFNGYDFDNNASVPSSQVAISSTS SSRVTKQPTTTNTPKSAKLAQKLKN >CAK88470 pep:novel supercontig:GCA_000165425.1:CT868650:105170:105565:1 gene:GSPATT00021638001 transcript:CAK88470 MLYDSTQPYQGIKGGTSFTYDDYVPKKRNLSTLSTTTKKQQQKTQTSVATSSNVEDRLLD YEKRRLIKIQRMQMEFLSNKENHQLHKKLQQKSGSNKILQPNELRSTQQNSILGQCMNNF LKKNQKAQLYF >CAK88471 pep:novel supercontig:GCA_000165425.1:CT868650:105999:107133:1 gene:GSPATT00021639001 transcript:CAK88471 MIKIQNKYSYSQQDLIGEGAYSKVYKGLFDKTNECVAVKVIDWSRVKDKYYISGFQREVR IMRDLDHENIVKIIDMIHDPSQNREYLIMEYCDSQNLANFIYQSGGVLNENVSKIVLQQL LTALNELIKKDYLHRDIKPENILIHQRTLKLADFGFSVKADYSGNQLFRENVGTPLYMAP QILENKHYSVKSDIWSIGVMAYQMLTGDHPWVADDPAQLLKSIKSQKLQFPSHIPISEDF KEFIKNCLQYDEKDRYNWDDLIEHKIFKTKYVIQKNYTKIEQLQGAINQIRQVQKRRGTP LEQLFNNLDMDGDKKLAFEEFQVLLLYVDNLMEINIQKGIFYRYNVSKNNLLNFNEFSRI FC >CAK88472 pep:novel supercontig:GCA_000165425.1:CT868650:107954:108409:1 gene:GSPATT00021640001 transcript:CAK88472 MNTLVIVFVILLVHGQEIDWGLNLDQEQKRDYLIVNSNVQINQAGSNNQQKGQYVQGQDQ GTISEENKRQIYEEFLSYDLDEDGILRIDELKQSFRGEAEQQVRKLFGFGDKDMNGFLNF EEFFKFRTRDSMSDL >CAK88473 pep:novel supercontig:GCA_000165425.1:CT868650:108443:109016:-1 gene:GSPATT00021641001 transcript:CAK88473 MNNYFMLIDNQFLHNHVQIKQKRQAPKTERMSLKLTNVSPIRSFHERDQLEQLIKQSIQN PKNINCLLNEFLSRKQEESRIRVYSQPQIQAGECSPYKRVIKQKATSRRSSKEKQIDSTP ISPNTSLTFKKQVTIHIESTYSQRKQLNISKLSTNDLIQYHKQLIQRAQKMLLSAQFKRS K >CAK88474 pep:novel supercontig:GCA_000165425.1:CT868650:109257:110896:1 gene:GSPATT00021642001 transcript:CAK88474 MASIEQQEGIIIDESKQDSRMNFADEIIIDDQHILTISAEKMFEEPQKRTRKSRWGGRPD RKCARPEQKHLQFNPLEAMMNRKCILPVGAQSLAPPVPIGMALPWGMQQMPVMQVMPQQY GYNFVRTDTSHTRWGPEYEKTFQPPSLNCIPPDLSIDDLEYIIRLYCLDDINQKLQQPID SKMDDPDLRSPSPEPVYDQHGKRVNTREVRRKDNFQRIKCSLTEECIKINKNFVPPHDFK PLKKSQKIYLTDTLNAPDTNYIGLILGPGGNTQKFLEGKTGCKISVRGKGSSNTKKVDWD MDDKLHVLIQADNDEQLQQGVIEIEKILSGNQEDEQARNARLQGQVIATVLRDDFCEYCH EKGHRTYACPTKIPFEKARVKCEICHEFSHPTSDCPQKFEHKESFIQQQYDKYRKDLGLE KNTEILSQENQNEKRTTEQRVAFITNAQIVKPIQNLQIEDDKFIMERLRREQQEKDNYER AQKKALEQYTLQTQENQNK >CAK88475 pep:novel supercontig:GCA_000165425.1:CT868650:110909:112413:-1 gene:GSPATT00021643001 transcript:CAK88475 MESQLKFICIRQHMFLDQKYVLLLLPSKITLSQFGKEPKYILELKLHSIISWQLNHQNQL VSFGIIWNRIEKLFHSTHEDLMKLREAIKNQIMFKTVHQLYKPLSLLGGSNLDELYLCSD LIDNKQFQIKCHAKNQFEDSMSRIYNEIQCLNKIKSDYVQRLHEVFTGENTVYLIQEYLD GVNLNELLNRVTLDKPQILIIMKQLLTAVKQIHSQNIMHRDLKPTAIVFQNKDSIEGLKL TDFHLAVPINSQINLSDSGTPGYAAPETFKDNYNEKVDIFSVGCIFFKLVTKRDLFYGKT TNEILKLNRTCKIDLQILQIYKLSQNELNLLKDLLELDPEKRISAESALSHPYFNNDTIQ EEQLVNNQQQPLLVQNLLERSNGFFQAKTDNFEKNVEEFLVDSESPNICAVPIFKVLMKD SIISNLQVRKKSKLKKSETQEYSQLNFLNHFQTRKSVHQTIV >CAK88476 pep:novel supercontig:GCA_000165425.1:CT868650:114130:115191:-1 gene:GSPATT00021644001 transcript:CAK88476 MLNNSQTIKFEIANYQSCLQFKYQCQISQNIEKSKQSDINFIPSQLKQYIIGKVEMHIKP ILFVNVCQIYRQQFCFYSSYLVVVSDQNIIQLFLLIHYIIKFRNKLFMSCSISQKQDSFS NQDTIEKVSQRISKKEQIEMKRQLDVMINRVKMLAVFRQRLQGKQIQNEIKSEQVNSIRN EYNEYLKQVFNQSSIQQSKHKESREQSLELFREKTKHLKQQQQSLKIQIRQSVQQQKKEQ FELMKKQSLNHDKVIILQKERSKSYNMEKKQRVQQYEQQQQVHLAMYKQQKQEQFKSQHK KAVLDSFKKKAQDFMKIQQLWEQEQDILDLLNDSYNSKLTSSMIKN >CAK88477 pep:novel supercontig:GCA_000165425.1:CT868650:115427:117364:-1 gene:GSPATT00021645001 transcript:CAK88477 MRQRSGQILSPARVIQNFQMSTKNYTSKNASQSPLKFLQRNLSQIILKDLAKPDPNRKVN HGSITERVHSQQRSNSRDKVKPQIKQPKVPTEINLPFQQLTKGINLILNQKLTNKNKLIL EKGSMTRRNSVEDLLINSVQIGGKSSSIDKLPKSEIQIYVHYSSEVTQNYKFCANVTTDK VLNMLKNKSGNYQVVGFATLDENIGFDYYLTIPFLPLTHMVGKTIRMKPIFGISPPKQLN LSCFQFLHVIGRGGFSTVILSRSLIDGSFIALKLISKSFVVQNEKQDLVQNERDILIETT NKGSLFTSKIEFAFETKNWIIFGIEYCPGGEMFSYMKRVQKMTESQARFYITEVCLALGF LHHQQIIYRDLKPENVLIDITGHIQLADFGLARPNMQPEQNAYSFCGSPEYMAPEMFHND GHNSLVDYYCLGALLYEFVTGLPPFYCEDKNIIYTRLLNEQIQFPKKLSPEIKDLIKLLM IKDPNKRLGSKSGVDDILAHPWFQDVDIAKYIQKQVDPPYIPDLTQLQFKSPTTNDRILF EQLNREQKLINQFIPMFETSFFYQQKRYQCYESDKNSSTQVTKDTIDSLVRKRSKNSQNS QQSLLSQQSQSQLSSVLGSNETLKNTKSVTNLKVYQNLLTEPSRK >CAK88478 pep:novel supercontig:GCA_000165425.1:CT868650:117413:117841:1 gene:GSPATT00021646001 transcript:CAK88478 MINNKFDDDLDDLINDINSVMDNPKSKPVSQQNYQLEQQPNQSQQQKRKCLSPQIGNQQQ FRTCTNLRCLKCDLQVKCHINQKWNKDADYLIFRNYFNNMAILQKYLTEDNQCNAYNCQC TNINALESIDAPQNWVCSGHTI >CAK88479 pep:novel supercontig:GCA_000165425.1:CT868650:118375:121734:1 gene:GSPATT00021647001 transcript:CAK88479 MTLEQAVFIILIQLSEDGEYLRLQKLTEALKQITNEMPYMKTFNQVSTIKYLLCAYPFNP ITVELVITSLLDHFQDEQFIYTFFSAIMELAQIQELNTMFGHRKSKVMDIFPQAKSIHLP HQIGQRYCRAVYENILEAKKFACQYLKQLFDVAIQFQQDIQYFSMVFKLMIKNSTNTEFI HFVIQKYEECKIELFGNRKWIDDLDDEIIEYFEQSQIPMPFAVSKGLEQQRQDIKLSTIQ NNTSMSNSNNDSSILDEVDIMKKELETLYVNMQKWLCIVGTPSIKSRTEYLSDEHHFDYL MSFIFNPLKYEPIKDWEDKFNNLQVEVEQTDYKVESQLPSKSELIRSYKTTLIFLTDDNF QVMIQTVPKLIPVLLYQIKVSLEEKNKSVNLLHISCILDKFLQKYPKSTVLLMTEMNLFQ ILGKYIYNNKLATILVDVLDIQIDRYGIGSPCQEQLWKYFHRINWFETISILLINKDFQF QTLEQKENQKYIQLLRSFALSEKEKTPPIIDCDEKKKLTDLLGTLQAGKTMEEYYNSNQL NWQINQTQREHILYSQIEVQDIDGLKKFVEERDSNLQRSIHRIKTSQMIKSTKITTQITR KSSQVIRYIQINKPILRGFHGHRRYCESIDFQSDAIREDFNNDEDLKLHKSNPSSLRTSQ QNSEDDEDLGSISPRKLKIYPGYKLHLYVSDFEKNETKFKINKLYSEEAIQLLKFQITAL CNYLDLQHTNKIKVEQQKIDIKPIINSLLTTQIVMKLHQFFLYGILKDEATIEYQLAEEC GIIVNKIYKYSLLYQELQEIKPILQDTFLKTAEYLCKLIVKAYQNQDTISGLQKIQTFLL LTFKQGYSVFHLDPRSKEDFGVHKFFHSTVIHILIYWFFNSQNNNLYQNIFLKFITLVLA NAPPVILSSILFRLGLIGLLFDTYNIYCLNGSEHVLMADSLLAHVKCIVYSINASITHRQ LTAIQMNLRCLDSWKKLIDASPKGELSIYKEINFIINPNFNYKHSKINFYSYQMSIENNE SNNTPKLGRMRDQYNSQRLKGNYKLQHKLPLLHPLNQKQHVLSKTFSTNKQ >CAK88480 pep:novel supercontig:GCA_000165425.1:CT868650:121767:124037:-1 gene:GSPATT00021648001 transcript:CAK88480 MTDSVQAIQESYTRIKLLNNDIQIKDQTINLLQERLAEMEEQMNQAIKQKDYVDRQFSIL QDEHDQMFENQQRKTKQLQQFNQQLLVALKEVQDKNQELLEQVQYYSQEIQSKEQALQEL TEQIALIKDAVKGLEEELSQLTKKNQQLKKEKQDAEQQMRQIVAEKNKFESSVTNMLKQL ETENDLLKQELEDYRTRLEQQHQELVELKYVKIQFEDLEKKHSQLNEQFNIQIQTAMECE KNYALQFDEMNKANEKLRKQCEVFNEQYEQQKDYNSTIENQNKEFQKLFGYMQNQIDEIM SECLQINKSYIPQDPILESKKSSTSNKHSSSKKKKKNSYNISQIEDFFVDIKDILMDLIE TNAHLKEEKDQVSENILDMHKVGEQLKLKFDQLNQEYTKTKEKLQQEHKSIQEQQNDKIN QLEDALQQQNLKAQQDNQYINSLIDKCYHQDQQFLAFEQQIQQSKWQTQNIVQEFEELRN EHNQLKSEFSDLQVRKAISQNKVALSYSVISHLLSVEKSQKTFSQFIQYSNYLHYFVLMR NEFKNNSNLIYKRFRRAVYAVIFVKKIRQSILPSNEKSQLNFDKNEELDIVLEKYIPIFH SLEEGSGVEYNAFDKMIKDMKIQVNKYSYRSQIRSTNSQQYYQLINLAKEDISKKNSGNQ QIDYYKKQLSIFQNKITQLDQYVQQLEQELQEKSSQIKKQSNLQQSEEKQVSKYRIEPSS PITNNLSAVLQNELTQILSKKSTITNKAGQIVKQGF >CAK88481 pep:novel supercontig:GCA_000165425.1:CT868650:124037:124799:-1 gene:GSPATT00021649001 transcript:CAK88481 MESNSLDFKINIQPKKLTEHVEPDVDLTVDKYDAVYQNTQQEEEVETANKDLLIQEYDIT EAAHPYYCAAALALTSIPGLTFILLQDVIHAYPLVILLQLAQFLALKNYLGLKLIGLRWW IEMDIKGEQKWMFQTQSQEQSNKVDRYFFWACLIYGTLFWCIMCLGDFFGFKIFWLPLPI ISFVLSLTNLQGFYKCRGEHQKKLQQLKREMAKGGMNIVGMIMK >CAK88482 pep:novel supercontig:GCA_000165425.1:CT868650:125258:125971:-1 gene:GSPATT00021650001 transcript:CAK88482 MFKLRTHTVKQWLLQRFRKSVNSQYIVDPQEEEYKQELAELFAKFDQEGKGRIQKFELLS LLNKYGISINEQELTCYIKQANCKSGRFITTEQFKQCVLSEKSKLFIRKLIRNSNERNPQ HYFPTEITKVLNQVHYLSERGRLLSQIEDKQLPVEQKLKPLSKLMNLSREITITEQRPQS KCPLRLPRAKSTCTTNYNSISTAITIPSSSNSRFGENRISFRRHPTQYCE >CAK88483 pep:novel supercontig:GCA_000165425.1:CT868650:125982:127168:1 gene:GSPATT00021651001 transcript:CAK88483 MSPKIAIIIGLLIRLGLIAYAEIQDKYFNLKYTDIDYSVYSDGAQYVVDGGSPYDRHTYR YSPILAYILIPNVWISSFGKILFSFVDMLACYFMQKMVKSTFLLNLWIFNPLTIQVSTRG SSDTIIVLLIYVMLYLLKKERYTWAAIIYGFMVHLRIYPIIYAIPLYFFIDSHQPERIYL GVISKNKVKFALISGGLFIALLIFFHFIYEDFLFQTYLYHFTRKDNRHNFSPYFYQIYLS FESITRTQATLTFLPQFLIVLLAGLKYYRDLPFAMLIQTLGFVVFNKVQTAQYFVWWIAL IPLALQNTKMSNKEILMLSITWLILEVQWNFGSYYLEIQGYDVFTMIFIQCVIFFLANTY LMVKVIENRKASEFYKIKMD >CAK88484 pep:novel supercontig:GCA_000165425.1:CT868650:127174:127967:-1 gene:GSPATT00021652001 transcript:CAK88484 MSLVSAPKNLKTHLFNLIEQNKTQELIEFIKNHRDYIQSLVAPNYWTLGMYAVRYSNHDL MRDLYEKDLLLEHPLSQYTLLSTAFLNNDFESFKLLCDEFGKDINKPVHQFQDQTFLQYA IKYNLNDFVEILCSRGAYFIIERSSSSLIDCYANNLKVEHDSTGVKFKSYSDNRDVVQSS IFQLKRDKLTATHYFRFKNILLLQYLLQMKYLQTPEGYGDFLLNFNRILEYTAPSNILD >CAK88485 pep:novel supercontig:GCA_000165425.1:CT868650:128081:133458:1 gene:GSPATT00021653001 transcript:CAK88485 MSTLFHKNSNSAIMPWQEDQNYKYYEIFITDQFPFLDLIIVVKQQSTTGNPDIYISSQNP KPNRTNSEIICDSQGMDICILQYPSKQTYYLAVYCEEYCRYNLKVVCQNDLKMTDDLEFK LNNTSWIEVVRISIDQLKIQEIKQDYNLEVSIKVKNVEILQESFQTYMNSGYEKPTLQKY EYKGQDTFSGIQKFKIQNIKADQCYTLLVEAQQGALVQIKTRTYGQTRFINVGESIEDVI SENQFQFYVLNVTTEQELFQQGELILNIQLISFKGYTEMYVNLDENPPELESYQWKLRDG VSDDLIITNEDLIRLDAKGSYVYIAIFAKESIATFELKTQMLNPDLMVMELNKPAIRKMS KSKYHQYRFFIKSNKQQSVSVSLRNIRGDADIILKLCNEFWTCKFNEDEQSLIKSQDFKN HNTQYFYSLNPGNDIILFDYQPANCREYENFHMCFYAIIIIPGEDNVEDELTYSVLITTK QDNILLIENTPIKQFVFHEFYNYYKFIVNDGDLIQRLFIQLTPIQGYPRIFSSKTQMYPT KEQNDNQGVQNLIVYGAKSNGAPINGTVYIGVYGETASQYIITAIVFREQDDWGTIGKYA HQYIQLLEGYPQEINTQHSTDVQLFKIDLSGYSNQNAISHNQVKVQLRINSGEFEIYGFD HPEIDLNKAILKGSNSLILTDQIEKYPQYLYVRVQVNASSSYPLYSYTIHYRESSQPIEL VVGDSYQGFIEKSEKQIFFVNFYKMEDLEINLHAEFFDQTIIWATIYLNDDIIDMKQQSL ILNSDQIKFDKCRKTEEIVQCVLAIHVESKQDTYFTLIVSKEFQIIKLYNDERITKAIKN DYNYFTFLLTDETEIAVFSPMTNIRILVSIIQLDPPTLEQFPTNDDNSLFQSLNDDIEIE SSVFISQQEVLKANCDKTPCYAAVTCINLNSANNHSTVYSISRSSGVFKLDEGFVYTGLF KGGQMKYFKVTNINEAVGLQILVQSKNKGHVLIIASINQMPTGYTYEFTSTINIGDFIMI PPKKDSSQIVTYYIGVNAPTQSIISVQVKTGIARFYHISTSKSFVHTYPWDSKTYLTFFQ GYPADFVILMSSSVMNEHLKPKIHICSYQMKELPDVMNSIPTEYHWKMDDYYLEIRSDQE HFCSRCFMMIYVENNYQDAFITFTIARKDAQIQLFDNVEIKHKLKTNESQNYVYLPRFDN EFYLQLTVFYGKIYIFNNINYEDLNFTNCLLVLDEKDGLFDHQQNQIESLEGIHANRTIL IDSYKNSTSSVVQLKVFSNFTNESVYKIEIIDKNQAVQLKLGQPEKFQVVQNNYIQTYFV IPNGVDNSSDDYYSITLESVRHLHLSNHVLEFTLRHDRYNNPDILSDHHDFVDAQISIFQ EIDDITYIQIPSIAGTYFLTINSVFASSSTIYVTLGNKDQNILSPKTQRLVRTKVGEQKV WEIHLKSLSQLFVQIQLCGGIVHAYGSSSRDDLTKGLYRDKIESVHNKQLSGTIPSVQPE FYYLNTQTIKNTTQTDFVSYILYIDILKLDTIVPVDHFYPGNGGEFKLSIVENHLHFDFS PIQSSEKTSQDYVLQSIKYTFIYQNQSINDNSQLDKCNLNAQYNSLIVKRIQHDPQNILT QRVYIGEDEYQKISASIQARVTIKTNHYETVQLSYFYNTQILEQNVITYNIYYENRRGII IITLSLLLLALFLLIIKYRDLRLIAKYEAQTQQPIPQPEQSIEMNYTNFRSG >CAK88486 pep:novel supercontig:GCA_000165425.1:CT868650:133622:134473:-1 gene:GSPATT00021654001 transcript:CAK88486 MRTQEKPSKQGGLYSLNLGQNNGPYLPKDIMLTNQKSLKLLQGDEVSKSVSNVLARAGMG QLYEPPDIYDEKSKQFVNLDEKYKTRMSASDNVAAMSTLYTTSIKQLQDSLAEYKELIKK TEEELKAMNEKINNDNITNIRELQPMLKVFHGKLKERLHEEKNENYKLMREIEALNREKL QIQQSILFSHKRIMDLEKLVGIQHKTESLYAEKIHEEEDINDDEDEQHSEKDLDSQMSES QD >CAK88487 pep:novel supercontig:GCA_000165425.1:CT868650:134505:136159:-1 gene:GSPATT00021655001 transcript:CAK88487 MSQLPQYTSQTVESQLIQQPSQLNIQPQPINFYPPSVTQIQNPIASNQNYQMQQQLQQPQ QLQQPQQFQQAQQALYPQYQQQPIQLQGDDQQLRQRQMSQNLQQHMMQQQWNEQFQQMLQ MHHHNYLLQNQLQNLMVNQTAQSEQARRDMMMQQQQSLADFTNLQPETITEYIPVEKKII EYETRIKKIQVPVTHEITEYVPVTTETISPERTVRLSPPKEVHLVPTVVQQPPLLQQTVI PRPSQMQMIPAPTQITRMPTVPSYQQAPLTSIRSQLQSSPPRVLNKPMDIPIPPPPPHLP LQPFNYTPKDFPTMAMPPPPQQFYAQASLNSPLPPSPPQFDSPPPPAYQHQFANPQNQLP PHLIPQVPQVPQDLFNQHQLHPHLQQHQINPNQPYQPPLLPHPPQSRPAPFIPEQYYRDQ IEDEQDQYSYEKPQRKQPNHPDFQQTKHPSQLDTPTYHIPNYDSENIRDKQSEPIQHPKR APFIPKSYDPDLYYEKPERVQIPSKDNQRREIQRAVYEKRPQNAHQQTLRSKENYFKDNI FQ >CAK88488 pep:novel supercontig:GCA_000165425.1:CT868650:136327:138413:1 gene:GSPATT00021656001 transcript:CAK88488 MKKDRQSLLSNEPFKIGDIPFKTYLVLRQPQLDEPEKTQLVHQLNEHNVQVKDQQYQFSG VFANKNSNEDIYKAVFRDLISHFLAGESHMIQILGDRHSGKATLGIGNMRNPGLVLLIMQ EIFNFIEEKFLENNITIKMSAFEYDETGFKDLLVLDSQPFMPIFQNGQNQLLGIPEVIID NYLLGMKILRIAMVNLINKEQNILSQKFADRHKRTNFIIELKAEISPNDDIKEQVIISKL KIVKFSGTFEFGQIYKTMADLTTKRRKHVDVPFKSVELLDYFRDYLLNQKQTVFVCINSD SVESVKLGSFIGQIMNKSQFLTFSIYQQNAQLKDIMNNQYESLNLFHKEFCQYNESKKER GKIIFSILIGMANLYKTMLKLFDQASEIKYKLNCLYSQVISFHCSKYNNIINTEDCKEFY LAKIQLYAIEQVQTVESTCQSLAIELLNDLFSKHQQLIKLRKEMIQFGKQFNKYQQLSQY DLQRLNNTHQLVTKLLIIFEQRLGYNILNQIDLKYYQMFDNVFDIASFYKEDVQIQNQDI QNQTQTQTVKKQTQLNTTKFFKPTLPSKKEPPQRQRFTDVVQQLFHDENTKEYYSAKSVF GLTKINDHPQMRQTVKSELFDKLQSRQTNNIKAIVLEEQKYRFFRSTGVGFIKNSYRTGN LRAQSQMLPRIVQFESVDHTNQNQQS >CAK88489 pep:novel supercontig:GCA_000165425.1:CT868650:138469:139351:1 gene:GSPATT00021657001 transcript:CAK88489 MNINNQLLKIVQDVNNLRCNLQIQAVIKSDIEIKPSLDEILKILKQQVAILIELVQQQKG VEYDQLEKAVQKAEAEIRNHIRVIIYSNLLVGAANEVIFGQSLRENRLIGEGEVRIIGEG IKQVSNLKNKSLTAGQERKISSQNQTRESSPSLFKKTYILGNQVYNRQQGIQQEGCVTMA QNCDSQQTRERRSSGTQHSYMMMKNMQEPNVLSSREKVNMNASQEKVGDKSSTILKSQNS TSLLKMYNIQKLIIKNQQDKQLQQLKNKTINQILDDSQATKKKP >CAK88490 pep:novel supercontig:GCA_000165425.1:CT868650:139414:142957:1 gene:GSPATT00021658001 transcript:CAK88490 MSERVKVAVRLRPLIDEELICKDKSICVETIDPNKKLIISTHFQILLVKKDFEKRQFQFD SVFDSKASQNQVYNDIARGVVGSVVKGFNGTIFCYGQTGTGKTYTMMGKLDSDEKGITPR TFEQIFNEIQADTNNIYTVQLGYLQIYMEMLLDLIRPDNQDVKIRECPDNGVFVSGLEWM EVESPQECLSIMNFAEKNKVVAFTNLNAHSSRSHSMLVIKVEKRQSKQHSRSMTISKKPS SKLQNYSQDMITETDESILPSGNCVGTLYLVDLAGSERIKKSRATGDRLSEARSINYSLT ALGKCIHALTGPKSTFVPFRDSKLTRILQDALGGNCKTALIVNIGPAGKHVEETLSSLTF GMRAMKVTNTPQINQNVDFEQLSIQLKMEIEMKDEIIQKLEQQQVKLLSQGRMEPSNSNL SSSDHQYKVKLEKAEEEHKAFLEEIDNMMVEQEQENEELKKQLARVMLELEDSKNREHTL EQEIDEFKNVQQQLENELEEALTNKNEFADTINHLQQKLEMKDREIQELKQSTVLSTKNT DIIKISKEKQNQMWRSELQQITSQYANKLEEVKRLEFQQQEKENNNILEYRQRNEELILQ VKQYKYELSQWKEQEQQLLQSYQQLDECLQQQKEVFQQEQSKLLTQIKDLEAVNNEITKR LEQYEIKLKKQKDQQQQNEFVYQQELEMKNEHLNKVQVEYLEIQKQITMIKEQYSTIQTI NQQKVKQLNHENQMLINKNADYQLQVQQLIEKHNELLVQIQQGKNSQYNNYNQFFNSSKV EYQANQSYVNEQNFDIELKKSITVSGVNEGQIVFEMQFRIQQLEDFIDQLQNQLKQKETI IEELQLKGSQQQKEADQLKFQLESFSKRSQQSLQRMEETMCQEVVRSVLEKMIFQVELNS FESDDISSRAPDSDLKLQMKSFSKQDNAQLVESLFINSRRSQHNAIVECDEEEDNSSEID YQLPKFSQSGKKIQQKLRMSKSRQDSVFSFSEKQQKKEAVSLYDDLSEINQQFDVQSPRS QLDEIQFQIDFSDANAVDKFMSQIKKKQVSLLQNNDLSVGSIQQAHSPQYIESLSEKKSK SNSRIGNEELQEVIKVMAQMLVEKSKTEQTVTNNTLETLRASLLDFNNLCNTLTTYFL >CAK88491 pep:novel supercontig:GCA_000165425.1:CT868650:143076:144106:-1 gene:GSPATT00021659001 transcript:CAK88491 MLTEPNIDRSFVEDQIKLLQRDINCIIDQDRNLRKQGLTKLQDFFKVKSNAHERIYIFDA YALKNLLRAFEDQVERNRETAINIVLNYLDLHDQNFNTETLRLIVDTIINRLNQLPFTET SEEIRLALIKLLHKIQVKHIDAYTNNLQRLAHMIGKALQDNFPEVKKDAALFAAEISKKL PIGEYMGEAVKSLSQNMQHAHTKIRKATVDSIAPILLSRTNGTFLEVVLTNLRNLSLDKS SDVRKGTLIAVAELLMHFSIQNLNSFENNLILILMNSLSDDSKEIQTLAMQLLDEIGLKR QRLDEEANL >CAK88492 pep:novel supercontig:GCA_000165425.1:CT868650:144257:145382:-1 gene:GSPATT00021660001 transcript:CAK88492 MVRQNQILCKLLNQQGLIALQKQNYEQCIEYLRKAEVATIYVPELKVQTFNNLACYYRKM GKTRTALQYLQQALAIELQQKQSTSLPDIYLNLCAVLSQLERHDEAIQHIYLSIIMLQHE LLISTFQKPELKQDQPNEQSFPSRQSSVQYVNTVKSHYNESKKVQERMSILVVAYHNLGV EMEHLKLQFESKKIFQSALQLSEQCLPQEHQLRHALEDITKKYNSEQQQQQGNEQLTLKP LLPKVHQKNSELKQIYKSVSPKQILRRSTQLQQQKINTNNKNFKFIKKNSFSETYRLERD RIMSINATTEVIIKNQENKDISNLPYLRTAIDTVNQTTIF >CAK88493 pep:novel supercontig:GCA_000165425.1:CT868650:145551:146485:1 gene:GSPATT00021661001 transcript:CAK88493 MSSPDTNSNRHQTKKRTKLDSNKSYSFYDSFVTRKDIIKLASLETQNQQREFLKTVIVRF ETGHKKGGVFTFQVYELISFQYYQTQTISKATEESQQECKLAVFSEYLLQMYNYLKNCTF EQKSAFMSLGFYIFVESLERKITQIDSYEIFKRLLRPKILLTNTYNLPIFTQEHIMEFNK FMTSYFYRYYSLYELRMTTYQEVNIFGRLKGENPVQVEKEEDKDSIQEEDEFNFKDVEVH LDDEVQPEQEVKRINEKDEEQIEKLMEQYKLNWNTRLDGSSLLQEAEKILTKKK >CAK88494 pep:novel supercontig:GCA_000165425.1:CT868650:147525:148097:-1 gene:GSPATT00021662001 transcript:CAK88494 MSHDPQVDLLNMDHAAGEFLQMPLRNNFFQRFETEFFAEPWDAPIGPAKKSNSKVKTIKF KQPISRIQPRYHTTETPTTPKRPQSCQQTNQFVDLGIPPATPSYTKIMQRIIISTPHKSS RKNSTSQYRQKCLQSLLMAQKQNSQLQYLTHSSSTYFNFISQINPVSQKLKINQEPLFKK CKFKLKNMLN >CAK88495 pep:novel supercontig:GCA_000165425.1:CT868650:148600:149246:1 gene:GSPATT00021663001 transcript:CAK88495 MNDLRRIEKEIQEKQRLAPSFFKNPYRPTARLLKQVRRQANFIKTTSHSKYEEMIDKVMQ RSPRQSRIKEPPTQEQILDNIIQELKKEIEQKKANLSPTFCLRRREHFDQHDKILTLKTL ISHIHNEQAIRNRQPQSKFPCIQLESNSCDSDRVMSIISACSKQNNQLEKMHKFVNQKQR NQRKRFASLHDQIGLLYLLE >CAK88496 pep:novel supercontig:GCA_000165425.1:CT868650:149258:150752:-1 gene:GSPATT00021664001 transcript:CAK88496 MGNSKSKEITKHAKVAFTPEQLQQLEKLFAGLNGGKDLVERSFLSHFPENPDFSIKLFNW MVERSPNHSVDYTNFVGLLELLLKELKDYYLSDYKFRNLEKFELFALISLECLENDKDSI NRFAVSYSQGSIVLRELLNIYSGGQITNSQRNDLAARSVTNTIFDQKDEMPFNQFVSMAK AQLIYANKLCKHYFNVKFLGEQSQIQIPRLNTSSFILNDQVLALLQLSSPDFSKIKQLQL QFSSSVSEDDMDHIADIVINAQKPLLFIFRNREDESQNDTFQQQVFGAYISIDPTLETHY IRRKLKDPLNILYSDNDPKSLYFGDEKSFLFSLLPKYQLFMSTFDQRSKQCFAYINNRSF KVDQPLGLAFGGDGKGNHRIWLDENLKGNATCRINNQCDLTYEMGYILEPHIEFLNVWHF YLLIKLTCIEIWSVEEKEANTLLQSKIVEAGKENTQQKEVEQQKESVIEQK >CAK88497 pep:novel supercontig:GCA_000165425.1:CT868650:150758:152135:-1 gene:GSPATT00021665001 transcript:CAK88497 MRKSNLLKSLFNKQIRQFASEKFVPFNFKDPLNLESQLTSEEKLIRDQAANYAQSQLQPR IREAFSKEHFDPEIYKEMGKLGFLGCTISEYDLSGVSYTAYGLINKEIERVDSGYRSALS VQSSLVIHPIYQFGSKELKDKYIPKLASGEYVGAFGLTEPDHGSDPGSMKSHAKKKDNYY ILNGTKSWITNSPIADVFVIWAKDEKGDIRGFVLEKGMKGLSTPKIEGKLSLRSSITGQI IMDNVKVPQENMFTTVKGLKGPFSCLNNARFGIAWGTLGAAEFCFHHTRQYALDRKQFDA NLASFQLIQKKFAEMVTDIALAQQAVLQVSRLKENDQLATEQVSLIKRNSCAIALKIARE CRDIMGGNGISDEYQVIRHMVNLETVNTYEGASDIHALILGRGITGIQAFSRAL >CAK88498 pep:novel supercontig:GCA_000165425.1:CT868650:152580:154323:-1 gene:GSPATT00021666001 transcript:CAK88498 MQTLQNRFQYLEKDLLGRGSFGRVYKGKDTKSGEAVAIKIMDMTLFDDQFMIDALHKEIE IMKQLQHPNIVKLIETFGDAKQTVLIIELCDGGDLRHFLSRHGGMLEEALAQSVMQQLML GFQEMIKGGYIHRDIKPENSLIHKNVHKVADFGFATKADITGRQLIRDCVGTPIYMAPQL LQNTAYTAKCDIWSIGVMAYEMLYGRQPWPCRDINSYLLNIKSSPLKFPIEKKVSEQFKD FIKKCLTVDENQRVGWNEVFKHEVLKISQEDRKYDNFQVDEVSKQILANIQKIIQAKNLN VEQQFKTFDQDKGGYLDLNEFNNFIQALDPRVTNKESEHLFKLVDKSGDQKVSIDEFKKL FCDYDYSNLKDIAERLIVDLKEIIKANNLKIEDIFKNFDKDKQGDLDFDEFTKLCHIVAP ALKNEEIQVVFTKFDKNNDKKISFEEFKRELSYGTDQDSQFNPAKEKANKILSELVRIVK QNNLKVEQIFQNFDKNKNQKLELGEFQQLCKVMDNSSTLEESSLVFKLVDKNQDNLVDFQ EFSALFK >CAK88499 pep:novel supercontig:GCA_000165425.1:CT868650:155306:159690:1 gene:GSPATT00021667001 transcript:CAK88499 MNVRFQKMLNYFLELYIKNEEQLVIFPRSLVLLLRIQSSLLSLSYIYGTEINSYVTLLSE FARPQQFFASLDTAQYIILVMCNLYIINLFSINAHSKHIGVFGQLLRSSLLNFFFEYSTG QIKILTHSFLILISFSETIVLSGTLNANTTNFQHTRFSFLDFILAILNYIIMIIYSYYDS YNVIVVLALAINILKALNLIIFSPLKNLYTKSILLTINLYTLFIGLLKVASLNKGFTSEI VLIPFVFNVTLAYYQRQFKQRVFNCKNGNCKVINVLLYLDNIKDIKTIKVEKPQSAKERI IYSSILMLQGQDYEAYLELNSIPEVQLNILEKFKRKVILKQCITKIDCKIQVESNQQHKI ALAVSSLMQSEESNLLIRNDILSILRDKIACQQLLMQSQTYSNYTTYLRFVEKALNLQKK LEKQYADFPCDKTQSILGFYYAEIINDFLQTNQLFSIIALSDEKIKRVGTYQDVFSNRMI YLTSKFSHTLVITRCSNDASQFLHKTNEQLQGKSINCLIPPGIAEHHDKFVFQFLQTGQA KFMRKINSSYLYYLQQNIVTQIEFVFDVNLLNDFSFISFIQPTSNQQMFLILDQNQKISS LSEGLVVELGTKIPLHSYIGVNIKKVLPDFPLIISGTQYIENAEAQFSKLNEEVQLSTGS SQLVTLITSYTAMPCTFQGEQLYYILTFDFFKKPSYQSQPSISHISPTYSPKKEDQEFNF FTLVNQESKVKIPYHDICSFKKNTNSFYQIQLKGSQQEAIEQSEQNEVKLFSYLEHTNLI SPSRCNENLLAQQQYFSKSKSRKYILQISGKDQDQYSNEKQQAFQDDRSSQISSLQGARR SKFYKKYEIFAKINESDSFSKNHKLFIIMLLLCIIIQFSIQIIQLTEINSNLEGLASDID LLQIKNFIFQPLETFLVTRWAIVNYNLLRDQKQINLTQYVELIKFPRANLNLGYDSLDQN LKKAFNRPELQEFLKNTYLEVYVYVKTNQGEIYNISLRNSINILINYQYTFKMAYILDGS AVADSPYVYFQYRNYLPIKEKFSNLNEIVLIDTMQRATNINDQVRVIFIISITILIAFLC LTLLYFIRITRNINKYYVLMQNISNQYIENDLTRLKSLSEKISKDQNLLFKYQFSLSEKE KFFETIVDRNSTMRRINQKLQSVSMQEIYYYIFIFTTILLIGGNAILTFFEGQTYLNKYP ATSRFYKAVSDIGTDVPTMYAQRDVLYGRANFPFYTQVDIENILNEIQMAINRTQLFSSE DYDFDNFLVSDSFKEYFQTLQDQDLCDYIPDELQERSKTLCPLVMSQNMKRGLKAVLIYI INFIKTDMEINKFQVRTQASFLELEGGFLVSNLIKLINDKFNVDLMNQTKYYISTINIHN IIVLILLFFIAQIILTVVVQRLAYKYHLVKRLTYLLPQRTLLFDDVYERNIRQLLQQQ >CAK88500 pep:novel supercontig:GCA_000165425.1:CT868650:160280:160528:-1 gene:GSPATT00021668001 transcript:CAK88500 MNADVRSVQLTEISPPLTALKPRKDAFNNPILKGNNSHKVIFRDQANNGPLFEIHIVENF KEYNLEEPQMNKKTQICDCTII >CAK88501 pep:novel supercontig:GCA_000165425.1:CT868650:160660:163732:-1 gene:GSPATT00021669001 transcript:CAK88501 MINYLQILQQRSPFMEELTIYEEGRRMQMLEKSLREKGGKEYYLISKKFIKQWKHYVDYD EEMEEYEKDSRQKVLILNMHSLIKSILIQLAIRNCLSTFPKTMFITLPFVIWKMNGIMKL EVYEYFIRNYQSAEPQIRVGFYNENLKRKQIFPNLARFTLIYWSPVDNKLQTVIAQVDYN SEIKAWKNLLRDTFQELFKLKKVNNVRIWQPRHKTFKQGLLIQQISKTKEVDGDILDDNL MIFQLQSQRNNCLILDFEMNDWQFTKYTKSIEYDNELLLEIALQGCGQLVCEFPQCKLNN GYLDLGFGVEDIKGICQVLIENEEVKWELMCSKQNGLTNNLLPFYQRDDKIDIIQYLLKI SASLELFGISFVENYNKNQGVYQIDQENPEVNILLIQFTDKLLKQQKEASIQIIKNLFCR KVADIEPLTNLYQLRALYLILQFRGSLNYILEDKTQILLTIIRRLSQQQLNQLSKWLSKL DNSGIIQLQSMLKSLIEEQIKIQQNAPIRPLLDIDDIQRLKGLFELYSMIYKSNLRNRRI KTSDFIINSIQHFYKVDADREEFTQFQMFNQKILRNYSFTFCQYPWSMPLEFKSKLIYIE CKVKQFDQRRRTYGILPQYVSLTIERDNIIESAIKQLQQTNQSLKNPLKIQFVNEQGVDE GGPKREFFRLIMEKLITPDYGMFIPKNNDTIFWFNPQSFEMPIYYSLIGKLLGLSLYNSV LLDVRFPTVLFKKLQREKVKEEDLKELDMEVYTGFQFLREQTDPKVVESLGLTFNATYQV WGETYFEDLKPNGFQIDVTIQNREEYIQLYIDWYLNKLVQKQFDLLKDGFKTVVDGDGIK LFSGEELQQLIIGLPTFDMKDLEASTKYDGYESNSEYIKYFWNCIHSLNVEMQKRFLFFC TGSDRIPVGGLKSIKFVIQKHGEDTEQLPSAHTCFNVLLLPQYKVKETLKEKLKISLENA EGFGLM >CAK88502 pep:novel supercontig:GCA_000165425.1:CT868650:163742:166560:-1 gene:GSPATT00021670001 transcript:CAK88502 MNIQKGKQQGQKTGPGMNYGAGMSGMGMSGMGMSGMGMSGMGMSGMGMSNGIQSGMNMSG TGGFSGISNQQKQFGMSSNMSGSMGFSNSSGFKQPQQQQTQKNKFGQQQGMLGMNNVQYG NMGQMNQMQMGQMQMQMAQQQMKKGMGQMGPGQMGMGMGQMGMGMGQLGTGMGMGSQINY EDDDEQESVLLEEEIDEHYRPTNQEIREYAYFLGMELPEDNDLLYIAREGLMAPLPESWK PYQNRNKEISYLNLITNERKEEHPCDDYYKQMFQKEKQKKIEKIAREQARLVKESLFGKE TKEPEIAVQPIVQSDVFNRDQDPLLKQTQEKKLQQYREQKKKEFEEQKKKIDKKIEQLKK EADQDYEKQLAKLKESIKVNSSKQKQEFEEKLKKLKEQEEEQMLELEQYERVSRASLEKN IQSRLEIEKKNLKQLEEKQMERIDEEYEEQYKNQKKDIETKYEKEYQQLVEQEKQLRFET TNTVYDEEKELEVLKLKFKEEEDKKFEELKKAKQQQIDDQIEQFKRQEQEKINQELAILE EELAKEKKTKSTFDENQIRQQYQKEFEDEEQRLTENLKQKLEIEKMKIKKQIKEQESKQR KEIDDQINKELRILEQQFEVEKKRKEEEFELEKQKIEEKAKEEFYRYKKNFEDSAQQQEL QIREFFEQDQFSKKQKEQSLLENIEQLKKELNIVKNEHNKYKQEVEELQIQLQQTSQSIE YGQVINKQKFELKQLTMQEKSLLEQIAFKQQKIQEIKKNINNFNYNSKLFGETNLSAFGQ FGGQIDNLRSKISNNKSPFEIEAAIAQLMIQIRQQKDQLLSDQKMVQMDLDRLMKSKQEN QDSYLESMKNTGKAKLEQIQEKLRQLSKTEQWVKENQSVLNQQ >CAK88503 pep:novel supercontig:GCA_000165425.1:CT868650:166725:168530:1 gene:GSPATT00021671001 transcript:CAK88503 MQQDQNQGMDHQIADKEMTVQDQLLEQQKKFRNLESDRKAYAEETVANIKKQRGIIDKLK NENYILKDLIAKMNSQKLQLNQTTYSKGPNIDTIIDDLKVAINEEKKVQEEIDTHVADFQ KKIIEKRHALGGYNAGAENESALQKQIKILENRLDKTNQKFNEAIAINKQLRQQIDSLRR ERVIFDNLYKKLEKELHEKRKEMADIIETANTAYEERDKANDLIQSLKQQAKRESADFEK DLRELSQIMEKNKKTLDYMKLTEKNREMDQQEVVDPEKFTKPKTNKLTRDKTVNQTIVEQ IMKYEEDFAKIQAATQIKVFDELIKIFIQNEEKNFQMFKYVNELSNEIEDLEKQIGELKD EASLYEGQGSNVDVQRKRHLKDLEEKLSRSESKSEQYEFKYNESIKLINSLTNWIETLFN TVECDKQMATEIAGSHGVTDTNMMIYLGLIENKTNKLLQYYQQIHQKISENQINSLQQLA QMNEKNLQNKNRAELPQFDEHEDDDIEGDKILSVEEFKKKALEKLEKQQNQTKNKRAGPN KLRKNK >CAK88504 pep:novel supercontig:GCA_000165425.1:CT868650:168894:169427:1 gene:GSPATT00021672001 transcript:CAK88504 MQEIEAKKQLKASEGAHFFYTLIFLSASGIIETQFIEEKCNQNLQLFVHLVFYGLIIWGT YILITLIPRYKNAAINLFFNFLDICFGIYILLLLFYGGRMYQSPNDCPTEAPVLFFFLET FLLVNGIIFAILFLAFVSYVLKRFSKSQQVYDENKEEFYDA >CAK88505 pep:novel supercontig:GCA_000165425.1:CT868650:170037:171533:1 gene:GSPATT00021673001 transcript:CAK88505 MISQVQDLYQLIYSKLVNKESLEPSLLTRLHTQIFLQNMEQNFELEEFYYDILRKHIKSH HSISPQQLEILVNVVYYLGIFINQQTLFTKAFQIIKENLIDNQAIIQNSLYLNILQACGS QKICLKQGHQFVNIDINNNYFKSRFLTPYLDSITQRIPKDNIIQFIENEQQKIKSLHPES ENYVIQELKLFIIQQQLKTVDFKKNSQELFDLFNFLRIEDKYQYNLKQKLSNLEDKDNSI NSFYQLAIKYKEFLYQYLDLDFDQFFQLFKEQLNNIQNFYQNEKHMLIALNEIIEEYLIK HDCLQNLLETQDENIGYFSQCMVQSIDHFISLMMEQLFKLVSPYRSNFNLSLQSAKVNKM SQYRHKIVDKYKLKELEKYLKIAKNSIRPLYLPYPQFISQTAEIISDIPHQLHQYLPKEE KKRYDLSVYGYAEVTYKQDYTLVLNTKQLVSLYHLINNNQKQIDEFSLILFQKLGLINAE GSINNDWQPSHKCLILFK >CAK88506 pep:novel supercontig:GCA_000165425.1:CT868650:172850:180511:1 gene:GSPATT00021674001 transcript:CAK88506 MLNIINQGAQNAFRVQGLKKRTTIHVQKDKSIDLRKIKGKFVFEPSKTIQSQLDEFFLML KKNGWDRKLGIKGFKGTFKVEHLIMIETKLRVLFPLRELTIVDTQMDREHLIILRQWLAW LQPKKLTLEFQQNEIDDQDFEEFIYYFFERDIDLKRLYIISNPGIYKGQLLKQLRGYLKE KNNKDEYYDYEMLMNKDSKSIAIIKLEKIWTNQEKNTIIQQAREKIDQTCFYYFNLQKCF TESNYQGFEHLIQLTYDLDNLEQICGLNASDNYLGTLNSFQQTCQHLSTAKGLLELKLKS QRLLDYSTTVSSLVGDRYDKLRVNLFDIQSNNQILEKTFGILSNEIFLHCKEVVLDGVLT QQLSTLYKLSFLAEAVKTRTDYLQQESIKCGKLLKHNFRKMNLSTVENYNRHDLVEKFLQ SVIFTEHSNIKLIYVQNCDIGRVEAWTNTFRKFKERIIKEEPKNPLLKNYKLPLKTIIMP TQTYRMEPQYIKRFFYEFFFTKKGEILETKTFYMESCFKQNGREEGIVETCEEIYKKIEN GDESMKNVEYTIKNVKFKSTTCDFRLSIYKCLILTDHFKLEELIVDDEGMSETLTEYKDA TYAYFQKQPSGFIHSLNSLQFISVQSITFEIYEFLELFVYHDQLRLKKLIIKSLTFEEKN EQKENFEKIIESKDQKQFQLSIKELLVEQINEDQAQINLIKYVIFSKFQRIEKLSLGNFK LDTFADQIIQIIEELQIQHQNQQGSNQNVDQNENSQEKPINDKQINIKKSFFVFENLRYL SLSDIAITSQKTWNIIFQQILFNTQIKLQELFLHKINLDEIFIKALDEATKSLIDTQIKE NLDQISDTSDLLLQYGNLLLEKPQDLVLKGIFDLQKLSFIECKTEKDVLTPFLILSTLNE LTFKSCDGLNKSIQETQEKFNKNPDYQKFNLVSIQTLVFEKITLEEQQFQWFLDEVVFNK DRQQVKNLTFNNCNLNDNLLSILTNQIKKIKSIATSFRRYYNLRSINLQENPNISEAAWV DFFNKLMEQKAQIQLEQNKKEEKKKDLFVIQSDNEENEDDIDSKNVLNNKKEDQQQDNED FQQKEDRIDKIAISNADFVYKNELQIKDKLINNFEIFKPSFPSNLQQVSINLEFNGIKEQ ERKDKLYYNIIVGLIINAESQVDNIKLTGTDLTMFMSNVQKAQQLIQILVNNCYKGDVKD FKSKIQKIEFNFKTATENDVKLFVKTFICSTHIQLKSLELSAPDNQGIISQILSQLPNRS EYVLETLKLNFKEKLTEGETFQFFEKVILGTVLPIKVLKLGRDISFSPAYFSELLLNQQT IPLEHYAISISDEEEQLQIHSQFLELLYQFNCKIKILDINKTKDMNKLLAKLIENKIQNQ NSESLLEELYIYGQINVDVKFLTFLFSLLKNLKLLKLDDISLENKDEIENFFKDYKLEFQ QRENSQLEVKKIKGDASQSFLENFVLNDKTGFSNIFLKSHELLTNFPALNNISKIKLLKL DMGLLYNSSTQLNEKALTLIGQKFIYNEESNCEDLLLYQLHLKVPGVKALTSPAQKFRED IEAQKRQSTCQLKLKTVVFYYSLYVLDEGHELLMKDLFFFEYINLERHQIQVSNFNNANC KSVYTNGKNWLKFQQQHNRQYKNEYPIKYIDFGRNEFVSEKQVWTDFLNFSVFSDQMPHL ETFNMHFMAINDLITEYIVENALNYLNKKPKNFKLPVKKINFSQNNSLTKIGWQNIFENF FLHPKVDLIELNMISTMLDSQEKLDVIYNAFKQRADRTPSKKLPMQMFLCYNVTLKDMIK PYLSTPPPDYKPPSHLPVAIDYEAWKYGVYDGIPEEFGEKVLTYQRIFYQHSEFIETSKW KEYEDVKFAPYHLDFSEHYLKKMNTYFKQHQIKNPQIYLNLNTLKEFSSIFRYRREKPGK PFPYQIVFQNDTYRFLKSRESIIYKINLIQSEFSNLELISQDDVITIWKSVKTWKSSIDQ IQIEYSLNDNLCEEMIRQGFSEKDIIQLVRIIPPKSIRIQGGLSLPAVKGLYSILYDTHY FQYSVINYGFDSFLNTGIGYALRETAYSYQDKNLFSNKMKKMFYTIFNFFVSKAQKFEFD DEIHNLNTYLSNRKLFFFLIAFNNVLFWAVTLISPFFFTHYYNGDTEPEQQAYYCVAGTS KEANYVYYGFAAFSALIEAFLFYQFQLQIPNHIEKLELKIEKIDEEQELRQPQTINQEQD VKKNQIAPQLFDRAKKTYIDVQKAFQVQQKKIAESKFLQVFLVLLNLAFSQLYKFDLFND VVFILTCFYCDESILFILTLLITSITQGIYILQFLYLLYIRITQTDTTAKILSTKFINDI YQIAFLGRNQALSQQLDKVAPYNVSIIPNIWLTRTFLSDYAGRSMSNPIKAYFMQFMLED MPQTALQAYFVVKQGLKQGSLNSQVIFIIVISIYNFMSSFYLFMSIRPSTLSQDDFDKLS KIKKNKYLEMKEQHLMEEEKQLKIYSELYSNPSRVASPIKQNQDEEHEQLL >CAK88507 pep:novel supercontig:GCA_000165425.1:CT868650:180653:181831:1 gene:GSPATT00021675001 transcript:CAK88507 MKIAILLILAVSLNAVSTDLNRQAQKHVDALMKTNWGQTILQLAELHAHTGGVLQDLVGA IEEMIQQMQDELDEVEYNYGVRTNEHNSLSLQYTQEVQDADIDIQRSADTLENLLYPRRE QLKSKIQQLIDYQEFNRKNVDEETLIREQEHDAFEAQIAEFNDAVGATDDALNLLSTLTN PSLVQIQKFQINLRKIEARIQPHSQHQTLIKALITLASEQNFSDQGIIKQIVDKLNEFRN AVVDAINAATAQEAQDVQDYEDRIEQLDAEYAEFQRQITKVTIDLNATQEKIEQFLSFQA QRQSDRNTAQALLDLENEQYADDTQIYTDLKNKLIRDIQVTEEAFSLVKSVDFSKIKV >CAK88508 pep:novel supercontig:GCA_000165425.1:CT868650:181900:184033:1 gene:GSPATT00021676001 transcript:CAK88508 MLSKLFKPTLKVNQHTLNAFSSKVTKGEYVIGIDLGTTNSCVSIMEAGTPKVIENAEGMR TTPSVVAFTADGQRIVGAPAKRQAVTNPENTVYATKRLIGRRFDDPNVQKDIKHLSYSVV RAQNGDAWVSLKSGQTYSPSQIGAFVLMKMKETADAYIGKPQSKAVVTVPAYFNDSQRQA TKDAGKIAGLDVLRIINEPTAAALAFGLEKKDNKIIAVYDLGGGTFDISILEINAGVFEV KATNGDTSCGGEDVDSILSNWISSEFKAQSGVDIQKDKMALLKRLKLNYHPLLKLISTYP YLTADASGPKHCNLKLTRAKLESLTEDFLKKTIKPTENCIKDSGIDKSKIDEVILVGGMS RMPRVQKLVQDLFNKPPNKSVNPDEAVSIGAAIQGGVLKGDVKELLLLDVTPLSLGIETL GGVFTKMIPRNTTIPTKKSQTYSTASDNQTVVSIRVFQGEREMAADNKLLGQFDLSGIPP APRGVPQIDVTFDIDANGIVHVSAKDKATGKDHSITIQSSGGLSESEIQDMINKAEKYKD EDKKRRELVDLKNEADGAIFNTEKSLNEHKSKLQPNEVQEIESAVQNLRVLLTENLTSND VQRLKDAVEGVKNAAMKIGQAMYRNTGGASEQQQQHSHEQTGDQQQQQNQEGGENNNKQN >CAK88509 pep:novel supercontig:GCA_000165425.1:CT868650:184063:185100:-1 gene:GSPATT00021677001 transcript:CAK88509 MNNQQNNNLHPLYLEQQQWLSQFKQPLPQNQQYYQTHQQQHSHGTPHFAQLQSMGFCDHK KNYRLLKRYNWNVDQVVQSLNDQNEGRQQIIEQNIIQIISNTKILYIDCNNIKYACHQWN KFRGQPKKQIKNVLKIILVWFQLNEQQLDEVHLIWDVYKMNKIEKIRQKLSKYEPFKYFH ELRQQDKITTFKILNNQKFINFSIQSAYPEIADDLIVKLCQGQNNSQTTVVTSDKGLRTR LQEIGVTQFIGNGRWWKLKEELVQQAQQQQFSQQQQQLLQQQQQQQQQYQQQQQLQQQQQ QQQQQQQQQQWQQLTQQQQQQLYQQYQQQQQQQQQQQTFNNDFLQ >CAK88510 pep:novel supercontig:GCA_000165425.1:CT868650:185409:186732:-1 gene:GSPATT00021678001 transcript:CAK88510 MDYDSLYLLLPKEPKKWQVSDVVIWLQFIGLGQMEDKFGMLFPCSILVNCSIDGSILEEI TENDLEEELGISQKIIKKKLMNWISTGLKEYSIYLCQIKLNTIKKQPNEEFTLQKNVNAC QEKQCQSIINQYDELYSSQNKMTNLIENQLILQPLESQQNNFYCVKQAGAKIGRHSSNQI LILEENISRFHAEITYQDSKFYIRDIGSTTGTYIKIQKRMNLFLGMLIELGSNLFQVSQL EEVSNGIHLGLLVLEGPNCEEQISFHLNQDKTSVTFGRKANADIAFPEDHHLSNLHAKFY LVDENVTIEDHSSTNGTWLRLSEDGKPSQNYPLTIDDEMTVIRIGTVNQYLCQLDKFSIN YNENNFCTLCNENERDALCLPCKHNSTCFKCSKNLQLCPICKMKISQQIRIYKN >CAK88511 pep:novel supercontig:GCA_000165425.1:CT868650:186763:188230:-1 gene:GSPATT00021679001 transcript:CAK88511 MKQKVSQISPDKLYASLLKRKNEQEQQARLKSPIVTANKQGCDFSSNRNSGGTSKIEAKK SRPASGKDFKDVSSVLLKKTHARQNSKLIETKENSQNLSTSALSLLLKIKQDKCENKQSQ IQQPKDLYNQQTIQKKYQKLMEMTKQQQQLILKPKLFKGPRSISNPDISTMQLQIAKAHG QSAAGMLYNGQTKTNQDIYKLIQRFCNRENDWYIQVSDGHGTNGHQVAQFLREVLPQFVE QGVVNLTTCYERDKQINLVLKNCFLQTSDELMDSGIDITYSGATTVIVLSFDNVLYCANI GDSRAIIGRFDNKLSVIELSKDHKPDCFLEQARILQRGGRVQAYSDEDGNPIGPARVWKQ DEDVPGLAMSRSFGDYVASQVGVICEPEIFKHSLLPCDKFIVVASDGIWEFLSNEQVVET VYEYYKRDDSQGACQKLVQLAREAWQREDEVIDDITIVIAFIK >CAK88512 pep:novel supercontig:GCA_000165425.1:CT868650:188268:189534:1 gene:GSPATT00021680001 transcript:CAK88512 MIQNVIDVVEFQTTSQSLFSKQTYYTIKGSDKEGNFEVHRRFKDFVALRNLLAIQWPGCY VPSLPGKKLFNGNDAKTINDRKKFLHAFCQKLSLLPHLFQTEEVSQIFLRSKDEKVYKKL ESIKSPSTQDLLVKYQTIFADILDVVIEASTLKEIDNFADQVRNYSIKLKNIKKIIKDSV QSGRNYNSCLEEIIKTRLINFENSFVFQFVNSDASLLIFNRIKQELVDTYQEKQVFTQNN IEILLDLIRLESKDCKIVLKQISDKINLENKVKNLENKIIESEKQLEKLNQGKVSFKNLI KQKSPEDAKKEVEQEIADIVKELKYLSELIVLINKILALKERTRYENDKNQQFKEIMEVL QQMELESIKIESQYWGFILNETNQLINKTQYQQQQQIECQTNVE >CAK88513 pep:novel supercontig:GCA_000165425.1:CT868650:190083:190903:-1 gene:GSPATT00021681001 transcript:CAK88513 MKFIVILLLILVLANGANIRKHKSNKGTKHAKDLVQIESQNVGESYDLSNNIGVISSSEV VVNALTNQDRIEKAEQKERNAIHNALNKAHKSFAQVADNENQVFVEETLDQTPQKEINFA DLFTNDGSSPDMGKQALLEDSPSPPMAHIPQDENLDYVRAIDKLDLLDENGKPLQLLPEE LSLLEMSQFESRFQQTETPQMEQAPSWDLEAAYEKTPGQSELSPEELQRQTEQMVDSLRG ELDNEDN >CAK88514 pep:novel supercontig:GCA_000165425.1:CT868650:191360:192307:-1 gene:GSPATT00021682001 transcript:CAK88514 MSDFLFICDSIQPTLKKVKLDLFNSFEDETTSAITRKQDPSFIPFLSLRQKFGVHQKGGF YPLPKKYASLLDLCNKIEMNYLRNQCQIQFTVQNNFTDIIQVLQLLNLDPKLYKLKVIQD GIVIQHPSQINSNKSDNKIIVKSSQEIAQLSDLINKRIQSLREKLDQLVQIKHKQYLSTI NCEDFEQFGSQFKIYHNDFDLNDCPDIRPVKLKKTIFTKEQIQLHLDMLNFMAEHYDKRG VSFMFYDHIVTILSVHFNKVKSEIERALKNIMNLIPDKIQILMNHINKQRWMIQLDRASL TQLNKQCILNSFLCN >CAK88515 pep:novel supercontig:GCA_000165425.1:CT868650:192521:193168:-1 gene:GSPATT00021683001 transcript:CAK88515 MARKILADEWLKGDVKDVEFKKKITGKKGQLLVELQKSYKGDKRFKLDEKFNDDLNVEKL NKKFKDLQQDLIEESSDEEQDLEKEIDEKELQIKSYQDEVNQQLQILAKLMPDDAAFISY QKKPDKKKYNLIVPKFDPNNIDQRLIKKNEEKKIEQAKKQIKTEIVKGIDKKTIKIEKAN KLLQRVKEKQEIKLNIDRGAWKNIIQEEGPKGLFS >CAK88516 pep:novel supercontig:GCA_000165425.1:CT868650:193727:194518:-1 gene:GSPATT00021684001 transcript:CAK88516 MLPPPDRINYAEMRIQKVQIPVQVPIFKPIYVDYPYPDYIWTQDAQQATMLRAKQGMQTI LANPQIKNYLINDDSSEESSNSDSEEEQQVQTQENKATLQNQQQVANKNINAQNYVNGAQ QFVNANQQIEVGQNQQIRPPIQLDFHDSGYQKSQLQINNSYTTNKYPTSNIVQGRNDTTV SKNISMGRQHLEKFKSQLNQSNLLNQRQQQNPQLNTFLQ >CAK88517 pep:novel supercontig:GCA_000165425.1:CT868650:194552:195710:-1 gene:GSPATT00021685001 transcript:CAK88517 MQEQQNPHSIKKQQKEEKMKKLLMKMALNILRKFFNSKGLPYHPLITCVSIKPGCPNRCA SLQSYPNQSVWKSLIELPKNIQKEVADFLTKELQDKQQKFSQNFLVEACIRINNSFDFSS FYKEPTLSNNQTDQIEQDIQGGEANENMDNGIKIKQKKWSKGQDFVSESKQISTQMKLCN QHPPLVKVNQTHYHKMRTLLEKIKDQKFKWSKEMKVFVEELEKFIQNDPSNESLKPKKKI KKSNDKKECQQQEDDESIQRSKTILNDIKQEENSAQDFLEQFKQQIKQIEPGIVMYLPEY SKISPQYYSYCKNLEDDYFKELYYMVRVEEMVLNPQNILRTVKQCPWNHNSLYPFKVEHE AQMK >CAK88518 pep:novel supercontig:GCA_000165425.1:CT868650:196655:197824:-1 gene:GSPATT00021686001 transcript:CAK88518 MEASSQSDLSIASLATIEAIDDAIQKDKNRYVRKGYGLIVAIFIALSTYLTVPHIMLFVH SYLEGMEEIKIFLLVQLSTTNLSYVIGNIYMYIIYSLKLPFFERYKVDNNPWPWEKSQES WNQTKKKVLLNWILNSTISSLLTVGSVYGGQKFRHDSSSIPSYFELAWQIAFCMIVEDAS FYWLHRTLHSPRFYHIHKKHHEFYNTISLAAEYQHPIEFVLTSTATALGPLLLGSHMHVY TLGFWYIVRVFETIDGHCGYEFSWSPYRLLPFSGSSEYHHYHHSHNIGNFSSFFYYWDTL CGTNKDYFNFRKARNNETKLNLMRKVYHLNQQKTKTS >CAK88519 pep:novel supercontig:GCA_000165425.1:CT868650:197871:199005:-1 gene:GSPATT00021687001 transcript:CAK88519 MSIRLQDMKLFLVKDNSPIKVELKQQDDILFNLVTKADRSPINILVPVIEGNQPITIKVN DYNAEILPDNLEMQSTYKLLLENGQLKQEPIQPGDTFALSFKVRSGNANTQGVTGNSAMN GSTLSTLQLSQLRHKLVEQGKGTSMYQSASSLRHSIPEVSFSKAQRFDKNLTLRTEFNYS IPDSVGSQGRSTGFGYGGKFISPLYVQRNAEQNPPPDAYFKEEIKQPSQYRRTNWSQSER FKMSHTVQGPSPNSYEVTQLIGANKPACTIGSKIKPLATFQEKVPASNTYEIKTQIIEPS RFNKITLGYGKKSDFTKNDKTPGPGTYEQPSVFKNMSTSILSNGTLRTNVMRK >CAK88520 pep:novel supercontig:GCA_000165425.1:CT868650:199025:200601:-1 gene:GSPATT00021688001 transcript:CAK88520 MHNYNVDHKYKFQTRIGNWFEEWELDETKKKDYLKNRQNGQLASIVKDTKTHFSLRLASL TFPQDEYIHFGFHLMLQNLKTQGYLSIDIQEKLKLQEEAYNITTAQTTQPTVRSVFVILP YTKEPNYYGDDILHYGQHFRVVANPRISNNKTFYLHSLPQTPTRCAKISRKQEVCAIESD VFNTVWKFEHADPKIRFEMEGQPIRSDDTVLIKHSFTQHWLASDDIVYQNDFGREREVFV HSYQCLNKTQNLIAEKEGRTTIDIPLRNQEPQNLWKFQVARKQNEEFDESVMDDNRNVKN LMIRVKQQITGKGAYGLRGLAKIFLEMDQNNNGVVEYNDFKWGLRNFGLTLSEDETKMIF QTFDKNGNGRIEFNEFLDAFRLQMSDKRLYYVQRAYASIEQKAGKVTLETMGRLINVKEH PDVLKGYKTERQVFQDFVSHWNKSNPDRVISFQEFGEFYQDVSSSVQQDETFEAILKKSW NL >CAK88521 pep:novel supercontig:GCA_000165425.1:CT868650:200621:201352:1 gene:GSPATT00021689001 transcript:CAK88521 MNTLKILQWNTLADTLSDAFPLINKQFLQWNHRSQLIAQFLKQHPCDVYCFEEVDHPEFF QQVLVDHLFIYQKKQHNSDGILIAYRKDLKLQSVNIVPFLENNKVSNQFFIKVDFLDFIL VVTHLKAKTDFEKIRRNQLEQLNKCVKEDKVILCGDFNTQPELEAVSNFLEISGMKCTNT TVPTTSKNRGKLETNIKDYILYKGVHLRQSQVGPTEGVQINESGLPSELFPSDHIFLIGE FEI >CAK88522 pep:novel supercontig:GCA_000165425.1:CT868650:201403:202625:1 gene:GSPATT00021690001 transcript:CAK88522 MYFEQECRKFLRPRRLEYQIFDLGPERLPLTNGTLFKHEISIINRQGHQLKCSFFEINPI SDCCIIYCHGFNGCRVEGVKYAHVAAQYNLNFCTFDFQGCGHSQGDLITFGYLEQNDITC IILDIKKRFQQNQFILWGRSLGATTIQLKKQPYVSGYVLDSCFTDLNKACVRMMQKSTSL PKLIIKSVLYLLKGKIESQGNFKFDDIKIQRADSSVPTLYICSDQDTLIKSKNTIGLYQQ HNGLRDLIKIQGEHNDSRSLELINQICCWCKERFQINRQYSCHETSPLEIRKKYQHLAGR NSLIRTPSDIINKYDLNRQNLNHCQTQSTSVLKYNNQHIIQPKKLYFQESPQKIIGSQAK ILKQQMSQEIGHNNKFIRKPIFD >CAK88523 pep:novel supercontig:GCA_000165425.1:CT868650:204176:207607:1 gene:GSPATT00021691001 transcript:CAK88523 MAKNLNYLAYKDLVSLKHNNNILLNLKINPILQQCLRKISYDTQNNSKPANGITQISKQL IENENQSFETQLNIIELLHDKIECMRLLMEENYIVQFDHLLNYIEKLIKIQKILVKQYET FPCERTQCALGFFYTELLNDYLAANQLFSVLAISDEKMKKITLNQDVFNSKMIYLLTEFN GKMKIKCSSYDADSFLGISNESITNKIIDDLIPPGISENHDEMIIDFLKNGKSKYLRQLQ VNFLYYKYQQYMMEIDFAIETNLIQELNFIVFIQPTMSQILSIVLDINLAIVCMSKAFIQ ELDLQESIKFYLGMNIQKIIPSFRNNILENHFIENAEAHFENYDVRSSSSSRDHPYHTSY YIRTKKIDDRIAFYYVQFEYFKKNAIGQVSSSADSVNTSHHLVLDYIYEDNINEICEEAP VEIPIEDEVKREHPQLNYVGGQYSEQSHVINEFKYEQTNIKSTLRCNQTLLEQKYSNVNK NPSKVLISHKNESDVHDDQIKVHQLDECQSSQISSLQGLRRSEFYKKYELYQKLRFQVGN SKYHKLFIITFTLSLISQVIIQSIQIAKLNINLQLLAADIDLLQVKNLVYQPLESFLLTR WTIFNYIQMEIAGEITQQEFNYLVEFPRSNLNLGYDQLDSNLKQVLDRISVSGFFENKSL DIYVYVSTGEGELYNLTLRNGIQILLNYLYEIKKRYQIEGTIVSDSPYVYYSYKNYLTMK SEFSQLNQQILSSTITKSQQEQSQEEFIFIVAVGIAFIQFCITFNYFIQIQKLINKFYGL IQNMDADYTYQEITRLKFISSRLNKSSNMLFRFQINIEQREKEFQHKSFDLNIKKKVLHR PYYLKQYFAYYLYFIFVLALMFGNALLTFQESGEYLNKYPATAQFFKAISDVGTDIPTMY AQRDILYNIGLIAPFLNATEKARLLQEIKDSLNRTNTFITLDFNLDSLIISTEFKNYYYQ IQKENLCNFLPNYISSKSQTLCPQIMDQNLERGLLGLLIYISNFINTDMALNQFTNKLQQ SYLELEGAFLVSYIIKDINTSFHFDLQSQTQFYIDKISVHNLAILIFLCILVVLTLTKIK NKLIQKLYLAQRLPYLMPIKTVVFNDGFERNLRQLIQK >CAK88524 pep:novel supercontig:GCA_000165425.1:CT868650:207892:210309:1 gene:GSPATT00021692001 transcript:CAK88524 MKEVFQKLTNYLIRVYLKNEEIQIVFPPYVILLFRVQQAFLSLSYIYGLSNNYYIYVISL YARPQQLFIDSEIPQYIILILSVIYIFTLLTIIPYNNIIGIFGQLLRCSMLNFFFEISKG SISIITHTLLIMISFSETLIISGTLNVQTKNFQHTRFTNLDLIVVILNYLLMILYSYQFP QQIIVILAIILNILRIINQLLFSSFKNLITKSILLTIKVMTLFFGQFWLQINLSAQIIMI PLTYKMIYTYYQNQLKKIMYDNLKQIPCHIISLLAFLENVKEIQNLYVSKPKKPRDRIIY SSFLMYQSKNYQAFLELNSIQDDQLSLIESFKKKIILQKCIKNIQHTILGTNNIDNIAHT IKLLLNSEEQNYSTQNDIVEIVKNKIHCLELFTQNPSHSSYENYHEITKKIILFKEKLEK QYKEFPCEKTQGILGFYYGEIMNDYLQANQLFSLLAMQDEKMKKITQNQDIFSNKMIYLI LRFDGKLIIKRPSNDAAQFLQLSNQQLKGLELLYFIPKGVSEIHDDLVLDFLRFGKSKYM RQLNQSLLYSHEMECMSSVELLFDINFVDELNFIAFLQPPANQTLNLILNEQLLIKCLSQ QLIQDLGLEQQYKQYQGYPINKLIPYFPKYLFDKQQIENTEIFIEKIDEQSQTSSHPLVF ITTFNLHFGIVNDITKYYVISFDFIKRSPFYQWQNNSMSVPFLSPLIKKQNHGSFAIIND ESTIHIPYQENELMRNQYMYQLNQQDFNFLDNTPTVQCQKKQETKLLSTLEYTNIKTTTK QNELLLAEQRFFSKQKNKSSLSKQR >CAK88525 pep:novel supercontig:GCA_000165425.1:CT868650:210932:212263:1 gene:GSPATT00021693001 transcript:CAK88525 MAYELDGGIVSDSPYAYFQYKNYLTIKQQFSELNSIVLDQTLKRSLQIQSKLSIITFICF GALTIQYGFAVFYFILLQKVVLKHYSLVQYIQIKYIDFEINYLKKLIDGVNQNQNLLFRY QFRLENKESLLDTSNIKLILNYHDIYYYLFFIFFLGLTAGNASLTYYEGWNYLEKYPETA KFYKEVSDVGTDVPTMFAQRDVLYSRKGYNFIFQFKESLTVYQKKIVESLNRTKAFIQDD YNFDKYVVSDSFKEFFFQIQNDNLCDFIPDELKNKSNTICQIVLNQNMRRGLQALLIYII NHITTDMEINQFTSRSQASYLELEGAFLVSNIIKVVNDKFNIDLMDQTTYFMNLANMHNI IMFINLFFLGILILTLIKNKLIEKLHIAQRLTYIMPSKSVILDSNFERALRSLLKEQ >CAK88526 pep:novel supercontig:GCA_000165425.1:CT868650:212452:214687:-1 gene:GSPATT00021694001 transcript:CAK88526 MINNASSLLNKLDLSLDYIISVLSIGIEIYLQQKLDTLQYFSITLLALAGFAKLVEKKKL FGIKQGIKLDYYGLDYTPIFFWSLALVRVVFIKNIKEDQAIFWLYNLGFSNGQYMSITPT KFINHVTQLVKAFVQFGLLVGLFIYYFEDQLVSSILLIIMMLFIQYQNYRQNIKLIEPNK EEQYFSSNANLNGSLNKFIPKQQEQPKSKVKLQEEGSLSRQEASMHLMPDQVDIQAPTQK TMWQQFIEQSEDYISKFYFSMNDLENNINQASNNYSMNKLLQDNKIQLASLFKQIKVSND LNVKLYESNNLDKKQVSFFEWIEANANSFKFSDLNYQRGLEENLVISQQINQQSIEMIGA FIDKQLSVISAIQLISGFLEVSNLKNRKFLYGKYNGTTQNYNFYIQINFFEEEVDGVQRQ VTAVLIRDLEKQVQQIKTNLKNMQKINSTIKFLKQQADLIQSIHKKINLQEKTITEILNQ KQKSTNLVKTNSIYSQKDSIDDALSEISEKAQKNCQITQFIKQLQFQFMKITQNNFNYFE VFSLNEFVQLEKNKVDITQTINLLINQFHYDEIVIGQKISITMRDQLKNRCIITDIRRLK QLLFNIIYNSIKSYEDDLENSKIKKRVKIVLKNYDEQNIRFEIIDYGCGLTDDNINSKRL DDCKLGLAASQKLIKILGGNNNQIKIYRSQSKKKTKVIFELPQTLFVDKAEIMGGDFDFD TIQLVFTS >CAK88527 pep:novel supercontig:GCA_000165425.1:CT868650:215857:217365:-1 gene:GSPATT00021695001 transcript:CAK88527 MRLKLIIQYQNNSTDNILLFSKPNQTIEDLCKYLSKTCCLQNPQIFIEGFRALNDQLVEN LVINNQPIQVIEVNESSTKMIQKDTKLKQKQTKQIQESTSEENIKQVQIKQPIIQNQNSK VQQIKSNLIAKPNQIQDNLSQQNEKQINPIIRQIVSESESSSEDVPIKKSQPANKIQINI LSPEEELKSKQQEWKKTFAKNAQKPTGLQTSQQTKPNQKQNPIQQKQQQTSENQNKEKVA QQTSTPQTTKKQTEYIKLPVQTLNDDPTLIEKNDEILFKQTYLDDVKFEPSVSNLISGKV EENSQSEKKLRIKTNKNETLQINYSEIQELQINVATIQSQARKAAIKVLSQDVDSKYEPQ ETQQQLLQSDSNTAKITQSQQKEVIDPFGIGQQINYYYSDKNYSKDDFILQHSAQDPEKY MLMKLLLNFPKIKSKIKSEDELFDIIDFFLRKTKEDLVNFQIKKNDDNLWTIRKKQIA >CAK88528 pep:novel supercontig:GCA_000165425.1:CT868650:217400:219607:-1 gene:GSPATT00021696001 transcript:CAK88528 MQQIKGLFTNLLENFEQMISNIDGLQIEIIKQLLEETLEQMYEIHTQLKESNNPTPEKSS VPRATQQKIQDQQTALQHQESEIGHQKQEISQLKNKIMLQRYLYIQQIQYLEYNELHELT KKQQDKSSNENKNMKQFYLEIAQLKQTIKQQDDELRVLNQQNSDLEDEIQQTKENLLIQL ENKGQELEINHQQYLSMEKKYQAEIQFLNQKNSELTLLDQQYKEQFSKQQEEFERFQNLF KEIQNKNQFKQQSNDQLIELQIEFEEYQTKAEEELSAQKQLIKEMNTTINQKNQEIILQI SQIKDLQQQVDNKDQDQFQAIKEKNSYQLTLKQMEQRFKKQLEDYQEEFENLKQLIEEQT EMNKQNQIRYSEQEELVNNQKQIIEDYIEQIQNHQQEKKKFNREIEKLKIINEGLQDQID QVNNQNLTAIETSNKELRYQKALVKQLEQEVIQLTSTVKAQELQVQDLQEQLAQANIIQQ SKDLQYRELQQEKQQLDRQLYQNEFNLQEEIKHLELKITQFSENKRRLQQQIETQISQIK SLQNENSDLQEQLNQLNQNIVQMEILEQQQQQSIQFQHEKINRLETQVKIYKQQLQNSKP LSHSFRDSYKTPEKDILETYEQRLQMKQKEIAKLQDMYQQVIEQNELLQSYTEKKQYKKS DQEFDKEFSSQIESILESDVIENPDQERVKLQTIIKNIQKKTQKN >CAK88529 pep:novel supercontig:GCA_000165425.1:CT868650:219664:220396:1 gene:GSPATT00021697001 transcript:CAK88529 MQSLILMLYQIEAESSFQRYRNPLNEFHNKSESNSFEHKQAEFQIPIGQYMFLPSTPIYP ILGEQKYEMLEQPNYFHKNNNQVELNKNEQEVEYKLNLTTFGCKKACQIDCLLVERYFNQ KYWIFQNANQYYKLDQNKKNNVDWLKERLQYRYKPQLYEVFVEMIDGIHNEGQLQMNARK EPLKVTNQKIFQNLERQISKGLKQEKTFDSFLLEIQKVIAEFIFTKIN >CAK88530 pep:novel supercontig:GCA_000165425.1:CT868650:220462:222171:1 gene:GSPATT00021698001 transcript:CAK88530 MLNQHFCEIDDDISDATSFEESIQKRCMTAPPRPLTDLEEFKRQICQEVRRSEEYEALEK AYRSQSQLIQRDYQKYDLDNPEGQHSCKKFLYHLEYMCKVYKVNAVSRDYRDTFSKAYKI LYTEGELCYLTEILDSAQEGFPYLWVNSEKYAFSADVLESGVRLVEAFYKVQHVIRYTYS GTGQESPDFSSQKLKAEIQLLLENFDIIWVNFEKYYVKELMQIEAEARRFILKAIEIDKE MISIEVREKLKGRILVTSDNYLHYKAKLCKVIAQINSVANVEGKGRDDLGVNILLEAEGI TRRVTREQSQAVRNLADSIKMNFSKFREQMRRYERNIEMVDPQLKNNQELVELLIEYETQ WEKGLNYLLDPKRYTQLMLFSHIIETTAEKYQQFKEQLECRDSDIFVAIPCLIILKHLED EDRNICLYFLPMLNDTSSKLHQSFMTLKQEFQTWRRQHSKSYEYYNIIEKLLLGIPQQQF SEVESNQIQKIMQKIKFLSMELQRYNAIEWNQFIDAAINNN >CAK88531 pep:novel supercontig:GCA_000165425.1:CT868650:222248:223925:-1 gene:GSPATT00021699001 transcript:CAK88531 MKESQSKKYKVLQFEEIESLGNTGRYILDGFPRSQDNWESWTKIIGNSVDTTFLLMFECS EAVMEQRLLKRGETSGRADDNAETIKKRFATFINETKPIVADFEKKNKVVKVSAEASPDE VYENVKKALSNKGVQPQKDLKCYLFQEDQVQAKELNFSYGHLSTGDLLREEQKKEGPIQA ELKSIMEAGKLVPSDLVVKLMKKVKRKFRGKYLLDGFPHNQENIDSWNKILATLVDVNCL LYFECSDAEMTKRLLERAKTSGRADDNEETIKKRLATFHSETKPVLGIFKEQNKLKVINS EQLVDVFYSNVKKIFKTSGLTVTLNGQRPAKGKYIIGLVGAPGTGKQVQSSRISKRFGFQ HLSIKIIIRDEIKKNTQEAQTIKDCQKNNQPIPGKVVVKLILAAISQSKARNFIVDGSTR NEDNLNAWYAQTKSSCKIKIYYVFCMFLRTADVGNNNNYNIAKKDQATIQRKVETLNFQT NQIIQMFKKDERLIENNTEPSIDKVFAEIERVFITKKLDR >CAK88532 pep:novel supercontig:GCA_000165425.1:CT868650:224009:224220:-1 gene:GSPATT00021700001 transcript:CAK88532 MGCSTSNSAYLNNPGNAPKAEVIFILGGPGSGKGTQCERMVKDYCFLHIST >CAK88533 pep:novel supercontig:GCA_000165425.1:CT868650:224425:225592:-1 gene:GSPATT00021701001 transcript:CAK88533 MSTSLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLQHPFIVNFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTQHAGFYA SQVASMFEYLHSKNIIYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKSFDKNAKS LVKHLLVADLSKRYGNLKNGAADIKNHRWFGNLDWNLLLQKKLPVPYKPVVKAPNDTSNF SSYPESDTQSPALKPADDPFLEW >CAK88534 pep:novel supercontig:GCA_000165425.1:CT868650:225683:226086:-1 gene:GSPATT00021702001 transcript:CAK88534 MASQFKKLAPLLNRVLIQKYEPVTKTASGILLQSSEDKQAVGKVVEAGPGQIDSKGNVIA TLVKPGDVVLLPDYGGQKIKLADQEYFIFRDSDIIGILHQ >CAK88535 pep:novel supercontig:GCA_000165425.1:CT868650:226208:228057:-1 gene:GSPATT00021703001 transcript:CAK88535 MQGAPVIVVNANTKREQGRKAQLGNIQAAKAVSDIVLTTLGPRSMLKMLLDPMGGIVMTN DGNAILREIDVQHPAAKSMIELARAQDEEVGDGTTSVIILAGEMMVAARPFIEKNIHPTE IVNGYFRALEDSVNILDEISQQIDTDKKQEVMKALQSCIDGGTLISDLSLQATRIVLRGG NINKLNLEIKRYAKVEKIPGGTLEESCVLEGVMINKDVTHPRMRREIKNPRIILLDCTLE YKKGESMTNMEMTKESDMTDALQQEINEVALMCNDILKHKPDIVITEKGVSDLAQHFLLK GNVSVIRRVRKTDNTRIARVSGATIVNRPEELQETDVGTLCGTFEVKKIGDDYFAFFVDC QNPTACSIILRGASKDVLNEMERNLHDCLAIQNYYQEEEQLKWKSVLDYWKKQIKLKDWV NCPYKAVAYALEIIPRTLSANCGADTVRILTELRAKHSETGGLFFGVDGNTGKIAKMNDI NVWEPLSVKKQVFKTAIESACMLLRIDDVVSGIKKKQQQSGRQGEEEPQETFGDQRDG >CAK88536 pep:novel supercontig:GCA_000165425.1:CT868650:228065:228995:-1 gene:GSPATT00021704001 transcript:CAK88536 MSLQQQLQQAFFEQQISGHSIFIKLNDGEFVAKSYSIENNNEQNFYEWIQQIDGYQEFFS QYNGVVILEKSQQLSNQQTINSQQQWLNSLISKRYNPNNTKYLLLENLTQNSQNLRILDL KLGYTVHKESHVQRYENSTSSKIGLRICGMKIQENNELVIFKDKHWGRTISVEELVESLK TFFNLKNRNSKLSFKLEILKEAIDKIESLKQFITNHCKQVISWQGTSLLLIYRDDNDFKI KLIDFSNTKVDPESTEINAEIIKALNSLQDIIKQI >CAK88537 pep:novel supercontig:GCA_000165425.1:CT868650:229255:230390:1 gene:GSPATT00021705001 transcript:CAK88537 MSASENVRYIKIQKIGEGAYGIIYKAQDVQTEEIVALKKFKLSDNEGVPSCALREISILN QLNHPNIIKLISQIIINKKLHLVMNYYDMDLTEYLKFNHEESHLKNIIYKILLAVEFIHN RKIIHRDLQPNNILITNNEPVLIDFGLSRVLSPNMTPGVTQLWYRAPELLSNCNTYDYAI DMWSLGCIIAEIALNKPLFMGSSEIHQLLLIKNIINGNEWFLHNSQFAKLNNLFIDLINK LLQVDPSKRITSKQALQHPFFLDQFAFIYNKE >CAK88538 pep:novel supercontig:GCA_000165425.1:CT868650:231141:231680:1 gene:GSPATT00021706001 transcript:CAK88538 MEYFLTRFTVYGSPFYNLNAGRDHTDWENQSRLRKALSRFQQSEEGQALPKKFTDQQIKG IRWGLPVVGLFFGRFIGDIYCAQSSIYMKGIFAVVGLTVFNKIGMDIRNDNEISYWTSNY PKLPSDIQKALSYGDARYTGRWID >CAK88539 pep:novel supercontig:GCA_000165425.1:CT868650:231952:233843:1 gene:GSPATT00021707001 transcript:CAK88539 MILETKSGQLFKKAAEEIENQYDLLCDIKNTSYREKHERPILHSKSLICGQREIENNDQL IQENLNVIQQGLIKEPQLPIINAYEDLKKVKEQVNSMNNNLEPETFEVDEWVYQGDFEKL KLDALSLDDLRFLVQEFEKEEYERNYLQMDKTLLKNKFFQRFGQGIRIGIMEKASIEIQD AGKVILQLGACSNNLFVILRGSCQQTLTMDIQLEEYEYLTVQSYNDGQDLSEINLLQLNN NRGYSSNKFIDMVEEIKDHPKFKDIVKNERTKLITSHISCSSKTYLLRMDNDQFQKILKQ SIEKDKEFKLSILSQIRFFQHTSASQLLHLVAELGIQNYFQGDSVVRKGDQLNRVIIIAQ GEFEIVEEIELQRETRNYYLEKKLKPFVHKKPIDRNTRPFIPRDQADQTFDQYLNQSFDQ SIAKPKDKSKDQPQDQAKEQPQDQSIDQSKDKPKDQSKDKTKDQTKDSRNSKCYQYCNKQ IIGKNEIAYTHLHVLKTLKKCDVICGRSLLILYDNEYDQKQASSAKLTVVVKSVQGSVFF LDEKRYQNLPESLQNQILAGLRSIKEFDDYEIDHIRKQIKSWEKYKQNLYQQFVLDKRKN SFKLY >CAK88540 pep:novel supercontig:GCA_000165425.1:CT868650:233915:237449:-1 gene:GSPATT00021708001 transcript:CAK88540 MQEKVIHLVDSRRDKSLKKMIKILRFQNCKVNRMSKVKPQVYYVELPSKVEQGSFHILPE RDSRPKTKSMAQKLIQVYQIPILIQKSSKKVLGDTHNSLLPKNKLIKQSSFGKNRSKKIH SFSYNHYESVQKENEDCYIHFNNMSNVSFKDYMDAEGTKYQNQSIARANKMPSDKSQEII EGKKLLSLGQTEEAQKLFEDILQVSNNPEARYLNGLCHLSKSEFSEAIADLSLLISQQPL YKRNAYILLAIAYKKSNCPNDALTTLTHAIKQFNKYFDAYIYRGKLLLKMKQYDRALKDF TSAVEIQPKKAIAYIGQADCYRYMNQPKYSVQACTQAIECEDSAFRQALVKRTLLYIDLK EYDLALLDIEAVLEEDHCDSEALYIKGFICTKRNQISEAFLAYEQSIKHNNSKKAVSKSL YEIAKIKIEQRDFYEAFYQLSRADYLDVDEKILEKFKIFTDGVTFLMKRKFDEGVEALTT LITKHQFTDFLKPLIFQYRAYGYFCQSQYQKSLNDLNQLASLEKPSIYNKLIAEGILAAV ANQFEQSQGFFLKAQKLMPNKMEPYFYKATTLVKFYSYLIPKDDVEKKNKFLNDALKYMD MAVKINEQSNLLFYRGIVLFAQGRLEDSLMDLDKAIEKSEDHVAKHFYVRGMIQACRNAF ELALNDLTIAINLDEKFVDAYLNRAKMFLYLGDRKNAYYDAQKYKEFKQTDPQNDIIIGN LFFQIGAYEDAIQSYSNSISSEKSLQVLYYRAKTYILIKELNSSMLDLQKIVEQSNEIHA IVDLNILQQLKNTSTANSDQNLFQEALQCANQILKKGAEGKIFKKSDILFYKGIFLFYLK QYEGAQQALRESYKIKEDQQRKELGSINDSDQYILEQLNQTQKKIECRSKPLEEFEFSDR TYNLFEYYFNRATVHLLLGQTESAHDYLEQLHENIAQPEIQEHLSQFIELLKEDQNPKSE INPELSKLTEFIIFPQHNRLCSIYPMVKLPLKKYKQSLLLRLSFCLPTVEIPEMNIKFDD KLLEAISPTVVENKPEAPWIKRTSEGVIFTDNVQIVEDLDLQSTTRLSKKQTEIEDPEFQ QFEDQLNHAIEKYDYSEIDQQDEIVEQPTQALDLLQLKQNLMLDSKIADKLNSILQKKAQ N >CAK88541 pep:novel supercontig:GCA_000165425.1:CT868650:237696:239392:1 gene:GSPATT00021709001 transcript:CAK88541 MGCGSAKPSDVNNPQYINDKSQLRVTSLNLVTEKIGQISQDYHLLKPSLGKGAYGEVRKG IHKLTNQTRAVKIISKEKAKKADMERLKEEVDILKRLDHPNIIKIYEFYQDNKNMYIVTE LCTGGELFDKIQESSSFSERKAAETMKQILSAVNYLHKSKIVHRDIKPENILYESSKPNA LLKIVDFGTSRFYDPDVKMDQKLGTPYYIAPEVLERKYDEKCDIWSCGVILYILLSGTAP FNGDDDNLIMEAVKRGFYSFDTEEWRLISVEAKRLISKMLERDPKKRISAEQALQDDWIT TYVKKPEIDLPQLTRVLNNMKNFNVEKKFQEAALTFMVNYLATSQEKQELLTQFQALDLN GDGRLSREELVIGYSKVMSYTDAEIEVDKLMKQIDQDGNGSIDYSEFVLATFNKVKLIED KRLEQAFKLFDKDGSGTISIDEIKQIFGQNSQVSEKVWKDLIQEVDQNGDGQIEFKEFKE IIVKAIQNTNDTDDKK >CAK88542 pep:novel supercontig:GCA_000165425.1:CT868650:239491:246871:-1 gene:GSPATT00021710001 transcript:CAK88542 MSSQNKQVSTGLFPNAQGSVPNLFGQSVGQGSSLFNQVGQTQNQGSVFNQPGSLAGAPQA AAAQSTANNMFGNTQSNLNLFGSNPPNNNNLFSQASSAAQPSQQQQPAQTTNSLFQNPGN LAPQTNQTGLGGLDSLSQQPQGGQATQTTNNTSSLFGGMTQSQNPQSSQPNPTQQHASNP TTLGNFNNGGATAGFGALTGGTGTGLSTGLGTGLGTGLGTGLGTTPGGLFGQQQNNTQPT QNNNTLLNQPNQATAPPTTNPLVSTAQVSNQAQPSQAQISPLGTSNNLFGNTTSSLQNLT QPQQQQQQPTQSITSNWMKQPTTQQPTTQQPTTQQPTTQQPATQQPTTQQPTAVQSTPSI IQIGTQPNQQQPSQQPQQQQTQPLQPTTQQQGTQQQQQQKPLAPTQQQPASQQQPASQPQ PQQKLQLPLSKNRDFYKIMEILNCRDEIKIQSLFKEDDTLYKIMIQGSNWFSFSENKVQL QSDTPFDQEIRTKCFDNKIKSEVYYVLKDSLQASRVKILHDQFQRKLKAPEKTKFFDNEI KKFQHIFFNHYAEQRSQLVAGLKLMLVNLINEEEIGKPSKQLNDMFKRGLIEGLANSFLS VPLALNFYLKQEQFSEEYTFQTFQHFVSEQTQILEIFTILQSYFKIDRFEEVYIKPTQKL LDNMKTTQFLGLFHKNEHLVFQEYSPLASKLQEEYKKIAFIFVLSQCIRPIHQLRENDCR DYLPPLWISKEESIKQMQPDQFQKIFQIAIILQERKEVQNQNDYEISLKYFVEVLRVLEN ASINTHFWSCTCNCIYQWICLYKNKYQEDDFEDEVELLSKIFSILLQLQTLWTQFYESVK ENDSAEQIIFDRLNKLKVFRKINQPETLDLMSDDHNQFQSLHDMMIDFSEGKLTNNLYKS SDFLGKSNRASMDYYNEQNKLKNKTLSMGIDDLGKRVYKFKHAIKFIKGEVKKSANSIKR IALLCSSNNINRQILKVTSECSRLIDLIIDTHKEEEKAIQLINILLTIGSEAVQIINYVL EDPLLQKEMAEEINKLPTNEQQVQRQQGFSYHPLFELFHIARKCQASYQFKVKQVIRIHL NYAQLCSAVMGQDNPLQLFEQQNSSHYYQSTQHTFVKNTLETFLRFQLQEWKIFEEDKME VTIEYQASMYLALQKILKKFLFYHTKEYKETQLHTLIKQQLNEINSQLILEFLLTNLDVT LTDSFFEDIGQKQLSNNINMIGIKNKLYEEVRNLDKIKYHVASLLVNLLDCWNTLIDLYS IYDETFSNNLNLIKQLHQFFQEEQYFLQQVVSTYHQDINGYVNNRVKLNFIHSILGLLNT ISEPQSNCQDPPEFEDLEQQMAKQTYEAMKFQNYHQILDRNLPQYSTIFTSALRLLSKPL LNHNLEQSITTIETEENQLLNKRIHYHHLIFQSLHTILNRLDKKCYSIEKQEIMEFLIIS LENNQSFMQYILKSQNPNLSSGIKYILELFQNIFYYDEDLEQDYKIPMLVLVFLHQVLCV NQSRYQSFAKECRTTYASLMLKLFREVYICLRRKCEKFVKFLSDKNAKNYIAKETGTAIE QCVFENCVLDAIEELVMLEYFLKLLINEMKQESKETTKAFGEQVKTMFQKNFIREWYLLL IQFSDHVEFAKFLKRTPVIKSNTVFNYLSNFIYSYGRDFQIDSNTVSYVGGNAIAVQKSN IMASWFTQLLSTFNQLSQFVQFFFSIGLTGSPFSDNYQKDTYDDKELGDSIFSPNNGKDI IEGGVVKLFGQEDNQLGETEYAFNAALYFLQKGSQSIYALETNKIHEELMIPAMEVLNQL CWYSQQAVCKYKSQNINREATLMPYEKLNNMSKHQFAFFKRVLELKSVCNNEYKGKYKQV LFTCFHSMLLILQSVKENQLQEPQELVQVFHYFILQIDFNDPNCKQLIGQLSSILSVILN VYPANPELLDKPNEGFYDIVNLQLEALKNQNNSKIFQSIIQCWIDIITFNISNKVDVRYL KSLTFIADEQIYNSNENGQYNHKHSMWCHVLVLVRELLAYDQTQCRSILEFFTSHEQRMH SAIIQIDQTQQYQSLRQFTAVTGLTLKHIQTYQQSYMLFFELSQITQFLLELILCKNMRL QFKLHLDKIIYTFMGQYSQQLGYHKKLKLFFQSFKPYTLLETKLNQLFAKAEAIKSSDYF SIPQSAQMMRQNQTPSPHRTNQRDQASNFDGKSTQGGGQRFNLKRDVDNISLFQYICLVE YLRFCRFSIQGIAASMNIFEVDRNFQLEDNVWNSFGNNIQNFIQFNFDAFANLSQSEYIN NLIKMHQWLLGNYDFQIQYNGLQQLCVYPTNRDELLQMFIQNIIYGFCAELQYLQLREVH RKINFIKDSYNIEITLTKELSKYAETLKPIQFVKQMEAQDLLNEVLSFGEYPINEANDSY FNRWKTKFEEKFSEYKKT >CAK88543 pep:novel supercontig:GCA_000165425.1:CT868650:246929:248323:1 gene:GSPATT00021711001 transcript:CAK88543 MRRNQRELIFSKKRILELPIQISDNNQAILILQGISQNQISLTTFFQQLTLLLPQFTELH LQTLPYIQQATAQYIYEIDQSNRQINDDDLEISECTVLEMLLYLNQLTYYVKIDFSMDDL LDLLLKILKATNKFKILDRICQVLYNILLDFPDAQALLLQQNLPTMLTNKYLTNTNFDLL NLKNLLMILHKLVKIGYHEYNLIHPILHKLQNSSNVQQSQYVEVMEYFFQFLANCSSNYD PQIIQQVQLFEKFLEFQYVRWSVDLLFKYDKNYNLVCNIYQFLNNLSLAACGSSMIDQGI LSVIQVHLNEKSVPQILIYSLLTNLIVDDLTSILQCGILIRIPAMFRLGFPNSDYIPELI YCITAAFSKADENQIQDLTKIQLTDCLVTYVADLPQELLDLELCQKIFRILQSIIHLQNQ ESQEDNYELEKLKNNTMFIMRCNHIFGLNKDYYCETLLNILFEM >CAK88544 pep:novel supercontig:GCA_000165425.1:CT868650:248519:249693:-1 gene:GSPATT00021712001 transcript:CAK88544 MSIKVSEFLQMDRLKDYFQKIQEEEDRKQKIQELTSKLLDKSQKRLKLQNRKQRVDQRFN DKLKNMKELNTFIDVRQKIVESNVLNDVKLIDQIQMEFNNTKTKNEEEWLQKQLNYDPAK KAQKRLSFSTYSTKNISSLSTAAPMKRVLDNTFLTQSIQNFVTDPKSTASQLGNGTYNLD TLVENGLLSKNQNSIKLTVSKYYSKYQNKTNEFIKNMKLHCIAKYKPIQEQMNKKENKLS INPQYTPNHQRGRPQTCFISETLQLRSQKKEIQQQSHSENQKTVLKENVNSNAERSSIER TKKLQTMINFYENQSGQVDKRFKTPQYRKRIGQSFLKFNKSLTEVESELNKSSQSNNDIL RKMESIVTDVYDRYQTQYSSYNFY >CAK88545 pep:novel supercontig:GCA_000165425.1:CT868650:249929:252160:-1 gene:GSPATT00021713001 transcript:CAK88545 MNGHRKLTLNEYQTKLRQIQENRSPKSNKFHTPQQKANLASPKIQVAGKQQQHKLFTDRS TSTYQNINLPFTSKMPKLSKLQSLDEEFKQCETAKFNPTIESKSPKQEGIDKLRTQLNEQ FLKESSSNRAGVCLQFLEPTLVHNLCNNIQTNLVNLSPKVKVNSELHASVSSKDLNGNTA LHFAARNGNTHLAQALLYKDIQIDDQNEDKMTPLLMSAYYGKLETLQILVNVGANINHQD IHGNSALHYACKFNFKSIVEFLLQKQHLVLQQNLEQKYPDYYIEDQDIAQIYKDYQEQTK KKQTKEVIIQTTQTETILKMFQRKNIKQQKNLIQTSKSQNNLDKIQVPSPKIKQLQTKLQ QLEQFKTQMQGLKRQTTFSTNYSESCKNIKDEEEISLSQFQILGLIGKGSFGQVYLVKRQ NQLYAMKVLDKSMILKHNLFRYAQTERNVLSITSHPFIVKLRYAFQTPEKLVMILDFCPG GDMGQLLEEKKRLPEAQAKNYICEVILALEDLHQRDIIYRDLKPENIVIDADGHAMLTDF GLSKEGIFDASQGARSFCGSVAYLAPEMLRRQGHGKAVDWYLLGVVMYELLVGVPPYYDN EKDQLFHNIEHAILKIPSYISPEGRNLIKSLLQRNPIKRLGSGVGDSKEIKQHPYFQDVD WDKVKNKELELPKPTRKIRINTQTGQNLFQQEHLEPSSHIHGWSFVHAEF >CAK88546 pep:novel supercontig:GCA_000165425.1:CT868650:252438:253384:1 gene:GSPATT00021714001 transcript:CAK88546 MKVVQNPTQYFFEQSNNPPTEYLSKINEFVSKLLNQRQCILCKNDYNMKDRLPRILIHCG HTICTACLTNFYRNRRVRCPLCLKLIKHLDSVDRLPINHTIFTRMADDINNKSKQHGGTE VIDPQQYLFTQFQQSALQSQKARQQQQNAYPQVDPDSGLEFCEFHNDRVKHFFCMKHKVT CCRVCSEMIHSKKDCIVVDLYEIEDVPQFLKEAYKLNEDNAQQQNQRREDMGFIQDGEGN DGDNQFNDDDLEDEFEGNSVQSI >CAK88547 pep:novel supercontig:GCA_000165425.1:CT868650:253532:253982:-1 gene:GSPATT00021715001 transcript:CAK88547 MKQTAQIFCQDPKIRQSQCIDERMKLYDEVLCKTLGKTYPAINQTVNQDCQLEKLPQQNT LLDSFIKNLLMSDKGLLCFVTINTNKIGNPKHNLNLNQIKINSNFKDNLPITITINNKTV TMNLKFQFNKDMQ >CAK88548 pep:novel supercontig:GCA_000165425.1:CT868650:254053:254789:1 gene:GSPATT00021716001 transcript:CAK88548 MAHKLSQNWVFWYAPRGRKAIAGSDHYDVNLKEIGEFNTVEEFYTYYCFLGRPSEVSIEN NYQIEIDNKIMLFRKGHKPMWEECLEGGTWIIHFKKRENELLNRKWEALLLACIGEEFDD DNVIGVVLSIRERRNLLEIWLKDRKESEKLRIGEKLRVALEMDPNNLTFFFKEHSKSLND KSTMKGAESYTFVKTPLETPQTEPKGQHPDLDQFKL >CAK88549 pep:novel supercontig:GCA_000165425.1:CT868650:255452:258697:1 gene:GSPATT00021717001 transcript:CAK88549 MMKYQNQQIHQNNDHLTVNSNLSNKLSKQTQFKQNQQQQDEEDQPSLYLSKQYDHSKPTF LRTFPQQPVDCQGSEDLLAPTILSNILSAEQIVFKSDHKKHQQLNHGYETSNISSSQNSM RSILESRKCKQINQEKVKMIINQIKVKLLNLIHYSKYNDPLLTKEYQELSPFKYHYFTMY IFLSTTISNLLASILIPLTQFFEISLLFQILSQIIFGFNLITILQDLFFQRGPYKMCRGI IKVNYSDESKKLLDTTRMLLLIFNNFFQIDPNVKLLTILLMMLLSLWRQNESFENIYRST HHFIFICQLWITVIVSFVCTYQGIMIEQLEFLFWMKTCLYPTVLYWQSPFLLTVRTFISN QNSVLISIYMILSYLSLAYTSMIFYIWLKPDIEIQEEKQKLLKGFVERFRQKCENDDLLR RCYSYLEFRIDEDLNKAKDQLTKKLSPDLEDEIELSLRSIMIEKIELMNRFSPHFKQQLL YEIEQVQFNPEDNIIIQQKEHQADDLGLFYILKGQVKVRFQGSSFGTSKREVTTLSEGQT FGQYSFITGNPSNISIFSSGSTILMKLKRSDFTSIISNYPTDNEIFCTMKDNAFYNQKMF ECYYCKIRGHYIVECKHIQYFPQRLNTIEKHLYTEKQQRRSWNRKLRKYFAWQDLSLNQD KAKQYANKQSQEQISDELPEISQLPYSENQTYQSVSFVSNSMGPKNLSPEQYQSYSNVES CEQFNIDQDIQDEQTIPFQEHQVKSSIRKNSNKATLKTAGFAIEPNMMNNLSMADKDDKE IINQLEHQMNKEKTILYQTSRNYNTNKLTFQFQKEQSQQIHREDIIKTDSFQQQQQSLAI YQQQQASNLKQSIRQTSNRSNTYSNSISKDISNNPSSNSRQNRSQRQSMQSSQDRVMEQT GTRKLNSSKSLTNEQNRINNNKSLKSCTKSTPNQMSQFQALTSPENQGYYLNDVLFNKFE KMCEYKIYNPHNNYSQVINRYKKYIESQSYNNFCRKKHLPSSSYSIRCFVVSKIRRVKKL V >CAK88550 pep:novel supercontig:GCA_000165425.1:CT868650:258937:261849:1 gene:GSPATT00021718001 transcript:CAK88550 MLQKNTGQYLLKELLIFLALLLGLYQSQGIDSILPITLNSTIVLIYTYFKYKKSTHIRQI WIIKIVFILIQISINIEMCQFCMIIVHLIEDDIIQTFILSTVFLINLIQEQENNYVTEIP FNLLYFSNVLFLFCFMFLKWKSVKSEDELVDHTFKMKSLECKYLVIMCYLVKESIKNRDL ISKSNQQQSVSQEINAIKMECNDDELVLQNVAWIQNQSALVYNKKLNIVYQNLFLGKLLR QSNLDEQQTQQQSKPDYEELFLDCQILVGSKELMEINSNNNDQSMNSEQSNTLIISSGSF LLNRVNDYHKCRMKSNLTIRDLSILMQNEIDKWRPSMVTLFKLRSDVFDFENIQIKAFVN KVNESSFLIFLFEQQPPQQKKYDNTQPIINIFSTFVHESVSYINCILTLILLTQHEHENT LKISLKHHYYMPMRMMSLRHILFVNSMRDYIFFISNQLFLRISAFKLNDLIEELLLIYDD NLKIRDVSLTTSIDLSDNNQVIFSDLDRLKQILACLLTYCLKYTSASQLKLDIKSYTVSG IMVSFKDSMIARDDNTRKAISNLVRHLNSTLKLNSFYDVDLNNPLELQICVILCWQLSGT FKRGLEFLYDSQGYGTFTFVIESQTNQTRMQSRQDTGPIKILGQRKYYETSLSMLLAQDS SGYRDESKYFSLTQLSKQFSMKLGDPFDVQSAYFSQISRIKQDSSNSKQVQNSGSVPRQS KEQSNSFSGTWKQGFPDIIQQPYKKTRNERSDSQHDSMKTHSNFDFGADQSNPSIHPPEL TPKLLSSVIKFRLRLTCCAKVLIVDNDHYSVFSLQKVLEKYNIKCDKAFNGIDAQKLIEN KQVKPCHCGNKSYLLFFIEFYLPILSGIELVRSLKEQMRSSLIDKGYVIIIATFVDLNQK LECFKNGADYFIAKPFDLLDIGAAVQYLDF >CAK88551 pep:novel supercontig:GCA_000165425.1:CT868650:262114:263532:-1 gene:GSPATT00021719001 transcript:CAK88551 MEKKQNSHFLQKQKQYQKNTIFNIYNLNDQQIQMINQRKNYNLVEFVLYNEDFHNLIQYV KNLKTVAAFQDYKYFCDWYEIEKENTEIVCSRNECDSSNVNLDLHTIQQIYTLIIVQQIL LMIQMQDIQESMILNYISSDEEDESESLLMNQSPVEIKQKQQLSDIDQSISQIPSELSFN RNMDRRINETIVLQNQADEITLKSTKNAKEAYQREIIKELVSKQKKRFKVDGFNLDLTYI TDNVIAMGFPAESFEAIYRNPMPEVQKFLNSRHPNNYMVINLCSERKYKHESFYKVAEFP FDDHQAPPFNMMLEFCQKVHEWLKANSNHVVAIHCKAGKGRTGVMVCCYLLFSGKYTSSQ DALAYYGLVRTQNKKGVTIPSQIRYVHYFSYALKNDLVKMPYRQIELVSVRLVGVSHGTL IRVQNNRQVIDREGLDRFRKGNTICFQ >CAK88552 pep:novel supercontig:GCA_000165425.1:CT868650:263553:264023:-1 gene:GSPATT00021720001 transcript:CAK88552 MMKQIPKKNGQQQNAIQNQINVQTQNKQGLKQFKNGNQQKQQGFAKQQALKYFNKSTFCN IVLFLKANEIMEFRLVNRLFNKLFIECAPVLLENLEKKEDDYFQKQHNNPQKLELPKLMQ VDLKELKQNLENRGMYACVDKLHGDNLFSVELIYLI >CAK88553 pep:novel supercontig:GCA_000165425.1:CT868650:264194:264964:-1 gene:GSPATT00021721001 transcript:CAK88553 MKQQCEKDEQFDLIIDEKLSCQEQSTSTQSKQSNANLAMLQDNSKNFKLKRTQKSLLQLD RIPPQRRGSQSCVEPISIIQEECQYFWKQKLYNVYWYDENIDNKYEIIVSATQDTLISDF ITLVIKEFNLQHDYIHFPFTQDGSLLYELYIPKKKNGKPNEDFPAISEKTQLGKTNITSF AFKVTKLESHYVSSIVQQSVQLSTTKIKDQNVVDEKSFWQKLFFCCNFDI >CAK88554 pep:novel supercontig:GCA_000165425.1:CT868650:265039:265729:1 gene:GSPATT00021722001 transcript:CAK88554 MDLNCRVKSKHGILSLVAENPVFINDLQQEAQLLYQQILNQQDSQNVPSLPVQKIYSQSG AWYCSLKNNIVISLLVTSSYPMPSAMRLLKQIEELLKRQIQSGKYEFQPIEPNLRQLLTQ YDRDPFTDDKIEITCKSVDSIQGLNGGKYKEEQLHVIHHKSAEVSQMANQFQKGATAVNT KQNWAAYLAIGIFGALLIGIIIYIFL >CAK88555 pep:novel supercontig:GCA_000165425.1:CT868650:265763:267444:-1 gene:GSPATT00021723001 transcript:CAK88555 MITNTNGVAYNIYHFLWFKDESLLKESFQIRIPDTLIYKNGVPQVWYFTSKEGEIMTKKS EARKSENLIKHFCSGDKPNGQVIAYYIYPQKYNHSDPKEEKTFPSQSKKAKPLLDFDEKI CIYYLTKQMFPDFVNSPNKPPSGILQKFIEPLGNHESLIQAIWSPSVCILSKKQNNRDLY DMAYDPYERCTTFDGGEAYSRVIPLRGKEISQEIRKQCQMIVQKITNLSYGQTNLSRIVF YFKADQNNKVWFLYSSSIRIQGEAQSQIKLYQPLWGNVNVKKNNTPIPFNTNFQKPYSIK NMLTVNTMHPVALIKDIECFECGVLCQQKDLYHLTYEYIIKHFDQDVNQHPLVNNIEIIK PKSFLQCEGHLRDIHSHIPPLILKLYPHLTVQIFEQLKVNDAFLIKSVLICESCFLKYSE SDPGISGAKLRVSKQLRRIVKQQPSKSILNRRPDLKEFLIETNLELLKYKNAHSISQPKE VQSSSHATTSFLHSFQNVTQSTNMGRSPSLKQFRNPTEPGYIRLHTAK >CAK88556 pep:novel supercontig:GCA_000165425.1:CT868650:267844:268665:1 gene:GSPATT00021724001 transcript:CAK88556 MLDARLSKIRQKTTIKFKENESKFGDDHFQLRTDYSDSFNCQSCQQQQLIIKELKAQILE CQKQIDILQIEKDVIQKKEEQTIKLLIQEIEKAKLDQKRCSTELQHSLIQFANENLFKQS QTLSFQDKSDDTCILNNQLKQIKEQNANYAELLHQQYEFSININIKFAQLSNSLNTLITL VQKYTRIITHIQMQSSPQLDLLLPNPLDDHLKQDRQQQLHFIDLHDMTTKIQQIQIGSLT DVQSKIETLIQLVADYLTQAQRIAINDMSRTIV >CAK88557 pep:novel supercontig:GCA_000165425.1:CT868650:268702:270354:-1 gene:GSPATT00021725001 transcript:CAK88557 MNQSTTGTTPHGLAFSGAFTFGESQRLPWVKKDDLISSKLEVEGCKKFDDQLRRTESRLS SKISRRKSNLPQDEKLKPVKAPNPPVGAYNIHNVQVIDEEQLKVLLEKNPTKEQQDKIKQ MYTIQTSMGKRLSGGELDLHQTWAIHKPEVVKEHPIGPGSYNPSLTNQHSQPVFGFGYKQ ETRWAKEGPSPDQYYNSESFSQFKTATSWATNKGKKTGFGTAKKLQLPKSIDVGPGDYRE STQDLAPKYSIPKAERELPSHKVVPSPDTYSPAMNQLKKAFSFGHKYIPILTTDYFDPGP GAHDPKLPHPSTAKEIKMLKQNRSQLVSKESSLKPGPGTHDPQMAPTRISHSKSQLSMGT GERYNPNTDFRLSRDRVPSPGKYDVNSSLQGPNYSIRLKYEQRNHNITPGPGQYDPDSQL VTDSFTKPLEHYTKAQTAHASLTKGFRSDPVLSKYKNIGPGSYSLPFNTGPRPSLGKAAR FPPKEKEEIGPGSYYITGTIGIIPKYHFERNRDKMQSSFSQFDKFSR >CAK88558 pep:novel supercontig:GCA_000165425.1:CT868650:270407:271486:-1 gene:GSPATT00021726001 transcript:CAK88558 MNYFKSFKQRCKTNQQANEEPSQLLILCMNCQEYIRYDQTNKHTLVCTQVTKNIDHIDKT FSLLEENHFKLQKIRMSLMEKQNNILALRLIRIIELVIQISTIGNVEISCCQSYLAEINQ IKYIPKSSLNLSLYIERIQVLIEQKIQILRGNLDIKQEQDNQLSSQSKFKNVIAQDSQSN QYSNKVYCLSADQQDTNSVSKDQLLEQINKKIQECNTLKREQQHYNNLINQNLQKSKSPK WMNIEPTQVFIPRQLHKKMQTQQVPLKMNAKSEILTNISTNDYGFLEEQSRKCDSQSQRL FYSKLLKLKLQSSKLSQAQQLSAVILWDEAQKLKLGQQDFDAFLKAAIQNPNKYLNNQF >CAK88559 pep:novel supercontig:GCA_000165425.1:CT868650:271601:273204:-1 gene:GSPATT00021727001 transcript:CAK88559 MDFSPDISERIDTLSKKCLEKKKVIQDFISLLQARAELEEYYSRSLEKIGNYQSKQLGES LTKLIHGKDSLKDLFIILRSYFHIQSEQTRTFARQIKNDVIQELEQHLIKEDGWQKEMQF LKQKHSKEIRRNIESLNSLRDEYIQAINEEKKYQVNKSIQKKFSCKQSEIKLQNFIAYYN QYIESYFQDIAIVQDKFSNIELERRKTIQDSGIKFFMFEISVVRNLQYDLSGITQKIEQY NPKNELNELFKDQPSDKPLLSKLNFENYKSFIHSQLNFKPDNQMATKKKKPIFDQATIPE NQSDSAQQFKETNQIYMKMFQSAMFNNIITDETVTKLNELLQQTQPAVYVQSLDRILKLR LNQEDGLQNTADFQVSPEGYVSLHKLIITCLDYCNKKNDFASIIIDAYQLTRSIYKLIQL PNDNQEIKMSVFEGLTNHPVLHNSQIWINYAIQLQTMLANNTSVFAENNVTKEQTQKQMD DYIESLQSIGCDQQIVEAVKLQLNYNVIQESPIQ >CAK88560 pep:novel supercontig:GCA_000165425.1:CT868650:273286:274598:1 gene:GSPATT00021728001 transcript:CAK88560 MLIFSLLISIAIACKSISESESINLENGKKQELCFKVNTNLPTIVQLVKYEDEGSKKKKK KGKSNKDEDEEDENIFIYGICSVQQNLEEVGLGQCKLKQGQRVCYFEKGKDRLEVDLKCT KGPCKFNVIVMQEEPKQLQIGKQQKSKLKLLKIASSSNYRVVYSIKCNNCVMKIVDADLW FYGDEPTIMQSDTRFIAAFYDGIESETTMILIGEQEFEIERFEYVKQQNLNVNQVMVDAI EKSKKNEYLIQGVKVVKLKSYTHDILKIKVRNQDGDIIIDQTLNTFQHKNYNLLWNEDFS SLELSSSNKIGYQIEVIEQTDIISVESNDLAIGQQYGIVNYKLKLLEIEDNHFTVSADSS DDISVQVKPCKGSKCEAIEKIKNGESFQSVPQNCDNPDKDNYCWYVIAVETYGIYTLDIN DNNEAKILQ >CAK88561 pep:novel supercontig:GCA_000165425.1:CT868650:274626:275423:1 gene:GSPATT00021729001 transcript:CAK88561 MKRRNIISNFNLANSQNYSSIIFRVTSENVQILVSRSSKCQLVNWKCYEYSGTSDQPISL SDSQIKDGQYSITIEGMELAKYGLIIETHPKDKINVVNIKKGSIYKSSINSNQKKQCFKY EQTTKGVLTLLVHGPNQKLVSYANIDTDEEIPTKGDNDVITGNSALQLVSDKFLACVEIQ DQYNQDVKNITEYNDIKFSLILYDAQSVINLEFNSAYYGQVAFTEQQRFSFLSYFDEDVV YITKNILSSGDVNKDLQVYIRQYVV >CAK88562 pep:novel supercontig:GCA_000165425.1:CT868650:275494:278631:1 gene:GSPATT00021730001 transcript:CAK88562 MKKLCDIDEDGSVSVDHSRITHLCEIFVVVKSISKSPVRYTLTIHKQDLAIQLTDGLQQS YNLQHIEEFMHFYYQPNSKSADVNLFASTFYGKFVLHINIWKQDNVKHKSDWPFIDTEDD SDISSGSTNQIHRTIKSSALDQCWPDCVLLISVQSEQETPKNNGYNFNYNDQFHIMINQG MQDAIEGQKYEVSIKSEQTVYFTFKNLQKFRDDAYLTFSLLQIIGNGFFTISVNGGDKFE YPNLYGNFDFHADGSYFQLSKDKLNEKLKEKQISIQDAYLIISVAGLQSYYNPIQQSVKL EFTISYMNMDYIQIMHSQPNTIHAKLHQPTIVQFYNYLKQDIFFKLHRFIGYGNMSVFIC NQQSNIAECVKDSNDAEFKYLDTVLAAGNENSQILIQANDKDKFCVYCTYIIKIESSDSE LQGQLTVVLDNDFVKLPAGVQYSDYVENKRSSQFSISYTQDSKIEIFIQIFTGDPIVQYS YSQDTLKSSKIVKAQPNNKYIHLTVPSQKVLDELEQIAEHLYNINNDKKKDNDDENEISL LPSHFIHDELYVRVSTESNEPCNYTIYYTSDYVNGKLQDGRIHFAIIEQNITFLYENYNE QVSVLNLSPLNQTSLQDIEIKVLYIKKQSFDDFHYEYLQDIPIKTRLIHPLSSSYELPKD NGIYEIILINKKYIENGKETNPTLFIEISVSTNDIRILPIQRHHSGYIQPNDIDYFETYS PAEGYLAVEIYSCSSDINFSFSKTNFKSFVDEDYDESVDIPEKDYHVEMISVDKGTLWVA VKGISTTPAYYHITNHFYQNKKEIPFGKILAGNDGHIKWHLDKQKSDSIQIEFEHATCNK CNLEGVQINYHIFIAQDEKKLQMLGYCGNEQFETHKADDEKIFKKTIQSYRLADHNGSIV YEIEFPNLVTYEYASVGVFATVDNFMNSTSQIKVFYRTIQVPVPNYFAKFLNHNRTFFTF LIVGMILLIALLTLWLCYYIKRYRNTKYKLDFQLEQGAKIIASNKLPQNDDAAFQNDFKM KYQTFEED >CAK88563 pep:novel supercontig:GCA_000165425.1:CT868650:279055:280159:-1 gene:GSPATT00021731001 transcript:CAK88563 MANKYDVQRFLEKKYAHSQSYYYSKTLNDFVSSARTSDVIGFYQVMAYREELEYLKRFYC LSEVFQKLRLFTEYYKYHNEIPRFFMPHLCHIMSNYHDKRRRIEYYRIKRIIEEENRNNP NKPKKAIVGDSPKEAVPTSPKPQHAYSKILSGIQDASTTIETINNKLNALQINVGELNLQ PSNREQEQLQNFIQFMVDKQKLKTITQHVQLNSPKTYNKLPIGVSQQTIKQIISRTQKNQ QTFHLSPKMGTDAQPQIGPYTQRILKGPLQKMLTPAQIYTMNSPSNHTHNNTAIPQKSKI SSSKNHQQSLSLSKQFKINDAMRIPLRMHQHTRSDVRVYRK >CAK88564 pep:novel supercontig:GCA_000165425.1:CT868650:280170:282455:1 gene:GSPATT00021732001 transcript:CAK88564 MLIDYFLVIGLQTKSNEISLTNILNKKQEPEMIIMHPMDQPAPDYIENIKMWCFPNGVQY YNQDLKRIDLDNKSEQTITEDIRSQDQLSHFVLTDEKGQQKFCTSLLYYSKEKVYVKNKH KHYQKLSKTFPKIAIKQIIKNPLILDEDTQLIYAPKTITLVSHSPSFDLQRQFLVFFYNS YIIDRGLNSIGLCEDHLKQFERLVLTHGLNGAEQEWNQQEVLHLKQLEQDYIMDKDILEF YISVLFTLNKKTLLTDEDQNHEIRITKCEVHNEILRFRTNDQEILSLTNFTFRPLFSRLS LVNIMRIVMCIISEKQMVFFSTNISEIPQITEGLLSLIKPLKWSNIYIPCSNIDIWEYAQ ALQPYIIGFEKKHKQFLLTQLQGKVIVDLDEDSIIDNSHTIDDLKIPEKWLIELKNKLNQ ITTQLKYSPKQKIYQWMEAILKTKKAFFNFILQIVQNVLPFIKSLNDREPQQFTQTLKQC FDLQGYIKNHPEQEFISVIAKNTMTFSTFIEQVDDYYSLSKQVDPMIVSYVEYMKVQGQK NELDFHKLINSCLDKEIEKNLKNFSNPATIDLYPWMIKYRKFQELFKEKHSEQVEQKENQ QIVLHFYDEESRMKTRQLLNSKENIFSKFNQKKIINLKQIFTHQNVSNLVQNYKLISNSL KQLVKKPSENFDLDPILQKRKTLLRRHSTLMNEQLEGTKEDIMRLVESLQKQA >CAK88565 pep:novel supercontig:GCA_000165425.1:CT868650:282491:283333:1 gene:GSPATT00021733001 transcript:CAK88565 MISSNQTKSLLESMEKNEDPSAFADALGLLEKLITNIINNPNEDKFKHIKMTVKALATRL FNIREMAQLLTCLGFIQLEQEFYLPDEEYATLLENFNTIKWQHILAQGRVEGPQQYQRAQ EIVRQQQEAQRQYEKEIKEKEKIQQQMKYDRQERSLVKEKDSKANDLQFGAKVKTCEQLG INKNNGKRG >CAK88566 pep:novel supercontig:GCA_000165425.1:CT868650:283833:285851:1 gene:GSPATT00021734001 transcript:CAK88566 MHPTTQLLQEARDRVTFPTQELTKLLYGNEEIYETFMKAQKVIASEPSIRNHPDYHNWGR KEQIIKSYEKLRIMHQHLNLANSAHWAPMLSLFQGTTPSAVSFGMTVPALRFLGTDEQFN LWGPKFLTMEIVAAYAQTEIGHGSDVQNLETTATYDSQTNDFVLHTPSVQAVKFWPGELG FLSNYALVYAKLIFNGKNKGVHPFMVQIRDISTHKPLKGVVVGDIGPKLGYSTKDNGFLA FENYRIPLNSLLARYVRIENGQFSRHGNEKISYASMMVSRQLIIFIYPRMAAQSLTVAIR YSITRQQFTNDKREENSVLEYQTQQDKLLPRLATCYGMIFAGLRIMELVDDNFHRVLKKD FSTLQQSHAILSALKAWSSQWVVDTAEWCRLSCGGHGYAHYSGIPAIYFDTAPNVTLEGE NQVMYLQVARYLLKVLQYVEKNPEKVPFYFNFVLHIKETLANQSTDLGQLLKLTLTLQLI SVAKKIKDNMSTGKNFQQIWNEVVGVQLLSVAQRYAEYFTYLVFQEYISSANPSVKEVLQ QMCDLYSLQVILDNPNTLIESGRITVEQFKQFNETKIELLNKLKPQALGLVEAFNFSDNA LRTCIGCHDGKPYEYIYDWATKENSVNIKGVPQAVNDLMGTKIKARL >CAK88567 pep:novel supercontig:GCA_000165425.1:CT868650:285870:286313:1 gene:GSPATT00021735001 transcript:CAK88567 MRKNIQIIARQFTSTKPELFKAQKLDVKTFEYEPFKFGIERQSMIHGYTMEEMYGRFYGI KHSPLIQKELKKDNLIALAILLGGSVITFYYNEWGAQDQANWLQYYYSDLTTKRNNLK >CAK88568 pep:novel supercontig:GCA_000165425.1:CT868650:286353:288910:-1 gene:GSPATT00021736001 transcript:CAK88568 MDQQRSSLCVSLHNVEFAKDYHYFITVQLNVDGEKKRTDVSAQVAAPVFAASTFIIPLSN FKLDINDYLHFEAYVVTDREQGRGPELDNQGQARLLGECILKLGDFTGPLTDISGTGVRQ HLKFVRRNDKQVTVGRFIVNLKLVGEQIIPINDEKPLESDEIFQPLPASDPFMSFTWRLR VDIRACMDMPLHRDSQSGLPRGFVKLGWSQYDNQPPSEHHMHLTKIRDQNRHPIWNQQFL IPNPQTVTTLDGYLYLSLVDDIGQREIESVYFPISQMRPFQPMNFELQFKYAEYEARPRL YMSITLEMADKQKFLDELIDIIVKMVHYDPLPYASRTNLIMTLNQTKIKEVPYSVIDLKG APTLAQALSNAQSDVFISSIMKIPPHKADKVYNAVAVFTLPKSQFGSILYVDLNRVELHS IQPLEMTLSNLCIQCQMEQSDIQKQLMIGSESYIIPRRRSKHFSRSLGLMNPNKGQLTCM EAGDNPAISRVSSSKKSTRLVPRTPDMKALAENVSGADRSKWDILSKELSQKQEMIHRLM KEVDDKTESLKITGTEIVDLRRQVKLLQSENSILRKRLAHEESLEIQSIITKEIAVMSME ELRQKIIKVAQAYRNERVRNEEFEKALKTAQKDIASARQLEVELESLQRVHQENAKKMLV MQQEIQKVGVYKEAVKKQETVISKLEKLMETSLKDAQKARQAQLEVEQLKSENLNLQKQL KGIVYGEDIGELERYKQECQRLEKIVANMKEELRNKRPVSNSGADWEQDKMELEVKLHKA NARIEALQDEMTYNAKNYAKEIAQLKLIIAEKQALLDSLTMGGQ >CAK88569 pep:novel supercontig:GCA_000165425.1:CT868650:289565:291101:1 gene:GSPATT00021737001 transcript:CAK88569 MKSKFSTDTIFLQTDSKFWRNEQIQIRDQEFKEDYFLFYSNTKKTYKNKALKRHGTIIKK LKKNVQLFRCKKGLELWCDVTNAIIEIFEIQHVGQGLRISKNSYSLEFFGYVDGWFKELK KFCIQKQIKNQFIISNKLGCGNYADVHRLIRKSDEKEFAVKIYEKLSNKFDSECVLKELE ILRKMDHPNVITVLETFESQKYIFIVTEILISGNLDDVLTKTALSEDDAIKGIFKIIDAL TYIHSKGVIHRDIKPENILFRKPNLEEIVISDFGLAEYHNEEGLYKYHRCGTAGNMAPEI LKDLSYGYKADCYSVGIIFFQMLTQGQSPFIVEDYQETLQRNEQGLIDFSIVQSSPAVMN LLKQMLDPDPFKRCTLQEARSNMIFKKFNRQTIIIKRKRIQQEHTVSSYSPRSTSIYQSP TNQASPPIPISPSYKYVGFKNLHSIPLRLRSHERKLFSSPNQSNSGANSAKLQIKQKNSN FKINQKNNKTSLYQGMSSNKAI >CAK88570 pep:novel supercontig:GCA_000165425.1:CT868650:291217:291602:-1 gene:GSPATT00021738001 transcript:CAK88570 MKYLQLILILGLTLALTTTEQSEILDPNDILFTQEGYQSSINVKAQSIETKDQQWVVQEL IQDSISNEVMTEFELQHALITKDDLSDIPDIQMGTQNALFLQRRKN >CAK88571 pep:novel supercontig:GCA_000165425.1:CT868650:291618:292058:1 gene:GSPATT00021739001 transcript:CAK88571 MSEFKHNVEDVMKKLELQIKENRRRTRTLSLAKQNGFNNLCRQRLNTMHQIQDHFLLNSI YAQPQQEIQQRKKIVNQRLQNQQRKYYQQLFYDKILHNNQKPEVQPLTSCITLQQLNQNS IPLPIVFKQKKEIKKLIKPNSKFNLN >CAK88572 pep:novel supercontig:GCA_000165425.1:CT868650:292101:292425:-1 gene:GSPATT00021740001 transcript:CAK88572 MNMNQYQYDIEIVNTQIIFPNFRRLDMLDLLFENQHTQYNAPPKIRKKRFLATPSHLTLI TLNLSDNCESLNEEVKHQSRMKLQPILIIRFLEQKLEELF >CAK88573 pep:novel supercontig:GCA_000165425.1:CT868650:292501:292846:1 gene:GSPATT00021741001 transcript:CAK88573 MHLEINYVYFFLLNRAIQFPEEKQFIKLVNKLSIFMMSVNQISNQNEDHRNWISSQNITN HQLKVYYYNQYNHQFEIKNNAINNDFVVKWTFKVIQLFSTSYPQFSMF >CAK88574 pep:novel supercontig:GCA_000165425.1:CT868650:293261:294637:-1 gene:GSPATT00021742001 transcript:CAK88574 MGQVYASDYVELYSINPNNQSLHQSVEKSKINESLHHAVPPIQPKPKPSQIKSANIDQIK GLRIYISQEITNEFPDLDPTSYERHDIVDGEYYGRLRGSNREGDGVLSIRRKPMQKGQQE QEEVYKFFGTYRDGQAAGNGYAIFNQDYSYWGEVQKNEIKGRGILKTKDNSTYQGIWDER KLKYGILQTQLYKYVGQFKDGLRDGLGECHYSDGTVIKGNWKQDNLSDLCQIQYPDNIVY SGYFYEGMKHGFGHLKEENYEYFGEFDRDVKNGLGLLIQPEQNQINQSQHKQKYTELMYF EGVQKGLFCEYKDKQKKQWFEINDDGTIENLDLITEKRCLAELLGQEKSLDQQKVLMLFQ IKAQLEKNIQEMIWNLNDIQNNQNHLMSLEKQMDSQTIKLGQLALYQDWIEQNKQ >CAK88575 pep:novel supercontig:GCA_000165425.1:CT868650:295045:297946:1 gene:GSPATT00021743001 transcript:CAK88575 MNISTLIYLVLIFSFQVQASHLCAEETDQTSDCKKCIANSKLDQGTCKCLDGYISDNKVN KCYSCLPNCKRCKPFQLNQCEECFGDNADPQNQCACKKGYIYSSQMETCINKNNDLNLEH LKYQIESKEFESLKFDFCLPVEEYAETSHLYKLKVNNATASLQLNFTELNNQSSIAYIQT KEKVIFEKILLQKIKSRPMLRDFNETIFEINYIQGLSQTKDNNEKQNLILMIEIKNVISN SYYFLLMKCGLQGSLEEQKIQESHVNQVVDKYFNLFYQCPSNCKTCTFQTTEKWSKVQCK QCVSGMKLDNGKCFYENQPIKIREVRLISGSEENTECDIQTYLNKDGYCELCDIQNCEEC ENQQSCKKCNENFELKDGKCQCKQDKNEQCYNNINKLCAYQNGNECLQCNYGYYLHQNEC LKMLSNEQLLCIKQDQCYNCLNMEQVIQKSKFESNKEICECENGYFLNYRLLQCNQCDSS CKTCVDRQIKCTSCKDGFYLQDNKCKKCPSTCLRCDSNDKCINCIDGYYLHQFQCKKCEF LNQDKNVCAKCQNDKICTEAIEGYYVDSSSNRVNKCEIENCKVCKDKQICERCDPNYFVE KGSCVQCHEGCVSCDRNNELNQIKCFNCSPNYTLSDEDGNCKKCPSNCKQCATPNTCNEC KEGFKLSLETQLCGCENANEYFKNNKCQICVHNCEVCETKDKCKRCSTSYVANSKGLCEK KQCKIKKCEICQSDDSCDQCEDSIYYPEEKKCTCKGNCETCTLLDSKQQCTKCKSKYYLK QNECVESHCEDEAKDGKCKKCKMGYFINSNGQCEQCEPKNCKECTNNVCDVCQQGFYLLD QVCKKCSDPQCLVCEYDQNEEQDQCKTCMLGYSSKETKCYKENNMFPLLALVFIIAVIYG AFYFKEQILSLCSNQNQGFVNSSDQDVELTNKSKTQTKQNRDDDFNILED >CAK88576 pep:novel supercontig:GCA_000165425.1:CT868650:298055:298862:-1 gene:GSPATT00021744001 transcript:CAK88576 MDSSQSVNDIAHVFKIILLGSTAVGKSQILLRFTKDQFNLSSNTTIGVEFSAKVLEIDNK KIRVQVWDTAGQEKYRAIAKAYYKGAVGAFLVYDITKKNSFLEVDRWLQEIRDYSDQQNL VLMLIGNKNDLEAQRQVSKEEAIKYAQSKKMGFLETSAQTGHNIEFAFKQIAEEILRDIS ETQDDDEFEQTKAQYSKNKGQTSNASVLKAADHQVKKKNNNNSGTCC >CAK88577 pep:novel supercontig:GCA_000165425.1:CT868650:298895:300651:1 gene:GSPATT00021745001 transcript:CAK88577 MKSDKDKIDQIINQFYGSKVIEELPEEERQFELIVQQPDQTLIQKMLDDSLQKRQEFIQS AEGKEYSQMLIISQIRMDFTNDDPFLKHLMNVEKAIKKAIRNILLQLQKIDDKQQFKDAC DKLIKIFYQDINIGFITSTGQIKSTAYAFISKSIIELINRKKINLQFKKIPLKITLTFFA IDSLQQINPVHKQYDPRTAFIRNNKFFNDYLILSQTNQDELRSGVSIFFVYRPEDDQIYA LKRSKLQATHLDFFSVFSTTASNTPITPDIRKIREAKILSKLTHPNILRLFAWWIESTND GYFLYMQLEYCSFPGYKYQPTDLLTFSYYYLNVMQNQEKINKIKSILNQILDGLEYIHQR GIIHRDLKPENIFVTINIKGDLQVALADFDQGKDVREEKLSIITDERLPEEELNSIKSQN TITTGTIGYQTANYTKDSHYEMADEFYAIGIILLHLVIAFPGEPKNRNLYAKTFVMANSI KDVLSLFDSWANKFVKNKSPDFSFTHYQNVMELAKLLISSRKLTHADVRKMINEL >CAK88578 pep:novel supercontig:GCA_000165425.1:CT868650:300740:301699:-1 gene:GSPATT00021746001 transcript:CAK88578 MEQQPLSNQRNFNDDQLTQRRELTAYESCLDCFGCFSGFLRAWLPCVFCCCENPFFAVQQ SSLGLVEKFGKYNRSLPPGLNQINPCTDTVIQVDLRTRVLDLDRQIILTKDNIQVNIDTC MYFRIIDPVRATYRVSRLTQSVKDMTYAALRQVCGEHQLQDLLEHREMVQDSIEAYLDKS TEQWGIYIEEVFIKDMVLTPQMQSDLAAAAKNKRIAQAKVISAQADVESAKLMKEAAQAL DSKAAMQIRFLETLQLLAKGPSQKLMFLPLSPESQGAHNG >CAK88579 pep:novel supercontig:GCA_000165425.1:CT868650:302003:302242:1 gene:GSPATT00021747001 transcript:CAK88579 MDNIIENSLNFWQQRLNNSSQPQPNILLKKKQSSIVDRVVIESTKYWRKMADEYSAKSST NRSVQSLSSQLHNQQISDC >CAK88580 pep:novel supercontig:GCA_000165425.1:CT868650:302814:304890:1 gene:GSPATT00021748001 transcript:CAK88580 MLRVGTQDSFSRKNQFNQFHTTPSHRKTSQTKPLSIDQIPDNALEKFYLYKQKKSDVRMK QQLSKQSQRSSQNSIAQTNQFFINANFVSNQGGQQKRSGQSVTIEAIESVKRTIKTDMGN PASLLNKAKSLGQDEQMQQVKHHNVVVKFGNQDYYFYFEAHANMKSVWFQILQRLYDSTF ANPQECYANLKDKPSVNQIVSFVSQQHSIPIDYYVAQPELQFNVFMNSGLKLEAFFLQAQ SEPKVSLKDFIFLKNIGVGGFSLVYLVRKKDTGKFYALKLIDKEFIIAKKKQQIVLNERN IMTLLNSPFLLHLSYAFESRQFVVFVLEFCQGGELFYQLKQIKRMSEEQACFYIAEICLG LHEIHSMNILYRDIKPENILMDIEGHVRIADFGLSKPEISRDEKAYSFCGSPEYMAPEML LKQGHSQTVDFYCLGALLYELLTGLPPYYSTDTNQIYQDILYSKLSFPNDINLSKDAKSL LMQLLDKNPSQRLGASGGIQEILQHSFFAQIDFKLLTLKKVKPPFRPDPLKMNLDEKESQ KGEQDFRKMIASNKGANLPAIFGSSFYYESPQETQIKSVYKEWIAHTNLQPNTPCMASYH SQGRSTKSQDIVPLVQSVKNNPRSRQILNQKQQSQKAVGYKSSLEKINQERRFFSKI >CAK88581 pep:novel supercontig:GCA_000165425.1:CT868650:305159:306535:-1 gene:GSPATT00021749001 transcript:CAK88581 MNKIEELKNSPFLLRGILKKDQLCFEVESLQERLKRKLLKVDYLHKIMFHITILVFECAQ RRKNFLKSLRIDQIYLNKDEKYSNVFIFEEDQLISTQEQQPPQKLLFNMFQSLGFDLPYD YFQINNYAQEKLLNELYANIFEEKKKDRQIIITETKILQVLYNIEIQGDPAYYTSFSKVF HIKTPFYINSSAESIVVKWVKFKCEEERAYLKTEIKLLESLNNCDRIAKLYCYDNFADQQ FFFMKNYDKTLEQINNEVGEKDLNWGDLMHLIKQMILALKELHSKGICHRDLKPQNIMFE YLGEDKQIQQLKCVLIDFNRSKMPAYLESQMREITYYEGTPQYQPPEGTQENYGPPYDIW QLGYIIQCMILRRKNREFSSQRDRPIEKNKYNLIFQEDKKEVMKKYPNLYSIIFKMMELN PSARPQELQEIEEFFEKLNKA >CAK88582 pep:novel supercontig:GCA_000165425.1:CT868650:307019:307826:1 gene:GSPATT00021750001 transcript:CAK88582 MEDLDYAGINFGAIVFLYLFAVFYLLKLNSKWFAQKTQDQNSNTQSSCLDQILEAFVFWP KETKKNHNLICIEKVANIFVIFTNQEVAYSLFEQQQNFKDDLQVSLYKYLYIPLICFGGF LLQKVFYYMCYSFYKECIFKQLEKKYPKTSKFAFSDPRSRSMFFFGYVIANLVVIVIVFS DSANLATFGSCILSALIGSGIQIFGPELLFVIVFVQFFQLDYKLYTILFQQKKPNVSNEK IERYNQENQTLR >CAK88583 pep:novel supercontig:GCA_000165425.1:CT868650:308029:313422:1 gene:GSPATT00021751001 transcript:CAK88583 MNFDYPFSGDESSISDDNQEVKQTLDQIEIMDDLDGLFIQQENQQEEEQQYNIPQDEQYQ NTDFEIRDYQIDLFQKSKEKNSIIFLETGRGKTHIALMHIYYYIKKNGFQNTKLVFLANT IQLVEQQCQLIRDQIYSVAQEMEKKGLAPQDYHFDLQLFKDNSFKRKFIVPIHSKSILGI DEGMDIQGWKKERWDDIINESCVLVMMGQMLLNALRRGYLKLPYFSLIIFDECHHCTQRH SYRLIIKEFLECEKVKKQIQHSEKVKFLGLTATPVTSVDIERAVKISSVPSLQIEVDILQ LALNLQSKYVQAQEKQIKEYQSKVITYNQDLGISTTYTPKHQTLNDFFRLWQEIYFEKEK DKISYSIQLLIDQLYHFVTMYGIILYKDLGAFSFYQFIKQLFINFEEIKFKYKVEKDQKL LDKIKKLFHDYLEILQPLNVLNDENLSNKFIALRNQLLEITQNNKENKKLLIFVDQKITA KYLHQLLELHQLESTYVVGTGNLTSGALQMTILKDRNKFISLSPNINALEIAEKIYEQFN IEGKEEDIELNNEDDDDNQTKMKLRSQLQEVLEGVKNYGKKLDQTITTSNAQKESIKKFK ESCNILVSTNVTEEGFDVPNCHYVFIFGEITTLKQFIQKIGRARAEGSVFYFILPKEKEL MWMAKEKVLFKTKECVERKIEELNKNNYYSDLKNRINSLNKQINNIPKFKEEYYDCRLVK DSLALVNVNWAVEILSNYANIFKNFEYRGKDENYIRGIFYQYTEFPSLGWKCVLILPKNF SDRYFIGDLMKTKEDAKRSAAFKAVLILKQKQMLTEDLRPVKSAYHFVSDRKNDPNLKDP NYCQVIQESVVMKVEPLVDLRQKLFPYKMDVFEISIQQPLYLYKFKFFELPPLIKIEKGK EQAIGFLHNSQFCNRFKFQGGKEIRLEFVKKITITLEQYNLLNQVHQFLVATSFDWDLPF YSFLAKETISSESALFQQGKKQQFNTIDDQKQYALLVLLLGDQEFEEFNINYEASANIVK YIEKMKKVFSFLNSIKQKKKMNQQIKEERQRLNDMSIRFETWNSLEYDGDLIKLLKESNF KGIVGQNITDRYFFSKVVFDSKRQIEEQIQRFDNEKKKEFLKDLQNVQRSLKLVINFNFD NEKDLYAAPILRKYLKQHINQNREIITKNSQKDPKYQYFSGEFYQFPLNISATLELRILT KHILQQLRDFLVSYTFKSQTSKLLDSGKQDLNSFQPQTYQVMDNIMIQDSQEVINFFADN SSEFQDLLNQFNQNIDLNVGNKIQDYQKQLKQRNFKYSIHDIDNELLHKCFQSQQFNQDD QTNYQVLEFLGDANLKLLSSIEVFVQFPFANEHLLHLERARIVSNENLRKFSIIHRFFNC IKCTNFDYSPPEFLLDKNTQELSEIQPEKQKENKENTKYQYTQNGEVITELPKNYQPIPE KVHSDVVEALNGAFLIQYDEDINACQFFLHRIGVLKYPLAQVKLKNSTTLQAKGLLQKNL NSLENIIGYKFNDQSILIQAITHQSFLSVLDFYNYRKCKCNFVEFQNTKNILQISNLNQN DINESLLTQLNQQQNRIDMSYERLEFLGDSVLDSVVVEWLVKEFNKEKVEDLALKKQCVV CNKALALVTLHYKLDQFLLHPTLHKVAHENHQTLNKIKQMYNEYYDDISKMYTSEPIIKI LGDVFESIVGAIFVDSSFNYDLTKQVVFKLLMPFMQHFTSPNQIIKNPQDRLFRYFKGKN QAEFEIVMTDEDPVDGQNLYHLRDKNTYAVHKKIRATSEKQAREKLLEFIQRRGDLE >CAK88584 pep:novel supercontig:GCA_000165425.1:CT868650:313835:315140:1 gene:GSPATT00021752001 transcript:CAK88584 MIPLKQIIQQNQLLPMTIILKLLYQLTQILNTINFEGYTIQNLKIEDLIARESGELEKLD IFIPSDIILKESNPNNEEHILNQLKDICNFFQVFQVIKFGLSPLDIHKTQNFEEILLYIL KKLNIDLSKDNWREQCLQIIYGLEINHVQEFNKGLTYIYHVKVPYYINSKHDQIIIKWIH QKEQKQKRLTEVDNYKNLKRQTQFNNKNLIDLFCYTLDVDDSTLLFLQQYSLTLKDYTKN SDGKLNMKEKMKICSKLADELKKLHDQNKIHRDLKPENIMVTGKDTYTDRFYEITDQNIN QIDKLQWQIIDFESVIEKGGIDEFVGTKQYIPPENMQGKPYQESYDIWQMGLCFLYFITQ QDVQYKKSAEKRNANLWKLVDKLKDKQNMAFYSETMHSIISKMVSIKPQERPKLEAVIKD LNAAIIN >CAK88585 pep:novel supercontig:GCA_000165425.1:CT868650:315315:316442:-1 gene:GSPATT00021753001 transcript:CAK88585 MQTPDQKKKKPQIAKISLQSEQAQPVLNLSENGSLILKEFRLNGQGLKVTHTHDQWDFSL SESTHNLSLENLVTVGHLGQGASGQVEKVQDQVTGQYFAMKKIPVASDPQYLKQLSDELK LALECSSPYVVKCYGAFYKSGTLHIILEYMDVGSIDSLIKKVKNLNEPVMALLLYQILLG IDYLHNKKKIIHRDIKPQNILVNKKGEIKITDFGISGTIETMQQRKTYVGTAVYMSPERL NGEMYGKDSDIWSIGILTAECLMGKHPIQKTQFIDMVNEISSFNIENVQAKISAEMKNFI SMWQSYLLISSVKLKPEERATVDQLLNHKIILRTKKIDKMVFLQWLNQVTQL >CAK88586 pep:novel supercontig:GCA_000165425.1:CT868650:317259:318808:1 gene:GSPATT00021754001 transcript:CAK88586 MNQKYCFKSYFSQQCTQFWDNTKIDKTQKYIMEDLYYIQTPLETMPCNLRMNKKNLIMEQ DDRQYSTNVENAGLELITSDFNNDQGVRITKGNYTFEFFGKIKSIFDQLKRTSIQFNFTQ RYTIKKMIAKGTFATIYLAECKFTQNEYAVKCFEKRQISNEKDKKNLIKEMQILRLMNHN QLLTIYEVFENSSYIYFIQELLKGGDLHEYLERSEKLSEVKVSQIIYNLLNGVQYMHSQG VLHRDIKPENLILRQKNNLNDIVIGDFGLADIYKYDGNYLYRRCGTPGYVAPEILRGQPY DYKVDIYSIGVLLYILLSGRRPFVGKNNQQTIKLNEMGDINYDDIECSSEAMSLMKKMLE SQPENRISILSAKQHPFITNNVQKRESQRTKNTLKLDMKCLAQQECVSVSPILTSRPLNR HPLMNNFTIHTPRQLPRLSHDVKAIAKTESNDVHRTTISRQSMSRANLRLNNQFTNLQVF L >CAK88587 pep:novel supercontig:GCA_000165425.1:CT868650:318828:319110:-1 gene:GSPATT00021755001 transcript:CAK88587 MNYRSIKQLTIMPRFYHQQEILKPSKKFEVKPKPYCETLHFQREKNKSRLEWVFGTVFAL FYFMNIHGKEFIYFPWCQPSKPEQL >CAK88588 pep:novel supercontig:GCA_000165425.1:CT868650:319110:320420:-1 gene:GSPATT00021756001 transcript:CAK88588 MDYNRNDIITQELKAKFDLDVQIKDPQSRLFLKGYFKSDSEIHSSRFDKDDIMLALGCSS GLVCVYDLTRSEKTAFYTYLSSKSNMKLPCTALRWFSSEKSTKMRQVLISANTDGTIMYK NVRTDSTIYQFKEEDDNEVYCIDALKNQLATCGKDCKVRVYDIEAQTLQATLEAIQWVQP GHNNRLFSVKIVPYDKNLVISGGWDQNIVIWDLRQKSQAGCIVGPKVAGDTIDIREGTIL TGANRMSEQLQLWDLGTLKLIDNIKWDEKVDSAGAFIYGSQFGKGRGYCVGGVAHGTNEF KMFDRVKGSYKEAMQLGGFKKGLYTMDFANTSNKCVIGGGDGLCLMLAIVPNKDQ >CAK88589 pep:novel supercontig:GCA_000165425.1:CT868650:320421:321758:1 gene:GSPATT00021757001 transcript:CAK88589 MNFLIISTLALITYLTLDIIFNDYLWRMNIDFTIFLQNNSFPGEESIFTFFSYVIQLPII MGGLQLMLSSKKIESILYIFICIFGFTSNGLLKNAYHQPRPYWVENEIKGIGCNMEFGKP SGHAQTAVIIYYSYLFIFYPSTFIKNKQKVSDNQGKSDDQDPQIRKGLIIFLNLFAFFCI IMTGLSRVFLGVHTIGQVTLGWIYGVYIVLNYQIYCHRFLLQYIKNQLQLNGEEHVRFQR LSVSISAMFILVILIDLTLLELNRKVFNQDEEEVNKWLLKITECKDKEIGYYTRDHTKVL YNACFTNGTLFTFIFSFIQGCFFGQGSFNEAEYSNSMKDKPLKFKLIRVLFYLPLVIPLP LLAIQTYNIYITTFLILIPAIFILCWYLTIVYPNVLKKFNYQIKGEFLESNTDYYESLSQ T >CAK88590 pep:novel supercontig:GCA_000165425.1:CT868650:321795:323134:1 gene:GSPATT00021758001 transcript:CAK88590 MYLILASLTINVLSQFTKTIQVPVVDANATETVAENIQTRSTRIYSDPNKGACICDLIIN ICDAQCCCDTRCTDALIEEWTTLGKCLNSKSETDYFYPECGDLVNLVNVFDDKYHFNQIN DIMCSAVQRLPYAGVYANIDDTQTLTADYKSFDIVSAGSILFTLATNPQYINPAAQLPQG YYSGNPGYIKGKPLMVGKSNGANSNLIWYKELRVYAWSENGYCSPGTSSYNSKLYKVINF GEDLVISCTLESTVNLGTYCSSGVTYEIMKSLKDSTGNYYYFGKWGSSNNNRSGEWLQVN STEIQNVTSSCALPLEQQLTIIYQKVGFMGDLQNTIISANVTNIPLSAASYTSNSVQLSL KVKFIELANTDIDPTIEKSLRDTVTPYVKKIFLPFTFSLQLVWFGVILIFLFI >CAK88591 pep:novel supercontig:GCA_000165425.1:CT868650:323232:323878:-1 gene:GSPATT00021759001 transcript:CAK88591 MNLRPQDNQEGNQAQYNKKYSIYYQKPGEEELKQIVLELPNIFTVGDCIDFTIEQINIQD PTFKFKGSADCFKLKVAKKNGKPKDDLPALDNEQQLIDTGAIVFALVLNLSQQQLEKQLT FAPTNYTSQTQQSQVNQQESSIKQRTSYSNSLSNNKKNKNGGNDQKNDANGFCFFKQCA >CAK88592 pep:novel supercontig:GCA_000165425.1:CT868650:324029:325098:1 gene:GSPATT00021760001 transcript:CAK88592 MGLRQLDFFRKLNTDIGDTSSALGGFLTTIAFALVTILTMNECRLFFSTELNYQTVIDND TEQFIKVHLDMIVGAPCMVLSLDQQDEVGVHVMDVSGTLKKISLDKDRHVLPSIDSNERP NYEGSEQELLDAIEAINQGEQCQLKGFFQVNKVPGNFHVSYHAHHYLLQRIHQRDLSVFR KMKLDHSIYELRFGEITTTSKMRKYSKSLQKFQNSWKQIVKSAPEGEKQDYEYYIDALPV RFYDENERNYQTLYKYSINEAQMPRTFTEIDSIYFKYQISPVNMVYSIQKKSVYHFIVQL LAIIGGVFAVIGILNSIVQKAI >CAK88593 pep:novel supercontig:GCA_000165425.1:CT868650:325135:326621:-1 gene:GSPATT00021761001 transcript:CAK88593 MESNFKIECFRHKILGNKLCILEVTSDKIIITNVSDSPNKRNELDINYNLFVDFKVKKKQ LHAIGLTTNKHHWYYANHENLFNLKQIIGARVVFKGINTIYNPLQQIGQGTFSNVYLLQS KINTLEYYACKCLDKAQVNDQIGRQGLFEEIQAMVALKHSNIAELLEVYEGDVSYYMVMQ YYDLEFTDILKELNIEDIHIIFKQLVTAVNFMHEKGYMHRDLKPENIMFQDSIYQLKLID FGLTTKDSGRSKCGTPGYVAPEILNLDTKINEYNEKCDIFSLGVIFYKMLAQHDLFNGAN HEEILENNARCNTNFEILNGNQKIPKQAIDLLKLMLQIDPTLRIDSKSILQHQYFSVEEQ AHNNTINSANQLSRKHSSVLKILSPLQKKNGIFNSPFSVHEEDCIESEASMQPIPTVVMK GGSVQEIVKSRTPGRIRKLSKETTKKYQTTDFEDIVLEIKQSNYRPPKPSQLSEMYNK >CAK88594 pep:novel supercontig:GCA_000165425.1:CT868650:326764:327487:-1 gene:GSPATT00021762001 transcript:CAK88594 MQNEHVHRQLSHQLKTQMQLQIIQQLKLLMTHQRPPVPKFNDECLTPKMEMSLLEEVQHL IFKMNLDFEIEKKAIRIIQSIPLQNTLTSAKGVIFYCLKESNRKLPALDKKVEYVIKFIE QQQSLNFSNVCQKMGFGDQVSRVCETLKKQLVYLIGKLTINLQIAITIKIAADIIFYKQG GLNTKFLAFHTKVNEEQLKCSLNRIKPFSEKIMTDLFNHYNDNPL >CAK88595 pep:novel supercontig:GCA_000165425.1:CT868650:327815:329936:-1 gene:GSPATT00021763001 transcript:CAK88595 MSKKEGDGLDALCFPNAEDYQNEFDTAKQVLLGSGSYGQVYKMTFKSNPSQNCAVKIVQC INKKSYDSALKEVQLNRQINIHPNIIYFDKIYAWSETIPVQKYFLVFQMKLAMGSLKDLK DDEIRANRKTFNETTFLNIVNQLLQAFLFLQQKELYHRDIKPENILYEKENEKVVVRIAD FGVSKALEHFKNNVKNTLVGTPLYLSPKLWEAYINGQYNDVKHNLEKSDVFSLGVTLIQT YLLLQDHDIAGLNDQKQNKIPIIIQKIYHDKIKELLTGMLNYDEKSRFTWQQAQNCLNGI DKMNGSIEQNQGGGSLQNKEKNYVLHIPYEKTIMNKSQSIKLRKINQIYCQELNEITKGQ IADNQSIIVFSDNYFSEINFEGAAIQKRQIQNLKCVCLTEQPRKIIGLFENNTIQILDDE MCQPFSLAQTPQEINVICQMRDSNVLFGFSNGEVQVLQLQDKSLKVLNTLKDHTAQINLI YFDKLNQMLITSDSSRILSVRFLFNNKFSYLKLDYVAQHVELLSNTQIVVNGQRLNEILI LHISHFQKEPGIKLEVKQTLSLQTGCVLSLINLSEKCLFVSTEKEIIIYDLQSIQQDSGH LFNNQMQQTFYYCNWIRERGYLITNDGQRIMKWEIDYEKNQLFGTNLVQPQTKKCCCCKR CSIM >CAK88596 pep:novel supercontig:GCA_000165425.1:CT868650:329965:331020:1 gene:GSPATT00021764001 transcript:CAK88596 MNSKIRIGIISTAEIAHKVCLAINSSEYAEVYCVASRSLEKARQWADAHNIKIAYGSYEE LLDDPNVDGVYIPLPTSLKKEWTIKAANKKKHVLVEKPLPGADSSQCMEDMIKSCEENGV QFLDGTMWLHSLRTQVAKQKKQELGKVLKVTAAMTFKAPNEEWLQGGNGRTNKNQEPQGC LGDQGWYPVGAILFAFDYELPKFVKCLSYKLNKVDTIIEFSGFLEFSDERYAYFDCGATF PHRSFVDIVCENGQIRIDDLVGGFGRTGNFNAYFENYVGSERFFVDDVQGKEEVVKVDAC NHTVKMIDTFANIIQSKKTDHSWHHKSLTTHQVLAALFRATQRVGENVQIL >CAK88597 pep:novel supercontig:GCA_000165425.1:CT868650:331055:332202:-1 gene:GSPATT00021765001 transcript:CAK88597 MLQNLECNNIQKLKFNKYLIIISNIIFIIIYILQLIKKFLMINQTIIKILNTNNVNLAES ALQLEKAGIQNVFNYLSKSDVTKIVFPEQVIKLLCVFHFMIQKKTLDVQVFQECNIYWIT NVLNNSFIMERLSTEMSSSSTFARSSQFSSMRSSQQQTDRNLPNFQTETKSQKVHTKRMD CFQSYSEKQQTERHIIREPLSYQSMLQLYYTYLKRVCHYSSSGIPIIELYVIQNICSMGL RIIEGQKCPKLDFCFQLILKDLEQFQSQQIDYLKELIIDLKDKNKEQMFDLFEIYKLLCL NGKQIKFFGVLNKLTINQQKLKGFKKECKEFVIECKQHLRKVKLQQNQDRLSVSEFKTDN FFEYERKQVYHNY >CAK88598 pep:novel supercontig:GCA_000165425.1:CT868650:332313:332878:1 gene:GSPATT00021766001 transcript:CAK88598 MNKSNHLYYTNDSNELLRRDRDFLIHQQKLEEIKRSKSAIGYHRSKSIKLPKSTNIKDEM VQAEIFHQNQLLATNLKRIHARSFQIPQKLQNFQVSIKSSQTKEHTFKILDENINLCKRI LDQQSQIDFKQKLQEYKQHKKIKFRLQKVKRQFEQQEKLAVDQRFKSKSFSQVQMNKF >CAK88599 pep:novel supercontig:GCA_000165425.1:CT868650:332920:335502:-1 gene:GSPATT00021767001 transcript:CAK88599 MRLIQFLGGIKKWIQQHSSNYLSIFQVAIRVRPLNQKERSVSEFETIRILDGKMIVLMDP ESEREDELLRKNRLKETNFAFDFVFDQWAPQQKIYENTTEFLLEGVLEGFNTTVFCYGAT GSGKTFTMIGTQQEVGLMPRALQSLFNFSQSDRFKETQFKVSYVEIYNENIRDLLTSEDK NLEIREDKNNGIQIAGVIEIEVKTVTEVLSLLKVGNRNRSKEATDANKESSRSHAILQVQ VECKDKAAGLQEQIIQSKFSLVDLAGSERAANTNNRGQRMVEGANINKSLLVLGNCIQSL SEANEKGIKNPFIPFRNSKLTRLLKDSLGGNCRTVMISNVTPSVSSFEETYNTLVYANRA KNIKTVANRNVLVAQNHISNYALLIQNLRQENEELKLLIQQQQFNSITPQKSSKQIYKVG LPSINQKTVPVPQLNLKQQVNELESIINQNIFDIIEAKNKLFDMEQQQNQYQQNIGFLQY QKGRSQDKFEQMKLLEKIDNAKTQKAILKQSEDDMKQQLLEYDIKKVDIQKSVQQIPDLN HKTYLQGIIKQGELKIENVELQIQEKRRRYQESVQDEQVRQLRTQIHQQQQTKHIQSAKS KSSQQNGPKKVPSLPGVDSPYYSISGGQTYAVQRHQKQKSHLKLPPVLQMAQLQKSPKTQ NSSLNSKNYNLVGNPLKYRMAQRYTTRLNRPPSYRPPSSSRKSALGKYVNRSLDLGSGRE SVNKSSGDLQNSVSLRKLKKLHQEYQQQRFERVVSGKKNQKSMPYFGNKILLPGMVHKSP YVKNFQNNQEPIELKKERLKMLNINLKAQYGDKFSLQN >CAK88600 pep:novel supercontig:GCA_000165425.1:CT868650:335633:336397:1 gene:GSPATT00021768001 transcript:CAK88600 MGNCQQCTNFAQQNQTAEIKTKLTKSKHTLNFVNKFTPRNVEGVIKIQAVFKGYLTRKYY FHEKLFIRQLSLLSTQQKHSSPIINRDILGFDTLSNVNETPNQPQSLIMKKNMIKDKPQE EIDETNKKSGEINQLSQSKCNKDTPDNQSQVSEFSHPSSLKRQFSFDMTSLCFVPKSKND QILIISQTQIKLPIIQMINGAYYEGQWQNGKAHGFGKYIMMDSSSYVGEWVNNKAYVYWD ILIDRWGFFHGSLD >CAK88601 pep:novel supercontig:GCA_000165425.1:CT868650:336400:336976:1 gene:GSPATT00021769001 transcript:CAK88601 MWLKDKVNIHLLMALFMKGNGRTIYQMALESKPTPMAGDIKEGSFLNGIKNGQGLLSFPD GSIYEGSFERDVPSGIGTLRFQDGRIYTGDWKNGVKHGKGIFQWPDGSKYDGYYINDERE GYGILHWPNGQKYQGLWKQGLFHGNGQVIKPNGTSIRGKWIKGKRIQSKLNTNASGKTKT SQVD >CAK88602 pep:novel supercontig:GCA_000165425.1:CT868650:337002:337634:-1 gene:GSPATT00021770001 transcript:CAK88602 MSESFDLCGGFYSYDIYQNEGDIYISDYLSEQQCNHQVEHQSQNDSLHRVKKKIRRSNPQ CSRPGETKNIPKNFASVLKKGFQKMLSNTQDEGLRSFEKCRDWIKFKHIPHSKILKAKIE EFVQTPVGRLIGKQFFGNCLWAPYFIQENKTDVALLFQIQYKLF >CAK88603 pep:novel supercontig:GCA_000165425.1:CT868650:337784:338987:-1 gene:GSPATT00021771001 transcript:CAK88603 MDYENLLQKYPTSSYAKTQRSSSVNQNSQKQESTQQIKPQALLKKLEEILQQRQQQLNQE KSKKKKNTQHKGEYTERISDKKNVQKLLDNQKWKADLQNFVTEKKERKSAYPNLMSLQPS TQNLFDIFSQDSNSRNPTTTARSKKGEGSPKKGRANSIMEGYNLNERDKGTLIKLNQQLQ IQNNHLNEQLKFEQSQNTKLSLQMISMNDQITLLTKYYLYLFRKQVEYRDKENEEITRSL KSQLDMIRPEYYKQSEQIANLEKQLTGYQNIEKDMRELEQMLPQISTFTTLFVEQNAQIL ELQDLITLQNNIIDKVLNRKDFPLNNLILGREPKKTKQYTNDLPFESSQYLDNLIKQTRQ QVNGLTERFIHELLV >CAK88604 pep:novel supercontig:GCA_000165425.1:CT868650:339065:339669:1 gene:GSPATT00021772001 transcript:CAK88604 MTPTPNEGSISQVVYPSIQNQQIQIGQPISQVIPAQQMMHPGPYQQSPYSSADQPIYNPQ PIQIVQPIYSKYPSIITCVYCQRQVQTVVNYEAGTGTYLVGGIVAAVGLWLGCCLIPCFI QDCKDAVHFCPSCQANVGKKRFIFD >CAK88605 pep:novel supercontig:GCA_000165425.1:CT868650:339922:343068:1 gene:GSPATT00021773001 transcript:CAK88605 MQFESPRFNVDLDQELQAEQNEDFEQQYLGFCLRSTRRETSINYPHLYSQTQSVKSIEGF DQYNVKKLENVALKKVQKSPPETSRSPIHVPSYKQHSTGSSMMAFFLLKRFLEKLQIKRR MLETLNYTHLSLIGDKAADNNVVLQYSKSIQRAGFTLQAMKKLFEMESQIEETKLENLKQ HYENIKKQIIKICQTIINLIPLIQPESLFKVYWDLFAVVFRIILVILIPLEVGFHTQILF QDSTPLTVIICIVLIMDFFIRINTQQYLNGQAIRDRWKLIMIQVKKSMFIDFLTITILVI FLSLIPQNPKYNLFTLVTLTQYSYVYEILSKSEQYSYFTRPQRGILGLLKFMATLFYILH LFGCFWFWISSLQIEDSWIDFKDLTNKPWQVQYLEALYFAIVTMLTIGYGDNVPKNSTEK IVTIIFILGACLWFSYSINFIGGIMNDITQNQVERNQKMRVINKYMNKRNIPFALQHQIK EYLTYRWKEDDEVDLEIEQTLLDQLSDELKEELDRQAHKVFIEKSILLQKFFSEEFRNAL FKSIKRKIIPPENTFYIDFNGQHHLCFIEQGHLLYQHKDEKQRSKMNTIIGLGEFLCVKE FIVEDPDMELFKAVGYVSLLVLSKQDFLTILKDYPDDFQKYCQLKDSIVMNFDQTILQKS VYCPACQQFEHTLTKCPYIQHKPNFEVTIKRHQHSKTQQRTRFQRKRKKNVYLALSEKDL VAEFAKVYSSDNQQSINQQLKITYYYEQDQIDCNIIDPSASVDIFRVSSLLPQYSTEALN KQNDLLSSVRMEPKSIKEPEIDIKGELRQQLNQGRLIRKTTMFPSNNTKQIKKSPTNTFT IKQVQDEDWDDSLQILTFQNSHNDNIVHVYNKLIKQDLEDPIIKKAITQIEPLFWKLNQK MIDDFEVIQNYDFFYSQFNAEKTIDLANKNIHHWQKETIEKMQKFMLFPFNYILKYLKLR RNRMNQAIIEKGNKFKRVKNKLRMMQLRSNLQQKKISTTSNKVIRFGQVLPSKVQSRDSI II >CAK88606 pep:novel supercontig:GCA_000165425.1:CT868650:343263:346507:-1 gene:GSPATT00021774001 transcript:CAK88606 MQKGISENFTTNHNFSIDDVKFYVLPPQGEINFKGNANEEELSQNDHIQQPLKSIANELI EKTIPIRQSWVLSKQAHQFNLPPSYFKQKVVIQRNNDKHLIQKEINQNDFVPYLDFDNHQ LHQIRQEGVFDVYSKNNPNIKYRWILSRWVVKGTKNIRNTFYKDMLKAQDCLTGLGDFIY TKENFDSLWNYSIVHGLYTIIKKTLLIPSLFIGYREMILKYSEKQAQIQVESKRQLSLRN KNLNFSIYQYLYHTPATQSATQFKVDDLKNQLKQFEQDCWKIVALLSDKYINRQNQNIYE QRNLEEKYHIIYDQNKDIEELINEQLNNAQRRFVQQNSLDMPEGALKQILDIAECQQLQR YYKDLLKNSLADYENQVTEQYEKQFFFLNKPAHRKQYINEKLKIRKKNLKGQYYNQMIQE LAQQNILDRNIFIEFTENKKKYKQKVKEFVKQKKQSPNITYLITRFALPPYPIIYEENKF ELVKEVSYKVTSKYFGWKLNSLCIIYYMLISDSYYWFYQFGIMGSFGLNSLFSIKPFYND KKINELTGEVIEVELVQTICSTLNQVYKGMRQSRKEFEELESSGLFGKGCQRIYNLLEVY VFRFLFVGVFYTLILQPILILFFSAFLFFLFITSFIWAFFLAVLRLMVCILIYDFETAFR IEFKELNNIHSILPLFRVFVDIMIGMLEVLACLICLLILPLFAMIVILFGIIRYMIRSMY DCFMMTFVYCCGRVPRRSGCLVWRLEGDNGQKKIVYNYHKLSSEEFQILAAREIEKYIIQ EYQNRLIINIQKPEKEINRYLQPFFKFFNATYQIEDKNSKLLQEQLAAKIANLNQNQPVL DKETKKYIKFSENELSEIKHFLKIFVIEQLNLKNMHSYIWKQTGLQIGQFSELVDIILKQ IFGNEILIPNKELIQEAQFKVEKEKGFGQQIERAMNGEVNLNKPVKYILEKKQDIKLQIT KKVYKIFIPISEMLDKIWAAQQTQQEWSSLSRKDLRFLLNYSLINE >CAK88607 pep:novel supercontig:GCA_000165425.1:CT868650:346563:348805:-1 gene:GSPATT00021775001 transcript:CAK88607 MEDDYFQELFKLFRESQSVQPPKKPIGAYDRFVGNYEQACKEKNLIHNSEQAKKQWENLE KELREEYYRLSKQDQELYEKLFSSYHSISILIKKKKADGSVLFFQEKGADGSLTNLQQLW DELNAEDKQIYGQRAQQNQEDTIKAAIEEYITEYQNYLNQQNEKPQDLQFVQKMEDEQDE IDQIDIIIGIKRTNGKKEYLVRFKGKTGKDAKWFPKKKLRKVKEIVKEFEETYFKQSFQD VESSSGFDSTPPQQMQQEDEKGNQGKEIKQSQKQENEEVKEEKKQKQKQQQKAKPKDVST KRTQITQQKNAQQQKSATSSKGNTSTPKISEVEIKTSQSRRNQKIPEKANPTEPKLNQEK SNKKQDQEIKNQKQQDKSQDDRKQRNKQISVEKPTRSLRETKGSKEDLSKRSNKITQEEP VGKRVKKQINDLSVEKSKVKTIQKSNSREERQIQQQKEQIKEVVIPKRSGRSRDYSTTKA QQVPSVKNDKQEKKENKLSTKGKQVVQKQSLNTSNLQQSNKRNINNQESQQKQSKNQQQQ SAVSKTRTTSVKSNKQQNNQKQEKEQITNNNRSRSADVIKNIIPKSKAINNVRKSSIISR SPKKTSANTQKNESLNKGQQKQQQQSNQTLAKQTKQQVEVKNKSSINTQKSSSDAISKKR ELRGKTITKNPVKINKKISKNKTNNKGKQKNKISEQQRNKEKESQKNSNAISMVTARQTR SKKSKDVGKRKH >CAK88608 pep:novel supercontig:GCA_000165425.1:CT868650:349093:349956:1 gene:GSPATT00021776001 transcript:CAK88608 MNGLPQKKLTKLEKLQQFISNTYQSEYIIIIAVKPAYNLSHKKNRYLMIKIEDITIFIYQ AKPVDYFQFSQIKQIQNKLQGIAKENNVSESILVSQKNISEEEMESLQKVKQCINDIIIL DYENWETDLVVAAKGKLHQLFQTGFWHIFCAKRITTMVDSKTNERYLEYQFDKKNSQYKL IAFQKKGPNFNLLEKVKLKVREIISFTVLFIFFVTLTTCKDETSGKQYKYQIQEQFCSHR QEVIGFCAIVLFGLVAQRMIGKRNQKKNKKQ >CAK88609 pep:novel supercontig:GCA_000165425.1:CT868650:350037:353643:-1 gene:GSPATT00021777001 transcript:CAK88609 MHLLLTIKQKLRKVQILLNHLIDVIVLISMQHFNRENDNEFIIRLALQIGIQTSKSMLFQ YDQFSMIFQNILKISSITIIRWYIIKDYNTFKIIVLLTSMIFYQIIQLLIVTSYSYAYKN IWINNEKMKKNNQMIEKLLYELPIGIILFNSNGEVQFQNSISYKYLPKSIDLVSKKYNLE SVQKYSDLILMEGDQAKFQKVFEETLESTDPIIVSFAFQGHPIPICCHLRKQVFNKNSIV EAIFIEKSDDQSLIYDKISQSLQIMKIQQISEILSKQPERMKQQLLQSLFPMLNNTDYQK SDQIVCNLKNYFKFFADCLQIIYKTDPNVKIENDVPDQAFIDIVKLHSILTQLISYIYQE HNRKQMMQGFPSQRQLVQQSEKQVQREVNNFSLISFTISKGNELQVTIQIDYHNQIQELE MLFQRFDFEGLKSYDFRLQQLQEEDLSYTSFIHILHQIYQIEGQIEVCERLDNIKIQMNI PMRLTNEYQSVLLDHYISMGKLDTQSKIYSINNEIFQAFRIKSTKKISSTQRIAPQPASR VNEETVKVQTSLSEQKPFQICKCFEFTYRPNVVSEEEKLESNKIVSPNLSPINKPSSLNQ ADVATFDIEDRINTQMHSVEKAVSKVLEQVLSEIMTFKGKRINVQFRNSKHKQKSFETSP SNSSAQQQNQRNTSVPNLQQFRTTIPEKRETNTNQQQQQQPSQHPNQSLFKQIAIKQMPL QTSQNFGSQPSSTTQSQQMNSVQQTKRQQGTQLLRNLRENDSKVQQLEQSDQFKPDEITD DLKNSMKYIPSNQEDPILQKSQTSFGVIVQPVQTQGSEKSPCFKVQKSVTINEIENQSEE IKQQETIDLKQYYKENLTYLLVDDSTDGLDFKVKQTHQFDQSITCSDASQKIKSMFEKNK IYHFIIVRINLPKKNGIQFIQEIRQLENQHILPKQYFVGIESNISQTLKQSCIQAGFDEV QEKPLKPQYLAQLIESRLNPPPGIIWKPITKSCSIMDQQEKINQIHKELDQIAIPQKISS FQDIPVASKQPQFRKAFYYPIVTIYILDDSSVQLSALSSMKFNFKVKVEFDRTVDAGLKR FDKSFEEKKIYHIVLVKMNFTQKNGLEILQQIREMEKKYLVPKQFIVAIDSDFTEDKKTD LIKQGFDDALTKLIDKRLLEGILQKRLEDD >CAK88610 pep:novel supercontig:GCA_000165425.1:CT868650:354126:354799:1 gene:GSPATT00021778001 transcript:CAK88610 MDLQTREKLKQSSKSTGLIDAEINSTLKYLGQYQILIQIMNWINWIKKLKDTRLIRIAIC QQRKFRKGETYIMNQLKNDKIQKNELNQITWPIYSNIVIQEKKNNYENFGQIDALKMHGE ITERQDEYLSKINDKVLNIKYSSQNLSKQIKEQNGMIDQLHEETDSANQAMNRLKNKMNY FLDNSSSWKLLIILFFEIFVFIFVVVI >CAK88611 pep:novel supercontig:GCA_000165425.1:CT868650:354804:355539:-1 gene:GSPATT00021779001 transcript:CAK88611 MSRIISKLVQINAIQFSDSSASEKDLPQGFAEQILQHDINFVLSNFTNLDALNHLVQLYM KGVEYYESLQDRKQEYFKYKLHWLMSHPNLLQEKSKKVEMHYPSIQEKLLQKIEPDGKNQ VQQLITNIQQDKQNHLKLQKLISNEINDQQQRINNRLRQRSTSKNERDIKQQPQTYYNHV YQNQIHSLQQPQIKEAKPEDEQSYVISKNISPHK >CAK88612 pep:novel supercontig:GCA_000165425.1:CT868650:355630:356823:-1 gene:GSPATT00021780001 transcript:CAK88612 MSQFTFMKKYLQKQTSETKIHCEPLITLTIELDDNKYSQINIYEDSNPEILASNFVTDNY LSMAYIEPLKQNIIQQMLMYDQMKKQEKEKQPLSQWETVKKQQQNKENQQATPTRNLQTK RCTPEKSLQANLKSERNLSRNKRFQTDDMNIHERLYQQAKQINNFKQQKREYDQLQKQKD PNLTFKPKINNNYSTNKSKSPQQNQNQFYVQPTQQKQVQLKSPRLNQLYQNKSFEFLIPK KEVKISILENEESVTQLPKTQDSPNVSFSEESQFKNDSPYTSTQEENSLYQATKDLDERV VAKLFTLIESNNLADARNIPYEKFNYQLIVELRLHFQKNDFKIMNFEDFCQSVLKNAKLI KLAADFFKFENVQYQNTCYRH >CAK88613 pep:novel supercontig:GCA_000165425.1:CT868650:357192:358965:1 gene:GSPATT00021781001 transcript:CAK88613 MSLIIQREKYWIERRSGKEKDKNIKYDYDDMKQTAIIELKGQLEEQRNLNKQLIERLEKL EQFKVSLKPITDNIKLQNKKKFHHRVKSDNGTFLKSEMNDQKLITQPNIEKILQKDKKPL LQNFLKELKNEDIQEDQVQNQIQIHSPINLQLLQSAKINQILINQNTYNTCSARQKHHHQ RSNSNGASELLNFALQRKKQTLETSQNELNSMSMTEREIIVGKEIFQTKGQLRSHLDGVR SILFQNNYIVTASEDCTIKLWDINDVQQMNAQTHLEPIQTIRQHDRPIFTMTSYFKAPQI HLYSAGVDGTIRTYIATTNQCFSWRAHTDVIWSLKHHPHDQRLLSSSADGSVKMWKSLGV CYQYDLNRKGNLNLEMLQNPLANFTFKKSMTGLSIPTAIEWVCKGFNENIICGYSDTLTF IVYDVTTVKAIQQIRFDLDNQFNNNAQPNRILFNNELKYIVSGHDDHKIRFFDLNSSKVC KTLIGHTDAVTDLCLFSKNVYQLASVSHDGSMRTWDIRKFQCLHEIPAHKQKYDEGIYTI ASNNQFVATGGADGIVKIFNYQNVI >CAK88614 pep:novel supercontig:GCA_000165425.1:CT868650:359213:360781:1 gene:GSPATT00021782001 transcript:CAK88614 MKDAFLSDSMNVLIKETNIQLTSQSALYDQRLKTIINQIFRKQRMFQNIYLFVDVNEQII QTQTPFYCPPNISQAESKSFFCLMAYQYDLTNYTNLQTEIKKQFQVISIFHETLPMFDQS QFIATGIAYTTNDQVPILGTWPALNSTLSYNAHQRSWYLSHLKQMGNGKQYLFSDLFTTI LGSYTIAISQNITNKHNNFEGVVFNLMDFGVFKKNFNADYILANNKGQIIIGQFNYPENT TKPVFFFNDSITGFNQIDWESISTLIDGKSNKVDFKSLNNCSLDVSNYLCRYNSLYRQEV LLIAKQLEYPPYPPHIVIIFKNITELYQQVDLLNQDIYELFAWEIQRNMQILALLAIGII LLFLIILNYITKSLGLLIKYSKFQQNNLQYLKAHQEMNQQIEAITNLYNFKQNQQLYKLS ENALDQLNMQYFQLLSKLKGQQGEVKKSEECLQMEQTQYPMTTQYLKFQKTYYLHDYQAN IEDNTVNQLIYYFLIKKQK >CAK88615 pep:novel supercontig:GCA_000165425.1:CT868650:361549:363156:1 gene:GSPATT00021783001 transcript:CAK88615 MIYPKNKLQSAGQSTLSRKNYTSEQREEIKRQIADRLSKKYGLDLTEQIHAKVNSYLNQN QTITAEGIQNLEQEISRQKALPQLQQQQSSNQVKQKDEDDQKSVVSKMSGASNFDMVDQY THKTEKNTEEKKSQKMTGLHTKKIENDLAFLNEENQWGAIYKYNKYLYDKETDIKKQREV ERKKKIKEELDKQVIEKQSKKVLSKKEENAFYENQMYQCTLFDQKEQEKAERAKYIKMIE KQARDQQVKEIKKNRRVEEKQEKALDSYMIQKVREELDDEQKFLQFKKEHQYQVMQKLMS ENEEKKKIQEKEKEDERQENIRLMDAYCKLLDKQEEERQKAMKERDEKIKQYQEKAMEMQ EKELEKKIGQLEKRADKYEQRKERRLQELEKEAERKKWETKLKTREYLNKQMEERDVQKQ REKLKDQEQATLWKEDTTNYFQFEKERDIEKKKIYKEYQDTLLEQMKEKSQAKKGIIDEM LKHEQMLSKNTLSELDQMRQTAQ >CAK88616 pep:novel supercontig:GCA_000165425.1:CT868650:363302:363919:-1 gene:GSPATT00021784001 transcript:CAK88616 MGSAQCCTKQQLEEKETEIQIKQDAIMKQSGTQQDNPLPKKKLSSRKSSPDEPGTPGFAD LRSQQSKKSEQHQSHSQKEIQLIGQLEKHDSPFKSQTSIGKRKNDSPVCNFEATNKLKSL DSNRLKKIQTFEEKLNSRDLSPIEDDGMLDSQRSKQADEKSVKSILKQELKYSKFRKQDT TFDAGQRKVQFCIE >CAK88617 pep:novel supercontig:GCA_000165425.1:CT868650:364684:364965:-1 gene:GSPATT00021785001 transcript:CAK88617 MKSLTFNRVLKIAISWFITVLAEEEAVLVLTTKYYNIHLDTFLIHGSLFFFNLLALGVNN VKSFNLQNSKDFQLVFSGAEIEERDESKVQRTQ >CAK88618 pep:novel supercontig:GCA_000165425.1:CT868650:365267:366786:1 gene:GSPATT00021786001 transcript:CAK88618 MQVLIHALSHYPDPQKRPNEIKAQISEALEQIKFFAEFLRGEKIKQNARHLLLEFSNYLS LESFKSGEIICREGDKGDKFYIILQGNVSVYRKNVNSNYEPNSVLSEQIFMDQNVKIGHL KEGETFGELALSLNKPRFATIKAQSTVILCSLSKDNYAKMTNYMQRELNLKTNALATLFP KLSINSLIRLAYLLQIQIFPKNHCIYKQGEIASGFYILSDGEVKLEQISKNSTQIYIPIM VLTPGSLFGVQNVLKNQPYDNQAICLTQKVTVYKFTCESFEQLGDDYSKKALQAAEQTCR REPKIQSLQLVYQPEIKLDEQNKQNPKKQRTIKSNGKQQERCRKLRSNIIQNQITFRNPF FTDLDADNFKQIKKISIEQIKQADVKKYNQQFAIQNYFEPIELAPILDSIKRQKNAKCLS FASIRENTANQTSMYSYPASGYNTARVLTLPSPSHTRHTSHSKKSRF >CAK88619 pep:novel supercontig:GCA_000165425.1:CT868650:366827:367618:-1 gene:GSPATT00021787001 transcript:CAK88619 MKQRYSISEPPINKLEQLRQKFQKQLTKVNTKGYVDCGLGKLIQNDVGSRISVIKNSFLE KLQSINSSEEDERNLLKSIQFDVKHAIQRYQQRIESIAQLQVQRNSMRSCSKELVQYFTT PQQDKYLQFDAQEQSMRSQYESHKPNEIISISKDQIKQKKDILTNKKSDKTFKIIQAKKK QVGPVKKKQNDQSLTGISILKNQSLNKDRTISQKSTRQPSVKEISLNLEQRRSTDRLSKH YNTSRQRNSVLSSHYNQNIKPLQ >CAK88620 pep:novel supercontig:GCA_000165425.1:CT868650:367689:369686:-1 gene:GSPATT00021788001 transcript:CAK88620 MLNEFRTQLPIFQFREKIIKSIRDNQVIIIAGETGCGKTTQIPQYIYENDPNVKIAVTQP RRLAAMTLAERCSLEKQTKLGQLIGYNVRFDDCTSKETQITFLTDGMLIREFIIGIFVDY KDQQLKRYDVIIIDEAHERTVQSDLLLGLLKNLCRRRKQLKVILMSATMQIEKFANYLET EAIHIIEARTHTVDVFNVPIRQQDYVESMVNTILQLHFTQPEGDILAFLTGQEDIEDVKE ILIERMKISNQEKQLDVKMLYSALPPEVQLEAFQKSVHRKVVLATNIAETSITIDGIVYV VDCGYVKIRSFQIGKAIDTLLLAPVSKAQAEQRAGRAGRQRQGQCYRLYTQQTYERLAKY MLPEILRVNLLSVILQMKAIGIQNVLTFDLIDRPDMELMLANLNQLVKLKALDSEFNLTE HGKNMSSLPLEPQFSHFLIKAYECGIFDLALNSISILQVENLFYFQRGTKESMQKILAKF KIANSDHLTKANILRKYEETQNKKSFCKENCLNHKTLQKAMSVKQQLKDYMKRIIKKEFE KEDYDKFKQVLSEALMFKHAVYSPSDQAYKLKQTNQLAYIHPESVLFNQKPKYVIYNEVI LTKKVYLRDVTEIDEL >CAK88621 pep:novel supercontig:GCA_000165425.1:CT868650:369930:371925:1 gene:GSPATT00021789001 transcript:CAK88621 MRLPIHLINQKTDVDFNKQQVYIIIQMGSHCCKIDHFIRQDELDLDLDEISNFTPQPLSK ASISQKGTKKRIENSVSPCSLERSKTHKEIANQQEVLNTEIEHRHNLEVLQEMQQLSKTI VVETTQQSRMNSLSQIGTATRIKLGQDIFIHLKEGSINSHYKFDKVLGQGGFGKVWKVTH KITNLVRAIKQIKKSSILKEEKQRMFSEMNILKNLDHPNILKLFELYQDTNNYYLVTEYL SGGELLERIKIMTCFTENVAANYIRQILLATLYCHEKNIVHRDLKPENVIFVNQDPNSQL KVIDFGTSRKFDKNKSMSKDIGTPFYVAPEVLNHQYDEKCDLWSCGIILYVLLCGYPPFT GRTVHQVLERVKQGVFVFESRDWEDISKEAKLFIQKLLRVDPKRRLSAKEALDDPWLVKH NPATQLNLRVLENIRQFQAQTLLKQALMSYMITQMSNQKEIQEIQNEFTKLDLNHDGILS KEEFMKGYSQLKFDSKLVEDEVERIIDLIDVNRSGMIDFSEFCMAAMNQEKLFSVQRIEQ AFKIFDQNGDGFISKQDLEAIMGHLEDEVWEQILLECNADQEGQISYLEFITVLQQKTL >CAK88622 pep:novel supercontig:GCA_000165425.1:CT868650:372041:373737:1 gene:GSPATT00021790001 transcript:CAK88622 MIFFLIIISIDQFQAIRNLAITLGPLTQQSITYVIYQDEQLNQQFSLKETRWSNCSPLDL LYIKSNVNQQVAYTPLNIINTGDKDLIIDSLSLTTQKGQTMKIALNNTNNIVVQKKGVEI INIQYFCEKKLAKENYWAVIDITLKISNQSLKFSYQYICDPNFHPKRFDWSYLILIVTMS TFVLFLARQQKLSAFKITYYDKLNNKNVVVFQGFHLGLKGAIIYNTIFAVGVIAAYVFEK AVEELEENIVRCFCLIFGFILISELCYKMKFLKIRIVSILRACDIIGLLVTIITLHLYVD LNEPWIISNLLTIYLVGTSIKLFKITSLKNGLHFFIPCIIMDILFSIYGSFFVRYEWDSL VMKYFNTPLTAQFPYFYPIYKKKCGWLSITSILFPAFFLAYAHRVDRYKDSIIYRLISYI GLQVGLTLWFTFSSLYSIPLPVSSFTLFPTIGISAIIAFQRNEIKVMWNGDFYDQVLLNP WRHQIQAGERGSIHVLNKKGNDETELQNLADQESQNQQTQLLRISRGQIKLHNELFQGLL D >CAK88623 pep:novel supercontig:GCA_000165425.1:CT868650:373908:382962:1 gene:GSPATT00021791001 transcript:CAK88623 MNFRKSRLKQSPFTASKFSQSYFQPLVPNQKTKTKKQRLQDSIEGFVQIYEQYLKMLFGQ EITFQDERQTNQRVQLFQQDEDAYEEFDLPETVISLINPDIIPDDFEYPLKQVEYFEQIV KSLIIDEKSIHDKLFLKAIENVFANLSDRKFYSLFELRTRGVETQPNQNRNTLIGCGTGQ QLFQRSPDRSPRSIILDDNHNQENKTEVFPIYEKADSVNKLVRKFRNFLKDHASKNQGLA NIIDLVQVDLQGLFYISKKAYSQGEYLKTQGKVWYRTNNDTQDLLTLTRNILIVQFSRKG YNVKPVLSKDGSKIYLLLYIPEKSLEVAAENFGLQKKLSFCFTDLLSLEPVDSEFRPLRL NGRLWRPDEYNLSPYLIYLRPLIIDQIQRINFKKLAREAGQSGLNTELFEYEKSEIYGDE QGPSDEEWTAFYKYLVHLNNNVQIQRQKFQINNDIALVINEQKTAEQIYAIRNHQKFKQF IQSNPEEQEKIQKIYDQIRELSSQYKALPIFSKIPKIKKIKLLLQQQLAHNYLNIFKEAL KVANTPKQYLKSIWDRNNYTPFELFIPFKVHFNNSSLKQQAKFQIKWCRYIKNEKNQITL FPSHERLKLSQSLISSSLNLNTLINLKLVNSLFCLHDHYELFGHCNSLQEALSEDKDCYK KKPFDLAGEWIFNFRTPWNLPIEQICSYFGEKIGLYFEFSAYYIKYYGLLSLIGIIYTIF TYLSKFYDEDVFTGIVSSFSILLIHWSSFVTDYWNQMQLTFNIKYGQNKNAKESFTRTAF KGKHIRSVGNDQLNSQEAIHSEIIKRIIISVSVLIFLIGSDIGIIIGLYFFNLFLKTILD QAGNEFQCLEVIISGSLNYGIQYLIDSYYEQIATILTDFENYQTSLQYETSYIMKKYTLY WFSQLFPLMIVCFLHSPLSLYCQEENCKQQVQYLFGTTICWIFCAQVIKFVKLFFQEKKN YESNKQQSLNLSLIQFIQEQEQKTPFQNSQEKYGIINEYMEFFLLLALINLFGCLFPFSI ALFWIWIIIQFQIQKYRLLYQIQRPWPKGDGSLGIWQEINQLINFVTLLCNSGLICIYYY GKLQDQVVLLFLPLLFYNFFVKYITMSLFGGTPTILEQIMRRCHYLFKNNVQITTSLSRA LEENNRNQLQRCPLYKIFGSIGTQSSDNFETISSDNEITDYQLKNSEAISKKILKEEDEL QQKLNELTQKSEAQNQLYTPNNTQRKIQTEVSEQISRTIFSCYKENMNRQETYIKKKYQF KIKELIKKINQVEQLDYKFFLNYFSKRTTNYAFQIQYSNVSEKQLKGDRTRIWRFFFRLI LLSSYKLIWSDYRFFLCQSYIQRKKKIFQNLDYKRFKILSQSFNSQLEFYKNKATLKFRR QLKQFGKSLTPEEEKEYEEILIKYRNYVEKKSWLSCRKVQIFRYKGLFFRGFRKQIIKKP SIKFALEYYEAMKKLEEVKFESDIHKRFTTLVHYSSINQYTLNSFLELFVMLEYEQKRIF EFPSINGTIKQKYYYLQPLKSEIYKNVIDKSKDTYIFEQYSTKLEEYILQYCIDEWNQIP KIQLKKHLHDILWIVQIEDEEYLMQFFQVRHGQKLTFQQFHDDNLGVFLAESKNYIKLLN ILDQIDDFFIKGYCVSLYQAKDCKSLFQVLQFRKKYSLQYTNEELQQFLFANITLMMKKQ IQNVSIYNYILIKNQYMILNSIKEKKNQVLSLVQMVIEMILLKPIENLQEEIGQLDHPLR YFLLEILQNEQNPQLIFEQITIQKQFIEIDFQLAPTSKEHSYSLYMENMKHQINFHLRMK QFHRVIVLINEVETFIKTHHYNISSDLLTHFINQFSKNLNSYILKSNEIKRILDILLIYY FKISALFALKKDFQPEILKLIEGLKKSSAQIRIMFRQLSLNVKLENLSEIEQHIILKRRI KQKNDGQSSISSFERLNLINTLRKNQDYILILIQYQTQLQRYLNQFQAIQAIQQYFNKNF LLAGIHFSDIIQNQELLISRDPTPNLLDIPLDHSPGLINLEQSSPIDDDHFISEDLDTKI EVLTEGDKLYLTQLIYFKFLQMINLYDSKNERFQDYYKEFQQVEGVYESIYTFYLNQLRL LNQEKISKNEQQQEMKCDVGKYLVIMQLRWNDIGRKKQLQLIQTDDEDFIKFQIMMFSNL KITLENRLIIQNEIDNVNYKNVDTYFCIYQIRLIQQMFHLDNLQPVTHTLPKHSSYKHFR QTKKQTQIELLKEFHMSLQIKDEHWFYHPGLEELQVFYYLSICFSFSPKHIENFHTQDNS LFDKAKLGIKQFEPNPKFYCQALKFAQINNECVDNLVFDASLVVLLVFKLNSYEQIQENL NEDCYSSILVLGLLHSFLYIQQYDVVDLMYQLIQRLLQSQAFIFHKIHQGFEEDMMIVEC IFKDTSNYLPAPSKYLFEYTNTFLYFEDVKFNSQYLLFNLMMNQDYLLIQEIIKESILQL KKQPQLIRIMHLFESLLDAVNAMIGYEEPKQQYIFRLNDYAEGTLMHALLAHLQCKYYMN LIDYENALKYSEIILQYINTYMKQEKTIVSLFNNQLVYIIQSQISEYKTISQLDELKITD YEFLCDETMDQDYIHLFNKDLINEAILNHLRIIINIEQNLPNINFLFSLQLQLENKNHTS YLQMIYAMYFNFLQNNHNSQPQSLLTDQSNQIEKIKYRIKNEETKQQVYFSLKMNLLSDI TVIDQKLKVLDFQLGILQGTKNGLSQKLIITWTILCAQNTIDGYSKVISINSNILHPYVS IMYLIQCESYQKLNQILKAQTMLDLAEIGLKGWFDTNKHPLKGLFFFYQGSQDRWLYNQF LICVQEILCLREFDKFEIVLIVQGLIYKEKQLLKAFDYYHSNLLKNITAYLANYVFSQIG KHQKQQIEKKFKPEDAQFILDDLIESSSIKSLNGVTHYLDALAIFNAFETEHKCIDIIRK AIMEDQ >CAK77987 pep:novel supercontig:GCA_000165425.1:CT868296:8720:9568:1 gene:GSPATT00013434001 transcript:CAK77987 MLLKKQLQQSIFYDYDSGGGIYNDYGMKNGKWRGIFDNYREHNELLIDLETVKSFKQVWE LEFHLQILQFFKFSQNRWRGIRDGVWLELNDNFSENCHKNGYKQGRWKALFKGCHEYTYK TLGGGVYQEDGLKMENGQKYMKAFQKFLVVNKSC >CAK77988 pep:novel supercontig:GCA_000165425.1:CT868296:9632:10729:-1 gene:GSPATT00013435001 transcript:CAK77988 MKQEEYKWNEKLWKPIIRPPRYSYKLSGLGSEAFMVQGIIVKRTDFSIKNNRNLILQCSL FEPIKVKDKPHPCIIYLHGNSGCRIESHSIIDYVIPSYISVCGIDLSGSGQSQGEYISLG YWESQDQKKYILIQHQQDCGVDQWVQLLLFCQPVKTQTFEQQFVTVLFQIFRFYARIWLS LDIIFLIFALIVVEAHFNVDDLNLLQILALSNDLSIIFLQAKQDELIQTKHASLLIENFR GKKKLITFDGTHNSLRPKEAMDETVELIKKEFGAESWNQTTFQKEIKLSSRHSSVNAPLL RASKTSIIPQTEDTEQ >CAK77989 pep:novel supercontig:GCA_000165425.1:CT868296:10736:12147:1 gene:GSPATT00013436001 transcript:CAK77989 MIQQLEELFTETLQYNKLYWILGQYNNTYVIGPNGVGKTTAVHFCLDKLKIPYIRINMMQ CLTKQSLLQAIVNEISELWNQELTKISKFSELIIRLNTIISTSNQKNRRAYIIIDAPQYP QIEFIYLQKLFALSEIKSKTCSVNFIFICNQLPNIIDMPLGITMIFQSFDEELQIRIIYA HIMYRAIMLEDYQVQKQDNVRAFAGQVAKDVFVTFSIITTNLNNLIQIAVGLFENFIYQC VNLTNEEQLQIRQQYLIARKLLFENPFLQINSKEFKDLIEEVESQKNPQQKSIQESKKQQ AETKTAIQTLEIQKIKLPKLPSLILLSSYYASRNPEKTDGTIFRDLKKNKRQSKVKEQLK VKQKVSLYRLIAITQSLMSINENKEFSIEKQQFNQSVQFYQQIQLLSDQGFIKVHHKKDD ILNKIKLECQITEKQAFDLAAELNIQFNEFLSAF >CAK77990 pep:novel supercontig:GCA_000165425.1:CT868296:12597:15112:1 gene:GSPATT00013437001 transcript:CAK77990 MHISKEKRMRVLLAILSVVDFGYSFDTITYITLILSCILVLFSIVSMLFAKNKLLSQILI TMYYGKELILYGPSPTWPVLYLYKLIGSKNYIFLLLFSNQIVFNQLDQLWNYSIKRNDHH SIQLILILLLECYTLYIKQKSYYLCKNLLVKQFKQECLFQIFDFNQRIISENIHQLPIAN RLQTENTLIGSPRGDKINLIQDDKDIHYKLFLNNEQVVSLDQQPVQQQLIFRSTKELLQF LSKNNHYFIIAIFNDPLLNVKFQYQVKYFSINNQQILAFQKIENGIFVRQNHKILKYKQN LINIFNHKLKTPLNSAIGHLITAEEDDSVIEEIKKMYLQPALLNCRLQLYLVQDILDYLS IEIEQLPLMNNKTNLRTLLLEVYNLIEYQCKLKNIDILFKINNENFKKIDTKSLFIYTDS NKLIRVLLNILNNSYRYAEVGGCIILEIRLDQMNKTTYFSITDNGQGMDEEQIKKLNFQL QSFESISFNKLNKQQDSNRSIKLGLSLFLANKLIKLLSGDNSCLQLRIANNQLLFTFSIN DIQEIQSASSLGKSKQNSFIKQKSLRGLNNKFNSQSSPRISKFKQNSQRQIDFKNYNSLR LIYQCDIQNEPSPQVPHKITTHQNNQKFHHQRQRIKTTRKSLESQFRSRATSFRTHKIEQ IIRSEQIQQQQQQVIQHDEYILIVDDEPFNHETLCMMLKNMGFTNFLKAFNGQQCLDVVQ QNHHKIYMIMMDIDMPIMNGIDTTKQLERLISNSTICYIPIIGCTAHEDYESHLQCFDAG MIHVVVKPVFIKSIQEALNKISELKSDETIKEDTSSYVINKIQSQSSNFQ >CAK77991 pep:novel supercontig:GCA_000165425.1:CT868296:15665:16284:1 gene:GSPATT00013438001 transcript:CAK77991 MNNYFEIIKQLQQAVEFSTRENVELREQIIMMETQQDPQKNLKICNLQKKIIEQQQQISE LKQQMLKRNQMKKEHQCNDDNKFLIEQMKKKFEEKSNELKRYQDMYEKARLNLKQTQQQV LELKEQINQQCQLNAQEQQKVTKMELLMKNARQQEFKQRDQTLKYNNQNKNKKAYLEQAI EMIIIE >CAK77992 pep:novel supercontig:GCA_000165425.1:CT868296:17303:18466:1 gene:GSPATT00013439001 transcript:CAK77992 MKQVIFIVILITLTFQTQLQSKVDQVMAQMDKMASKNDFSKQLAGLIELKMLQSSYVEEV LKEIKGIRDQLIADQSVEDQEYAKKIGQLNVEIEILEIQTEKLAKELQRLNQQIGELNED ISKLIGTQQSQEKQLSTLNSKEEEIRNQYKSEIETLKQRTTNNIKSIDGLNEMIAKLQQA VFAEQNKTTVLSQQHTKQYVDEIRNQLGPNHPLTALVAVTTKFDVPTVTRIIQLLENIRD QRIQENAGADEYETKVTQSYEVTLKEVTEVRERLSADYSRTLVTLKRRNEENALSTKSRN QIQKDLPIAQDLLQQYRNEREIVQSNYNLRSAKRENEVKIITQAYTIVAQQVRV >CAK77993 pep:novel supercontig:GCA_000165425.1:CT868296:18530:18975:1 gene:GSPATT00013440001 transcript:CAK77993 MNQSMAKLYSHDGTGRDSYIFYDNGGFYPGNLKLQKSPSLQNWAYGTCSPQKSHFKLEKR QFYISDGTGRDTYVIRNIKDKAYFSPDFSFQLRKYDSQVLSPQYPYKLPPLRQAQLSIKS DKQKSLIQRLAKPKLRTQND >CAK77994 pep:novel supercontig:GCA_000165425.1:CT868296:19033:19675:1 gene:GSPATT00013441001 transcript:CAK77994 MEDNKIYFTPESAIILQELKQKKLLKPRLLSQDNPKINLKTIKIPQRSRQISLTKELDYY VKQSMKEKMKEKQKQLNEELECFKYQYKDILQDNPYVNNKLKKNFFNLKFSDEQRETYAI RLGRLAVKHNQQIKQMQRIVDDIHKKYEAQNEQLNSYMPKTIETMVTESDSYIKTSKIYN PDLLAKYQQLKYQHFWNKLPKTLAN >CAK77995 pep:novel supercontig:GCA_000165425.1:CT868296:20438:21406:1 gene:GSPATT00013442001 transcript:CAK77995 MDKVKKTSWEKITSLLQQLYIVEQQIQEQHVTIQSSTYTLVSLFHAQFRQLIDREDIIDS IQQLDFETPQLFNMGRNLQSEFAFTSNHKYILKVVSGTQYRYFKNTFHQYYFKIIANKSC LLARTFGIYKLEDRENKSYFVLMENLFYEHKLQNSQIISIYDLKGAQSSRITKNFDEQFH QQQELLKTKAQTIPLLKGKDEDFKKIRFFQDFSNEKKLDLMNQIKQESDILAEANTMDYS LMIITGHINQCQQGNRLIKLSNNIGTIIGIIDYYQEYNSTKKLENKFKTWFGMHKPDQQI SCVDSDTYRKRFQIYFQQFLQE >CAK77996 pep:novel supercontig:GCA_000165425.1:CT868296:21828:22291:1 gene:GSPATT00013443001 transcript:CAK77996 MRVEKQVGQVSSANLTEEILDYLRINQKSFEKQCSEIEKQIKELVENIETEDEVEPIQSR KPQKRN >CAK77997 pep:novel supercontig:GCA_000165425.1:CT868296:22318:24180:1 gene:GSPATT00013444001 transcript:CAK77997 MDIEEKESKLSPNRQLLITEILQTHQFDSIYKMCKKIDNGMFKNCSYPLMNIKNQIEKVQ KYNYSFEDVKQQKMLSMMNEQMKIENMRQEMIDLILERKPFPKIFQFIELNDANQFEIIY CDKLQKHLIEAQFHHQYITIASFQFDCKQEINSNCNDHQIILESIELLTQNMHQIINLQI MKINDYYWIRNLYQKQLQKQFKGFNEVIHQKMEYLYESIQNHLIVLQNNRNRQIKQPFII YELMKILLYFANQKEIIIPDLLLNLGYKSKYDQFNIKFRQIICHFNLPFLNQLIKLPELQ DVVHILNIPDNMQSEQQHKEYQECYIQEIYTFFRFIKSITIDEVILTQLQILFKKLNEFR DKLTIIYDNQQFKGLISRLKLLYKSEINSQQYPDTEKFNKTQINYILIVQLLSEIKVFTL TSQNNKIDDEQIMKMQNYNDGSDLDDENEEFQIFQQEIKKTVWSPKDYKYVNIFKYFINQ MNLYKVPFPTLLTIVQFYNYKFTINCIKTTQEELHKNQQYIRFLYRLLAKNLKKDQQIPL REQLVNLQVDKIIKWPKCIQQQTILINENKHHLKDELKEIIKNKNFQKFYTELIEANETN ELRKEILENLNDIFNYIQSK >CAK77998 pep:novel supercontig:GCA_000165425.1:CT868296:24268:25866:1 gene:GSPATT00013445001 transcript:CAK77998 MSNQISQIFADIQCDDHKKVIEFINLTAPLLPNQKRLLCERCDFNKNCENVYYRNEVVQF LEKIEKQEKDILVQLEKACKIVKDLKEHLNSIEKSLESHKQNISQKLCIKPNNSLGIFLQ KKNALTKDIIKEMSDELCQLIMQKQSNIQWNTENSPQINIDLLKRIEESDQRKLEKLIND FKQVLNLGDNQIMQQQQQQQQQQQQQSQSILTQSQSFISTPINYVNTCTKNIGEQVIHDI KFNNNGNIVVISSNLIPDTNCYAQVYTIQNSNFTLTQNLDLHGGDVKSICFISQSENFIT ACQDKKIRFWKKIEQKKWGLDQELIELKSPANCIQLNHSENYLASGGDYLCFWKNANGKW TYDTESSNSEQKILSLSFNLEDNQLAVGYHDYSFKIFEYYNQKWIQRYQMQNQAILINYF NNKDLIEVQKNGYFNYYLYDNDISDYQIVGTYFSQQNYNYTAGFCQQKALLALSPNQQGL TNLHMIKENRISGPLQKIPLRGDKLFFSKDGNYLAIISNKQLLIYQDEQERY >CAK77999 pep:novel supercontig:GCA_000165425.1:CT868296:25997:27844:1 gene:GSPATT00013446001 transcript:CAK77999 MQNLILSGELKCKEHQKNKDNLNLAQHVQDDRQLRCDQCNFNGVCQIKLLDLEKFLLEFN NQIKIFTQEIENTKKMIMAAKKITELVSRIENELIEIEGRFLKKNQMNQNIQAYLNYNLD ESRLKELLQYLKNCQIDDYKVKSNKSLLEKEVLQQRKCISALNIIQMAQEEINNILAYQA TVSDEKEQTLSQVYLFDKQVEQDFDHTGLLLAVGSNRIESDDCYAQIWEIDGGVLRKSDS LKGHQREVKSLFFNKEDTLFTGSVDSTIKIWVKQQDTNKWDLKQDLNNFHSLAVNCIQLH YFDPKFFASGSEELIIWKKDLLQHGQWVQDSVFKNNKRIISALSFTDKGNLLIVGSDDRL IQILEFAHNQWKLKQKFDQSNKIMQIIPTYNRDFISFQIDGEYTFWKCKSENNELSWNQK KNKHGDQIKKLSVSLAKKLLSVTYEDKKNKLFQIELDGKLSEKQLDNNEGEIMIMSYMSP SKIVQQPINTMLGNRSQDGIFPNNIKDQFPQQNQQIFGGKQFQPQGAFYASMQGRQQGGA QGQQQNFTFFYQNQQNVKGTGGQTQTFPINFNPVRIQEMTIEQSKFVKVSKGKLQVYMER SKKI >CAK78000 pep:novel supercontig:GCA_000165425.1:CT868296:27864:32489:-1 gene:GSPATT00013447001 transcript:CAK78000 MEYTHAATYYVQNFKAFILICDEYCDHCDLSSSICLTCKTNFVLEKDKCVCKLVLQGGVC QPAPLGTYHFYIKDFQPYTSKTQVFSDSTGLSYEFFADYNTTQRSRQISIDGNNAGGSFI QNEYIQYPINQVISTPTDFTVEFILYMLGPIGMFSNIQILVDSYIIGQVTNFGDLNNIMF NHGQLYNKQTCSVVGYSSCYKYNAVINLFQIDHLNTIQFKGHFNVTDSKQAWAFSDLKIS KIVWQPSICTDVFYYNECIKTCPSYAPLFGVNQCKDLLEIYKFSEYVVKLFFNYGSVFFD ENALLFKSKLTSNTLQVYNSKFMFGGYQAWQTDQFSITLVCNPHYKARLFVKLVLIDFGS NNDNNMTVYFDQTYKVYNWQNAVAVASTEEKGDQLVQDYTVTISGTDFEVNHDDRELLVS FNCNAFNTAYCGLYDFFVIVALCPINCLRCSDSSTCLEIKSDKFVLTVYYDCPQGYYQQA GSCIQCLNGCKVCVDQQSCNQCFDTYIYYDKQCFCQINGLSSGDCSSDCHPLCETCANFR KNNNLQCLTCDTNQGKVMNIQSCECQEGFYLTKNFSCERCHDICKNCLLSARFCIQCNSL QNRELEFQDCICKEGYFEVSDSYTCMKCSDRCKTCEFFETYCTSCYVSQMRTLKENDCVC SLGHYQYQQNLICSACNDLCEYCSDYNVCTSCYDVQFRILSVDKCICQSGYYQNSNQLIC SQCYYTCSECNGSNEFNQCTKCPKTRIRSNVYLNVFECDCKVGYYDNNELECIDCSTYKY PPITHYCYSKCGDQIIQWNEQCDDGNLEPRDGCNQCYLSNNKCLTDICLKCHLGDCQQCV DGYYLENDFTCQLCSPHCVTCLSSPTQCVECKFYTPEKECITCISSAGYTIIDNQCISLC GDGIRTTEELCDDGNTENGDGCSSFCTVEDGYICDQICVKIDYVDIILTESPFDKIYDSS RSITLTFDQVVNITQAPLKDSISMITKIPNYKFTAKEITDRTQYTKEFNQVDIQIVIQLS ESIESPEIIFIVSKNTEVISKDHFSFQSRNQSFTLLQYQALSESEISNTQNLVRFNSYIL YLLIGFAILAFLFGGLDIFWNLLDTLQVLSYLKYLNVLYPYNLETYFQLFGFAEFDFLKS NFSVEDLISSSIEIEDPALKFKEEGYTSLFFANVIAIFIVIMTTIGTYIFFHIVFYCIYH YAKKVSSHQLIANYQQQEEPNVIIFLCLKLTRTMQKYFYNLKIQYKTGILRAFLTISYDL NLAIFLQLMSYSNSSSILILSSLFALVLMFCEMYFIYFGVIVMSNKRFYFELQEVQLKYG ALYEGIELDSNPYSLYYNIVLFTKKLLFMFFLVFLYDYPLFQIGFVSSLNAIFFTYIIYN KPLIDKSEYYKQITTEVLLWITELLILLMGFGQKSEFLGYQSFIEIGWVIITILTLLIFI QLIIDIRQHMIFLIENYEILRILVNKMKQLHQSLFSKEIHINNDESQSLVGSSSRGRLKQ SSSKLPSNQSSQKQVRKLITFKMNDSIL >CAK78001 pep:novel supercontig:GCA_000165425.1:CT868296:33101:36346:-1 gene:GSPATT00013448001 transcript:CAK78001 MATAIYEQLVTVFTNTDNKIRNETEKQLVTSLIDNIQNFEQIAKVAMQQDQSKICFDICV VQEQAASLLNSVVLKMLTNNIQLTIHHANSILAVLMSQYTPLKCKQSLIKCMSLIVRKDK SVKTEIENKMKEFLRQEQQWQIQIGILFFKILQDSLELQTYSSIVQTGYEWISEFFNQTG YVITKLTEQPKELSDDFITTIRLYTQVVTDLCEKVFDKNNSQKEQTQPIQNILFQLNSFS GVLIILLSYSPQIGKQIQNCSIINTGNDHFDNQLNEIKCQVFKIYFLTLQVLLNNRNKNE VKKGAFGPYLTTITQLLIYSLLAYTNSESITSIYNKPYLPNIMTYILKLLANLGSQTDQY QIFSDSKIALITDAIYPFLITSQKEYQQMKDQPEEFVNLALDTVDKQESDVPKTAAASLL ETICDHIDGSTTLLANLAVIISQDSINIITNNPVQLKEQQVAFIQALQDKKLFKEYTAVD RIESSLVILTIMSYLIQKRFDIVLLMEQLLTDNLLFFQSIQEQIIKLRLSLFFGYYCDNL FKKDTQSQNMNAYLQIMIGFVQPTEPVVLYQSIDALKDIFEDDDLKGKTKDLVVILFPQL CNGLQYSTYERHFETITNLLKRYPNQFLQNDNLLVGLIQVLTQRVIMEQQKINSGDQQRH IYLNRCWNVLRSLVDQDEFSEILGKLEQHLAQLYSMLANCDKIDFDEDLVLFISGCISKL SVVTELQMQILPYFQNIIKKQQGRLCNLFETLNQYMHFGRNYFLNESQQSIYFQLAFQNL QNEDNYGDIELGEGALLIQLGIQELNDDLKSNILSQILFQTIQILQKKDINEHLKSRITG IILSSLYKIPEKTYEVLSEVFTAVYSCILETHYSPGYDIKLFIITMSSLIMKQPNLLTPN LLTIMVNNLIAQEKYEKDQKQKQNDYMDDELDDEDDSDFNDEEEITQAQQQTEQFLSSVV KLDEYSLFKQTLLCIKNQYPGAIDQLKTGLDQQTINKINEQLQFVRVETQDGEELRKFRQ LNNCYRKKQFNN >CAK78002 pep:novel supercontig:GCA_000165425.1:CT868296:36506:37893:-1 gene:GSPATT00013449001 transcript:CAK78002 MKKTYNPNREYLSIYKQICQEQHVHLSKAITQGLKDQSLRLNAILIRIEDIIPLQLLLSL CHFDSILVYGRLRKKMNKNDLISLEELQQIINGILTAINLNLTQTQFNLMQIHITDLILS PKDCESISFGLKSTKTLKELKITNCSLTSQHLQILSEPIQQCVSLNLLDLSNNLLKENAG MIIGKIISSHAGRRDEMKWAEEIRGDEPPQQLALQGLCEIFLHQNMFDDRCIKDLCNFLM YDSWTKNIGLRENQIGEEGVRLFSQILDTNESLISLDLRENPGFVEPYSKDIFDKLVRNI QLFKEQKNYYEDVEENQQDEYPIIQEQQQEESEELECPNCKDLLRQNKQLQKRIQQLQKR PRYDSQLMNSDMNQEEQQSGDLLIQIENKMNELTTLMNMLEQQKQQQQQQQQSGSKGKKK KKKILKQTI >CAK78003 pep:novel supercontig:GCA_000165425.1:CT868296:37933:38896:1 gene:GSPATT00013450001 transcript:CAK78003 MKSLSASKYNHNNTSFTTPDKKPNKSRQNTQSTMKLSGEMMSVQSYLYEQAHLKEEASKK EIIRLIRLVTDSLEKNRNIKELNEQLSKLIIGLRTCINQQEVSSYIIQLIQTIREITNNH QFQKEIKTENDKALLIKRIHELELQAKETQWQFEMKIRDHKSTQKIKQYQEELEQIKKYK QQNQVPKPQQNSPQKIELKNQIKLMQQKIQTLSEKEKKLILLVKAVKSRGIDVEHIYKNI NQISSRNSRITKDETINDYVDSSHSDFADISQFDLGQTFIKRNPKFLLD >CAK78004 pep:novel supercontig:GCA_000165425.1:CT868296:38907:39391:1 gene:GSPATT00013451001 transcript:CAK78004 MYNSRSLCNRYLQNNKLNKYLLIMDVFKIYLINDQLQKLEQKMKDFKNQNQPNKEFNRSK MILMKFKNKYSLNLMDSGIKQLYILYPNYQVEEDRLVKEQTCETTKKEILHLEKKIENVI QNVTQTKKEQILLEQFTKDYKMIYQNLRSNQNRRQ >CAK78005 pep:novel supercontig:GCA_000165425.1:CT868296:40971:44874:1 gene:GSPATT00013452001 transcript:CAK78005 MENELPQNEKYDVHLINLESQDGKKHENLISKMMLLRAYSILKKAQLDTLEFDKIDDLND EEKTLILFKRFSEQIDGSESNYLSLNLMKELLKFFIKPLTRVILIQVLLTIGQLILPVLI KLSIDCVIDNEHKLENGIILIVLILFIRLSNILSQSHQRMLSRKLGYESMSVISMLIMQK SLRISLLSNNDRSIGEITNLMQVDSQKLILASNDLLNLAMIPLYLIISLILMYHLIGISF TIGFIIIILTIILNIKNGENVINSQVKLLQAKDNRVKQINEIFTQIKFIKINALEEYFLS KVFNLRQIEIGCLKDRLFYSAIIIFSGWLAPQIILSSTFGVYIYLGNIMNPSIIFSIISL FQILQITIQQLPISITALLETKLCLNRIQQFLTSQEIMTDCINYKEFRDSAIAISVNNGN FYWNKSQVEKQELILKDIDMLIPPGQLVSIIGDVGSGKTSFVQCLLGEMLYKVGPKVQIY GQIAYVSQKAWIQNATVRDNILFGKPYHQQSYERAIHFSCLKQDMEILVNGDQTIIGEKG INVSGGQKARISLARAIYSEASIYLLDDPLSAVDSHVGNFLMKECILNYLQNTTRILITH SLNYCKYADYIYLFDNGQIVEKGIYSDLQKSRRFQNIAEKCDMVEEVNENNVQIQKTQED TQFTIREHKIDPNDNIIMAEERHKGEIRLSVFNQYFEYGGGYCNFILVLIIMLFWILAYL GSSLWLSEWTLQNYELSNYSYLLIYFIFGCLQAILAFIRAVTIIRQSIRSSSKIHDEMMN CLMYAPQCSFFERVPLGRIMNRLTKDINQLDTEIYMNISWLYTKVSQLASHIFLNIYAST YLMLFPISIFFGICMKIQRIYTKASRELQRLELMSKSPILSYFSETLTGLTTIRSYQQVD SFIKTFAQKLDENRKIVEAQVIANAWFTQILGLTSLIVNMSAIIYCILYTNNPALAGLVM TYAANIDMNIQQTIESISSLENDMISFERCQAFTTVEKENRNEKKITLQNWPSSGAVEFK SLSVQYRQNLPNALNKISFKINPMDKIGIVGRTGAGKSTITMSILRLLERAEGQIVIDDI DTQNISLKQLRESITSIIQDAVIFNGTIRDNLDPLNKCSDDEIRQVLLDCCLDKLADNRN GLYSNLYEGGDNLSAGEKQLLCIARAILKKSKIILIDEATANIDVDTEHKIQDTISKAFK NCTVITIAHRINTILNCDKIIVINKGQVQEYGLTKDLLNNEQSTFYSIYSESQLNNTKK >CAK78006 pep:novel supercontig:GCA_000165425.1:CT868296:44950:46763:-1 gene:GSPATT00013453001 transcript:CAK78006 MQQSIFDFGKTISSFWHLCDKCLLDEQPDLIESEFLVGSKQRIKKKFLLMSETSLFKVSV MQLKIAPLLTMHVQFIDPSSDHFVQLNSDETLYGFRLSYQGKSLEIFTSDKTNYEVWKSH FRLKCIMNNFHDAFSVSKMIGKGSFAKVYSATKKENNNQYAIKAFSKSYMSQQSKGIESL LNEIKVMRKLNHPNIVKLHEVHETTNSIYFVLDMIQGGELLQRVRETGMNLQSTIEKKLA FNLISALRHMHENNLIHRDLKPENLLLKSRDNNYEIVLADFGLATSLNEEPLFKRCGTPG FVAPEILEYIDGLDFYCDKCDVFSAGIILYLLITGNTPFAGIDQKSILKNNKQCEVDFKD QQFKLAPIQMQDLVQSMLMKKPSLRLSSEECLKHPYFKELAKEYNRQTEKYQKNLQGYSE FKNAVKIGTQEIEQRSPLNFNSSDSISSNVSITRQDQRKSSIVVGASKFCQYSAKLSKQN SREITGKLLLQYIDIPQKQEPKKQKDLHRLALTNSQLKHMASSKQDSNDDPTAENCNIGA MVRQYNSTRQIRIPDSTLKIKECNTPTLQKQ >CAK78007 pep:novel supercontig:GCA_000165425.1:CT868296:47152:47866:1 gene:GSPATT00013454001 transcript:CAK78007 MNNSFSAEGQTYQVRTVTTSQNVQRTSNGEFQDAVQRYELRRSSKPRQTQNNQQTTVITS NYVQLDNEGKNNNYDLEASRQSQRVLEKYQVNSQNSHYEVNRQSVKEVMDKYRRGRGTTT SQLQTTEVKYSAVPQPQYEHFQQKETIFEGRNSRYVEEQEYSQEKQVAINYNTIQTKQQV YEVDYEQIKEDCQISDIYRRPEREDDEQVDLLDSDYVSCNMF >CAK78008 pep:novel supercontig:GCA_000165425.1:CT868296:47889:48921:1 gene:GSPATT00013455001 transcript:CAK78008 MNDQTIKILQITACSLSLIGSLFIVVVYFKMGMRNNFALKLIMCLTISDIIFSLSNLMYI DPGCTPNYQILCTIQGFLIQYSSIACFIFCFLLCLCIFWTVIRNRANLSQYQRCFQIIGF CIPILFALIPAITNSYNTQIYVCGISNDDVDLCHNKSDKSSQIVMVESILLFYVPLWLMN IVGISFIIRVSVFMTKLRTQSELNNRSRSSDQQEIQLQTQQEYELKLSQRITQNMLLYPI IMLICYSGMTVYAIGKLCNSEIVILRGLRFIMADCLGLCNSIAYGYNAIIILRLQKMMSQ QQTLPMMQFRQGSNDSDVNRKQFESFLSIATIYNDQ >CAK78009 pep:novel supercontig:GCA_000165425.1:CT868296:48938:49329:-1 gene:GSPATT00013456001 transcript:CAK78009 MANQLNQIKIEEGLEYYFCSNPSEQPIESKSTIESVKELADNLKPQVPIKKTRRKTARKT YNNGHWTQKEHRLYLQFIETNKEIMMKSDMKKQEKIFKQMSLVIRTRSPSQCRSHHQKFN PF >CAK78010 pep:novel supercontig:GCA_000165425.1:CT868296:49573:53787:-1 gene:GSPATT00013457001 transcript:CAK78010 MESFDDMVDMEVINDQELLINLKKRYQQKKIFTYVGPTLLVINPFEACPHLINMEIKNKY IQQAINKENRSSQPPHMYAIGAEAIKSLFENQKNQAIIISGESGAGKTENAKSCMNLITS VKFDTPRQSQEKKNSINKFAIEDRILSCNPILEAYGNAKTLRNNNSSRFGKYTKIYISKN DKKIKGAQIYNYLLEKSRICLQGKGERNFHIFYHILKGMPINELQQLFLSENDQPIQLNK VSYINTIQDVDGIDDKKLYDEVIQSYNLLGLESDKSNIHQIVAAVLHLGDLQFNEATLTD DVPCQIVTENKLEYLSKLLQLSIKEISEALILKQRIINKQKIISPVSYNQCIQNRDSWAK ELFERLFNWLVQKLNQNILPEEEIDTNNNNTYIGLLDIYGFEVFDKNGFEQLIINYTNER LHQLYIQYVFKGEEIVFKEEGLDKFCQHIKYKDNQALIDCMDKPPLGIFDILDEVCQVGG DDDLLISNIRKKQKDPKYVLQPKMPSTQSFIIVHTARNVEYLISGFREKNVDEISVLTAF YSQQSGNPLISKLFAVEEKQTQTVTKKDKSLSKKIRTQMNDLMKELTNKCDVHFVRCIKP NDLKKPQILEDDYALQQIRYLGVLDSLKVRKQNYPFRRLHKQFYKQFGEMTSNPLFNVLE QQNADFRELNLQMFQQYYPKLGPELVLFGQKRIFIRIEGMDIIQQVFAEIMAKKSKIALK IQYNWHKYLIKKNLKRIHGHYQKIKLCNWSKALKAFQNLYYYQLRQSKMKLYQNQLDKIL QYVYRLQAINNFDVIMKQIIFIQRQIRKWLFFKRIRKLVLVKFNINLIIENSWQQIRLKK VLVIQSFFRGARVRRIHKNVVVRSKQARINKRNELAAIRIQKWIKGVQVREHIRKLNKAA FKIQGFVKMKWLSQTFKQIRISTNKIQKLIRRYLIKQRAKSIKKEQFLKPLEEQLNETLR IEENDLYGNNMNSMSFDQILNQEDDQFRDKGQLFDIIVDLEILSDVGCYQPSFSSNYKQL FQQCYKNENIIQSVRVGSFHSIASTSTNKLYSWGLNNEGQLGQGRLSDIPQQPQQLSLNV IMDQIECTDFNSYVKCQDGKLIIWGAQCGTISYVDIQPIKYLQCRFDRTYIITNSGQVQY WESDHVIQNIATNSPISQLSIGFNFIALLNNQGQVLVKGTNEHGQLGLGDTVFREQLIQI KIPYKANLISCGLKHLSIQCCNGKAFVCGWNQQGQLGLGDFQDRQNLVQLKYETQQICCG RTSTMILLENKQVLWCGSNGNLKFQNEFVQLAKKMKYIQKLNPVRIQCSFSKVISLINIT YVKMEKVKQPEKLKGILGTLTQKWVESNINSIDPPMIESVSNYLMHYHMKKSSFTNSQIV VNKVCRK >CAK78011 pep:novel supercontig:GCA_000165425.1:CT868296:53822:54787:-1 gene:GSPATT00013458001 transcript:CAK78011 MFKQKSFSKIIPLLDKTRHKGQNGKIASIGGSFEYTGAPYYAAISALKGGGDLAYIFCTK SAAIPIKSYSPECIVYPYLLEEGEYVLLENAVNKLVSSTSIMHSLVIGPGLGREQITGRM LENLFQKNNSIKILDADALWHISQKPNKLIAIIQEKSDQFILTPNAMEVKRLLEYFDIQY IKPDYDSLNVINDQDVNYKQIGIENGYPGLIAELSRKLNNVIIVSKGQNDIITNGKVGYA VNLQGSQKRCGGQGDILSGLIGLYSYWSQEQEVDKIEGCILGSVVTRRAANLASNKEHYS LTTPKIIDHIGEALYSIVTDQ >CAK78012 pep:novel supercontig:GCA_000165425.1:CT868296:54791:55309:-1 gene:GSPATT00013459001 transcript:CAK78012 MPKIKTIRTKKAPEGWDLIEPTITEIGNQIRDVENQAYSDKKKPEQFWEIYKLHHQRSRY IYEMYYYKKEITRELYEFCLQEQYGDATLIAKWKKTGYEKLCCLHCISKSQHNFGGTCIC RVPKAKLEEGKLVQCKQCGCRGCASGD >CAK78013 pep:novel supercontig:GCA_000165425.1:CT868296:55348:56343:-1 gene:GSPATT00013460001 transcript:CAK78013 MDLRNRNTRKVLLQMLDKHLKSIMTENTTKVKFQEQLTRFQIDEDQRRARQKQHSPSPQL MRTHSQSDHIQFYERQQSQLKLKNERLQQSKEKQIQRKLQEEIQEATFHPKILKPKSQNT QKNDLYQQGMKWMQQKIKADHIDRLKESSMIQISQENLFKPMVNKVSQKIIKTTNQTDFF QRMNKNEDKKKERIQRLKTDATPSFKPKINDRSKRVQSTLNKDILQVSVNLELMEKIRNF NQRDLNLSCHEYSRQRNCSSALSQSIDIDKQTSDYPSFRNSTTIKKQPKLQIEFNSPTQN MTEMLYEALGDQNHDYF >CAK78014 pep:novel supercontig:GCA_000165425.1:CT868296:56451:56618:-1 gene:GSPATT00013461001 transcript:CAK78014 MNNCLLTPKTKKLSCPEAPKKQLKFTRIDDQSIRSICRMLFIDQPNPEITITQTV >CAK78015 pep:novel supercontig:GCA_000165425.1:CT868296:56645:60399:-1 gene:GSPATT00013462001 transcript:CAK78015 MNKPLKKRRQSTIYRQSMALQEFSQKLLEKNDQLASEIQKIVKRLSVQQRMNKAIRHIYD AYYLRTIRNDIDLTNKKYLKFFWYLENNQFWIAFLFLLSIIYQVMTFFELPFPEQDVEDN QNLLILEGIILAFLGIDSLITIVLLVTKKENRFAFNPKRQFKLIVYYVCLIDFIIHSKEP NIMRFSRIFRTLLMPMYSKDLRRNLKGIMKASRDLFLLILLYLIIISIFSFVGINLIGRL ENVDLRTQDYGDFFKFFSMLFMVATLDFYPDILIPPMLQGTFYSLFFIIYLLLFIFLFAP IPLAVVYEGFRRHRMEIAINDIIKQKSAMMASFISLDLTDQGFLTHIQFQEFIEQFYANS INKVDMPTLFSLIDQDFNDKVQFDEFYKFLHLIQDGSIFKLPESKPLESWESFRDYFNSK GLLTFVEGNVFSIGMLVITISNCVLIVTAFFIDDMNILDIFTLLDTVYLVFYGLECIVKI IALGIKPYFQEGWNVFDISLVILQIIFDYILFNIVSGNIVQSIKANRLLRLAKIQKVFRL FRAFRSIKILNFFLSGLEFLDIVRNLLYKIIICVPLIFRLMLPVQMIFFIYTCVGMYLFG KIQRNPENPYANSKCDANLFEYQWGNCKYADFTSFSGSYLMMLQMFIAAEWNQVVFELTY DTDDMLSAMIFVGSFEFLSIFLLALIGGLVWEVFSVVSMQLRQAEEQVPQVNDISQDQDN YAFSGTQVDSKYTPETAANQLKKKTLILNDDNPDVIKFQKKLRTDKKSKSLTKVYNPQRS ISEHLIRDEKPDLIISQPGSRNQLLPNYNSSRKPVGFHNTQFDRKIGTNIVEHYEQHFID YQEWVVKQQGDGLDMNQVANDYMIHLRNEIKKDEIFQKKNVNISNHHLLIQSAVLRDASE VYIKQQEDRFFKMSFGKKFERINELKFQNKFKVESKIIFSLMGILKFPKPNVKYYFQILY LIENYFTYQLLQDSSFFKMIHQINNKWYAIGIDDGQISFQKLDAGPWDYSEGLFQLTNMR ICQNLRSLYDTGNQECLQSVNQFSESVKILAKHFDINLASIDTNSSCLMYQIKNDKFIPS NIETHSWKMKKSNVTLDEQSPNTNQIVYLESQNLINGQGFIKKDQSISLDEYKYVSKTIM FILTQTQAKEKRIIYQNLTMLAQFLQDLVGVIHNYESNFFKQIDNLFELRNQQKVKSVSK L >CAK78016 pep:novel supercontig:GCA_000165425.1:CT868296:60748:62785:1 gene:GSPATT00013463001 transcript:CAK78016 MKPFQTPNSCHSRKGSAKVNRQPFAIISNCPEFQQCANYLQSKVHGLEMRVKNLNSHHAS ENRSTTASKTTTNLSPFNTHKQCKKYGSNKQIDTQSYYETIINKRLENISKIEQSPIKTE QSVNQDKYSVHSLVSHQTRLNYNCIQKIQALTKRLRQFKLVKDQNQDECAPSLAKIKCFC QEFSNECFDFYRCTDLITLKYFIYLLLQELNNNSETYRGNDNQHYVQEIEYLKSQLENQN NDQMTFKGQIKLQKMVYDTQNLIQEIVNQFQTQNNQNVLTNQVDILNRQIKSLKDNMDQQ QFLKSSFGVNLANYETNENNNSLINQSNYKTNEDRFMTQMSSKSKSPYCRNNRKIQVEGD SQNNLRLLEEQTIINRQLMEKIFELQSSDKQKQAIQELQDQLNEKNKQIIDLQKSIKNQE CYSELNQRILDISRNLDNVIQQNSQLLQENEQHKNKIDSMKQLEQKYYDLLQENNRQCQQ INSIINDNCQLKKIQQQYEAECKGYQQQILKLQEKCEVLQASLQDQNDSKILQQQINELL QQQQEQNKIISLITDEALYLGQMTLQTNDLLQKQQGDIPTSIRVLQKDLNNKKSAIQQKM KILQQFGNNMKIKQCISHNSSFKTNSDVDLLENLENDVIPVKFQQQSNQHSDLMMMLVVQ CHTIEKMIDF >CAK78017 pep:novel supercontig:GCA_000165425.1:CT868296:62959:65202:1 gene:GSPATT00013464001 transcript:CAK78017 MKPNSEILLCQMYQLGPDKWNYVDIGYTHINSKNELILLQKDTSQEVIVVPIKQENEFCF DQEEAIQFHCVQDYALSFQSREGAMGVWTRIQNILVENEAEDQDSIALTPVSETNLETIL DTMNNMIAYGSQSKQMLLSYLINKKEYFDKLIKLFQQLEKDNNTNLLQTMCQIVKNIVTV AEHELFQIILNDQNYLFIFGALEYDTEMNKKNFVPHRQYLEKHAPNQEQRATQNHSFHIS AAVLARLWFGLLHRRVPVDVHKDSFNSCYVDLFKYIENSKDFLIEVIDKLRNFNFLALRF LCEICSVFKEFPDLNKVVIYQKLSEYGLYEIIEDYINDSLKGFEKYKAKLKKLKLKISDD VFTKIPNMILELLIVCLQHCPNYFRQYVISEHQQVLKYPFFNIIVENAFQNELYMEALKL MIDNNSEEQNETMDLFLLQFYPKITAQISHTATKEFKQQFLEITLGLVRAMKPQVKEAVI LNQVALKVGFVLQENQKLLQTKCLQIIKLICLSRDDDINNEITMIIPNLISVILAYKGLR ENLIFSQQLEIIKIIYEGISQKLITSLEDELKRRENQPNYQRIHEIFKNLKNNCMKQQFS SQPQQQSQMQSRYNVVVDDEMDLFISVQGHSSPHLVKQQKKTQDHEEEVDLITKKIKID >CAK78018 pep:novel supercontig:GCA_000165425.1:CT868296:65276:67191:-1 gene:GSPATT00013465001 transcript:CAK78018 MDYQKLFSVIPKEPRRWTQCDVESWLEFIGLQDLSDVFQRNAIDGACLEVLNDDDLNELG ISSNVKKKKILQWIQNGFIEYKQFVRNNVGQSEWSIASKQIVNKENLDYTPIQRLNDFKP RRDSFQDNHKVELVESMKVVEAPQILKQPKQNLEATKIPSYPNQIKQTESMHTMELVCIG DNQKVSVSEKGLSIGRNPENTLVLGEDYVSRNHCQIEFDQKTKNFYLKDTGSTSGTFVLL MQPSLMRLGLILHMGSMQYKIEQMNGSNQQCDVVLRVIEGVQKNQKFSFQLVKNQNCLKF GRQLDQFRMDTHLSGVHAQFSYTNDGLILEDMGSRNGVWVRLSEQGQCSERVKLTQDRQF RLGYEKIYLSNSAISIKLVIVGDGSVGKTCILIRYTEDKFPTDYVPTIFENYCAQVLYEN KMVNLNLWDTAGQEEYKQLRSISYPQSDVFLITFSVDEPSSFQNAIKKWYPELQADQPNA PKIFIGNKIDMRPTENVNENKFVTFNIAQKVVSDLGCKYIECSALNGTNIKQIFLEAIKQ AMKKKFPQQTSQTSGPAKTQTGTQRKSNSNDNADASGKCSIQ >CAK78019 pep:novel supercontig:GCA_000165425.1:CT868296:67654:68486:1 gene:GSPATT00013466001 transcript:CAK78019 MIFSIFKFRFGTIQTNFPKLSFKVLDFQTMKERNPTLISDSDIQTLCKMHSLNSTWKITP QKLYREIKFNNFKEAFSFMNQVAIFSEQIDHHPDWENVYNLVKINLNTHDVGGISIKDIF LAYAIDTIAMNVRVKSAESTNDTRILEVAKIAESWNLNFDQFHRMIETDSRQI >CAK78020 pep:novel supercontig:GCA_000165425.1:CT868296:68493:69681:-1 gene:GSPATT00013467001 transcript:CAK78020 MKSALLVVVLIACIQATTVSELKERLSGYGDHPFGSSMINLVSVNMKTGGSLNELKQLLQ QIKDELIALTQLQDQENATFTRRSQVDLAKLQATLEQAEQDLDNQRQEQSSLTNELSTLQ TRVKEDQAALDRNGRGSGDAQSRLDAENTDFAAKFQDYSDAILACKEAQRLLLNLRGEGA SLIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNN VLSLVARLITALQEGQDQLEANHKTQVENLSRLGDDLRNEKQTLQVSLATANNRLKEIQS RLNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQ KLSE >CAK78021 pep:novel supercontig:GCA_000165425.1:CT868296:70206:72675:-1 gene:GSPATT00013468001 transcript:CAK78021 MSQKNDDYRSDQDDKSQSSIDSIEDLPDSSESEQFKQSKSKKLLKKQKNQKKTRLNKQKF IDTEASETSDNESDDNSVGEITKGKQEQMYNELALKRKHHRDVVKQIEERYQDGDQDIQI GDDVDKSEDEIEKPGLRDPKFWRVSCNKGKEQEAVTSIMFKHNHLIDTNPLEIVSVFALK KFPAAIFIEANFEQHVMRAIEGLTIVRQCPPELVESEQCPNMFKPAEVEQIDIEEGQWVR VRQHNIYSGDLARVMQVDQERKLIKLKVVPRQKLLMKSLEEEEENKKKNSKKNNANADQS RFQMKEEEGEPPIEDNEPFQRGSKFKFLKRAKYLQEQRKYIRGPKIPIQMTKKSINDDND EPTSQFFYTTIRDEWTSAKKDGFEIITLPVHQVLTGNIKPTVEDLQYFYPDVQDYRLILQ KLHSSLKQVVEQKSQIQIGDYITLTHDQAKSSRYKVSQILQDENKLIISKTVKNKNNNEK RNYEYKLDISEAKLAFKLYQQVSIVSGPNTGLSGTIIKMDDLTAQISTEAGRIVDALISD LQSQKNVIKKMDIEENPDAGAGQQKPGFKRNDLVKFGLIDNDIGCILNISNNEVSVLDLT NQIKNINKLAIRNSINTRNNVVKNMYGNDIRQQDLVVILDGFYKNNKATVLHVYRDYLFL FNGKFDNTQGVIIEKANNCGLVSSSKKPDPGANTISQMPNEDWKNLRGQMVTIRKGQWQS YRGMVQEVTSRVATIQLSAKNLVVKVPLECIKSESSNSHLQVGKTPQHHPGMSTRVWDDL EGVQQSAMRGGYQSPYITYQTPMRND >CAK78022 pep:novel supercontig:GCA_000165425.1:CT868296:73035:74277:-1 gene:GSPATT00013469001 transcript:CAK78022 MFSSECSNSSQTTLLSNSPQHPSVRECLLWIRPYSSFIAFGQLGVDPEFGTSCLIRLQTH SHSRRSHCMEERKEPDLVVIHENLEKIRKQLESIGQPLQILDNMDFYRETKLIDFVSKVG RQFRMNSLLNKDHINKRLNSGDDGLSLTEFFYTILQSYDFAYLNEKYDCTLQIGGSDQWG NITNGCDFVKKEYKKQVYGITTPLLTDKNGQKFGKSEGNALFLDASSKHNMKQYLLNLAD DSMRDFLLMLTFLKEEQIDSVMEQHKQSPEKRVAQKCLSDELLRMIYGEESSAEKNQILY GLRRDRLMNMSAQEFDRLAEEIDKDVVIRVAKQTQLMEVLLKLRSSKSEIRRLLQQGGIL INDQKVEQLEQAQLLHDKYMLLRIGKRDVRLLIFM >CAK78023 pep:novel supercontig:GCA_000165425.1:CT868296:74308:75650:1 gene:GSPATT00013470001 transcript:CAK78023 MLKYQFGQKIGEGTFAQVYIGACNDEQVAIKVLQKESLKTDRDKFRVNKEIELLNKVNHP NIVKMKEIVEDEESIYLITEYVSGGELFDYIVEQQRLSNGEARHVIKQLVNAIEYLHGLG IIHRDLKPENILMRDNGDICLIDFGLSMEVARGQLLVTPCGSPCYAAPEMLEGKNYDGTK TDIWSCGVILYAMLCGYLPFDEENTQDLYDKIKLCQYKIPNSLHKDAKDLISKILTYEDQ RINMEQIKAHPFFQDDNEEEEEENNNELSIEQIEQPIQGQEDLEDQIQQASFIQSQPQEE ETIEYVQPILEVQNRPRGHTLKRESIMNELEVNINTIQPKNKFELPLQFKEKRNLKTIEE AFQIIQESSRQKDRKVTEEQQQSQIQLPYKPAMKINTNKPYTKIKYVQTIRYRY >CAK78024 pep:novel supercontig:GCA_000165425.1:CT868296:75668:76454:-1 gene:GSPATT00013471001 transcript:CAK78024 MEDSTFNKLVEQVQSQLTELHDNVEQFDQDHKDVNNSSIGQDVIDLQDQIQNLLKQLQNN MQRLDNNTGHLSGRQQKMKQIKQFYKKEIDKFTQVCKQVEQKLQMKRKTIAMNSQAKWND PKPKPADELQEQLMHDQYDVDNQMIREREEEIQRIDREAQMLNKLVGELAFEVNKAEEIL DIIDVNQKTADQNIKGAIVELDKAQDSQKSTTKKWIVLAVCAIILVGVLVTILVLKLH >CAK78025 pep:novel supercontig:GCA_000165425.1:CT868296:76472:77146:1 gene:GSPATT00013472001 transcript:CAK78025 MNNNQNQPPTISRIIPPPFANQQQALQIENVSLKTQVQKLQDQLKDANVKNTQLQHQNQE LQSTNQSLLTQLNQKNQNSIINQNSNENQILKDKCIELQLHNQDLLQKITQLSKEKQEKQ FVEIKSYLQYSPKVQEETICLKWTQKIANLQIKYKSKGKAVEFEGYGEIQNKNVIFQCQC DLSKMPVDNQEPRIILKECELHLIYQI >CAK78026 pep:novel supercontig:GCA_000165425.1:CT868296:77182:80580:1 gene:GSPATT00013473001 transcript:CAK78026 MNRIIRFGFATYESLIQKLSSADGPTTILQIFQQNKDLFKQEHVVLSLRMLGRYSRQLTH DNSYSELTSKLNDIVDQLTEYDVIDVLFWLRKFRQNKIPTNFQQQAQIRLFQRIQQMSEN EMFSFRNMCNVYFDLSLLNHSNDQLAKSISEQLLTTKQLSPFLIIQLFSSLVVKINNCQL SKNDNQVLTNSVKVVEGLLEELDVEQKSLLFKNLAEIQFQNIGPKFQIPSLVKQVKELLL QKIELLQEDSVLNIFKAYASLPRYFENDLIKELRDMIVTTIEQNPNNLSSKFLVHLIERI INNQITKFPQEMMRKIIKELAARIQKKEVEPQLISQLSNSLLNSKKYDDLTAAIKESGET NVKVLSYLYVSGQNMKEYVDQYVLNSESKRINIYNALHYLIFAHRDAQEHVEKFMSICKS LIKQNPQNLLKTILEIKVVSTIKSQIQEEAFMQLIEDIKSKKNDLYKVLKELLNSCINHK CKSALLQLCEQQDTKNLGRVILNKVIGDLEEFDSDKLSIILQLLQKDPSGIPLFKFVDYL TLSISNLQGLLRSDQIQWVCQVILNAYQNQSTSRLNVIVNFAQRFESAGYTSKSVTQLIK KVSEIYRAANPNTPYPDSIFVQMLIDQNILTPEDAVIQLNNERSYQFLKIQLCGVALTLE NPPENVIQLSEKIKADCQLDSEDIKIKQIQEISCLFKLTQDEIDKIRLQIKTLASKMTSR QYYELVMYSKEVAIIKELAFQFPSFNTKLGFIKTLKIVEKFQKYHLSNQMIYNILLEQYG QSFNSIYNELRIQLLLVLQIGKLKQVDVFSKTLEKINKSPQAYKPFLYDLLESVIQLGMT ENEILTQVKILSEKVNLSNTQKLKVIHYYVMAGRPLEEIEILAQNFENFKTKDTYRINLI YEILKREYPDSKVTQLHETLTKEEKTTISFKKSQFSIEYIQTLLQAVGVQVEINHQIEKI QIEIYLPQTQQSVLILSGYNLNYDKQSLTGQGILQKKLLSLITKQVCIVNFKELIDITNY EEKVKYLQNSGIQITVDPAQADYTALKQLEKRESQKKQNNNRNQQNQQHELDETQEQIEV PQ >CAK78027 pep:novel supercontig:GCA_000165425.1:CT868296:80809:81797:1 gene:GSPATT00013474001 transcript:CAK78027 MNQSLTVMEKVEQDKMENPQAWIKDNILNSLSGKQWRQNYLQRLGIKQVQEKENSTKEFQ LNYVIRTNEDVRNNYIQKLMNNKLMQQQKKHQTLTIFDWDDTLLCTTFLGGYGFVDLPID VLEQALLLEKASQVGDVFIITNAAQGWVEYSSKLYMNKVFQVIFDKKIVVISARHGYEEM FPGDCGKWKIEAFKDIRSKYENDVFTNLICLGDSNIEIDAAHVLAKEFTVALIKTIKFRE CPKPEELVRQLDLVSDKFEQIYTTFKSLTIRLEKKSSGS >CAK78028 pep:novel supercontig:GCA_000165425.1:CT868296:81872:83655:-1 gene:GSPATT00013475001 transcript:CAK78028 MFAKLGNAKMTVYFSLINSNFLFECNQLYMRNITYDLQIKAIAQIKIQKQIQIAMNPLQQ LYLQAIIKHQEQEKVKQEEQVQKQSNEILKQQQLLSLMSYSPNNLIEKKAWSLIDIQNRV TQYFQQQVLRKQVVTIKNCIEYHLPYFLNVLGNLIIHGLDQIQGETEQIALLKTQFIAQP TKITMSSSLFQTLSLLLRSQLVQYDKGTPYLSKFLIFRDDFCDIFFNTLYQYSISTNQQV SQQLSFNQLNSMIKKVPEDVWGTFIFKMLSQQQQKLNKDQFLVQFNQYTVNMNNFFKKSN LIFDVVENTVKQTAQMHNDSIFQEYLLIMGSFKATTQDFLRKATSVYFEENNDEIDLNEF NIRTQRIHKQMNCSILYILPMLLQIESHMIDMIQQDRYNENTQVKNSSNYYLKMIEKLKN KCVYNGEDECNCKKCQCIRRNRNSAKESQRKKREALEKIGPLQDAYEELQKKVKVIENEN ETLRQLLTEVFKHPTVSQLSPEFIEPLTKVIQDTDPVQHSSSYEC >CAK78029 pep:novel supercontig:GCA_000165425.1:CT868296:83746:84852:-1 gene:GSPATT00013476001 transcript:CAK78029 MRPQVGLKIQGKLKPSDKQLIEIVNLHGGINCTSWSQVARIYESQVGVRVRKAYELKRLY ISFTQYDEQFTREQLVELFESAIQSRGNSRAGSKQFEQRTGIHIYISRYTSYIKGWLRQG LKYMRDVFLPSRNKSWAQTEFYSKCQYIPPRSAFYMLKILDCDLKDYQDDFIIMDFYKCA SVFQQLLYLYALNFDVKSRTEVYGLFSQMITRRMYKKIHCYAHYFEELRKINVTKICKDE QLTQHPMLARTIKKNQESSLYRLLFQEHDYTVKFKLFNESNDLDQNQFSVLLKPSKAKEP QNEQETFVMNLVELKEENKIKSQKVPTEFIETKKKVKQKKYFRGHFIRDGTYSCCNQTTK QFDYDYDI >CAK78030 pep:novel supercontig:GCA_000165425.1:CT868296:85668:86063:-1 gene:GSPATT00013477001 transcript:CAK78030 MGSSCNSIKQEQNSVEQDIPEQEGQIKGITQNCVVKKKYFGLKNDQQIQEILQIEKKSLY IPIESQQNYILFHSILYQSEIAKSKTSETSRKYQLKKINSKIKINNKNIQKEEYHQRQQK GRIIYSQNFKE >CAK78031 pep:novel supercontig:GCA_000165425.1:CT868296:86589:88468:-1 gene:GSPATT00013478001 transcript:CAK78031 MKTRTFFQKQQQQQMNKKEQKKLNLNEINQKEPVFSDNIEQSKKIKSLRQLAEVIEYVTS LIMPFLTLRVFNSKKQNQIDDFCFGYYLQLFNLNEITIQSFGLEGIFVIPTPYQKASDQF STFKIFYYMINLINQQNIQDKDIKVLIEDLRKQYQDVLLCWNNQMMLFLEQKDEDKNNMD GFISTMKKLFSDNYLVKLKHIFQILCAILKLIHVNDLNQSKPETKTENEVNQEVQQKEVK QKKDIGITYSQVFEISTNETDFDEEDENNLQMDLQDQGGSNQDRNSSQKEIPLEDQLQNI FQVLQGGELDENTKTQIRGLSKNYQGTVRAFRMNSNRIIGYAQFLYSTLIKFFFNDVGCA CSNKAKEFSKDKQGEATFFRFSDKIIGGSRARPFLSNCYFVNLQRDLLNCWLETNKNCSE KSKTALRLVVENVEQLVKKNDQPSIREFFKDLISQQQNGKQQFSNNLLILIGHKSENSVA NYTTIYELDNYCEVDKYDIDESDKKLLKTSTNQYLNQNGSNKDNQDNQESKPQNCSCVVS EILQNYANVQKIQNKVYIYEIGKQSLNEFLKKYINEIKGQQSQGRRITRLQHYNSNNHSE GTSPDDASNFERQIQQ >CAK78032 pep:novel supercontig:GCA_000165425.1:CT868296:89255:90598:-1 gene:GSPATT00013479001 transcript:CAK78032 MIIPILLIVNLITSSTAYTYVAETPCTGLISADCTSSVISGIGYCYWETTCQTLPCYMID EVAACRTGSGLPTGGASTLCDSLETFSLQYDNVCCDKSEGKLNYAFVRFTKTTDGYYDKA SDGTTTLTSLTTQNSATIFQLYTVNPWKIMPSFTSLPTAANFESYLGAILTQYKTLATAL QTMPDSHPFYLERTVYQSLQMLRDFIVLQTTPQNTMRDNLIQKIWSVTLIALFRMVNFQP NYYQTNYYIINFAIIPYSRNSITINGQQHTTKIDWSGYAYSQNGFVMVYSFPPEMFGIRN AYSDVICIRPIIGSPPALVYNVGENTFDTSFKPLIITWTWTDPLLTVVAANLKLFKFPFN NVQESGITQETGVTFTCNMVAKQCTSSSITVTPLNTGINYYITTGLGVTADKNKVQCRLQ GKAWSGSICS >CAK78033 pep:novel supercontig:GCA_000165425.1:CT868296:90656:91865:-1 gene:GSPATT00013480001 transcript:CAK78033 MMQFIILSLSLVEIIKAAFTLQTCSSITNATLCKMAGNCQVSSYSPVTCQTITDCYRVSE VQACLLSPAANTIANCIAFNIDYKYENICVKTAETSVPNGLLRFRRQANITRSPDITLSE TPVSVLTTTTTPQKYTYQLFTLDILLASPTQLTAILDAFNHGYNQLITDAVQPKFLEKAV IETFQSIRDDITYTLATRSAQLSKAWDLVNILFQRYWSYKAYYVQNYDFINFGFSDLNRQ KLVITTKTYEIEFTWATYANNGYIYVMTLAPEQFGIISVKQLSDLIWIKIVKDDGTDQTT TDVPVSIKYTWVNTATYTAVYTQSFDKLFAAAFAPIANVAVPVTCAYVVTTVTTCPTFPV PAASIDKLMIFHHDTISNCATIASTEPYKRLSKC >CAK78034 pep:novel supercontig:GCA_000165425.1:CT868296:91913:93070:-1 gene:GSPATT00013481001 transcript:CAK78034 MKIILTLIAIFGIVVDGYQIACSTYHQNNCFASGYCDWISGVCKLLECHKVTEPRACSGK LSGYLYQNQRCKISPNLDPKFQNLCIEYDQDTLMWGYLVYPQDNTQNSTYTTASGFQISK ISTNRYPTQVKSEILTLNILKAKNNDLLNIMYGYLQQSNELCNDDNIPIAFLEKAIYESM QQIRDDETISYTSTTFNKFQFHLALWGMTDAFFQKLRKQKLNMYISYKYLINFGFANLKL EKIVLETQFQVFEITWEYVQPGYLEVLVMPAEQFGFYSIHSDIIVIRASDLNGYTIVNQR KLQFYHRNQQAFFSKTLHSIDLTNMQKTNTSIVCSPFSSCGGTLSGQGRRAYIFGDKNIN DCGGRSQSECRLGKCNWTDSTGNCT >CAK78035 pep:novel supercontig:GCA_000165425.1:CT868296:93229:93894:1 gene:GSPATT00013482001 transcript:CAK78035 MIYSIARNSFSAIYSTIKDVVDYSSTTIYDMFISHPITSLTYEKLCERLTGQQQRILDVG VGTGVPLNQALNRFPINCEITAIDINHNYLRKATQLFKDKPQVKLYELDFYQMNSETYGT FDAIVFSSSFLLLNQPKEALQLAISLLKPGGNIYFMVTLSDSHFGDTLKYITSIDLHLQS EKEFEQMIQQSQLKINYKQRLQKVTNIATLLFKVIIYETKI >CAK78036 pep:novel supercontig:GCA_000165425.1:CT868296:94104:96229:1 gene:GSPATT00013483001 transcript:CAK78036 MIQAFQGASECQNLILKPNEKFCELRDMNTFFIGQESDNLTNINTIQKHEDLVQFSSGPN IYLKEDKIISIQFRALIDVNEICSLIYNPKINKYSINLISTETTYIIDNKMKLNIKSQVN ILLQTESDQNCTQFYYNTKGLIIFCHSIDQLILYQLQKEGQEFKQTIKHQSEILNLSCNL KFQQFSNQFFIIYSQCKEWIIQVYDDNSIKLYLDQNILRENYNREGILLDVLTCQNNLFL LLSTGGYQFYNRYFTDLFQFENINLNETLFHYDQNCQLKFAVKSPSKNYFTSTFPFHFNN LIINTTSFPKAIFIISNPIIALLHYDDQLLFQVNSLLNQVVKIKIQRLIQLSNNKIYIGI DEANQITYFQIVYTKPCFPYQGDNLNATKYYLQFIYKMNPNFKEEKYFHCYKPIIIENEE QKKEYATLVNIASNNYYIERKNYEESFFFKLSTSSTQSIYPYKMNFRSQLEGETKLQIKN NQIQCRFNQYLKNYKGKFIIKTKNEDLYSIIVQGSKNQIKEYKCQGAQDLIYLNMFALDE QILQKFSNFNILIFLDSQRQCIYRIQLEEELKTDLISKIMCFDSTVENIYGVSSQIILKL KDKGVFYNVFSSIGQIQIEQNQYLSQLKDKNLKDIFQINPDFYGVLYENQIHLVYKQATL SILPLLYLDSSLILKLTQYQVQHQQIQKRMNCNFIWFN >CAK78037 pep:novel supercontig:GCA_000165425.1:CT868296:97347:98118:1 gene:GSPATT00013484001 transcript:CAK78037 MNTSMKNLFLEQEFLLLFESIKLIKKDYNQDKDQLDLILWITSRQTYDVQFQIRLYLKEQ KYEFINQKILRYPKTFDSCKYVVLTEEEIILDCGLTRYFYDMQNSDYLFDPVYSTTSLVQ MEVLNSTHYLFVNYLSKNQSYVLSVGKRGGYRLEKLSQDNSKSTSLEFQVFYDDVIAKDN ITFNLEVIQTNMDYNEFCQLNVVILLMIFLIFLATIGIYRKCKQKQSRKVIRTEISLVDI NMRNHSKI >CAK78038 pep:novel supercontig:GCA_000165425.1:CT868296:99533:101929:1 gene:GSPATT00013485001 transcript:CAK78038 MSQKSLQDFNNTYGSLIAIRNSQCLYNDQNRINIIYKLEINKSQQPQLNTSITQEIKLQN ELARNIKQYLKIIEYAIEETEQNKKVYMVVQNDELNNSILYSFKEIDDKPSKIQQFKKIL LLMMDLEKKKPKIFYFRKNNIFYVGNLIYLTIFGWTQDFLISNATNQNLKKLEDLTFKIA EITYLDEQGQEKQHKLTKHKLDLGIFFQQSILNIDRTQISIQDINMNCHDEDLKKIAKII KCFIINNGSIQQMNLQLQQRNQSQQFKDKLIEEWEKFVMILQLKLMDDAQLRVGLDLSIL KELSADQLDKYIQIQKGEYQSGNIQKKKRARILIFQAEQRQLALGMLNKQNKDFHPNLIY FLKENELEQLKNDKNLLKQIQIDENKINKYIDLLQKMSLCQLDQVVLDNEQLSLIPIKML QSIQNSTIQEFCSKKEFAIKQSASKIERFDFEKALEAKDKYNKFQLTINNKSSINADSLL ENQLTYQQVQLLNENTQKTYRDTIIRTIESKRNSTNKNDRTLLETLTRVLNQGFQELDHQ QPLYIENFRNQLYIKQQKEGVYFRNLKFNDRNNLNFSEKVNEFFKYCFTGDILYNEKFSQ YLREFQRVSISNYDGYQINGKKQGLGLRKINENHLRFGIFQLDQQIWGWEIVFQSQIISF YKGPFLNDQKDGQGIQQKCKFQDEKVLIEEYVGGFQKDKKNGTGELNIYNNSQLTTVIKG DFKDDQVHGIATISQNGQIIFFGQFKNGKKNGQGEEIQDQTRITGCYLDDKKTGIHITKR PDGTTETNDYGSPACSVF >CAK78039 pep:novel supercontig:GCA_000165425.1:CT868296:102094:107167:1 gene:GSPATT00013486001 transcript:CAK78039 MFSKKWFNLFSDCTAFTGDKWELLEQDTIGFLAGNTLCKWNLENNSKSFVHSQRQGFQCF TVHYRKNILVVAEYGLNPIVHVYDNENEYDLVGVSPLEILQMEVSNCGRYLLVILGVPKF EICLWDLKEKCRIKGKFSQLPLKLNFIEAKFTILGERILIRYANQLQLYQITPHYDNQIQ KQVQLELVTSIDLSAPTIMVQDYNLIDDSKNQFEQNNIYLIQGNVLIYLDGTNLTEIARH ECHSEIKHLIPTQIHLIVVYANAKIEWLYKYITNNLEDKVAVPFKVNKKYNLHDHIEVKK IMYNQQCTKLIWFIRQCYSQYNPYEAQVDQQEEDKVIDLTHEIEPVKLGPYQKGVITFIR EYKQHNVIVCGSDQGVVLFMDVISKQPLSSFNIEGKIISGELIEPNLIIGTSAGVLRFYN VADIRQPVLFKMIKLYIDKAISSISIIDNNLAVCSSDSPTVFFFQNENLIGFVDLPFNCQ AITYGKGQLFCICSFLLLSIPQPKQQSSLKLEVQIMGRKIDPDQTLLIVTPQQEVITTGK DKIFKKYKFPEELLSKMDLKMRVANQPPVDEQDGHQLPATCLAIKDFLYSSAKDGTIMFR SYQQLNQDVRLLRGHNLKSGGVSTIYVSQKFKMIYSGGFEGDLFWWTGEKIRGDSDAAQL MKSNYNAPMEIQDMPDQEVRYYQQVLESEFLEQQAPIREQQKRQTKDLLKQIQTKLNALL QENAESDELEKLQRDEFVIDLYARDAILEDGKKQQQALRDLVKKENVKQEILYQLIKERT WDQIEIPLKGVNGLIQHIIVTNYHIRVRQSEETRKLKLIRELRKQEIRELKIRKQQAIKE AWSIEEHIQDPKYIINSKPGAQTMVLNDYEKKEEVAPTKVAAKPGVGGVQQNIKQRQQQQ QQQQQQEEEKRQEEEKQKELEKQQQYEELTEWDYLYGVSELFTLNRKRNQMILINDVIFS MKRQFNAEFELIQKQRQQQLDNINERNKRIIEINGELKRDPQLQLMKKNILEDPEKILIV KPEEIGFQQYETREMREKKEQERLKEEARLKALMADDSGVRAVKDMMGGTLEEKKETPLD EKLEVEEWMKKSPDDMTEEERMKLKEFEVRKQKLEEEKEKIRKNLEAELKKLNNEITDIC QRFDDKLLILFRRKLEYDYRILEQELSIVRLALSIIISQQAQLRVSELEKLHDEMTAQLN QLQQMKNNLDQTRDLTQQQRKTLNDQIVNYFNRGQTAGMDANKVKILWQYAFEDQKTNER AKQEQDEKLLKIQKYKDVLIQIDPLFENQKKIISAQLDEQFETYLFDIQEKASNLQGIDF EHVRDQLLQVLSQRFRMKLDYEKIDKDSNDLENFNKKFEQEFNQLSSQLQNSEEDIQSLH NMLEKSRSNIEVMFRFKQGYVEISQDKPVPNLQDAALIPRETIEKKNDEIKKEGDTKIEL MKDIIKSKYQVEKNEYDLKKRDLIIQDLECKTREVQLLKVKKEMQIALTKDDTRLNEREL ANLKDQIDLLKSATDKRLQIINKKREKIEKDIDFIKKENQQLIGQGGVLSGNVKQLQDIS DMQNKKNVRQGEEQQEPQEDKFTQIARNYRLFKKAKEQAEEIEILRDELTKLKAKTFANF QQVHR >CAK78040 pep:novel supercontig:GCA_000165425.1:CT868296:107871:109566:1 gene:GSPATT00013487001 transcript:CAK78040 MIQIFLRCFRNLRMKLQVLIIILPILALTMLLVGLTSYIQSTVIFNLLENQSNHLLMYQE YKALSQVALELQTYIGYKHKFYISRLGHLNQLFSFIQQNGRDSNMNHLKSCLRLEDIQNN FIEFDLPQFCYFACGSNDKVSLPQENQLIKIFNQTTQLINQFTIALDATENSLIAMVDFS DTIYFAAYPHIYLNLQYNPLKRPWYISHMNGLKTHPENNYFFSPIFTNYVKNIYQMSITY SISGTSNSNIGIIMQNIELNDTNIKETPYNIIVSNENGEVVLQGIESIKKMIYIKDDVLF INDTNQTGFNDTDWQQIKKVASGEEIENRCNTYNANAFCLYNKFFQQFVLVNATRIKEGS FYLIIYSNITSQTILNQQLATINQNLQSQLQQSQYVIFFSGISLLFLSILIIHQMFLPLT DLMRTLFFYIKSTGNNINKEIFQLLNTQKKTRKNNIFSDLMQQFLRFEAKLNGSQQNKNK ECLYFEQIEYQKQPQSELISPLNLSLNNISNDYLTMCKIKNIFDLLKLKLFNF >CAK78041 pep:novel supercontig:GCA_000165425.1:CT868296:109801:110688:1 gene:GSPATT00013488001 transcript:CAK78041 MNYSITDTKLQLYRSLNQIYRVKYLFQKIEMEKINFLFPTYNCLQNFTNLDDYAYQYSFC YGEFGLATAESNSIESFKNLTSSLTILLHLLDWDLDLSICTNTQEQYFALWPGDKFIDYK PQIRPWYLSHLRQMQTNPNQEVFFSDPFVFWTWNVVMISQTLTLKSQSGEFQGIAATDLN LTLFQNLNNLYKQSNRLFTLSDGKILISNTNFTFGNYIYNESTIGLNYEDFIHIQRYLQN ESYTSNCLKLNQYLGYDIFCRYNQVFQQDQVIFAKNLGISNLNLIMQIQILMQHI >CAK78042 pep:novel supercontig:GCA_000165425.1:CT868296:111798:112052:1 gene:GSPATT00013489001 transcript:CAK78042 MSQDIVCTIEYPGGNKKFTVQLSYEITPAELIEEFKSQIVTNSNKIMLYCNQKLLDPNVP FRKQNVQNGATILMNVEVEGGGDF >CAK78043 pep:novel supercontig:GCA_000165425.1:CT868296:112088:112989:1 gene:GSPATT00013490001 transcript:CAK78043 MFKCLSRKLEIANRPQIYRLSKGKYKEEELIDAGGYSQIWKCEGFAIKRMLIHSQETYLM AKQEINIMKKRLPEHPNIVRLIDYGEVKIQNKLFFCIVMELCEMNLQAMLTAEQQKYLNR FWMDQNHRDLKLENIMIRRGTCKICDFGQTQLSEMEEQFSKITTITCRPPEMIDVFKRQI IDFKVDIWQLGCILYSLCFRKSPFQDINKVSIAQAEFEIPQSQLSQKTVSLIQKMLQLDP KKRPNLKELKLFLYSREIDDGDDFQ >CAK78044 pep:novel supercontig:GCA_000165425.1:CT868296:113026:114254:1 gene:GSPATT00013491001 transcript:CAK78044 MSGLYNQFDLNNFLPSAYVVEALPLCSIQENQVRSERVGDQDARIYSVYNQSKDRITQQT NLVDKIARFVKEDEEKEEDPEQSAEVQTRYFNLDSIDVLSKGVCRRCKKPGHFEKWCVED IAESKVTCRFCLGDHYFLKCPNSLCFKCNQAGHMAKDCDVEGIKCHRCNKKGHKSKDCND KQRLKDLLCLNCQERGHLNCFSKGYKKYDLLYCEGKELREREKMNRTDHSSKDKHNSQHH NNQDRHQHHNNQDRHQYHNNQDRHQYHNNQDRHQHQNDQDRNQHRHHQHNNQHHHQHDNH HNHQQHNNQYDNHHQQNHQQSHKQKHLKEQTQNLPHKVNKTIQKQKYQQQDSSSQYSMWS EESPNTKRMNNNKQRKNKIKQKKHFKQFN >CAK78045 pep:novel supercontig:GCA_000165425.1:CT868296:114265:115746:1 gene:GSPATT00013492001 transcript:CAK78045 MFNKPQEYAAQKKEAFRSEIRRIDRERIFNQKRILIHQQNEMKEKDDLELTNLIPQIILC QQNQNIKELKQLHRFAFNSISTNNLNQITQNQEFLIKNNYLQHSLPSLIIKEVQCEVIRT LANSCNHPLFLQQQPQNLLQSLEVSYQLLLSLTDTQDISDILIYLGNISNRWPEICQYLI KSFDRMLELSSSNDSNLYRNICFCMHNICYVMDNQNLSVKDRITCLKVIDKGVNRNDCDF LQNEILHPLTVLYFQNEEIDKYLMSSKIVQYVITTLKGEFVETTLQVLKQFSLTETISFS NFLIEQDILGIAYEYSNNRKKQIRKFSFLIVINLAYNDSKISNKIVKHKIINRVINGLNA SGIQEKQNCIQVIQNLQKTGDNAVFQTLIELGTVEIIGNLIDEVDTVVLKIFVDALCRFL NYAKEVQSNKVIEDIKKIKPKLELIYNDNKDPKFQDLFQLFLQLLQQ >CAK78046 pep:novel supercontig:GCA_000165425.1:CT868296:116086:118064:-1 gene:GSPATT00013493001 transcript:CAK78046 MDIEQENDREVAEDQNPQEQINNNNNNEEEQAVVEVNNQKNQISQSVIDCEVQLPAAPIK LDKQEPLKHEHAPGQTIRSEQLRLQVGKKLAENPDFYSKSKWQSINASSTTTKQLPFQGD RNAYNFWKSQVTNDAKDIYYSLFHRQIVQSILKMINDQMKDHFSEQHEKDVKKRLFKLDQ IYEYFGVKILMGYNRMPDPEDYFNDKLPFRNKIGELIKVGRFKFLEQNTNLRDEVMIQAK YHNLKQEDVEKKFKVEGEVYSYLTKKLNKKFRISHDAGQCLAVIKNNLFEAQDLQGRSIE CILLMDVQTQYIIAIRFCFRENVSNTIFNMLDPYKHKNHKLYFQQELLTLEQVQILVEFF AIYSCGILASTTQTQKIDFKDGLVTNNHVMLLKLLTPNQADFKVFASTADGFHRNTSQDK KSYAVVIYQEYIKLVEQYPALSDAYKCLFSSATVNGAIYTEMQEIVIWNSFIAFKSIQKV NSQINFLEFRLTLAKQLLRTKMKNIPNVSETHHNLQTAQPRYSTIGIVTDIMGSFNPQDM ELLPKINFHVPRKCNPPAKLQNKLVCLVCKKVPTEMVECESCSEISGKLITLCATECFSL FHQEPKKYVQSAMDLPVLQQSAQFEQSTLGNSEAYQQSYMNRQSK >CAK78047 pep:novel supercontig:GCA_000165425.1:CT868296:118622:121009:-1 gene:GSPATT00013494001 transcript:CAK78047 MNIELTKDDFYRKYSPLTKLNLNDQPFTIQYKSRNENEVFWIKKRRIQGQYQDYFIEEIR NEIKIQTSLNNKNCVLEIKNFTIFQSENKNRKVVMIAYYNDDKCAPILKYIKNQAPGIER KIFVSKRLLEVYNILRSSNVFHQNIKPNNVFFYDNDIFFSDFGSNRTFHQNYIQQFDRRS EQNWQKDYYFYNPKIILDIIQNLPDYDMRIIEFDNIREQMKRQKQFEDVLNVPQNSFNLD AWAIGVIIIQIFYPKDYINPPIETFYALQKVELDKKINEITLIDSQIGQGLQMLFYPENQ RQQQQQQQPYQYNVQLNISQKFPTSVIVQQGQLSTSSIITEFNRTFPNPVTCCKAKVTKY GTIQAMDKFLQLVQKQIYPELGKFFQQNNEQKLWCFLDFHMLLQLDLKEVQSYLDDLMRL LIELSEISQRETSQNEAIQQSHKNNIEKLKENIFLVENRKQALQYFYNYEMEAPEEDIIY YYNLKEANILQWKKQFQIPDEVTDQLTNIEAKPYFSPKKIAGLIKLRKKELKIEAQVPVK LSQVQQKIISIYYLKGLPEYKDTLEKKEEEKKKYGAEQFPFNLALRTRQKAQAFINEKQL KYLLGFEEYQSISAKLKSQYLKTLFSCIDIGIKNKQDVQQFISGVNHIYPSLKYKFRQKY AEIMLTYGMLPSELCEEIQMFFEQKYSVRIKVDYKYLDYLKEFGSIQIKKNYIGYLVNDK PHGQGFQRINQETLQFGIFKYGQIIWGWEIVKAEEKKILLYKGEFQNGRKTNRGINKAYE NEQNGGQSIFKYLKP >CAK78048 pep:novel supercontig:GCA_000165425.1:CT868296:121435:122390:-1 gene:GSPATT00013495001 transcript:CAK78048 MGDYIKALIETQVIGAFRVALTMPLEHVLDRIKTYKQSKQGITYIQSYLDIKGARGLIGF YDGFYPSFLRNMVKQYYRWPMMIFIPSMLNDHIHNQSINKIITGASIGLFESCIITPFER LKTLKMTSMATGFGYLKYITLQSIYVGFRIQTTRQVVSWTNYLYWDHKVRYALKADPGQP LSIVNSLIASTLSSILNILAVHPFDTIKTLVQMEENQNYRKISLYQSFRIVYQNYGLAGL YAGWQARIIAYFCQALLTTPTIDYLERNYGISKTKKQQ >CAK78049 pep:novel supercontig:GCA_000165425.1:CT868296:122572:125855:1 gene:GSPATT00013496001 transcript:CAK78049 MFLLIYFQFAFALQDCQQQYQDCFNQDNCIIKDCEAQLFVQTTWKFQNITMKNVVFNIEN HQSQVEEYSITAQIMTIQSSTFQQNIGEYFVDLAFQGLEIILQDIDFVLFEKVQFTAKTL QLQDVVMNTNFLMMYSDYVELENSLIQCHFLKCLGADCLCNQGYCNKNLIGEIKPFKRIP VNLEENFTLGIAADEVLLQNTQLFGSSIGIYANKSNITIDSKSIVYASGLGCKEQQGYGC GFYDWMLSYTLKCGSSGGSHGGFGGRPKSQVLDFDEQCKKLIPREAYGNPFNPLFEGSGG GGNYFGGYGGGVIYFQNGTIETNGESSQRDDSIIYGGGSGGSIQFRGKLFGRGLVSAEGG EGSKISGKGSGGRIFFDDPMNHNLKITTGLKSSQGTIYYNECPQGFGIIRQDSRCTQCPS GFYTYLSSVGECKRCINYDDDVNIYEQSISPICKIQSCKYGKILDKQQCVVYNFVRQSGG ENVLFGIIFFIGLLVVNFIIFLCLQKRISNHKVNQTISFSDLQENPNLYEAASEDPRFLP EDLPYYVKRLYIQGNNTPSTPWHLPLHTQLDQQDINNIVSNINSIGQYTKWQQISLVFLK IWYFPFYFILLKYYQKKKSKQIFSFMQKNNRFSIYCLKLSCSSDYTLAYIDVLNYNNNIL DWNKSTQFPISLVLQGDGDFLFPWQINLKDPLVKSMKMSFEKQKIFMPIDDGDSDGLLSE NDEEEIHTFDEFIIKFNLLMLQIDIRKGNAEFIKNCFSLFEFIDESNSEIFNKKQILLDI CFHILGFQQSSLIILTTQKLLDFQQTLRELHYIVNYKSEYQIKVSVNFEKQDFNVKRYYD NQIVINTINDINKQITMYQRYEEDKEELNNLKMQMQRKIEADGILTPLLAQKQDAEEEED NHLQKNNKCNILWVRIIRFITITFSYFLRYRDFKNERMLTSVLVVLCIIQITLYVIYVLE LIVDVFDTTYLDQQYFFTFYVVEAIVQITLFPFSQLITEIVLVIWLLNPQKKYFGKNFLI FNFCSTINNMILSIFAIIEFAIITIQNLESDYYFFASVKLILFLIQSVQGYLGTKYLTL >CAK78050 pep:novel supercontig:GCA_000165425.1:CT868296:125964:127544:1 gene:GSPATT00013497001 transcript:CAK78050 MQVEPYINSVVKTLDNNTLINAKLKRRKYQNINYDNDGLTVKYTPNQEIHELACLQTDQA ITSSLYYFEVKILKKINNKNAISIGLAFDKYQMNMPLGVFSGSIGYYSDGKVIVQKKEID LKMNPYKQDDIVGCGIHKSVVFFTHNGIRSLQTVKIDFKEPLYPTVVCGDLVVLQFNLGA SPMMYDYKKMQLKEKQEIIQQIDKQDVSPYSLHLMIQEYLWSQGYMNSLKQFERESSLEE NQSMRIEKNTEEMAYEEEQQLEGDLKRKQSLQMTPMSALQRKLSGLQSPNFQQISSIERK VSGFQLDEQENNNELNQIAEQAFMKDELVNQERIKIQKLIREGMIDDVIVILNEMMPEFL KKEGIEQTLYAQWFIELIKRDKILEVIELGRQHLSQYLHFQVESVDKNLNPIKIKIESIL GLICYDDIGSSDLRGLVSQQQRERVCEYINRMLLIELGYEDESALEICLKQLTQVCDQIQ QRGLLGGQSVQFL >CAK78051 pep:novel supercontig:GCA_000165425.1:CT868296:127701:128976:1 gene:GSPATT00013498001 transcript:CAK78051 MGANCQSCCANKENDNTEIKLTQDKAKPKSNKQDNDQKKEEVKVQIKEHERFTIDQEQQK QKKDTKKEQKKESAKEVTPKNADENSKKSQEQDKQLNISANHSVSMNVASNQEGNDLIKS TMNSTERKKLPPIQLESGAVYEGEWKNGMRDGYGKQKWPDGSVYEGEWVEDKSSGRGKLT HADGDVYDGEWKNDKANGKGTYIHVNGAKYEGEWENDKQHGRGVENWPDGAKYEGQYFEG KKHGNGILNFADGSRYDGEFLQNDIHGEGTYIWPDKRVYKGQWKKNKMHGKGQIIWQDGR KYTGEYEEDKKHGKGVFEWADGRKYIGTWIQGKRRQHGIGIYYLQNKEVKVGEWNEGKRI KWFEKNEIDQLIQEQKIKREDLHQQD >CAK78052 pep:novel supercontig:GCA_000165425.1:CT868296:129140:129898:1 gene:GSPATT00013499001 transcript:CAK78052 MANREYDYLFKLVIIGNSGVGKSALLLRFADDTFSENYITTIGVDFRFKTLKVDNKGLKL QIWDTAGQERFRTITNAYYKGADAIVIVYDTTCQQSFDDIEKFWLNEIESYAEKNAELLL LGNKSDLSTKQVSSERVQEYAQKRNMTFFETSAKTADGVEEAFKNIAIKLMSKRDQQVQD KKNKKKQQRQSSSSSKRTEDFSNSSEIKQEDESKNVNLWSNKSPENAKQEQQCQC >CAK78053 pep:novel supercontig:GCA_000165425.1:CT868296:130367:130714:-1 gene:GSPATT00013500001 transcript:CAK78053 MIQLNSSPLIGPKPIFMQPLESYQNHDIDDTQCTDDFNNQFLSQANNSLQETPYNKNGMS NQRKDEKKKVKFNLNIVHCQFNQKEPAIAIGKLVQKLINQKPYLEWVNPQISKIQ >CAK78054 pep:novel supercontig:GCA_000165425.1:CT868296:130760:131041:-1 gene:GSPATT00013501001 transcript:CAK78054 MSDMIGPHLTDFVPLSLLTRTLVEDEMEQSQNYTTQYQSQQIINHIKKTIRFNEDIAVLY FLQSDPVKQISKSLQSLKIQSSMKWVNPSMIQC >CAK78055 pep:novel supercontig:GCA_000165425.1:CT868296:131090:132919:-1 gene:GSPATT00013502001 transcript:CAK78055 MQECTLGNYTLGNTIGEGTFGKVKIGTHLQTGEKVAVKILEKAKFQDDSDVYRIAKEIEI LKKLRHPHIIQIYEIIDTDKEIYLIMEYASGGELFEYITKNQRIQEKKACKFLLQILSGV EYIHRIGIVHRDLKPENLLFDQNQNIKIVDFGLSNTYKPNELLKTACGSPCYAAPEMIQG LKYSGYLIDIWSCGIVLYAMLCGYLPFEDQNTNQLYKKIIAGELTFPKWLSCDAKDLLKS ILNTNPKQRFTIPQIKGHKWAKQVRIDEQYNLIGNDNIVVDEIVVEQLKTLYGVDPTECR KKVKKNRHDNITTLYYLQIQKNKRNRTFNYFKKESDDPLALSYGLNTSIPDSNTTSKQRQ NTSNGQSPQHRIITSINNTRQQSPSPQPLKQNTKPDSPKQHMVLLKREIVRRPQTKPLIP PQMKVITQVVNNSISSVGTNGNTPISTNRKQTEESFSLDKNKIKFSGRDRAIIAISDYTI SVKPKNPVLKIHKGAFNLKCTTNRDPERLVEDLKKFMNQMQIKILQQNDPYDIICQFNLN IKFELSIRQIQNCDNLYLLKGHHLSGDWNTYQETLNKLIQLLHF >CAK78056 pep:novel supercontig:GCA_000165425.1:CT868296:132956:134748:1 gene:GSPATT00013503001 transcript:CAK78056 MGNIESEEEETLPELKSMKFIVNKGTYKVYQNLQNNKFYDFWFQKSSDHNFEEEMEIANR IKKENLSGVAQIHTIQRNSKETLFKKYYCLNLLTEHPTYTLKDYIQKKAKNKCLTPDQIT DLFVAITNAQYMLGSKKQYLGFDNIYTDDGRIWKIKPFLKTISFYQELLQHKSKNLDSFE MSGFPSPEEFHQINCDIDRVQIFGLGMLLLELITKKKSKDIYQRYTIDEALLQERINSLQ GEKQKYRGRLIEFVTDTLDLDLVRRPNYRQLSTFLNSPESNINSEFINQQVQPIDQNKPV NYSSFNPSESQISEANIDFQYSRVEQLLNSKINDHRIKNSYKPKQNQSNQTDYNYYGLRR NGLYHGQAKLFTKTNMLIYEGEFCDGKYHNFGTLKYFDTIQLKENFNYKDCTNIEKYAVS YEGSFQHDIKHGEGRLTLTNGEVFVGQFVNGVIDGYGSFQPNNQQKVIGIWKDGIFQSNS SILQSFDNLNVRASENFNKSEIKGRQQLESQELFNSQNQMNSSDINDNNLPKLYYDDQKT LIKYVGEMNQGKMSGQGKLFFMDGKLKIYWRL >CAK78057 pep:novel supercontig:GCA_000165425.1:CT868296:134784:135229:1 gene:GSPATT00013504001 transcript:CAK78057 MKTQFLSIKLTTNNLERYRVKVTGTTIRVHFRMDLKVVQEVGIQLTTVSFKVFLKMIFLM EKEFQELIMKNQMLSGKMGNYRENYEYKKFINCESVSIKFYFIQIYQSFIEDCTLISYLS IFLIKYYFIFNKFSLQLCIS >CAK78058 pep:novel supercontig:GCA_000165425.1:CT868296:135768:136264:1 gene:GSPATT00013505001 transcript:CAK78058 MSLIDYIEDDQTYQFTKYLNLAKTEQEKQLFDRFAYGVCDPSIKYPNEKQKKKMIILTIL QLCQRNKCVTFDNIQQACFLNSRAEIEQLLIDLIQKELILGTIDDQKGCLNIQRCISRDV RNSDIPAMKKQIESMYERVKHLKQQLKQQ >CAK78059 pep:novel supercontig:GCA_000165425.1:CT868296:136300:136810:-1 gene:GSPATT00013506001 transcript:CAK78059 MKWKPTCYEYSLHNRENNTKKVSQYYHFLYESQYFTNHIKYTQIHWVFRMQFMKSYFLQQ QLNIVYKRKILNKLKKRFKMGAHKSLRMKKRLIKANKQNRPLPNWFRYRTDNTIRYNSKR RHWRRTKLNIN >CAK78060 pep:novel supercontig:GCA_000165425.1:CT868296:137008:137466:-1 gene:GSPATT00013507001 transcript:CAK78060 MKRVLFQYEKQYSDQLIKYNLQMIIIKYNHKLIRSIKEYDVQKIYIISYFNQIFHFIIYP QESKKELELIDQTLRKNKNYQIFQRNQSISNNVNYVRLIYLKQCFLSLIGRFSNLGKFTQ SDLRHILFGLIQYQKGIIILKKKKIQIILGLF >CAK78061 pep:novel supercontig:GCA_000165425.1:CT868296:137478:138392:1 gene:GSPATT00013508001 transcript:CAK78061 MQILENSDSIVSDDQSLELDCRIIVPKFKQEEYDTFQTEIYSSVITRVMQMRSNSQEFKF KQPNQNSPQGSQNSIQKQIQCTLLNENEYSNKLSVKLHLQQEQAKKKGRISKQKDKAPQS PARSVEGIDHSQTPQKEDWNGIKMSTKQIQPQQKQPQIRQNKRQLSQTFKSCSVEVSPIK ISRFSQRQIYVTQLVNTYQEPLQEKLSLVQLENNEKITKITYSPYTSKTRTVRNCIQNTQ IKGILKSKSCNSEGGKRRKNTSQDSHNKKSKFFKKVTFNSGKLPKYESFQSRKEASSKQL IIIQ >CAK78062 pep:novel supercontig:GCA_000165425.1:CT868296:138478:139272:1 gene:GSPATT00013509001 transcript:CAK78062 MNLRLKNSSFVTNNNDISRLRRARLIQPNQQQSKSLANVKINVSPELKQKKQKTIHQDGV QEETIKDYKQNEKNQFCKAKLQELFSLRKSSLTPLLKQQINRQQTIIKHQPDFTKQQDLY VRIRRQSIENLQTQEKQKNFLLRSSQDCTQKKIIYTNNLKSTNGIPLLNRSVSQFTCITP KKNENDCINQQQKESIKRQKFEGLINLIINMNIENLVIAFNSIKNKAPLLPFIKELKRRK EDFQQKRFFIQLVKAQ >CAK78063 pep:novel supercontig:GCA_000165425.1:CT868296:139318:142714:-1 gene:GSPATT00013510001 transcript:CAK78063 MNEIAYPQTQFQRYSSRQINKVTYYCQSLNAPQKDVSEKKNQIYNWLRNLPTQQLEQVLS FTSYYRVHSLLKMFNQDQKLLHEYLELSHSPYEVNVKIQIHQYYYVRECNRIDMTEEWRS AQQVSIALKQQYILDHTYISDYEWLLDTVTIKGNIEEIIQSFEILSKKLIFTKSWRIETN EDEEYSEINFDLQQNQKMFWTLSEHIVNEIEKAILIKFQQFEQKCNYTKQDQLLNFHQIE LNFNNINYDFETQEFQKVLEQSKVEMQFIDEKVLLQSWSEHIKYENLNFNNVEQQIHYLL QDKDAIFQSPLKFTIKESLLFCKYLISKQLKITCPLKKPVEENLKEKKKPKQKLSDLKLF SSQADVEQLKQVVSYFPNSGKSKILPETEQRLFSTNLEEKEQQIYEHSTGFMKKLIETVV IELENFKQYKKKLKKVKNTKKQQVIEIVQPEPPVQVSQDIIKDDEWNDKPKSKKQRKKQL EKQRKKDNLERKKLKQMNQSQQLSVQQDEKIEKSSKSSIKDDNEDIENDNDNEEQTIEQQ QQQQQQQQQQQQQQQQQQQQQQQQYLIIEKVTQEIPIVINQLPDDESDYIEVQNKKQQKK QSKSKSSRHKKQANKKDKEFQDNQSLPDEQQYIPALKKSTSQSVQKNNHHQYDELKLERM QSQQQNRITLQKKENGINKIQRSGLVKSKAQNKEQKLYTYDLDQTQLQKIYKTILEQKIT NDVKSIYQKEIDQFNRYKVARDISIQRVQHVIKSYFQNCETEIFGSSTTGLALKDSDVDM VVYGLHVYTKQQLFEPMRKLIEIFSELKWTVQCKHIFQASVPLIKVLVDPSIDFLSFKGE PKYILMQCRNLDLNLKYGDPSQHIFIDITFELTPPYRIYNPYIQAYNIGFQSTQYTIDIC DKIQGFSEVAIYLKKLLKIKDLNDSYTGGISSFCLTIMLAAIGQDHNIGQKLINFLHKYG CNFDPNKWAIYLDEKGQNNFCNIEDEQSNQPLTIISPINLQKIQINVTKIQTILQLFQQL YIEIMQNIDQIESSLQKKINQKDLLDNYNSHQIQKLVDLVFWQCPNLLESHIQ >CAK78064 pep:novel supercontig:GCA_000165425.1:CT868296:142722:143464:-1 gene:GSPATT00013511001 transcript:CAK78064 MGAYKYLQELWKKKQSDVLSFIMRIRTWEYRQLPVIHRATRPSRPDKARRLGYKAKQGYV IYRVRVRRGGRKLLIRKGLVKGKPKSQGVNQLKPTRNLRSQAERLEALRVLNSYWVAQDG TYKYYEVITVDPFHPAIRGDSRINWITKPVHKHRELRGLTSAGRKSRGLRVKGHRNNQTR PSRRANYARRNRISLRRFR >CAK78065 pep:novel supercontig:GCA_000165425.1:CT868296:143833:144697:-1 gene:GSPATT00013512001 transcript:CAK78065 MNKTIDCFGRAENLIIHERPLTISYIDENSPQKLCNLSLLLASQKAQKNQKHPRRSFYQA TLKDYQHQANVPTRSPPILQIQLQNYQFTQKTTKSTKNQSSKQQGTKYKSLSLNECNYSD ILKRIELKKSQLEKMYPSKEKCKTQTFRTEKIEDGCQTQKLPTEANVQSNKTKKHQEFLN YRLAQSRIGSSFKNKRTTIPFQAMPLSLGLNIEKCRIEQRLTQSPFSVRASQIKSIKTCS VRSKDQRSMTQKPIDQQFCFKKQSSIPIAKWDNSDLELD >CAK78066 pep:novel supercontig:GCA_000165425.1:CT868296:145232:145693:-1 gene:GSPATT00013513001 transcript:CAK78066 MSEIQDEDMKLYPCSNCGDYYPYCGIENHLESCLLENIVEDCKFCGETVVKKLIEQHQQN CQAFALQEKEDDVCEFCQEKIFKQFKQDHYSDCALKQIADTYQFYTAHECPICLIDIGPM DQKGVLECCHIFHQNCLQAWQKKSQECPVCRYN >CAK78067 pep:novel supercontig:GCA_000165425.1:CT868296:145944:146252:1 gene:GSPATT00013514001 transcript:CAK78067 MGIINPIHQFEDPRIIKNEAKQKEQEWYAQRMKQKQRPKPEDPLVEPQADLVFYANKIEL KNALILNFSIPPMLEKERPLMQDHLTNIQKIFKKRRIQKNLR >CAK78068 pep:novel supercontig:GCA_000165425.1:CT868296:146308:146851:1 gene:GSPATT00013515001 transcript:CAK78068 MKYESKKRPQSVKVIQQQQVPVQDRQKEKLNKKGERRMLFEKPSNKHKIKEFLFKFFKTI NHLLKARKYNKIIYKGDQQNYDLNTLFNLWAEHKKFNFKSNPEKYITKEQFVDFLLLIGI YPDRSHEAERLFDYCHEDVVKAPGIAQQEQTTQAQQDSKWFRLLKD >CAK78069 pep:novel supercontig:GCA_000165425.1:CT868296:146859:147459:-1 gene:GSPATT00013516001 transcript:CAK78069 MADVQSQRAFQKQEGIFQNSKKLLAKKTSKGVRYWKEVGLGFKVPKEAIEGHYIDKKCPF TGNVSVRGAILKGIVISTKMTRTIIIRRDYLHYVAKYNRYEKRHRNVPVHISPAFGPVKE GDIVVCGQCRPLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK78070 pep:novel supercontig:GCA_000165425.1:CT868296:147544:150056:-1 gene:GSPATT00013517001 transcript:CAK78070 MNPQDAPQQDLIYRDFLERFLSNIDIAQIEAMRQQNKIRFPINLDLLRSESRQQYPNLVD DLIRNPTDFIRVFQQKLCQICKQLQDQQEDDDKKGVQTDKTYKIYFEGKLGKNYVTPRGL GAAQINQLVCTQAIVTKMSLVLLKLSKSVHFIEKKNQFKQVEYFNNMDPSARTSARQVRV VQKKDEEGNPMIFEFGLSDLNDMQTLVVQELPERTPTGMLPRSLEVILDQDLVDRVKPGD RVEITGVYKCIPNSTTKANGTFRTTLIAQNIKVMNAVQETKISEIDIRHIKEIAKKSNLL EYMSKSIAPSIFGHGIVKQSILLQLLGGTEKNLETGTHLRGDINVLLIGDPSTAKSQLLR YVMGTAPLVVTTTGRGTSSVGLTAAVKRDNETGENTLEAGAMVLADGGVILIDEFDKMNE IDRVAIHEVMEQQTVTIAKAGIHCSLNARCSVLAAANPLYGEYQQDMAPTKNIGLPDSLL SRFDLLFIILDEKKKDIDRKVAERVTKNHRYKGQYDDEENIGDIIQPMAQMSIKQEISPF VQQSAIYHSNDQKDLLTQSFLKKYIMYAKENYPNVVLDDEAAEEVTRQWTKMRQNDLLEK QIRTQPITIRSLESLIRLASAHAKLRLSNVVTKQDVKIGAKLMKISLQMDQEDEVEDNKK PSGRKSSLQRLKSEQPLSAGRQKLESEQLKQEEQENQLIVKSDKKKQKQDDPKEKEAEFH LFLQQAHSQNITRDQLKAVHKVLRDFKEKLHQDVVDIDIIWGELQSQSRIIIADYLQFLK CLLELDKQEKVQFDDKKRTVQLL >CAK78071 pep:novel supercontig:GCA_000165425.1:CT868296:150728:162597:1 gene:GSPATT00013518001 transcript:CAK78071 MSFPQHIPSTSLSFLDPSLLIGNLDWLYLLSMKETDGLSEKSLTKGEDVSGFDAFLEQVD FTRSAYIKLRQDYFNLIQHSSRHLTESLLNSKNEYFGRASMMLNKINKSKIEKPIVMATY HECSYEVFKQCQGVTSCSALQSNPTRWPWGLEDFHKSLCKQELLSLFYEPDYSRESIDKN LQRLLKEIDHKQLSKILIDYALRVGTFKQILSLCDLNIREIIKLPRLQQKSRHLHYMRSL CDQGGFTITQLQQFTKLNYHQMTMTSSQCYLYILVSSPVGGMYKVGTGEGGTIAGKIYLH QPLKKQDDVQWVFLQNKLYLKQQNGTLDIICPETFQTLSQMTLHCPELFQHPQMQAINKN YPLITDGEKLFIIGRKLNITKVEGAPAKVIKEPAASTSRAQKKKEAEQQPPDNTIKIMDF ILFEFDLNKPTGNVQNEEESDKKLLAELFESFQGYFSKAEISKALNLNKNDMQNAAQWLV EEGEKERNKTTVSVSKQTLLCQAEITTDISAKQVKSSADIGCKEGSILQPQIISNIIWTM DKKYLTAYFEFGVKIFSKDPANEKELDQTKDEFNLRDHFYTKKPSTSTLPLKGTYITTVN KYLNEFYQNPNYIVSYEHNTKRFYVMHIQWNSTDLQFPALVTIGSDFVHRPLNLNLTPAT TFDEEVVNFLLQQQEQRFDQEWRLNDWYVAYQIMLKNLRSISEHFSEEEVKKIQSEKSKY IHRLNKSIQSINNQRTEVELRKVYAFCVEGTWEELKQIWSLFKKYHKIQYLQLLQYWVQY SEISILLNPGVYDLLKEIGEYLIQNWQSELHRNIFIKGWTLFLCSNSLQLQYLRLGDNQL LQNIIQTYSSIQPETESAILKLKSKNVNLDGDFKSFIHKKGAQHYLIEPFPRLLVEVVES VKLFQKVYPKGYFVDCDITQINQQFWILAKEWATQCDLTKWQFLTMLFNMAFNENNEWEV QHKLAFNILQVFELGIANKEVKELIIKHNFLTHFLLQLFLSPVLQCPIDCSILSILMQIN QNIEFPTAPSRSQGIDPIGYKVFETSHPYERQKVQTFEDTYFPGALALAVEFDPRCSSDQ AHDFLTINSWYSPQTGPFGLQTKLKDPMGVSYRISGKLSTKRPILMLGNVIQADFSPSGQ VRNEHSLNRWGFKITIRPVYGDTYHVSNVLHMVILENIQSLLGFNENRTAYEESNQHLLK WNILKNGRAGFQYDKHLIKVLGQLSISNMSYDDATLLHENAGANTQIKNKYQQLKRDLIK VNYKSRELQKTNIDLLQLAQQQNKYISQILEKKGEFYKVISEIVSQFTDSIQYRTAKQKS AMKAHIEEFKQIEMLVILVTLYHSGLLDEINLLNLEHHIDMLQEARNDVINYMLKEVETM IEYQQNYEGMSLQATEMMEQQKLKQINEKIENTLWDMLQKRLEGNSEMIYKICSQQSLAI IENNPNLSLRQIYNNILAKIRESKLVLVNPYQHIVQVIKKRILILFNISNVQLVGQQQMI QIKSQQSIDSGSIKQLKPPGIERSISHQIDDKNIDSQKLLKFRQWLDSYQKWKVLHNVNL NQISAESLDIPPVKSVILFLQQNVDESLIQVMDLHNRRCIRRIASLKFLNEFQGQSGGIL CQDVMNCKIEFASSNIKEILLQEVVKRLNYLMQSIKNDYATYLNQAITQQIDGTLLEQLK VLCMTLCEINQLFQVDNNILWKSREHDYNPHFNPIFKQFLSNIIITGLLSLAFVNLDQQY QSVAILSQNILNNVKETLYIITDNLMTDDILQQLIQILSSELGISLQGDEINCRLLTKLY DLIGVKRVNFLLTNILDCLVIHQNQDVPSSLVKLIFFILHHTNTPSTMRLAIRISKYFSN INLNKIKYTPKYLWVYYENCNLQQVKVLQENKELYFSEALIERVGHLVGQQEDTGNQNIN TMLQTELVNVQKKIITSNTNADQNNNQQYRVILHLCQEEEISILLKILYWWEEFYPSAKL KLPQTYQEYLEMKEKEKKREEQKTQQQEVIAQQTEFQSQRQQQQQQYKLLERVLMTQPEI QQKDYLLGWGFNYSNLYSDMKNGPSDNKKHSSGRVKKQIKKYWRPLNFQELERLYEELYK QNEDIKQFPDEQAFLKQRNFITRIHSYIKEIKNYGSLLTVYGCIAFSEIFTLQKAQVLIE LIQSALNGSLQNIPMTELSEEFRNKADPNKYILMGAIKEIAPPKSKSQPQKAPTQIPPQP TSGKSQMTMSICDVYTFQTFEFRMDYITLLPYGNTSVNFYNEINRSSSFTQQQVNGQIVG QLIYELSQLLSNQQIDINKESKYYKLGYTTILSQQLIQVAPGIPVQIKKHKSYDKQYVVS GGDYCGQKELKILSNNDDKLNLQVVKLDEIELIQQDIPQLISQEQMLELIEKENDKFILR NLFIIASKLEWKGYNVERIAEKILSLANLYQGDNKFIQAWERLIDSRLTPNYFFPIEIPK TLNFPQQPQQGEQDDHKIDPFVEGVDNTIIVGSTYMQSLPEACIVSNELKLVQYWEKNIL PKIYDYVKGSLRPYEIDDFFEQIRQQLRKGEQNKALEIAYIVCDQRMPNGVVIPESNHDW STLTIEEVQAGQYVLCRLIDKTSEQLYSKEYLHYKQQGHTFICGQIIGVDQRSSSILITH MDINNSQLYATWFPVSALKQVQLYIPPNANAYQQETILKEYNRELDLELSKQARQLYILQ SQTINVDPITYLQLAMQFEGSCINGWLTEQLIDGNTKTNQKLNQFLEKPELIFSWLSKCF DQIHQSVNNNKLILDLVKSHKKPLELNSDLDIAGLAIVFKNDATLCTCSGINFYKDKLGI NDFYTISSGKETRGRLSPIVLNQKSVYCEYYFNGEALPIYQQKLCVSRLPCVVYKIPTLW NTVCYVIDQMSTIFIKNKDIPNLKNINHLLDKAYQSQPHILNQSIIKLLIRNVRKLQTIS EETLKDELLIQLINDAVKIYNIQKVEPNVLYSSYLQDISELLAVAIPNKTKIQIPDWINA YRYLIQIAEFIKCGKTLYNEQIEQINGMLQSNQWDQLIYMNQLPCDIQDVLQEQQVRILN PTIDIFRRKNQAIVLIDGFQQLNLENVEIQLPPEPVQDAVKLWQCEMCTYAENVWDSSHC SICENPAPANKIPYKGAEDQSQQQEQQQIQINVDEEDEKADRREKQFFEDLIERIKEKVK KYYADQQMEKEQKINQVNQAIEAQQNQLKQLNKYQEKKQKQLTYKSKLQQTKQPQQQLQQ QKIQDCKLKLQQLTSELQQIQEIKLQNNFQIFSGKQILDKAKAFLKEFLTNRLEYFRKQI NPKQLEEMSSMDAIECWKHLESLGYDFHYQLINNPKTIQILPIQQMKHLLKLIEFDICKE TKAVRTYNPRNIRNQIDEQAINYSDFINCLTKYQDLVNSPLLVIRQSWAIIKLFNRYLET CGQFINMDVPIGTSQQFQQNTILLSMGNYMQVLRQLCMTPTKVDIVQRVLNKTSISRDSP PKINVERIRLANRNGKANQQDFLFTMAYEQMKNIPPTLLRPIKPQGTDPFLAFEVNFKGE DVQGESGPYRQFFADISKELQSSSLLLYPSTNNQAKLGNHKDKFVLNPSARSNYYLHLYE YLGVLMAIAIRTATHFSLDLPSIFWKQIVGEKITFEDIEQIDATMCNLINFMQECPESTF EENIFENWVTLRTDKSVQELKENGSKIPVKYEERLEYINKLMEVKCTESELQCESLIKGL VKIIPSPLLNWVSAEDLELWVCGRPIVDVDLLKRHTRYSGELNENSERIKYFWEALYELS EQEKLRFIKFCWGQERLPANDEEFDRNQIRFMIKPSTVNTKQPNKALPKADTCFFNLELP NYSSKDILKKQLLTAINFDCDSMNADPRVNLDPNARHRRNRDEDEDESLFEEGEW >CAK78072 pep:novel supercontig:GCA_000165425.1:CT868296:162702:163223:-1 gene:GSPATT00013519001 transcript:CAK78072 MLTEVQGARPQSAKKRVKERSVLDALHRVREWRRIFEQGKSQQNTNQPRISLQEAANLVQ IPKKTLEDYVQIFKKVNLICRIEDFGNKRMGFLRKLIQINQAYIKTVAHIRKQKKNQFNN AKKEDPYQSKIKIEFEHNADVQNIKEEQLETVTIQEVKQEVKQEEQQPKLIFN >CAK78073 pep:novel supercontig:GCA_000165425.1:CT868296:163534:164073:-1 gene:GSPATT00013520001 transcript:CAK78073 MQNRLNKELQDLTQTSPLHGVQITADANNRLLWTAVVAGPEGTAYQGGKFKLSITFPENY PFKAPQFLFTTKIFHPNITEKGEFCEDMIETKDQWQPTKTVKQVLEKILNIMGQVNTEQA LNQKAMELYKSDKGKFEEAVRSETQKYAQ >CAK78074 pep:novel supercontig:GCA_000165425.1:CT868296:164741:166563:1 gene:GSPATT00013521001 transcript:CAK78074 MKQSQMNEKDYLYMLQSQDVYGKEDYRLTNQTGCTEHSKSQSLCFDQVILGSSQILNIPD LLLDKYQSIWKKKIIIIQSHYKGHLQRKKYKNMKKWAKYRKNVYLELIMTEKDYLADLKS IIHNVMIAADQFQLLPENEIQITFNVLQGYLVQDLIFILEQFSHYHPNSCFGNVLERFIP YFKIYFKYYYEYKDYQIRDLRQAYPAFDNHLNILEQGNLFRGCDLNSFLVKPVQRLPKYA LLLKDLVKHTWKTHPDYDKLIKTLESFHSVTGQIDKQMGSILRNQALFDLQKKFFDVLSQ NIVESTRNFILTEPIYLLRQGQEELVQLYLCSDLIVLSKKTQVSEQRINERLLEYAFLDE KSYLEEDKNEVLQLAVCNQDKDDKFIFLCQDLEQKQRLFQEFFQIIKMICEKYFSQTISI QQEQKNQPIRVFVTSISRGQSRMPFKTFAKYNLSVVLDKKEYITWTRHKILLRIQKALKK QYKKEYLTEKDTNILFQDYIEKNLNKQNSDGRKVIVETFVETLLNSCYLKSAPESYLQFL GLPLGFYQNNQNVSQMKSTIVDSESSKSSQDS >CAK78075 pep:novel supercontig:GCA_000165425.1:CT868296:166839:168933:-1 gene:GSPATT00013522001 transcript:CAK78075 MQGNQGSQKKDIEFGSCPFSEAMKPPKGHENKCRSNQRSKLQMEVLKRKKRKKKSNPKED VHLCRVKKKEIRPLGHLEEQYDTYYISPLNYLLDTRGLWMLAFDSKEVKKGKALQYSILG PIKDRRKVFDTYPTYLKSTLFHDDENTKKLRQCEVAQRFFVYDKFREKGNKLLQKQEYDE AIRYYERALGCFRYLEVVEPPEEESDEEEANQQQDTSNMTEKERKELEDMKKSAKQYRKE QKEFKKQYKSLMTIYTDENVKYNGVEHIQDEADKEMCNSIMYGLYLNMSVCYMKMSHFDL ARKILDDAGVIQKENSQYLFRYSQAILYDKWSTYKDLLRAKELIEKAISLSNVENIFKQG PGILKLMGLENAKEIYVEHAHRVMEALKLKKQWVQEIVEPLFLRAKEIDEIEQEMIEDGK VPYEEGVTDVVDPDDLIQQQNETDKQFLYRVCMPQLTQEHQEYEIVKEMVNKYYRIIEFY AEQKKYDQVKIAKQELQRLLETVQTMSFYMNLDLIDYENDEILKELVTKHQINFTDRKYV RRLIRLCRENVTEIFGQGKFNFEVFEYAMTDYFKKKREQEEREREEYLKQHPEPVKPKQE SSFLKKTLFSTEFWMQMLVLLLVMGAMFYFNNNTGFIGKLFSLKK >CAK78076 pep:novel supercontig:GCA_000165425.1:CT868296:170362:175347:1 gene:GSPATT00013523001 transcript:CAK78076 MKKSFKEEAMPLIFYQQETQTYQVNPDAIEIIKNIPSPIGIVGVAGMYRTGKSYLLNRML LNRSDGFGVGPTVLPCTKGLWMWGKPLLGQTSDGESCSILVVDSEGLGAPDEDSTHDIRI FSLTILLTSCFIYNSVGSIDENALQNLSLVVNLTKNIQLKSGQSPQELEDLSQYFPQFYW VVRDFTLQLVDKNREQITSKDYLENALVIQKGSSEGIDQKNKIRKLLTTFFKDRDCITLV RPLTEESSLQNLENLDFDKLRPEFFEQVINLRKKILNRIRPKMLNGKTLSGQMYCDLIRS YVTAINNGAVPAIESAWTYICKNECQKAVAEAFDTYEQILKENLHNRFPISNEDLKAFNR SLREQAFALFKKKCVGDADEYKLELQRRIKQRFAAVKQENDREGSRMCSQFIQQEFQPID RKLKMGEYKSFGEYEKDIKMFYNFFIENGPRVGTRNQIILEFLQRALIEGSNLFIRQYAQ ETDMMKNVAFETQKKLEQELKEARQDSLKDKNNLLMKLAQVESEKSDLELREQVARDNLE ELKIQKEQVERDLKLESENEKIELTRQIQELKGQVLKAEEFNKDMERNTLFGNSEFEKER ALLEQKITFFEKLVNEMNAKEVDYQNEIKNLRKEHSLQSKDQQTKSDQTVRQLQQKLSDL QEKLNEMENELIEKESNFENDFKKFEHKERSLTKQNLEQNEQIQALTREIREYKRNEEQM QQMLKSDANNQVNELLEKVQGLEDLIKTKDDQLKQAKSHSEKDKALMQQKMEFMEVQLDE YKKQIEENKKSHEAIMKAFENSSNESTYKIDAAKMNDLREQHKRDLKNIENEYESVKKRL QQQVDQLNERNSELELKVKFETGDLINEIENLKEQLQTSEEQKNKLLEQNKTLDGQKLSI LKEQELRYQKKIKQLEQAMDEADTKTAREVNQAQAKAEESLTQLKNFYEIERERLERRIC EEKEKSDKKFQAAQEEFYHKLRETEQNYEEEIETLKDDLRDQVQQYTNTIQQYDHEIALK QQTIEIFEKHIKEIKEQLISLQNNNNTTLEQQMNSFTTERKSLISKNDVLSSQLNNLQKE HMALQQKKDLLENEKTRKEQQFEQSRKEWQEEKRELIDRLEETKQRLQKMNDEFLEKKIE YGRETALTQQQNEFLQKKIEDLQKQIDTQQSRFDEKIKQQKNEYSVELEQKLERAQEEKT AIETKYEKIKKQLKENEYQYNKQASTLEREKAILTEKLGQLDSRKNELESKIKDESASVA QYQTALREQIAAEKKSLQQELEKYKQFNLQLEQEKSEIHTSYERDKALWEGKFQFLEQQK EQAKQDLMDALKKFEMTLMHLQRARSNEKDEQENNLNELLLSVERKYQSQIEEANQTHQR IVQDYEDKIRRLQKEVKTHRDKILIDQHGKIGNQLLSEKKFAEMLDNEKRLQQEIENIKQ DRDYKIFEYQKMLEQERENLKAKIVELETKYKEVENKRSTLIFEFEKERAKWNLDRDHLN NIKTELSDQLEKLSDKKEQLLRENEKLKNEQRATRRSVAAHNMTSNNIKTGNTYRNPINN ISTIGLQKLSPTHSNNTSTSSANISVLKKNNLADITNYEKTAPLTQQSFQNSKFYYYGNQ QGQNDDSMIGQCEFQK >CAK78077 pep:novel supercontig:GCA_000165425.1:CT868296:175888:176701:1 gene:GSPATT00013524001 transcript:CAK78077 MDQNNNQEVQNEIQYPFQQMLSEDQFHLFENVKVKLIGQNGKEIEFTPNSGNLLITNERL CWYSLAQSNESLTNEQLKKDIQIEKTQENGSLVSNLNGKSFMFNYETLVSHGQQGDQFMC FLGSQEEEEMELEEEQEELIELIQQQNPAEIVNLGKGEYQIYFDLSKQSKETQNKINEIF TELATANVEMEDEGDEFMDEMITADNIDQDGNIIVKKKQQQEGEEIEDNDEDYEDVDDEE EEEEEEEEEDNQFVNKKNNQEQN >CAK78078 pep:novel supercontig:GCA_000165425.1:CT868296:176706:177758:-1 gene:GSPATT00013525001 transcript:CAK78078 MNFRFLILLITITFAYDKVKAESQTEKSITLEDETLIQDIKFSEAFLEAPVIYITLTKLS MAGQKYGFEIDITDVNTKGFKLIYRKYINDPITLQVQWLAIFDPRVEVAYYTSFKQQLIV PVYEDNFAYSIIGLQGSSSQVGIQVEKIDNGNAYLQVTNSVKMIKLCIISGSKHALYPKF IPFSVSNNHPWLKEQKGKAFINFSYDLNVNQPILIGGITEFLFKSQSIKIQLQNLKYDDK VLAEIGTWNDAQLVQAQVSILAYIIDEDLIISDKNCVELFEQCYFQGKSTKICKEGDAQS LEKEFKFRSFIVPRYKQFELANNKDVQQLMGIESCVDEIILK >CAK78079 pep:novel supercontig:GCA_000165425.1:CT868296:177801:179519:1 gene:GSPATT00013526001 transcript:CAK78079 MLSELFTFYKEKKDNSYMLFGKQNNKEHADMFTTFRTQLKPQFRSIINHFLNKLQLKQNA QQIIKQNLSSFYIWKNQKQEVEVFYIDASLTSEIKYKNQISDETYKQFYEILLGLSNLNI QDVQQEIITTANFFKEIDQLYHNIAVVIQKEQKKGNTDQQFISLKILTRGSFILRPSGDL IKLPKISNDKYNFNHTYIYISTRIPFCNPNLGDLDQTVFRYTGSIDQQTFLPNGQGVLDC DETFQFQCKFVDGLATGKGCLDLKFQKQKFEGQFQNGLKHGESITSNPNQTITTGYYQNN MKQGIFINKIQNGSIVKEIYYKNDLTVKQKDECKFDEKTICTRYLVNHKDISINNHFFSG LTEEKWINQLLVDYYLRLLSDYYKTSSKAQIYLFNTSQSQDLFTSQISLVDQKSLKVPQK HEQVINDFQKYNKIIFILNADQVHFLVLVYQNKSLYMLNSYATQNDQKILQAVGSIFPIQ LNLQQIQVEQQQNTYDCSLYSIYNVMLQYKYYNLDVEKIDYRVSYKYIKKLRLHLKNIIS NDYAHIILQQD >CAK78080 pep:novel supercontig:GCA_000165425.1:CT868296:179551:180283:-1 gene:GSPATT00013527001 transcript:CAK78080 MNQKKLQVEQKSPRLLIFPKSKQNQVNSRAAMNYFTEMKKEHQQINQNKQVLPPEFYISL LSLEPKQSQLHTPNIELIRTLIDSYLIIIEQLQGQDGLMIYFKDKVNSLLEQPQVRQCIQ KSDDAQLQQMLDKSQQSYCKQTNVEEMEAYMNMNKPQVRNSLATFLTMHDRLEKEYDKAQ QILQDYDDHVAQFDELMQKDLDNQKNALEDRLKKRNDNKKRYSAHEQRPNPTNY >CAK78081 pep:novel supercontig:GCA_000165425.1:CT868296:180292:181314:-1 gene:GSPATT00013528001 transcript:CAK78081 MNINIKIQNIFLNMGKRIRTQRKGKSNSVFKAHQNQRVGSPQYRHLDYAERHGYVRGVIS EIIHDPGRGAPLAKVEFNDPYKYKKQTKLFIAPEGAYTGQYIYCGAKAQLATGNVLPIGS IPEGTVVCNLEEHPGDKGALGRATGCYATIIGHSDDGAQTRVRLPSGTRKTLSSLCRATV GLISGGGRTEKPILKAGRQFHKYRRLRKVWPRVRGVAMNPVDHPHGGGNQQHIGHPSTLS RYAPPGQKVGLVAARRSGLLRGGAQLKQMDEDLAAQQAKKCIFYLLLYNIRNLQMHYSHF NEKQVIIKIKNK >CAK78082 pep:novel supercontig:GCA_000165425.1:CT868296:181315:182154:-1 gene:GSPATT00013529001 transcript:CAK78082 MSCQRITVTKRGVELQEQLKDILKKNQKIHKIEHQRGVFIQQYYVDNSSDPLKRQNIGKE QFGGYLELLEQKMNSPEKIKVFLNQRFSNQPKFIQKEVEKVSQKIYNYGNSQFRSHHSLP SLDFRQVQHLKTKYSDGFWNSQNLSMTGNTFFKPKDDEVKTRKVNYLMFQEAKIERMITK YDKFNEKAQFIPSFEKGKQKNSNLATELLKAMEKKDNENKSGIHSRNQLETCLKSRFNGI QQLKKGAISSNYDRHHWDNLRVGK >CAK78083 pep:novel supercontig:GCA_000165425.1:CT868296:182846:183205:-1 gene:GSPATT00013530001 transcript:CAK78083 MIYINETDPHYVSKTIKSIGKSAVMQLMTRHLLNNNHLINWSCLNLSRIYQQANEAAYQL FIKIQLISRKIEISSLLSTNLKIMKNLICYGVEGTSQFQKMYDYGRLKIQILLRKYKVV >CAK78084 pep:novel supercontig:GCA_000165425.1:CT868296:183585:185290:-1 gene:GSPATT00013531001 transcript:CAK78084 MQEEYQGYGIDLGGRYTLLAKCNLFSADVVLNEGSRETENVIVFQTHERFFGNTAAHSYR SNILNSVRGFQKAHTFQYKGQSIKLEPEQQIGAFLRKLLRDCDRTIVISYPDFYTQFDLI RLWRAIQISKVKVMAAIPENMAISTYVNYCQNNVLQKEMMIIDFGHSKFTAFLLSQEQIL CEVFDRNLGTFQMDNYLAEWIINQAKITIDKSSKYYLRLLDTCESIRRRLSANKDTHIDI EQLIPDVDFTCNLTRENYENIIQPVLEQIQSLLFNLYHQTQNYNFNLIVTLGGGSRIPII QQCIKTIFEGKQIQSTIHATEAICQGCAVSNTLITQRKDQLKREQSMQHYLNLFEHFPIF VGIKPQDQNNNQMHQINLREYFVLNGECLRFKHCLIKQLDQFRPYEISLFYDPPPIGCQA LIKTFLITNARLVMFYYDDFGVIQMSADNKIAIPIQDQNAGLMEMIQIEDQLELDDSNVQ LTHDLRYQCERRINEVKQQYKTKNLSVPQLMKVGELIEESEQRVLDQSLTAQDVQNLMNE FELQYKNIIA >CAK78085 pep:novel supercontig:GCA_000165425.1:CT868296:185295:187145:1 gene:GSPATT00013532001 transcript:CAK78085 MINNNDSDQELMQQILTLYADREEKINKNYHIHSKFNCDCSICHHQLMTDNQLKKDLIYD EFYDQPSDRYLQDEQTHVKFMRKDGGSQLLPQIKDPIDFLGLSIKMMQPTKNGKTLNLRV MDTLFFYQREPCVLVYHDKDKGLRIIRDRHLLTNLQELGQFLVKRRTEYDIELRVATEKR ENKDFNNLEFDQKSAEIQQGIKKYVQNIGIQKDFILVKFKNGGEQIMSQLAAMQLFEKRK IDQIWDTIVMIQAYPYDFYGKLIFKAKENPEKFENNRLSKQSLSKDTKKFKEEDKPTEAK QSKSKIADDSMKLTEEQKQKLNELNKTRYQRITYIKDDIKYQDQYDKALEQICQINNPNF QTGNHIRQHELYLKELKKKSMSQYLYYHMYQIVHFFEAYHQRKIMKLRLDFARDDFDNLY IIDAGQLQFIDVRKIEWDELILKEISLINPDYKQLVLREIEEKEKLQREGEVVVNHQRQK YLKQAFDEVVGYFREQYSKAIDKCESELQNQPDIDKTDEIFKKINPRADLKFSEMLAIHK PDEIIRQKDLLSNFRIELSKIFESIEILSIIQRYKKQLRLSASKLSAVDLRSSSKYAFTT K >CAK78086 pep:novel supercontig:GCA_000165425.1:CT868296:187179:187574:-1 gene:GSPATT00013533001 transcript:CAK78086 MNSNNSTVSHLSENYETKYYPNDQEKENKNIQIPTFKQYYELNNTTTLNTITQKLTNTIS EIQETENSLYETLQKTEITEEQKQQIKLRIIKLQMLQVVAQFKTETKSNLSALQRELREI QNYILHELLQF >CAK78087 pep:novel supercontig:GCA_000165425.1:CT868296:187614:188715:1 gene:GSPATT00013534001 transcript:CAK78087 MEITVMEIMDMEIMVMDMVIMDMEYLMVEADPRSNSIQLLNIEITRTYILIYEQGPGYMH YPGVNYNEAHGKPYDWRDDPKYNPDYITPTKHVGCPDPKTYVWPFEGKLVHFNPSEALPQ DHLYNPSLLLKPENKQIGQPIQHLTAAQQDQDADVNHEFDYESEDCDFQTESFRTQHFRK RGPMWPWAAMATMPLVYFWVEFLYQRYPDEDHWRITHPPPLNFPDSEDTDDTDTYQDYHS PSGKFLRDIGIIGDLWFDIKDGKKVMNKWSGCNQPLPDI >CAK78088 pep:novel supercontig:GCA_000165425.1:CT868296:189123:190373:1 gene:GSPATT00013535001 transcript:CAK78088 MKSISGVLVILLLSQSVFCSPKKINTDFLNLLSSKTNVLSSQDAIQSVLILLEDLQGANV EAQDKADLTFQRFESAILKDVNEFSGIVNVNSKSAAAAQQDLEAVDLKIQQTTDYLNWNN KRYKANEVKLENLAEQRCEANALFIDTLREYKNALSVLDWVRSDAQSKQSTFVEKNHIGD YAEKLSKYANLFEEQAVQDFGKLGEQETSFAQTRSHGNGEQLASLVEKDVVGIIQQLIEK LRDTIKSLEEQEIQSANDFADFKTNLLAEQESLKQEYDAKAKFLNSLQNDKELASDILTK KKELQEQSMRILSLTQEEYNYKKKLYNSEKEKRHEENQLLEESLLIYREKIATVNEYLKK RVNEYVGDSLIEEHAVSNQAPVQNRKGKQ >CAK78089 pep:novel supercontig:GCA_000165425.1:CT868296:191290:191818:-1 gene:GSPATT00013536001 transcript:CAK78089 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK78090 pep:novel supercontig:GCA_000165425.1:CT868296:191818:192089:-1 gene:GSPATT00013537001 transcript:CAK78090 MNKSDNVFQMLEEPVYILQERQQQQKTLKALKQAKKVLKQDPDLSKGRG >CAK78091 pep:novel supercontig:GCA_000165425.1:CT868296:192094:193944:-1 gene:GSPATT00013538001 transcript:CAK78091 MKSGLWDNMRKMIVVFKITLNCQEYVLWECRVQERVVYWKTFVDWISYLGVMVLQLVDPL ELRLVHIQERLQPWAIFKEVPEKKFTDFTKVREEIDRLTDAKAGQKKNILPDPIELTIWS PDCPDLTIIDLPGITLIPLRDSDQPHNIEEITLNMCKRYCEDERTIILCVMPASQDITTQ RSLKLARQLDPDGIRTIGVITKIDIMGEGSDASKVLLNEEVKLRLGYIGVKNRSQQDIIN KVPVQKALRQEEDYFANDPRYAGIPQDILGTRALTEKLTTVLYQHIRKCLPDIIKEIDTK ADECEDKLKLLGTELPRDQKDKVQLVFNLLQDYTDGFKNTMDGKYDKNEATITDEEKKIE LTGGAKIKQMFNELYQEYADEKYHVTDCYLDKDIELADQLHQGDSIPGFPSFDSFLYLIV PQVQALIRPAQDVLDQIFQYMDEISQQILRKVFTRFPSVLDEISEMSRKVIESQRAKAEQ VVINQIDAEMNYIYTNDEEYLTTKADLVFKQNTKTVDPKKILVRELRNRVDLYYKILIRN LRDSIPKYIGYYLVKQTLDKMQMGFI >CAK78092 pep:novel supercontig:GCA_000165425.1:CT868296:193973:196736:-1 gene:GSPATT00013539001 transcript:CAK78092 MKTLLNNKKQLGQQETQTKSIQKHESAATVSVIYPQLKQQNRITSAYYSAKLIKGLPISA QPIARPIKKVPQLQYPFFQEPPPMQMQSIHDEDVQQSKQTQEPEFEIRATTAPEGAQRKP KPTQDFRQLIQRVPQVIKMEPTAGQFVIQNKFPEYINDFDEFTVNPHVHKHMERLKSSIR LVNYQQLPRNQSSKLERQRELIEKTISNRPVQSQQVKKRKLLPENRPKTTNYKRENPLGD CWKDLAQKVVIQANTANKPRDESVHELEKSLTHLDLETNVLTQKEKEYIKKKRKQFLDDL RPYLEMKQAQAEKKRAMAKREVLLDKFDQHNSLLSELEDDGDLAKEESFEMLDDEIQIFN KPLVEQQNFFAKVDPINRSFEQQLKETQQKFEQMVKEDNKLYEDAQKNKIDKPAPFLKVG DHFSLDEINLVLRELKKSVDDRNYELLDGICKNLKFFWQFSKQTRILLLKLSSLVFYKCG EYIFYEGDVGEQMYIILNGGCHVRIKNPHVADCNPIVSTLYTGQQFGELALIDPNTKINR PDQNQLHSNSKYTLNMIRGEMQRSEQQKEKAKNWWKKDYNEEEKQEQVKIQPKRSASIEC AVDTYLLAISRDYFKSIILNVITSELEDKLKTLLTMPCFDFLSQMELIPIANLLESETYR LGQVILKEGEPQKYFYIVASGRCKCVKEEVFIRDLKVMRADDPNKKKPLKCGLIDYAQIR EDKKMRVKNTSLDLENVPGLGIPQSFQYQEIYKNKEYMAFKYHFLYKEFVRGDFFMGRVL LNEYKLFDEDIMEMKYDLSERSRLTVISDAAKTEVYKLDKGLFHLVSQPLKQHLIDGIKR KPEFDLIFDAEEAKKVKKWDAYKMNLFKAVMDQKELKN >CAK78093 pep:novel supercontig:GCA_000165425.1:CT868296:196788:199094:-1 gene:GSPATT00013540001 transcript:CAK78093 MSKSQPIFIYGITIKDDRGKLKNCQLCGAKFTMSVKEHQCKRCKRAVCDKCAPNKAQVQK ADGLSKKTHRLCNFCKDESDSLKRFLEQYKISFNKDSFSQQWLQSFGTDTTKAKNDFFNA LDDAKLSKDTNAYDIFKAKLEVVINDIDGFVNYSIKDFMVAALKNVESFRQKEVVKTSIL RVAGTLLLLYPQIGYSHEIVLITYFLLCFASEASAYILLTAIYAHILPSQLYPKANTKYD LANENSIVVGVLKDALQVDLNDMSVIKSFLSQKLRQYLVTFSINLFLFETTFFIISSVLM SGSNGYMDLLAQMAVLCQLSNQEMQKNKFNHEETELFMLRSLRTNQLSVLYKQTQNCDFE SYKKVYITTRSDSIKVDNLNLSARQSMIKPDMQKVSEINQKLQEQVDKRDLENKKLNEEI IQLRDKVKQLQKENSILLNQQQNNDSEMYKIKLHEMRIDNSELKSQIEQLKNEISKLKLQ KDDDTRWNATKQKTETIDSDYENDLQEISQFKLKYEQQIKNLKKQAEEKKLQNQPNTMQI DELKESYENKIKLLQKQVQQLQQQDNIEEIRDLKIQNQQLQKKLEKMKDEKSKSELLQQK NDEIDLLTELNEELTKENQRLSKQFRDLKSQSGTSSAQQIKVLEEQNKLLEMKLEQYNLL IQELMININKVKLEKQKQLEFSDLKLKQKEDLEDVKMVAEIRTVQTQLLKGMLKENQAQL SDLIAAITQMRQILEKSKTLKL >CAK78094 pep:novel supercontig:GCA_000165425.1:CT868296:200731:208887:1 gene:GSPATT00013541001 transcript:CAK78094 MVRQSESTQIVKMKMAQSQLKGIYALILYRFLDPNAYYMQIDDLRKANNFRDSLFTILPR GTFELHDEYLKEKKNQTVDALKSRVSTEASQYYTIIQSRMHEEILLGAEVVFKHVDSQRY LFGSYDCSEVAMDAFKLKLSPQLSSHTIFKLAPYQTYQKEGQIIQIDEPLIIVHEKTQCK LDYEKCRMFLDLQMSNGLNYKASQTESFNKTFKQPINTRDEAVVSLNSDRQWKFQLHEQN GKIIPNDLCFFIFSQDGSYLTSDGRRLLLQEYKDNEYIPLDCVWELQLYENKYVIRSQIS GFVINSNSQLSQYEMLDDQHLQIEPAKLGTNEVSFNMFVKIKFDNKELQKSNVQRCKTKK LTITQLEIEKYQKLLGQEDLDYVQYNVQFLPTNSVHGFQIKRLNEDLKKDLLLITSSHEI LKQFINSLQLEDKLQIESIINEKILTQVLKVIQKLIAYLLNCEYMNIDKCENLPIHSRQL FMKDLRMVEIIIQIIFYFWEKHKTMSLFLHKNYEKDKLRQICIYSYVLIEKMARNNSSVK LYISQWLEFFLKQAIDIDDEQIQISLAELLNDNYYSINKFVQEATFQNLVKNISEKKNVH EKHLRIFSSIIICDGKPIIKNQIELLNKFFKPQSSDQNFYIFKFLFKEEDQKIKVQHLNK QWFTLKEFHDYSQMQDDLQTWNYFLAYINLLSDICQNRNKQGTNYVHQFYELSVLSTILQ DPETEQINAIDPFLKLIHSAFIDSSLFAPIRRIARVREWAKIKDKTDIIKTKTKVNKDQK RVLEFIQDYITKKFNQNGKEKQYFKTLYTVLYVLKTTIRLGYWEKIDDLKNLLEPLFNII TFKQNQSEQIDQSQNSNHQNQISKIIKSQNNFQSQKKRFIEINTENMIMLKCKQISCEIL DIIVDMETNVRVSISTINLKDFFEKEDNKKNESKRQLNEVENEVQMKNSIRRLSVQESQE KVVEEWKGKFSKLIKERDLFGKFSKDIISKFAELSKYDNEILQTYSIKLLKRIYGYRKEL IENFDKTIIVNAGFTHKLSEDSRKTRTKLLSFNDFNILNLNLKNQSEYNIYLWVDKKDTK ERGTGVIQDLCWLIECLKSGDQVLQENVKVNYDDFYAENRLQQVYTEKEQNFKLHQSLIK CQDLHENILVFINIATKMINEVQEVSDKQENESNKLIQKDQQNTSDDKLIMKTLYVCFQF LTMLLWNHHENKQDSDFIKIIPNLFQYIKYNLFCIQFLRELFQNNKSLLFNESKISQIIN EVVKQCNALNFDQYYKAQLIDFLRILTIYNNKSIKINQNLIMAALQNRNFQRIMILFDNK DSIFDEIEKLIADYQIQYFKVLKNSNNKDDNKFININPKLTYLFNYFQLLVLLISNKNEI NLGKCKQMHSHLKLIRLYQRTGQCWPLKRYLRAYINRLYYEHNKDFIGICQNLIEIDLEN ILNDLKDILELRESGCDYQKIKLSNPTRFSYLCSYFYMTLEENLVSLNFIFDQLSFFDEL EDTLKLNQKKSEPQLKQHSVLLEFGNILLKIEQLWSESELITNLVKVLMVVFCNIYKTFD LHTLILRDVLSNPKPFHNAIIETTCIHKKYINGKEQFVQQELRKQTPHINQSTMLSQKYQ ELQQKWFELYGNRLEPNNAEYNDQLKDILLNEVYDFANIDNQIRVLKQKNQWEVEKKKKK IRRVYEKETKINMDLNKRYRNLMELFLTDKTINVYLEEEFNSFCTDLDQIKYSDKLALQP PITLKEFIQNIIHLNLNHYDTLSQDIQIFFLKALEKIIRKSENESNPELQNKMVKQQQNF LLECGAAELICKFLRIPNLELRQGLTNSLISFGNAFLERGNRKCQNEIYQKLLSDSKNKI LINYRQLIRRVSRAVYNNFKFRKNKPHSSFPNIELCDNFDFYDELTQTTSRLNHMEKDDA REEYIKEEFINLLNRSFRFLQLLCENNNVQMKNFIRQQIDPDLNPKIGSINFIELATSQL RVFCKAFNSKISEVPIYILDFILEVVQVPCLENQITLCKTTFFEDVCYLVQQLSIRQNQE QRGLLSKPEGMQALFNIYNKIIIIIMSVLEGNDDRIQEDLQKKIDPKFLIEIVRQYLQNH NPKIQSKEDMEKALEEQSLQKYDIKLDKNNEQDQQIQKKNDNIEKILNVLIIKEKIKFQN FQLDESSNYIKEIMKFLETKILRIEIIYENKPQTILYPSRPLFTFLSDETRDIIMYNVNR ETQRDKINGLLEYRNQIYRELQYNYELSKREFLPITSQYIQVLRHLSAFFSISVNILMIL FYNMEIYNFQVLLKAEFYEQLIINVLSFCQLFTTLVYYICYLNYRIPICIDKYRPIGQDV SSDDSDSELNQEESDVTDNEQSGGLNEIKEQIKQSEQNQKKNESKIQLLSHILSYILDSA KKISQQNEDFIQITFYLVFSILGTFYKSYFFSLHLFDLFSRLTLLNNVFQAISHNAKQLI VVSLLGVLFIYVFSFTSFDQYADDIYTEKQPEEHCETLISCMITLVTSGVIGTSMSKWDF VKFCYDTLYFVFFALLFTNIVSGIMIDTFAELRDQRQKIDDDKKNCCFICGVKRAHLEKN LEQFEQHVKDKHFLWNYIYYIYCLKLKETTDYTGLEYAISEMIRRDNISWQIYFFDSRFP IQFEQEANQDKEIDVRIAQLEGEMREKNDRIAQLELEVKNKLKKDIPSKLENLNQLIMQM QSSKKSN >CAK78095 pep:novel supercontig:GCA_000165425.1:CT868296:208920:209639:1 gene:GSPATT00013542001 transcript:CAK78095 MNKMSCLPILKKVSMNHNHTHLESETSDVERTTSFESSQLGRMIEITSFRRISTSSKGDN NQSPRQQRKNSFVTFESNNITISVSQIKSINDPSLYVKMNDLQNRIWKALTKFTNAQLES VFLIDIIVLHNYTEFEFKFDLSQLSCFMTIKELREQIHVIFYQQKNQKIKDPQLYILIGV IKTQKLDSDIRLFELLNILLNGKKTLILQTSCQNF >CAK78096 pep:novel supercontig:GCA_000165425.1:CT868296:210201:210711:1 gene:GSPATT00013543001 transcript:CAK78096 MKKCSLALQTSMLFDEQEDFQDHDGDGLGPWFKMKEKKQLVAPLQPIRIPQVRIKSQQLQ KRVLQIKTERYHTERTLHVNEDPIRINNIKQNQEYTDSSSPVEQIIKKHFSNKQSVFFPK SQQSSFLQKSDRSNRQIRVKKLKICNSDIPLINKYNYFSRLL >CAK78097 pep:novel supercontig:GCA_000165425.1:CT868296:211163:213371:-1 gene:GSPATT00013544001 transcript:CAK78097 MNQTFSKIRPKTTDSHTRNSSHHQLISQLFQQINSYYEQDEKPRPKTQSRQRKIIPLNYS QSNTYDPTDNLIDRLYPTIEQDAKFVFIKTRERALRKPPSGKSRKKMNDEPKFQLNPIKK TTLIEEINQQTDPPKKTIEQKTDSSFYLLKQLHIFIQFYTYAQDNEKRQNEIIQLQFQLT FYFAIKYTCQILMSNPSTLLEYHPAKQPNPNIIKLLTQHQDLFLIQNQQPSTLGVQYPLK LDAKEMLIEVQPDQKFTHPKYYQKDNKVQKITKQPAQPASTIFVESGLCDPNKKAWFYLD ENQKSQGPHTSAEIDQLITKGTINMQTKVALETLDKLVRVEKIVQAVNKKKEADSKLKEA QKLKQEEENKQKSLDSPTKVEKQEEPKVQQSKPKEEQGIDFEIDYSKIKQNIDMGQWAKP LVAQPIQVKVTKAYTNNPQQSKQNNKPTERKRSDNKSMHVEGNKGNLYQKKGYEKQQEVI LEEKPKEESDAAVNQEVQDYLKKLETRKPEKAEVQQQPEKIQQQKTQVQQYQKLKTEPPK QEQNKQPKQQQEAVDSADEWEIVGAKKNSQPKKEQQQKQQTKVEQPTTQKQQQQQQQQQQ QQQQQQQQQQQQEDDDEDEDGWHTVGASNKDKKKPVNKKIQQKNSNIPGFAVEIKTDSVT ISSGSAKKQPPQKQKKPEPVQQQQQQPTQTQQQIQPQNNQNDDDDEGWIEVEVGQAPKKV AQSKKGK >CAK78098 pep:novel supercontig:GCA_000165425.1:CT868296:213453:217689:-1 gene:GSPATT00013545001 transcript:CAK78098 MEIFTFDNCDHSKEFQLLVQYYSVFDEDVESSIRTKSIVDLLDAGLEIQEKFRNKAKQEK QTQKNFKTPLDFLRDSLKNKIDNTLSFQQILIFSNPQVVKNFGKPKVVHHNGEFLLVGGS LGNILYYSQQQMDIIIQQQKIGAVTALDSLNELVAVGYESGWISIINMKKKKHLCTCQNI TKSKIIVLKFIFQSKKFYNVVTSDDVGVIRIVNFRKGMLGFDYEIQLTIPRQQFPMLNIV VLYKNETKEFMGDLYEKSSRIIGLCSLSKFYLITVYKDDEKKLKSIIEIENPHVSAPEKP FYCYLSCGYGVLPPPNQEQEEQWKEKRLIILLCWNCHMFILIRSQDQARYMTLKPLIFKQ QIHAAYFVEQSIIEVITANQNIYLFNTLHLELHVVPKGIEQIPYFIQKKNWPDSPFTSLY QVEVLCKDEGIAYKQYPLQYQIYTLNKQTRQIHILVEGSIVTGSINNLEQSIDIFIQNKD WAKGMLLILALMDGSMKSTPIKFNMQVLKKKVLEIATHYIKVVLEEIKTTLDKHKTLIYT PTSLFGHQQDVEKVKTLLSMLVDFLLRVSSDILFNQIYSIIRKFLNGDISIICNELSLYL KNGKVKVIDANEQTINEILTYYVQKGEQQCIEAFIQKIDYSKINTEQLIQFCLLNKLTTS LSYVCTRSGDFLTPLAKLWGIIQQDIKKKDPSVVIEQGKSIIHYLEQTLHIEQLIKEKSD QISLWLFEVPTLFLLMSIEFGGCVQLCYKFFIPPYDQFLDKERLKKYYVNMTQAFQKLKT IKDQNDLKFQLTQLQIKTLPDAIGWDKMINQVGRSIQASILSKGYTEQLQNKQLTELLLD LVSEHRHLIFLPLQCLPLPNILHNDEPTLKYCLIYAYNQFIINLFKKYTSVDKEDILNSM ASDGQFDWVKMYLNEELKSYSRALDFRIKIKNQLQQFNIQVKFEIFTWISKILSRDPPIP QIDSFRDRILHHIGSLVSYSAIHTRSLISKYFQANDISILNKMGELPKLQLEYLEQIMQV DRKQYQSNTDLLKLYIKLLCQIKPEKVLKELQEQEYPLDDIIGVLKQYPVPDALVYLLER SGAITEAISVKLDDFIQKIQQKAFLSKSEFYLYFLEICNICFRNKKLDELDESWDMVTQC ILELSQNRYTPQPYPSYLEEYIPLLLKKWAECSHLDAFLHKITTKYMRLSSAKLKMTFLS MYTHINFSTTVYTKLVDINFIKCVQNMRTLVHLQLKGQGYLPGCYLCYNYYDYDQQLQPI IVLACGHTFHFNCLNLDEKAQYHCPVCLKSPRIAIVHLLHQLKSKKYLLKSENQQQQQNI RNQNPLVVNIIKQGQKKQQEIDQNLKFTQNESEDDIKRMKQMEKLKKFEQQKLNRLERIC QGVMPY >CAK78099 pep:novel supercontig:GCA_000165425.1:CT868296:217753:221497:-1 gene:GSPATT00013546001 transcript:CAK78099 MSSQKRESKSPLKSLLAVFQGGGGGATKVILPPNTQIVFNKEKGVYEYPGKEAYSEKKPE PKFEEQIPPGEVKEYLKPQNPHLRGKQQRQQKDHSIKQVPPVAIPAQTNNNQTLNQQQQD QPKQLEKQPEQEKQLEQNESSQQRNTQNNPQIAVSQPKANQISNQPEIQQSNHHVNNQQL VVLQQQVLQLATENKELSSQLQELCKAYNQLILNYDSCNNFIQTLPKALLPQNYMDNLDQ NMKYLKLFQPQNISLDLQQFNLNLLKNQTHVFVPPQIIDFPISSNEYLTQIIQEQNEELS DMTELLEQQQQEIQNKDLYVQQQQLLINDLLRKLEEFVNCTNAFKKQLESQGEEKKNYQQ YIKQLDFNIKQQDLQIKNLQKEKEVYKRQVQSLQRDKDMYIKQNEKLRRQYDEDIQMMNS QLEQSQLLINDQECAELRQIKDQLQFDLEIAKSEIQNLKQLVYEISTEINVGFKFLGSLE VRQIETKLDIQTLCHSYRREYQKQKLQKEQGHLSILQDYKAQIFQINLQKSSLESIVAQQ EKQINNYINDVVSSKKEIALICQEYEQKIAEVNDQKSVVLNELKQCEDQLFQTNLQLINQ QQEFQSQLRNKILEHNEQTTDLEKSLQQSKIEHEFLKKQIHDKEKEIEKYSLNSKELQEQ IIRLDQEKKTLQHSIQNSDKLIELEKKQLEQQRDQNQHKILELQTQVEQITEQLSQQMNL FEEQQQENQNLIEQIEKYQQKEQEYDFILQQQEELSQTKQQLQNQIQQHENQLQIVQNLY DELKISHENSKHQNDSLQQEIDLLNQQLLDSKNEVEQLKQMQQQFENLILQLNEQIEEQN QNQNQTDLQQQLQEEIHNKVNQINQLTEQIEELTENIRQIKDNNAVEIAQIQQQHTIELN GKKEVIIQLETSLHNLQHQNSVNSQELANLQQYAQNERSQQQQETEIEKQQLKLQITSFN EERVTLNSQITQLSQQVEHYSNLNSQLTQKIEEIQQKLSDQQSQQQPSNKVDQQEYRNLE QENQDLTDQISKLLEELGVVEQERSQKEADNEELKIQYEQALNTIAELRSKEYDVSQLKQ SHNQFQTLQDENTNLKQLYAQKLKLVDQLNKQIQEQEQQMNNYLQNEQEYQKLKVKYQEL IIEKDQLSSQIEKQQQTSSKIEDTKEFIVEQNLKLQRMEQEIAGLNSKILQLTAQRDEYK EQLEFKQKNANSQKPSGFVGKLAGFFATESELKKMTQGQ >CAK78100 pep:novel supercontig:GCA_000165425.1:CT868296:221562:223199:-1 gene:GSPATT00013547001 transcript:CAK78100 MSIIDIDALIENLRCEYGEKHKNSPILEIDLKKQEGIEKKNRLLCGFCIYNFQGGVRESM GVSHVIQAIKEQYKNIEEYRFEQITSEKKLLEKIKLNLVDFQTQLYQILNETGNLIDQCN CELDNLSSNIQFNIMDEIDEVAQQYIRIKENKYNQNNLIFEEFHKTLTKIRIAYQIKFKN EMKKVEESISNIVHQFTQYNNRDQERENQLIENNIQRISRIKIIRDRPLQHNKISEIYCQ YCVALSFDYECKFLAISTQENNVHIYNVENKKLEENIQKLNHENQVYSIIFSKNQNWLVT GISTGGVIIWKFQDSRWEKYVELNVHRKTVFGLLLNQNEDLLFSCSEDESIIIWNLNFAQ NVVYKQEKINTNSGSIFSLTLNKSEDRLACCSRLTCVIIWEYSKEQAQWNYKQNIMISQF DFGYRISFYNNYLIFQPSNVGICIVYEEKDNIFQEFQQLQLNCSEPSDKVGLSPIQYNET KNIMILKHSQFVYFIRQQADKNFKIIGDSIQNKNNIHFSALSQNGEYLAWWNQDNKIVLF KLDYE >CAK78101 pep:novel supercontig:GCA_000165425.1:CT868296:223670:225367:1 gene:GSPATT00013548001 transcript:CAK78101 MNQNIQVCRMIDSPESIICNKHNEKVEFVLLNNDIHGNDKLLCKGCFYEFSGVKQVYSIF EAANKLQEMKNLEYQGQKAMILDQLQQIIIHIEDMITFQGSFQQYASNILEVMNNWKLEL GNKLIAISQYKFQDELDRFNDSTLTSKNDDQILQFIQQSKWQYSEKIQNNLTNIQLLTTN NQTFLNLLEFVKKQQEFESVQRLNQQSYYSDRFDSLFIAREDSNGPRVIFQSQNQPDHDM KISLKLSQTFPQKDTVLSISFSHDDSLLASACNNEIKLWQFQDGKVCDCIGTLEGHTQQI FTLAFSKNKNWLFSAGKDMSIILWKPKIFLFNFIITTKQQQLNAHKDYILQLILNNKENQ LISCSSDTKISIWSVNYRQNTIQFQQSLERHGGPVISICLNQSNNLLVSSGLDRQIIVWS QNNNKEWVFQQVISKLTNDFGYRISFISDVSIVWQSFKMGLTHIFKQEDGTFKELLDYRI QLSKKAENDGDYSFPSIFNSNKQILIQKHCNRIHFLTLNSQTQLKVQQEQIILNNQQSYG NLSNDGKYLVLWCDQKFLLYEICYE >CAK78102 pep:novel supercontig:GCA_000165425.1:CT868296:225622:226155:1 gene:GSPATT00013549001 transcript:CAK78102 MYINLTQNNKSWWTHTSLVPTETQNKVFNLVNGQSSFQNKSTLLTTYLSLEAVNRIGPAK KLAIYFKAGIVGAVFLGTRIASGSYYANSIKTEIGKLLDGAPVWENKFDVPELDKKFFFI DDDNNFEPSLWHHGINQIDKPKQFYKFE >CAK78103 pep:novel supercontig:GCA_000165425.1:CT868296:226155:227371:1 gene:GSPATT00013550001 transcript:CAK78103 MNPIDQHKLQFVLKDCILKLQFIGEITRDNDISSELAGYEQSKLLTDQQTLEVKYAELVA KRSTLVGISNRKQLTETQKHIQEVAQRLKESTKKLCRLFKENPNIDQDSLKVYEERAGLI SDLENLKTFIQNNQLNKFAQNVTNSLEEQDSLRKFAVREKELAAEIKKLQNDKTQEIKEH EHEKTEKQKNIQSLKEKLLYKTNRADLKKKYDEKVGTSKENTQMRVFEFALKDIEQQILN FTTKIETEEKVHKQLKDYLIRKEEESKKKTDDQNKYMDEKKQELEDKIEKLTEQKTIMLK ELDLLNRRFEKEELEKQERERKEIQEQEAKKLRELQQLRMENAIKLIQNELMERKELYGL GAKKKKPKKAKK >CAK78104 pep:novel supercontig:GCA_000165425.1:CT868296:227373:228008:1 gene:GSPATT00013551001 transcript:CAK78104 MGRMQAKGKGKGISGSALPYKRKAPKWLTLSSKSIVDQIVNLAKKGLNGSQIGVYLRDQQ GIPQTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDITSKFRLILV ESRIHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK78105 pep:novel supercontig:GCA_000165425.1:CT868296:228025:228994:-1 gene:GSPATT00013552001 transcript:CAK78105 MKQNLEEIAATIPNYKMVDGKYYIKTTKLGKGNFADTHLATLKENEKIVFACKLIAKQNI IEKLKKSNNPESRKEYIINSLKIEVSLWKQMDHPNIVKFIDFSETPNNIYFFLEYCNGGD LDMLIKDKGKLNEQETVDIFLQIAEGCSYLYDKSVFHRDLKPENILIHNGTAKLADFGFA KVIEEDKKDVAAHGTSVGTPYYMAPQILAGEDYCIKCDVWSLGVILYQMLFGVLPWKDTN SIMLLLNAINNQKIQFPNTNPVSQEMKDLITKMLQKKEEERSL >CAK78106 pep:novel supercontig:GCA_000165425.1:CT868296:230128:230809:1 gene:GSPATT00013553001 transcript:CAK78106 MSRSLNDDDKPLIPLLQATGQKKQEKLAYERQMAKITLLKQTEQKNLHLAKLYEMAADKI ENGILVKKQLQELTEEANQIKDEKMKRRKQQIESIKKCEEDFIKKRLTAQKLGQDKVCDF RDSMKQSQVKEYKSTMKMTLSRTRDCILQENQKIREALYQEEKERKKKKEETKKLDEMKS QQFYKERVSYERLIEEKLKKSREKLFKDEETLKARLKV >CAK78107 pep:novel supercontig:GCA_000165425.1:CT868296:231100:233225:1 gene:GSPATT00013554001 transcript:CAK78107 MYSIAFQLADLITQVEISSKIDEGKRQLSTLILGQAQQILKNYSSQQNQIKVKITQSLFN LQRDTIRTIQEVHDAFSLIESIKWPCKGQTYKQYDKQLRALEKQCFFWEQVLLLENRTPN STCQNDFKLRLNEWFEQFTNDIRTKNFDTMKKSKKGYLDTQLKTAHELYVGLGTERNREG ALQIYKRLAEENHPIAQAIMGQILMDGEVGEKDYDQAFNYLKLSADQGHTFSVYWASKLI LEEKVFDKFFEKDDQQSRKSSVTAIKTKDCDLAIKLLRKAAELEHVPSMNYLGDLYTSGL ELQNYSLEKDYQDAEYFYKQAQKKNSVEATYKLSLLYQEMSKTSLNKNRKQLIYPLLSQA KNQDYLPAFYDLGILLLNGLGEELQANPMMADLIFEQGALSGDIKCAKKLLNLRFQNLQR EEGSINDFLSLLDQLEDILKDQSIINYMRGKIYFKGILCEKNMQKAVDQFRIGSWRGCLK CRAQLEKIFKDKEELPTSSQQSSLQKLNIQGGFIDSVKQSDLRKAYQIQKGKVSQNNKSI PFEQENQSTRQDRHTIMTISIVKPNEVNDPDRKRGGSEYNSFKRVESSVMLSQLKLQIQS PQQQQQQSSTRFDQQQQSQYGSPRQPSLINISSNSSKKDRFNFSKLPSHRSINSSKYLFN QP >CAK78108 pep:novel supercontig:GCA_000165425.1:CT868296:233275:234110:1 gene:GSPATT00013555001 transcript:CAK78108 MESPKQKQENEEPQNEVQQPQTHLIQLDVEEPNEPPVKRPAPKTFGDLTIIFIFETIGTG LFAYGIVASNGSDILIAAYLYAAIFLTCKFTGGHVNPAVSFSFYCDDTISSWTLRIYWTA QLLGAVGGAWIAYLILGVVTSPSIKSTNIEWMLADLCGEAFGTFMFVLFIHIQVHEQTRQ TNNDIAGIAWIALALFFSRQLSSHSGGCLNPAMGVGLELFEAFWLNDTTKLANFWVFLFA PLFGAYMASIFYNSIYLPLLKK >CAK78109 pep:novel supercontig:GCA_000165425.1:CT868296:234130:234580:1 gene:GSPATT00013556001 transcript:CAK78109 MEQYIDHELTIQQFFKQHQITSPLLSQLKREFGIIKHPTFIMNNLLQIIQHKKHLTCIEA PKQSGRTMSCLLIILDQFLKSLDHFTPDEENGYYLLVTSASREQSAHCKTIVQKLPCLIL IHNFL >CAK78110 pep:novel supercontig:GCA_000165425.1:CT868296:234581:236097:1 gene:GSPATT00013557001 transcript:CAK78110 MLRNDQYNIVLPPCYITIYIVCHLLFYNKCFQFALFDDCNLATLYGGQHQLETVSKWISK NQFTIYIGDEKLIEVILGKAITVAKIEEDQEEQEQEDRVIQQTNNALPNLFYYFYSDFTQ QQIVLYITLKLNLVQGKTLIIVQNLFEIYYLQLLFQRCNIERYQIYNHENPKRLKYYTLS TFNTGVIQILVATANVFLDLENEFFERSKQQTKKSKQPYTLKKLDNIILYNLLPNELPDQ ILKSVSNTVCIASNNEQNVETVMQFVNTEYNNINCKEYPIKQNEIEAFRYRIEDTTKNIS KYQVKMAEQIDFKKKMIKSPDLVEYFQQNQKEKELLQDQIVQLKKKLNRSAIQLPQGPVS EYLLPEFIKKQRKMQGDQSKVKVLVRVHDQITDKLIRKRRLLNDEQNNNMLPEQEEKPRT KKPENQYVTINQEDEDPELVDSSRLRPISGKKTWKLKHGFKLKKRNKRLERKGVFTT >CAK78111 pep:novel supercontig:GCA_000165425.1:CT868296:236113:237605:-1 gene:GSPATT00013558001 transcript:CAK78111 MFFPFMFGIMFGDIGHGGVLFVLAFLLVKNADTLKKLPDFAALVQVRYLFLLMGLCALYC GIIYNDFMSLTWNIFGSCFENVPDSEETVYIKGCTYPIGFDPKWYIASNELNFFNSFKMK FAIIYGVSQMIFGILLKGVNNLYFKDYLSFICEFLPQLIFMCITFGYMGVMIMLKWGQSW EGRTDQAPSIINAMINIPLQGGSTEGKPLFDLESQESLQQSILFWSFLCIPWMLLPKPIV EVIQHYSGKEHEKKPSKALEPKDESKEALLPVQSSQKSINQSALAEELRLQLIQKEKEKE QRRKQLEEQRLKEQVAEEDLQNDQPQKLLPKQPEKTGEHGHGHDEFDIGELAVHQIIETI EFVLGSISNTASYLRLWALSLAHGQLAKVFFEKCIGAGIEDGNVIVLVIGWPVFLHCTIG VLMCMDLMECFLHALRLQWVEFQSKFYKADGIKFMPFSFKEVLTNQPKDQ >CAK78112 pep:novel supercontig:GCA_000165425.1:CT868296:237779:239017:-1 gene:GSPATT00013559001 transcript:CAK78112 MSFFRSETMAYYQIIVPKESAWNVFNEMGKLSMVQVVDMSPDEPHVNRPFYQYIRRADEV ISKLNVLEVEMLKYKIKNLKCSDYQQFLEKMSQYTKDISQSEDKWFDLIESTLDEKYSQL IEQIQNLEQISVRKNTLFEHKAVLIKSKEVLGPTYYTKGRNVAINPQIGGIPEQQKVAQP LYNLNYLVGVVDRLEANRFKRMVFRASKGNAWIVMSDIEYSRIDASLESGNLDSDKSAAK NLEKQRTVFLIVYTGGGQDFLRAKLNKICDSFNCAKFVLPDDPQLLVQKTLELDRSLDEC DNLLRLTAGKIKELLLEYAQIQPQLKISLLEMSKLIMVKEKALYTNLNYLYQKERIYIGF FWAPKHIEAELHHTDYTN >CAK78113 pep:novel supercontig:GCA_000165425.1:CT868296:239708:241583:1 gene:GSPATT00013560001 transcript:CAK78113 MEESKLRIAIINKDRCKPQRCALECKKNCPINKSEKLCIEVTKQSKTCTINESLCIGCAI CVKKCPFKAIMIINLPKDLSKELTHQYGANSFKLHRLPTPRPGQVLGLVGTNGIGKSTAL QILSGKVQPNLGLYKEPPTVEQILKYFRGSELQSYLQKVFEGKVKAVIKPQYVDSIGKAV KGKVGDVIKNKDKLNRADQLLKDLELNHLLDREISMLSGGELQRFAILMACISESDCLML DEPTSYLDIKQRVVASRIIRNQVRDNNYLIIVEHDLSILDYLSDFICCLYGEQTAYGIVT MPFSVREGINIFLAGFVPTENMRFRDYELNFKISDNLEMLENQKQKKNYQYPDMKKVQGE FSLQIKAGGFNNSEIVVLLGENGTGKTTFIKMLAGKDKDAVDVPRLSVSYKPQMIAPTFD GTIVIEILNSKTDVYKPLRIEDLEDNEVKKLSGGELQRVALILALGKPAEVYLLDEPSAY LDAEQRVATSKLIKRYIMNTKRAGFVVEHDFIMATYLADKVVVYEGVPGKACVANTPEDL LTGMNKFLNVLNITFRRDPTNFRPRINKMDSILDREQKQSGNYFCLDE >CAK78114 pep:novel supercontig:GCA_000165425.1:CT868296:241600:242584:1 gene:GSPATT00013561001 transcript:CAK78114 MQVGLNKFGFSFDKKIGSGSFGQIFLGTNKQNGQDVAIKLEAIANKHPQLIFEGKIYKVL QGGLGIPQSYWVGSEGEYNILVMELLGPNLEDLFNQCKRHFSLKTVLMIAQQMLQRIEFI HSKNLIHRDIKPDNFLIGLNQKNDLVYIIDFGLSKKYRDQRTNLHIPYREGKSLTGTARY ASVNTHLGVEQSRRDDLEAIGYVLVYFINGQLPWQGLKTDNKKDKYEKIAESKIATSIEK LCDGLPEEFSIYFNYCKSLKFEERPDYVWLKKLFKDLFTRMKFPNDNIFDWTKL >CAK78115 pep:novel supercontig:GCA_000165425.1:CT868296:242776:243900:-1 gene:GSPATT00013562001 transcript:CAK78115 MNPTVFDLFAQIKGLYTCKCYELLQRDDEFKKIARQSKISKSQLDSLEDKTALITRLKKI INESKTAHKDALLSAKKFEIATQEKEKVFNENSKLKNQIKTLEGFRNTQTNQLNEYQEKY SKVVDEEKQKKTELVEGFQQEIKDISTKMEEVSNAKHKSQAENEALKEKMKEIQEHVEKR DKIFDEELNKLDSQRKERETKIFEQINNISQSLGTTDNSEDLLQKIKEEEALVEAHLNPH LQKAEEFQQIIEKTNQQFNLYKNETEKLAQQCRSLEQKSQACQRKCEKSDIYIVDQAKEY QKLQVQYKQKQEQINSLLTLKSTLQSGLL >CAK78116 pep:novel supercontig:GCA_000165425.1:CT868296:244045:244923:1 gene:GSPATT00013563001 transcript:CAK78116 MISFLQNQEFITQIVGFNQFKINQTQHGILCLSLQQIGDDQQDVQHSIPDLDNVNIKLGD DEKKVNQISSNTVSQVQQPPHHDAIPIQPLPPKTADPSFLSQLFHCLFKGLAIFVFFIPE GLLNLTYCFIIVVILSAIDFWTVKNITGRKLVGLRWWSEVKEDGSEEWIYECQVANFIPN PFNSNIFWFAQFGVVLTWGILILLDLIGLRWFNAVLAMTAFCLTGINFVGFYKCRGEHQK KAKEYMTKIGLKAIQQW >CAK78117 pep:novel supercontig:GCA_000165425.1:CT868296:245353:247450:-1 gene:GSPATT00013564001 transcript:CAK78117 MLQRLPNKYKEDYYKFNQIGNERQTFIDSEFDYTDQNSQIQFLRIGSLGLNKNIQPCSEF KRSQYYQDNSLINAFNILQKDIQFVKNIYLNLNSLYGVWLNLQGEWHLIKIDEKIPCYLT GNIQKLATLECECEWPIIIEKAIVKVLGKNYDILNRLIEESIEAFMFMLIGQPIMKVSTE DISNLKSVIISQMQNHSLLFAVQKQNGLECGYVIQAIVKGNIKEQELIQMRAANRNSVIS GQQFIQNDKCVFFLTFKQFSEIFPELCIIQYHPTYQWSSKELIIQQKREFQQYVENTYCY DFDIVQDCHLYMSICQRDQNFNSNQLVSPIKYGLIRILISKKNDDKFEFTTGDYNIKQNV CLDMQELTKGSYTLFCQGYFYNQIENNPKLHQEQQRLFVQYFGSSVPDNLIANTTEFFES SKLMLASLAMNDVSKENTRTYEQLEQPEIQVTTQMNLGMLYFYYNNMGTTKIEEEVVFDK CENIIDFETMKVQNKFNVIVPPHNDYLKLYVYDPFVIFNEDQPIFQYSCNIKSLVHSTKK TFMTQSMNLSKVNHKQSIFESIANNPSLELITYMKENAKQATRVWNNNPIDVQMYIYQHQ EGVIILYLNNTQNIYEEKLTFTLDNLTINCTPSLRQGNQVYFQLDSYAHLFIYLNILDNK KPYSHKIQCIYTLYK >CAK78118 pep:novel supercontig:GCA_000165425.1:CT868296:247584:249786:-1 gene:GSPATT00013565001 transcript:CAK78118 MNINYLYQQIEDQDEQIEKATKVIETLTAEIETQQKEIIRLKYENEYLNSQNKILEKEKL ALQKESNNIDTIKKCEIRQKQINVNQKEKIEKFQTLWAQQELNYNNTIIELNENIKQLQQ QNEEKQNDLELLYKQSQQQQLAINQVMEILEQKEQELQQLNSYLNNQKENTDNFSTIYKQ DIENLKKFTENLFIQSDKNIIMQINKNKASKNNASSKDLNQTHQMAQQYKEEQKDESHIY DIILRQSKYINEIYTKLQNTISNEQLSEFIVQVNYMRQYFEIMQNKIYDINVERNIIHQA YVNLAQQTKTQQSYIEQLLTMIKNYQNTNNNNDVEEINKIEVLDIKILEPVNENMQRLLQ SYQSSLIAKDEEKMSLERQNVKLQQKIDQLQSQQQQQLQTSQQSQQLVSLLQNPKKQTKN NTNLNKMESLEKENQLLIEQLNELSKEHEETVKMLDKAITQLEQQTETIQKYEQEQNTKM KGKNSFIETQQPTTQVIESQIDKFLESCSLLPPLKSQTDSVVQKTNILIDLVTMLTDSQH DILQKLLVTFLVTRDNKSLQGFEKDFATLKSIRHNIGILDYLDQLECLKNDLLNIIEKEL KCEYAIPYGKSILIQVLNLIAQKYMEIVQKDTQSIEKQMKKYKNHIVIYKMYKQWKECIL DFSEIIFEIIESIDHIGQPQFESKLNQQIEKLNYNFIDNFDD >CAK78119 pep:novel supercontig:GCA_000165425.1:CT868296:250659:251171:1 gene:GSPATT00013566001 transcript:CAK78119 MNQEKTVEEPLLSQAKMNEYKEREFREYLVNQDVTLAIVKFLLALRNAPNKPDSPSQALI DYFSIHKDTRAHEEFEKLRSDVEQLEQENSQLAREVDSIKEQIVQQKLEKQRREEEERVR QEEEAKKNTKKPAKK >CAK78120 pep:novel supercontig:GCA_000165425.1:CT868296:251171:252120:1 gene:GSPATT00013567001 transcript:CAK78120 MHENVGPRIFGKNLDNQAEKASKNLKSKKDTKLDELGGKENLINDAIWTKEGLSKLDSPQ LVSLYQQEIYEFLYEKEKSMGEPNFQMASTKINDTVRATTVRYIVKLVRCFNLKPETLFQ TVDLMDQTIPLLNPEIGELELVSLTCLFIASKYEEIYPPPLAALLRATDIKTKDVIEMEK EILNKLNFNVISDNTLVWLQLIGEMLGYNCKYSDQIKQRCMSLAETSLTSSLFLNHKKSA IALNIFMAVEINLGCQKTQFKWERLTQHQKPSNESKTLKLLTYILKIK >CAK78121 pep:novel supercontig:GCA_000165425.1:CT868296:252134:253620:-1 gene:GSPATT00013568001 transcript:CAK78121 MREQLDLLLFPIEIVVFCGLAFGIFKLKKKFPNLLFPCHGVTLYLSPDGEDLKESKDKKI KTLVQIKTMPSQAQHFQQVPFSNDMEITMLFIYMLLAQFSIVETMKMIGSALGYYVIDSG MLFYFDGIIVMMIIRNIFKAATIGGYDSQQTKMSIVICIISIVITIFINLGFKDFLNINM RHEFQRQAVHLEIVLRTLIQPGVWSFMGQFGDMFVIVLALMVSIIIFCILPILIRFGNCF TELKNSLITDKSQSKFFYLVASQLIAHIFYVALYIKPLIQNLNYVFHFQFLAILVITILQ MMTFKMEMDKHMAKGYDWIMILKDQPEQQDYVKRRVEGLLRGFVIVAYQLLSRIAIPFLL YMILLLKNSQIPETNVRYNYLPANYDVNEQYCVKENIVMMDFLFEDKDDPVEDVNSDPSK IIMKRITKYGIFTKSFIYTFIEFILFNYYFISFVLSVFYIFFLNKTQSVKQTQKKKKQ >CAK78122 pep:novel supercontig:GCA_000165425.1:CT868296:254555:255413:-1 gene:GSPATT00013569001 transcript:CAK78122 MSNRPEAAQQPQQPQQRQQVPAPQTRPAGPVYPNTYLPPTYYPASPIRQSYVAPVAPIQY APVAQVPVAPIASVPIQQVAPVPVQTLGVAPVAQHQTIKGESRIEYIPYQKAVVEYEEQE IVSYVPRETKVTDYYAVEYQTEYIPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQPA QAVSVVQQPVIQQSVQYVQQPVQYVQQPVQYVQQPLIASRVVPQFVQPQYAAPPVVRPSQ PQQQQSHQPQQVPSQQPRPQAVQQQQSQQQ >CAK78123 pep:novel supercontig:GCA_000165425.1:CT868296:256872:258694:1 gene:GSPATT00013570001 transcript:CAK78123 MMYETYGLGNGYLNLYQIKSQFQVSTWRNSATVEEAFNYLMNVFTPKYFASQFKSSHIPL SNLIVAQTRNAIYKCNTNVINCEYGSEQYQEVQFQALDANKCVSKNCSEFISSQNAFGGI QIGIDGHYQTYYDFYYYSVLKIADNYTDFYTKLIENKWVDNHTESIGIFGSLYDLNSQQQ VQITLLLEQQYAKFPLSLQETFFQLPFFDFKDTLHILLFSYLIFIGLLKIFRTMLELTYI FSRFNFFFQLYNFAALIVYCIVHFQILIVRDQAQDNNLLFSRTVLEKNFSGKILSVGTAL LINDYQTTEDVGKVLLLLSYPYSFVQFILFFNAEWIQNYHTLQMRTMPGLLTCTVLSLSM YTLTVLPQGFLDKQYRTGHHSINYIAYLFAQLFLDNYQENEEEKQLLSIIIEILHYLFQI LIALYVIALSIEGFRKASIYEMPYQTKYDKEFIQNFDNLNIKINKVLKQVQKLFIKEKQS QGKKIIRREEDKQSQILDDEETLSDLSESKSDKILIVFEINNAFLEDINRFKARRDANQY AQKRVKYSKSSKDQASSQKIRIIQSLLQKDKVNQRLQVMLSKHCNYEQIF >CAK78124 pep:novel supercontig:GCA_000165425.1:CT868296:258847:259257:1 gene:GSPATT00013571001 transcript:CAK78124 MLLRLRPEFLSLNAEQRIRIMFYINSDQVEEDDVYKLLSFLIVNLAKQHLNYPILVFSCH KEWYIEKEQYLKLKKFYRNIFSTLEKECALDFSLMQTSFFDFQLKFSKDQQFYEKENQEE ISNSMIEEGEDKSELL >CAK78125 pep:novel supercontig:GCA_000165425.1:CT868296:259759:262156:1 gene:GSPATT00013572001 transcript:CAK78125 MELQNQLRSYLSSYETYPYVRDIKLRVLYGDKSHIKLVQQCLAGFLIDDDESPILRLLTL KFNKELAETFNEDYIHLMQKIVLPKMEQIALFQNESKDCDRGKLYFLGNQKPHQGNKELQ AIGDQFLRVTLECIRIWGRWFPLDKIHHRLSLYRVAYERLYNLGVLFPEIQYFDLNQVHI NLPSTFPPLSMMMQLKSVLSEHSRSSTKEICRYLESHLPEEYHQTQYSSFAELFQTIVKK HIMKKNKQARLANLFLERDPKYQEVKKMEDHEEWTQLQRLQIENQQLTYLNNMHQQKMQE LQKQIIETQNQNSLLSQNIKEKQLLIERYDTNFKALEKKYEKLFEDYKKNNLSKTPYILA TYEKNIAEFRVEYGLSYSEIECHKLRAENEYLRKQLDESDSMYDKIKHDKDVLLTENKLL SARISQLSQKIAKGTKVEKDTPQTQEDFKLYKQKSNLVQYEQVMICQKRQITQLKEQLLK MNDKKYSVTSQTWGVSTKSDSRRNINELQACNISSQKQSANQKTTHRQSKIIMPPILEYD PTIFAVNPTIFNTRFRQACFTSKAIIHQDDQIQISTHTQLKFKKLFITLSLENKSNIKLT GFKFYLKEVEQLIAFVLDEIKTDLNPGEIQQIKLEVSIKEIPYILQEAQISFMDKNIQFV IPCTINKYLTFKSCEQIPQSFFYQCKPFLTGFQNSVPNLLPEFEVISQSDTEISVLASAE YNDEEYEIMISCRKSMMQIKMNGVYNDKLVKTIISTYQGLFLKR >CAK78126 pep:novel supercontig:GCA_000165425.1:CT868296:262960:263256:-1 gene:GSPATT00013573001 transcript:CAK78126 MNSINSRKYFKVGNRKPQSGCHKDIVMRMESQEEDLDDLESLLQKKMCLNENECYFIEEI LNTDPDEPEALLDENIYITQDVTFNPQYYLNNVNSIIE >CAK78127 pep:novel supercontig:GCA_000165425.1:CT868296:264434:265282:-1 gene:GSPATT00013574001 transcript:CAK78127 MKLQHNQTCIFLLIFILQKQIIGQVIVDMKYGFCDCEELETDYECLINSKCKFENGKCKP LPCESLSDPDRSGICIFAKNQGCVFDYQEETCTDFKGCSFYNFKSHHECSFYLPSNCYYD SELQRCEDQSQLPNCSELLLSQCFHGKEGLCVVKDGKCQEFTKCEDVAGNDIRCIEAGLA CQTSENYPCENMIGGCQYATQTTCFEASVRINSYESYLCKEKTPLQLDCIDWDPANETLE TCLIESFGTHHWKNNKCEKCQPGKPNTSIQLEIAIILLSLTF >CAK78128 pep:novel supercontig:GCA_000165425.1:CT868296:266653:267027:-1 gene:GSPATT00013575001 transcript:CAK78128 MQNYALLGITLLLVVVYMLMTTIEKTTSYFGKRSSLTQIEDKHESLRKQRREMLQHYYWA QSNNERDKEKKLETQIFKIDDELEELKNDYESLKAGKKVPLKKI >CAK78129 pep:novel supercontig:GCA_000165425.1:CT868296:267052:268933:1 gene:GSPATT00013576001 transcript:CAK78129 MNRLRVLRNLTIPKLFSRVSCLALPYLIYHNYRNNPIHCSNEVRQMNQSMFVQNILGNRK DYFFLVIYKEEVDGGYGEKEAIEVAKKLQQIADKLQINCHVYTVSRPSLKLITKLVNRVK NVKIYEEEEFQKIEIYFKTPHSDDYVYFPFKKHNFYLENNQAKILTRVSRFFDLYQTVTT KEQFQSTILESYYQLDSPVFIKSNSSDAQLKQFKKISFQFLEKKTVNQNSKFLIIDNKEL CQELQLKDDDILLLRNDILQKYQNCIINESNQIIPQLIAKQQIDQLKYESFNEWKQYNTK STQSITTDKKQLKNQFVFDLQQFSLPRIFYLKNSRKSNIGAFVRKIQNHKYQTDKLVVSL QLDPKHQNRLDNHIRISLFFKLYEKYRDRLEFVISATNQLEELLPHLNKFQSKFVLFNIF NSISYSKQLFPQTAKLYEKYFLKDTQFVERFEVIDQQLNNLLQQPSEERIANIDYLSQDL DKIQEINLDYFNFLQSNQNKNQQIILYYQNDIMTEALLTILKNLKTNQNIYTISSLNQIP ALYSSFPNQNVLIINGTAIGFPKANDTNLNELNTQIENLIKQYL >CAK78130 pep:novel supercontig:GCA_000165425.1:CT868296:269103:272231:-1 gene:GSPATT00013577001 transcript:CAK78130 MSVSQQVADKLKRQALDFQVLMDSFQKRFQQDQNNQFYILSVKWLNLWKEYVSYEELLAN KNPSRNFGKLNMDLINLDLEDKVERCLKYYPINTHPWNTFMKENLQENIDYIIVDKDIWQ FFTTYYHGTPIVRMSNGTGIEKTVAVNLLKFKSTLLYPSVIRQIAMDRVQKQTFEKLNLQ VDRSMKLKDYYNLLQKTVPTFTGNFAKDDNVRIWRYHSDQKDIQKALFADIQKQVASLEM NDEMSFDFNGDYIHYSIYETIEDVGILDNHLIIIEFKDEIKPWCIRNKAVQVEGKCENCQ ILKILNHPWCNAKAKDYNNHSMRCEKFGSDDDTIKGLTQQPNSIAGLAGLSNLGNTCFMN SGTQCISNTVPLTEYFLSNQYFDEINMDNPIGTKGQLVKRVGSLLKKLWYGEKSVVTPTN YKKAVGQFQPMFKGYHQHDSSELITFVLDGIHEDLNRVKKKPYVETKDSDGRTDFVVAKE SWINHLARNQSIIVDLMYGQYKSTLKCPKCARLSITFDPYLMVQLGIPSQKKRTISFKYF DSFFNSTFKVIPFDKNKNLTLKEYYQVLGEELNDKPQNLFAYIANQYTSFELLKESRSIV EIRKSAKRQQLCFRTLSDQEAQIQNKLPIQFQNKYQDFQKNSYFQNGVFIFDGSNTRKQM HLQIFKHLKPLFTDHQDLDYETNVLNKYYSLLYKSNSNYWNPCSYCSVKNCNDCEVKFDD EPLEEIKKKVVTSDSQGLFEIIILWKESPYKNVKLGDIFDHYRSQNKFQIEQPQQQTTPF QNHGHSNQHSGSVSLADCLSFSQQPEQLNSENTWFCKVCQEHVQAYKSMQIYKAPQILIF TLKRFKASNRMFKQKLETFVDFPINGLDMTDYLINSKTPQEYENETEDDNGENNKQRVIY DLYAVSNHFGGLGGGHYTACAKNKYTKKWYNFDDSHVGEISEQQVITKSAYVLCYQLRTD ESKNSQGQTIQQE >CAK78131 pep:novel supercontig:GCA_000165425.1:CT868296:272703:273773:1 gene:GSPATT00013578001 transcript:CAK78131 MICNHDTFKMFTIKKIIYENENQKIYMANMKDRFTMIVLKVEMGDKKHLYTQYKILDFLK FVLGVPQVLNCGFTSDEKFYYSIDFYDKSISDILKKYGTLSLQSVLSIGLSLLRILSAIH RQEIIYSNLCPENIVFSKDGLDHDSKIHLIGFGQAFLKYDKPKKMYNQNFLPYQSCQSHN NRTPYKKDDLESLGYLLIKLRKGTLPWESLPQQLMGKKKIECLKSNEVFKGLPYEFRLYF KQLEQYNDEPKHQYFIKLLNAIMLKYCKTTRHCIQELNQLTPISEALDETNQSIDSFQLS RRTANVSSVNLLTYTELDSSIEEKLKKLELISKQYKLISNL >CAK78132 pep:novel supercontig:GCA_000165425.1:CT868296:274028:275042:1 gene:GSPATT00013579001 transcript:CAK78132 MDIDKQLEILRNGRCISERDTHLICELAKEILIEEPNVVQVKTPAIVCGDVHGQFFDLLE LFKCGGQLPEKRYVFNGDYVNRGHHSVETFQYLLCLKIMYPKEIILLRGNHESGAITQVY GLYDEVKRKFGNPYVWQYFCEVFDYLPLCALIDQKVFCVHGGLSPQINNIDQIRVIDRRT AKDHEGPMSDMLWSDPDQDLEGWFVNNRGAGYQFGRKAVDQFSHINGLILIARSHQLVME GYKYEFNKKLVTVWSAPNYCYRCGNEGCILVLDENLEQSFQFFKESAEPTQMIIGSTVLP YFL >CAK78133 pep:novel supercontig:GCA_000165425.1:CT868296:275083:276901:1 gene:GSPATT00013580001 transcript:CAK78133 MKKIAPSLLDYQSAGQGQLLKYIQTLREAEQNSIIHKLQKYDIKQLYHVYQQFKAKIVQM TPQETIRCLEFDQKDEEIGYTTIAEGKLAIVMSAQQNISLLDIQLPSHKCLFQLYCERIW SLQNVIKQRCGMCLPILIFIMTTNINHDMITCFFQEKNHFGLQDDQIFFIQQDNLPLFSM EGQILFSNESQIFDDCIGDGYIYLNQSVLDTMKFLGITILHLCSIENVLCKFGDPLWIGA FIRNQLYLSAKCVQKRSVDENLGIIVFRIVISFLEYDEISYSDLVKRDKNGGLANPDGVI GQILCSLDYALELLEIYNQTSFHIRQKKCTYFDYITSSLIKPMSQSNALKFELTFYQAIP YCPIQSFGLFRVKREYEYAPILNPPNENKDTIHTARQAYMRRDQKWMSQIGFDVNSEFEI SPKLTYFGEGLEEATKKQIKNKLQMPLILHSEKQIRTVRVNSVHNQQPQSSPNKYSSQTV NNQSFVQQKMSIHQSLNHLKQPITNSLVTPTNNQNFQMTGRRSIDQKPNRQTDYYHRPNL NQLNKPLFQVPNTYYLPKK >CAK78134 pep:novel supercontig:GCA_000165425.1:CT868296:276910:278192:-1 gene:GSPATT00013581001 transcript:CAK78134 MQRLQKISVEVGDPKVQSGLNKYTTYSVRGMDKNGQFDVIRRFSDFRLIRQFLITKWPGC YIPPLPPRKAIGNMDQKFIDDRMHSLQEWMRIMAQSKYFWYSEEFQLFIKANGDIEKALT QVPKLTYDEIINKYQDTFTDLSGREINRELIQKITDFHQFLKRVNPMVENFKQIAKNIAE ARHNFQEQMINFLQIQLPNYEQQVLLEFSESQDKLVILNIQKDEYPAKLLNNYLNLLQQN EFQSLFQEIKKESKQVRAFLETMIQREKYEQQKVVYEQRQKELQIQLQEVLAGKSSIKNI FSTSKKEDQIAKLQVQIDQVCKDIENMQFICDILTVLLGYIEIDKFKLEKQQNYYRLAKS LIQYEVQLSQVSEEFWERVQQNYNLL >CAK78135 pep:novel supercontig:GCA_000165425.1:CT868296:278203:279004:-1 gene:GSPATT00013582001 transcript:CAK78135 MEQITEEQKQAISKKNIFIFELIGTFFLVYISVCSQNEAYQVALGLFGCMLVFGRLSGGH FNPAITLAMALGGGIPYGTMFFYFLPQFLGAFCGAALSFLLLNMDKAPYIEDEPIKELAA SLFGEMIGSTIFLIFTLICFVKETQLSENRIATLVMLSCIYYACREYTITSSNSLLNPAA ALSLTLFDCLAKSWDQMINMWIYVGGPIGAAILATMFYSQLYHSVTHRK >CAK78136 pep:novel supercontig:GCA_000165425.1:CT868296:279015:279444:1 gene:GSPATT00013583001 transcript:CAK78136 MGVRVTYRRRTSYNTRSNKIRKVKTPGGNVVAQYPNKKTSASTCADSNLSVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK78137 pep:novel supercontig:GCA_000165425.1:CT868296:279741:281939:1 gene:GSPATT00013584001 transcript:CAK78137 MISKMIMDRQKKTQNIDENELDSFNRYLQRDNQPLNLGTQLSYSKIKVNVGMPVITEQQN ETLGNTHQSTVQQSKNASPQKKGIGMEDIRLNIRIVFKFYASFGNRNNTRFLKSNKFIKM LSDAQIMPQLLSNRDCDILYAQQTKNNESLTLEQFQNLIPKLAMILYPQQKVHQAFQKLY NEYLSQLSQKILNFTEFGQQIQFVLQPISNEIKEFIEPIQQQLSNLHKFIFEDQSTNLSK VQWQFMQFLTQCEILPNYINQSQAQIIFDNIQIRQQTLNLQESGNYLFSLNNFVESLIII SKTVPNLDEFTQFRLLLDKIEQSEGFSQYLRKLNRTQSDKVRLFYNIEKSRVYKQENLIQ QSQMISNTQNNLSHTNIQNIQIANTNKKVDESRVNVSMLRQSKYLSDIDQSYISSLKKLF EFFAQSGEPSNIQSLKNTKFNKLLLHSGILNNLITITDSDMIYSKLCGLQSIKTQQKNKQ LTNGKMTFGQFQISLAIIAEKCGMDVNELIQQNILPLEKQISDDNKEQILQVLVDLLQDE QIIQLYEMIQSVFDWYFQEYAKQQSSILYLSEFLKFCQDFELSNILISQTQLTSIFYSVA SLNQEGQEYSDQVFLDKSQFVEAISIIAIQIYSTIPNNIQRIVYLLERIFQSQNASKIYV KQNKLQARFQNVIEEIKYRFLEKDKIRQEEEQYNFDDLVNGTVQQFQ >CAK78138 pep:novel supercontig:GCA_000165425.1:CT868296:281948:282522:-1 gene:GSPATT00013585001 transcript:CAK78138 MNNKLKALPPGDRRRLSVLNIVQDKFKELTIQEINLLICQHAVSEKFYIYFSDSEWIPCS KCCQTPIYLVLTPQAQKTDVFCSQCSRNLQNPPRSAGIISNTILPKSSFTQFGHSLQEQK ERQKVSRMIISGKYRKINLKDVYHEVQEPVLPEESYNLEFPVKEKQQESTILELKDLINE LF >CAK78139 pep:novel supercontig:GCA_000165425.1:CT868296:282595:282936:-1 gene:GSPATT00013586001 transcript:CAK78139 MNYNYYRTQIDNQNAIHSNSQSVGKLKKGIVFQNYPFNPVQSYNSNMQVRPFTSQTQPLK KPFNCSSNQNQIMESPFIMFLEQQLHKLKDNQIYCFLSNQIIMRWKQNKVNDI >CAK78140 pep:novel supercontig:GCA_000165425.1:CT868296:283563:284536:-1 gene:GSPATT00013587001 transcript:CAK78140 MSIWQDKYTLDYHIQQQIKLDALEELWQEYKKQEIRISKQKQKYEQKKKYNNKKKRKKSS SSSSSSSSSSSSSTSSSFQSITSSSESDSLLSLPSHSKSKNKQIKSQTKILDFIFDINPP KRDYLIEYELNGQKKMTSMKNLNEKIQKLNSQSLQIQNDDDTNKMQDDNLKQDLKDELEL GANRYYQQNPFEYCYRCKQTGHQERQCTEQLNIQCNYCLSYKHVGDICSNVSCFRCNQMG HRKQDCKFQQRLQQCINCGKNTHKEQDCGILIYNLHNFLDQIECLVCRNYGHINCLNIMS >CAK78141 pep:novel supercontig:GCA_000165425.1:CT868296:284575:286049:-1 gene:GSPATT00013588001 transcript:CAK78141 MNQLYNLNFKLNEMQDDPTLFTTLKGHKDTITALSFHPGLKSIASSANDGVVSVWQLKQP RKVYRFMGHKGQVSDVQFSPNGLLIASFEGSSVSIKAHSAPVRSVQFSCDGQLLVSSSDD KSVKVWSVNDRKFQYGFQHTNWVRSAVFSQDVRLIASGGDDRAVIIWDCDSKKEAQRYNE HIGVVYKVQFSPDSTILGSCSHDKKLKLFDVRSKRVIQHYDAHADSVLDLKFHPSGQFAM TSGADSKVKVWDLRMGKLAYTLYGHNGQATTCAFSNHGDYFATGGSDSMILVWNTNWVCS GQESLDEKPKQVKSKPTQQSLTQGQQQSSQQKENVQQTNSQLPKQKETVLYSNADNSLHK KSEFNQSVQLQKEDVPAEVITQLDNISGQLELITRTLQALEQRVSNNEQQICRLNLALTR DFERSEQIQNQIYDLRPLEEQVQDQDEEENVNYIKFHRAANN >CAK78142 pep:novel supercontig:GCA_000165425.1:CT868296:286299:287717:1 gene:GSPATT00013589001 transcript:CAK78142 MSQKKNDCELILIDPSDETEIKPMKILKKIVTNAGGVRYLVEYSNNEQKQETEKYMKENY QELIEDYNYFSVCGSRFDQLTLQKMRENNNKMQNFENHAKLPIIPTDENYPIFKRQKKID KQNEKNVKSNILPLPQHQQQQLQQQLLVQQSQNQQQQQVQLQNNLNQNEKHLIKQQQVQN QTLLQFQSDQGDKIKSITLENGLFLVQWIPRPDGTTPYQEYYHYDFLKATAPTILLSFLE QEFLNNDLKFKNLKRHSFLNSNQEANQNEDNQQNKDTLHNNVTQQVKNQEIIEPQKEVLK DDKLNKKDVFEEVKEQKDNSKDILIDDISVDEGQEVILDEKQTSEKEKKQQQQKKGIIPT SKPQGSTQKQRKPTLEKAQEVLTIDKAQGKPDEKLSQIEKQIPKQQVTVSQPKLANQSFP AQFAQAQASDEFEILGSLDKPDDKQNQNYEKELEECYSDVDLPHFQFNQSDL >CAK78143 pep:novel supercontig:GCA_000165425.1:CT868296:288663:289306:-1 gene:GSPATT00013590001 transcript:CAK78143 MLIQGLLNIIRFFVLGLDNAGKTTILKALSNEDINQIAPTHGFNIKNLQHEGFKLNVWDV GGQEKLREYWSNFYENTDALVFVIDSSDQMRLEEGGKELDKLLGEAELKKVPLLVFANKQ DLVQALPADEISDSLKLNKINDRQWSIVACSAKTQEGLQEGMEWLIKTVQDK >CAK78144 pep:novel supercontig:GCA_000165425.1:CT868296:289330:290282:-1 gene:GSPATT00013591001 transcript:CAK78144 MSNDNPDGQPLDIEYYETNYPYLNVKKNLLNNTLSKWRRAIAPYNPFAMQQIPNQKRMGM GIRNGNGFYFPDPYPNRVNWSVFFPTHYDPLSEQHFSNHGWQTRKDAPMFTALAIRAQAL PRGCVRQIEQFKRCQSVNGVTKCQEEADNIISICPKWALEGLKEKKKQLDKIEAIQTLQY RSVLEVSPYNKGRTVKDVSDKTWADGHRDNLRPDTMWADERYTNITQAEINEAKKRVAAR DKATGRVKEAVYQVHHPDLSSSHLSEDKPLYP >CAK78145 pep:novel supercontig:GCA_000165425.1:CT868296:290333:290680:1 gene:GSPATT00013592001 transcript:CAK78145 MCKHILNAQVAIRAPCCKKWFDCAECHQELEDHPLSKCIEMIFACKKCKKVFRKDVTDYD ESDEYCPHCDNHYVIKAVTQESKEIQKFENLVKEVKQ >CAK78146 pep:novel supercontig:GCA_000165425.1:CT868296:291129:291872:1 gene:GSPATT00013593001 transcript:CAK78146 MSYHYLFKFIIIGDTAVGKSCILYQFLEQKFRVKHEMTVGVEFGAKILNLENKQIKLQIW DTAGQETFKSITRQYYRSAAGGILVYDITRRESFENVREWIKECRTHGTQEMVIVLVGNK VDLEKQYNIHNINGLAYENNLLFIETSAKDNLNIIETFSQAAAQVLKVVQKSQQKNELPG VRVGYAIDQTKGQQSSQSSNKCC >CAK78147 pep:novel supercontig:GCA_000165425.1:CT868296:291991:292807:1 gene:GSPATT00013594001 transcript:CAK78147 MPPLFVFVAVILVLTNAQSSEKKINNKGYNYLHYVEQNDDLLKSTNIFNSCIIVSYNYLI QEDTQIENELNKIMMSYEEIQDFEQKIAQQYVASKRIKLDAVKQCVNKQTVDGSQKILSE LQNKIFDAKNYYHLMSGFDLKKYFDRQVEYKFTQDDETLSDMIEEFLTKLKKAQGVEEFG FNDLYPQMKDDQLKTKIFGVALESSWLSYMIFFSVAFVIILIMKFAYTTLQDSQKTNSKK QKKQKK >CAK78148 pep:novel supercontig:GCA_000165425.1:CT868296:293385:294022:-1 gene:GSPATT00013595001 transcript:CAK78148 MKKAKGGDFNFASRAQKIDKLEFPQSTEDRFIVKANKDGVGFQWKTYDDKLLARNIDKQT FDNTVAEATRICRNLWREKQREEHKDPTKAYQPLLYVSVFLILLAFVFLLVLIYGSRDKL ALLYVAVAILCLAAFVAKTWSLEPQFMDLEKVQLNKVTEYLNNQNSQIYQSKGYKWQVEP NLYWIELVSI >CAK78149 pep:novel supercontig:GCA_000165425.1:CT868296:294046:300345:1 gene:GSPATT00013596001 transcript:CAK78149 MYQILKSVSHFQNECILTYSKEKLIIYTKEILLHMQTFRNYISDIVAVATSSKGVFVVFC ERELIAYKPLLNGWEQGYSKNCTNPIRDAFFSFDDEHIIGCSQNKLHIWKATHKQNRLLG QLDQYELIDLTEIYTLELEYQIANVKFSPDVRYFTTLHLNTVIVWDFHSIKEVQEGALSQ QQRVSLDHQDEVISYKFRGTLRIAPSPFPTPNTIVTLTKSNQLTIWQEMIQCKNFCKLHT LHFDQISSFCFIYLKGLTPYPWKNTYLESDQFGVQDTEQSSVDYLLLHQESELSVVKLDF LRNYSLEESVKVIKKTKSNIFNQIKKILLVDQIEGQKIEMIGFDRLWNLIKICVNLKDDS QQMFQCEKFIFQNIVHFSTCKSQVAIINDWNYLCLQQLKLNSVISQEYHIVEGQQKIWKI NSDLSKYQLMFIAKDKIKENDVIVLTSSNAQQCQAFIIQQNQLVEVHLKLYNHLSNEYRD KITDLRKINYEIDDLQLLVIAASKIALIQIQFEIYGHGQMDLQILELKTWKLDFTYERLQ VLQSIELSFISISGKTLKGYNEQMKQIVQISKNYNIKDVGQLKGIQPIYYVMSHQNEIDF HSSSGEFLVRFNLQSFLVRVDKALYDVSKVIVQVVPLNNFAFILQTDNYLTAFAIQFDKS DQNYKLTSIKQKYLNNREAIYCKRLSKYSMPQNYRFFTIKDCLIVKTGRKVKVLGNSLIR CLADKFWMPSTLSYKLINQQNNVQLQDYDFLSELIQLGKIQLVQFILKLICLKFKHHGTL GNHFMVPYKYLLELYHLNSEPEPLWVDAIKTYVTKKLQDKDSYDYTLHPVDVLKKICQEY PLKITIILDYLRYYEDNSRSLDFYAAMFMFHVQIFRNQPTHKRNRVLSSKEVVWALHSLQ KETLWQECIGNSDDLSWNLVKRYAAVLWMDLSFIKQRLEEIALQIYKQSKDPFQSLLYFI VLGKKSVITTLFKKEVNSGKEYKSTYEFLQQDFTQPRWKSAASKNAYALVSKKRYQDAAA FFLIGGHLNDAVNVMARYMEDIQLAYLTTKIHEPQGGPVGEQLIQDYFIKSGEEMNDIWL LHIGFYLLGRYVDSVNVLLREPEHHILEYDNSGKAVCISWPSTFTPQLSYYHPSAILLVE KLKENINVKREIQQSIDANKKKSKKAEDDIFSQFYESEESEEEEVVEQVPIKKINDNQEF IKQQAVEYYYNIQMPQLGMIFAQELNQQTEQSEQLIELQIEMYIVQAIEDQEHFTNYVQL MQKLDDLANFTNHNKTKLYDIALKKLRQLKSPSRFLTFAIHYDQTGLDEFISFCQETQSL LYRICFDYPLSEKSQEYYLTFVQSLYEIDVGLKLFQQSQYYQKKFDNKLKLNIIKLLALF MTLILALELHLWENAFEQLRKLETFCNTVYKQHVQDCGYENLRDDFCELVKSAIKNKRLY ANKGFKAYRNLDVLQDAYPEDLYNVSEQDYLQKHKPAAPEQEQQKSDSLEDLQQSDLSQE KTTEEENIQNSFIYFTLQSLIVKYFLSISKSYFKLEIFNIAITSYSNIFTMAEQYVTTRN DMVITLLKNLSDFSQEQNFIEDLRMVLKETSFAKSQYFKQLERIFNLNHFFQICQKVGLD QYLEEISINRTKQAFKYPISNFDEQSIITFKNKIFKQGVEVFKIKSDQIKSICINNTNPI EGFVLYGKFLKHMKFYNTLQHKNRSLDGYNLVEEETIDEAIRTEASQTNKPYIVKLCSSA LGIQVGLDKEKKSYLKSRAHPYEEYKDFTEKDFMEKFQNCSSKLIPEEDLQCLASHPHLP LFLQGGKGMINVMTFKSSTQVVAEFNSQQRDSIDFLKFNNSGELFIGHDINNSAYIWKLN RVNKLNTPLFQLNSKVRPTTDLTFINEGTVFASIYSSTNKPHFGLYDMLLPSNRNIVAQE NFNGTDILFSTPLNSILIGNQKKGTVNFLDIRKNQIYKTLELPFTEIKFMKLNQYQTSLI CACNDGQIKIINLETLSTIVDYKPFRQDKKQQIMALTQTENATYAASSDGVISLVYLNA >CAK78150 pep:novel supercontig:GCA_000165425.1:CT868296:300972:302573:-1 gene:GSPATT00013597001 transcript:CAK78150 MKKYSSQNSLDSNLNLSQAQIDLCSSMQSLPLCLYTDLFPQFSPIKDQYSQLQSPLPQKY QELQNFSVPIASEQGQNFNQGFQICKLLESKVKLDTKVQIQESSQNEQKGGGFQIQKGES KRSPKMKQIQENQQVIKEDLNMNLMNQFEASFQLHNPNEENQFEQHESNSMLYETEKGQR KHDSYSKTKTQTEVQNPSKPIQNVGKEPELIFEEFIRRKFVQIKQGKEQKIEKNIKKYIL SLYVSLICKVVQKHGKGCENIRNELQLILYNKYNDWKTTISTYFCIRKQYKQDIPKEIQN KIAKLTDYIKNKMQEQNNQEDPKFPDKKKERPQFSLEYIENKKKKKVRLNCKALQARFQT GKLKSLMLDQAKEKVKKLELIDKQEYDYLIQYFDLVVELKNIGGIKKSIQIDSKDIKNLH ENTVLKQFIGTIQNILLKNPEQKKEQEVSEAQETLDQLLLEEQNIIFDYQETYQKMFVKF LEEFYQRYEKRTEIKNQTVTKPQLLQIKEKIQQKQEEKSKQICQEQEQVSPIQ >CAK78151 pep:novel supercontig:GCA_000165425.1:CT868296:303432:303992:1 gene:GSPATT00013598001 transcript:CAK78151 MSLKLYGPSTNPRLSQVIYNAVRVGYYLCESKEHLPRNPFAKIPVIETNDGFLYESNAIC RYLARSKLESGLYGATPFQQSQVDQWIDWTVNELDPNFMTTFPQLWGHYPVNLSRLNPQL KDRHKTKEVKGQKLKRGFKFQELRISKHLNRFIQTKKTPKVPLQRLNLI >CAK78152 pep:novel supercontig:GCA_000165425.1:CT868296:304195:304761:1 gene:GSPATT00013599001 transcript:CAK78152 MIYSKKNKQIDHHNLIGGLLDKSYFKVLMLQRKNLSIKPLRQRLYQQPYVTRQINQHRNL ISQNVNFLIKYPIVSVVMIFMLGSDFSMLFNHFSDLQNILNHIFPKFCERNFIYPNYCNR MNMTKIKEQIIVIKRKQLIFLIQGISQDVAIIIERNFINDNFKHMQFFQINKIAVFFYCN FYESLWFV >CAK78153 pep:novel supercontig:GCA_000165425.1:CT868296:304955:305618:1 gene:GSPATT00013600001 transcript:CAK78153 MLNYFITSAYSHYIPIIIFFQQIILSVELFLSKFLFQFQTLYLFQLKFLNQYLTQNXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVDILIDKNKNKNN MDTKIQQSQVDQWIDWTVNELDTNFMTTFQQLWGHYPVNEDAFKNAKNIINDKQTQLDDH FKNTSYLIGDKLTIAKVILIVRIAPFRHFID >CAK78154 pep:novel supercontig:GCA_000165425.1:CT868296:305668:306464:1 gene:GSPATT00013601001 transcript:CAK78154 MSELPQFIKNFGRMRLCKVAFPLPKQEEQPKEEKLKEQNQKRKNKNKKVKGIKPKEGEKP KETQKQKTQDDNEPLPEKKKNPLDLLPPLHSILMTIKEPSLLKMIFPRIFNNSSLKLTLK AGSLWIVTYNKSQNEGKQLILTNNLMKGFIDQRLDKILENTLLLFMVFMVMNQI >CAK78155 pep:novel supercontig:GCA_000165425.1:CT868296:306494:308077:-1 gene:GSPATT00013602001 transcript:CAK78155 MFTNFQTRLPQEFCLHHVNQRTEFIHFKENEQKVYYYCTFCINENTQLHGKVESIQRVAY EIESNLKIAYYPVLVQLSKQEKIIRNFQNDFMRIFEKWKKYIQELQDKCQFYDFEEQIKL FYFDLNNPSTSEKQKKIILLKMQAEKESQVLKIQQEIEQIKISLIQFKQENTIKMGLNQN QYQIYKLIGEAEQQQYPCGIQICKNFMMASSEQEVKIWQLQKSDIDNTMQIKEIERFNYH KGRILCSVYSKKIDWFATSGCDGEIFCSKLVGNKWVTVNSKKYHHSSVYTLVLNNKEDQL FSGGFEGKVGIWNINLEKNTITFSYELLESQWSIYSLCINPSDTCLVLSKLDQTLVLWTK NSQTQQYEYSDKHSIDNTGYRSNFINEDKLVIQYSQKDITGVFNIKNNKIIERKDLELEL HDAEVDGDYLFPTIYNSQKQVLIQKYGKYVYVIQQLSNEKLQIIQTIDCQDNFNYGNLSD DGNILVIWDSTSKKFKIYSIQMQTYNSIFLNSEYNSFQNTEFSKRED >CAK78156 pep:novel supercontig:GCA_000165425.1:CT868296:308859:309942:1 gene:GSPATT00013603001 transcript:CAK78156 MNRIVYLDFLQQFIQLVKIYNSNQIHIKIQSLCGRHLYFHQYLSLRQQKSKQQRYEKQNG SFQTFSTTNQVNKAQLADFRKEIRNNISQREPFVPQQENKPISLTNWKKHERKNTQFFEI NQRDGNVAKYMARSSPKKSLPGGLNVEEIFNSQKKFIDKNQSQNTFYYQQQRKVDQLFKK DHNDSFSKQDNSFSNLLKPKKSSQALLSRQSGRDQSPINLERFRSWDTKLDLQLKQLNNS KDISFYKYSQQPQKENIYETSKPLQTKDIFNINKNANNFNKFLKSSSPPKFIEKIPLTEI VKMQSYFSQLPTVEITTIPRGYIQELQNLQQTLARVVRQSSQII >CAK78157 pep:novel supercontig:GCA_000165425.1:CT868296:309955:310885:-1 gene:GSPATT00013604001 transcript:CAK78157 MDEQQSCLICFSNFSKTDIQWFPNCQHSFCKQCLKTSYEQSIREQKVQLKYFACSYCNTQ LVDNQWIQSIVSSDFYSQYCELLIKQNLIEYLIDDEILVACKNQSCSYKFMIWKFADHQK CPVCKQQHCRKCNNDHLLEITCEQALLLKEGSYIEKKKKLQISRCPKCKILVEKTAGCSF MTCKCGTYFCYKCDEQLKKEDHYNHFQLNNCNALQNKQQENPIPKQRKKIRIISIADFIP CFVCQGITEILIDRLIYQCNSGKCKGSCFCIRCLCQLSAQDIPDHLENTNCLQK >CAK78158 pep:novel supercontig:GCA_000165425.1:CT868296:311247:312681:-1 gene:GSPATT00013605001 transcript:CAK78158 MVPSDNQLERYEMEDDKLSAKATSKKIQNRKIWSQKEDKLLERAIHELGTNWKEVAKHLY NRNPSQCAQRWKRIKPQRSRHSWSAIEDQQLLELVQIHKRNWGMIASIMHWRTGKQIRER FINKLNPEIRAEPWSKEEDLIVMEAYQKYGSRWTEISKLLKGRPENMIKNRFYSFIRKEY MNIQNPYYVIPNSQQKTDVEQLKSNQSDEILKQDQNKSSQSCQNSIVKKKKHSQISKKKS KKLNAITKEKEQEKNIFNNINEKNEEVWNGIASVESSEVQVKEEDDNQFQPNITAQSVLS QSLSKNLNQILNIQNSQFPSEQFRSLYNSQVFQNYPQQQYQQQQQYQQQYFSMCQKFWEE MASVFSHSQMLKESIPILSNASSQETNMSTGMQLSLSQMNVLQNSYLIRQESFPHSTNNS QI >CAK78159 pep:novel supercontig:GCA_000165425.1:CT868296:313627:314336:-1 gene:GSPATT00013606001 transcript:CAK78159 MYIYLILISLVLTIYGVEKSKLFSYRIIALKDYDFFNQLQIDKKMEKPWLVFFFMEHEEN SKEFIPIFDKLAEEFKTTNMYFSAVDIYENEDVRDRIVISKFPQLIYFDMNSLMYRYNGE LTFESVSNFVRNEEWNQLQGKKVPKEINYWKRTLRKLTGFVAILIYSIIFTIIAVIWYFQ NRSHSILLKKIRRIDIAQSNILEKKKKYMKIE >CAK78160 pep:novel supercontig:GCA_000165425.1:CT868296:314643:317078:1 gene:GSPATT00013607001 transcript:CAK78160 MKNEQSIFKLFLTIDLAKQLTNNKEIGAYRFVIDLQDQSKTFNSASTQSILFFLNEIENN TNMTVKIISEKANKILGTLSILIPFAINYEQELTITESFQFENETKLNGSYTLYLINIPL FKQQGSNDSTSVQKTNLAPQQQKQKKQVSKSPQSKQSQQSYLEKINKEVTQILNKHHHSL SSRKDKTIDTGIVDESPSRISDRVQVSRSGTIIQSEISPSQVRKYVMEDSPSKLNCSFNK EKEINHRHHQELSCLYYLDQATLSNQIQIENENLKETVINLNTKLEYQEQQIILYKSLES NYKELQNQLTSFLKAQELTKSVDSVFDKEILKLNAQLNQKISQLLENEKKFSKQKLEYEI QISEQRNEINMLRQNLYELSERQKYYSNLNNELINQKQQNMELKQDLIKNVKDYEVKLSK FDQHITDQNKKIDDQTKLIWDQKQQYQELEKQNKSLSFQLKKLQENQQKQPLINIKPVSN NNDNEETSKQLQITQEINQQKQIEIEKLQNALKKAQQLNQDQQNEQAQTKQAVNQLKQEL SKLKEHNIQNQNQKTKQQTETKQLQQQIDNEKSKVATLQSQLKAKSIQLQEAQVRDENQQ NKILKLEQIIKQTKNLIENQEEQINLLNNQIKDLQDTKQKQQNDIKEDKEKMNQLKQLIS GMKEQRVAYIPMHGDPIDHALSEYINSVDDPKKLIELFFREKEGQYLIGSKSVHLKTEQG KVFVKIGGGFIGIEEFLDLYLKEKTEKIEKKQSQLTPRNKTSNKSQRSQISTHQFTS >CAK78161 pep:novel supercontig:GCA_000165425.1:CT868296:317198:319810:-1 gene:GSPATT00013608001 transcript:CAK78161 MDSYQGSDKLALQQSIVHHVEYTLARTRFDFSKFHSYQALSHSVRDRLIEAFNDTNLHFH QMDAKRIYYLSLEFLIGRCLQNALVNLDLEDDYRDALMDLGYKLEELYDEEVDPALGNGG LGRLAACFLDSLATLNYPSFGYGIRYTYGIFKQLIKDGYQVESPDFWLNHGNPWEIERLD VQYQIRFYGFVKKVWDHGVERSVWEGGETIMARAYDTPIPGYNTQNTIALRLWKSHPASE FDFSSFNTGDYFKALEQRQKAEYITSVLYPNDSTDAGKELRLKQQYLLVSASMQDIVRRF KRRKVLDWNAFPQKVAVQLNDTHPALAIVELLRILIDIEQLDNMSAWQIVTKSFNYTNHT VLPEALEKWGVPLIEKLLPRHLEIIYLINFLFLEKVQQKYPNNWGKLSALSIVEEEGTKK VRMANLSIVGSKFVNGVAKIHTELLKTTIFKEFFEMHPNKFQNKTNGVTPRRWVRCANPA LAALYDRVLGNDKWVLDMELLKQLESNVSDPQFVRDFQMIKMENKERFVHWIRKTCQVDL NVDSLFDIQVKRIHEYKRQLMNILYVIYRYLIIKESTPEERKRIVPRSVCFGGKSSSLDM LMQKESLNQSIQLLMLLIMIIKLEISQNNAQIIIPAAELSQHISTAGTEASGTSNMKFIM NGCLILGTLDGANVEIDEAVGRENIFIFGTQVEDVDNMKEKMRNTDPHEYFPQELLKVFA EIDNGRFGHNDELKWIVDSIRYKNDNYLVGQDFKDYIKAQQQVDDLYRQPNEWAKKSIYN AIRSYKFSSDRTIYEYAEEIWQLKPIKVPGPATQKHERVKGVALSKSQQEMAEKIKH >CAK78162 pep:novel supercontig:GCA_000165425.1:CT868296:319863:319985:-1 gene:GSPATT00013609001 transcript:CAK78162 MDQKATYRTSVRRSTVQVSGSPSNMYRPEYCNIKYNRIRG >CAK78163 pep:novel supercontig:GCA_000165425.1:CT868296:320314:321854:1 gene:GSPATT00013610001 transcript:CAK78163 MKTKQRRSISSESKKPSNDYTFRTIQLATPQVEYFTSRSTTASVEKKLHKLGKHRNELNL QLNKTINYDDQSLRNSMLYQNEDWGKFEFPSWLKGRTDFMKLRKSKHFDYHLQVFSICEQ DPFRRSDELIEIVANYLVKLDFFRLMPLKMIKQISSRLQAKSYEEDEIICNKGDKGDSMY IIFDGKVEVLGQKKLVLGPKSLIDRTALEFDYFRNNTLQAVTVTHLLILNRIEYVTILNH NMKAEHSNHEQFIKSHQLFSHFSDERVNKFCSVLQGKFLTINETLYNAGDMIDYLYIVKQ GTLARQIVMDLEDQNRWPINQKKWLSQIITRTISFNIEYQIGQLVGYSEIIDNDFDSEKK RKETIYAKTDCFLLYVSKSQFFQVFNQIDIQYFQNYQTQYPPMTQEQLVKDTRQKQSEQK QKLQMVNQAIYSHLRQFSFDYETLKKKEQKFDQVTKNQKKASREFTNRYKLIRGEKKNKI ISLDNY >CAK78164 pep:novel supercontig:GCA_000165425.1:CT868296:322201:323544:-1 gene:GSPATT00013611001 transcript:CAK78164 MASCFNIPPNFWKLFYMNLILTIHLSLCLALIALLPQIAEQNGYTDLGKYSIMTVYLTEF LFNIIAPAYLKNRRFNYAFLFQAILVVPCFFVSDYLANCRNGNDTFWICKPSVMSPLSIS FTFLLGVGLAGYFILQNFYVSQCSSHESSEIMFSTTYIFLGCSSMLSGFYANIMLQLVSR SNFFWVSGFIELILSLLFFFIKTPTKSDVLIFDSKVNQEDLEIEAEENSISRNIQNIFKT MFDKSSFIYYPLFWTSGIIIGFEYGLLYQFINKSLSKQHHDLQTVNEITASVYLTVGIAQ ILGALLNGFIKKLFKSNSNIILYANILCVVMFVSLVDSFVYDLTLTKALGFFLGFADMTG QFTSSITISEQYKDPLSIYGVYFSMQNFSIAFMIAQATFLQHLHLAYNLCIITLSQIAVN VSIHYLNKKKHE >CAK78165 pep:novel supercontig:GCA_000165425.1:CT868296:324307:325772:1 gene:GSPATT00013612001 transcript:CAK78165 MSQQKNQKNVVVYNYEPHPKTMSEQVANIQQSQIQPDESPFNVSKILKAKQQQSNIFHLP QQDLVDYSKVTPNQHAEKILPYDMFWWNSQNLKENKKTEVSAPIIPNVHMIQSRPQTAGQ QRINTEQSSNNQQLTEQQFLQFQQQQYQIFLQQQQQLKFQQQLQQIDQQKQQLNLQQENP YEVIKEHNQMIETASFSGHDNHNQGPFTQQQQQQNKQQQTNQQQQLSNQLQNPAKVYDKV NNPNAELKQKNPNFSDVFGNGLEKYYQQKPQQNSGRKQQVEYLQESELYGVKYHQRQMLK GMSSQMDTHKYYDQMLDKQTQDQNKQKQPKSKSHSPQASPGKDLEQDEKLFPKPIYTEIS IRGMGKDVNWEKLKGQLNTVQMQLADITVVKDKQGKIKQHKIIIKYFDQGNLSGVKQWVL TQGGRIVEERQLESEEEFKKIIKLKEGPKQRPMRIAEEKEKLKQQKKRPQSAAPVQKQKK >CAK78166 pep:novel supercontig:GCA_000165425.1:CT868296:325899:326719:1 gene:GSPATT00013613001 transcript:CAK78166 MQQLQQHYSQQHLPQAYQQQQRNVSSSPMQRQFQIQPFTPTQNLGGQNEGMKPYSTDMRE RSRQMQNTPQYNGQSLESMALRIQTLEKENSNLKKQLENANHQIQVLNNKFNQNPSPSLL KTTTFELVVLQKAVEQLEGLKNGLQKKKLQGSNNQTTLPDSQKEAFSELKSKVTVMEEKQ QQQEHQQSQTMLIFPKEQNLQQNPPSKEEVKEEPRHGRRFNVNSQSDQVDNQTKVSPVRV LNVKGGTSQNALRVSPRRKPDSQQI >CAK78167 pep:novel supercontig:GCA_000165425.1:CT868296:326769:328305:-1 gene:GSPATT00013614001 transcript:CAK78167 MAFIQPCPWLFNLASFDLHHKEGLDITLSNLALLEEATNKTEEKLQDRVNLVKQRIQQLA EVLISSLQQRINVCNAKLSHLQNCPQAIVFQSPSKFVKKYEFDPTNKQSIFSECYNKPVI PIYEPYKYIRLANEQGSQGKAPDNIDRVATIQMAYGRFTQKGKTIFEGERALSKRQIQGY GTVPRKLKNVDSLLVFNQLINPYTHHTFDAREVFPEPAKQENKRKKQQEEEEQVAMADAP NTIGETITKYGEESYSYVPSNKPIAQLKVDAKLNLAGIVDYDPDNDDIDFFNPSTQQRNK KPVAPSITPSQAPPQLQQTQPQPQQQQQQQQQQYQPQQQQQYQQPQQQQIQQPPPQQQQN KSAPPPPPPPPPPPPKGAPPPPPPPPPPPPPPGPPPPGQLPPPPAGARAKLLDDLNTDNP LARLKPVNKNKAPADQPKPQSKAPEMNHMDILKQQIAQRYQDLNRQPTRTTKTMRTQIIG QRMDDSDYSEHSD >CAK78168 pep:novel supercontig:GCA_000165425.1:CT868296:328612:329509:-1 gene:GSPATT00013615001 transcript:CAK78168 MVQRNQEDMTDAGANKFETETREKRSKHYFKSGAHYEGEWLGKQRDGFGIQIWSDGAKYE GQWKQNRADGKGKFWYASGDLYDGEWKEDRVSGQGKYIHANGAKYDGQWLNDQPHGYGIE IWKDQSRYEGNYRFGKKEGFGKYYWNDGSCYQGYWKRNQLEGFGIYTWSDDRKYMGMWSN NQMNGRGIYTWPDGRSYEGEYANDKKQGYGIYEWPDGRKYEGYWRNGKQSGKGRYSLPTN NSQLGLWEEGRRIQWLVQDEDIKPKGWDQYQQPTLPQDQITIK >CAK78169 pep:novel supercontig:GCA_000165425.1:CT868296:330452:331731:-1 gene:GSPATT00013616001 transcript:CAK78169 MTHQQRKQIYVFWLSKYKYLLSLQMSVTLGINGFGRIGRLVLRAAIENNKPVTVKAINDP FMDLDYLVYNLKYDSAHLRSPFTVEKYDQGIVVNGQKIRVFAQKDPSQIPWGEAGVNTVC ESTGAFLTDEKAGFHLKGGAKKVIMSAPSKDKGTPTFVYGVNHENYKADSHIVSNASCTT NCLAPLAKVINDNFGIVEGLMTTVHASTATQLVVDGPAKGGKDWRAGRAAAANIIPSSTG AAKAVGLVIPEIKGKLTGMAFRVPTINVSVVDLTVRIQKEATYDEVMEALSKASQAGPLK GILGFTSDQVVSQDFLHDARSSIVDSKAGIGLTKNFHKLVSWYDNEWGYSNRVLDLAVHI AKVSKL >CAK78170 pep:novel supercontig:GCA_000165425.1:CT868296:332041:333000:-1 gene:GSPATT00013617001 transcript:CAK78170 MQFAWTDAEVENNNKWNYDDDRRLEEAFKLYGPQWKKIAEYLSGKTDQECVQRWTQLKSG KKTPWTKEEDEMLKELVQKYGENWNEIAQIMKNRTGNQIRNRYINQIIAPPARPWTQEED DQLMVLYRQYGAKWTQIAKLMKDRSEIMVKNRFYTKHKDQNYKLGLKLQVSDQEFEKQED QEIKTIKTESSCKQEIDQLEPQVHKKIDIKSSEQQRDSNVRYLCPRDFLFKFEQMFDLNP GEPKKIVWIPVPVIRLIPKNIRTEIQSAKNPTEILKEEEQ >CAK78171 pep:novel supercontig:GCA_000165425.1:CT868296:333454:334123:1 gene:GSPATT00013618001 transcript:CAK78171 MNIETQSEKSEIRRSKRERYVWEALNAVQKWRDLFENGYLDEVGNYLKPSLKEAADLVGL PKRTLEQYHSVFKKLPQSIDIMRILDKKMGYLNQLIKEFKNEPAVTQEQQEEIQQEQSSW DNMIIEADDQLAVQRDPDEDQVINQVVQQQQIFENEEDYVKYLQFEEDENYCQEYYQFNE DEDFLWDQEQANPAVPDF >CAK78172 pep:novel supercontig:GCA_000165425.1:CT868296:335186:336355:-1 gene:GSPATT00013619001 transcript:CAK78172 MIQLFIIIALAFSKPLNPKKVIYAINCGAPSNYKSPSGIQYEKDIQTDPETVVADYSLNS EVASQQIKYTREPEIYLTERHAYKSFSYQIPLQKEGTYTLILKFAEMYFDTKGKRVFHIK FGQQRVVEKLDVVAKVGKFASNDEYIEFEYVAGQVFHNNVLCVDAVQEGKLQVNLEKTKF DNPYIHGIVLYAGGIIETDYEEYQYMKENWEKVINEEKIKEELERQKKSEAKVKRKERVK IRNDHLNELENEFEVDDQSQNTSAPVTQRGGLRNFITSPFGTAILFSSFSIGVFILKNLI IAISNAKIKGTEKEVIETKSKQEKQVKEKSEKSEQSEKKNKTKDIKEKSKAKQQ >CAK78173 pep:novel supercontig:GCA_000165425.1:CT868296:336415:337139:-1 gene:GSPATT00013620001 transcript:CAK78173 MSLNTSMPYYRNSSREVKAIKEIVQAEAIKSARLLSRDKVKVKQNTSIGDLNRSKGKVNN SFYDNRNNSQIDNSNNLSGYLANAFQERKENIQRIQMQDITNKNKFDENKQREIKYLMRI AALEKESSKLTELATKLKKENQQLKQSNNQDLIIYQLQEALNMSKNENLALKKQITQLQN SNTTCFNNSVYRMQKQQTQNTNQTINTTNNISQDNIKFKEYIPSYLVALSLAG >CAK78174 pep:novel supercontig:GCA_000165425.1:CT868296:337209:338516:-1 gene:GSPATT00013621001 transcript:CAK78174 MNSLDQSLQSEFQEFRTQFQQSEKVINNPESLSFQYKPQGQSHHSSIQLQSAEQQSLQQF SLVHLQEQQPAEIQDTSQFETEQYLNISFQDIIQLIFNNQCPQLNSALKIIVFRSYILVN LFTIFNIIFILNEVIAMYFSLITKDEIKELFSVYFGFNLISDCLILYINCQLRYIINEAE QINQGDASSQIIEYLKYTIKRNSPFEDIVEDEEQLTQAQQVAISISEKITTIKWLSYLLE NQNSQIFALNRVCLLTKIILFAWGNITIVQWIFINWDNNNINMNQLDSILIVLTVFSMLI GYIIIIILLSLILVICAIIPIIIGMAIWQSCNWCTYLYTEYKLHRIAQQRQRFLNNLIPL KFQQLKEQDESIHEECSICLSTYQMDDNCVKLPCNVESGNRKINHVFHDTCILVWIQTCG SCPICRTVFIERYEQ >CAK78175 pep:novel supercontig:GCA_000165425.1:CT868296:338579:339510:1 gene:GSPATT00013622001 transcript:CAK78175 MNTTLENKQDNNQIEQIEKKVKIQKPKKADFRMHAHVNPLNETLFPYPLNYNQVDWSLHY PKKFGKDPLKLYLNTLEYPITYDDQVNPDCSQSIVDFLDIGCGFGGLCFALSENYPDKYI FGMEIRGKVVNFVGEKIRALRDEGQAHNVSVIRTNTMRHLPQYIRKNSLEKIFICFPDPH FKKKNFRRRIVNPGLLSEYAYLLKPSGRIYCITDVEELHNWHVQHLEKHKMFKRIQDVEQ DSAAKLIWNSTEEGQKVERNKGSKFIIVCEKV >CAK78176 pep:novel supercontig:GCA_000165425.1:CT868296:339519:339961:1 gene:GSPATT00013623001 transcript:CAK78176 MPPKTQQVVSVIKDEEMFLNLISSENKKLVLLDVHPDWCGPCEMMHPTYKTLQTSIDDFE KRVDIYTLGYSKIVTYKHDKFNREPTSKPRFVFYQDGRLVDEVQGADIPALIERVYKYLP MVY >CAK78177 pep:novel supercontig:GCA_000165425.1:CT868296:340105:341969:1 gene:GSPATT00013624001 transcript:CAK78177 MIKFQNQPLNYDVNGYPQPKYQQMPPKQQKQMPNQGGFQETFGQQQYYGRQMIPQQQDSK NYQQPQQHQQQQAQNYQNYPQKQQYPNMQQQQQYQQSQQQQQPQQKADPRYQNVQFTAKE FNQLFYKQQQQQQQQQAQQTNQNKANENQEENKYPQPQKATANERQISTYSQNQQQLLQQ KQAGAPGRMQSADPIQAQNRNAVPLNNIQNQQATKTSQYISNGGINKTQNDYSQRQATPM KDQMKFMSIDTILSLDQKQVPEQINQQLMYSPMPKSQLLNETTNLAKLQQQQLQQNKSPQ IQKVGGSGMGPAISQPTNAQQIVEELAKEKERHQLLTAKLKKLEQEKKTYNTQFLNEMES KIKTLIQQNDQLQNLNMELLQKAQDQPQGEIQELNKQISYNSQQLDFLQKQINEAQKQLS EQMKKYKDLEQQYQEKNQAEEQQIQYLVTDLEEKVHGLISENERLNALIAQQDSNPKKEQ LQQLTNEKNKLIQMTQQSQKEVKNWKTKYEQLQQKLQQADKFLEPQGEQVEALEEKIKSL IEENDYLNTVVQQQIERENQIALLEEEMQNLVEQNDNLEMLLQNSTKK >CAK78178 pep:novel supercontig:GCA_000165425.1:CT868296:342147:342646:1 gene:GSPATT00013625001 transcript:CAK78178 MQNNNYQNQNDVFPSYPEQYPNQYPPQVQMQQQQPYLDNNIYVGQPINQQQQFGNQQYLQ QPYIIQGPVEISTPIYASAEGMRFPIQIKCQFCQQVGITKIQNRIGDGTICASILVLLLC WPLFWLPCCLEDCQDRIHLCQSCGKVVGKKKYEVC >CAK78179 pep:novel supercontig:GCA_000165425.1:CT868296:343645:344040:-1 gene:GSPATT00013626001 transcript:CAK78179 MSWYKQLGNSVKELRFVFCQTCGRSEGVRNLVSKNYWQWKDANPHFPFVVRECESIDPYV LIRYKYGVEKKALIGNLNESELEQVLGQLVAQSNKVNSSI >CAK78180 pep:novel supercontig:GCA_000165425.1:CT868296:344062:347019:1 gene:GSPATT00013627001 transcript:CAK78180 MGGVCGHNHNHHNQFAKSPGGLHRTGCSFINPEPMKFNIIFENLRLQYPTKSCHLSIKLA GEILLETPNHIDLDGKHAWKKIVETQIKANIVELHEKKLEFYLFEEKNVIATLEIPVFDI ISGPIHFDYSIGKGRLSFDMQMAQILQIDINPIEIDCSYVESIKDKAYVFNLRLVTRKMY FTSPNSESFYNPAYLRGFNSSLNNFLQEMMFRTTWNSSSELPIFGFELPSNELYNSALQV CIWSINKANEFQSPGICSIKSLKEKYEKEVFIDQNLFAETFIALHKLIQEDDEEDFQMYQ QFKTTVTKSLWCKGNKVGTIQCKFNVKLPRFLKQKLVGIRTENGCTLGVNICSSKSVAQI AEITTIFQKLYESMFKMQSLSHNDPNRAILQHQALTISQQLLVEVQKADKDTNSKLFYYK NQEDLFKAQEYFIKIAEQITKYIDKLDDNLREVCYEILLVLIDRGEFRLHSLGYFEECKQ LSKKQLELKNSVNLHYSEFMLQTLNWVLLKVPLKTQQQNERKFMMRFLVLAFIRIYNFKD QLLKCINKPNDPQLVEWRGNEFQLEEQDVFINEQVAMIFDWQTYFYNYLSQNQQYQLNQT MQDEQWKSTFQKRSLIYQYFIMDFCTYIQYILQKNNIQWQHVPGYKQLLKSFLCELKLKE SYTTSFFDCVMAISRNTNIINIIVMILFNKTNLYQSDQVIQVFDLLSLIINQCPQTLTVF DYPFFLNGIRIVLTQSEHAIAIATVLELIYTNFLKFPIEFRKAVIDLLFEPICFELFLHW SKTVRTVFMSFLLYRICHQYRNNKISVMDEELFEQQYLIASKPRKNFSFYENRKEEKQLI ADYIYLKYTRFMMNIEQVKIQLKQFPVHRDLTGLESLKEKLAQKNQYHQESQNIIIQEQR QDDKEEIPSEKQIIFERRNEYRNPTKKRTIILSDNKYKYLKVALNEFSDLTKQYTVDGDI NL >CAK78181 pep:novel supercontig:GCA_000165425.1:CT868296:347194:349218:1 gene:GSPATT00013628001 transcript:CAK78181 MIRGYTSVLRKIHLVLTINGILKEIGVSEKPQVYQKTKLKNISQLNDIKEYGTVISIGDG IARVFGLTQVQAGEMVEFSSGVRGMALNLETDNVGIVVLGNDREIQEGDIVKRTGAIVDV PIGMEMLGRVFDALGNPIDGHGPVKTNTRRRVELKAPGIIPRKSVHEPMQTGLKAVDCLV PIGRGQRELIIGDRQTGKTAIAIDTIINQKPNFDSGDKNKQLYCIYVAIGQKRSTVANLV KILTQAGAMKYTIVVAATASEAAPLQYLAPYSGCAIGEYFRDNGMHALIIYDDLSKQAVA YRQMSLLLRRPPGREAYPGDVFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXRIGLIQRNLDAATQQLLNRGAQLTELLKQKQYVPMCAEEQV CVIYAGVRGFLDKVQTSEIAKFEEKFLTHLRTNYPAMLERIRSTGELSKQDDAELKSILE VFIPEAGLAMKQ >CAK78182 pep:novel supercontig:GCA_000165425.1:CT868296:349236:352201:-1 gene:GSPATT00013629001 transcript:CAK78182 MPKEKLSNYIKFFNQINDLEQKGLISSKQKHLLKVNLTTKDYQLNNLVCSIYDEQDYQTA ILQYLQTLEPQPNIRYQSFELNGSHIRQRRRGNSLSRVSQFNETNLIDQLDQLMTLWQSD SQFHLSHELSEKLRKVGEFVTKTATSTPSNSQKQVSKLCESKQGSNITHSTYVIENLQQL YRDMKQHFNDYLRCHLLLMDDKLSTQNLNNVLRTFMKSLVDADEISFIINNEIYHSETGQ FEEIQLTDQLQLEIQTILEGNIIQISDSCPVINSLFQTHKFRGNYFLKIQNSSCLFCYHS KGEHKIIIESFLSLCNEYQFFEEVNQLAYFLLETTKQARVQCFNLLQLSHMIQDIGIAFI RCSKYFFIHNSISILSERYKVCKDNSSFLSIHPYVEFDFRDSLNLSIYICFDLNRKEDMN VYKQLNLSFNKYLKFIKQCYDRTTFYKFFVKSQDSVIFEFDKQGQITFVSQPITSKLAEE FNINFNPQFHNASYTSIFKNKNLIQHIENQLQDQNKWKITDSNASYEVFMKMEEKYFKGF YVIFQQGWFRYNQKSLDANQWQKLRKSFLQQETKQYLDKLEQKHPQLKNSVVQMFKPKAT QKQSQFKNYSPSFSPRHLDQRNSLQESESFRLIPKTKGINHFFPDMITETCIENIDNLDF NILPYSTDWLEKQKVVFSILRRNNFIEDYRISQDALCSFLCALEYKYNKRGNQFHNYDHG VTVMQCTHAISLEIMKTQYAHLLNQFTKFVLILSGLCHDVSHTGRTNIFEINSLSNLTIR YHDRSVLEQHHAATSIKLLCAPSTNIIPNFTSVEFRDFRKLFISNILYTDITEHFNLIKN FEARIKELNFGTEDDIKLMTGMIIHTSDFTGGAKPFNLSREWSTRVNMEFQEQYNLEGKF GYPQLPYMKDLDQQPIMAKSEVGFFKFIVRPLWSIMSKFAEDRLQKSVENLEQTILEWEK LMNN >CAK78183 pep:novel supercontig:GCA_000165425.1:CT868296:352220:352613:-1 gene:GSPATT00013630001 transcript:CAK78183 MIKKYFSFDFQVIGKVQGVFFRKFTKEQGTNLGLVGWVENQKDGSVKGVAQGDQKKCEEM IHWLSNVGSPKSKIEKMIKTNEREIDKLQYKDFSVRDDKKQK >CAK78184 pep:novel supercontig:GCA_000165425.1:CT868296:352623:353890:1 gene:GSPATT00013631001 transcript:CAK78184 MQQMNKTGTGFKTLKLRSSHSFRPQSAYQEQIVSIIPFEMSEQAYSTSLKMDLLNIEDEI SKLNKLGIQQSRLNSNHTQKIKQWISNIKMNDKCLDPQRVIQICNCSDANETLVKLYNMI QNFDFYVNKITELQCIHYLQYEQCFTNFQSNYFQKIASQGILPNRIIEYLVQKKGDLNHS NGESILQQKLYELKDENLKLLSDIKQLKSQCRKLQDQLQNSTTLSQSQLSQVTVELPPNP TSYYNKVIDEVKDSYQNLVTMLRDENLQLGLRLSEMQSKYTNASNEIIELNKKVDSMKTQ KDDLYKRFVAKYKTTEEFEQSYEAALKEEYTSMEISFKQKISQLQHSIDQNSRDYQKKLL EQKQVIDQQTDRERMLIKKMSLYQKL >CAK78185 pep:novel supercontig:GCA_000165425.1:CT868296:353900:354623:-1 gene:GSPATT00013632001 transcript:CAK78185 MQNNKQSIRHIIYGSTSYPRPRATRFLESQLTASLLLQKLLYFNAYFSLLFLYITLGINI QRLWIVKSNLMDTLNLVLLLIWAVLEGFRLSNGYSGNIKEQFPELFSFVLITIVNIISLA LQVMQYIIPTLNYPMQLGLVIIQTVLYFCEIMIAISSLCTQNKSQLALMSLRVPKSENDY QIKKRIDDLRIKQEEKQRLVEQEQERLTYQNNY >CAK78186 pep:novel supercontig:GCA_000165425.1:CT868296:354633:356847:1 gene:GSPATT00013633001 transcript:CAK78186 MQQEDLTPWLIQMLQPYSSIFETMYSVGFKIFDTIILKQGEPKQWFYMDDKHCIKTHDDE WLKWRVFGTYCRAKNVNRLSEFIQSDNLDQVQLQAPCSCEELQTTCKCYIFHFEQKLAQG RIYRAVSQEVANELFKNPNEKSEIITNWTKIIGCGVTKSPKHIFQVTVLKEQIDDQPKFR VNRKRFAQTPLQKEEFSKQENIVKKPVQLKEEYDKSLAAQQNTKCEHFAFSLLRFLEGRL QRSIQLLQVEFFMTEGDLQIYLTGLDNIQFHSDGEPVEIATLYPVKQQVHNFDKCAGLYC HYATNNIFYDEFDEQFSLFIMAGEKETHKKITYKSIFLDMIERFKTIKMLEPYLNKPPTE QLIFKLRIYYSLGDITNSAYFKHLKISNFYKQETVCQFCFHVYNKIDSLRNQYLKNKRTI LNSQQIEAEVSKFMSQNYAQKEAKVQMRFHNKFFDKLKQFDTDQLKFFQEFTLTSVQDDK YFLKRGKLTRSLQQHQQAGFQLPTIIKVQVNDKDNMIKKTKYLIPKEQAESLGLKHYLGH AKNLPFSDQGLAISKSSLELNKLQDKIREIQMIKERMDLEKIKRVREDIQVIMCTYYGKG KEEKDRLMDFVETLKKREMQLEALEDTSEQIRSPAIQIVTDKNDFLSENQRKIKQDKEKK EQEILQTKKDFLEVNSDLAFSVFRRDYVSERNSEY >CAK78187 pep:novel supercontig:GCA_000165425.1:CT868296:356893:357920:1 gene:GSPATT00013634001 transcript:CAK78187 MYYKDYETGKFFLINNVNGATKEITKTGDFVKKFSLDYTGKLGFKNRIEQPDPCHYPCSI ESLTQFPPFYIPQPDKFDGYAQLPRTLQKPFYNNNMRQRSDATIESAMELVKQQSFNNKY QIEKPIKHITGTLNDFNFVQNLKKIKVLEHRKSTKQRNFTNHINCVKVINKEEIQAPNLT LLRRSRIKSQLLPKTIPFGSSNSREPSEIRYIVPMEEKEIKSVHQFQQYQSEHKESELMY ESRTFIKSQSQSKVTRNDLHSSHLTLNHFREKVDIAQKEENAYIIPQQKEKLSISSKGRF SAIKTKSNGDQYVTDRIIMKLFQPDLFK >CAK78188 pep:novel supercontig:GCA_000165425.1:CT868296:357938:358290:-1 gene:GSPATT00013635001 transcript:CAK78188 MSDVDIEDTINRIKNHKSVQGIVIVNHEGTITRTTYLNEKKEEGDTIAKSIPILAQKARS LVRDLDPTNDLAFLRIKSKQNEILIAPDKDLLLIVIQGPKKQGEDDQ >CAK78189 pep:novel supercontig:GCA_000165425.1:CT868296:358641:362420:-1 gene:GSPATT00013636001 transcript:CAK78189 MSVIFDQLDNIQSLRFRFTQAIKLGVSLYYIENCTLKRLVVTDNQIESYNESEALNVLSN VSQICSDSKTLFCLQSNGDLYQIDQQPKLLVSGKNYMNITPTTAIDHSGRGFFWKNNKPI YSHCKHISAYQDKMTLITIGGKIFNIENGSQIPIQQLNGLGVNGYFKKSLLFQFGGIAIT ENGDAYCYNTKMIKLKTSNLEDIQASSRFVFGIQGKYILQWNLEDFQNHQFFVNQTLFKK MNYGHEIQINCKKKEFQEVKFIFADQFSQFCCASIQSISKQNQNEQSISAIERTFCRSTV QNLKQQLFDQWDPPSKKKTQEVRQNKYLRGERTERSETSNRQTDRIEKVDDPTIQSKEQR YRQQSNNILDALFEKSNKQDNVVKQQVEKDKELQINPNFNFKTSQQKKSEIFAPLEISKL NLNDKTSPLDTQSQQKQINQQHQAQELELPYQQQQNSEIQFEQQQQQQKQQLGLQENQRN KNAEQQQLNENKIESSNQKQKQEQEQQQKLEQQQKQEQEQQLKLQQQKLEEQQKYQQLQQ QKLELKQKLEQQQKDEENQQLKQLNSMNMPKQCQEETKQSSKLLEQYKKQFDQILKQSYE QIIEQSIDHSKETEDLRTTKQINQESIIVQQNTISQKLQSIREIYEQPKFKTQNNSLYTL DEENSVEQSVCQEEDEKDKVQLKRQALLIQDIFNSMPATQQGLKKQESVKQQCYSKDFQS PSLSLENSVQLVQASAAELQLPPIQSSLNTFFSKLQLKPEKSIDQVLKSQTQKDETSEEI PQTNSTEQSSQMEIKQTKIIKSFLKPEQCQKQKNTVEVMEQQSAIDYQNNSGFIDQQHDS FMQILQTEKKQQESKKIQNILSIFDQIQIEPKKRSPHRINLFKKQDQENRIKKIDIISDS DGQLDENLTEIKHILKEKNEQIIEKKQSPNKTNIKLHKTLVTFSEDISQVEVQERVMQVV KVDQNLQTVQHKHSPIKKKSPVKENNISSNKNHTLQSSEQKNLTKISQNADVKQPNFDIK ISANKADRKYTSSQTRIQTLNCNAVRPEKIFTPLRSRRNSTARGSSVDTTRFKQLQIETQ IVVPDAQNQTPQINNFEALDLSNAMYHINQTLIEDSTKNTPVAQVFDVLNINQQSQQKLL KEPQLIRVSSSSQIPPSLRTNSVGPTTKKTEVSPMKVSKQTKNASSSKSVNDETIKLKKR QQDIILRKLFFRLDIHVKIIKLEFMFNMKQRTRK >CAK78190 pep:novel supercontig:GCA_000165425.1:CT868296:362492:363800:-1 gene:GSPATT00013637001 transcript:CAK78190 MSSITIPMITQAHRLQKDSSLNFRTKLQKSLRLSEKYHCNIHLKREDQQQIRVFKMRGAF NSFISLTQEQRAKGLVTVSDGNFAEAFAFFCNHFKIKGTVFLPEVCFQWKIDIIQKYGKD YVEIRSVGESFDEAEAAAQEYIKQHDRIMIHPCDNVKAIIGNATISIEIIEDFPGEVDYV FVPVGAGALAAGIATYFKAMSPNTKIIGVQPDGAASMKASFDAQEVVTIETMSRFCDGSA VKTVPKITFDICQRSLDDLVVVPEGKVSSTILELYNQGIAVEPAGALAVSVLDQYASQIK DKNVVCLISGGTVDLSRFDEFKEHSLLFEGLKYFFLIQIPFRPGILKSFVSLCLGPDDEI SHIQFQKKQNRERGPCLVAIEVAKKENIKKVMENMTKMHLQI >CAK78191 pep:novel supercontig:GCA_000165425.1:CT868296:364472:365478:-1 gene:GSPATT00013638001 transcript:CAK78191 MGNCQATCCNKEGEIVVKENYKSDFEMDDNSDVVNSIVSIKTTNQQRERDKFKIDLEQSK REGNQLYCNVRTKFPAVQIDKDTLYEGEWLLGKRDGIGRVVWSDGSCYEGEWKDDKSSGI GKLIHADGDIYEGEFSNDKANGKGVYTHVNGARYEGDWVDAQQHGQGVEVWPDGSKYEGT YASGKKNGQGILYFADGSKYEGNFQDNEIDGYGTYEWPDHRIYIGEWKNNKMNGHGRLMW NDGRKFEGEYVNDLKHGPGVFEWADGRKYEGQWIDGSQQGIGIYHLGNGIKRYGIWKNGT RQRWLTEEEIELFLKNKQ >CAK78192 pep:novel supercontig:GCA_000165425.1:CT868296:367633:367959:1 gene:GSPATT00013639001 transcript:CAK78192 MNQIKGPKLNFSTPIKIQDFIEEKPYSVFDFENTDCFKNEKISKQVKFAPTITIFLRYQD EEINRFRDRLKTQVQMTKETYNLNPTLEESPKKQQLKSCMRVPIDTDY >CAK78193 pep:novel supercontig:GCA_000165425.1:CT868296:369262:369852:1 gene:GSPATT00013640001 transcript:CAK78193 MQTPIKKQPKSIRQIIKDLILKEVEMESKKKINIIIIPTRIGQSCWGTRNLRRISPSNHQ KIEQGAIVSPINCSQISPMNQSQGSPSNYRIKNTSKYGKLLKMNNQEQPYQASKKKQKYK TEPRQKSQSVNTAKPFHQRLPSLPRRINYFADEAKSLQLKLTDTIEGYRVQQDDMSLEID EYVKMNYINQFKHQEN >CAK78194 pep:novel supercontig:GCA_000165425.1:CT868296:369930:370698:1 gene:GSPATT00013641001 transcript:CAK78194 MNKIKRKLFSMEAILDSRTINDQLYFLVKWAGYPISQSTWEKADKVPADSNMISEYKMSV QMLGKTFYFDPLDQPPQIEDFVEVPPQKSELSTFNTKLSEFQHQINTLKDDVRLILQTQL RLIKELKMSKNVIHHYDLQRSPASQDKPQSIQSDMMQQYQQCALINYDGSFEQGDKVEKI GRAVQIKKTKTKMYYILWKRRNNGQIPKNSWVESDKIIENEPVKVCQYLWNKLI >CAK78195 pep:novel supercontig:GCA_000165425.1:CT868296:370706:372434:-1 gene:GSPATT00013642001 transcript:CAK78195 MSKQIIDLLQNNRSNWATKIEQFNQYETGYLQQALKQFSSHHSQSISPIRSLNDLSIDNK LGFNGSPNISNADQQIGALIQEIEKQNISIKLKTQQIQECHSKISQIELHYGLKIEDLET QHLYQIQLSKSQLQELQQENANLINLINQLKSQQDQQSTLIEQINTENNQLTEQMRKFQM LNSIQSGDMNVDSNSNQYKQEIMQIKQQMIKLNKVIQDLEEQNKNYEVERNKYFKENHDL INLKNILTQQLEVLKIEDLQLQEENKELLDKMNKTKEQFNISQKQILYQLKQEQIEKQQL LNQYQRKVHELQTISSQLESRIQETLQGSSQINQFSSKISSLEQQEHDLQNLIEHQKTQI TQQRKTISLLEQNLQNSQNTEIQYQQLLQSYNNIKEQLYQSSISEHNGNNNQQLIQQQLL TSQKQCQEQQNKIEKLSVMLKNRLNEIELWKNKCYENNLYENRQIFEQLKQENEILRQKC NILEINIKENNNLLYSQEITKLNQIIKQQQDKIIHQQQILEQQKKQLGSPKSQKAFTTEM NTKDFNSKNKRN >CAK78196 pep:novel supercontig:GCA_000165425.1:CT868296:372810:374420:1 gene:GSPATT00013643001 transcript:CAK78196 MRQCFPFLNKCHYKYRWGVLGFCIWLVLGAFYCFDIPTSLHNTLQGHFSDIMTPEAFELY YGGLYSLYSFANIFLPFISGKIRDQKGDRIVLILMVVLVMIGQLIFVYGVHLKSFMVMYL GRIISGWGIESVVPTQTSFISPYFKDDFLGFAVGLNEFFATLGSILTMYLCPKLALEYGL LIAVSSGIFFNSFSLICGVITVILDKHAEKHLLELVNYDQVKYSVLRSSENRSPRQSGGN NANGVEINYNKNVGEDVIEVDEIQSEKQNGEDGQILSQPDAVQAIETFPKIFWLVSWCYA LIYSSVLGFINISVGLLSERWFGVTEESEIEAGFVVSIMWFITGLFTPLFGSYTDKYGQR SSLLIFATVLCTFSHLMIWYVFPFLSLILLGTSLALAFASAWTGIVFLVRPDTLGKAYAL VIAVYNFTFTLVPLAVGALRAYYGTYFYSQIMLSVLGAIAFVLSIIIYQLDHSQENILDG NGQYAVSATSLEKNFPNETAHLKED >CAK78197 pep:novel supercontig:GCA_000165425.1:CT868296:374519:376545:-1 gene:GSPATT00013644001 transcript:CAK78197 MLHLEIEVQSLQFQIEKYSEQCKIIILDENESKILMSKILSQCANVQDINEVVEFDFYYT ENRYLKIMVQDCKICYGEAILNLGFYIENNLPLVIDKIPIHNRYDQDAYIQVGLAWNQLN QSENKRLGNNLSIMNTEIQKSDDWFRQKRECQHSPIEQRGENQNHHREDTLKKYQEQPSN FESHSKYSEIDKLIETDTNVRRVQTVRVQKVCLSQQKSKEEKSDQDYPTALRENKFVVPG KNKTKNVQNNEEILRGSTKPKANPKKIEQFDNFNSRPTQNEQSLLTSCRQLEIGEQEDNF LQIQNQVLKHQNKLMKQQNEKLQEQIRKSEAAFNLLSETYTALQSDYKRIQIQNLNSNFN NSFINELNNLADKNTKEFQQIKKETEILKKEIEILLRENDILKEEKDQQKKKYTHEISKL NEELTKSKNTALELQNEKIQLQNYIQSQKDSQINQQCYICLQKDEFKPNKDKLQYKSNVC AETIQQTNDEKARTRNSKLQIDNLLKNEGKQKLNQLSESELQRLIQEYSSELQITLKENQ QLSIDLMQLQVLNSKKDQIRLESYQKELEITKRIVKLSDQRCSSLEHQIIQLEKAVLNGK QNIADMINAVMECGGQKLQDQMERCLAFRRSLKID >CAK78198 pep:novel supercontig:GCA_000165425.1:CT868296:377286:379170:-1 gene:GSPATT00013645001 transcript:CAK78198 MQNNILFSNGQDEAILSERNEQEQFQSDISNDEDDSNDQIDNEEEQEMNPKESQTPTFPE QQIIKDETKKKDVINKTIYQIKETCLEKIKAYIGFGHGDLFINEKIGSIFFLPISCNWTL KIQVTIAQIIIAITSLSLFIGVQFIGQNILFDTVQSWTKNNVSELLQKQICEITIDFVQL LINTRENEIQLINVTQNLIQLESLRYNGVLYKMDDKLPNSTKQYIPDTSIVDQYTFNFAT YSLLFDDSIPEKRIRNYLSINNIMYFNYQSMGSFFYWIFDDGFMMQYPGINVTDLELFNK YRLKMYRDRRYAFQIPLEHTYDPFLQVILQREVLPITDANNTKIGLIFCERQPFILYMLF YSIATNSQYNYTLFLLTGDNHIIDYQEQEYKIDIEELQQLIMTMNSDDDSKLRKINVSKY LTGQAKVESYIAIYQGELSGQQFLFAFINYSGLILGIYQPTYLPNEEIELQKDIYERKVD NFNKKLIPIALSIPILYIIICVFYMVRYIKPLQRITEYADQLLKKSQNFDEKQFEKQFND STGDDLIQQLTSLFAKLMGNLNKSKQLKKQEIIEFYNKQTYPKNQKPRDVTPIINEVKKI KLDSIVRDPGVLQFPNLDD >CAK78199 pep:novel supercontig:GCA_000165425.1:CT868296:379491:380208:-1 gene:GSPATT00013646001 transcript:CAK78199 MKCFCKNKPKKDLVISIKDDNVIFQTCNNTQNVICKFQGFYDFSDSSDNDNQIIRLMSQI SNKDFDKNSFKQILEINTNCSQDSKLFINNETNFRLVSSTQSTSTSSPTLTEPKKKKKQK PQNKKSQPKSEVEKKPQQISDSKNQTKQNKVCSMENEDIRIFEERIKQYTSEVDDSQKIV LNLPLEWIKKFGIQKKKK >CAK78200 pep:novel supercontig:GCA_000165425.1:CT868296:380389:381596:1 gene:GSPATT00013647001 transcript:CAK78200 MGNSCTCQCQTKAIEENELNTNQKQAAQSKLTPKPDTAQNQDPDGKEKISNQLKDEDVAQ MAGARNNSNEDSSSPKLMKRENDDNKPEEQYIAQVQSTKNKTTRTKLGAIEMKNGGVYDG EWKNAMRDGSGKYVWPDRSFYEGEWVEDKANGFGKLVHVDGDIYEGQWLDDMANGRGVYI HSGGARYEGEWKNDLQHGQGVEVWPDGAKYEGRYENGKKHGQGTLTFADGSYYKGDFVEN DITGYGEYFWKDGKSYKGQWNNSKMNGKGVTQWADGKKYEGDYKDDKKHGFGIFQWENGR KYEGNWINGKQHGKGMITLPNGERKEGIWENGKRIKWLNADESPNG >CAK78201 pep:novel supercontig:GCA_000165425.1:CT868296:382634:384863:-1 gene:GSPATT00013648001 transcript:CAK78201 MKQRDEEEQQLMPKMEAFQIFIKTIMEVSNPTSTFRIIWESISMLFIFVQMIYIPMALSF SIESQVGMEIVNYIMDIFFVIDITLNFRLAYYENGKLECRLKHIALNYLKLWFWIDVIAV LPFDLLIGNDSNQSTQILKFVRLFKFIKIVRLLRVLKLGRILIKIEETFSIEQTLQAIIQ FFKIAAMILCIAHWIACIWNIIEFVDEQIELTWMTQYGIYGSPWEVKYITAFYFSITTMI TLGYGDISPNTNSEMIFGIIVMVLSSGIFGYSMSSLKYIIQGEDLNIAEIKEQNQKIMKY IKQKSIPKNLQMRVKNYLEWLEGSAQVAKNSQMLILNNLSSNLHTEVLTLLHGRILLQVN LISKEFSNQLTNKLIYVLKEHLLGPEEYVFKENQVDSNLLYFIQNGQVEICLTKREFQLK LLGKGDYFGEISFFSKSPRTAGARTLDFVNLMSLSRKDLLEQAHDLNSDLEKLFYIKNCV DVDNSLKPLRLRCYICDRPHHIARNCTIVHYRVRRLQVIEDYLKQKNYRVKEFKRRSKKC LVSSSQIIYSKADAQFRYRFQQLKQIVKTTKYIQIQDESVFDSSKLSTQIDDNNFCLDKH RDYLEFYTEFNPSKIISDINKQSEQRLSEMREEKEEIANKFRQNLLNGRKYKQLQIAPPR QSKLSYDFQKIFSTDIQHIIFSEDSSSSQSSSNLLMQKSGKGKRLLDIQTQKQLLYLF >CAK78202 pep:novel supercontig:GCA_000165425.1:CT868296:385988:386581:-1 gene:GSPATT00013649001 transcript:CAK78202 MDYIPDEAMQHMPKKPQNAYMLYRAEVYDEVKKKNQDKSMTELTQVISSMWNDLDKKKKE KYENEKDKAQNQYKKDYEAWLKKYKLDEDKVKNSLKELKQEKKKHKKGSKKVTKASKDDD ESEDEDEQKIQQIKNKNQKKDQKEQKETKETKEKEKQKQNQKEKEKEQKGNKKK >CAK78203 pep:novel supercontig:GCA_000165425.1:CT868296:386842:388742:-1 gene:GSPATT00013650001 transcript:CAK78203 MSKIKVMSVTGNPDLLHFKNLQLTERKKTYEIQEMHESEYDHQVKLLKRDASLRIQIKKL QPLCNQEPFTMDDSFMSKTVKRPLLLIQNGTPTVHASRKIKFTQSMISQAYESQLRFFNS KKNTYVQMMLSIDDQLYPVIIELFNEFAPKACENFTKFCEGVNIEGKFYSYKKSKFTKYK PNGCNLIQIIGFLQGGQFDKKVSIYGGYFEDESYALKHDCEGIIGFANDGFQHTNHSQFY ITLAAMPFFDYKRVAFGKIIRGMKQILKVLKQEQHTDIVIYDCGRYDHQAQIRLKLEKFT DDFYSNIPENTQSFNKFIEAEQLKHNEASGDFTQLVKYLVEQMRIISKFVYSLTGLKKAI QQLNESFLKTQYCQILYILDNQQTLQAENQQSKYIVAFRAVDGTDSCRASAFIIFKKQTT VFKQVTLSDFKQQAEQIACMGYCVYGQTTQLVFGTGYNLSMFTLQNNDFKLQQQELQIPK KETFYSNNIKDDFLQNKIIANLPTKVMRYTDSIFTTLHRVVRLGGLAMYKEVSIFEAIIC AFTLARSWGWSFCDGTTTFNQIKIRDINQLTYLYLGQQKWIQKIENHFLSIV >CAK78204 pep:novel supercontig:GCA_000165425.1:CT868296:389538:391022:-1 gene:GSPATT00013651001 transcript:CAK78204 MSPTYNYIVPQLYCNGQVMLNLILKVCVEDQKTCSDKNYQIDPDFKYKNIVTEFNLFCDN KKYIMITSTINFLGSVIGGAILSQISDYWGRYKTLLFSLVFTNIGFIGIYFSATILQLII FTFIFGFFQVGVYIMIPVMINEISGYEIKQIYSTGTLVFWCLGEILMAISFFAITNWRTI QLLFIVIPGLISLILTCFSVQESPRYLYQMKDYDKALNVFRYIANVNGKEFDEQALEHSQ MNSLRTTYSIFDLFKLRQFASSSVGFILISFALHLIYYGGQFVLQDISIGNMIYKIGILL GISELIGYSLITYLIPKLQRKYNQILFFSIQILGCLAFLSYGISQYKLECQGCIEEIIVI LLCCLIRFFNSFGFGLQRQHTLEIYPTSIRGTGSGFLFTLGILGSFSSSYMIALASYFEM NQVAFLGICSISGIIGAILTKETFQKRLIDDVDESIKETYLEDGMLKTN >CAK78205 pep:novel supercontig:GCA_000165425.1:CT868296:391455:393076:1 gene:GSPATT00013652001 transcript:CAK78205 MSSQNRTVKQIGQYQYNERHCLGEGAYGKVYQGMDIKTNEIVGIKKMDLVLFERDTYLRN QIVSEIEILKKFNHPNIVRFIDLITTQRSLYIITEFCKDGDLKEFLQKRRLTEKEAQGIM LQIVNGFKELVKQGVIHRDLKPANILNHEGIVKIADFGFAKYVDNYTSQLLRSCVGSPLY MAPQILQRKTYSTKCDIWSIGVIFYEMVFHDVPWKGRDEQDLLKNILIKPLVFKGNQAIT DFTRDFLTKALIVEESERISWDQVFQMFESMEKGLVSNNPTLQKLYNDQNLSWMQKQQQK MTGDQLVKQLLFLQQMKQNIAFRHFVNLEFYQKLDQLKLLFRRDSSLEECIVILARLILA YSNLLIQLIEETCDSGEDILMKGTKWNILNFIKNEQEYYKIFFTNCKEQFFKEIQYEVEL SDSDRNKLEDSFTTKIIKLIGDNLDDLKKKASDGIYQDSLVAIELLLDQQITHKNIVKTT DLDFQMLLVEKQSREDWRRILDRITNKWRQLQKL >CAK78206 pep:novel supercontig:GCA_000165425.1:CT868296:393235:394539:-1 gene:GSPATT00013653001 transcript:CAK78206 MKLFCFYIIACICAFELHYTSDPKALCLDGSPASFYKAEGYGTGVKSYILHFQGGARIEG ATYDDMIKSAYLRLYIEIIQRSKTKLGSSKNLSKTTVFEGMYARTEKQNPYYYNWNLIFF NYCDGSLHQGYKTEPVEFLGTKLYFRGDAIVKSFLSDLLPELSKAATVIVAGCSAGGNAA YFWVEHIRALLPSDVDVYGVPDSGMALNLPAIDGTDYPTESLNLLIDLVNIEVTHPNKQC VQKYKNEVWKCYYAQYIFEFIQTPLFIIQSMYDYYSLTARFKINCAKNYSLSNCSQEELD FAQDLYKQNYEVLSQRKRDHPETGAFAPSCLEHCFLLKDYYDSSDWQVPGESGNTIQVAI NNWLNSKPNPENNFYVDNVEWPNNKKCSNAESNSYSHLYAISILLISQII >CAK78207 pep:novel supercontig:GCA_000165425.1:CT868296:395443:395900:-1 gene:GSPATT00013654001 transcript:CAK78207 MDDEIFIKQPTIGERNDQSEQYKKIFYIIRQSQFGQNDESLEHLINSLIQNQQSGEDFQF KQQIAQKRINDQRFTYQEDKRILELVQQVGPNFNKIVKSFPGKTMNMIKNRYYKRLRYIK EDHQNGQEQRKKQSKHKKLN >CAK78208 pep:novel supercontig:GCA_000165425.1:CT868296:396096:396515:-1 gene:GSPATT00013655001 transcript:CAK78208 MIDSDRITLDQIYGCNFKRVPLLYGEMEGTNPFQINETDEDLDSDKQIPDQPKQISPTLQ KTQLKPLIKTRQRQQTVHKSPVHVGQFDKHIGFRNSFYKNNNKINLASRQRVEEVKNQEI GMFTKYAQRFQLQKLQIWN >CAK78209 pep:novel supercontig:GCA_000165425.1:CT868296:396617:397929:-1 gene:GSPATT00013656001 transcript:CAK78209 MMSKCKSCQDEGFLTCPLCQKITYCSKKCRDYDWAISHKFDCKQSLPKRNVSEFEIVNQG SLGRGSFGCVKLARDRQTGLLYAMKIVIFKVKQIEKQGVSIENLKREIRIQKKLQHPHVI QLFEFFEDDQNVYLILEYAENGSLFGYLRKRKILTENECFVYFFQTCLGIDYLHKKQIIH RDLKPENLLLDKDGNIKICDFGWSAEMMITQTRNTFCGTIDYMTPEMLEDKPHDQSLDMW CLGVLLYELIHGAAPFKGRNDFEKCQNILKQENFEIVASDQAKDLILGLIKRDSKQRLTM DQVFSHPWMLRMAKEYNLDIKEYIFEEKKNLDQLSSSLRLDTKFSNRNTTTTRLSGSNFM KECNSIAFSMYSDEMQPPIQNRITRRTDNSGKKEGGFFQTLFQNFGCTRRN >CAK78210 pep:novel supercontig:GCA_000165425.1:CT868296:398135:400202:1 gene:GSPATT00013657001 transcript:CAK78210 MAQILNDTKSFTHFTEINKNEYSFKILENSKIYNIYLDMLYQGQLSDNTLQNGYGKAIFP NGDIYEGNWNNNQIDGYGKYTTIDDQIYEGFWEQNQFKVTGMKITLPTFDQQMMTSKIEQ EIYIKYKETGTINFDDGSSYRGELLDNVQEGWGVWVDQNGQKYEGQWKNGKQNGYGIKYF FNGDVYIGHWKNDEATGYGEYQYFDGSFYKGYWLNSFKHYFGIEKWKEVAEYIGEYKNGL KDGKGMLKFIDGSYYEGQFVNDKIQGYGEYRWADGRTYIGGLQNNKLQGNGKTTWFDGRT YEGSYQQDLKQGFGLFCWQDGSKFIGNWMFGKQHGVGIMRGQNAEYQFGEWFEGKRRRWL NQIQDSDLIEAFKFNHQLIYSENVKQISKDITEESPLKACDSAFSLPLNSDKQLVSRFNL TLIQKNGQIYVGETQREERSGKGKLFASDGSIYDGYWQNGERHGYGKQVYVNGDIYEGHW ICDKQNGIGRMIYSDGSILEGFWSGLNLDGYGKQILSNGSYYEGYFQNCLRHGKGKLLFQ DGSYYEGQFESDEIIGKGTYTWNDGTQYIGYMRNGQKHGQGTFINCDGDCHIGEFYKDYC HGQGTFKYSDGKIYVGSWFHGMKHGFGLLKYPNGQSQKLQYFLGELKN >CAK78211 pep:novel supercontig:GCA_000165425.1:CT868296:400600:400918:-1 gene:GSPATT00013658001 transcript:CAK78211 MPAQKRDYKIVQKSQREALIFLVFKQGQKIKEASNNLEIKYAVAKTIVIAYRKRVILEKR QILSTKSCKFKLRENQKSVHQIITKIGGECVNDTQKMI >CAK78212 pep:novel supercontig:GCA_000165425.1:CT868296:401344:402942:-1 gene:GSPATT00013659001 transcript:CAK78212 MNQLQSQPQSPRESNFDFEELPQHQERQINENKITASIFTEVFLHIQALDKNIGDYLFNI VNTIQFLHNVTSLCYNFTFSLGSKTGDQQLQVSQFIQISQILNDHNCCLELFWGILSISY YVIVQITLGLLFVLHRSLHFPYSIPHDNVFCLYFVMDGVSITCSQAKQKRDKCHFPCKLI AILQFNVFCKLLLTTQLMLINFRQINWLKWDWIYIFSIFWVFLILSIVLQFIFLTDLIVK LMHSIQEKNLLSKQALNQEGRSIEYSNSHKYFVDKFINSLYFWIINIYYRQLFYKVEHKK KSLQFDTNYNMHCVQSSYCRIYLLFQKYLEVQIIFNTSQFLMNFKNKIMDEEQNEGNQFS SPSSKRISIFTRDKNKINIKLPQFLIKLSQTYFQPYLIGQNYESQKQMSSLSDVKQAKRN KTDVEQPKQSNQNSLTELKAENDQNCFNCYQNESCAVYMPCGHGGLCIKCATEWFAEKQE CLICRKPVEQVVKVIESNSNRVQIVDVVAY >CAK78213 pep:novel supercontig:GCA_000165425.1:CT868296:403244:403946:1 gene:GSPATT00013660001 transcript:CAK78213 MAEPRPYYIPSSTSSKSNTKRQNNTQQQSNYEALLSHFSQTKSNSNKITKAQTSIIQYDK YYVQQLQQQITDLKEQVNFLALENQRLKQSQDKSLKRIKEQYHQLTKFSNIVQLVQQLKI ENLALKQNVQSLKLDFNIQMHPLRQLYNHILQIPKQQINDIMQLRLEQQETLISQQKCKV EVLNLERTQLLELCSKQEGEFISYLENKIHT >CAK78214 pep:novel supercontig:GCA_000165425.1:CT868296:404014:405043:1 gene:GSPATT00013661001 transcript:CAK78214 MNSGDIFGICFACLFVLLYVAILVYLHCFGKIYRLTFPSPTPMYTEKFFGEKLKYANVYN SQVNLKSDQLLNSYDLQRLRAIPYVYLKNKYSETSNYLLYFHGNAEDMQAASQFMEQLMK TINANIFVVEYPGYGVYGMQKNMNSNMIEEDALILYDHIKKTHKLLDNQIYVFGRSIGTG PAFYVASVRNTKGLIVMSSYKSFKAIVQDFCCGFGIVIAFLFCLPDFFNNQERSQQVKCP IVFIHGQLDKLIKPSHSNSLYINLPIIIQNKSQLFIRERMSHNDFDIDTDIAIPILDIFS ELRYPKF >CAK78215 pep:novel supercontig:GCA_000165425.1:CT868296:405110:406877:-1 gene:GSPATT00013662001 transcript:CAK78215 MQVFDNLALQEVQSINIDGSPDRLKEIIRMILQELNQMGYDDVCNTLEEESQTKLDTSQM SELKESFLNGDYEKLLQQLEFSDTINRKLQYEIQKQLYLELVQQRNYEQAVNLLRQLSEC NEQESQVLAKMIFLKDDASQLQTQLAIPIDQREQRLQLYQKTINLLNFGEFSLKTQFDKV IDQSFEYQMKRCPYHDDSNENKNYSLLLDHNCQSYQIPKNNSITYQGFDDEVWIGRFSNS GLWIGCATRKNSIYIISKEQQCKISKAHNMDINSLVFSSDDKLLFSASNDKKINGYDVQT AQLKVTMNQYTNEVLCLAYFKNQLFSGGVDGWIGIWQDNGKLVNQVKSKVVKNILMTDQY MLLHNAAIFSITVIENDSKFNKIITHLEEQELIVSSAVSQNQQFIVLNVSKEKSKLHLWS LKTFQKLHVFFGFQVKGIEMKCCFGSKNDNYVCVGSQDGKVHFFNKNNQLQQNVIDGHDQ AINYVDWHSQTGQLLTASDDKTVKIWIQEQITQSQIINVGYEYDQPSNSQYGEIEEEQED HEEEEYSQQLDVDQSI >CAK78216 pep:novel supercontig:GCA_000165425.1:CT868296:407519:410549:1 gene:GSPATT00013663001 transcript:CAK78216 MSKLEKEKTSQINILTFLKFVLMMLLWTETDYDFVQGNEMDQRSYSFEVYGMMIFFVIAI ESQFVKTIAILFSLLYTLLRQPKFKNPTEIIGSTKIILGHIFIQLFYFYHLYSMPKTKME QKSLNESPLLSQRVGLTVTQRENTDTQNKKINFQEVVAQELDNEKAISNQEEVFEMLLTG FQCGIYILETAKQPVRIINSFMSHIVLTDEQLNSDLMQLELEPQLYNFTLFPQKQRHQSF RRIHINIRVCLYSYYWTQNICFENIPSIEKKEVQLFYRKMQMKTLIEHLLAFSHIKFALI KQTLDFSLKIYLKKDKLYTQVILNPIVLANKPQIAIYIQDLTEEPFILQLQQYIRNGDEL IQTISQKIKEPLNCTLSMLELVQKEVNQELQTKYIDPALAGCKLLISTASDIQDYVTLHK NNKLEKSLMDIHTKEFISDCLNIIKSQALFRGLSIQVNIKQNVPIFLKTDPNRLRQIILN LLVKSIQLTINGFIEIGCQKSPLLADHIEILIKVMAQNVNESILESIELTLKLYLILKQL IWLQHPNNFVFPSQQHFISPQPQQLCHLNLIWLRQKDGTQFYFVLLIKNENPNFSQQLSQ KRQSALIANKQQFQQVFGQNNLYRRHQSQRLTEISRLQIQKKLEKIKRESQIETTIDMRE SILPQFGQSDASDQQQPKKSYSVSQISEQDDSKSSSPDSKNEFNDHEQFEVEIFSSKSSL YLNDKIENIEKQQQPQQFFDTILQLKEPKARKISVSMIQTRLNQQIQQQQLSSSQRDSLL TFGGRSSVYSSMRVSSINLGPNELLDCFEKMERVKNQQFIYKCQCPKILICEQNDFDLYA ISHQLSNLKIPYVYTMQRVHIVELLRKQFSQFKTCCKGYHVVFIGVEYVNEQLAADCTKI KAVLQEFQKDTIIIGLIGFQGEDFRATIKKLPFHDCLSKPIMIDALLFIIAKWVRL >CAK78217 pep:novel supercontig:GCA_000165425.1:CT868296:410692:412584:1 gene:GSPATT00013664001 transcript:CAK78217 MKAAIEKVKGNLSSHRMTIKDIVQEVLKKSDADFQDDEDLKNIVALLQKDPNQRSQLDVD KIRLSFFRFKFFSELEQSMGAEMVQGLYKQLGYELQKKRQTIFNIGDIGKKFYIILKGSV WVLVQKKGLQEGSGPTEEDQQQEEQLKNEKNKEMMENMKKSTRKKSKKSKHQAFVTQVTL DDIFATMTDQEYLDTQFPTLTKVGIINAGESFGEIALTKQVPRTATIVAAEDTHFATVTR DQFNKLLSVFYETQQKQNIGFLSKVAIFSDWNEQMLNQLYYHFKLEERKLFQVIYKEEEE ANTIYLLKQGEIELSRSVDITQQQLTPNLTLQKFFTKTERKFERVRTSVITAGQIFGHEE VLAGTKRQYRAMSISQKVVYFVLDKQRFLQYFQRGQAIQKLQKFDAKKLNQRTQSLDIIK ELKKIPLFTEHRDVPFIDTAQTKTRIKNSVERIGNPVEHTGYEMLQGHGHINKAHYNFRR NIDIIKQNVQNSEPLSLDKALFHIEASKGSQISIINKVFPAAARPKYSIPECSISSQTII KSLKLPRILTEVDSVMLNRTNKEYLNSFKASSIYSIGLPDESQKTIN >CAK78218 pep:novel supercontig:GCA_000165425.1:CT868296:412673:414471:1 gene:GSPATT00013665001 transcript:CAK78218 MDYHPQKGGYGIPMSGNILSGYKHYYKATNHIRMPYVEETYEFKEEDKQDHVDFTKKEKS GIKIFDDNTLEFECKKLTMQDLEKLDLQQSNITEQGMSTICSSKNCCNITELDLSKNSYN VTDTFLRLIGESQYLVKLETLFLDDSAVSDNGIMFITQPFTKPVDQYTLYATHNLRRTKK HLESTRGMTGQNFNSTAAMTHTSDSQTQFSDSNKFRNFVNHLNKLSLQGLNITDRGLQCI SFSQNVRLRYLNLSFTKITDKGIVEYFNSSNSAFLEYLDISHQPITDESIKAFAYSEFCK SLIVFIINSCPLTNESMIHLCNSPNTINMVELNLGTFTKSYMTGDAIRILSEAKYMSALK NLNLDGQPFPEITLLKFIKSPIMNNIEKLSIAKNEDITDSFCGAIYEFYQAEQYKSLKYL NLMKTNITERGVVQLQDLFKLVHSIDNLSSLVKIKEQTRAGIKCFICDRCLQQQDTERDN YRDKKAPINPHNCFICRTRQITTIEYPAKACYGCGKGFQEKTCHLCQKQTGKHQLFRCHL CAIGNNKFKCFNCDRMILAKR >CAK78219 pep:novel supercontig:GCA_000165425.1:CT868296:414623:414801:-1 gene:GSPATT00013667001 transcript:CAK78219 MSHTSRPMPRRSRHTQKPTNLIELLHSKRVLVNSSNGFLLTSTISNSTVP >CAK78220 pep:novel supercontig:GCA_000165425.1:CT868296:414801:415159:-1 gene:GSPATT00013668001 transcript:CAK78220 MMTKNLNLFIRQKLNEGININYIQIYVDAFSGEEIVSDSFNMEEKFEGVIGEVQSQDIVK GALNVDVGAGGHFGGKNEDEEDGGVDDQAQKVNNIIDAFKIR >CAK78221 pep:novel supercontig:GCA_000165425.1:CT868296:415240:416668:-1 gene:GSPATT00013669001 transcript:CAK78221 MSHKIKVDVNEDEIQVGGEMILCLADQQLLDGDQLNEVDDVLENDLIKVQDQIKKNEKKH IIVRNMMAKRRRKSQISTMKIKIEKEGFYIASDGKLIDEPKQNDLQAIKSKLNKNQQISL NVIDNVASDYKPSIPTTLIKKKFQKPQQANPFAEERISKIDKAILEEDDYELLQRSILNQ QRQKMNQQIQKQEDNIKELMDQNKQKEEELHKIKQNEFMIPQVKIQGSEVQEIKVIEQST DFLSNVKTDKEMEEINLRINTGYSIRDTKNGTTSVVNVRLPTERIVVQNRQQQSKMELEN IREEIQKNDIFVEKEEQQEEQQEKDNNEIKKDEDGIEFLEEGQHVHGLTATLGLLRKRGE LNPSKYDYVGRNKDQRVFKDQEQKDGEINLVYRDHSGKLMTPKEAFRYQCWIFHGDGPSK NKIEKKKRSELIRQKQKMRAQSEGPLMQALKEEQKKKGVAHLVIGKKKQ >CAK78222 pep:novel supercontig:GCA_000165425.1:CT868296:416746:417974:-1 gene:GSPATT00013670001 transcript:CAK78222 MKAKELKNYPDIMKAAMCSLLNNTGLLNIVIVILFNKTNLFDSEALLNCLEILNSCLQYL CNHKLSMPTSLDQQFFLKGIRMILLQCEHAFSVSKCLWLIYNNYVLFPLDIRKDITDLLF ENVATKFFMHWSFNIRMIFHHILLYRIQHLHKSNKNLNEEDLIQKYQQQVKPKKQHSFFD QKNSSKAIISDVIYMKFFRFIQQIEEGKQLSANHQLNQMIEESHYHKQMKTKLIKRRIQD KKKGSSDQNSSSDAQSYRIIDEDEQDAAIPLFTGTSNQLKPQIKLPEKKVALVLSLRN >CAK78223 pep:novel supercontig:GCA_000165425.1:CT868296:418079:420065:-1 gene:GSPATT00013671001 transcript:CAK78223 MGICAGRSQQKETLVTDIESIPIQTQGTLEFNVKISGIKVKMDNLPAGKISMKIGEFGQF ETECSKELYGKLYVNQTIHVTIQFKQTHAFQMSITEEQLKKQYLNVVLLTPNNENIASIS INLFLIATGPQHMDYEYTGLINKKQQQGKISFDFKIAQIIKVSIVPQLMEFNMNEPLIHS EYYYSLKMVTSVLCFQSEYSDAFLNPAYQKNQQSTNNSAQAKKQVIKQIVYKQSGVEMTV EVPLIEISSSSIQVCLWYNEQANQAINPTSAMSKPHYSLIAETYFNLNQIFTQALNSDQI IENNLKVYKILYQQTNRRLWNHGVLEGNLNCNLQVIIPTYLNQQIVGVRTDKGIQQGSSV VNSGKMPVKEIQQLSQAGQHLLDVQYKIQNCSTKDLFLKSELKNSQALYLRTIQNILKQT DKQSIICFEYKSQQDLFNAQKLLIEIALKLLESADQQEESIREEYYVLLKLILNRGELSL NQVGFSEETEKTSEKLLKFKTEIGLNYQSFLYKSLGIVLQKLKQKSLADNERTFVEHFFA SAYFKIPEFRTKIIESVQRVDDPQLPEWRSLTKQTDEITNKEFNDLFDWNRHFYEYLTKQ PKYNANINKLMEIINQEEWQKRIGKRGIAFFFFF >CAK78224 pep:novel supercontig:GCA_000165425.1:CT868296:420109:420999:-1 gene:GSPATT00013672001 transcript:CAK78224 MNQVLKKLLRKYQLVGNQVEGKYLIPNPPSRVASPFILFLNEQQKQLKSELQQKVEQRDI IKLLNQHYKLLTPEQKQKYFDQYRISLLQYMQAKRIYDYTFKYNQNNGIKKLESAYVTMK RSEKRSLNKEQLTIEQIREQFGKQQFELSQVKLKDATPEELEIVQQVFNMITLRQIQIKI NCQQFRIPDLFNQVKFKKKCKEFTQSFLRQQKQELTFQQYLIQFQEMKEDQLIKYNSELL ELSKKLNDDPSNVELAIILNLDKPKKKEEISRKRSMNVDSQNKFLNVLTEYFKEDP >CAK78225 pep:novel supercontig:GCA_000165425.1:CT868296:421087:421689:-1 gene:GSPATT00013673001 transcript:CAK78225 MKSNSVSQYKIPSSLSPPNFSKQLIFQQKQLFLSKVRKQMQSVNDPFNSNQISKALRNLE TQQQRKKLLDSIIKLRESKKMEDERLKHLQLQKLWEKANHTNSKKLNTDFFYHRDFEDFE SIKQQRYRFESERIENFYIRNEGGNQHNLPRISSLNCLTTAFVDQRKVVQQTSRRTRKNL TAFQNKPRDLGRNTPVINEI >CAK78226 pep:novel supercontig:GCA_000165425.1:CT868296:421778:422530:-1 gene:GSPATT00013674001 transcript:CAK78226 MSISLRVEGASKDLRIMYPEPEEIQLNFCTLIDLDFTSFTNKEEVINYFKLKEVVALKIQ TQEVLLQLDPIKKRFMLQCLVYPGENMVWVSCMNNSVVTEISLDDGLMGKKPQLETITEE DDIISPIKRRHGKKNKTIGYKKIYSKKISPVRPISPQKKDQSTSTKRTKKSTLCWSQSMV QTPKSPLKGDYVDALLAQLIQQNSRKSKSRKRRPSTSYPLRPKIDSEGMIDRQWLEEEMI NEDL >CAK78227 pep:novel supercontig:GCA_000165425.1:CT868296:422609:422877:1 gene:GSPATT00013675001 transcript:CAK78227 MENSDQTNSPYNPIINPKYSIPFKKPLYFKLKDVIAQKVNPMTPKLPLFLKNIHVTVNQK LFVIAIITTYVVQNTLEVIG >CAK78228 pep:novel supercontig:GCA_000165425.1:CT868296:423207:424030:1 gene:GSPATT00013676001 transcript:CAK78228 MSNQNNGKELPDNNKKNQNKQVFEKSLHSHKIEYGTTYQGEWNGDIREGFGEQLWPDGAK YVGEWEDNQANGKGTFYYAGGGIYEGEWKNNKANGKGKLTYVDGSYYEGDWYEDQKHGKG VEITTPGDKYYGELKYGEKDGFGKYIWPDGSSYEGEWQCNQMNGVGKYIWEDGRSYEGQY QMCKMHGQGVHKWPDEKMYEGGYFQDKKHGYGVFTWTNGKKYAGNWFKGEKDGKGQLITA GGEIFEGEWSKGILISSK >CAK78229 pep:novel supercontig:GCA_000165425.1:CT868296:424043:424653:-1 gene:GSPATT00013677001 transcript:CAK78229 MLTDSSLFYSFSKQTAVKVQLVFLDIKIGADKPKRVIIKLFYDEMPKTCENFRALCTGEK SNPYVKLNFRDIPFHKVYSNFMALGGDILNKDGTGQCSIYGPTFKAEPIRFKHDQRGLVS MFNDGNGNIGSQFFFTFTDCSWVDGLHTVFGKIVEDYSILDELEKISSTNGAPKKLVRIV DSGVIM >CAK78230 pep:novel supercontig:GCA_000165425.1:CT868296:424763:426004:-1 gene:GSPATT00013678001 transcript:CAK78230 MNFKQLIYWEKQGYDQLCGVHCINSLLQGPYFNEVDLATIAQELDRQEIELLGKTGRRFK SQNVAEDGNFSIQVLAEALKKLGDLSIESIDSKLNQNQDLSQESGFICNSSAHWFAIRKI DNVWYNLNSTNKRGPEIISDFYLSAFLLSVKENGYQIFVVKGVYPPPQLDNINQNDRQKV LTAQFVKQVHDRRVKRKNYKLNIGGSDEVEMEKALKASKGEKYESTDEEFEEEEVQQPQK KQSTPKFQGQGIQFGFQQSIANYQNFNIDQDDAEYRSIILMTLEQKFSFQLEEGIVILFQ MPDGKNKQYTFSYDAAVEDLYDFVFYESRQSPMKFTLVCPIQKLQLLDVSQLLLDIGVDT MTQIIVKKE >CAK78231 pep:novel supercontig:GCA_000165425.1:CT868296:426100:426437:-1 gene:GSPATT00013679001 transcript:CAK78231 MKRLSTCPPQLLIYGMLLKNASKENCEVRMYSIYQCHKNDLEIIRIRTKAQSEYIISQQG DYTMIGIQLCGKAIEEAKQAAAAEAQAVAEAEKAKKGDKEQS >CAK78232 pep:novel supercontig:GCA_000165425.1:CT868296:426664:427716:-1 gene:GSPATT00013680001 transcript:CAK78232 MQYRRPKLKSLDNKENQHTSETPQQTRKLFRKTSPKLLQPLQMDQHISSREPLTTKVDGL HKIRLRTYVSTVENLMNDNLLKLIPPTCDGTTYSIGQSIGKGSYATVRLGTNKQGLKVAI KVYEKLFLKGERLNNLVKEISALKALNHEQIVKLLDVYHCGSTINLVLEYCGSESLFTLV KQHRALSKDYAFNIIHQLLKLLVYIHEKNICHRDIKLENILITNKKIRLIDFGFSTLYNS ISCHCGTPSYMSPEVVTKQKYDGRTTDIWALGVLFVGLLQGSFPYKGSSEKELFSKIRNN EYTINSQDKDVRVFLGQIFVLDPHHRATAKELLQCDVFRYM >CAK78233 pep:novel supercontig:GCA_000165425.1:CT868296:427902:428796:1 gene:GSPATT00013681001 transcript:CAK78233 MSKEQNKFKHILLVPNIVSDQAFLQRHKTFQTYVKKAHSDLYYLQLKKIGGLRKSIAEKA DYGQVLQQIKSNRLQNEYMNQQKKNQGFMYNNQLWSDKYYNQIYQMTRLSQLSEVLSKQK TKGRDQLIKDFEIYFNKHVHPANDEFAEIQKVLPISTCTTKSSSRINSQKFILATERRLN SKQDTQDHDTNRIKSLSPSFHRTVNDKLLTLIDEANNLHTQNLETIKKTRQFQTEQSSSR KIMHSQIQRRNERINLQITMRNNQIHFKNKFVQERFQKEIEKLSAQNL >CAK78234 pep:novel supercontig:GCA_000165425.1:CT868296:428913:429445:-1 gene:GSPATT00013682001 transcript:CAK78234 MQAKGDTAIDMEMMIESTEHLNNLKSDSPKGQITDQSGENQSLNQKVSQIDLEFQKLLCQ LIETKLSENTITQVRDLLRRLSGSLIMGIPNGPQILNSLYQIILKFPIQSIIQCIVQTQK VDQKSEIADPKEAEFVPKVKGYPNKPAVRISFVQIAKQENR >CAK78235 pep:novel supercontig:GCA_000165425.1:CT868296:430158:430706:1 gene:GSPATT00013683001 transcript:CAK78235 MNDESPLRSKSIEINLTKMLDRMKNNSYHMQKVSVGDLINKSQTQIIAKQIRQSQTPTPE EKENKELTFKPQINKYPNYLKQTSFLERNEQWQKNKLQKQQETSKAYLEEKEKIITKECS FKPKITPHLSARIPRQQKQQPQQNTIAIKQQNPLSAVSMQAVQYELRKQLHGLQL >CAK78236 pep:novel supercontig:GCA_000165425.1:CT868296:430749:431211:1 gene:GSPATT00013684001 transcript:CAK78236 MSENTIQETTQQDISTMQMYIESVSINMESLIETYYEYLQQRDGDDQESYNLLSDFTQKT LNNLEVLQNSWNLIKEKLNDKYDQPPFNNSFQIYFDNQILGAIKSQVDNIVDTINRHAPK YEIQGIVQINTQQSSSELMEIEEGDT >CAK78237 pep:novel supercontig:GCA_000165425.1:CT868296:431940:432559:-1 gene:GSPATT00013686001 transcript:CAK78237 MLLTSQMIKVNQQLKKEKEYFLERNKKIDYYYCDCCANYISDLQIKIFNKMKTRNSDRQK YSPQNSFVYVCLYIIYLYSLQQNVSMSEYPFPSAQQTPIRRRGPIHKKPKQTMINLKKNK SQRQFICYEDKDLNIPQEYQSILQKHKSDDDRESDDEQIKYAINYLYKDLLLCLEKEKK >CAK78238 pep:novel supercontig:GCA_000165425.1:CT868296:433050:434468:1 gene:GSPATT00013687001 transcript:CAK78238 MLNYKREIFRINIRQQKHEEIFLQKRIVSHQKEKEQSFEMLIQAMDNAKVITLRNLDELN GYLQYFAKTKLTIDQILLVEHYANTLMTILINPIVYNVNELIKEILTLLVNLAQFSERIS INLLKSNFVNCNIIQILSSFIQNKIEVILTLELLMNLWGNSNSHQKYDQNLIQTIEIYLD CCISINDNISIQLISKCLKNYLQNISDENNFEYEYLINIVRKLLNYGVQESVDFLDVQIE AYCSIVQLFNHNFVIQDLNMILSILDLCIKKPELIEMITRIFSYFSINEPDSFYEKLIMS VIQFSKHIIRSSLNEQIPCILNLCSIIFHQECEKNYNILQPYYNDSVIIMEIIRLQEDGQ PRKIREAAIRCIKILIENSNENQIQLLIFEKIHLKLMDVLNDFSLNSNSILFTLISLHNL MKTQRNKYPECLEPQNLIHLTNSKNKDIQKITYEIIQQVEESNHNCMSNILL >CAK78239 pep:novel supercontig:GCA_000165425.1:CT868296:434483:435312:-1 gene:GSPATT00013688001 transcript:CAK78239 MGHRSDYPWEQHPINLNNQNNQYIDGYEVVGDLSRQRFKEKEHKRSDFITTVKEDQVGTT MHISRSLRTMKQDKILNMQQNYDEYSSNKQIEHYKTTLLQTKSIDHITPYQHSNNYKGQS LHNLRPQQPNKLYRQSINYLNQKVITKQPPQQAVIVQNQFPSQEDQPTQQMLTADLFIPK QKLTQIQEVSQVQIQSHHSNNPFSRESLGSQGGARSRFMDQYKQKKADSGQMKLGYPYHL EDDETTAKIMVKYFQQEQK >CAK78240 pep:novel supercontig:GCA_000165425.1:CT868296:435400:436016:-1 gene:GSPATT00013689001 transcript:CAK78240 MSLNNFFKQKQQLQKEKEEKDKQQIKEQSDQKRNIQSVQLKARASQRIETFQETMMSKQY VESMKNGSYPLLPTSKHSFRDREKDKEMEKMGGIYLKTFQVAKNRAQTAQQEFRSAHLAT GEFDPNLPTKNHEKWLKPKDLSKQSEPMRFGLSQRTEVERVNQELKKVSEQLDVQFSRIK T >CAK78241 pep:novel supercontig:GCA_000165425.1:CT868296:436781:438281:-1 gene:GSPATT00013690001 transcript:CAK78241 MPPKPKPKKAPQEPEDEFTKMTAQELTQNLQIFRDKLTELKQKRNYIQMDRDMVQNFFSN CLSEIQELNIKIVNKETEAEQLEETHRIQLKSYLQKVKHLEYEQEKANDEIEKDGKEAHN LENDHFSKRSDEQKRQKTHLKKLQEEYENSYIHAIEKEEKNNKKTLDKSKQVFDETLKNM EEKYKMRLQKLKEELELRLKVEIHELEERKNLHINELINNHETAFAELKQYYNTITRENL ELIKNQKEEIASINAKLQKNSKIIADMKAANNNIRIPLKQATEERDILKNALKQFSKHKM SLQNLQSKNTTLTEKYAELKHNSNDLNFKYDKLLREKQELEEKFERIAMEVKKHTDLQNN VLGQQLQNMQDGLEEKEVQLKTIVERVNMDPQMYQQLTIKIKESIEAKNQLVKNLRYSIH HATKAYNDSIRVYEAKLVEFGIPPEELGFQPLATITSSMPAGLVSQ >CAK78242 pep:novel supercontig:GCA_000165425.1:CT868296:438622:439380:1 gene:GSPATT00013691001 transcript:CAK78242 MIPKCQNAYDYNDFKTNNGYPQILTHHISPIKNILAPSRQEILIDILQSKFNGFIKEVEL AKQKIIKVIKDCSENNIFTIQDKPINFDDYMSFIEINTKNYLHEIKNHHKLTINLITQES SEITHQSSSFDMQQMCDKCQVMVEKDLVQLDCFHCYHQKCVGDYIKIQINNSCKTLRCLN CQQKVKTSILRKFVPFQLIDKMFKEQLKSIKNSFLPNQIFCCKKPGCNYFCIRQLGIKGL IRCQICESLINN >CAK78243 pep:novel supercontig:GCA_000165425.1:CT868296:439407:440347:-1 gene:GSPATT00013692001 transcript:CAK78243 MATLFQCDGANCIEAFLEVAISTTASIMAINGFRIIFRDRNKLLSKLNKIIYGISMSQLI LLSAYFIFWGSDFVISTIRCLRILNEILLCTLLAEIGFEKDFLDKLEVFLKIISGFVFIE WFWTAIISNEAYDYYCLKMDLVYLSGTTVILTLFACGFGFQALDQLQISKQELKPTDQNK MEEQALEIKIFLGCDLLSGLIQFGWDYWANMSAYTLDDCKSYYEASSIISIFVMFIMKVL TLMISPMAIYYILYYKQRLQFNYRAANVLDINVLIDRRSELVVELTNA >CAK78244 pep:novel supercontig:GCA_000165425.1:CT868296:441467:444103:1 gene:GSPATT00013693001 transcript:CAK78244 MDPRSQNNSDNQIHGDDNDDQRSGDDADKLNNFKHNINDGGEVIHENVKRIDMKKTLNDT TFILTCLKNHFVFYNLSEAELENIVNKMFYCEAAAQTYIFKQQDHATCFFILQRGSLEVI VNEKAKRELKTGDGFGELALLYNAPRSASVKCFENCNLWGIDRNTFRRAVEEMITKEYEE NRKFMEVVRFFHNLTNEQKDAIAAVLIVQKFYKNQIIVNEGDPGSSFYIIKEGTVSVLKG NKEVRKLYKGDSFGEQALYYNTVRQMTVRAEDDVKCLALGRDSLTKILGDQVHVVTFRNL QKWAFEKNALLSKLTKAQIDKVLDVMKISSCKAGDVILKKGTQANQKIIVIIEGSLKKSK SGITVATKAQAWGEEYFLQTNKAKILDDDIVMETDGVIAEITADNFIDCIQGELEEVIKK NEKILEKKLQKSDQTKKKEAQNIKKSELIHIKTIAYGQFGPVYLVKAKYNQQLYVLKAFN KNQINEQTLEKYLQQEKQVLEIVNFPFIISFMKTFKDTLDVYFLLEYVRGMELFDVIRDI GLLSTYDSQFYVASMILITEYLHHQNIIYRDIKPENFMVDDKGFLKLIDLGTAKIIKGKQ GIIRTYTIIGTPHYMAPEIICGKGYNCLVDLWSIGICLYEFMCGMVPFGEEAEDPYEIYE EIIKKDITYPNYLKDKKAKKLMDQLLSRVPEVRLGGSYASLKGNPWFENFDWEKLLEKEI KTPYLPPADKLLPEIEIKSLEQNSRMIEDEIKQEQSVRQIDGNNQGDQGWEKDF >CAK78245 pep:novel supercontig:GCA_000165425.1:CT868296:444133:444543:-1 gene:GSPATT00013694001 transcript:CAK78245 MNQQKQPLDPETAVLNISLKKSPGQFIFLSKIFLKKFGKVDLHGLGEATKTVAQVAETLQ RKQYVTIKKIETQTYTPEQGGKKIKLIAQLEVTEEGKQMIEQDLQRNKSDW >CAK78246 pep:novel supercontig:GCA_000165425.1:CT868296:444867:446281:1 gene:GSPATT00013695001 transcript:CAK78246 MNRHNQMAIRASLKKALFNDKKRNAGLNQGRSNQSPSIPNNQPRAINQQFRHFSDVYEYG NEQIGEGAHGIVKKYYKRDANINNEAQDRIAYAVKVFRTGDTEVINTIRETFHLNRGLND LNFVVKAHDLFINSKKEEHHLVMEYCPYPSLEQRIGSFGEEDIQQITLNLAQSLFSLHQR GVCHRDLKPDNILIGDQLTIKLIDFGVSKRFLVKGKITKKIDMWTRTGSLFYQAPEIFMG GGYDEKIDIWSAGIVLYQLLFGQLPFQSETILDTIEMITDSKLNSIFLSQLNPLIQDLLK RLLEKDPNKRLSAEGFVLHPWLHTTQQKKSNKSFDDCDIIETRNNENILQTSQITIQRQN YRYQNQLIVPIQEEREQSSLKNSWNCWENHVHYVPQDGIRVPNLDNGYANQNGTSQEQVN EQKRSEDLTGFQIGLVRTYSEQFDQP >CAK78247 pep:novel supercontig:GCA_000165425.1:CT868296:446783:447450:-1 gene:GSPATT00013696001 transcript:CAK78247 MQQHCNLILNDRGALWLGDYESALNLEFLKSKGIRTVITVAAGLNLKFEGIVHHKIEILD IELTNISQYFQTANDWIERGFNIGGVLVHCMAGVSRSAAIVIAYLIEKKKMTYYQALNFV KSKRPQINPNKGFNNQLMSYATRTSQPPIPKSSRANHFQQTYDQFESNYYAPVNRKNSAG LIQQNTNFYKSITKSMSQSKSKNK >CAK78248 pep:novel supercontig:GCA_000165425.1:CT868296:448280:449530:-1 gene:GSPATT00013697001 transcript:CAK78248 MKGFMNPIFQPVDNDIQVEERRTFQKSISNVILKKELPKEYERIFSSITDSSQQLNDSIL LPFDNSKYEQNLLLQNNNCIQINHPAQTKNQRPIVKFDKNRLQQILSTLANHPPIQFQPK KQQIINPNNQSKNNKTPTQTNQPQINTKLRSMMKNDLTPKNKPTIKQIENDAKSQKQRSS TNYTPRVETSLIAACHHSSKNLDANLLQKQDNPNIIQLLQKRTKSQYHPKQMIKYQIDEI NGKILQLKNLIFKSIKYDPQQESIQSSIFKIIQQNEDSNLLQKEITNLKTFTNSKSFKES KCHIFFNVQKLISLAKEKQKKLQIIMVFNKDGSSNDSIQSPKTNCLLSRQTTSDNIVEDA QDDKKKFYQLVVSRKLDMPFNHPNKDVLLSELYEKVLKLGIKKCEWQHFIANEMKI >CAK78249 pep:novel supercontig:GCA_000165425.1:CT868296:449725:451022:-1 gene:GSPATT00013698001 transcript:CAK78249 MDNKYIEEFEHLDQDQKSQMLRNMKQFISQLQSQIQDLEKSAIDIIMEKDEKIQQLEAMI QANHGSKQHNKDDEIMQLKNHINDLNQRIDAIQMKHFEQLQEQERKWNTYLLDQIQQSSN DGQQEKIEILETLHYLEEKVYSLDRELEMKENQSMFDRNTINQLTNINQQLIDESQELNQ QLIKMQRQMELMKEHFQKEKNTIEIKINKQNIQISQISKQGSALKLQNNKLAQNNKDLLN QIKELKDQLETQNECKDKVLSENYQLQWTAHSTDQNQREDTNITAFELLEDSYEDKDVTA NLRKALDEKSELCIQYEDCLRSSTKQLKEQKAQLQLLQNQLKIIRKQQFNQRNLKEYVQM LESDYLVSKQLQCEKADKYQEQVILLSQENYDLKQKIKCFQTRLHKKLN >CAK78250 pep:novel supercontig:GCA_000165425.1:CT868296:451715:452603:1 gene:GSPATT00013699001 transcript:CAK78250 MGCCISTPYVYPDGGVYDGEIKNGLPHGKGKIQWKNGXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXQAGKKTGKGIFKWIDSSYYDGEFLNENFHGYGE YQWYGGRLYKGQWVEGKMEGQGMLIDDGKQYQGQFKNDKKEGQGEMTWSNGQKYIGEWKN GKQHGKGKLIDTNGHVTEGQWVKGKKQ >CAK78251 pep:novel supercontig:GCA_000165425.1:CT868296:453436:453950:-1 gene:GSPATT00013700001 transcript:CAK78251 MERIDSFEGKLFYKIYMLCQKNQINEEQKGKLKDLVILRDERIEIAMNQYLEDRDEFRLL ENFHNYSGEEYSPKSYNNFSDDKSNGSHSKFKGKRPAKMQLPKKTFMINLRKQDVKKLQQ RDTDVTTCSKGFLLSTARSSDNRKALAFNERYTQQNWSECE >CAK78252 pep:novel supercontig:GCA_000165425.1:CT868296:454834:455422:-1 gene:GSPATT00013701001 transcript:CAK78252 MSKLQRCATAGALEAKMTHYAPDGTGRDSYVTLQNGGVWKEIQIQCVHPELGTFSKQKQW KPPAPTMIPKFRHYQSDGSGRDNYVIADEGGLAPSSKKFQRRTNFFTSLRDYEPCPPIPQ QDCFRLAQTPSAQSFKQYLKTQQIATQQTDLIRRLNIPKKSEDPYKRIRKLSTPFYRK >CAK78253 pep:novel supercontig:GCA_000165425.1:CT868296:455531:457207:1 gene:GSPATT00013702001 transcript:CAK78253 MRYADNGQSEFVLGIAFLVLGMIYTTIFFFGVIRYCKMGKKTKYLGKIFYLSIVLSCLAY MLNMALYLYDIFEQKADNYILSIEKQLLNTIYVPDGLFWIVYQSLFWTVVCLHYDSHLHI GQLDELPYNPNMLIHLMKDIFIIYGIAQLIVVLLYNFDYAKAEMLFYINIVINLSIPVQF IITSLYLQYKYSGSPFKSSKQENISNRLQKLIFYWTILRVIQIISNFLLMENLEMIRKFF SSELSQNQLLLYISLLIIDLLLANIFPFILALRQQTFSIFLGKKNATLSTISEHPMRQEF LNNDSSVMERKQIQFDYNEVLKSIASPQTKKSKPNGFGYILVCQIQQKSFGMRIVEFKQL QPYLVEQVKQEIEQVNSLNHQYLYHIHGVNIVDNKVVYLTKFYQYSLHNYLVQNQISVEE KIEILIQLLRGISYLHKQRFCHGSLTTENVMIKSNKRVKIIDFGLFGIKKYQSLLQSYTN KNGFTAPELIMQRGQIVSGSQEGDIYSVESAIRRITTIKHIGLSEQSCKTQD >CAK78254 pep:novel supercontig:GCA_000165425.1:CT868296:457316:459311:1 gene:GSPATT00013703001 transcript:CAK78254 MSGTIVVGSNIYETEFLDEIFIHYGNKTADTISFKDGLIISPKKLELPEYKINRSEQGEV IMAILKEAMNLLEYSKLSDLGIINMFELQYVNQLKSYPSLRFQFMDKEQPSILMDVRKSY FTVHNCYQIIKTLNTEPSAYFKNKFVLLRYPEKKMIVQIKSVVVDQEFTYKGVSLEDYFK QKYQLKSKSSVYLETYNTQNIKKQFLIAEFCDLKIDYIEQDATVYCQQLEYWNKIINNSS SFIKFLNQYKLIIRNEQVEFKQQYLEPGNLVLNNQTKSIFQIVASRTSSEFEYYYTDFFL KNASTFTTSLTINKLIILVTREITELKDNFNIFLEKFDLFIKSRQYKISQPEIYDINNNL NEILEKYVTEDSYVLFVGDQKTDFTSARNTLLSKAIPNQLINLPIQDQEINRLLAIMTAN LGSVPWSIKEINGQINNKKSAVLGIWKSDNSFSACLSINKYLNKYISSFGDLDQILTLLL STYYGTHKTLANQIIIFAEEDYTDIMEQRIQGLIQLIQDQGLAESTQKPEIVMVQVKDAS EERYFTYFERNFESYYCKNPQVGCIVPINEKQGRFSFHSQRGEQGCLQAQQLQISSSNRR EIAQLYYHLIFLNFDLSSITYLPAPLHYAKKLSKHQLQSEQYKKAIEKGHMLFV >CAK78255 pep:novel supercontig:GCA_000165425.1:CT868296:459367:459728:-1 gene:GSPATT00013704001 transcript:CAK78255 MAMDNSKFEQLSKHFPKSLKFSLNPVYYGARVGIQAYLGLLVGGTLVIYLNTFNGITFPY LYKRQKVEYQAVPDFYSKQVLLYQRTVPNAVSQL >CAK78256 pep:novel supercontig:GCA_000165425.1:CT868296:460197:465185:-1 gene:GSPATT00013705001 transcript:CAK78256 MKYFSRLIYFFISIGSNTERRKSQVDYGNLGVIVFYFIQLLGYLFSQFESKSIVYYENDY LAQIGQYSSIPFMMIVIHYDPFTKIMYYCIFGTMCSIYAIILFQITFKPLSTHSFVNRFI RFYFKNFQWYFLTPFHECMIGILTCGRLAYLAQHGNLDPPQCFSLISPHFLIISIIGQVL VILSGFLSLYCFRNYEFVQGDVMRKFSYFNLLTILLHMALQMSSFWKDMYSNYNYLIHSI FNLIIIVIALDTYLNIPFGFSHETVFLSKCLWCSWFFEILVAVWILSDLSDAHIFLTFCI CVPLIFGINQALYDIYIDKECQSFLKSKSKKKSEHPLEYICQLCHLESINQRDYFELLKY LGIHCQHCNDVCCPCKQKISKFISGQNQLNTQHIYIWVQYQFQKMIKSIIQNQNQFQYFE QLTIKFVTFLQRYRENSVLSYKTIQDVVFAFQKVKPGNMPTFFINLINQIQHLNKLEIEN TNRVGIKIGSLEFRTLQDFNLFYNFEEQIVKLIVDLLIYMKSMWQQKMNQLISYERMIQF STEIKGKTDMLKNEFMKFQSQREIPALDSILILRIKLVISLVCMEDINSCLRIAQQIECQ VKEQTNSKSQQFNNLQFLNGQALSVISTVQSQTLGYITQNINDQFCEFFGYQNLTIPLTK IEQLLPIKLGQIHNGLIESYLAQGKTNRLYANSEQFILNSENLIEKVNICLTALFPCSND QYQFWIIGHLLKMVKYEKREVDQNKRGYILVDSNFLIFGITRNIYERINYKYYYKNNKNE DLTLPCEIYEQVAIQEIIPSLSQILEEYYRMLTIKDKKRILKQDIICQREIGVLQVQNKS RTQFKTSQSISTKKFTNKQMISTLKDISTSLSSLKPQYSKLYPIEFSVVQKLLTYVEKDS NCEFLYFVIEIDFLDDPKINQSPSQQIAKSPALSDILRRQQAVLEQVRPNQTNIILSCDG EEANEQVELVAELTNTATKHSSNEIEEQLEKITVYINDTVIPKTIKDLIAHFVIQIIVIT FIVILISCLFKHKKDLQTDCIQQITDDLNFLDAYSQTMSGSRHVIYYRDFYSIQNDTIIP FNNESINISRYDKIYMAWQHIAKGSVRLNNMYQNYSKLAMKSDIKNITLYFINFDLKSMI AQNVQDYSTYYQIMHQTFFMSYKSFASTPQTYLSGRNDTYMTQIARSQVYYNFFDVSESA NTTLWDCHTYHSEMNDYFDQIVTYYFIGLYLTILLQLTALIINYLKVVHTIKQYLKLFKM CDKEDCIQIIHQFDQLISMVSYNRIFLKQKDFKMIITLPQFILDDVRKCSNRATVVFVKK KRMIKKNVQENSNYQSHCSILIIVLVSIIILAYTFAFHLYFLHIKNSIGPISNRAILAQE NRLNFIVALNRFDLFLIKQYFETYAIINRTDAGHKFMSRNIQTDTLILNLLSMDEALIYK DISRLKQIDFANLILNYGDSNSGLSDEQQNQLLGQDVCILTGCDMLSLLLYDRLYLKELV PLFQTGVLNLQQKVLSFVEGASQLIFKNQSPLEKAQTLEKILDDSEYLIYMLWGIDVIQF QISQFSQHFLDISSQTIDTLTKDNQTLIIFIGILIFIIILVFQIVFGYYQFKRYILSKAI IKSIPLPILFSRNIPKHLESFRRKYEK >CAK78257 pep:novel supercontig:GCA_000165425.1:CT868296:465548:467171:-1 gene:GSPATT00013706001 transcript:CAK78257 MKSSNYETIELQGNPASRVNEDDAFQQHGRLSCLFETSPKVKMSQRKKSKIIGSSKNNAF EDSRVTRLYFERYRVLELGRMWTICASIVLMVLEYEVSFAYQLTDTYEEEIKTLLYLILV LTIISIIMTFMAYLAELEFKKRSLTVPKASNIFQTNLIFLVLIETVILLPCPTPYTIGYK VSFLQRYSDQSRFYFVNEILTFVMLFRSLLVLNIAFKFQSFYSNRVNRLCGIYSVEFGPH FIFKVAIRQNPYSTLCGLFCIGIFLFSYQLEISERALLRTTTEIDHYNINNSLWVCMITI FTVGYGDLYPTTELGRLSMTLGLFYGVALTSLFTAILYADLQPFVSELRSITLLDKACIK TEIRQVAERILLNFYKLNSYLKKYKLKINVNDPATLNRISSIQNYLQEGQQLKRYEQLNQ NRNYRSIDTEDFIAMADRYFKDMNDRIQDFRRMLKQMKNQQLTINDRDTPQVRSVQKNCH TVTAASYDVKSKDDHYFDQLIDSQSEHSELMQFNDDE >CAK78258 pep:novel supercontig:GCA_000165425.1:CT868296:467201:468460:-1 gene:GSPATT00013707001 transcript:CAK78258 MSLIIVLLTIIAYLIELEYRKCSKIISSKASLIQTDLKWGLLCEIIIILPTSNPFTQGYY VNFEQRGTAETRFYMMNEILTYIMFFRLYLLLNIGFKFQTYYSNRIGRVCRLYQTRFGTH LMLKLCIRQFPFYTLTWLFIVGFIQYTYQLEISERPLLRTFDSLENYGLTKSQWVTMITI ATVGYGDFFPYTDLGRIAMTLGLFYGVTITSLFTAILYNMLQPNSGEYQSWALLDKATIT KNIKKASSSIFFYLNELRKRKKVSKTEGVNNNFDDTLFNLERFLQDVGMLKRMHRDIDGE EFQEMVKRKFGDANGKFKEIRQQLYKFNEQQYLIQTHLSKLQQNSILEKEQTQIQQIKIS PVSPKIFRNQLNTEEKLSKDEEFFNNLDDSHRDSCLMSFHD >CAK78259 pep:novel supercontig:GCA_000165425.1:CT868296:468492:468855:-1 gene:GSPATT00013708001 transcript:CAK78259 MSFDNQKQKKTQDNKITMVNEMVFLNLTGQSPIKAAPLLKKQKSRKLYKRAATIIDDDDS YKGPFEDSRVTRMIFEKYRMVELTRFWFIIACMVLTILELFNIPYQDNGI >CAK78260 pep:novel supercontig:GCA_000165425.1:CT868296:469156:471284:1 gene:GSPATT00013709001 transcript:CAK78260 MKPHKKNVLIRSSVPSPSNFDSKPSTQIPRQRSVNQFEEADPTSKDRKVIKMRNVVDLGD ATESIHKPSSKRMRRQSKDEQDQLLEQLDQWHEQIVSKKKAFAFEQVKQNDNKLMENEFA NDGGPQINQAIINSILKPQEKQQLKNLSQEEEQNSNVQVKFQLLRSNKESNNPVMKTLDQ VRIEMNKHILNSLLLQKVTKKLDDLQINRKAKSNWNHQQMDQETAQVVRDQSQAIKTSTL NNFKGLTEYFSNPAQYIDYDIVLSKAKYYSNRPPSQFQENIVMTGQQSSSSAKQLMESFQ QFQVQIKENKQTLYQMRLDNNQLVQQTAKKEEEISEIRRKYYGKEEKVRLGYVPDQEGKK KNMMEIIEKIRQQRDLEIRNIQKFISQIKDEMHRNTDRQEVIQKDLDELRQKKRRCKMLL KDIFLKQLQEANEQLMPEGLVQIIKQMKKINENAKVEQFPRYLDDSSRQYLLKAAQLEIE IEEARSQSQKFNHNHTSLRSAQSQQCLFQTQPVSVSQLKSQVKTMLKRSKVSIKKPVFVQ GIDPLNPQSFAHVIKWENQELESANLQDKEEIQIKLCNMPSENNLIIKDYNQKLVQYQQQ LEQIQKEEQERILKLYQNKRHLSDVQELKLVLYSLFGQVIGDQIWYEFVIEWTEQKSLNP QQILKKETESKQNEKGMSQKDGQHISQIIIKQ >CAK78261 pep:novel supercontig:GCA_000165425.1:CT868296:471286:472265:-1 gene:GSPATT00013710001 transcript:CAK78261 MQIIKSKTVYTTRLCGNHQTHTIRDGNTNLKMLTILTQETLIKSIHMLKHQKTTGNQYHL DGHGFRIYCIDMSQISHVQLKEDIDYLINSMFTSCLHSVSSFINFILLAFGFKVLTVLPL AMLYVRARDKCGDPDFKETYLRDMLYKNNEINALFKEETIHVLDYDCEYDRGYPCPEKFP EFKNKFWQFFNTDTSMTTGYFKMADVETGAVMNLKFKTMPVPGKYRYQIGEPFYFYDLRA EITHNGQHKEVVLVDEKVALQKVRPFLLII >CAK78262 pep:novel supercontig:GCA_000165425.1:CT868296:472570:473849:-1 gene:GSPATT00013711001 transcript:CAK78262 MIKPKKTSRELWNQLGLRNHIKQAFEERDTNWGQIVDSNKKNLSQRSISEYTINQKLKEQ HNSFLKNKEKPNTKTFLEDQIEKGNQDKDEWLQLALINEKSQLSPINKRNSNDQTFSPIN RLKNEEQQQQYASQQQSFQVQIEKTNICKLPGHENNQYKFICIDNDCPYKLQKACAHCVI KMHTKHVSQMKEIENYEHLIEQNIKKAEDLMDQSQELFKTIQKPYENYFLQIKNQIIALI NDLQQKLLQINKDQIHKMINNDIEVVQNINSKFEAYKLMNQLIPNEIIEEYTNIINGISE KIKLNINFDIINRQVESFIMSIFSPGKQKENKEQNDDTELLQQFISSNRDSNKESILKPN NSMTCCSHQSPLQTKKQLQTKLVMGSASPLNVFNRQISGRQVTKIIQTQPIIYYRTNS >CAK78263 pep:novel supercontig:GCA_000165425.1:CT868296:474743:475165:-1 gene:GSPATT00013712001 transcript:CAK78263 MRRRTITPIFPPPGYNLAIPDWPVEQFMLRIGKGCSDYGDKFEKLTELFEADRIQMKEKG IPPKVRKYIFSIKEQLRRGKGEHQSLIPKKKATKK >CAK78264 pep:novel supercontig:GCA_000165425.1:CT868296:475178:475690:-1 gene:GSPATT00013713001 transcript:CAK78264 MSTILPYLINKTNNILLLRGDVGRAKPSVSDLPDSSYSYGKKINRDGIGMKEITSDWQYG NSSHHKKSKTVKLPEDTFVYGLRNKQPQYYQSRPSTPFGKIIKMNYGNEAADIIENLYRM RSQRIPKKQVIQKNRSYSLRLEHNTKQKEEEHKEFKIKRFLEIKSKIYQL >CAK78265 pep:novel supercontig:GCA_000165425.1:CT868296:475722:478728:-1 gene:GSPATT00013714001 transcript:CAK78265 MSSQKITDYKILQVITKKSKVNEKTTKKGNENNASFVPMRSVKLIPPQQPQDNQQNDLTI MPEDIRAGYEVLPISVSDERKQALIGDFKQEIGFSTYDTKLLKRTNTEINFNDLSLCFLQ SIKFFKQDKGSKNLGKLTNKLLQEKNKGNQINFSYSQSRNLNIMHYLTYIFHINNFSILL LSSIFCLNKNRIDNFFVQILQLLILTINCFHNCSKYREDAYDKLQINKQKCIHNIIINIL QIILIVLLTLILQFELYQELLIICLIFLNIITIQQISQIQYMWEYENIIKLVLLAFQQCH LFCCAFLILITEDFTSLNIDEQFHQYITTYLRFFGYLVGIDLAYQNNESRIELVLFILYT WIIFTIIKYLFIIQLYLLAQMQKTTFKDKKNMIDFLGFLKSLNINTSKVRKLLNQIQQQH VQQKSIARIKNLNYLINFYGVKESLRQQLIYQDKIKHLQYFNIFNQLSRQSLSNLTNVIE IQYFRNEEVIINQDQLDDCSIYIIKNGQVEINFRNSQNSIVGVKILSDRECFGQIAFLTG LPRCATVRSIGESCIFKLNRVNFLATINNNNQDKEFINTITNEIIFSNQFEILGIQCYNC QSQNHLIQNCPQLHLEVQKEQVILDLLAPAEQKRHKFQRRDTKSGNTKFNALNTQVKCQE FQEDQGYASSLGETDHTNYQQNQSHYTITQSSINYPSKILISQKLSQRPSTLENVQGLDA QESLKEQQFREIDQIFIRAGNPKATTSTAGFGYKEQTSQKSPPLDNVNNTIDEQQIEDSS NESSSFSDDQVSGASRQTGDQKLRPMKQSNNRSEKYSQYRSGVSSISMNNTPKHSQLSIL NTFTLKSDMNNNFQQSGGTNHGSQKIISPLHLREKDERQNTLTIPGGNNMLQVPSKKSLI NPISQLNYEMSPFVAFPQHHPAIYNELQWSPIEIDKYEIYKTFYPKYNIDVVLESYHKEN ALKQKSNINELFKFNTF >CAK78266 pep:novel supercontig:GCA_000165425.1:CT868296:478846:483844:-1 gene:GSPATT00013715001 transcript:CAK78266 MQFISKLTSYFVAIGTNTERRTKNIDYGNMAKILYYFIQLIGYIYSQFERKAIQYYENDF LAQVSQQSSIPFMLIIIHYDPLTIFVYFCVFIVMLGTFLIALFQIILKSLPSSSPINRFV KFYFQNFQWFFLTPFNECMIGIITCGKQSYLIQHSEIEPSECLFQISPNYVVISFLGVIL VSISGIVSVFYFRNYDFLQAGLLRKFSHINFITIFLHQLLIILSFWKQMYQNEYLIIYSC YFLIMFCLLFDVFTQLPFGFTTETIFYSQILITSIFFGILISYWMFSDSDDGIIFLTSCI MIPLIFTLSQAYFQHQFDEESLRFCSNAGQTMSEFTLEYFVLISHPITMTKQSYFQFIRY LNVHCQTCNDIHCPCKKQLKTFISSNQTLDCEPLYVWVQYQFQKLIAISMNKELPVAIFE QLTIKFVTFLKKYRSNQYLSFKNIQDVVISYKKQQKNSSSSLQFFIHLTRQIQLDSKLDI EQQNRNQHLLSKNEFKSLIDLNLFFFYEIQYMQNIMMALNLQKELWICYINGNIKNYDDF MVQLKKIQFQLSLVQQDINYFISQREDRKYENILIIRLQLLISIVCLDDLNKQLVLAKKL QIMEQKQLAQSSHQFHILNYVSGQAITIQQLVSFEDFDIKNISIKDSYKKFFGYEHPDES PKLNDLIPYNIRSIHKGLIDNFLFLGRSTKMYSSQDTFIVNKNQFIEKVSMSLTVLFPIQ GSDYYFYVFAHLLKKSGFQKNSQTMNEEGYMLIDKNFNIFGMSQSIQNKINQLTNSEIKF CQIYDNLSIFHILPELIGKLQEHFTDKQIRNIRLQDEEVIIHKHTMNFILPNQDILMQAS NQKVKGAMDFDIKNRHLLNDLIKFEKQFALSQNTSYSFQVELTLISKILYPQINSIQKDF LYFIVDLQFEFDQKPSKCTFQRLPSDSDDNLQKHNSHQKIHNQTQNQSQIAIQSFETENR EFLNHKQIRGSSTTSEKRSSNNLNFQITFLRSVMTNSKLPFQMSNLECFFSFQVLLVVGI IIALFMLFERKSSMQSNCIQIITLDLDFLDAYSQIMSGSRHVIYYKDFYSLINDTALSID DKVLNFSKYNKLYTSWNHLNLGLNRLINIYEHNSKVFQQNSEDSLNIYIINANLQDKIAQ SVSELTTYFQIMFQIFYLSQQTQSQNISNYLSDSLDPYQIQISRSLVHYNYFEVVKIFQM KIDDCKSYNDYINQYLDSLSNYLFFGFYIMAILIALSQMIYNHRVNKQIKFNFDIFVKCD SLDGNLFIRKNDQLRHILTRQSFVLSKQSFQKLQEKPFVEYEQIKFSNQNQQQAIVKTFN SQDKHIVIRNHLSKLNQILTLSFICLIGLCYAMGFQIYYNFISQNVHPFNEEAIDSQQLR LISITTINKYDQYLVKTVFESYYNLALNDSSFTITPNQQSDYQILSQLEFNSTLLNQEIN QFQHLNFTNFLFNSKTFNAINENEQTEILSHDTCILTGCDMYSELFKQRMFNEVLNPYFY QGIVDLHYILSQIYYEIDISLQYPETNLERFQKIQNFFEEHNYMIYILWGLDAIQYQIAQ FCQYFVNVSSDAISNLTLNSQQNIIIIGLLTIFAIIVLQLLFIYYQIQRYHLSKSLLKLI PLEIIFKKNIYKLLVLHEKRSRWDLALQF >CAK78267 pep:novel supercontig:GCA_000165425.1:CT868296:484465:485031:-1 gene:GSPATT00013716001 transcript:CAK78267 MNRQRKLTNFEEFRQDQFDSIADDRSKLFNFFFEYNRQFGFINNDDYLQCTAAYLNYRAR TSLALFGSPLVVFIANKTLFRNFQRIPVFRPAVFSFKYIGIPLMSFYFTSKYFCQDTEKI FYEMAEKYQFGFYQYNQAMDLLERAHKANRLEEFMEKGTQFDWTGIPELKKY >CAK78268 pep:novel supercontig:GCA_000165425.1:CT868296:485107:487303:-1 gene:GSPATT00013717001 transcript:CAK78268 MHAPNLTKLPSQQKVPKYRPSINIDNLIAICTGKLKNCPNHKKALYIRASAYIKKGEYEI AIQDCNKLLESDGDNVGGYYLRGCANEKLGQTDLAIDDFSKVLLLDENHVNAAFARASCL NLKGDFAGAIEDYTRALEKDNAKSLNLSNSINKRSLLRNSSMKKDDNYPKTEAFQYKTKT NKYQQPEEDDLMNPQNDEEYLQNDQSQIQNQPQQNSFMSQNPLYTSIDANELHIQRPSQI IDKEISQPVQQQLISMIPDHIKQDNKKISDWFHQQGFEARKKEDFIKAIEFYTMALMFNS NHFKSIFNRGFAFDKLRMYNDAINDYTKAVEMDPKNAYAYYNRGISYDKKGDYNLAIKDF AKAIELDPSKADFYHNKGFAMKKKNQIKEAILEFNECLRLDKNHFKAYYNRANCYEKLGE FDKAQQDYLIANNVVPNNPNTLTHIGILMDRQQKLEDALKYFNSSLKIDSNYAPAYNGRG LVYDKIGEYEKACIDFNKAIDIEPQNPVYIHNRGCCKRSMNKFEQALEDFKKALSLDSKN PIIYSNMGLVLRKMEDFETAAYCYSQELIYSSENTRTLNNRGYCLAKLGQFEEAISDYSR AISLDPINIHAIYNRGICNERIGEFHKAIEDFSQVIHLQNDQGANAYFNRGCCYDNIGEM DLAIADYSKALEIDNKTNKAP >CAK78269 pep:novel supercontig:GCA_000165425.1:CT868296:487514:488680:-1 gene:GSPATT00013718001 transcript:CAK78269 MLSTSASSVSYRQKGKLPSANSKLYKGFVHDATSKLSVGSIPAKLELIIYRDQSTKAFGS SEPRFKQQLPDNPGPQEYDSSLYQFGKVQSESISNKGTGGLACSQERNVFAPKYVNSGPG PGQYNSNHSFNSSQSTNNPSFFMRQQQQKSVEKVEVINPGPGEYDMPQPKKSNVVKYVFS SKTLRGQFQNKPDNPPPGQYEVQNQLSKPEHKGPTSSFYPDGAQLREITPQQQIVAMMLN DKDKQNDRLLPGPGDYEVKFPVFDPDYIVKKELSSFAIQPGKDRFGKQEREQDPEKIGPG SYQLPSKFDEIAKDKLLVSGNVFMSESLRQPYGDFEKKLGPNPKSPYILPKKKNFHFNLQ RKWV >CAK78270 pep:novel supercontig:GCA_000165425.1:CT868296:488690:489418:-1 gene:GSPATT00013719001 transcript:CAK78270 MIQQTKEYQQNKRLIEENQKLELVVQCLNRQISNLTQFVDKSKKLQETVNKLNQELTNLK KDNFSLQETLSAFKLKHSQENVQSFEDQQQKIIENVKQHYEEELNNLKSENQKLHAIIQE LNTKSTTSLQVSATKLVEIGNKIDKKLGEKSHRRILSNPTLQSPKGMSQEIYQNLIQQLT NQTEEKSILTSISKTKLQDSGLFTKSQPKIIPHLGINSNQFEQLLPNLVNVIKK >CAK78271 pep:novel supercontig:GCA_000165425.1:CT868296:489467:490090:-1 gene:GSPATT00013720001 transcript:CAK78271 MKMTQMIFIASNKNWIKINLLQETYRQLETQFFVNNSNQYQALQNCNLNLNQLKFLKNFE SAYQEYEKTKRYDEFIITIQSICLEFDDETEDKSAIFDTFQVRCQSNFTGFCPSKHKVGG YSTDKISQFHSNNTLISKNSTPNEKQKQLECLNEKNRRIEHLLIENSIFAKQIQFFQEQI NNLKQNLEPIDV >CAK78272 pep:novel supercontig:GCA_000165425.1:CT868296:490506:490748:-1 gene:GSPATT00013721001 transcript:CAK78272 MKMVEIQNCFLSENNYKMANLLKNKILENDRETIHLTVQAVCEILTNAISQPLQKVLSIR VKKTRVFSQQKK >CAK78273 pep:novel supercontig:GCA_000165425.1:CT868296:491085:492885:1 gene:GSPATT00013722001 transcript:CAK78273 MSEYGAVPFALMIVIVIYIVIGEYFKSIHFHLIHETSFGIIIGMLVGLLLKWMDENAYAQ FFSLNATIFFYLLLPLIIFSGGYNLKKKQFLKNFKYIVSFGFLSTLINFIITLTLTIAFN SWSKNLRIINIELVRLGTNLDSHLPLSYDEMIKYSATICATDSVAALNLIPSQQYPKLFS VVFGEGMVNDAVSIIIFQAVTILQQSGKSFEWYTPFEFIGRFLENCIISLLIGLFVGLFS TWCFKKCRFLTNSTTTETVFAFLMAYMGYSICEMLNLSGVISLLMIGIMMSHYQGYNISQ LGRVTTRVTFESLSLGAEAFLYVFLGFAMWNQTTYYSPLTQDLYYVEVSWVFTLLQLGIV ILARYLSIYIVYWISIMIQGPKVWKLTKYEMSICCFAGMVRGSVAFALIETLVASPTDGI QINQINILQSCVLYIVVFTTVIFGTAMPFFINIQIKKQLDEEERKSISEVPTPVLSDSPK KKELSEAQKIIKYMDEHYFKRWFIYDYENRKEKITQEKKQIKTETLQEIYEKSFSEASED ESVKQRNEDFISLPTIEEKPTSPLIVKMQELSQQI >CAK78274 pep:novel supercontig:GCA_000165425.1:CT868296:493475:494724:1 gene:GSPATT00013723001 transcript:CAK78274 MRIVLVLLISLALSKMAADPKVVLAEIDGNHMGKTFLNAIQISLATGSPVHEIQSYINNI RFMLEQEQKDSDLYIQNTQASCNRLLHDFSTNLAYHQSQLKAHTKIVDENTNNLQRSLNK IAEVSVEIEENSKKTNAGSSERDLQYAEFQSKIKDHTEAIAAIDEAYALIEHLSGGSSFI QVKGRFNKVLSRLQSQSTSSGLLFQPILTMMTQLSAKSDSDTAKKVLQLLSNLRVQIVES KSSDEDIEKQQSLNWQQFLSDLTNERNTLSDQRQNLEQAILNYQSIIEESQGKVEYHAAE VERNQSNLEGQDQWCRQQQDIYQMETQARVQLQDLISRISDHIQDKIVTLKEYLRERLQL N >CAK78275 pep:novel supercontig:GCA_000165425.1:CT868296:494725:496026:1 gene:GSPATT00013724001 transcript:CAK78275 MDSITGIEDHQQQRNNIICNVQLVQQAIMDSYHEKEYRQSISLTQTQTQKVPDDNTNQHV SLLLYPIQTSDKHDDVFNANVTTSIRNRISPYFGVLLKKSPHWVQGYKERQCSIVNRIFR YFSMEHKKLEGVLNFDVQTYQLIEIKDKQGNIIEFIIKPVGKIDKIFQFKGTNPMETTKW FNTIKIHLQDSIGFMNQLTSLCKYERYWRVSNVKLSKHERISAQQLEEDAEDGDIILFRG KDINCYVQRAFTQDDFDHVGLLLKMDNNELFIFEALPSSGVALCRWSTFNIRKWYSMYEK VVYRKLKTNRSIEFKVKLSDFVNENLGKKYSCTPSKLLMQKSILFVDEQPKTEEQKNRTY FCSELVAKCYKDLGFLPKIVSSTQYWPGSFSQQNSKLKLQQASLSDEYLIDFCM >CAK78276 pep:novel supercontig:GCA_000165425.1:CT868296:496907:497940:-1 gene:GSPATT00013725001 transcript:CAK78276 MLIVKGGPPQKIGKTQSVHNLPQESHLIVRKLNPILTLKTEGCFDSTLNQIYNSVCDTEY VTGRILKQLILFVDTKFSELTEKIDNFDNLSDINTHLLRIQSSQVSPIMKQRNDDSPNDI VRNKSSGSLLQQDNTSLSEKGKNSPSRKALQKNSLSQSNVMDINRLNQFEKKIRQTEDKQ IKFEQDQQDKFQGFQNRIEKLIRSIQEKQQSLQFSDLYRGIQEFSENQKQIVSFISTSQN EMIEINKKLLSTSKEYQEQMNFIKNTQEQTTQAYSACNEKIDTLSTSIQQIDQDLLIILK CYKDVLNDVFRIEILEQQQKRILNILNNYH >CAK78277 pep:novel supercontig:GCA_000165425.1:CT868296:497956:499003:-1 gene:GSPATT00013726001 transcript:CAK78277 MNYQTKFLLKKGVLSQPKCLRPQSILSDITNYQSQNDQNIKNKRRNAIVPVFNKDDEKID RILFSKPIKECLHEKKYLYDEEIQEKEYKEDINYKRINHLDFHKFNQDQLQQLIDWMMTT VKRYQKMSYQTLFHCVELLDTFLCNTKGFIADDLFLIGSCCIYLSSKFHDISPIFVEDII IDICQENYTIHEFLSMEQTICRKLEYNIYFQTPFEYFEKIFFHLKPFLSQFYQECEVIYM KQNALELLQYSIIAYEFRNITHYNKAIACIYEFIKMSDKIITQNFLELLNHLELNFNCIQ LNATEIKNYKNLNKERYQCVNNYFY >CAK78278 pep:novel supercontig:GCA_000165425.1:CT868296:499246:499681:-1 gene:GSPATT00013727001 transcript:CAK78278 MSNQTRTWVDDVELNISQLSDSIRTNVKQPICDLVNQIPFNEWKNTYYLPLTNQYEKWPE RFTQFKYNNILGRGNENQRLIFLGLSTLAIVSISKRFSSGLFPILRNSVVTYFALGLIVA PEIYNPLLVKGNKTN >CAK78279 pep:novel supercontig:GCA_000165425.1:CT868296:499893:500896:-1 gene:GSPATT00013728001 transcript:CAK78279 MIDQILIKRRKGEYIEQEGKAINIQLNSTSQTILSLKKEIAKITKIKPIRQWLTTEDKQK VFEDNELPLNLSGIKNGQTLVVKDLGPQMLWGAVFYIEYLGPILMFFLLYKLGNQENYTL MQKIAYWMVILHFLKRILETKFVHVFSRDSMPLKRALINCLHYWIFCGFCIGIELFYLRS FEKRQSWKFIFVAFFGLFEFLNLMCHIRLSSFRKKLELKQSDADYVAQNKQRQIPYGWGF GAVSSANYFWETMAWVSFSLFTCSYAAIAFTIFSFGQMLIWAKQKHRRYIKEFGDRYPRN RKAMVPYII >CAK78280 pep:novel supercontig:GCA_000165425.1:CT868296:501184:501417:1 gene:GSPATT00013729001 transcript:CAK78280 MREYFLNRRKFGMLHFKWIKFDNVDINGQNLNEAQLLNCKWKNIKIHDLKNQMVIQVKLL HSIYLISIWDVEIRWMD >CAK78281 pep:novel supercontig:GCA_000165425.1:CT868296:501769:502645:-1 gene:GSPATT00013730001 transcript:CAK78281 MKYNSNYQFLVNLLCIKNVKYRIIKAKLDGHTSASVNFSSDGTTIAFSGDDNSIRLWNVK TSKEILLSGSCEKDILTQFKIPLQNSSLLSHVYPYCTILRMCQNPLQEASAALILQGQSI NNYEKDLKPLFKSKGSCILEDIKQKLRTLQLQSQSISFSPLNNMSLLSQLLIFISYDRQL HVFQKYPFQANDYTILSLILLNYIVSLVCRVYLLICLKKHLSIILYSGSPFQELMYYFQM SSSNQFKLKNINIHYEIKKLSIIIIDIFIYNKAYNKENFWSLY >CAK80827 pep:novel supercontig:GCA_000165425.1:CT868380:1:2375:-1 gene:GSPATT00039418001 transcript:CAK80827 MAQMILQSLFERSLELWIFQIFIHLILLNCFVSEVLAQTEISRSFFSPFSTDDNWKAYLA ENSNHVTNCVTSSIFGGRFVFNSQTVITKTYILPPHYKIQFEFKFWRLDPWSSASYIFFI NGQQEHSYDPYSITGDPICGSGTLGQIDQVSKEIPHTGNSAIIFIVSRQNSAYWGISDFK LQVLKCPLLCDYCDSIGACLKWYRVQTYFTTLTFANGQGWEKDKALFDNVVDCGFQYYGN FQITQVASINLNLNDPHTKLKLSFIFVCVEISVSVTIQVIGDIQLYLFAPPLTVVKFNDY QCGSYLKMTKVEIQGFPCTSTFMTISISTPSVTTTSVNTPYFGIRDFEVFSYQENKIQDY RLLHQADYILGIEGIFSQQYNCVVGCSNCIRDLCVECFSGWNFVTNFQECIPVCGDQLIL FSEECDDGNMEPNDGCYKCKFSCPLNCASCKYGQCLFCDHHYQLIDKQCHFSCSYGDSNS FLKHDTSIQVGQYCQISNFLTNSYLQHIIINNNFKLEAHDIRCQIQSYGIFAYQYNQCEY RELENCFISVFNECQICINQFEKSFNGQCLPICNHGIYLIDEFLDNSLQIQLDDSFTCSR CQLECLECHNSYCFQCLKGWNLVDFKCEYECGDGKIALGSTEQCDDQNLDIGDGCYECKF ECQYNCIFCSGHLQCAICQEYFEIKDKNCIPICGDGIVVEGLEICDDGNDIQYDGCHNCQ YSCRENCQICDHQNCLDICDQGYYYLDNKCNSICGDSIVAFNEQCDE >CAK82844 pep:novel supercontig:GCA_000165425.1:CT868442:1746:2132:-1 gene:GSPATT00039508001 transcript:CAK82844 MSQRCVSQLVPINEQASQHYKHFVIESYGCLLFQYLISIFCTMEQIKMNLEYNRMRNFKT RWKNSPYEYTLRKKYSMHIRICLNNEVSQTLNFPQNIGKHFIYSVAKDMLKIDLESLNEG >CAK80831 pep:novel supercontig:GCA_000165425.1:CT868384:50:927:1 gene:GSPATT00039423001 transcript:CAK80831 MKKNSNIIYKHWQNRCEAAQQTIHQLDEIYKAAQDVQQNKPNFKAKHILNKKLVESQGQK LSQVKLLQNITDEDLRNIKIVNTQNQSVLQKVYSNTLQQYQARLLKKPQAVQQSHDENCI RNPFTIKTQADILSENSTEFNSLTTRSSQPVVKTDNVIPSYGQLPSLHIPQNTPLQIVFD TLSTLPRVNKDNLVLSLMYQQAFKSENPEKKAKQIQKVIQASYRNVSKQYESKILPPNEV NNTSNNQSIDRRRLKFKSSGSYDLNSSRNYVSFVQQKQICSQIN >CAK80832 pep:novel supercontig:GCA_000165425.1:CT868384:962:1878:1 gene:GSPATT00039424001 transcript:CAK80832 MKKYTEKEFEEKVETAVDKYLSKKAQQQQQKGYFHHHNECIIDKLVEKEKLMTSIANIFT EVAKITCNELVEPIKQQIDQLESDLAKIKELVIKSFNQFTPVMRSVGVSEKVIENSKQIE TEEIDRVRKKLQNHDNMISLLMDTQQDYTHIIRAQLKQTLQIFNTSDDIQAKLLSQFDDK IYQLKQELNDTRVNDTVRQVKEKCNACWVVLGDVNERVEKMQKYLDEQTEKKKSGGLLQK AASYIKAS >CAK80833 pep:novel supercontig:GCA_000165425.1:CT868384:1969:3561:-1 gene:GSPATT00039425001 transcript:CAK80833 MYLVDQRVCIPTDPEQFKIVQEALKMGFLHQMISDISGAKYTLFIFIVLGICFTLAFTYL LKWCSKTVIWFIIFIIVVLSIFFGYYSYLQYKAASSMTIGLSPTGYLLQAIIWWCFGLGT IILTICFYKRINLAIAIIKSASDFVTKNVSIVIVPVFSTIATLILTVIFIYIAFIICSTG TPGDKQQQWPFGQLKYTLFEYFSGFYLLFATFWTYALIIGVNSFIIAGSVCVWYWQQGKS GQEHVQPLNSSWKRCFVYHFGSIVLGALLLGLISIFRSFFEYLYRNAEYMRTTDGCQFCF KCCACCIWCFERFLQYLNQNIYVQINMTGDGFFHAAKKGLDIMSNNPSIVMQVVGLGDLI NNIARIMITLSISMFFFRSISELPQFLFGGIVINPYNPTLLLAIIVFVIATVFTNIFGVA IESILHLYCIDQEIARAKSGSEDAEMCPAALREFLNDKVFTQQK >CAK73917 pep:novel supercontig:GCA_000165425.1:CT868169:1:3607:1 gene:GSPATT00038935001 transcript:CAK73917 QNCIINHAHNSLFSTLEQDGAFTISGKKSLLKLYLINIILTDVLNKLSSSIFSIYPSSSK NNLELKNIIAKDCFSLVDQILNFKSDSQTLLQNNVKIDNFTIIQTEEAFLNFIQSIGKFS LVQRQKMLTDNAIMNFVRCKLILNQMKIDGIILSSIIKIVDSKYIKIANSKFTNIQIFYP LNLIDIQQSDDMQSKIHFDNITIQNLLDFKSSSLNQYQFSYNHIHLDFSQCSLRTNQLIN QINQQGRVSTFFEEIVSNSNQNGSLIKLKTTSNQTQVLFCEMLLFNNNCQNCWHGLLYFE LIDFQKALISEVSCIMNSIKNYGCILAKSDTKIESLIQIENSIFVSNKGQLGAGIFIQNL KFYLKNSILLNNTASQMGGGVYFSEGSSRFTISTSLIYNNKAAEAGGIYLFGNSSLTKNN FIKSLILLNFASMSSNNINELPQAFENVLTIKNQLMAANIKSYIQSLTKLYLRITLNQRM SYLFLLVKMYKVMSFIIHGSKNFKLTVYDISILFKNSMNELLINFENSTCNIEQQIYDNT ENLIETIRISKIKFNQDTRKFYLGSLKFDIDPYAAPSTVSENEVQAGGASXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX SYFKDQKQQECKQCQEFSKLLLTFFLISIWYIKFIYYRAILSTLLTIRSIEKSNQLFAQL KVRQRFVEILFKLNQDHESILLKLFLNYLWIVSLIFTFNIRFSFSFNFVKQSSDTSYFMA NYFECILAEFQGIELIYSRILVMFVLMVAQILIIYIGYKVVSILTKTKFRMRIISITILY LYIQNYASLINQFFSILAVRKISNLDYISGDVSLIYGSDSHIQWIKGFAIPGSALIGLII PLLLFLILYINRENHNKIKFRRHVGYLFNEYTPQNYFWEMIKLWKKTIIIIILIYFETDI FLKATLLGLCLLFYQLIAQNYKPFILEKLNLLDIQSSQFCSIAIFFAAVKFICEQQEQYN FSALIQGLIFITSIILSYPFIVNILKVYYKKYKLLVLASLFQGFSSFKPNFKFTKFLGQR EDKTKRNIQKLKQIFLKKKSNVQQCYCYFQGNNQLCKK >CAK81120 pep:novel supercontig:GCA_000165425.1:CT868387:2245:3231:-1 gene:GSPATT00039427001 transcript:CAK81120 MLDTLNSGQHDVALVMNLLLALKISQQDLFELNYQNIQEEDNYCIIYLQNEEKLILKREN LLPELQMKFWGNIGSIFNVVEGQQSNKRNKINEQFNETRKILINNLEKSEKDQTYQNFLL QINLNNYKMMDKTNLRIFKLADNDLQKLQEQKKEIQQLKQASKSDSKQEKALQEIPNQES IEAQCKSQVANQKVQPVQQIQNKTK >CAK84290 pep:novel supercontig:GCA_000165425.1:CT868499:210:1499:-1 gene:GSPATT00039672001 transcript:CAK84290 MSILDICDIYQFQNIINGLLHEREGSCGSEGSINASQRQTKKFDTQRSRVDEMKFEVFEK TMYGNEDNYYDSDELTCIDRNQLLSLIKSEPKKIRWTPGQVIGQGSFGRVIEAMNLDTGK LMAVKQVMVGIRNEDRIMALEIEIDLLSLIKAQEHSFLLWNGTNREDPEYLSGESGRRIP EFNAPEIRFILGIPNQRLEYLHQNGIMHRDIKGANVLVDNQGVCKLADFGSSKKIALNSD STIFGTPNFMAPEVVQQQKSGRKADIWSLGCTMIELATGKPPWHEITNQICGEIPQIPEG FSEEAKSFVSHCLEVDERKRWNATKLLKHPFLIQQNKLEIPQGKTSLRNTPGSKSKQQQR SFKYPEQETSHSPGQAKEFEQQHSDNLQPMNDSSFVLKQKRQQE >CAK84291 pep:novel supercontig:GCA_000165425.1:CT868499:1528:1795:1 gene:GSPATT00039673001 transcript:CAK84291 MKRFLQQLQNQQQVSLKSILYQLQMNILLSNFMCNNISLSTIRKQVELFLLKNRIQADKI KLKIFNKQYQFNILLFQGQTQ >CAK85766 pep:novel supercontig:GCA_000165425.1:CT868544:32:241:1 gene:GSPATT00039724001 transcript:CAK85766 SEQILREVFDNYEYYVKLEKKLLYDERKQKRVYKIIDQLRHDQVREDHMNKNSLKLRFYL RKVLLTMVQ >CAK85767 pep:novel supercontig:GCA_000165425.1:CT868544:590:781:1 gene:GSPATT00039725001 transcript:CAK85767 METISQNYVYNLNIKMQTDKNFFKKMILQKYQHGISDEGMRELVNLKQKLKNIKRRKRWF IRN >CAK85768 pep:novel supercontig:GCA_000165425.1:CT868544:851:1301:1 gene:GSPATT00039726001 transcript:CAK85768 MKYIYYNPYTQYDECINVHHGNYQSEIWDLIVWGVQNQEPMGIQQSFKFRCEIGRIIQQY LWFKMPEYLAKLVADFYKFEVGDTNSQVRKRWIESMEIVNDISTNKVYSHINDEAFVLNR ILSSYMQSNVSKNPKEQVEHY >CAK85769 pep:novel supercontig:GCA_000165425.1:CT868544:1593:2236:1 gene:GSPATT00039727001 transcript:CAK85769 MNYEWFQDDNQIKLRFEIKNTRSDNLDIQVADVVVKVNVLDKKFAKTIDLLAPVLEGNIK YGADVLEVTLIKVQPGKWPQLSPSLSKEELIQRRKDAFLRKDQEIQAQKKLREDLKQQFD QHATKEQIKVDDRERDLIKREREQQRSDAVSDLYDQVGQDSGFEQNQVVQQEANEDQIEE RLGGFEGFESYRVRIGGEAKAVPTYI >CAK79604 pep:novel supercontig:GCA_000165425.1:CT868340:2:988:1 gene:GSPATT00039345001 transcript:CAK79604 IYQIIQFQYYDGHFLTKEIQLEEYNLTSPLTCATALRIYSRDPYLKAYQAEEGEAIVIIS GHQNGAVILWENFERMDLMTTYKDQIVCITSYQFGIIIGTDASTIHLWDFKFKNNIKNID LTAFSFKLFSYVISDIVVAGDKLLVASTEGDVVEIFLQQKQEHSSNSFVNKLRANRINYI IQLSGTLQALCILERPDSDDKLVFCAGSQSTVYGFSLETHEIVDVWTIGDQISSMDCINF EDGGAVFALGTVSGKVYLRLDWEESPRWYDCKHQVNDLKFSSDTSCLVCAVQDAFVYVFF LNNTSYFQTAPKKIHFEGEFSNMFGFRG >CAK79605 pep:novel supercontig:GCA_000165425.1:CT868340:1017:4882:1 gene:GSPATT00039346001 transcript:CAK79605 MKNQYKIELPDLKSKNLLQENEKLNSTTWVIRYPLSSSSNQNSKKEQYLPLLIGGDVKIF LAAGEGGYVYFWRDREQLETNCGGFLRGHASNVSRLQMTKSQDVFYTVGTNDNTLIEWKI DFINDLADFSKPFQQDDKLQINKPGLNNFSMASNKQDFNQTIDEIFKREKDYCFFLNNIS DKFRDNFVQFRATNQKMLNGLLQELIPPFDKKQHVMKRAPPLSLTLDYIYGFLAYDKRRT LFYVHFYNKQEKKRRGGQQQSDLKSEQRKRMEQMNQQSIMLPVQFQKEMLLAKQALLPYD DSHNDCQRHFVYITSRIAVVYNPLNNQQKFYEGHRFKITCLAIHPLKCFVATGESAPRPC IHVWNVFNTEPVKIIRTNHKNGIYDLVFSRDSLFIVSIGIDETYSVQVTSWKNETIIAFR NSGTFPICCVMFNPYNRYEFATCGYQNITIWSLQGRNLIRSQVILSDEVKYSNGCFITCL SYISYLLSDKVESDIIVGNNFGDLALVSCGKYIVVKERAHQKMINCLKISQILGDKVVII TCGEDEYIKIWDTKFNLINEFNIRKTGFFQDGTPAIRNLSAQSIDIFSCKVPKRQLNEEE VEEPHNLSVMLVGTRNGDILEAAFQVESQGLKLQQIRKQESHSEDEQDNSNDSSVSEQQQ RQHYTTTETLKFNYSIYMRSHQSQIFDSKQQIDFFNKKMFITLHPTQPIMVSMGEDQKLI LWDTENNSLLLVKNMGMTPTAIRLSPDGDLLVIGFQNSMVVIMDSKIQKNTMGKVSERYL LPSLDIIMNIKDKDNKTPVLNIEFSHKGDMLAISYDNARSQKDVFDSKLEKEGSFISVWV NRGSHRTSKYRATDKNLYLKYTDIRCPSLYESYQTDNDTYGVAAYFMTFSQDGNYLLIYY QLINNQQTRINNDPQGVYIIWDLNSNTSVKNWETIKNIQWKKLNFPNSLHSQYQFYDSLI GNPKQKQEQETNLANEILKTPVMSVMVDISPFLICGSTNGDLHLVKSSCLYYDKDFITEI SKKQKCLAKSYSAHVSFVNQIETHQNAQYLYTTGILDECIMKWKLTEEQQNWDLDYLIYD KKQADLFQEVQEKEKFKSLFSELLPLRQGISDKVKNVDDTKQPEVELKLESIIGRRAFTR RNNLFYDYDERLIYVAGCNLVIASLDDEDEQDDKITSQFKKYNGGQLQSESNSVFQQFIK LDQSDTSSSPEISCITLSQDKKLLCAGTIELNAKLLIWDICSRTCVKNIVINNAVMIMNI KFAYDNRHLI >CAK82629 pep:novel supercontig:GCA_000165425.1:CT868438:1158:1547:1 gene:GSPATT00039505001 transcript:CAK82629 MNQQFDCYFVSSLKKVVQNSFPIRSKLDVFQLSIQASNCLIFLGFNIMFSNFPTSLRSNQ FFESWTFMNFAIKIIVNAETINNQSITWQVEVIDKWLMPHLCIERYEPQLRQQDLQKM >CAK77682 pep:novel supercontig:GCA_000165425.1:CT868280:3:1711:1 gene:GSPATT00039235001 transcript:CAK77682 KNGQINTKDHWNMQFMDDNNVLLRLTNNEPAYRKEAATVGDRTLLIMYQPREVVFSTYTL GTVDSGLIANIRKPTPVGNNFGVWTYVWFGYSWPKRAASGVVKFPTETAVVPYENVLHMI PKYFAMFVGSDGMLGGWEGPIRKVGAVFGKGAYVDTKKGNFESLLPEVQSLVVKKTQWKP EKDGLVYVPQGEKFDQPGYDVTFKEEVGGVSEYGYGLWTRWLMTTPQRVNDKSPFHHLVR LTNTEKYEDNAEFGNRILATWVGKGYYHFTTYDKKTNKISMAQNVNYDDYLEGHWNYVYY SFTSKDQQRAVGFVLFGDLPENAVGRIEFIDIQHTPLNGYARVVVANNEFGYPAFNGMIS DFRVNFGSGFVGSKQQFLQDIIQTYPKPNLKVPQRTDVNVIREQKNFEKENPNPIKAFYD QYQGVLEYAVSGWLQAKKGSSEETVKSIFRLTINAPGYQKDATNAGDRTLAGFQTKDSFI LSTYDYGNLDTNDDDQNDLNTKFQIKENQGEWVYLYFRILFKIKKRICLCIISKQRGQFL IQWTQTLCSKSILVLLRS >CAK77683 pep:novel supercontig:GCA_000165425.1:CT868280:1740:2180:1 gene:GSPATT00039236001 transcript:CAK77683 MYNWNLHIGDGSFDTKPKSQIELWPYEPKQPIDQVLSVLLGNQGLSSIKLTRNIPQSGTV EKVAGPASGKVEVGTGPKSGQVSSADGPKSGQVDSANKPKSGQVDKIDGAKSGEPQPGSK PQSGEQVVNK >CAK77684 pep:novel supercontig:GCA_000165425.1:CT868280:2826:6135:1 gene:GSPATT00039237001 transcript:CAK77684 MQFNLETDNNLIISDFRPEECIDFLSMESISDVGIQFPKPQNSKYDVEPPPNSKDLKEKA ILSPLSPNFEGLKESEQMILLPPQNLSQRPLQHKSSIQMITKNHKQSANVSGSFMKYFHT RRFVNRMLRNKNMFQKLQPIHLEIINDASSHFDNEIFGQKSHSLKPLGLMKTLHLSISPS FTKKLISKYRQITNLILNKFQQVLHQIPVIQPENKGRIIWDVVLSITRLYFIILIPIDMV FEERLLYSENLIIITTFQTFLMILDMFINLNTAYYQFGQIVSERSRIIKHNFSKGYGLDA FSVIFLICLLFLDWDYIGEYEPIVFIGLLSFTVQYQNITKLIRIFEEVLNLNKIQAGFLE LAKLITLLLYILHISACIWIGCGRISSSITNGTSWMIKEDILNEQWIVQYLRSFYFCTVT MFTVGYGDLTPQSNLEHVTCIIFIMIFSIQLPYSVNTVGAIIDEISKYSEQKLQKLRVIN TYMAKKKITYGLQVKIRQYLAYYWESQNTQISQEVKGILEQLSENLRDSLMLEANSIILN SCDMFSKFLSPAFTKALVNKIRYLDVQPENIVDFSDQPDSHQNYYLTFIEVGTIQVIQDQ NNCKNDSYITQLSQGQVFGLYEFITGTQCNEFYKSKGFTKLLILPRNQFLKTLKEFPEDK EKYCQIRDDLLYNNGDQFLRDIGIQCYLCNSRDHISKYCPMVHYCADKEKIIKSHTYIIK QPRQKQKRKARKSQFNGMSDQKIIMEIAQYFKEDFWKQCQYYEINDIDIEQQQSPQYSIA SDEVKDYIKQTPQIQIKTSQVTYNKHIKQQRIGQLNEIQQSRRAARQNTGSPLMKFSTNQ QIIDQFASNELKIIPRAHSSLVDNVSQQESQITPEKQEEEDGTAQKSNQQIISIKGEQLS TKKRYIPQPLQSQLIIRQKNLKIEIEKDPQKKMILLTTTEMELQENWQSLEQRILQLRQF QEQEAQVIQLQNLSNLIQHQLNDKFICLESFDLQKDFQYYLTHNNFTQLEVRNCYNKYLI ENLSRFIKYLFFPFVYIHKYSKLDIEEDSKLERQRKTMSFSKKKATVVFKFRDKAKQIIN SRRIVPE >CAK57581 pep:novel supercontig:GCA_000165425.1:CT867993:3831:6695:1 gene:GSPATT00028616001 transcript:CAK57581 MKYFLENLLIPYLKDILLTPLLLKIAIKHLQNTENLESLKSININGRVKTLKKDQELILL PSNAQIFSKYKVLEFFMSQCHTKRKQKNETSDLQISFEEFQNNLKLTCLNDLNEQSQLQS LILKNNQEGFSLEISELSSSTNSKLITFNNNQVQFLHQQILDFFIAQKILKYLQVKNEIT NFLNNEQVNLSQKQNEQVVELLIDRLKNDEFRKKNLKEVLKLSINDKSKVRASSNGIFLL SKMGACLENENFKGIIIENTSMIGLNSINCDFTECKIKNVDIDFCNLNCCKFMNSEFQNA SCCEFPEIQENEEVLQVISFKQDQQLAVLKQNGKVNIWNVEAVERDVEIENIIKNKYKQI IISKDDSTLIALTDYQIEFWNLNKYVSTAHISFISKSKYMFLSPDNTLLICNSQNQDIEK VKYTQSDQIWRKQQIPLSLKQDAVQMAINHSNELLVVRNTSNQIKFFNLSTSNEIIITQQ ISGNAFTSTADGERLIIQHKNQIDFYQFEISNGTLNYLKHFNISKLENPKGLYICQNSEI ILIQGQNQTKIFYERTQQLQQLPKRFYGENSVLSRNGEIFINWKDNKVQLWDLKSLNKTK QKEEIDDYVKCCQYSPDGQKLLIVSSKKTLFYNIDQKIYHWIFESIYSFCFHPTKEILVT FSKQGLKFWRINTEHQLISLPKFDIFQEFEVQKLIFSQNGEWLVFCNKNIVSIMNVLKVL KVSNQIDQLENIIQIPGFNYNEKDQFNFSKNLLQYSFDNQQLLKFNPQEQKCEILQNFDS NCEAQTFSPTGKYIAYGEKSEKKNFITYKVQHEINAIYFSNNEKQLYFVTQKHTEIQIYF ILERNSIRMLQQMQVIKSHRIIRSLSLHPKNVQFLVVGKSGEILFYNKKQDQNEYEMCVK MGESDELCSLNSDISLLRITSNIKQQNLRLLLLQKGAKTQPINA >CAK57582 pep:novel supercontig:GCA_000165425.1:CT867993:7381:7967:1 gene:GSPATT00028617001 transcript:CAK57582 MGCLTSSSKEEDISMALQSSKKLKIVIVGLEGSGKTSILQYLKNGKFIEAQPTIGLNVET IQFKARHYLVFDVGGKVRTLWSHYYENLDGLVFVIDTTDPERIEIVKNELKKLGSEINHL KQIVLLIYLNKIDLPRSAPMELSKDIQQQESDVIMQRCSAKTGEGIWEGIDKMNKLLDHK FQQ >CAK57583 pep:novel supercontig:GCA_000165425.1:CT867993:7981:8730:1 gene:GSPATT00028618001 transcript:CAK57583 MFGYALRKLSNLRFNFCNQNKQSQGKPGNKAASTNYSYTFDVINHYDQISKIKGKGAIVS LMPCYPQYQVTKFGSVVAKMKYSRNYIYLWRFQPIAEIGKEVNNKESLNFPISYENCGYI IDLCDHMSTSPEIKLEEQTIKGNLTFTVQIDYANKAARISLQAIAQQQQQQQQSQQQQQD QKYEVQIALAQFKLMCEQMKQGLPLVTGWIIPEKFLFQTNKEQAE >CAK57584 pep:novel supercontig:GCA_000165425.1:CT867993:9155:10066:1 gene:GSPATT00028619001 transcript:CAK57584 MKLQSQPSSPHKLTRINDLKKQVENYLDMLESKVTMVKEKALSESSQHITEDLKYLEEKS VEMERYYKDNKRIQNMEQQLEWFREESVKLYTKIEVKNKDIFELKIRLQEVQKENEFLEV QIKQLMRRNKKYEVIRHATSVATEQIKEQQLFCTQPKPKRIMSGYAQPKHIKQLQDIFER IPNDDQNQIINEVAQPSRYNYYASELVVLLRLQKMNQPICQSTKAFATRSEYEEFFIDCV EVMNSKQKVSSQRYCASPSNVQQIIGYLIQCFLEKRQIKSTRTCFNE >CAK57585 pep:novel supercontig:GCA_000165425.1:CT867993:10297:11379:1 gene:GSPATT00028620001 transcript:CAK57585 MYPQPIASAPVQSVVLGTSGIQRPPFQPYQSPIKVPTGQSIYTQQGIQNQYIQNQQVKTV QTHHMHHTHEYKIPQYQYQQRSPQQVQPQQLATPPPQQPEINELYHVEREMQVLSVEDVE EPWKKKCVELEVKIYDLQTELARYKNQGQELKVTSNEEFQVRELEAKIKMMKDIEDGLRK EIQNQQSEIDSWRQRYQKLQLEYQQLLQGGDEVRQLRDALADKERQILKLEGALNQQLME MQNQNRVIQNKEQEIGQYKQMIRQLESEMSEFQQTNEKLKYYSNEANVWKEKFMKANQDY HTAQEQCMMAQAELDSLKKQKTITTTEKTTSIQQNNVRRQQQY >CAK57586 pep:novel supercontig:GCA_000165425.1:CT867993:11421:12302:1 gene:GSPATT00028621001 transcript:CAK57586 MVFYIIGLGLGDHLDITVKGLEAVKTCKEIYLESYTSILGINKLKLQEFYGKEVIEADRE CCETGIDRILENVSADTQNNYAFLVVGDPFCATTHTDLFLRAVKLGIKVEVIHNASIINA IGCTGLQVYRFGETVSVPFFTEKWKPYSFYPKIKANLDHNLHTLVLLDIKVKEISEENLA RGKKIYEAPRFMSTQVAVEQIIESDKQLGLNAIDEKTKCFGVARVGFESQKIVSGFLHEF LEIDMGLPLHSFVICAKELHPIEEEMFQFYRNNQQKID >CAK57587 pep:novel supercontig:GCA_000165425.1:CT867993:12314:12957:-1 gene:GSPATT00028622001 transcript:CAK57587 MENFDQNLKTDDRNLQLSQQVLEQKREKIILQKKIQALEKQNKNLSEQMETYKIEKQERA AQIMLLEELIAKQDFVTTKLNGLIKKLLQRDEENQQHEHSLSAPKTDAKTNKYDNQERKK KDKQYLEEQQKLDLNEQIIAYYKKHSLKDQSASSKLPPIEDTKNKPIIVQVPKSQLKKIN PQYLIEQMQKE >CAK57588 pep:novel supercontig:GCA_000165425.1:CT867993:13763:15688:-1 gene:GSPATT00028623001 transcript:CAK57588 MLLIILIALSCIVARDADLIEIEIAQLEHLIQLHTEKLHYLKELREISIANQQNIPSIDQ QVLQEIVQKKPYKTQSGEKQNLNKERSFTNRMLKKYQFNITNSILDASLIQTFQYKSPTT DNSFTQMLYVVTNTQEIEIYDLSNTMIVNTQLDFQPQYVSISNRADDPILALASTHQAYI ILDKDGQFALYKLENSRVPIETQDSQKAKTKVVIQLNKEFELNIENQQITSMIYAIVKGT KYILFGSSNGSVYVYNRNGTLIGERNFNTPILQVVKSYPNMLYLTSTGFGYINPTNLEIV QPICDNLPFQIVHLTLDIQQTNIVYALSDLGEVYVFEIKQNEQCKMKLKLVNNQQPQFPL SNPKLLSLRHYLIVYDQENRESLLYNTTDILTEVDYDQPFNLEFFKQIKGQQVVLQSIKG NGASHYLLTREVQNDHELISYYEITMPQKKDTDIFSNFRFPIIIIAIVIVLLYQFWFKGK KQEKKEKGSKKKLRSEDEEIEQILNQQKKPFSGPGGSVSTINNRSPTRSEIQNGQKQVRF DENLKRADKFKEQLDNLDQKTKLLNERVGVTNNIEAQRQKLAQQALLQKNKYV >CAK57589 pep:novel supercontig:GCA_000165425.1:CT867993:15779:16351:1 gene:GSPATT00028624001 transcript:CAK57589 MNDNNLNSFSQYQTYSTYQSRTVLPQNNHQSLKIQEYTRPEIQKINLKLDQMLETLNQFT PSLMNQQKQQESHLNNSQLETQLPPSQYNSFIGKDNMQYSQQQQKQFTNQRCQPLHYSIS PQQTQYNASLKQEFDEYLQFKDFVKAKKLVLNSNAPLSDLYKYYLENDVYQKTQYYRYQE EKVNKIILQK >CAK57590 pep:novel supercontig:GCA_000165425.1:CT867993:16499:20147:-1 gene:GSPATT00028625001 transcript:CAK57590 MHSTQQMSKFPSTYSTNPNPSTRRYVSSAKTSPVTGPLQKLIETDNDNFSKRDKNPQHLL QEILYTNNITKIQRLISTFSQSDSNIENLSQQELLNIILKVYQLNLYEEINFINILYDAY SDKQHKDVLKYIILHCHEQLIGKSGNTTRLLEIFQEMLTLNPDFTSFQLEYQLDSVPVSF NRIQLRCMFLLNQYDILRSLKGAVALFEQLEGFIFQQFKANTIPNQSDISTLVIAYILLS EQLELCNRFGLSKQALEIIGKPIDGSITDLKRKFIFNASKLSQKYLGQMIFEQINGLHRK MSPDLNRSQVVSQDTLIHQFLIDSLDMLYLKNYKDMFDIDRISTNIITSPYVYIIMEKIL PENKKKDKVLSIFSDDQARPSSGKQFQIKQIKNDINSPNQGKFKSYNFNVLSNNNSQTQI QAITTNKPNSNKPPLNQQLSSGSLHSTGKLNQFDSQEFEIIQNKLVVQQRELDELKQLYT QSLNSKKQEPENNQLAEQLKKKIDLLENNLFQIKNENSTNKKEKEQKQTEVIELKSQLQD LIAKQSQLEKLLQSQSQQQQMIIYQQNLLQQQQAAAQTIQSLNQQPSNSLIQTAPMSIQI PLKEVQKKQNSLYHSPDDDFIQMDETTPYIYKQNQSYITQLLEMLDVNIVFSKMYTRYQS ASQDENDQWNSDVQNIANYRVEATVVDTRDEGKSILLKAFDQKNSLICQENINLELLKGL ITYVDFQEMLPTNQPSINTTHQFFKFLVLPYTAVVPDEITQEMKLQFWPKPYGLLNGLTL RVDFMDTNCLIYIHHIETDQFRISICDPISKDTLRLDLEMDYSTMDAFFQDAKSIKDQYS FFSKTTLLKMTEKTPKFGDDDVAEALQEKHFDKTKVKQVHQSISLNQTFMTENLIFKNPK EFLKYLKTIIIQFEEYLKSLGISFSNTLFGQKYFRCKSWNTGSKNQLQIVLDNQDLQSIQ VCLQNCFETFGPNKTKIKAKGQTTIPYSSIQREFSIMFDKLQSEEKIVIFQQLLYSFNLN VFEKACEQSQEQFDKNPIIQNSYDCGSFKRMILYDNSKISVVTISVIGANRRMCGVKFSV LNIETTQEIGVYLPTSQGEWDLRSLDKQKIKSSVENVPFAEFFLTQILRCPITTQILFKK ILKADSKNNQINSNEIKNRKAFIERIDNLITWQEVLAAAQN >CAK57591 pep:novel supercontig:GCA_000165425.1:CT867993:20190:20695:1 gene:GSPATT00028626001 transcript:CAK57591 MEKRFYKTCSKHAYYQYINRYDPKLLATYQTGYYHGIGRQGLFKTLVLRQAGDSIGMEED HSYTYKMHVMAMNLMYRSGWVLFAYILIWNTFLLGDPCQVFNTSYWDLACKPSGDMDYNT RYEMLYVQDRVLRF >CAK57592 pep:novel supercontig:GCA_000165425.1:CT867993:20774:21239:-1 gene:GSPATT00028627001 transcript:CAK57592 MAYHIDLSQLKCIIDDTPQLKRDSQALFVMKASTDYFDANSTICECNEESEPYSFSLRFK VELKQDPITQSNEDKEFYNMGSSFGLNEVDNPKNLKCSHDINDNTKSDQDKHHQIKKRSV SVQTNKLYLNITRNTAAMQFIKKLSQI >CAK57593 pep:novel supercontig:GCA_000165425.1:CT867993:21256:22635:-1 gene:GSPATT00028628001 transcript:CAK57593 MKQCSIIVFGDIGRSPRMVNHALAIADNTEYRINFYGYLDNKPTQALLSNPNIRIVDLNL WIVNQLKKMPRFLFLLYAILRIVLQSCYLFLLLLFSRKQEFILVQNPPSIPVLQVVSLIK ALRRSKIIIDFHNYGHTILALQMRNKYILKMARSYEHYFSRSQDFALCVSQAMQKDLQQN WRINATVVYDKANINFNVINKAREKHELYMKLDFHWQWEVLNSNETLFTEEINNQQAVEK VNRPGLIVSSTSWTKDEDFNILVQALQKYEDLANIEQGREYRKLYVVITGKGPMKEEFRE IFQKCNICWNHVKVNLAWLDIDDYPKLLACADLGICLHYSSSGLDLPMKVVDMFGAGTPV FAKSFNAISELVQHQKNGIVFDTPDDLFDHLSQAFRFESQILQQLKKGVETFRTETFDQE WRTKVLPYIRNLK >CAK57594 pep:novel supercontig:GCA_000165425.1:CT867993:22838:23564:-1 gene:GSPATT00028629001 transcript:CAK57594 MKSHSQHENGTLQQLGRLRIPKVLFLPGEPMAKQRVDSLPSSPVKGIPNQADVQEKLKIL QAEREARQKLQEQEMFEREKRSSFNRQAHIINKLHNVEQQLEKWKKTRHNIEFVNKTKMS QMIECQQKTGVEFHRSIQQRNKSYSDFEHRSGGVYLNNEIFQRRKERERERNQLVNQTRY RNNVDQIDRNVRIMQKKYRTEKKCFALRKPFYNINDLKNYSHFLLF >CAK57595 pep:novel supercontig:GCA_000165425.1:CT867993:24239:25530:1 gene:GSPATT00028630001 transcript:CAK57595 MDQASLIDESEQSFEQSRRVEPTVSDKMKRTESATLKQKIINFIRDVQQSKLPLDSMPSI VQQKISDFEDEFQDLWKESDEYLRENGEAIEKLVMKPLCSKLISIDPVKDREIEFSMKAY SFVQAKHLEIDENIEKHKMFNQVVDLISKIDKVETPKEKLNCIVNAGKQTSAIVNQMANN QPTGADNLLPVLIYATLKAQPSKAYSNILFVSYYRSPKRITGEDEYYFTTYESTLQFIEK LDYQKLNINHQEFQDLSKERLDVIKNSQNELSQNGIFNMDAHQNYVNLQMIKMKIQDLQR KSKFYEQSKKYKLKFNQKQLNNITLNEIPEFYDEYQNLYKNLLEMQKDIHNLYNLTNEII KESQSETKKVATRKFFGII >CAK57596 pep:novel supercontig:GCA_000165425.1:CT867993:25783:27122:-1 gene:GSPATT00028631001 transcript:CAK57596 MNTSELVEYQQKVEAYIKDHKMTDLFENLTRLLVLSRPQDPMTFLVDVLENRRVQRLILV TGVVATTRQEIVVSLANLFNYKVITIEDHFKSHWVNDDQVNEFIHSELKKTEKHFRGVII SGYPNNIKQAYYLQSLGIIQERFFYLESDLDFCRQYYEQISQSQEEVTKALTRDRLNKKD LEYIYGSYMDIFNTSKRAKEDIIENIKQIIRLRDRKFPPLRFPRVAIIRPPGLKERANQL AQIFSKRYGLVQIVTFDMIQQQIQNKGALGPLIYNTLQKEEEIPNDIMNSIIYTEVLSTE ARTKGWVLEGFPKNEAQLKFLEAQHVNLFVVLNEDEDVLINKSKQLKIDPVTKIKYEKVP LNNKPLLDRLQNYQIHNEQSLKQRITRYQAFVKLIEQKFSERVQVFKLEKDSTENIIRVT DVFLNNPKIYN >CAK57597 pep:novel supercontig:GCA_000165425.1:CT867993:28585:29532:1 gene:GSPATT00028632001 transcript:CAK57597 MNKLLALSLGSISLIAGKLQIMGGINYFRKHQEVQIILNEIALHDKTILITGATDGIGWE TAKKLSKAKSIIVAGRNIERIKSQLNNYTNIQYMYLDLNDLDKVQQFCEEFKKSYGKLDI LINNAGVFNLDQKYTKQGFEQNFGINYLSPFLLTYNLLGHIPNEQESRIIFVASRAHTDT PRQVDFNYYLNQDPIPYPWHKTYGISKLANMYEASSFANHLKGTNIKVYSLHPGVVRTNM LNQFQFYSFLAPFIWYFTKTPEQGSVTSKFLATSPNNNLKNGYYYKDCYPKYLKSDDNVL FNNTIKLLQKLGYIK >CAK57598 pep:novel supercontig:GCA_000165425.1:CT867993:29557:31187:1 gene:GSPATT00028633001 transcript:CAK57598 MGNKQSNEKQIQESQISQNSARESQLSKSNITINKVSKQELLSQELIYPSSDDCPSKINV TLNGITFWSNYDSGNLYYVEQVEAATFNLSISEDCQGRIPPVQSNKGTRQWFNFKVVSVA DCQANFVIVNIATRFKKIWTNDSDIYVKINKIWSRYPAVYQGFQVKFSFEFKANQIVQFA YMPPWSYKKNQKFFDFYFSQLHPDIYFRRETIAHSLEGRNIELITITKDGPHEQQEYYCP EYLFPDKQLNEGLIKFKKQYILISCRVHAGEVPSSFILKGILESLQNYNESAKFLLENYV FLIIPMLNPDGVYRGHYRLDSLGYDENRVYNRIVKFPKFNGPLAVLETCKNYNVAFYIDL HAHSVLSNSFVYTNWHPDPSIHQAILEFPKQLKSKVVHFRIKEAMSTQINYEKNQYEIEG IYTEKAENFQKAPDLKIHFTKENLVPQSMNDDCPYSINPYLTGVAKSDVYLTTQVMFSYT LEVNYRFYTKNKQVFKYEINDFVNLGRDIIESLYQVHQNQDFIQIKSQLESNIFN >CAK57599 pep:novel supercontig:GCA_000165425.1:CT867993:31226:32353:1 gene:GSPATT00028634001 transcript:CAK57599 MKRILICLLFISLISARAVNHLTDAPKAADPKAADPKAADPKAAPPKTEAPKAADPKAAD PKAADPKAADPKAADPKAATPKTDAPKPADPKAADPKAAEPKKDEGKKEEPKKDEGKKEE PKKDEGKKEEPKKDEGKKEEPKKDEGKKEEPKKDEGKKEEPKKDEGKKEEPKKDEGKKEE KKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDE KKDEKKDEKKDEKKDEKKDEKKDEKKDEKKDEKKKEEPKQSPEEKKFRSCIEDKCGSQAK ACDKDCNSKLEQCKNVVYVAGYQAYLDCINESKPAVALLDCVQSKCLE >CAK57600 pep:novel supercontig:GCA_000165425.1:CT867993:32542:34088:1 gene:GSPATT00028635001 transcript:CAK57600 MSNNTEEELLSQMQQIIENGILKNIENVQLKNKVLQSLQLKRVSKLTLIGIDIILDLKFR GGSTQLKDKYSKTYVKLFAQLPQPKDEIINFILFLITYNIHWYLWKDPEYKEQVNIRFVY DCYHIMIFYSNGLYVSDAYIRGQIDKIFTSKFLEYKRIQDQIIMQKKLEQKRKAQEKTFL GRKLMFPNLSGDGLTFAKHLSEKLKPQKIVRKDSTKIEQFEDQNVQVSAQTKYLLSHLQL NVNQVSPSVKLVLHMNKPQVPFSRPKMLQHNFEKSDEKLKFVGLLNNQPQVQKKQDIKYT QNILDKFNLRAPPKEYYQKFAKVDPLFKEMLELNYVLNNHQESLHDLYQFKELGQYEVQM PLSEESPQEQNIQHKSQFDSPEDGTNTQPVQQDQPQITLPQVQTEQNLKITDSINMLGQR QQQQQKQDVPLYQSKQKEYKQKYDRFIQSESTDNKINSIYVDLQKKQHVLMNHHHKSSRR K >CAK57601 pep:novel supercontig:GCA_000165425.1:CT867993:34329:34757:-1 gene:GSPATT00028636001 transcript:CAK57601 MNNKTHEFEQIVPLLLNSQTIPQDPDIRSSDFKSMMFANQRLNHKRQYILYPHQLDNKWP SAIVFLQQKCQFIFQDARDYFKNKRFEFKEEINNLAMKQINHRNYLKLNLYLTKYEKNRI QYFQIKMLLPVRELGLYKILLS >CAK57602 pep:novel supercontig:GCA_000165425.1:CT867993:35054:35717:1 gene:GSPATT00028637001 transcript:CAK57602 MNINQTQFSFENEKLKIKIKYLEDFIENSNNYEKTNQLFDLKQFLSKNYKRFSMLLDEIY YLKQQVEGEQMQRISEAQKLQAEINSLRMTTGSLQQQLTQSQLQLQQQQQQQIEESEPDI YRGSLNPIQRLEQIENLENTIKQLSDEIEEITQLNIKQREQLKKKDFFREYELMCKETEG LRQQNAKLLEQLKSGNSNRTNTNPQNQGNMNGF >CAK57603 pep:novel supercontig:GCA_000165425.1:CT867993:35966:37015:-1 gene:GSPATT00028638001 transcript:CAK57603 MKFIALEIIQNVRDADNFFDKMILNLMKKISQRHFLYYNTLTQIFQNTLNVRKNQSHAYT VDWIKQENQYFNMKTFVVVEQKSRANQAYGYACTTNFKEIALQKYHIKKTDENPQISQQP KQNVQPFKPDYKNFDYKQKYQAEAEQVNRPQQQLQAKHEILVMKSHPSLIITNNNNNNKF DNLHNKYIETKVDNYFSKSQDPIIKLQFQLIVITSCSRTQKQTKRLSSQTNKSYQRQGSD NQDIKNGYSQQQKLNGKYTNQLPYQERVPEKKLNEQRQNYPNSYLNKQQENKYYGNKKLD NRVIDKKFSVQNLQRQYIQQPESIVQYIKL >CAK57604 pep:novel supercontig:GCA_000165425.1:CT867993:37122:37715:1 gene:GSPATT00028639001 transcript:CAK57604 MRIGPIKIKLKPLLVYTPIIFAPLALFNWYEYRKLNLEFKHKEDEIVLQAQLKANKISDK SFPTVYFDPYNQFFMEMEDLQGQIKIFVFSDQSTYKRMHSTLQSHLSRFQANYFNIVAQK QFDSNLGVGNQKSTQILTIENQIENQVIVTYNNEIIFSDKLLERNDKQVQVIERQISKMI EKEFLSKIKF >CAK57605 pep:novel supercontig:GCA_000165425.1:CT867993:38062:39580:1 gene:GSPATT00028640001 transcript:CAK57605 MDLQNQRRKSKFSFSRAPVCDNPQSNTLQQLKNKFKGKSLMQNRTGQMLQLINKNDNGNN KEQEIQQFLSRISVELDGDDYKYIKFELSEFQEKYVEGEVLGEGCIGLVKSITRKNDGQE FACKTVKTDAEEIVKNMILEFKNLKKLSHPHIVKMEELYIQWNEGFQSTGTVYVVMEKVK GSEMFEVIQKQKNYIECIARILFKQVLEAIDYMHENYCCHRDLKPNNILCAEDGRSIKIT DFNVSKFTDGYKEFGNLNEHGKIEMWTYTGTVAFSAPEIFSGGLYNEQVDLWSAGVILYV MLSGELPFNSQYLNDLIDKIQQCKYEMVGPIWDQISYQAKDLISNLLQFDPQRRLTPQQA LDHPWVKNVQNQSDLPRDRLKKNMARFLNWKPQETQVSEKKIKQLCFLFGAGEIWKRHSV KHSSVSTLSEEFKKFKSIDISSFKTNEHIQVVKDQNSNHVYHIDYPFSDDESD >CAK57606 pep:novel supercontig:GCA_000165425.1:CT867993:39628:39940:-1 gene:GSPATT00028641001 transcript:CAK57606 MTSIIRKFQNETLIKNHVLSFVGFMAGLKLCDYLLFDDKSFQELKEDMEDEFWAHNGEPT QIQPYIVDSVKGGKRKSWIYIMYEKDQLIKKKDEFD >CAK57607 pep:novel supercontig:GCA_000165425.1:CT867993:40069:41353:1 gene:GSPATT00028642001 transcript:CAK57607 MKILLLVLIGLALCKLGTDPKIVLAEIDDHHMGKTFLNAIQISLATGSPVHEIQSYINNI RFMLEQEQKDSDLFIQNTQASCNRLLHDFSTNLAYHQSQLKAHQKIVDENTNNLQRSLNK IAEVSVEIEENSKKTNAGQSERDLQYAEFQSKIKDHTEAISAIDEAYALIEHLSGGSSFI QVKGRFNKVLQRLQSQSTGLLFQPILTMMTQLSSKSDSDTAKKVLQLLANLRVQIVESKG NDESIEKQQNLNWQQFLSDLTNEKNTLSDQRQNLEQAILNYQSIIEESEGKVEYHAAEVE RNQNNLEGQDQWCRQQQDIYQMETQSRVQTQDLISRISDHIQDKIVTLKEYLRERLQLN >CAK57608 pep:novel supercontig:GCA_000165425.1:CT867993:42064:42603:1 gene:GSPATT00028643001 transcript:CAK57608 MIRRCQNTRGDESDKRYLQRSKQLLEITKEILYPTAMTCLPFLHTKMTIQKIQQKATYGK IKKNFVKYAVGQFGSGWVWFIKNRTDVSSLRSKKDAELLLLYQGTVFVFCDVWEHSYQLD YQNRRANHVRMSSHIFRMGILLKIIIQNFLLKNTSVIIRLSKILCPGRKIKDLSLGELF >CAK57609 pep:novel supercontig:GCA_000165425.1:CT867993:43158:43701:-1 gene:GSPATT00028644001 transcript:CAK57609 MRIEEDLLFLRSIVEGCHQLGINYLSGFLLLSEKWKRPVGELKAILRFMEYYLKIKEICC KKWCLQVLSPFMQKLIQEVEDLTANNRYLRLKIAFSYCGRNDICKLCKKLVEKQTKECIQ LMSFLKILQQNFYIHHLGNLAIWNFIFLINIGQILMQKIWYLQFKIQTMFKSV >CAK57610 pep:novel supercontig:GCA_000165425.1:CT867993:43990:44496:-1 gene:GSPATT00028645001 transcript:CAK57610 MRLFLLQKEQHFNYVDQIFQTIRLYLMNFQTLHSKLIVLIPFNYSKNHRSLQVAIKLLVQ FTLNYLNLFKDDFDKPEEANNHLTSTQQMYDSRLKFQIDCNNRTTLMMRKTPQTQKTKFD MDPLKSIRLLLEKKAKNYQFVKDRVLFQQVQFKDAPILILKQHQTWNH >CAK57611 pep:novel supercontig:GCA_000165425.1:CT867993:44941:45654:-1 gene:GSPATT00028646001 transcript:CAK57611 MDRQFVNATFSTEAEEIEVNNHGSENSTEIMLSLISALENGLFSYQMLLEARQDILEQWI EKKEINEFLKQDFLINLEYMHNLFDVHAYVNTATYARYVDRYQSNPNYINYDIELPKIKH QILEAEVDWYIENITKDIEMVAKEHCPSFFQPITNQDPLEVRHTRAVINHALQQLESIAT KITPINAKYYAKETERYTWCLTGMVPLLPIQEQDPFKQNGIHQRWQKARNIIQHITL >CAK57612 pep:novel supercontig:GCA_000165425.1:CT867993:45780:47151:-1 gene:GSPATT00028647001 transcript:CAK57612 MVKFNTICRSGQERSTNADITKVYRNPNPKVHPLAHAREYQRALVATKVEKIYAQPFLGA LNGHSDGVSCIEACRFNLSKLVSGSYDGEIRIWDIPSRRTLIQLNAHQQMVKGVSFSRDG MRVVSSGEDKTINLYDFPQLLEQSNNTFQEPLMRYLSKGTLGNVDHNYQLSQFATAGQVV QVWDYERPKPIMKFQWGVDSVSCVKYSPADSNLICGTSMDRCVILYDVRAESAIHKVAML NKSQCLAWNPIEPLNIVIGNDDSNCYTYDIRKIEQPTMIHKDHISAVMSVAFSSSGREFV SGSFDRTIRIFPFNKGYSREVYHGQRMQQVNSVSFSADAQFVYSGSNDMNIRIWKVNASQ KVGTINQREANATNYRQALKEKFKYNPEIKRIAKHRHLPKYLMNKKKQRQEMKESKNRKQ RNAELNNPGQYEAPKPEKQQRVEQFIQ >CAK57613 pep:novel supercontig:GCA_000165425.1:CT867993:47186:47600:1 gene:GSPATT00028648001 transcript:CAK57613 MNFIQRAAYRYGQTNLSKLEQIGLSHTKSVNLSRPPRNFNPGQQAPIAYTEQFTYPKDYR PWTINYNKDGAFWMVMSISWFAYFSYELAYLRRTEQEERPNKDYYAS >CAK57614 pep:novel supercontig:GCA_000165425.1:CT867993:47600:50172:1 gene:GSPATT00028649001 transcript:CAK57614 MKVDLDNLSSDDDLLFHTGLGFKKSKVNDDDEHEMEMEIPKYKIPQKQQQRARLNLFQEQ FEQNPKEQVQESPNNQHQQIYKEYQRNQAFANFQKSNASLLSNVEKGVTVKIIDKNMPKN EKVNQTEYRIKQKRQVQFNLENNMVHPIVKNEDEYLTLAEKLHLSNNIRRSWQLYRVNSD SEGEAQDDESDDSIIEVVSSTPSPKPKGILKKKPQVFKEDDGKKTITVEQVAFKDSRNKK NLSQREKKTLEKRVEEHDYGVGLKFLQKLGYKYGEGLGANKQGILEPVIAVKKQSFTGEG VQEYEYQNEEEEQENENNSTQDNNQKPFFQSKKLSKYEKQWRKKKNKQDGQPTVQNKKEE VLNLDRNHIMDSLIKDNQNSNKYKIIDMTGSDINDYLNKTQDVQVTSSKVVREYLKEVQE LTWSVQKILEKRLGKWENNEQSIKKEKDKIIILEHEKKEELLQFTQWNDSVKRKEDFLKY LKFFKEDEVLISNDQFPILAKFEECFRKFSDQFIQFNLIGLLVKNAEQEIKALTLKWKGP ITKIDILQNEHHLISKVITLAKDVYIQKKSQNLSKYAEQLDVFIINNQISTSDVNNLKRF IGILIAPILIRLRNYLSSAYDPTQENYLVEFLELWMKDLALVETEETGVNQFQTIRLLDQ QVQKDIMGIVMSKLKLKIQEWNLNSTISLHQWLQPWINSKLNSKELVEEVEKKLKQLKFT DRDDFGFSVLQPWARYLGDNWKNLLYMSVLPKMLFCLHNLEINPQNQNVQPIKEIFKWIE EIDPHIEMIFQPLIEKLNTTLENWIRQGGSREEMHKWLDGWERFLMKRVIQRVYKFETQF SSMKQKII >CAK57615 pep:novel supercontig:GCA_000165425.1:CT867993:50341:50673:-1 gene:GSPATT00028650001 transcript:CAK57615 MTTTLGPKLKFVMPPKKIVVHEEILEGNCDPELRLWSLSKMERKVSFAASTLVFLRYSEE EITHFRQRLRSQLQCSIESIESSYLNPQLCSLGKSNRRKSCFKEYDDQFN >CAK57616 pep:novel supercontig:GCA_000165425.1:CT867993:51028:51312:1 gene:GSPATT00028651001 transcript:CAK57616 MGGFQKYPIPRYVLSDAWLYNRQWFRHSVHVAFPTLILSFLIYRGAVASQTQKMGEDYYV DPQDYQSPKKLIF >CAK57617 pep:novel supercontig:GCA_000165425.1:CT867993:51315:51975:1 gene:GSPATT00028652001 transcript:CAK57617 MQSTNTSSPLKPSTASASRPIGPYRTIITNYAPFATTNQRNSQVEDSPIKKVQKIDNDTL IKLMKSNREVPKKYSKSSDKIIIKDIKQEQQEDIGSGLFRTGFGKLYNAQGILWYEGQLF NDQMHGNGMMFNHDVNSYFTKYIGEFQFGQRCGAGIEYYKDGSMYVGNFESDCRNGMGQL TKKNGEKHNGIWLKGKLISKI >CAK57618 pep:novel supercontig:GCA_000165425.1:CT867993:51991:52783:1 gene:GSPATT00028653001 transcript:CAK57618 MELQKYADAHSHIQDFENIDQIVKESTDSGINHIICNSTTFDFLPPSLLNLYPFIIPCIG LHPWYINKEQNVEYEKMENILKSDERIQVGEIGLDFIKAKEKEDQKRQCEIFISQLQLAI KYNRSMSIHCVRASGEMLKIFKKQLKGQNYNAAIIMHSYGCPKEITGSLDKLYPNFYYSL CLNMKMEQLNHIDKNKLLFETDAPYQYNPNIVESEDKKSHPKFLIKLIQDCATYLNEPLL AQIVYNNFRRALKL >CAK57619 pep:novel supercontig:GCA_000165425.1:CT867993:53056:54131:1 gene:GSPATT00028654001 transcript:CAK57619 MNSSQSSFTDLNDQALVYQNLQSIEPEYLSLKLKLHFKTLPNFDDFIKLYESSKIGCDEA WNQNEEIFLQLVVLSLNPRCIKNRFDWEKVQKLMPKQRSLSELCFKFQSFYKAQLPRQPW SSFEDKTLLQIILDNTSRCKKKWSSIANQYNLICKSEILRNAKQCRERWNNKLDPQINRE PWSRSEELHFLQLLLQNGRRWADISLKLSMITKYKRRTEFALKHKFKQLQKYYGHKAKRL NKTDFEISPRWNIQEIDMVLSKIEILQEKQKKMLTQEYSFCEFLSLDSDFSLVVIKNGCL IKL >CAK57620 pep:novel supercontig:GCA_000165425.1:CT867993:54770:55815:1 gene:GSPATT00028655001 transcript:CAK57620 MESSINSQIRINTTQNQILSMNNANSSNLKKQNMEHSYSTTRLPHLSLQYRTLQKTESAS KRVRTMKSLRIREQEAILKRISKSFELRSVRNSKKQQRRKSGTEQLSQKQLHIDCQYPKT IVQQQEVISNELQRTKERKRTIFKRMSSILIKHQTTIRNISNQGNEKNSEIQLLILQSQQ QGNDEQNVTQSQEVKKHKLRSSVVESEKPKVVLNMSRKSKKAFASIQSYISEKALTQTTA QTINQTNPFISYVCCQSLTEMNGQIQNNLSQNLGRCQLIKPTYPLPEIKLISSARNNCSL LDMNFLKHKKTIINTGNTQRKQRISTNS >CAK57621 pep:novel supercontig:GCA_000165425.1:CT867993:55815:56396:1 gene:GSPATT00028656001 transcript:CAK57621 MQRQPQESSLQQRLGFVQQYHKNHPNITELKPLKDYQTQQNSKKPKELEEQPKTSLMDNL NRINNLYKAVSQPRIDAKEINPPQYFYNHKQGCQQPEPTANKAIKENQNIKTFLNNNELS LKDYEWKSTKGNAVSTKGNAVTTKSTSPIDFSVKSPLNKVARTDSVLGSKKQNEQSVTFL RGLY >CAK57622 pep:novel supercontig:GCA_000165425.1:CT867993:56473:58770:1 gene:GSPATT00028657001 transcript:CAK57622 MQNFHYQSCNIAEHEEEFLNMVCIDEKCNKHQLLCVYCMEEHQSCIKHPVKKFLRDFKSQ LHSQNAELSPKIDDLIAFYDQIKITMEQAQKQLNEMFEKSKQTILDTKKMIGQFSQQETA KSVQMQKLKEFESTSNQENFFQLLTEIESFKPNPDRFSFSIKKIQGHQIDLIQERIANGK IHSQQYQTAITNFVESFVNQHEALKKNLQNYFLNAPKRPFEQESNEGKQSKQSNPLSLIQ QPSLDVQDKSIIAIDDDLEEIEKNNRNNENSNNKIKKQNNNNNNTITNNNQNNVQNNTPL NLNNLGHQNNYQKTQDSQISITDILDSNSSKKQTIQDNKSNYTSNLKQQSYNNKTKQAPP QPLSQTTPYQKQPASNGSIPIPPKQAPLPLQQSPLKDAFQEDNQGEVETVLNVSQDHNFQ LVQNGKEITKLLILSKTVVAGCGGNLFQGFDISTCQKLFTLNVDSDITDVAYLETDDCNG TLYLATKKGKIETFIRESNSENPFTFRSNSSQIVDKYGNLLIQINQQEKQLVTLGEEKII RILPVKTLRESKRCEIQEVGTALHVDSKYVYVGGNKFLFIWDSATQAKKKIQMSDINLKV ISIQTYENKLVVGLQDKIKIFERKTNDDYQLIHEQAFGDISSMHILKQWPIVLISCTQQL QQKICLYNYEQDSSEKLHDQKATSCVVREFDKVYHVAFGQEKGQCIIYKIEQTQQQQSQ >CAK57623 pep:novel supercontig:GCA_000165425.1:CT867993:59068:60297:1 gene:GSPATT00028658001 transcript:CAK57623 MGTCQANCCNKDFEYGLRTHQKSESNADEGNPEFEDSKIKESHNSIQKDRDKFQEDRMQD DQKLNLKKDKPKDSSSVNNDKDDNKGRAQNKETSYKVQEYKTPFPESKEQESKGHTDKQE AQDKASKNSVFSKKKNQNLEYNQKVLNTDQDETMSDVRVKLGAKTLDKGAVYEGEWLKGK RDGTGKQVWPDGSIYEGEWVEGRCCGKGKLIHGDGDIYEGDWLDDKAHGIGVYLHINGAR YEGQWFNDKQQGKGLETWPDGAHYEGEYHEGRKEGHGTLHFADGSKYTGFFANNEIHGYG IYEWQDGRVYKGNWKQNKMNGVGEIKWSDGRQFIGNYQEDLKHGRGQFLWPDGRRYVGNW VYGKQEGYGAYYLPNQPAKYGICTMVGE >CAK57624 pep:novel supercontig:GCA_000165425.1:CT867993:60307:60919:-1 gene:GSPATT00028659001 transcript:CAK57624 MAQKFKERLLQGTGVSNKKFESDYAMKLMQKMGWNQGQGLGKNKDGQTDCVQIERRADQL ALGAQQHSLGQSWNDLWWEQSYSSSLKNLKPISTKNLPQPNSSDEEDKFSDYEKHKINRS KKPKTYAQSAKVLIEQDSDSEDDTFIAIQKKKIKKTK >CAK57625 pep:novel supercontig:GCA_000165425.1:CT867993:60943:62459:1 gene:GSPATT00028660001 transcript:CAK57625 MDELLGAIQQRKAKINEIQEAIYQIKGNQQQERLTVYSMVRKLKEEEKIRAEKENWIIGV IRNTKSYNEEQMCHIYVKTNEMMKAISQSIFRNPLRPYMQFVLILGKLLHPSFQFSEASQ SGVPIEGAIQAGCQSWKHLIRTSRKQYKDFVDELSNQVKKEPSPQKVRLENQRIRSSTQK IEEVKLVEKPLTTTMISRVDRIKRKQMTISKIQSSCDLSPTTETPSLYLRNKFREVEKTP FTSMFANIHSAIDDLDELNMQVCDYQTVKRQTQNYEEFKTNYDALCDKIRLRNNLIQQSV FDYTNYALKRVQNVEIEYPNLIKEKYTTLQNNQDYHFCNIQLKPIFDSLCPCMQKQTIQH KPSPIKNKVIIRKSVQQIKKQVNNVYKQSDQLSKKEQIDMSKHNNSPSNNGKSKQQMPPI RFYKETQLIKPHPRALSANNQQQPVKYLTCSSFIENPIAIKTNLLVRSTYE >CAK57626 pep:novel supercontig:GCA_000165425.1:CT867993:62766:66896:1 gene:GSPATT00028661001 transcript:CAK57626 MMSIWQQAIVVFHKNFTIYYQERQYRQEFFVALLVFVILLVGKETSSSTLLQVGAEFLPM TLLISSRYIVTSMISEKSDRQKEIQKIMGLKQSAYQLGWFLFNFARIMAISFFFLVLALP TGCFGPQEEIIQGDNEIVTIHYFSPIQTIGSYLLYAMGLTAQQYFLTTLFDQPKNGADVS MLLNIFGSVCSGLLSIPYFQNHSWIVIVFGIVFPTFLFDIYPYNQILGIISKGTKPALDP SVYFALQGAEIFFYGILYLYLEQVLSNEYGTNKHPLFFIGKKYEIQGEDASNQFELPNMN KPFIGATQSETQEDNSSAIYHEVFDNQKQAKRAISIKNVKKCFNDLMAVNGVTLQMYDSQ ILCLLGHNGAGKTTLISILTGLIKRDSGAITYYGTDTEFDVIRNYLGFCPQKDALYDSLS CDQHLHYYGRLKGIDEKELLMEIDQIINKCDLTNDRMKLAKQLSGGTRRKLSLAISLIGQ SKVVFLDEPTSGMDPISRQKIWDILIQVKNEGRCLVLTTHHLDEAEVLSERLAIMAKGKL LTVGSVDFIKMNFGIGYHLSLYDKTNNPQVWSEKSKTIVNVVQKFIPQAKPSSQTSQDSI AFQVPFKLKDKFLPLFEQLERDQSIQVNLMMNTLEEAFINIGMDEESFLNKASGKQLSNE DSKINIDITDEFNKIIPPECLSRPPQYNFGLQLWACFIKKHYTMTAKRYLMCVFMPSFFA VLAPVISKITYNAIEPTLDPIVNKKLVYGEIALIDTYIYILIGVSMASSQIGSAPVEERE KKQKYALNVMGCRTLPYWLGYYLYDITICIFVLIIFIIAVNICDVQALNNANVYGLVFCC YLAYLPLAYILSWLFTQFLSAVRSLVLLQIFGFFLIASVIYVLCFKFGAILWILSFLCPS LATFSGFITVYNKIAEDTNENDISSPFQKAYSFIFMIIMIFQACLYFFITYLIDNRELLA AKSGGALSVNQDEDVIQEEKRVENQISQDRIIAKKISKTYANGFQAVKGTSFGIEPGQIF GLLGPNGAGKSTTFNMITSKLKPSTGTILLENQEVKKGLGDVYQNVGICPQFDSLYDIVN VRRHLQIWAYLKGLRGDEVNQTIEYFMKVMQLTAYENTLASQLSGGNKRKLCVALALMGG TNMQFFDEPSSGVDPIARRFLWNAIQQGVKLRQSSVILTTHTMDEAESLCNKIAIQVNGR FACLGSVQHLRAKFGDGYRVVIEPTNNMDKAELIAQEITKYFGNIYIMNDTHTGKIICKF PLKGFQFHQTFYFFQEKLQKEFNLIKDFQISQPNLEQIFMQFAAQQIMEPEVEKQAPPSR FHVVALCGNDEDD >CAK57627 pep:novel supercontig:GCA_000165425.1:CT867993:67142:67395:1 gene:GSPATT00028662001 transcript:CAK57627 MILSLIFYALTSLKDPGYLIIRSPSNTDCSNQMTQKRKIFQFQALPSNARTYPIIEQQNP IQEQKSENEQYLILNI >CAK57628 pep:novel supercontig:GCA_000165425.1:CT867993:67451:68421:1 gene:GSPATT00028663001 transcript:CAK57628 MNQIPSFTKTPDKYQSAFKSNNLEKIFQDFDSKQPSTQAFSIPSQIEQETIVPITNNDEQ KDVNTQKQEEKSSQISKSEEKRRNNKLQPSLAIQVHSVQNEFISEQYSPGMSERNKITQS TRAVNKVLIEKRFNIFEYIRYCPICSIDQIARAKHCQSCNKCVSLLRSSLSLGWQLYWGK EQMRLLLKSTMRLLARCTIQNLIITILSQSSFQFTFLQQLLLCFQTYLSFLNLTTWEFYS WNKISYLQELQRRNGSPFSYGWRSNLSTYCRFKIPKLTIWEYNPERVYK >CAK57629 pep:novel supercontig:GCA_000165425.1:CT867993:68437:69354:-1 gene:GSPATT00028664001 transcript:CAK57629 MLQTPISLPQTSSTNFNQNIQGQNQSNIQFKRNRQLRNSFSELPGFQLKNYQFQGTLGKG QFAKVYKAQRKSDGLIVAIKVYEKCFLNMMRKQNIQREVHILNQLEHPNIIKLIEVVDNL RGINLIMEYGGDENLRDLSNRSESNIKQIIRQMTRALNYLQSKQIIHRDLKLDNVLINNG VVKLIDFGFAVQTDGNKLSVFCGTPNYMAPELLLKIVCYSYEVDMWALGIILYHLIAIAY PFKGKNEQELYACIKQGFYQRPQSISELGFQFLDKLLTSNPRKRITAKDALLHKWLIEPM IKYYD >CAK57630 pep:novel supercontig:GCA_000165425.1:CT867993:70190:70771:-1 gene:GSPATT00028665001 transcript:CAK57630 MCCLILTLLFVLIYKYAISYLRKQDELKQQQFANKAPPQVPETELSTQYQSLKSEVQKMK QDAETLNTTETFAKYSKLQRQLIPKEELLEQLKKQYEEYQESFIKQWEAEQSKIPKPPSI SKQLKYIDYLISMVLILGFWPFYIELNNVTLDQFNPILGFIGYKQNEFNNNLTQLWGTNW AFCCIVVGQLIFR >CAK57631 pep:novel supercontig:GCA_000165425.1:CT867993:71248:72527:-1 gene:GSPATT00028666001 transcript:CAK57631 MDFQLPKRYGLNKPTFKVMAGQHFAKFDSSLKPLKNLNTFIDLSKPIKELNYIEHRKVRQ IMQHLKFQSQSRRFINHQDEQIAAISQQLEMELENLAREDCPTPQVDLVKLKFPPEMQTK FVHESAATLETHYNLHRIKERMNHRNITLTESDLLNKITSINFQTARNTDTKEFYLNFNS SHPRAKKEQHQLSLDEKYYILKEYLKERGIVIGRKESVPNEGYLQFYHETTNRLMKQTKE QQLLMFRKSITLKTAPDNQDHSPLKKMSITKITTVPTTVGTTPAIIGVTPSNLTTLHQSI IRLNAGNNSSPVFISHRHRIEREKSPEKPYSEKWQDFQNKTEALQSYYLHEGKECQQTLE DLENTIEKPYLRHFNQIIKVEQEQGNLEVEQKQNKIMRKRKQNLPKKLRMQKIFKSELQ >CAK57632 pep:novel supercontig:GCA_000165425.1:CT867993:72577:74136:-1 gene:GSPATT00028667001 transcript:CAK57632 MNQEKDCSYMQQVKFDLRRRRIPNGHSVFDCEAQKRRNSFSLSSDACKSPQRKTTKYFEN QNPGQNAYFWSLIKSKRNENEQFIQSLKSMGPIQVNEVEPRLQFLLRSFGYEDERSMLEI VENVQQNQRCQQWTQEESRILIWTICKLGVQHNSWKELGNLLNRSVKELKTHWTELIHHH TQRGLLWTAQEDQTLQSMIMNYLNNSLAINWKCMANVLNKSDKQSQDRWYNTIDPSISRE AFKIEDDLQLLQLVQKYGKRWKRVEREWPLNRKRSRFDLKKRFYELLNQTDSGYDSDTSS NSSSKYRKLNQDDMDKIQKLISEMQISEGMQLENKLLGKDNLLKNKLLSIAKNDLDKMLN QQRKIKIQSASTPTDEKKYDIQVEPDEVIMNTPSIMNSLSRCLLNINLETEDDQLSIDKL AVPKVQYNVDSVEHLKHEDIFDIQFALVNDKTNTLYYANLEFMQQLINLITNQRKLMQLT DINKQPQKTKKKFSSQQLIQVYPNKVGLSQFGQDDANKQ >CAK57633 pep:novel supercontig:GCA_000165425.1:CT867993:74419:75011:1 gene:GSPATT00028668001 transcript:CAK57633 MLIQAPIPRTRFAITPTNVRPIHVCLDMTPTRQFSNHNSILNLTQRLDDRLPIRAVVENK HPQSIIVNRVHGNSFSQNQSNKEIQNQIQELTKQRENMAQILSEAIRKNNLLQKQLDKLQ SDKTEQALQFQVNLDQFQDQITQLTSRLEDLIIENTQLQESYQSQQFYIEQLEYQENDQL NITQHFGQM >CAK57634 pep:novel supercontig:GCA_000165425.1:CT867993:75040:76188:-1 gene:GSPATT00028669001 transcript:CAK57634 MLRAREPLMLQNDRNKLQRNIYQQNNRFMLNKPELSKELSVFLELLHQDKEDYKGYENYL IGSDLDDMESKKVDQYGISQLSIVNLRAKYRLNETMPLLILGICFLQFLEIQKIKCILHC LSESNNYKQDGYLTQKINEFSLQNQSLETNNKLLVEALNSSQQEIQVLAASHEESSHKLK KSIQDLKKQCEELNKSLVYTQQINSNLLQQIDREQQSYKKNEEEFRDASQRLIKSNQALR YNIKFEIVKQLSIFGNISPMHKSKLQEVIKYLTEGRDTRQTELRSAHSQSRKQKENISLH YSTLNISSSKNKKSMSPQGFTSTRKQQGVNVLDINYQISYKELFLKSLKVTKQK >CAK57635 pep:novel supercontig:GCA_000165425.1:CT867993:76250:78857:1 gene:GSPATT00028670001 transcript:CAK57635 MFNQQYSLDQSNSQHSSQQSQTFLAVPRLIQQEIQAYQAGVQKHVNFEDPNQLQKSEHKE SLEEGEIFGSRLSMEKREFNKIDEVEENYKSLKLFQIEMQNINGRKLISKNSRKFSDQSD MGLRKHSEQLRKASSSYFPNPSPKFKVQGSPLLPKGDSFSIPKWPKRWFYIIKFLSKIMC VQPNILKQSHLMLIDDKAHIIAQNCLIFNPYSPKVLWTRYFMLIMYMSGFLIILWEFAFK ETQELFLAFCNQYQLIEVALSILESLSNYFIGVYIDEMYTENRWSIAQQYLYQDIVYLIS CLLTFFASDYFSNIYTQSLIVLFVLLRVQRLYMINSQVTYCFIPILLMHTSSTLYSRLAQ DYNISMYISSFQWTIFQLTNSGNPYDRQMEINGDAKIPLRVLSIVVTVIGYLVTIYLIKQ FLYYKPQQKYKISAQLSQNLQRYQNRNKLEPIDLSGLRPDLQYQIRQELFMPLLESLPFT RGFLQDLTQKLKTQTYSNGTILHQQYQVMDKLFFLMKGNFAQCIGNKVLTTNIFPIQYFY RQLQCPLTLRCLSESLVAHVDIDDFLQLIKMHGQDFQKFCMLRDYFKEVCPCCGYRNHTF TKCKHVFYVPNFKELILIHNTSEPNDRVNYYRDNGRNRINALQNKNLICITAISFAITNK ISSETDLTNEVMGRLQGSTNNFEDSILNDFQDEKSSRYSLYQKHGTVEVPKTINSPQNTF SSQTHQQNSMPPIKLEEKESSYNSRKFVHKHVDYSHTQNSIKVNLVKSTNSNELQVKRTP KNRQTSSSSSLNKKMQSTSIMQQPKSQTGSIIPQQEENEDNLDQVCSYEYYYPSYNIENI ANALNHKLMNKSMSFVN >CAK57636 pep:novel supercontig:GCA_000165425.1:CT867993:78912:79915:1 gene:GSPATT00028671001 transcript:CAK57636 MISKIKQFFNDNTADSAINKLLLALTDLKLIVNDTNFISQLQISNRLKPFFANDQTKILF LNQLLQVVGDHLQQQEKFYVKLKMLLLVHIIISSQVARADLSKMIINTKLTINIKANDGS DNMIGLLCQSYYCYIYKLASQTTLINEDVGKPQDDLMIYFTLSNQCYIGMNMQRLIETIN NEQVPNDIIAHLVKFLYFDIQDIYIFILKDVKYLIEKNPSLIINKQQLLELYKECQSLQT RMVIFYKFNRIFPHFSQIMPPHSIQIKNAVLNSVLEEKQTQAFPKSNQNEIREPLSVKNA DKKIQDFTRPLSPKEKY >CAK57637 pep:novel supercontig:GCA_000165425.1:CT867993:80182:82019:1 gene:GSPATT00028672001 transcript:CAK57637 MNILDWYQQVNNVFNSIYQAQLTLLIIFVCNNQFFYTLMYVNQSPYRNVPYQNIPTTTIY VDPNKYQQPNIQQPIRYQQSPSTDYGYRDSQLQHQFQDLAKKYQDLQNENNQLLRQLSDR PQIGQTELLNQKLQHYAIENDKLRQQLTYTYELQEKLTLVTNQLEKVNEALMLSNQENQH LCQQIAEYKNQQTYVYQLNSTIQTQEIQLQQKQDQFRNLQQEIDYYQQIFSQKGQEIQQT QLEINRVIGQNQVLQQELEQQKRNCLKLKQEIVALQVEQNSFMQLRHENMQLHEKNQELL RNFEQQKALNQNLIMELNKSQQIDSYIDQLIDQLNEQRSKIEYASSKIQDQANKINELEF IANEKAQLDSQNYNIVENDYNKLNELLKQKQIELDVNKNTNMQYSQRLQQNQMNNVLINE LKLEIEQWKSKQSRMEQVFNDGKKEDQFKLKCLQEQNTQLTQITAELQDKLQHKDTELQQ QGIILQDTLKRLREKEQIINYNSSKPNVDQEEVQALKNRIKLLELNDEKNYSLQKEIQRL NAQTASLRQELATYKNRHHDYQFMDEQFQKSNKQIQELKSHIVTLQK >CAK57638 pep:novel supercontig:GCA_000165425.1:CT867993:82070:83389:1 gene:GSPATT00028673001 transcript:CAK57638 MNSEWQQMLIEDLNAKLLQRAELIGHYEELEELLEFHKANSTFEYGFAIKLEQLDREIQN NFSSDQKVRSLIKERETLYQELNKRMEEQTLQVFEQYIANWISDFKGFRVIHGEEKYQQL LLEQQKQIKGMIYTQYNKKIQGITQIYKQFNQIDQAIQYNISYVDNDLSNQINQYQNKTN QSMLQKKDDTLQLSQIIQQIEFTKQTANLQQDDSLIQHMDEFNIIMTKSSHPIKLQTIYE EQQQNINHPIRQHHHSKTIFSNKHSTAQVSPQMSKSYFVRETPLKKSLTKGTLLLKKFSN KPSKREFDVFQHQNPTQLGYGLRMAQLTQDRIEFRNQLKPQIIDSSLPLSQILRVIIPKQ VQGYLKRRPSKIQEKENNVPSLSYWPMQILTLNQGQIDLLFHSQDHMMDWYNGLVNVKNI NQ >CAK57639 pep:novel supercontig:GCA_000165425.1:CT867993:83665:84654:1 gene:GSPATT00028674001 transcript:CAK57639 MTDELITDKDFGISQINCVKLHRHVEMYQWVKGDQKQYEQKWVDHHVENHFGYNNDKSKW VLKAQTFLNQCVKLHQFMLEEDMIKELNTPLQNVKFNQANVQIAQQKYKDSGFSRFLLQD DYIYMHQVDDQIVNGDLRISFKQVICSDATIVARGDTNRLLVWQFEDTFNKTVARDYDAH ERTCCNLPVPNDSKPIKDIYWIFQGLFTPEECFSKVVNENALLFWVFRSIGYILIAIGTI ILLSPVSFLTDFIPIEKLTGISFFIFGCIAAVPITIFAICFSWVYYRPKIGFLMLLISII LGGGIFYYAYKNL >CAK57640 pep:novel supercontig:GCA_000165425.1:CT867993:84728:86139:-1 gene:GSPATT00028675001 transcript:CAK57640 MYNQNNEKVNSLFDLVENDFMVNYLMDPPHAFPRTNSNQNFIGLQQNFSLLDNQYEPMDL KQEEPILDAKKQKQKKRKTQKSNQSEHTKQKDPFQYKNNLKNTYINKITNLVQQDSQKLL QQQQAKFRTSEEDRHDKNNSVSYQEIEDSTQAKLLRNRECARNSRKRKKIYIELLEHRVK QLNDELEKQKLLNKTSAGYLNKMSQNQQVFVHQNVSQLQGFFLGRQQLYEKLEKSIQNKA DDNELNLLLDSMRFRVGGGGKERVSASNYFFNQILEICFPVHVRYMLWAASESKDLFADQ QDQQLQEGQPQWLLDLTNDLQITEAQKKQMKKSQRRIISDKNKLLEILSQFQEIKEQLYN KTSQVENFVDELRNILNPTQVGKFLIGLEKNKFKREMAISKIWNIFDDQSEDEEGDVEVK EEDSVEEPAKKKVQI >CAK57641 pep:novel supercontig:GCA_000165425.1:CT867993:86621:88047:1 gene:GSPATT00028676001 transcript:CAK57641 MKLLLISILVKFIECRNYSFSSNDDDDSDSDSVIGPVFAICFGFGMIVAAFPCLWFNERR MAISETRLLAGRRACKSVNAAEVDPRMEDQLIHVKGKLTTNDLIIDPQFRLELGNCVKLR RKVETYQWVQKSREEGSKKNKRTVYYYESEWSSKIEGSYPNHENRREDLTVQEQELTAEN VYIGAYKLTDYLKSKAVDYVQVDSISQQQCEAAKVAFQSRTQQYIFFDGRYIQFRKSENS QSIGDQRVLFEKVPCNYAAIVARQHKESFKPYLFKDSFSDDVNQDYAKNPDMLKSFNRFH ELSEEEQPLNQIDPSSEVSSNIFKSYEAPLNYINWYFNRSFTLDQCFQSQLSKLVRMVWL CRVGGFFMFLFGFVLLFTPITTLLYYIPLLGGFLSKLTGIVLAFLSGMISMPLTLGTIGI AWLYYRPIKGLIYSSMAVISGVACYRYIMSY >CAK57642 pep:novel supercontig:GCA_000165425.1:CT867993:88303:89628:1 gene:GSPATT00028677001 transcript:CAK57642 MSADSEEQNRDVSNDPQPKPDNKKVQDFTEDWGYQGNPSPRFTPMPYPSLFPPMCPPPFE LEKQVYHDYDPQKYPHMNSDRKGQSEVPHMDHIDDDPHRIPVHYPFGYYPPMKYPPQQMF MKYPPPPQQGQAYMVPQNPWYPPRPQQQLMPPFCYYPQSQYDGLQCQNTVSKELQSKVFQ LVQYQKVSDFQCNCKKSKCLKLYCECFANNWVCSQSCNCQDCKNRIDNPQERSKAIEEAL LRNPDAFAQCFQQKSQTQFSVQQQDKPLKEPTKDNSNITRKGCNCKKSGCKKKYCECYSQ NLKCSDLCKCEQCLNRTDAEIQAQQDIAQVLNSQDQVNVDIKVNQKSKKIKKIGNGNEDK SDSQKPVVQLKINVNNNSNRKKLDKK >CAK57643 pep:novel supercontig:GCA_000165425.1:CT867993:89693:91256:-1 gene:GSPATT00028678001 transcript:CAK57643 MGIPCSKQQPNTTNLTVHQSNDFQLLLAQPPHVQQELEEEIQEPKQTKIDVAQNSIDLNK KRYSSKDVSVISKYIENELKNQSIKIERLTIDMRDASASGKGGGFAQRKEISVEQFNDEE SNICNTVYTNPKYKRLKSMNEHSMILLHLNENKQYSELLYRVINDYHFRIEHEAEFNDIL MRSQDSFLQNLLISEKYINEYGIFHIFRETIKFSKLDLVLQNDFTLNSTQLHYNKCTIIS FIPLVFVEISYFPLILATSHINMFCLYFTQANVQFKNLTNSNFELMNFLNQIKQSLKIKV SILSICEQNNYIILTIVINQNSMRDNQIISYINTSLNQQFGFIKCSTQPLVKYIGCELTD IDAWNNREFKEDTKTDSQFSSQFGWKLFGLNIKQVNLKNIGYIYYNLNQNYFEDIRIYSL SEVNRHQAEFEMIEIKVYCEKKYYKMLFQITYNDEDVVIKKNQTYLTTLINSRLTGIMVY DAERQMKY >CAK57644 pep:novel supercontig:GCA_000165425.1:CT867993:91272:92167:1 gene:GSPATT00028679001 transcript:CAK57644 MEIFDLFGEDIITEHQNDKNKQLRSDKQQIKNELHRQKVVQKKKEKKKKIKQQKYEIIQT LETQEQKNDFVHSFKGLRNQRKENGMKSLQSPFRIIIDCGFEDNMSDKEQRSLARQLSEL YTENRRTDVPLKLYVTNIYPILHKYLEQYHYKQWQLVSDEKLFTDIEEFQQANIVYLSPD GEEELQEIKEDEVYVIGGLVDRVIIKNATLNRSRQLGVRSAKLPIGQFIKKSYKKCLNVS TAALMISGWLKYKDWSKAFDSIVPQKFKEQELQQQ >CAK57645 pep:novel supercontig:GCA_000165425.1:CT867993:92242:92508:1 gene:GSPATT00028680001 transcript:CAK57645 MFVHQSNFVQIQQQTRLLQQDSGDHQGELLPDQVQNEENKPRKKTRKIVKKRRVILKPIE VTVPNQHKNQKKRKVHKKIKKCNTIIKY >CAK57646 pep:novel supercontig:GCA_000165425.1:CT867993:92539:93970:1 gene:GSPATT00028681001 transcript:CAK57646 MFQYTPQEDAYYRQLWAPFDPQGLGFAEAKSTVQFFKKSKLPVDALKGIWIAGVKNQEGR LYYPEFAIVMRLIAYCQNGFEFNEQLLNQNISVPLPVMEGIQVPQQQQLPQQPISQPQQQ QFNNFQQPQTSLPQSNYFQTQPVQNTAQISLQSYVEPRLEFQKYDLPDDQYNRYELCFNQ QDKTNSGFVKGDQALAFFQKSQLPTPLLNQLWSLVDNGQKGYLYKNEFIVAVHLIALCRK DIPLPQVLPESLLQLTRRDQQQNQVRSTSQTMPQLSQGLPPQPQRAGSQNEVQGLNHQAN YLQNKLQNQTQQIQFANNLDQQKQIIEDKKKDIEFLNQTIQKLQSFYDYLKKEQDELQAQ ISSLSDQQTQKQHSINVLQDSIQNQLQINSNLQKQLYDMKQSDQQQENQPPALTTQFQTI NIEDNYDYSNYRAKKDKVVSGGNQSAENLPWH >CAK57647 pep:novel supercontig:GCA_000165425.1:CT867993:94004:96234:1 gene:GSPATT00028682001 transcript:CAK57647 MLTTSPHVKSDKPYQQSPQYKKPHPYNPEASISLKNSINLKDFQFNEELEYYKNRSADLE QYARQLKNELDTTIIRMSKQGALDEKAELMIHQNQMLSQDIDKLQKNFAQKKTECELWKS KYEQQLNSAVQLKAQYELDLRKLSNELKLLEERNAILEKERSHEVEATKTNFSIQNEQQR HSYLTQIDLLENQLRKLREYADIRDKEIYELENKLTKVLQDREYVETKLLKDNDLYRTKL QEQERDNQIEMNNLRQKLDILNQGQLDNIKNQYMAQAEILDDEIEKLKGLLGIKNEEIKT LIDQNERLRANYEKEIETISLQFNVLKEKMFENEQRFQEESQQMENNLRSQHEIGVDTLK SHHENSNQILENQIAHLKGQVLEQTKQLEELQKIRQQLLQANIQDQENAQNIINNLKKEI LEQQSRYQDQILQNYNDYELQKKELLNFNQQQQEFNQQLQQLLKLKEQQYETTLVQFKNM NDQLIVLIYQYAQNKLNDLYRDNEQIKAKFKVTVSEQEDLLNRQLKQIKQQEQKIQQLSS TSQRDKQNSERQITNLQNQNRQKEQQIGELKAQLKAAEENCEKIDNELQETKNTLQSQFE EQKYNFEQEMESIQTQKDEDLVSTKKIFEEKENSLNQELIKLKSNLSTQQTLNQELNQKL DQFGQQIQNVVNNSDVIKQYEVLSEINLVNRLRN >CAK57648 pep:novel supercontig:GCA_000165425.1:CT867993:96300:97569:-1 gene:GSPATT00028683001 transcript:CAK57648 MLFLILPTPVDIEIQAQNIFSGSSELLNVLPAYEKGPKLDKKGQILKHTIVGSVQQFQQE KQRRFNINKKPYAKLKTQFLSEGESQPGMNNSYTKLTELTSSKELSKKSPHKIKDKEFQF IQLNEEQVQQEIVEVERRVKTNQIETERLNQEIKGKLNKGDQLKMDTAERALTNYQNTQE NWESTKLRVSSRIQRKQGYSINDKIDQFRVKQEIKQLLEHITPMEQKLGNDYWKQGLRKT QNELNRKYPYKYVDKLEDDPRYLVDSKPPVVEIIRRPNSTAKGCRPFSSFTSRFYLNNKL KDNKEVVQKLVPLNIEEFEDFQVEGQNILLQEINSVRNPKDSFVKITSTINNGGLKSYIK QIPIKKELSLPDEIIESNYNKKLVINSGKFGSLKGFF >CAK57649 pep:novel supercontig:GCA_000165425.1:CT867993:98109:98339:1 gene:GSPATT00028684001 transcript:CAK57649 MNNIPLQTHPNVVLISEDIKERGLALEDVVFEIADVFVLRKNKELVLYQPILDNSSSQLQ NWISILELINKKYQII >CAK57650 pep:novel supercontig:GCA_000165425.1:CT867993:98399:99032:1 gene:GSPATT00028685001 transcript:CAK57650 MEREVHGGIQLSQIETERLLMYLVAEELKVRKAESKYKGAFSFILLFLRISKQMYLPLKI MRSIWLFGSLKHLKRINQLLRLSKGYCRPNWKMAFYLNSILVMCLWRHNQLLLIMSWIQN SNFSNTSVRISKNWYLKDHYENPGLIQFYSSMARRPTFTIDLSYECYVDQIEEIERLVEI ISENVELICLIWQLLN >CAK57651 pep:novel supercontig:GCA_000165425.1:CT867993:99108:100921:1 gene:GSPATT00028686001 transcript:CAK57651 MSVIRDRHPLTSLNQLQNLFGKSKPQDNNQNLCPEKVRGASKPKQKTEGSRREVSNVQKS QYTLQSQKLYTNHLDNIKSSRAFNVKSQDLLLSPERMESLQQENKVLEIQNDKKRILKQS VEHSNDPSHKLFTSEEQPKKIEQSQTIQLRNLIQSKQQKDSNNEILEKQKNSRREKHVAQ ILYDDSRQRQQKNQLTSQSLATNDGKLSEISQQWFIQRFIKDFYYFLSNTDNVKKSWDYS KVYENDFQQILQGLGFGESKDLWNDFTNGSYILSRNMLIVLLAILNVPVQQIPLYLPKDD EQVPMASHYKNDENGNLMLSTQDCIEIHNKYKQLYLNTKQNHGNGQRRNMEKSKSPPQVI NLLSNRSRDMAIKKKNNLNINEWFAQQEQKKKDNIERLKNQLEQSEETKQLKQTIKPLAI DLTSLSKPIKKQQDKSTIDVEFESQQQFCTFSPQINPMKHFPISTASTQYDIDQQAKRLR EARLRQKTVEKLKSNGNTTNNESRTQMKLQTEQSLSQEPKCKKIKSKPIFLNFPILYIDV KIDDAKTVRLPIFNGDNSDNLATKFAIDHNLDNSLEERLKDLLEEQINSVQEQQL >CAK57652 pep:novel supercontig:GCA_000165425.1:CT867993:101087:102476:1 gene:GSPATT00028687001 transcript:CAK57652 MSAIKLCNIFPFLSYNPFVVQEFINSFQSSSSSQQIFFLSHIINFVILIICMTQTNNYSI RHADIYKQFNSIVLLIKQLMSQCPDQGFKYCVSGKCENLKLCVDLYLGTAFSLLLMILCT YQITVRVIEQRRIYKRKQVLFLIILAANIFFLIFTINRHHIFAYFLCYYFDLCFLIFLVY FFTKKATDLNVYNKSKSKLVRGCTYGLFSLFTILLFINIIVYYLFQKNCSYLTLFVIKVV ELLSSIIFITGAYFLNQKMNALIIEQIMFTNRMTGVEKTQYIKTRNIKLKFWTLVCVTAF GQIIQWGSDLIYFLYDGSNDSNKCEFLINNNLLNPLVDLFICLFGYFLPLFCAVYLFWYK KKKVQYVESLEVESLPERYYHKLLNKSNSSFSSTQQK >CAK57653 pep:novel supercontig:GCA_000165425.1:CT867993:102564:103105:1 gene:GSPATT00028688001 transcript:CAK57653 MSWDAYVTNLTANGALEYAAIIGLDGNIWASNFGVAVLPSYQAEVPDEKNPDVVTKVAYD EKTAFVHALTHNGNSGNAAGVRVNNQKYYTIQFDNDAKSWYLKKNKGGACIAWSNTAAVF ASFSQTINAENGATQNAAECNKRVIEMAKYLADSGY >CAK57654 pep:novel supercontig:GCA_000165425.1:CT867993:104332:104768:-1 gene:GSPATT00028689001 transcript:CAK57654 MQNKTIFDKLGGQANIDAAVVKFYQKVLSDPSVSHYFKNTDMKKQTENQQKFLTMAFGGP NNYTGRDMKAGHAGLGITTVAFNTIVKHLGDTLKEMGVPAEVIAEAAAVAETTRADIVEI K >CAK57655 pep:novel supercontig:GCA_000165425.1:CT867993:104881:106476:-1 gene:GSPATT00028690001 transcript:CAK57655 MKEDMVIERSSQDSLQVTQRSSLLDRKYSGRFTFQKSQEEEQQTQIQSNRNRIKQQLKCQ LNAIINKQVQPFYMREEQLIELGRKLDLEITSIDVDNIILNTKEFFEDYEIHERLGEGCL GLVKRIVQKNTGLEFAVKIVATQDDEIIRNMIIEFKRLVELSHDNIVKAYKMYLDFETGF QSESQAFVIMELIKGKEMFEVINDSGHYSEADAKELFKQLLSAIEYMHRHGICHRDLKPN NILCLQDRKQIKVTDFNVSKFSDSYKLFGDLRDTQKIEMWTYTGTVAFSAPEIFTGEGYN QMVDMWSAGCILYSMLSGQLPFNADYLYDLVENIKVAKYDFPEEIFSEVSADAKHLIQQL LRKDPQERPYPDNALGHSWFRGNVVRKTLRHLTINKNICHLSNKNSNKQRQRTFLLKKDC YTGSSDGEDENSYYDVKIKTSIRKSLFYYFKPIREQQLDMDQVKRTGGFIGKSNTIQIED FKYNDQQ >CAK57656 pep:novel supercontig:GCA_000165425.1:CT867993:106583:108495:1 gene:GSPATT00028691001 transcript:CAK57656 MNIIVIDKNMGEKYIDFITNLYLEGLQQNEMKIPDFMQYHIYQEFKTKSMVVVIDSQLVL QKSSESKEYKFIEFVVDNRRIFVHLNPLPQYDSKGNPKLDEYQYTAHILLKANREGSSIL MIYLIKVRVNIRSGTNNLNINIIMKSEHQSLLMTKREEFRFSIRKERLEDLFSQNRKRSC VNNEVDPTIEIPSFADSQELHNYLINGDNSQQKLLKAANDKQLLAKLFLGAQTSDYYSII ILSNICTKMNLAECEVLFLNQLKLAKQRMDIRMIDNLFDALNSVCSQELTLKQLEYLLNN NLIPLVNQILKENQRDVYSDSEFKMIKSGSELLCRFFNQFRIFTEEELDTIIQKHTPDIR YTFYLMKQLLPLQLQYPKVTFMFVKNLIQIISLQPDIANDLDNSTLQICVQLLEISDNQI LISTILRFMIGISAFKDEKFVQRIFFLKGHDTVKQYINHESVFIRQALPLKLLANFANVD SENLQKEIVSDLPFIAEVIQNFQNLDRTDQNYLRLIYGIISNCLTYTSTELIQDLRVFQI LRIKFLLEEPEELENIIIYLNVLYTLLYYYEAYCIDDTELEKRLESLLSHKNQDVVKLAE ETLNLIDQQKNSL >CAK57657 pep:novel supercontig:GCA_000165425.1:CT867993:108649:109033:-1 gene:GSPATT00028692001 transcript:CAK57657 MHEDNQEGLETDDSEFRRQSFIAIQDEQDRLMNSYGGNLVDPFRFVGCKMDKIWIDGQVR LQLQIFPERVQQIQLQVDLINLQIILYKNLLSKIYSDQQKYFEEFIQRIKQRDKWNKNDK A >CAK57658 pep:novel supercontig:GCA_000165425.1:CT867993:109099:109429:-1 gene:GSPATT00028693001 transcript:CAK57658 MAECTKDNGLTDFSMEKASILTDLEERFQENGLKDREDENIYITTFDLSKDQKISNQQSI IFYSFSIKNIIIFDLLKYSPLGSQQVIILQKTKIQEQEQLYLS >CAK57659 pep:novel supercontig:GCA_000165425.1:CT867993:109486:109875:-1 gene:GSPATT00028694001 transcript:CAK57659 MTNGDYYIGEWKNDKNDGKGIYVHSDKSRYEGEWKEDHLHGQGKEIWADESAYFEGQFVM GVKEGFGIYKWADGSNYAGEFKNGYFSGQGAYFWSDGSRYNGQWSNNKMNGYGEMNWEDR RKYIGIDQS >CAK57660 pep:novel supercontig:GCA_000165425.1:CT867993:109923:110389:-1 gene:GSPATT00028695001 transcript:CAK57660 MGGICEANRGYPIVESGPFECILPTEIKPYEMKSNLVEVQEMCATKIQALFRGHQVRKKK RELEQSYYPDSKVAVKTDQVPEIFHHEVSKVMLQLGAFDYSKCQSMPGAKTLCAYQFIEK GGIYIGQWKDHKRNGKGKHIFADGSNL >CAK57661 pep:novel supercontig:GCA_000165425.1:CT867993:110607:113275:-1 gene:GSPATT00028696001 transcript:CAK57661 MQNQVDLDIDTGQFDTMERDFQEVLRDLGTDQNLERFRSEFDKLYRSLKIIHENQRRLIS KCREYNAEISQNASKIQTVLKMTADDSAAIQQLKTQLEKAYKVLEIQQEREEKHKQKIKI QENEIKQLNQTIEQSKALNSGQTTTVHELLQRKQELLKEKEILQIQVFGTRSENQSILDR IKSLETSKESVLKEYKILQQQKAEFEERLQKDEEAKNVTQAELEKIKKDFDSLKEDEKQL GNERKKLKSEIEKVTLQNSVKTKEIENFKSEKNRVEKELKDTKEKKDSVVKINEQIEEKI KDTRLQIELFETEIEQLHQKISEGEEKKKRMSELVKEQEELAERIEEEKQLANNGLNQLE DEIQIERKQASDDRQVIEDLRRARNILQKEIDRCDNNNKKIEEDFIAKQKYLSEKQNELG GLQKKIDYLNKKIANVEKETEQQCLQFSQAQTKYFHSLDEIKLKDSLISEFQKKNIETEA KLKQQQNLYETVRSDRNLYSKNYTEKQQEIEKMRRSYKIVNHQISQLKEEIEAKGNALAK EHLEHKKKDKTIEEQSRVLEKYKTDIDEKAEKINKYIKRVDKLQFTIKDEEQQIQNLKEE FELVVAERDILSTQLIRRISETNLLYEKIKINESTLKKGEQQYRERLGDIQMLKEKIADY KREIKVFKREADTIKDLEGDIHNLTKELTEEKLKAKALTEELENPMNVHRWRKLEATDSE NYELMTKIHSLQKRLIQKTEEVVIKEKVVEEKEKELKALKDEMKRKPGLEDQAMIPYYQD SLRQKEEQMKAMDQELSMYQSHINEYKLEIDRINKELQRVKQKYFNQEKREQQQRDLRIQ EEQGQSIQVVLPEKKYVGGGFALQK >CAK57662 pep:novel supercontig:GCA_000165425.1:CT867993:113301:113701:1 gene:GSPATT00028697001 transcript:CAK57662 MQIRQPTRYPVVPQFQNLNQYGPFVSPTQRTSQIQRIGTPNTLRFDYQRSRNTQSMQQYP VMKQTPVVTPQKAATKKAHIKMPQSGQTVSQPQTPSYDPALMVGLIKENQEIKAKIAQKE KNCFV >CAK57663 pep:novel supercontig:GCA_000165425.1:CT867993:113733:114234:1 gene:GSPATT00028698001 transcript:CAK57663 MAEQVQMLQSKIEDESKVLQTLQREMQKYVEGRQKLIEQSHENEMVKKELDLLEPEAKVY KLIASVLVSQTLQESKENVSKRLDFIGKEFKKVEDLIKENTNKQIAKKTSIQKMQEQLYQ IVQAYQQSLNK >CAK57664 pep:novel supercontig:GCA_000165425.1:CT867993:114343:115146:1 gene:GSPATT00028699001 transcript:CAK57664 MSKSNSTRVALVCLKTSQNIYEPIVASSFWEAWQNHKGKIEFELAQILIFEQGAMQTKVF IEYEEPEQAQDAINCLNNTKVMNNIICNVYPSRLRELKLDNVPNTKGMDLTQKLPFSMPS LWDLNVNEDESAQQVSEERLVDIRKKLSLIDEEISRTIESKLDTALIKLYQQTKCLRVLM GDYQLEEILHKTSKYGKIVYLKQAQQEVYVKYDSDKECQQAYEELKDQLIVQIKYNFESI PGYVMVNSNC >CAK57665 pep:novel supercontig:GCA_000165425.1:CT867993:115182:119280:1 gene:GSPATT00028700001 transcript:CAK57665 MWLHPETMIKLGNEFFQKKEFDQAINWYQQSINKNTQFVEGYYNLGLVYMYRKKFEEAIN YFKQSLDLRPSFPEALCSMGIALYNLNQYEKALNYLDQALKHRQSYPNPLKYKGDTVRKM GNLQEAVIQYKQAIQLKPDFYQAHKALGDTYRKLKEFQLSIQSYDNALEYNEKYAEVFKK KADSLRNLGIFEESLHNYTKAIEIRPSYPKAYNDAGLLFIQNAKYKEGVEYFQKAVQLKQ DYKDAYNNLGVCYYHLLQYQDAITQFDTALQIQVGFTIPMLNKASTLLRMKKYDEANKCF DQVMKHQPKNIQVLLGKGISLYETKKYEQSALLLSQAYDIDGNNFEVVFNYGVCNFQLKK YKEALQMLQEAQQLRDTLEGHFNQAICSLYLKDYEYSKKEIEMYVVHQEDDMEAKAVQGI VEYYLNNLAFAQECFQEVLKKQENQVVHNNLGVILLLNQQFDEALKHFNASVSGKGDYAD GLYNKGVALCNLNQYEDAIRQFNKAIQLKPKNECKFAFINRGICLKNLKKFNEAIQNYDE AIQLSQGTDVEDIYYFKGNCLLELNKYEDAIQLYDQAIQLESVYSSSANFQKGIAYTNLK HFDDAIQSYQHAIEQNSQNSWAYFNLGITYYNLENYEQALIQFTRSFDIQPTFKDAVFNE AAAYIKLKRYAEAINSLDKYNQLESNDYESFFLKGCLLKSLMKYEEALECFSKAVQLKPN FFEGQFNKGVAQLESGLSKDAVITFDAAFKLKSDSEKSLNNKAVSLLNLSKPEEAIKELE KAIKLSPNNPTLLNNKAVTLIDLKRQDEALTILDEVINIDPNFFKAYNNKGTIYFNQKNL TQAQQYFSRAVEINPEYDSARINLSITFQEMGEYQQAVQQCELISNQQWLNSNSEALIAF ATSLRNCDRFEEARQKYEVALQLNPRHSQAQNGLGIVYSNIGQYEDALKCYDQAINLNNR YPEALNNKGVTLYLMGRYDESVQMLQQSLKLEVKNAQTLNNLGASLFYLKRYDEANKIFD QAIQQNDQLMEALVNKANVLIAQENLNEANKLLQKASQIKDSPYIHNAYGIIAQKQKQTD KAISSYQMAIQLLPTFPQCLSNQATLLIETEKYSQALDLLKQALKTDQNNAEAHNNLGVL YYKQNKLELSQNEYMEAIKLKVHNPEAHSNQGVIFCAKQDYSQALQCFDEAIKLKSDFVK AYHNKGTTLYEKENFKEAVEIYDRAIKAKTQDPETYYNKSIALQGLEQFDDALNALEQAY KLAPEMALLYVEKGTLMYRKGKVDEAIKNYDLAIQLQPNCAEAYYNKGCALENQGKIDES NKNYEKAFQIKPTLVEKKK >CAK57666 pep:novel supercontig:GCA_000165425.1:CT867993:119324:122864:1 gene:GSPATT00028701001 transcript:CAK57666 MSLDFEIKRSGLSISTSSFDAFCEEIKRNDNKIEGHPLRGWMKKKSPALLKGWQKRYFML IENPQDKGWKLVYFDNDKTDTRPNGAFDVSTITSIQQVSKKEFTIHFPGRKLELKVKPQE VYQQWINTLNELRSATNVERTKRQLSVEQDVKLSNESPIKMPSNHKVVDNLTVQQAQVQK ERQRNSILIEQSKESKKKKEKESLTQLSNEKMIELVGLKEFIKNMNEQFIYQRMIYGYLG KRSKGKVKYFQKRWFILVSAKPLYSDYNDERILTEAQLPTWLELDTITYFQEKEEGKPPK AKGDVSMIDCQEIIMKDMSKSKESGFTFKLNMGDRLYHLMADTEQERKKWQSALSLSMRT TKEIHNPLKIKIKKNIDPIIQLYDEEPQLIARREKMKAKLEKDVSQILNQEDQDLAILLK QLSEVRQDMLESMQACIVKDPQRKDIIKEYTDIYHEKICDRISAFWDLHYKQMQSPELLL LAQWLNDYLNQMKDFFNDDRIIFGVRVLLNIYVNRSLENLESVLNSIIDQEKTQEPIFND QQLLITQTPVDLFKIINEGFDMAYKLCPCKETSLKLGGFGKTILQQYQYGIQEMIDEIQL STPKLVAICNNTLALHDNTKNYSKVIQQGGNLNEEESERVFDCQKITKNFVQIANSCRDK IFFSYFSRVGTHFKKSFLELQIIDTLKVIIEPATETLSQLHDSFSRKLWKQLLDTIVLYY FNQFIISCGKAKKENQKEFTSKLENDKEILVQELETFIFEKQLLASLKPFDDMINFINED SLSILDPCKSLRVYFGRAFSEKTIKTIMCLRFDLDKEKKKETIEICKNLIEDINKSEPVE HQSRLMDVLGQEEEENADQSLNQQLQQIQQQENRRADIFLDFHNDDQLQIPEPQEQRSSM EKKEFIDEGYLNKKKPKQKGWDYRYFRIRKGYMYWYINANSREAQNKINLQSVDEVIANT QKPANFKILLEDQKVYKFKTQSKEECELWVKTILREQNQLDSTIITIEAIKIGNGKKAII QDYDEMARKERQLQKQEERKRKRIERENEIKRKQQEQKMLQQQQLKELGDKPKAHQVEVF EQQSDPVMKQQLYYQDYSQKQSTSCWTQFLIALGIERPK >CAK57667 pep:novel supercontig:GCA_000165425.1:CT867993:122880:123788:-1 gene:GSPATT00028702001 transcript:CAK57667 MSSEFLDYTKNKRKENLDFLSQFMKNHQINVETIVSPQQSIVTSQMTDQVIKIVNSKQSL QTQQSPTSITPLQSPKLVDTTPIIQEIDSILEKIQMKTSNPQKQTPEKKPSPVKIEQPNQ QDIIQQIKMKIPQNQTKKQKEEILIQNLKQETQITEPEEYFTLPSKEPSPKKESSIKEEV KRIRDAFKPPKSYKIEKPIDQEYEEPKQELITTSQFPQFINEDSSMEYVTPIKKIEICSQ LSYSQSNSILQSELKETTQKPEFNLLKDPVIEELIQEELVLMQRLHFLQQQLQYEQRQHE FI >CAK57668 pep:novel supercontig:GCA_000165425.1:CT867993:124197:124547:1 gene:GSPATT00028703001 transcript:CAK57668 MNLFNLLPFNKQITDSHNADIQNLNSVQIIDSVTQQLRNNIRSNSKSNSKIILQQLFSNQ KNQNTSNDQEQQTILNYTDVDYVEQQNQELRVLIDRTISNMRISQSNLQSNTKKLN >CAK57669 pep:novel supercontig:GCA_000165425.1:CT867993:124734:125072:1 gene:GSPATT00028704001 transcript:CAK57669 MKLRSSSLPRIDGREGDLMRNSFIKIVVQLSKNLIPTQYVIENFKKYQQQYEFKEKVAKV CSQVQLKVPTDELQNESISHENRVMIFSKLCQVLQEKHQQCFPQCDHLNFLQ >CAK57670 pep:novel supercontig:GCA_000165425.1:CT867993:125268:126480:1 gene:GSPATT00028705001 transcript:CAK57670 MLRKLLRFQFCSLQDQIKKQQNSYSNITDKIISYVGRDLHKIPSHPLGIIKNRIETFFQN EAITEKQSKYPLKYKIIDNLSPIVSVKQNFDSLLVPPDHPSRKKSDTYYINENALLRCHT SAHQVELIQNNVDAFVVVGDVYRRDEIDATHYPVFHQVEIVRIFQQDQFSSKYLQHQIQE SMDDLKETLERLTKHLFGDVQMRWVETTFPFTNPSMELEIYFQDKWLEVLGCGVIHQDLM KNCQRLTEVGWAAGLGLERLALLLFQIPDIRLFWSQDARFLDQFRAGQINKFQPFSKYPS CYKDVSFYVDHRTWEENDLHSVIRDIGGDLIEKVECVDTFYNKKKDLTSKCYRIHYRSLE RTLQNEEIDVLQFKIRDQIRDVLKLELR >CAK57671 pep:novel supercontig:GCA_000165425.1:CT867993:126546:126968:1 gene:GSPATT00028706001 transcript:CAK57671 MSIIQIQVQLQFDTIFQLQLLIIQCNSLRNLNLSCSKQNKISRKIIAIEAIIVTVTLTNL TINQIGIYLVAKLKVDQCQNSCSSLRQIEIKNVDIIYQSRNSFAYVYNAGGILCRVNHGC NTIKIQLNQGVEISHLPYNP >CAK57672 pep:novel supercontig:GCA_000165425.1:CT867993:127072:127442:1 gene:GSPATT00028707001 transcript:CAK57672 MLKFQNAQEKVISILTKLVWPLRTALCSQNDKVFMSSLEVLKLLSNTIGSHLNSHLKNLL VPLSKRMDKKNQKEIISDVLRQIQDNGVMTLYHYLKGPETLKVIKAAIPTYTNM >CAK57673 pep:novel supercontig:GCA_000165425.1:CT867993:127483:129132:1 gene:GSPATT00028708001 transcript:CAK57673 MKAHDDLILIQKYTSQEDCLFCQKYGRLPIIKINFAPLLNRALYTKYSQSQWKGKRYEDF FSTKSIQWIIQFKDNLAYGDEDELFKRYYPKSDQQNKFDQLLEYYKYHKDIPRMFIPKLS DLAIYFYEKKKQLEYRKIKIMLGIPLDDASNYTECEKLKEEIKVLNSITQQTQVSSLSLL RDILQQKGSEEIVNIDATWMTMANNQQPKPSQTSNLKLLKQLISKNTQFYKQKFNTNCPE QSKRNSQNSPQQNLHLKTISKDTSQKSLLSSHKLLNNSNGDGEFHKFLKQQMVGISHSNP CNKNNAQSLAKPVQISNYQSKQSSIHHILSTRSISSEQLKLIQSQQMLKPNQYNNNNQQT QHKKSQTQTHYTEINSPNKHSKKASDILYTNIGIDFKQALTHKKSKEKHFKVYTSQSQVP LQANINININQLNMNNLNVKSSFQQYKAMLESPKVHPKKKTQSNVETLRVNNASTSKSTT SLKKTQISVQQIYAQKIKQKQKIQNK >CAK57674 pep:novel supercontig:GCA_000165425.1:CT867993:129186:129934:-1 gene:GSPATT00028709001 transcript:CAK57674 MKIRIILHIVLSIFIIVQMIRHSQTTQTEPGITPELFQNSSVIENNNTNCKKMIKFYDKQ LMIQKLSYPENVSVEIHQSLSFHINPKILIDEAQFSITMNGDFYQLHQTESLKIKFIQPQ IINLFLIHIKVSQFDYQNFYNSEIDELQVRRIKIFGIYKNQEFLLLNKQIFKRNDRDIYF KSSNSRKYLKVYVVCKMALCENAYRSFRMQFISQTQQTHIYPVTLYQINDIELLQFGSVI I >CAK57675 pep:novel supercontig:GCA_000165425.1:CT867993:130242:131542:-1 gene:GSPATT00028710001 transcript:CAK57675 MIIGAIKIKDGLFIGDEFASKDLEFVIQNKVSHIINCAAKQLPCVFENYGVSYLKFNWQE NEQQVLFQNDNVNEIYHFIEQAHVNGESVLVHSVRGQSRSCCALAAYFMRKYKWKLYKTL EFLNTRRPDLEIRASFYHQLTQLESKLSKKGEGGLSSSWQPHEEQDLPLEEDEKLLRNTF QNSKSSAADSCWLDRRLFNQYLNENRPRTITWADETLVKKSQKKMKQNATKPAVRLNNQN NINGNVYHVTVNNYVTLKEEQEKCNTSLNSRESAQMSLIKPSSGTIKRQQNDSLKKHQEL KQKLSCKSQSVKQQKRDPSERPRSAYAQPELQPMPNLPKPTTGLAYRQYSPLVKGNAIPK ANQLIATSKNKGWRYPSPGQIKNDESIIQSIINSKPVWK >CAK57676 pep:novel supercontig:GCA_000165425.1:CT867993:131585:133251:1 gene:GSPATT00028711001 transcript:CAK57676 MPKLSEIHKELQYYTRYFSENKLYQSSKWTGELLLGLTQEEDLQSSQFAMQFIQNNTDYQ YQFLREFVPENNDIICVARNLFDLREFKKCASLLQGLIHKNESAMFLYYYSQYMYGELRK EEEMFENENSKTATNPELKLLDRELSKLYDQKQLSQLSLYLYGLILKDTLRLREAKEVFI QVLNQLPCFWSAWLELCRLMTEEDSINELPNHWMKFFWISNFNLEKFKNSNCVESFQLLL YYFRNSNFIINQIANAYYNNQEFELSLEWFERLLSIDPYRYENLDTYSNILYIKENQGEL ANLALQSFTNNKYVPETCCVVGNYYSLMNEHAKAINYFQRALKLDKDCLAAWTLMGHEYL EMKNVASAIQSYRNAVEIDPKDFRAWYGLGQTYALQGMNQYALYYFSRAVISRPKDARMW NAMAECYDKMDKKNEAMKCYERANSCKDKEGIAIHQLAKLYDAVGKEDKAQQAFEESLKR KDEEQTVDKEVSEALLYLARVFLKKGDKERAMQMAKRLYDFNGPERDEANQIMSQLNK >CAK57677 pep:novel supercontig:GCA_000165425.1:CT867993:133745:134390:-1 gene:GSPATT00028712001 transcript:CAK57677 MVYDDNAPLSTKEKSKANYNPIYKAETQEQIGNYSTPYRVTWIDNLQRKIIVTVRVTSDI TVREFLQMVIGEFNQTFKRAEMELFFFLNDFYIYELYEMDEYERPDEELPPYDEHQKLRQ LKTKSFALKYTQIMLNSLMVSSLSDPLSCKSPISPRSEEEKLNPQQNNIQNTDIIDEKLD YQEHNPIKENKEIDQKPWWYLCCYDE >CAK57678 pep:novel supercontig:GCA_000165425.1:CT867993:134613:135779:1 gene:GSPATT00028713001 transcript:CAK57678 MYNNSRDPPNKILLLILNSLPSSFTLNNQFIHQKFNQFGDINKILIFEKGKTTKAFVEFH ELNSAIQAKKQLNGCNIQGGKMNIHFSRLKNLNLEIVDNSRGTDYTQASSNSQNSDSMLN SRTEENIQFDLTNHISSTQSPRANSSPIRNEQINRLLESSDGEDDLKIWKQTMPLDIEEF HPEIQKLLQQRQSRLLKILNFDSKITAKMIYNVFSKFGNLEEILYEKSSSRAYIKYQSVN QAIIAKEYLNNIQFFDSQIRIYFEPLQSLQPTTFQDEYMIYYPESQPYNNTPLSPNLLIT DVQDPSEISDQIQMFVKAKEIKYGVNSIHLTLFSNADALKIIAVFSDYEFQNQKMNIILK Q >CAK57679 pep:novel supercontig:GCA_000165425.1:CT867993:135836:137164:-1 gene:GSPATT00028714001 transcript:CAK57679 MNILNKEVIAKVFDFYCKQQYVQGQHATFDRQQHEASIFTIGKWMHFCKDFQIKAKNQRM LELFKKYAKNQKELNYDQFIQLINMLAIEEGQDQEQFIISLGLDNWKLCQQKMKPFQKPF QMRDRDERIKEVKYEYKIFHPDVKDDEQIKQILLQRKEQNEYQKQIERERKMFTKLQFEL KHLTKQQLIEKYPNMIHLIDKLPNPSKPTTCSYMNRTKIKSQHVLEQKKSGLTWESLNNI PIAQDFVKNLVDDEEDQFLQEYQFNSKQVSVNRYQPQVIQVIDREKRSLSDYNKIQPKIN LSYDQIPKIQNQNNQPLIILNEKQGVKVKALLQNLDQSAQRQNITHKIEKHLDSLQVTKK KLLQQQQHVNYQNYSVEFLREKQNAFAQNEFSFQKERQHASKINTQMNKRVQELQDIQAI KEKNLLNSMLSQQRIKNRNQQK >CAK57680 pep:novel supercontig:GCA_000165425.1:CT867993:137207:138002:-1 gene:GSPATT00028715001 transcript:CAK57680 MYIIIAILVLVLVVAFYITSKRKSGVQTANANNSVIFIVGDKNAGKTSLLYCLSNQNSSI QTTNSIEPNQTELAKPNNQSVIVVDVPGNNYQKEQFLNKIQEAKKIILVTDSSETSQIGA TAAILYEVLVSIPFQKSKIPILIVLNKQDKEKAYEAPDFEMFLSREIERIKRSRKAVQE >CAK57681 pep:novel supercontig:GCA_000165425.1:CT867993:139691:140779:1 gene:GSPATT00028716001 transcript:CAK57681 MEATLCQILAENYTQETNKYSTIIVFQRYSSDKKCMFFIGYDSNSFIIIQQQHYQVDEMD TNLDIYFKRVYDFLYLILFQKKEVTIHFINILIIKDFDHFYQLFDLEGPFPKSKIIVWIE HQQQLDIRKQYLSIQISFNYSALIKIQFNLLIKDLGAYKQFAIKQISQMQESHQQFLQQF ENCLEQVKAFKFLTLIKEITFLKEIPDQIEYFAQILMNLPRYTSLDAVVIIAKLKLYKQK ANFNRTNNQQKLKINEYLEITQTDLRIFKELKYCHFPKRGDNSQKCKVCQKNSDKVRKSS FVCEACKLHYKINVTLCTTKCFKLFHLNPEKYLRRKNRSKNTKVEE >CAK57682 pep:novel supercontig:GCA_000165425.1:CT867993:141461:142242:-1 gene:GSPATT00028717001 transcript:CAK57682 MKYERFAESFVNFIRRFLFHSAFVLVIPLLFINAVELQHHKYLKDHNYSKIIRQIDQLPN EQRESITAYLNNEFSIQYKILFLIPLLFIIKEFQEIYDKEFDDTQKTQLATSNNVPPPPI LQPKVISEYVPEKSEEVLRIESYYRNQNQYDQIKNSEEVKNSNSNIKLNQSDLLNKLQFV IHSVILDYRYQSIATNNQPEPGIQVEILIRIMILLNLNPPELKPLKTFHLHSIHKILKIK LIIKSVVKYIQS >CAK57683 pep:novel supercontig:GCA_000165425.1:CT867993:142326:144499:1 gene:GSPATT00028718001 transcript:CAK57683 MNIQNYSSKDDKKKTLLKPRIRSSSKEATQQLTQPRIAIQNPPVYRNTTADKHRKHSVDN KKQVKQKKKKKTQKNLQQLDNQEEQRLLEEEQKRIRDLEEFQRQQWEKMSQEERDRLKNE ENDRVQIEMNWDKEIHEYKKKCLEIEEWNNYISCNTQIDARSEKDLNTVIYQLSTSNDDI SLNYKQIEYISTIIFLIQVAHQQDLSQSILNKQDHYFRYIEKLKSIQSSKIYEIIKHFYI HYENYLDELNHILKHQYFLLEFNQETQQRILQKIAQKPEDRVKYEILKYTEFSNSFKAAF WYCPLSKIGYRIKPIDFSQISNLQIEIPRQLAGQSLIYYVTWTNHDPYVQYKQYTQYLPI GGIFQNCCVNLPKLSKKTNGWTLKPTFTDSQLQPLKLAQGFQSYSCFFQITNSYYLQDAS KLFVVTFQNGNWTQEGIEDLKVNLDTRSVSFSLKNQSPFMLATLKFADFPFKTWKVSSLS PDKVYVNIITQRDINIGIQLDSKLSKLVKPTSLAQQYFQEPMPIIELLLKLRNVGINLIA QEEDAICLQTVFKRSEVENQVLLNLMQTLRVYSFKQSKWNQKCGSDQIIIQFIENPNFNQ DFEGDDEFKWRNLEFQLNKVSLLEGNDNSDKFSSKWFKNTVSHANLLILIQQHQITPPEL QFYMEEIQNVELRSNLRTMLKVMRLLSIN >CAK57684 pep:novel supercontig:GCA_000165425.1:CT867993:144767:146131:-1 gene:GSPATT00028719001 transcript:CAK57684 MNSNSKTLSNSIKKDLYLQACKLKENPIDSEAESQLNELIDSLKTSEIVQYVEQQREWLQ KLFQAWRLQTAKTYDVYQVANFIETKRIKRLKMLFIHWHTNIEQIQIRQVMLFRFQNKRD KRIKGILMKAWNQVVSNLQQKQIQKYDAQQLYTKNTKRLYFHQWCKFIQRTQNDLVAYQE LIHKQKLKLYQKYFGIMKFKLHQTENYLEQYAINKQKQRLLAIFQFWSQYVDYTINQKQQ VKEFIKKRNLTLMSEMLTFLNTRAQKNMIYRRQKTLAQYGRWKMLMQKSFSTLLKYKVRQ QSKRYLQAIRKDNLEKLAIQTLRDTKNLIQKYKEIQKILNEKKVKQIFFQLQNYTQKQKY KRYSLEFAELFRCKSLQKQLFSLLQLYHNYKIKKRDMNEKMMQVHLVKFCKNILKKWKQY NQQIHHEKLIKQQIEFSSQYRIKKQIFKIMSKDQ >CAK57685 pep:novel supercontig:GCA_000165425.1:CT867993:146161:147499:1 gene:GSPATT00028720001 transcript:CAK57685 MGSNCATCQNCQKKEEILISEVQQQSNPQMNQYSQQPNEEEEEDHLEEKNHEGSSQVVQQ YLNLDTPQKNDAVVYAEPTRAQQVETPQVQVIMTNESNADSKKRKNEMMLRNMEDLNDTQ VNKSESTVREKRPRYQFKSGAYYEGEWVGQNRDGYGVQIWNDGAKYVGQWKNNRANGVGK FWHIDGDYYEGDWKDDKACGKGVYIHMNGAQYEGDWMDDLQHGFGVETWTDQARFEGLYQ NGKKEGFGKYYWGDGSIYIGNWSENKLSGYGVYTWPDGRRYEGQWNNNQMNGRGIYYWKD GRKYEGQYINDKKHGYGIYTWPDGRKYEGYWSNGKQQGKGRYILSNGKSQLGMWDNGKRI KWLDSIEQSIDLKPKDWNSYVQPSLGETQL >CAK57686 pep:novel supercontig:GCA_000165425.1:CT867993:147501:148546:-1 gene:GSPATT00028721001 transcript:CAK57686 MGCQIGKTNQIQIRSKYNNLVGIKFQEAIESSNPQLKQLQHQWNQFEIKDFTAARKFKQS DPTRFRKYLMNGALSSNRWLLWKGSLFVENLQESGCYEALLKTSQNQFDSDIEKDLPRTL PGHHEFNKKSQALENVLKAIAAYNNDYVTGMNQVAGFLLLVNGMDEEEAFWMLNYLNINP QFKFLQLYQTNDSQNQGNQFEFVTKLLNIFHKHFKSQMPQLYQHFEAQDITQYCYIWKWI FSLFLQTFPFEVVLYFFDFMIANNILAIISLSLALLKHFQSHLLKLDQNEIAQFITKLQE CSDVFDRSKHSDHLCVEQIIIYAAQFHEQLGFSKLEETLE >CAK57687 pep:novel supercontig:GCA_000165425.1:CT867993:148584:149385:-1 gene:GSPATT00028722001 transcript:CAK57687 MGLFQGQISQRLYSQEQELLQYERDLFILYEPFVKWRQLNTKGYQKPITQAPASMIPVSY QQADPTKVVKPFTDPLLDKNSKFKLITILIGLIACLTLLILFYKAQFFDLLLIIDFYILW EFNALNENRIKTLGILFGMSCFLDVIWIFCIGMKWLGNDSQDIYIPYEISIQKMISILVI ISLIVRLYLILNLFQLSQEVQNLTNPQTIKIQHKNIVKIDMQNTHNIESKTYLHNGRPIV IY >CAK57688 pep:novel supercontig:GCA_000165425.1:CT867993:149613:150141:-1 gene:GSPATT00028723001 transcript:CAK57688 MATFQQKYESLKQEFEELDINDDGRLDKNEMFQVLDSKIGKQFDREIAEELWSNLDINHD GKVTVNEFIQILLKAEDSLKSKINNCRTTLELNYQQKRETETNLDYCQSNENLNNFGVME GSQLCVIVIQAANLKADGKQLDPFITLQFDKFEKQTTLNKGPNPI >CAK57689 pep:novel supercontig:GCA_000165425.1:CT867993:150189:150958:-1 gene:GSPATT00028724001 transcript:CAK57689 MNVAEIGELKKQLTKALTENAHLMQKNQLLELKLKETEERNCNIQKMNGTIMHVLNDLNV QNNRPSTEILKQQDQFNMKLMQCQKRIESYESQIKNLQTQLQEALISKNEQTQRITQLQE HDSQLLKQIGALESSVKTLTGQLQLQSKQTQEFSQQLEDVEFESQKAKFSQSVKIEELNE ENMKLKEELKSLMGQYLRKTSSSMQSSMPASPQNVKLLPAPPQTTTTPISKKQTPKKRTT TISQWKK >CAK57690 pep:novel supercontig:GCA_000165425.1:CT867993:151620:152611:-1 gene:GSPATT00028725001 transcript:CAK57690 MSDEQTKQLKKEILQDAELQAKKQRFALFSSPVPLGLGDDSYDFKKRPPRGENGKPITEL TNVKIGAPKSGRIKSSYFSQATFNSIGDPYTDPQRKYLQYELEKTKKILFKDQPFKPASG YKELLTGAWPHMKDYDMKRTRNYKTADGRVWSAPRNITTNPANKDLSKGYPHQKDEYDRF HQYQLKLQMDAKKKEKEVAFKTTAAGGENFNKDKQVFGFDGKAPPPNKEKHHDMHIMKHE QNFKPSNPGKKGFEGEFESVTYKPDPQKPQKRVEWPKGKERFKPNHLDILSRPTPSITCF KQNIRRVMSAKGF >CAK57691 pep:novel supercontig:GCA_000165425.1:CT867993:153102:153412:-1 gene:GSPATT00028726001 transcript:CAK57691 MAMDNSKFEQLSKNFPSSLKFRLNPVYYGARVGIQAYLGLLVGGSLLIYLNTFNGITFPY LYKKQKVEYQAVPDFYSKQVLLYQRTVPNTVTQL >CAK57692 pep:novel supercontig:GCA_000165425.1:CT867993:153657:154849:1 gene:GSPATT00028727001 transcript:CAK57692 MSEINESLIEAPLKALLEEVLFCLESYLEGQSDLKLIENQLKDYDTLPGLVKIIGSVFKT LMKKVEKKMTLLKLNLNDNSSHQSYHPEEEYEKLEQIIQKYEAEIRGHFSIEQQLKLYND SLLQKIEDLEKSHKETIEQLNKKIYALKKDLGKSTESYRQLIKENEQLRESVDHPHIVHT DSEVNGRFCHSDHRLKRADYSSEYPASIANLYPQNSMRNSTPLKSQSQHHKYGKFNNQIQ RRSAQEQDLFTKYNQLLKQHAQSISQRSQIIQASQYLLKGGFTNNQKNTRNTLNVISDIC NAQQLKSSQRNKSQGSSSKAGGNSSQNHLISNHKSGHHKMISDTSKTNEAIQRLLKLK >CAK57693 pep:novel supercontig:GCA_000165425.1:CT867993:154895:159173:-1 gene:GSPATT00028728001 transcript:CAK57693 MEETKFHQFKNGFISKNPNQNSERLIKINTQYSQYQSQSQRVKTEINQASLSSRLEKSKT ISQSTYYQSPLLRDGQLDQIDKLKRMDKNDFFGQLNSQDPYRYPKKLEELPWNPSKSTIS QAKVVLPLEQMDKVIPLSKFSSNLTKRVVKDKIHRGIPLKHDVQMLAEWTDLMLEQAVEK NQGQSANMYEDLQQIFTLCLKELIRQISFDCIEKSVLLEKIWNQYIEINQSVYQSVLEQN NTLEKDHLQEVMKTHQAYQIEIDKYLMILKNQKSDMDQIMERLMKLKGNTKYLKKNNRYL NSQIKTLKVEIQDLKTENKQYSDQIEKITDDLHEYQRNVQFQYEQFQMSNQYQPKIEYEQ GLVKKKIMRAGTQKQLVIHQIPQYQLPVIKPMKRKSFQNAEQQPTQQEKMQKILNDSASF SNIEILLEERATDTDDLNQILQLRKEVEIQTIEKKSLTISIPKFELRDRRQQIIQTEISY LNKSTKVTQTEFTEELLESIKLEEEHLLQLHEAIQQATEQYQQILHQIEKGQQPKLKELY DYLDEFQNSMKENISKQKEIRTNLILVNSTFKDENNRKEEQLQQYVLISYFMKQKKVAEL ERANELANQEMGELENQLEIYEKINDKIEKKYQKLKSIKTNMVEKSQTLVVQLTQTHKFA EIMKKRIQDKRLSTLGPIQSNSKQRISNVSPLGSMITTSQPVNFVQTQQSEQQLQLEKKE ELLPIPEKTSLKKQSSKKNLVQQPQQQQVMQYRHAQSQNTLELPSVQQEKQFSSQYNISV IEPEDNQFASQKIPSQQTSQLPSQQPSQQQSEEEGTDMQLTPRSKASNQSKSRPTQKIQL PSNQGAKKGSKIQKKGSVMPQQNDQVQFLQETITNFINQNFSSSDSDSDDSEYNMKLEEI KQRKRKIGQNKKSYFSQAPKFQNKQSIDSPGLNMLRNNIIKQLATKFATNQKEITSKMKK GNVLKFITQFYGEKLKQTQNKTSLHIICYEYFFNTYGFKNIAEQKLTSFYESIFVHRDNI RINLFGRFLQLYSSLTVDDLEIYIKTLKQLDEENQTLDTDKGQFILVEKAIQILDQTHSQ IPQEYRNNIISDIKMNYIERNLKPYIDYDYYISRILTGYQIVKKMHMTHYQEVFNSADMD LDNMMEYQEFKKLYYYFESCQGNSIPESIMERQFISRCDLISNNEGERAMSFDRFITFSI EHNLFSEEKFTNFANSVIEEDPIKSIEDLHKNWSYVKERLINRIQYAAEDEIEYFQSIIK KLEQALTNDDRRQSIWISYKIIDSDTRQLYINKLVDSLIPSEFNDIIQFDDYSSEDNN >CAK57694 pep:novel supercontig:GCA_000165425.1:CT867993:159957:168124:1 gene:GSPATT00028729001 transcript:CAK57694 MLQLVPHYHVEMMKNNLKTNQYQLYDAIEGQQYEIALSILNDLHSRSTWISGIYLIMNGY ELDQNVINKFLKVVEEQDEIEMTEYEQLALTQICQQIMNIEMIILLHKYNLDGWIQLSPK IHLFLRKSIHPQVPQIFIEYYIKQNIELLEQHEQSFNEDFVWTLHDFRTFYKLMPPNIAH PYYKLIQISIYVRMLDLESVPCPISQQSIPIQYSSKLEEILKKLAISPHKDCLELYIFCL IEIGLLRIVIRNMKNNLTQEQLTQIRKQFMQAALNSNYIVDIEVFQFLDKLQSFDQETKV EVYKKNPQFYENIDSLDIFDDESVLNGLLHNFNTIENQQKVIQQLVQFNSINTLKAIWLL YIDGATPRLTNDPIQEFKLKNFKCLNVFQQIQYQHNYEHLIEALKVTAICIWTDREIKQE ELEDKYLLSYLKSCITNENCSSLLKLLFSISNGVFEENHDIQQIQIQVPYFLELLTILLF EQKVDVNQKQFYLQQLLQLTQNLENVLIIMKSQLVERLIFFLRIESVHQELIIQTLINCI KVRFSLQYLQAIAQFMSPYSSLIMIPHVDDKQKLIQYRKIASILGVPPQSIECGSTQQQN YIQEQIANKDLFLKNSTLLLYCLNKVISIQNDQYYYFTGHESGIIVKGKMQFETQNNVFS IIIQFKGQLKQSTLLNWGNQEISFSVAIEDPQLLSIRYKFQNTLKTAKYSLKYQENFIVI NFNQNKAFQVNINGNDVLARQADQFDNFQIPVLNFFAVGAKQNDFDTLSDSFRGEMRLLY ILDQNINAEDMYILEKKSQNNSVLFSQLLKMQIKGRVLLYLNTQSEKQDLQFDGLLHQNN QVFIENCQYLMLRGRRQKQTTISKLMGKLFQQRKSLNSLDVSNQNSKNVLFLENTTLLDV MKSYGNLDILFFPLHILNDPQCVVSVLQLLNTIIQKFGDDNFIQNYLRSDSQYKGIKVLG YLLTVHMKTQGCSIQLLQQILNFYNSLLQCQIIQVLKQDCMCIYHEFEFWQYCQYDILLY LYSYLFEQLQNNEELFTYFKDQVQILYYILQVQLISYEESKGDQQAYNQTRKMLIKCILY LMYNPFFQMQFSNDQILPIQMIIRDKKNASSEKLQILKNEIVLILNHLTLKTINDLVVML GFIVRKPQNTLILELLTDIRQGNQTTQKESLLDVMQSQFALKMENEDTILQVIFKIIYGV IIEMINQNTLDDQLLGPLMDILVKVQVHQINYEYKLLELQQAKNSQQQQKTKEYSAIKSL FNKINYILFELGTNLICRQQTFQVLINLSSLVLRQELNLEKEVLNLLLLHFSFYNLETKQ LIIEFFLQSQEYKVFMNQLCNHKELMNFIRELINIETGQTLINYIVLHHIDNIDFSAKKI LKLFNMIQQQNNQFMKVILTILLLQNNTKNKQNAKSEIALLDLFMLLPTALTQNQDMIVS DPELFVKVFYEYVTYLDKQDMLYSFYLDTEFIGYYEYDPIPFFNFQQNEASKKYIYPNGG VMGVVLFILFYSLELLISSKEAKQLLAIWKKLLIEKSKSEFQHSSQTLINNDQIPNFQMK IKKIKIKSQKSSLVQKFKQSVLGEYQLTQYDKYFQDLHLYHVLKFQFLSQQYSYSEELFD IFTSIKFKPDNFLNFQALWSIIESNNLPNIIDQLSTRINLVQLSIYTQPEEVNNRACQII TQFNQQSQQIQSAIQQNGKLNNDAKKFLDLISKVNSPLKYLELFKEQEAIIQKACAYLKL YSFRQVQFAQEISILLNIYSLQNQNVDQMDSSSINMNNQQSTLQLNQSQQQLSDFPKIST NLFNQYRQEFLQIIQKFENELIIKQLIIQFKVKLHYKQNTHRRGLWYFMNYGDAHLYHQL NHDNLESFLELLGKERYSINSYEDSMRRKMFLKVFAKQKKTYEHIQLTKTAQSIFSDLYG AQQIIQQKDKMVNNENQRFTAELITQRGFYRGKIRITNEYFMFENFGSDIIFQDVQYKFQ KDSLIKTEKQKLIPLSQIKEVFPRSYLAQPVAIELFTNNNKTYLFNLFGQRQAVMKVLSQ SCNVIMNPTEQFKKSGIIEKWRRGDITNFQYLIEVNKYGGRTYNDLNQYPIFPWVIANYV DFDIQNKDHFRKLDIPIGAINQKRLENFLERFKQANPEDMNMYFIYGTHYSHSAIVMSFL MRMEPFASLHQELQSGRFDKADRLFHSLESQWHSVTNSSSDVKELIPEFFYFSEFLKNKN KFDLGQLQSGTVVSDVTLPEYINTNSPEEMIFLNRLVLESDYVSFNLHNWIDLIFGYKSG MNAQKYNNLYHRLTYSNYVQQLLEKTDDEVLKEQYITQVYYYGQTPQQLFKKEHPIKQYS KLNDQNPLLQLKQITLMINKQNIEIDQLFCNDKYLVLITNEQEIHVFNVEHRITSHKIPK DFGNQEVKQLKFNQDNIFLLFDDSLVVGGYTDNSVKVYSLKDLKLTCSVLFHTKVVTCLG KSSTQLLCGSRDTRISVWEWTLSHQPEFILYGHQNEVSIIQVNQILQIILSYDLKGDILI HTIKGAFLKLIETSINDCLQIKIHPSGFILISQSKKLIIYTLQGELFLSRELLDHIISIN VLNEYSPEILILTYDGTIFITSIIQLKKTDDFNQYCLKKYKLEDMEIKMETNQLRKVKEL INTKSLEFLTSQISCGQFIQLNTHRVLIIGYQNGQIISLYEQPRTTSLL >CAK57695 pep:novel supercontig:GCA_000165425.1:CT867993:168164:168865:-1 gene:GSPATT00028730001 transcript:CAK57695 MNSSQKIRKGSRNMKYKKISQEQRTQIINELTKNGKTLKEVSEETQLKPSTVKAILQVYL KEGRIGKKSTRDRKVKLLNTTVIALIDKTKNSDYAIENLQFIHPMIKINQQSSEISHNGQ TLAETQQKLDQYSKQLMMSQVKDFLREKKKEILEQLVNPLAKQNFLNQLAQFEVADPIAL SGNKKRPFIS >CAK57696 pep:novel supercontig:GCA_000165425.1:CT867993:169955:172147:-1 gene:GSPATT00028731001 transcript:CAK57696 MSNQPFQVYLRIKPLLENWQKLQAYQFSTETLIEDLNDNRIVIRKDGKSGKSFVFDKIFS GVQNNEDIFDQSLRHNLDHFVEGYNTTILAYGITGSGKTHTIFGNEKDEGLSFKCINYLV NRMKYMSPDANVQMEMSFIEVYNETIRDLMSDQPKPLMIMQDNQKGSYIQGLQAIKINSI NDVLFWINIANQNRSLAQTIYNVYSSRSHALIQFNLSYCFEGQTITPKLFIVDLAGSERV YQDQKSKNQQEGSNINRSLLALSNCLTILSDKSKKNQYIPYRNSKLTRLLQDSLGGNTRT IMISCIQQNKCQYDEILNTLQYSSRATQIKKQIQKSLHQQISEEKILILPNISDSEMSTK QLYLTKIYNDIYSNIEEYHEINNSLIEIQNNILQNQYQIEEIYSMSLQNNSNSINDDQMY HNLMIAQKQNQEIEQQLQNALKVNLKQKQLQKSLLLQITEEQQQYIDYWKVKYEESQKEI LNLKQVLQSKNDDIQSKDSQIQQQQLLLDQIKSKNPSLYTTNNDSDCSYPSSFASSTSTS QIKKKSSLTHLNTQNTLSQQSQLFDMIDRPRFSNLSHIKQILASRERSPVNSIFRQSPIR SPLRKKPSIRNITSISKVEQSEISQISSIKKSRLINDPQITTINLYGSQYINIIDKENIS >CAK57697 pep:novel supercontig:GCA_000165425.1:CT867993:172325:174184:1 gene:GSPATT00028732001 transcript:CAK57697 MNLAQQQTQEENPFQFELDSKKFLQKLERERNSGYNDDDEEQKQVPHTQKLYQTSAKSTR FGLLQKLEDQTNKLAQERDEMFVNEAKMRDPNQPQKIDEIAQHIKHFNPKLMEYRDDEAR KTIYGKEDKKKDQELQEMKKKKYRIKSILREKRETITDFIEKKREICLANLNIMTKKEET ERLEDFIKNEQESLRARRLYFKNDCELVKKFMNEVKYQADQAAFQADREAKKKDEVKTEV AKILAQIDRLKLQKTKYGEEFEKLDKYRQFLEKIKDTYKTKFSEFEKKDINLFSNQQNEQ KNQFFVTGVDQREEQKQTQEQIEAERQQERMADLVIEILNNIEEGNLRNIQNQRDAEEDI EQKKRELEKLEQKLKNEQDEHLEMLKAEERKLKAQLKIQNQLKDQEKVDQTKLQFAEGED LDMDKIGKKIIEIQQEATKNQDIMGKRLQIDSKVIKQVINQLEKIVIDLSESKLQFLLRS KTDFIEAEKKIKKEKQQQRLNNQKDEEKKKQIMERRNKKEEHLQKFYKGRHDMKRNYKQE KPVVETEETETDQNDDEKYLRESYELVYVPPQQKSHNQQQSNELNIKQNERQQ >CAK57698 pep:novel supercontig:GCA_000165425.1:CT867993:174215:175374:1 gene:GSPATT00028733001 transcript:CAK57698 MAQPSKRKQSRSFEDSEVAILTQVIVQGEAVQGRWTKDEHQRFVEALSIHGKNWKKVEEH VGTRSGAQIRSHAQKFFNRLEKEFNKQFNGLKSSEIKQIFENNIQHYSEGDVTQKRQRTG SINDISEDDNQGNLESMQIESNQLQLQHQLQQVKMQLQQGILDLNLPDSCYHQNAKDQVT KYQQQQNKLQKKMQDVVDRNYSEELIKEICELHKEKDQIIAIQQIQNAYQSIAEVNQLEQ QQSLRQQQPQQTQPEHQQTQKNSNQLNEESRPGTDESFFHFIMARNQKYINNRKLSLSDL IEVPKQDEKAQESELLEQKQQQQQENEGQRSRKQSFTFYNDQVEDNELRYQTLKKNKKEQ >CAK57699 pep:novel supercontig:GCA_000165425.1:CT867993:175414:180294:1 gene:GSPATT00028734001 transcript:CAK57699 MSQISNVSNRQFYTPDTSKHQDQFKSAEDRKSAPFDEKSITPKSDVYSNTFKQFQLENIN DSKDQIPISNNEFVAQWGNIQQQEDSSQASKVCDLDDFPDQNENSPSNSDEAGLDSQREQ EKYFGQVEQPERKQSRDMTDFEDLMNVNNSQNASSSSNQFQVMDPSERIKYQNIDQLPLN QLERNMLIKAKEIQPVNQNYPFLNDQSPSTGKISGMAFTFNFPNQFQNEGIQNMFNQPPS FPISQELPTPVAVQNQIANQFYPGTTLNNQINQSISSKGSSQIQNFEDLTNYKNQSQPQQ LDNSQNLNFHKINSIPVQQNIFEQPQALPIDKFLIGDIPMSSSSSQNRKQQQVFQPELQV NPIIVTQQQEQVQVQQPSNIFPIQSLEIAQNNQEFNFSQDLEKQFQLNTDAIHPKFEIHG EDSEVIWEQDNNFKQFDQFPNQTSSNIFQERQQANQLQDFMKDFEPKPEIQKKNEFNIKE SPKESPKDQEFQLQKQTYQQINFLQQHSQQQSQKSINQENFFQQYKEVQPNFPAQVDTSE INQSSREQTPKNQPTQIQVQNQNNSISSHKSNSTQNKNNGFQPSQQLYQKELYDDWFKKE DSSRRMSQNSKSSAKESQHKVEELFQDYDNNSIWQKHLENQPGIQKESQDFIQQQQQQQQ FTQLQQQQYQQQQQQQYQQYQNQQQQQQQYQQQQQIEQEQLQQNLLLQQQKQQQLQNEQE QFQQNLLLQQQKQQQIQQQLQLQQQQQQQQQQQQQQQQLQQQEKYQQQQKEQQEQQYIYS QQQLQEKQEKEQQQQQQLQYQLQQQQQQYVQEKQSKEQQELQYLYLQQKNQGKQENEQQY SYQQQLLQQQQQSQISQQQLKEQQQFLQSQQQQLEKQEKQEKQEKEQQLLYQQSQQQQIQ QYQQQQQIQLQEQQQQQQQQQQQLQQQQQQQQQQQYQQQQLQEQQQQILAQQFSSNIVKL PAQQQQEQQITPRNQGTNSNQQLIENSQQNVTPNQSPQFFSINNSSSSVNEKKKNNPFNK EEAEIIIDPKWEQDLPQFDSQQFEIKPELKKNLDTIVEMQEESLTQSYAVQNRNSALYQD NTITEYQDKSIEESKVIQPFLKIQSQEILSAQKGIVRESKESNQDAINPQQLVEQLMPGL HDVIRKSFQQNIESQLQQLATQFQQKLQDDEQENFDIDKISSKVFMIENLVQGYGKKLDQ ISEMLNKEKENQDSQKIQDQNTQSKAGFLQDSQQPLQKQQKQICSPFELNLEDHFEESPI QNYQNQNQSQNNSKLRVAQSNLVYTSQQKGFIPYQTLHQTQSKYINESQIREDFQEDKLR IFQTNYQFQKQSLKGLQEFEIQNFRKQCLCEQTTLLDTYELIVTLTSEKYESDDLTGYKI IISLKNKAQYDIQNLIVLFNSNKIKDEYHVKPEKISQSYLNPGDIIRQEITFKYYDLQSM YLNCFIKYTINNFKMGYNFNKISQSQGLQGTQDYSNLYLSQMNSRNVIQEVGQFKRNFQF CIARPANKFFVYNFITSEEINECEMKCRSEQFYLRSLDELIIFHPWLIQIDQFTLGGKVF IQLKNSGYEFVIKVVNKNQKGVILMNGMNMDQKLTEHFLSFFAFLFSKLY >CAK57700 pep:novel supercontig:GCA_000165425.1:CT867993:180300:181531:-1 gene:GSPATT00028735001 transcript:CAK57700 MAPLPEPSSQSVQSVENKDVFRFIYKNKEYDVTEYVPKHPAGKSFFEKMKDEKEDITEYF RCLHSKRALKILKSQKVVRSNIKESEESKQYTHIKKQVKNLFEPDWTIELLLFIGLSLGL YLGVTSEWYIAIPTIALTQIVAGWQGHSTNHNRNPLLYKLSIPYGIIHGFSADWWQFKHN NHHIFTNRIGKDDDINHVYQKWQFGFLYLKWKFDSFLASYNKIDIIYILIHQIIVFQQKI WIYVVAQYIAGFFSACILIGNHEREYKFFNKIDKPFIEHQIITSRNYDWTDWLSNLLMGG MQFQTEHHLFPQIPFYRLPYAAKIINRELNKFGYKIHVGKIL >CAK57701 pep:novel supercontig:GCA_000165425.1:CT867993:181788:184123:1 gene:GSPATT00028736001 transcript:CAK57701 MNNLKDKETKKIDLNFALIDYESSSEANGEVNKDPMSIIKKLHKQMFQDQTQDVVVGYVN TYNKDNLITELPYLLQQMEYKQIYNQIRQQVLVIFHYIGGATETVPITLIKKARNRYGQN PIEANQPKDYYVSTNMDLKQVRRNYQETVQSEAEPFKIKEDIQNDEINKLYYLCLKECEA KHLRNYLQTKEYQLEYFKFQSDGQMFRMNRVKKVQKQRANEFLVLNNEIQQLNLQQMIMK QQSISTNTTLGSQFFKVYFKIHYHTQPGKAIYIVGDNKQFGNWNPIKGMRLQWNENDEWT ICIGVDRSQYQKIEYKFIVNNFDNPTLQDPIWEPGENRVITTHMIQNETKSEYFNCEYWG YRTIKLKLNYNLAQKQRMMVIGSIEQLGQWSHPVLMKPQQKIDILNDEPVQQWSISFIVD PMNFSFRYFYVIRNDENGSMIWERGNGRYLKSSDLRSFRQVQTVYAKSPIRIKTQLLAAC QNQRLQKLKNGSFCSDKQLKINKETSIGYSFSDKEPSFFYYESFGRLNKLDWNFVVQFAI TQINENIIIGPYPQNEQDILVLKSQGIKAVLNLQTRLDIYHRGVDWDEIQNSYKKNDIIM KNFEIFDMDPIDFERKAFKAVQLLKKLINNYEFVYVHCTSGIGRAPSLVVLYLSTVLQIP LNEAISFVKKKREHFYINHNMLRKSLQKTEIFNNGEGYEEISVFNQYQIGSLQKIYEQKF DYNLLY >CAK57702 pep:novel supercontig:GCA_000165425.1:CT867993:185267:186421:1 gene:GSPATT00028737001 transcript:CAK57702 MQNQKQFPCKIGDQNFTIELSTSSLDLINQEQRISFKLSLKLIITWVFYGDKIIGFKVEN LEVEGTTEDMSKLKMSLGCLATFKGILKFYTFQQTIYLNQNNTTKVCQLISNRNYRIYAC KCYKKCNRSIESIHEEINLILKLQGHKFIPMIYEVYESNSCVYLIMEHLYRNFDNDFTDE EIKIIVYNLLLSIKKLEKLLIAHKSISRSHIMFDEDNQLKLIGFSNAVIQKTTNHEFELD IFKVGTLMYKLYQLLCKISYKKNMQGDQDQFPEIPDFGSDLLKNLLENQSYYRFNMDAAL QHRYFNSLSGDGIYKEIYSMNPKFKDKIEESQSYQTKNFNTLLSLTKQQQYFQVDMSTEL QN >CAK57703 pep:novel supercontig:GCA_000165425.1:CT867993:187364:188744:-1 gene:GSPATT00028738001 transcript:CAK57703 MIHNQNRNPISDPYGLEDQLARELQKRKVEEEKRKREIERICAESEEIKLLKQKVQTAYV TKERTQQLAEQQLRRIQDLKQESEIEAAILEKLKREQEEERAKEKYRLGQRLEGKYTLQK QMKEHEQLRDEAKEQYIYEKDQVNRVIHQLIEEDRKFLEDSAKKKKIAFSDMQVALREKA ELIQRMKQRERDENQKYLDFIKEKDRQAYEIKVKKQEENAAKDKIFQKLKEEEERRKQEA ELLTELRFQLYQEQYDAQMRQKDIDEANKREFQKREMQQAEQEARIRKQRQKEEEQQLER DFRDQMMKKFAEDDKLEQLGQQKRRMKEMEHKKEVERLWQQRLQLFQMEKQKEMEQLERQ RREEVYKQQVIEEEKNKILQEHLQQVGEFIPKGLLLKQGDTQYIKQTQPNGSYQSGFRL >CAK57704 pep:novel supercontig:GCA_000165425.1:CT867993:188875:190216:-1 gene:GSPATT00028739001 transcript:CAK57704 MSLKDFQLLSKLGEGAYSSVYKVKRLEDSQEYALKKVKLQNLNDKEKQNAMNEVRILASV KHPNIISYKEAFIDIQSNSLCIVMEFADGSDLYQKIVNSKKTGKLIEEQIIWNTFIQIVR GLKALHELKILHRDLKSANVFLYQNGDVKLGDMNVSKVAKKGLLYTQTGTPFYASPEVWK DQPYDQKSDIWSLGCVVYEMAALKPPFQAESMEELYQRVIRGYYPRISHNYSQDLSNAIR SMLQVKPHLRPNCDKLLQFPSLLKRQEELPKQEQTDNEPNTLLSTIKFPKNYHYFTSMLP KPCYECVNRKQIKSLHSQQASPNPRSLMSVNGSYEDVTSQKSIDKKDHVHNNRKAQPEYR IYFDSQQVNKKADYEGQTEQTLKLRGSECFGGDNSTLIENIFGEQQIAHT >CAK57705 pep:novel supercontig:GCA_000165425.1:CT867993:190241:191726:-1 gene:GSPATT00028740001 transcript:CAK57705 MEIELEIEIFDSTCKTTLCIDDTVSINELIEFIQTTHSQKLNGLDQSNIQIQIQATGQVI IPSNNSLQQVLGGLKKAKLLLQKKQLQYPNQNNLDIQPSGSQNRPYQQNQIDYTNSQARN QPQVLTTLPQQQQQQVYNTQQSKQFNINIKNGNQQIQLQLSDNITIHDLILASQQSLGVD LQHQHLYHGTKNLSLMNPQTTLNTIGLQYFAQLDWKVSSQINQFQNNQQANFNQRPVQQN NVINQSHFQGQNPGGQTQYNSQQNQQYNQQQPYQQQQYQQQPYQQQNQQYNQQQNVQQTN MAYQQQQQQQYQQQQQQQLQQSKQGQYGQSQVNNPPQSNVQSPGKQITINFEIQDGQIVR QFSTITNTNNTLLQLQEAVYQYLGISKDAASVDLFINETPLGGGKLPLTLKMLQLTKEYQ TFKVKVRWVGG >CAK57706 pep:novel supercontig:GCA_000165425.1:CT867993:191865:194037:-1 gene:GSPATT00028741001 transcript:CAK57706 MFRNIMLTSLYGIIFVITLILIHAQRKIRRLRHNPGQIILGILISQSIMSLFLMFSQFYQ VDDSLDQQENILSINEKTCQMIAIPSLIVSIVYNLLNTLLIVNLYCQIYAKNYNDFNISR EIKIGIFAAALISLILVVVFDDLGVSILGDCNLKSHNVFSTFLVYLRGIVMIILSTMLMK LLKYPPEILQTEIYKTVIDKFIKKYLQTYIKINVTYGLLYVFARLLIPVVINYFQDDERL RIVCQFVQVIATLMMTIIRLYEPIIHRHVRYLFRPKKLVLQQRLLDTNNEERSDTIQKSY ENIQIETKGQDINSIEIKVYRQKKNNTISLSLESFQPLQLLPQETVYEDPQQLLKGIQHV GHNQLRLFLYASYEMLEDGQNLLNNEMTHFQFNKMLQKAIQISNNNNILITTFGQDILNQ KVREYFGITMKEIKMSLDVEKNVECVKQQQQGINREGTFFLSHDNLISVEFITNEQKRQL TKGGGLQQLWLRYEQEFMCGLGIFLPVIIGLHSYNIDDNHYTLVFKLNRLKLKYPLLDDQ WSQQSVLNRLIEQNIIGWITIDNGIYHKRYLASEVSDDKYKIVFKRSDYLLAENDKQSLI DMIKRDYVTMVQMKCSMTMHFIFTKHTSSRLNSLVGKEKQVDQDQSIETQPNRKPNLCIG QMASFELKTKLGYVEVFWDDCWKYSEPEIDKVLDLIINKF >CAK57707 pep:novel supercontig:GCA_000165425.1:CT867993:194341:195671:1 gene:GSPATT00028742001 transcript:CAK57707 MNIKFVYQQRTHKISSKYQTLAEIRTAMQNIYPNELSQGFSLYVTLDQTQSPYEIQDEQF FKRIKDLYGHLGWQSIKFLVKDVNFPVLTTDEINTLNQSVVIKSNVQLKQFDDILLKKAA DKPQPQKQEIKESNPQHQPQQTKVADGFTQEIIQQINMLSLQDVDCNSEEFKKFVFELID ERLVFHGIIQNQKPQLQQEQPINPIYKMQVLQKQNNQIHMEIGKPYKWEIVLKNDGNVIW KKGIVKLIGIAGTFKDLKIELQNDVKPQDTGLFQCMLNPPNQKVSNAINEFKLAHFSSNK PEFFGQKVCFCLFVNNQDEKINRTSTITQKDAQFDTTIIDQQLKKISTLVSVLGVSNSEA QLFVQQNQNLDVEQLINTYLARNN >CAK57708 pep:novel supercontig:GCA_000165425.1:CT867993:195736:197793:1 gene:GSPATT00028743001 transcript:CAK57708 MDPGGSTFDLTAPAQFLQPKINVSKQAEQPINKPQKDVWNVYIKQLQQKTQASNSKLPQL NSNQNVAKGSNQKQTQQVQQSSPKPIQKAKKIDLFRDALSQATTKYLPPGKKEKDKTQKP KPQQELQQQQIEEIKKEYEDLVQNHYKDLYGHVANDQQKSLQDLHNKRIVTALQDLESRP KVQNALEKKEEQINKQRLYKDRLLYDIKQMIIKSRRLDELLNDLVLQNEDTVKETIEELL EKEQVQEEKMAKQTYEIAKLRDMYLKRRKLLLEVQKQCQITYDGLKIKYQQYEASSQSMM SRKKQLESLSKIVAKYRSEFQSQQNFFGASLRGRFDPMLEEILEDELSKCGDEQALEIVQ QGEKKIIAIKEDNEKRKRGEQKDKAKLAIENATQNINKQKQDIQQELEKKKQMFQKLRVV TSISNQEIMKKYKLNMDLDQNELKNIQEYVGKEIERYERQNDELRSEIKKLKYEQENSIL DAQINLDQLERDVTKQQDCLSEKEKQVKKVEKSIDEVTMSLSRIMYQLSGKGMKAKNIEI KRSALVATASTIQLRLERMLTVLSKTQEFLNEESINTNPRYNKVEDFICLNPKSYISQEI NEQVEGNIKFVYKEEDSSDEDCKDMDEVRQQVKTKAQEDKPQVVVVQKKDKKLK >CAK57709 pep:novel supercontig:GCA_000165425.1:CT867993:198076:198477:-1 gene:GSPATT00028744001 transcript:CAK57709 MITSRSQKRLLNFSPLPIAKSETYLKDISRLDEQISFFNSYLEKQRTEKKKRKGPFQCCT PLRIQATKLNLSFNKTTPYKTMRSRRIIGNEKNHLINGTISKQNDEKLRITKNSISRWEE HLDQSESFFLYNF >CAK57710 pep:novel supercontig:GCA_000165425.1:CT867993:198677:199296:1 gene:GSPATT00028745001 transcript:CAK57710 MSTKRQNQKEKQKIMQPLQEHNEMVAEPKILSCEVGDTQKQFQIDNMRDSNEYLNSDVTY QQYCKKELNLDQFEQFPQNSSNNLIDNNYPLKMMDSTQQQDCKKIQKQNASTKQTNDFQQ LQEIQNPNQLDRKSLQKQSKETKPQSTLNEEIKTFEERIVKYTSEVNQEIKLSPKLSDEW KKKFTFIKKKK >CAK57711 pep:novel supercontig:GCA_000165425.1:CT867993:200588:203569:1 gene:GSPATT00028746001 transcript:CAK57711 MLSFTGLVGTYVQQDIETRLQQEVYKKQIRVREFFTDFDRLRKGWVTEDKFRSALSMINF HFTRDEIEEIIRRYKLNDGLVQYTTFCNKLEEQFLNSEAKAQVFQAPQIFNKDEEDTVKR LMLAIKRKIATKRIFLKQPFQDFDRTACSHITIDQFSRVLNQLGLLPKDQYLQLLIRQYI DNGNPKEVNYEMLSGVITGIKHNPKEVHPDDDFIEDKEGLDLISTLFTSKKLTDNINTLE QVLKKIQGDVVMKRIRIREFYKDFDSLRKGLVTESQFARILHLQNIPVTEKEISILLNHY KIDSIPNGQVDYNQFCEDVDKIFTIKGIDKSPQAQVPQIDDTTTLPARRRYLQMTEQEAI QLDELLMKYKQAIQNKRCSLETNQVRVHHYKSILKNSQLIQLIPRPLFTLNLLLKRFVDK ANLNEVNYYDFCRIVDQSDEGVAISRSHADAFKNYVKSDNVSQAFIRNDQPNDFEDLMAK LRRIVKEQRQRVAEFLKDFDKLRSGTITVTQLRKGLSMAKILLSDAEFQLILQNFGCKDK PNFVYWKDFTDQVDQVFTTKNLEKVSPSEDVPLMSTQYNYGRVSITERDRQVAEVVKKKF QYFCKATRLDIKQFFQDWDKLGRNKVSPKQFRQTLATVNFILSDEEFQAVVKIYAAEDDG DIRYVQFINDTQPPLEMQTESGASQAYVGVKPKEKEKLQPSVLLEQIKVAVKIKRLRLGD YFKDFDPLRKGLMPTNKFRGVLSQMKIDLDQESLDLLETMYVVPEDPIRVNYAKFIEDVE IVFTKSGLDKDPLMKPPVHVIPTFLDPRDALTSEEEEALHAIMLRLGEVVKKHRILLKPH FQDKDKTKSGKITFTRFRSIMDFHKLPLTDDQFRVICKRFAYQGIEFNYVEFDEILKKYE NFYQ >CAK57712 pep:novel supercontig:GCA_000165425.1:CT867993:203607:204364:1 gene:GSPATT00028747001 transcript:CAK57712 MQIFKNSKFFFGTLKAHTLPALKYGYSDLEPVLSATLLEFHHSKHHQAYINNLNAAQEQL EDALTKNDVQKIATLQGTIKFNLGGHLNHSVVLGELDPNQEWRRTITSWKQCSSLRNQQK LGKTAAIQGSGWGFLGVDQQSKKLRYLELPNQEIPQNYGLTPILTIDVWEHAYWWDYKNV RPNFLANVWKIVNWKDVEARYNQAQK >CAK57713 pep:novel supercontig:GCA_000165425.1:CT867993:204795:207114:1 gene:GSPATT00028748001 transcript:CAK57713 MLHLDIEVQTIQFFIGSSLDSCSIRLNDSSSTTVLESKVRCKSKEIQEIWEKIELNVQYV EKGNVLDYCIFLQLKLFFYEGTSIFGEATINLGFYIENNLPLIIDKITIQNKFDSEAQVQ MSLAWNQLDQVQPKQNRQVSPLRDQPPSQRPIGQQKKPADELHFDKRTVHATYTQWKDHQ EMVKEQYVKRLKEPIKKGQLEDEKLEEVRKPEVRIMSPRRFQTPKDYKPLNKQQIQKSTG GFDNLKISNNNQLDFRQINKDLQNNSNNRAPSKSPNRYDSRTKTRNEILSATSPIEQSEI LKGSTKPKPLPKKGEFQENFGTRPTQLEGGLTMSSKQLDTEGDSSQWRQQLSQLQQENSQ LKNQIQKLTNENQSLKDQQIKSEAAFNLLSETYSNLRNEYQRIQVKSFNNSSSHSLLTSK ETDHLKRELDQKSKEIEFYKKEAELWKKDLEVTKRENAQIKKEAEQQKREFENRSYDFEN QKRDNENKKREIEYLEKELQRAQQAIQLIQNESFRNSSQLSGQSGNQEILEQMKNLIKTR QIEVDSKNEEIKQNKIRIAYLEKELQEKGRRSQDQCDQIKNLSSQLEQENDQLKQQLIEK NVELKSKNQEIERLMAQNNLILQSPDIQNTDQAKLLNEYLAKTAALKQENESLILQSKKD QVEIESLQNQVEINKKIVVLSDQRHQTLEHQILELEKAVVGGKQNMADVINAVMECGGPQ LAEEVERFLITRRSTKIG >CAK57714 pep:novel supercontig:GCA_000165425.1:CT867993:207387:207877:1 gene:GSPATT00028749001 transcript:CAK57714 MFKIPCEEEHVHNYLFDNQSRAILLSKMQSNKPMKLTKFLQFEFKAQEKKPQQQKQENII KMAKAATKGNKGAKKTAPPKATKHVKKVTKKPTETTPAPAPVTTTPSVPATATPVAASTP KKQGKKVAQKPKGNSNKKGPAKKNTKKAPKKQVKK >CAK57715 pep:novel supercontig:GCA_000165425.1:CT867993:208754:209751:1 gene:GSPATT00028750001 transcript:CAK57715 MSNFSNKKGLTNYSYLVGKQTRAQNKKQAAAPVMLKKMKLISKSKGIYKLEVDEAFVQQL QQVQQFLSELNDTMVGPVEQSQAMAQESNPQFEQQEEEGSSSASEDKSGAPMSQQPQEQQ SQQPNEVKETQDFYRRIPHFFMGRFKKWAKILKEDDVSSFLHQLKSNKKSKQGRYELGDF HKCFQIDKNDSNDQQKQKKKIKELFLEFLQNEAVLQIIQYNKITNQDQKIKYIDVIPNMV QEMYSDKPFDQFLAQQNIEKQINKKKQLLTETQEVKQQQHQQLPQQKQDLSFEEPMKLAR EVSFQSQL >CAK57716 pep:novel supercontig:GCA_000165425.1:CT867993:209754:212422:-1 gene:GSPATT00028751001 transcript:CAK57716 MSQAKGQTSTYKILPYQFIHVLDCNSNVTRVEIGPQTFIRQDHEQVTSGQQPQKMVVLPK QHYCIIENPIVKDKDGQPTKDRYGQFVVLHGEREIRFFEQYSTPFPLFPKENLLQQPKKL TVVKEGSALKIEAQRNFKQGENNILAGDQYLFKGPATYYPRIEEKILGQIDSILIKENQA ILIRAKQEENDSNGVVRKSGEQWLIRTPGYYLPQVYEEFVKIIDAEILDNRKALHLKAIQ TFKDVYSIERKAGEEYLITSEQTSAHIVDVFEELVTVINLTILDPRQYCIIENPFDFETQ RNKFGSKVLVEGPRSFFLRPNESLQYGIQDSYILSEDQALLLRAKEKCKFTEKKLAKNNQ FEEVEVDYEPGNKWMIHGPCIYTPPIVVEVIEKRERIPLDKNEGVYVRDTRTGQVRTVFG ESYMLKSHEELWSMELPNNVEQLLSSQYFHTGGKRDKWKVVSYRAPFNTSVQVYDYKTKK TRIVFGPALVCLEPDEVFTQLSLSGKTPKTPGVNSPLMKSLFETSDHAVLKLLLAYNWRF KVDENNIESASQIFAVKDFIGDLCNQMASKVRAAVASVVFDKFHKTSAKLIRTAIFGTDE NGKIRKEYLMVKNNLVITNVDIKTVEPVDNQTRQSLQRSVSLAIEISTKKQEQAAQHVAE QQEQQAQGELDQLRIEDDLKAEAAKQKLLEMENKSQEVTQQGSAIAEAEAIAKAEQIKAQ KEYELAQLRARANKIEKEAALKQKQKEQDQTIKYEQEKAELEIRRAKELATIESNKFEQI IEALGQDTLIAMANAGPEMQAELLKGLGLQGYIFTDGNNPINLFNGAQQLIGGALPQ >CAK57717 pep:novel supercontig:GCA_000165425.1:CT867993:212640:213602:-1 gene:GSPATT00028752001 transcript:CAK57717 MINQFGTNLLFLFYIFSYLINYLPNLINITNKYNKFLFSYINPTFKRIYKIIISFSKSNQ TKQQLFFIQIGCFHLQLTQLKIISIRHSRCQMIVSKLLKMPSPTITLYLLIKQNSSFVLK ISILLSLSSSYQFISDQIFNTFFEQYTDLLYLFVLIMISKFSYIFQFSQFCSLIEFILNK FKKSLVAPLIFIIKQAVTLFALILLQSTDKFFMYPNFHPLSKSLQFHQKYVEMVFIWGIQ YQQDKIMKLIQKASQRQQNIFVTFMGILETNLGKIADNRKFSLINIKYIKYYKILYLQLK YFLNEICVCNLIQSFWHLRF >CAK57718 pep:novel supercontig:GCA_000165425.1:CT867993:213720:214898:-1 gene:GSPATT00028753001 transcript:CAK57718 MGNCTGICGKDMVAQTIEDKKQKVDLEEQQQIEQVFAVGNVPQDDAQQQAQPKEEILDEK KQEQQQEDKQKNESYKEKVKLVESNEASVNPHKDSQNNQQPVPDEQKEYEPVTLETGATY AGQWRGKMRWGWGKQVWPDGSVYEGYWQNDKACGKGKLIHADGDVYEGEWLNDKANGEGK YIHNNGAQYVGFWKDDKQHGNGKETWPDGAHYEGQYEEGKKHGKGKLVFADGSMYEGQFD NNDIHGFGVYIWADNRKYQGEWKRNKMHGQGTTTWPDGRSYEGDYEDDKKHGQGTFIWSD GRKYIGEWKKGKQHGKGIFVKPGGEQREGEWIDGRRQRWLDEAAGDGHNAIN >CAK57719 pep:novel supercontig:GCA_000165425.1:CT867993:215664:219179:-1 gene:GSPATT00028754001 transcript:CAK57719 MKVQHQNLNEEIQSYMSKNYKQNLSSLFFTQIRKIIQSKERIITLEEVENTLSDSNYLPN ELIGQYDGGLENNEPILKTLQKMFLQNFIWIGLLKLFSVIFLFTTPILINYLTTAVDQSN NDFVVYSGAVLCSTLVSTLTDTQYNYQIKILEFRCKSFLIQTLYNSIEAQSNLDLMNLIN IDVNTIMNYFISFHEFWSLILKLMIGMTILYLQIQEAVFVGFTIAIILMLINFAIANKIG QYYSSGLIYKDQRMKALKEFITNPKSIKFLKWENKWESKIMNLRDKEFAVIAGSKWLDSL CVIFWSITNTSDIFNYCIHIFHQNNDLDNVFTIIYVFSLLTNPLNALPWTLAGMLQAKTS FKRINSFVITRKVKNQFEEGIQINLAELKWDFDAAFTLKIPHFKIDNIVFIGGKVGSGKS SFLLSLLNQMKPYSGFFQVNQEFSYVSQQCWLFKGTIRDNILMQSNYDAELYQQCLILSN LIDDIKQYPEKDLFDVGPDGSKLSGGQKQRLCICRALYNYSNIYLMDDIFSSLDPLVGDS IFYNLLKLKKPIIFALNDNHLQRYKKYADQIIIIQEGQVIYDQQKIDMYFQDLQDITFDL KEQSGNIVQQETVQQERENNEKPEIKKAQNSISCYLQALGLNNFLWILISLALMQMSFNV YDLWLNSYINQNPIFIFSNSFELTLFVLLVLDIIIKLSRAVSFAYGNLVQAKSIFSNLLS HVMHATCSFFDAEDSGQILIRFSDDQNVIDNRFPFELNILCNNVFNCIGTLIVLVVLQYQ LMLPVIVSALLFYRLQQLYRPTSLSLRRLDAETNSNLATIIFEQFKGILTINAFNKREEF RSIFLNKLTQATQVQWCSRVIALWLQIRLQLIAGFIVFFILLFTALSLYYELSISKSTLQ LLLYYSLTKISIFKDLTLSLTTCEQELVSYERVNKYCNNSMEQNKYLNYSKEIKFKDVQL QYLNGQLALKGINLEIEQGKRIAFCGRTGSGKSSILAVLFQLYEQTYGEMSVPSQQLFQW RNKVGVIPQSGFVFDGTLSENLDCSDQDLISKYIPMDSETQIESNQISQGMRQLISFSRV VLQNPDIICLDEATASVDQSVEDFIQQYLRECGKTIITISHRLEALKDYDRIYYMENGTI VEQGTFQELVELGRGFVNLRNC >CAK57720 pep:novel supercontig:GCA_000165425.1:CT867993:219259:222009:-1 gene:GSPATT00028755001 transcript:CAK57720 MNEPQVNPEVDNQSVISEESNADDIDVFGQDQEIDKFPLLTSILDSSWFQIFVNLLTIYA LFGDDIRIIAFDKRADDGFDVITIICMIIFSIEIVIASMVRTDYFNSFFFWLDIISTVSQ ILDITQFNIAVGLQGSVAAKSASQLSQANKASKTSSKAIRVVRLVRLIRIVKLYKAASYS QEQAFKRQPIRTQTIKKSRATIYPSVYENINNIAIEQENLNKKENHTEQRGSIESHKPEG EDNANSQENRENQNMVAKQLQIENSIPKSLPNRRQSMKEPSQIKAKEIKESRVSKRLSDS TTKKVIILVIILLLIMPLFSSDYYFEPSYSLAYAASYFRVVAEIPNTKLSEINQTINFVI DQHKSFDTPVGYITNPFTEIENYETPSYQFLRESSKSYYFELVDPVLVGLDYIGDPVILF VSDNSDVESKNSIINIVNTLFVSAVLLFGAIAFSNDASNVALKPIDRMIVKVNLIAKNPQ LAKEMKLESEGTQKETTQIENAIIKIGALLALGFGDAGSAIIGTNMASSGDVDPMLPGKR KWAIYGFCDIRNFTDATEVLQKDVMLFVNNIAEIVHSMVDRYQGSANKNIGDAFLLVWKI NDSQWYEDGNEIKWSNLVFINQLADCALIAFMKIYAKINREPKILEYRNDERLSQRLPGY KVKMGFGLHIGWGIEGAIGSEFKIDASYLSPNVNMASRLEAATKQYGVAVLISSELHQYF SNEIKKYTRQIDKVTVKGSVKPVGLFTVEMEGDDLPLSKQDYPQEEKQQVMYEKKQIFLQ QLESGDFNGELYMKRNKDLGLITKNFNPEFLHQFGQGFQGYLLGNWKEAHMWFEKAKQLK PNDGPISTLFNVMGETNFKAPQDWKGYRELTEK >CAK57721 pep:novel supercontig:GCA_000165425.1:CT867993:222636:223052:-1 gene:GSPATT00028756001 transcript:CAK57721 MIQSIPLTLDQIYGVNFKRVPIFLAEMEGTNPLPINETDEDQYSEKNSREQQKRSLPPLQ KVDIRVMVDKKRRRQNVHKSPVVSSQLEQHIGFRNSFYRNNKINLASRQKIEEIKNRELG IYTKYAQRFNVPKSQIWN >CAK57722 pep:novel supercontig:GCA_000165425.1:CT867993:223377:226292:1 gene:GSPATT00028757001 transcript:CAK57722 MNQQLLSEKLQLSGLKIESCGFIRTFDYLRNLKLQDEIRIEDIGAHNIYKFSVRILNQQY IIRRRVKDFQQLESTTNPDPLFLFKKREVIKESMEDQPKEKQQILFNFLKSFLQSENLNE LTQEVLKFFEISEVEYGDFKKFKECTLKKRAGGRFSESRCTRCGTLWGRWSKRYFYISNN GVMYCKGPFGQRAQMREQLVFDYNFRMKYGKAGTGYNRGIKLEFATRHLLLVAPDYFTYT EFLTALNQAQQCCPYMQLHRFNSFAPIKDSHCKWYIDGEGYFSDVMTALLSAKEYVYITD WWMSPDLYLRRPIAIDQNDQINQDSRLDRILKKIADRGVAVYILMYLEPTIALKHDSNHT KLFLERLSQNIIVLRHPSPMPQLWSHHEKIVVVDGSVGFMGGLDLCFGRMDTQQHLLTDL DVRKQFWPGIDYANNRMKDFESVHKSGESQINRSDPRMPWHDIAVKVSGQSVSDLVRHFE QYWNHVMISQNFQKRIQHQLQGNAKNPSNYYKIQDAQLQTTHDTFFQKYKNEIREEYQCQ VPEEEFKDNLEKAITKSYNPKQRLSAIFPNKQQCNKDFMEILEKIHGQKQEDEEDFEESI VPINKQFRSSIMIQPKLQIVYEKGECQTQILRSASNWSIGCSPANTEFSIQIAYTHLISE AQNFIYIENQFFISAVDDNHKTLENKVALALVQRIKKAALKKEKFKVIVFLPLLPGFAGE IDKDSAVLKVQLHWEYQTISRGGNSILETLKKDANIQDPSEYIEFYSLRTHTKILDVPKT EQIYIHSKLMIVDDEYALIGSANINDRSLVGNRDSEIAIVIYDNKKKRSMMGGEVVGRSI FAQELRTSLYMEHFGLTYEQVIDPLSPELEQLIRYNTRRNTIIYRQVFACYPDDYIKSLN DYQTFKSQGDLSKYDQLSQQIVGHAVEFPLEFLCKEDLTIKILSMEIIVPEINFT >CAK57723 pep:novel supercontig:GCA_000165425.1:CT867993:226615:226869:1 gene:GSPATT00028758001 transcript:CAK57723 MFLRLASNFIRQPMMMKQISKQLRFLPMTTILNNHKLLIYNLSQLHTAFFQIEEQNDDED LLQILSMNRVDWKRSQYVGQTQTN >CAK57724 pep:novel supercontig:GCA_000165425.1:CT867993:227200:228384:1 gene:GSPATT00028759001 transcript:CAK57724 MKPIVFHNSIFVEESMILTLEQLVPFIDNFNIHQLEYFLVLANETIEIDQKLTDKLYEQN KHLLYSEQSDFIKCLQVLALLTENPLEEPQLENFIKMIFTILEIPDLEASILAYSIYVYG LIIKQYKIESKEFYQSITRHLKNIDLDYQEIMEKGKKDSQLINFTQQDLKLLSLGLHFGG CQNSVLGKYISLKAQSIFVEISNLIFISKFLFKQEQVEQEFLTYFKQQLEKSKNKIQPDD LMQLAIAVKQMKQPDQQILEFIVKEFLRTKSNFTVGDKAFLYQKMAQMLCTSKELWTQFA SEVKEITDKCEWFDACFMFYGIFDVFEALSPECQEFIFNYIIGHEKVIDSEMKKLLFTKL KEKGILTEQDIEESKKQN >CAK57725 pep:novel supercontig:GCA_000165425.1:CT867993:228408:229225:-1 gene:GSPATT00028760001 transcript:CAK57725 MSFLESYDFLLTIRHLELGQIDIWKQKENGQLAYCKILQSDISKQELHERSNWEHENVIE LLAAELHKQNDNSYISIYYEFYDSTLQDYLINQELPIAETEIWNLFYQILQGVMFIKSKN QTSNLSTTCIFYNNGQIKIMEGESYNMQQQLGLALFELCTRESQYLILEDNKTINMNNLN YMMNECRYSKLLIQKIFDLVNSKDTDQIQIIYNQLSPFKDNILQLKPFNFSITTNTSLTD RANKAIRNYKEVLKRVNYMYQLAL >CAK57726 pep:novel supercontig:GCA_000165425.1:CT867993:230245:231364:1 gene:GSPATT00028761001 transcript:CAK57726 MDKQCKIYKSKEIVYSRDPNDKKKIINGYKIMKIIGEGSYGKIKLAIKDNQEYAIKKINK FILKKKNKMYKNPNGTTKYVSLLDEVYREIEIHQKLDHPNIVKMLEIYDDEEREKLYVVL EYAEMGQILKWESKQELFTAPWKFDELTFKDYAKQMLTGLQYLQQQYVAHRDIKPHNILL TKNHQIKICDFGSAQQMSPQNDRVKGTEGTFQFVAPECLRENKKSEIPGYSGHLADVWSL GVVFYCVVELRLPFQSEIMLELFNQIETKEITMKYDGPAKGVILKMLTRDTNERPNATQL LEDHIFLMIQYRSSIFRYNQFYNHIILLKYFTLKFIINVILYRLIF >CAK57727 pep:novel supercontig:GCA_000165425.1:CT867993:232325:233441:1 gene:GSPATT00028762001 transcript:CAK57727 MRKQECIAILRQSQQNEIIPELQFLLRLKDTNCASILVKHGDQVINGQKYHYQILQRHGP SIKLVYHYLSKSLPLPLLCLIAIQTLTCLEAIHRNQIVHRNIRPKKLLLSTSGNEILLCD FKFASKFKLQQGSICCLENHNSNSSKLFLNKYSSINQHLNQFPTPKDDLESLAYILLVYA TNSSIFKIKADNKGLKLKKLENIKLSMVPEIAFKSAPIEFIHFLNLIKTSNANDYPQEYE KFKSLFRKIIQAKGYNEKDLQYTLFHMQFQESHSQQQKVQASRFKKISNRKESSEQEAMD DEIVLQNQSQNLQDHNHIKAYLVLIHIDLNLKLKS >CAK57728 pep:novel supercontig:GCA_000165425.1:CT867993:233541:234158:1 gene:GSPATT00028763001 transcript:CAK57728 MKYYIILCLVAATLAGSCGQTCCQDLKTRAAMTYHYYINTGRFVGGSGDSAIKTFGYSGS GQYRNDPASMCIKNKGPAPATTYKITLCKNTMHNPPVDRPCSFWIEAVDEKTMCGRSEIF IHGCQCCSNGDWTEPPVDGCSAGCVIINEANRKKLRVGDTIIIEQRDPLGDDVQFLEDYS QFAQEE >CAK57729 pep:novel supercontig:GCA_000165425.1:CT867993:234201:234667:1 gene:GSPATT00028764001 transcript:CAK57729 MKCFLALLFVVIAQAGNCGQTCYQDLPTKPPMTFYKFISTGRFFGGNGDSSNSTFGYSGT GKKCSHSLMKKELRTCSCKYLSNKKMLKYNAQSSSGRACSFQINSKDKDKMCGRTEVVIH GCAGCTPSDWNGMYHLLMAVLPTG >CAK57730 pep:novel supercontig:GCA_000165425.1:CT867993:234891:235640:-1 gene:GSPATT00028765001 transcript:CAK57730 MNYKISQRPPPPKFNWNLVAQKQIKETKQMPELQKSDKRRLKQVNLLIDEMLKKLSQDNP QFLSDLNQDTIKAKCFEMLNSELIEKVKSKKYQSIACALIIQSLRILLVPLRVNEVIQII DVNDKQVRKILNQLNQFEPFNEDAFTIAFMARICKGIGFNQKFQTLCKFFFSNLKNLNLI QGEHEHVIASVLIKLSGDFVFKEKGGINLNAISEMAGCCQISLKNVLQKLSPYIKTMYDS AFEFYQRTK >CAK57731 pep:novel supercontig:GCA_000165425.1:CT867993:235728:237460:1 gene:GSPATT00028766001 transcript:CAK57731 MLLNSSRYYFHNIFCIFDRAVILLRLYKFIAIIVENQLINKNMLTNVSKPVEISYQSQII QPTLKSQQPPTTQIQKQALQSIPLVPLRQAHKSMTTIRPSIFKSRVEEPTSFNKDASSLI AKLDSLREIKTQESPFRHHKTTYIQPQSSVVLEFKNAAPKTVQLQSSVFASCVEPFRSSK SIASSSVSNSQQWSKTIPPQKNYEALIETLMKEQDTVVEQYGDKLTKLEQKVVVLSQENT NLMEQNKLLLTQNIELNEEITQWKNQVKQYLQQSQINSKFQNDIEILEKQLEYKQDELKQ TISNLEQIKNINQSFELTIKEQQDQINSILQQQLKESDAYNSKIIAQKQNIQELESKVNQ FYKNESSSQTLIRDLQNKIKLLEQTNERSKVLNQQSPAKINVKDQYEYKQLLLELDSKSM TITEKETQVEALKIKNTMLQQQLIQLQACQLGIRDYESGLKQKQQEINELQLALQRKNQE CDALSQNQQIIINNRNEEYENIINELQSTLQNKNDELEKVTLQLKTVKKEKAKLSMNLIT AGMANLVMVSQSST >CAK57732 pep:novel supercontig:GCA_000165425.1:CT867993:238048:239108:-1 gene:GSPATT00028767001 transcript:CAK57732 MFCYIILLVIVAKCRLTKQINLHQSENSLNQWSEESISSNSFYTLPAGHSYYSIDVTLEH EDQTFLLIQLDIKENSFTQYDQQDFEYVTISSYLDGQLERHIIVYNKHHKQTNIQVFSQN NTKYKITITAMKKLGNNCLNQCNHHGLCQKQKCECFSGYFGDDCHSQVFKFSDTKDLNLN VPEQMQYYVMDLKEQKKQVEISFILENYEDLKLTFFNDIKQQSQTILKEGKKVMYLLLEF NFSEISKSVAIFAAEKNMNKNQYFLAHIKKKEVQEGLSFTNKITMGIVGFSISILLTFNG PVVIQNVVRCCCS >CAK57733 pep:novel supercontig:GCA_000165425.1:CT867993:239325:240425:1 gene:GSPATT00028768001 transcript:CAK57733 MADRFDEHFFLIAKETGGIEGLLNSLFSFLLRRTDYYYECDPGDKMGFPPGVALNMLGNI FKKYQDEHYKVHKKKSAQEYKEKLEIYQKKQKELQEKQKEQEELKKEAQNQQKQQKQVQS CENKEQQEVSIKQEQNQQKNESFTHKQKIDPYINTYNGGETEKYSWSQSGNDVVVTMKVP EGSNKKNIKVLITANTLTVKVKDNVVVDGKLYDKVKCDESVWSIEENLLTITLEKGQENI WKTVIQGDQEIDATKVENTKPLDDFDSETQGAIRKIMYDQQRKQQGLPTTEEEQQLEMLK KAWDAEGSPFKGQPFDPSKFNLSNGQIQF >CAK57734 pep:novel supercontig:GCA_000165425.1:CT867993:240435:243923:1 gene:GSPATT00028769001 transcript:CAK57734 MSVNQIIEQVLVSMLDFENTDFKLSKKSQILELIERDELLVMERQWPAKRLNMVEFIKLM IPIVHHKQSELLYLVMGLIELYKDVVSISQQNELSLQDVTSYVCQVDTSENTMVPQRLIS DPKKFNLNKTRIREIDVESAKIIGSNDNEIFHIVPNQLQNDSIRHHNGIVHTGVYCSKQI ITLDSLDSKINIYHVDGSLKQMVKISQHEDKETIILSFAWSDRQQRIGLTLKSHSICMYE GDFKKYRIFSTVLASQEYQTNIWFLENQNQWVTTDSTFKLYFWDLLNESASLIFQNKCIQ GNIIECVEVVHMKMIATASLDKQVALWDIQNGEIKITLSLKEYGGIHSLVYSYYYQVFIT CGYSTYINVYDINPKYHDVTQIGKMTGHTSMLTSILMVGKLPVLVSGDDSGSLRLWDIRT FSCLQSLNFGKKTQITKLLDMSDINMLCFLGSRVNILKLDIKHNEEIENYAIKVEFDQQR DELIVATKKQIIFLDIFTGKIKTILNGLLSEFEDDITQFRPLNYFNKFILADSKGNAKIY YHNGEYISSLKGHSDINVLKLDILNKLIITANQDCILIQKMNGEILREITHFEASQLELS VHHSLILLSQGSKLYYIDYEFVKCLGVLEFDAEITNIIIIANYPLLAVSTIQGKVIFIKF NIKEHIQVDSELYDLYDVGSNNYITEDTEQEFVTKMLFNLKELELLFATSESNIIRISLN LDDLATTEPIHERINYNPLRKAREQLLFSEPQKISIPQNFTSIKNLHMFKVSKKQIISLN FLELDNRYILISTIDGQISIFDLIGNLIALYNINHPLPIKWNIQYSKQGELRKRIIYGFK VIDILRKQSKSEKEAEQYNLVESLAVTGRIPLKSPRQYITTVMRDEFSPRDLKFNKIRHL YQAEVQGPTLKQLEAQRRLVEVQNMFKDDSKDPKLDLMLKQKERERQKVIDRASNLNFLD PEFRDKSLLNTKFFQNNQYLTNLNLRLENTERQDYSQHTNKEINNNNTNNKQNISLPINN RHHKSITKKKSVSDFYQYAVEKNLFNNDQNTIDTFASQSSLRPIQISTNRQMKSIGSSLI SSDLTWHGQHRQQQKDLSTVLHNLNQKLRLSKNSQINEPILKDISKSDITFEEQIDDFTK RHKLK >CAK57735 pep:novel supercontig:GCA_000165425.1:CT867993:244006:244501:-1 gene:GSPATT00028770001 transcript:CAK57735 MDYSKKQYCKIKNELRGQIIHKILNEKKSLMEVAQEYNILQSTCKSIINTFQREGRVGKK PSRTRKIRKLTRTYEIVLNPIYPLMSTVLSSQKTENCIENSNIGQKEKTNQNEKFTEEIE LNNFCLIQQWCEGIQKQLILLSNSNQVFQSPMNLTQK >CAK57736 pep:novel supercontig:GCA_000165425.1:CT867993:244982:246631:1 gene:GSPATT00028771001 transcript:CAK57736 MAYSISKEAQQLLCEIINQISLTERRAEQIRIQLCRKTSFNPYASFKRLDLMNTNQIKPE QVVQLLKENDFFVQELNTLFNKTFLKEFLNYQDFLNLILPKTDSELREITAMKQPTKSAV EKQIDYTLAQLFNLEYNEAINLERLKTRLSNQYNADQMFQSLDSLNNNILNFKDFDRFFR RNGLLLYEEELIAFFYRIDLQRTGQITLNEFRRMLEPLQRSEQPISTNTLQTPKQTISQP KFSSTFKDQVGSGNKAGQIVQTRTFSASNKKKFQSTRRTSSITESLQTTKLTENFLNDEQ RFIDLGLEVLKLEMYLEEIRLKLQQQKDFNTMDFFHFMDLKNKGKVNQHEFAYFLEQIQL KNIDVIDLFNYLDTDLDGFIRYSDVSEFISPSNISSTYICKKPAKNSHLSYSISQLFSKT TIVLIQLLFNQWNANEKIIRQKKKQFLNEQSLYQIFNKLDQYKKGKFNRQDLQRFFDLYN VKDDIKLLYKRLGKLNKEINYKEFELFFIN >CAK57737 pep:novel supercontig:GCA_000165425.1:CT867993:246635:247478:-1 gene:GSPATT00028772001 transcript:CAK57737 MLGTLKEASDQSDSEDIVDEQTNNLIGIFKVRALIDSDKEPTDHQVAKIFDELQCPICLS LFEQPVYIKDCSHRFCKECIEKSIRFQREKSCPTCRKKIATRRDLRVDEVVNKILNTVVP DIKQYRMQEELLIQQEIKNFRQQKQKNDKLQKFVAQTNTNQSCNIQLCAQNQLINQIDKN FIKAPLITTVADIIQLIGYQLNLPEEFTNYIDIFINNEVQNQMDKTLADLNTQYWDKRED QQIYNPNDLHQQNKAKVNRQIHYSIDRFYQLYL >CAK57738 pep:novel supercontig:GCA_000165425.1:CT867993:247560:247901:-1 gene:GSPATT00028773001 transcript:CAK57738 MIFEYTLSLNDKIRRALTQKHLTNQIQKIKLRKPKQEIHYSVQSQFRRLENEKWTEIFQE NQKIHQNITNIKSALNTTSDAIEFQTIKKINTQNKTTCTLPKLKPRCSSSQRA >CAK57739 pep:novel supercontig:GCA_000165425.1:CT867993:248960:251093:1 gene:GSPATT00028774001 transcript:CAK57739 MKIIIDAINYESDQNNYQVKLKCGPTVLQTVLGNNWNHRFVLEHKKTSEIRVEVWQKPNE EYQLIGDSIVQRIEGAQRLKIKQNNKEQGFINVFYKEVKDSVTQQILSQDSLNLSKIEKQ AQALQKLNDSLSMMPSPRQDFGSVNEYFSQQFQNEDIVGNSKQVATKLVKESFDRVLQNI QIEKETHIQEDDEMVENEIEKKNIEKVQKQLMKIDCNKLERKRKQISSEILQLDEIAQSL RIDQIDQKQQIQNLRQDLLQKLLYLEEQIEHLKKQIRKQKHHVFGTNPNLVNSEIEMSSI NLQKQFVEKWQQDPNVEKQRQDFIQKQIQEWNDIQIQRKKRIEDQFKKIEQEAKIILQKQ NELQSTTNAKKREEIEKYLKLLEEQQAKRKVELEEANKRFEKKKKEKYLALKYAEQDATY MIQLQQDRKKILAQNKNPDFDAKEIKQFEDKFNQIHLEKQKKRRIQWEEREAQWNKFKAQ YYSSSYQKAKDQYYRIENVIEQEKQERLNKLSQYIKEVKQNHKPTVSEELQEKLILIKNS IKHQNDFKKYQSLSKIVQSKHQYHGVSKEKLQKNMKKKHKLVPLVNLPTKISPRDVGDFY LKQSATYNIKNIPPIHQVQKNDNAAKESATSLPNPKVFDYLKHQRIMRAQFENTQKNENE VLQKEDQQMLDQIRINLFYLK >CAK57740 pep:novel supercontig:GCA_000165425.1:CT867993:251295:253957:1 gene:GSPATT00028775001 transcript:CAK57740 MFQPKQLHLPTVPQTQEIEYSKQSFEDSVLKIQNVSLATRLDYLKKTIKEQKSIITELVE RDIRHQKFFSFLEITWRGFLQRSLRIESIVKKKGLLENKYLNAFQYLDDIFNSQYLMCNN IEGQFKKQISHKFEQFLRIIENIYFEISLEYSEFMEMIKDRTNQLLDLEEQDYMEYVKKL ISTNKELTKRNQDMEQNLKQIQKIKERKLSDLDGQIGFQTIYQLQSLIAESKKQNETLSR RLRILMNYSDKSINQKLNEEEENYECICGGVQMKQIGFNNQLDFVRQSYDNSEDRQLQLT KLDRKNQQQNNITYSIQQLLLKTLKSKQIKVTAIEDDQLNVTFTQPEIKDLEYILNNYSI LLTQNKKYQQQVILQQVKIKELEISNEYREDQFVESETFSILQTQNMNLIDVNSQLTQQL QALQTQYQELINSKQFDINQLKSLYEVEIQKMASENCQLRKFQHDQQIGIRNIHHESERY NQNLNERYEQAQKIIDAQSGENNVLKSANKELRTTLDELTKQHQELQNKYNEIEMKNVGL MARIPGELNIEQLTLRHNKHKECIQEALQIIIRHQPQFKEKFQELVGYIKTRDQRIEQFE KEISKKDKQIQDQKRHLQMMMDETDYNSKIYEEVMAKSKMIEQQLSIKEKNEAILIQDKQ AEKTKFENERIQFKEKERLQQEQINQLQQQRANQQQLFQKYTQEKFQVNQNHGELVKQLE LYKSQYEKLLSEQSTTKIERDCYAQKIQKSKLINDESLLEIDKLKQKIIELETNIDAQQS LLNNPAQLEQTKGYLILKKDDSIFEQAQLQKVKTTACCSECKMQLKEVIIQKCMHMLCKS CGEIAQLKESCPICKVSINLVDIWATKVQE >CAK57741 pep:novel supercontig:GCA_000165425.1:CT867993:254219:257293:1 gene:GSPATT00028776001 transcript:CAK57741 MNQTSSREYGNIQSSNSSRIQSSSLTKAHSKLQQQMPQNEFVMEYPCQPKDSITYDINGI DLDIKEHQILDSYSNRSKQQHSQSMIILAERRKITSPSIKKNKKKIKMEQIIKKFIEKIK ISNNLYTKQPYVNTLLQTYSIPFVKEKANNLSVMDKIKQSIRLPIPRQCKLRQFWKLLMQ ITYLTTVIFSPLILIYPSNIYIQALLFTQLTLLVFNSILNLNTCFYKNGVEVTHLPTIQL NYLRRYGLQDIIQYLSFIFILQFCEQNQQISIIALIILNLLIIRLIKLNSLISQQNSIIL GWQFVKGLAYCHFFSLISISYNEDIGLESINVQLKYYLSYLHEYINEYLTLQSCLVNSTN FELLISFLIQIIFTFNRVKLILDLLFYFHQNVHKYLLKKNYLDLKSYLQNHQVDQNLMKK ALSNYKFEMKKDYQQQNFMMDSQSIKYIDYEIQKAIQNTVNTKYFKKILVLGQFSQNIQE KLVENMSIQYFQPNEIILRQNCKDDDSIYLIKKGQVKVCYQSGNKKQFGIKSLGEMQTFG EVSFFTGLPRTSTIVSLGPVETYKISRSDFLESIKNNRQDLEIATYMKDQILQNNQYGLI GLKCFCCKSKEHLIFQCDKLHYKPDKEKIISKYQYAHLQSRRQYKRSHRRTNNTREHLFQ IGMAGEEFQENNYFLEDQSEILKELTQNYNFQSSTNIQQNQSQAVGLFPSRERIKSVDKN SILLISEIDDVREQFQELDPMVYKKDKGHFPSNNNFPIKEVIQELEGEDSSSNSNDTIPN DICITHLQPSSDKQKQNTNLLTIPDKDKLQEMLIINPNSQGQQVNSSCQIQHSQEMKNSS IQNKKSLSQTLLHVQQNDFTKKNDNLKSPSRQAYYDELDLNPLDRSSPGMKKINKSPQQN KAKIEESPQIFIDLNNNSSHFFCLEDKEELDKAQNYIYYYPYFNPEFLINQQLIWTNIRY NHFKIHRIQKYVKKSPYTISYVISDKIKQKAILK >CAK57742 pep:novel supercontig:GCA_000165425.1:CT867993:257497:258818:-1 gene:GSPATT00028777001 transcript:CAK57742 MDEDQDNFVEDDGSEDDKFEETWKKQYEMLHKKQDKRPESKHGRPESSKFTQKKQSINEN AIPISIALQKFNQLVTFNNIKIEQFWKSDSVNASPEEFVSFLGQAGFTFTQEEINQVLSD LENEFGKITIENICKKVQAWNSNENTMLDFIKQKALHMAQASKRKFSAQKKSKPQSASAT RTAPKDFRPISGISYKSKQSGFTEFKIGEERPVQNLSKYYLQKAKEKEKEMDRLLQLTIS KGKNEFEYEMLIKMGEANELSQLLESKITYRAYKSAQGNLKVHMYELDRFNKDMTLEEFQ REYNMIKNKYNEGRNLKIWEVLSENKKSQKSLQHLGTQKDEIQQDSQNQHQSKVINKKER QSELKKVLLETMMLTNVLKEQLSVLQKKGIVIQQPSM >CAK57743 pep:novel supercontig:GCA_000165425.1:CT867993:258976:266842:1 gene:GSPATT00028778001 transcript:CAK57743 MNNLRYGQIVRIQGDYGMIVTKGYTQTNVYYMTFTNLAKISNFRESLFQVLPKGSFEIHD EFMKSHKKQASKYHQIRANQDLLDQRLKTELNQYFSFVETKMDEEVLFGQEIVLKHFDSE YFLVGSYKCSEQSMEAFQVSLSSKPSSQALFRIEAYQTYQKDGQQIYFDEPFLLVNTKQQ FCLDFIEKPIQWLDDESCLAYIKRLNDVQRHQVIITHNSKTSWKMKLFMRNDQRIEKKSI RNYELIYLQYTEDGTYLNGEQQIISLQQAGLNIPLTAIWELQVLQPTNDFKRNQPQINKD NQRGSVFQNNFDFLKEFSRVAPRQKSVVEYQQQAQSQVTKKTPQQEFILRNYISGKVLTS RGQLPQLHDYIQEPNQVVEILPAKQGQQEIVENSYIQLSVDKELLQNVEIEDQWNDSIKE DEEIESTVIKKQLAFEEKEHQHAFQIKKVKGNIKNNLMFVLSAQEVLFQFVLILNKQVDI IWKLLHAQQLSQVVEVIQQLIQFLQFEVANRQQCIKETYIIDLAMKILVPIYEKKLYATD VKNLQKKMKKIFKLTYQLIKELTQNNKQLKQDMGKYLKDFLEQAMDDEARAQDSLKELLE DNYESIQKFVTDDHIQKVFEKMKQNPNEKYLSILSSICVCKGRAILKNQILILKLVFQSN ELLNFKFRLNTKNKVEVLCRINKLKPMWRRIRQIYQESQEQDGLQTWNYLQGYFNLLGDV CYNRNKLAQDFVKENISISILLALVEDSHSQSINTFESYLKVIHLAFVDTPGYQTLVISK VIDWEENEQISIEKRMSMRRINSFGGFSNHLPLQNVSDLNNIIKYVQQFIAKFTHFTYDQ KYNQTLQRVLMIIKTLLTMNLEIDTMQLLQDLLRICSCQKDFQNLEQQKGEENIKKAKHR MTKVSSENKPIMECKMLASNIILNILDLENDIRINEMVAYFRSKRGSDYTNDSANNSPPK KGLISGMVDFVQRFSHKPPEEQQQLLDNNSSVFKDFAKSLSGSKDKTEQESYNIPQWIQV IKDLLDNRNLFKNFNNNVMLVFVEISLMQEPKMVETALQLFNRMLGQRRELIKHFSQIVL LSKNCQKNVKELISKCIQIRQRLEQLSDKNVYTITKENQSTSNIQVDEIIEDLNKLCVSL KLQRFTSQVVQISLAFNECEENDKTNQTLFKALDMHQTLVTYISGADEINPYLQGLLNSC YKFLTLFIWNHATNKIEIKWPLKQIYFHLQYNSCCIDFVRELYHNNKELLYNENEVSVAI KSIIQQMNKEKPDSMYRVKLFDSLRVFLYDHNKTIKFNQLQILALLQQKQNRNLIYVLND LQSEFSMDSISKPETPLMKSKVWDAESFHELIDDYSANYEKMEETKLLHIQPQLQYMVIH FEIFSLLVEDHNVINQEKCRVMHPYHSLLYLMKNSYQSNCWPLQHFLRSYMNRLYYNSQM ELISQLCIQEDLEIIRHQLDQILLLKGCNYIKQVQIVDGVRFQFMFSYIFACMQEILYSI NLLFLNDNFLSELEAQLGKDVESLRIHQLLFKIAGHLVQIQKMWFKSSHITHLCQVLINI MKIVFRTFDISVLLRLEKMFNGNVSEPQTPLKQNEIQEQEKENLQTDQNEQNEQDGIINR LLIVTETKLSQDEKIQSNLQQTLKRIFHLKSKKRLSIIEEPDNNAELNIRLMKLIKLFSE NEEFQGFIEQEFNSLCGEFTKIDEFSLTAYQTQYPAITLEEFLKNLIQMNITHQLNDDLR SYFLKVLTRMISEKNPNINSQDEQAKLAIDEWEPEFWSDSRQQIQEIQCFLAGCGAAQLI YEMFKENFDDRWELFNQLLIFSNAFLLGGNTKCQDSLLQLLKQDSSNQMMSNLQQSILKF SKFVNTNFKIQKTKFQKDKQNPFLSIVYVDNLTQFSEKTETLKRSLPSAIESQNTIKNRQ LSIKVMWRAFRMLQLMCENNNVQMKNYLREQTDKEDSVHINSINFIEFATKELRILLKIL NKNVVSITQQIVDFINEVIQLPCFLNQVTLCKSTYMEDVCFTFETFQKEESQLIQRELHT PEEQDELFELQAKIIQSIMLVLEGNNHKNYEELQQKLDSRFLVNFIKLIVQKVGIEKIFD FKKEARFSDEIQQMLNVFIIKEKIEYVSKDQKWVKHFKQEFESNPTLKDIQTMCLNNLRK IEIFYENEYQMVFFPAHPVFQFLSDETRDKIMFKIPRDTQRKKLISLLEEMDMIFREISY NFSLQNWILPITHKTIQLLINISQLLSLFINIFMIYAYAVMIKDKQSTLVTDDYEEATLF ILSLLQFSFSLCACTFYIISRASLEFKKLKQEQFSLTQIHSYIIQKISNFIIVFKGEDFL SHISFTAIAFLGLISNTYYFSLHLFYLFGQLSLLQSVFQAISHNAKQLSLVALLGVLFQF VFSIVGFNNYVDDIYPEQVEDPCHSLISCMITLMTSGVIGSSMSQWDPLKFIYDTVYFVF FALLFTNIISGIMTDTFAQLRDQRTQIEDDKKNKCFICGIDRQTLEKQQEDFEEHIKSKH FLWNYVFYIYCLQNKDSTEYTGLEYWIMDKVQSESVSWFPIRSEDEDDRTKQIELLQQKI EDLAQQLKTQLLQQQIEE >CAK57744 pep:novel supercontig:GCA_000165425.1:CT867993:266941:268214:1 gene:GSPATT00028779001 transcript:CAK57744 MFLKNMFKKQSRFEKHLFEGTIYDNSETVDLDDIKKMLQGYPSKEEEDQLVQSMTIVQVF KEFIRLIPSQLETPKKLKVLLTIHVLMGDIKHGRLFVQQFLGWIGWQHTDQKDALSKFSS VQTMIIQKLALISEIIQRSKLKSNINILFKDIDSNVMQFYKMINALNLILGQQEFYAQVF STQSRIIVMEIYLLLWNDVIALFLMLERFVRQFLDCYQQMDQQQSIQVYELFNEYIKLTP QVKRFAQLCVFFKNCNINEPKWYQPTKKEIEELQIYFQNVKIYLTSRSKRLKLEKSSPQP KGSCQTTGRDLKKSQSQSQIIPKGDLKQQQDNYLSNTTMGYAKRIYQGNNASQAIQYTFE SDCLTADVIKQ >CAK57745 pep:novel supercontig:GCA_000165425.1:CT867993:268425:270063:1 gene:GSPATT00028780001 transcript:CAK57745 MESSTNQAKKYSYNHLLVGLVALLFSPLLTILYLLFSPITIPTTFILYHFYKVQIKQHLS ELYQNANTIVQNGLKEYVVPAYNAAKTKINEIVDLLFSWILKRKEFFFKVFNDVNTYFLD YLNVVTTYLMDYQYIKSLVEYLQAGKAIICDSQNIIWIILNQAQVKVLNNKFVKYIISFY TTYLNPICRYFLSKTGMVQVYELIKTGVFYIQELINQNVLPIPKNKIQIIGYAILNESGK TIQNLNPEQEIDRYRIQLHQYAITQFWKIKNFKGQSILELECGDAVGLSYITQAYEPQRC LGVDSSEFRIQENLKLYSELENLKFETRSPLEIGALCAPNTFDVILGLELKKKEAFRNID FKSYIKIVSTLLKDDGYLIIGDYDTQEEIQKLQEEISANGLVITEKNDFTVGVTQAMKLQ IRNIKQTARQNGGYLAKFLSERLRPNEKLLQQLKDRQQIYMVYILKKATL >CAK57746 pep:novel supercontig:GCA_000165425.1:CT867993:270323:271032:1 gene:GSPATT00028781001 transcript:CAK57746 MNHIAKDNLIDLNSKLTDENQRLSLLVYSLQRQLEKAAVQINYVQSLEEKFEIVSFELTQ LRRDNQNLQEQIYLVSQSSISKDKEQAFQQEIESVKTQYEQKLSVLLRENKRLNHINKDL QNKQISNLETQTSKLMELSVELKNKLESLGQQPQSLNSSIMAPKVLTKQIYDNLIQQLSQ SSGEEKTFRTTQPKRLPVLQFKETKQPSNISQKNLAAIPFISNINSVK >CAK57747 pep:novel supercontig:GCA_000165425.1:CT867993:271120:272128:1 gene:GSPATT00028782001 transcript:CAK57747 MQMQQAPPVQFEKCPKHPQNDIVLFCLSIECKEPLCKDCCKLHVHWHNQQGTQANLDTVD NVRQQLLTDVHEMKHRFEEERAILHHFSDSEQSEAVKQLQNKLQKVKQTLLAAVHEYCHQ LEEQVKQRIHLHRQSHPGEKKELHQKLNTIINNLDQQEKMLLQPKYIRGCLMVMSEEQNH DFDQIAFDVDNALKHYLSNAFDLVISEDKLVRIVQSFNEYVEIQEVNLREELDHYTRKIR DNKKNPHEPLNKQFNSTSQKQLQPKESKLMQSIYDDKFRPTFQPGASQYRPNFNDSKADQ IMSKFYH >CAK57748 pep:novel supercontig:GCA_000165425.1:CT867993:272175:272811:1 gene:GSPATT00028783001 transcript:CAK57748 MYINTSHTPDKKNSYLTKFNKKRIQTTDKENKIQNLSNLSNLSTNDDCKSYCDNVDYYRH ENQELKKLVFQLKFEMQSVYKFYRKSQLESCLIEQKHNLKSVVQKYQSFIDEMMTQKNQV SAECDDWKQKCEQQQQIIEQQRLQIYNQSYIIQQNLLTIQNIQQEFQQESIQNQMEVQQI MNLKDQTIDELHQIIQHHQQQQQ >CAK57749 pep:novel supercontig:GCA_000165425.1:CT867993:272834:274066:-1 gene:GSPATT00028784001 transcript:CAK57749 MITTASQNITSSIQEKLMEERKRLITSFEQKFLGEEQARSAQYADQATYNGQMNEKQNKQ GVGKYLFPNGDVYIGQWSNDLFNGEGVYLFNNGERYEGHLLNGKKHGVGIYYYANGNMYN GEWINDLKHGKGKYTYYLQGESYDGEWQYGERHGRGVYLYSLGDRYDGLWERGLKWGRGT VEFASGARYEGQFASDKATGQGTMIYVNNDKYEGQWNDGLKHGYGVYTMADGSRYEGNWV NDEREGQGLFLYASGDKYEGMYSKNVKSGYGVYVASNGDRYEGEWANDKRQGNGTLYMAN GDKYIGEWKEGEKSGKGIYYFAHGDTYDGYWLGGMRHGFGKYSWSIGDYYEGEWRFDKMN GKGKFKGADGSEYVGEFSNDNKVN >CAK57750 pep:novel supercontig:GCA_000165425.1:CT867993:274077:276501:1 gene:GSPATT00028785001 transcript:CAK57750 MDNQIEQKSLFKTKFNHSTRNIFQQTKFTKQQFFRYSNKFKYHPRSSSQNYSHEKLEVLE TNKEDEKDQFVSQPINSCFERVLDRKHSIQSNLGSIKELSVSDQIADPTQQQDINNENAE QNTQQNTHSVSGAPQNTLRNKRKLKRLPRFDEEREPPKVQDEFKLIIMPNNKYKQFWDVG LFLILIYVSIFTPFKIGFVQDGEYLVWDYLDNAIDFIFMTDIVLTFLSAAYDDEGNLVTE RKAIMLNYLKGWFIIDLMSSIPFYFILNDTSQRYNSIARISKVPKIYRVIKMIKLARMFR LKEIQYVKVININIGNERFILAFLLLIFSCHVVGCIWFFVATLSEEEDWVYFESHTFDQY IVSMYWAVQTVLTVGYGDIKFYSWSTRIFAIVWMLSSVYVFSFAVGSLASFLDRLDQNNQ IYLNRLATLKNIKQEFKISKKMFLKVKRELKHGKRDFSQQYHILLDELPPILKTELSYIM NKHLKEEIGYFHDKSQQFISAIGPLLKPIKLEANEFVYCTGDLAEEIYFVKSGKLAIVLP DQQNFKFMMIKPGSYFGELDILFYGEKRKYTIMTTKPSEFYVLSRKDFKSIYLHQFRDEG QVLVNEALQRKVMIKSAYDDALSFLGEDTNLQQPRVTTHKTSKFKVVDNATSPQVDPKLV IQQKTEDYSNNDDGLINFDKIQFKKKISALEKQIKIKDQIIANIQQELDELMNQIQHQVS DDIIGRFKITKSMEEMMQLRRQLKATKKMEETIKSQRQIYRSAHQSKQFQIDCRKYSSSV EQAKLLNSLWEE >CAK57751 pep:novel supercontig:GCA_000165425.1:CT867993:277086:277343:1 gene:GSPATT00028786001 transcript:CAK57751 MNHPKAYFTTPLSRLAKQPSFDTSTQVDKWDEEYQGDEGDEVQTYKSPLTIIQSRLNATI IEEFIFQQAGLLTKKQDWKDEFIWL >CAK57752 pep:novel supercontig:GCA_000165425.1:CT867993:277984:280131:1 gene:GSPATT00028787001 transcript:CAK57752 MNSDNSKLIITPLGAGNEVGRSCILLQFQEKQIMFDCGIHMNKENKGVMALPYFNKIDKI EDIDLILITHFHLDHCGALPYFLKNYKFKGKIYMTTPTKEIYGLVLKDSIKVKSEDFSQD LINEQSIEQSLKNIDCIDYDQEIHYQGIKLKCYNAGHVLGAAMFMVEIDGVRVLYTGDYS TEKERHLRPAQLPLEKIHVLIVEATYGDTQHETRTKREENFLKEIVSTLNGGGNVLLPVF ATGRCHELLIILDEYWSKNPQVQQFPIYSTCTLAIKCTHIFQKHFNKLGNKYHKGENLFK FNHINTKKHLQDILNNQKPKVVMASPGLLQSGHSKQIYEYWCKDEKNQVIITGPAVQGTI AHQLIHNPEPDIKIRPAQISFSAHADYLQTSSFIDSLRPQHVILVHGTQHKCRDLQKKIE INFKDIVEKVWAPENQKQVELSFQRSSVSQAGCKAIGELGNQIENFIITFQEKHNQHKID EEIVESMADCICCSNDLYFNGVLLNTEHGFMLVDETDKETLQQYGIEQNQIYNQMYIPLQ CSLEQVFNYVQELNPEAQFHPDDSLIVVKDLEIRVPVSSVLLQFKWISSPKTDDLADSLA FFLSNVNQNKLNDEELLKDKQKGLIKVLQKTEFQTEIIENKLNVKNKNQVFIQVDLNTLN PSVVAEETQENKNIIKRAQTIIESYLSSYL >CAK57753 pep:novel supercontig:GCA_000165425.1:CT867993:280757:281149:1 gene:GSPATT00028788001 transcript:CAK57753 MKDESFSRKIVSTQNCVNNQDQDEKIKPVILQNLSNFAHLGCFSKDKQNKTLQLVQKKKK AVNGRTQRQKQRISIQMFKIQLQHQRLCSLLSLLTILMLKHSKQLQSQIVKFIFDKSFDQ ISQKIFINMM >CAK57754 pep:novel supercontig:GCA_000165425.1:CT867993:282354:290606:1 gene:GSPATT00028789001 transcript:CAK57754 MNQKFFILSLMLALAASQTYSLTSCTCAQLLSEGDCTKNASLGCSWDSTKKACAVSTTPV TPVMTYAAYCDTFAETDCPKAKPCTDCGSYAACAWVDSKCTYFTGCTAFAKTTDSDCQAI SNRCITDGTHCVEVDACNTYKKQLPCVKNTAGSLCYWDATNNTCVDANTCDKLPSLDFNM HNKNKVVDVDQTLEIQCVWNKLKTTACYWDGAACKDRICDNAPTSLTTDDACKLFRVDGS CTTKANGGCVTRTTCSAATIQASCVKNSSGGDCYWNGTACVDKNCANAPVTMTTNSACAG FVTGCITKSGGGCVANGACSVANVQAACVKNSSNQDCIWDTTCKEKTCANAPTTNNTHDL CTSYLSTCTVKTGGGCQNRTCANAPVTLTTNDACEAYLTGNNCITKSGGGCVTNTTCAAI TLEAACVKNSSGQTCFWDSASSSCKDKTCLNAPSTNTTHDLCQAFLNTCTVNSTSAGCVE KTCENSLVLAICDKDTSNRACIWKGKCYKKQCVLASSATTSHADCQTYSSGCTLSNTGSG CVTLPLKCEAITIEAACQMKSNGQPCGWTGTQCIDKACSTASKTFSTTTQCQTHLSSCVA NNPATVNGSVTIQGCQDLPTTCAGRKSSENCEITRSGFPTCLWVSSSSTCVEKSCTTAST VGTTGALSTGQFSFANCQNYISICTTTNAQGVCTATSYPCISNNAGDGCIAKPSSCSSLI QSNCQAGSKSTGDCYWNGAACVDKTCANIALTTHNSCNTTLNTCTVNNGATACQPLATAC TSYTTSENCKLTSANKKCVWTGLACRSATCADAPDDNTSDTDGECFNYQTPSETCTVVYK VGAQGCVPRSANCTDYMTQAQCHKTLTNLTANDDCKWIVDKCYATSSFASGACTSFKGTQ TMCQGYRVGCTNTNSATSSTACTLDCTLKTGTGLAFSDCQAVDTTCSVNSTGTGCIAIQS ACTGYGQTAANCFRSTAGLCAMNAASPATCQAVTQASECVLVTGKTGLDHAKCQAYHTSC TSLNDGTGCQEFKATCPAFTGDAAHCTASQQGKCYLSGSDCVRFSTCAAISGIGLTDAKC AGYNADCTVNAAGTACQEQKATCAVYLTQDSCTTSKDTATADKCAWSGTACVAVTTVATQ CAFVTGSGLDDTQCATYNAGCVANSTGTACQEKKATCAAYTTTAACGTSTAGKCYWNSAA SPAACISITTVATDCQLVLGSGLDDAKCGAYLTGCVALSTGAGCQEKKATCAAYTTTTAC GTSTAGKCYWNSAASPAACISITTASSDCQLVLGSGLDDTKCGAYLTDCVALSTGAGCQE KKATCAGYTTTAACGTSGAGKCYWNSAASPAACISITTVATDCQLVLGSGLDDAKCGAYL TGCVALSTGAGCQEKKATCGVYTTTAACGTSTAGKCYWNSAASPAACISITTVATDCQLV LGSGLDDTKCSAYNAGCTSLVDGTACQEEKANCKDYTTQNKCTSTSSVTCIWFENACYSI TAVSCSSITGTSLDHTKCQAYNTKCTSITDGTACQDFKTTCEQYPGTAAGCTKTATSKCY VYNSACITISNVATDCAKITGSNLTYDTCQSYNTGCSVNRAKTACVQQQALCSGYSSAMT SCYKSAAGLCIAGQSGDTSCVAASTASTCDAVFLGAGNYNDANCSSFKTGCTVNGSACTA RTCANATGITFNHANCNSWLNTCTVNSGSSACQAMASKCADQQSTACQYSVEGECVVVNS ACVRKTCDTATADASFDSDSECGTYQQSCTVARLGACQARTACASYKSLLQCKFNTSGGK CFWNPTAKTCVDLNCGNIEATTTYDSHSECVAVDSTLLCTVRATNGAAVPGCMARGSCSS YTIEDQCRTNSNGGECVWNTNANLPAPACQDKSCTSAPTSTATHNDCFAYYTSATVKCTV AATPSNSGGAATLGGCQQTAACSSYIDKEQCQINANGDPCGWNGTQCADKSCATAPATAD YDDDTKCRAYFSNKCTVSETGQGCVDIPATCETMTQKQCNLNKNGDPCYWTGTACITKSC DNAPDSTATADDCNTYLSGCTLDSVKCKTKVCEDFAFATDALCKQAISTCTTNGTNCVTR GTCFQALSQSGCVTSSTGQQCEWIPAVLNAQNVVTSPAYCTIKTCSTAPLTLTSEAACAS YFTNCTTKNGGGCVTKSSCSAVTIDVACTTALNGTVCAWDSAQNKCRDKDCQDFSGTTHA ACQTQRAGCTAGANGKCARVQNCEQTTVRAACIEGSNGPCLWIDKYPNNDGTKGACFRYT SCKSLQWNNDASCKWISNKCTTNGSNCVGITLCSETNTDGGCVTGYDGACIQSVPALNSS DPKVCKPYTSCADAFYTTHSDCQIASNKCTTNGTTGCIALGACSSYTSQAGCYFNDKGAV LQSGAIVSTGLCTWDTTASSCRDQTCADLTGTTHATCSSQLSTCTSDGTTCLLKGACSSY STQTACTTAVGSDGICYWELASATNNNTAKCRLLTCADIQNGTSTSVCVVALSTCVSNGT ACIPKANCSTYTNKIACNSGGLDGICVFTQSTATGAVAGTGTCALMTSCTTANNDQIACQ AARDRCSWTPPSGSGATAVASKCATHTCATNQATNGACTRFLNWDKKTQQVCTLVSGTCT ATDPSTLSSNDCFLVSGYTYTWNASTSKCGVCTAVVVQPNTSDNNTNNTDNNTTTDSGYI LGMSIVLGYLMF >CAK57755 pep:novel supercontig:GCA_000165425.1:CT867993:292850:293481:-1 gene:GSPATT00028790001 transcript:CAK57755 MVIHQQFIQSISHLMSICLWDVKTGQQKAKLIGHSNGILSVNFSRDNTTLASGSFDNSIR LWDVKTRQLKTKLDDHPATVNSVNFSPDGTKLVSGSNDNSVHIWDVKTGQQILSSDNRYK DILAQFQPNNFTNNLLQNNGIFCSLTTLLISQQLIFQSQGALILKGEFINHSGINLKTLF YQRGSCILENQIGLQQKQQ >CAK57756 pep:novel supercontig:GCA_000165425.1:CT867993:293512:295691:-1 gene:GSPATT00028791001 transcript:CAK57756 MDCKTEQNDNLSKILATVKNFDIQCYPILINMFKRGKITNTLKNLNPQKLEQGIKNIDLF INILKKISELDFNKKNFLKVDQEQIRKELIIKIGQDRQIIEFLKFLVQMTAFDEKFIQCG SNSLNFLVEMKVDLKEQNFENIRIKDTSLAGGNFVRCNFNESEFDNVDISGINLNQAQLF NCKWKNIKIHELNILDGHSNQVNSICFSPDGTLLASGSCDNSIRLWDVQTGKQKVKIDGH RDYVNSVCFSPNGTTLASGSDDQTIRLWDVKTGKQKAIFIGHSDFVYSVNFSPDSTILAS GSVDKSIRLWDVKTGQQKAKLDGHLDYVNSVNFSCDGTTLASGSWDNSIRLWDVKTGKQK AIFIGHSGCVYSVNFSPEMKINLSVYGMLRQDNKKPNQLVTQVMLIQSISLLMVLHQHLV SSDNSIRLWDVKSGQQKAKFDGHLSSVLSVNFSPDHTTLASGSVDKSIRLWDVKTGYQKA KVDGHLSTVVSVNFSPDGTTLASGSSDNSIRLWDTKTGQQKVKLDGHSGYVNSVNFSLDG TILASGSFDNSIRLWDVKTGQQKAKLDGHSETVTSVNFSPDSTILASGSHDNSICIWDVK TGQQKAKLDGHSQTVYSVNFSPDGTLLASGSWDKLILLWDVKTGQQKVKLDGHSQTVYSV NFSPNGTLLASGSGDNLTILVG >CAK85063 pep:novel supercontig:GCA_000165425.1:CT868533:543:770:1 gene:GSPATT00039714001 transcript:CAK85063 MNQVDQEIKRLKSSVNLDNLVILTNKVDLWQKNIIIPQVQYQTLSDFLSFNDKFQLKDFE FIQKNLECSQKENSV >CAK86536 pep:novel supercontig:GCA_000165425.1:CT868575:1502:2170:1 gene:GSPATT00039762001 transcript:CAK86536 MQKTFLVSGLTLLLSTIGYIQNQQPVDTVQRAFQSFKIRYMKTYNKAEEAFRRAIFEQNF AKILAHNTERKFTYLAIINQFSDLTQDEFVAIYLTYTPPEGWQPSDEDVVQEGVKPNDSV DWRSQVHVKNQASCGSCWAFSAVGAVEAFFKIVKGEDYSLSEQQLIDFDKAKNRGCNGGY PDLAIKYIATNGVDPENYYPYMAIDQACADETGSIKNSGVQSI >CAK73544 pep:novel supercontig:GCA_000165425.1:CT868156:730:1589:1 gene:GSPATT00038894001 transcript:CAK73544 MRMMNQRVDQMDFILFKFFLKQLAMSKLQGEQLKKYIHEMLTERKKRNFKETTELQIQLR DYDVQKDKRFQGSTRLLHAPYPNIKIGVIGNLTHCDQAKALGLTAIDQDGLKKFNKEKKP IKKWCKPFDILIASESLMKVIPRLVGNVFTKIGKFPIAIPETESVSSKVNEVKSSVKFQL KNTLSLGTAFGTDEMSEDQLRQNLSTTINFLVSLLKKGWQNVGTLHIKTSMGKPIKIYG >CAK73545 pep:novel supercontig:GCA_000165425.1:CT868156:3077:3478:1 gene:GSPATT00038895001 transcript:CAK73545 MNKLSELLIDSEEKQPNFITQYCKPLRILGKGAFSTVVEIQNLMTNKKGALKIIEKSHFN VLSIGNVKEGGSVVKSIESLEYLQELRLYDCFGVIVEQRNQKQIIYNDGSHHRSYIGNNF NRTNQKIRQYTTL >CAK73546 pep:novel supercontig:GCA_000165425.1:CT868156:3578:4706:1 gene:GSPATT00038896001 transcript:CAK73546 MISQVGEDVKLTLIDFGLSVQLTYLEGSGLMSDNCGTFLYMAPELIQKKRYNRSVDIWAM GIVVYNLLTQGKHPFYQQFDDKESYCEKIQQMKWNWQPGMNNNSINFLIRTVAFLPENQH PWITGKENSTEPFTFIEILRSHSNFQKIKSLIQAIQFLQKLKVLCPNVDQFKHYSTPVAK TPVRIIKIPKSNNNLFLKPMRMLQGSNVDTASIGSNRISQFKIQTITQRRERTSCDHLQK LQQQKESSKFLFPHYHRSLERSAKSNHTINTTSDNSLNQSSILQKSGITDGRQSLNNVKP LQQLKRTTFASRGQNILVQQEVLASQRVRISKPPIQKKPIND >CAK73547 pep:novel supercontig:GCA_000165425.1:CT868156:4768:6354:1 gene:GSPATT00038897001 transcript:CAK73547 MSYIQLLKQVMNQESNYSPELSRFEPRQMLGKGAFATVISAFDKVKRINVAIKIVEKKLF RSKEQEDAIRQEALTLQTLFHKNIIQILGFFETQQKFYIVMNQVDGVTLEEYIPKLQMHE VTPIIKQILRALSYLHQKDIVHRDIKPENILIGGNGEELSVTLIDFGLSASVNRIEDGLM SKNCGTLLYQAPELIKKGNYTRSVDIWALGIVVYNMLYKGEHPFYRNGDTKITYCEKIKG FSLNFKIDEDQDTRNFLERTIAYLPEHRLTANQCLEHPWITGKGDISVPFTLNEIIQCQV KKEQRIAKYIKMFVFLKYLMIKSKDALGKTDEINETGSPHDNVSIIGSELSIASNNFRIR PLMMKSQTKLNKVWNNDSSSNSFVGDSSRNTTDMIPETFVQTNRTTFTKKQLRKSNSMNP QEMMNKLKMMNAQKAPQKEAPQLKNRRIISNFHKSNQKLVTEASSQRSNIDCLNKIPNSP LNNTIAHFQRSNFSKKPAQL >CAK73548 pep:novel supercontig:GCA_000165425.1:CT868156:6375:6988:1 gene:GSPATT00038898001 transcript:CAK73548 MLKIFRQANLFKPQFMRRYDQANSPLHIAIGDTSELRTKLFPEKRRIPGRKGKIILAFGV LFQVWGIMHIVEVRRQFRRKELELKKMQRKSLPFYQAMQDIRYLAAEDKRSILIEELFAE HGSDYIKQITDIYHQKDVWVPFKKRAAHQYTRATKDPYPYFDIPGSRFLHGYDVYNI >CAK73549 pep:novel supercontig:GCA_000165425.1:CT868156:6992:8078:1 gene:GSPATT00038899001 transcript:CAK73549 MLRIIIASLFISSVISATTKPTIGILTNPSDLKDYDKSLYSYFPSSYVKWIEQAGARVIP IHWDSSYDEITSILNQINGVLFTGGDVDLYLNNTQPGFTFNKFTDTASFIFQKVIQFNKA GKFYPLLGICQGFQLINYIASSYYEVLTRMTDDLGKQRLLEVNSEEDSFVLKSIDSVTLE YLKNVDGPYYSHNWGVVQHTYEKAYSLGAFFKIIAYSRDGVNLKYVTICEGREVPIYGYQ FHPEKHQFEWITKATHDVQHITYSQQLAMDFIAMARKNDNTISDEELAKLIIYNYKQINR MEIPNTSFSQVYLFDRNRNETKSDLQQLGIYQNIKFFRKRH >CAK73550 pep:novel supercontig:GCA_000165425.1:CT868156:8089:10225:1 gene:GSPATT00038900001 transcript:CAK73550 MLPSVTPIKEFQSQPLVRKIMKSQLESTIKSNKSSSDIINKQCKSSYQHYRKQNNQQEEI QVQKLFFRNRESSSRDTPPSFLITKHFESRQEMKPLTIEETKYQEKIEFHGKRLKINQDS IENNLMKSFQRFRLQENVCQRSIVTSQRAKRSSITMEQIPTRDRFFTKQAGMRQIVIVNQ LYLNTSSLQYIFMYVPDHSIKDKSVFEEFTSVVKNRLKINSKKIYFYLKDGTPVYSHLDI PPKQSVLIYSTSTVYKQIFNPQLLYLENCCSLYNCEEITKKNEPDYTQQIDSIIHTLVHQ NYQSIDDEYQVDKVPEEIEINQQFMNSKELKHSYKEIKRNKNFKPIINKMFQPCNAVKQK NYIQQYMNDEYIIKNPKIEKLEKIEGWYEQELKKIKFKPEEENSNEDLMAVHIDSVSSQQ TIERYFIRFTSISQITQAAQLLEPLLKKFLNQNSGYSQEVGPEVNFNNDEEEEKPESINH IEQNIRELITNKGSLHKLLKINKELFIEGIPKILSETNFSRYELHNTYILYCALQQITSQ RYRYYKVDDGVDYNTYRMGIYQIFMQSEYLAQEIFNKIDFNYSGFLNWSEFLKLMVSIRA KTLVQKLDLFIQISDKDGNGQLCWDEIFQLSKVCLSKYIQNSDDFLDMLCEYYTRLIFKV VEKEPHEEIPFSAIKDAILSQKEDSDLLCMFCGADI >CAK73551 pep:novel supercontig:GCA_000165425.1:CT868156:10438:10737:-1 gene:GSPATT00038901001 transcript:CAK73551 MGQAHPNPNGQYVKICTLCREQKPPHHFHCGKCRKKALIGFGSSLGLVILIVISTGLDLN NEWISFLSVLCIVSFFGGCYFCQSRLTNGQVNQYVEFYE >CAK84531 pep:novel supercontig:GCA_000165425.1:CT868513:1129:1371:1 gene:GSPATT00039689001 transcript:CAK84531 MLIIYYFVLKISNVDGVFKDGNNYTIISNPFSNVYTLELFNQDEFHLNGGIFAMRQFDSQ ILQALLFVAIVVMSIDEMLG >CAK66918 pep:novel supercontig:GCA_000165425.1:CT868049:1983:2387:1 gene:GSPATT00036049001 transcript:CAK66918 MNRGGLISRSQISISNTDSCLRDNAYIVYGNFGYTGGLIGASIIIDLQQTYELNTFKIWF YDLDDRLYTIRVYVIYNNIEKIIYQSSHGQSITTITFPDQQVKKFKILNVNGNTYNIGLN VLKVEAYFRIQKNV >CAK66919 pep:novel supercontig:GCA_000165425.1:CT868049:2407:3792:1 gene:GSPATT00036050001 transcript:CAK66919 MKYPHLFVKNFVQQSFTHLQKCIDELLYPFYQSFSIFMKTFNKQIFQLIEIINSIQILIT KWNIQLRLSHKRVEQQQLVQKLCNNIGIHSNFDLTINYYCILQKHKSIFTLQNQWKQNFN FEWVNKYLLFKTKIEVKVYSSYQVTNQEIIFWIYNYINLSEELLQAKEKKRAKTFCKEST XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFSQILILQN QNLIKFIFFLPLTNYYLIFFFTITSILFNNYLIQIKKNFKNIFYIFILIYHHQYYQNLIL LKTSLLKYINLILFHQYFLIYIQIYKYIHIIFFNPSIINNSSILINQILIIQRKFSQIIL SSFKMNQQMEMFKLKKNLVLHICD >CAK66920 pep:novel supercontig:GCA_000165425.1:CT868049:4730:6415:-1 gene:GSPATT00036051001 transcript:CAK66920 MELDKLEADNQFYDSIEQMFQSFTSNPSYNQVIDHLQQNRNTDKQQEVKAFGIQNQKELD KSKTPCLNIKCNKHGKEIIMLNLEQNQVESSRLICVDCIHNNDPIKYTSLQNANLRWKYK NQDKIRADQNSRLLQQESIISNLKEIREQHNKILCELIEKLNNEQQSIQEVLQNQLDQTD IYEFNQEQIEEITLILCKKDKLTVSSDLQANIDQEDQIRYNLITNNLTNLFEYGLLKREE ILKILGQDNSFAETLNDSIKSNEILRNGKVFIKEKYQVFKEDCQNLTLQINNLDQQLKEK NINITKVNELLQQKEQQLTQLQRDCKDVQYLQLQIQIQQLLQQQEQNQILNQYPFKLTFS QQFKHDACQISQDGKMVILHGMCGMYCCICDQIIPKHGLVKFAFRILNNSYLMIGICFRD MIQKNGYYDNYGKGAYFIDKDGKCFNHDQYDKNDKCISFKFTFNDIVIVEVDIQNKYIKW IKQSTNESYVNIKLYVKKLNIDTTQDLYPCVNLHYYSKAEIFSQFNE >CAK66921 pep:novel supercontig:GCA_000165425.1:CT868049:6542:6856:-1 gene:GSPATT00036052001 transcript:CAK66921 MSLKEQRRICSKHQLEITTIDLKQSTPKDDKYLCTKCLIEKIDIQNMALMDETQFMIKEM KFKQQTFELKEKQKRIEKQKQMECMIKGFKVQVEEIFDKILTNI >CAK66922 pep:novel supercontig:GCA_000165425.1:CT868049:7589:11383:-1 gene:GSPATT00036053001 transcript:CAK66922 MGQLIKIIFMNLLLYSSQTVIYSFDANDNNSDGWKQQSGSFQFLTCGGIPYFLSNSHYIS RLFLDLEPHSHIKVDAELQLFNQFNWSFYLDFIYENTAQVGLPNPNCDNQLIHTISINRL HNRRNLWMNIHHENVGLIKLQLSIIRCDYNCKGCIDYNQNFCLSWKLHQYSFDQKTIETN SDGWAYNLSVYQFWDCGNCQFQLFNTINYSTILPPHQDILIRFYHVWSDIYVAYIYGEEF IASQYGAVEILIKNHPDFLFQLKIRSARSQGGIRDFEIYYSLPAIIIQNVILGCLDQVGD QCLFCQEGWIQDVSQQNCQPICGDGIIQGYEQCDDANQVSNDGCFQCRFSCPIFCQFCEF RKCLKCQQNYELIDNKCSEINNMNGLEPLHEPQYSISNLSKYGSYYHQQLRYQFFDWMTQ PIYVSNQPFQTDDVFGYYFKRKTIENCLISLFDQCLECQTFYKLSQNKNQCIPICDDGIV IENEICDDKNNIQFDGCYKCKLSCQLECHKCIQQQCYSCIDGWQLIDQKCYQICGDGLLA IYSQEQCDDGNYQPYDGCYNCKFECNSYCFQCDSSNNCLLCVENFELTDKNQCKPICGDG IIIQTLEECEDQNDIQFDGCYQCLFQCDQNCINCVQGVCQECEKGYNLIKKECQKVLIDA LDENDENDEILVINVEKCGDGNQSTLEQCDDGNQGNGDGCSSQCIVEENWNCNQDQPNSC FLQTKYSLEYSNQTFEHQFVSLKFSNEVKQVSNINFTQTIQPEIINLNQNQYQITINPVV DINSTQFTMAFFEFDIVIVEQMSLEPNFSISFDSSLVDNNNMLVGLPSQSLLLKMPKIIN QDQISIANKFQNLGNILIIGLGAISILMLLFKQPLQCFEIFDLLQFQSYLKFVNISYPQN LQIYFQSSEIVTVSPILIYFQITDLLNNLINQNFIPSIGKFQEYQINADLLLNIQSQISQ IVFIGLLYLFLQFYPKIVHNYFFTFKNIYFIRQTHFQWLGSLIIKLYQINKKILKLRNIY SKNGFIQLFYANCWDLLFKVVLFMTSNTETGYRSTISYSICFLVLGLTIIILLLNIKGLN ENLKLSQIRFQQLEGITLIKKLLFILILIDQQSNDIIQCIMLTLLSLWYIGLLSTIKQNI ERIEFIGIIWMEVPVMFFTLTSLTYCSDFIRVMKYNEQILLGFVQILILVLGLLGPVIKL GINFYKEIKLYYQQKKQNPVFILNIANILTLAQENRMNVQKQQKI >CAK66923 pep:novel supercontig:GCA_000165425.1:CT868049:13430:13939:1 gene:GSPATT00036054001 transcript:CAK66923 MARRKTVKRAQRSHKHLFTRNKKINKTQREQNKIPGWNKNISFTHNLNNLGMNTGYQINQ KITNELKQKEQAPQQYIEAEEISIDQIKELQRQATAKLPQEEVEKKKNKVRMNIDDEWAI EGLVKKYKQDWEAMKRDHKLNTFQWTAIQCQKKYNDYIKRYGKCPKPQQ >CAK66924 pep:novel supercontig:GCA_000165425.1:CT868049:14571:27541:1 gene:GSPATT00036055001 transcript:CAK66924 MNSKGKRTLGPIQKISKPSFDYESALKEHREVEERIKMETDTQDPRKFVMNLLNDRDNSF VLDNSFTNSQVAAKKQVYVRSRRIKSQIPCLQGQTKNNSQIQYASPAQSLKFDFDLKPDF NSKRSNFVPKFINSGINFDVEVKGTLGEEFTEFQKYDNDSQPEEIPKNKNFSYGGRMTNK KLKEYDCWDEDRTPQEWIEICKQSNPPHAKCPMFDESDKYIWTDVEVIGFENGKFEVKIL RSNKIKRIGRLSLQFYSEDPVKFEQRVELCKQRQKNANDELRFVKYVDSLPDSMTSTLSL EMQKKIDEYTVFRDLPFLTKEDPSKIAPGFPQIQTFKDEAKQMVTDPEIRRKQMQHLELL KKDLVKQVQKEYTMLMKKCSILKDMEVNKNDVKWLQLRIKNRFEQTKKPYYGLTKQFGSD TKILSFETLGQQPVKQIKIQDNWGFVQVRQNVSQLHYSKFAVVVNTLNALTARSQLYQNF PLLNVLLNHQSLPMTLSNFESQQKQHNVQGRQTLQVQWRSTIVGDIQDKLREKYRFYQTE TEDYLDSELQKLLIRIDYMFTNFIRENVVRQTCQSWVDFIKRFTTPKEGEQWRINDYPLL ILNLEVNLTFKKSKKNDKKNKQEDTPLFEEDFNSAIYFSPNYLAIQQGLLKPLDLLLESV NSFNRLEKDLVPLVDIDQRKQIKGKLRAYEIENDKDQMWIKWAKEKVQAYLEIGYQKPNE MLQKFREFSFLLEKSVASVLKSLFGDVSKKPIITSLDKDEIQKKLSDFINAKFQIQRLCL DEKNEQFFQIRTRIAKENLISKANEFITSILKQCSDIVTDNISRLSQEYSDMSERITKQP KNEAELIELKTYIAEHEVNLAKKKQEVDFIYDYLTMFEDMSHNFEDKNLYEFWNLYSFPP EIKNHVIEGQRKANLQEQKFMENLDNEKDKFQVELKELTELFITVQKFDDYSRVKDVAND VVSLNDRFQNALKKVESFNERELLFKQQPSVYEDLNQLIKDFTIYYNLWTNAIEFEYDRS DWCTGSFLKLNFAEIDNKVRTNQRNANILIKAFSDAQDDVAVDVARKLKGQIDEFKEKLW LIELLTTEAMKTKLNLWKDIWKVAGIVDQETNDDLSLDALVSHGLMNFRNDIEEVSRKAE KQWQIEKNLNLIQEKLKDQKVEMIPYKKTGTFVLKSLEEVVQCFDDQFNILLMLKAQPQI KAVLHKAQALEQKIVLIQDSLDGWIKCQRGWMYLEPIFTSDDIKKKMPLETQKFLKVDAH WKLVMEQFSKEPNLWDGIESEKMKNEFDQDNKALDQIQKSLSEYLETKRNSFPRFYFLSD EELLEILAQTKDPETVQKHINKCFEAINLLEFINGQEVVAMISAEKEKVQFSKGINVNDG EKKGNVEKWLSEIESVMIDTLKKIMKASHLDNGYKESCLGKEMACLNCLGKVVRQLQMIK RINKEDCQDFYKILINELRDIVDLVRQDLSPLERLTLGALVVLDVHARDVIRQLVKIGCN DINNFQWMAQLRYYWTEQVMKCNVKMINADLLYGYEYLGNSMRLVITPLTDRCYRTLMGA FHLQYGGAPEGPAGTGKTETVKDLAKALAVQCVVFNCSDGLNYLAMIQELWCCFDEFNRI DLEVLSVIAQQVLTIQDAIRQKRPEFEFEGTIIKLIPSCAINITMNPGYAGRSDLPDNLK ALFRPCAMMVPDYALISEIYLASVGFQDANNLARKIVASLRLSSEQLSSQDHYDFGMRAL KAILTAAGNLKRVMNDIEDIICLRALMDVNIPKFTINDVPLFNSITSDLFPGIKLPEQDY GALETALKNTAQEINIQAEKGFIEKCIQLFDTINVRHGLMIVGQAFAGKSKVLECLAKAM SSLNKVQPFVNVAVLKLNPKSITSDQLYGKLDPDTKSWTDGVIAIIMRQCAQDAEVEERK WVVFDGPVDAVWIENMNTVLDDNKKLCLTSGEIIKMTNWMTMMFEVEDLAVASPATVSRC GMVFLETQQIGWYALVKSFIQTIPEKYIEHHFLDDLLRVIIDCSQEWLRKHGKFPIYKSE MTLVKNMLLILQTYLQEWTDMDEKAQQKQINHNEIKDVVSKAILFSCVWSFGAAIDEVCR KQFNQFLIKLISAEDVHETFNLQLQYKFQPITINAKLPDKANLFDMVYDRTKNSFISWTQ TQPPFVIQKGCDYHDLLIPTSDSIRNNYFLHLCVRNKIHLLVTGPTGTGKTSNIVSEINK NYFNNEYTNLITAFSGQTLVNQVQKTIEAKVNSRRRKGYFGPEEGKKYIVIFIDDLNMPA KEKYGAQPPIELLRQWMDTGGWYDLETKEPKFLQGITFIASMLPPTGGRNVVSMRYLRHY VLLYVEPFEGDSLQRIFQNVLEWYYARQTTPFMKSITNMRDSTVNATLDIYQLIQTCKEL LPTPAKSHYIYNLRDISKVFQGISKGIVKSFRDENDFIKLWAHECQRVFQDRLINEDDQG TFDKILKETILKHFKRDWKQLVQIEPLLWASFVPTLYPDDDRTKRPMTDIYCELTDRETL KKVCQEQLNEYNSQYTSNRMELVLFMNAIQHVLKIVRVVNTTFGHALLVGVGGSGRKSLA QLASFIAFQNETLQVDSRNWIEELQKVMKMGGIDQKEIVFMYSDTQIIKESMVEDICNIL NNGEVPNLFPTEEKSKIIEEMSSYTSGTPNEKYGYFVKQCKKNLHLVICMSPVGEAFRRR LRTFPALVNCTTIDWFLPWPEEALRSTANAVFTRDMNINDNKLRQGLVDIAVDMQMRVSD LTKRYYNELRRYYYVTPTSYLELLNTFKRLKSDRDQNMSKMISRYEAGKVRQAKCKKELE DLQPKLEQATKDNSVMLINLQKKQKEADARKQVCQQEEKDCNVQRDGANALRNDCQNDLD KVLPILAQAAEALEKIDKNDMVQLKSFPKPPPSAAIVMEGLCYIFQEDQNVPWKPKEPGS MEKVQDFWEYSKKNLLNDKLIKRIKDFRDDSIRQIPQVKINKLKAFSQNPLFQKDKVFNA SVAAGNLSLWVRAVVETYDALLVVDPKRQQLLEAEAKLKEAEETLRVKQEALQEVLDMLA KLEADYNKAKQEKEDLEAKVNKCKVQLSRAEKLITELGGEKESWKKKAADYRVDSKTIVG DCILSSGIVAYLGAFPIAYRDDTIKIWQTLLEKLIIEFDPEYSLQKILCDPITIGQWTNV QKLPNDSFSIDNAIILKNSTRWPLMVDPQTQANTWVKHMEAQQLVIVRPTQSSNVLSKTL ESALQFGQSVLLENVGEAIDTIFESILQQKIIKQGSAYKLKFGDKMIDYSKDFKFYMTTK LARPHYPPEICVKVTMLNFQVTQEGLEDQMLNIVVKIEEPAKDEQRQRNIKEFFENKNKQ KMTEDNILQLLQDSKGNLLDDEVLIDTLQRSKAESITIQDKLKKQEQDREQFNQIRNFYK EVAKRVANLYFVVLDLSLIEPTYQWSLEFYIILFERAIRESIQGKENRSKNIIDKFQISL YESICRSLLEKDKLIYSFLMTMKVMQSEGKITPQEIRFTMVGGTYTDPTYPQPQPEWISK RMWCLVTEAADTLPFFKGFPESFSNNLADWQEMYDSSEPQMQKLPEPWHSQLSAFQKLIV LRIIRPDKFANATQNLIITEMGKQFMDPPPFNLEHAYKDADAYTPLIFILSPGADPRLEI STLADRLGFRQNFITLSLGQGQGEIATNAIKGAVKEGKWVLLQNCHLAPSFMPELERIHE QEICAKPVQEVNSDFRIWLTSMPSNVFPVTLLMRGIKMTYEPPRGLKNNMLRNFSSIDAK SFELCKKPVEWKKLFFGLNFFHAVCLERRKYGPLGWNIPYEFTSADLAISVSQLKNFLDT FEDIPWEALNYMVAEANYGGRVTDPKDRSSTQQTCYRQTNINQVRSGTYYVPPNGVLEDY KEYIKNLPLNDQTEVFGLHDNAEISSAIIETNFITSTILSLLPRSTGGAGASAEDLIKEK CKLILSKLPNQFNVEEAARKHPVQYNQSMNTVLQQELIRFNKLLQAVRQSLIDLGKAIDG LVVMSSDLEQVFNKVFDNQVPDVWHKVAYPSLKPLGSWINDFIDRLHFMQLWIDNGAPPT FWVSGFFFTQSFLTGTLQNFARKYQIPIDTLSFEFIVIPPSSQEYDLSKPPDDGCYVYGL FLDGARWDEENRCLNESLPKILQYRVPYLWLLPSEEKKDWEADLSVMAIILNQVYECPVY KTSRRAGTLSTTGHSTNFVISIYLPISPDHHPYHWVKRGVAMLCQTDD >CAK66925 pep:novel supercontig:GCA_000165425.1:CT868049:27594:28136:-1 gene:GSPATT00036056001 transcript:CAK66925 MGSICINQSSSKPQEDLQYPIIKKIQKFAPDRQNALEKPLIHSELTEDAQELTQLEGILE DFDVDKEVSEDKVKNDDTTILNEQVQQKIAVNEAIQRLNEIVTQIQFQVELQRKCSVIQK FNSSESETQTLKGILKTNRSKSKSKSKSNHSLYRLKQAITQKKVSFEPRILRRKATKIYI >CAK66926 pep:novel supercontig:GCA_000165425.1:CT868049:28148:29545:-1 gene:GSPATT00036057001 transcript:CAK66926 MAKFTNKPKGRTSKRLALNKKYKIEKKVKQHHKKLKKEARKMSALGQIKKTSSKEIGIPN MYHIQEEQEQEKKIQKLQQKEELDLNLAEVKSNLYETKAQVVVEEEQIKQENLTQLTKEH KKYITQVKKVAEAADILLIILDARDPLACRCKHLEREILGMPGDKKIILVLNKIDLVPPG NADAWLAHLRREFATVLFKANTQQQQSNLSSASIYKKTLSQRQDLADDLTSSSKAIGADK LLELIKNYSKNDGVKSSVTVGVIGYPNVGKSSVINSLKRSKACAVSSTPGFTKGLQEVVI DSQVKIIDCPGVVFDSENKESTLLRNIIKIEQIEDPREPIGEILKKVSKNELLLLYKIQT FNNVNEFLCQVALARGKLQKGGIPDLECAARIVLQDWNQGKIKYFTVPPNQIEQE >CAK66927 pep:novel supercontig:GCA_000165425.1:CT868049:29576:31470:-1 gene:GSPATT00036058001 transcript:CAK66927 MSEIIVMIRSEIGMSRVSILSNQSFQEFVNKVGQIINKPPEALILSLEGVNISSKISPNQ QIKMIPNFQNGIFIQVNVDQKKGYKKPQQIQTPEQPKVYMANGQLANDQQIQQVNHKIHE NKDHALSQFCQHGPQAKCINCLSSTSTKVEQKEKTEVNKCKHGEGGRCLNCAPYEQEKQN TKTVNKILCQHGPNGKCPHCIDEGQIEAKHVSFDQFLHDMKFKCRGQHPDNGRCNNCLPP TMISYKLKKDCKNHAPYPKAMCNNCLPPSILLKRQVYRHVDYVEFLNIPEMSNLVQYWTS KGKTEQRMGFLYGYYAADPNYQNGVRAIVEAIYEPPQKGTFGHVDLLQDPAQSHADEIAT SLGMEKIGWIFTNVNHDCFLSSEELRQAAQYQQIYSIKHPEGCLVSKFLTVVLRTKKDSP DEVVPEVYMVSDQGQQLENDGIFQNSGRRKVLQVRDAKNELDVLPSFVYNGKSVKEFEPD FFIVNVAHGYNPNSNYSILKLYDFPVENRSAVAKQQDLKTFLHKHQKKPSHNRFADFHLL LYLSKLIDIHSVVNIAQFIGSECEVPNELLDIVKMYATGV >CAK66928 pep:novel supercontig:GCA_000165425.1:CT868049:32266:33188:-1 gene:GSPATT00036059001 transcript:CAK66928 MNSIQQYSKCENLGQGTYGKVYKGINKKTQEVVAIKEIIHSEEEKKEGIQSTTLREMSVL LQFRNHPHIVELKEVILDETDYKQYLIMEFIDTDLRKMIDKHKMTEKGLMDEHLVKRLLL HILRGLYALHSQLIFHRDLKPANILVQNGVAKLGDFGLAKPIGYPINRTHTKEVQTLWYR APELLLGHFKYSPALDIFSLGCIFYEMLVGDALFKGNSEIDQLFRIFQFMGTPLEWEGFK SMPYYSARFPQFHAQAEQHLSSYNIRREAKDLLLKMLQIDSTRRITAKEAINDIYFSII >CAK66929 pep:novel supercontig:GCA_000165425.1:CT868049:33986:35712:-1 gene:GSPATT00036060001 transcript:CAK66929 MNEFQAFIISLGERLNTPIPDEYRKQFKLRGEFTYPSNKKFDQICKRFRIQLICSFLEDE KLRCKRKWDNSFKLFMVWFLVKYFEKKNLLIIHPDENDWVNFENILKIDKHSLKQRWITL INPQMKSINWLQEEDEFITAQMKYSNPSKLSQKHKHIWTQIAVSLYERNLQGNIRTPKQI RERWMNYLNPELNKDQWMLKEDLIILNNVVKNGKKWSQISQQLNGRTENQVKNRYKSLIH KICKDDECDEIDMVKQYIRKNQAHVDSNKQGIIGKRGRHKKGLRNKEQSVEQRKQRNFPK IIKQEEQISHQSFVQQVQPIIQQTQQQQQQQTQQQQQQQQQAQQQQFQQQQQQTQQQQLQ QLQFHQQMQLQQLQQQMNFNNEEMKIALNLQSNFLQEDIKNTTPLMMLQCLTSPGYQFLE NQFLKQLSPGIVEEGECHQNSSLQLPKLNNMYKMSSTSPFLLPSMLQSPFWNNEQFIQQN DEQMPPPQPSQTFSQQISTTPFLNLGYLRQPQHNGFTSKKELDLLQENPIQQFNKRRNFN QQ >CAK66930 pep:novel supercontig:GCA_000165425.1:CT868049:36823:37456:1 gene:GSPATT00036061001 transcript:CAK66930 MINRPPVPKFSDGCSTPKRSSDLLEEVSHLVFKMNLDDAIEKKAIAILSDLTLPNTSFHA QAIVHCAMRELNYPLPKADAKVEYLSKCIQNQYSSLISTLCQKLKLNSKSTKVCHVLHQQ ISPLINKLPQPLQNAISVKIATDIIYLKQGGVNAKIIAQIANIKADQLQLSLNRIKPFAC KIIQDLFSYFNNNFK >CAK66931 pep:novel supercontig:GCA_000165425.1:CT868049:38006:39331:-1 gene:GSPATT00036062001 transcript:CAK66931 MIQQTQAHLPKEWIEFLQQIQTIDPIDVETVTQYVKVRVNHFPTDQQMIEYYEEVFSKST SDVNRKKWHQQDKNLLIWCMAKHMMSQNRQELIPNDDDWEFVSKVLRVDKNLVELKWISL LHSNLKISPWTKEEDQILINIANDHYYKNNWTELTIKFNSISSTQRYPKQIRERWNNVLN PSISRSTWSKEEKINLLQLILKYGKKWSKIQDELNGRSENQIKNQYNGIIRNLKRFNVQE SEERLLIKAIIENPDQKLSLTVTQFMSDFLAKKEASKNVESPQLQQLTESFMQKKICADP VAQESGITATNILLQEKLIQCKEMQQQMKQTSMQQNIFFSSPQQQQYSQVPMSSNQYFYN NIYPNYYPNYQQQNQQYLSYPNYNQVQQQMPYHQYHYGYY >CAK66932 pep:novel supercontig:GCA_000165425.1:CT868049:39692:40120:-1 gene:GSPATT00036063001 transcript:CAK66932 MIIYNLSQTTYLYLISIRMNKVLVSSNKTSQDLIETIQQFKNHLYYKQISQNRSQQHLDI LEGEYSFANYNQILFLQYIVVNQQRKKSQNQQIFDNSKVQLQAIRLDSHIVSGDRGDNQY DTRVFKSQTNIKQAGEEQYVYI >CAK66933 pep:novel supercontig:GCA_000165425.1:CT868049:40315:41394:-1 gene:GSPATT00036064001 transcript:CAK66933 MGCGASKQQQERLKSYVNQELGQINDQLAKQIAELRDVKNKRKPIYIIKDQEVEELTNYK EELEKKFQFIEKEILKVNKQLQIQAKAEPKKKRRTTKPIDIDDIADEEEWKKNLMNDDEI TIKPFDDAQLEIESDNIGKKDVNKSSQSDILMMDSQQNIKKQIANADKIEEINNLEQQLQ FDSKKIIIQNDQNNCDEQSKTQQQQDLHKIKVNIQEALGEDLQAYNDEIKNKLFSQDLSN QPQQQTLKNSEIEICFNEQSLEQIAQSLEKNITTVPKKSKSSQPQPSNQVQNQQQQKAEK QLFFSKQQSSGNNQKRNSRQKYQL >CAK66934 pep:novel supercontig:GCA_000165425.1:CT868049:41450:42699:-1 gene:GSPATT00036065001 transcript:CAK66934 MFYADSIQEDKIKPYLRQIEKEQERKDRIKNLAESLMNKTKLKLKLTTKESKREEQFNKK LEDMKQLSTFVDARSSINRLNLLNDRSLLLKLQVIKKTQKYQESNKNTATKTDIPKQEMP SLNSQPLILDDEFKLQFLATQNYDPIKRKQLQMPRRSQPESPKDTEPMIRGEEFLNFEVS PKNDTNLFYRSVFKTSPSPERKQLIQQYEEETKKARQFLQQHGLIEKQGSKNHKRSPLRK VPIQQYYQNFQKQCQSLVKDVALESRRRSVMSQMNESEGCSSKKDFVRDAIIHKNQNTIM CRSSMKLNKSQTNSLSSPRQKAKIFNQKDKQGSQTQRVLRKTRSIFDSEQNSPIKSRLQT QFESFTQAVNKTQKAFNRINKGNEKIIRRMSTAVSSIHKKYSKEVVQV >CAK66935 pep:novel supercontig:GCA_000165425.1:CT868049:42794:44025:1 gene:GSPATT00036066001 transcript:CAK66935 MQSKLTKEMELQMEKGQRINSKLDKIQQIAEEYYENKGFLNTISEILKLEEITLIANQLQ SNKALTQQQKVEMYQVMAEQFLAFEVQTVLFLRKFECLLLLSKILMLTIKIEDEEMFIHN LLEQIQLLIFKEQQLHVSAIIIEQYKIKPQTYKYNEQNNAQELVKSLVQTCQREFMDDKF QKRLENQCREEYKNKMNQFQSYYQGQNLKKLQEQSKYLVEHLLKINKSLGLMAFSDYATS YTFQKLNNRLLLIPEINDINNQILMKQLAKHHKVIKEEFYGKESIQSNNNYYQKILKQGL KIKEEQSSSNQVDNNMVLIQKVLHEFKIFEILKQSQNQMIKKLVFGNELDEQASSQKQSL GQLMQGL >CAK66936 pep:novel supercontig:GCA_000165425.1:CT868049:44483:49365:1 gene:GSPATT00036067001 transcript:CAK66936 MSFHQINKIIEKLQSCSLDVQQSAIRNLISKIQCGLVGLNELCDKNPTIGCILFKWIVNQ CINTQLQLINQVIDLIKDLSKHHLVKQNLKSDIQIICEFRNKLLQYNLHNNSLDLLIQNV MEGESIQPIQNPDKMNQVVKNQFDDQIKILVKPDHKTIEYEYFPFVKLTEQDEKFLFDIS VQLKFGNNQTILFTIKEQLWRALKDFPIEVFRSRQEIIEEVLILCNSQKDENIIYYSLIA LQKFITNLTTRFSKNQSEQNYNKNQEAYVKDNRDHIVYSYPTLDPSAGQQKMEINQKTLK GQIDLISKQILRNLTKFMGRRINILFLIVKLLKKVSQINQDAAQDSVLTLINELTQIFDT NDESLHQQQLIPLYYISFELLTLLPEDFKMQSIPRFCSYLLEAQYANLFSSQQMEYLFTK TNALCPNLVQGKQHQQIALQTLELLFSNHYTTQHMNKTACEGYVKQLEDSHYILSLQFTT NQKSFRVPKQSVSIEELSVLETNIISQKQSIPQKLVYLDQLIAILIYYSKQQKEFLSQVQ VKESLFKSYMTDLLTNVQKYSKEKFESFKNEHNYKIKGELQQLLCKFLDLSAVSLQILMQ NQFDMAIYLLQQTKEKQSQLSAFRYLIVAVLQEELSSKDHFYSLSKLIFDYKGKSDQFWD NNIPLQNRVTHFLQCFLQLVLEGLNYQNYKQQQIYIKNSGDFIQSLDLLLALKANEVDIS YDNCILDELKQLMSSTLFLSSGKTYVLNLISTFNETKSFWLPLLSYVHQCFLENVGEEQV EYLNLYIQLLSVVKQHSEVGKYIVKYQSKYPFNIKVSYYVLKLLKNNLLDLQPDLLKYLQ SNIFQYYNHLNFENSNCLIKLLKLSRQFNLFQSLEPLDQQILFSRLIQLTKSHLQIIRFL SLNILSLNLKQLNADQINRIEDQMVDDLYQSNESQYIYSQSITIILKLKPLKKLTRVISY TENRLKSDIASICKAGLLRLVYLCLQYHKDEAIQQMYNLQIDHQCAQFLVKFKNVDQLFL TLHAAEILNVLMRQSVSKALSILQSYNLVETAIHSIHKLRKYLNCNQPNLIAGILLQLSL LLQFATHYHKDYVEFEYNKAKQTKPKWNIVSVISLALQNDNFSIDNKIGIAKILQCIYQI EFTKSINDDLIYSLTSIFRPLQLSDSPNEEDQSDLQYQSIIQILSAIVSVSNSAKLILCK TGVAKTIALYVVKELEKVINKTQQKPQKMQSSQVKNLNTSNVSFAQQNQLQQSPIFKVHV VFLKLLLKFSEEQEDYSLNSNSQLVEVIGLCIDAILKLLFLGFTTNSQIYFELMNDILIN LGSNQLWQSHLSQQLSEQKWLLLVELKKHICKDQLTLFQNEVLLKALGILGQNSDVRQVY IRMKIIEEIQEKLNKVWSDKRKWQTNTQFNALIVQFMLSLSFYKETQLRILANNQFVNIL QEILVDNDIQQPICQDILLIYSNCSLNGKLKKMIINNQQLSCLVMNTISETTLGADLRYR ASQFLLNLMYKCTQAVCVFNKSQVFDCFEMSMKDSQRQIDKIQLTQNDNNQLISKQEDNN NKIRHLQKFLNNVNQLLKILKIEW >CAK66937 pep:novel supercontig:GCA_000165425.1:CT868049:49568:52065:1 gene:GSPATT00036068001 transcript:CAK66937 MDQFIAQLSDKQKALDIVKAVENKQLNGVYYSQDVTDFFEAMKKIMKEKHPPKECLYGLK IVKDCIEKFNTDFRNKVKSELLELIYEVATFRIKDTDDSRGTTYFKNPEHEKQIEQYGAS YIRLALDCIRVWALWFPDFKGYGQRLEKEQGYLPKINYFKQELINEHINKNQVSSTPHDD TGSIQNKIRQLNQQLINYLQSHTEVNSFLKDELEKINVQIEDLVVQEVQDQFLDEFVLTY PEFQSGKISYQEFRMQFLSSSQLKKGSQNILDESNMSQSINQASKVQKPLQQQQQYQSSY DQSYVLKNEQQNEIESLRNQLQAAQQECQRYSQTIQQNDKRTQELQQELQNCRVQIENLK NQRKEEQQSHDNTILKEYQQQIDHYKNLYELQLKQQNYEQQQPQYQQQAQQQSQIISQQQ YDQQNQQIQNYQEEIENLRVSYNIVNEKLKIHEQKGNATFSEYDFMNLKKKYDELDSKYF ILKQENHRLKQTNQEYGNQQANQSRISESFAREIDQKDENSNVVFFNFKENDLWQGTQNA NQSQSLVNSRMRDVSELIYPHKTAAEYYRQNGKKQFKGTLINRSALQLQLNPANLLNYKK ASLNQLATLFQSNKIEIKSRQQLTYGLNKQEFISTTISIKNKELKSIQLQIFNTNKNIWC NKETKSTLLQSQQTILYEFIREANVKLHELSLIKVSVSFENFILYLPSFLRNCIQYYHIE ASTYKTMKKQFQGSIYATPLLPISCKTELKQLSEQGQLLNQWEQENEDLITESKYAFKAV LSNQLEFYLELIMTPCDEFLFRGFTSFDEETLQFVLNGLSNLYS >CAK66938 pep:novel supercontig:GCA_000165425.1:CT868049:52133:53106:-1 gene:GSPATT00036069001 transcript:CAK66938 MFIILILCIASTYSSFMNCPAETLQICDDLYHPVCAYGSNEKITKQFQNSCEACKISTTL YYFEGECQQLINFNNTFTYRSTSEQDVQKEVTKYCDEKTRYSTDCSNQENLVCGESTTNC SVCQQEYSNSCVACANSAVTSYYDGYCRNEQTQSRIIYCPPNRPTSCNENGLTVCSQTTS PCLTQDCYSESKSWCAACSNTDVVTYYQGSCQDYLDYIQTINIMEEVTQKCSIVKPETCP DTVQEVCATENCDDDKLTCLKTYTNSCLACQNPKVMSYLPFSCYSYQVMIWIMIIYFIY >CAK66939 pep:novel supercontig:GCA_000165425.1:CT868049:54420:54638:1 gene:GSPATT00036070001 transcript:CAK66939 MGCVTQRQKKDFIFSTIPVQEQREEDLDEWTKVIQKQQGRHGNHSGRAILTKSKTLSARY HQPLEQIDEEEV >CAK66940 pep:novel supercontig:GCA_000165425.1:CT868049:55344:55866:1 gene:GSPATT00036071001 transcript:CAK66940 MDVEYVGVKQEFLEDSIIYSIARVLDEIVRETDIIESPQQTAFHTNKKPAISLAKYLERI QMYSYCSNECFILALIYIDRIQQKNQDVVINSFCVHRFMFACIILSIKYNDDDYYKNDYY AKVGGITISEINKLEQELLTLLDYELYVSQQQYYFYKDKLMKYAKL >CAK66941 pep:novel supercontig:GCA_000165425.1:CT868049:56841:59754:-1 gene:GSPATT00036072001 transcript:CAK66941 MLHKNIPIPNQAKHPHFDLEPNSHKSDPIQQSSNSLVYNTLSSSHQFEFNNLKKQLEDKT KEIQYLRQTENQYKILSIQFQETNQNHQIELKQLLEQMDAYKQRTNHLKVQLEEYIREIN LGNQKYQECYSQKEELSKQLKDQQLQYDNLQIYVQNKQKELIQLQDRVANAESQNLQSYE IQKRSQIDPQIKEINLINQVTKLENRNSELENKCALLAQEIERMRFNSNQNEIQHIKIEN EQLKQNLQQMMLQIQQFKNIQNSSKIKEDNSIKDRMRIIVLNTEIERLWSVIEDCEHRNQ EQIQQIEHLTQQLGLFEQRNLQFEQESMRKLQYEQDILLLQQQQDFWTQNQKELQNKCTL LTTEVERLNIILREKDIVHSKYQYEIESLSRQLEQKQKELQQQQNSILEMEIEISNYQQE SMLLNSDNDNLNMRISNLEEDMNAQIMELTQNFKRETQIQEQQLEQLQHQNSELEKVIQS LKQEQTIMDGQKDDDKRKVQANENERISLLSEIKDYQLQLQINVKDNSKMKEDLIKERMK IVIFASEIDRLWKVIEDFTNNLKAYEEQSNLQNEKIHSLTKSIQQYQNIISQQDQDRKQQ SVVINQSLESKIALLASELERVRGQLNVEQVKVQDFDGKQRTYLQEIEELYTQIDVYQQE ISNLQKHGLELRDLEMKFQAERMSWETQKYQLNNQLQDNEQRLLLQIQETKRLNIVTEER LHEIESLRLQLRNQNQFNDYDELKQEYTRLDQQLMEFEQINLKLKANTLTLEKQNQLLDS QLQGKIKEMEEAYSLMNKQRKQSEQVNKEAENNRKTLSIFQQQNSNLENQIQCLQEQLHK VYSENENLLSQMTYLQNCLIDRDLIVQNKNQELSEKIKEIDQMKIKYEQKINISTLQSSV VRSSSLTKQIPKSEQENIISESFSKSKYISTSIIQRPPRQAMAKIDTENNNQYQ >CAK66942 pep:novel supercontig:GCA_000165425.1:CT868049:59881:60215:1 gene:GSPATT00036073001 transcript:CAK66942 MESAFIFGCLFGMGIRMTVSRVIRQPFLYKCHQYPKYMLYTGIAFSGFDWIRRLSLETLC EREELSEYLVRTARINQLATGAESVGDYKKEFVQIAVDEHIY >CAK66943 pep:novel supercontig:GCA_000165425.1:CT868049:60224:60706:-1 gene:GSPATT00036074001 transcript:CAK66943 MSYAAKGEHKEALKYFEQSERLDPMNGLNKYQKANSLIKFGLIRISIDQFIPKEAAIYIL MGRILKKLNKIQEAQNCFNMAMSLDMKDQAKIKGLMESLSNPNSEFNDDFDL >CAK66944 pep:novel supercontig:GCA_000165425.1:CT868049:60709:62383:-1 gene:GSPATT00036075001 transcript:CAK66944 MIEEEGLQVKSQLEQQLIEAILDSLQNHMEQNAIFLAERLVYEHDTEEHRSILAECYLHE NQPYKACHILKECKSEFNRYQLAVAYFRIKKYKEAEMALIGPSFGNQFLLQSSNTPNGSF GDFLLGQIYESMLRIDDAKIQYYKALDQNPTLWVAFERLSKINEPVTINKVFIDQKQRQY EMSRQQSCNIYKILANSLKNKSNITKSGQKEVDDVKEEFLVIDNINKRQTQGVKPFSTTG VQAQIIHLDDSSNSQMKFTCKFSMIIFQELQQKKISAKMIPTPGIGLQNYQSLLSQPFQL SSNQNKNYNAKKSDIQKVGSVTLQSSPSLMSLPQLLKLFAHPYQLWTNYSVEAIANFQKL PPQHYRSGWVLEKVARSFMDQVKYTDAERVWKEMRQIEPTRLEGMDYYSSCLWHLKKQSE LTYLAHSCLQISMQAPETWIAIGNCFSLIKEIDNSIKFFGRAIQLRKDYSYAYTLSGHEF SQNENFHQAKKSYETATSLDQRQYNAWWGQGNMYYKTDKY >CAK66945 pep:novel supercontig:GCA_000165425.1:CT868049:63397:64797:1 gene:GSPATT00036076001 transcript:CAK66945 MQKIILSSLWVLSLILVDYFYGETTFEISDSITEYLQSLFDYGNENGLVESFLLIFYVFG GRQFMGMVFIILWLKSGLKEQILKLITMYSITSFLGHFIKMILAQPRPFYEESDVRLDFC KKGYGDPSDNALRSIVFYVILSETLLFKKYKVQASDLGVLSSINQDKKLQYHSKQLSNDD LYSQLYPNTMLSYNQYKFMLATFLFITGISNSYFGLNYLNQVIMGWIIGGYVLYLYYFCD LEKQLESLFIQAIYNQSDQLNNKLRHVGRLAIFTVFPMLISILLYLLRTNNVELIQQQEE WGLYFQSHQKCENQLDRFNRSFENQEIVGACVIFLPFYLYLCCYFTPGQYKPDLYNHQTL TLKGVVRVLILVGLLISQVFIHIFTRKVVMSNSLDINVAYLIVGQLFLELYFSLLLITIL PLLYKFCKADIDGDFLRRINQIEIQEMEL >CAK66946 pep:novel supercontig:GCA_000165425.1:CT868049:64821:65849:-1 gene:GSPATT00036077001 transcript:CAK66946 MYQIVWIVIQKQFVQNVQVAKILSNKFWLCLRFFKYGDLNTITQCICGAKQRIFECLFCK CPQAINGGNLKQSSRIICFSCKNGFYIVNCPGCKNMKILNNANEKNYCENCNSHFQIDDC KICQEDAFSRDLVEPFIFRCSNNHQYQRLICLHCKKPNKLNITNNKSYLCQHCNTKMKQI SCLCGQKQIMRRAENNQLQRFNCLGCRLDYLILKCNTQDCLGELLKLDRPQMRPSEFGPF IEIVNSICFQCKIEQFIPSCLRCNMLQEPFSLQMNKPTRCKGCQQEFIDSKYRECVVCVS HLADSILMPCKHVCVCNSCLQGLTFCPICRRDIKDRFKIFLN >CAK66947 pep:novel supercontig:GCA_000165425.1:CT868049:66948:68145:1 gene:GSPATT00036078001 transcript:CAK66947 MSTQCIKEQSNTQPISIFDPQEEKKIELQKFKKSKVCAECKSWIIRQKSVGCGICEDVYH LSCMRPKLTRKPKKWTCTRCLELKNTFPDTNELEIDTLCGRCQKHVQCDDEEFCSKCSKV FHSKCYGPKKVAPICIFCEQQKPPKIKQNKNLVQFLPLKISLKNQNSFILPCCIYDDTLR ERCFNSIQYALYCQNISFNDDLVYDSVQKQENNVALEKLEPLTGKDLEAFKKYKQVTKHG YYAPVIVEYNTDQGFYVKAVQPIANNTLICEYAGEVFRFADQVYSTSDSMMSLLETSFAA TSLVIIPQKYGNLAKYLSGINNTKKNSKKQQQNVKSQRFNVEGESRVILYACRDIRTGEV LYYDYNEGGFNYNTRFFV >CAK66948 pep:novel supercontig:GCA_000165425.1:CT868049:68234:69265:1 gene:GSPATT00036079001 transcript:CAK66948 MFTINTQIIYKLPDFIPNLNIDLSFPQILTPKYRPPPLKKHPVLDSPDEIKKWLEQRRKR YPNKQKPTVDENAQELSILEIKLRKKILILSGSSRRTQIKAKQMKELCKVLTESKRIQKK MNIIEPQISSDSEENDKEEKDQKIDDKEAISKEITKLQKKLSNNRPREYYKQVKEAGEQQ QLSKMEKQIIKTKIKDLKVKLKQLNPEPEQVKEYQNVIRQENTQTLEDHTKEMIDNLEEQ KEKIENLLEESLNYRVNPANFRYKSNTLYTNMLIGEIFRERQYVLQAIRQLVKENFFEVK EQGGLECITSESDEEEQESSEEEKGFQEEMEEYF >CAK66949 pep:novel supercontig:GCA_000165425.1:CT868049:69303:70958:-1 gene:GSPATT00036080001 transcript:CAK66949 MNESQMNPEDYYRLEGVIGEGSYGQVYKATQLENGKVVAIKIVPTTGEIDSLKREIQILR DCRSDNIVKYFSSYHSNGQLWLVMEYCSGGSVIDLVKAMSFNGSTLPEELIATILYQTLK GIDYMHNHKKIHRDIKCGNILIDHIGNIKLADFGVSTQLVHTMADTDTVIGSPFWMSPEI LLKSRYNKKTDIWSLEGEPPYAHIHPIRAMFAIKNNPPNSLTDQTRWSKEFNNFVKKCLI LDPKERPSTKELLQDPFFQRFCKSREYVQQFMIKNQRVIENYKRQKQNKQHEQQFEQSQE QGIVQLDTLVECEEEEDLGTMIVNDIDAIPMNETGTMLEHQYQDVEVYEKAMNRVSEYGL QSLNQMRTPVTESPLRKQKQIEDKIQQLTDEMNNEIKKIKQKYGDQIQSLQKLLQQVSVQ KHESHKSVHEQKLIPQSTNYYKQLDFKHKTSAPSTPVQLQTKQKSQQENINLENQLKPTK FQQTNLQKLQLQIQNRLHDAPKQDNNSKILKQLINRKN >CAK66950 pep:novel supercontig:GCA_000165425.1:CT868049:71463:72711:1 gene:GSPATT00036081001 transcript:CAK66950 MKAKLNREDYQFRKKTGQELIKYPGQLNGLDFVVSNCEDCTIYICDHAAQIFVDLSKNCK IFIGPVEGSIFVRKCENIEISVASSQFRVSNSNNIQCFVYTSSDPALEKSTGISFAPYNF TYPGITEDFGKAKLDPANNKWSQVFDFTPNAEASNWTLLHPSQFHLVSKSFEGLEEPEQC PVPIPTTYGGTCTKKIILGSADQKANGLEFDFLMGKDNVKNQQQLSDENQVYLETQLKPS NQKQVEQIQGNYEEFVFDQESVKSTEPQVNLQSQTTFNAFDELMAVDTQSNKQREVFQSQ QMLKDDAERKLKEQKRKQGQEYLKKFMSDFTELANQRKIQNRAIVREKVQNKEGWKTVMT NIDVKDNGGEKDVSKMAQAIKNKFMDVLK >CAK66951 pep:novel supercontig:GCA_000165425.1:CT868049:72750:73729:1 gene:GSPATT00036082001 transcript:CAK66951 MGNKALCCSTQSELQSQMCKLDGRPQAQIIQQTDVNGCRVVLSLGKLFNEQVDIIVLATT QKLKLNNQASEHIKKFGSNQILNELHQILLSEGGKVQLGSVVHTHAGEMPYDYIFFAVLP NATDSSIIVLNESLEQEMISSLEENFRVNSFAAYAEKKKLDSTESREIQIVYEAVVNCLE KATELGAKSIAFSVMDQQIIQIARSKLASVMLFAIKSFLRDHCGKTSLVEIRISSCEAPS FKIYRTYMNQILNDQGETTIEKLFQQEEQIYQQEFKIDSQTDIKKDDIRKFCNGCKDGGS QQKQFKSSF >CAK66952 pep:novel supercontig:GCA_000165425.1:CT868049:73868:75389:1 gene:GSPATT00036083001 transcript:CAK66952 MQPQSVDDCLLQQVNLKAQIKLRRIFSFPVLIRNHFLLKKFDSTTGFIRLSCLAKENSFR QLLEYPNGMQNLMNILFQFNYTNWFYDQNFNAVLPIFSKERKQVQILIKKNQVEEFKKFL QELEVKQEWEPREAEYVPNKDMIKLFIVFETKEDAQIGFGKIQQSKSIYGIQNAKMEEVD YFSKFLSIVATKQQQFQEQRINNMRNYEDRNYDETSNQFQNYSQQQQPQQQIPQQQQSQQ VQEVQQVQQQSQQQPQQSYQQEQQFYDYSSSDIVSQRLNQIRTKDKVELNFAPLQEQQFQ FSFAPIFYPQQDVQQQEVPINPQQQPQPELQPQQEQIQVEQPQEQPSAGQPIEQTDQKQP RETESNKENSVEKNHGRKYDEGRTENYQKPYYQNRYQVLMNISMQQKRRPNNESYQHSNK YYDNSYTRKPQQHYSNIELLISSDRLENDLREFTGYKKYSQRPQKEYYEPKRES >CAK66953 pep:novel supercontig:GCA_000165425.1:CT868049:75408:77200:1 gene:GSPATT00036084001 transcript:CAK66953 MNQTQKLAYYPNLLGQSERIIQQNVESKRGLEEYLAILQERANIEDQYAKSLHRLSQQID RSRYLTSYEYNSITYLREQLVNQLRQKIERLENFVDFIRSEIAEQVKEIILNQNQVQRKI IDEMKGLEWDLQDKQDDLMSGKLDYKLQARELEQSGIQDMIYQYSLDISEDKAIKQVSKT QQIQQECVKLERDFEIIVLSYNEFIDVYKTKMESYLSQMEQQEQQKLLVQKDTLMKLFLF ESSISKQSLQDTEKLNDQIRKTNLQDVIENFIMKYQRPQEAPRILEFRPYSSFLSKTIES MRSQEYQKLKDVIKAHNDTFYNIYEDYVKKNNLQTIEEQELTHLISRNSVQAIYKIMQIH INCVWNANEIQNNETIVGLLRQSTQNRLLWCQALEIQANKSNYKVQSNDAYEQLIKWSQK IINLVLLMSYIECIEFLDASPLRKLITVSSLIHIAVQNKKHFLMYNIKDNKVLQTADFIE ASVVQAVYDALVEEIKEVTTQEERIRKQKINILTQLSKICFTLLQFNPVSVIKIYAENYL KQLSVAQDATENLMFQIESFEQF >CAK66954 pep:novel supercontig:GCA_000165425.1:CT868049:77996:79479:-1 gene:GSPATT00036085001 transcript:CAK66954 MAILSLEMVLTLTAFLLYYLYYKKFKFFTIVEKLDEKNIKNKLPRGKCPPSYPNGWYRLC RSGELQPGEVKEIKLCGRHIAYYRGTDKQVYAVAAYCPHMGANLGIGGQVKFRSCIECPF HGWTFDGKSGLCVNSEQLEPKIVTTYCYPDIERVTKNQKGEYIQKIAEGEVKIKTYLVKE VKGIVYVWLHSKEAKPWYDVVSEESDHLTLRAESINYVNCHIQEIPENGADMRHFDYIHA SAMDIIPHWLDSDFLKEMTHPVPRCRQYQKQLFDQYFTNKELIPYLNVLLLDGYVILFNR FKFHAIWATGFQMGPSTVALYVKSAIFEVLFKQSIQPVEKFTQKVYHTVFTNGYLPYWFS AYLLHAELRQVLFDVKLWNAKIFSEILRYNLKTYSDQALLQWRQWYSQFYDGAAEFEKGL DKLEW >CAK66955 pep:novel supercontig:GCA_000165425.1:CT868049:81675:83075:1 gene:GSPATT00036086001 transcript:CAK66955 MGTNNSKETKKPPIRNEDKRIEKIMDQMSFNQKAQSKRTEQKTLPIVYQYKIQSQFAQQQ NDIYLENDLQFLKYDPDNQECGNLNQSTFNQQSAFKDQGQNSLNEEQSQSLDNKTIDKVI DVHECRSKLRIIPLVIEDQQHPIVIQISDDLKIELNQHHPTAQEAILYQDKEIQISNLRI AGIWRYLGPYEGFLKNKQPYQLFDQSIYIGDWFKKKREGFGLLINENYLYEGQWLEDKYH GNGRIIYGNSNAYIGQFKQGLYHGEGFYIQNIDQANTIYEGEWLSGLKNGMGKEQMPDGT IFIGQFKDNERHGHGKLFNIRDSYVFEGQWIGGITVEKGILIWQDGKRFEGGWQNNMMNG QGVFIWPGGKKYVGHYVNNLRDGYGEYYYKDGKIYKGMWKAGLMDGQGIIIYPNNTHERG IWKQGKRVDLQGKKKHKQKVV >CAK66956 pep:novel supercontig:GCA_000165425.1:CT868049:83566:84271:1 gene:GSPATT00036087001 transcript:CAK66956 MMPNNQYQSPQSSQLPVQSYKFLEVVREEEAEDEKPTHIFSENLTESQTRMLTAYTTPMK NIKRNGGNSSSSGRSPFQELQKSSSNQVFQITKCLENINHCNTEQEFSFEVQMTFFFNLH FPLQKCIFVIMTPFSLYLLIIQTFSFNNVQFSFQEDTIEITKYLNMEEMLTHGVCKIYHQ IQVILTKIDLFITQRLVIAKKSLIGIQKAKLSNITIFAVFFILIY >CAK66957 pep:novel supercontig:GCA_000165425.1:CT868049:84576:85512:-1 gene:GSPATT00036088001 transcript:CAK66957 MKSNKLRTQWHYPIYTLKTQRKVFIQIILNELRILESQNKSLKTTLGVYDFEAKKRPQSG IEKMANSQIKKIELGQKPSDFAKYPQIPCSIILRTNQKLSNLFVDESAFGSSAVRIEYIR ELEFLVDALKKQNAELQTQIQTKKPNLQQSSVVVPKKYEGHDCLNDQILVQKSTLVNVSK IKTANELTQKNKLKPDNSLKDRQIQNLIEEKKLLEQEVGMYKETFKTRQTKKEGFDADKM IKKFDKNSIIYGAKLKYLTQDKHDEEIKEKYLQALLQETFLLQQQLQKIQEESKYYDPHK KTEY >CAK66958 pep:novel supercontig:GCA_000165425.1:CT868049:85569:86335:1 gene:GSPATT00036089001 transcript:CAK66958 MDNYFTIISLLGLRNQNLPPFREARLKRYKSIKKMVELIETAGWTQPKVPFNAFCLSSQD PEWEDDMTYPVIEYNKFGYQALAFGMNLFLYAYNYNVITQNIRFRTFRYLFPVVQCVIFS RIYFEYKSELTKVNLFDEYVQLRAQELVKENEFLLEHEDIKRFVWWYEDYKETLCRVHRQ ANDHAATDFKDSELILQDFIRRYTNPNSARPLNYQEKGVLF >CAK66959 pep:novel supercontig:GCA_000165425.1:CT868049:86356:87685:-1 gene:GSPATT00036090001 transcript:CAK66959 MDQSKIIDDSITLDVKSPKLNKLYIVCSINSKEPFTDPETIQVDFITQKSDTLMALKIPR MFAYIGLSGTKAVQNKQHEEQPNQYLSCFRLLDADNLIGGYLDGRIIQKQISTQRIIKEF NRQNQESIIKKRPLKIHIFQKYFVTLFLEGVVCIYHQDLQMFKFSNEYEKVMQLSFIYHK SIVSQKTLKQFESAHNFHYHYLNYGEFSNFQYCQQKMNPLFLFRFQSMSINDIEILPFSP LEHLNNPEQRMEKGLCLAISGLDGYFRLLDAITNKPLFSFKTEYCGICSFSFNPNFSSVC LGAQDDSAYVINFNTKVSWIRLLGHNSFISRGVFSSISATEFRIICGCYDGTISITDVDL NQLNQENSLFVRAQEKEKVLQVKNIQSVSQDGVANVAEYQNYVVCINFDGVIKIFLLQ >CAK66960 pep:novel supercontig:GCA_000165425.1:CT868049:87791:88569:-1 gene:GSPATT00036091001 transcript:CAK66960 MLKKRFLFIFDFDNTIVDDNTDTYIWKLLPEGHKSLPPQFEKEKHWNKVMRKVLQFYYHN DISVQQVKTCLQEMELTQGFRELMNFIRKNKDQIECIIASDSNTFFIDSILEKQDLKDVF DKIFTNPVQIIDDMEISIFPYHKNECESTCPRNMCKKTILLDNYQFNNYEKVCYFGDGKN DYCPGTILRKEDIIFVRKGYGLEKLIKQKDLECEKVYFESGIDCINRLENLQL >CAK66961 pep:novel supercontig:GCA_000165425.1:CT868049:89054:89387:1 gene:GSPATT00036092001 transcript:CAK66961 MSEHSHLMDENVVQSQINFKYKTKLNGISEPSEFYCFTCNQPRISRIEYTYSKATFIWCC ILCFCTIILGLLPFCMQKCSSKTHYCPACDKIVGHTDGELC >CAK66962 pep:novel supercontig:GCA_000165425.1:CT868049:89409:91860:-1 gene:GSPATT00036093001 transcript:CAK66962 MTSQVSLIVWKEQIQIILLWQKSNNYQRNSPPQKELYKHHYTEDNWPRESMKSAKAIESK QAKFIVLADDCSEDTYKKLVIALAKQFQIPVWKVEKGVLYLENGLAFQSSLLRQRRSNQE NAHLQQQRTLQLRSARMKNNLQKIKLRHCEVIQYIYIHQNYQMDKFSMDEKALDLHIFTP EEAKLGPYTYLNINYLPHLASHIMHKISHKEFCSLIEFELQTYLLELAIQPQRSKTIKEL TSYIIREMRLNSKFWIHGSEAWNEVQLRFKLLHTLEPNCSQEQVKKSGGKKSLSEILQDV HALRKYLASLDFSKVDYKSEFLKIPEVIALISEYDQANSQNKQLQAIDSYNEIIQSLVEC KQRKYQLKLQQQVDHVKNQPVDLMSRVTYFQNKETRSAKTDQVSYRDRRVMIKELQRLDV DERRTIFVPNQVYCYYKIDLVILPYIYRFIFGENCEFTRQHLRIQNLVLYLCLSDQENYK QNKQKKNIILDDNAESKTSMKLFQKYLDQSKDKLMTDFEQYCKNQNISLKNYFSSTPASY RKELLLIRNILILSFRFAKQILGIDYNFEDQKINQLDYEEEEKNDKSHNSYQKWNKTDMQ NDYYYFNVLAQLELYYDIPFDQYDDLLRVYNNYIEIVYTSKKEHFKEYFGVLKTNKDGIL GLKSSLEQVNSAIDEYLNYINAPKTQQKRSYIYHSDNREEWKEEQYKQFLEGLYKYFEIV VNNKKIAKFMGDVNPNHVRFIKGQYLRGLKKRAKEKNINRKELLKQDIENFDISIFEKK >CAK66963 pep:novel supercontig:GCA_000165425.1:CT868049:92239:93587:1 gene:GSPATT00036094001 transcript:CAK66963 MGVACSGSKRNEQLLLHVDVYIWKEKEKKYHLYDYSSHESHYQQLQLIQDTKLCKYGENI LAVQPNQTIEGAQELFVIKEKVLRPITEIWSIIRNDQVNGVPVTNRVQLIPGQTIRLGRV KIILWEACFNQAMATEESEKEKDEDANGLDDSENSNAENSCRICMSKVGTLSNPLINPCQ CSGSVKYIHIKCLQQWIHNKFKIRELNNIVLYFWSNLICEICKEQYKLEYKFQNRKYHLI DIPRPKEAYFIFWISHIDKNKEKGLYVINLNGRSNIKIGRVQENDIKLQDISVSRNHALI TFNREDESIYLEDLGSKFGTLLQIDQLKLDCKSIIQVANSVFIFDYLRKNQKHYELPSFY KVVEKETQQQPQDCVEDDVLVNVDNNKYLSGDNEAS >CAK66964 pep:novel supercontig:GCA_000165425.1:CT868049:94760:95838:-1 gene:GSPATT00036095001 transcript:CAK66964 MDQDAQAQMIQIYNKLKAQYQINSKPQEMAKSLLILLNQVQSLETSQIQEDQFDQWHSHL QIELNLNSDNDDKQKQHPQQINFQYQIFIIEMIKNLHRTVIIRTSNSRKQSTSSNDSDST STKSEIKFKQPIYIQQPLQPLKEVSEPNTPDTLKIPQNQKVSSRKSRFQKPAVEIKQDSS SSSDSSSDASEHNIWNDDEILLKGVHLGEFSYLSPTDELQMLYKIRFETYPKKKEQISEE QLALETQKLINKLKLHYLKYKKILPLENLKDYTQQYNINDDLNYMRFWDYFRVRTNHRKH KQVILPTKNTKENRSQQPLNPTIRSQQRIKTEYRIKSLNTRRL >CAK66965 pep:novel supercontig:GCA_000165425.1:CT868049:96534:97640:-1 gene:GSPATT00036096001 transcript:CAK66965 MQFYLIKCLFYYFQKHYIIYKLIIIFQITFNKRKNGLLKKACELSVLCNIKMLLCFTDLS GNVYQFMSNENTEMELIQSNHKKIFTKQDYPGFQKKKDNESQSEAESELSIEQKRTRAQE HLNQQHLFIQQSQLIQQNQQYQKGQQQMRLRNKVLENQEKQIQQESRKIVKIEPKQEEFE QSKNKMQPKSKKTNDEIAFNDIIDHQQARNFDDLYQCGNQLDDQSNSPDQQDFKQQLSMF TSSNQIKLMKMDSLLNGNNNSKPHNFLSDQYNKFFNKDRIDSLNNSKVLQPSVQFIVPPQ VYFNIPPSPINQAMNKIYVGVDEPMDFNLGQSQYTDGFKKYTK >CAK66966 pep:novel supercontig:GCA_000165425.1:CT868049:97869:100733:-1 gene:GSPATT00036097001 transcript:CAK66966 MCIVFEIEVSPVLSRSLSNRPDFSLPQCDNNSEDVSFEPSISSEQKLAQSIFEITEQKRE TKMPLDRDIESSQDQLIKIKNKKWGTKGLRIMFFMTKFVKQMKNHSTQVKFKSLNANILK LISDAASDSDVLLQKHMNKIQILIQNLKWALSHIPIMDPDSNFKVMWDILLLIQIILNIF YIPMKIGFGFQNEGILYQLFFESLPSWTFIVDIIINFFTAYYSKGQIHKSHKDIIRNYAR YELWWDLLIAIPFILSSFKIKYTEYILMLRLAKVKTLINSVEEAINPSITMQTVLELFKS VFLLLFVSHCCACLWNLIGQMQQDAGNYSWLDSKGIVDAQWDSRYIHSLYFTTVTTLTVG YGDIVPQSDLERIFVILMAMVICGLFGYTISSIGNILRQLTEKEQIYKQKMTQLNNYVKK RQLSKQLILSVRKYFEYYLKMQDENTEFGENMMNALNKKLKEQVAIDLYHNTLMSSRLIK KTLSEKSVKKLCCYVREKRMAPEEIVEAQNELANKLFFLQKGQINLICDQANRETQLAVI NAGKFIGEKEFIIQSRYDYSIRTTKFCQIAYIQYEDFFKIIKEDPIEEENYQMLKDEMLF NEEKQNYGEVCYICRWTHQFMKCPFVFYHVNLDRLRKRFMITEDNKRKRYKRYLNEKTRF DKQLLQESALQQIVDNNYIPLEDLTDQYLDTLGYVININQNNHMIKTLLSMKTSNTQNSS SQDSDEEDNQIHLINQSKSPNLEKKVQFLQISPESKEFGKQTSKFKRVRGSKRTFAKLSQ IQNHRGSSISALSQDLSNLGQVLQQSSQSQNSYASQLQPQQICQPTQQMQMHHASISSRS RQLRKGNSNSYQNLDQQMTQLIKTQQQQSRSDLRQFSLSMIQETSNSKLEEISYKSRQRI DFDIDQCFNTKHYFVNFNLDIVLMKAKRPKKTQRHAAQILSVIEKSKIRQQQRL >CAK66967 pep:novel supercontig:GCA_000165425.1:CT868049:101484:103520:1 gene:GSPATT00036098001 transcript:CAK66967 MNQIKNLQQNINIQEAISTITEFTIIGDKNNQDLFEYEFQDLLACSLKRKILKKTTEADI IIKILSSVSMLFTNINNNLIVLLSLPQLNEFIIFDYNLKKEEIPDYYINFIKIISNKLNA GNIQLFFNSKYCQFPLLLYSQNFYNSPDNLTRIYTRHLLLHILQITQESKLSPILVKYLQ SYPFLQVLANHMQFMRELFAKTKEKHDNFEEELGLMDHFFKDLSQIVPQLKQILDNLIMQ IIILPQYKEITNIKDDQKTQCFNTILHFLQNDIYVSERLQVGIFSLIFLHEIPEFQIFEI ELNYLPVQDPEIREICLNFFKNSSNIQESRFMVLEKLNYTLSLIPYLSSYYSKSNPKYLL FEELLKNDVVSILLMSNNLQYYKQQVYNLYNIYTFLDCLNEGHYLQVLDIMLVLIMNKHI FQMTSLQKVSFLINLINIMINESENKINTIENCKKSIQIVKELISNYEKRFMIAFMRCNV LKKIEIHFINSESLYYKYFFKIQQCVEDENIEVFKKKPETHQIIRFFMFLNVILEQGWLA NPFKKINYNLTFEPKSFKNGQIKLINCQAIIENNTYELEELEVMYDQEQKKVIIVNLINE NENYKVEFEEAVEQEEFLVEFQMRQKYQEQHFVPETLRQLNKLYL >CAK66968 pep:novel supercontig:GCA_000165425.1:CT868049:104715:104960:-1 gene:GSPATT00036099001 transcript:CAK66968 MQSKLNNQDDTYNSEPTAANKVQIRLDKFNIPILKKTKVHRVVFRDECQKGKTIADIHVV ENWKRYNQLTEESAKTCCSTF >CAK66969 pep:novel supercontig:GCA_000165425.1:CT868049:105009:105696:1 gene:GSPATT00036100001 transcript:CAK66969 MINSYRKWQGFVTEKHSLKNLYAKAKVDITQIAKQIIFTILIAQIQIETNQSCPICLNED IVSSGVQSEQCKHTFCIACINIYWQHNQKKQLKCPCCRAKISTFAKSKKLQNEFQQECDQ FILEYRIRCTVLKYYLIYPFQIIVNVYKHLGQLFNLSKILLKLSIQLQLVLCFILCIYVL SPIDLFPEAIFGVLGLVDDLLCIIFIVWIIITQIMIRIFF >CAK66970 pep:novel supercontig:GCA_000165425.1:CT868049:106192:106580:1 gene:GSPATT00036101001 transcript:CAK66970 MNLILELINFPQDKDQLQIKSYQKIKNNSHSITQKDHTIKELRFQNEQLHKSKQQIIETL TQELEIERTNRRKLQQENDDLESALSNIMVTMKMNYSTNVKSDGKIIKDQEFITSKSIE >CAK66971 pep:novel supercontig:GCA_000165425.1:CT868049:106764:106913:1 gene:GSPATT00036102001 transcript:CAK66971 MKIELRNLQEQIKEEKGMQSKQQMKIKDLYTDIEEMKHELNILRQSDKD >CAK66972 pep:novel supercontig:GCA_000165425.1:CT868049:107494:108291:1 gene:GSPATT00036103001 transcript:CAK66972 MDSRQSKVIEKIEKAGLLEKHNQLITQGYTNPWFNYKLLKEHDGNLDSVIVFYNEHRKKK DEQNAKALKILETMGWLNKHQELVEKGFAQVKKNLKALLRTEGDVAKSIEKLSNKKEVQT DQPIEQIVEQHGFKVQYEKLKEMGYDNDKRIVRLLLKFNGNLEPIIDKLLSGREGRHHHC KKREGKCEKKDKDSQEFQEFKLKKKEFKKKLQQLEQSGIHRHKAVKLLAIWNGDADAVIK WANQVKPQNKAETQTLQEQQVPAQE >CAK66973 pep:novel supercontig:GCA_000165425.1:CT868049:108423:109945:-1 gene:GSPATT00036104001 transcript:CAK66973 MATIKKTLPRPKHQKCEICQKNFSLYSSSYIQDYSNNINARDVSEQCVVTVEDLKPLYFF NNKTKIVDYDLKTQHRCCQICRDEQLNIQDIIVKEQLSFNKNSLITKQWLKHCLQNVREQ DLTEQYYTILGQSKKNLSAAATEELKSIPAIINQVRSQYNYSMKEFDYYLTKDLMENMPA IFIQSVLQCLLYSYPNIHCTQNIVQMVYFLLYFHSQPLVFYFLNFFREEISLGRFLDKNE KMEDAEIDFLQEIGKTNYLIEPTDLLYYRKYLEKHAEKMLSNVFFNFVNVQCLIFIYDHV LKFRDYFELEKIVALLGSQYLNDFKQKGLDDEYFTNQIIKNTKQSVLKEYLSKEDRIRLN DKRQTYSKSEDIFLSQFQQRMDHQNETKQILYLLDDCILKRQPLNNHHKQLISQLNQKQQ QIITDLLKLNQSKPKEQDESQLYHKIETHSNSSTQIKFDKSELVDQNKALMEDFI >CAK66974 pep:novel supercontig:GCA_000165425.1:CT868049:109965:111227:-1 gene:GSPATT00036105001 transcript:CAK66974 MDQQQIVKKKRSRSQKDNGDSIPAFLLKTYEIIDVKLMCNSQNPSNQDVISWNEEGNAFI VKKVNEFSDVILPRSFKHSNFASFVRQLNMYDFHKTRHDNNENEFKHKLFQRGKKDLLSQ IKRKTNDQKEQNSLTLIKTDIVRNGNQEIPEVLDFSYQQISQQMSRMQNKQSELEKLMKI LIKQNEKILKENKYLWTELMKNKHKNENSEEQIMKWVLQSLQGNNNKSGKHKNIQNNNLL MIKQASENDDEMQNEFQHQKYIENEKNALDQLPQQILKSNFEQQIEQLSKTQIIQILMNA VANNQKQEKKNAFKYEDEEVSFEEEYPITKKLDLKKENEEKKQDNQLMIYSDPFSFHKID ENFLIAESPNLSRKNSFYDQEPIPQFYEY >CAK66975 pep:novel supercontig:GCA_000165425.1:CT868049:111409:112001:1 gene:GSPATT00036106001 transcript:CAK66975 MIKQNKEGEPIFIPPTKILQELKYLHKELPAFCYSWAWEARCVCAFEKGEFIEAIKELKQ IGCQKRCEVYAKKPILIGKRLFNVRLISYGASSPKKMFFGPNHLAHAFDKLMFDYVYIFL ETPQKTAKKIQLLIQKAENAQNNRQIASFKESYKLLLGKEYEVQNMEEEQSEEQD >CAK66976 pep:novel supercontig:GCA_000165425.1:CT868049:112282:114372:-1 gene:GSPATT00036107001 transcript:CAK66976 MNELENEFLTQCNIESSAFSHSYIEQIYNEVHFNNIAKRDQIARLRKEEIQYQIKQEKQQ LHVEEIEKAVEQKQYLEIDFDRTLYSILLRQKYINDLEEQLRLINSFYQDLPIEHRESQQ NKKLSYLSYTKYKLSYDEYIKQLENKRVEVLDNLEKVKNETQQTQQNYYSLLQKIKQAQQ TLAEQEKTIQQLNDQNETNIFKLHEQRKVVIDLQVLQKLERMYLTFEEEINENFVTEDIN KVDCNKEISDFMHTHPFGVPFLVENLIQQYRKYQVQIDSESSIGLGLMEEKQELQQELKE LKELCERFYVYDEEEESDSQFQDVQKLMGFLTMKQSQNNFKQNLLHKAESFIIKVFGNLY NAIKRFNDIFQAIHQKRMAIDLKSSQIDSKIKNFCANTYIGHYSLSLYQFINNPLTSTQP DLYHQIQNSIILKLYVNEDALNQFLQAGSTFIKLYLKASDYYSNQIHTMIELIHSITQIP KRSKFSFNDSPLFQQNYKSKLSIEFSQKKISLRTDIESRAQVQVNFKTKTTKRNSFTSTI GSTRRIQQDVNEENYLESERQKIINPKSSISNTTKIPSEQQKYCDILSGRGHGFEKKVII QNFKSLIKLRQIKRKFKTNPVTPKSIIGSSLIFKSTYK >CAK66977 pep:novel supercontig:GCA_000165425.1:CT868049:114379:115164:1 gene:GSPATT00036108001 transcript:CAK66977 MYIKQQLLKQQIPSYQHSDGTCFCSVCLCSKCYCKQKPQQTHYINEPKSIYNIDYDSRRT PIKHSRLPNQNYQGRQKALSLHSVYNDDFDKKQLNKSSLDNIQLPQNNSFNIPFCGCNSY ALQYPSKSSMPPQLLKPLNQIQLLPSKLDIKSSYQREHKHYKLDQDKIINFSKITNQRLH TNSKEKNIYLNSSYQEQYKGQTAKRVSQLPIQSTYLPFGLSNQGINLYVSTNKKDYEEQR QYQCEADEKLQQLSNQLLQEH >CAK66978 pep:novel supercontig:GCA_000165425.1:CT868049:116241:117664:1 gene:GSPATT00036109001 transcript:CAK66978 MDTPNIQAAGARPNKQTFDQAPSTRQVMQNKSDIFGVNSPAPAPQASRQSARPKTDIFSN ECQAPKQQEARQNKTFQSQIFSQEVVQNAPPPARSNQTFENSQQEVKRYQKKNNDNRQAD HGKDFLFGKSDFDEYKSLKRAATLSEFKPKLNYDPQNRRNKELYGNEIAREHLPTKEVNL ETSSPQKTKKQLQQQQEQNPSNRKQMENQSSVFGDSQTKVVQNQKVEKLTASTQKWSTVG GQSNNQVKEFDPETYAKNRKEAELQSSVFGEQAVKVQPVEIPEQQEESETQQAQQQQQQK VQKPKERLIPNNQTWQQTDSVKNKRDFDPTQSQVKDDVEASQKKMDALKSALDTHQFEAQ QIPANQKISNKNLKQKKDIIQREEKQPKEKTKAQAKKK >CAK66979 pep:novel supercontig:GCA_000165425.1:CT868049:117702:119103:-1 gene:GSPATT00036110001 transcript:CAK66979 MNKLILDANAFIKEINFQHLSEHYSFVTHEAILTEVRDERARQKLQNFTYPLTFLTPSEK MIKIVKQFATQTSDIASLSPIDIELIALACTLIEENGQKDKLKLEPLKPLEFNKIKNVYG SKNHQQVEEEEEEEEVQKEENEQVQNKQQQTQQKQQEPENHETQQKSEEQEIQETTQKNE DNDDDSDGWEEVVPKKKYYNNQSKQNASQKPKQEEKQVQDQEEESSEDENEEEWINNTNI HEKLNEIQINPQDNQGNLFGVALLTTDFAMQNVLLQMGVPVLSTEGYMIKSARRFILECH ICKTLVRDSTRLFCTNCGNNSLLKVSCSLESDGTIILYRKKNFKVNLRGTQYSIPQNLTK KDAPFIFCQDQLMKSGIQQQLARQRKQEELRYKKVMKTYENGIGFEDIDYKHPQQKITVG YGKLNPNDVNDMRKIKRNKKH >CAK66980 pep:novel supercontig:GCA_000165425.1:CT868049:119129:120564:1 gene:GSPATT00036111001 transcript:CAK66980 MSEPQVYNKLIRLYLMQPKMNKVIPARITRSLLKSIRVNISLAQTKMTQLQTKSEMPIYS FLLGPELPLLKKKSNASKNISIVEAMYQSYSPDGGESKSTSNLNAFIEKFGIYVNNDCVV RTCYFKYFHPKEAYIQQGVINQEIVRVINGEQKETRKNKASTPYLQGVIEMEDTEINNQS GLDFVYPNGATLIVKDKAFPILSTGPMSYPCEKPIMAVNQEKGKLVVIGSTDIFNDEYFE KDDNQKIFDFLLKFFLTKEVEFDKKTPFAENDCKNAPDIAELSDKLKSCLQESEELPKDV TTLFDSTLFKFDLDLIPDAVKLYETLQVKHEPLTLIVPQFETPLLGLQPAVFPPIPRELP PPPLELFDLDEEFASEKTRLAQLTNKCTNEDLEYYVKEAGDIIGITDKLKNRQKAQSVIH YVLENLINFKKLNQG >CAK66981 pep:novel supercontig:GCA_000165425.1:CT868049:120662:121774:-1 gene:GSPATT00036112001 transcript:CAK66981 MDKQMIFSFQTQKPKIREQVKRLEYFQQELSQIQDLSRQNRSKSSTDMHSITTADSRQYQ GYYEEVSAFALPKKDIFTQSLNKIQINQQNKEIQTETLPKPKLEILQENVMQLQLQKEEI FNQIKTIKKENGLLISQNKSYEIEINAQKNKINELMNEQNEKEQFYQQTMQQQNWQIKQL TSELQQLYNKQQQLNHYEINNAIQMLMAQFSQVEQDNIVQVLKEAIKINKNERLQVIQDW IHVQDIKEEIIQLKKHHNIKLKELMEQIKILQNDQIIEPELLSTQESLQLVSNILYRAIK SNEMLKLIKQNTRMYNLIEDLWNKLKFRLEHSNFSQQHIRTSSLNKVDLNSTNKKFSSRK L >CAK66982 pep:novel supercontig:GCA_000165425.1:CT868049:122268:124151:1 gene:GSPATT00036113001 transcript:CAK66982 MSETSFGVVSFTIILILFIYAIAGSYFEHKHEIHFIHETGLGIILGVVAGGLFTLIYRGT LYYIYEFNESFFFYILLPIIIFAGGYNLNKRRFFQNFLYINLFGLLGTLLTFLLIVCFTF LISDGDLIQIWGGDTTIKLKTEQIMIFAATICATDSVAALTMIKPNKYPKLFSVVFGEGM VNDAVSIILYISVDLLSGKHDTIFTVSLEFCILFILEFFCSLVVGLLFGVLASILFKYLR FITESVILEMAIIIYIAYGSFTMCELLGLSGVLSVLATGALMAYYNIYNLSSLGQVASKI TVSTLSLICEAFIYIYLGLSMWILSGYQNSDDQIEKPKCSWTFLVLELLICFFSRGVSMA ILSGMAYLIKGKEKFKLSLQELNIIWFAGLIRGSVAYALITKLQISNPEDLNERYQVEVI KTTVLFMVIITTILLGAMMPFYINLNLKRKVEDVNSRLLQNNEFESGYRRQIQNNFKYLD DHYFKYWMIFNYQNRKSEILKQQQEKQQDEENHNKIGLISQFQSKFTGNQNIVFYVEANK SIPAHTESLIEGIDDLEENDQSDQPNLMTHISQNYNDL >CAK66983 pep:novel supercontig:GCA_000165425.1:CT868049:124253:126308:1 gene:GSPATT00036114001 transcript:CAK66983 MKVQDASEKQAKPIDSRLMNGPLQDRHCTDIICCLLFIASFIFMWYIAITGYSLGKPERI LAAYDSDGNACDLDLPDYPLLYFPIVHPDYYNKTVCVERCPANTSDAVKCQTNKNITSCP RDCTKVSIETSTTFDFTSIDSMKTYVDTITSSVSNPENWICLYGSEPIFSRACFPSTALE ILNQTSNFSSSVDLNRLTSWLYDLSVAKYIILASFFIAFGLGLIYMVILRFLGGLFVWLT ILLYFVGISVLAGYTWDQHLYYLNDSQQSGSAGSTNASDNAKALEYIFYIEIAWIAFSIL AFFCIFNKIRLAIGVVKTATLYVRDNFSVMIVPPVIGVCLGVLWVWWIVSVVYIVGLGNI KGDGSTPFASVTFDNTTRNMVYYFMFGGLWKQAFLLALNQFIIGCSVCIWYFNQGPGQSY TGNLFQSIYWAFRYHLGSLAFGSFILAVVQFIRLMLEYAKYQAKQMAGDNKCTKCILDCL SCLVACFERFIEFLNKNAYIQIALTSKSFCPAAKDAFESIWANTMRYSLVSGIGSIFTFI GKLFVGFATVLFSYEIFINVEPYKTDLASPIVPSIVCFIIAYMVAILFMSIYQMACDAVL TCFIYDEELNKQNGGMSAQHCPETLREWFDSTQSS >CAK66984 pep:novel supercontig:GCA_000165425.1:CT868049:126362:127112:-1 gene:GSPATT00036115001 transcript:CAK66984 MQSRVNKQLQIRLMITFSFIIEQSNLLIQNNSKKVKLIINFKYLYSDVTPIVISPDNCSL NQLYSYFAKKVTQMQRLNQHNLELEESKTIEGVSVGLESNSLYQWNISFAGPSDTLYEGG YFQAIMKFPEDYPNSPPTFKFLTEMWHPNIYSDGRVCISILHAQDEFNDQEPPETRWRPI LTPEDVLISIVSMLSEPNINSPANVDAGIQFRDKPDEYKKKVRKLIDKALENL >CAK66985 pep:novel supercontig:GCA_000165425.1:CT868049:127179:129442:1 gene:GSPATT00036116001 transcript:CAK66985 MQFLLYSICFLLLLVQVQTQDENEIKCDQYFVNITTAILMGKMPTNLSPQTILSSAKGLQ DLGSYLVCKDSADLHYIHLRMMSEGKPMHMGFCLPEYCEQSHLQKYNTPTKDLINNFTMR LYNKSMVDSASYQDPDLIYPSWTVGTYLTLVLLIVIVALNVIGSIFSIIAENKKQAYQDD LKTEIKRKAAQKINESHQDENSLKDSISQLYKRSELICKQINKLYLENEIMENQESAFMS GKGQFEEIKYKKYKEPSWERFALSFSFIHNYQKLFKINEPKGENAGFVIFNGIRSLSIFW VIYGHDQLIRGSNSFNLIDIPYKITEAGWLTLTPAAYFAVDTFFFVGGFLAAVLLLEKLT KLRSIKFSLVPAMWVHRFLRIWPTYAFCILVYWQLTVYWSDGPIWNEYIEYTSTCNTQWW KNLLFIDNMFSHDASGLNYCFGWGWYLSNDFQIFLITPILLIIYAKNRKIGLALIISMLI GSVFSAYYIAYSHDYHLQMATPNAKPQPDYQDVFYFKPWIRISPYLIGILFGFFYCNYIM AQKIKDSVVIRTLFYVFGIGLTQTIIWIIVPLQKDMNAWPPQAQYFYQAFNRVFFVIGVG LCITPALLGCKNDPSRFILGHPFWQPIARISFCMYLTHFIVILFMTFSTTQLVYYQQSHI LYFTLTDIVYTIIIGGLLSLAIEVPCMNLEKILFAPKKQEAAKMNANQVESRNDL >CAK66986 pep:novel supercontig:GCA_000165425.1:CT868049:129540:131049:1 gene:GSPATT00036117001 transcript:CAK66986 MKLKRFLLRYYPPGIILEYIRSNGEQETKSIDLLNLSNDTDVDQLVEEIVMEEPIISESR KPQLAALIRKLIDKIESKKEQQFELFKTLKAHMLPLTNCAFNKNGDKFITGSYDRTCKER KQYLWKVIKMLYIVLHLTIRLGDRVVTGSFDKTAKIWDVNSGKCLQTLVGHNYEIVCTSF DPHSLIVATGSMDKTARLWDVESGKQIARLDGHDGEIVSLHFNPDGDKLLTGSFDKTAMI WDVRSGECIHMLDEHTGEISSTQFEFTGDYCATGSIDKTCKIWDIKTGKCIETLRGHKDE VQDICFNSTGTRLVTVSADATGRVYNVHTGECIAQLLGHKGEISKVQFNPSGNKIITASA DNTARIFSETGECLQVLEGHTDEIFSCSFNYEGDIIITGSKDNTCKIWKEANLPVKNK >CAK66987 pep:novel supercontig:GCA_000165425.1:CT868049:131115:131399:-1 gene:GSPATT00036118001 transcript:CAK66987 MEQIRQISGNTLSKALLESKYIPYEYRDITKEKEHEREVNEFVVKLKWSTSPMIYIQQSL LVDTQIQQIRLEGRIRKTNKMRIGI >CAK66988 pep:novel supercontig:GCA_000165425.1:CT868049:131667:132316:-1 gene:GSPATT00036119001 transcript:CAK66988 MDSLNDGSQPKKKYDVITPSVRMAFIKRVQSKQSTIKQAAQEFGIKFSTSKAILQTYRRE GRVGKKKTRQRRSTCRLESQLEKPQEIKKTKEQLAPVQSAQCLPADLQFQSLQLQLNQQI QMMQLSMSMNPYRLLQQTQYLNQYLMQLQTQQAINMQGWQMPYQQFQPIPQQFGKIEDQR QYITPLQSSKCLDQKYDEGRPTLKNFI >CAK66989 pep:novel supercontig:GCA_000165425.1:CT868049:133263:134082:-1 gene:GSPATT00036120001 transcript:CAK66989 MSFERYHPSKLNMESSDNNHHHNGNGHVHVKYAPIKIIHNDIVSNEERRKYPQLNLRFQL SEESITRQDPTVTIPLSFLQQLIQQAYSNKQLRAINNPSFRVEENRNDAVEELIKQIQIQ QKGFYPIDEEKKIQNALIQNQMNFIYSNEEAIQIIDNYFADNTNLKPLFNTWLSQLELKA IQEMLILCHSDPKGQQYQDLFKALFQKLSIEFYQKHAFAYVLRSGQQEKLKYLSQIGEIL NKITKPQEYYYFKQR >CAK66990 pep:novel supercontig:GCA_000165425.1:CT868049:134210:135306:-1 gene:GSPATT00036121001 transcript:CAK66990 MNEDEAALIFEERKKKLIGNLIEQYTKVQKKQPQQKNNIISKHEQSKLIEKLKPSNESPL ETSKEIQLKKIVKTSLLKDIGNIDMEIQKMTDEYHTIRNKPQYLEKQYSHSKILTKTINQ TELSKSEQKTSIQNRNQSYYTNRQKSNKINSVAENSYSKLAESIRNYSNQRKGEEPKTND LTKPYDFLQIKTQSEKVIIKPKTKSVNIDANVVKQQKVISSERKIPKPPLKQLSQKRNSE SEKTSPVKTILKQTSNHTYDLSSTKANTTQQFYQNKENPFIRSQNNSRIGDYKIVEAVNI YKTLKQLVDSKEQIHQKYPTTLLQQKTSTLLSHKNVKQQ >CAK66991 pep:novel supercontig:GCA_000165425.1:CT868049:135317:135931:-1 gene:GSPATT00036122001 transcript:CAK66991 MSKEVSPTEIKGRASRKHFPQLDLSELKPKISFLDSLLYKKYQLNKNVQQYTVGKAYLES QKERVIFRVFKDSDLNISSIFQQKLNKTTVDDDAMTTYSQISHANKQNKRAIIRRIYEKE RCSKSQKECIEEMEQSLFGSEEESQTDCTQQSNQEGKSGQFIQSS >CAK66992 pep:novel supercontig:GCA_000165425.1:CT868049:136044:138412:1 gene:GSPATT00036123001 transcript:CAK66992 MRYQQLVTHVIDLIKSYNPIILTPDSHCEQYIQENCKKLFDTEIMFLKQVFSGVQRYEEF LKILTKTMFTLLSSTTNRNDATLYHIFSYLILFRLDELPYNEFKKMVNEQDPVKMNVLLQ FLFDIDKITTHIKPLWNDIYDPQYIQETVIGGLEQHFPMMKDLLSSLSSRATGKQSELVQ EDERPESATKKQPTKTMPFKLSETKKKPEPPPPPKPELYKSKPVPSNLNKKTLQQIEEDN KLRFEQSKKKVQETYKDAKQFEFKTDLRPNNYETVKKEVEDTLNQQLQFNMKIAKPAPVD LETAEIKLNAAAILREEMLLKKKQEIERERVKNLEVNLRDSGEFEEWKRQQEEEEQIARM EHQQQKKIEMELAREAAMRAQEEKFKENRILAEKMKVEAVERLKERQELQQEQVEYKKQL IEQIMEAEKKVYLQVEKVQEKNKQMAEDQKLEMARMLELKRQEDEQIRIKREELIKQIRE LERQPIKRTKGYDPTETMGYGLLEEMSLAELRDRLEIVKAERKAEEEDKRKEIVTQKDEY LSSMQRKVQEIKAHRQAESQQKDMEREAKRMKKQKEEELRKRIREEQLLQVQNKISSKKQ VKSAEEQRIAAEVRETKLRQQYMNANKAMVEMKAWKSQQDGLEREIKNRQNEKLIVQEGV ESVNLRERKILAEQAKRLVKAKVDFNQAYDHELKTAYQMNDQLHLDEAENRTKMHNIQRE WKEEHTKNMITRDEYKQKISEISLKNSKKKLQNIKQEFSRQIEQLPSAQYS >CAK66993 pep:novel supercontig:GCA_000165425.1:CT868049:138442:140736:-1 gene:GSPATT00036124001 transcript:CAK66993 MSEQKDNSLQGQLFDNLRSLITLIDQLRDLGVQEYIKLPRIAVLGSQSAGKSSLLENIVG LDFLPRGEGIVTRRPLEMRLIHKPDALKPWAVFEVCKDQKFFDFEKVREYIVELTDKATG NSKDVVPDPIVMTVYSSDCPDLTVIDLPGITRIAIKGQREDIEKVTLEMATHYCQDERTI ILAVCPANQDLSTSDGLQLAIKLDPTGSRTLGVITKIDIMDQGTDCRKELLGQIIPLKLG FVGVKNRNQKDINEKVRVGVALQRETDFFAKHPLYSQMPPDIMGTKALIQKLTNILFKHI RASLPQIIREIDQKVQDCEVKLAQLGPGLPRDNKEKMQVIWNMLTEYTENLNNKLRGKFD VKQALQKDTQISGGAQVKLYLNDLYNEQAGKNYRVSREYTDREIKEAIRLHQGDSIPGFP SFDSFLYLIMPQLEKLREPAIDTLNEVFTYVDSLSNSILKKVFYRFPTILDAISDISSQV LTEQKNKTKVVVENLVDAELGYIFTNDQDYLTMNASIIPQEDKEDQKNPAAAPPGDNAAG TTNTNTTTTTSNKPAAQASNKDTDPEKVLAQELSRRLDAYFRIVIRNLRDSIPKAIGYFL VRAAQEQMQFQLYNEIMKSQSVMGLMSEPEYITIERDTIKKTLLVLKNAQKLLKQDPQQT FQRQTSEAVMDKVKKTAAETINKTKRFLGM >CAK66994 pep:novel supercontig:GCA_000165425.1:CT868049:141154:141736:-1 gene:GSPATT00036125001 transcript:CAK66994 MQTQRENLQSQGQLISSHRNFLSKRTKTEPSMIPNFDILQESVKNQFALGHIRGKWLHKP QKRRLMERQTINQRRKKLTFLKFGFGTHDKKNNYYLQPLIKQVHEAFGELANDGSMGVVD LWCDRNVNRLMDAIEMTRDNLLVRRMGKEKQKSDESGLNRVNDKNVNNIFKSSDDILLKQ NFN >CAK66995 pep:novel supercontig:GCA_000165425.1:CT868049:141769:143287:-1 gene:GSPATT00036126001 transcript:CAK66995 MQNYNQTNLQAEVTQLRQDKIEIENTLQELLKQDSLIEKWTIMKNFVLVSTEVERLSHAY VALEQEHQFLLNTQNKDVQQSEQKLKILITQLEELNALMIQQQNRIEDLNKNNNMLKQAI NEATQKMQVMSENNKQLKYNEQILNQEKQKLQNQLSESNNELNNIMQTLKECEDMYKGTI FKQETTIKNLYQQNESLKGESNKKDKVVDQLMKKNNDLINDIERMDRKYNEQSLQMKKIS KMYESLEQDYNDFKLQQQGNQSEFHQLSKRIEHKNQVLVDLENKYDKLNVIVKAQEAQLQ EKDQQINQFSQQLIEQQTLLIDMRNSKKQVKNDNESEVKQLTAQVKRLQDKIMEIRGELE SETILKERLQACTQNKEVEFEKLYNQNEDLKSEQQALKRQVSELQQALNVEKSMFLKVQL EYTGLRDQIRQTQEKDQIQDQMNLEEECVQLREECELLRNDVQYFKYQNQQQQQQIEELR QTKTYLSNILMDRRQY >CAK66996 pep:novel supercontig:GCA_000165425.1:CT868049:143403:145851:1 gene:GSPATT00036127001 transcript:CAK66996 MQLKTKLLLTQLILSNLISLIRSGSQETKDLMTITLNSIGLLLDLIIFCSEYAKQKQNLI NIQIQINIIVQLETLFYDVQNTNNYFTVIYLLLMQIDLKKQHQCYQFLTHTICLYVFTRL AAQFYVQFTTSQFILFVLWQPLNHLILYKEKSQNEIRKPSPTSQSQRQPNHNAHFSYMEQ CQQNLIDENTPHIDRNINVALDEDLLNYLPYGLVLIDTNLQVIKHNEKLMSYLMINQADQ ILNHLDQLLSNAQLNSIKSSPHTKHKPHIVPLRKLKQNSASQYQYNTGIKSNSANWLSDR LKVNIQNKGSFKSSIQEAGTHSYIQFVMSEFLNKSFIKINPSENLSMASDQTRMFKFHVV KDLKVRKKHFQIKVYEVKLQTKTKDIAFLFIIENITNKEELKELTSRFKFQQALLNSFSH ELRTPLNSSLPLLQILSKKIDDTLNDNYLQLAIVSCRRLLFQINDILDYAQIECEDFKLN SNNFLASDIFDDLKELFQQECSQKQIELILNYNSQITIYSDKLRITQILVNLLNNSIKFT KQGGRIVLSLKKRVSQCIFSVWDNGEGISNEEMLTLSNQSMYYQLGSNKMGLGLRVSKGI AKFLGGDGELQIKSEKGFYTIVSFSIEESVSTMMKDEDSSIRDIEELGSDTKNSAQRVYI SSRKFLNHCECNQILIVDDVPFNHITLIALLQNYGYKADSAYDGDSAIKKVRQRQQNICC KAYKIIFMDIEMPGKNGFTTSSEISKLLQNERQKSIIVMCSAYNGLENAELAHQSGMNEI ISKPISLDSLQTLLGKYFC >CAK66997 pep:novel supercontig:GCA_000165425.1:CT868049:145883:146293:-1 gene:GSPATT00036128001 transcript:CAK66997 MSIACIAIIDSQDKLNFIDYYIDTEDQVHFKFQTYTALQLISPILQKKLNGGTTTEPYLG VLYTIYLPNNDYDVHAYITITQLKMIIFIKQGTEKLNLLAIFEKIYGLYRASNYNPFHQI NLNKLIKPMVIEFNGI >CAK66998 pep:novel supercontig:GCA_000165425.1:CT868049:146310:147384:1 gene:GSPATT00036129001 transcript:CAK66998 MIIETIYIWIIWLILKIPQLDVKEKIDAEVYNEFATSNEKFKYSLFNSLYQNLEPKPLPR FKVMQSMNYLIVNNSTIIEYDKECMHSQSMRFQSIINQQRGSEHLPAEEKSYLHERVINI SNCSISYKQQQNRLKSSDITLKSIQCKDMKVIDQFNFLQKGKVLILACRIMASIRLHILF QKNNDTNLIQLYEQQISLKELTNLFVLYQAHSSEKFILIINAKLLYFTQNKIEFYKIEQV DEIQFSEDGTQLYAMRKSIVYFVRLQISKVQLVELVDQQSKVINNRLLAYKCWKQGVLLL TDKGYLRYLEVDIKDQIHKITIYDGFGVIMIMFALVSIKVNYF >CAK66999 pep:novel supercontig:GCA_000165425.1:CT868049:147455:149589:1 gene:GSPATT00036130001 transcript:CAK66999 MKQKNKKPISELLKQKRIAEQQSQQPQQPASPPSRSSSSCSSKSSDPYENSSDAEDYEDY KKDGYHPVCIGDKFHNGRYQTIQKLGWGHFSTVWLAHDKQSETHVALKIQKSKQSYQESA IDELELLKDLQKHIKDEKWIQYQEQLSQIPKLDYSTLKWCDPNIKNTEQDMDIKVKLNET YCVEMVDNFIHYGMHGKHYCTVFEVLGPSLLDLIIHFDDYDKRMGMWLVKQITRELLIGL VYMHEVCNIIHTDLKPENIMLQLKPQNFGEFVEQMKMVKKKPISMKFLEKLKKSMKSTNK KQEKRKKQKQKKLQQQQEKDQQVQQSTEQQQDVQQYQDQQQQQQQQQQQQQQQQQQQQQN TQIQRNTNNNTVQEQIQQDSSIQQKSTQINEDQNSTNIQSVQNTVQLEPITDEESEQISN IETIQNQGEQQSNKEQENQELLQKEEVHHAHKHRNLQKEKEKAQKDKKKSHSEEEEISSE EDDQDWKSEDQSEESESEEVDEETLYTLKWKEHIKIKLDRDLSIKIVDFGNACWTNKHFT DNIQTREYRAPEAILGIEYDTSTDIWSTACIVFELLTNDYLFKPKKGKGFKKSDDHLAQM MEVLGKMNKKWALSGSNSRDFFNKTGQLINIKDLHPTSISKILMSEYGFSYSDANQIDDF LVPMLAFEPKKRVTARQALQHPWLWSK >CAK67000 pep:novel supercontig:GCA_000165425.1:CT868049:149919:150275:-1 gene:GSPATT00036131001 transcript:CAK67000 MSQFRFENKPSKQFPLDNKVWKLQEHPCINDEPLLIEESEVGYQIEIIRIFNDSRCQQYT ESIIINNYTVQSVSQVFDNQLDSELCSENMNNKWDQYQFKQIP >CAK67001 pep:novel supercontig:GCA_000165425.1:CT868049:151406:151935:-1 gene:GSPATT00036132001 transcript:CAK67001 MKTSYCWRNQKVQASPLTCPQNKSKFENRLAKTKKQLSFHEQENWNDEHIIKYRNHLKDV RELRQRWHIIERPMNASISEKAKSEILDMEQSIQHISLEKPKKQSHIRPFSSQQQKLLSQ ISQIYSFQQCANRQNKMKLFLQSDDNYANRSFRYYKNFTNYLNSIK >CAK67002 pep:novel supercontig:GCA_000165425.1:CT868049:151969:153611:-1 gene:GSPATT00036133001 transcript:CAK67002 MSEEIEPYIAKKFEIIQKLGKGAYGIVWKAIDKKLKQVVALKKVFDAFHNATDAQRTFRE VMFLQELNGHENVVRLLNIMKAENNKDLYLVFDYMETDLHAVIRANILEEIHKKYIVYQI LKALKFIHSGELIHRDLKPSNVLLNSECLVKVADFGLARSLVQNEEDGMVLLTEYVATRW YRAPEILLGSTKYSKAVDMWSVGCIVGELILGRAIFPGTSSLNQIERIIELLGKPKADEL ESLDSQLAANILASINISKKKSFAQFFTGATEEALDLIRRLLCYNPKTRLTAEQALKHKY VIEFSQPDEEIVSLQPFKISMNDNKKFTIKDYRESLYSDISKRKKDQRKKWQHKYLAQLG VNLEEENDKKLKNKEPSPKRQDDLIDLNKVDDLQLIYYQQMQQQALLQKKNQRPQSQSGN QVRQHSQDVARTISQENSIQQIQHTQQTHHKSNSIVANSNQYKQGYYYPFQQPNNNQQTS KYNGINKSANNIVQQQMGRSSTGYYQKVNKK >CAK67003 pep:novel supercontig:GCA_000165425.1:CT868049:154978:155838:-1 gene:GSPATT00036134001 transcript:CAK67003 MQKEKQVEEYFYPVMYRKKQHEYLRQSLQEDYHNYGIWKTKEGLQKQAFKARREQEQEDI EIGPQKSIRPMTALISPSHSYSSRQRPITAPGLNVQVKHMMKIDENEAMISVPYHSEAKH FRNTKTLDKSNLFCISYQIANQKVIRNNSTLKKVSLEGESEIYVIEDEEAKNQNIIEKQT VKSSLFSPKQSEQQLTGGFHQNTTIIKGAQHQQRLFKHSSLQIYDNLAEIMKLINGQTYT KSPVIVKTKPQGLRPQSAVNKQVKVSQRYRPVSGKVQSITVRGIQL >CAK67004 pep:novel supercontig:GCA_000165425.1:CT868049:156380:158166:-1 gene:GSPATT00036135001 transcript:CAK67004 MKILIVNGYGKCIKGFRSQEHYKQIIKEVLTGKKEMIDTELEFFFADRDSIDDFLYEIDS SFVRVECGKMFDSIDLIFFEGDANLRPWSPNAYKFLILLRMCMRSNKILFASSFAMQGLV FLIASNVECQFSVINGLNGGQLGDLSKIKKPLNEIRMSDFFLDNVTGDLYSFNYDTEEWV SKGNAGVHSRRAAEEFKTIGKYIVKAPQYKVSRMKEIDQLYVSKENEIVCSLRKNSMHNY LFNSLPFEFVVPFKNSWDVHPFNFVNPKKTFQTLADCEKGPLIIQVSDNIIATQFALRSK YKETVQILRNFMGYQLTKLCSGRAQTIPIEIASIKQNDNAMDIYLEHLGRSKYNNQKTIK FNVITEFHHAGFAAKKSNQLDVVVNNAIGKKKFKQTTQKLNPKDLDRLLDQNSSLSNQPF QADQDRQPSTYSAQPQHNFEEQTLIFNKTGAEIIQFLHPTIDKNIFPDARPLWVPGYLSQ SRQLKKYLKQTQNTISEEGQSTPFLTEQKQITTPRIPRTASQPHFRVIQKDKWITNKNFK V >CAK67005 pep:novel supercontig:GCA_000165425.1:CT868049:158216:159372:-1 gene:GSPATT00036136001 transcript:CAK67005 MADIKLKIHSQEFDKSKLIKEKKEIIQRFQQQWIKESEEPPRYKKGIKIKNYIDMGKYEG ELNNDKRCGKGIYYYSNGDTYVGEWKDDRFHGKGVYLFANGERYDGDLRESIKHGRGIYL YENGNVYEGEWANDKKNGQGTYTYFVNSEKFEGQWVDGEKHGKGMYIYTTGDKYFGDWRD GAKSGKGVFEYLNGTRFEGEFLDDKANGLGVMEYQNGDKYEGEWQGGLKEGQGLYQYSDG AKYQGEWRNDTQFGHGILYYVDGDRYEGSFVDGIRSGKGIYTYSNGDRFEGDYQNDTRNG IGRLQMVNNDVYFGEWVNGKSYGKGRYEYANGDYFEGVFQDGKRQGKGVYYWKNGDKLIG QWKNDKMDGEGEFLQKH >CAK67006 pep:novel supercontig:GCA_000165425.1:CT868049:159406:160108:-1 gene:GSPATT00036137001 transcript:CAK67006 MSQQRRANSQQKMQQNITQSINNLKTQLQQQRQHRWSEQKMNKELFDFLTQINLQQFYGK IAQHCDDNLQQLIEMKSFKDIKDLPFGYQIKLQKAIEKMAKEPTNKTPKIEQNLQNDQKE CCWICFQLIFESIDCYGRKVCSEKCKQLYLKDIQVNCKRCGKVKTKYDAINSYGEWYCQQ ECIYTEEEIMLLLMKSSQLKQ >CAK67007 pep:novel supercontig:GCA_000165425.1:CT868049:161285:161626:-1 gene:GSPATT00036138001 transcript:CAK67007 MLQDSIRHGPSLELQNLAPLHKSISKITDPDIQSFECQKNTYITSPRAQRFDKLGNLILK NKKTQYQITFRDEVTAKAKLYDIILVDNWKNFNIIDQDKEKVGCCQSQSCQLF >CAK67008 pep:novel supercontig:GCA_000165425.1:CT868049:162684:165251:1 gene:GSPATT00036139001 transcript:CAK67008 MRSNIKQTHYVLDFSDIEDISDNESESSSPQHNQPHDSPPRTDIKSLQQGSLLQAVKKEA VEIPSLIIQQEEDQIQRRKPENLIQRWEVNFDELSEYEEADDTPVSIQLEKEPSPQPQLE EPQYIEQFFEDQHIMEEVEIDQEQLILERVKNVQKPALVTKQECKLKSQKNHLEKVSIRI VTNPINKLQKEYVNDSTTTQKRDINQHIQQSKPKQIVKQKFYNPKNSLIYLRLRGREDLY KRNDIVVDEPPKPQEVDQLLEGLDCINNLLIDLSNLKEKKQNTVEKKQITIKIQKRRVDE NNVNQIEKQTNKIEKSNEQIQEKEKQLEQQLEIQSQKQQEKQLEIQKLQVQKQRESAQKQ QLQQEAALARQIRQEKLQQEKEMKKQQEQKLVYKILTKKLINQGMPLYRCLLRQKQREFI KWLRFSEIALINEGEKEIYRFEKRMSDQMFLQIHKQNMTSVTITKQCMFNPIILHYVQAQ EIPLENPQENKSLEIEKQIKQEEFDKSVNNNKINSECKKQKEKKQPKQKKHKKKNSSECI EILQEDKEKDKEKENEKSLKIEKDRGIQSNSEDEDFDLLNADRIQILKIVIDKRKYNNRQ HQQLQNVQYPDESKQPDDGVMIIQGMDQQNEQKFEQDQLPTQFYQKQYKYLNTPANKSGQ AIENQNEVKQKKNRSYKYTELPLSRLETNDEIKKKEKINDKKKEKKKQDFLQLLNEIEIE QKQQQLKEEQQKRKYKSIQQNKDKQLQKSYEKMVGSNNLEWFQSADVNSKIKKEQKMKKS KNANRMTRSEVKQDIQSGNSSVARSSSIEQGVYSPKREEVEKKPRRLRRNETDREDSEEI ACLERNRDNQKRLKR >CAK67009 pep:novel supercontig:GCA_000165425.1:CT868049:165500:170333:1 gene:GSPATT00036140001 transcript:CAK67009 MLKLVSILLLTLLLVKSTEIHGTINVKVILPNQISAQESSGELDKAYRQNELRENSVMKD IAISFLETGRSVEVNEVKDKSIEIWSQKSLEIEQQPLIKAEQTNENAKTIEVQSDQVNKE SGSQQKKLSIKVQKKENKSVEQSTSDVIANPNNNVEAKDNTNQSDKQKEASIQQKKFSVS VSKKQKSEEQNASQQQQEVQNEQLQNENKEKVQEEIQQNTQTANTEAEVLSKDSTQQQDA SANNQEVENTQTESKNIEATKQVESSSSSQEIKVDDQLEQNNDQNQVKTEIDNQKETQAD TASESIQEQQNQIPAQQNETEQQQKENVVQKESSEVETQQQQELNTLQDKNINKISVESK KDEIEQLAKDDVQNEVVIQDIKIETQPIQQVDDKQQQADTNKVDDSEILIKSDEEIKVDP VASDSKDQGEKEDSAEEVEEEHSNNTETKQNQDDKGQAPDNQDDQHDQPIEENTQCDGEE LDTVHAQESQKEAQVKAETQNTIEQKQAEDVKQEEVVNQQEVVQQQEDIKQEGVVQQQQQ DVQQQEIKKQQDVKQQKQEIKQQQNANQEKNKDTDKQKQAEAPDFIVKKKQLTKKMKEVI NDMKQSDKAPQNKLYSLNVSNLDPDDVIVIKDTAYFDTDAEITVQETINPEQQLIGEVVK VQAEIEKVDLSIFADDSSDLVPIIVEDTDNYVQSEIITDAIKDVTEKEIEIMEEDKNLGE ADVDVQSLISDQVEINANQITKNIQDDYTLDYDQMETDVIQNNSLNNDGTNFDQFQSQLD ELAKELDNLSLEQPSQPTLEEPSQPALEQPSQLTFEEPKVIDTQQTEVAKEDQQYLTIVT NNDSTITNTASDDDYYLDDLDNELDQIIQQQTNVQQEPAIQEQVVQQSNDIEQKDEVTQS QEVQQNDQVDELNNQDEQQTIQINDESKSNQNLDVDENLNNYDDYYQMDNSNEQDVQSDV QQEQTEKQEIQQDDVQQVEQELENEEIYESQVQSNEEAVVSDGVMVYTGDSNVNDQESDI NKDYDLSFEDSNSQENTNQDDSSKKQDASITQDQQTQNVEANQEIKTQVNVDKPDQQQEV VQNQVVEQSDNQVTENKVDVNQQENQVSVDQSNSDEPQKQLESQQESVSVSKEETEAQSN VSTQQPEQQAQQQIEEQVDEQENEITLENAAASEEIKSEDLPKVEIKKKQLLKKNITIKE EADNTITNEDINGEGTVQEDNIEVFYNDSQIPQAETDENLDVKSEPEVIENLEDLKDCIE NDEDEHTVENKDEVAQEKNEKKEKHSIKVSREQIPQNKQQDKSLKIEQNEKQTKFEEQLH QQIINKKQKEKQQLSNEEQFDQIVNELVHELEATTNKRVETREQEQQIYQDIKKNVEELR QIHNSDDVIVKKRVDVVEQDVDAVNDEKNKEKEFQQKIEELLRTQQAQAASKNKINDGER YYLDKPVNQAVSDYEKELNQRKQEVMEKIKNDLQIKKGRFSQDNQKSDNLSPYEFERIYL DWTQNKNDIISSMLSHASGSEVQLESSISEEPVAKVERVSKKQEVEQEIQELFSNYKPNK QQIKKNGDSYETSFLAVNQQVKKSKNLRGNKK >CAK67010 pep:novel supercontig:GCA_000165425.1:CT868049:170683:172657:1 gene:GSPATT00036141001 transcript:CAK67010 MSLLISEFFDDQNELSIMIYKDLESILGCAQEIDCLIEYFQGSQPQLVNLGLNKLFAIFN QSNLMHLDIKQQQESTVTAQQAVQGAKSESIKIVLEVQKQIINKQIQSTLNYFIDKNLAQ FNIPYQLNLIDLDQSNIYSQDLLQDLFSSDDQIQHQFIQRKSSLKESTLLRRSLSINQIQ TKKSVILEKIEYRKQAMIKKLEQRFPKLERVEPIIPYILGLYVNIESEGLIGDFQQAKEI LKSIHHTVLKLSFSNLLQLLSTEYVKLFVKLDMQEIIQGNFNTQIQIQFDKIITQQKWTK LDKFKIQELLQSSKFNQSFQIEYQKLKQLEDLISPLNSKILLLFQQILPHEQGGFDNNHQ VVDQLLQEIQQNISEISNSTTLNQEISLAIKKILQQIINVLHKFKENIQSKLGLTTLNRL KLLIMQDDNHKNIKQQLPSRYQYKCVRELEDSVTNLFKRKKIILTSSELISLIVESNQRR VDFEGILSDLKYGSATQSAIREISQIQDYIVNERVIQFLSKVVDYFQDRIKKKDIDFSLS EIQLTQHDEQMLKSINNQYLIKYVKENRRLFRTISNYILANDPSVSLNLRQYSSLQELSI FALKFCKDSCLNEFFRLFREIDSQQIKLKYQLNSTELQEWKNIIQLFE >CAK67011 pep:novel supercontig:GCA_000165425.1:CT868049:172777:174624:-1 gene:GSPATT00036142001 transcript:CAK67011 MGTCQQCKSHLDINNLTIIDQLSNDFIVVQDDYQHPLYGQVNILEYKELKKFDFKLVHKL ITFAEQEEFQQALQYYEAKFYGFNHPNLLIIHAMQYKQIDQFFNTQYKLSLFLDYYETTL AQELGYRKKMYFEESEILFFLDSLIGSQAFLQSKGHALPALKFDKIYLSHLLNGAIALKV QSPLFDSTEQELEFKTLYDKILNGEQVRLQDYTYLSPEQWQMIHNKKFEPYDVFKSNVFV LGLMTLELCSVRQSVSLYQDYMIDQNLLNEQINKLSDRYGEALPLLLEAMLYYDPKVRCD FLQLDDLLNLSQLLNGKRLVQIWTNEDFQYSLVEKRVHFTTKQHSLVHPEVQSQMSNQSL LQKGSHLSLRQVLSKNSKESKASSSIIQKRESYSPQTQKKNLFKKFETEQASKGGSKKLI TQDGFGVESLSNGLTYEGIFQGGKKQGLGKLINDDNEIIYEGYFYENQFHRTGVLKNQNP QQLQTPFNYKDFNQLGNMWIKYDGDFQQGKQDGYGQLTLSNQEIYTGLFRNGVVNGTGVF LTQEGNKIAGKWINGIFQEE >CAK67012 pep:novel supercontig:GCA_000165425.1:CT868049:174761:176412:-1 gene:GSPATT00036143001 transcript:CAK67012 MQQISQQSEISKVGSNQGDSGGGQQKYARIQTIFRKFINLITISLNYQSQQNQTNFLYLF NQSALEIQVTLHSHLHQPFILASSNKQFENIYYVEGQDKIQSLNYDHESFITNNDVHVIF TESAIDLYIYAGYLNQSYNQYSNFSILIKNQSGECSSFCIQQGNTCNSINKQCLCKSGYF GKFCQLQRTIAVLQMQYDIIIKPLEWIYIGFETKQLNLNDTLKLRIRDQSSEMLMGLGME GIENHEVPNVHYDAYMIPKKIQQQIYQLKGDLIYYQQMCENCSFLNIGFYNQHSFPILFY FELYDKEQLLESDPYHLQIILQSIFLTCFILITYLIFRKIRQKQQREQIRLVQREFRVNR RRRRMQQQQNEDILKGFKLEFIQTYFPQFYYLDLIDKYPQLSEFVECVVCLESMAPPQQG KLTERDHIDHCSLTPCYHLFHQHCLFKWLQTQKCCPLCRKEFIEQNIKSKPWISFNNDIS LRETSRVNPFVQRMKTRSENTQQSDIPLIVDTENVETQPNKDIVVNLTSKSARDLIIR >CAK67013 pep:novel supercontig:GCA_000165425.1:CT868049:176428:188274:-1 gene:GSPATT00036144001 transcript:CAK67013 MIREEEKLSRDERRQRKFNNIFNIMSIYIKYLLGDEVNEDEHKQFLEYSKFNIYKIQGDQ SEHYKMPEQDYIRMVMNDPSQYLTEKDYCMGDVVLQFPNPDEMAYATISYIEAQEFLQQG IGTRCSIEFKTEQLDYEILSMAFQYAYSQLADSKQDDLGPNKLAYSNQFSTQNLIAAIKL FFKEDDQLNIQGEHNEFVEMVRNKVMNSLNKNFKVSKNREKRKGFSTILKKKILTRGKFI IKTAGGLQRTHHKVKDFYTLVRNLILLRLSQKGFKMRTFVSSDNKSIFAILYCAEANISL VAQQIKMRKFLNLEFTDLLSLEPIDQNYRPLRLNNRLWRNDMDVNQSSYFQYIKPKIINL LKKINFKKMAKELHIQNLNDQMFDYGQRDLIGDEEGPSDELWEAYYEYLVYLEQRVTEAR EKFYFKLDFAVLMNPSIAKKKEKKAETKIVTKAQLRRVTKKNDKSNFDINQLIDLNDQDR TIKQEQQIKRYFSYQRKKFIPKTTKKNQNIKQYNENPLIICDTYSKDFNTILLKILQHLV RDKLNLDINEKETNIEAYLKQIQHYFDDEEDAETSLKKLVDPNGDSAQKKIIDQLCIQMK EIPEKVDQKQFTLTRDKNPVYYDSMKQQLIECLFLSFMEKEEEVWKEQIQEEQEQFLNMK KVFHLKWNSLCTKFLKERQRGLLAQAYGTAMRDGMQIINNAKGNLLKCIWDFSFGELYNI AISSEYTVSGRRFSYKKKSRINTIWKKYQVSESGRISVFSIMERIKLANYLVNQAINIPY IFNKSYLEQIFGLNDQYELNGLSNKRFFQSDPKAFSQTLSSDAQEYIRLLQEKFLIAESL FVKPKLHNLEEIWKLKIGEIWHVPSDEVREYYGEKIALYFTFLGHYTKSLLIPGIIGLIC TIIQSLTEPRVSIVIAMMFGILHSSFLTLMLGSWKLKEKQIVAQFAQNIKQGNEQQEQRP AFQGEYRRDPCNDEANTLYYPMSKLLIHMLKNGLILGFVFSIYIGSVIGLFYMTKFFYEY DIFTSLERLNFLKLEVSIPCSINVLILNIFEYIYERLAESMTDQENHQTVADFEANFIIK KFTLMLLSYFAPIFMIAFLNQELGIDCAYGDCNLNAKYFFSSLFIILFVFNIKEVVTPVI NKLFNRTQEQPETIDEYGIINYYVEQESQKDSYFKSVDRYGTVDDYMEIIIQSGLLGLFA PLFPASMFIGFVWNALEMQTDKIKLIKYSQRPVPLDEQSIGIWSLLLEFLANFSIIFNTG VVFLMGKLHPDRNGPTPPLIKDVTMFLIIILIAFALRFILEGLIPEATYEFISIMKRQTY LLKSTIEHFKKKTSKMKRLKSDRNTLSKYVLFKPYGTILKVEKKQKQLIQEEDDDDDDDE SQMEIAQKQKEEDSQREAMPEILLVKRRQIILRKNIHNFDFPYKKFEIAHAQKEFDDKIA RLKKLLRFFEKYYTLKWCEQRLLYRRLYQKRRYILYKYLNIRKLKVFIESYRQLFNRYKR LQEEKREAGLMEDNNDREQKTARINQVAKKKALQQVKNVAILKSKGVWFGQFRRQVPILR AKDMIEHLKRLDQKLSKLKLNQRLIPIQMIKINKDKLIKDRPRIFEEISCLDISKSSSQE ILKLLIQSRDQYVQVNQYKSELVRSIEDLYSLKTRKIEAIQSQLYHQEVPNYLYLDQSEL KSLFIQNQKAQMRNLDFKMIKMIEKDKQKEVWLILQQYQLKILEVIEIDLQHKNVLFEDL ILQEFTMFDHFYFPQSKGVVGKIMDSRYELLNGWTLFDTIKFRQSVNMTYKKKELLLFLL NLLNSIPHWKDKRVITPKSIYANRNKNQIGYTFHWMMCGNRDIEKEKLYIPTEENNNNLS KMVYNAAMIVVYMMTLGQIETNKDYLIEHQARLMNKYPIVFTIISDMLLSENQRKPYNYF KQLCKTECGNEELFLENERLSQQLIEDSKISDINYQDLKLYDQNELSNQLETQKLHQVLS QQLFKMDMLYKFKLFKQFQEELTVTDSIASSIYNKEYYMTHISKNFAFYCDKAHHQKLML DFIQFEFYKQLYYFFNNLTLQGIYSISYQPNLSDIYQFITKIERYASPSILDDFDQQSDK LLIRKRLRVQKENYEALHKKLEQIRIKFLFLQAYGEMFKQNSSYAIELFNKALKFSNNPL RQLILHINIGKIQKDRLQSIQYLSKLDCQSEYYNLKIRNLLIKLHIESEQYHEAMKKCVI IKPSDEQCLFEQLVHQETLTLQSQTQWCLDHYQNNYDYLNYWIQKYKSTQSKHKELALIG LYNLECCINLLYYNYNIHFVEDYLQILYQYSDKNLFLVDYLQFKLLQIINLQLGIINYGM ITQYDKNSEEGQQRLLLKSYQHMPKSFSRQNDYLSQLFFELECNLQMDMDYELYLEINEQ QLRNRYHFLVAKQLFAKQKYSQAKSVLDNTKCTIDRLIDAWQMKNNKMIELQHDVKFQLS DLSWKNLFITFVFDLNNLYAQLVCKLAFKLIEIASQKYDCKQLQQQFKIFFVKLLLEEYK DKENNDYIDKNFEIKFFILTTLNQYLQDLSLNLLSEICDIEDKIKQKELIDYKDISIPVD KRQMEKKSICLNDLFVVQSQLLEESGDPIAALKCLDVQYALILYDERFSRQVQQVFSQKM ENDDPNLLGAKCKVAIMKLFLNIFSCGPQLLKTRKTALLACVDIVTNTAEQAKLRMNEFP TTKIIWDDHALNILDYAKPKQLEAFQKYKLIYYQCEWKLLEYYALVGMYKEAHNHFQMLY NHYVKLNNQEDYARTYFEYSIGTYFLFIRQTQRASLFFERAARRYLGQQNLYEDLKLPVQ HISGSFRDKFTNDSLLLDQLNQFNHYFTLRSRCRIYKYYSRMHEKDTDLHRLFQSIIRIL EKIRKTMGRKSILYVDALMIYAEFILIHKERGYNGFQSFKMAFKSQYFFTRREAEFFEPY VYKSHLPYKFYTPDDIEERLSETLITQWTFDLLDQCYRFYKEYFNSVNVTEHFSIVYCDI LQYRIAFIANRLYQAQIMLDRSLSNLEKLCPTCEHPYGVMIYEGYALLCENLERVQEDNI KIILDCPSHSLFNTLTYDQRYKITVQIYLRNEQLGKYFDDYKNNGFEVYLLQKLQKFTER KQQERISMNKQRLTKKQTILLDFDTQMQIKTGAKREALLNQDKITDVKLKVGNTGYYRKA YRICKVIFKEYNELYRRNQADKKKEKIKSTDNYMLYYKPKHLKSVVPSIFTTEPPSAADS LILDSRILSEEKLNLNSKFALLDQFSHRAFDIKEYNLTQRELPSRKKHFIQNNKLDVWGE TTFTLYRNQFLYTSLDIHEKYSPVVLFIYVKKGDPNTKMRLFCSVKFQQPNRFNAEIETY GRVLKYHSKEGTTFFDPTLYVAILAHNDVFAKPPIPRKIPQIKKKDEFCSDIEELADLII RKRKLRQKSLNFINLNRSLPRKLRIESPCNTERQKEIKFKQTIIQKEQSLKRIAQIALHD VAKEIKQKQMNIQLKQMHKEWVCKKWIQIVILVNYIVPSISNYIKVQKRISEKNMRKQIL TMQFINKIKNNIQHQGPKIKLRALLLCQLSMQICIHSQKDKVKQKSNNIVIEILRQNIRS HYTVTKGLHTVNLIKKCINMLVRHKNKRLEFMAQMKIAISHQCKQIYGDILRMKQQSISQ LPLQQQQQQEKNKNKRDPLHYLIHDQYSIYYYHFNRQFIMFIYKKLFTNVLQKWNQDFDA FRKVYHQSQKKGLALLNTQGRDHQQLYCGGVGSQRIDKLLILSVKLMNSPRAETLDLELS DTINKIYNSCQKINDKHLRIRILQWLDKVRTPTHNFIWKQNSLLYARVLLEMTLEKQLDK PFRGVPPDGPLPRLDKFDVQAPTGLVETQTSSVTPVSKETFGGDFEQTFYSKIKD >CAK67014 pep:novel supercontig:GCA_000165425.1:CT868049:188493:189047:1 gene:GSPATT00036145001 transcript:CAK67014 MRSAGFDQTLKRSVWLIEIHLDLNLYPTLIQIYLILFQQHVSGSLLDSIQKIRIGKSNTC RHSTLKSSLCQLQNMVICHYCICVGCMYEYSTYVNRQWVSNSVNFIRSIIHDITHNQAES NRRMRDINKYMDQRKIPQSLQRVLLSDDFQKYCQLKEAITLSYHPFDIQSEQINQ >CAK67015 pep:novel supercontig:GCA_000165425.1:CT868049:190093:192557:1 gene:GSPATT00036146001 transcript:CAK67015 MDQNHWNQKSSVQEFGIDKNVQQQVVPPLQAQLIRRVSKRNIAIKATQESGVGQIFETYH PQQRNQTLDDIKFIIKCFQGHFVFSSMTETQLTQLANSMFYCKLSVGQTIIRQGDGASSF FILEKGRINVIVDNVPRKEINAGQGFGELALLYNAPRSATCMAVEECYLWGIDRHTFRKS VETIMRSEQEKNRKYLESVKFFNQLTREQKDAVAGVLISQKFNANEIIVNEGDQASSFYI IVEGQCGVFNKEGQQISVLNPSDSFGESALKQEHQVRMMTIKSVQKDTKVLALGKDMIQQ ILGDQVQAIIYKNICKWALNSSKLFSKTPHSYQDKLLEGVQVKMFTANSKIILKGDKVGQ LVILLDTDAVDENKVKFVKGSILFEDSLQDKLSGTTHLKTVSVEADGHVAIVDYEAFRSQ QGSVEKIQQGKAQQQEKSSAHEEQIKSQPFKNLIYLNKLGSGQFGSVYLCKFKELDTLFA LKYVTRAHIQQFGIQKHIQQEKAVLELMDNPFIFKFYRSYKDNENIYFLTEYIAGMELFD AIRVIGLLSKFDAQFYASQMILQMEYLHTQHSIVYRDIKPENLMVDDQGYLKLIDMGTAK SFKNQQSTKTFTIIGTPHYMAPEVISGKGYGYFADLWSVGVCVYEFICGGLPFGEDAEDP FEIYKEIIKKPISYPHFMTDKSAKPFIEQMMNKIPEVRLGGSYSTLKSHVWFKDYDWEKL LNKSLKAPLLKGKDNVMTSAQIQSAQQKAISVYDQIQKDTQGEKKVLASAKDAEWDSVF >CAK67016 pep:novel supercontig:GCA_000165425.1:CT868049:192579:193216:-1 gene:GSPATT00036147001 transcript:CAK67016 MGKHKQRSTSGSSSNRKKHKKKHHHSKKEVKQEHVKKEECLQAQQQEIIKEKPSFEASGI LAQYAENALGNGKVLKFTIPFDAQIPIANWQLFPFKGTQSYPSISLKGKSVFLIGKDKEI VDILIENISVSKQHCVIQFREIKKVNSQGEVLSYIKPYAMDLESTNGTYLNDQQLEPARY YELLEDDVLRFGKSDREYVLIKS >CAK67017 pep:novel supercontig:GCA_000165425.1:CT868049:193426:196084:1 gene:GSPATT00036148001 transcript:CAK67017 MDNQSFRQHLIDYVKAKHQVVKIANSPGIRRKSLLSESSPLIGRKSVFSKESKVTEDELL NYIRQLREALVEVYQSRSNALTLLVQDNLKSLTQFISKLPSKSPQPSLILLQETNIADDI ESYYKQLKNQFLNTHSLLINDSIVSVTSNLVNNLLNCDEFTFISPQHGIYESVKHTFAIL NHNEYLEDLISITTNINLLTENQTKLFEMFSQYGRTYTLRVHDEFFFYHQKVQKDSLLNI TLEYNCFQEVLMLTKLISKSIIQSRVQQFSPIQIGNMILDVGVEFVRCSKYLFINQIINL LRTKYNIEKAESEIQGSCTSIQSTNPSPSNKQVKFAKIQFKDALPIEITVIGLNLNKKED LQIYKTIQNLYNKYLKFIELSYDRITFYKYFIKSKDSIMLEFDKEGKLAFLSRPIPPSLS REFQLQYIHRGYYYQIIQNDKLLHEIEQHLENYWIIQQNEQLYEIFLKARNKKFKGFAII FSEGFLQTRSLELEAKKIKLRQEATQYLTNLEQNNPEIKDTILSFYMPSQIQAPKVLIKR KKSRMNGFIENVQINLMDPESLENEPIYMSQIDSFEFNILKIESNSIEKQRIVYQIFQRN NFIQTFDIDKNRMCEFLSELEYRYDKRRNPFHNYNHGVNVMHSCHVIVNKMLSLKQYKDI LDQTTKLALILGGLCHDVGHTGRTNYFEINNLSEKAIRYHDKNVLEQYHAATALKLLLRE RMNFLKNIDFRTFREKLIQNIIFTDKAEHFNLLKFFEANNEKDTKIITGMIIHTSDFAGG SAKWPISKEWSLRVNQEFQSQYEEEGRLGLPQQSFMKDLHKMSVLSKSEIGFYKFIVRPL YVSLSNFMDNQLQDRIDNIDETIIEWDLLASQDNQQQC >CAK67018 pep:novel supercontig:GCA_000165425.1:CT868049:196125:197278:1 gene:GSPATT00036149001 transcript:CAK67018 MSNNFLSKIDLQQSLAYKYSQQDTYFYTKSLNEYIENARLPHVINFHYETNCNEQSEYLR RTYDIHEIATKLTLLTEYYKYHNEIPRCFLLKLADIMSKQIQELNYYSYHDKKRRIEYFR IKRMIEKENKSNPNQPKKKIVGEEPDDTATQKSGRQYSNFLTDITEVSRTIDKIQTKLNS IKLNVGDLQLMPSNREQDQLDKFLKYLDEKKQKKKMHIIQTPNSVSLKLPIALSQRTIKQ VLSRKMITQQSTQISQQTINTNKSTNKSTKQQSLYQQPSQFQSSPRINIKSLPKQIGSFT QRTVKENALSKLLSPLQIQIFNTNSQHSNKCKTSISISKQFKITDSVKSSIRLHQHTKSD FKFFKKL >CAK67019 pep:novel supercontig:GCA_000165425.1:CT868049:198044:198612:1 gene:GSPATT00036150001 transcript:CAK67019 MSLEQSMFIFGCYKFTKIRTQLKLILFDLNITILYILYNIVKKYQIKGRESLLVLIEQTE INIKETNLQDCRIRQCIYNAAIRQLKESLIRYGYRCSQHNQKYDQNQLREKELERMNMFY LLYDDDCFINLSDKEIDQFFVTRDQDIKRAYNLKILSMFYIVDLMHSYSYELQVAYVLKY T >CAK67020 pep:novel supercontig:GCA_000165425.1:CT868049:198691:199816:1 gene:GSPATT00036151001 transcript:CAK67020 MSFQEMSKQIEDMTNFLLEQSDESLVNTSPTNREQINLIINDHKVKQRVNTMLKQKSRQY PHQYLTVELSSEDNYEIELDQEDIKQVCENFGEVKQVYTKLNGAIIIKFNSLFDAFIVYK LLNKHQIKELDVTIKIEFTTQQDLLEIMEIQDSNQQKFTCRYDVQIDNDKEFQVARKIIG TKGCNMKKIIDQCLIDCDTKEQDLVKLRLRGRGSGYKEGPEKRESQEPLHLCVSSKHNHL FLRACQLVEQLLLKIYEEYKIFGLNKGRKQTHYNIRRVHQSIKPQIKTNFMPELPNFNFS NVLSYFSFQNQFVDFNNENIMAYSNIPNQLI >CAK67021 pep:novel supercontig:GCA_000165425.1:CT868049:200671:200961:-1 gene:GSPATT00036152001 transcript:CAK67021 MQSGRADVLYKNTLDCAVKIAKNEGTKAFFKGALSNFFRGIGASLVLVLYDEIQQFVAPG SKSSGGE >CAK67022 pep:novel supercontig:GCA_000165425.1:CT868049:200961:201741:-1 gene:GSPATT00036153001 transcript:CAK67022 MADFLRDFLIGGVSAAVSKTAVAPIERVKLLLQTQDANKKIQEGGAKKYNGIVDCFIRVP KEEGLSALWRGNLANVIRYFPTQALNFAFKDAYKKLLCPFDPKKEKFLFFLGNMASGGAA GATSLMVVYPLDFARTRLAADIGKKSERQFAGLSDCLSKVYKSDGFIGLYRGFGVSVLGI VVYRGVYFGTYDTAKGNNLQTSNDGVTFQPNSLLPNSLQEQLVLFLIHQIQSEEE >CAK67023 pep:novel supercontig:GCA_000165425.1:CT868049:203354:204813:1 gene:GSPATT00036155001 transcript:CAK67023 MIQQNVKYSHIKWLKGSVLSIIKHTYTITNSLVKEESKITIINSINMKKWLHQFRIKGNN QNMKIIQNRLWNMNINKMQEGWKRKIAFFQGFNKNYQKQCNKIEGNKRILYNIYLFVMYI STNLFIFFIQMADFLRDFLIGGVSAAVSKTAVAPIERVKLLLQTQDANKKIQEGGAKKYN GIVDCFIRVPKEEGLSALWRGNLANVIRYFPTQALNFAFKDAYKKLLCPFDPKKEKFLFF LGNMASGGAAGATSLMVVYPLDFARTRLAADIGKKSERQFAGLSDCLSKVYKSDGFIGLY RGFGVSVLGIVVYRGVYFGTYDTAKGTIFKHPMMGNILAKFIVAQFITGTAGVISYPLDT IRRRMMMQSGRADVLYKNTLDCAVKIAKNEGTKAFFKGALSNFFRGIGASLVLVLYDEIQ QFVAPGSKSSGGE >CAK67024 pep:novel supercontig:GCA_000165425.1:CT868049:205212:206077:-1 gene:GSPATT00036156001 transcript:CAK67024 MINNYDISFIIEPVEKRGGLFQGSIITATNMNALNQHNITCVLSVCSEFKIQYGPEINNL ILDCHDTPLFDMTPLFEQAHAYLESSRQYTNVLVHCHAGKSRSTAIVVSYLMKKRNLNLE QALIYVKYQRPIASPNPGFIKQLLLYEQNLYGRITSVLTESITPYFQIQQQLQAMSPTNT PSKQRTSIQVLDNYSDTKRQPLNSPIRSIPASFPLIVQSPPQQKSQATQELPQFTTPQIQ KNPNQNAFSFQQPISIPFTVPISKEIVSAVKKNNEQQKGE >CAK67025 pep:novel supercontig:GCA_000165425.1:CT868049:208048:208819:1 gene:GSPATT00036157001 transcript:CAK67025 MEIDILNELLSESVAKLFNPRAVYNFKKPNPVYDNYQKNSNNTSSSSISSMQSDQPKFEQ PQQKLSNPPTIEQWVSVMGPQKLQESLNKSNIDLNKLTKRDLEMMSMDQLQNEKKRVKNE LKFYDANFQSIFNRFPLRNEKEPMRPLYIYYKKLKQQIDKITQNPGIQIPAYKQSTNNTQ GDIQKRIEDLKKTRAELREKLNNFQNEFTTTHNRRIRFHKDIAPVEKEYKLYKEIKNEIQ KLENILLKK >CAK67026 pep:novel supercontig:GCA_000165425.1:CT868049:211123:221606:-1 gene:GSPATT00036158001 transcript:CAK67026 MFQQVFFIFLGCFNLVNSQWVVNNRFLERNTYFYNVYAQNYTNCPFQYGTQAFMIPSQFN RTANFINCTNPNTSYITLDYEYPQVQTYYCYDLQTNYSGYSYYYFGHSQIGFIKGFLCFD LYFQGNWTNGKVIFSIGSLTEEYFYDSPENYALNSSFCDDLSYDIKKVNITIPYNVSGEI QFGISNTNESGSVSIRNIYQMFYNIKCYPSCKSCTGPKKNQCTQCYFGTPTNNVCPPCPL DQYYVKYSGCRSKCDSIQPLCFDGFCNTCPQNLSLGQQIVQYKIADNPNSYRDFLLWSKI YDPNFIDDSVDVFNITSPMFYTLYGIFKYSSGIMRKINILDKMGFYLKVTIKILVFNGFP LDCGVQFKFNNIYFGSIYRNTSGIQLHKFQEFQQTSLGPQGSYSSSYLYQIYGFFDYPKN DILFTAIGNFSDPSFGWAMQQVLFHTNNCTDGCNSCDYVSFKCKMCSSGFLMYRDGTCLL LNKCNQPHQQMIQNYCKDFDDETPYSSLLIKEDLNHFEYDYYPEYTLVSQSGQNFLTGSD RYFSYWEGLRILGGQFIWAQARLRRVHQNFGPHHSISIGFYVLFGPAFPPDGQFIYQIDS QQFFTSTLGASTFQNETKQQIIRQKVSHSQNDLILELECFGPNNEVIQAYCGFFNYYLAI HYCQPYCLACTDDKSCTQWNSTYSPTLIQFSQAECLSNQYFDEYKMLCVDCEPTCLTCKS KIVCLTCLNPTYTLTQFGCFCKQNQYEDINQCVNCPIECNQCLSLTQCTECLSINNRKLS NGQCLCTEGYYQKNQDLVCLLCDKFCGTCFGPTSNNCLTCNIKILNIQLVNFSCICPNNS FYENQFNRCTFCHPNCLTCFNDSIEGCLTCDPSQNRVLAGLNCKCNTGFQTLNNTCIQCP NDIDTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXKKTLFQLYYQQMNFLNNFADDSNLDLMFSQGIPQDCHQFYYNCFQYPFTRII QLSIKFTMNHRIFYIVDGEIIRMQKYYNVSDSIKDVSIRPYRLTNLDQIKYLIWVESWKV VCQLGWQLMADVGGYYSQQGNKIGQWKEISDYYSKNVQIYQIGEYINDQRRGTWRYIYNE KDMYQQKYFRGGGFYDEQGSKHGLWTDVSDNFCKDSQVTYQGEYANGTKVGKWKIIFDNQ QIGGGYFNNQGQKNGKWIDLSSGYSIEAQVTFVGEYQNGKKQGLWDFMEENIFTGGRVYD VNGLKTRKWIELSDNFNYYIQIFYLGDYTNGNKYGIWETTYRYNRESNLEKICSGQFDEQ GFKNGKWVELSESNNYNCQVRYEGQYKHGIKIDRLDFMYRLDDDRGFTYIGEGFFDLQGQ KYKNWIELWDKFDDKSQEVFKGTYQNNKKCGQWQTMFRYSCYDSFEIVGEGQYDDDGLKL GKWVEVNEYFSRDFQVIHKAEYIFGQKSGCWTTMKRENKIDIFRIIENKNK >CAK83110 pep:novel supercontig:GCA_000165425.1:CT868453:40:2686:1 gene:GSPATT00039523001 transcript:CAK83110 MVSDYQSWGFSEFNLNVVDTTYNILKLVNYSFSKRIFSTISVDDGWMTNNIVSQQVDQCT DFNFLRSTGNNFIKEFVLNFHNRINLNLKVLIFNQVSPIIDFKIDNQKVVAATSDSYVQS SIPLCQNFRVMQVSITDFMHNSKNLRIEVTTSTDGSTSWFGIRDFSLFTNIFENFQCKDF NIQPFDGCFSNQYDCNLGCSNCVNGICINCLDDWYLDTKNNCIPICGDQKFMLNEVCDDG NSIPYDGCHDCQFSCPLNCILCVYGTCKECEKSYFLVKNRCKHGFQFDQNLFEQLETGFF SDWTNYLEKNGLLCYQNLVEKLRTGFFNNWTNYLETNDFQCENLIKQLETGFSNNWTKRF DKNNFQIENLVEQLETGIFNNWTNYLEKNDFKCYQIFVKQLAAGFFNYWTNYLEKNDFQC ENLIIQIEPYFYNNWTNQLEKKTFNVITFDWTNYLEKNGFQCQPNSQQQSILQFSQQYNL LQNNYHGLNIIQSFSVCYFPDSLIIQDYQSNSQTECREGYKFSPNTRQCVEMCNCKDLVS LQNNDCYNCIQNCSSECLVCILDKCYACLEGWQLIEHKCQQICGDNQVALTSNEQCDDGN HIIEDGCNECLFQCRPYCQFCNKELNCLICESNFKLVHHLCAPICGDKIVISGLEECDDG NDIKYDGCFECQFQCNFGCKICESGKCQDVCKVEEEFINVLIESEEIDPNQSECKNHCLV CDGANCLRCKPDYILENNKCFSCGNGIITKDEECDDGNRINSDGCSNQCKIEEDWNCIDS FSFLSQCFPIAKISIVFLNSTYNTQYVKLSYTKKVKLNQQDIHFLDFNANSINIDPTYYI VYYFNINITPVIEIVSNDS >CAK87753 pep:novel supercontig:GCA_000165425.1:CT868637:1690:1794:1 gene:GSPATT00039827001 transcript:CAK87753 MFLSHNQFQQQIDENLVINVPFILSASSTFLELN >CAK77374 pep:novel supercontig:GCA_000165425.1:CT868266:3085:5823:-1 gene:GSPATT00039201001 transcript:CAK77374 MNHKNELNEDLYVVLAQSKYIDQQLYSVIIEMLKCDKVTDCLKYLSNTQKLEETGIRNIQ LFANIIKNIYEVDFNKKNYSSEEYEKIKKELMKKIYYDKDIIEFLKFLVHLTAFDERFIQ CGSNSLNLLVEMKVDISGQSFENIQIRDTSLIGANFVRCNLNGSYFNNVDISGMNLNGAY LFNCKWKNIKIYELNKFDGHNSWVKSVCFSPDGTTLASCSSDKSIRIWDLXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLIQ SKKTTINISFKH >CAK77375 pep:novel supercontig:GCA_000165425.1:CT868266:6836:7141:1 gene:GSPATT00039202001 transcript:CAK77375 MSLIKQEQEHQCAIYAISSWLTHLEQEKQVVSMSSHINILRFVLKNSFGFECSGRKNKRT CIKESKYVDLSPQQRHIAYYFKSYLMKYIQSCR >CAK72874 pep:novel supercontig:GCA_000165425.1:CT868139:190:5367:1 gene:GSPATT00038831001 transcript:CAK72874 MIRWLFQRGRKNQNQDQYYLKKKRKSYQIEENNNLYRVLASFKDFDESIYNSLIKIFKSE KIQDCIEFLSQNKNQQQIGIDMLQVENLPFVNRDEILNVGKIKIEKILCFLKIIKDHDFN KLNYSTQEQKEIKQDLITKILNDEKIIELLKFIVRLTAIDDRYIQSGSNSLNLLVELKVD LSEQSFENIKIKNTSIQNGNFVKCDLNGTEFDNVNISGINLKLAKLFNCKWKNLRIDKLF KLCGHNQSVNDIIFSSDGKLLVSCSGDKSICLWNVKLXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXK QLNTYLLFNDSANNFFKFFTTLQTSQNYLQHLYVKSMKTKNFHIT >CAK72875 pep:novel supercontig:GCA_000165425.1:CT868139:6441:9869:1 gene:GSPATT00038832001 transcript:CAK72875 MNCTYHFRNQASIICIAPHKCQYQRKLCIKCLKEHGVEAKYTILIEEFSDMVLQKLKEYK FDDTSELSKQRQNFKFTLSQTESMMRKICEEFSEQIKQIYDLIEQKEKFYSNLVNQNTNI AESSYSDLEQLVSILEWKNFDDWIDEKNSHLVKLQKGQKQWQQEIQCYMENLKEEIMQSI RPIQKMYQVYERKEDLYSVLIQTKNVERSLLQETLEILRKENKIDCIEYLFKKQQSKLRF IHNILKNVSELDFNKKNYSEENYIQKRKELIKKIAQDYQIVEFLKFLVHLTAIDERFIQC GSNSFSILVEMKVDLKEQNFENIRIRDTSLVGGNFVRCNFNGSEFEKVDISGMNLNQAQL FNCKWKKLKIHELYKIDGHSGDVTSVNFSTDGTTIVSASYDNSLRLWDATTGQQKAKFEG HSGGISSACFSLDGTKLASGSADKSIRLWNVKTGQQQAKLDGHLCDVRSVCFSPDGTTLA SGSDDKSIRLWSVNTGQQKTKLNGHSSYVYTVCFSPDGTILASGSYDNSIHLWDVATVSL KAKLDGHSGYVYEVCFSPDGTKLASGSDAKSIHLWDVKTGQQKAKFEGHSGGILSVCFSP DGNTLASGSADKSIHLWDVKKGEQKAKFDGHQYSVTSVRFSPDGTILASGSADKTIRLWD VKTGQQKTKLDGHSSLVLLVCFSPDGTTLASGSDDNSIRLWDVKTGQQNAKFDGHSGRIL SVCFSPDGATLASGSADETIRLWDAKTGQQLVKLNGHSSQVLSVCFSPDGTKLASGSDAK SIYLWDVKTGQQKAKFDGHSGGILSVCFSPDGTTLASGSADKSIRLWDVKTGYQKAKFDG HQYTVTSVRFSLDGTLASCSYDKFISLWNVKIGQQKTKLDSHFGQDNTIRFSPRWVCAIC FSPDGNILAFGSKDHSIRLLDVKTGYQKAKLDGHTQKVNSVCFSPDGTTLASCSDDNTIR LWKVKKKLQKISQVLSICYSPDGATLASGQNDGSIRLWDVETGQQKAKLNGHSGPVNTVC FSSNSTTIASSGDDNSICLWDVKTRQQIAKFDGQANTVDKVCFSPDGATLASGSFDRDXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDNYFNQKVVQFQ KA >CAK66064 pep:novel supercontig:GCA_000165425.1:CT868042:672:2522:1 gene:GSPATT00035193001 transcript:CAK66064 MKQPDNSKYEVDKLYSKGLRLMSDENYQKAINTFDHILRIDPKNFESLCQRGECLRSLEK YSAAQDSFQKALLIQPNNLELILKQSISQKNSFQVYAQYNWKNTALKYEPKNIDLLFNKS LCLKMMNNFDRALNCLNEAIKIDNKNIKLLLHKKLEKYDQACIPLDQALKLEPKNVEILL NKSICLKMMKQYVQALELLDKALQYSPENVNLMLNKCNQSLILGFCLQALNKYDQASKTL DRAIQLEPKNFDVLFMKGLCLKILNEVDKAIQFLDRALEIQPKNVEVLLNKGICLQMLNK FDLASKVFDNAITHDNKNIDLFKNKGNCLIQLKKHSEALQYFDKALAFQPQNVEILINKC QCLMMMENYQQACQSINLVLRIDSKNIQMLLNKSICLRNLNQIKEALEQLDIVLSIEPQN IEALINKCQCLKLQKEYLKALQQLEKALCIDPKNFDLLKDKGVLLRIIEKYGEALQQFKQ VENIQPNDVEILLNKGKKFKNQRYLFTKTKQM >CAK66065 pep:novel supercontig:GCA_000165425.1:CT868042:2796:3422:1 gene:GSPATT00035194001 transcript:CAK66065 MALAIEPKNVEILLKKFHALLQLEKYQKAIQELDNILNIDNQNEFCILQKGTKQTKQLGF CLKLNKEYQMAIRWFDKALQFDSKNLKVIDEKGQCLLKLNEFDEAIKCIDLALSINPKHV PSLAIKGDCLLSKNLYENALSILELALQINPYDVCTLSIKGTVQTIYREMLIIDEQVLRG YLVL >CAK66066 pep:novel supercontig:GCA_000165425.1:CT868042:3504:4386:1 gene:GSPATT00035195001 transcript:CAK66066 MPIITQGIHYCYNIFRQGSGNKTQKLQLHIYKRFCYNYKSQESVQNVLEITQRLFDTYQW QYQLNQNTFFAISLKVLLCINKVNVYNKLDLMRKQQMHTNQHFKLTIITSCVQKIQGNAY SSCVNTKKHSINQRKHCDKILMMLFHYIYKASAYRTQTNASQPLYNLKKPQFLIQKXXXX XXXXXXXXXXXXXXXXXXXXXAIEIIEKVLKLEPCNVESLLMKGNYLQIQSNYEQAIVNY KSVLQAEGDNITALFQLGNQRNLSIRYLLKIDQKLF >CAK66067 pep:novel supercontig:GCA_000165425.1:CT868042:4873:5794:1 gene:GSPATT00035196001 transcript:CAK66067 MGQYEESIQWLDRVLKIDQNNVEALATKGMSLTMMGRYQEAIEWLDKALAIDPQHLYSLS DKGGCLANLNLPQQAIEYFNQALKVDQKHLYSLSQKGNQLFNYLGMCLIMMGQYHEAIEW LDKSLRIDPKHFYSLSSLGGCLAILNQFQEANIWFNKALSINEFDVYCLSQKGIYWDSTY QLAYCLSMMGQSQLSIKLFNKALAINPNHIYSLSAKAHCLSLNGEYSQALKCLEEVLRLE PNHEPSLRLKVNCLTLQSNQDGTIQHK >CAK66068 pep:novel supercontig:GCA_000165425.1:CT868042:6471:10428:1 gene:GSPATT00035197001 transcript:CAK66068 MIFFYFIFAFQGLSCIEKEQKNIHLYPTNGEYIEYPMSNLFEGENLLYHYNPIIPNVQIA NAFTEITQIEGQEFISISSNQTHFATITNQNQIILYEWQNFIIQQYGQIVNIERKYKCYN IILLADIDILLDCYTDEHFHLLKLINTSFDIVYSFEAKQPQSTDIKGIYNEPTSFLIYAQ YYKNLSILTLFSSQFNNLTSYQNQFIQLGIPQRSNPYIYLLFKKFILQFIFTQNYAFQEA QHYAINGLADTFQVYYNYQAFSQCDQLLIQSQSGYQQFIEGCQNQLIKYDYGYIDPKQQV IQQYFNNEILLIQQQNYLTLYQTGNFWKSIGFTEINSNSQIFFNPYNCYLFIFNKLITVY QLAIPYLSINLTEQQASKKNYNITIYSQPQKLTLKGHCRIFMSITILDQNDASIYVIYKQ QFSQYKAITNEYSYQQGFTGYSGKLLQYVANLNDQYFGSFIQSTFQEFFKLEEQYYLAQF LILANYTAYQSSKKISYFIGVTNKSIQIFTQLNLKEFNYLMQFINITIQAQSLQVAYDIY GTLIIGLKDSDKIYIYQIPVSFNGSNFTSHYKFKQKFSEFLVTYNNLITLFYNGEIQIMS LNFTDLVIVNQNLIQQLFKLDDLHFNPIQIAVNVQSLSSCLFINNVNNVIIILIGQNNHL APISIIDVKFNIKSINIVNQQLVLSYYCNKGNYLCFQVWSIQNFKSPFFVRNMMSLHYNN QVQILSDNLFFYVQFKNYTVFVYNPQYPEHMNLYQQLNLSSQLICTTEIEYSGQIGVSMF YENKFDILSAKVFIQFSVNQSLNFQRTYPSIIYNYSITSPLNQSAIQYTPNQSLTYFSNF TYFQPYASKNINLELKELNLIDRTFTIPMNIIIDRQVSICNLPNSQNNTSSDQYFSNHIC NLTNFGYYNTLSYQNFTQVTAINNQFFVLQDHNQIKIINSKNEHLSDYDYSNLNFSGCLK STSFNLTLSSICQNKTAQYWLRITFDSFGNVIAQNITLISMRFTNISKISNIGNLNFILG SQNEFNTYVYLFTSQNSSMNKLNKACSDFSVALYNSNLDRYYLCTIEFNVANCYQFSIVN NSIQTWKSYNLIVQSFCVQILILQIIDHQILVFITGREGVGQFNLINGNQDNMVQNFGIF IPSYGDLLPIPSAVFSNGFLLQQFQYEDTYIIGVYQINQFIYDSLNEPILMFGSLNSTSI EYALITNGEDDNATCLTFKDGQAISYPINTQTLKCHFKKHSNTVIMNISCMNAFSNGIYE LTLNLPDLNIHKSAWIYSLIAIITAQLIAFYILVKYRMKNHSYVNTEIEI >CAK66069 pep:novel supercontig:GCA_000165425.1:CT868042:10899:17198:1 gene:GSPATT00035198001 transcript:CAK66069 MNKLLIFVSVLLFINCETIRVFQPCKCIQMLTQVDCSKKEDCIWDKQKNECIDYTIEMKI QHFTNHATYCNQHTSKEICVSIYQCSWDDELCTHFTGCTAFVYDTHEKCKAISHRCISDG LHCVELGDCNSYLSSISCIYNSVGQYCYWNATQSKCQDVDECSKLPQTFASDSQCRSQFS TCTAQIGGGCIEEGNNCQAQDDEINCVYNQKNKQICFWLDGKCFDKICENAPLNLTTDKE CQDFLPSCTTKQDGGCITRLLCSTNQTKEACNKDAVGNDCYWTGNKCVDKTCENALKNYK TNEECQVYLNNCITNGQGCVLNTSCGAAIIEEACDKTINGKLCHWDGSTCLPKTCKNAGP SYIGHVECSKYMETCTASTDEITGCTDRTCDNAPVQFNTYTQCLKYLQNGNCIPKNGGGC IVNTNCENINMKEGCVRDANNQECFWWKDKCQLKICENAPIELNTHEQCKEFMQTCTIGS DQRCVSLTCENVQERSNCNYDLHNKLCVFNEGCFKKFCALAPKNLLNFNQCQSYLSSCTV DNSGSGCMELPITCNAITRREGCYLTSGQQECGWYNGECIDKSCQTAPPYLSSTFECNNY MVGCVVKNDRAGCMKPPNSCQQRELSQNCEYEQPQGLKHKCFWGQDQYLNGICLDIKCEN VHLSLGFQISQDACWNFFGLKCILDYSTYKCIIRPNSCLELGFQACTWSGVLEDGRNCYW DIESSSCRSYQCSSISIQIYSHDLCNQIMQQCTVNYYEYGCTDLLECSQYGNRNQCVLSK DHIRCVWVDSKCIDIPCEQDTESWDFQQCQNISKNCVPTSKKCYIKKSRCEDIKQKNQCE QATIDWRKYCIWNGTSCESSNFHVFPFDCSLIRTDGLTQGYCQSINQVCSVNKQGTSCIQ SDYCYNYTEDQCFWGLDGECIWYQNLCRQNIYCEYAKPPYTYDTCRVFNYNCTVKIDGSG CVETVYNCQNTPFENCTNSLSAICYKKYYENVCINAWQQPLQSAECTSVQGKGLTFAYCL KISQGQCSVNTSETSCITMRGQCQEYSQEECVSTNYQKCIYSETLLQCIPFYIGINSCSE IKLYQLEVISDLLCREFHDSCRALCDGSGCYSNYILDCDKAILPFTYYNCFVFSQFCSVN VAKTQCIPAKNTCTEYSLIDCVHAIDEGECMVVGESCVQKGCDYVSNRINTPQDCYQISA NCGYRDDGCQKKRECSQYLLKKSCIINQQDQDCLWNPNTAKCVNKSCENAEASEYFDSHE ECIQVGRCTVKASNDVTVGQGCISWGPCRSYVIQEQCVRNLLNEDCIWNTNVIPAKCSDK SCETAELFRDDHVECQLYLNKCTVRVIEIDGIYVSQGCISLKLSCNQYTHQNQCIIDASG NICGWNGNSCENQSCKTVPTYLITPQLCQQYFENCTINSTDSGCTQTPDTCEEMTQNQCY YGAINIQQQECLWDQSQMKCILKTCELIPISYSEYECSSFLNYCTMSAQRCRNAICEDFS LALDEQCSNIMSTCTTNGVYCVKRGSCLQAQSEAGCVTDVQGRECAWINTQSHCIIKSCE TAPLDFNTEQLCQGYFKPKEGSCTTTKSGGCVLKGTCFDANIQAACTTSNIGELCVWDEI LNICRIKQCQDYLGSTHKECQSKRQQCTVGLGSRCVNISTCQDTKFKAACIEGTDGPCLW ISRYKNKDNTLGACFRYDSCQSLKWNSHALCQEISAKCTTDGDQCVPITNCNQTNLKGCV IGVDNKGIQTCIVTTESLDSKQNICKLFNKCTDIYYLTHEQCQQASSQCTSDYQNGCISL KSCFEYKNGQCHINNMGILKDGKGQILSTGICVWDQMQQQCRDEDCSDLPFITHLECQSR LTYCTTNGKKCILKEQCLSYLSQDVCQNAEGLDGKCFWETAYDKTLEKCRKMECEDIPNG YITSSCRVLENCISDGLQCISKVNCSYYKFKQSCNSQGQDGICVWIETTEQCKLMENCES ANQDQNACKLAKDRCYWESAKSLCQSHTCQTYFAQVGRCKNFYSWDYQNINLCHYLGKKC VSININTLKSQDCYIKTAEHYRWDPYKGQCTSCEKSVRLITEFSDILGHLLLILFILIY >CAK66070 pep:novel supercontig:GCA_000165425.1:CT868042:17491:17886:-1 gene:GSPATT00035199001 transcript:CAK66070 MLSKDQPKENLNKGIVIPLIFQCPKGCVCDSGSPRNWHHKQCGKPSFISEFGDIFCENHQ KDCSGYFIKDASFQCGAAKKNNSWYQHKSMSQILMALSAALQAAEQKEQEGVNLEQFTQN IMESVRKRWNN >CAK66071 pep:novel supercontig:GCA_000165425.1:CT868042:18451:20309:-1 gene:GSPATT00035200001 transcript:CAK66071 MNQNIFKNIDTERFSGMMQHQQKNNVNWDRMPTFKQNNKVQEFMFNNQFHQIGDQVQLSN LLRFQPSQQNTQQNTLPKLKNNLYKDNQSTIQFPDQFQPQMQMLTPQMRMNYKYIQKIPR CDDDEQLIQKQSAKLQNKNKYDLQSSIAFEINSLRTSCKVSNYKSEYIPAMISIKTKENQ TEMTERTIGIDLICLIDKSMSMSGDNINMVKKSLLLLLDFLGEQDRLQIITFNEHAQRLT PLKCLTEKNKQYFQAVISQISAEGLTKISSATYIAFKQLKEKVYRNNVTSVFLLSDGHDG DALFEISDQIRHVKEVFTISTFGFGDDHDAQMMTSISNLKNGNFYYVKDITLLDEFFAHA LGGIVSVIAEQIQISLSLTLTKPLQDVQISKTYGNMWKKREHAYEINIPQLASGTRKDFV FEIQIPYIYSKIQDQERVVKVLEARLKLKDPLSGEIIQKSAALNLTLFNENENVGVIEEN IDVYSQYMRVKGTQAIDDAKRACEQNNFEDAQRLLDDMTIQISKKNNKVVLECAGIIQDL NQAKEATKSISYNSYGSKQLCQIVVNNNTQGGMNSVFSLDGQQQQQLNPSQYSNLTQQTM LKMVQTKKAKY >CAK66072 pep:novel supercontig:GCA_000165425.1:CT868042:20755:21900:-1 gene:GSPATT00035201001 transcript:CAK66072 MLQIVLIQLGEILQDLGRNQDCIECLEFGIQNNPTDYQFYFSKAYQLKRLNRVEEELECW QRGIEIQKDSSNYYEGKCKQNIKTLVDVLMRLHRYEEALKVWDDGIQSNIHNENFYYSKA TALETLERNEEVLQCWDQGILNNPHNFSFYEQKLQIDIQYQQQLKKAWSSDQEGSNKFDD ESCKNEQTSTIPLSENAEKALHCWDEGISRNPSDVVFYNKKGELLKKLGRKNEAVACLKF GLEKNQNDPEFYLALAEELLSQKNLFESMYYVDQGMKRSENQRLITTKWLLLSKQKMLRK SIIYFRLSESWSYQGRKLKQNL >CAK66073 pep:novel supercontig:GCA_000165425.1:CT868042:23694:24437:1 gene:GSPATT00035202001 transcript:CAK66073 MFQVQYDVISEFEQDRNNSFQDEESNINIFTQHQLGLFKKPSYFVESEEFNLKNNCECST AEQTESSEHSDNSFEDIPSPKFPSKQLPPPINADQHLFNLEMNYYPSISQENFLLNFINQ EDLQRIKIGLDYKILDDPQTLKFENWVQQLCNTKANQKEMQRKLKVKKYLEKKHSRTSFE KKVHYQIRQKVAEERLRIKGRFVTWKQAIKMLEKSDTKREWTNSDYFKIKILLNEKYGQ >CAK66074 pep:novel supercontig:GCA_000165425.1:CT868042:24665:25183:1 gene:GSPATT00035203001 transcript:CAK66074 MNSNPPPVIILYRQSLNDPEKKNFSKLWQQEPIEVKEFFSNLFQALKYSKDGMAHTIFEL ETSDSHSEISEINWKNQGFISEQSNSMQELLNILEIEKLPIQSAFLENNSINSIAYDIIQ KVRQLCERMKTLNINEELSHKINDSFLKIQKLRK >CAK66075 pep:novel supercontig:GCA_000165425.1:CT868042:25238:27933:1 gene:GSPATT00035204001 transcript:CAK66075 MSSYHSSDPYEFLHYCNDQDQHIHDQNKLFIENLVIYDVKYQVNKINHSFQIHHHKTVLQ IFNGQNQLLKTLWGFQDVQFPTHSNLDQIIKDSIESNLVVTQSQLIQQTVYSQTYPGGQL FKIGYQKEIDAWLIYSNNLTIVAQKSDDLSEFAFQRFDSAVQVAELWFNILNEFSQEKIT NLKFDFHNRTVYGHIINQESLIYHPDDILQFIGIVDVNFEQSQQLFCFYGLPHPKTSQFK LQLKLLQKHIERIQRSDIELESAGVILYFHDQYYVVHTAEYLIYKHIQSMLLNEQHIEGD FKQFVKALQVKPPRPFKFYESIYAEGMKILENYNKMENTIKFNFNDFVTIILYNIFNNKT FKWNQLSSIKDYRASWASYQPNSLTRIPVYTGEDLQKNPENVFLIIPVCVNGVGVSTFAR TFQSFYSQTQIVSDIQDAKIRDNYVIILEHNHTPEEAQSILSRCSQFYNKIILYPYTKKS YNQLKLPFSYELLITAMKRTCTSLEKVQYFVKKAKTFENFKVSSLQVNGVIEFPFVDEDL KIDHEYLEDDLLDVFDNDSKKNLNQFFKSLKEIHLQNQNNDTFDEEIKNIIHQLFPKVTK ITKHQPIKVDDVVRKESEDIVVHEKKNKLPKKEKHVQYDPTYLPNSLSFQLKGGYNMKDR INRWILDCLNAGNSHFKNDEVYQKIVNIFQKQTFENGEQHFIPEKELKLELLTINQNDQS VRQLPYFKDFVQDKEAEIFIKTLFVSFDGLIAGLAHQTSLACQSPYPHIPFYFKNLKVRD SLSVISQTILSNQVLKQAFKDGQLSKVTNQPLFSSEDIKYRGKTYRVYVISLQDGIKVSA ISTDRL >CAK66076 pep:novel supercontig:GCA_000165425.1:CT868042:27949:28903:-1 gene:GSPATT00035205001 transcript:CAK66076 MQPPEEKPKEEGEEKPKEEQQQQQQTQQQQKPPAKNYLDLSNKPIPPNAIVINFEVCINC QKSHSFCTQHDELRYAKLYHEFKDAVEAAIPNSFCVVNHSIQKPSIGAFEITHQNKIIYS KKNSGLFPCVAPTVERIKRFLDDLQNGKDVKGYATTKEKKQEKPIEKKSKPTFQAYIRMK EEEFARQEAIREENERKRKEQEEKEEKERQEREKIEAENKRIAEEEAAKKAEEERLKKEE EDKIKAEEEKKKAEEAAQNPQTQEGGEKPQEQPQGEKPAEKPAEKPAEKPAQ >CAK66077 pep:novel supercontig:GCA_000165425.1:CT868042:29008:29792:1 gene:GSPATT00035206001 transcript:CAK66077 MEDEEICQNIQQGDCSKSVISLRESFNDYPSFQNPFKLHNEKYLKLSKLLEEKNESQEVQ KILEEGEFNQEDEYYAAFQKIEENYKENNFAQQAKPNFQTKVAYQLTDEYLRQLKSSQLQ LFGLIYSSNKINENIGHFLILKNQQIESILNVQQENEVTSAFKENELTKLCAILGCDEFF NEEREKQVASLSLKTQLYLLKFNNQRGLVSCQNYVNGVASPIGIQEITVRHFEGVRQYWI KQ >CAK66078 pep:novel supercontig:GCA_000165425.1:CT868042:29829:30836:-1 gene:GSPATT00035207001 transcript:CAK66078 MQGILIQQQQFTQQLMRIISKEFEPSEGLELELKHAMESFFIEIKQLEYEMKESIGKYCN NCSKYKQNSQHTRQSIIDEFHDAQQDQWKHRYDELNTEFLILKACNQQIELQKAELQQKL IDQRTKYEELNRQKKEDKDNYRQQYEALKKKAQDKIHRLKDKLVLFQTQLQTYAIESEHL RIIASEGKLNSPQMSSAIKFKLKAILKEVHQLRNLSNTLLLENSKIILQAKEQLQFLNFK KDIQGTIIRRNNTPPEPAKIKQYYSQSQSIQNSPDRKLKTEKPNMIRKLNVTRSSISKNL LAEFDASAQITKFIKQYYNADQQLRNSTGFHTKLF >CAK66079 pep:novel supercontig:GCA_000165425.1:CT868042:30944:35715:1 gene:GSPATT00035208001 transcript:CAK66079 MNTQEKLDQISEQVDSLNRKYGERSISPTQDSQRKNMRRIKSSGQSLKTFNMKPQQQNFL KSSKETSGGGFYQRSQIMGTSFPSIRTRDKQNNESKQVNAKKEESVSLAHIDSIVGIKSL LGPNHHCHFEIQVKHLVAKLEASEQLTIKAKEELESVIWMVKNYNSEGLISKLLQLQQLK NELEKDQQLMREEIEMLGMQRDDWQSKYQEIYEKLLKMQGIENDLHDALHKLQMSNESLE QINRRLREKQLEVQDWQRKCNTHDEQFKIRITKLEETLKEKETQIQQLQKKLQRLDSENA FLQQEMRNKTEKLEEEQRRSKQLHAELLDTRVNKVQNLQDEIVKQKKVIQQRVEEIEEQE KKNKLLNVNYCSLLQNKLNLLETQLKNFDDVARQEKEELEKGWQKKYKELEKQSVQYKRD LNQLEIQLQQVDLLVQQKEQEVEQAVVKVKELSDLNERQLQTLQANSIEILRLNQEVQEK DQDLEYAEQQNEEISKERTTLMDRIGEQNNEISDLKQQAFQMKKELEGMKWEKQDSDRKL ERLNEQIAQANQSSDQFRQQLDEEIKKTYSLYSEINKLKQDIEDLKVQHQKEMQQQQKVI DGKDEEIKKLHDKLQEFQDQDKDLSDKLKKLMNENENNSKLIQQLQNEKLELEQQIEELK KLLSQYEQQTIEFQKEKQQLLQKIEEIQNDKTEVQLLNAEIEKLKADLDSKKNYDELKEM ASQINTVYEEKVQLEKQKNELQIQLNKTTEELKEWKDKYAKLENDKKNSDALYAQFKERS EQQQEIYLTQIKGMEKKNFQLNEEYQKCLDKIDILEEALQNQSKDIKIIPKQAQIPLHRN NTFQQIFVEDKVFSKDSTNEEIQLKFSEIDFTRSKGHLTICFWVKIDRTNSKEMLPILKI STENKKLLEMGVYLDSKQVYSTFIPNNHPKNTKQQNPLTVTSQLSIKLGEFQLLALILNE SGQYMDMGLCLNGVRDDQVSISTSLIFPEAQLSFGKYTTHHLVLKDCLVITENLQRINIF KEVYQTFYQKYNGVKKMSRRYGQKKESISYLSAGDLIFFLIQQHEQSPPKSGSRSKSPPP TQAVIINNRTIPAGLVSQYELANTQTKTEETKPEEIQEQPQWSRKYSMDKLKKFLYTNFN YKRLLSPFTENYDYISLGLQLLQPPRNDEQPPTKFHKVIKPKIQLSPYYMMPYKQFLQYI QFVGLLRVTFEELHDLCELMEVIYTSDKVQYIHYDHFLIVLRECIMTRADLKKKKELEKG EPQPPQEIIIKSTGKEEQEVELFNAVEVTEVSIIKNEQETQLEIKLKNDSVQTFPLEHLP AAFVGTLNLSQQRKLSFIFSNDSQINEIKTETNSLLLGEQSEITLNHEVELDENEKIVKF SIQDKQIRIVIQNSKKILEEIPPLEMDPETELETLSLPQVPDNWNLGKFYVNITRCQNCD KHQQTTRHQEKDFIEKTEYVTNILKELFPNVEIIENEDKTDKLENFEVYIKNSLGPEKIM LLQKQENMNKFKDHFNDKLPNLFEKLMRIINFHGTTEKLGQEQEKLK >CAK66080 pep:novel supercontig:GCA_000165425.1:CT868042:35728:36317:-1 gene:GSPATT00035209001 transcript:CAK66080 MTFIVKTRKVLLNKVLNRRQLSVELLHPNKPTPSQESVVKELASKYKADERNVVVYGLRT TFGGNRTTGFALIYDTQQYLLKFEPKFRLRRRGIIPKRDGSRKGWKEVKSKLKKTRGAEK TKIYMSRKTDKREVIRAQKETYLKGFVGK >CAK66081 pep:novel supercontig:GCA_000165425.1:CT868042:36612:38174:-1 gene:GSPATT00035210001 transcript:CAK66081 MEKKKFSMKENICNEHNKKIIAADISPNFKGEKRIVCKICLETLREKMEISGLDSVKSII ENKLQINREKLYKRINDNITKFNELKEKCESFRQNMLTKLNTIEQILNVWDTYFASMKDK ILEYSLIDEVQQIGKNEESKYEKELINQIILNKQSFEKKLSAQFSKESDYNDLQLILKEV VNIDYSGCYNQQKKKIIIREQKININKIGDLKEQKEQCRAVAFNNQGSIMISTLDSFIKV WEFKDGHLKLMQTLKGHENFVQYLFFLKLKNNFISSSDQTIKTWFFNSQWSCQQTICLNN FSFTCISTDLKEEQIFFGSTDNSIQVWQFNEQDQLSKKYDLKKHDRLVSSLSLNELETIL VSCAKDSAISGVQGQEQIIVWEKIQSQQNRFQFKHFVTQVFKINRPQLKFIDEDRFILIS ENYHSAFLFQDLEGQITQFVDLVFQDQPQRLFPILYNKDKQLLYFKLQSFIYILNSKLEL QSFLRSNGIKVQGAVTNDGKYLVFWDEKHQGYQTFQISYP >CAK66082 pep:novel supercontig:GCA_000165425.1:CT868042:39392:40925:1 gene:GSPATT00035211001 transcript:CAK66082 MNCNYHIQNQISFICLAPHKCQNQRKLCDECFQEHGVEVQFLIPINGLQEIVKKKFQELK LGDISEISVQRINFKSMLSQSQSKFKQILDQFSESAQYIFDMIELQTKSYVHLINQNINP AELSNYDLEILIQILKGESINDWIEQKNIYLNKLEELKVYWDQEEKLFISNIQDKLRGLL SINEQQSSKFTGQTKEIQKSYFQFKALQITTTEQMSRNEKQNFVKDNSNLRIDSIIEDKR EPEDLTNLEQIQHLKWVGKYGQNNKKIGLWEATWQGEKIQRVGGEYSFDGKKQGKWIEII ENYQILAQVFKVGEYVRGQKIGFWKYVYENKEMYKLINQFNNNRGGGVYSTEGLKIGKWI ELSKGFWKYSQVTHQGEYHNGKKVGRWDIFNRKYRSGSSSKIFQQNNNLEKQYISGGGSY DEAGAEFKIGYWVEMMDILNSDCQIMTSGRYQNGKKIGRWNLYNKKSSMQKKIITIFWKK LYQWWWVI >CAK66083 pep:novel supercontig:GCA_000165425.1:CT868042:41070:42519:1 gene:GSPATT00035212001 transcript:CAK66083 MSNNVFIFYILLIPKPYEKYSAGGCYEEPDYEQKVGNWVEISNNFKNGSQLTLNGLYKSG KKVGKWDILYNYKGKNEKIFNNINPIEYRGGGSYEIGGDELKEGNWVEVLDNFKDNSQLT QNGVYKNGKKVGRWDIWFNYKGNNEQMQYFIIGGGYYEENEQKEGSWVEISNIFTDISQI IYSGKYINGKKIGIWEICHRKQDVNLYLIILICQFYGNYSGGGSYDEKGNELKVGDWVDI SDDWKDFCQITYKGEYKNGRKFGSWDIEEIIDFKKPLKIMQTKESNQYRAGGQYDKVGYG VKLGKWIELHHEFLTQATFHGEYRNGRKVNKWDIYLKQNGKNEYIGGGLYDDQESENLGP VKQGKWIELDDRFQEYQDQMLFIRDCRATHQGEYSNNKKFGRWDSYFSNYQIGGGLYNEN GVKEGFWSQLSESFSMLDIC >CAK66084 pep:novel supercontig:GCA_000165425.1:CT868042:42924:43939:1 gene:GSPATT00035213001 transcript:CAK66084 MKQKLAQQKKQNQKHGFTKEETADGLAFGYYQFQKKVGIWSIEYPDRIEYGEFDGEFKVG EWIIKNRFEGLQQEIKNTTNNLNNKQQNYDTEVDSTLSPQISFTNGITDTIRKPNIITKS EQIEYLRWHGNYGMNNKKIGYLMATWKRRSNVERKKQGQWREIIKNYSTLAEVVEVGQYL NDVKQGVWKYIYENKEMRRSLQY >CAK66085 pep:novel supercontig:GCA_000165425.1:CT868042:43970:45821:1 gene:GSPATT00035214001 transcript:CAK66085 MYSGEYNNGKKVGRWVILYKKEEIGGGLYDRSGDEVKVDVWVEIIGSFNSDSKVTCRGEY RNSKKIGRWDIFHKYEGRNQKIGGGSYDEQGDELKIGQWMEISDFFNNFSQVIYNGLYKN GKKVGYWYIWEQSEGNYERIGGGSYEVSGNEFKVGRWVETSNNYSDISQVTYKGEYNNGL KIGGWDIWYKYEGKSTKIGGGQYDESGNEFKLGPWVEISDVFNNFSQVTYNSQYKNDKKV GRWDVWYQQEGKNEKIGGGSYDELGDELKVGQWVEISNNYRDNSQVTYNGEYNNGIKIGQ WDIYQRIGFDQPIQKIGGGQYDNVGHGVKFGQWIELHDEFMNTSQVTFNGEYRNNRKVNR WDIFFNSNGENKLIGGGLYDNEEREDLAPGKLGKWIELDYRFNEYQNQIQYDRSFQVTHQ GEYKNNKQTDRWDTYFQDRGGSYNENGIKDGQWGLLTTKFSPYKLTLFLGLFTNGEKVGN WILMNIKIEDHYIIFVKIGEKTYENEKQY >CAK66086 pep:novel supercontig:GCA_000165425.1:CT868042:46230:47845:1 gene:GSPATT00035215001 transcript:CAK66086 MQNYINPRLKGGFAKVYIGHMFGNEKELVAIKIIDKKKFKNQKNTKNIVLNYIKRESQNQ FQLSSPHIVKMIDLIENDDESYFILEYCEGGNLQQKIDQVGIPFEEALLIFKQIVNGYKQ IREKNIIHRDLKPENILFSKNIAKIGDFGFSKFLDDLDEIVLQSAVGTPIYAAPEIITRK FSSKVDIWSLGVILFQMLYGQIPNEIKDYLNLKIKNIDYIKFPANKKIPEYVVNIMKKML DVNPEKRISWDDLFAENLLELIEPQSSEIIDQISESKAMMMKQVLQTENRVESIFLYFIY VSDILKFIQQLMTEVQNLNMMAQFSQAQQFSYSIITIKYMENELKFYLDILKGQNLFSVY ILQADFELFRNTDKFYKTFNNFKKNYEIMSQFYVQARVKYDKFASQKTQKEEQKNWCKNV IEALDQNENNQKFCQTFNQVYSQIIHRILQKYNKNENANEDKNRVLKVLIKLLHCLQPLQ FSHHKKDAYKIEERLLTTTCLENEFNVLYAQIFNQ >CAK66087 pep:novel supercontig:GCA_000165425.1:CT868042:47889:48770:1 gene:GSPATT00035216001 transcript:CAK66087 MEQDSVSILCEQQERKIKVILIGDQSVGKSKLMECLLNIESKVNDKQIQSVDFFISRIML PNKITQKIHVWITSGEIKNIAMIRAFLLQSNIYIIAFSLNNMVTLENALNTWFQLCVKNA KQTNAYYYFLGTMGDLERKCPKYNEIINQINEKCAEYKVAVFGSKNAHPVSGIRQSEKWN DDHIIEFATKRLPQNVYYSECSATENLGMDRSMSIFTKLIMSAMDMQDKLNGIVKVTEQP TTAKPQLQSTQDKRPSAKIEIRQQPQEQQEKMCCKIF >CAK66088 pep:novel supercontig:GCA_000165425.1:CT868042:49429:49821:1 gene:GSPATT00035217001 transcript:CAK66088 MSASNLPQRDDDKLIVVPLEFKCLEDCVCKSQEKRTWYHKKCGKPSFINEKGDIFCENLQ NGCSSYFIKDASFQCDEAKKNNTWYQFKNASEICMALGKALQAAENKLESVKLLKFTHQI LIQLGQRWYT >CAK66089 pep:novel supercontig:GCA_000165425.1:CT868042:50377:50637:-1 gene:GSPATT00035218001 transcript:CAK66089 MCQESLKPTSFSLSNPHKVQMMQKMKSEDTPNVYHLIMQNIRKLHIKWYLMHQQGQLFRL QNQIKLQLLRLGLNLCLDQHKQSYVN >CAK66090 pep:novel supercontig:GCA_000165425.1:CT868042:50910:54033:1 gene:GSPATT00035219001 transcript:CAK66090 MKQQSASKPKITTQLPMLPEIKRQSKDSMEAPLKLMKPAIQNPLSLSRISRQSSSIETTI SQESQRKQQQSKKQTIEPLVKNKTYFNHRTLMNDYLKRQNISIATKVFCFNSQDEYVRRC LQRHGWLETPCNQQIFDLKWVYNDTPDDFKTLVDGQFYNHFSNTKELTTKSCLLANFKNQ CEYGYDTSTFFPRAFDLGNATDRDDFLKEYERTAVTIILKKAIIALRVKRKNEMKKIKEV IFQEWKKKEENSKIRRIDMKRTVKRKYKNIYTAQELQDSSQQSDIKFDCSIMSEVLSRLS DMKRQLKDGFYDDKNFELSQQYISRTRYNIITYSQNQKFDKGSNPSKFLIRKLYRYHLFF KKYDPAYKVDGVHNIWIIKPGGCARGSGIYLEKDIAEAINSGQQMQARLVQKYIERPLLY KGFKFDLRQWVLVRSFQPLQAFVFSHCYMRMCSQPYDVKDTKNLLKHLTNFSLNKSEFKN KNDSIYSSDFMQQWLPVDWQKVVRPQCNELMIKTLKILQDQFEGESKYCFELFGFDIMLD EYCKPWLLEVNLSPACAERADWLHEMLDSMAESMFNIVFGDEFIRPKKYYYELLIDEEQN YNNQQQNDGQFELWGQKCNIRREKMIDKRYIDSLAALMIQKFYRMYKAKKIKWFLRDTKY AIVIQKYVRRMLAKLLRIRLKKYYSARKLQTLIRGFLAKKRKFHLKRAKMATKIQCRFRC NQAIQIKSQLKRELALLYLEQLISIKISSKLANELKQKLFKYKRIQRWWKVLYRKRVKQS AKINSLVKCFIQKRKYKKLLKNHRSAIVIQKNYKRFQAIKLRKRLEKEQATLLLQCLMKI KLAKRQQRLEIFQKGLNKFTKAYGRHKSRVAMVNIWNMGLLRVKAIGKIQSYRLVIKSKR YARRLKRLKKFIRLQAFIKGFLQRKRYRRLIKRKRAIKLIIKCIRKYIFKKKIKRRIQQK KGRGLNLYSQQPSKANTIQTTSTRNSQTIQQFQSQNAKKQTSQIPKKK >CAK66091 pep:novel supercontig:GCA_000165425.1:CT868042:54065:54876:-1 gene:GSPATT00035220001 transcript:CAK66091 MNKLVVQQVKSIFNSLPNKDLDKLLNLLVAAGITKTQKQVGYKCVECTIMFKGTNASGFG RDELEAVHICLKNFIEQMLEEEGHLVFLRNILKELKQLDFQEQDIEQLQQKIGNISIIQN NQNYQTVDSLLNGENDQILGQIVDNKSKKFETLQDNKENIQNQYQKCCSHEQELKKEKMR VEHLELRIKLILEENRILKSLLNEKKESARQESNREGDTKRIRQQVRSNSVKMSKMQQVN GVPKLNLDALPTYIGKQKALF >CAK66092 pep:novel supercontig:GCA_000165425.1:CT868042:55400:57645:1 gene:GSPATT00035221001 transcript:CAK66092 MGSALQCGIQRRPKKSSTHKLVAQKYLGKFEQFELDMLTLIFKDLASRQSNSLLSRDTFN TFFQIIGFWGEQIFNKFTNKQEDYMNFDEFLAGMEMYIKCSDEQRIVNLFQLYDLQNQKG IAKSDFLQMMQNYPQYELKKICEDQLFLDESLIIDDFGNRRKHRHRKYKNSADLLELSVQ PFRKQSQNISECQFDSSNKIISEGQQSCFSKQSDALFVQDSMIQENGTTQKRFGLLITAQ VDGQKIEMCVNQSVLIKKYVDLVYKNKHDQLLSLQEFQAFIAKHPNLIQPLYAAFNYNIW GITDKQPNYKQLMLIMSGDLYRISKKKEIKQKHCELYPHVLLEYSKKGEGPSKVICLQGL IIEEKAHQQVQKYGFEVMHVGKQYKVKSYECSDDKQFRLWQNALQAYNKQVLHYYWSGEI SKKYSILEKIGQGQSSMVYKCQDKQTKETYALKIINKQQISQNQADAIKHEVEIMKLINH PYIVRLVESFENKSHIYLVTELIKDGDLYDYVEEKKYLAEEEAALVLSQLIDALESIQQM GIVHRDIKPENIMIVLNQNTIKQVKIIDFGFANYLSILNERKENFECGTMNYIAPEVYQQ CKELTSKIDNFALGGVLYFMLCGYAPFYSEIVLEIKENILDGNYDIQDEFWQCVNDDVKE LIAGLFEVDPLKRFSLQQVKEHIWMKKFLEKRKSKKK >CAK66093 pep:novel supercontig:GCA_000165425.1:CT868042:57713:58684:-1 gene:GSPATT00035222001 transcript:CAK66093 MFYQQFKEYPKNSQREKTQQERKTIKSMDWNCNGSYLATSSDSTIKIWSFDGNNIKRSTD LKQGSEQLEKLLFHPTQPDIIASITNEYVKIWDIRTKQSVRQEKKEQMGIACWSPNGNEL AVGTKQNKETQIMFLDMQGGERQIMYQPKDKDKNFELKNIMYDQDVFVAVGQQNGVGMIQ FMDVTNPQLPPLHQMEAHRAGITQCKFSKDGQHMYTGAQDSLIILWQLPDLLCAGQLVTV ESEIKSMSVFDSKYIAAIGLEEQAYIYQVDRCLAGKTDHIDSIKLEGQPSDLCFHPNKFI LAIVCQDKNKQESVIHLYGNLGV >CAK66094 pep:novel supercontig:GCA_000165425.1:CT868042:58740:59242:-1 gene:GSPATT00035223001 transcript:CAK66094 MDQQDYHKIDPTIVEDPMLDDGYTRKFGAYKPKFHGTTPGYYPFECIDYFYVVLMTLFTW GMCLPIWYGLIEAAKGNSKQYAIVCAVVFVGFYVIQALATYYGGRMKKVIENQMIEDKLK EMQQKELEKQKLLAAI >CAK66095 pep:novel supercontig:GCA_000165425.1:CT868042:60269:62113:-1 gene:GSPATT00035224001 transcript:CAK66095 MFNIILFQIITTTIAFPDVTLSFGTLMPKQQDPEENLPATIYKNNQINKYFDYDQFPLLS CCPTNLTKYKVDSLNLHGHGRQKFILPLTITNNENETIILRQLTITDYLEYQDIPVAPKL SALPILQPHQSIVVEFIHSCERQVNNTNYWSIQNVFIRFQDFQGLNFQYQFICDGSFHPK RFDWSNIILITFDSIVIIILATFGKIYSFRIAFVTPAKRKQFEEQNIQNVDLSPFQGFYL YWPQAVAYMGLLLIALFTSMSYQEKAEKVIKILVYLICVLTSFHFFNEIFGKFRNTIPIL TQKVYCLKIRDYISILLSTLLIFFYLYYEQPWFVSNLLSICILGSFIKLFKIISLKDALQ FFIPLMIIDIFCSIYLSQTVRYEWDSVALRYFNTPLSAQFPYFRYIYKKKCAWVSIFNLL FPGFFLGYVNRFDKHKQTYVYEIIAFFGLLLGLILWVLIQFISSFPLPTSIFTEVLMILA TSLVAVQRNEFNIFYSGNFYDQILMDPFKNDIALQEPTTLEMFGLGATTQVIKRNTIQQK DLVQSGEQGLVLNSQLFAGLASVNRSQQQSNIIQSKYQNHY >CAK66096 pep:novel supercontig:GCA_000165425.1:CT868042:62130:62972:-1 gene:GSPATT00035225001 transcript:CAK66096 MNMPYFKPRSQASQNSFIKQYQDFLQKNRKNTASILEPKKQQAKQSYSPLFKQPKLSYSK LKNLRLQTSPSPKRQQCYSLSSHHQTDNSTQIDRSLSQYEFEVKDKSVCSASLFQDTQKQ VEEHQQQPPELQQGIVGILGLIKKEKQVILNDMKQMTKQMNNCKQQYEEIQKQKEELQHK FKVAKDGNEVHARSKDKINDTYLQMIQLLRGLQVQHNDVLPELEQSLLNHIEDADEDVRI PDKDEIIILQKLIIKKLVKKIEDQKQLNQLLQTKIKEYEI >CAK66097 pep:novel supercontig:GCA_000165425.1:CT868042:62997:63321:-1 gene:GSPATT00035226001 transcript:CAK66097 MNKSYDDIEGTLPRIGEKCTLEQFQDLEQAQEICSKLESKIQKDILIDEMKQNPQAFFNF NFTADDYYLFLVKYSMMRAERQVIEQEFTKYLKEKKIFLE >CAK66098 pep:novel supercontig:GCA_000165425.1:CT868042:63369:64083:-1 gene:GSPATT00035227001 transcript:CAK66098 MKYILTEEHVPIPEKIEITQKSKVVKVKGPRGELTKNFRHSALDIQVSKKVNKKNNVAKS RVSVRMWQSYRKQRCQVNSVASQIRNMIRGVTTGYKFKMVLAYAHFPIIINLIGNGQGVE IKNFLGEKIIRTIKCLPGVTITRNEAEEKNVLTLQGNDFEQRFTHLCTYSLSLCCQEQGY QTILGWNLCQ >CAK66099 pep:novel supercontig:GCA_000165425.1:CT868042:64105:66205:1 gene:GSPATT00035228001 transcript:CAK66099 MNPYSSNFDCIKHINTLFPNEQSLVGIDTEIEKLQSELTQLQSELQEDIHEHAVMQNKIW RDVQDVEQLSQGIVTEIQEIKQKAEKSEDLVYSMCKDIKSLDIAKRNLTFSIAALKKFIM MLTAIEKLKEHCKEKKYAEVANLLSAFTELSQYFVKFQNIPQILEITGEKEQLIKDLKLQ LIDDFIMFQKGTNLMQIKDLQDACQTVEHLGLKFRDELVQKVCKYIISPYQELFQQIENR SLETTERRYAWMARVIKDFDTKAQNVFPEYWAVHCQIYYEFCAVTRLQITDILETLKDLD VAVLMKALQATLKFEQKLNDEMKKRYEEWLGKKNSNQFAISELPKIKGAISDSFEPYLRP YIDSEEKELMQHIQTILNSDKLDVEGDLKMLNSSMSMFAYFKQMLKRASQYSRTQVMFDI QKLIKKALKRYSDEITFKINQTRNNEQFSQIYCCFVINTAEYCKETIPALQESMVQHLDS PFSDQVELSNEEEYFNQMMNKSIETLLVYVDSKIDQFYQQMLKIDWNEFENMGDASKYIR DTISFLEGHIKIIKDLLSESYLIFYLNKLVVYLNNKFINSVFRIKKISEIGLSQLMLDVS ELKTNLVRISKLKQESKSQQSFNNFVQKTLSRSDSILKLIQMSIEKFVENFPDYAKKYES APTDLDKILGLKQLRRQDVPQLSKFFTKIN >CAK66100 pep:novel supercontig:GCA_000165425.1:CT868042:66226:66658:1 gene:GSPATT00035229001 transcript:CAK66100 MLNNCDELHILRLPKIVNTFAKDEFLQLSMKVSKINEYGNKHTRYFVLTNKKILLLNKSS NNNVIQKRLEGKYFCPLSLGSRFPPRVLSSYYILKMNMMMMISDYKLRVDPDQLNSLSRL MHLSFTSLQPHTKFKI >CAK66101 pep:novel supercontig:GCA_000165425.1:CT868042:66781:67730:1 gene:GSPATT00035230001 transcript:CAK66101 MQQIYQQDTASKLLFGEFLLQLKDFELRKILCEGSISQIVQILNTKTHTYHIMKCISKED CGWLNLNEFVTFENYSHHPFLIPLEYCFETFNKYYFIMPSAKCDLYKKLDELKKFDQKQL QFIAQEMVVVLGDLHNKQIVHGDLTLENILLDQSYHIALCDFGYLRRTLKSKDQFYGVAE YTPPETIQNKDYQYYSDYWQLGIILYEMAYGHPPFIDYDQNLTFDYILNCQLEFPIQIEV DPQLKDLLSKLLCKEPTKRIGYQMGVSEIQEHEYFKNTNWKQVYDRQLQPPEFEKKLKLF >CAK66102 pep:novel supercontig:GCA_000165425.1:CT868042:67786:69020:-1 gene:GSPATT00035231001 transcript:CAK66102 MKQRLVTQADEGPQDNFIQKSNSIQNQFQILQVVGNGTFGMVYLALDTKTNEKVAIKKVF QDKRYKNREHLIIQELNHPCIVKLRQAFFTQGDNSKNPDDIFLNLVMDYIPETLSKLIRN YKKSKTPFPNVLLKIYSYQMLRAFAYLEGIGICHRDIKPQNILVNPNTHVLKICDFGSAK RLVPGEPNVAYICSRYYRAPELIFGATEYTTSIDIWSIGCVIVEMLTGEPLFPGESATDQ LVEIIKILGTPTIEQIKKMNPQHQEFKFPQIKCHPWAKVFAKYKPEPLFVDFVSKLLVYS PKERLKPLEALLHPYFDEIRQEGFSIPNVQLPNFFDFQKVELQIQPEIAHKLIPSWFKQK Q >CAK66103 pep:novel supercontig:GCA_000165425.1:CT868042:69046:69303:-1 gene:GSPATT00035232001 transcript:CAK66103 MASNLLQQLQKRSIIKPKKDASTPSSIIKQSTKMVVYPADVIFNQQIPQNNQSNLNLSAN NSFRSFISHSEASLSSFDGIPGMII >CAK66104 pep:novel supercontig:GCA_000165425.1:CT868042:69447:75419:-1 gene:GSPATT00035233001 transcript:CAK66104 MNNEDLEPIAFSNQTSIQEIAHFRNRLVNDKFENMNSNQQQKCQSFNAFEIGTPKVRKQK KTKTNIILRNEESVFGLRFDNDNLSKKDISSVKYTFGARSEKPKRESTNKIVQQINEKVQ KQKYKFAQEKMKCRTEKTFNNSDNQFYKMNKRYEKTKLKTEQIYLVDLYKIYGYQRLKYE LNIYQDNYSFLKLRKFIIKVIYGILIILNYMQRTIFYKLFMSIIILVNLVIFVSKILSIE DDKFIIYEISNAILLKLVVIYVYIADQVFRILSKGIIGHKHAYFRVVKNWFDLILTVLQI ITTLIPIIDVVDFSPLRLITLLLYLGDFVPGLKIMLQALGKSFKYLVEALIIVIIFGLFF ATLGQSLFHGLINYRCLPKQYDSLDDQPWISCRITSCPDDLVCQYVSQGPNIPTSFNNVF SSYAQVLRTITMDDWTWVMYFTLKSYGTYIWVYYLLIIFFGGFFGFNLVIAVLKIHYSQQ TNQKLNQSEEDDQHQKEEKLNLQILKQNGLYHPILQYKMYLKGDHQLLSRSFCSFTSIQS EQPRILSGKHYSKYENNFQFLQQFSLKTLLLPKFVDIKKYQNLLQDLYDNLSEKPDINMQ CLTHNEFTRYWANAKNEVYVSNSASDVIQCKQEVSQKVQNRILQFRYQTKSKNKQKNLNE LKQFPILKSRRLKEKIASFASSGITLMDIHHHQSRATSQQQTASIHPNNIIISAQNKKST STFILHKEKEIYVKIYGFYHNYKAVSELINKKIQIRIADEIKNYEEKYLQIRMPEMQTGI IESKNCSISSVINNYEHKNIIKHSLNHIDYLIWLIGIRGKIKIIRKITFMIITNKFTNFF VDCVILVNFLCLSLIGIISNQFLTVIEDFTTIIVSIEFALKLISYQTSKLVQKEQVILES IIILINFYEMSFRQILNQQDIIARLLRGTKILLFHRCLKYIRMAVLIGLIAKRTFKQYIY LTFLMFLMILIYGLLGMAVYASSFDETQLLGHLHSYKDPLKSWMTVFNIMTNDDWYGVLA LGTKVNQIFAFIYSFSMIHFLNYITYGLVMAILLDGFGEYLIEIKKDQDIMFKGETSDKQ DSDSEAEENQKEKEKGSATKSLKVLSQNQSVQKDTQYGYLLESICKILIYLYRITSETDK NQEEKDLLRNQVQRIRIFCVKTIRSELFYWSRLGLNIGFIVLIAIQTYHVEYMVFVDSLI LITNLLITVQTMMLIIALGLFHEKGSYIQHIWQIIDIMYLVCYYLQLINTESRILHLFLY LRYLRPFKLLYSFTPIVKLYNSLVAALYNMSNVLITLLIIWTMFAVYGMILYQNKFGFCD DLMQFDINKQQCEDEGRRWITYQHNFDNITVALPTLFVISTFDGWGEILQVAENSRPSYQ GPSPFANQLPTYIYLTLFCFIGSMFFLSLFTGLLFLNLKASQQKIEYDDLTVYQQEFIQV SQKILRDSPSFSQPPRNIIRRIAQKLNSNSYFQYLSFLILIPDTIIQMSFYQDMEIEIAL ELNKYHEIISILFTFWVIVQLLDYGFKRFIDDQWRQFYSFIVIISLFELIATQKYDLFRI QFTSTMFTENYQIIRLLFALRNLRILILFKGFDDLQRLIRVMIFSFPFLGKILFILIVTT LSYALLGCQLFGHIDKGVIIDSQINFSTFTSSLLTLLKCGSCDNFRSIMTDTMIHNIYCY DDPRYCGSQFAQIYFISFVFISNYVLLNLFVLGLIEQFEKFFQSENSILQVYIESIDKIK TVWCKYSYQRHGKAIHYLQICKFLLEIGQPFTHVKDNIWDAARFAGYLKIRTDDQGYIQF NSLIYEIFRRTFKQQVFKQGSEDSIKIIKKFNKEMQMRLFYFRRDKLQKRSFIATYVEFN SNFSIASDYLSILVIFKAWQNYTKQLIRRSKLEQEDFSDNSSFGQEILTYSQEQMKMRNK ARKI >CAK66105 pep:novel supercontig:GCA_000165425.1:CT868042:76390:76665:1 gene:GSPATT00035234001 transcript:CAK66105 MNGNKLKSRKNKIISQIIQQEARLNRSCNNRLPSIYQSARAQKIQVQISQPYKQFRLTRY KNESIPFGTQLLDIKKKQLTSTTLHTLEESL >CAK66106 pep:novel supercontig:GCA_000165425.1:CT868042:76719:78271:-1 gene:GSPATT00035235001 transcript:CAK66106 MDQSINDAEAFLKQKIKVNPLKDQMQQNQKNYEIQLQVAQYFKKSESYTKKPAIQLVSNE NDELQVYFIYGEHIPDQSKKQDYDSFKIDVIETMHPKIDRFFIIVFFNTNMMTASTLNDF KDFYGTLPEKYFFHMKKFIVLHANFIVKGGGIFGMNEIKSFFKKITTFEDTLLILSKEEW FKYKMLAALPESVKAYDQSYKDIENKRKRTVFRKQQTQQAVGDDDIDSDDNFDKDSKILG LNLHEYERNKYGIPVILDMLVQYFEKQPEKLKTEGIFRKQAVVYEEKVVEAALACQKVGF VNKVENAHTIACVFKQFFLRLREPIMTFQLYEWVVQNKPQIEQNMEVSVQMLFDYMPELN REILLFSLGFLYSVIKEQNDNLMTAYNMAVVFGPNFFRSEVVKMADLGYVTLFVQIVQIM LEQKKDYDYKKMLEVRSDNLNFDASLVPFLPDDLANLDDILDMVSDKNVVNEAERKELKA RYK >CAK66107 pep:novel supercontig:GCA_000165425.1:CT868042:78885:80433:1 gene:GSPATT00035236001 transcript:CAK66107 MSVRRVQSAVASSKQTSAVIPLSELKRMQDHITVYHQDTPEKFELHKLSQDRVKNWNNTL KQNKVQKDRTKFERFKQDEEERRKIDEDERRYQALVKQQILEKANKQIYENNERIRQFQS KMLVVDALQEREGQKQIKGMQKLLEKEREKVQYEQMLEIQAEKDLLEKKKQQELVEKKKQ QHDMLKKQHDIMRDKFIKQVQEEKIEGELMKAKVQADLEEEQRIAKQRKDKVLENQQLLI QGNEVLKKQRELEKQKQLEEEKKIEEHAKKKERVLEIRKIRDEQRKAEKQAQRQKLIDAQ TEKLRKQKEEQEKLLNKNIIEAEIKAEEVEKMKKEQRRKLQSAISYSHKVKMEIKQQQEE EEKKLNQEFQQYWINRNKELHEQELREKMEIRKKNQELKDFHHQQENERTQKRTQEIMKN FDERDKCLQRLDNQDKEFDEWAAQIVKRYQAEGKNTDILMKELKQFKHK >CAK66108 pep:novel supercontig:GCA_000165425.1:CT868042:80525:81244:-1 gene:GSPATT00035237001 transcript:CAK66108 MSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMIGFAPLTSRGSQQYRALTVPELTQQ MFDAKNMMCAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQNKNSSYFVEWIPNNIKSS ICDIPPKGLKMAVTFVGNSTAIQEMFKRVAEQFTAMFRRKAFLHWYTGEGMDEMEFTEAE SNMNDLVSEYQQYQDATAEEEGEFEEEGEQ >CAK66109 pep:novel supercontig:GCA_000165425.1:CT868042:81244:82011:-1 gene:GSPATT00035238001 transcript:CAK66109 MREIVHIQGGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGRYV PRAILMDLEPGTMDSVRAGPFGQLFRPDNFVFGQTGAGNNWAKGHYTEGAELIDSVLDVV RKEAEGCDCLQGFQITHSLGGGTGSGMGTLLISKVREEYPDRIMETFSVVPSPKVSDTVV EPYNATLSVHQLVENADECMVIDNEALYDICFRTLKLTTPKPMVT >CAK66110 pep:novel supercontig:GCA_000165425.1:CT868042:82180:82648:1 gene:GSPATT00035239001 transcript:CAK66110 MTKVVNYKVNGSGRDTYINYGNGGFYKSDSLHTEDSFCSLSKQNSLPIINSKFKLYHTDG TGRDSYISEGQGGFYSYQPKLNFLGRLRRYEPSPEAIRENDFLRRSQLVKPAKEQERQLK QSAVVEQVTQRLQLPKIKKE >CAK66111 pep:novel supercontig:GCA_000165425.1:CT868042:82677:85565:1 gene:GSPATT00035240001 transcript:CAK66111 MSDSSSDEDYRKFNRKAKKSQARTNVQDPESTRFAQEFAQRLLRERGFDITEEEMGFKKQ TTKQVKATTYVHSIDQATFKELQKEFNKCENIGQICTILKALSNHKEATPQQQQQYKIQR SDFLIKNRMYEEAKTECFELLEKELQTLDKVKVLLSLCKAQLETNETYNGCMQALRLKSY AETVKLMPSFNLGIYDLTPEQIYKQIDDIYQNTIFKIQRDNKKVISLLGVQGNSATGCLG QGDLTQCSELTQYSIFKNRKILSIGCGEHHVLVLISGCSCINPFLVSQCKGEKCNNGNEV FGWGENFLGQVTMSELDGNFISVPYNISQLSNKNIIGVQAYKSSSIAWDSEGRIYQWGAK HILKIDPIAEIQQIILGFKFGAVLAKKSLFIIGELDENIKYPKLTKLADNIDQIAGGDNH LLSLNSQGEVWGIGQNKFHQLGVNNHQQYSQIQEEECFYINANENASIFVTSDGEVLYCG QVSKEKVIDYPKTLQIDEDVQIIQACTYEGVIYALNLQGKIFRWDIDKEPKSKQFFSAPV RQLHLCRGIRLCESTALQGEWCKLELEDEDQEEFETFSDINLIINLGDKYGPYGIKQTHP IRYNIFVSDDSEITPDQLLHKNQVVSMSKIQSQQDNKIYLNYMNDGYKAEIKELDEPSKH KLVLQVNKPGNYFVYLYLNEQLLIDCPLTLNLVAGSRQEELMQAEELKKQQQQAELQRKQ ALLLKKQQEEDERQRMLNEQQQELQQRQQETKKRADEKLQQYQLKMQEEKRQKEEERQQK KDLLTGGGFDLNKVKQQQQQQQQQQQQQQQQQEQIQNNNQPKKIIKQVNTALSQKVKQQM QNLQQERQQLQQLNNQQQQQQQQQKQTKPQSQPPKLNPVKATPKVLQSIQSTKTTDKAFS TQETFKEMQQTGQFRKTDTQLQKIQQEFQQELQQLKRQESNKYKR >CAK66112 pep:novel supercontig:GCA_000165425.1:CT868042:85595:85987:1 gene:GSPATT00035241001 transcript:CAK66112 MKYQVDNKSTELRTEQCQTTKQVLISHNGDLPLISQTDISYPKVPQTRESQKLQCVNCQK VSNSKLKYKNGKGAHLLILLFVVFIITIPLAILVYFLKRFQRCRTLLCRMRMFNRNIAFF NWMIYISQLK >CAK66113 pep:novel supercontig:GCA_000165425.1:CT868042:86951:88428:-1 gene:GSPATT00035242001 transcript:CAK66113 MNQSVGENQQSLPSINYGNVKYSFKCLRRHLFKDKVYHVHALHNMITLGEHFNDPNPKYK LELNLKNTVYWKQENGQNKAFGIKYKKNVKYFEASSEQLTKFRQAISCLIGFHSLDEHYK LEKVIGQGSFSKVHKVHRLHDDKAFAMKQVSSKQKNDKENMQLLENEIGILHSLNNESIL KIFEVFRIEEHQYGIIVEFVDGICLATLIEQLKKHQNKLKEYDIKIMLQAILKAMVIIHQ EQVIHRDIKPQNIMISLSSFRNVKIIDFGLSIKNQLQYNRCGTPGYMAPEIVNMKKDQQK AWTSLCDIFSLGVVFFKLLSKGISCFQGQTSDQVLANNKKCQIDWSIVQQYNYSKNCISL LKAMLAKDPDERITAYQALQHPFFADLPPVLATDFAGNSMTFKSKCIINQTIDKPNLDSI NTLNDLSGLQKQQIYVRDLKPSRISRRV >CAK66114 pep:novel supercontig:GCA_000165425.1:CT868042:90309:91644:1 gene:GSPATT00035243001 transcript:CAK66114 MKVKSRIQLRLPKHSGHLQTEASQDQLQSTNDTRFKGDMTCRQTMRAKNAIKEALMIQNI KLAFQEEMDKIKEEIRNPENVYYGNVSTEMLQQNRVIIQNALMQLNQLYLERKDRDQAIK IINEISNQVLKSKIPDLKIFIHLKLAKIVCSYKLLYFSIILAKIVKRMSDNEHLLKYKLL AYKLLGICFFKLRNRQSKIYFTKYLMCSWKLHKKNHELKAYEYLGKYYFQEGDVDKATAF HERMVNGAILLPHSSLRVLGIRKLEQGSIGKGKKNYGLVEYHEINVSSDAEGFELVFNDD DTLPNKVAIQKMDQVVQNGQRQSLINYPIRKTLIFQHRSLHLLDNKIKTRNTHQLPVMLN HLSPNRQLVNYQFLELNKAVPNYKQTQNIDPYFEKKDTQSIASLIVKLSRVLEQVDIWIK L >CAK66115 pep:novel supercontig:GCA_000165425.1:CT868042:91759:92097:-1 gene:GSPATT00035244001 transcript:CAK66115 MNSPQSFLELLINLTLLLFQQQQLLLLLLSQLILPLTLLNLQLVLSSTQQFIPTSSEVTQ SISRTSHPIPLITPPILQSAKSIASMIANLNSPAIEIQECHPTQMKHTQHLN >CAK66116 pep:novel supercontig:GCA_000165425.1:CT868042:92126:99444:1 gene:GSPATT00035245001 transcript:CAK66116 MGLLRRLSQIFKSEAPQTNNDHLHENQQYSKKIVLENGESVNVRGNENQFNLVKTSRYTL TNCLYVLIIKFFKRFLNLYLFVINMIQLDYNYLISLLLTFTMHMIIELYFDSQKRERDEK TNSRQATVFADLKKSVLNVASSKLIKQGGRKSINQNIVEHENLVNNNNYQKDICMRKVSI DTVPGRQDKQTRIPLFTMKRWDQLQVGDIIYLKNNEICPADVLILDMGQSASMASNTVMS GNTNEVRKRACPLTTISKEHNVQLLDYRTILNGVIRYDQTDSDQYYKGMVKLKKDPKPLE INKENIFFREQLLLNDLYLFGVILSVGLDCRCYKSFKHVEKYGYFEQKANLYFFIAILSL VLLSVIQYTIEYYGELNYLIEFPLIKYSNLLPLYFYFLIDLLYFTQMLYSNYQFQKEKGI SKHSRQDYNPLQDNYHKNLKHLQINYSPISNLSLINHVLIDKTGTLTTPSFKIKWIFIFD SLYKLRYRSFAEKEFQNAIQQTKMRQELNQPIDERAEPESSPVIKCNNEEVNFKLEDLDD DHPPYDELHRTQPPRFRPESQISSQFDQSAEGAQIQKQSQPRGSSLIVNSQHESKRQLTL QRGSQKFHAPRIPSNLEIVSEVEFSGNEITLIRRIQDNEFKPHYFEAMFALVLCQNTRSL YQKSDDSFFYEYSSELDKQQLHLCKHYGFRFICRSKYENQIRYVIQDGEEIHLIDVMSLH SFNSRKFSIIIRLQEELCNKLGLENDDSQYLQYLRDDSLDMIGCLSLEKDQVAKLDLMIK DIQVQGSRPVLFYRSLMTEVQMQTFIKEANKLLDIQSNKIEFMHEYQFLMHEFERNCDLV SVIGVQEKINKHVTPTLNGIKQLGIPCWLVSGDNYEKVLPIAFRVQLLNSNDTVVHIQAK TQDELFLQLKQQLQNLSGQLRSHSNKKELRHSDTLIHKKGSFTCSPRWISGGQKNLHIRQ FQIIINGESLEQILRDAYLKKHFQFLLQFTSNFIGYRMTPQQKSILIKLLRDRKLNYKFI LSIGDSFSDINLFNHSDFTIQMQSQRQIFPHDKNNFEELQHGRVSIKQLDQESQFCTHKQ DHGFIEPLYNNDVYIKEFELIHRMIALDSRRSALYFERILIFALFRSFAIIYLVCVTQLI RKQLNVISTDYLKFHSNIFFLLSSSFIISEISEKVEPCNHSEFLYFVFKNNQLELNNNKF GKYITRITLFPLIQALLINLYTEYTDLSIHDGFIMTSTELGSGLFLLLLIVDTIKLVQRK YITQKQSVFNIGLTFGLYTLISLQFDSISIIYKWAASIQTLFSFIFIVAIHIIVNLALNY VNRPFFMPLVIQTSNEYDEIVKIYNKISGDVARQIKLHRATIEKISYYARKLFHGDEDMD PIIKQMLSGAFADETENSINSVTLRFKSQLLQNKFTEDNLIYIVRTYRIIVAITFLFYEI AIYTIIILTMDNFNFTYWTDYFYVSMFGVLLLLVLFCWSRYYKVYFFEVNFIILFIRCSS IIIWLFNQDQSVGEMNLNMLQLSLSVNIYHYQEQPLISQVFSAIYVIIYLIKKSDEDDVY ILINQYVMSIASLFLLVVTFRRIIHIFLEVFLGRINMNKENQIMSDILSILLPQFIRDRI NKAGQYDIQEDQGMVAVLFCDIIDFDQLIKNEQSNVVDILDKLFRRFDLLCQQHEVQKIE TVGKTYMAAAGLKIHMSQKSNPVNKVISLALDMKRHVMSNEVFQIKIGIHYGNVIAGVIG HHKPQFSLIGDTINTASRICSTAEPWDIAISEQAYRQTNKFELVYVQRDVVAKGKGKLIT YVVNTKRGGKQRKQTIMIQRPPKHPFRDPKEYYNLDQHNSNLISDNGAVTNKEAQPLIAM IEDPQPLILNKKQKSEIYNYVTKTSKQISIESPNKSNQQQIVFQQSIVQFALNQGGGSSK NYASQSIVGGVQSQGYDDRHQKHQDLIQLLEKKTKCELNVSDYQLNMEYHVEKDKIRALY SVDEDAQGQTLLLTLNPKKLYLDFNEDITVTLEFYEFLSSLYRPFAIQYLLTIGSCVLIS SVSCVSLNRQIFLANLITGVFVGLVHLLLCTLIHKYESFYNVIYFQILAYMLLGSYALDC FLITDHYEIQIAKGIIYCLSVLLNRILRHQHKLVYLVLFLGVTIAAIVVNDWPWSRFYYC VIISIFAFCIQLFMFLKNVNSYNVNKQLIEKSIKYQFLLNYLLPKNVLEEFFRPNEEKRV LREQADEVTLLFADIAGFTEYSSSVQPEQVVNMLRNLFTEFDKNCLLHNVFKLYTIGDCY VVMGMVDYGKGIQRNPSQEAVNVVRMGFAMIDAIRRVRAHINHPTLDMRIGVHTGSIIGG VLGTELVRYDIYGPDVLIANKMESKGAKGFVQVSQETKDIIEKEFPDLFRFEYKQSIEFE SIERSTSGYFVYQ >CAK66117 pep:novel supercontig:GCA_000165425.1:CT868042:99531:99899:-1 gene:GSPATT00035246001 transcript:CAK66117 MKPTYVYSNPVVSLLSSSSTQSSPMTRSELNDSIEYYMDQKDYQTEVEIVKYLHSLGVRT KTDPLIKEQEYWKERKQKSQILLETMINTQERGVLNSSVGRLLRKNVTYIPRNSNSPFFL LD >CAK66118 pep:novel supercontig:GCA_000165425.1:CT868042:100779:101845:-1 gene:GSPATT00035247001 transcript:CAK66118 MNYLFYGFIGYWALSLTFFVFPYKKKNVFRHSKYQKYLQRKSILHISHRGGCRENLENTM QAFQHAYDLGTDCLEMDLCMTKDKKQIVVLHDSSLLRMCGVQAHVKEYNYADLPKFLERV RLDFAPNDYISTSTCKTAYLPLFEDVLKKFPDVLLNIEIKTPDPEVVQIVNDLILKYQRQ DTIIWGARFQKQNELLKSINPAIPRFFTVEGILKVYFLYLTGLLPFFDIPDDSMQAPMYT DDFYQWKLQVANSTSEKIQQIHNFIWFLGLISKPLFNHLHKRGIFVYYWVLNNEKEYERA LKTGCHGMMTDCPTVLKQYLIKNKLYGKQ >CAK66119 pep:novel supercontig:GCA_000165425.1:CT868042:102155:103195:1 gene:GSPATT00035248001 transcript:CAK66119 MAETKEEVKAQPKVYQYADLIEFENKQAFSSIGKMPISTKQTLPCYSFGSAERANQAKLY HNKELARIDFAGKGSPGPIYNVRGGDQYYYTKDAETKFGTDPRNTLNTGAKFDYYQRKDV DFEPQEADLVRKTKAPNVKIGLESRFPPEKRLKGTPGPQYDPAIKPEVPTPPQFSFGYRR DIPGASALAPTCSTPVIVGPGAYLQKAPANTSNLEDAAHWTIPKGPKIGKIFEGWDKNQT YDTKQIAVGVQVNSKKKSYPAFSVGKSTREAKVGHFKQLMVKVPSKVHIPHPKI >CAK66120 pep:novel supercontig:GCA_000165425.1:CT868042:103206:104562:-1 gene:GSPATT00035249001 transcript:CAK66120 MMRKKSQNKGNTQKLQVEVDIMEQRIVGLKQILQSQKETTKERMLTSQGSTRWSAASTNV PLRNYGKHVLEKHREVAKTFQQKKKSSKVPSRPSSRSSSLSKQSKQSETSSQSNQSFLSQ SLRFAGKNSANENLKRALQLEYTNEVVKFLNSINLEKHAGVILENGFDEMELLKEISVDH LKDMNIPPMDARKLLNRVQKIVETEERQLIQSQKQAQRLKESQSHIEEIANSEIYDEEEQ QRLFQEAVMEYRQQQKQQKELKEQAIQHTEEILIEDESLGYISSRQNKKEEQQRNKMKFL LSGANEWKMFDIDYDQTQGTDQQPVIIDKSSCYQCYRLFDQKFAIGNNGREFCSKECLES YKLQNIYLCRSQSCARQIDIAQAFYEIGQWFCNQDCFKQYQWESVNIRGRTHISL >CAK66121 pep:novel supercontig:GCA_000165425.1:CT868042:104957:106132:-1 gene:GSPATT00035250001 transcript:CAK66121 MGNNNVCLAEIPVNGTHHTTPKSKSKWKREDLRSGSCIQTLVEKENNPDFFAHFQCGQAL PQYHNKLAQSRWQEAVNSLNTINDSQQTINSLLDRPEFNELVLLGPPKQFRWICWMNLLT KSQPRIGYEKYLENAPTDISNIINDINRTLVDYALFKHRSCGQDQLKRILCAISNALPSM GYCQGMNFICAVLLIVSGCDEHQVFQAFMQMLINEQHLLCFAFSDDMPLHFFLTNLVHHQ IRKKFPKLNLSDISDSFWLSKMILSLFTYIFKMEDCIRCWDYLMVRGMIRGIPELILAYI DATYDQLSKFKEEDFAQNFKNPETTTLQFNVGELIYLAKFNHRLDRSFIAKFAKKMRDKN APSQLLDLLQYYNNPPLYKKHVSFYINTIFY >CAK66122 pep:novel supercontig:GCA_000165425.1:CT868042:106134:106733:-1 gene:GSPATT00035251001 transcript:CAK66122 MIESFQQQCEQIYSENQEIIKELNKLKQSALEKNQEILKLQHMFKEVEQDYQPIHDKIKS IKDQFQDSELNKQALCDSLDDLENLIMRQFAEQIKVSKKSKKTQKKESPSKGKISNENDQ NTINLRKRTIKLQNYDDNSQTNESSEPIKASKKKVKTNTEALDKANCLINEIFNFN >CAK66123 pep:novel supercontig:GCA_000165425.1:CT868042:106758:113767:-1 gene:GSPATT00035252001 transcript:CAK66123 MEQLDYEELLQRFRYSYIKPNSQLRYYLQSLPSEQYPDLFQKIENLNYESQKVKRLLRML HKPHQTPQYFQLKLKHKLKINETAPLEVVDFNKKNTLILASQQQGQQLFDRLKEEFFVDS KIRKSDSLTFKNQYYKALQDNLTNQCLEQCLRLLKRSEQNLDTLQIVLSELVDTQYDITP QLGAIHNALSEFIKNDLSITCLKLAHSCVRGDLTVAVQFVAKMTQGIHDENRKFAFLGTL PHKCQKQVDIIYNLATILNDDQKVFDLIARMRDLQVPLGNWVHLKKLLNINKYTNTFVSL LVVDWLLEKKYESNSKDLESIMEQIISGLAANFKNFAKVITQPVMFLAQLKCVVLYALFC EDDAPAKLMIAINENLNKHTEIFNPKSHLCQLKYLISPSCQNESQLFLDCLFLYQAITFD EKDQFNYILPLIILIAFSSRVKNSVNVKQSLKLLTPFKSIEINVLYNKIFKALHEFLYYV NPHQGEGINFRKDRLIHFVRFIMVQIGNTHIKQPKYIYTTFSHAFISQFQFKLPKYEVNV NPLSWFTNRGLMSNNPYTVQSTVNYCRLLVEQGYFNQIVQPIQEWFNPLNINRLNSIDHL DEQLQQVEIESYDHTALQQSGLKLMTDDKPVDEAELQLQQELKKFESNNLVLMDEGEEKQ QMLLKQKNKLCQKYLLCLQLFEGLLHTKAKEQFITKYFDKISAFTKEMSTRYDSCMFLLK YFETIPLLQQFKWPLTYFFLERSLNSIPNDVTLEKIHQFQDCLMKNIKNPPIHLIHLMNL YIINQNSFTQTTKFKAFAIILKYKEQLPIAEYFTPFVHNINICGHAKNADQVLQLIFNKD SDEHYQLFFNNIFNLVPEAQNLILRTIPNLPDNFDIHIKVRVLAQFEETKVAALPFVDKE FKVLNQIQRWDLVGFLKKYPREHSEIMINLLNDVNGFPEMILQAASKLIVDQQLQEEQLE FFPQFVSKQLNTYPKQLIGKTIDLLIQYSSTPERQNLAVLCREAGVQLIRSVGKENSNDI LNQIENHLQSKNTCASIRAITFLGVLSSFLQGHNQVKTQEQIVQLFRSSDNDSQLELARS LQELLNLFPDTENLVKELLRTNKQEKDEKVKRGNAYMIAGLIKGLGIEMVEQLGILDLLE VKDRKETLEDKFNVLIQLQALIELFQKTLEPYVEKVMELLIKYFGEGKVEVRNLAMANAN RLLQSLSSYGVKIVLQKLLEVLDSDTQWRVKVAIIWALGHLAHCSPKQLSSCLPQIVNSI SKAISDTHPDVKAEANKSLNEIGSTIKNPEISSIADILIISLSNPYDENLRGLSVVLETK FRHYIDAPAMSLLIPIIDYGLRAQDSNQRRQASILIGGLPHLIQKSDDLVPYMNLIVGGL EVVIGDPLLEVRTIAAKAIGQVSKKIGIEYTKTFFKFIWDVLENKEASSNKRSGAAHAFA EISCIHGDNYLEDQLKFIFSQIQRPEIFVKEGYIGIFIYIPSILQQKFENYVKDIIENVY ECVSHEDEVVSSITLRVLKILIKNFCILQNELLYLSLTENMLNEDAKKRNAGTILSGEML KMTQKYVFAQLNNPDSLQYINIDLYYMNLMTLYTMQQDVTDVVRQNAVAIWMEYIDNTPK TLKSGLKQYVIQLCNIMSRESVVQNGINTIRNFCEKYGETQMNEAFNHLGYVLQNYQDNL SLVKGAYSVLNQIYIHISLNIATRYRDQFIEFSRLYIFCKDNLIKSQLFQSIGSMINKVK QTTFVEMIIEPYLIDLSNMSELDPRYSGYLEVFSRLCSLNQTLIQHYLMVLILVPPLHQF KIDILTNNASTFASVMYKQCDNKIPIQILFNDLYSCADFNDHNEWNDRTESLLYCIQQLS IHIKSDIENSLTIFVEDILRQLQTKSKPIFESETQPTDYKHSLIPLEVLQYFLQNTSEDH QQFSQAIIEKVAPFLYLQSANLSKQQLEKFYNLANKIIYAINNTLDKQYHFDNMRNLHTC FTNRIHIHQIPSLNTQDGAGIEPYVSMLIDCFVFGKEEMFKYAFDFMKILIRFTEEQQLQ IYILKLVGSLIRILNYKGNTELKVLALQLVHLSQEKGLDISKFKSQLTITYEKLIIDINQ IDGGLKQLSKSYSQFLQWHDKKDLLLNQLFNKGVQSVIQEARECHLKIVKKAIKDQQEQI YSSAVLERFFSLSKQYFEKCIQTETFDLASPCELNRLGIYINYIRLAHILALTYTYTNKN NKEQYVQKYIKFDQYGILLRLQFVRYQMKELIPDTITYAVQQINTNENMPYVLQLLKTLR KFPNTYVKQQLKPKFQEGSQILYALSRLL >CAK66124 pep:novel supercontig:GCA_000165425.1:CT868042:113852:115302:-1 gene:GSPATT00035253001 transcript:CAK66124 MIYDFRSLFNQFINQIMLQESKQNRVCTPTQSAIQEQLQYVTCGAESTFCITIHKKVFMW GQGIQIPKQLELQDIEQIAASKEHWFAWSDTQVFGCGSNKQGKLGMNNSVNTPTLFDIFS EASQYGWQLQKIAVGLDHSVALIEVEEENKIFVWGSNAYQQLGFDASEQFVAIPHQLDSD NLPRIVDIYAQHNYTMAIDEEGRLFSWGSNEFGRLGQNAFAKCMKLPSPITSLGNLKIAK LALGTFHVLAIDTQGNLYSWGRGLQGQLGHGTSNDCSKPNQISSLSSIRDVACGEAHSMA LQNNGSVYVFGSGQFGQLGLGDYKQQDAPQMLQIHGEMIACGRHHSAVLTKNGALFMFGN NESSQLGLECGKSGAYSTPLPKQSPMNTNQIFELTDEFMNKIEASRSEDLEQLLVEYQQN TSQIPNTQTTIFIKDLMERVIALLQKK >CAK66125 pep:novel supercontig:GCA_000165425.1:CT868042:115364:116007:1 gene:GSPATT00035254001 transcript:CAK66125 MLPDDIAVTFKYITRILDQFIELHQEMTEQYELLEHSLQKIESENRYHIHIEQRLKIHCD ELEETLLQKDDQYKILQMKIELYERQIMQLKKEIDVASRRRIETEKSVTDTQSRQYLMIN GIPSQSIFKQILKPKTRMQTESIEINKVRSISSLDYNNFSVLVRRNDERKKRSSTIQIKQ KK >CAK66126 pep:novel supercontig:GCA_000165425.1:CT868042:116029:118867:-1 gene:GSPATT00035255001 transcript:CAK66126 MNNIYSIYSKFNEFLDFEDRPTTSQSVQQQLNNVGQKMKEYKDKIFTSHQRANSSASRND QKHHTQKSKSPIWDRMNSQNSQKKKIFDEAPVVKRTKPQIFSKPEWKQSNMQLTKHVQSQ PSEDLDESSLTVQFLMTEDKDIDEMYYKENNECEILSYLITQMGKRPTLEIQGTMIENLS RKNSEVKKQLSLKLMENQHQLNWCYYMWDALSFRVNQCCARIARARLVLKLLKQTHKDLG LKIQKKQKQKEEVELQISNVIKFRQRYQQVFELVCELNKDQVTSDSWSLYKIISIKDLVW TQSRDMKQQMHLYYCFSDIRGYIESLFQQQLEYSYRFFTQNIVLTFVQNNKDREELYKML DSMCDYLQYYELNNKSVDISKQIRTSLQSQFRSIIRQQLRNENVDIQPQNFNKQLMKKGV KYDCLHSLNKYLTAFLTSFYLTSYSLGLIVQQFQRLLQINAQQKKQPLLKKVGFQYNIEK NQFWQYIQDKVVKSIRHTKMDAQCVYQDWIRFEALIFKIIQIGEELSNLKSYTLLFHLKN TLRLIAQGLSINVIQCLSVQKGMGSTNIVKPQYQCVLFNKLDFYVENRRLNAQSQYLNQL LEVKQIIPHFEQQQPLQSIESPYNKKEEYFFIVSQFQELLFFAQNVQQFRIDCFLHTIHC FEYYCYLLIRNDLYAQIKQLQFELPSYEDNFDNLVISHHHIIKFARFSNLQQMLMKQIDS IRSTNLQIQVIYTSSCLREILENLTLCYQIYEFIRQSYRYQEDIEFIESYLKKTKQWLDQ LKFLWIYSQGLKDSEIDNKQITSYQIMYKMTQIMHQISVISLSNQTIKPEEIGQIYYQAY TENLMELIVGTINKSNKQQMQGELQKFIKQVNGQYQSQQFDCFVRLLDIRTQSQYVEQIS KLQLLSLKTQISILQKDINLDLKQITQTYINYVNENS >CAK66127 pep:novel supercontig:GCA_000165425.1:CT868042:120321:121901:1 gene:GSPATT00035256001 transcript:CAK66127 MQQKSTNLIHRKFKFPEIEGSNKSNRSRGSPTSSRNNTSQERDLSIDGGNQIQVFKIRNL QVSERSETPKQEKVFGMSKSTRLKSRQDQNDDYTDRNSQELMLPKIYSPILTSSRNIVTK MKDSIFKPLLFRQEIIDNQINIKLQNKIHDQLKKGVNIKCLYLSQRTDVQINKIIVLNFD SLVLGKRKSFWEQRNEITMCSQIVGSKCDNSYCACALQKELKSTLSILSKQFYIVLLFEC SIRGQIWQQFLIESRFSIDAIYSISQIRLSGGGIKMKQIISNFGSSDIKQLLYFGTIDGE YQYLKIPKEQFYYQVPIVQAKTCVLIYLFQLQKSKFLDSKLLFEICMLNCNKSESFNYKR PITVVNYDIESTIKQMIQIEEEDLIQNQIYKNLRQEDVQVEEMDEEQEEGSDSKNLLMIW FYQAKKLLLDYFKSINNEDQIQNPISMIGDVLRRNTSIQLNFITEDYDKQSKRHKLYNEI KGSVTKQTTFRKQIQIDCLIIHD >CAK66128 pep:novel supercontig:GCA_000165425.1:CT868042:122031:123966:-1 gene:GSPATT00035257001 transcript:CAK66128 MNQKFKFHEKSQSLPLIVRKPIAVMNYKLYEKYQHSQNYYYIRDINEILGDANTKIVITF KDQLAMDEDEEYLKRFYQMKEFPQKIQLLTEYYKFHIDIARVFQEPICSILNKYYDKKRK YDYFRIAKMIEEENKLNPNKPPKGIVGERPSPANSQESELLDREDKEQQNIKNIQILKEL SWLKPEKTLPIEVSQTINEFCNQLGSGGDQSSLSIVKFTKQDKVTLDNFLMYIGDKANLN QRTSPTTRKPQEQLIQELIISQKAKMSQKENKNSSMHNKNETKYSIGSIEIRNNFVKDQF NNISKKSNRQASTDIIESGTRLENKPQELIKVKSKTIVSKNQLKINKFIGDLNQISPTLS LHKTSICSASLKSQQPLIQSQNNHKPNQVVKLQLDEVEQQQKLRNGAITHRPLSGTNAFF GSSSTRNSPSINYQKLAKPKQHKDDFYRQQKLKTTNSPQKNHQLEMLAKDLFLKAQNAQK QKNSAHTKQSSNQDKILHQYNKQKPSNVQQQQQHNLLEKKQHKKNKSDGRALQNKLSIHE LGCLTERNDKETKQLLNKVNKNSSPQPQNFRRLHSEKQESLSSNMKGMLIQSMLKPFAKQ NLQSQQDLRSLIQSQKKKF >CAK66129 pep:novel supercontig:GCA_000165425.1:CT868042:124462:124784:1 gene:GSPATT00035258001 transcript:CAK66129 MSSHHQESLPQIRSFMVERNAYIIDLTKRTIIKKGNQQVKLKLPSLSQRQSIITIRDPPK IKQKRDSLTEKIRKILDYQSPQFSLKRMLEKKVKTVTFL >CAK66130 pep:novel supercontig:GCA_000165425.1:CT868042:125640:127198:1 gene:GSPATT00035259001 transcript:CAK66130 MFSNQSKNTIVHLIKDVVQGEKELENIKQSLILHITDNNASYQTCFLHLAYEKDRITRND LEVFLQSSQIRFDLREISLLKYTTYQDFLDLMLPNNQIFLKQYQQKQMNYNNPNSDILNI IGKVFGKNIEIIRKTQAYLDALILRFDKSTLLNYLCPKSDKNTPVFLQLLKNIDLDISFE DVICAMRRLDKDQDSDVNRFDWEELLKCSKPPKNNQTSTGNLQKQISKQQSSKSINRSES RQKTDQENINSNRNVHFSITKSSTKSLKKSSSIMEESILEFQLHIITIGKLNEELDQIKL ELSSRGDYSISQAFQFFDLDVDGSIGLQDLKLAFLQLGIDVNDFIIRQLIHSYSTQHSQT QWDLTDFKSLFPESRQALNTYMGAFSYETKKIIRILIQKYLELIRYRKESTHSLGSDSKN IDIIFNFIDLDQDGIITQDDFKVLDVKGKHILVFFQSFQEYPYQITYNQFQKYFN >CAK66131 pep:novel supercontig:GCA_000165425.1:CT868042:127325:128807:-1 gene:GSPATT00035260001 transcript:CAK66131 MAEESSIILHLHSLKDSIKSAFKVFGDIDIQCQEEEIMQNHTKEVEENKQNQEPKYITLT DQKRVLNNFDNLICQLQLVTPFYSDQKYLTFDSNDKNKKQTQLKNQQMWDQIKQEQKERF AKYQDETIVDKIFQLDAKLKEDYEYSLQYMTGNQKKTIQIKQHDIQKLNPPNYLNDGIIN FYLKFIEFELIDQSLRAKTYIFNTYFVVKLCAFEKLQMIGQNDHAKLIELFKLQYEQIKK WIKEDLTEKEYLLFPINLPEHWSLLIVHKKTKSFADSLIIYLDSFGIMDQKLITIIKMYL HKINCDVNSIEVNYNDSPIKGIPAYQLLVPRQVNYVDCGAFLLEYAESFLSNPNYLLSDV ESQEGIYKLKLFPRSLICNKRLLMKQLLIDLLEFDKETAISQYQQKRQAILEQCKNEEDE YDQIDQAVFKEFINQKNQMNIEHKQLLLDFYMNPQQNYYEQ >CAK66132 pep:novel supercontig:GCA_000165425.1:CT868042:128966:129759:1 gene:GSPATT00035261001 transcript:CAK66132 MQGLIEIEPKQFLEFEAEENKLAHAQLSLKNLTQQDVAFKIKTTTPTLFQVKPSVGVINI NQTQLIEISTSQPIKADAKFDPKFQVNACFIDFPDQDLTQFWKNRDQSTIQSQQIKSRIK QQNAQQDAQSFQSVPDSKILDSNASVSQQQESKMFKSVIEPKSEKDDQIKQYQDQFEKLQ QEYLEFRKKIEAETQQAQLKKDKNNLSISQLLLAVVIALVAGYFIGN >CAK66133 pep:novel supercontig:GCA_000165425.1:CT868042:129838:130383:1 gene:GSPATT00035262001 transcript:CAK66133 MGTIIVGKCQCQCYINYVSCDYTYQEHEIRTERRTNRFAENTEKSINKIKSPGNSTEKLS RFSSTRKPSSRSSSLKSKYLESSECNVDPDESSSTRIKQKPKLNPSFVKSFQSYSFREFS VKPQFTISQQQKTEHQKSTQSTLVSQIKSSNRPLNNKDNMADQYEYRPFKKANKYQQLFF K >CAK66134 pep:novel supercontig:GCA_000165425.1:CT868042:131761:132389:1 gene:GSPATT00035263001 transcript:CAK66134 MKNDEQFLSFMVENDDSQLVESRLFKENDDDYFKSLSKQFYGSKSQDGIQFSLSSFIVKK QLKIIKSAGDLKMKLSNTVNLNNVLKPFSISYQLQQQIHKLLSKPKPQGTSLSSSFKTNQ KINQMKLTYQQLQNRKLVIPKNEDSNIQTQNSYRSTLNTSTKSQPKKDNVLDLYQMKLKT ERSVEKPKLIKVLKRNKIH >CAK66135 pep:novel supercontig:GCA_000165425.1:CT868042:132435:133784:1 gene:GSPATT00035264001 transcript:CAK66135 MFKICGYCMSNLQKLKKYAPPKKIEAKTLRPYQHPSNPLTKKIDILSPTKPERFLLEDYE TPATLYTINEKAIKTADLGTKVSNKILLKPLAQKPSVAIEEQKFLAVSIIGPPNSGKSTF LNQMIGEPISAVSNKSNTTVSEIRGVHTDVKSGVQIELVDTPGVTKRYKFSKHFVTKAWD VIEDTNMVIILIDAIKTLDISMKNVMSRLNKIRVDQEQLRSYFRNEDDFKQSEEKPIPKI LVFNKMDLCFNKKKLKWLQTEMEDLGKYDQTFYISSQTGYGMEELKQYLYSQSFQCRWKY NENQKHLFTPMDQLEQIVRQAIFKRFYNEIPFVIGIYVKEFRVTSKESAKIEVQINVQTS SQARIVIGEDGRAIKQVKNEIEIGMADIYKRLFQVKLQVTIKQGDKQIEFDAEKEDRTEH AQLDETLWRKEERERRQLLNVKFSKFLQD >CAK66136 pep:novel supercontig:GCA_000165425.1:CT868042:133810:135615:-1 gene:GSPATT00035265001 transcript:CAK66136 MDQKQKMQHLMNNFMKKEQTKQSQVTIKLYVNNEFSQQIQYPTCNQTATQLVGYLRNLLN QTQIVGFQSLESNIHLDYYLTTNKDLGVLSNQTLSLKPVYGIYQNAINLDSFYFLQCIGI GGFSRVYLVRYKFNGQFMALKMISKSFIEQNDKFQIVQNERDIMVHLANNNNSHPNLCKL LCSFETKNWVCFAMEYCPGGELFQQLKRVKRMDEQQAKFYFSQVCMAIHHLHENKVIYRD IKPENILIDAEGHIKLADFGLAKPNVEDDKLAYSFCGSPEYMAPEMLLKLGHNYQIDHYC LGALLYELLTGLPPYYSNNPEQIYQNILQHDLPLPNKNLSAEAKDLISKLLQKDMQYRLG RNYGIYEVLKHPWLQEFNLYKLINRSYDPPFKPDLFKMNFDQKEIVSGENQFQKELQKSI NSEAESIFNPFFHNFYYSSFTCKQPQRSSSITQINISNADDKFTNILLSTTKSKHASQAH SSSSQSQLKKKKVTQNDVENKENLVKNNTQMMLKAGAKHKKNNQSMPDNVLNVQQNELRS YSSQKVNEQLQKLANTYQTNQLKSPSTENDEQKQQKLLFGENKQLNKNQSNQCSLIRYFK Q >CAK66137 pep:novel supercontig:GCA_000165425.1:CT868042:135666:140798:-1 gene:GSPATT00035266001 transcript:CAK66137 MFIEFVWIGQREGKLRDSWEQLPKVVDWGLTCESIDWDKGRNNENLFIKLKMELKSNFGT TKGSLDSERSIIDKMQTELKGVFFRVIQLMLKDEEQSIPFTCIGILLQYMQITYIIFNRQ IWKVWQNEILSKQLNKIFGYVLLTPYFEMISFSGFAAMMYVCLGLVLFALMLIFLLSYSI NKSKSSFTWPIMLLRFLISVFLQALYMPIIDLLLSMLACQADEDGILKHQMFDVQCWSNI HIVHAIVAIFGTILFFVLCIIFSLLYYESRYQPHEASSKLTGRANAVFLTYELIMVICYT FMNGKNYDYLMILIMMVGSFTIFWKIHVEAPFNNMYVQKAWSMLVAMNMWGATLLCFAKF LEGVLFFGTVYAWLAGLPLMLIAIIRSEKMHYDLLLLNLNKVQDPDQVLHLTNHLLKLFY KSQQNQNCSLLLDGFLEIHKATCTREDCYLKQKKLNNSRQQKPLFKEGTVTERDVDLLMV MGQIYFNQIKKFPNEISLRIRYSFFLLDNLRQRQQSLNELIQAETLCPSLDNEFIIFRYK RVIEDEMNAAQNENMGNLDVATELAFQNHMRSFQNKIERATLMHMDFWSQLQEDSPDLGK MNDIGAKINLAITQVEELWNRMQKMTQNLPKAMRLYGKFIIEVLQDKDYGEELLDKSRHL QTQNNKMKNKQTISLISSEDIGYESQPTLLVSTAQEKFAQITNLNLACCNLFGYNKSEMI NRKINIFMPNLYSKFHDAHVENFLSSNDNRNINKERLVYIKMKSNYIMPCYIYLKVIQSI DDNVQLAAQFRIPKSFKPSCYLILDSEEVIDSISSSCIPLLNIDSKLISHKKTPITEILP NYMQQKQLFLSKVGGPIVFNPATQSGVSNSQLASQELDDQDKKDDNIQFQCYTYEVMNEN QDQVVGYIVKLEQQGTEVSMNLEVNNLQQKVLSNSMQFKYNPSKALYLGEYVAESNSQRV DQTILWDQNDQSSMISSVQGGVEIIKSQVKTEKSDELNVQQQVKINYAEGIKTLRLFENR VQDIDDRDDMMSEEDDQQGKSVFQRQQDVDNEQEGQQQDFNNVFRSRKNLSLVVNSQVTP PVVTKLSWTANILILVLVALSFADFFVCQAQYDEIFNTILLVEDTNLRTAELHTILSTTQ NLQMLNLNVWQLNSKKSKLNKSIQQVSLLNKNLMLSDIFISDELSELQSEDVVKMKFGNE YQSYDIMEATQQIVSKALNVRDKTLSKIVFEDDDVGFIIYNLLNDLVFQLRNSSNLYAKG LTNKTTEKKETFLIILGISAGALGLGLLLLTFITLSVNKTQEEILSMFLDIPDKTVKYLY NKSENFISNLQIGEEEEVLSELEELEKEEQEELNRTLKSKRKKKKFKNTNKDQRNYIFAF FLIILILQAYFIFNYFMSDTLLSNLAQLVPEINATCRAEGFYRFVDNAERSLYINRNMTI LNEDSYTIVKENIDKLYALDSSIHQEHSLNVDITNQIYSDAFKQMFMLQPCTVLSQDMNE MSENDCQTFADGAMYQGMAVGVARYFENIRYIMTIYDQFWNAPKANFTTIARGFTTFKNI TQNTDNVTNYILNLNNFNQSKESRVIQETYNRGTFRYLMQQMQAGINNDIESAKTQRLAL FIVFEVLLFIIYFILWLPLVLKMTRDIWRTRSMIMMIPLRVIQRIRSIKQFIKEFLHAKD LDS >CAK66138 pep:novel supercontig:GCA_000165425.1:CT868042:141672:146596:-1 gene:GSPATT00035267001 transcript:CAK66138 MELKSNFGGKSSLDQDKSAIDKIQNEVKLIYFKVTQSLLKEEEQSIVLNLLAIIIQFFQA TYIIFNRQTWKIWQTFEVTEWLNKIFGYSMLVPYFKMLSFPALVAMMYVCLGLVVFAFML IFFLSYRLKSAFTWPIYILRILIQMFLSILYMPIMDLFFSLIACTTDENGDNVNQIFNDV TCWQGVHIVHGIVSILGIVIFYLFCITFAMIYYEPRYLPKEPHSKKSGRNLTIFLTYELV MIICYTFMVGRSYDYLFILIMLVGSFIVFWKMHIENPHNNVYIAKMWSMLVSVNMWSVIL LCFAKFLEGQLFFGTIYAWLSGLPLMIIAVLKTEKLNYDLLLTNLNKVTDPQDVLNLTDH LIKLYNIQDADSQLMIDGFLEIHRATCAREDCYLKQKKLPNQRLAKPFFKDQNLQERDVD LLMVLGQIYFNQIKRFPNEISLRLRYSLFLLDLMKQRQQALNELIQTEQLCPSFDNEFII FRYKQIVEYEMNAAQNENMGNLDVATELAFQNHMRSFQNKIERATLMHMDFWSQLQEDSP DLGKMNNIGAKINLAITQVEELWNKMQMMTQNLPKAMRLYGKFIIEVLQDKDYGEELLEK ARRLQQQNSKNKNKQMISILSGEDLSQEPNPTTLVSTAAEKFAQIINLNLSCCNLFGYSK SEMINRKINIFMPNLYAKFHDSYVEHFLQTNDNKNINKERLIYIKLKSNYIAPCFLMLKI IQSLDDNLQLAAQFRLPKIFRPTCYIITDSEEVIDSISASCIPLLSIDQKVISHKKVSLL DIFPKFAENKSQYLTKLGGLLQFSAQQSQFSNSNITEEKEQDQITFMCYMSEVMNDTIDS LAGYVVRLEQQGQDQSVNQELLANNNALKSGSSLQFKFNPQRAMFSGEFVADTNSQRVDQ TILWDQNDQSSMISSNQVDVLNTGNIRSQMKTDKSEEQNLNNKINYGEGIKILRLFENRI QDIDDKDEIMSDDEEIGKNSVFQNNQEQAIENDQQRNEQNNIFRSRKNLYQIINSNQTPK VITKLGWTANILALILVVLSFVDFFIIYAQYEDIYNTILLVRSQNQRNAELQTITTSVQN LLMLNLDVWQLKTDADKKAYETTWKTKLNNSITNVDNLNKELMLSNVGLSDSIVKQLSTD IVTMRSSDGNEQLYDLSEAIQQIISKSLIIREKNIANISMKDIDVKFVLYNSLNSLIYQL RLFSTMYANELRIKTQNNGETFLLILGVSAAAIGLGLIIMIIAMISVSKNQEEVLAMFLD LPDKTVKYLYNKSENFISNLQMGEDDEMMSEIDDGEKEEQEELNKTLKTKRKKKKFKNTN KDQRNFIFGIFFVLSVTQGYFVLNYILSNTFLTNLDQMIPEINATARAESFYRFVDIGER ALFLDRNQTILNEDAYTIVKNNLNSLYTLDSSMHQEHSLNVEITNQIYLDAFKEMFMSQP CTIMATVMNEVIEQDCQTFADGAIFQGMAVGVARYFENLRYIMTIYDQFWNNSKANFTQL ARGFATFKNITKNQDNTTNLVLNLNNFNHTKEARQMQDNYHRGTFRYLIKKMIEGIYQDM ESSRTQLLAFFIVFEVLLFVIYFILWLPLVVKMTKDIWRTRAMIMMIPLRVIQNIRSIKA YIKDNIQINDIDV >CAK66139 pep:novel supercontig:GCA_000165425.1:CT868042:146623:148334:1 gene:GSPATT00035268001 transcript:CAK66139 MSNEIILETVRELLPDIEKEIREQVSQELQMEYQIKFQQEIDELSQFNEEQIRAELEKQI RIDYEQQKEQLAQDVIREFDDSLQRGQLEQQLRFKMQEELEEQINDEVSKKSKQIRLQYK KRLEQEKNQLQKDFELEWKQRVEAEKKRLEREKSEIARLKSVEYVKLKKLQDEQKKHGQL LKDQETKYQDIMKSLQKQIQDLTQQLEIALQDQIIQEKLRSQQENFDSFDQDKLINFPLQ KQEQVQNHKSIPIYQHKQITEDESQESSQTQVNLHNHKQKHNSQKADQQEQQNQQKLKNP NKKQQTQYQNQLEKGLKQQKGHVEISKPQHFDQENNQKQMNRIDTIIKQYDEIPVQKEQF MSYNSLFKESDLDNSKNKQMKQRRQKFVENQELDQRLQTKYLGMQINIMNDFIVDYVEFP EYHQVKMLLQEIIELFNQWMISYQERIEFFKRIKQIANSNFRDIIPFIQTQIHKFKLIQN YYSDFQKRFNLKNQIMANFSQFSKCKCLFDQLNQLNLKSINLSVLGCDFQEMVQLDQWEK DFLEREELKSQILCKIQSKLI >CAK66140 pep:novel supercontig:GCA_000165425.1:CT868042:148960:149614:-1 gene:GSPATT00035269001 transcript:CAK66140 MSSKNYKLIHQKIANTTRYRDDPLSINNKYYVRLTQDSTRVLDLIEKDKQIPHKSMIDRF WGHKTQRLPEPVHQEEKHVQLPQEIVPQQEKQETLPQIEKQTETQFKKTISLKQFKESLL YDERTSSIPKTTMHNHIVEDLIRDSDIFLKKSELLEQVQSLKRLKVLKKYEANHSNLPGV GKLPYIINDYHSKSTNPGYSRNNKGNFFTR >CAK66141 pep:novel supercontig:GCA_000165425.1:CT868042:150232:150622:-1 gene:GSPATT00035270001 transcript:CAK66141 MSNQVNFGEGKINENYPSAIKTMIEQGKDPKDLLIQYCRPQCKWYDDKYDRCVKAFLSLK NADPEKNCMYPYRDLVTCVEACVQPKIQHALKGNEHGFIFH >CAK66142 pep:novel supercontig:GCA_000165425.1:CT868042:150690:152199:-1 gene:GSPATT00035271001 transcript:CAK66142 MLIYKKATPQELQKYRDDQVKSWKKEKDLQRLTETQVNQMMDLFLLFASQRTFTIRTKDV EAQNTLLRVNEESTLFSNVLSKMVQVDEDLNFINFLKIYLQCIGEAQQEEQLKQLFTTLT AKGAINLEDLKRLAQELDHYHLKEEDLNEIMKVISGDGKEITEQDFIRFYTQIIIMIKII YRFSTQQINYYTILELNATSTQKQIKQNYLKLAKIYHPDVYKGNDTNRFKLIQEAYNTLK TPEKRQSYDQTIFKERAFANQERSQSSESEETTQKNEYTAKQTKDGINFTFTKKEYKEAT EEKTVEEDFRKFMQEPLKINPEEVKVYENIVARQMTKEHKAQDEFIIAKENKWKIFFFKA HQIGYAQQVKDYIKGINQQWQQKKSDTDEMRQLKRQMQKRVANSILFIFIGLSLPFFYSA LARRQEIDKDFKEDLDFLYKVADQMDYLEISTRFVY >CAK66143 pep:novel supercontig:GCA_000165425.1:CT868042:153472:154368:-1 gene:GSPATT00035272001 transcript:CAK66143 MDISVCQNPNHDSQRLKYICVDPTCCVPKKIGCADCFLDDHITHIRKTTTQFKEQVSQSI EQISQIEFQKVTGSPQRDLDSQIDFELENCIDCIKSRFSSIKSDLKSQIDSDMTKVQDNC TQFQQNMNNELDPFKLTIQNELPSLNHNELNQLVKFYQEAPKIHKHYSKTAELLQDEKQK VKQKKQKYLNKMKNIMQILLKEFNELMTSKNVQFDDGSEYETPQKQAMSPNKMINLVETA RSSRRFPMSQSQKKYFLAAVTKKLD >CAK66144 pep:novel supercontig:GCA_000165425.1:CT868042:155185:157827:-1 gene:GSPATT00035273001 transcript:CAK66144 MGCGSSQPTAEPDQTSKSLKSANTSEIEDLIGKKIPKLLIQAEMKHRFTADEETIKNDLI QMQSPKENENVVARAQKKMQKKYRGGEDVEGQIIENVKRVERDMQQSDIDLIIKSFKNHF VFFSLPQDSLQQLIENMFYCTIKAGEFVFKQGNQASAYFVIERGQVEIIINENPIRVLQQ GDQFGEIALLYNATRSASTKALTNCGFWSLERATFKKTIEEITLKEYDENRKFIDQVNFF SFMTTEQRDMIGHALITTKFNPGQNIVNEGDQADSFYVIKSGQVQILKGDKLIRKMGAKD SFGEQALYEKSVRGATVKAETEVKCVALGRENLTKILGDKIQLIIFNNIMRWSFEKSVVL KQLTKIQLEKISQKAKIENFKKGQVIFEPNKPCDKLVVVLEGVLINSKQESISKGSCFGD QFLQKELYGSLIESAFSMVGDGVLATITYQALFKIFGGDLETVLKKNENSHEKKIQQIGQ REDASHIIVEDLVYIKKLGEGQFGSVYLVKHKEINKVFALKSVSKASIIEQNLEKHITQE KKVLEQINFPFIMGFVRTFKDDMSIYFLVDFIRGMELFDVIRDIGLLSKPETQFYIGTII LCIEYLHSKSIVYRDLKPENIMVNAQGFMYLIDLGTAKPLLKAKASRTYTIIGTPHYMAP EIMAGKGYTFTVDLWSIGICMYEFMCGGVPFGEELEDPYQIYEEIMNTQIKYPTFLKDRY AKKLMEQLMNRQPEIRLGASYSALKAHPWFDDFDFDKLFSKELKPPYLPKAENMVSENDI QKRFNQNKLVVQEIKHEQDNHKIKYNKHLAKDPNWDKDF >CAK66145 pep:novel supercontig:GCA_000165425.1:CT868042:157829:158531:-1 gene:GSPATT00035274001 transcript:CAK66145 MSQQAQKGGKGAQTKTDAKPQTAAQTKVAKPQETKENVMRKIRIDKLMVHICAGESGDKL TKAAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVYCTIRGDQARDILVRGLRVKEME LKKRNFSESGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVVLSRPGLRVAQRKSRNSRLGT QQRVTKKEAVEWFKQTFEGNVY >CAK66146 pep:novel supercontig:GCA_000165425.1:CT868042:158607:159586:-1 gene:GSPATT00035275001 transcript:CAK66146 MTQGKNKKLGKKKGQKKTIDPLARKEWFELTAPVPFSAGGFGYTCINKSAGTVVATEAIK GRVVEASLADLQGQSDQMAWRKVKLIIDDVEGTRCRTSFYGLDSTKDKIFGMIKKRQTLI ETQVEARSSDGYILRIFIIAFTKSIKNQQRKTTYAQRSQIKDIRKKISEIVLREVSKKSI TTLLGFFNQEILSKEIAKATRTIFPLQNITLRKVKLVKRPKVDAQKLREFYEDANRNKAQ VRRHGQAEDQSALNLIKQGQIDKEVTQQPQE >CAK66147 pep:novel supercontig:GCA_000165425.1:CT868042:159646:160459:1 gene:GSPATT00035276001 transcript:CAK66147 MNSSSIQTEIGRYTDINHIFETVQKRGYLTQLTTFLEEVFTNEINFASQRLKEVISAMQR QGQKVEYSIQLNRNIQIFKSNFDLILTATSNNVWNQIVNSMNLISDETFQLTYNKSEIIS PVSRKIDRQSEPDLKKMTMSQSYVSRSPSKISTIKQQQTIPLSEMSIQMRGSPTTFNKAK RQLDNSLTTSSPGVGRYRTEVAEKHIRETSPIATIGKSAKISWIDEKLQKEDAQSPGPIY NPVKTFCSKRVK >CAK66148 pep:novel supercontig:GCA_000165425.1:CT868042:160495:162319:-1 gene:GSPATT00035277001 transcript:CAK66148 MQQTIDTREIINVYYGTTSGNSSRLAFQFADEATQHKFIPKVFNLKEFNPDVFIQTRLNI FFVSTYGVGGPTSDAIDFNNWLQSKDRKQNELQGVHFTVFALGNTKHEHFCGMGLKTDAR LEELGATRIFQLGKGNSCDETTDNDYSVWVESGLFTALEALSPLVTVPANQVQSSIYKIK EFDNTDVLVGETLSFQAQKYKDSHSLKIIEIKELRKAPSPGNSTLYLSLESQNIPYKAAQ NIGIYPENSEEYIQEICTIFNLDPKYIFQIETKGNHPFPTPISVHNYLKKYCDFLGPITK KQLYTLSQLVDDQQAKEELKFVSSHKGREEYDTNYLQTRQCFFNLVKKYNIRNISLQQLI ELCPLISPRYFTIASSPLKNPNKIEIIASELLINKERLGLCSQFLRNVKVGQEIKCFLQD SAFLMPQNPQAPILLLGIGAGLAPMRAFIQEKDEFIEQNRLDQSPFKGPMTLFFGCKTMS DYLFKEELEEYEKNGTLNQLKVAFSREGQQIRITNFLDVEFLNQLLESGGVIYVCGSTQM GRDVQIAIENMFKQVRKVMPYLAYRKVNELEEQKIFVKELWG >CAK66149 pep:novel supercontig:GCA_000165425.1:CT868042:162442:163472:-1 gene:GSPATT00035278001 transcript:CAK66149 MKCQISKTYNKISDVFSRTQIKHAVEGLNSYQQRIKLIDDVIDRREQIAKSEDVAKLQKY AHIFKKMLYKYKKKKSDQEQQQKQQRLEMVMQLLGGEKNKKPEIKKECKTVLRYCQIGNK HHHTINRSVATQSTKADDTRIQENQLPKSRKVSRFFTEKDPMQAESQIKREVFVFLEKNK ELNYLQKERFLRLTDDNKKFQYLENQIKQQLKQQEYHQQQSAVKQHYKVQNLFQKNYFKG ASIKIMKSQKTQPLLLESDYCNTERQRLQEQESFVSYTELKLNQLYTDSIDAQKSLRSKN QSCPSTLLQKYLINTNADIIDVSNQKLFQTKKQQK >CAK66150 pep:novel supercontig:GCA_000165425.1:CT868042:163562:166103:-1 gene:GSPATT00035279001 transcript:CAK66150 MNLRCSAFRYFRFNHTNNKEQEFDIFYMLNQVLQVIGVQQNEEQQMEAIYDDFQRPPKIK IAMMHAAATKFAKTDPNIDLDKTNVCPCCGLPAIIEEIPLCSSRNEFSFNGSGIALYFDF LVFSGVIVFAYIAISCGYDIYANYQGQRCSQIKSSIADKCKTDFFNQFSLTNDHEELDEA RSILNFISQVVILFLTLFYRRHISKIAMELDDAAILASDFSIIVENIPRDAKEPEIQEYF SQPFQNETVEFRKLCIAYEIQPYLKLNKQKQLKETVLTKVLELEAEGKQIPKSIPPRVQL VQEIDKISKELDYMEDHRATIFDFSGICIITYNYEKQADNVCKTFKSTRFQILLDQLGFE QNEFKKFRNNNLFVRKAPEPGDIIWGNLGITIKEQYKRTLITNAMTLFLLAIGFGLLVGL SYAQNIINKHISKGSAAEAAIITLLGFASSILISIINVVLAKMIIKFAELEQQATRTDYN VSVAYKMGVAQFLNTAILTLIINLFIQEDIVSLDQAIWQTGGLNSDVMLIFITNSIMPWL TLLLDVNYFYKLYVRRKIIKQGENCKYTQNEANQAFEGPTIDLSQKYAKLCKTLLFTFLY AALLPLGVCFTFLSIVCIYWTEKYLLIRRDSKPAPTGSAMAEAMIDFYIELILLLFSLGC TFWEWVNYDKVHILTWLQLGLSTLHYFIPISKICGCIVDLGIDNATLESYDEKFLTFYDD YDRRNPVTLDAAKEKWIKIQQETVQPTPQPKTATQLKQYHQIQHITTGSTKIHPLNSRNS REIDDLQQLQV >CAK66151 pep:novel supercontig:GCA_000165425.1:CT868042:167648:168876:1 gene:GSPATT00035280001 transcript:CAK66151 MSKKSTYVSIGREIEQGRMTRSSGFSDALNEKELRNRATSLLQKPQLKKFYKSRKDGAII KPNKVGEQQRNNYLDKLNLSNLESAAPPKSAASYQSSTTTQPLCFEIDFDWLDYCDDQKF KQLFKEDLKDIADIELYVEENQSYLCHIFAQCACAKCTCNKCICQYKKKSELNYAYGMLT SNRFDYVPKQNKCFTPIITQSHYDNIGLPVASMQFNSTQRDNYKWIPQDRSRTAAKSMYE SHNLPTGQTTNNVRIFIQQSCSHSSIGRTILPIYLRPHSFALLTNYRNQFIWKVKDENQP TAEDVKQKQLQYKNILYIYSQTIAKIYPNQLRSLYQESFVQKNQKPLRVFVKPEEIIPCC AYQGQYITTKQMDYEGKQITAKEIQQKPWKGRLIKKLQNIQ >CAK66152 pep:novel supercontig:GCA_000165425.1:CT868042:168897:170809:-1 gene:GSPATT00035281001 transcript:CAK66152 MKYFLLLALLFVALSREQIEEVEGVLQLTRKNFQQAVDENPRLLVKYYIDSCGYCIKMKP VFIRLAEMLKDYGFVLGEINAHENKAFTAKNNVKSYPTLKLYKNGVVQDFPNQSDTVDLL FEYALQNAYDQITTLNTQEEIDLFLKRSFFAVLKYVNNDDDLQSVAAEYTAIKFGIVVNP ELQQAHSSKYTLFHKALPAPINYNGEIDGLSEWISAHGYPEVFSLTEEEFLKAEKDKIPL VGVAGTKDSELFETLKILAELHKNKIRFALIDPNQILPNKRFQYLIKKKVVAKNVLYLYN FETKKTTTAEFSDESFETHRQLLETLLEQQLNSENSDSDYYFKGEGEVHVLTTSNFKEQV YDNPNHVFVKFYAPWCGHCKHLAPTYEELASELGRKDIVIAEIDYTAHRIEGIDIQGYPT LILFKSEGDSKKQITFDGTRTVEGMKDFLLKSLDSNYKGEPQIQLSEQSFEVKETDRVDI PNDGQVIQLTAENFEQIVLQSRQDVFVKFYAPWCGHCKAMSAEYVKLAEGYKDSKNVLIA ELDATVHKIPILDIQGFPTLIHFKKGNTKVEQLKYSGSRTAEALKEFIEQNGSFAQKQDL >CAK66153 pep:novel supercontig:GCA_000165425.1:CT868042:170909:171645:-1 gene:GSPATT00035282001 transcript:CAK66153 MFSYLPPKVKHFLNWELPQYVGTQYDGTETFNPFSSPFNRIINAAWHTNPDSTHHHTTDY RLIKAICDNDINEAKLAIDQNPNALESTKYEFTPLSLAASLNRTAIIDYLLLRGAPIDEI DNQGRTPLIQAVINWQIEAIKTLTERGAQIDKKGKYGYNAIDLAQLRGYEQITKFLISQN NRRLQFTYPKFDVTLNIEKEIEQYKEITKDFESVVFQPKKLPFNNYQGLYQINLL >CAK66154 pep:novel supercontig:GCA_000165425.1:CT868042:171684:173422:-1 gene:GSPATT00035283001 transcript:CAK66154 MILQYICCLFSFSSNQNKQEMNLPKISFFDDPKSQLWIKDVVQMSDPIISGTLIKNSKKG VQKEITYFIQDGRLSNNKKYIDLQNVTLDKLKNYGFKLTRNRKSVELFAHNEQAQVVWYD YMKSFCIQRGFNNVYSINKLIGKGNFAKVYSAQKKSDQSLYAVKAFDKLKFQDIRIDKPA LIKELSIMRKMDFRGVIKLYEVYENDNYIFLVCELLEGGELFNQMKGKAYDEKTVANIMY RILQSIDYIHSMGVLHRDIKPENLILRTKGDMADVVIADFDYMFKRCGTPGYVAPELLQD KIYDFKVDIFSAGVLMFIMLTGASPFKAKSYDEIVMKNYHCQIEYGLINNHPLSEEAIHL LRALLEKNADLRISTELALQHQWFQKQADYQLHLEENLPRHKRNSDLYARTPLMGQELNQ SLTSTPLSVTPQMRSKSNTADINREEPNGNTFRQQSQSVKVQKQECILEENDYNVNEDDD IPHSNQIKMYQIQPKLKKNQEDQQKYNVDNANSIRGSLKQAF >CAK66155 pep:novel supercontig:GCA_000165425.1:CT868042:173423:174480:-1 gene:GSPATT00035284001 transcript:CAK66155 MLKYQYFIDTCKNKEQQIPQLCDIVKEKILIGYSDLEIWFSIKKYSDHIDQVKKIWDQTD QVNCKSSLDSFLHSYAVEIHNVFTKYKQHLEKVKQLQLIDKRISKIVQDTISFEEMDANK SLQITFQIMKIDEFNILDFQKDDKNYIYTLELSYQTLLRKIKGNVETVAQRLNFEQEIMM DLTIDIGTLQINLFQEYENDQICIAQRNLDPFTHLNEDLKQFPLKVNGKDKYINLQMKIT CLDNVNGYLRTRQKYLKDQHEKLYKKCHKYKTKLTLLLKPFQLIKFDDENIYLQKMQQKQ KNKECWNCLIQ >CAK66156 pep:novel supercontig:GCA_000165425.1:CT868042:174480:174884:-1 gene:GSPATT00035285001 transcript:CAK66156 MNDVLIIDERLKQLQEEVKMMEQQYGFSEEFQLKEVGNRLKKEDNSKQQTKKQPNRYQNL PHFVQQKEIKQQKMKAQLSNKWVEIFQEKPSIMQFYDVDRFLPTLQSPYDIQIKPTVPND EDIYETYIDNLKYD >CAK66157 pep:novel supercontig:GCA_000165425.1:CT868042:175086:177128:1 gene:GSPATT00035286001 transcript:CAK66157 METDTISWKQSDDLDDIIYHQFKIPADLQKAELHGLAYRVYEKPQEQSVQCKCCNYYKDH IKFNFCSDLSKSDDHSVANEYFQNVKWMILQLTIFWQYDDPIITSVTFVPFALYFNQQGD ECEKHPNCDQNSLKIYSIWNLIAINYSLDDLKYAGVVLSTSIIYIILMKCGSCDIMGAEA FKQMKMSVLVYSGIYIPDKIEDEEILEILDINSDICVRVYRVNLKLYEDLLFEQLKFPYL SDKVSHKERKEYQEKYMGTMKFLTDELQVSQEFKERFMILCEKNSQKYYHKFQIRSPIFQ FFIQFLIVFGFSIPSTMAQFLILKLRYDFINNNYSGEEDQMIKKLLNVGSTLVSMIAFSI FNKIGSKILTKLALTIYQIGISLQIALLQNLDLRYWNLFQSVYEQSSQTMLQSEGMLDYV ITFSFLNLFVPVITQIFDFNHIWRKVKLFRLKGKKTNNKTQKEANLFFQLRKFNYYERKI TVHKIFAVSMLFGFQYPLIIPFSILSLLLIYWVDKCIFINFSIPVIKDRHEELLQGIYYL FNMVAIYYFFFFGLITFKTWIITTLLIINGTLLLWVGLSSLLNQDWNFRTLLSDDDEKYQ LFINLKKRLKNFTKKSMKSQVVETTSLLE >CAK66158 pep:novel supercontig:GCA_000165425.1:CT868042:177324:178751:1 gene:GSPATT00035287001 transcript:CAK66158 MQSLRITNYSATGLTVKPNDIPKDVWNNFICDYPRCGPEIQLPMDNNKRYAKTAAVLIAA IMDSLISWQEIDVLYEIREQMQLDNEQTKKIVADYNKFISQITPCFEKDQGSIHLKQQRE YIYSHLNNQEGIELLFNVYRCILLSLYTQKASSCLVRNGRKLSKQEAIFELLGNLDEDNQ FILKDHHYIAISTILGLPIHCYINYSDGQQMIRKRIHQLANIRFTVALLFEPGHNNKSSR SVRILYQQHDSIYLNNLLTIEQNIKKKKNIMLAINKVQKVLESAVITTVQHCSKIVEQKI VNQLWPDEFYQDLQILVQQLNFKTIKLDEILSTLDYNLQMQLDSFKIIFENTKSIPKSNS EVSPNRQKIFQSTYFRNQQAIINYQNQKIQKTNVVQIQQPSQSPLFESPIKQSQIIQPPK TYLQTTEDKQRSVSPSSLNKNISRSLEFHDKAFKLNKSQTNSILNTQQIQQQQQQ >CAK66159 pep:novel supercontig:GCA_000165425.1:CT868042:178979:179684:-1 gene:GSPATT00035288001 transcript:CAK66159 MASYQLKPFKKHNSRISNIIMNKDEDQIYSSSTRLIITDKNQQYKYSQTILQNITIIRCV SLQESQNKFLVCGLDKQIIVLQYYPIDQKWNKIQKINFKANGESLCFVNENQFVVQQHHK NTIQLFEFNCSNQQFEKSKEITIQKGMNSYNLGFQAQFSQEKKILITKNSQFVNIIKRTE KNEFIFISSINFDTCYIFGSMSEDGKYLITWDQKSLCVQLWELKDTHF >CAK66160 pep:novel supercontig:GCA_000165425.1:CT868042:180381:180849:1 gene:GSPATT00035289001 transcript:CAK66160 MQEWPKKLFLAIAFISCFTCYARPDYNLPLFAFAYLLWDIDRPVSQKIRLIYLFVYSWII DFVWLVYWGPFWNSSAFSHNWADGIQTFVLVLSVINFIIKLGTIVVCILAEKECKDALHP ENAMAHAKNIFNSEGQHQ >CAK66161 pep:novel supercontig:GCA_000165425.1:CT868042:180867:181467:1 gene:GSPATT00035290001 transcript:CAK66161 MSQQVLWEVVKGNNAFLVKRNGLTLSTDPFNNTGVQTYSSTGFISKNAVGVVSTQGKVNQ INNINLVAKKSTKFQQADRKAKNTQSVYASTLAVKHGVHTASRVIRKRFGTSRLGSQKAA LRKLVKLNRANAVRRRNELAAAKAQKK >CAK66162 pep:novel supercontig:GCA_000165425.1:CT868042:181550:181873:1 gene:GSPATT00035291001 transcript:CAK66162 MRSQTHAPKPGFSSLEQQAPSLPDKSISKDSKLSLDFVNVATLKVRYDRFGNMIDKKKRE QQVSFRDRIEKTQKLYDLIMFDQFKLELEQKQNQKQGETQNLCCQIQ >CAK66163 pep:novel supercontig:GCA_000165425.1:CT868042:181874:182806:-1 gene:GSPATT00035292001 transcript:CAK66163 MKRITAPKSWMLSKLGGNWTTRPSQGPHKLRESIPLSVILQQKLKYALYAREVQMILADK DGNVKVDGKVRSDFGYPVGLLDVITIDKTRESYRVLYDVQGKFILKSIKPDEAKFKLVKV TQKKVGPNNVPYIVTNDARTIRYPNPEIHINDTLKIDLETGKVVDFIKEEPGNICYIVGG NNIGRVGLIQHRERHLGSFDIVHVKDSNGKHFSTRINNIFTIGKGKKPLISLPDDNGLYL TALEKKQAREHHEEKQKQ >CAK66164 pep:novel supercontig:GCA_000165425.1:CT868042:183011:184583:-1 gene:GSPATT00035293001 transcript:CAK66164 MDSNLQNLIKSYAPLSDFCPDPNYQFEEFKDRLFQPFSRQEKVSRCCDFSKQTQVQKDLE DEYETVGQKIVKKPVQKKIAFVPQQQQQVVYQSYYQTGKKSKMSQAAKRVIVYKKCRFKD SISIKTDWISIHETQKQNIEKVQYSLIDIKEIQSIGTIKKYNKEFDKVRPNYEKKISIIG GEVISGSVQDDKYFKQLIAERQGTEELPTIYTTDKLLFAIQTIKYSIYPWDILVTKKGNS YVFDKCPQNRSELTYLELQTINENITVDMPEDERTVRNYCEESTIAQLSWQLLSTYNQPV LFQNSLQNDEEAEQNDLAEKYLFSEDLCFKYLEVTVKDRIEKAAKGEEVRAGQERFKVVV RTTVEAENNDGQPVLVKSLNECETPPDWKKSLLSQAGITTNTAQVYNTNNIAKWLCQAQL LESEEIKVGYLARQNQKDQDKHSLLLVETFTQRDLAAIINFKSVEIWQSVRYMVDYLKTQ EDGVYVLLKQAYKQSVRIFNVKDDGEQQDDDEDSL >CAK66165 pep:novel supercontig:GCA_000165425.1:CT868042:184630:186852:-1 gene:GSPATT00035294001 transcript:CAK66165 MNFGFRFKQTKPTKFPQSNNQTLLSIDDCRKRLLNFQQQDCLKGNLEACTQLEKQLLEYM TLLDEILKQPLQDEITFCWNDSYEPNKFTQSNQWHYEYACQLYNLGILYYHQAQNEQQIK ISLTKTRNMLWSYQRLQEVLPFINSKIVQQHSDLSVVHIYMLNSYAQAFGYKKLYEHFKT QKGNQEQLESLSLLQEANKQYDSAIRYLIQSKQCNKKQIPPMIYNQLLEKLNNDSTISEV ILYIGLGKLMSETAKEFPKEQRMGKAIAYINKAESAIATIFKKYKQKNEFLVTQYARQQI ANLKKEYNYLNDKVNKNPIAKEYELLPLPVKQDLIKSKAPDVYEQNNEQKLKQEDEKRLG VIKLIEEINSKKFQANQKLVEFQNTYTTIFNKYNLQFMLDAFQNAESLKLTPSIQEKVNF IKERGGWKGYQQQINKIHQMQQEQGRQLIKIKNMIEFQSQNEEGKKPLSQQQIEVFKRVL DDVQKRLLEASYINKNNEDQVQNVRDQLLFVEQNNNQMISSKIQTSLQESQKFYRQNIQN LRTLSLSIEIISNKLDLIKQQLASLEKYIDDLRLDKSMNTGLDQFLQQQVMKIINQKIND YYAIFTSINLTQLEEASNQLTQNEKFMKTANLDEEEFENSLQTITEAFQNLDYGQQFYEY PIILLLFRSISLQITQIASALQEIINSVNK >CAK66166 pep:novel supercontig:GCA_000165425.1:CT868042:186931:187456:1 gene:GSPATT00035295001 transcript:CAK66166 MKQNRVSILTKQNIRYEGSVYAIDKIRKTVFLKDVRCMGTENREAAIFIPPSTKITQMAE FTNEQILEIKKLNTTDFPEHLPAATKEHTQSSQDQKLASKILNQSCTYEVTRSPLPKITM RKNRHFLSQSPDPRKVDQKPVYITNNYLQLKKMEQFKKMLMFRQHR >CAK66167 pep:novel supercontig:GCA_000165425.1:CT868042:187523:188654:1 gene:GSPATT00035296001 transcript:CAK66167 MGNCQCQSAEIQKTEEMQPLEFKQENYNSFEDQQLQFCQAQQILQQETIKSNNETSDDTH RPNPQLVWQTQTQFTTYNLIVQKIMDELGPFELNDQEGYFYGVYEQKDGSLYRGCWHQGQ KFGYGSLIYQDGSVFQGQWRKDKANGKGRMIYTDGDWYEGDWTDDLKHGNGKYVHCDGTI YEGEWENDCQNGQGQEQFIDGSTYKGQFKNGKKNGFGHYVWVDGQSYEGQFESNYFCGFG KYVWTDGRQYEGQWLNGSMNGNGIMKWPDGRKYEGQYCNDKKHGQGVIEWPDGRKYSGQW ELGKQHGIGEYFNGQIKKKGQWNYGKRLKWLD >CAK66168 pep:novel supercontig:GCA_000165425.1:CT868042:189822:190725:1 gene:GSPATT00035297001 transcript:CAK66168 MDKLDKIIAQLDELEISQKHREDLIKRNQSSQILKLPKVILIQRICGFLDDQDLYRFTAT CSTMRKIMFCPLGFKLLTLSRNAQHIVGGNKQQQQQLKKEKQEIVSSGSISGNNSVFDSE EDALAQLQALKSVKEFLTTKLQSSQAQMQNLEDLITDSSDTLKYEKSVNLKLQSKINILQ NQLSISELQRQDVKENLSELNSKYNKIISQMEQDRMVIQDEKDKLLSHKKVLIQEVYRLR GQVSQMEEDQNNYQAALKQVKSFMDTIELKPI >CAK66169 pep:novel supercontig:GCA_000165425.1:CT868042:190834:192089:1 gene:GSPATT00035298001 transcript:CAK66169 MDYELPSLSEPFTWITYAILGTLVGLAQAGGIGGGPIVSPVMMVLLGCSSKQAIWNTYIM LFGGSIGNYARLGRERISNGSSPLINYQLVQITLPLLLAGAILGVATGKWLPKVVVVIFL FAILLNVFLKTKKVYKKIREKEQSELLQQVEMKELMLTDYSAVPQDLQQILENESKLYPT ENLKEIAFSVIIVVALTLLKGAATIPSILGISYCGMEFHFINFIIFGIGYYNVQRYRKWI KKDEEFKQSLGYDFSGGKISEVFGITVKSSMKAGFLGGLVGLGGGVVLTPIWLETGIHPP RAAASATFTVMFTSFISVFIIALSGGYHLSQFLILAVINSLYYLIAGILKKLVKKYKRES IIIQVLLIVIAFGLVVLPLQSIKDVYYNPLSSLQFGRLC >CAK66170 pep:novel supercontig:GCA_000165425.1:CT868042:192107:194072:-1 gene:GSPATT00035299001 transcript:CAK66170 MYSSIRESPQRHDTRSFVQEIEFLKAKCVELETENRLLFEEQQQLQRRAHDIVTNDANVE SYIKENNMLQTENEKLIKLSRQRKTDADLWKSKYENQLQQILQMKSNYEFEIKQLNAELQ KLGAVLSQAEAERQRQLVGISGQIESQSNQDFENLKKAANLQTEISESQIRKLRDHIEEQ NNEISDLQQKILRQKTEDDIQIERLLKENELLRVKIHQQESEKQRELEHLNDNLNNFSQQ QIQLLKHEFARQSDVQQCEIDKLKGLLEIKNAEIETLLGQNAKNKQMFEDQINDLRTEIQ ILKQKLLDQERQARLILETSLKDQQNQHQRDQDTLKTYYQTQINNLEKEINDLKGIIEHK NQQIQIQIDEKNIQRQQLEQLIIDLRREIENQKLITFEQEKQKNNEINELDDQFQKSTAL LNENIDQQKLQILFLEGEIERLKEMLSQKTKDQESLIAQFNLHKRQLEDDIRRLKDEIHS LKQEILSITSSKNQEIQDLQSKNDRVTIQYREKLRQSDISSEQQLLEIKRLREALSVKEQ DNENLANQRNLLDKDLRRARDDIEQFKQRQLALEKEKFTQLEDLKNKLEASNSYQISNLK AAYNTQISVLTEENAHLKQQIDQRRMYDSTVRL >CAK66171 pep:novel supercontig:GCA_000165425.1:CT868042:195229:195477:1 gene:GSPATT00035300001 transcript:CAK66171 MQKPQENQSIEQQIYIKSRLIQNLQNYLVDDEKKKESLIKRNPQYTNLFLKEQQKLKIHG HQNKPLDSLAAFIQKLDKKLNI >CAK66172 pep:novel supercontig:GCA_000165425.1:CT868042:197307:200720:-1 gene:GSPATT00035301001 transcript:CAK66172 MKEFGKELFIPSITVFVLLMVQEGDQGTGKSFLTIMVPVYIPSSFVGLCRQLLVEYVHEK TNKYRDLYKIMGMKLQNYRIAWFITYIIKSVIIGLMIPLTLLYKMNFQQSLEIVFCFMLF ILAQVGQTLLLSTFFSNSQLAGEFGSFFQTILSTFYFAPLYQMEYLVYICPQSIISFYLM NKLNGSNVESVTSYCILSLSVHLVAYYFLFNFLEEQCMKAGRCFWTRRSKTDYVQLEDQM EMRRQYDEEGLAFTSVEIVKLFKQYGDVVAVDNLNLKIYSQQILCILGQNGAGKTTTLNI LTGLLEKTSGKILYFGKTFEKKRDEIQKLIGFCPQENLLYDQLTVYESLQYQCMMRGTDS SSEIERWLNLMQLQQYSNYKTHELSGGNKRKLQIALALVGGSQIIFLDEPTASIDPESRR QIWQILKDIKQINKTLILTTHFLDEAEELADRIAIMKQGQIKIYGSPENIKKELEVGFTL TFGNIQSSEQQSQIKQLFYELECSSGSQLEYDNIKYILNQNQLFSIVEKVYELSKTQNIK IQLVQNTLEAAYLKLNKDHLEDDKIIKEYSFPIKSTHDIKTKQLLQISALLQKKYYVLKR NFTLQLMLILPMLILLLAYLFGYHLLQGETQIQVFVSIFISQSFSLNSSIFINTPILERE LKIRQQLKQMRMSQFTYIFGTFLGDYLIILIVITFIYALSLQVEMLLSSEQLLIILGLFG LSVISFSYAASFMFSSNQSAIKIFPVINFLFGYLLPSMIDLQLQNQLREVFTYMFPLYAL NLALRDISQDYSVYFIAQFIFYMFIYQTLEKHFVLFENRQDLQDRNNKLLIQNIQFGYNQ NKQVLKQIDLQLFPQKILTLLGPNGAGKTTLIQLITDCLKSNWGSIMYNENTIISSCQQS EGLWEDLTAEEILHLYAKIKGSIRNVKNEVSQILRILSIKGKIVNMSGGQKRKVALAISL IGDSGIIILDEPSNGLDPISRRNLQNMIQAHVQKRNSSIILTTHDMDEAQYLSDSIGFII NGSQPFPQQSTAQLIEQYGSGILLTIRAKNKDALDQIILAFPKHQKEGLLIVIKLGNDYD VPTTIRILKNLCQEDLIASFSIKQANLEQVFLRQCRKQYNED >CAK66173 pep:novel supercontig:GCA_000165425.1:CT868042:200767:203266:-1 gene:GSPATT00035302001 transcript:CAK66173 MKGFEIELDLDAEHIMWMYHLRFFIDLKRKYDTFVKFRGSKAFLEGTDQHRVQCAVQNAM SKAKREFMYDLLMQSNNPDENYGPYMDLLSNQKYESIRKEHNGLIIFTKKQFMLIKQELN EKFGYMGQFSRQYPLNYVIIFLPSSKKTQLIESINYLVDNIRNNIDQGITPQNTAYYSYR ARDLAVRNVYKKFYSMKMNDVQAIQIFLIFDIRRMEEYFKVSFTLKDYQSYVMLVIQGSS DLGCQEAMSTLENHLKNKILFQISKEQSNILNGIDCKIVKMEEIEEIGNRLDQCFGTEIL KRITHNLQKNDFVFTEIALWHYCRQKQMNEENNQLSRFTNSYYHSEVDKQIDSQLIQQDI SIIEQVDSQEILPFKNNQNSDSKVILPIKQQIEKQELLQQLDPQSSEEEEQQDMKQLKII KNHKIETLQDKIVVEFFNYKEKSKLYLFLNNFDEGQFQSDFDAYCSTNYCFPYVIQPDYS EQNQLKFIIELLNSNDKNDIAQIIDFLDHYMNCQIILGIKNVKRLEQGDQEMLDELCDDY NCNLKIYNCDLSAKQVGWQKIDAQPQENQNGKDIVFIFSNVIHCFIEQLKEKVNGLNQFS KKTIVTLLFQSQFEHACKQGEIKKLNQFAQFRFDDCYWTAITAKDPKAIIENIKENDSKI FKTLLGKQPVIQNDPIILAKEGEERDFYDVYIPELYCNYYEKLRRDYLDHQYTEEEWKQV QVDSSEKSFCVDVTVLGIPPTHLEPIIEIIDNVERKGKVIESINNLQKGVYLLGKTRNVN SETFDLTMNNHIISSIVNIK >CAK66174 pep:novel supercontig:GCA_000165425.1:CT868042:203321:206136:-1 gene:GSPATT00035303001 transcript:CAK66174 MNFKKSNVEKVIELESLETIWIHHLGFLERIAQENRIRFFYSQNLIILEGDAIQCENAAQ RIYQLVDEDFIMQVEEFRNDNEIQAFHQLFQQDQYRELCKSNPGFWIYSKSSLNKQISYM NKLGYQPSGPDLPRHFFITWFPRTNMLQIQEWIFQKTGYLYNQQSLKDKFKFHQQYNITA LEAISIYLNLDVQEIMNKLQLIIELAQTSNQFFLVINANNLPSIDDGFRILENHLANKRF WPIANLEMKLSSNDLLYLEKTIDDQVSTSSRVQIYSSEEVFIESKRLDKQFSINLNRVLI QRYSSDQIFVCADQYLEGEITDIINQTLRKPKNNLRADKDIRFHSQFYYNESSNKPIPNK NNQKKGFPSMKQQKCQKNPFQFYDQTQFEQQQLSQKKNSQQQSSYQQSSYQQSSNYNYRE ETVKNEDSNNQQDQQLKFYRKHQNIQDIPQMPKNIKYNKKQQKEKQQKYINQNEDISMNF DHLSVSQNLQNSFIYQYTLSQEQENTSQLLQVEDLNKTIRCQVIKIELMQYQIIYTQSIL QNGKKFNFQELQNSFEEFQNIYYDLQHEITLEFSADCIKMNIKCKQQDEINQIKQIFHNY FLQQMHYVTQYSTQAQDLIDILKQNCKVEYFLTKTELSEFLNEKQFRELQQIDDGQQVAI KVSNYSYRHHQFLKGRFDEYMNKQSLNENSSISIGANNTKVIIISEDQLKYLETEFPSLE ACQLRKFKDYFKMAFYFVQIEDIEELLEKAKVEFRRMNSVYIKAKVQKKRQDNKNQWMKE IRNDQHCEASKLYCYSMMEAFNTELLNDIIDIQMNIEEYQANQNLSMYYEATIELGDREL NPSDPDNIENLLFESDFKCKIKQLMTIVVPKLIDQHVGRFITLWIRNEKDKLSLKELIQK NKILPRGYFQKK >CAK66175 pep:novel supercontig:GCA_000165425.1:CT868042:206297:207379:1 gene:GSPATT00035304001 transcript:CAK66175 MKVVQFNNGLYYGQLDYFYKKIGLGVYVYDDSTLYYGQWKNDLYNGEGLIVFPYGAILKA TFKNHSIEGIGILITNNEVLIGEYQNSVLMNKALLFNGKQWKLRSFLKNGQFEDADCQPS KEQKLFSEFIKQYPSLYKSKLLLSLVGFTIHENQMYIGEFKNNKLDGLGRMLDFDKRVLE DGYYKNGLLQKGFRYYVENNSFEQVQLVFEQGSFDYKIMMKGDDFPIELIKKQRALMHLT SKQFISKSQEIHYFHNRYQKCNHQLKPLLKITLNQFIIEEDVSNEGETNKPIEHVRKASF HNEKNKQLDEQTTTRTTSFHEKSRQFELDICKIEFENALRPQHSNVRQPQRKRIFDKYNF >CAK66176 pep:novel supercontig:GCA_000165425.1:CT868042:207718:208319:-1 gene:GSPATT00035305001 transcript:CAK66176 MQQLFDCPICLQTLLHPLTLTCGHSFCKPCLSNKNFYQNFNTCPVCRAQIQIYVNQFKVN VLLETIIQQEFQNQCDYQLRLKNYQHRLEKKNQMRRSYILLVQQYLKWISQNLQKMFPLI VIVIAILMYLRFKKLRQRFTMRVRLQHLSQEITRLLSQFSSRNADNYKDTDIQNLVFTKI VKHLFTNYVRF >CAK66177 pep:novel supercontig:GCA_000165425.1:CT868042:208387:209059:-1 gene:GSPATT00035306001 transcript:CAK66177 MSNLLNALSKLNKLYEQKKNEINQDQIRKTEEQMKVEQPQQKQTEQQPQQQQQQQQQQQK RKRRMIILRKCAGDIWEDPTLADWPDGDYRLFCGNLGNEVSDEVLANSFRKYPSFARSRV IRDKRTMKTRGYGFVSFLNANDYLKAFKEMNGKYVGNRPVKLSKSSWQQRSLHKKKSDGL VGKFIKPKIRKIKRVNIS >CAK66178 pep:novel supercontig:GCA_000165425.1:CT868042:209080:211357:1 gene:GSPATT00035307001 transcript:CAK66178 MQVHTDKSPAIRTTKSRVTVSPNSIKSIPITHKVINRIMVPIYLREQQQQQQQQPQNPDV IRLQQELEMWIQRYRELEQLYQGHKNHLVIITQYQERIDMLVQENHQMSLILEARIQEVQ QWERSYYELQQQLQYTQTNRESEFQIQINQYSNVIASYEKNINELKSTLQLNQQEALQWR ERCDYLQKEQENLRQYYENQRQQDAEILIQSADQQRQQNESEVYKLRNQLDMRMKELEQF KQQNHQLEQTLIEVGSSQQNYETKLLLLTQEIENSNDVFQKKAQQFEITKKRNQELEQEN QILREQYDQFAQQLDFYRQKYEQEVLNQRKQESSKLDRERTLSEEMKRIQLQIEERDRRI KQLEVQIQANSQYQSQLIQLEDQCQLFQQEIERLNGQVRQKEQQIQQMQLHNEQTQQKHY KNENTLIKIQNEYQQLIIQQTTYQNNIEQMQRVKMQLEERIILLGSEIERLNDIQRQLMN ELDQNVRTRRNENETINTERQKYSIIIEQQSRELSEYKLKLNQIELIRSQSDQLKSDNDR LNQLLRHLQNENEQWRQNYNKLEEQLQQDYETKIIMLSQEIERLSILINERSTEMETNRR KVTILEEELSKKRYSQEEKLAMLTSEIERLNLLNLSYSEQIEMLKTKLIKQDSSDLLLLR EKNLYLNQEIERLQNLVEDLNKELEIYRLKYADQFGVGSKYEELLLYLSLYKVEIESLRA QLTDKEKEVNDMRRSQLAPYRR >CAK66179 pep:novel supercontig:GCA_000165425.1:CT868042:211409:211871:-1 gene:GSPATT00035308001 transcript:CAK66179 MQHPREVVDMFNEFKSAQTNLLNVKEFLELLQESGMDRSCQLLYKKLHKKRNENLNLNQF AESFHYDSSDFDNFEMLFQIMDTSNSKKISKQELKKQCDILGMGFSDKDIDIMINQIGSE EQDVVSRDKLWSVLQQYKSHEIHHQ >CAK66180 pep:novel supercontig:GCA_000165425.1:CT868042:211904:214306:-1 gene:GSPATT00035309001 transcript:CAK66180 MYSSYQNKYGFEDNFHGSSHNSDESQDIIVVEPLDEYQQALNKSNALYLTSQNSPNPTSS YINRPQINSSTVNSRIYSQINHSNQPEHKPYAQQSQRPTYDPTVSVPRKYDPSLIQRTQQ QQPQPKSSTTLLQQSIIEHQPRVTQSIIKPTERPPLNNYDTQQRDKNFKDQYSDYDVEEV LQNQDQLKSSYKQQSVEDLPKERKLTNSITPVEKKPSLNSQSAIMRESKVPALTEKDKQQ LIANAKQQLLNLDLFASKLSTQNYSGQDICEIVSIQKGAIKLHQDLNNILSHDRNSIVLV GVIGQNKSGKSTLLNEIAHTSNKQKFGNNGIWMLSKPLVIEQKSYYFVDCQGTDNQLLYA FVMLSCSTLLYNTLKLDDNSIQCFPVLDTIFRLLINEYAIMQLLPNFIWVQRDALQARPI SDLQEFLVKANKGQQFENLIKQRDVAYIAPQSTSEYQNTITQLKDRIILGSVCKQVNSYN LNGPLMYLYMEYIVDLLNKPISLQLDQLWLSICEDYTKAIYNQSLNSFVQQVDSWMKDNQ KVDEIEIYKQFRDFKDVSLQQIFPYCFLNSKNRSYQQYKKKLQEILVAKEKQALQFCLYT SQCENDSFLNKNISKINFKDIDSFSSSFNNILDQLQKQKYQFQQCSTYAEFLQRNYKKFI EDLFSKYQKILANTSEEQQYNLQQSKMQVSTKEDELKKKQEQVSFMEKKRNLLIKEIQDL QEQIKLERESVAPDDRQSQQGPSEAQMFELKKKCAENKQEIQVLRKQVQDAERRKNEDGC SIQ >CAK66181 pep:novel supercontig:GCA_000165425.1:CT868042:214329:215384:1 gene:GSPATT00035310001 transcript:CAK66181 MKYYREGQLLRYIGTWIPLGILVLIVLYFYVVYMETYLMPLIKSEYVSRPIVEINTYLIQ LVHINDYVFSNKTIGITITLHIILILFTITLIRVVMTIPGHVPVEWLNKIENEIRQMIEN EENMINHNKKGSQTSTSFSSEIDDEQRLHLNVKVKNELIDKQGRRHCKNCSTFKPKRCHH CRQCKTCWLKMDHHCQWLNNCIGYGNYKLFINLLCYAWSLISFILITYSRCYYDTMNSYS SDAKLFLVSFTFLYCCFLWILLTAFTLFHLWAIKSNITTLEYCENKPREPLQKGVWNNIF EVFGKNPLVWFLPIQPDTKPILD >CAK66182 pep:novel supercontig:GCA_000165425.1:CT868042:215405:217114:1 gene:GSPATT00035311001 transcript:CAK66182 MFNKDYLSNLLYFQRLKNITSEKDYEPILQSFLQQAKIHYLPKDRFVFKYKLKIDYFLIL LKGRCLKLIPKSHDQLTQEIKELELRFPGIQRLPQNFHLNPTTKTLSFRGKENYPKENLV ILQDQLNQLNIQSFQMLSLMAHLIEGHVVRFQSAHIISEDDALIESITKNAQCTIITLDN CEFLQLQVQQYLEIIETSKRRKNEKIEFLMCRAFQDGIKYPDFKRVLQELINSSERVKLC NHQNLYSFEMQSHYVYLILKGEFYVSYSSHNNNFEVQCCGSDTQYDRFRKTLRHDQKQVK QLVRYPIQINESTFELLKQANKFSTREYILLKLGPGQLLGEEDFNHDCFNNVYHSFNCSC VSAKGSVLAIKKVDIYRICIVNDWFAKLFHKRCEMKRKWLQERFENHLNKNIQNEIMKKT IQPEKTQRVDDSLFLRLKFLDEEATKHKSTKIQKSQISPERDLTTRCNQRKLALKKLIEH NRKSNPNNDPNCIGMIQYLIKLDRHKQMLLQHSIRLAIGSQMNKQRNSSQHTQANDQSIS KSRISPQRTYSFRLGRSNSMISSVINYKK >CAK66183 pep:novel supercontig:GCA_000165425.1:CT868042:217145:219341:1 gene:GSPATT00035312001 transcript:CAK66183 MIMSTLFSKQKNAKSPQKYEILYSNQTPGSKETEKFIFDYIFQDATTDDIMKEIGFKQLN KVILVYGQSGSGKSYTLFGDFDNPGIMPVIIKKLLAAKHKLTINYQVVGLDYQSNYVTED MTQISIFDMETVWEYQAAFSKQKRKEHLIVTLIIDEKDQIKFVDLAGPERQAKEISEPYK YQEAIWASQQFQVLAKCLNAFSKGKIQSLEDSKLTQQLRFDLSTQVTLIGTVYPTNTNYD QTLSTLQFIDRTRNAVTLPRKESLRTLEITKTQTQEKQIKLLEYENKDLKDQISKLQQEQ KNKLKALKDILCIDFDLDNLTSISFKEIQQYKKQKEALIANQNLQIQLNEQQTYINQLKQ EISQLKQEIKEKQDKWQFQLVEQKYKNFKINEQFVTQKTQMSEMMRQMQLEKESCIKTVI ENSNHVIEEKTNKLFQLPVTANQKQLDNNKIQDIKKQIKTEMEQDLTKQLTQMKSDNENN LQQLKLMYDNMLKEKNNEIDQFISQYKIYREKKKNVIKEMKEEMLQMYEILNKQQLLIDK IENGTYSNGNKVLAIPRKDKPVPPNQSQFKHLHHFLERKKSIEIQNKKSSSAEKSFLLTP INRVERSQTTFQEKAVIVDPNDVELFNINEMDIPKLKSFIIKLKEMLKKEQNENKIKSEK FKQELAEFKKDKEEMQIKYNVESKKYNQQRVTIESQNRLLTKLRPTSSLLRKQS >CAK66184 pep:novel supercontig:GCA_000165425.1:CT868042:219583:220047:-1 gene:GSPATT00035313001 transcript:CAK66184 MNRRKNEHIHNAYERILEIKKRIYKSQTQFPSFLWHHMLMYNISLNFNFIYMPPGFQQQL KFIKPRKLRTWFDDIPILVGVEEFEQIETCAICLLELNEKNVIKILKCNHFFHQECIKQW LQLKAECPTCRDQLNK >CAK66185 pep:novel supercontig:GCA_000165425.1:CT868042:220319:220807:-1 gene:GSPATT00035314001 transcript:CAK66185 MLSDIKQFEQEHKEEMKLLQLKYEQKIDLLSQRIDILQKEIEVKDNIYSDLKNRSIQNEE SKVQKTEEDVQDFGATIQKFHKQKEKELMKIKKNYQKELIHNQKLLRKKDATIEQLQQEI SQYKSVAAQNMDNQKILLLSKLIDLANEIQKQL >CAK66186 pep:novel supercontig:GCA_000165425.1:CT868042:220873:221726:-1 gene:GSPATT00035315001 transcript:CAK66186 MSFIKLIGIDNSEIEFEENKNSVKEIKLQCIAKQERRIKVKVKTTDPDVFQVVGKPIVFT ELNQKGVLLIKANSAKKRDNDKDKIKIEAYDYEDSVPQSASGSGEPPNIQEITLTVRVLS NKTTQQANDQKLVESQSLLDRQLDQIAESKFINQTSKFGDAQKFLSSNVKFDNIVERQKS EFLSMYQQKSQINTSNILIETQPQYKQEYEQYLILTDEQEKELFKLSELKNKLQRQKLKQ QQIVETPKPKSLNTLHIGYAIITVILSFMIGMLAKT >CAK66187 pep:novel supercontig:GCA_000165425.1:CT868042:221750:222378:1 gene:GSPATT00035316001 transcript:CAK66187 MSNHEDDLEVQEPVDQQKEDESQKKGGTDNKHQLKDIDLSSIFVRNLDENTTEDDLKEYF KDCGTIVKVTLRSDKNTGTLYSYVQFQEQGSVEDALVLSEGIIRGKKILVFQKRTNLRNR GRGNRGARGQPFQYITRGRYLYAEPIRGRGAYRGGK >CAK66188 pep:novel supercontig:GCA_000165425.1:CT868042:223250:224426:1 gene:GSPATT00035317001 transcript:CAK66188 MQLFQRCLVARFSLAIPKFKHKEQPYVKDIPMPKRPKLDPKDPYEKYELVKPREYEFRQA LDERHTKNFYPGTTYVAVHKGGITYHLFDASRFPYGKMCSKIAYHLSGKHKPTFRNNEPG KVTDKFIIVNGCNMYLTGKKINYKVLTYHTGYVGHLRQIKFRDLILQKPEQLVAWTVSKM LPNNVYRMDKLDHIHLFRGRFHTFDDILPQILPHHTDFEYRGSILQDMKEGDDRTLLFTS KPVSQEQIKQDLGDIKQQIMDPSELDNDLIFTPFVERPQKIKLNMTQHEYDKLNRRRKRL MQRYRKYMPIPYRNTIEKADFTKSYVVKSEKQLNRLGLQKIKPLDDDPELEDETTKF >CAK66189 pep:novel supercontig:GCA_000165425.1:CT868042:224805:224945:1 gene:GSPATT00035318001 transcript:CAK66189 MSKNTQVEVEGKVLPSDIHFLVNSLLISLHEWFMARVLKLAIKIAA >CAK66190 pep:novel supercontig:GCA_000165425.1:CT868042:226361:226856:1 gene:GSPATT00035319001 transcript:CAK66190 MLVYSVPKGGLNKGVIIPLIFQCPQECVCKSSKPTQAWYHKKCGDPSFITENGDILCKNH LKDCSGYFIQDAFFQCNKARQSNTWYQHKSRSQLLMALSFALNAAEHNLDQNALNQFTKN ILDSVQKRFHN >CAK66191 pep:novel supercontig:GCA_000165425.1:CT868042:227982:231404:1 gene:GSPATT00035320001 transcript:CAK66191 MIILFIIISTTHQTVIYEFNANSNIIDSVWQNNYNYAQIQICGGIHYYGASSYSYISRIF LDLESHSHFIMDAQFLRYLLFLNYKSIDSNYQTFIQLDSWTQYSEPLISPQGSICSGPSI ENIRSYSITYKHNRGSFWIYFRSYSGGLMSLKLTMIKCQYESAACIDNYHIIGLKWKAHQ YSLNEKDVTQQEGWTFQLNYWNNFYCGNCKFLKFLKVKYQTELPPHQDVLIRFLKSEYLT TVEVDYQYDKETFSNCYYIQILIRNHHNPILKLILQSPIELEYNQIRDFEVFYTEQEIKF KNLNEGCLEQIDDNCLICQEGWTQEEFLEKCHPICGDGIIQGQEECDNLISNHSCYQCKY SCIENCQICQFGTCLSCVEGFVINANFNCDPLCGDGNLTPYTVEQCELAVNGVWDGCQEC RSLPIANCKTNHLSFCLECELGFQMLENACSPYCGDKLILQQYEECDDGNFQPYDGCYQC KFQCIEDCNICDQGQCILKCEDGYKFVNNKCLSVCGDSIVTKEEECDDGNTIKFDGCFQC EYSCPENCYVCYQGTCLECNDQYQLLNSNQCQKQLHCGDGFIQEQEECDDGNSFAIDGCN DCLIEQNWVCITMEKNSPSQCTFLKAPSFFINYLNMTSNKQYVSIQFNQQVKIYTANPLS ETLNFELLDIDKKQWNSSLFIIQDVGSYSSFGEYIVEIEVYQLLKFRPVLKILVNQKVAN ADNAVLDDFEKQITLQYPNYLNDMQKDYSYSLKSLNQYLIYILSGITIMSLLLGSAELFV EILAILQFQQYLRYINLQFPENLDIYFSMNNLITVQPLLEFMYFPKFLQLIDIESNQEYS DGKFIFYKQNSSLIINLSCQIFQSIIFLFLILLHHWIKRLLYKWIFCPRYFYYTSSLSLY LNRKIIFKISQICYNFCLDLLNLEKFLSFQGLQKVLLLNGWDMIFKTLLYTRSFQTKNYL DILQLFMVSIILLLYFNILLNFFKCNSIISKVTKNQRFEILSFGRQFFFLIFLIYIQHSP ILQLGLLFLTSLLQTIFLYNYRRTFNKKNYIVSMVVEISVITFMLSSFLYIQEFNEYFYQ EKKIVLGWIQAVILSAGIIIELISILIGLKSKFKLMFKEKQPVAKNPLFI >CAK74889 pep:novel supercontig:GCA_000165425.1:CT868202:137:2154:1 gene:GSPATT00039050001 transcript:CAK74889 MSFMQHFVSHQVPEWSNAYLNYQFLKEVLDPFKRVTNSLPIINLTIKLIKDQNLVANIPQ EIQTKLQILDEEFIQLFQDETNKCNQFYMIQVKILQYQYETMIDSEDDLGRIKTLEILYK KGQLLKSFKNSNIEASNRILSLYHQYTSFIDNSESHMNHFFKNLQFVNDTSLNKIVKNIK ALYLINGWDGNELAKLKQSHAIQHKLQYIGFLGGIILFLMLSFIYQRIDLNYLSISEDYS TYLLFITMGIPLFYLWFFSTLLQIFKKRYINYWVIFKIDYIRDSISKFYYLAAVITIIFL LIVNYGLISELQFYALSNHLIYYHIFNFFEFDPIYALFILWIFLILFMINPFKIFGYQAR KYFWILQIKTLSGLYYSKEILWNVEQMVSCSQFFRLFSYTIHYYFCYFKHQTQFKEFNYL SQGVLIVPFIYGFYYSLRVYVQDKKSYLNLIKFASMLTLISLSQINIFVTFLPNYLLQSL IILCGLSVSYVDVKYDWGLLNKLSSNCLLRQILGYNKNFYYFSIFYNIVGRICLFQQLCF IIQSKNLLLILCIIESIRLFLWNLIAIEKEHVINIGEFKAVADILIEKDNDLMIELGKFL HDLNNQTYLKGSYKKKAYSLNLMIQKLEQNIEQKQI >CAK74890 pep:novel supercontig:GCA_000165425.1:CT868202:2380:2993:-1 gene:GSPATT00039051001 transcript:CAK74890 MEYLFDTKILIGFGVGVLTASLYLIPTLKEQPQENAQNSKMKLSTKSLPSTQDLKQVYIK KNEKQQSQTNSTSNDGKKKNQNAAKTEANQQDFYFNLDDGIRKKRKLQEADDESSPRDQQ SSQKFSDNQGSQNFIQQNNIINQKETDQFSKKELGDSESSGKQENEQPQEKLKEIDDQLD PKISDQSGEQQQEKQQ >CAK74891 pep:novel supercontig:GCA_000165425.1:CT868202:3097:3577:-1 gene:GSPATT00039052001 transcript:CAK74891 MAKERIRRDFEQINKMKHQGTDDFIVDIYEIGNYQIQICIKGPDDSPYKNYLFFLNFQFS IEYPQIPPQVTFMNKIFHPNINVLGYIYLGILKDDWTSDYTVHKILTSIKQLLKNPDEDN SFFPDATLLYKNNKIDFEKKAKEWAQKYAIYN >CAK74892 pep:novel supercontig:GCA_000165425.1:CT868202:4292:6729:-1 gene:GSPATT00039053001 transcript:CAK74892 MKYKEVLRFSEDLVVLAEIVFYSWGITIIKRQRKLPHYYFILGSTLQGISSFQHRFSNFT QMLLFYLGYIIKGISVWSSTQENISISLNVHLIIQFNFSKEQSLQQRSMFYYAFFLICIN TFNYLSVTTDSYFIFSLDIILVVLDAKHLSLILDKNGGKSQYHQPNSTLNTTTNQQKLGQ TFQFESPSVKSPKAPFNIYDRFSTKKLTFNTKMDVFESTIRLEDYLKKVFESDPQKGDLI IQQEFFQLSLLYKYNDITHKIKISDFLSDYYEELSNVYYFSIEDNDTFDFRDTVLLIEQV KNSESETLIQFLFLDVPQKYQRKQSRVRKQLFFDMCKQLSHELGTSLNSLMTFSNLAAED EGISEQIKTTYIYPILINSVQLNLIINNVRDFTHLGLKIFQLKLEEMQIIQTVEFINTLF EEPLQSKGIELSVQYHLKNRYLITDKERFEQIYFQLLSNAIKFTLSGQIRVNIYNNADRF ILSIEDTGIGLSEEEEHNLIYLLDKDEFIKVSDSQVGSGMGLVISNMIVKQLNRGVPIKF KKLLIGTQFYFELPNDIQETYISNSKALIEYSQGSQSIKLLSQNSYIEGPLGQQSHVISS NISSQKLQFKKKRKETKSYSVVHHFEDEIESDVQSESHQNNIHFLPFFQTKQKKDMLEYC LQSECCSRAMIVDDEYYNIRCLKLIMQKYGVQCDHAFNGQDSLAQIKYKKENPCQHCNNQ YYLLIFLDINMPIMDGFQTIKIIKAQMKSEEIKKVYCIATTGLCDLQTKQKCYENGMDYF MTKPLNQSLLKEILLTFFPTLEIKVT >CAK74893 pep:novel supercontig:GCA_000165425.1:CT868202:6871:7257:-1 gene:GSPATT00039054001 transcript:CAK74893 MGSICKQGQKSQNEKEIQVEIQLTHSKEQFEARHNTASNQYNCQSTRQLTFRNDFASKFI SLSQSSDSEPNQDNDPGDKRSFFSNFQTFQAMPSQKSSKLVSHQFTFFADENSIENQFQK KLQNRQLE >CAK74894 pep:novel supercontig:GCA_000165425.1:CT868202:7665:7931:-1 gene:GSPATT00039055001 transcript:CAK74894 MDQMKLQIKATDMDEDFIKKVTEITYSAMQQFRTEKQIAHHIKYEFDKFDQFGWNCIVGR NFGSHVIHQTKKYIFYQHKELYFLLWKA >CAK74895 pep:novel supercontig:GCA_000165425.1:CT868202:7985:9365:-1 gene:GSPATT00039056001 transcript:CAK74895 QQILIIIQYFRMGTQHSSPINFACVSCQSNDNEFASIDWKKQLQRECESIKDRCKWAKYF LSQVYGGLKSQPIEGYIIQFQSANQQYKSQFLKNSRKSQSYSSNPNLSIKQSSESSIKQL ILNTDLTIQQLEIQTNTILNKQEASVSLQIIEKKKISESFDKEDELLMSQLLYYVDMIKL LKQQISNQDHQLNVILHFFIDSFKRQYNMDRCSKQLDHAVTDLKEFSKVFQSCIQNYYSI EKSQMSAGPVTDLFNRETMLTAIYSMLFQKEITYQIIYDMTLEYLRKDIDKLKTNIEKCY NHEIDFYEINVKYRLNKSTHSYLLKKYSNCEINYREGIPYHKCFKLLAQIKEKQSPQQKL KALIYCLEKIIKQIKHFYNIYGCEYDFQIESADLISIYIFLIYKFICISSNILLIPIKLI MALMAITQLQQMQPQE >CAK80508 pep:novel supercontig:GCA_000165425.1:CT868373:1062:1461:-1 gene:GSPATT00039404001 transcript:CAK80508 MQSSLCSLNNLPKANGANNVQSDISSTEFTINDLRPNQLKHEVLLAEFKGVTKRFRNIQN QQQLKLKDLYYLSNEPLTLIAISNSKEGKLTNPTFVEFIKKPTTQSEKKFGPWNRNQQRE ELTDVVL >CAK62746 pep:novel supercontig:GCA_000165425.1:CT868021:9245:9763:1 gene:GSPATT00032598001 transcript:CAK62746 MQHNDQSLWQFNYIVSSAKKSYFLELSITKTINAYLIITINQQYKCYIIEQETQKQKKVL NARAQSLQLITTSINGDIMYRQLAPSYRLVIKRYILEVMTIIFKQDLNFREEQKLIKIWK VSLTRVKQEITHKLHEEQAKQMPKCNQKQAPISQYFK >CAK62747 pep:novel supercontig:GCA_000165425.1:CT868021:9867:10307:1 gene:GSPATT00032599001 transcript:CAK62747 MLFQYFISQVIQFCTILLRIICRQTWSPLFIILRIAATSIKIQYLTQEALIQDLFQHCLT LLVKEEQSCCSGQGYDHQNDNLINCNYQSQKKNAAFQLACSKYKMFNEEQSWRFSTLNSF PYNNSRTQNDTILNSKTINALKNFSQ >CAK62748 pep:novel supercontig:GCA_000165425.1:CT868021:12660:14710:1 gene:GSPATT00032600001 transcript:CAK62748 MQSHEYINLKKQLIINIKTEEKIKENELLKDNQKNDELQRRKGNLLIKLGKYDEYWEYID QAIAVNPKNQLLILHKGIALAQLKKYEQAFECFNEAKKIDPTNQEFLIQLAQSLEDFDEF DGAYKFYNEAQKLGDNVEMLNINKGNFQQDLILASALINLKRCEEAIELCDKELSNATCH KRAYATKARALYVSLRYEEALEICDRLIQMDENFQLAYDIKGKSSKVLYLFQEGPQPHYS DLKKQSNNVQKLFDQIRRNIYLMLPQFTSAGALVQLKRYEEAIEFADISLKLNPRGDIAL GNKGMALTNLDRLEEAVECFGQALSITKDHPILNYLMGSTLINLDREEESIEYLDRAIKS NPKNSENHLKKAQLLQEQHYLAQGDMKSQLRFLTYQLLQIQIIFMHFFTKESLYLIQVDL KVQFQLQVKPLNSIKQNLEYGIQWVYYNLTNKQGRSLLNLHLFDQSIIYLLKAHELNPQD EVVIFNIGLSLERLNKNDAAIFWINKGLKISPDTHYALDLMGLYFNLIIIAKTLIKIGKF KEAILFSQKAVSLDPSNEEYQQNYLKGLYMLELEYASMEHQ >CAK62749 pep:novel supercontig:GCA_000165425.1:CT868021:15667:16887:-1 gene:GSPATT00032601001 transcript:CAK62749 MIEVEIPDIKCLIENHTQFIQQVCLNKQCTDLRLICNQCLKKGLHQQHMKDVQGLNQLVN FIDNNNKQCDSLCESISKQGDLVLDSYLKLKNEIKNKYHISKERLLKIDSNQLNQAIGQM ISLADYKISISKIITDLSNEYKLKIDKLKQELLIKTVNFSEVSKDDEKSSTNLFNKGFAL FNNQKFDDAIQVIDQTLKLNPNNRDSLWCKGECLIMKNNLKEALNFYNQAISVDSKHLNS LSSKGDCLRGLGQFNEAIILYDQALSINPKHLDSLYGKGDCLRELGKYDESLKWLNQALQ IQPKDYFSLQSKGVCLQEKQNFVEALNCFEQALKISPVDQFIKMRKNKCEQALKKS >CAK62750 pep:novel supercontig:GCA_000165425.1:CT868021:17203:18258:-1 gene:GSPATT00032602001 transcript:CAK62750 MNILNKLSPQFREFLQEEGIDEEIFLFEQTLRYIRIKPDYQITFEQLQQQVSNQILPIYE IPTLYSLPADEKISNLQLYKDGNLYGIDKSSAAVVYSLDINKNDTNLLEICCAPGAKLTF IADLLRLQTKEDGIQRKVFGVDISQNRLNITQSIVNKYKLEKFVELILEDGTKYKSEILF DKVLIDAECTHEGSIKHLKKYINKPIQEKNTKKQQKNNKASKKQLQKNYTNTYTTEQTKA NEWTEEDFNSRFLDQKKLQQIYDLQFSLLENGYRLLKQNGMLIYSTCSFSQKQNEDQIIK FLELNNDAILVNPFYSMNQQQCKSGKIFNTIRFDPKVSNTGGMFIAKIKKL >CAK62751 pep:novel supercontig:GCA_000165425.1:CT868021:18265:19103:-1 gene:GSPATT00032603001 transcript:CAK62751 MKKFDPQILNLLQLYKLFSIDLILLSKLISQMVRIINNSRLLIMELFLNWIQFLEILSKI NYQQKYQLKLKLDETSQLQKLGINSKISKLIMFANYLFLFYLGTFFQLIVNKNEVLINFQ KQAQSIFIVVVLKSGQIL >CAK62752 pep:novel supercontig:GCA_000165425.1:CT868021:19482:22051:1 gene:GSPATT00032604001 transcript:CAK62752 MKQFVNPVFQPLDHSISMSHRRTEYIPKIPQPTIGQELPCDFEKIFSSETDISSRLNDSM IQPFDNRNFYIDQSPAYRGTTESQFHNNTLTLTQVVDQKKYNQEIIKYSDDDSLINSSFF GVLNQDAFQKPLQQMDSIYRKLEEPSQFNHNTKNAQTLCDEELRAELQDQVQDLHINNKF ISPTLYQPFSQPIQDSSNRINNFNNTNKYSTIQTYENKPIDAYKPYDQNLRQQSNLQQPS QYTLQGNEQIKYNSTSYNNNYPTSVETNMIIQNTPPRSRFVSPTLQKQIEQKQYSEIKQN TYNNSKTEKLRINSPDQFETIKITEIVNVENSQNQSLTSPSPSAPVLPLRHSSFINKNSE PLANKKNSDVYYSQMVQPMTNQKVLQRTIVNKGQDNNEYVLDAQLQQYSRKIQSPHKNNI ILDQQSELQSNRTSQQQIIIPQPQKQIYSVQSPTQLPQSPKLITKQQERLDQKELFLQAE KAIKFQRTQPKAILPEWQKQSNQKDTKQTQQTNQTFSKNNGNKQDDLQVLCVICEDLIPF EDVDSHSIRCLAKSKQQAKTQTAHTLILNLNHKLEQLKQNIIIQSKQLGDDLVNSEEIIG CITKIIQCSYNYKKLKQYNELLGQQYQKYQQNFDQKRFIMILTLQRVLAVSQEKQLKLQF VEESQNNQMEDESFLYNELNRLNKQVEIEKANLEQAKLEQELFKKIQKEDLENMKYLRAQ YLEQNVDNEVLSQINSEWDVRNPETKTNRISNSIVSDIQYQRDFDGMAVRKEGSSPTIIQ NEVTQLNIIQDQKKAFYQLAVQIKLNLPHNHPGKNLLLQDAYEKAVNLKVPKVGWEMFII EEIRNIQQ >CAK62753 pep:novel supercontig:GCA_000165425.1:CT868021:22329:23490:-1 gene:GSPATT00032605001 transcript:CAK62753 MKNQHDEQQENQNNSAYFEDNNGIDLGIRKVIKLGENGDKTCNRISINLATKLQYKQQIV TEQQKQVIQQIKHLARQGSHMYVTIFIFYFDCCEYSFPYSLYQIIAYLLLFQHRIFGASN RDNKVIQKESQFGCLDRRSFIRVSTFSSLSKTLFPYIHHLFFHNILLSKFLPPNSITPLI FTKKLPQGGQYRQKGTQPLWVNAFESLLSVVVQLYYKSDQKLAEFMKEKVLIDSKRDIKQ EKKPGRKKKILDNDSPNQEQIEQQQPNSQNVYSLLQQDVLDILISPLRNDFPFETWTTKE IAIFECGLCRYGKQYEFLSHLIKTKNAQDIIQFYYNWKFTSHYKLWKINKAYYHRSNLNN YV >CAK62754 pep:novel supercontig:GCA_000165425.1:CT868021:23572:23736:-1 gene:GSPATT00032606001 transcript:CAK62754 MKLLTMMVWKIKKINKCIYELKYENWLKKQVENEQDLDGLIVFRLINIRAKNYL >CAK62755 pep:novel supercontig:GCA_000165425.1:CT868021:26037:26763:1 gene:GSPATT00032607001 transcript:CAK62755 MKSSMEQFDALDELADFPEELNVKAILQKVKAFLVVKQLKEDSSPNLVIPNLYIGCLGTV LNKKKLCEFQITHILSVCEMPIFPYQTEDFKSLLININDSVDQEIKSKFEMANDFIHSAI QKNSNVLIHCFAGKSRSASFVIAYLIKYQQMTPLQALKLLQSKRRIAQPNMGFMKQLDAY HKELYRQPQIQNEQKEVEVSPVEKKVKLNQEEEEIQQQGDKQLLNS >CAK62756 pep:novel supercontig:GCA_000165425.1:CT868021:27758:29863:-1 gene:GSPATT00032608001 transcript:CAK62756 MKTILLILLGMQILLAQDPWVTHYTAFTSAEITDLDGWVVKKAFKGNTFSKCDKVSLVGG YGAFGKGATALKQLNLPPHYKLKINVQLWKIDSWDNEIMFVLVDGFIWQAKWHYSEGANL CGAANDWKEAFYNIEFEVPHNSPTVSIVLTSNLDEDALNESWAFRDFKLSFQRCHSECAV CGDNKPDNCFFWTNVATNWNKQISLEGWTLDGEGKAESNECAGVQLFGGFGKLGRKANLW KRFTNLPPHYQVKVKVQMWKIDSWDNELFLMEIDDQEKFRQAFAYNEGVDLCGVDTGAKQ GEGWAEKIVNIEINVPHKFPEVKVLMKSTLDEPPENESWGVRDFQLFAAQCFKGCTGCTG PAKSDCTSCGQGFDLVNGECKEGIKWMTLNRFFFNDEQDFQGLYDWVPSNVFQNQNPFST CGQKKLFGGYQRFGAKGKAERNFNLPKHSRLRIQFQFWKIDSWDDEKFQLFVDGKVVFER SFGFSTPGQAKICGAPQSTWMTYFFNVDVILEHTNPTANVVLSSTLDQAADDESWGFREF QILYELKEDCVELYTECGFKGTKFEICRDTPSLAREKISQVKSIKIPPGVVVQGFDEEVY KGKTVKFSQSQDCLEEIQFSFIQKKFEIIQADDSVLAANLRRIRFD >CAK62757 pep:novel supercontig:GCA_000165425.1:CT868021:30492:32787:1 gene:GSPATT00032609001 transcript:CAK62757 MNNYKVYLRVRPIEYDQSMLSIDQNVISIKDPTNKQAEWQQYRYDKIFPASSSQQELFES VFNFDYENKNGCIISYGQSGSGKSYSLFGNVQNPGIVPLLIQQILQKGSNVQVSFQEIYV DQIRDLNTNLITEEFTKRQILLINDFWDMIKIVRNTDIKRQMRTHIILTLEINSNTKLQF VDLAGSERVAKNITEGEKFQEAILITASHQVLNRCLNSFNQNPSKILPKKESKLTSALII ENNTQVFLIGAINPSQSNYEECLLTLQYLDRTKNIQVSIKKQQSMLGLDSQINVQQEKEI KKLKDEIEEFKIKIEQLNADRKKRFLELQRLLGLDIDLERLSAKNAKDITIFKNQQEALL KNVSLSQQIDEYHYENAQLKKQLEDMKRDTHSKLERYQQQVLEQKEINKKLKDQLQLSKM SGDDALRLLSSDRDQFIKKLQDESKNLLEDKVASILNLPQTAQTKNVENQKLQELKKQIK TEIEKDFNKSLEIIKAEYNKSLEHYKFQYEQKLNAKVEEIENFLNQFKKYREKKKSQINE IVEELLDLYDIITKQGKVIDKIETGGYSGGLKSFSIPKQDKPNLPNKVKHKQYALSYQFS LFHFLDTNSVTATLTKKASTIEKTIKTATKQLRQSQSQIILEIDYNQLDSINFMSMDFST VRAYANKLREMIKELQDQITINSDKFKQQIAQLQRERDDAQQKYNMESRKYNQTRVVIES QNRILQKVRPLSSVSRKQ >CAK62758 pep:novel supercontig:GCA_000165425.1:CT868021:32817:34294:-1 gene:GSPATT00032610001 transcript:CAK62758 MQIRRSIISKKDLRKDIKKQEQILPDQSEKFRKVFEICGDEIISYLNKKEQMQLRSVCRI SFYMVQTYQVWNMMIFDSDQISQATTNDSNMKESFLKNSFYSKVGKSRPSTQEQMQKEQW LIKPANYNPPQKKLVFLKNKNKIEDNILSDEDLKQTITVWTLNLNSLEKQINIAKIQTDS FIQEITLWIDHVNLLQEFQNEYQLEHVGKILQLLKQKSILDVSLDRKRKILQDILNSLLN DFELKKKYSNDIQILKPICQRLLQIKLADFQSEIPNQLWPKIDQIQNQFDKIFNIRKEFF FMKLFQITQKIFSINLGWIVDFSNYELTLNLLEQFQQFLIQLIQRHSFGEKKFNQNQLHH SQNELFFQTYKKSIDDIIKMLKLNKKLYDGQKENLQVQAMLQSYKQQTFDQFQEKQQWAQ IYVRNDIDYQNTWKEKYQNIKKQTQEVPESQEGISFGFFQTKMSWSIQ >CAK62759 pep:novel supercontig:GCA_000165425.1:CT868021:34347:35203:-1 gene:GSPATT00032611001 transcript:CAK62759 MSKIDPLLKLRQKQQREMQHLMEYEKQLRDKEIRNSQLLMKKKEKFQDISMKQLKFKEDL KQKAEQKRIEFEKNLSQAKMIELEQLKQSQLQVSKTIETVVKFENEYQKEQLDVLLKKKS EHNHQIEQVLENKKKIENKKLKELSQSLDAKFKSSQELQENLNKQLSDRQKQEQQKLQTY FKQIEEQQQNLQKERMKKGEMFDEKLDKVEKLKQEKEKELKERQRLRLEADKQKELLSKE FQEEIVKMQKSNFRKQIST >CAK62760 pep:novel supercontig:GCA_000165425.1:CT868021:35822:37048:1 gene:GSPATT00032612001 transcript:CAK62760 MKQIITIQVGQSGNQIGNAIWNQMMDDCGEKLDEKFFRKGIPRTILVDNEENTLDKIRGN KNLSYYDPNNFVCGKSAKCLTFASGYYGQNDLFDEIVERVRKEQEQCDGIQAVQLIHSIN GGTGSGIGAKLVYYTSDNFCDCSKINISIYPSKYENSVIYPYNCLLGLMHLNYNYNMGFY FDNDALQQMTENMFIYDQNYETYNQLLAFAMDGIYKSFRHNSYSNTNFYKIQTNITPFPK LHCYTVSLAPLFSYINSPSLLQVSKEIYSKRNQTFSMSLSQGLFLAQQIMVYGDTDVFSV QQEFKQTLTSDEVQFVRWIADPITYTVCPVKQKQCDLMAFSINHHTAIGNKLNLLQQQYR TLFQKKAYLHLFLQDGLDELELQEAESACGDVISEYCCYNDYTDTTDE >CAK62761 pep:novel supercontig:GCA_000165425.1:CT868021:37052:37788:-1 gene:GSPATT00032613001 transcript:CAK62761 MANTMKLVRPTAVKGPTVRVALILVKKQGDCDDLQEGSKKTEGAKKKINKEQGQIGMKNQ GHWTSQEHQIYVEFLEQHHSTSMQSQQNRKNNKIFKLMSLTIGTRSPSQCRSHHQKFNPY TAAGQKRNKRNRRRMGNQNADGEILLNMTAKPVVQYNTPTVKPILDQCYDECDDYISNYE DSRPQLKNFCCNSNIDNEYGNRWDRDQYLY >CAK62762 pep:novel supercontig:GCA_000165425.1:CT868021:38629:39617:-1 gene:GSPATT00032614001 transcript:CAK62762 MNTQKVLSDIVIEKDEKLEIEKDNSFTYSEPHYMADDEQQEELQEEIQFPVFSPQQLSHF KRPKAITFEEFNLDEDPKKKVCLQLECTTAEQSEVQPMGDLERLADMLQRENQKKYSYPK NLPAPSNVDNHLDELNMRFTPSQKQDEFVSNYVKGDDLLRIKVGLCDDILNDEETLKFED WVEQLCTSTNHESLKEMARKQKVKRYLEKKHNRTYEKKVHYHIRQKVAEERLRVKGRFVT WGQALKMLNEKDTKKSWSYNDYTKIKSLLNEKFGAVKSEKSLRF >CAK62763 pep:novel supercontig:GCA_000165425.1:CT868021:40078:41284:1 gene:GSPATT00032615001 transcript:CAK62763 MYQDASYYSQSQQKQSVKNNSMKVARQWQINDQKAEKNGLHKAIYWVKSQTKNEDGTQKQ ERWVADQKYVGDWNQNKKCGFGVQYYGNGDKYEGGWFDNQRNGQGTYWVSEGKNKEYTGD WVNDKKTGKGTMFYQNGNRYDGVWQDDKSHGEGRMIYANGDVYEGSWFKGLRSGYGVLTK RNGDHFEGYWVNDKREGQGSYFFATKNQVFVGEWVDDMPKTGVYSEVEDPYTVKEEREKH FMDPYVLPDIPKVELHDPTNVLKESMELARKERIIYRAIHIPLDEMYSKQEMEDLALQFE SASNQEHKITLINSKAIFQSMGFDIEEKILQSYLKHLQQDKYNEFEIELETFMRLVAIIL ESQGYDQSEINI >CAK62764 pep:novel supercontig:GCA_000165425.1:CT868021:41758:42132:-1 gene:GSPATT00032616001 transcript:CAK62764 MFDSDQHNQQTSYCQSQGFQQTIKPVHVELSVGNKQIEENAEYPKVPQSRSKEQLLCTKC NQLVETDVLFEMGKCSYIVMFILLAFIITAVFAILPCVWDRCKDAQHRCSKCTKLIGTKQ FLCG >CAK62765 pep:novel supercontig:GCA_000165425.1:CT868021:42635:42808:-1 gene:GSPATT00032617001 transcript:CAK62765 MNILLSNFMCNNISLSTIRKQVELFLLKNRIQADKIKLKIFNKQYQFNILLFQGQTQ >CAK62766 pep:novel supercontig:GCA_000165425.1:CT868021:42860:44096:1 gene:GSPATT00032618001 transcript:CAK62766 MGCCMKGKAHVAASSINASQRQTKKFDTQRSRVDEMKFEVFEKTMYGNEDNYYDSDELTC IDRNQLLSLIKSEPKKIRWTPGQVIGQGSFGRVIEAMNLDTGKLMAVKQVMVGIRNEDRI MALEIEIDLLSLIKHKNIVSYYGMERTEKTLNIFLERVAGGSLSSMLQKFGSFQESLIKV YMRQILQGLEYLHQNGIMHRDIKGANVLVDNQGVCKLADFGSSKKIALNSDSTIFGTPNF MAPEVVQQQKSGRKADIWSLGCTMIELATGKPPWHEITNQFAVMIRIGKGEIPQIPEGFS EEAKSFVSHCLEVDERKRWNATKLLKHPFLIQQNKLEIPQGKTSLRNTPGSKSKQQQRSF KYPEQETSHSPGQAKEFEQQHSDNLQPMNDSSFVLKQKRQQE >CAK62767 pep:novel supercontig:GCA_000165425.1:CT868021:44529:46297:1 gene:GSPATT00032619001 transcript:CAK62767 MQPQSNKEDEHSQVEDHILRKFELLELKGKGAYGVVWKAVDRKTKQVVALKKIFDAFHNP TDSQRTFREVIFLEQLTNHENIIKLNSVIKAENNKDLYMVFEFMETDLHKVIRASILEPI HKKYIIYQILKGLKYLHSGQLIHRDLKPSNLLINSECKVKVADFGLARSVAKPDNNSHPI LTEYVATRWYRAPEILLGSQHYSKAVDMWSLGCILGEMIIGKAVFPGTSTTNQIERIIEL IGKPKQDELDAIQASQAEEVIQNIANQKRKSIKQLFASGSDDAIDFIRKTLLYNPYKRMT VEQALNHPYVKDFKGTEDEISRDSPIETFMDDNHKYSVKEYRDNLYAHINQKKKLEHRIN LREFQNAPVNISSEKSKSPTKKKESTIKKSQESDPSKTDISDNKQTEPQQVNKPYHQKTP SNQHQVRKNTASQEHIYQNQPQTQFNYQSPSMMMQKKQSQSIFPNVDNGSPLKQIPRKSS MQNIQNSVSTVLQNTTQQNLQSQSALQVSQHQLSRKGSQGNIQKTSSTKMSATNYNSIFQ QLSKIRK >CAK62768 pep:novel supercontig:GCA_000165425.1:CT868021:46401:47882:-1 gene:GSPATT00032620001 transcript:CAK62768 MRNKERVVQQINNCLMYIDPADTKAWAEELKDYQGNRKQKVDFQKPKIYTQKEMKLKQTE FNPVLQTFQNPERDVKEKEKDFQKTSTLAQKKMEMVKKYLHEYDLVNLEQVQGIERPDER EKTKQCLLPPNFNDYNIITNEKKSEEYYKNMKVVVQKRVHEKPVINRNKRDFNIITNKFL ENHESKAQEQETAVMSDINDKCKRVRNYDIVAGTFYNDEKEQEFQQKLKQDQFNHGKHFN DRFPPSWKFRESVYLDQTKEIPEEIKMIDEINRNHKKRYEIRHVLENEYRERDLQDQLRT QDRLIKRHKYDDLIKKYDKEFDIITLEKPEIDHIVKALPPKPPLNSWDKVQMTKSGSDAP IHEVSIPEGVDFKENGQNRNDSQGKVRFPQVYRQGSEKSQKSVSKSNKSGPKSIAQSQKS NPGGTEIKSGGFF >CAK62769 pep:novel supercontig:GCA_000165425.1:CT868021:47947:48533:1 gene:GSPATT00032621001 transcript:CAK62769 MQQDDKKIDFIPVPKKNQIIVPYDCKMQKYDLTDNDIKILFKYFKELAILKQMRKDLSQS KSLNPYKSSFKKIALKILLQVLSFLILLLFIYLWIGLFIVVLADPIIMTLYSYLVLYLIW YKGIRLGIEMMLDYGKLMPLQKYLKSMNKKYFGSNGIQWHVGGGGKWIQIDYLTYLKK >CAK62770 pep:novel supercontig:GCA_000165425.1:CT868021:49022:49973:-1 gene:GSPATT00032622001 transcript:CAK62770 MNNDFREVNLNQQEEQQMYIETPIYKEAVCHFRTTQYYYISYNALKIGCGFALLYMNHEQ NQMNYWILAIMFHAFLMIIVQRNHLKYLNVNKRILAFKLDQIVPAENVEQVIEQRKLNIL SRQIKLEVAKLTIFGKWCCRIIAIIYQLIFGYGVYLFCIYYSMKSEELMYQYCFLCAILV ISLYQYIDLYLILVLAILGSPFLIILSCYYCANLFSKEQQRNEIRTRLQPIKYKPNLIEG EQECCICRCPYELNEEVVVLKCSQLHHYHEGCIMSWININNTCPFCRKSI >CAK62771 pep:novel supercontig:GCA_000165425.1:CT868021:50904:54967:1 gene:GSPATT00032623001 transcript:CAK62771 MSQQKNFIITDKGITYVIESMLGSGTGGQVYKAYRDEQNQVQEYVALKIQGNLEFQEHQT LKTLSEYKLNHIVNILDLDSYNNYIIIIMDLATGMRKYLIQKQFYTISFKQIQNQQFKIV QGTEELHNLSLIHRDLKLDNVVYMEVNNQKHLKLCDTGLMREKSGRKTITVGTPYYMAPE QINQNIYDEKVDIWSLGMILYEMIGKKNMVDGNSIQSILQSILNLRQDQINQQIDQLFIS NFEYSQDIKLLLKQMINVRSSERLKASAVVTKLKQILKIDGIQSSGNGLQQAQFQQFQFN TSFFKEQIKQEIQKEFEEQKNQEFLKLEEQHRKDLQQIKEQSEEYNQKQMRDEINKIRKQ IEEEQKVKFEKELKFKEEQLQQEYQFQLTQVNEKQKQELTNKYDDDLLNQKKQMEQQFQQ KVDEQISIQQQRLLKEAEERIKNEQQDKEQFLKIQLQEKLSKHYQQDFEQKVKDLTKFQN MLIVTQQNILKSTNLIENQLKELKLQLQQQQIPSQTYSHYNQDFQKQLEEKLQQLQSLSD ELSQFHFNFSLQKNQNQNQIDQNLKKLTGIVNSQQQLLNPVNVVSFIQKLNLQLTNIAEE YTRLSVEHEQQRLTVLLEQSKNYIDEIKKMISEKQLHLQQFNEDADLLIQKDKQQQEQIQ SINQQFNQISIEFKLLHSMMDTSNVEQSQTEKLNQSFLNIKDQLTKFETHLEKAKEKVSQ QKIKELQMILNDLERLVLQINEWEEKIDYNNNEQQMQISNEQKEVLQQLTQQLQSFNQIK IKIQLLTQQLKSNQIQYSNRIFITIKTEFEISAFKIEQIYNNYINMLNRIQAQDLQQNQV KTEQKKLNEKLRKHQQSLQLNYDQLVDEYKVIQDVCKNEEKLQHLWFEIQRQEKILKNGF KERTTSIYVEIADFEKKIFQNLVDVELAESQISFKLEFISNHLRDELQKLIVIKQQATEQ KEGYLAQNFQFFLTSLEELNTISNSLLLSQTEQQCYDCIDKQQSLLKKLIKEYQQKLAED EINVHLGSLMNNSKNKLTILQQNIQEQITQLQKKETIYKELIEDQKERISSIEKNQVNEF KHDEIVVSVRNYLDKLNKITQNISSLQQQSINQNEQYLKANINEFNKQIQIYREEIKELQ KQKQENTVYFKKLQFYDIKIEIIKSFEFLFQLFCIHKREMRQRQKSQNLKSKTKQEDLKQ HRLKFGILETCFKQYFSQNSSQDQLLVKSIKKYLITNDSSNQQSQLEISYEMDLLKELQE LNKQLEDKSQKLKDNVKTQNSVKFKGKIQLLAEKSERTLNDEKRQFFLNLQKAFEQPLPK LKEINLEVLREKLRHGNN >CAK62772 pep:novel supercontig:GCA_000165425.1:CT868021:55339:56916:-1 gene:GSPATT00032624001 transcript:CAK62772 MKCDFCEENTPIIDIMRHSLFLKYSQSQNYHYTKGISEILHNYRTSNNILYKDVLLYYSP EELLCKVYNSTILSDKLQMLGEYYKFHNDIPRLFMVPAIIPLNYYHDKKRRLEYYRIAKL IANENKNNPDKPPKGIVGDSPLPQSSQQMTAQEASSSDEPISKCDKILEGISFIETKPQF VNFKQQLQQYQILQQAIPNFKYESYKPQLKQQAMLFQKPNNPDKQQRKSSITNILNMLKN KVPKKTIKKKNQSIKSDQLHITPRDIDIKSPTNRTLLPNQQRIATLLKSPKCVTPRAPQQ SPHLPKKVQQLEIKVMTDLQTLLAKKQTRDSKQYCTVHFDSITGAQDLRSLTQRFESANN SKTQLAKKKLSIKDLNQFRRVKIQEPLSNRDPPRKLNFKSKSNDKHLSINISSDHKINFY QQVQSARQAINSQQITTTFKQVNAQSVSQQIHHQKSATAKTLGKSMKLTNTFDSQIYKVA LNQAVKNIRNRKT >CAK62773 pep:novel supercontig:GCA_000165425.1:CT868021:56950:57566:-1 gene:GSPATT00032625001 transcript:CAK62773 MSKWAESKYEGEQLEGWFHGKGKYYFSNGVIYEGDFFKGEFHGDGTLIFPNGGQYKAKWE RGKMLDGTYFFEDHLKYEPMDWKYCIGDDRRFHQEIKQSIKPAGITQMTKDDILIEIPEG TYDVGEGYYEPVKSIIYRYDGSILRTPSEPEVENILKKCRYNPKKDFIIDGKDDKVIQKM TQKSQ >CAK62774 pep:novel supercontig:GCA_000165425.1:CT868021:57738:58777:1 gene:GSPATT00032626001 transcript:CAK62774 MFPSKLYDLQLTPSLNSLNCDLESAKLMESFKKLLMEDDKEVLTPALDLMKAISHALYGT VVYWKSLSEKCKEEDLNTVSQLLQRKIVIYDMCENEDLRCQIINFGYKKRIYLARYLNYF YVVGKKGSGEKHKLIKDILLDVINDIIGLPIRRHKRSYSDPFKYLSDQKDNRINKVNVKN VPLSPSNISRTSLQMQLLDEINQDGDLLMKECNSLINSSTSQNDLLQSLNLNLQEGTNIL SNIRYFGTLKFYDEARAYGFIIMDLDGSDLFVHCDDLTKAGMTKDFLRTAKHGNIIRFSF LILEYFGKYNKSKKAVDLQFIQSQPYFM >CAK62775 pep:novel supercontig:GCA_000165425.1:CT868021:59217:60791:1 gene:GSPATT00032627001 transcript:CAK62775 MNYIDQGSALSRKVPNRKLSKKNSLFYQQSVLENEEFLQKYEKNVDQLQTVIEEPELKEV IQSRNEKFFQLLEQIIKVESSIKDFAKGYQKFGFIVSDNGITYREWAPNAQELKLNEYSC TTDNGGNWEVFIPKDDDDNHQIVHGSTLTTYCNDLQRASVWTQVKNGEQAIFWNPENKYE FQQNQLQQKQQSRGLKIIKQNINELQNIPGFNAILITQQVLMTVDTHQINPDELKKTIEN LHQQGLSILMDIDHQLISQHLKSWDGSEYQYHREGTDSLDYGKWEVLRLLLSNLSFWISE YQIDGFKFSNIDITDNIDIAVYLMLANDLIHDILPNGISIIQNFDYPALCRTIKEGGLGF DYKLSQLQSKQYLPKTLFHINADATQSQQLNALVLGQGVISDIRDSHLLQVESQLGWLEN EFAEVNDIDFVIEVKRSKYTFLLNPTDQNRVIKLNYKINKVLNNEGQQWVQIDENSTEIS IQSGQALIIE >CAK62776 pep:novel supercontig:GCA_000165425.1:CT868021:62278:63286:1 gene:GSPATT00032628001 transcript:CAK62776 MIQNQNYEVHKIVGKKKYEILVRVLGQGQYAKTYLARNIQTQQYLACKVISKEQIVHQLN QIENREVAERKKQKITERLQNEVDNTSKMSHPHIVKFEDLVESPNNIYFFMEYCSGGTLE KLIQNRKRLSQADALPYFKQLALGCGYLYEKNVIHRDLKPSNVLLQDNVIKIADFGLSKA MEEQIKEIANDNTPWIGTPLYMSPQVIGQEQYSIKSDVWSLGCIFYEMLCGRTPYYHEKV QYLQLMIQNENVQFPNDVQISENMKKLITMMLAKTENARISIVQVIEYLEQV >CAK62777 pep:novel supercontig:GCA_000165425.1:CT868021:63299:65715:-1 gene:GSPATT00032629001 transcript:CAK62777 MISDDLTLEKIDFEWVNSQTKVAPLKKALKLLELDGNYYTDLIKAVEEKLVSIDPKYTKT SEVVIDPVVKKQAQIDVEKWAENPTLEKNKRLAEQEKNKGNEALKSNDFKEAINYYTQSI QFDRQMAPSYCNRALVYLKLKDYQNVITDCDYAIALQPDYTKAYHRRGKAYFALKQYDKA YLDFKFILQVEPDNNEVNGELRECRKFLTEQQINSAENKQFIEKQGGFKKVQIVEEDEEE EEIPISKQKQPDFSKEYDEIMSKKNEITNRIQKGAYSQSIVELIELLKENQKYIDQHRFV ELRAVLLSNLAYCHLQLQEYQKVIEYCGNILEDNIAWDIKTKAYLRRGMAYERLDKVVLS KLDFLRVKDLDPGNQQASQALHRLSKIMSQEENNKAAKIREEEQNKQEIGQQSRKVEQPI QKKKEEPQSNQQQPVTTKKPIQEVDEGQKLQLSDAEISIQLGKLKEQGNGHYKAQEIEKA IQVWGEGIKFYEANPAPSQIHLYYQLLTNSCLCLSQLNSNNQVIELASKVLVKDQNNQKA LYRRGVAYATLAQASQKLEEQNELFENGRKDLERLVYLDSENKQAKEKLLEVQKQHAECR VKLKKNQESQPRQDKKDEQNNSQQEKTEQQQAKGQQPKQKSVNQQQIDQIGQNLTVNVIQ DLLNKKQLPETATIFEKNMNTFKKATPSQIIDYVFQVDNLQQLYSKKDLPTDILFLIINA GLALKQDNSYNEKLTTILRDRLPKTHKFDLCVNMFTKKEKALIKELLECHSLNDLFSIYQ IK >CAK62778 pep:novel supercontig:GCA_000165425.1:CT868021:66052:67017:-1 gene:GSPATT00032630001 transcript:CAK62778 MNQELLLKFFNDEPFKSERMCGLTNFVYKVSTAKESVIYRRYTSTFRLFQNREREVRFQQ ELAQLELAPKVLYSDKFQRIEEYWPYSPVTLSEIKEDYIEVARMLGSFHKLRNRNSFVEI FDTPSIHQWLHNVNLRDEIFDVIADCNCQKLYSTFEQVFSDQNQTFILGLFKDPSFSELP LVIAHNDLNATNFLKDKRLMKYHLIDFEFAGLNYPGYELANFFNEMEWDYTFSEPPYFKI KEGWQEDLKLNFIQEYWKEYANSNEIPKALLRQIEIGGILQNYFWMLIGGLSMKQEQQGI DLVYYVNRRMTNFNKVLNLTQ >CAK62779 pep:novel supercontig:GCA_000165425.1:CT868021:68299:69170:-1 gene:GSPATT00032631001 transcript:CAK62779 MKLQTNQICILLLIFMLQKEIIGQVLVDMKNGHCDCEELENELECVIIQKCKFENGKCKS LSCDSLQGSLLAGCYLAKGQGCILNFLEGKCTQFKGCSSYEYKTQNECNLLPTKCYYDSE LKRCEDQTQLPSCSEQLQSECFHGKEGICVFKDGKCQEFTKCEDVEKSDKRCQEAYPSCN YISFNLCRNQIGGCNQGSQSSCPNMRETINGENFFLCERKIVDGQQACVDWDPQEQTMDS CLFESQFTHHWKDDQCVKCQPSNHNSSLRLEIVIMLLTLAF >CAK62780 pep:novel supercontig:GCA_000165425.1:CT868021:69404:70123:-1 gene:GSPATT00032632001 transcript:CAK62780 MQKDLDPYKSRPSSPFNYSPLAVIKRDSYFQDYNESAEMREIWEDQEKFQHEYDDMVDII GNGQEEYKKKFLNEIDVPYDPVGPSEQKENTKIPPEKPELKPIKFKDKSYCRYILLYMFR TIENKQFAGIIRDICSDFSVNYDTFVEYYRQQRILIMGYKALKTELIYENQPLTIQNRKK AFKQVLVWYLDRLATKHILSSKKQNFKEYLKFKNYVMSYYIHNPKSWTGNKPLWKANEN >CAK62781 pep:novel supercontig:GCA_000165425.1:CT868021:70243:70856:-1 gene:GSPATT00032633001 transcript:CAK62781 MITKSLQSLKLQESPIQKSQGDQTKKKKVNRVVFKTEIDENNNLQVGFQTQRKSYDCVTV ENQINSYNLISSMFELESKNMDLYMDLKDKTTQIKLMQTQIEQQKIHHQSQIREFSLEKQ ELLLKIQNLEKQSELQQRYIQQLPQFEVEAQEWKIRFLKLNKQFHLQQETLVRLEAEQQS SQRRRIDGFNYFNQII >CAK62782 pep:novel supercontig:GCA_000165425.1:CT868021:72255:73156:-1 gene:GSPATT00032634001 transcript:CAK62782 MDRSSVNRSPLNTSKSKQMYTFSKAKRWVDVKDSVCTPIYQLPTTLSKRAAGIGYGKKMN ITQDNNTPAPNFYTVQRSQEHGRTMALGRDHANKYESIFLGLIQNTPGPGTYKFRDSISS VRYSMRQRVSSRKDIERKPGPGHYNLPSSINSTGVYALSQYRNSGAIVLSPPKSHSERKA RETTPGPGAYREIGNFDPMGTYFCSKFSASKCNKFPRAQRTMSEYRDRSPGPGSYKLPSE FGF >CAK62783 pep:novel supercontig:GCA_000165425.1:CT868021:74128:74830:1 gene:GSPATT00032635001 transcript:CAK62783 MFEKEIVIDGKGHLLGRLASYIAKELQRGQRIVVVRTELIQQSGSLFRNRVIFEEYLNKR MAFNPRRGYKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKIFEGVPPPYDTRKRQVIP DAIKLIRLKNHRPFCTLGDLCASVGWNSQSVVNRLEEKRKQRGAAYYKRKVARENLRRKA IGAKELTSINQELEKLGY >CAK62784 pep:novel supercontig:GCA_000165425.1:CT868021:75015:75749:1 gene:GSPATT00032636001 transcript:CAK62784 MSYGVDILRGKTLYAGKCMLDLFDNNLISYQYRQHEAQLNLSLQEQGWSQIHLLHSNCCP CQNKDLKPLDQNYSKIKLATVAMLCDRDYNFLLTRRHSQMKTFPKSWVFPGGMVEGQQNL ESECLREVQEETGLDVLPILSKMELKVMYESIYPTKLEDNQYPIKQTLCMFYEVKINQSY QNVTIKMEENEVDDYKWLSKKQMLEIMNGQTKDHSFLEIAGIYPNSYGSGIGEGHVKAFL HCYA >CAK62785 pep:novel supercontig:GCA_000165425.1:CT868021:76266:77053:-1 gene:GSPATT00032637001 transcript:CAK62785 MLYDNDLRKSLINKVVDQLHNAQIEAEKLADFKIDFNQLNKRLIEFSQEVIELWRKNYSQ REYGLYKERLQQLIGMHKHQVSKAADQSRSRNTTKKLSKPSSENEISSQTKVKYQSGQEQ ISTPQQMQILKEDDFSDISFASLTSSNSETIQEIIEHSEKNVQGFCQVHNCRELKIIREI FRQKIDINELQMALEEITSLKSQIRMKLQKENQKIQVMHERLIEGQNKLKRMEEYEKDQS KMIMLKKIALNLE >CAK62786 pep:novel supercontig:GCA_000165425.1:CT868021:77392:78207:-1 gene:GSPATT00032638001 transcript:CAK62786 MFRNLRHQYQNDLDQHFLKQFNKSTINLRCENQKSPFAIRKHLSKVNIAFQSKLNEFSQF NDKISKFSKIKYYVRSRSSQNTQEGHLNQRDQQILLSLPFHSIKMHQREGITKLWRKHIF LVIFLLRYKKDQSEQFKLNLQTRHLQLGTPKIPIDTTRKRRRNAGSQFKLSTFAEYIDAQ DNKQESKTKRSEDEKFKKSPVYQSFKYNDIRICRLLRLRNNSVRTSNAESPLKINQQVAK SNKLPPLLQIDRRMQRVYSNFAK >CAK62787 pep:novel supercontig:GCA_000165425.1:CT868021:78223:79726:-1 gene:GSPATT00032639001 transcript:CAK62787 MNQNKKNRVFSFQIKNTSGVLSEVFDSQLSTARFQAFEQPQSPFKPNFFFLENEQIQSPR MSTQRSLSRLHINSLSPKNSYIYSRKSILTPQSITSQLGMLYHFEKQLADCLKSNYQIGV IESLFNLMVIAVEQDNIDLLITFMRLCALTFISFQEWTKALLFFQHCKFLSEFTRQLDVI QWTFLQIGILCKYIKKYDIGKIFIKKSLEYSWLVKDLDQEIICYEELGKLCFLNYELQTA KSLHEKSMKGNYEKEQSSLRQVSKKGMEQILNMFPQQEKKLGLHIFSKAVNFPLKFVQCQ NQQYFSKRSVKNQYLISNDFECVPNQRIIEIDLTLEDMLQSFLKGVNFSFEIPTPISVTE EYESIAEYNQMPSIQKMKQTQLATIIKLHSQKASTTQRRAPIVRLDQFQQKLPLEQIIKQ RLEMKFDNSYTNREKIRNRKFANNLEQIRLTHEKNGIALSLEYQKRELIRYAKKLLNQQQ ITFI >CAK62788 pep:novel supercontig:GCA_000165425.1:CT868021:79792:80250:-1 gene:GSPATT00032640001 transcript:CAK62788 MGKKEKGKPNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIIRFAQKNMLTEDVRVDP QLNEAVWKTGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDTFANLKTEITKVQ >CAK62789 pep:novel supercontig:GCA_000165425.1:CT868021:81127:82573:1 gene:GSPATT00032641001 transcript:CAK62789 MGNVKLTRCKSEQQLQNQKIMTNNAEKVFRNLKPRDHFVIQMSKSDELGETIKYHTHLEE TQNKQAIKDRLIENFNKKLDLAKIFQLQISDVQGGLKTQEAVNNDDVYIQIESPPVVGAQ ENDKRQVTLIDFEKNSNEDNNNEGQKLSVAILVAPSELSSEQNIDYNNQSEEIQIQSFDQ ENTCPICSSSFEKIVRLLECEHKFCTSCYKEYLENKIKIAKINNVTCLQEGCTTIFSEDI IEQLVNEQKFQQYLVFKRKYEIENDPTKKWCPAQGCDRFIEKDPRTKIVQCQCGSLVCFN CGQLAHQGMLCEDAIQGDFKQALVKYLIKYCPKCKSHIQKNAGCNHMTCNCSFQFCWACL QPYHQYHYRYWSLKGCAIWSDGRFKTQKEISNPDKMRKIYFAPRLVLYILRGPLLIVKLI MQAAGKSIAKPFCAMNKRLCRSLKTKFWY >CAK62790 pep:novel supercontig:GCA_000165425.1:CT868021:82785:84085:1 gene:GSPATT00032642001 transcript:CAK62790 MGNCCGGHNLTTANFQIATYDLSYSDPSKIDLGLLNRKALQIQKSYRGYVVRKKMKKQQT KALAQTCDETFIYQYRSNQKENVKNQQNVLKFNMDGQQHIPVFNVKEVNISSRFTDKIKS VLDKLDPFIFDQDHLFVECPFSKPLLIGDVIYEGQWNNWYQLLNYASQKHGRGRQFWIDG SYYEGYWFNNCQHGKGRLLLAQGDIYEGEWKNDKVHGFGTYIHQNGAKYQGHWENDKQHG KGKEFWPDGATFEGDYKNGQKNGVGILREADGSVYEGEFQENRFEGQGDYKIVGGKRYIG QYKNNKMHGKGTFYWSDGKRYEGDYVNGVKQGYGVLYYPDGRIFKGYWQNGKQHGIGIYI GKSKVEKEGEWIDGKRVRWIRKGGEVAIIES >CAK62791 pep:novel supercontig:GCA_000165425.1:CT868021:84277:85648:1 gene:GSPATT00032643001 transcript:CAK62791 MGSCCGGQQGWCGEQKVHTNVGEGQQQELDKKMDDQLDENLVKEKATKIQAHIRGHKARE EVKKIKESQKGSEQISDNCIKQSSEPKKMESHQQQEEKASVTAKEALYTKGPDQSAGANQ HAPNDRVRKIERVPDFLTDRTRQVLEKLEDFVYDQDQEEYKDLPHLGPYEFENGSVYIGQ WKNGQRHGRGKQIWQDGSLYEGYWYQNVACGKGRLIHSDGDIYEGEWRNDKAHGQGKYVH MDGAQYIGQWEDDRQNGEGQEIWPDGASYQGQYKNGKKDGRGTFKWADGSVYVGDFYQNN IQGQGEYSWEDGRKYVGEWKNNKMDGKGVFTWLDGRRYEGQYKDDKKHGYGEFKWPDGRV YKGDWSNGKQHGRGIYIGSSKVEKEGEWQDGKRVRWIRRGGQPIEEGN >CAK62792 pep:novel supercontig:GCA_000165425.1:CT868021:86363:86688:1 gene:GSPATT00032644001 transcript:CAK62792 MLKFILLGVMCTLSVALFENSYLIKHANNLQDFKDILGSEFVSFVYFYSENCENCQRAAS LIEKVAEEQEGIINVYGTNCDEINKDPKSWPIKYHIAVLM >CAK62793 pep:novel supercontig:GCA_000165425.1:CT868021:86760:88669:1 gene:GSPATT00032645001 transcript:CAK62793 MIATEHRFQGEASPQSLGQYGYKFIPNHVIRINTLEELKKFETSDPKLNKVILFTKRKET SPLLKAMNIHYLERVKFGEVVATPETQSLLDEFDIKEFPQIVGLENNGDNTYNRDLFDGD LLFKPIKKFVRGLAAKDRIPYEQVNEQSKKQEERKNRRQKQDDQDNNNNDNNNNEKQNVI TPTKLDQGVLNKQLLRNDKPAFVHVYKETPHKAWEETIKKYKSLFDYYEFQVNTQEDEEL VKELQIKSYPSIRFYQVGNTQKKRASKISFTKEYSLEEINKDIQELIDDKTININEQSLQ MQLSQYIADNNIVVLYFYQTPQVGLTYRVLSQLQEYYGKYKFLSFKNASQKVIDQFQIPH QPAITIIFRDVKDKKELEEELKHDQVKQALFTGKHGYDEIKSFLDSFDESKKTSSKKVQE IQNQEQLEEYCEKKQTLCYIALLNGEHKSVKHDDILTKWEHQLEVLDKIKNIHGSKSASF VFIDASCHDELLLKFDISDDSLPNFVAYSSSKKTYSKLIGRFDYDAISKFIDKQYKGQSS NININSIQIVEKNCEEIFQKRKEASQSSGLSDFDEEILKEILEEERLKKKELEKELKKGK KKNKKSKDDL >CAK62794 pep:novel supercontig:GCA_000165425.1:CT868021:89047:89807:-1 gene:GSPATT00032646001 transcript:CAK62794 MSIKKHLIQLGNLVIEEEEEPQFDCIIPSAIKLIQIEISPNKQQLSELQQLKYLLDDKQY FSAYSMKQELLHSYANDNEYINYTKEIFELIDDDIEEIKELTKWFDLQDGWSIQQQDSRM QIRYQQLPNSPRVVARIDTEIKIPLDIFISLIYETELYPQWFPFCKDSKTILQPDKATKI LHMHSSPPVISDRELCVKGWGVNNLEYDGSVLILSHSIDKRAKLLKRYGYTYSIYFF >CAK62795 pep:novel supercontig:GCA_000165425.1:CT868021:90154:93407:-1 gene:GSPATT00032647001 transcript:CAK62795 MDKAKINFLLEGEVLVKGKTFGLWQPKKYIIDQELRIFSIKSSKQNKNYHLANYQIANVE RKNNRFQFELASTIGEKNILMGSDNEKFANELMLFLKKMCGQQLRTQSLFEPSLSSKPTC IIYLIQSTASLREYDYEMPQFFEMPEIRDAIQTIRSKSYQLEQYELIQNQVVKIYRNKNN QLNFKVFLTFPGNCLTKCQTQLFQTQYDWNIHNLKEYTQIKEFKSDKSQMITEIRLIKNW FQFKREFTYLRYAVEFKKKENTKIVIEKKLDQKIHSGTVSGLLKFAVWGLFYENNQTNVV LFTEQSYNGLAFIEEDSILSQQYFLQYENIIKPQRLDFDKQSMQDQGQAIIPNNSPQNES APSKLKALQRKDTKQNSFKMEQPIDIPKSTVQNQNTQQPILGSSPQLGPNQQIQVTSPQQ LPLPSKDKNIPQIANQKEEDKQAQQQQINMKKSDKSTELNQVQAKTDQSNLSQGALEQLK LKKTSSSQESSQIISQNIIFQQPKIEDDCENDDIQPENEGSDGNITQFYDCEDDIDAMND KLFIGQSDQQANTSDQSVIEVRNSITQRQPQSQSNQTQSQSDTIENLEDWVEKKMQAYFS GKFCQIAIDIEHILNPLVQDINKRKYMKEQEGGHYIFRKDFIRDEKNGGLKCINEVKVNA QKSVVKFLLARIGTSLLMGRSLTSISMPVTIFESRSNTERACSSLAFAPVFLDEAAISKD KFFRIKQCAAFSFGFIFSYLSMEKPFNPILGETFQGYFDNCPIYCEQISHHPPICIIQYY GRKYKIDARLELVANFHSNSVVGRNVGEVKVIFENPPQEIIILLAPGCIYGTTFGDKSMD FLEKQFLFDLSNKLIMECAFKPDKKYCQYFNIEYLPYSDYVAGGVCEVTDAAIGRYLREG YRKYKGLDLKTEVKSIKSIIKGVWNQELKFDNQKLISIMSDFPIQLELAQYPLPSDANFR MDVLMWKLRDFDQAQQWKERLEIFQRQDRKLREALGPKKKRK >CAK62796 pep:novel supercontig:GCA_000165425.1:CT868021:93531:96243:1 gene:GSPATT00032648001 transcript:CAK62796 MIIQPRYSQKMADTFSNSKDFYSFHQKQFIAQKPQAQRLEHLSISQRTKIQSSKDRTSSE ILTAFINRGMLSTQQKVSISRPYENSSSILIKQSYDEKITRDDLLAQQALQTIEDLEKNK KILPPLRLYADKLKLKERQNQSKEKVETFKSSLIETPTLQRTVVRKNRGFMQGEQKSTYE NEQSIQKMGRTSSTPLMQKSKEEKEEQATSRSGTPQKETSNVSPIKNPQKTLTKQLSIYT PQQKTIKEKKLQSALHLSQPQQQQQQQQQYPIQIDKKVIPRKKKKQNQVNIIQQQNIQKE KLTLRHTSYRCFLNKINFSNNQCISCPNKLEVPAYYYYVGLGNNGSLVKNIFRQRWWWSE VETLDTAKVNMSWTQLKQNICIEQLHPYNMDSGAQSSESFILSHEETIGDTSDSDIDVRP KQVSITGGIQKQQSSSQHQQKKPPNYYSMKRIFNAQDLQKLVNYMDETNCFDSQLIFSDC SEKLLKEIKQYNTIQRLDSRTQKMHNHMEDNWHLGNKKALFYNMRHYFQIIREDYNKLLP VTFHVQKGLNDIEYSRFLDYYNKRNEDVRELEKNRDRKDKKKLPLNLWIIKPGELTNRGN GITVCNDLNEINKIISEEIQDCRQRTYIVQQYIDNPFLYNKRKFDIRCYMLLTSQNGIFK GYWYQEGYIRTSSKEFTTKCLDRFVHLTNDAVQSKDQDYGKHEPGNKISYLEFQRYVECN YPNSKFNFFIDIYPKMRAAALDMMKATYGKIDPHRRINSFELYGLDFMIDDNFKLWLIEA NTNPCLEQSCPLLSRIIPTMVENLFRIAVDPIFPPPFFEEWPQNKKLFIPDNVIENNKFE LIFDELIEKKSMLNLFRDNKIEQECFDIEEEEEEEEKD >CAK62797 pep:novel supercontig:GCA_000165425.1:CT868021:96454:97709:1 gene:GSPATT00032649001 transcript:CAK62797 MQRVIVYYAFKIWDGLFIGNSRAPLERDFLLMNKINNIINCAAAELTFNGNGNVLCFNWR DADEQSIVTTENLEKISEFIETATNNGEGVLFFSLKGQSRALTALSAYLIYKYRWGLIKT LQFLNSRRKDFEIRPAFLKQLLDFSIEYFKTNQESKNWDHYTENQEILVIQNTYLNSQQQ PPLITKTLGSYTIPSGQKQNVSWSINLIQQIQTIQIKEEIQQNKELKSILKGSISNSQKD KQVRCVSVIDNSNIYFDESILQNMFIKKKLQTPKINSLSLESKASKNELKLFGISQQRPV SRRPQYFTPEKQRILHNTKQILDTYLNAQLNNISLLRGRAIHTPMRERIRAISQQNTTPN KTPTRNKQSFFLFENQNTRWINPKKQPQFKIK >CAK62798 pep:novel supercontig:GCA_000165425.1:CT868021:97777:99805:-1 gene:GSPATT00032650001 transcript:CAK62798 MQPLFKQRKPANLIIQSSEEDKFVQQQVNYSSIMQIPELDTFEEFCSNIPDVENQELNFT KESKSFSSHNLNLQESQEFQTNAKYSDQKQEEIQESLPIQYIEFVEFHPQSINSGQSESN LQRIDSQQSPKDIMQEPPAPQNKNLTKFSFPQKGGCFSSLKKQLCVDQPVITNHGNQSAS EETLVKQHNTQNIPKSIQDDLTKQIKELTDQKNAIEASFQTQILQLQTQNQKLEQELAQQ KQKNLTLVQENMLLQSKIEILEQKKSADLNNITDFSNDLLPHKLSMNEKLVYLMQKLNIK AQENAKLQYEILKLQNNIEILETQKYLQINTNLLLDTHKLQSSHSPLIQPQSQNRGQSLS KDSRTSLLNSQKYPLNLSLNYVQQGERRQDVFLNKKNRIISPLAHYSSVGEQQQLQLTNL QKNKVYKEDVNYNNKMHTKNSTSVGELISFKQDLTNCSNMINKALRKESYSTQSPDAKVT QKFKQTLNAKIGTQQENSKSYASLLFSLGEKQKDVRQMSPKGGNKKSKQLFGFIMQPHPN SRKQSEILKSEESHKMSQEQLKMSDKKNTLQTSIDIFQIMKDMRNKKNGISTKLSQKKGQ AATSRQMITEIKSSRTNKPSFD >CAK62799 pep:novel supercontig:GCA_000165425.1:CT868021:99866:100747:-1 gene:GSPATT00032651001 transcript:CAK62799 MHTSFKYQDIINNLKAVLYPIGFKLKMKYSHWIKKARKTKDRLKKESPESSTITKKQISK DSSISIRELYKAQKWENLIKIAKQSKGLFEEYDCMKVQKKVIKLGESVLINSGDAHDEDY IGTIKQIISIKEPTTLKLICLCRVQWYMRKSEVIKSHPKSNEWVSEQELFKTKHEDYILA QTVIHSCQIFSCKEYVDLDEIESTVYFNRLRWDMEKKQIQGFETLQKFCSCQQPVNPDRK YVQCDSCHQWYHLECVGLQEGELNDSEFFCKLCL >CAK62800 pep:novel supercontig:GCA_000165425.1:CT868021:102301:105303:1 gene:GSPATT00032652001 transcript:CAK62800 MQITTNYDSEPFSLINTKRQIFSGDQDIKMQQYFQRSRSIEEIDQMIDDYGNSAKYCLHK SNRPSSSHLRKTKSNYKPPTISIQNIITNKRETFIFENLNLDQKEPGPVWKHGSLKIIRL VARFIYQLKTKAETLKLKLMNHHIFKYIDDKASDFNEFKSGKTIDDHSFKNIMCRNLKKM EGAFTILRVILEYLFNKIGVIYPESTFKIIWDSIVVCFIVINIFFIPMSLSFELDKSSTL VWLFFETIPSYIFIVEIILNFNTAYYGHGVIHSTRKEIFHHYVSENFWWDLMISIPYVLS QLDIPYIQFVLLLRITRVKSMVQNVEDMLNAKESVQAAVELSKLIYFIIFVAHMCSCAWH LLGKIEVDVYGDNNSCLGHTRYIVSIYWSVITTLTVGYGDIVPQTSIEQLFVVIIAMIIC GVFGYSISTIGEILKNLEEKKGQFKQLMKEVNSYIKEKELNLQLSLKVRKYFEFYYQTQQ SQKRSYQTLLNNLNEQLKQEIMIDLYKKILIQSKFINDTFNETLINQLCQKVQQENFGPG DDIIDLKNKSEKKLIFILNGQIQHLASQGRLSHTYKKGDIIGEFEFITNNDYSLQYRAVK FTQVAFISRQDLLEIITQDKEHLYKFQKLIDSLTYSQKLGRTCEICKWTHLYQNCPFTFY QPNIWKVVRQASASVNIKRFPFERKSRQRYKAISNSQVTIATILDFMLIHNFIQEEQTYC LKLSLLCSKTLQRLGFNNNHRISQKEIHEISSGSSNTSSSSESQSDDVNNNTSVKNKRTS QESQNDKPDKFERLRTTVNMKDSAHNNLLKPILQKMNSIGQQQHEDQANQNSAPNIKLFP FFYKKKSSISNIKVMSKVEIPVGANEVETNKDTLRLYQIKKGPQNCDEMDLMMQEDNDFY PEFNVFKVIEIYNKQRIRNLSFRKKRQFHEKLKSIRLQKQFNLKILSPRSSQQII >CAK62801 pep:novel supercontig:GCA_000165425.1:CT868021:106043:107902:1 gene:GSPATT00032653001 transcript:CAK62801 MNSNQYGQAITLFDKVLEKNPYDIEALLKKGECLMKQNFYYEAQHQFEEILYFDPHNLEA MCEIGNCLFKCNKYDEALIQFQMCLKTKSNSYMALLGHADCLRKMNKLQEAINQYEKAIR VNQQGYAAFCGQAECLKLLNDLNKSQIMYRRALQIVENHFDSLFGLADCLKRLKKYYEAI PFYDKALEINLQHSGLLEGKASCLKYCANNIEAVEFYQLALEYDPSLAEALKGLGSSLKY LGRYDEAVATFDKALEFDSCSSISYRGKADCYKKQGKWKDAIQQYQHALIYDKCQGEAIY WKHVLEKLIKQFKPPQENLQINPQNLHLIFTKEYNQQLGYMLRAEIFHALVTSRDTRSYT EQIRLGFWLAITGFYQEAKDHYNKALSIEELGTDALWGKGYNSKDFQENVQDFSRILQKL NNTMKKLLKYALFSVSCNQNMARRFFLLNISKHYLYLEKPIVQLVKTNFKKLMNFYFSHT ELHLKIKVLNQDKR >CAK62802 pep:novel supercontig:GCA_000165425.1:CT868021:108250:108483:-1 gene:GSPATT00032654001 transcript:CAK62802 MYLPTFYKLGYEAWTAKSKRFLGILPAVGCWAVWALYPNLYNTIYTDFIPPPKGVQRRVQ E >CAK62803 pep:novel supercontig:GCA_000165425.1:CT868021:108597:110210:-1 gene:GSPATT00032655001 transcript:CAK62803 MQNNAISNSLYFSKHTHFKQNIYRGYFVFKNANFSILETRNKSRYDSKHSEPEDQVFVSS SVQQIPSQRRITYDNSNIEDSQIQQVDKKGVNKRCTTNLRIMQKEQQILPQLSSSQQVVR MKLQSRQLKAKPIVKKQKSLEHAIHLRYLNKQYEELQKGIMIKCLFLNINNREEYLDKVI ILNFENLLLQSKRNFWDHKTDLKICHSFSIGSLIEQCDSPYCPCTLRSDLKNTLRILSKA YLIVLLFTSQTFAQVWSKYLSDNGYTYDAIYLSKQQSQTIECGGIKLNRLLRDFSKFKIL KILIFDSIDISNTFPKIPPEQFQYRLPIHGTDVETILFIFQQIQNPKQFDSKVIFDICTS FQNDQNIVISRKPVTILSVDLTQAYNYFNQKEELLQDKQYILSKLSAIQEEFNKNISQFD PSLLQEQEDQVYKWVDTTRNRILDQIKSKFQEKIPLLHFTVGDLIVRNHKFKALYFNYPQ SQLVKKETQLNKKINKIDSETQVYQNKQKNHLLINCFLCVE >CAK62804 pep:novel supercontig:GCA_000165425.1:CT868021:110237:112261:-1 gene:GSPATT00032656001 transcript:CAK62804 MKNRPNLKEGNEALKSKRKSELIVNSLVWINKNLLQKYCQSQNYYYTRDVNEILGDASSK AVVRYKDWVGYDDDDEYLKRYYYGDEYPQKIQLLTEYYKFHTDIARIFMEPIASVLNKYY DKKRKYEYYRIAHLIEEENKKNPSRPPKGIVGERPSPANSQDSQRQSESPNTAKRIRNIQ ILKDLSWLNKSSQIPKKKSDVSCTLQEICKQLGNVGLEQSSLLISSGKGDEVKLDKFLAY LNQQTQKTQRDKASEIQKQTKKLSQPHEQLIQTLIQKQHDEIQQRMGSQHSKQNTELIQQ MNKISRDINVNFIKNQVDSLMKHKQSNENLQSRTRVDQNDQIKQSINPKSKTIVQQQPKI STQLFLKDLRKNVQQVPLHLNRQLQNPVLSPTSNQNNTQKTQATNQISIGKLNLKQISKI FIEEDPTEQELKWKNGSQTHRPMSGTKNFFSNRNSPTASRGAQFEFKTNLVKQTSGQIST HSQMSQQQQSQKSIKAPGSQTTRKATQPNIHIRYTSNQDKIININININENLQNQKLKQT KHKKNQSEGKPLNTHLLDTQQEFVCLTDRAGQGEFLFKNSIAASCQNSPKTQKLKRVGSG SGMSTLKNSGSTRNSFIQQMLTQVSKQQQPRQDLFSSQFRKPN >CAK62805 pep:novel supercontig:GCA_000165425.1:CT868021:112455:112928:1 gene:GSPATT00032657001 transcript:CAK62805 MQKPEQVMQDFANLLDKCIDAINFKDRNDPEAWSQIYKVINYKPTQNIPKGQSLDPEKIR EFLRLGKPGAQDFDPYDDMIYFDDNKVKEIVLRQNFLIAKGLYVFLQQGYFDPKAYFPSI NLSSLDDTSFDEKIKEMLKIPDQMEKKN >CAK62806 pep:novel supercontig:GCA_000165425.1:CT868021:113141:113647:1 gene:GSPATT00032658001 transcript:CAK62806 MHQNSGLTYHNVDSYIETEGFFCFEQFTRLDLKKKNWEESLNSLTDDLIALKSEYVDLEN LEIFIALLEFLEKNIQESEVGSPYFQLRQGMNQTSINNYINDMLENIEKSLTCDSDDYRA IDFPGLLKLEDILSFLKESKFIKSYMQKVDYLLQIQQERKQQQFKKKK >CAK62807 pep:novel supercontig:GCA_000165425.1:CT868021:114205:116000:-1 gene:GSPATT00032659001 transcript:CAK62807 MLFRGTRYAKSYFHGASNIPLTYKTVGQQLHDISEKYPDNLAVVSQLQDIQLTYGEFFKR SKELAAAFVALGLEKGDRIGIFSPNNVEWALTQFAAAMADLILVNINPAYQTNELEYTLN KVGCKALVLRSTFKHSNYVSMIKELAPELDQPGNLNSNRIPALRSLILIDDVHKKGFFNF KELFSIFGTSHLNEVDSRMSQQDPDDITNIQFTSGTTGAPKGACLSHLNILNNGKYVGER VRYTEKDKVVIAVPLYHCFGMVMGNLACINYGSTMVYPSDGFSAGATLEAVTNYKCTSLY GVPTMFIEYLNEYERHTQKYDVSSLRTGLIAGSLASEALMKQIINVLGVRDISNCYGQTE TSPITSQNKTTDSFEIKTSKVGQPLNMEVKIIDSDGMVVPYDTPGEYCSRGYGIMKGYWG DEKATKNTIDVNGFLHSGDVATMDKNGYVAIVGRIKDMIIRGGENIYPKEIEDYLSHMKG VEQVQVVGCFDEKYGEEVVALIKMKKDAEELTGLDVYQFCHKKIAHYKVPKYVKFVNDFP YTITGKPQKFKMRDEINKELQDPKLKELYQIR >CAK62808 pep:novel supercontig:GCA_000165425.1:CT868021:116847:119692:1 gene:GSPATT00032660001 transcript:CAK62808 MDLEEQQGLIPNITPAKPHKQVRKVRYTKSGFFNYLIAILALIILGLMSYIVIDITGYEI MHLSFKSTTKQQNITDQIPIQNEDQSQIDTMDYTSQLDNLLWDDTNNDKENQEVQTEEFL DENFSTQDEITQTEEPQIENQNSEVQTFQSATLEIGDQSEIQDQVQILNDDQQLEQYSLL QSGSSIITPKIDDSKYNYVELPNKMKILLIQNDEDNAEIYLNDFKGYWQTNYFVKCDQCQ ITVKEQQTQYFIQTKSDQILNSFEELSKAFASETPQLKQTNYQKSSWEELLTQISNEKHP LSSKYQKASTFQLSPNQMVLIIKGKFNSDELMNGIIQSDIVLLRDKDIQESENIQIINQP GSIIKWNNQKDLNSVKIIYELEDSKELNFIDYFIQSSLQNYIINKRLGLDVKSSVILDQN NRQLYQIQIALPFQDQMDYDQRELAYRLSKIVINFANSLEAQLINNDNELTDYCKQMIDQ LQQINNLQFDYDNQEQDYMEIAKNLKLDLIEEVLHYKYLVQIVPEALKKTLDNLQSTKNL MIVVSDSQYHTEYSFIQIQKGSATQDLLFLTQDELDINQNGISYSLKEITDQTKQFIEKT EEEINFVFPQVNKFIPQNLDLVEQTEPFEVNDHVEVVVDVSKKLPKINADITIFTYGQFV YAQYLYERIIMDYQDAINVGYGVDVNQNQYFQIQLQGWSDHYTNVFKGIMEIVKEKPDEE LKGQLQYKFDMLPEHLKLINSKIESILIANYKKPQFGVIGQNYKIKLTGNIEKDQAEELD QMLHKALSFKQKLKQDPIKIYSLKNQEIDYQLKAKDKWHLLINYYQFSQLNQSQQKLLNR IQEFVQLKSQESHIIFEQRTIGCTQGFFLIVQSSKDLEGQKEKIDKLVDQSLNELDKEDG SSKQSKKSKYSSFWKKESGKITFFIDDQNRSSTMPNLESIQCK >CAK62809 pep:novel supercontig:GCA_000165425.1:CT868021:120376:120719:-1 gene:GSPATT00032661001 transcript:CAK62809 MQSPQFQKSNENSTKSDQFTQGWLPITNDVEQSIVSYLDQLGINQLIQVEKHSNQRNSLY SLDQAITPPKSQRRISLTMDSELFSRRSFRMCSEQI >CAK62810 pep:novel supercontig:GCA_000165425.1:CT868021:120860:121896:-1 gene:GSPATT00032662001 transcript:CAK62810 MLFNNPNFQEVNITSDSNPRPSIQEIVDKYSFRQSEKKTDDKERITFGQSYKMQRKTENE KDEKLVINEQNLSNVLSDFSIISDDPSVFIGITNLKDKVLNLIQNNRESVSQNEKKIQEE VSQIALEYSMYSQIGKSKHEPELQQMCALVEDFLYQFKKSKNLELSVIQNFQSDDSFYQI KQNDIEKLNTKLNTLKDVLSYQLTVLKLPETKQILQKTIDNLNEIINANDISTVKTVIYK IEQKIEQIKVNEYALLELYDYINKAVKVSRAKLTDQDRLQISKIYQAIQKFGYQNTYQQA LVLYEQYLQLPTKPNIDQYINKIQQQLL >CAK62811 pep:novel supercontig:GCA_000165425.1:CT868021:122327:123970:1 gene:GSPATT00032663001 transcript:CAK62811 MQSIHYNNNLNNLIQLGMIVNQNSQLEMLKEAMKENINLLTQCKKSEILEFNHYYVGLQY LFCLVHKLKIFIIDKTYTYENMSLLQSQYINVHYRTQLIQTLLDDYYYSEKKVLQTYEEM KQKIIEKYSEQNIPLLNISNEIKGLQGQYQFNLSNINIKDQHKLDFSCVEEDLQNNALFK KAMHEWYSNYENKLDSFIAIQTQQLTVKTQLHELIIIQYWLLNTSIYESKEKGEKSETEL VTQKYKSLYYFLILNQQKYKIEVTQQQLELFICLLNSNQFEEFIELKMKNLDYFEPLNLE DQYLDDSTMKVQYYQFKDCTFRIVQKLNDEFNQLIYSIEILGIENRFYSVIDTDNIQLLE LRLKGFPKQDMSIIFNEPDLIYKYFMRKIYHNLNPESDPQILSNKIKVGSIILSDRSIYQ HAALYLGCDLVFHYTNEQFDNVNQTRIGHFFKPERFVVFMKGASVLTELQLVFLLRDKQE LLEKALLKSNEKIEFDLTKYNCEHMVFEILTNFKYSSQIDLNIFKNVGANVAKYFGFLGS SQSFQSN >CAK62812 pep:novel supercontig:GCA_000165425.1:CT868021:124152:125671:1 gene:GSPATT00032664001 transcript:CAK62812 MDAIKNFANNLTNRDQSNNTNGKIVPLEDKDKEPLSIKNPLGSMANELQMAASKGMQKLI QSVDNAKADVKQGFTQLDDKLKLMLKQYTRQINIWARSKVLSSVIIVIEKFRPTVKEGLK DPDMCQCVKNLVDDTVDATWPEIVDEVKYQLMLQIADPYTEIEEKKQTLACLYPIVWIRN WYLYSNYPYDRSVWRQYKTISYWFWYFVSLIPFYGVSQYFYVLDFIMMDKGDEYQLVKFI LSFKTMFFITQGVMKALIGYFMLYACTTMNYIDSAYQSDCTRKGPGASIEVFSEVIGQGI QVVLIWVAFFLLSCSKQKGKPVFQYDDTPQLKEGCFERGGRLKYFMFWDIFALGGSIGLF WLVYLTQDTRPNFDNSGDFIYFTEICYGMLSFPFLIFNVPFVNGRLTKAKATAYDRKGNC IPAIDGLRKQIKNNQDEETSFLLNTLSIDVGEVFDG >CAK62813 pep:novel supercontig:GCA_000165425.1:CT868021:125717:127620:1 gene:GSPATT00032665001 transcript:CAK62813 MKKSNPKDKLENKNQGNVIPATLIIFDKIDALKDNNTIKIEMILNYLKELTLGQTIKKGE SLTYVLCGKKWTINIVDVTPIAELVCVDQNTQFKLQDKLQLQNSQQLAQVEQSQQFMYYD NLFNELQAIIEQNIGEQSNEISLMNLPLIKGVLISGQTGTGKTTILQMLRDKYVEYKPVL ISINHLDANNKELQQLLEISQQRNNLILIDDLSSLTDENMQTVKPSLFKIFDNVQNKKSL IIATITSIKDIPDNLRRSGRFEKEIIIEQPNHEIRVDIMINEFTKQNIEIEKEVLKEISY QMSGFTVNDIRCLVREFYLLKNIQGDNKIKLRQSLQKLNPSGIRDLLADVPKVDWNDIGG YEDIKQEIKKVVEWPLKYPEQFKKLGITPSKGILLYGPPGCSKTLLARALCTQCNLAFIA VKGPEIFSKYVGDSEKTVREIFKKARICAPSVLFFDEIDAIAPQRQGSTDVSDRVLIQLL TEIDGFESLKNVIIIAATNRPASIDKALLRPGRFDHLVFVDVPDREGRKAIFEVNLKKMK VNDDVTQGLQTLIDKTMGYTGAEICQICREAGLNALNRSIDNEFIELKDFEMALSKVKPN VTHEDRLQFLQFAKLVQ >CAK62814 pep:novel supercontig:GCA_000165425.1:CT868021:127883:134377:-1 gene:GSPATT00032666001 transcript:CAK62814 MQKIKDRIVSEKKVRTTKKHFSISKEIANRKANIQNQISDDSKNRMLGSKHSVSSNKSKQ KVNVSMNKGLSRSSSSFSSDSENDKRSFNQSIILKDNLGSFQQGRSSFFQVQDKLIIPNM SFEQDLINPLDMSDGMERSVLKIQFLDFEHQWGLKQDISLQKQCIGDKQLISQNSEVLSS HKSLKRSRASQRNMFQSKLLINQTKKNQQNLSDSEDEDYIDHQQEDSKIKRKYKKLYERN PFEDPYYVKLIDLHGFERLHYELDAYHDDQQFLKLRKRLVFYSLFIPILCKKIVQSVFFK ICMSFLILFNVTLYIYSQTKQDSLATKDMEKGIMICFLIELCLRVIASGIIIPKRAFFRN FQDIMDCILVIIYVLNLTYPDTFKVDVSPLRVITLLLYLGDIFAGLGVMLKALKQSFRFL LEALMIVGLFSVFFAICGVFLFQGLFNYRCQYDNGDETDGWIQCNQNSCFEDGMSCQYSS FTPKLPTSFNTVIYSYGQVLRTITMDDWSWVMFFTMRIYHPWTWLYYLLIIFVCGFFGFN LVIAVIKIHYAEATEENAQEEERRKIEQKIKENREIPERDIVNVFDVAFLRYRNFFQVIK KYRQALRNTYRNFPLEEMTIDQGENKQTRILSAKQKKNEEQIEDINWIKRIWIYLQNLTI KNLLLPKFLILQEKQKRIRIKKYTEDEIELQILERLKSFSFSQMQSCLNKQIQQTFSSEG DVLPTLNLPDCEKKEIEKEILNMRYVKIPIMYPDIKQTKIQQIFKDHKQTSQFPVNKVKR IILNVTENNENETNNSIEQRHITQKMTMKYSSKQKATQNDQQVPFFIKRQDQYFVYIQGY YLNYDGVCQKIKAKIQKNRIDQSSNEFQYRLLRKKDFQQTLISKKTWSGNDVLKLNEKRL FNFRDVLKRLNVIDIQIWMLGLYGKIDTIKKYSYLLITSQVCQLFFDFVILINFTFLSLQ GIADSVTISNEEDVSTIFLCIELILRFIAFSWKDIANSPDQVLQSCIVILNFIELTMSSL MTSLSEQNLRLIRGTKCLLFYRVLKYNKMAVAIGHIAQKTFKQYIYLTFLMFLVIFIYAM IGMEMYAGVFDQTDALGQLHSYDNILKAFMTIFNIMTNDDWYGVYVMGGNINYVFAVIYS YSMVIVLNYITYGLVLAILLDGFGAINKEMNEQEESVEKDNEDKNNEQPEDQNSQITEEQ EMQEFQLNLQPLISQANLIDSQPKKTKQTPITNLMKSIMHKDILNQYPQLYEGIQCQQSL YIFSKENYMRIFCCQIVTSQFFNYFMDIVLYFSTIVFALKTYNDYEENSEYYPEVLQFVA NIVFLFEVVIGSIAKGMWMNKGSYITYTWQIIDVIYIISFCFHFLSNDEKKPIVKFLLYF GYFRVMKLMYRLSWLDKLRLALGRSLADIWNVLITMLSVWIIFGVYGIILYEQQFGYCDD KVQFNVNKNDCLEQNRTWINYKHNFDNITIAIPTLFVVSTFDGWGEILQIAENSQSSDIG PVAFNSYIPTYFFFLVFCFIGSMFFLSLFTGVLYSNLKDNQSKIERSDITKAQVEFMEIS QIIIKDFPIYSSPPTSTIRRFASDLTNNSTCQKIMFCLLLIDLFVLLLFYSEMDEDFFNA LNNTHNTLMYLYIMWNILLFLALGVNRYFDNHWRRFYFFLITIAIIDIIADYQADWALVY FKSTPADDGYQFLRLFFALRCLRIILIFQGLINLQRLMRVMVFAMPFLGKIFAILIITMF IFALFGCQMYGHLEKGQVMDDQINFQNVAQAMLALFKCASGDDWRTIMTDTMFYNPFCVE DDQYCGSIYNQIYFFLFMLLSNYVLLNLFVLGLVEQFEQFFMLQNSMIQTYVENVDKIKT IWCKYSSETQGQAMHYKFLCRFLLDIGKPLGGGIDENLWDVGKLASSFKIQCDHYGYIQY NQLMYELFRVCYHEEVFKNGPHSSIKKIKQFNKEMQLRLMYYRRNRFLQRSNISPILHLK ANFNILHDYLTVLILYKAWEAYSKKLIKKVCVKQQHFTDADLDEKDSSEDQKANNNNYLD QDCNEFLDEEIDQQIVSNDNPKQEIKKHQITDCSEDLESDNIPTYRWQGDQQFDQVFESA IISPNQSYRKMNL >CAK62815 pep:novel supercontig:GCA_000165425.1:CT868021:134896:135834:1 gene:GSPATT00032667001 transcript:CAK62815 MLNKPRSRLPPQLLITPKYDENLVNCKTQEDTVKEYKRNTSYQQRTSQQKYNFQLCHQIG KGQFSKVMLVKNAGAFYALKMIDKKLIQKYNIAQQVQREIDIQSSIQHPNIVKIFGNFQD NDYVYLITEYCEKGNLFQKQFSEEDIKKITKQILLGIQYLHSMGIMHRDLKPENIYLTSD NKIKIGDFGFSNYKGRRKTFCGTPEYMPPEIVISQANKTYYHGYDERVDIWAVGILLFEL CNDTVPFRDIDRNRQQDRICREPIQFKEGQDLNLIDFIQKCLQKDPNQRAAIQELLLHPY LQI >CAK62816 pep:novel supercontig:GCA_000165425.1:CT868021:136976:137344:1 gene:GSPATT00032668001 transcript:CAK62816 MDNVNSCLMMLNCQSTEESECPKDELNDSIDLYMDSKSNVIEIRIVKYLHGMGIQTKTDP GQKEQHYWSSKRLESNKKLEPFWSDSNLPMNSPLIRLLKSRQSQTFQHFGQSAKSIQDFG TY >CAK62817 pep:novel supercontig:GCA_000165425.1:CT868021:137469:142149:-1 gene:GSPATT00032669001 transcript:CAK62817 MQQERYFLELNQQGEIEGSHDKAIYARQNQIVRIFVRNRKQGYHPYLIMQKGYKHVHEME NLTLKQIQLHHEVKAFTLDSSGDWKVDVEFALAGAAYLRLCWFFAQDNSVEYWEWRIIQL FNQMMWRSSKMQLYKHCYHTVWQIRSQVDLGYEAFHFPPIQQLGQSPSLYAIADQQKLNT DIFGIHTYDDLKQLFDKFPNSYFFIDILLNHTAFDSSWLVQSEFENATYNVKNSPHLEAA IKLDCAIAEFSNKLGSGLLTQYPRNTIYNEEDLNQIMDILEHEYIRKLNIHGYFQFNTNA VINEIQSRCNKIVQSKSEQLEENQNIFIKSASEIMLQLVGQETILKGYKEYGVSLNYDVI VEFLNKDENYKKVSLEYIQELLQYYNHQYWNEAESYIKEAVNSTKGQVTWWKLTQKKPFV EVNDKGDSLVPRYFTKLSNGSYVANNGWIQGFDPLNNFAENQEHHYLRRTIVIWGDLVKL RYGHKKQDSPALWKYMKKYVQQMAKIFSGLRLDNAHSTPMNVGEYMMRKARKANPNILVF AELFTGSSEKDSIFTKTMGINCLVRETNRCHDAGHLNRELHYYSGDGRVSIGSLPKLQEQ FESINESFTILQPQYSPALIYEQSHDNQSLLQTHDYKHQLPIAALITFSSCMGGSVRGYD CFLPHKLSVVGERRIYNVVAPTEQVSVERQSEIAFRYDGLPDQQVEVFGSWDDWKEGLLL IAHKNTYIHTLMLNEGQYEYKYKVNSNWIDHTNRKLIVEPNPTKSHSTMELIRLKLHQIK KELNHQFPFIFCQHQGNTIQTITRETQDKCRSKVLITVPSFGVPNPFSTIKLPGKLVKVD AIFYHEDFIQHPEQNNQFIEGSTVKIIQHRNLREFAEIQGNELMFIKLPSSFTAIIECQI DSEQEKHLQSLDTHLNDASQQVHFNALSSYQLNYLLFMSEPEERDKNNTGLYFIPNYRQL IYAGFAGPRSATREAQTNNNLAHPICENLRNGNWLLDYLNDRVQRQLGQLEVSKLVNVIT QQIKGIPRHHIPSYFCKFVDYIYNYCMLSIANLQTSQFRRELKLASYQFIAELPSSKQMA AGLPHFTTGWARSWGRDTFISFKGIYLENGLLAEAKDALLTFGSCLRHGLIPNLLDSCNN PRYNCRDACWWYIKAVKDYVQYAGKTDILNEEVQMVFLDDEIDKHYQLKSQGIVIKKTIA EIIQKIFQSHASGIKFREWRAGGQIDNCMQSEGFNIQLSLDEQTGFIVGGNWLNCLTWMD KMGSSEINRGIPATSRDGAPIELTALLKVCLDFVSHAHPHYPFDGVICPNGKKLLFKEWS HFLVANFESYYYIPKQHDPNYQDYHIVEKHVRHRQIYKDLVKSSKPRNEYQLRCNASIAI GLAPELFHKEKAKFHLAAVEACLLRENSIGVKTLDPAASEYIHFYDNSDSSQIFNVSHGF SYHNGPEWVWVYGYFLKALVVIHGKEHINRTLFYSYLSNHKMTLHQNEWQCQYSLPEMTN GNGEYNVFSCRAQAWSIACILEAISEYE >CAK62818 pep:novel supercontig:GCA_000165425.1:CT868021:142166:143290:-1 gene:GSPATT00032670001 transcript:CAK62818 MQQTLGQGSFGWVTLVREIQTGKLFAMKAMKKAELFKYCTIDNLKREIKIQRKLNHPHII DLDSYFEDKTNVYLILEYAEGGSLFKRIKKQRRLSEDEAYHYLYQTCLGIEYLHKMKIIH RDIKPENLLLDAKGNIKICDFGWSTEMDNLKKAFCGTIEYMAPEMIKSQSTNYKLDIWCL GVLLYEMVQGKPPFTGKNDQEKCVAILSGQQLKYEESVSEDCKSLIAMILQPNPFNRPSI QGILNHKWMLSKQQSKSYNDQLNSRSISMLMMEEYSHSPLKSCQTVVSERKTNNKEWEES KQRSHHFVFHQPQPVNEQPEQTFFRRVLISLGCINR >CAK62819 pep:novel supercontig:GCA_000165425.1:CT868021:144096:145218:-1 gene:GSPATT00032671001 transcript:CAK62819 MMNHQDQASFDDSRLQQEMKQTAQFFNPQLSSLGQSIKFQQKQIQRVPFKSPKEQAFKLS SEGKKNLQPQINSEKRPSQTLKKVTLTKSTKQFAQNNHITPVMHKFGSPPKLIKVPSVKP FSPPQPKTNNEQINIVQNNSVAPGFQRNANIKFGQPLKISDNEFNISMADLQPVRKHIPT KQTEDFVKPEYPSYQKSLLDSRQSQYNSEQMQKSNSTAFLPRINQTKKIYNNQLIGYGSI GQQSQQETALRTNSSKQTITPVNEFINFNLPNDDVSRKSRTAQEHLRDSQESQNQIKQAY KLMSMDKPDQFNQLPQIQSPQASTKQQFAFQSTGGSQKPKNFLQRTPQTKIISRLFSSKS DNNQVI >CAK62820 pep:novel supercontig:GCA_000165425.1:CT868021:145406:147022:-1 gene:GSPATT00032672001 transcript:CAK62820 MKYCILLFYLVFVSSSFSVNTNDQFIVDSDGLRRVFHGVNVVYKLPPFYPPVSEGFDPND SLSDFDLDNLISWGMTFIRLHVAWEGVEPVRGQYNYTYLEEIDKIVKRCEAKGITVLLDS HQDVLNRFFCGNGFPDWTIARTKAKAFKFPYPYVFSKIHTDEEGVPIIEDCLKKKFALYY VTAEASKTFQNLFDNKDGIADSFAEYWGVVANYFKDHKNVIGYEIINEPIQGSIYHSIRE FLFPNYGNNHNLLPLYRKVHKEIRKFDDKKIIFFEPGTTDLIGAGFKDTPGGEEYNDREV YSYHIYCFNVDDYSIPKNEKVCNYMDSFFFATKEGVAKHLGVGKFLTEFGAILDTPKGNK ELDYLLDLMESKFTSWAYWEFKYYADVTTQAHPGQIESFYDEFGQLYTNKVKTLSRPYVT KICGSPNSSTFNVVDENSHFLDLDWSVSKKCNGKQTELFLSREFYFDEVYVHFENCAGCT LEAISKSYYVVVLPDNIKERTTISLTVTNYE >CAK62821 pep:novel supercontig:GCA_000165425.1:CT868021:147151:148889:-1 gene:GSPATT00032673001 transcript:CAK62821 MNSPSSNQLLQKLQNLHLTQKKTIQHSHSPKHSEINQFLHAPSTIPYLQIKQCDVGQLTD RIVREMDKHTSFAQIENPLEIQHFQHANPPIQISSLTHIDKKLKQLKYAKDHDHNIFAAV LTKMKLFCLDNSTICFDFYRCTDLILLKYMIYLLILELNQETPNNQQEPVPEYKQQFQSM VKDIVIIIYEIIEKIKSKQHINPQMDILNNQLIKIQNSFKPQPNSPVKTIKKPSHHREFG SCNLENNKAAYHQNILATEISTNSNHHLNNGQQKIIKYQIDELEQKSKIITSLNDQILKL QNNNKQQILSISELNAQIESIISQLQSKTDESNQKSNMNFQSQVTSFVQKKKIIILIFTM ILNWKIRHSNKILRNTKQLSYINQVVHDQIKSQFDQYQNETYSSYQQQCNQLQQIKDNEI KQLKIELNEKSSIINQLLEDALFFGKQYKQIVERIVTISQDDNGKEVQQMQKEILFLENT VNAKLNAISSYSENMIYDSGQEFLQQSQLSNKLVSKASSPKSGNQQLSRTSEFLNGQKNQ FELMQMLLAQCQVLEEFLL >CAK62822 pep:novel supercontig:GCA_000165425.1:CT868021:148951:151214:1 gene:GSPATT00032674001 transcript:CAK62822 MRVLFCIALLLVVSGAVVVTCPSNGYGCVTYGANIARQTTRTNSLCRNGYYWNNQACMPC KNADAVLGGYYTCSAYYEATSLTCTSGYTLINGVCIPIPYGCTTYGLNQNQDAYVCTACN SDYTLKGGVCINNRYCSSWDENAVCTTCQTGYFLNWDLKFTLTTSGSNNQTDWISAWQTY YRFPGESFCSVCSQTMANCKSCNSAYTCSQCNDGYYWQQSTSSTQLTSSNAQFAGACVSC LTSGYCATCQGSNCTQCRTGYFPTKDTTNTIILSCNACPTGCTSCTSSTQCTDCVTSYYK YTDNLCYPLNKCASINTQLTTSGANPKCSSCIAGYALDPSGSGICYQCGNCDSCTVPSGQ QTAGLQTSCTTCWDTYYGVKDSNGIVTCKPCTQASDSFLRCQGPVENSSLTSVVPTQCQD GYYLYTLPAVGTTAAQTICVPSTQNLQCVTIVATSATQYTCATCTSQSKLYNNANCLVCN PTLTSSLPQGCTACSGSPSNSITCSACAVNYFYSTGTTTTCTACDQNCIQCGSGPTCTKC AITYYVSGAGCTQCGVANFPNTCQVCLDGYFLSSINTGTGSTSYCLKCPAECATCSAPGQ VCNSCISGYVLSNGGCISLSQANCAEGYITSTLNNEQYPSTQNGCAICKYGFYNFEKRCL QSVQPYAGSVYTTTNIGIGSNPGTSSYQTIMYSIFLVIMLLGY >CAK62823 pep:novel supercontig:GCA_000165425.1:CT868021:151230:155899:1 gene:GSPATT00032675001 transcript:CAK62823 MEDQLELTSQWGFYEMCTKKNNKLPCLIPQTIIFDKLMPKVWLFNPKNTQVVMKKNSDKL SNLEIAKALLGMKFPKDIKIDTLTQLVEQARQCAQFKEVLFSFIMSKETPIAFLQMKRNE GVPMNRQELLQFFVEGKDLSSLAYMQQYIENQNEVYYVEYHYDVDFIPITFKFFKKTLTI KRVNKSTTAKSSNPFQTLLMPVQSSGQIPVRQQEVQKVQTMFKYCNSTLNQRFQEETKKI AFYIEKVFKVKIRKFTPKFIVDQREQIYFAGIKQISIQIQSGAPYQRGLRDEIASNGQFD NFMMACKQFRLDKNANNEFKKYPKQIVEEDKKLSKTLYKHLILERCQGDFCNYKLIEKNK GPLQLRKGLLETYKNVFKESKQTVSNMSTNLPYEISLQLIVRTRDDHKEVIELFKKYKIH LENYNPEEHPEIKDEQQHPFSKENSDVQALINLYKSVKICKNCFIIYSMIQRHFESQLKK DIKNGVQFINEKKTPSLQIDEEAERRRKRDENILKRQEKLQMKPSTSTKSFRIFRAPTQK KNFLITSIPESRSSQTASPQKFRDVCKQIEINRLTLGYLNYQKLEQFQKPSQMEQSQEFR NESYNLIDLLAEQKAPNVRRIDTSQQENKELQGRAEEIKKMLVKTSKKEQECRAIQKEYY NEKIQEGVQQILLSTSSHYYYHVNFDIVRKLYQFQLSTPMQALSYDLKYDQLRALQIEEV CEMMKYPPPCFDITQLEYLVVDSQTAVPYALFESNITKKKEKSSQSGIDLIIILHDMFES FFEYYPIIVDLINEAQNKKVLLLNTPGQAYTLFNKKQAYTNIYIAGILDQILYELSTKGK IDLQTDTLKILGVGSGGFHAQAFSKTLFKSNQLYNPKIQFNSVRLLLQIHTHPYTLNQEA SLVNRSKYSNLNLPICQNLPDIEYTNTIMNTQPISEDLLSCVLQQNPINQLGRQIILEGL LQSPSFMERFQKLILSVQVYHSLKNCLVNISEADLLQTFLQDETLDVNNVALSKKIQMNK RTVQYIEGLIGVNFKQIGLTNIKNLFKLFVWISEAKRIQKSFRIRQLSDWEYLTKFGAEV GDVTYHLKFRIVGLESEEMRERNFPILFELYLDEEWPEVLERQECERASLARRKETIQVP GNGEYSQIYTGTIKAKLRAHMWYYAISDCHRRLRDEFKEDQYKKVKFEVDVHIKNVGKTE FSVEQFGIQYFMFAVVLVDIVMLVYNGYYIVKRHEKYEEFSLALFLLVITLFLEAISYSE NLLHLWVYSYNGQGVFVLHVFSVIVQIASQFSLTMILVMLSWGWQINFTKFDNFEIFLPL SLLIAFFQLTIVGLGFIDYDAYYKDHSYEGWVGWLASFIFIGEFIYFIKGLSNTYKKSTG AVQQFIMMLGFYGGIYFISFPVLQTVNLFVARYLRHKVMEIGTISFRTAAIVLLTHLFTS KKSLFAKISYDSRSFLDRNKDD >CAK62824 pep:novel supercontig:GCA_000165425.1:CT868021:155932:156627:-1 gene:GSPATT00032676001 transcript:CAK62824 MKDSSLNSPRQQDTQTIDPVLTSGSFRFKTHHNLGAKLIRQRQISQNQEDLRAFSELNDN DVNQFSSDEDIKLPEQNSHKQPWYLRSAAPNTSSKNSEKKWEAFSEKKKHLQNKPSFASI SSESSFNKMGVMTLKEEFQSIYQRDQLDEDIKEKDTELNDSDEIPQLIQQESILEDSTII INRKSQQLSKSKVQIETTEEPVKADSYKNLKIAGVLLATCGIAGWLYKKYF >CAK62825 pep:novel supercontig:GCA_000165425.1:CT868021:156743:157495:-1 gene:GSPATT00032677001 transcript:CAK62825 MNHITLSWQQNLVQILTQYNCKNDQISNSNKPLEEIFQEFIKNYIKRTQQREFQHNLITS RILIISQERMQQEQLLQTQGFRVDQIKEQRQQLLQRLDQRESELQRIEKVLENGNTRKLS QNQFEDLQDGIEMCKEKLQLLQQENIEFTKLAHSLKQQNDQLKSRYSNVNTVLIHVQARE MYDKNLSTLNELQQQGLNLNYRMMKQIKITKDLGQLNQIGASQTRKSKFENFVSNYSQLE DTCITQLNSF >CAK62826 pep:novel supercontig:GCA_000165425.1:CT868021:158031:159512:-1 gene:GSPATT00032678001 transcript:CAK62826 MINRLLRLCFCSSIKIEPVNIHYQDGLFDTTYGLLKMTRNPYLHQKQLESITNAYFLEDG VGSSFIKSLFKKDMNSLIIFDCEKMHLRNAIEIYQATKKQIDLQFFGTPKRPRNPFSKCK GPKDIFNLYRHIQNQQKLMLSRLCLVVSYNGEVRIQGTLPECGDLKYHLEEQPENVSQIG YRKYNYLLPFSAYQGIATAYNYEKEGVAIEFLNYKKIYALYGVFPPSQRQYQVLFHSYMQ KLVKMHDVTHSSLYNVIDLGCATGVLGFIANDVLLRSFRDKEINIYSLDNIENAVKSAKI NSQCLEYKNYTAELGDITDIETLQYQLTVFKYPAKFNLIIANPPRIQASKLRIDDSIENT VTDPDGIMLKSVFEFANRYLQIESLDSTKGRLILIYSDLSQLLELQEKEKVQELCREYKM AITYYSELPFHIKDPQNVDPLLQYKQNSKVQLFEIRKI >CAK62827 pep:novel supercontig:GCA_000165425.1:CT868021:159907:160254:1 gene:GSPATT00032679001 transcript:CAK62827 MSISAQPITITSEKVLKTQGDSSENVLPDSDQEDNMQSPFQKETPSSSSQPLKHISFSGT DQICYFPKSGKKDFSPSPTRKSSSSIQSILKNKDKVICLTDDK >CAK62828 pep:novel supercontig:GCA_000165425.1:CT868021:160466:162246:-1 gene:GSPATT00032680001 transcript:CAK62828 MSDFFVQNRSEISQQNQSGLGSFNDNLGSSFGRLQQDNQSFGPNGGGSFAQNSGFIVQAE QYRSSVGLEDVVAPIQIYEYQEPQTQKGVFFRGSGDFEMQFEEIGIERLNIQPIIQEDSK EHKAPSLDSGMKSSQQSSHEFEMKIESGEQISSKFKPEVKQLINSNIIQENKNLFNVNQA KSIYTNKITQLVEQTQQRLDLASKSSPRLSKSQQKLQRKSPIISRDHFDASSNELQSSQM TPDGNMDPVQQKLAKNRESAKNSRARKKIYYELLETKVKELQEELDKVKESNRTQTKYTE ICNKFQEKFQTFLDQQQQLFDKLETCILKNKDNFEIAMVLDALRYRTNSNSQERNDAARQ YFDSMVEVCLPIQTKYLIYALEKDKDFFAQQPEYQRSYISDYTDWMKDVFKKTEIKPEQI VKVKKMKSKLQSVRNTISEQNYISIHSSSIQNIKVQLKIIQSEANKVDQMWEQLKECLTP VQLATCLLAMKQNAFRQELQTSSLFVQLKNSQMSEDDDNLFRTDQSSIPNNRKLVKKSMQ E >CAK62829 pep:novel supercontig:GCA_000165425.1:CT868021:162419:162834:-1 gene:GSPATT00032681001 transcript:CAK62829 MGKKNKSRNFSTKKNQFKQISRTKTAAVFDEKERKEYLSGMFGAKQKRKEEYIKLRNEKH KKFNKEQKAQIREEKKKQIERLQNLIDFNNSLSKTQKTESIKTSNNKEVEVTTTFFS >CAK62830 pep:novel supercontig:GCA_000165425.1:CT868021:163699:165394:1 gene:GSPATT00032682001 transcript:CAK62830 METKKPIALLLSKVGRGKTCFFNKICTQSKKTQFGGSSCTREIFLKCSSFGKGFYLMDTP GFGCDEDIITHLSALFVAAERPLNAILILTKFDRSCVMKEEINQALSMLSQCREIIIVIV TFWDDVEKEPQESQDKLKSDIEDQVMKPLKLKSFICTSKSTTGDLICSQLDQLIQNNVKR SVQLTPQEFSFQFSKHTLFSIQDELETHKLKQAFQNKCEVVLKFIQQQPDNSEKTSDLMH EIILLIKKEAHKTVMEFTNQNRDKFEELVEKFGICEYTYLAHTKLKAEIMGTLEQVKQIA MLKMQTYQFHCYNFIKKCPNCGLIWYKVSGCDGVTSCGKILPEKDEPKHTPKPKRYQVIF NGYDLEIIENIQINNQSKINDLTIINEQSNNNDQNQGLLDGQSNGLLGCGIEFNWKETDS LTEQEIIDLRDTGYLDMLCMVQDKDSKDIENEKRYLQKIEEQQMRVRESLNRQIEAENNN FEQKEKASQNEQFNEERKNSDQQIAISYIQQENNQTSFKDDAKIQNDSCSISTCNIS >CAK62831 pep:novel supercontig:GCA_000165425.1:CT868021:165436:167310:1 gene:GSPATT00032683001 transcript:CAK62831 MGQLQDIQKVFGRQEQEQQCLMVLCFLKQSQYDELELQYYKFKQNLNLFPSIVMSPFKIF INNGEIFQNSKEFLDYIQCVNLEGDLKRIVIFMDGCLQQSFINDYQKIYLNETYNEIFEI VVFDDKITASQNDYPLLQKYWKNITIFTDKQQCFLNFLQDSKGQQPYFNKSLQLKSTKVD EQIIEKVGKLIEIELERSKINQCISVNELEIAFTSFVDFRNQLVQKLFIQIPKTKQINNI IQGKFNYQIMEQISRYTNLFFDQLFEWIQKFQQTFYFCQYYGFVSAAFFNGYFKNKSCQN CYLQQASFDSLKTLYQQQRRGFSIDHCVKYLSQNQPSFAQDNRPTISKVANNFNHIILIG KTGVGKTTLFNLLCDGKVNRLNDPTKKSTIKRCLNSSLTIQDTPPFELENRDSIENSENA KQTFSTILAQTQISQIFIVVKYDRIPVMKQSAFGCIKFLYPFRDKISIIIFNDDQNEVVD RQNDFEKIFETNKILICNLRFQPGTLSEEIQNHLYSIRAQPEYLNLVNTDFDIKKKKKEQ KVFLKNLEKINNKMNEDDIQKLNQENKDISKKLEQLNKEQLELIKKLNSNQQKIQDIYSG MVQKQNNAISLIKLD >CAK62832 pep:novel supercontig:GCA_000165425.1:CT868021:168259:169505:1 gene:GSPATT00032684001 transcript:CAK62832 MNQSWRQKFEKDKQYPRHPMAHKNGGVHMNQEDDTKLFQIAGAMLKQIGSKIAKGDFNLA TIPKPICLTAPKTANECLTFDHDYTHLYLTEAAKCKDPLQRMIMVVTNEIAYLHGTHTYL RSLAPIDPMIGETCQKVKEDGTAFYCEFIKADPPTTLYQIYGDGWQIYGSEEVLAEIHPT ITQIIGKNLKPKYIKFADGKLYEIKVPWMIINGLMKGDRILNKLDSFTVKCVQDKLVAQI NFSYVYEGSAQKIKNKLMFWSQQQKPLSDLVDVKINKLINTDDDDEDQVFTLAKGSGSWL SHFEMDGEVLWRIDDPIQPWKEPPYILPSDSLFREDKALMLKGDDEAAQITRNKIEELGL KDQNLRKKRK >CAK62833 pep:novel supercontig:GCA_000165425.1:CT868021:169597:171462:1 gene:GSPATT00032685001 transcript:CAK62833 MGNCVTNRTKIQTNLEKPQKLYIHQAFVRQTLTNPESRKQLLLFEDNFIDMFDESPILGQ SMYWFLLGLQNNEQKGIEYETMLQISEVFILGKSENEVLRLPNRVSLIVLIVSQFGNLNI QDAIKQFASLEITYLQTAKCMQVFVNMMLRKQNPNEQPIKAFVDAIFQKVNGNVPILQLI KFFDNYMTQLEEIVEDYYYNKFLGEQKLFRNPQLQHPSHILNQEWIAFMYLSFMHKDSNK LELLYSTNVHERNFELLSHLLTECQTSVLFLIQCEEGNRKYVFGAYTNFEWKDDAQPNGS KEDCVFQMIPQFKVYKTKNDKFTRSQYTYLNSKNQELKLGIGFGGELAKEFRLFLNQDIL NVQCKTHDKTYEPGELMLLKQAKVSILEVWTIQQIQGTTQFKDLIYQKIDEQIELEEFEN PLVHLDEDISSYRSGDHPSLNESIDQFKQKDSCDWEGESQNGFEEEIIIRGDGFEEVKKN EQGFEVIPLNEASINKKSTNVQNPEKIPQPPQQEDNQFEEVLIKTTQSMDSSALQMVYNV VSGTFGQAESDDNWNGDNSDWKGNGSTTAKQSRVQLSKDLATITEDLKESTNTQY >CAK62834 pep:novel supercontig:GCA_000165425.1:CT868021:172071:172870:-1 gene:GSPATT00032686001 transcript:CAK62834 MKKYLGIIQLYQQERTDNQLFSIKVQLSLHIKLLNLSLQIFLLIQFKSQIEILLSRNKTW NSSKLYIFRISRLIKSLIPFEKTFTCKQMYFFISVFYSHNYKSHSRRRWHSTFLFKNHCF PNSNSPNGVEGKKNSPGLYPTQKRTFSCISRVNCKNYSLIWISSFPYNDIYDYDILMKLN SNVFKILDVMLLLPYLAIPLIYNKKPIVRTILSSLLFIIQKYLEQFAKFTFKDQQNLGLF DRNDLNIVQFSSIIQ >CAK62835 pep:novel supercontig:GCA_000165425.1:CT868021:172985:173858:-1 gene:GSPATT00032687001 transcript:CAK62835 MQRFESDVNAEGESQAAYTRFESSPSVGRLSDTHVEKQTIIKSTLIASIGSFLFTYGILA SAGNNMAILVIFFLSILITDRLTVFNPAVSFIDLLMARIGFQEFMFNVIGQVGGSLLGAM VCFFVLEDFTNAPYLNAKIGDNLLGSVQGESIGSVLFMVVLALQEDDYLKFSDDKLEHAL VVTLGFGAARVLSSEGQSLFNPAFAFSLELFECIQDGSWGRFGFLWVFTCTPFVAAVVAI TFNSQVYKRFYDKKIQYGF >CAK62836 pep:novel supercontig:GCA_000165425.1:CT868021:173905:187709:-1 gene:GSPATT00032688001 transcript:CAK62836 MSQSDPAYFEKQKDALNNFLQNKSESFSERNIYDIRDRLSRISEEQHSERSRDPNHGKQQ TLQNYHKWFIENSLNLMVFVLQNVEPQTSRNVKFNVRAATIELLQKKILLQHMTQSVVDQ LTVVLEKDNENNAATAIRIMNDLLKTNQQQSHQYQRLNSVFTDAHLMRLFNFFEQRIEFL VKFNNELKKSQYKKVMGDSQINPFHDQSYVLQHENDDKREKDIRLNIFSLSFVTNIPPFF IHTIFALDPIVKDETKTQMREILKKALKTMNQVINETNFQDLLQKVPRNIVQELFNAYSK FLQLFVLTIKRDDGQIQSQSRIIRDLADTVKLDQVVATIIKTLKYCPVDIMHIRIDISNR LKQAMIQKRDPVQQNEIQDKILEINANVVELIDEETIVGKNLKLPPFQKSNIYLNWLEIV KHVVQSYLPKSDPPMNNLDKMQSDFINNQIYMEKIFNTIFKVLFDSSLQLQIHEKALDLI KIFLELFNKSSTTYFVDKNLLQFYVDQTPQQQNDAIFKKVTTHSPKYQLLDRLFSMIAMK LNQIRKQIQQIKELLRKTQRWGLTKKPTPQTNVAAIPSNPDALSEKYISQLEQYILESEV DIDQNLITQQDQAATQVYTYDDEEEYQVNSLSITTYSQYVEFAKELKSKVFDQLNDILSK LLAFVTSTNKEIVNRLKTKITYNMPSKLPPFFQGTGDGKPYLSNLQCHYMSKIMKNGLMI FDELHDHQRNHFEILDYKKKTIPQFMQFFIQFQDPINFKNVFEPNSKLLLKISVHLLKTC PETNCLPSLLQDHLGLQSTQQDPQNGQKQSVKYYIEFLLSLYLKELQTTPELPSKFGYCR GGQLMGNDRNIKQKDQDEYITLIQKLLRIPIRLFPRVKCQQNEEPIVKPIVKQLILFFVK KSHETHYPIDFLGLLLSLVKRIQNNDQGEGLSKYFQQLCDKYDRNDKQQMMQRQQSEFYH KLSLSGVNIVLNMFELFQTGIVEIQDIVAEVIANFPLQKTIQINLARQYPVFAQCLFRAL HNISTIENQVIQKTLMMLENIVSNLSMEEMREFFGDLLQPFIEKLLTFPSEYKMADIYSK QVNLFLDPSFKSLKILSKLGSLVRKSEWPIEVKRNHTDNPYKRNQYLEVQIEGLQSTLKI NLTETVLCAVEILQNVLEQQLATYLFKQSSIQHSYSIVKQVILGYYQMPIQFDHDLYNYQ IPQGMALEMKLQSRQCEQDQLMYQDEELISAVTKVFIGLSNFHNIIQTLTTATFHKIKED VENVVTTFTEMFFIQLADDHCEMIEKIKSPKPDFNSLFTMTESIVHNYLTEKPQSIRWIS YLFKGLNKRYMLTAEENMNLKDLHIQQEEFIWNKLLKANCLRKYKKILEKHMENYQHLKW AYDVYYSVVIRLFEEFVYEKFGKQTQLQKEDDRRRKIQIVQSYGGTIIPSEGLNCRSISI ELLTEIQNLLPKFEDYYSSIEIVGLPYIPDQRIVECSFMLLRQLPETIRMASQQITKTLL NQTINLLIKNLNYDHSIYEHSHQSMLLLQERLRCFIKIVAQNCHTYKRSILQICTDLFDT VITHHFNENAGSLFYMNIGSEMKYNHEEKIEAIPLDNLQQLGNGPCQWVNIQFSEILMSP YTQNTVLKELVIIIKQLCEEQKMSDVIAQRYANGFRILTYLLRKYGLNKQGQYHLFKTVI LNPEPHFELSIENYMEKSYKILQQNEEQYYRQSKLIQNGEDSQSAYINMSHALDDLEFKI NIEDIDFEIKWQSQMVVAIFGFLKQMTSINYIFVIQRYLMKQVDKNSLVKPQILAMKYKT VEIFYEGLLRVEHSIRQSSYKYLQELSQQEGLQNDKSLFENDDRLKRLMKPLLTCVQSDI FHYVPSFLKSLKMILKLFSKVFHRTLSDKLLGHLQKIMQDNTLQYQSNIQMCTVMEWSSQ QQQSQESLNFQLGIISGLLKLFQHLTPQLTNQANGPLNQNIVQKIIQDINAIRRNYVFRA SQQQLNQSIDKPLNKFLNTLAANSFDVFQSSFSISQIQQFQKQLENVSQLLSNPTPIKSL QEQLRERLQYIKTIRQVISEPAAYPLREKICREKQQFSQKMERFNKTIEELSNSLEKGLL ANQVQLTQEQIQGIHQSVLQKMINVISEIKIEIVQFEFQLCKRNPSCLIRNTQILDNFIL SNIKVLQERLQSNNQNRIVPVNQLQSAYNQLILSNQQINSLLNRHCSMLKKYINQNEDSK PAIQGMLRLILYATCDKVKKWVLDVAMNKSIQLRQSIIEIWMDNFKYVDDESANQIQMTI GFHVIYPILFYSNQRNDLAQISQFKLFQRIKEINEELVKQYSKILSDIEHHAPMNFTYKL LSGLSTKLSDVNHYYKSSVIDMLQISSYLICFQEQQLPQDLKMSYLSFGYDMINKSDKLV AFYANLYFSKYLKKNQLIQNDPISVNKRIVRIYTRAIKQLDESGQSEQELYSLCKKIISV VLPWLDEVDVHDQKDWIQATQQLLKQEQPNLDSETALKVSRFWSMFVKNHKIFYKHHQAF TIQIINYMQQIGFYGPNQNAGFQIPNSYQNYRRIALDMAFLQVEWKTKLIKGEIQKKSQK FKESFYQCEPQHHDEALSSEIYQSFFIKQSLKAHNIEDSELSKRALYLLKKILIIAPLTN QKMNMEALKKNVSNLLNQAIESQQINQNQRTVQQQPIAQPYFRYFMIILHILMIIAEFQL PQRIPQLYQPYFDIIFNLYKLPDQLPQQMAPRPNPRMPPTQPRPMNPQQRLPFQSQPIDK FQLHYLALTLDYTPLIFSIYCIFRKFLKVAKEQQNEQSEWLQTNLSNWVNDAIQGHLQQK RNNQQGIPAGQRMGPQVIKLNILSIFLLKLLFNHDITSVRILMPALKKIVELLIEYLKSK DKGDQYLDNLRKYVTPKRQFNIREKDNLEFDAQKQYTNANLSQYIADFTEMADDFPFGIT KSDETLYFPEDFPENQKIKFHLHRSALQKTIKMMAYNFDEIKDQKEQLEFLQLLILIIES VPDYELKLECIAIMRLLFINGISNREGYLQAISTHLSPIRSQQVRSELERMEENQQFKPS KMPYEVQLNFFFDISKLQNWFDKKIDQRSSDSYDNNHGKVQDLKVLKVYFMFLCELLLVY PFKKQQGSINSGFYFLKSIFDKNGSSLREFRRILQVISKLSTCLDYQQFFYRMILDIYGY TYDRFLQFLLGDQTTKQQDQPLQTEGEEIMLMATKFLILGRGQLQQPTQFQLQQPHKITV RLVAESEDSNMREQVLNKYLAIYYRKKQWPKLYNSSLKLVTSEYLPSIVIYNLSYIPLQD QPQQPLRECIIPSAAIELMGTAANVSSRLLRPIFNQVIRNDLKTITQLTEYLQQQSLSYG TMTTISNYLVQDILNQLKNIHQILDHQQIHHNFNNLITCLRQKNLLPLCQHLLEQIFQYL SEDCEYYSLLNEDILVQLQGVYQDQWNKQSLLGCKCLSATQPWVRQLVLMNQLREPIQQY QLILNNQEQVDQFWLQLEQETHEAQGIQKEYDQQIIHQLFSESLQQLNQWNTLKDVELST VQTVQNKIIMGFRERQKELTDSGWKELNGLSEVKQNILQNDLFCYNQAYFKLLDFVRDDS QQNQHGRGGSPVLQSIEQNLKYAQIINCYNFIKTLPKLTQSYDQMMSSPQLWGYKFLNFV SQFQLYTELEESLRQFAINIPGYPNQQQAAPQDMILDQILNGYQSSLFCKKYLFERQPTN VDQQEFTFDLISQRLIQLQMCLHRFNGQLNHYINGQPQTAQVAQLDLKSFQLSIFDYKTI LYPLRYYQKNGIFELFDEQTILKEVPKHLQKYAEKVYQLEKIKFKTMKIQDVDQNCQQLT ELLTYFDCLQVTTYNSEEFKGYMISKIRRYKMINQSYKLLRLYQQQSDNASNMATEEPKL EQMVSQSNEYFIQNQISYLKFWREVQKIYQLAHKKYSNNIKFASNYIQVTSIGIQYKPQK FPINGMYMFHILNITNPTDAIVIDAFSQKIGLIPLHLLQRFLIQLITTFFKSPSEQVRKD CLEYIDKLCKYNPLTNFQVIQYFKSLCLLTDDRSQQFVDHFQYSSKLSNQFANIYSIQYL FNNRYDSSIWDILEELIYLCGKVLDQKYYELNSVNVNEKCLQIVNLIQKYHQIKEHLKQF FPVNDLQKWIKNDQLKAISSIYVASLKLIYGKLFGFQINQSEDFSQQLSSALNTLVPSQI QISWLYALNDEFSMNQTLMPGVERQIRRNQTLDNVHISSMFPTVNIMFLRRKRLIRQIAF LGNDEKKYFFLLKSKKVENKMFIQNILDEQATAQFLKITNLLNQNYKETKIRNIKHGYID KYLLESEKQLYQIEPYQEETYNLSNISDLIVSLNYHKSDIKDSTVRIPFYMERSEKYIQK QDLSNYILKFAKTFDTFIVLRKTLVTNIGVQYALSFTIASSQSPKQLENLHINLSNGNFF QKKYLFKVNNQKLVLYDPFAIRYSRSFEHLIGEVNLYGYLIPAFTATIIGIVNPDLAEYL NVLFWQLGCKDSVEPFIKKLKDIVYENGMIDSKCDRLIAISKSLFGGQEKLKQWVKRWF >CAK62837 pep:novel supercontig:GCA_000165425.1:CT868021:188667:189371:1 gene:GSPATT00032689001 transcript:CAK62837 MADFWQTTADMFSTLITKPMMKEQYLIKPPFNYIFDIILETYKKTGYAKGLYKIEEWDDN YYYIKERRIFFLQKIIDLTSQLQMKNYKPNLTIYWKAWNPNKQIYYCKQFTEQPLVVNVG KFQYFQILAGIASCSAVQKKEKVKGKKQLIEQQNIDSLQQTQTKLNSIVDQQLAQIQELE DQLEKNADPSFDQKQYSEQLFKKQLEQLKNSETNKCGVF >CAK62838 pep:novel supercontig:GCA_000165425.1:CT868021:189515:190708:1 gene:GSPATT00032690001 transcript:CAK62838 MLLQYQRQYKFASPLYSQSLILKKIISLSVHWIQMINFNYLFLFQISMSKQSNQQREIKI RVDSLPSIEAVSSTKSKNQLKRIFKHSELPIAPFQSPSDSTRQHRKLKIDSSDQAIESKL IVSTARKSPQLSKYQSPQFFSRKMQFRNNSLINLNMEVVNQIKETPFKDTTLFQIITKIT QTMNQLHEYKSEKAILSAADKLIKSHIRFQEIEWIFKNFKLRDWQSVDTIFLRILQNFTI NNENKLIQKKNKPDQFFLQVLYDFQDKIEDLFKKLCDDSYRQLEQTILNQKQKLLNKEVE VMQQFSKLGKKESYNQFKLILNDSYKMNTRVMESSIMKQLTEFEDRFGMFKQIENSNRFI SNRINDLVYDIKTVNIF >CAK62839 pep:novel supercontig:GCA_000165425.1:CT868021:191057:192514:1 gene:GSPATT00032691001 transcript:CAK62839 MADFWKPTADLYSALFEKPKMSQKLLEKPPFKYIFDIIMETTKQTGYAKGLYTGDELDGN TYDNKDKKLSFLQKIIDLTSMMLKEEIAAKPGKIAAGLEPENTNLLLQAIYRAAVSGKNS DPFVKKILGGPGAKEPEPPKKEQPKPEQKPQQPPPKEQAPPQVKEEKKVAPPKEEQKPKQ QAQQPPPQQPKETQKQQQQPPPQQQQTQEGNVRPSTAKKRPPQLPTNQVAVEQNQKKGGT ANVIIEGKGNNDDDDGVIVQSNVKPNADINAQEYGKFVRDNMKNQDKAKEEEKEKDQPQE GIKMKRIGKVNVQKDKIKEEIAQNLGTSQVSDTIVMQKLIQSMSQNVNPLAKQIEFIQDD IENMNRELQQWRKIYNVSKQKMVDMNRATEEAQQPLFDKIAEVEEVIKEKKSKIQNIKAQ IIKNKLQIDQLLKAVLVQK >CAK62840 pep:novel supercontig:GCA_000165425.1:CT868021:192558:195270:-1 gene:GSPATT00032692001 transcript:CAK62840 MRHQRVESLGPMEEVRKKHTKSEIVLSSMFRLPKVQVPQSNHISLITIGSKNALSVNPNW SHLNYQNDNSKTNNTFAEIQEILQQQITLQYPNILPQLKKFIQQSQMGITLTELSKNSQL IDSSVNLFTQSIQIMLSIIKEKEPKKIEINPQAETEIQRKFSLALIKESNNRADRETQII NNELKLINHKLQIELNQLATKLRNLEISMDVDLLKEKIRDLTEELKQRTLELKIEIQNRD QQLIKHLQKIAQLKSIIQQHEEKIEELQNYINSNQERFTQMETTFMSVYNDRYYWKDIAK MLEEDLLSQQMRYQKFLADEAKSKKKLQMLQRELDQIKIEKSKRVEIPEFNKEAEENLQK LLYLFTKEKFHMVQMKAFIQDKMSKLNEQEQQQFQFMKNLKLDHIYMDRLNKYTYPRQSF LVFYESQIKLIESEYKNINRHKISLNYFAIIRAILDAKFNEFQYLENLQMATKFADFVFS WISTFSLDKNTKQIIQVGQDQLDNVRLDFLMDTLNPKLGQIHEVITFNQFLFEQSSLDEV YYYLHCRYMLFKGQVKNLSRSNFETIMYVRQEQAEQLIQEIMHKYSTEQINYTKNVIKEM VVTKNKIKLVDGHYVLRILLELYRQERQTRLAVLKEMFHSCLSLQSFGKYGVNYKNFRKI FQFNFPTSSEIEICSIFREAHMCGDGIVTAESFFTAATEQNFFIKQLQLNYLMHPPQVEF YKLSYCEFNLPYLDFYNHYNQIPKKLIQNVCLSMGLEYVNAELNKYYQIIDALFAHPYNI YSLLQMMTKLMNLFNKIQMIKNLQKVQEDWTSIELEAIRQLVNQIPGVFGLVLDLDQEER LNLIQKNQKIRALQLVGKRKALKFYSFISAMLNAKVKQEKQPDASPKRGVRKSGKF >CAK62841 pep:novel supercontig:GCA_000165425.1:CT868021:195308:196365:-1 gene:GSPATT00032693001 transcript:CAK62841 MQANTKVPVDIHKTLEDNFKAIKEQGVNEICNFMLSSLRDQDLPQHIRLQYLYNVHQINQ KMSLTELCNNQLELNIVNNIKYYGQDLDQLLNQMDTSLTASCEYLRPIPQILTPQWEDIS PQFTIQPIWDYNINPFLFRFFLTQAQSSKLPPQDQQMILTLFKPEYKIDNDQFNNLVEHN PQLVSDIITKLHQSGVNVHEYLNYLINIKVTIQTLELVNQLTKNITLPDEFLNMFITRCI ETCEEVKQNQQQLTRQVRLLSVFIKTLIKQKTFNPKRIYVELQGFCLEFSSIQEATQLFK AVKNAVQEQ >CAK62842 pep:novel supercontig:GCA_000165425.1:CT868021:196410:197767:1 gene:GSPATT00032694001 transcript:CAK62842 MIKNKGHWVYGGALMLALLGAFKYTMKSKQQKPTGQVEYSLLQSSATLDYNKKPAGIRNI GNTCFLNSVLQVRQITQLVALSSQPNFIEYLFELVQHINGDTTLDQQICLELAKIMKYLN SDMEVIDANDLIELLSEDADYSFFYQQQDSHELFNMLMKHIEEANNQVNSFDLAMLRSKQ MKFKNPFLHHTKVQIKCNTCNYSVSIFQQMQFNSLKVESNYAFHFQLNHARTVKQAIDYI QQPETITDYICLYCSLQFLRKKYTLNDQQKIIDQYLSINAYDEDSQTLIKKIIEQLNAVQ DNPFQMDPLKACLKRTVTRQTHIAKYPKTFCFFVNRLIVHPYYGLVKLDHPVYLDSTFMV ADKEYSLSALVCHLGDSNSGHYVTFKRTFALDLESSMSRDKSYKKCEWYITSDIKVTPTK QPQQGEAYLIFYDTY >CAK62843 pep:novel supercontig:GCA_000165425.1:CT868021:198016:202562:-1 gene:GSPATT00032695001 transcript:CAK62843 MEKMEMVSSHLPLVKDRGEWNQQTFIIRPKFEKKERQSIDNQLEIQFPLHRDFDFVANHA MGFKGKGNVDLFKFYYRLYLKNKFAIQKIRKEKKVKDGLKKNENTKKQQLNQTEEPNTEE IRNAYKTIEIKPPSQQKQLKFVRECIKNLQQQAAIVNKHIELPKLVSPQTQVKIVNINTT KIPQAKTRDQQLELEELMLSRVVQAIQESQVVSHEDVRKVREIIVQRHSQLSEIERELQL NQILGIKKQIYEREMAMKNELNRPPGIDNPDNFNELSSIQEVSIIRSMQFRSQPNVSVTE YKFNNYEDESEYMTNKTKVGLKQKQVEETMIQEQSTEMQSILQQVRSTSLVTKGNETEHS KEKILDKNKLLPTLKFLEQAKQQIAQRSDNDSQQLQQPYFQPEIQDSSKSKVQQSLSMVS QLQNKTSKTIKSSANRSNKLASSSSNHHSNQVSLEKKQSETMRRTAQKQNEEQKAKPSDK ELDVSDDMKFERQNYYEMKFSQILQRVMDQKKIQGGEESQHSQTLSQVESETVQKITQNL VTNIINMHKNPTPIMDQPSTFNFLDVDSDDQEKATQSISVMKSEEVPEEARRHLSYNRDI SHLDHAFKIESQVSLSDKRLNEEQIANIIQQISQQLQQAQIPPEQIEKQLDEVTNILLKH PINKLSSKLLKKSTTIMQNEPNKKIYLEETKFPSKQPKVTHKQEQIDYLKFYEENAPSKQ EKSKKSYKIQDTSKRSKQSAMLKSMSEDERKQLLDSHKMNLQERANSQEMDEELQFLQSV IDDQKKQREQIFTKKQSQPIQSGKNTSREQIDFDESSEKQQNTFRSHNPPTNINYETDQI KQGSTDINFNLRRPQIKQKTDQTFGKSENTQQIQISSQQGTQRSDPYRSKTKRTNHDEQY QPDGSLKSNGTYKSNRFGNRESSDKDHEKLEVYSPNISVEEVPHQDEQDSLTDKEEELFL EEIQQQIDENGNTVNVVTKRTMKAVSERSKKSDPKQSERSKKSKQSDQQQQQQQHQLQAN RQNPREKTQQSQYKEQEQHQDQQQNQEQHLVQQHKQITNQQQQQNYQESANNNNNEDRQI KLNFQRQDSNQNIAEHSQNQQIYSQQLRNRTNLQDIQLKNYSPSQNQSLVNSSGTPQQNN TPLQQPGRKQGSKKTKFEDQSSNNNNGNTPQAKLQRVKTKLSRFQEAANNNDDEDYDYDW DEEDPSNKKKKNTSKSSKSTLRNDIQSPSVSPMAKQATIAKKKVYDLKQEYELIARQNLS LADLKKDIEKKITQLQNPDDEAKYNIYLYEQRQKQLKNIPQTIEGQINEKRENEDGCGDT IRKFHENRMRYKDVIEKDQALKFTNQIQLDDEQQTQINTQSHLDEYMREVQMNIQRMIQQ VQLEQMRDHPEVRKECFEYDTSIIQQRKMESLASEIVQTQAQMQIYEEPTQETQRKTERL EQDSVMTNQIDKKFDILAQKLRALKYKNPERFNQIVNTEIVKSDLFLSTTKHKYILE >CAK62844 pep:novel supercontig:GCA_000165425.1:CT868021:202637:203852:1 gene:GSPATT00032696001 transcript:CAK62844 MALIHNQNDLQNMFSKAGKITSEKYIVFQHRDDHPVKKFSTPQPSKSPDLNNKNALSQQE SLNEAEQNHLKHLLRMSQPFTKQISRKVGHYTQIGNNCKASCGQYHIKYSELDKAVHSVP HYDKTLKHTIPVEVPHKHQQDLPQEQVPLLLPQYSLHCRETQIQIREFCRSAKTNTKVCS SLQNRADIFSGRPNPHPDRFQFLNVTNQWSKIPRQPQIKLEKQLSRDQMLIYKKKEFAPD YKPNFEFGKKQLGSCGAPFYKLEQRKDILTKIPPYNFESYFEYDQYSKQPKSQLFTSPTA PNFKTMLDRECDQKSLLPSFMQKFTNTRMGITHLNQKMLEVNNFKDGRFLTVTSSFMPTK QKQSKQTQNQSSQNQSFDEI >CAK62845 pep:novel supercontig:GCA_000165425.1:CT868021:204310:205655:-1 gene:GSPATT00032697001 transcript:CAK62845 MDTPIGKDLTNSVIGKVYKLIKRIGSGAFGEIYQVAKGKEEYAMKLERSDNKHPQLFFEA KLYSYLQGSDHRIPRIYAQGTDGDYNYIVIDLLGQSLEDLFNKHNKRLSLKTVLMLGDQM IQRIEFIHLNKFLHRDIKPDNFLIGLGQKAVRIYLLDFGLAKRYQTKEGHIPYREGKSLT GTARYASINTHLGIEQSRRDDLESLGYVLMYLLRGQLPWQNMKGNNQKEKYQRIMEKKLE TSSDVLCKGFPIELSQYLNYCKHLKFEEKPDYLYLRGLFKDAFKKIGFELDQKYDWIKDD NMIRTQQDLMSAEKQQLQKQLIMTQPLQQQPPILPKAALNGIDSEKKISNTNNQQLSTQQ LTAQRKTLQQQQMNKMSSVEKKRTTSQNRQHIPSKEIVKPVAQVLAPKIVTTKEPHRKY >CAK62846 pep:novel supercontig:GCA_000165425.1:CT868021:205696:207442:-1 gene:GSPATT00032698001 transcript:CAK62846 MQFNEDTKKFLTPEMMKQMSQMCAGMSDDQLRQYAQMAGMGNVDPSFVRQQMGQVKNMRD EDLERQVNQTRPEDIERAKQRIQGQQPQPQQQQQKPQSDQFPIINKLKNQGNDAFKLQDY EKAASKYYEAISEIEELWENNAYLKSDTIKKQELKLLEHSCRLNYCNVKAKQSQYDVVLR QAKKVLEDDDQNGKANFRMGQALFETKRYHEALKYLEIASQKLSNDETVQIMYKQAKEQI SNLNANPAAQQEEKGNQNEQPQQTQKQQKENQDIQEQQQKKPKIKLSSVDTNSEEFQNLK QKVQTSKEDGLIVEEEVQTTKSTSNKPSTQQTESQNVNKIPEDPQFQQQFDQFKNMSPEQ LNYMTNTLKSMDKGFLKQMMKQQSGVEMSDQQIEMMQTMMTPEMLSQMKNIDPAVLKQQK LQQQQQPQFSQSSQQQQPIQSTQQSNYQNVPQAQSATQQMPQNLQGLAQNPQMLGMVIDQ LKANPQMLKMMAPAFGGNNAITQYIDSASPEQLSKVVGRLAIFLKFLLMLYRAWLMVKNQ WKFILGFLLAYLYFKLF >CAK62847 pep:novel supercontig:GCA_000165425.1:CT868021:207600:209316:1 gene:GSPATT00032699001 transcript:CAK62847 MNKSVLLEMQLKEALDRETNLKKLNESLMQAMRDMANQDKGKEIHLLNQLHQQELSNLKT TLQEKIIIIEYEVTQKYYFKHRKKSQEFIQLQNDYNQLQLDYQELEAKKCLKCLELQQQL DQIIKQNEIEKEGLAREFTEALTNQKSIFEYVYQFLTIYKDLNCLKLKLKELTYQLDCEC KEKERMEKAVEELNKTHEQAQRSLMQQIADLNKAHNETIYQNNQYQMNTQQREQLQASKL TQNEQEKIILEKQLLEYKEINYKLDSQLKLVSQQLHDKETQLVEQKTELTKKLHNEKKIA EQCQVVALKLKNDFQRKQALLFDESLKKEQQLKTIQTQLTRQKSKNKFYENALCQVNLQE IKNLTPKQIKHKKNNLSNDYNTSRQPGHQKNTLSQGHMAIIKLLPTSIQKSEADSIHFNL TDMLNSTDQQSLSCRQSKDDITYNIEQFNYAAKITQTNEDSVTPTQILTDRQLSPRTRIQ TVNPKGPIKKVRQIQADLYKENVSVKPKV >CAK62848 pep:novel supercontig:GCA_000165425.1:CT868021:209692:210063:-1 gene:GSPATT00032700001 transcript:CAK62848 MDCCFIICPCCQIQVGFLNCCTCHKQYEWLQCNGLPVACPICVNVSVYGYFKQIKLDTYY QSDEDVALHRNCYKNYKRMYYHCQCCDGYFCTCVQDISTKTISEKQLKKKIVLHPCPLFK RKV >CAK62849 pep:novel supercontig:GCA_000165425.1:CT868021:210196:211176:-1 gene:GSPATT00032701001 transcript:CAK62849 MIIECIAFFIRITQKVFEFLGLTPLIVFLVKKSMWYGFFTFLALATILRAYFSYIPQMNQ RVQIQYHLINGKYYGFVFDRSFKNICQVSNSDIILEFQSSTLKFQKNFLCESVIFEGDNE IEFQDEYYEIQFNIDANYLMPNQKTIVELTSYLITDDNNKNFKQQNLIKLGEQYHLSIFK FLPFRIIIKVFKKMSEVLDLHDSYAKTGDIKLFNHIPNQNIKIKAIILEMIAYDRIVQFR NQFCTTSVWYKILPLLLFLFNSDFRNVHTHIDFHNILGSNFRSITNRLSLISILIALRE >CAK62850 pep:novel supercontig:GCA_000165425.1:CT868021:211268:211966:-1 gene:GSPATT00032702001 transcript:CAK62850 MERNKQFNYLQQQKPPPQVDVFHRHHKTPVSFLNRTIDPSRHNRNQRIREAMTSNIKLSN YTVLTRSPKKQSKPTSKEVHSENEQFFSQLLDRRSKLNSQEKSNSFYLLCETNAKEKVLN QVHLQLNSFPQQSSLDLKASAQRKISSIDLNCKQLQINIESFNQQIIQSESATPKKQLKK QRFIQKINQTFYQQLFPTCKIRNRGFAAVRNQLFNESRALNCLNITISNYKV >CAK62851 pep:novel supercontig:GCA_000165425.1:CT868021:212020:212520:-1 gene:GSPATT00032703001 transcript:CAK62851 MDHSNICSADLDQLHMVIQQKIFGKEDALKSVTMKFIEKYKKTNILEQDKKQYVDIFRLL DRFSSRLQELMEKCKSQDQIEQIQSIQRKLATMSFIRFLEVLHSTIDQKANEIVNQQNKQ SQGWQINNKQNKNLFQFYDDQFWKLYGLLSLNQYQNIDIKEITQTT >CAK62852 pep:novel supercontig:GCA_000165425.1:CT868021:213728:214740:-1 gene:GSPATT00032704001 transcript:CAK62852 MSKSRSQSSVPAFLQKTYDILENPQLQDIVGWNEDGSGFLVKNVIAFQDQVLPMYFKHRN FASFVRQVNIKSQIQQMNMYGFHKSRSDLKENEFIHPHFRKDQRNLLKKIKRKAGEHNDE QFAIMELKPHRNTNLQDKQIQEIIAKQQELEKVCKILIDQNNKILQCNQQLRNQLVQERF NGNKKIQKLKDYFIGQQQIQTLEDDPLQKRQSASLYQALESDNEDLIVVNKKKVKEDDSD STIERMDNQYSNPPLMLTNAEHQDIQDLNDDGIIQLLRDQQLDDLYFD >CAK62853 pep:novel supercontig:GCA_000165425.1:CT868021:215154:216103:-1 gene:GSPATT00032705001 transcript:CAK62853 MQDSQNLKDLQIQLNRFISRLEELERENKYLKEQVLNQQCQLMQNVKIIDAKYQKIHRKQ NKQIIQRYLKCLYKYGMSQSCLTNMITQYNKLIDKFGIDDSIKMDLKGCTQKYGVIYEKN KIIDEEEMNNIINERISKESEEIYTLFFPTKAFQYLTDNDSLQNTSTFQSLQSQRYRMQN SLQNNSEMITDNSDDTIINNLTTQRYLEKLQFDYQNDQTLHYPKTFKVEKLLQQTNRDLS LQNITDRSQKSKFEKRGDNKQEQIKISPLKSLNQSGIEDRVKRFDNQENEGGYPRRRSRA DRIKQQQ >CAK62854 pep:novel supercontig:GCA_000165425.1:CT868021:216142:217608:-1 gene:GSPATT00032706001 transcript:CAK62854 MIHKEFPVKETLKSSLPNLKPKFSSIHRTQNDEETNENIENSEHHLELFRSLTTKNVINV IRVQTVNDRWIGIQLKDPYVTCGWLLSEVIRKFSQLDLNYDPFNIVGFKTNNIHLDYQLS CLHLLLPNLNGVLLIPQLRKGLKEPINIDWFEIIKKLGAGSFSVVYLVRNKENGQFYAMK VTDKQLMAENEKEDLVIKERQILTQLNHKRIISLHAAFQSKSKLYFIFDYCPGGELYYHL RNQKRFSEDQAKWIFVQILDAIQYLHSKNIIYRDLKPENILIDLDGYPKLIDFGLSKIVE SQEDLNFSFCGSLEYMAPEMISLKGHNYTIDYYQLGILLYEMVAGIPPFYAKTRQEMIKN ILSKQVSFPKFFSKNLVDLISNLCNKNVDERLCGKKILQHPWLQGFIRKMPIKYKIDSFH IDKLFMNQMTQDIENGPRCIVEEINLQTMAQSIKEEDWCAFEKFQTFYYKKQ >CAK62855 pep:novel supercontig:GCA_000165425.1:CT868021:217776:218461:1 gene:GSPATT00032707001 transcript:CAK62855 MSINNRGLSKEFQERIINDLGIVECIDYHDGTCLYASLLRLLQLIPKSYKYYIPIHLIPF LIFKRKRVMQRPVKTISVAFYNYAKSVLFVSLYVAICKYVLCKLKNIRQKVDGWSPALAA SAACSALFLESEGRRQEIALFIFPKSLETAWRLLKKRGYVSNIKGWELFLFGLAMGIINY FYHYDEAAIKSTYLTIFRNFWGVD >CAK62856 pep:novel supercontig:GCA_000165425.1:CT868021:218528:220285:-1 gene:GSPATT00032708001 transcript:CAK62856 MNNNDLFILHFNDVYDIQEQVKDPVAGASRFVHVLNQFQQHFNTLTLFSGDIFAPSALSN IYRGDQMLFPIQKFKIDVACPGNHDFDYTLEHVESLFQRSNIPWVLSNVFNAETNQNFAH TLPHFTKQCTIGNQPIKIGFVGLAEEEWLGQIVEIPQNLIAYEDYLHCAERTVKYLREEE KCGFIIALTHMRVPNDHNLIHHLVGQKIDLVLGGHDHMIYCEKIKQSLFMKSGTNFKNLG IIRISLNEITNKDLINNFIYDSFSNDKQILELQHDLTLSQDFHVQVSIINIINSIPQNQE MRLYVDSKIEEYNNCICYQYYQIEKAKIVCYANCDLECTFHEVRNKETNLANLYADILRY ELDAEISFFNSGTLRADDVIHKGIITYKELDKIFPMADQIVKINIKGSDLLKILENGVGK WPNFDGRFLGVSGISFAFNPTQPQGSRIVNVKINGQDLEMDRVYKAASQCYITMGFDGFI KIQDDQYIIDKNAEIQILSTVLRVLDICRFHEELQLLNIQENEIKTLEQLDLQNHQIHHY NKIIKGLTKIGGEVCSVIDPQIEGRIIKI >CAK62857 pep:novel supercontig:GCA_000165425.1:CT868021:220538:221274:-1 gene:GSPATT00032709001 transcript:CAK62857 MTNKFVSHSILTSKDGLEFQEVKRDQPIQVQEKKLSFLTLSEQMVEQEKIDQLLRPEVTK MQRKKQTKPNIYEKTKPLTDEDNLEYVEESGFKQEIFNEEDFDFFQYYGQLREIENRKIK IEREHIKQFKQRRSELTIDSTPQIVVQKETQTSDKVYSVGSIKVVKLRIKPPQFLEDLNH KNNKEETQKVDKEENNSQIKNPNLKSFKPLVSDDDDNIPEPPVQKKTKLIEYDSA >CAK62858 pep:novel supercontig:GCA_000165425.1:CT868021:221356:222216:1 gene:GSPATT00032710001 transcript:CAK62858 MTRLVALCTILLLLNSTQAYFIDKAHRQQAQELINKFVFGFTQQFDALSAEGLDHIGNMK LAFDYWITKFKIHGFDKMSINFDVDHDILEITLPTVNLDIIVEDSYTIPVKIENLTASII FDIDPTRFRCQGVEFDYDNVSLDVNLVVQYFSKMLLSLDMVKNAIKTAELPIRNVIVQTI RSNHERLYRLLSDLRAGHFDADALLAKLPEVHHTRIDHREYLINVRTIFGYESQREKEKK VDL >CAK62859 pep:novel supercontig:GCA_000165425.1:CT868021:222263:223940:1 gene:GSPATT00032711001 transcript:CAK62859 MSIDYSYLAPPLGAHSVQHTDYYIKHHEREKGARKIYDCVPYDSFEQEKIKELKLEIQKL NMQLPSDWKESDYLKIGYSGRFKMKEVIKKLQLHLSWRANPIYHQINPATEKFLKDGICY IFGRDKQYRPIVILNAHLIDLKKYDKETIIQALSFQMGIIKKHMFIPGKVENWIFLLESN GLGVFGLPTKALQVVIDTMSTNFGGCLEKMFILNPSSGLNFLWKTISSFLDPETAEKINF LQKKEFMKLQQIIDPNQLEQKYGGTQPNQTTFWPPYNLDLIDGRTVPKQKQQIDQFIPIK NEEKQIQRNEDPYDNVENNVNDFFETQKQLYNFEQKKSAEFGQAPLQNYQEEEQPQKQEL NDQDQQKENDQHHQPLIQEGQQQQEIEQNQNHQNLQQNSNQHVVEESKEREDQDQDQKKL QNEGIISKQEPEQEVQKPPEQQDNQQKQQQNQEQTQKVESDVNNNKNQHEEELQIVSNAS ATKIIQPEEVQGQNIEINQVDPVTNTACCKSCEIF >CAK62860 pep:novel supercontig:GCA_000165425.1:CT868021:224940:227273:1 gene:GSPATT00032712001 transcript:CAK62860 MNSKQQHMTVCPALLKGDDQPDDFPLKAQNSNVPKMVIQKKIMAQPNNEILYERLNQEAE IFKQNNQMLKDYYEQEELRPCSFAPQTLNDGQEKRSLYQFLFDQQNHVLKIEQKLEQIKQ NDMDRDLQHPYHPKTNDFNFQSRDESIPTYERLYNLNQKKPSEPKMQQSESTVDFKPVIQ QKSSNIVRNQKVEDILYQDAQRRQQKQKETQDKQNAPKVVTVNVKYTSNNSEKIVAQKFI REFETVIDWIFDQTGQERPSNVSFSIDYLKLGEILQRLDFLNQLQAKENNEKAVEYENLR LSEERALLCEIWTVLRGDELGGISKRNLCLFLLTLIGITDFKIKELPSAQNEELPNYQKS IQPQQHKIVTAHQPNDRPKLGTIDKDGNIIFTFEETKKIQKQFDILYRNRLGCEELKKSN WKDENPYKPQILPQSKQLANQFREKLLEETANLIDNQLIKVNIPENGQITHADLLVLQKK AVEYHKEQKKQEILQQQLDKCPFKPQLLNNQNNEERKSSSKKQEKYLQLYSLAKPATQKR DRTTEEIEYEKQLEECTFQPGLQSKNSQQQKQDNHYVNKDVDKTVQRMKQARQRREEVQG MLERGYKSNKGPQNQQQQVQSISQKKDSRSESQNQLKQTSQSSRQKSMQSQDSQQMNTFQ SQNFHMDETQPKEEQSIVRTGSQQDERIPLLFVDVNLGPSKTERIVVYEGDQSCDLAARF AHEHNLDEFMQEKLKELLDYQISGLLTKIDEEEGALSENDQ >CAK62861 pep:novel supercontig:GCA_000165425.1:CT868021:227294:228168:-1 gene:GSPATT00032713001 transcript:CAK62861 MDFNDLIFPSPKPSYDYTLSGLYYIEEEIQYHRSRSLIQQNELKTYDGTIKVTLNQSEST RQMSQNRQTKRIVTLLQLEENLRNGIIVYFHANAEDIGMCKSLAYLLAAELEMASICMEY PGYGIYHGQSSSDTIIKNAYQLIEHLINNLKVHESKIIIMGRSIGTSIAVEMSIRYKRIR ALVLLSPFTSLCDVIKENSFNWVSKLVKERFRNLEKMHKVHCPTLFIHGINDKLISYQHS IQLMSKCSGFAHLQLFEGMTHNQFLIDLHIISPIRQFLMKIQS >CAK62862 pep:novel supercontig:GCA_000165425.1:CT868021:228691:228999:1 gene:GSPATT00032714001 transcript:CAK62862 MILAVNTTKNNKNNGSLIRSKKIKQTRIREGSRIYLCPIYIRDKQIQKNAPRQLCLKENR NWNCYQINESIIAKILFILQIIQGEKEQRGRRKTREISSKTS >CAK62863 pep:novel supercontig:GCA_000165425.1:CT868021:229016:230083:-1 gene:GSPATT00032715001 transcript:CAK62863 MQLQVKCNEQSWTFRRPLAELSIQKIVRRLPIRLTNLPNQFTLQYEDFDGDMIDVTCDAD LQTIRECQFDTKLVTLYVQQVQEGGFKKEITCNRREYRKEHIKQLVSQQVMELIPEITKQ VKQSIAVNDVQNKIETQSINSTALVDVKESKKVVHQGVMCDGCKIFPIEGIRYKCAVCID FDLCEKCEDLGNHQHAMLKIRKPEQTPSIIVTAIGDKPDLPQGNTLRAQIAEMVQKELDT SFPQSLEISSIQQEQQHLNTSTNPVVVELCELLRIRPEVAQTLIELFPSQSAQEIMEIVG DDLEYLNSLQRSTA >CAK62864 pep:novel supercontig:GCA_000165425.1:CT868021:230109:233827:-1 gene:GSPATT00032716001 transcript:CAK62864 MNFEDDFVKHKMQNMKGAIKKKDRHLVEESLRKEYCEQNNIQYIPKEQYKQGKANEKYTY QVKDKNEAHGQLRQDVQKQQDNQQENQQQSKQQRQQKQNDQREQKEQGDQRDKNQKSNNF QDGKNNKQQHQKGQGQQDKQQHTEKSQNEKQGNNNSQRHQSHNNNQPFVYPYIEGQQNND NREVNDNHNEKEKRNDKNKQQNRQNTNNNNNGNRNRNNNNNRNRNNNNSENYHNNQENQN QQKNNNNKSNTHQTEYIHYKQVRRDRRAEKHIRSVQSDQNKQTNKKRFNHYKNNNFDDDY NRLDEENSFDDDYYYKKQEKNKKQNYQRREYDEHGFDRKDNNDKRNKQNNRNQKGGRNNK KYQKNQDFEEYWTEKQIEKGKAENDIFEGIFIANEFTREKATIKCPIFKKKVHVNFFVDT NRAFHGALVAFKITKIQKTDKESEDDYEEEEITQQIPLKNKKNEQKKEIQQEISEKEENN DDWEDVDSQQEEEDDISEVSDLYVVKKKVPLTKLQQLQKAQLVGKVVGVIKNPIESRQMI GKLEFNKKTNSIDKEIKTKEELIKYIEQNYYVSFKCVNKRIPFFNVKNLLTFNYELDNQE PVQKFMDYVSQRYFSAKYISWAKNSRYPYVELIKEVGVQGNIDVECEAILTENSVYDNEF SEQCKEEMKAFTHNSIAKERENRMDLRKEYICSIDPVTARDLDDALSINDLGNGIYEIGV HIADVSHFVLPNSEVDKEAILRTTSVYLVHKVIPMLPRILCEELCSLNRDVERLAFSVFF KMTSEGEVLWDSFRAAKSVIKSCAQLSYDIVNQLIDGEIQQFSQGEARYKVAEGFDEIVL KDKVLLLNTIAQKRREKRLEGSLTFEKSKLRFLLNSDLFPTGYTEEKRGLAQFMVEEWML LANQFVGKKLIEYDTKTAVLRQHISPKSEKLDYYRKLLEACGLEEMAQNLDVSTSRNLKL TMNKVNEIESEEIKLILGFRLLKLMEAAQYFVVDETPETEWRHYALDFDVYTHFTSPIRR YPDILVHRRLQIALEQQEIDKTGETRDKLKAIMQHCNDCRLNARRVSDQCDQLFLALLLK ANPVEVDGYILSLNRQQIEVIIPKYNLERKVELKNLKNTYKFTVEEIGENKFELIISYND PEDEKGVRLYFIKQFQQVKIKLSGTDTFPIEYQIQIIINNKVLI >CAK62865 pep:novel supercontig:GCA_000165425.1:CT868021:234360:240205:-1 gene:GSPATT00032717001 transcript:CAK62865 MLKSLLLVLLLALVTLSKHIGNQIIELDEVNYQVIIKVIDSSGYALSGVIVNAKNLNTII GEKDQMTDGAGIVTFTGKITKQTSYQITAIASTTFNDITTSGKIDGVVDSKGTIVFNGKL QFTQSNVQVQDVFQRGLIILKTQSNSKPLPGVVVKFTAIYSEDKQTKEINFKATTDEKGE EYVRFLLPSQKPAFIILYTASKNEYWNSLQMNHNAQEFALSPVKKYELPITINLDPGQFK FILTALIQDQTNKPLTGAKLQIGGMNLGSNAELESLNAASGADGKISLTLPLKATDKIHV KEQITKDEYIGQSKEFDELFDLNVKKDQFTHDLGIIILQEASGDLQISGIITDSSKQVQF VQGATIVFTILDSIQPVSATTDAQGKFKAEIYVPANKDLQLKATVTAKGFMNKVAAPRQI NVSSKQPLQISFDVVLDRTIKNIKIKDKLIDPSGKPVQNAIVKIVESNPTMPDKTLYNKE IIDNADGSFEYPFSCYEDVKFQATFVFQVPQFVPVTYKTGDLECKDQDLLKYSMTLSKQT SILLIKGQVIDVDQKPMKGVALKFKSDPVLDVPNANLVTDANGNWQVEKLTVIPTTTYKF TIEYTNDVKQVVEVLQTFTPTMDKEQTFNFPIIGFQRYVKAKIAGKIAPVDGKGAIPLPL VITCDGKGKDGKPIVLETTTKEDGSYSVDVEVLVGADKPLSCVVTNGGAGSGSNAASPVQ PIKVETKVSAPTWISNTNIPVTYNTVDIVLKGTVSDKTKTITTVEGVDITLIITPQDVYI QNPGKHLLEQYILMKRHFQSTSQSILQTKSAKDGSYEFKFKAVQAYPLKFEIQAKKDPIF TPYEKKGIEEKCLKSETLTEDIEIDRVRMLTKLHSILSGTDKKPIINASVKMTSSDPIMK DSKYYNLPVKDDDKGSFFLDFECYKDFDYVVDLQIDVPQYVQQNIKSSKFKCSQALTELS PITLTLEKIQIKAILSGKVIDPSGNGVPNLAMSLTTDPESATVETKTLTDGTWTVTDSKI YPNTDYKAIITYLDINKQQQKIEQKFTIQSDNQKVQIPDINYQAIVNAKIQGKIDLEKGT LSSPVKINLTCPKFKDAKGVEINQDFSTDNNGNFDIPLQILAKHDDKIECTLKTKDSLQE TTQKVELVAPKFQVQGISIKAKLITTIFTISGKLIDNSKVEDKLPGLKITLTLKPSDPIS SQPIISKSINDGTYSLIVEVVRGITYEAAINIDAQPQFNVVNEKLQLLGKEDKQSISKDI TLIRSIVDCTISGQLVDMNQKPIPNSKIEILSSDPQMTNAQLYNKFAIAPKGDFQLPFQC YNSVSTTLKMDMESDVYPKTALSPQVFTCGEKDLKLKPIVVSTKSVQITVSGVLTDSSGV TKNVQNAQIEIILNPADPLASNLQTKSDLNGKYSLSFGAVQDQIYTAVIQITNSDFNPYK SSNIQIKADKVQTLTHDATMDRIILQATITSTITDPSGKAPPTSTLTVESLQPTVKGTEK TQITGKTDAAGKFSLQAPCYKNVDESFVLDVKADKYKDVKSDKIQFSCSGPAISIPPVKI KYELAPTNTKLTVGGEIIGPKGKGQSGVNVKLTSDPATKDFEAKTGSDGKWSILDDQLQF GAKYQLTESFNDAIGQNHKVTQPFQTNDEKSEMTFAKQYYDDFVPCEIDGKITTEGGIFP SNVLVSLQCDAFGENKVPIDLKTNTDSTGAYQFKWKMLMNANSNVDCLLISHETAKFQQT NSRFKINPNSDVMKITTQAQVTEQFKAQLTKYYQFTIINGAVIAEFDCNSKLEWKGLEGV NIRLKQWNGVDYVDLKIETKSDKDGLFVIKHQILKSQIQNEMRLEFTKQNYIPTTAEFNL YSFDPQSDGSYLVQLSNIRMVKIGLPDKCPSIKKNKHHQ >CAK62866 pep:novel supercontig:GCA_000165425.1:CT868021:240238:240980:1 gene:GSPATT00032718001 transcript:CAK62866 MSTSANKSKAFAKFKIVFIGNQAVGKTSIIARFVYEQIPEQHQPTIGIDFLSKCIQVDNK TVRLQLWDTAGQERFRSLIPSYIRDSHAAVLCYDVSNAQSFADIKAWLDYVREERGSDVV GVLIANKIDIKDRVVTTQEGEKLAQEQDLLYYEVSAKEGTNVQQTFKNLALKLLGPIQDV EQPTTEIEQSTNNNNNIQIKPQDKQTPQSDQQKCQC >CAK62867 pep:novel supercontig:GCA_000165425.1:CT868021:241073:242087:-1 gene:GSPATT00032719001 transcript:CAK62867 MKQKKHKAYRESQEGKVSDLIKKLESNLKVNEKINSMILKLDKLKQQLTTQPQPQQNLKE TIAQYEIAICNYEEERRIQNLTQEERQRILDNIRVVINSIEPLPAHNQNEKQIHKDLKNL NRIEEHFLILRTKSTDESFIVESSKIICQVVEKKNKIIMMIDKTEEDNQGVTNKLQQLKI QHDDSQAETPTMLPDEMQNFGLIKDEQSQRKSKQSLQFIGEIQQQSEKNLNQFETPTAGG DSELSDVKLTDNSIEGKQTAEQSTNENQFKQEYICEGEKCQICFAKKRRYVAIPCGHYIY CEVCKLLVEQKMKCLLCRQTVCQMFEVYA >CAK62868 pep:novel supercontig:GCA_000165425.1:CT868021:243965:253537:1 gene:GSPATT00032720001 transcript:CAK62868 MKTSDKLLVLISCIFILASLPQYQCCPYSEYEEALIPIESPIDFNYFDSFVTLDAGQTFG FGYWSLCVPQQTFKNIPQVEQEYIAANAEFGQLLFLVQGSSENSVIGFLRIDQNTLRVYN TIMILGSDFQVIQFEYQTMNYEGRWILSFITFEFSSGNIIIQMTDQVTQIGTMNVGSDFI SIFQGGSGNIMGLNLSIFKGRLSKVFVSKEEYVGQDLFQYMNDNCQVPLPMQEEQVTYFV KGLQIFEGDTSLQFTINQFGSKFCLSGWVKYDASKVVDLSKYPLIRISLFKNYFDRQSIG DEIFLLQVWFQKLLPRFTQVVVNVDNHQIPIMGLIDYNILAVVQDLLFDVWSEYYFAGLQ QWHFMKYEYGSKIPGRRSVFQIQFYNELGLQETSHDISVPTNSPYYVYIGSDEFINELLQ ASLFDFKFEYNYVDDKQLLFNACHYSCSICDGPLENNCISCEADSKRFYLNEQKKCQCLH GYIDIPNQKVCQSFEYQFSSVVQQEVLNLGVSQCQFGYFIYPNENGSNDCIKCPQSNYQD VLCVDCVYYPLTWYLKPICKFDLISDKVTGDDAFKYQKRDQLDQDFYLINQNKELSLYPG YLDFCELEQDPINCFQAKSQHLGQTIDVKCKPNYYQTNGDCTFSNVNCLQVSLNGSCLQV KDGMYLHNGYYYQCPQNCLTCSYNGGDNTSQCLSCIDQYTLDDGSCLPCGNFCGFCQKYY DVNINSYYLKCYRCLDDSKYYLTFDGISCQVNTIHHCDYAFQALQSNYQVNTLDLYFTPR DDWDNIITTCGRCENGYGIIINSQICLSMREVTCNFSYVDHICDVVIDDAIQEALYYAEY YTTPSGEQITMGFDDSYNIICTTIQYCLINQVQYDYPTADIIQFSQQCPQYIENCATCLK EKVQWWAIVHICLECKSGYYAERISGKCYSCPPELNCYNCAQQQRFSKDYWKIKIRAFYQ KFINYDNNHPFKLNSQSEDKNDYEVICTMCINGYQLVNQKCINACPDSCLECKYINGENQ CVRCELEQKGRRLSLSNNQCIACPQNCALCRVRSSDEISAINPLFNNNNYYTYTYQCLKS FEDQQYYYDQELGSFIQCTNSNNCQKQIKIPINLYCSQQDYTSALDSMLTSYQKTKFQQE NVFLEDLISGNSFKQFETDDFYLSANSMLIKTIIINIVSVKPQTCRIPGNAIVQQVFSTN IFSAINVELNIQLNYNTIIEYERSITFYNFNKITIKGGHFQPIYNNKLKSIIFQSKIPQT ILLDTIEYQQLNQENDQSRILFHDIKKLTLINFKVLDLMQTKNAQFIYIAETPFVKSIKL QSFQILNSILLNQITFFFNLNKNDIIEIEDLQVNAKFTNSTLIDTSLQIQSGSLVIQNFN LQIDIHDCNAFLNFYLLKEVTLEGIQLKNSLIQQSTLIILNNNNRIDNLVIKDCNFKEHS FGVVNSEMLQLSNLEIQLSNIVIEHNEYDQTTKLLSFNKYNYENSRIQIKTIMISKNYVH SISEDFTLNTYSSCFIYISFHDIQISEMDIIRGIGLIDISIVEASSLRITSSRITQNDDY KFLGLHQYLDCQLQQVMGEYYLQSLFVTSVVNFEIDDLQIKFAQSYNSPILYYKSSDKVK QQQFEIIRFSNLVVESNLLLLSNSKYQTAIIFVDSVQQTTIELSNITFFGNILHEYVQNN LQISSLLLNLNCILGAITIKNSNFLKNTVYNATDTIIYIKSQKIVFENCTFYQNSYFNYQ LIQPYLLWGFSKSEIVYSEEINQIFQVKSTSGVAQLLVESLEVLFCNFEQSVGFFGGAMQ IEAQKNSIISISQTTFKNISTPFSQDLGFGGAIYLDSTSAQSLQVFINEISVENILVKEQ GGFIYIQSDCPQVNIEFQHINIHNVFSKQGSILYAIFSSTSQIQQIVKINSLFVQNTEEG FKSYLNKYTQPSKTEEITILNNRALFYINSASNVVFQNIEIDNIFLESALQINNALVVSI QNFKISNSIISNVLIRLQPNQYISNTIQMNGLIISNISIALQLKSYNCQQQSDQDYTQFF KCLQNAQNNKAPLNLLQHYVYSDLSYGDCIYNQTLKQNQHANNLIIENIQSGILVFQELT ALSQFKLDNILFTQINCTFCQNGLIYLSFLKVEGLLMKQHISKLKVSNSSCGFNGCLFIS KENSNVRRLLTNQEMYLQSMNFEIYVENYICQFNRAQNGTCLFAENVKVLIENSVFQYNN ASGTGGAIVIKMNQDVLIKSSLIQHNQAQIAGGIYLVNQQDMDYFKLGTVFDNNSAEQFG NDRVSIPQKLTVTFNDENSLLSTIITTETQDLLVEQVQLQHSELLQTSYILLPSGQKISS YQEFSKEKRSYTDLDYKFRVIALSKDNSVMKNLKNSYCEIDSRSLNTSNLVDDEIFSNNF TSINQINFNDFTKDYNLDDLIIYFDNALPSEIVLQLQFRCNSIVIPIYNEQYPYNLINSH KNYKLRMNIKTLSCQYGEIKNSTDFSCIPCNNDQGLFSLNINSEKCELKDDISTISVQPA LLNLKFGFWRPYFQSNIVSYCLNLEDNCLGGWEEGDSSCFLGHIGALCEQCDLYNTRGDG EYSISQKYSCGSCLEKEKNAVIITFVSIWTLVSILVSVQSTLKAIEEFVRIISIMLLGLA VTQNTNQSAILIKMLTNYLQIISSIATFQLKLPNGLQSTINSVGSPIQTMTYSLDCFLSH VFTFEIQYARMVWQIIMPFLYITFFLFCYLLVVKFKNIPFNRSVITTTLIYMYIYLQPSL IGGFVQLISYREISGQKWVQSNVSQRFDTPYHVRWMIELCLPMLLLLAILIPIYFFYGLY SNSHQLDDKKVRLQWGYLYNEYTKTAYFWEVIKILQKELMIIFLTYYDDSVIIKATIISL IIGVYLELSLKYKPYNLNNLNKLDYYSTNVCLASIALAIGIYISEQSNSKEIQIPYAIVI SILNLHITYTLISKILVEYLREKSSNLDEKVDKVRNSIRNTLPWLNKIPFMRRILADRSD QRIRVAKLYFKLKQFLIPQAKEIIAFKNYQWQIALERNMEQNVDTIPLSLRSPAQAKERQ QFIRKSIRDNSIQQSRNTITAFVLEKMKQRRVDQMAEIFEVKRQKSSRVTPAQSEKYIKD NDED >CAK74248 pep:novel supercontig:GCA_000165425.1:CT868179:107:462:1 gene:GSPATT00038981001 transcript:CAK74248 MNLIFQSYQSLKISNIFNQIMYLPTFYKLGYEAWSAKGKRFLGIMPAVGCWAVWALYPNL YNTVYTDFIPPPKGVQRRVQEV >CAK74249 pep:novel supercontig:GCA_000165425.1:CT868179:558:2199:-1 gene:GSPATT00038982001 transcript:CAK74249 MNYQQAIQSCSPTKQKQECFQRRQEEIGSPVTISLQSEFEQLTLDTSPKLDPLTNQHSGI LDFIDEIDQEEKFKKHQSLSLQKDLIRRNNKNKSNEGQSSPHSNQSLNDQKFKYIKELWL QESPIQEINKQVLSQRVISINEEQYFKDLQSDNVIGMGVYHSNEASPQMYFEPDIETDIY ISSLIDSLWGNQIVSRKLQKLIESGTPEQQLLIVQKLERISPQIQKDVFGNYVVQKIFDS CGDVKLKTRMFNKLKTHFYDLSKNPFGCRVMQKLIEYSQGKEDIQNSILSQLVQNMRSLI YDSNGNYVIFKMLESYDKSKMEFLIPIVEESFHYMAQQIYGCKIIHKIIQQYPPNFIANL VKQSIANYSGLSQTEFGNYILQHILQFWIPSPEKTRLIQLVIQQFYQLSINKYASNTVER ALETLSKSELITVLNWLLFRNQTQQQFSYVATNFVQLANHQFANYVIKKFLILIRSINVA IHFKLLNKESVGVLSSENHFARQFQLKFKQANEFAVFWRNK >CAK74250 pep:novel supercontig:GCA_000165425.1:CT868179:2247:3078:-1 gene:GSPATT00038983001 transcript:CAK74250 MSQYGKAEYWEERYTRQVRDPEPFDWYQRFAGVKDLVQACFTPESKLLNVGAGNSRLSEE MFDEGYQNITNIDISHVVTKAMQEKYKDKGPNFKYLHMDARAMDFEEGAFDGAIDKGTLD AILVSLPIDFKCGESSSSNAQKVIQEVHRVLGPKGVFFIISYGLPEHRLQYLEKPEYDWN VVVKQVHKPTISTSIAITNEDKDAPNVHYIYICTKGQPKGAKQ >CAK74251 pep:novel supercontig:GCA_000165425.1:CT868179:3264:4555:1 gene:GSPATT00038984001 transcript:CAK74251 MDFIKSNPELKQKYDQFLFQQKKANTQTNHLKTKPLTDPEYLKLKDIQEYLDQEGGMMIE PTPHFVLKAFDQNGEKVFFNVTAHSVVDAPEEKQLIDYNNEVGIRVPMSVGSIKEDHDVK GDTCKVIDLVINPTVATNLTQDDNLKTFFCQLVQTYVDQKYKLKLQDKFISLKMKYKGKS VQFQRVKGKKPPKVQVIDEKPNQSPVQNNDDEDETEQIRKRQREEFEKQSISTQPPAFVT YEPEWELYLIYPNNEQEYDGDLDYNAIKQYRFQILTPLLITGKAIKLKVDEEQFQMVAGK FYKISLRFPSKINKSSVKALFLTEKRTLWISADVKKEHEEEGNQQNEEQQVNEIQNAQQQ SGSNYDLQSNLIFDIV >CAK74252 pep:novel supercontig:GCA_000165425.1:CT868179:4663:5320:-1 gene:GSPATT00038985001 transcript:CAK74252 MNRKQQAPVAQKPVGKQQQVSRKQQDRPGLTEDEIEEIKEAFNLFDTEGTGKVDPRELKA AMQSLGFDQKNPTIFNMIAELENEGNEIDFDQFLDAITSKLGNRESRDGINKIFDLFDDD GSNAINLNNLKRVSKELGETMTAEELAEMLERAASNGREISREDFYNIMVKRTF >CAK74253 pep:novel supercontig:GCA_000165425.1:CT868179:5535:7068:1 gene:GSPATT00038986001 transcript:CAK74253 MLLIAALLLIGTAKHYTVELKSRHTQEHLIEIVNLLQHSHAQLLEESHYQKIGKGNEGAL QFETVLLEKNIQKKNLKHQNHHNKKQEHHHKKSKHTNMVVNESLMDVIPLTAEEKGIFEE SGALAPVDIHIEQQMEHQNQKLLTTDRNQDGSYIADVPLTNIANTMFIGELQVGSAQGRN AFDVIFDTGSALTCVASEQCKDIGCQKSKRYNRADSQSFNEIGKSVEIVFGSGTLKGLIN RERIRVDGLDLKDALFIEVTQQIGDAFHEGEFDGIVGLGYPHMTGVPTLFDYMIQQHKLH SNVFTFHLNRATGNSGSQLIFGGSDDSQIKGEWVYHNVHEQFYWSIMAEQIKVGNKDTGI CTHQHKCKMVVDTGTTLLTGPTKDVRALLSMIRVEPKCQNYPTMPDITFVIDGHDYVLSP KDYILTITQKGVEAPYQHSSMDQIVGCAGTIFPLDLPPKQGPLWILGDVFITKYSAKFDR DKNRVGLALNKNLE >CAK74254 pep:novel supercontig:GCA_000165425.1:CT868179:7100:7981:-1 gene:GSPATT00038987001 transcript:CAK74254 MDIELQQLDKVKWNSQEDDLLLKLQKHFQNDFSQISSQMNKIFFYNKMTPSLCEYRWRYY IDSNINRSEFNQAEEHSMFQQMKRNDLYTNQFWFELSDQFQKRPPSVLKVCTMKFIIKYL IGLSESYVQNKAVKKRYMARIKQFNIDIMITFFRLGKEKQKRTGPPTLLGQGIDQCTQLL LYLEQMISTRGQMSKYDDQSEQASIKFHSMMECLIFIDTIFRIFHKISPYNQGEQAERDK METLLPSKKSTLSLHDDRGYEDQAFEQLNKEDVRLIYNSEALQTFQQYFSTNE >CAK74255 pep:novel supercontig:GCA_000165425.1:CT868179:8722:9045:1 gene:GSPATT00038988001 transcript:CAK74255 MMKLRIKINNSEIQVDFVYILSKKVMKFVKIMRSIQDEIIQFDFKQTLLIYNTHRLLFLK REETNQDISHGSKDIFGITNIHNCYTKILNNCTDVVKSKWIFKYKYK >CAK74256 pep:novel supercontig:GCA_000165425.1:CT868179:9136:10434:1 gene:GSPATT00038989001 transcript:CAK74256 MHINKLSKHQNQKSGSFVHLSPQAYEALQTLEQIKKNNILQQNSQIVRRVSSQYVQQPSQ KNQQRTSSQLQASPIQQRKELQTNSSLSFIRGALQSNRTNKMETEGSHKPNSQTERQISQ QQTLGKSDEPTPFFRDTLSSDRNKCNQTSLSQYLSRHQFQQQFKYINEELQAFKQQVEND VLIETVITKFESPKDNSKNSQLFVDQSQKENFSPTTKLMMKKQLILNQILSLDNQIKIME DEETKIALDPQKSKKKQNTTHSPSKQQIVESLYERAQKKQSNFQKLFEETQISKEQNVFK ECTFIPKINKQNISGNFMERLDDWIKRKNKKITQQQEQSQERIMRECTFSPLHKQLNKTN LSHTAVYQRNQEWQNRINIKKQKMKAQNSFIQMSAKKEQSTPKKIHKRSSSQQYQIDLAK ILQSTNSQNTTKR >CAK74562 pep:novel supercontig:GCA_000165425.1:CT868189:1320:6647:-1 gene:GSPATT00039016001 transcript:CAK74562 MQGYFTLFQQVGVVILDNLMIMVHVSIVYQIAQVVQIIIHVLHASQVIINRQQCVILVRL DVKPVVQLHVYALLYIYKKTAQFAILGITSQAQIVRPALLNVLLVHLILYVKLVRIAFYQ LDHFAQFVMFLARIVKLLLILVHHVILANIFLDHHVCFVHFLVLSVSITQLLALLAFTRI VQRQILNVFVMTGIILMDQINVNLVEHHVQSVXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXINWII >CAK74563 pep:novel supercontig:GCA_000165425.1:CT868189:8603:9859:-1 gene:GSPATT00039018001 transcript:CAK74563 MLLNYLWIFSVIFTFNTNFSFSLNFIELTSNSSFYMANNLDCYLSSMQNFELIYIRIIAM LILIAMQILIIWIGFAFYAKCKNWTFNKSIISNTLLYLYVSNYAALIKQLCSIVSKREIS TISYIQGDVSLIYGTDNHMFWIIILGIPGLGVIGVLIPFTLFVVMYMNREQLDKIKLRRH ICYLFNEYNQESYYWEQIKLSKKTIIIIILTFFESDVLLMASLLGLCLLFYQLLAVKQKP YIIQSLNFLDIQTGQICSISIFISAVKYVSEKGNVTALSIVLQIFIIILCIRLCYPFMMN IFRVYFKKYKLPFIEFVYKILRTIKADCFLSRYLNNQLRKLNNREYRRKTNFAKLRNHLI QISKLQIGHQKQMVSMMNSQSTIRYRQIVTITDAEMNKLASP >CAK71726 pep:novel supercontig:GCA_000165425.1:CT868101:11205:11831:1 gene:GSPATT00038651001 transcript:CAK71726 MIFLIQTSTSQLISLPITIPSLIAYFICLFISYIIFYLLFCSSFVFNRQFHRLFYPLFHR IFHPKIHRIITPLFHFRYIVNFIPYIRVHQWPISFFIFHHLFHLVPSPISSKISLQLKQG VPQIFIFLQPQQYYRRIREEAKCKPLIKPIRNPFLIDIAFQSISIFIKSLHQNNFFIQLF FSQCSLRIWNPIFILLKSSFQKLLQSNS >CAK71727 pep:novel supercontig:GCA_000165425.1:CT868101:12905:15772:1 gene:GSPATT00038652001 transcript:CAK71727 MLVVLGLERGVDMFPLLIQYKLEQLVYLIVVVFICLEYRIRQAMNYILFIMNVLIMRIKQ SRNIFYFLIGKLEVLKKRIQQIIPNYEFVWYFKGFLYKSCKITKSYYIYQMEWSKYIKKQ YHQSFQLKNKTITQQLEVISLYPKIFPFNFLKEISFPIFQEKLCIFRIVFHKPLIILFTS SILTITFIVSVNKVQQPAQQIIYEFVSQQSNCQEFLFSGWIKIQEIYSSDDEFDFQFMRL SGNFQHQKLIGQNLCAFQLFYKISSSQNQIIITTYSYTFPAVDIDFSSNPFLKSEVIDVG SDIKLWHYLFVKKLENSILVSITFYKGFDKEEFNIKLDVLQFNKVQFKLSYGNLLQSSSN YLTISIVGFQFFNCLGYEEPSASCHPTCQECDGPTKDDCLTCFQESNRSYLPDYKQCICE YGTIDMDNQCVSYEFLNLRLNQEKLLKEECKYGFFEFEGDCQQCPSIINKNVITCLECVQ NPKKWAQTLICQTTLYTDQEGNVSQQLQDDKKYYTFVGNDLQYCPDCKIIVTPSYDLIEK LDQFKDFCLTSQSINENCYSCGLKCKSCQILQTNLICLTDEIIPFPQYQSCEPPNYYNFQ QNCVACKIKHCLYCFNYFASDPTKTTLGALDVYSFNDEKIKDGSYSLIDEETIEGCAQCA DKYIYDFTIKECIVKKPSQQNCLRSYINFQKEEICTLSANDDFTIALEIQNCESHILNCK QCIKTPQSTLKCLLCEDYKMLSTLTGVCSACEKSFSKQCLLENGLDPWKWIVQGFTNQFL PTKPIFSKFIMIPNTLIIECIPGYKKIKNECFVPCDQTCSECKETDNGFQCSKCKQNYYQ DPIRNYSDGNCFQCSSLCQVCEIRPNEEINQINPYFITTPENTIYTYKCLQQVPQEQIQI DPNFQIAQYCYQNNCDNNLEIFHVNYYLIQDTY >CAK71728 pep:novel supercontig:GCA_000165425.1:CT868101:17289:18903:1 gene:GSPATT00038653001 transcript:CAK71728 MMRNVNCFNNSLTNSSLSYISILSNEISIESFQVNNHNYHNQEFWVKYYEIQFQDNYNEN EITYIISQSYNIETIGGALSTTVTKFTFKNGLFSFIKTQGSQIFNINLKGDGIVSISDCT INHAHNQLITTYEQDGAFTISGKKSLLTLYLNNIILTDVLNKLSSSIFSIYPSSSKNNLE LKNIIARDCFSLVDQILNFKLDSQTVLQNNVKIDNFTMIQSEKAFLAYVSSIGKVSLVER QKMFTDNAMMNFVRCKLILNDIKIEGIILSSIIKIMDSKQIKIANSKFTNIQTFYAINLV DVSQSNDMESKIHFSNITIQNLLDFKFSRINQQQNNYNYIQLNSSQCSLYTIQLINQKDL VSTFFEEFVSNSNQNGSLIKLKSITNLTQISFTKIMSFNNDCQNCWNGLLYLEIIDFQKA LISELQCIMNSIKNYGCISAKSDKKIDSTIQIDNSIFISNKGQLGAGIFVQNSKFYLKNS ILLNNTASQMGGGFYFSEGSQRFTPQKQEGYIYLGIAVQLKLILLSLL >CAK79606 pep:novel supercontig:GCA_000165425.1:CT868341:455:1231:1 gene:GSPATT00014536001 transcript:CAK79606 MLNETKATELEEKNPLREGNESRSLQEDLHAKATGLDGHSSTVYSVNFSPDGTTLASGSD DKSIRLWDVKTGQQTAKLDGHSQAVISVNFSPDGTTLASGSLDNSIRLWDVKTGQQKAKL DGHSHYVYSVNFSPDGTTLASGSFDNSIRLWDVKTGQQILSSANRYQNILAQFQPSIIKN NALSDTVHSNVTILLISQQLIFQSQGAQILQGQFHNPQGIDLRTLFQQKGSLILENQQKL QD >CAK79607 pep:novel supercontig:GCA_000165425.1:CT868341:1793:2203:1 gene:GSPATT00014537001 transcript:CAK79607 MLRQSESQEQVNICLRELDQWIEVKQTPIQQISYTKIDDAIASFEDLLHPLEPTQSKQQL LPDRAPQTNPFKVQLKLEDIIIHQMNDIQKIKNQIHNRSKQTRKTTQSNIPTTNNQCFFI ILLDLLMYFTLLLNYC >CAK79608 pep:novel supercontig:GCA_000165425.1:CT868341:3841:4278:-1 gene:GSPATT00014538001 transcript:CAK79608 MAHYPTPDTHDLYISCIKSIIGCSSLRIQNVYQQNQKIGIIQIQHQNNYLCSNIKQSNAF LHEVQHAQQAYILNMRNNINKSNWKLNNLFNANAKSREDKVKEWLYQKLHQIIVCSTLGN IVSLDDCAVFHQKQPDGSIKISGTT >CAK79609 pep:novel supercontig:GCA_000165425.1:CT868341:5060:5814:1 gene:GSPATT00014539001 transcript:CAK79609 MLMQGKITNYHIQKVLKMDTSPSSIPQYYIRMKNVQSVVANLFISKTSAYCLLKYDNNNQ GIIWVYKPLCIDICEIWKLTYVSQIGKLQQTSQTSNFYEVMILEGFRQTADLLVEKLFDQ TLCIADADQQDVIQLIAVDDLSNRQRDTLFHLMKDLSITSKFRLVVQKLTNLCIILALLH VDLISQRIQILVPSQVKAQAKKLIVSYMLANGYFFHLIKKQTSEICNNSGYMIPIQLQEG KSL >CAK79610 pep:novel supercontig:GCA_000165425.1:CT868341:7539:8326:-1 gene:GSPATT00014540001 transcript:CAK79610 MKQQFYQQQGMNTKNEKYELSSQLKTHQNSRCNFAEILQNWKRIQPEQTFYPLFCQSLIF KNIKKRGRLKLQQQLQNLLHIMYLKMKIADDLRLLALLVLLNYVGRLEGIILQIKKIEQL IFFEILVPFSHLFASLPKLIRVTNRLLITVVFLLEMFPFNSFK >CAK79611 pep:novel supercontig:GCA_000165425.1:CT868341:8376:8588:1 gene:GSPATT00014541001 transcript:CAK79611 MDIFKDLFATQGFQLCVKAVQDVQSNGEQEALFKQCQQNYANAYKVVGSEFLRFFNNQPS KDRYGPPKDI >CAK79612 pep:novel supercontig:GCA_000165425.1:CT868341:8635:9229:1 gene:GSPATT00014542001 transcript:CAK79612 MKKMFEKIFKYNEWRYKKMFESFEKQDNYQQFLVKNQQLFFNNVIGTMKHLLAADYVWYG RIMKLQIIQVPFLSKDKMRTIYLKELILLWQSDDEKIFDQLQFDYDQTKSLLLDINQVFQ QLIDSCDEAYFDQEFEYQDSKGVVQKKDASTVFYHLINHHSHHLGQISTAYTQQFGRKNF FSTDFIYFK >CAK79613 pep:novel supercontig:GCA_000165425.1:CT868341:9582:11169:-1 gene:GSPATT00014543001 transcript:CAK79613 MLKQNQLNEHFDINMITNITENNCQYGRSEKTTAEVCIYINIKPQLTHEQQTQPFILSQQ IIQRSPLQNDDGLNQLNVDFLSDDIYQLSSIEELSSNLNNYIDLYLQELRQPQQILEQLS LQQSESYEEESSESLGQRIYSKFISQLNLSVIQSQDQISSIDEQYKDESSFTLSTTILEQ EVKILPDINSSGLITLFLNKIHSSFYFLQTLYFFDQIIIIFFLLRNKLSNKFLILQKERN QRKKINEIPIWAKNKKKIQRIDFQYITIQKKRKSSLLYMIFSLYDEMKTYSKKKQIKNSL PYSSLVISLKRRIGSSMSENFNEYNSLRNDLKLRFKLTKPIMIAKEVPVLIKGKIAKQNR IELIKEFSKIYKRVELKATKKQFDESEYSLKYRDAKKLKKQITYIAVRKNRISQVNTK >CAK79614 pep:novel supercontig:GCA_000165425.1:CT868341:11571:13097:1 gene:GSPATT00014544001 transcript:CAK79614 MGKSIMLILVCFFALQALETQTLEKDEVDMVKLNALFETNYQGQLYSGYLSAKDDGSVEF HYLFYPAIDSSSEKPLILWLLGGPGCSSMIAAFTESGPYTFIPESIQFEENPHTWTSFAN MLYIESPISVGYSYGPAGAQSDESTAQYNMHALIEFFVRFPNFKNQKFYIGGESYAGIYV PTLTQEIIKYNKQPVNPEVLKINIQGIIIGNGCTDPSECTLQGYLFPIHRLNFFGRHGFI SEETYQKIINHSEECYGSATPQCQALAYEALAQISGPQYSYQVNQYNVYSKCIIQTPEGS SRMKSPLRVSNEEKDDSDVPPCVDVKGLYHWFQMDEVRTLLNIDQKSPKWVACSINFDDY QINPNGSLDIYPTIIKNNIRVLILSGDVDGVVPIVGTLYWIDKLQQQLQLNTIKPWRPWY IPALRECDKDQNAGNVFDIEGLTFVSFRNAGHEVPADQRIQSKIVLEKFLRQEYL >CAK79615 pep:novel supercontig:GCA_000165425.1:CT868341:13360:13548:1 gene:GSPATT00014545001 transcript:CAK79615 MSNTRMINHIKNFLYQNKPYYSTDKTEDSFNTNPDSKEKTFLHEFKIQQTTMQTGQRVEI QQ >CAK79616 pep:novel supercontig:GCA_000165425.1:CT868341:14098:14839:-1 gene:GSPATT00014546001 transcript:CAK79616 MVKNSAQDPQDNSLQMKTRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIKFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK79617 pep:novel supercontig:GCA_000165425.1:CT868341:14923:16194:-1 gene:GSPATT00014547001 transcript:CAK79617 MLKRMQSKEDIIKDQYMKTLTFFLQDSTLYDCLSINNQVSVIDQSFSLFDVFNVFIETHI DEVLFWNPDVAYYDGVFTQTDLIRIILKCYQNTLNGIPNVWGNNKNQVQPIIEEEDEDRT STPVNNTQAIGQEQINKFLIDLKTISVRDWFNSYGENLHQSNLVQADMADNLNDAIKKIL KQGVTRIVVIDSESRVIVGILQQKDILAFLVKGFSQYFHLQLSQKSQKIEVRNENQQPSE QHELEISYFSEGILQLKDKLPFDTNVYDVFYKLIYVFKRNAIPIVDANNQYLGLIDRRDF LFILKYQVYDMLNKQAIDLLNFIRIEKSKCAGFCICNKELFHMKQTVKEVVENLLLSSRG SLVCLNENKEPISTLQMSDLFKIFLDDIEIE >CAK79618 pep:novel supercontig:GCA_000165425.1:CT868341:16235:18803:-1 gene:GSPATT00014548001 transcript:CAK79618 MKYNSQTNPPSNFQHFMGVAPNQFNSNVKGQTLNPQQQNQFDQSRVSQLPVSKIEEINNQ QSHEVNHSQIDPHQHSSKGQHYHPLTMPCNWELARKHAQSRRTALEKKKDINKTTNVETC PCCGFEVERDDIPYCSNPMALSFLGSGFTLFYNYLKYCIIILFITLVTKQIYNLYTSYEG SYCSHFKREKLEGHIVELPNCSESLFLKLSLANKLDNRQALEHVQILNFINLFIVMMVLI YFRKSQRQIDTTIDEELLTPADYTVCVKNIPLGLGLDYKWELKHMFETCAVISDTKSIVV KKVVLVYDIEEIIEMEKQLDELVDRKKDLIKEYDYQFNHPKVLEMDQKIKQLEHKIHETE KEYERENKKFAGIAFISFDDENMKNLVLQENQHTQFERMKSYWNKGKLEGLSENDLSWHD QKLFIEQAPEPNEVDWEFIHVKTEEKISKRMKAWIIYILVESAAFYSIYLISHKVAKYGD EAREEEIQGKLDHNTMLKINILSYVISLSIVLFNKFAVAKIVHYIVDDEKISSKTKFQIS FVYKYALALFLNAAIISFLVDIVILKNVEGAGGFLQNESQIFILNAILPPFIWCVDPWSL CKNIWRWYIVRKGDKALLTQQEANTLMEEPDYLSAKRYSDIMKTMWFTFLYGTAIPLGTV CSAFGILIYYYVDYYNILRRRTVKESISIQLSTEMIEMLEYIIVWSAFGEMIMTYAFFKE ISQIDVLLMVIAIIYASLPMEDISEYLFPVVNNEEIKPYDEGCLGFDTDYDRENPVTRHK AIEEWNDKQNMLINSNYKIQKYYQQQDQFGYNNDGHYGRR >CAK79619 pep:novel supercontig:GCA_000165425.1:CT868341:18877:20592:1 gene:GSPATT00014549001 transcript:CAK79619 MQPQNQFSLFGNVQANEAEQNSEVKKKREEFATEIRSRERNNIINNKRLAQNNQVNQIPE SLPLIPPTLPQDLQLALKTQQEQLMKRSFSFEDFPDVLQKIFSTDVLQLHYGVTGLRKML SVESGAPIQQVIDANLVPKLIDMIQKEQIPQLVLEAAWALTNVASGTTQQTQSIIDKGGI YLFVKLLSSQYRDIAEQAVWAIGNIAGDCTQYRDLILRVGGVDPLITIMQNSPNKNTIKH CTWSLSNLCRGKPIPDFKYVKNALPVFCKVIIDETDPEVLTDACWALSYLSDGDNSRLQT VIDSQVVPTLIKLLDHSSLQLVIPTLRILGNVVTGDETQTTYVLNQGLLLKIPKLLSHDK KAIRREACWTISNITAGSSNQVSQVVRDSAILEKLFTLMTTDVEEIVREATWAISNSTKH GSNSDIQLLVQKGLLNVFKQLLEGDDTQTMTVVLEALLNVLKRGDQDFKDDNYYLTQLEQ MGVISKIELLQKHQNQSVYQKCFSILEQYYEAESEL >CAK79620 pep:novel supercontig:GCA_000165425.1:CT868341:20601:22403:-1 gene:GSPATT00014550001 transcript:CAK79620 MILILILVKLIEGIIIKEVTDKNQTKKFHLDRATTIITMPGAADSILIGNQNDSYCWSQQ PAVKTETKTMNIITEKDLTDYVYQEETPLNFGQFISMVHVRDGFIAITSNAKIYHLKFNY NYIHEIFSFPDYGNPYEFAGFRWKVDLQAYAPTTEDKEEIPQILYLKTNNLVLVLYPNSA QFFSMYEMDANSKHLILYTASSWKKRKERGLTKEYFGYVYSSVGREGIDVYRVSSYDVRF ELTIDLIRLFPDFTKLSDFIVIKKDHDEFHMFMLDVVIGLIELAVEIDDGEFKYKVRPEI PRKEGGIAVDTKNGRNVFVVYKELFRYSIIEYLYDKNIEGYEIVNQHISYQKVVGLKVTD EFVLIQGLIHHQLIFSNSFKLVNPDTEPTIYTLFGVRNFMFFDQNITDYPLLKKFNITGF QYDDFFFGVTGSGAFLVRYNFTPAGVKCYSNNETQILTQQSYQVKYNVTQFIDGEVNRDL VVRHSFYLNVQLSYPEPILEDELIKTLILVFVLLLVCLLALGCVEKVRQRHENEKFKTQP KTVEMMIQQNPFSKINQKLMSDDYKSKQERKKDKKKSQMQSQLI >CAK79621 pep:novel supercontig:GCA_000165425.1:CT868341:22499:24291:-1 gene:GSPATT00014551001 transcript:CAK79621 MLVLFISILINTNLGNVLIQENQDSTEYFKIHFGEPKIILRIPEAEDAVLESFEKQSFCE VKQQTVQTQTQQFTILKSDEFKDYEFENDTPLNFRQFIGMVHVNDGMIAITSDSVAYLLK FNYDKVISQHGFAKYGNGGDFAGLVWKANLQEILISTESKQQLPQIVYSKTRNLAFLLFT DTAHYFSVSEMETNQNKLSIYQVSTFISRDERGLTKEVEGYLFSAVGKAGLDIYKIQELL VLYQTTITFKDLNIFNHQLDLKDFAILKVDEGKYQFYLLDAKLGLVLAYMYINQDTLSFE RVIEVEPIPNGIAVDTKNGQNVFAAFEDNGIHFYIEYYVDFTKRSYSIITKRESNYRILD VDATDEFAIISGVNNHQIVFQNGYDFIAPHKQQIRFSQIGMRDFEFFKYSYSNDDLKNAA ESDEYQYDDFFFGVTATNAFLTKFKFVPASVVCFTDNKQLKNTKQFYSLQYNQSYISNNI VAKDKVIRTTKNFQVEVVRTFLFEQQINIIYVILIVLGFVIFFFVSAICYKFQQFRKEEI RLEVEIENKQKMNDSHNQIKFDESQVIQAP >CAK79622 pep:novel supercontig:GCA_000165425.1:CT868341:24311:25562:1 gene:GSPATT00014552001 transcript:CAK79622 MDIFLSKLNWLIHIKKLFVKESVLDIQDLISSLKDEIITEQVNPQDELFSLEEVIEEVYK YKKIRLTYDDFFQIIGNLHQQDPQLSTCVNSLTDSINDLTLNDAKVKQEIRVSYHDPTKL IKTQQSCKASKISKSPRMRIENLQEAQKKILAKITHIENEIEYQKQQHQLKKTHFQQIKE LTKQLQQNEQEIILNGIGSFTLSDFYLVFHNLNKAIFNVQTLIFNDRCSEIREVTMIFKN LQFLSINSLCIKELKLEELTALKVLSVAGNNLKSLEGLPPNLVELYAQHNQISQLSNTKC LKILNISQNCISSLQQLLHINKSLEFINCHQNQCALSKDYKRLLFKIFPNLKGVDKDDLL QHSIIQQNNFHYKKEQMDFSFSQS >CAK79623 pep:novel supercontig:GCA_000165425.1:CT868341:25604:26653:-1 gene:GSPATT00014553001 transcript:CAK79623 MLNQDFDIESLSQNLAGISNENQQLIKDVQNILRNEFVDEDGLIKLCQQGFTNQTNRLRG IAWRLLLGYFPLNKKYWTQVIIKNKDNYNNIKIENIKKAPPQKKNDHPLSRNTDSDWNNH FQDQQLWSKIQKDVIRTRVKELGKEEYREMLTRILFLCCKLNKMDYVQGMNEFAALILYV CMSDPNEKLQNESDAFYCFMILMTSLKNNFQLQKEKVRTFQDLLKKVDWKLHDHLVSQKM DFSILYVKWFMILFAQDFHIDDSLRIWDCLFCQKNNREEFLFCLAVSFLIQLREDLIVGD FGQILLILQNLEKQDINLSEVIQRAQLLQKEQKKC >CAK79624 pep:novel supercontig:GCA_000165425.1:CT868341:26690:27699:-1 gene:GSPATT00014554001 transcript:CAK79624 MSENDANLGLLSNKSNAKYKEPKKNSDDFEIQDYGDDQEYVSLDQAKVQHAEVIQPKQIE QPITPPQNNRQCQPELQKNQNYQQTQQQNQFPPQQQNQHPQIQQQVPINQYQNQYSQYQY TPYQNSQYSYQQNQQYNPVSNVFQQTAILIDRGFNQRTQTYQTCMHCNTPQMIQDEKQPF MCFRCQQINKPNFGYFQCGSCKITVMYQCGLSNLIRCTKCQTMNYVQQPNLPNTLQQYQQ SQQQQNLLQQQQQQQFNSSNSQLFQSQNQQTNQQKLSEYSQYQQPNKEQKNISRNQVDPM YQEK >CAK79625 pep:novel supercontig:GCA_000165425.1:CT868341:27907:29876:-1 gene:GSPATT00014555001 transcript:CAK79625 MTTQWNPYFIPKENYQQLNNISFVRIDQNSQQPQHPKSILWHNKIQIQSNPKPEETKYKR NKKQSSCIPFQINIPQQTSNATLTKVAQPSLKPTLQKPKPNEDNNLKDSAVWERKDYQNP QVTFESFLNQQNHSRNNSIDSNNKTQFKKNHRQYSHVVQGNSGTYFMISNIPQNNNTHNN TSNSYYSNYDNCNSQREEKKVEKLNVLKFLIQDNSSNQLSERTIKKQSPNNNLLKHKKNT RCISMGEQKHLGLTLECDESSITILSDNANSTKTKSIQMNQTVSQNENDKDSQIGGFSSE TLQALMLQEIEHFPNPDYMATIQTQISPKVRAILMDWMIDVCSVYQMKRDTYYLAVAYVD SYLSKKSIPKVDVQLLGTASMLIASKMEVYSYVIFEEVEAKHASEFEKAANHGYTIDQIY QMEIDVCTVLQWHLNLPTINLWIEFYTNQWDNFITDVQRKFRANNTASYKLILKLQAYID CCYLDIYTLNFKPRTLVASFMYLILAIEYQQFTKEQIYYEIPKTSKFILVDSQKSFNKLF TEFVQYSFGFNLVDLIDVIKYAAKFIMLDFKFSDTVQDKIIQRHEDLLIYQKYNQSGLLY IENKLQQ >CAK79626 pep:novel supercontig:GCA_000165425.1:CT868341:29926:32078:-1 gene:GSPATT00014556001 transcript:CAK79626 MSEILQQDEEIQLDQENIRGYLDDEILGQIVEQYQHQGLTNQTITQYTQLVSRGCQLLHP LLPKLPDQKGVKFISQQELLNLDKTLLGRLESDQRFRTYREKIMRNNSQSFVRKFNLTDK SQSVLNDSCCLKEQIQFQLDHNKTQLRYQEEQQKQNIMRTTFARSRSYNHNKLPKIKTYP AKIDEEGQIMPTIKAKVIQVQQPEEEFTIPTHYLGWIDMFFGKWRPQMREGASLNNISGK LYLFGGISSKPFNDVVIWDHKEWFQPQVIGEKAPFGRTNHIHCVYRRNIYIFGGEKPYDG QQKIRESTNDFRVFNTENNEFKALRFGGEVIEGRRGASGAIVGKYIIIHAGVNTKGKYLS DLYHYDILNNKMILSQVEQNDFFTNGIAFHTLISVFSSQRNIQIYKNYMDPEEMKEVKYK VEGVYLFGGESKIGALYSGLYMLNPCTRPMQWSLVSGKGQLPTSRYKHSASYCERQGIII IYGGISESSEFLNDCHIFKIETQSWSNVDLECKEGRAGHCAVVDDHKLMIFGGYNETGFL SADFQILEIDSMIAYRNRRQANISSHLITNPLQLSTTNQNVKTKQQNYTDRMSKIREQVA SLSYNIKSFIPKPIIKQISAKNLNKINKEQMLFSQRLNEETSELIKDFDYIEESKDEMKN SSKLDGSPNSNKKLTDRRSISIRNLQPFSH >CAK79627 pep:novel supercontig:GCA_000165425.1:CT868341:32108:32782:1 gene:GSPATT00014557001 transcript:CAK79627 MLFYKLFILILSRSSKLVTMQYPSLSSLFLQKNQQIIDQLKAFILDWNNLTLFSNIVEQN QKPETIFVFYSLMQKSWIKMLKIYNMLQFSFQKQSTFKQIKNNEIIITPQQMLLMLIFQL FFNCLNHKRKYIKLQAIRFVLFQILDIPIEKLLIF >CAK79628 pep:novel supercontig:GCA_000165425.1:CT868341:32787:34549:-1 gene:GSPATT00014558001 transcript:CAK79628 MKFLIVNGFTATASHSKIFNQFRQQIYEVLIQKIQITQTQKEVADIDFDYIELDRHNLEE YLFEPETSHIKPEKGLKFNSLDMVFIIASPNTRPWNPNMRNLLIRMCIKTKKLLFMTSFG AQALAYLCASNISTHIKITNGNGEGSKLVDFPKYTIQALKNTHDDYFLDTTTGDLYTYNK VTDEWMPKCNIGIHHRRDAMEYQSIGKYVVKSPAYKPKQVMLSNQTEMTCIIKKPFLHYW LFKDVNIEFSIKQSNTWDIHAITFTNPERRFQSLAENNLRGPLIIQCDNIIALLFELDYK QKDQVNLLSNFIENGIKIIRYSNSYNTISITNERQFTSPRGLENIQLIYNQDNKSKSQHL QEDMMKKQHKAYVREYRKLVNTAILDSERDRDKILHVGFSVKKNRLPEVVEQNNIQQKTL KATQRKSFIAKKEHFYQNLDSLKLNLDENQSYKNPSTSTSRKHLKLRTEPKGVLFQMIDE PEEQPLIQTHRVLSQIEVRKQLHPSLNQEFLGSQKNWIPGFLKLNKSKVSQSQPNSGRQT FQQLLD >CAK79629 pep:novel supercontig:GCA_000165425.1:CT868341:35250:36476:1 gene:GSPATT00014559001 transcript:CAK79629 MKTIIFALALIVLASSTQADIIAKIKKIDNSPFGRTLFDTIWLELQTGDPLDRLIQTLTD LEDRYVAEQKEDDARNHEYQDACTVDISAFDKDLAESNRKKIELEARLEGQLYPQREILQ GLVAQKQAEVKGYQKDLDELDAQRAEENADFEEKVLEHQEATAIIAEARRLFADNIEHES FIQKGKATKKPAHTFTREVASMIQKHFTQSAKKTAKFQHRKGYSKLFKAFATIASKAEQL ADAGAVQKIIDLADELLAKIADSLALLRFAEDKRVEAYKKQRNFVVIAITVAGTSLANAQ ADLAALNDLIAQVEATLDTTNQRIENVTADRTDRFTQCEEAVQDYEDSRAARTSDRDVVS ETIGLVNKELRTLREQLALRQSAGDEI >CAK79630 pep:novel supercontig:GCA_000165425.1:CT868341:36482:38983:-1 gene:GSPATT00014560001 transcript:CAK79630 MKQILIVFVLIIFATASSDLLKSLSKFADNGDSSSKFAKSTVSPFSEEESEDYDSEDTEP SKKMAPKSQQDDNDDEVECHGLIEKLLTETFHQQGCQTPLTTKEVESEYDDEEDSGNMWS RGISKKLAQTESKPLVLPIQSAKEQIKNDYNQFTSLKMPEVQQRPQETKSQDQTQKVQED PGKEHSADFEKMKQAQLEKYSKRFHLDEPKESKSMYEDDSLKEFMPKINFQPINIQETKT SQQVQSNPEKRQENKHDESESEDDEEEDSVEINKKSSLQSKISNKVTIDQKPNTDAFDFF NQSKQQQKSNKKSQRIEESEDEESESAQEVEDDEDYVQQVKKNKKQKEDKEEQKRKQKQL QQQQEEEEEQRRLMLKKQKEQQIQQEELRKKQEEEERQQELAKQQKLKRDSDEDQIPQKK KSKKQKKQLSLEEFQQSLKVDEEKPQLTSFAQKEAKEKAILEQKTFTLVKETPQQVQEQK VFKLQAVPKKQQQLIQEEESEGESESEDDNIDTPKSINCFESKNKFIQQICQLCQTKVAY QVNQQNWQKIGINDGFRQSFEPLIEFTFKSIGEVGSYYLSFKDHTVCLSAENLTKMTNVD KVLKHFTGNQLADYNSPVDIPAKSQPINFLLSAEYDSLLNGFSCRRFQIAIKTDLKYKLS KKNDINVKQIIFYKNKLKLKYIGLIQINGVVHQASFDQEEGGEVTNLIVTQLNLPKEQGV IASLVDKYVDSNFVADMLQKSGNFQYLDLEQMMADLQIQTHIKNDDIGLTFNLRKRKGK >CAK79631 pep:novel supercontig:GCA_000165425.1:CT868341:39038:39654:-1 gene:GSPATT00014561001 transcript:CAK79631 MIAILINSSHYQTQKGAKLNWRFIKNFILLVQDSDNPQDPNKLKLFKFDFQKNQEMGDIQ NLHDQIMPYFNDDWRRARFPFLAIVDCSQNQRKSRLRSNSMFPYVEYLENKKKLINPNVF EMYVQELGRYKNRDQYQRTVFIQIENWQQIQQERIIDNILDNIIIFIWNGGFDNRKLQ >CAK79632 pep:novel supercontig:GCA_000165425.1:CT868341:39672:41100:-1 gene:GSPATT00014562001 transcript:CAK79632 MYEFASEKTRGINGSKIIRQDKTKYRSHNFFSVNPHVRMFSQLFQKSPYLTQFKEMLFSS LQQIYLRTSLILTVLQWMQPPILCNSQKMRDQQYLKIIQYAQSTRQLGIVPEQIYIIEIE RLLKVLQNDNKEISLKILYELCVAMNCIIRGKQEFILYDKFFAYLYHQFNSGYDFVQQSI QQREYLKKQKEEQRLGKLNQHGLRRVGQISILKNEFYLEEQQSDGISNIPQVLTIDSQLK LPNSRILSGKLSQQIQSAEVAIQDLQKEVKDYYNMYAEYFYDSVKNLIQFKGGNESSNHK FLGLRFNMKQMLSINAIYQNEENGTKLYLHQYQLVSGLNEKQNSLTQYLTYQSMFNNNNK EDQVENLQEFLRQSPPLSPHQSNVHIKTETVHQQTHSNEDIKVECEDGNLNEYENECGVL LDFTLFDPQTTVQYQVYSVNSECIAGIKISFPEEQSSFRLIRISMY >CAK79633 pep:novel supercontig:GCA_000165425.1:CT868341:41291:43631:-1 gene:GSPATT00014563001 transcript:CAK79633 MNAPFLENRIVQLRSKSPGMPQSLEILFNIQQTKIIKNYMLQQKLFQQLSEQIHQYAYGD SIHVRYVVLYTSYDNLIIIAEDKYKLSVQIETLKWKNDPIISMKFQTFALHILTMEGEYI EIILSNLFQQNKPQDSLMSNIKINDVYLPNNVKLKEAYQIKRFSDFVIMNKNKLKIAFIS QQGQIVFYSPDQKVITQIIALRQSLISFQTIEIDQKEMVVGITEFNDLITFSDNDQEPQI HRFTDPNYSIIHIYFVGNENILILQENKTEQRFVKYQLKQLKFVKKYYYLPKDDCNYKFV EIHELPKIFIALVFSTQFQIISFSKTVLQDRLFKCIQFNFQNVMDEFSHPINFNQILYCP ETTTLNIKEIQNQAIHFSRYNQQFGIKITKKKMVEVLQSDSQILNKEDQVSKTKNYDQFC SLLITSTQIYTIQISQDCIQDLTFQVLQQFENYQRTFGSIPQMKLSWQEQVISNLWHHLN CEQGLKLYFANKDQVSENIHSWVNSLKFHKQMLYQIYDEVQDQEILIESQYDKCLTTIAL LNFKNLSNEISISKIIAMVFNKVLSQCSKIVTDNSNSFLQLIQCIKQNSNPVLCYNYWKN SQQLLFILINILEVWLTNIMIQYDVILLKAQEFFEPLFINKIRSLIVCSKVPIMLRLKLI IFLQDQSYIKLFTLGVQTISDRQDLLQFSKVCNITNDAPSFNIFSISYLIDECDIKYIKN LIQISGNLEQMNNYEPTKCKNPSFGLIIMSILTQSSNEFEICKIINWFLS >CAK79634 pep:novel supercontig:GCA_000165425.1:CT868341:43692:44546:1 gene:GSPATT00014564001 transcript:CAK79634 MNCLQYIKKCYQNLRQKREGPEESYRLSTQESEVRKKKTLVLDLDETLVHCEFKENQNFN YETILDVWHRGMLYNVYLCRRPYLREFLKQMSVYYEIIIFTAGYESYCDKVLQFIDLDKH ISDYYARSNCIFVNGNCLKDLTILDRPLDQLIFIDNNPNAFDLQPDNGLLIPSFLDSDED ECLLRLIPFLKFMANKSAVKPVNQFLKDYETTHGSLFSDTHITLQCHIDGEEASLNEESC IIMDDTPKHRKTQTLMHHQSKGKQVQEARSQSLFSG >CAK79635 pep:novel supercontig:GCA_000165425.1:CT868341:44579:48397:1 gene:GSPATT00014565001 transcript:CAK79635 MRQKTIEEQYKKMTHIEHILQRPDTYIGSIQRTSEQMWIIQNGKMIQKEIEYVPGFFKIF DEILVNAADNLQRDSRQHKQSYIKVDIGNEISIKNDGFPIPVEIHKEYQIYVPELIFGVF LTGSNFDDTEKRVVGGRNGYGAKLTNVYSTEFTLEVCDGKSYFKLVWNNNMSNKQIPIIK QIKKDPYVSISYKPDYKRFGMKEIESDTQALLTRRVYDLAGIYGNKISVYLNDEKIKINS FQKYVDLYLPNEGAIKIFDKDMTTPRWEVVVSYSPTQFQHVSFVNAIYTAKGGTHVNYVT DKIIQEIQNEMNNNKKYKSIEVQKYQIKQSLWVFINCLIDNPTFDSQTKENMTTKVSEFG GTNEEKFKVTEKFSKALIKTDIIETIFQQAKAKADAKLNKQLKGTKTGRLHGIEKLDDAN DAGKKNSELCTLILTEGDSAKALAMAGIDIVGRDRYGVFPLKGKLLNVREASLKQILQNE EIENLIKIIGLQKERQYTDLKSLRYGSVMIMTDQDIDGSHIKGLIINFIHHFWPSLVKYR GFLKEFVTPLIKATKGNQTIPFFTVQDFNKFAQEEDIKTWKIKYYKGLGTSDDQEAQEYF KNLRTHTIQFRYDGDDDDNSIDLCFNKKKANDRKQWLAQYNHDLYVDHTKSELGYSEFIH KELIHFSMADNIRSIPSLMDGLKPGQRKVLFACFKRNLKQEIKVVQLGGYIAEHSAYHHG DLSLVSTIIGMAQNFVGSNNINLLLPKGQFGSRAMGGKDHASARYISTALNKITRYIFPE QDDHLLKYLEDDGQMVEPEYYVPIIPMSLVNGAEGIGTGWSTSIQNYNPIDLVQQIKNRL NGEQFQPMAPFYRNFDGIIENLPNGNCVIKGLIDCNEATDIVTIRELPIKKWTRNYKEWL DKEMAEEGSQIVDLREYHTKYKIHFEIQMVDGFVQDLKNPLEYFKLSSPASCSNMVLFDS NSKIKKYECVQDIMEEFYQVRLEFYHKRKDYLISKLDREVQLLDNKLKFIKMVISEEIKL RNVKKVDLVKQLDKFGFTRFSQLIQVKSTKVKAFGDSQKQQKNVDDDGSEEEEKSGDEDK QQKKQISKKLQQTPSIDISEFNYLLSMPLFSLTYEKVEKLQDELNQRVQSREALINKEIS LMWREDLDKFLEAYQEMVEIELRLINQQEKMPGKQMKKPKKKNDKQDQLIEEKVQKSKKA IKSKDPVEEILSKYKKQDEKQSEINSKPNESKQLNLKQQESKKQVDNSNQVSQQVQGRRI RKLKVESSSDDD >CAK79636 pep:novel supercontig:GCA_000165425.1:CT868341:48754:49228:1 gene:GSPATT00014566001 transcript:CAK79636 MKDLVNQNRSHLTAEESLKKLYEYSYIQDAKQRSYHLRLQKAHAFTFGAVASIAVYYVGY NLIEGVWAKLSTTNQITNLFRIAQNPVRSVYRPEIYLRDQNADQILAQKTQEQNNPLKLW H >CAK79637 pep:novel supercontig:GCA_000165425.1:CT868341:50592:51545:-1 gene:GSPATT00014567001 transcript:CAK79637 MTTQSGPFSTPAFIIKLYDILDEQVQFNQIIKWSDDGEYFIVLQPKLMENEILLQYFKHN HYQSFLRQLNMYEFIKARNSENYEIFSHPFFKRGNKKQLSLIKRNPIKTKCKVKKELKSA NYYFQNKDKPNLKHNSKKQSHKMNKLWINTNKFGRKLGNSKKTLDVKIDRISYLLSFIIQ QQGINNQDSIEMLNQIQCKQKQDYQPVESLSPLLKMMQNQMTWNLKVPTQMGTFSPLYNN DQAYSPLAIRSQESLDSYQSLSPFYQQFKEQ >CAK79638 pep:novel supercontig:GCA_000165425.1:CT868341:51702:52937:-1 gene:GSPATT00014568001 transcript:CAK79638 MGNLQTLINYHAPLNYSQDLSDQLIDQGMLQHPGLGQIQLWKIKESNLPLLFSFHVHVFE KDSSIIDIHNFRSSLKHPNLIEYFACTSSKALNIGKVQSQQFFFAYYPETLKQYIQSRTL TEVQIWNIIEQIVNLMVYLQNLNRYHSNINSESIFINDNLHIKMLDKIGQKPNKIAIKDD VRDLGIVIIELLTKRTNQLNFIQQIKNLHGKFTLQLLQLVAKMIDENIDKRPDFIQIQRM INNRFKEPIFFNNPERNANLKKLTGRLSTQEPLTYRMDEMKINQLLSPIRSSSKFLLPFK SNIPPNIVGNNPSQQMQCQDIIPEIPPQNNSEQSPPRKIYGSRIDQGSTAEKQKSPIKQM SNVKNIPYTSHFQNIPN >CAK79639 pep:novel supercontig:GCA_000165425.1:CT868341:52940:54475:-1 gene:GSPATT00014569001 transcript:CAK79639 MNNLLAIFLITLNVINAFELDVYRMVGIEQDQFWSGSKIASFQLLTTHWSELSSRKVALI KFSEINPNSISELLTNKPNGVLIILDQLSQDNESQVWDLITTDLGPKGATIPIYFTYETE TISNHYKEIQSETEQEYQLQVTSVDQKQILTLEDSYNLLESISNFKQNNPSTLIVLGIDE NLPSAEITIPINRFGIQSSIFFKIAREFKYQQRLNRNIIFYISTSQTLDEYGLKQFYKKQ EYQRILSTIDTIIIFDQIRQNEKLHVEVSSQLLTQVKAELTQHDATIEEAETTNISNKEI DTIRIYTQKQIKILAPQNSTNLQDNQQIVLNLVSQLIDGKPYEQQHQDQLFDQALIQFIN TPNRHPANLQKDSKFINDLNSLLRQLFKHTTKMQYIVKDRKFFTSSQLKGQIIKFYSAFI DLYLILGVGAWLVVIYGIAKYVPLFRVELNANKKRK >CAK79640 pep:novel supercontig:GCA_000165425.1:CT868341:55291:57130:1 gene:GSPATT00014570001 transcript:CAK79640 MGCGQSSASFFESRGLIYIYYGTTSGNSSRLAFQFASQTRKLNFLPKGKDVIQNLVINLS EFEPDQISSQKLAVFFVSTYGVGSSSSDAQKFNSWIFSKERKNDEFKSMSYIVFALGNTN HENYCQFGIKLDKRLEELGGKRLFALGKGNAAENTTENDYQNWISTGVEETLIKTYPAQT CDPKKFEQSIQQIKYTNEPENENLENLDYQAQKYKSSITFTINEIRELKKKPTLGNSTLF IDLVADNVNYVTASNIAIYPQNSDQDINELCNQLRFDKNMKFEVITTMKHPFPNPISIYN YLKKYCDFTGLITKKQLMELSNQVVNKQHKEELLKAASFEGREFYDENFTKKRESLLTVI KKYNIRNLSIEQLLEICPSMNPRFSTIASSNMKHPKNIHILASQLILYEKRLGLCSQYFS SLKKGSLLKGYLQDSKFAFPKNPKAPVLLIGPGAGLAPMRALIQERDYHLESNNLEKSPL QGNMELLFGCRTEDEYFSEEELKHYEKNGTLSNLKVAFSRKAVKQYVTDIMDLNQIHSHL QMEGIIYICGSAQMGRDITNKIQDMYKQIENIAPYLAFKKISELEQKQQLITELWG >CAK79641 pep:novel supercontig:GCA_000165425.1:CT868341:57459:60210:-1 gene:GSPATT00014571001 transcript:CAK79641 MGCGSSNSTQQAAEPEQKQRSASLKSTSTSEIEDLIGKKIPKLLVQPESKHKQTMDNEEQ LKIESQLAPTQPPPEEVVRAQKKQQKKYRGGDDVNHQIHENVTRIDREMQQSDIDLIRKS FKGHFVFFSLPEDQIAKLIEHMFYCTLKANQFIFKQGDQASSYFVIEKGSVEIIINDRQI RTLAEGSYFGEIALLYNATRSASIRTLTDCGFWSLDRSTFKKTIEELMLKEYDENRKFID EVPFFSFMTSEQKDSIAHALITTKFAPGQAIVNEGDQADSFYVIKSGEVSVLKGTKEIRK MGAKDSFGEQALYEKSKRGATCVAETEVKCVALGRENLTKILGDKVQIIIFSNIMRWSFE KSEVLKQLSKIQLEKIAQRAKIDNYKKGQVIVEAGKACDKLIVVLEGVMTNSKQDSVPKG LCFGDQFLMREKYGQIIDSEYAMVRDGVLATISYQSLFVIFGGDLETALKKNENSHEKKI KQLGERADASHISVEDLVYIKKLGEGQFGMVYLVKHKSINKVFALKSVSKASIIEQNLEK HILQEKTVLEQCNFPFIMGFIRTFKDDISIYFLVEYIRGMELFDVIRDMGLLTKYDTQFY VATMILALEYLHSKSIVYRDLKPENIMVNDAGYMYLIDLGTAKPLIKSRAYRTYTIIGTP HYMAPEIILGKGYSFNVDLWSMGICMYEFMCGGVPYAEEQDDPYEIYEEIMNTQLKFPTF IRDRQAKKFMEQLLSKQPETRLGSSFSALKANPWFDDFDFDKLFSRELQKVPYVPPKDRM ISEHDIEKKFQQGKPVVQEIKQEQSMQKQKYRKELAKDPNWDKDF >CAK79642 pep:novel supercontig:GCA_000165425.1:CT868341:60579:62078:-1 gene:GSPATT00014572001 transcript:CAK79642 MGQACGKNHIHERAESIHYEKKIIRSVKAEIRSKYSKTQSIKQVCGRKEYLTLLMQPELY QELQTKLASEQDISKESIQIVLNIFIYCNFKGEKIKNCFNLLNTNNDYKEDLKLIGIYRE SSLKCHKNDSCLLCLSENIECYSLRCSHKFCKDCWDQMIEIQLSNFIPIVKCLEYQCFER LPHLYLEQNSSYKEILVKRMLDNDRNFTWCPGFSCQNIYKLEQFSQKQKCHCGLKFCPSC KTENHYPITCHIFNEITQFKEANQSWISLDISACPNCKRYIQKIQGCMQISCVCGNDFCV KCSLPWSPDHGQDFYNCPFAAHNKNPSQIMNQMCQNESAISRIIFELEYYQRLLNQQNHN DYTHILRRGLNNLIKFKKFEKIVLYYTYYLNEKFGDNGFDHSYEKYSQELKYYYRILLNQ LSSVLEEVQKAEKNEMIEFNIFQNLVFQMDLNLYTQKQCLKKQIIENQQEKQQNIH >CAK79643 pep:novel supercontig:GCA_000165425.1:CT868341:62511:62969:-1 gene:GSPATT00014573001 transcript:CAK79643 MQSLLCVRVQQRNVWRKANDINNEISIYQCEAVNGIKDLQSHIAEDNSYEKFETCLNKSF AAGKRLKFAKTQCPNSAAQFAIMIFNSAQSQSYISIDFKKMINKLVKFISLQFYNVSVCF EQFLSQFQALYHTYQIFLNLFKKKCEIKTIKY >CAK79644 pep:novel supercontig:GCA_000165425.1:CT868341:63832:64187:-1 gene:GSPATT00014574001 transcript:CAK79644 MIIILQDIKNTKHCFSFRFKQEKSTIPAFEKKGLSQSRIIFINQNNIKKRNKTNQQLKLK IQRKNIISESLLVQMISEKYDMLKDDADDNTAYHHIQLSIVKLQEQVILGQI >CAK79645 pep:novel supercontig:GCA_000165425.1:CT868341:64215:65347:1 gene:GSPATT00014575001 transcript:CAK79645 MKLIALYFLLIIGYSQILEKYQIIGNPKPSLVSILTELQTQIKSGGAQTTTIAFLDNLKS TIDEEQIRHDQLYTQQRNQCSLELELRKKDIKDAEQVGSRANEQLENCSTSNNKARSELD NNLESQKTTDSDIKILKGIRDEAARLFSNKRRDHLDALTSLQQVLLTLDGFTGGDCSLAE LGKVAMAFIQTAVMAKNDQPLVDISEIFAQVATENGGYREVYEKLKQLIVNFEQTLQKNL QDYQEVEDLQIQEYDDRNIHLVEYFNNLKRTEQQLRDHITAMSMCINQQTAIVDTARGKK VRNLQMLDSVDRMCKDFLSEYEKASQVRKDQIDLINQVKQSVYDFYSQKPQAACPGGGSE >CAK79646 pep:novel supercontig:GCA_000165425.1:CT868341:65561:66850:1 gene:GSPATT00014576001 transcript:CAK79646 MGNQHGQGFDHSKQSGLYIRTDKPFYFAGEEVKGNIYLNVGGGAFPSSTIYLKVKGSEKA KWTEVKTVWENVPGTNPPQRRSKQVTEHYDNENEFYQHKIPVYIFQQGMIPLGQYTFPFS FKLKENLPGSFQYQEKDLECKIRYSVKAEIDSPNKNLDKVKYKQEFLVREPIKEQINQSE GSQVLQPTSCCCIPLGTILFKFQFDKTAYQPGEIAQLQVEIDNSQSKVGIPTITGRLTNT LRIVSKQGHSKLIHRSCGTSMIPGLQAGQSALNEQRKNMTLSLVDQGRNQPLDPTTNGKV VMNNYQLSATGDLDGTCLCCTTTPGIEFPIRIVAKPIASYDQPVVAPSGWNPQVMNAVAV QFDDSYKYQPATGGVLVPAQNHNPQGYQNPNQPYYG >CAK79647 pep:novel supercontig:GCA_000165425.1:CT868341:67157:71434:1 gene:GSPATT00014577001 transcript:CAK79647 MGSICGTNNKRVIPKTKKAHLGLRSGQTISSQSVFQQQLNKKTQEINQIHKLEIQDEDLV NWDDPMKHCTNLLKPKGYKVARILKTTSSSITAEVLDGFGTQLIFKRSLFESFDNASNNI SYLYNMNKVSAEGLLRFTSLTISQFESTNLQQRPEKTLYAIDRMIPKYNTLSDSLENLKL ESLMQSLLVLEQVSDALNQLHKEKFAYRFLRPESILTKDGKKFLLSNPSIQTNLKEQKII KHFVQQESDYYIYDCVIFSLLFLFLVDHKIYTFDSLIELRLNQDEWEGLVDNYKQSIASQ FENDVPQMIMQLIEQMSVFDKFDIPKMSEINHAIKSVRQKGMQTYFKNDSLGAYLQYHKE KTLPEASPWLYFTKANQIHLYNYETNKFHFLKVYRKKQPFKIESDVVYHPTEDKFFFFSH NTSTFLLKVYDESHDRGNISQKREVELFRNIKNVKFEDPQLLVINFTTEDEANQEQPLEV IEDKKENKKGNKQQQQQQNVLEQEPKLLSKIMVMNQQQQADEATQEVYFNSFEVSFNLEL VKNDNEEDVYNYGDDEYITKKVIKIYQGPKNYQWHRSSFHFFDPHNNYIYCIPDYNNVFQ NGIRYCYYDVSKRKGNFKEGLLRFACSTDEIFKQFCLLNFKIKHIVYCIEVQHGVFLMQA SKNMLILDFNFRCFYSLASLNQLQNYKENNKENPILYQNAYETRNQPFELKCLDAGGHNF LFQNGYLHCLARKEIETVHCVFNILPNQACIELLNCYSLHTNQDLLLFIPKTLSFVVKAQ QKQVDESVFRRYSKHVRQYGVIKDLYADHNEAYLSAETMEEKQKFYLHQIKVESLVEVSQ LFQAFNKKILSEILLTPIEYFACSEEKNSFYMYYVNEEISTTLDSEVENRRLYKNPFEAK ELALIVKIIFKALKELKDNHMEHGNLSTNSLCFTKAGIIKISGWYVANRKNFSTDIDDAV KVLYCLATLQRVQDIDTMDFTNPQITAYDGLSEFLKSTYKLPKKTPYINVLNSALNLSKS LTAQNIEFNEMQSKAQAILWVGYGQNYIIITPLENMKDPRFMKVCILKPNQTNFKISKHC MFTFNFIDVIYISGAIKEDMKTQHLYECAYNKHKDTQTVTLKRLPDIPAPILSPSMLYAE GRIYVIGGYELGENLNKQITDKVFVYTIKTKQWKPLKNLPINIYGGTALHDADKNMIFLF GGVSSEIDLTSDKLIYFTYDILKDNWEFNVDLSFKSPFVNSRFTKPIVDMVSPKLFISYY KTEEDFFIEVFELVKAGVSLKFKIKNPIGKKKNEKENLEAYAEKAPRDYERTLQDDLSMA VYKDSIYVLDEETQNLNIFRIKDVFHPQIDIVKCQIRDSNPITIHLVQQEAQQ >CAK79648 pep:novel supercontig:GCA_000165425.1:CT868341:71725:72386:-1 gene:GSPATT00014578001 transcript:CAK79648 MSNKTQISTQAQATGDDVPIVSAIQQINLNNQHQEDVPLKTRLPASQINQNLNLGHQVSD NFRQPIQQSIQQPFSQHFVIQQRQQQYEMIQQKKQLDQPNEIEDDNKSSENQEFKKEDKL ILLAPSQPYSREPQVLKCEKCQNVMISKVESKPGWGSCLCCCLMFLTPFFFLFFLPFCMR QCKDAHHYCQSCSNKLGTYYFICE >CAK79649 pep:novel supercontig:GCA_000165425.1:CT868341:73067:73924:-1 gene:GSPATT00014579001 transcript:CAK79649 MFQKGKKESNPDNFNPGVGLYDIITKLEKQAPIMHSDKQGHHKKHESYPQSDSQLSFDRK VDLNYPLHSYASGIQRVRQNKIYQELKKQVKFDKKLNEFYQEFDNQVRSTIKKGKLVKLQ KLQRSSKQSLNESQSIYLGSQSPISKKSTSQQYLKHPPKLQSLESLELFLLRDKLQKVQD FPGPGSYELPSVFKQSDSRVQPFGKQQGRTKQIDQEASVGVGQYNIQDQSIIKSVIRFDK YSKRISIFETKQNSPTYYDNNSNLISNQSKLNLEFPSAFGSTSKR >CAK79650 pep:novel supercontig:GCA_000165425.1:CT868341:74036:74578:-1 gene:GSPATT00014580001 transcript:CAK79650 MYQKNSLNDLTPISLRKQTHQGKNKPFCPSLFLNQIDSQPKKFEEEKLQKQLELEIPEEI ILPKTVRRRHAIGNGLSPQKTDQILPNIPSLSLHVTMQKRNMDDLLQFQEIQTLRENTEM ESINKKIKSIINSNKRQVSFQTSHVIIDEFDNFEEVQELPTQRYQVPRRRTHLKQLTTKI >CAK79651 pep:novel supercontig:GCA_000165425.1:CT868341:74578:75556:-1 gene:GSPATT00014581001 transcript:CAK79651 MTEAAGNPKKNREKMAEIKFEKFGFGQLNVGIQALLPLFAEGLRTALLLDAGDGVTHCMP VYDGFCLNQGAQRMNIAGRHVTDQLVKLLFQRGYAFNSSADFELVREIKEALCFVSSDIR MDTKLAQETTCHESTFRLPDGGRVKIGQERYMAPEILFSPWLLGKDAPGCADIVFNAIQK SPIDARKTFYENILISGGTTMFPGFPTRLQNQLRTIFEETVLKGNTAYAANSKIKIKVLD PARRKYNVFIGASFLSNVMKDKKNFWISKEDWEEQGAERAMMKVMESLI >CAK79652 pep:novel supercontig:GCA_000165425.1:CT868341:75579:75936:-1 gene:GSPATT00014582001 transcript:CAK79652 MSNPIIEDSGTGYLKIGFAGDNFPSHSFPAMVGRPTLRADEQLDDANLKDIMIGDEAQPY RGLLELTHPLERRSCEKLGGYGINLGLWLQEIRH >CAK79653 pep:novel supercontig:GCA_000165425.1:CT868341:76082:76897:1 gene:GSPATT00014583001 transcript:CAK79653 MHSNTHSKTISDIRMMDQKSGVTSPQYLSARTIRPSLFLNEADFHLPLISPQKRQVTDYQ IIKQTLPKSEAVSPVFRRTQIQQDSQDQFLFVQTTIRKLKKQKLYIKDSHRSQQFLPQIE DHNDRNVHYSTPKNKISTLSSLPTDSQVLLSPVSKQSTPIVNKGTPIQTNDDRNSKEFDL TQPSHQSSLPEQSSSSSNQHQPPHHKKTVSFRQSIFVIDMNNGQVTKDQLSENSKPLQHI SKPEIKEEQHDEDKRIKFRRTRNFHLSDKLV >CAK79654 pep:novel supercontig:GCA_000165425.1:CT868341:77315:80408:1 gene:GSPATT00014584001 transcript:CAK79654 MRKGTKKQTKKQSQLQSIDEYKLINIFGINHDIYVDMMEDPDMKQFQVILKLEDQLQESS QIVLLSFLNFYLKLVGLDQCNQYLKGMNLEKIKLEEIINKLEQLQTENQQTLIDEEQCLK VENNKKLINFFDTLFSTQTELPFECDHFFQYTFNWLATLSQTKFRTARLAAIEIISIITT SILNCLEINENKDKQKEILQFINNIFEYLVTNRTQDVQSAIKKKSIQILFSAFLNNSIPN DPYHNQLGYLFLSENHECREASMIELEKIYKHLVERKKSLETVLEFLKGEQEAILSLIFD PNESVQLRLINFLKALSQSTKINSNFFGPKTCQAFIRILFSKNLRIRYDSTSILHLFEKP IKSVEKLVEFYLKYAPFELQSYEESFNFIISFIHSHPFVTSPDQYAAFFDSNQGEIFQSL GLYFYASLLQYEFKYTKFWWLEESYGPPQYNDAFTNYLISNTKVSLDLIDENLQLPYLHI FANLNFKIIQSKFIKSILGCIQKIFQKSQNIQVINQLCKMVYNCSQVLQDSEMVKENVKE IIKYSQKIFKEKLTLITIQKIECLIKNNLANAKMILNPFNQIFEIKEDKSIVIVANLLAS SLQNYLKVLLNQMKNQDIETESDYKEIRESFYNYCFSILENRNKERFHLQIFQLVITNLI YTNNFKLQRLGLHQEIQTQTYSLLYIYLHEFLFTELIRQSNREEDNVNEIKRRTTHSMIE NLPQLSVQQMELLNNSIKLINQCPSILLNKEFGIQYLAQILELMKNHTYIRDLKISITQA LSQSLQKVIENDQVSRRDEFWKFMKIYSQHKQQSQSDDLFNEFVKLCINTYLASVNKLNV FEKRMKFINNCAEMIQAGFQDIDNLHLFGLLNFIFHKPSKLLKVLQIDKITYQKFYAFYE SKSQEFHQSNRPEDFKKEATKQEYSFRNKLKQSSGLTKKDVNKMVNQAQKSGQKESGDKT SDKLSIEKGIKNNEKEKKQQQQQQDSDNDEEMEIPFSKSMIK >CAK79655 pep:novel supercontig:GCA_000165425.1:CT868341:81110:82664:-1 gene:GSPATT00014585001 transcript:CAK79655 MSVNSYFQIHMQVNYDCPKLAQKCSPIYWIIKLFMLGLVLLNLLQLSFTPNDDISEQLFK DRVSYFDILILLKNIQPMGATCCTGTPQTQEILTNAPIQYEVQVKGKDHLETVKPIEGRA DNIEKDPVKPQESQNVQIQEPPLSANPPDDALREQQRQQIWSHGPNPEDAKQYDKDPFIE NDQVRKTLEKLGNYHYDETSVSRFHDCIELGPYQFENGAIYVGQWKNHQRWGKGKQYWPD GSVYEGFWSSHTANGKGRLIHADGDAYDGDWVDDRAQGQGTYYHVDGAKYEGDWLEDQQH GKGTEMWPDGAQYVGSYVNGKKDGKGKFKWSDGATYEGDFRDNNIEGFGEYVWADGRRYK GQWLNNKMHGKGDFNWPDGKQYSGDYVEDKKEGYGIFKWSDGKQYKGYWKDGKQHGRGIL VDRESREVEAEWVEGRRVRSDN >CAK79656 pep:novel supercontig:GCA_000165425.1:CT868341:82838:84552:-1 gene:GSPATT00014586001 transcript:CAK79656 MSLSHIHQENNYSSEIVNYQLDQLLSDFEHIQKLTKIRGNDSPFLKCCTYCKIHHDYDSE HNLVVTQYTDSQFISDLISEHDTLYKICKWAHDSIEKQPIRDSMSPQDKAWSHILSKNYN EVYQYLSDEEECILNSLKPFGSGEKLFDFMELSKYQNENWVLTLELLQKATQRAPYDNNK LYLWATCQSVEWQSGISQFNEDLWLNVRAELFYKVMSKHNEIYPKMIKCINFGINKPFIQ QPKFYELNCGYMNDEIDRIGKKFIIEIIKYITSKNNIFQFTQLIRDSLITLQAKTNDLKQ AALRSVCYFNIALMISDLLDVDLDNEFHLLDLIKEIVELIEQEFIDRNTKLQYLIQLLSI LNRQKAFQYFISTVCQNIIQSQGDSLRSLLNYLTDFQFDRDFITNLAFEQFPKLSPYQQN ELLSKEFQIYFSQNSLQHQVNSLLPYIIENIENFKDTFRFLLKEYQSFLQQESEYFEVYI KEEIEQMLNYLKNKKIPPYWFAKYIQFILSNPNENQMQIFGIMEAIKLNDQLFINLSIKR ELLAQLAKKLTSIKSFVNHLLQ >CAK79657 pep:novel supercontig:GCA_000165425.1:CT868341:85015:86445:1 gene:GSPATT00014587001 transcript:CAK79657 MKQSQQSLKGIPNIRTQYYLSSSLVGSQNPQKINKGKSTYIDDTNKTKETNCQINEKSKS QSIITYKKINVSRDNHKSDDSQMLPQFKKDILKSRQSQQVIQKTKQQQRSDSPLIPIDSL PHIEPSKISTKNVGIVSAYAANTHQGLIRTYNEDRVSIILNLMKPASNTFAGQWPQSSFF AIYDGHGGAACADYMRDNLHQYIIREDCFPSNPRLAISRGIEKAEKNYLQLADQKVLDKS GSCAVIALIVDKAIYIANIGDSRAILSHQGKCSSITVDHKPSSENEQQRITKLGGQIYQA QIQVSSRLAVSRTLGDAEAKLPKYGGIQGVISAQPDIFQITVTDQDFLILACDGIFDKMN SEEVISSAWTVISNDVHHFAGKAVENIMRQSMSRKTVDNVTVVLIAFPQLEKKFKQQQQ >CAK79658 pep:novel supercontig:GCA_000165425.1:CT868341:87505:87831:-1 gene:GSPATT00014588001 transcript:CAK79658 MAQQNNHLHLRYWSSSDWDFKKKKELQHQMLVTRQRFFESMKPQEVKRINTTNFHKYVID IREYYEKKNQQLLSSKKYSRSTKSLKNLFSNNNLILKFKSQTFFPDIN >CAK79659 pep:novel supercontig:GCA_000165425.1:CT868341:87875:89876:-1 gene:GSPATT00014589001 transcript:CAK79659 MDLDIAIEAREKVRNPTIKLNKKLAIAIGVQALTKSEKKPFDELVSQEPTNKLIAVHFGV GDQDDYNTICDFVKQFGQNKVMIYPVRNYAFIEFQTILQSQQLIQSLQIFENIKYANLKY PNKERATMFFYTNQQDLTGGGMCDIPNAMRQVNVPGLYLIHDFITPEYEKYIMDLIDKQE WSKLKQRRVQHYGYEFIYGDNTVNVDQPAEKKIPAFLEDVRAKVSDLVKPQAEINQLTIN EYLPGMGIPPHFDVHPPFHEKFVSISLLSGLVMSFKSYKGEEQHLYLPPRSCAFFTGEVR FAWFHSIASRKIDKIEGETHFRSRRLSLTFRTIRNDLKCDCQYKFFCESQGFNPETMKNK NPLLQEYLAKQNQNGIVQDNKVVPNKTQEEIQLLEDQQQQLLQIPKATEVEKKYVYEIYE KIAPHFSSTRYKPWPKIEQFLKSLEPGSLVADVGCGNGKYLGSNPDISMIGTDRSENLLK ICKEKSEAYQVFSADSLRLPLKSEMFDAVISIAVIHHFSNKILRQQAIRELLRICRSKGL ILIYVWAMEQEEKTFNEQDVFVPWNLQFKYEDEKVINQEVQQQFKIDDQKKTVVYKRYYH VFKQGEIEELLSEMPGFKIVNNNYDHANWVVVLQKD >CAK79660 pep:novel supercontig:GCA_000165425.1:CT868341:90155:91143:-1 gene:GSPATT00014590001 transcript:CAK79660 MIPKVVGYAAFQSYGDLPYPSLKAGKRTLDSNEVWEQTVRNEDKESFYKNSNYHYSNKQV SLDSTVQPPHYKNVYKANKAHLESATSSDFFQKTGNSAITPENPLLKTGCEHWKSTYQAS IVDPYAKNRAMPPEWSLNRPPYVVETKVGPSEYKKQYGQIGENPRERLNQLDETHPRAFD ELRLGTSQEAQHVPGYTGFIPSIITSSKAMEHGNGTEPRTDFMKTNLKENYHTKIPGYAG HVPRSVVNQRDQPRQSCFSN >CAK79661 pep:novel supercontig:GCA_000165425.1:CT868341:92649:92943:-1 gene:GSPATT00014591001 transcript:CAK79661 MGTCQTRKSKINSQNTATTPVSQFSNKIISYSQNCIDTKPKPIFVPQRPPNVEYSDYDPT NPHRQFNVRINGVHFEVINSVEEDILGQLD >CAK79662 pep:novel supercontig:GCA_000165425.1:CT868341:93409:95061:-1 gene:GSPATT00014592001 transcript:CAK79662 MKSRGLSTPGKQQQEVLQIVFDLGEKKQETLHVYDDSDITMIAKEFVNKHSLKEEATILI EQTIISNLQDSHCSKQSIFDRLHNEAAVKTQKRQQQFITNSLSQTFSAQKTLPYNAGEQL YLKSKQSRVSEHKQDVLYSFKPYISEKSLSLAKRPNMPTQDYLIMQGKQMAYRKEQLRNS RMAEQNQYCSFQPAINPMQIRLQILIRSQKISQEKERNYSSAQTSSIHDRLYQQGLNSLK KKKDASQMINYSCMMSPIKRKPSDIPFLERMQMSIQKRQKKLEETVLTEEPTHDITTGQK LYRPIIGRPPTNERNNSNLPIGEYLFQMRTVQEDHHNYLIEQQRQSLMNSKAKSSEKSSQ IYEDKKRKVLEDIFALLDSDGDGQISASSIEISGIQAEILSILAPLLCEMESIQAHLDLE QFIEAANRLIQSLNVSDKNKLINGLRPKKMLDLDQCTFQPKLCKHSMKLVKSGPKLQQNK LELVKQEQEQKVMQECTFKPQLYNPLRIYDFMLNQ >CAK79663 pep:novel supercontig:GCA_000165425.1:CT868341:95087:95862:1 gene:GSPATT00014593001 transcript:CAK79663 MRKQNILSFTRDETKKPSINRERHVNHQMAISIDSPKEFQFLHAKIEDILFLRPSDVANQ QGNLLLGQKIWNKKELNGMARQPNLSSIQDKKVNESIQETEDRVIRQRQTKCRFNDKITN RTYELELNGRYLDQKCSFQDNYTLYSKQSFKLQTRMFEQFKNAQLLQTRGNQYDCFLQKP TYFNYTKQINHRSAKSKLNPLNKTDINVTLFDSPIQYQNELNRKIITSQILQSIQQQKRK RTISEY >CAK79664 pep:novel supercontig:GCA_000165425.1:CT868341:95903:97387:-1 gene:GSPATT00014594001 transcript:CAK79664 MNKYSLIFLDKGSEREYQEQKINQLRKPVYFFSAIGLFFISMTKMITEILDNQLNHLSII IVTQIYLILSIFIIKWKPQLVQSCLVILNYLLLAYQYMLTSTISYEAHQLFANNFVACNF VIILAVDVYQGIFLVTTTMLIRLGIAIYEANNPQYNVYFATILLIFFLSFYIRKLNYESR SFFLLSLKDNLMEQILPIIVNKSYILFQFESSHLRFSLISSRQLEFCCDNSENLKQFLRE WNYQQQSLEQFCFSDIRRRQSQEIKSYSKQIEIKKGSKQTKMITICFFSYQQPTFLIKFE NNPQAQEKLKRYFFKTIEKERHIHFKIFKCIVSNLSISLRQANMHQLHLLRINCFKQILN YKILNNQFKQQEFSIDPILSRLKEFFKFKQINYKIITSQEAKVKMIKTYFMRLIYDIFNE SKKDSTIIINLEHFQEPYIRYYGREINEFQDTAILTVVKTLVTKKQKLNIGNKTTILIML NEEPNYPFQFQLQN >CAK79665 pep:novel supercontig:GCA_000165425.1:CT868341:99151:100467:1 gene:GSPATT00014595001 transcript:CAK79665 MNSKRPSQERVSIQFNQIQYPLNPIVNSNIDYSKISGLNSKNSNQNEELKMTNRYLQTQL TLYQEKVGALEKKVSELTNQTEYLQNELYNYQFELEQVYVNTQKQFSQQEVSKEEIEGKE DNINSLQAQVQVLQDKITTLHNNYQQERLQMKQLLLNKELDNKLLYSELHKLQINIGQKS VVEDKQQLKAVMNEYNEFKIKKLKEIEQLQDQINLYQEKLKQFDQIKIEEMQSKYNEIIQ DQDQQKKLENQLNAQIQQLQSQLSILGHQCQSLKDEKNQLNKEKQAIETYYLDQLQKQDN QINLMIKSNHISDSKVIIQKLQSQIESKDKIIKELSQQKCNCSVNAQYYKDLEQKNTTLI LEVERLNSILKSKLVELESNEKKLQIDKLIQQSQLDNGIFQKVKAQK >CAK79666 pep:novel supercontig:GCA_000165425.1:CT868341:100519:101264:1 gene:GSPATT00014596001 transcript:CAK79666 MLQSEWELSIAIIGDSGVGKSTFLSMFMTNQFVLRHNDKITIGQKEILIGQKPVQIKLID ISGKEPFRSMAWVHYRTCIGIILIFDLSSRDSYENLKKWYEEIQQYVDQEKLVIRLVGNK CDKLFYDDMDQDKEGGNDRHYIQFEEGEQFAATHQMQYSQTSSSVFSLAQDENYMSIPSI ISKFTQEILEFIKKHEDGGKIEELMGIRSLKKQAIVQEVKKKINRSSCC >CAK79667 pep:novel supercontig:GCA_000165425.1:CT868341:101395:102239:1 gene:GSPATT00014597001 transcript:CAK79667 MSSYAYLFKFIIIGDSSVGKSCLLLQFLDRKFKLDHDTTIGVEFGSKTLNIRQKNIKLQI WDTAGQESFKSITRSYYRGSICALIVYDVTSRDSFENITRWMEETKSYANDKITLVLVAN KTDLSDKRVISAEEGQTFAKKHDLIFVEASAKTGFQVDKIFQEAAEAVLKKIEQRDIDAT NESIGVRIGSQMTEEMVEKQKEQQKPKCC >CAK79668 pep:novel supercontig:GCA_000165425.1:CT868341:102740:103598:1 gene:GSPATT00014598001 transcript:CAK79668 MASYRPLNDGQQNFTQATNPQIVAGFEILRQSVRLGMQQSESARKSSMKAQALVSRQSQM LNQMKEQKIMVKDVIVPVDTPTNTKQDVLKKAQNKGEEYRNMDEHKVDIITLANRLGTSR EEGLSDDQAVQKNHQYGDNKLSEKAKTPWWIKLIKEMVQPFSILLWIASFACFALYGVNP DALGAKSNLWLAIILIAIILLTGSITYNQSAKADALMEGFKNFLPQNCIVIRGGQRKQVP AEKIVPGDIVEVKMGDKIPA >CAK79669 pep:novel supercontig:GCA_000165425.1:CT868341:103624:106653:1 gene:GSPATT00014599001 transcript:CAK79669 MKVDNSALTGECDPLLRVVECTSENPLETKNLAFFGTLCKEGSGLGMVIQIGDKTVMGQI ADLATGGETPETPLNIELKRFVILISCIAVGLGILFLILSLGYTAVGQAIGIIVANVPEG LLGCITVSLAITAKRLADKQVLVKNLEAVETLGSTSCICSDKTGTLTQNKMSVENVWYDG LKRRALNKLIAGKNAEYEYETNDPTFRDLHDCAIITSEAKFNIQAKDKQNINWLDTPTIG DASETALIKFFQPIEDIELTRQRRQFAELPDKSLAKMPFNSTNKFSLCIVNWETQDSYYC VYIKGAPEKLWTFCSYLLVEGRNQAIDEQITQKFKQVNLTFGKGGERVLGFAKLHLPRAE YHKGYQFNLNSVDTLKFKLENFTFLGLLSLMDPPKVTVPQAIKKCQSAGIKVIMVTGDQP PTAGAIAKQIGIITGKTVDDLLDENPSMAYEEAFRLAPAIVIHAAQGENLPEEMKDRKLR SWCSKPQVVFARTSPAQKLMIVRACQYLGHVVGVTGDGVNDSPAIKQGDIGISMGISGSD VTKDAADMILLNDDFASIEEKIFDNLKKTIVYLLTSNITEVFPYVGEIAIGLPLPLSNAF ILTICIGTDILPAISFAYEEAEIDIMTRKPRKKDDHLVSLRLITHAYLLQGVIATSAGFF SYFSTMNEYGFPPKLILSFMNTPYQQIPWAPITLANGQPYAPPPTSWVWDMPNMNNPFLT QQPYSPNWQMAPVLVPPSENQIQDTVGFQNIPLNWINPELIYYDLRYIFVTYNSTSNRWF PVFEEWNNQFSDQLCRYFDRSDSLLEGSGYPINTNACFKTAALKYAQTSYFVAVVLVQWS NVFSCKQRKMSLIYSPINNVMFYGVILETIIFLCIVYIPGVNTWFGARPVDILNLGMPGL PYSMCLFCWEEMRKYFIRNFQKPSKYEPNFFEANSLW >CAK79670 pep:novel supercontig:GCA_000165425.1:CT868341:107427:110765:1 gene:GSPATT00014600001 transcript:CAK79670 MNISNEHVQNSFSLQDEYFQGPNSGMISGRIVLGDGDSNRNLPASWNSFTVPDQQKLGDI SQQSIHVMPIDMHKSMKNMRKSEYQSAYAIEDEEEQGGKPQFLKLIIAKSFQNNFINNLW NRSYLRKLHQLSFYQIQALDDLQLENEAYLHNDKRTLTRWEAFKRFFSYIEVFTPYSQLI FIWGLFQILTYLLIFFWLPYKISFKLDSIGDFLGISVYKQSLEIVFLSILSLDVFVGLNL AFIYKGLIIRNRKRILINYFRQYAFVDLVSLSTVTLQFFILTNNDESNQMLIVQIVLCAI FYVLRLTKINKILAQIQEFFNLYGSLNDLVGLLKLTMMIVFIAHICACVWHGLAFYNDGY SWLDAYDLRDKGNASKYNKAFYWATMTMTTVGYGDITAKNNIELLMNNLTMLIASIVFAY SVNSIGIFVSNMYKGAMEYSRSVTLINTFMSKNKIQFELQTRIRSYLEYIWQEEQNMNDE EVSSLICKLSSNLQEELQYQLRGNILSSCKVMIKTFSEKMIKSLLGQMEEQSFSPEERII TLNQLDDSSLYIITKGEVEIIFEGFNSLNERVQRNSLKFLYQGDYFGEFSFFTGQPRKAT AISRAFTKVFKIKRENFIKILLSYPNDYEKYCQLTHTLLHQDYSALQVSCYSCQSNQHLI DKCHYLHYCPDKESILKKELYPHDQPRNKRIGRLNKEKEVSPWLIQKYLMTKAKEIQQEQ QYLASKGTDFEDFEQHSNLMNDVYEDEIEIDVPSSLNQRSNSRSFSKISQKPNQQTMITE NEDDDSKNYSRKVVNPRATLQTAGFGGGMRDSVRVDLMKDDEYQEISEEESDEEKDQVLM QLPVPAKQNQEIARTQQQKITFTRKDSNEEVIPRQSSLRSHTYTQKGRQSQTIKRTLTPE KIFPPNSSDLEVRHHQKRPTQKRQSNATRTFTRNQGREMTTDINHTSVMDNQTYNQHTNN SIITDFDKMQMFIYYFPLNNYDIVLKRYARLQKFFGKRRLYPEFSRYSFFFLTIKKGWKL RKLGDKVTGLRIAESLKKPFTKTFQSIKTVKKIAHNTTGNGGGGTSPLRLQSFK >CAK79671 pep:novel supercontig:GCA_000165425.1:CT868341:110802:112007:-1 gene:GSPATT00014601001 transcript:CAK79671 MQNLKQLVYMRQMPSNLIAFSSKEGKRLFKEALDQNTMECYFPLAEQFITQLHPTTCGST TLVMVLNALKIDPGVQWKGIWKWYTEENLHGLKKEHLDNGIDLDAFSHIAKHNKVAIQTF YHPNLYEQQYEQKNFYDCQEKCTRIQNHHKIASVQTFQTCLEASSRMDRLFMVVNNSRKI MGQTGEGHFSPIGGIHLKEKKVMLFDVARFKYPPQWCDFDLLYNSIAPIDKDNNMTRGFA LITKTLDYDIQSQYSRQILQDWFKNKTESSSDLPNQLSVIFVYYLFIVLQRIEMQKTNIH TIPFQLALREESRYNIDQALIQIKGQKHLHSLISDFTFQYPDLITTISCLLYNILCGYQI SHRELTEEYELMRMILGI >CAK79672 pep:novel supercontig:GCA_000165425.1:CT868341:112026:114558:-1 gene:GSPATT00014602001 transcript:CAK79672 MNQSSIILEENKYHSAQQEEEERMLTAKACDLKKALIYRKFQQNERKEELEDINQLFDER QLNILNDLANDNGNYEEQNQIETIKQEVNKKLQTVMEHGMKRHSLYVMKKPLENKRVFKI QVFKPEDKFRIFWDLFTMLIIFFAILILPLDISFTIDSPFFEYFNYSSIAIFSLDILINF NTSYQQKGQYVIDRKLIAKHYLMAWFWIDLISTFPFDIIINASTQEIIQSDEVDETQTQD TQNQQQHSAQLANTLKLLRILKFFRFIKVVRLLRVLKLKKIFSKFEDYVDFSNSMISLYK VLKLTFIMLFVAHWLACIWHFIADQEDSSDSYSWLRAQGLQDSDWYVKYIASVYWATATM TTVGYGDITPVTSVEKIFGIVVMLLACCIFAYIMNSIGGIFVSMDYNEKLIRQKMGQANQ FLKSNDIPKDLQARVRKYLEYKYEKESTQVNEKEALDVLSYSLRVEVLAAVNTDLINNSR VFKQNKFEKELLLQLPFELEEQIFGPEECIFLEGDDPIEQENGQNIEDRCLYFLNKGQVM LCIQKTFTCLKTLDKGATFGELGFFSNKSRSASAYTLDFVYVQKLKKKKFTEILKKYQTQ NQLFQMNKHIIELGEDYSPLGLPCFGCQQINHFSSTCPKLHFITKYDRKQELIQELQQQQ QKFTKEFKRHEKIRYNSRGCFGLTNEVAKEIKQVYSAQEEEDEDRLVKLQQRNEEEPTQE GFEVMENQKEIKSQHQDEFERMYIMTAFFTQFNIDEIMKNYNERVDAILNLQSLAQERNI RTQYHSVKSNTKKSRIRSMSAERAVYFEKLIEKYGGPSQFLEKLNQDN >CAK79673 pep:novel supercontig:GCA_000165425.1:CT868341:114592:116954:-1 gene:GSPATT00014603001 transcript:CAK79673 MTTVIPLDQETNQLKASSQPNEVAIEDYDEFRRPPILEICLKHQSATEFAIADAKVELNK NNLCPCCGQPVILTQLPLTASILDFSFNGPGVSLYFDFLMFSSILISTFIIICGIYDLIA SSLGDTCEKLNASGILECTNSFYSKYAKSNQDNKDVDIAGSVLNCVTTLILIVLLFIYRK RINILANKIDEKSILASDYSIIVENIPRDAKEEEIRQFFSKINNREYKIQKICMGFQIQE YLRILKQKQDQEKTFTKILECERLNKPIPPHLPNKVDLTQTLDQLANQLDLYEQNFQTSL QFSGVAIISYNTEDEANSVCKFFRATRLHLILSQVLRVIGKRDIRRFRDNVIVVSKAPEP GDILWGNLGVTLLEQYKRKLITNLATILLLGICFGILFGLSYGQFSMTDTGKGEDLSQAE IVAITVLGVIASVLISIINNILAIIIRKFAELEAPATKTEFDISAAKKLGFAQFLNTAII TLIVNLVIIKEGEKSYFAVIKQGGLNQDVMLIFITNGIMPWLTNLFDPFYLYKLFMRYQI KVQGQSCNITQQEANEYFAGPIIDLSKKYAQLGKTLLFTFFYAYLLPLGPLLSLGSLLMI YWVEKILLLRRDSKPAPTGSEMAEEMIDFFGEFTLLLYAIGCLIWESILNETIFPLTWVQ FAIAILNFLVPIDTIFDLFWKTEDISTQEFYDTQCYKFWDDYDRRNPITAEKAIETFFKS TTAGQQQQQVDGRGNVAMIMQDYKKQDKKKKYKNK >CAK79674 pep:novel supercontig:GCA_000165425.1:CT868341:118374:119411:-1 gene:GSPATT00014604001 transcript:CAK79674 MSQRLELLQKQMPTFQYTIDGGILTKEERQFYEENGYIVVRQIFTPEEIKEWTLRFREYA DGQLERKYGMQVVRDISLVKRGGGKQLGEEAITKIQDWQEDEVLFKFCQNPKVIQYLKSI CGPDIKSVHTMFINKPPNMGKTSRHPIHQDQVYFPFGPAHRIVAGWAALEDTNRENGCLV IYPGTHKVGPVEHCYPDWKEGVNKAYWGVKDMPPESAPKIHLEMKAGDIVFFHPYLFHGS GENRTTNFRKSICCHFASSNCQYHDIKGTFHENLANDIIAYASKKFGHVNYLDIWRFKCR LVQGQADPLGL >CAK79675 pep:novel supercontig:GCA_000165425.1:CT868341:120627:120932:-1 gene:GSPATT00014605001 transcript:CAK79675 MSQFIKATLPKKTFMVEKGFYSINLEDGTIQKLGPEKKCYKLPSYSSHTSPNAQKDLFQA KSQQNQDSTQRVFKSFDYKQNNQEMRKNQENTRKLKSQRNK >CAK79676 pep:novel supercontig:GCA_000165425.1:CT868341:120963:122449:1 gene:GSPATT00014606001 transcript:CAK79676 MNLQQQSKTKVFNNQYSIIKKLSSGSFGVVFLGQDIISKQEVAIKVEKEENEEVKSLERE VQILKILDGLEGFPKFYWSGEDLGYNILVIQLLGKDLAFHFKQLKKFNLKSVLTIGIQAV SLLERAHLNGVIHRDLKPENMILGLGNEISKLHLIDFGISKVYRDANGKHIPFKEQKSFL GTTRYASIQAHLGHELGRKDDLESLMYILLYFLRGQLPWQNMGNVTDEERTKKVGELKLS LEDELFRDQIPEFQKIYNAIRRLQFKQEPNYKMIIQELRKAAESSNIVLDGNYEWSEIRQ STHFQTDTNQNLSKQNIQFNNSNEMKKSIEKQLSGAIQHGQEYQLILSSQNFLAPPPLSS RHNSIQRDDARKNSNLTQQSSIGNYCQSLNPNYQLSICDDKSGSKQDFFQNKQLSFDDEK ISNFDSCEIIENGDLQQPLVQKYEKLRKGIKINLIQSLKKQMK >CAK79677 pep:novel supercontig:GCA_000165425.1:CT868341:122534:124498:1 gene:GSPATT00014607001 transcript:CAK79677 MQIKEPNDIQDNVDQQHLIAEQDQSGIFIEPNHQINILARNTVDKLQANIQSSISKINQL LMKAQDIIMNNSQNQDLCQKAVQLVQVASIGLMQIININELLENKALAVEQNVSSLNITC TRNQLNEYTTNLVLDYMNHIILENILKLMDNFLELEKEQKRNLAIYLAKLQSCIEILPGA TRVQHNDLFAIPPDHQEWQLINPFIEKKQLASDEQIKKSYDQVSFGILLGNAMISKGSEY NGELMKTFMEGFGVLYYGLNKKKMKSRADHFLVEAKKEDAFKAWNLPETGIIKKFLPAIF PSISFNKKIYIPKFFRKINKEYILEQYKQGTINKINNDCGIFYPDQMISIDDLQKDDINQ ERVQVRILCHEDLKFKGVDGFMSLFKSTKNKDLNFDKIVIHIHGGGFVAMSSRSHQTYTR KWANNMKVPIFSIDYKMAPDHPYPEGLDDCWQAYMFIITFIQKFFNIIPSKVVLVGDSAG GNLVAALTIQAIKAGVRVPDGILLAYPALSLNMKSFTPSFLVSLDDFLLHHTVLKLCLNS YVQKEFDSQVDPMLSPSMASDEILRQFPKTRIAVGTYDPLHDESFRLLQKLVQLKKDVKL IEYQSMPHGFLSFDIINGMKEAKQTVIDAQNYLLEMLN >CAK79678 pep:novel supercontig:GCA_000165425.1:CT868341:124526:125213:1 gene:GSPATT00014608001 transcript:CAK79678 MSAKNFRLIHNKTLPSFQYQNEPLQVNDKYQVYMSVQPNAVEQLIVKDQAKPKTSILDKF VDTDKNRSRTSHNPGLLQQNSLKNEKPNQTVQFADDVQTRQQHIQNQNQTNINHNNKKTV TLKNLQSTLLFDERTNSVPKAAIQNHIIDDIVKNGDIILKQKGLLNQVQNLKRLKLLKQY EFNHYCLPGIGKSPYVFNDAHSKSTNPGYSRNKEGGKFFTR >CAK79679 pep:novel supercontig:GCA_000165425.1:CT868341:125772:126520:-1 gene:GSPATT00014609001 transcript:CAK79679 MQKQQVEQQDGFVSFMLEKDDSQLINPDETFLKESNNQDYYLQLSRQFYGQSQRKDSKYV TITKATKSTQINPGVLKQKIIQRVINTNAQRGKFAASVPTVSEQLQKVLIQKLLSSSKPK KQPNIQTPQNHKFTLNKYISQIKNSNIQQHPFKLNLPVTNKKINIYEPRTHRLRIEDSNQ DQFNQFTQNSHRSTLNSSTKSTTKLEKNYDISYPIKTEAGDDNKINIFKISYRNKQK >CAK79680 pep:novel supercontig:GCA_000165425.1:CT868341:126862:127366:1 gene:GSPATT00014610001 transcript:CAK79680 MSTELDGLKSEVNEQNNFMIPSLKEMVEMLESDNQSKDEEIKLIKANLQKKDQEIASLKI KLVGMDSNNSQMDHNQNQIEQFQSQIAVLQQQLSELKNQIDDKNLEIEVLKHDHQEELKN LITQKQEIPDNNQTDGEIEKLQNIISQLQQDKSELTIQYY >CAK79681 pep:novel supercontig:GCA_000165425.1:CT868341:127514:130810:1 gene:GSPATT00014611001 transcript:CAK79681 MKIQFRNNRLKQAIQINKISQLRLSVELLSNQLHIQEQEKHKLETENNELKLNQQNQVEN IGTLLNKMESPRSEQNSQNNEEIEQMQNMILDLQQEDEANKKKIEKLNSEVKQLKQQLQQ LNQLNQEFQLDIEQKNIEINEEQAKVSSLEEELVTYEQKFADVVQNKQKLSTEYQLAQQT LQQFDLLQQDNVQLQLLLNSKTEELEEFKMHNKFETQYLELKEDFDQFKEYVQAQLLQKD EALAEQSQQLIDYELCKEQLIMFNQQCQLLQEQLNKQTENNEQLQDQYQNSLLQIQQINN NNLQFQDIQSQNSFLKQEIETVKSDNISKQEIIADLETQLKIQQQLEEESPLKLQKLQSE YSENRSEYLNHKEQCQREIHQYKQEKTIFQSDIQNMKEMINAFVSQNKQYQPQGKTAEEY YEKLVDFVSDDKFVHQQEEQILLKQQLELYQNKVFVDGQCQMKPEVNDQESITNQIEIFD KSTQSEQVDSSLSGSMWLNNDINNSKDDQYQQMKKKNEDLDQLLKNYEKEVSSLKQQNKL LQKKIDSNQKSNPQERLGKRVSLFEQTQPRKSKQIHLNLCESQQIDDQQREYLFMKELIE NLKKDVKQRSQQNDDLMNEIKQIQEKHQEEQYRLEEQLQSQILINQTRNKSVDINQTIIS QVNTTMIQLNQIELFLVVKSQKNFSFPYIEFNRKQIKFYSQGKTRIEKQIYETFTFDDVF LDIQKVRQYFQFYGKISLDQSRMFLIVGQSKTQKKFLLLMFLDEYYNSIKEQKFKLNYKL RLQIQYSERIGNNWSILDQLSKDLHIDNENLNSSLQQLDLIIQHIKFYLYGKNQQTKTLG KEKIRQLIITYSIVFKDQTYTQQFIITTIPVVPRCQFPKFLKKIFNHTPSLQFKYYCLLT INPILLHYNQTVDIMYLAKMVYAQNNQEDLNKQMKIDDESVRQVRQANGQVIIKNQEIDI LKKQIEHFKKQYNDMLQKQENKQQLFQQIQFQLQNVIVDIKLKMSQSKTNKNSKIPCPEA QFYDKLIAQLENLTRKLSPIQQVLNTDRSISPSMQNY >CAK79682 pep:novel supercontig:GCA_000165425.1:CT868341:131462:131703:-1 gene:GSPATT00014612001 transcript:CAK79682 MGNSNSVQTQAQPQQECKACSACPETRQLRDECIIFNGEEQCKKEIENHKVCLKKYGFE >CAK79683 pep:novel supercontig:GCA_000165425.1:CT868341:131859:132290:-1 gene:GSPATT00014613001 transcript:CAK79683 MFQEMIYINLICFILLNIRYYILVNWTDNCATQIKAQEITSTIIAIIRNFLFILTFRFYT LKIEFKRNDTIRQTLIEINQIIKLIVKILFNQKSKSILILLKYKQQLYLADGTQFVYLID KYKQSIRDYKENVQLWTNSQFWH >CAK79684 pep:novel supercontig:GCA_000165425.1:CT868341:132779:134360:-1 gene:GSPATT00014614001 transcript:CAK79684 MSAFRAFMIYDYQRSFFLMFIRRGFQVSPKWNGCRKELENKLQEMVKEPKDNFEQPTDYG KFKCKYDKLNKCYFILLSSNEVREDYQNQVLSEIYELFQSMPNYQKIKKEDLEKKETETI EKLLEMKEQDYARKHGKVKAFEEPYEAKPDNMLQQQSQIQSQLKVGSQINSSSNQRAISQ IQNGSVGYKQSSAFNSDKDLSADTLIKIFRCFMMWDYNRQFFFMFIRKGFPIDKTWSQER VKLEAEIKAKQLDPNDPKAKPKEPPEQFSYRGNFGQYRAKYDKKAKCYFILLTRFKIKED PQIKLLEALYEEVQKVDKYISLKYDALEGKKKRELEKIIDEHEKELANQVSQRFIDTKLM ESKLGFIHSPERVSNNNLSSKKSSIFISNQLQQQQQQQQSLINSAQSLQQPQISQQKQQK LQQQQQQKQQQEENFQKKEIQDGPSIDEKIDDTENIPYYQEEGELANRVYLYYTKPLQVS QYIKSGN >CAK79685 pep:novel supercontig:GCA_000165425.1:CT868341:134734:135261:1 gene:GSPATT00014615001 transcript:CAK79685 MFKQKMLTERMLILKNRFQNNLRVIDQVKIDNMQFESQQSIQEMMMLYQKNCTQLSEFQS QIQELKQRVQLIEQKFQEIEATNKKKKKRRTAAEIDKNFKCPYKNCEKVYGSDVSLNLHI KFKHNGGNKSERQKIIKQLQAGEISEKDIQNINLPPV >CAK79686 pep:novel supercontig:GCA_000165425.1:CT868341:135430:135929:1 gene:GSPATT00014616001 transcript:CAK79686 MSKLQDTNRKFNNSKQRWETLTANIKNKENIQVNNKITVKKTIKKYSTALTFEKTKPLPQ RTITKYFKLTNYKKYPHLQLYDSKGSVREFQCYDDKVLNIPPAFNQIISQSMDNDCQSDN EQVESAVRQLSYFLESTLNQYKQQHSQ >CAK79687 pep:novel supercontig:GCA_000165425.1:CT868341:136121:137790:1 gene:GSPATT00014617001 transcript:CAK79687 MNPYKENAKLSLDQLIEKRCGTGRYQVLALSILVFIDLNDGCELILMSFLMPILKSEWQL TSLQIQVLTSIFYLGMVLGSILTGFVADRKGRLKCIYLSCIIQFFMANTFLLCTNFYHMI FARFGYGFVYGFSIPLTTTMISEITAPDVRGRFLIIINFFVSVGKIYAFLLAFFCLENFN QGHWRLMMSLSSTTSLIVGILAWIYLMESPRYLMGSGQVVEGLNIIEEIIHKNENNTGII GKLFKTVQPEVSSDPFKGSKYGYISAKERIAIEKWVTKVFRSEKRGTLRELFSKNNKSTT IRLWIVWFCINFMYFGQLLILPFILGQNKKTFVDYLITVLGEIPSIILSLLIVEIPFLGR KNTMTISFFCATIMHVWSYYASWPYFFARFFMKECWAMLYPYSTEIFHTSNRTLGFGSSA AIGRIGAAISPYILIPLFDQEAHLPFLAFAVSSVISMLSTITLPYDTVGKSLDFQNSEGE VESNKEDEIKEIMMVLIEKKNQN >CAK79688 pep:novel supercontig:GCA_000165425.1:CT868341:137819:138701:1 gene:GSPATT00014618001 transcript:CAK79688 MDPNAQINERYTKLQEKLGALQFEVDNTKDAKIDEIYDRINQAKSELKDIINNYSEQLGQ LTGQFQDLTKQFEKQNEKYSSSHEQKLKEIKNLESKLQKKLDDDTKANREQSEQVLSGYD QQVQELLQQIGQEIKVRNQQVNIINTTLQNDLPTLWQYNVQENQERVQEDQSIVKKASQE ISKLFDQANQGKSQREDAEVGIFNMLKEVVIRVKSELEEERQVRMDGHEALLSILEEAYE KMEETHAKVQKQKAALQESK >CAK79689 pep:novel supercontig:GCA_000165425.1:CT868341:138763:140388:-1 gene:GSPATT00014619001 transcript:CAK79689 MKQANNSYQLQQFDPIEVIDNLETLKKVIKQKDIQIKNMETEFQERLNTFQLAVARDQDG LKQDIISFLLKDNEQQKKIEQLHINYELYALIIIIIRLQNQLEETFIESNNKIKTLLDIN DKKDYEIGQLKQQIRDTEERVNQLRKNSISKDRNMVETETTINKKVLDLQRREADLTFKL KQALDKNQQLQNQFENYQNEKEVKLIKQVEHLKKQNTSQLNNISSNYEDQVKTSKEVIEQ LQEHILNQKERFSFIQDENQKLKYEVEIANAKINQLLTIIDKLQQTEALHDHTRKELQQI EDGKKSLERNYKLEIQALQIQLEEATKYIDLTTNLQQKLNKKDSKISCLQNENQQLQQLV SDLRHQVSSVEQHFQLNVDEQRKANVLLSNKEYELQTIIESQAQNFVEQELKLKQSYEEL WLDYQNLQYAYELLKQKSGEDSFRLKLYKTNEKKGSRALHGSQTRMTIAERDQQSNQETI KINDEAFLKTLENYQNQDQAKQTNKKLDRNVYVKRG >CAK79690 pep:novel supercontig:GCA_000165425.1:CT868341:140731:141014:-1 gene:GSPATT00014620001 transcript:CAK79690 MEQIKLQIKATDMDEDFIKKVTDITQSAMQQFRSEKQIAHHIKYEFDKFDQFGWNCIVGR NFGSHVIHQTKKYIFYQHKELYFLLWKA >CAK79691 pep:novel supercontig:GCA_000165425.1:CT868341:141096:141789:-1 gene:GSPATT00014621001 transcript:CAK79691 MSRQYDDKHILGFYTENDESSHSNSKESQNSNEKGNIIRLSVSKIFGNKSINNEKNQTKN LNKIKRMKMFNKTYRRKNSQQNDFEQVDQKQDQHQKFQSFSDDKDYCQKLQGKCHSRTNL PKYVYPGETKNMYYNICQNLKHFICKYFQSQKSYQSYDEIKKFVESPQRLGYFEFKRMLK ESSKLQQITRMFFAQLGWARFFILNNRVDLEQYFRYKPNFLIDK >CAK79692 pep:novel supercontig:GCA_000165425.1:CT868341:143033:143991:-1 gene:GSPATT00014622001 transcript:CAK79692 MNQGNQDKRKQRDYEDEDSEEEEVEQPINVHNKGDMEEEVNLDFVFLDPNQKQFHSIKTF INGYLEGISFKSSELANIICDQVELGTMVGQEDEDNVFGFTTILNIGEIKSNAIGEILHY VDTKSQQYNKQHQQLQHIFQTPKKIGLFINERILNLAPQLVPILHNQLKEDINWLQKEDP SNSLTNLDYLLVITKCFKDNDQQKQTQKKSTSDLNDLIFQKFEDFVFLQKSVVSFRFLSE GSKQTQQVSDYMKTEQEGQICYRLIYLIQLKDYLAQIANIEQYVQQ >CAK79693 pep:novel supercontig:GCA_000165425.1:CT868341:144014:145515:1 gene:GSPATT00014623001 transcript:CAK79693 MDQTKKKIKPNQITLLPPDTRVFVTLINPYGEEAQKSLELSVNTTKEELQSILQQLVKTE EDQVYSFFHNNIELVDTLNQLIASDPEYKLENTFSLTYHPQSLFRIQPITRQTAALEGHE QPVLCVQFRTQGDVLATGSGDTTIRLWDMLTETPIATLKGHKNWVLCLAWSPDCKYIASG SHDGQVCIWDVETNQLKGQPLIGHTKWVTSIAWQPMHLDEECTLVASSSKDGSVRIWSRI SLSCLISINAHQKAITKMLWGGQGYIYTASEDTTIGVWNKSGKRVQELKGHGHWVNSIAL HTDYTIRCACFSEGNTEINRKQAKVLYDKMLNGKNERLVSASDDQTLMLWEYTSSKPKVR MTGHQQQVNHVQFSPDGRYIVSASFDKSLRIWDGYNGNWIATLRGHVGSVYQVSWSSDSR YMLSASKDSTLKLWSLQKKKLAFDLPGHADEVYAVDWAPIGGEKAGSGGKDRRVKIWRH >CAK79694 pep:novel supercontig:GCA_000165425.1:CT868341:145578:147272:1 gene:GSPATT00014624001 transcript:CAK79694 MSHHPKAHDIAKVAAARLNAAAATRNYNVTPRVDYRTVVKVDGPLVILDNVKFPRYAEIV NVCLGDGSVRKGQVLEIAGKKAVVQIFEGTSGIDNLYTHCEFTGSTLQMPISEEMLGRAF NGSGVPIDKGPPVLAEEFLDIQGQPINPFSRVYPQEMIQTGISAIDCMNSIARGQKIPLF SANGLPHNEIGAQIVRQASLVKGKDVLDHSDENFAVVFGAMGVNMETARFFQTDFEQNGS MERVVLFMNLANDPTIERIITPRLALTTAEYLAYEKELHVLVILTDMSAYADSLREVSAA REEVPGRRSFPGYLYTDLSTIYERAGRVQGKNGSITQIPILTMPNDDITHPIPDLTGYIT EGQIFIDRQLNNKQVYPPINVLPSLSRLMKSAIGKGMTREDHPEVSNQLYANYAIGKDTA AMKAVVGEEALSAEDLLYLEFLKKFENNFISQGAYEVRSIFKSLDLAWRLLRIFPPEKLK KINKRNLETYYYRRKEDEEDFDGPQQQQEK >CAK79695 pep:novel supercontig:GCA_000165425.1:CT868341:147462:148038:-1 gene:GSPATT00014625001 transcript:CAK79695 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFKRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCRQEFVKRVQENDKKKTEAK KNKQVISTKRQPVQPRGAAVVIPKEQTFQHPKAFVEII >CAK79696 pep:novel supercontig:GCA_000165425.1:CT868341:148116:149707:-1 gene:GSPATT00014626001 transcript:CAK79696 MNNSLKSKTVRSGSARVKSAIERAGLIQQREQLREMLISKFSKDFAQGNKNKEVLIQQIV NEYFANEQVTENSLKQLKTRVQEAIQKQKYQSQTQQNPSLNTQIDNRSEQKSQNARPQTV KSSVRSERDADQYSVTSSQFEKAPKSVYVVDDEDEWAALVKFDTELHTKEQQLEMQRQGE FKKKMKAELDRQLEEKRRRLEGEKKQEEAYVKIRDYQMNVYDQREDQKKKEKERKQQLEK EQRDRQVREEEKRRFLEKKRQSEQDAVLVQRIQEELKQEQREVLQRKELEKRKFMEMMEE NEKNRQKQIHDEITEKQQEIDMQRKYIDLQQKLEQERELEKKEREDKIKKIMSDFSQTVV KNQKDQIKAEDDKMMKAILMQNQIEQNDEDQKRRQIKQQQQEMRQYLNKQMDDKRQKVKE EEELNKKQAQVWQQDLQMYQTHEKQKFNYIKDVNLKHQDILKQQIDERKSQQRPRNKMNN EELMHNKPLLKELADKQDAIKVRKMNV >CAK79697 pep:novel supercontig:GCA_000165425.1:CT868341:149976:151173:-1 gene:GSPATT00014627001 transcript:CAK79697 MHYLIKALKMYLNDMIFLIFKSLSILFVKQGRISFWNIIIFNFLMCLNSKYCICIQLYHK VVVLKYFVIILNLYHGALSTFQKNYLNQSLGILQGLACIDVLGTVKLTSDIYVKQILGAY YWSLSFAIDISCKSKYGVLFKLCLPSFQLLNKIFNIKHTFSIVIRILLVLIIVIQYQFAL KQWNQIVLNKFLFLVKLNNFNFIFCKLKSSLFTTIFFSYIIKHISFNQKIIARCQESIMK QNLQLDQQSELSQMKAYEFILRVLREEHEEEHDDESSNKAIVILIFLSTGLLIFGFLVCL CKCLYDRIQKKREAQVRQLNESQYQQQIVQIETLCLYNRDLEDCPICLMPIPSILLVTTA CNHKFHQACLALWLQIYNICPTCRTRVSQ >CAK79698 pep:novel supercontig:GCA_000165425.1:CT868341:151493:152117:1 gene:GSPATT00014628001 transcript:CAK79698 MDQDQSIKLEDIQYTNFTDYSQIPKIIPMIDAELSEPYSIYTYRYFLYGWPDLSIFAYYN NEIIGVVIGKLDKHNKSGRNRGYIAMIVVEKKYRRLKIGRILAQKFIDRIKEKGGDEIVL ETEQTNHAALRLYESLGFAKMKRMQNYYMSGNDAFRLKLFLVDPADPHQKNE >CAK79699 pep:novel supercontig:GCA_000165425.1:CT868341:152786:154160:-1 gene:GSPATT00014629001 transcript:CAK79699 MSLFQCSALYLANDGQCIEVDLTTRSGSLLITLKNGNEQLVYLPSNSMDTILRFAREIDE STIKFQIIVRQIGTLDLHNPETQIKVTFLLSLMDIEQLSLRLIGRQIFQSTSQSVVLEKL APGVKLIQTPYKISVEKKATFTSKDQLAKSRLGQLKQEADILRLLKNNSHKNIILLEEIV TDYNSISVILEYCKGGDLLKLLSQRNIQIDIPLLMFNLLSALKHLHDLEIVHRDIKLQNI LFKDSQHMDTLKVSDFGFACKISDIQYINPRCGTPGYTAPEVFSQSCSYDEKVDIYSAGI VFYNILTFKNPFGNFENVSELIKLNINGEYNETYLEKTKAHNPLAYDLLTQMLSKHANNR PSARECLDHPYFKSQQKVDFTKEMIQRKRKLNKQKRITLKMKQETVATQ >CAK79700 pep:novel supercontig:GCA_000165425.1:CT868341:155048:155660:1 gene:GSPATT00014630001 transcript:CAK79700 MYNFHFVVNPKEKLNFSNQKAQIQIQNITRYHLAFKIRTTNNVDFKVIGNKGILNPMTTN IIQIISNKENIAKAKFQIQTVIINPNDNIDTAFQRANSEQIQTETLKTKPTFGEDTHSLI SDTSFFKSTAAPKQIVPLPPIDQIQISPSEKKDEYQPTVFHLLFVGAACLLIGYFTHMFK SGGSNC >CAK79701 pep:novel supercontig:GCA_000165425.1:CT868341:155744:157153:1 gene:GSPATT00014631001 transcript:CAK79701 MQQRNDQLIGLQVQQNLKLNPTIASISIPDDKPLSPISLVKPQQNIHIYNKDYEDKIEML KRMANYQEQDYPNGTFYGQMLNGKKHGQGLMLLPGRVCEGFWQNDRKHGYCKEIFDTGET FEGEYQNGKPQGKGVYIRNNESYDGQWVNGFKHGHGVWRMGNDFYEGEWKFGKIDGYGVY IQNNNKYTGSFRNNLKHGHGIENFANGDLYNGQFCNGKPEGQGTYIWNNGAEFRGFFKNG VRHGKGVWNKWDPLKEGHFRYEGMFENDKKHGQGVFTWPSGNYYVGSFVNDYRHGYGEMF WKDQYYKGYWERGMQHGDGELCKNGIIKKGRFENNVLTNQRSNSMWESGESNFKLSNNLN QTFSHCSSQRSKYMPAIGRKPKLIMRKGDKTVILDSLSINDIPIENDPDFLTICPEPAKQ KKFKPKMNCSQLLKQHFSTLRK >CAK79702 pep:novel supercontig:GCA_000165425.1:CT868341:157203:157764:-1 gene:GSPATT00014632001 transcript:CAK79702 MNTEYQEQDIQLERKSPQQSEDANNQLFSICFAIVYNCIWGMLFCFFRHRYCKTIAFQSR DEEECKVMNFWSLVAEIFLFTVAIYKLAIELPVYYRALGRWKNKLFEIAEKVEFVLSIIV LIGLSYAYFQFEDCQGLRTFVLVYLIVTYLVLGIYLVSLLLLITNKSNNSG >CAK79703 pep:novel supercontig:GCA_000165425.1:CT868341:158071:158610:1 gene:GSPATT00014633001 transcript:CAK79703 MFGSNLQKDYINNQQHNNTSGCQINISQLSHSYVRFPLQSSMMSVEEQSPQFVQFAQSQI YIQENQVFQEEENHRHQNKMPQQPQRQLEQQAGHKRMTSWQQQKSPQQQSQIKSLCSSPL RQQYRQEEPQMYHHQPYQPCPCELEQLWQEFLQMRMMQRQFNRPPQQFCHHQRCHFMPY >CAK79704 pep:novel supercontig:GCA_000165425.1:CT868341:158654:160115:-1 gene:GSPATT00014634001 transcript:CAK79704 MKIVILLVLVMSSLARLTYKNSVFGREEIIQANNTLWFTQKLDHNDPTSKEVFRQRYHVY DDYVVRNQPESVILYICGEWTCDGIGSGLTFDAAQQLKALVLVLEHRYFGQSQPFGDWST PNLKYLNIHQALDDIAYFIQDVKAKGLFNIKPNTPWIHLGGSYPGALSAWFRYKYPHLTI GGLASSAVVKAVACYHDYDMQVYLSALESSQECVDRIQQVNEKIEADLIKSPNTIKAEFK ASELTDIEFLSMIADIYAGMVQGRKRSKMCERLEGGATLDDWFKQVKEMALETVDQESYG SEFLKDISIDFSKNSRQWTYQTCIEVGYFQTANPNVEQSTRSQQLKLDFFRNLCEYSYGI SIFPDEERTNAYFGGLDINVDHLIFSNGSDDPWQHASITKWKQGKEYDVKYIKCKDCSHC IDLKATKADDPPELTQARKEILAIFQQWINEYNDQQSQIIE >CAK79705 pep:novel supercontig:GCA_000165425.1:CT868341:160447:161507:1 gene:GSPATT00014635001 transcript:CAK79705 MNSNQTNNQKKPKPKLQLNVNVVDVQPESTHSSNGWKQQTDIFFNEPIDQFVQFQHNGKT VADVAQLKCGRRYQEDRFVGIPNLNINQEMQFFYAIYDGHAGHSVSAILENKLHEYLQKD CNFEDNLEKAIINSFERMNQYILDCQEENHHLGGSTALCVINKHKDLYVVNLGDSACVLI TEELQIEKLNSEHKLNREDEFKRVEQIATILDRHSIPRINGELAVTRAFGDKKHRQSGLI AIPEIKVHKIQANDKYLILASDGFWDLIKNEELQKLIENWNRKEIDQLAQYLLDKASSKN TNYKKDNMTLIVIDIQSYWK >CAK79706 pep:novel supercontig:GCA_000165425.1:CT868341:161692:162106:1 gene:GSPATT00014636001 transcript:CAK79706 MNQDQFDNEGDPQQQFLDAFKELLEVQNQRMYNGNDMLNQYCPQLQYPVILPTQIPNTFI INMGPYLPHIQQPQIHPQQTQQARMAPQIQQNQFLNHQSIKEEEHEEKKQPKNWLQQRK >CAK79707 pep:novel supercontig:GCA_000165425.1:CT868341:162141:163697:1 gene:GSPATT00014637001 transcript:CAK79707 MGQTQTQQQEDIPNEYVHYLSKSKFNNPMHLAATTAAHHIYGNQEEVQFLARCPKCKSHQ FDDQLGRVTNCFQCKLIFSVNDGQIQIRQDSTLLEDRRTFQITEVQLPQLESVTLYVNRT ILDPALIKDNVIDFQRLIKNVLLPFFSVRQRVLESGIKFSIGSFEFRVVGGFPSKGIITK LTQIYCYGYYIQDTTRRVKILSRKPSSQLDSEIKSYFSVNPKDNQIIQDSTIKVNQQKYL VLQCDNASGKIDRNSIIESIPNVQNLRDVKLCCLKCPVQYQNSRRDRVKEAVRRVIVQSY FCGFSRFIEKGQILRISDFEFQVQFLQDHGLVVPNYTQIDIDFNQSMQHQQNRQFEQLLP SSFVSNRRNDIHRQHTQQIEALHRLLNTFILLNENQQLLLQLRNTRASEELINLLPVRQI TMEFINQHQNDDNLIKCMICLEDYQENQFVRTMPCWHFFHQECIDKWLHKSTLCPICKTE VDTDSQMDEISI >CAK79708 pep:novel supercontig:GCA_000165425.1:CT868341:164205:165428:1 gene:GSPATT00014638001 transcript:CAK79708 MYKYLFICVILITLNALDNGLGRKPPMGWNPWNKYGCNINEEIVRQTADALVKTGLAAAG YIYLNLDDCWQSARDPATKKIIADPVKFPSGIPSLVQYVHSRGLKFGLYSDAGMQTCEGK PGSLGYEEIDAQTYAEWDIDYLKYDNCHTDGTSPKVRYPPMSAALMKQTKKIYFSMCEWG LEKPWLWAPPIANSWRTTGDISDHWYSFIAILEEQANLAQYAGPGQWNDPDMLEVGNGGM KTHEYQAHFALWAILKAPLLIGCDITNMSQDTKKILMNPEVIAVNQDSLGIQATRVKKVL TSEVWAAQVADNGAGVVAVLFNQASLQESITIEFDKLGISGDQNVRDLINQVELGVATVS YTTQVPARSVVMVKFRNARSEQ >CAK79709 pep:novel supercontig:GCA_000165425.1:CT868341:165453:165998:-1 gene:GSPATT00014639001 transcript:CAK79709 MNILNKATLGGGCFWCIEAVYRRIQGVSEVYSGYSGGALKSTANYKDVCKGNTGHAEVVQ VLFDQNKVDYKDLLYIFFASHDPTTLNRQGNDEGEQYRSIIFYHSEQQKAIVNEVIKELQ KEYKNPIVTQVVEFKEFYKAEDYHQGYYDINPNEGYCRAVISPKIKKIIQKYQTQLKPEY Q >CAK79710 pep:novel supercontig:GCA_000165425.1:CT868341:166113:167237:-1 gene:GSPATT00014640001 transcript:CAK79710 MCTKLNIANSNSNVMLYLKLRESGCDKVQARQFCRKNFCKIDQTQKQIGPPGHKVLKLHP NRLQGEKGWQPGFMMKYDLGSGYRDSKDLVEEQTPAEEAILKQYEKYEKKKNAVLLQKML AVKNYADSLRPPKIDVFSKDSLDRIRKISQDLHDNQQKPKKYFEKGDMKFYELADYISTH RSGQLTDLRINFDPIVNTQVKLKTENSQYLSPTKSFKRQTTISAIEKGANLIMAFQNQTP INEELDTRSTNHNNNEVTSQLIRFNHHKLELAEEFNSSQYLFTPTSAHTRQASQIDQNDE AVKCFVQQFYDKQYNDKVIPSTKRNLRLRAIVNSGVPKQETSRQTNNKKVSKRLSM >CAK79711 pep:novel supercontig:GCA_000165425.1:CT868341:168524:170204:1 gene:GSPATT00014641001 transcript:CAK79711 MFLQAIKVKDCRLEPIQLKFGPQVPRQHTPEGLSKIGMFNSTLFQGKKSIEKKKASNQRR PQSSFQRITKPAFYKNVFTVQYSNSFFVKMGIMGIWLGEFYKGEHGLVNSKRVTSKQMID NLSVNFIWRQSNKGFNYSTLTSKSVCINHLEHHNEISNKNKLHANLKSYCLRTNKHMDDF VPVTFIINLDSTTLQNDFKKFVDYFVEIQKDKLLKNVWLLKPPDLNRGRGIQLFSDLKVL INQINEFCKTRNVNKQIMPSSRATKTLLITYPESNEKTGQAQIEITLDQTPNQDRILVLQ KYLETPLLYNGRKFDFRIWVLIDHTCKYYFFKEGYLRLASEHFDIKNLRSLYIHLTNNAI QKNHSNYCKYELGNQLSFQDLQQYLTSQKNTKVTSVGIVQKMKELIHQTIFCACTKLRNN HRDFQFEIFGYDFMIDNNGNIWLIEINTNPCIEESSPLLQKLIPRMLNDAFRLTIDKIFS PFKTTQDNFLNNLHEFSIPGYGDKDNLWDYMGQI >CAK79712 pep:novel supercontig:GCA_000165425.1:CT868341:170238:171004:1 gene:GSPATT00014642001 transcript:CAK79712 MGLQCAKQKEKQPIKANEIKKSDSTPQTLSTTKEAIDFVESQSLANVMRTKPIKEYLTEQ IAKNQIYVDSCDILAERIEGKSQLILRSYNNYTEKALKIKSKVLEYYRNEYTNYINSRND TSENREFIIKETIQLLVETAIILNCLMDKNFDDENELWWTNDYYSDRIKALSLSPDTSEN TDPITSPILQYLNALKSKIVKVIPLLPIQPKSIANSVAIANVHLTQFYKDLKLEFAKDVE EEVSC >CAK79713 pep:novel supercontig:GCA_000165425.1:CT868341:171516:172976:-1 gene:GSPATT00014643001 transcript:CAK79713 MGFCFLFNTNVTFGWDYKIIIVYTCTTQFAFMISKLLSYKGINSYCCFALLESALFITYL LGIVYNNEISAININFISYALLILQFVILAITVLISRQSVESDAQDQSKQIQEIVCITIY QKLVAAIKSIGSLQIVFLSLKWSQQINWGWMQTLVIVWFILGTMTLIEVCLIFDLILKCC NNELQNKKLLIFGGAWVNIVLVGFIIDIGLTFLGLGLLLDYNIGQINLLGFITSIVYYFF QILFYFKNQEELIQFFNLSETQQQIRPPEQPNEQITLKERIKEIHRISISKVPQFMVKLS ATYFRKQEINENQKNQNELGSSQDKKFRSISYSDVKKQKEIKKSPSQELEEDLTKKFDQL LSSPRIKLEVSESMAPELSSRGQYKQNVLCLVCYEKESNMINQPCGHGGFCQECSQQLLS KSNYCMLCRKPVTHTLLVQGVENRESLVEVIGIYQGGQKQG >CAK79714 pep:novel supercontig:GCA_000165425.1:CT868341:173280:174990:-1 gene:GSPATT00014644001 transcript:CAK79714 MEDHLHLQVTIQEFQSDSIMSDLIAFQIKTKHTTITTNQYEICKGLIPINETHLISLENR STNSYQNKLELLLLLNNEVAGRVDCNLTQLLDSNLELKNYGDFQVETEEKVMLNGNQVSN SRVKLTLKVFHQTEQHSQRTTINVATSESQREQDLVLFSLREELEQWKSKYTNIAKELNQ LGDELLSLQIKEKGDLHSYLSPEINIFRKIIKSKLQYFLQIYDNQVDQTYLLKKELNYYR SKLCLKCSEPYFKHSFQSLAEKIKNLTSILDKKQTEQFQLIKSLEIEKQSQKQLQENVKI LNQQQLLLRQTLAKSKKQVEDSLKLQTSQELQIQQLNKDVISKTLIILQLNKRCLELEQQ NYRMNSDIEQIIFLYKQWSMSIVKSIEKESSEKQLLGDILKQLNNSLKFLASNDNLNKDS NSANEYHNKIEQYIQDYHLQVTQLESLLYQHRIKCHNDNLTLNSLRADEFNAFLLNFPLE NVTEQSTKQYELSKQMNSQSELHIFNLIQLKDVEITKLKQKIADMLNIALELGNSVLVEQ MQLTLM >CAK79715 pep:novel supercontig:GCA_000165425.1:CT868341:175232:176424:1 gene:GSPATT00014645001 transcript:CAK79715 MERYRKIELKGKGSYGQAILVQNKQDRKFYIMKIIDASKFDIKEKENALNEIDVLKNLHH PCIIEYRESFVDKNKYLCIVMDYAEEGTLHQRLEQQKQKQEYLKENQIIEWFTQICLAVK YIHDRRIIHRDIKTQNIFISKGEIKLGDFGIAKSLINSEDLCQTAIGTPYYISPEVCQRI PYDYKSDIWSLGCMLYEMMALKHAFEAKTMEGLFLKIINGKYQPMPINYSQELIQLLKDI LNTDPQKRLNINQILDYRIIRKSKNEFVQRKTQNLQKIQFISGQVKKIESLSGRIRHYES EQKEYGESLADLIEKMRMELEEQLGVEKFIIIYNSLKNEEDSSKFSNEIIIDKITQLLQL EQLIF >CAK79716 pep:novel supercontig:GCA_000165425.1:CT868341:176899:177192:1 gene:GSPATT00014646001 transcript:CAK79716 MSLNYLPFHNLAHCPKQVIEFVQNPNLNLNELNNMIEQLKMPKNHHKAIQSMQILVSKQE HYLQDDNTLQNQDEDIHSPKLLLRKFHSDQLYLYNNI >CAK79717 pep:novel supercontig:GCA_000165425.1:CT868341:177385:177979:-1 gene:GSPATT00014647001 transcript:CAK79717 MQFMRQQKIGLFSQSQVYVTDICEPLNILEFLDNQVNQNQSHPLKLENLKISNKSNQKEN SHQIIREIKQSPYGSGWANKVLGKQFGVQLSQITQRKKAKWIIKKLKPSESNDNSKQQNS RLINDIKSHEEGQKKTASKSNDRRFDKIIKGHQKSFSGFRYSLPTFDINFFDKIDQYNL >CAK79718 pep:novel supercontig:GCA_000165425.1:CT868341:178439:179185:1 gene:GSPATT00014648001 transcript:CAK79718 MKASNIESMSDGRHFLCLLRRYFPEIEVPQFKKNISIVSRIETLTLVARYCSKLDNSIKI DVLKIANKESHMILNLLKFIKSILDKTPIKKQNIKEEIPTIIKGYKQECQKINEEIVIIP NPKKQTVDQEIQTMAIQEVKPLQVLQEKIKKILQSKLQSPHLELEILQLLEKDKEVAILQ LNYQMKASRASLNPSKLTEFMSIHSSQFYNGGEQNLLEPEQCFYQNQPSKKNINDDSLFQ VSDEKQHY >CAK79719 pep:novel supercontig:GCA_000165425.1:CT868341:179563:180656:-1 gene:GSPATT00014649001 transcript:CAK79719 MNTFNIALFGIIGASLLYYIYIIQYTNSVPFQLDEIQEKEQEARKQESGTKNYQIPNFFF ITPWNKDGYKLTVKYAQKIDMVSPAWFNIRYNNVIDGRQSVNEQWMQEIVQANPQIAIIP RVQIELQQSSILQQINNPQLLESIINLVQQYQDKFHGIMIDTPYLSYIDALDAYDIVIFL QKLKARLNNKMLVLTLFGIYDPSQYKHSTLKKLFKIADYTLIQTYDYQIQDEEDQILSPY SWIQSNLEHFMIYKEKLLFGLPFYGFKKTERDKTHFIGNELLKLNASNYLTEWDRSSSEC RYKNELISISYPCPDFLVQRLDLIKDFRRGYFVWEGGQGIELFYQLL >CAK79720 pep:novel supercontig:GCA_000165425.1:CT868341:181675:182265:-1 gene:GSPATT00014650001 transcript:CAK79720 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDDKTRKAQAEQAAREIKDRKQK QIEAQKKKPAAAQKVAQKAEVKAQQKAQKGAAKANKGKK >CAK79721 pep:novel supercontig:GCA_000165425.1:CT868341:182301:183536:-1 gene:GSPATT00014651001 transcript:CAK79721 MDQNEKEIDEEQFKKEFEEKVEKRKIWLLEELKSCGKFLDVSAKKLDDLDALTIALYLHE CQIELTLFHIAANSITDRGFIDMLTGLQWHDKLKEIYIGNNQISEVKRYLSQKIGVQGFI EISSSFKQLKILSMSACSIDDSTFISLCYSLPELKNLQQLQVPGNNISDFGLICFSTLLA ANCLTNLTVLHFGNNPLTSKSLIPFFQALKKNKTIKILYLNDIGMDLQTIRQMAICLRKN KILEELNLGNTGFSDAAAQILWPNLKYLRTLHLWNNHLTDKSLFEFINVLEKEELYLTYM GLQDNEIEEVDLIEDLNALLKQNELIEKLNEQNESNSQDGNEKKSIQEMVELNKLQEIEE NLEELDKLSTKQVQDDDEVNELQGKLKTKKQAQEE >CAK79722 pep:novel supercontig:GCA_000165425.1:CT868341:184394:186464:1 gene:GSPATT00014652001 transcript:CAK79722 MNLELIKSYFLYLDKFACPVQLFIQKKRGYQTYLGAFTTLGCVLSILFYLTNKLSQIDDR TEFQTLYSDIFHLEPPYYKLTEDNFTLQFAFQYQNKTNYINESIYIPEAYLVYKQITEVN GKKKEQSNKTLIPISKCSKNGIIQDELAEQFDDQDLSNTYCLDWSKVDSLALFGTDDSPE YQYINVSFTVCQNGSKPGIVCADSETIQKVLNRNYIHFQLTSYIINLRDFTQPQIPKVED IYTTISAKVTKDVEIFMQPITTLTDFGYFQKELRKDTTLRYFSDKEIIDFNTDTSLANVV IRLSDTEQVSYRIYPKVQDVLAAAGGLWQIIIAVALFLQKPFSELAYSIEIINKLFNFEQ DESEKQKKNPTTSDEINDQKPSRTLLPTQTEIKTTQPTGPDQKPQSKIKQVVSKRFPQGR QQTIIGNKSGGFIERLTQNEKINEARSLFTLVSSNIKMKLKEYAQYLTYRPKKKKMDQLD YSIKKYESFLDILFIIDKLQEIDKLKLILFNKAQMNLFEYLPKPTIYLDPYSPEQEELQF HSSILSPQKSFEEKAKQASVAFEELLVDFENNPLTQKLIACLDKEVVNVLISEYEERNRP SRARQQFLSISNAVVAKIKQDQQQRQFQYEQLTKQTEQKKFLDGTVQNSAIKDKSDNREG SQDSIHFKSQKEQ >CAK79723 pep:novel supercontig:GCA_000165425.1:CT868341:186492:188416:-1 gene:GSPATT00014653001 transcript:CAK79723 MKKLGNLGKKLDFFSSPVELMIHKKRSHQSLFGAFMTLLMFSCVLTYIINKFVQLGQRKE FQTLYSEVYYEEIPVFPLLSKNFTLQFAFQTENQTNYIDESIYQVKAVMVNRAQVMVDNK TNLKFTKTEIPLSKCAKIGIPYEEIEDQLDNVDIENTYCLDWNNIKGLSLIGTPEQENYT YIYISFETCVNDTSNLNSQVCKSKEEIQEKLHRNYILFQLSSYNIDLRNYLKPNVPKVEE IQTTISSQVMKDITLFMQPITTLTEEGLLNGLVRKDSTIRYLKTQEVIDFNSDEALASVL IRLANTENISYRIYPKLQDILAQAGGLWEILMLVFTIMVKPIQSLSYKLDVINNLFNFEG QKQQNDIEDGGKQILKKLTMVQENIQTHENDTSIILNQSKIKSSIRFPKGRTKNKNQKME QVNSAQTEKSSQMVTNSPENDKLIQKGIRFALRKLFNIATLKLRLSAFDYIKYLKCGKKE GKFKQMQYSINKLEKCLDILFIIDKLQEIDKLKTILLTKQQVQLFDFLPKPMISLDPSNL QQNETVMYSSLLKPYKSQKEKAHEAQQVLDELLENLDDPITIKLISLMDPNIFKLLQIQL DLKKRSVTKYITPDAIES >CAK79724 pep:novel supercontig:GCA_000165425.1:CT868341:188512:190778:-1 gene:GSPATT00014654001 transcript:CAK79724 MRNRILSKDDDNVKEYFSPQKRKQDNNVQIWQGYMSIALNSTEAGVRQFLSQMRRNGSFQ QIDKKQKPVITEQEQQLETPNHSIVKSLDPQFPPFIRKSKDITSYEFLSQIYELHNRKAR NQSLIEQLIGKTNNEIIVFPKTIHRKNIQKRSQRCQSVAQKDNELDSIKGQLIQVKQVQV QPLPKETTPKPLKRLIPIVKLERTTSPIKKALAPQSQTKKKVLIDDTRYWDIGYLKFIQC PSVLEINQIINFTNAIQVLPAQHQKYYKLFVGRGNNHMMVKSIFSMRAQWTVGGNLEEDD FNFIWTQKFVDFPQSEIRPIQRSISNETLEGWVDSNDQTLIRQAWDRVEGKSKKKLADYN LESQAILNNLGNVKELLTINHQNQSIRIHNHLKEGNQLGDKKFLFLNLSKHCKENQIDLW TLIPLTYHIQGPNDESFHVFKKKFEEFSIDSAFKNIWIIKPGEDSNRGQGIKVCNNLNEI YQHISQQNHTFILQKYIENPFLYQKRKFDIRGYCLITILNGAKKVFWYKKGYLRTSSSLF SLDSLDNQKIHLTNDAIQNKMNGYGKFEKGNKVSYEQFQNYLLEQNKQNNTNYSFEELYK NMKQLTKIACASSINQINNTDQVIGFELYGLDFMITNEFKPILIEFNTNPCIETGCPVLA KIISGLLDNLFRFIIDPLFPAKKTNLDDFNSKNDFELLLQSQL >CAK79725 pep:novel supercontig:GCA_000165425.1:CT868341:190948:191250:-1 gene:GSPATT00014655001 transcript:CAK79725 MNDYVLILKFKQKKFENQKKINCSVVIFTDVIKKYNQLHYQLLIGNSRRIQIIYRINMQK LQINWIPRTERNERVQELYNEIAQRILVKNQQKVDILMCV >CAK79726 pep:novel supercontig:GCA_000165425.1:CT868341:191357:191923:1 gene:GSPATT00014656001 transcript:CAK79726 MHCIFKSKNKYSLFLFQFQISQYQQKKNLSIAKSFSYSLIKPYLTFLNVTQFLKVFRNFI NSNILREFSVLKDFLLASQSWIQQLCFRSSFFQCSILLCNLKEISLNYNRSLHFIATQSF RIIMFFSYVKKYILYQEQQDFVQDFLLLLMKQILLECFQMLAYLEIKKGLGLKIIELLFH FYSLLIQL >CAK79727 pep:novel supercontig:GCA_000165425.1:CT868341:192128:195194:-1 gene:GSPATT00014657001 transcript:CAK79727 MSIQSEDENEVLQVELPSDEEQRAEEEDERIKKLEQDKKSFMSSINQSGRLNTNLKFDNI ESKINTLLENAEKYAMFLLHRHKRTQENKQRAQNQQRGKHRQIVEDGSEEEEFDDTPTVL EQQPTILKGGQLKQYQLTGLNWMISLFEEGINGILADEMGLGKTIQTISFLAFMKEYQKI NGPFLIVAPKSTLGNWMREFKIWLPCMRVVKLIAIKEERDEILNRYFQPGKFDVCLTSYE GVNICLKHIRRFQYKYIIIDEAHKIKNEDAIISQNLRKIRTNYKLLLTGTPLQNTPHELW SLLNYLLPDLFDSSEVFDKWFEVNTEAKLKEGNETIHQDELEQRNLEMVQKFQKILRPFM LRRTKAEVERMLPPKQEIHLFIKMSNIQKQMYQNILIHNNPHEGEDKGFYMNKLMQLRKI CLHPYLFPDVEDKSLPALGEHLVDVSGKMRVLDKFLKKLSEGQHQILIFSQFTSMLNILE DYCNFRGFLYCRIDGETEIQQRDDQIAEFTSPNSKKFIFLLSTRAGGLGINLATADTVII YDSDFNPQMDMQAMDRAHRIGQKNRVMVYRMACEHTVEEKIIERQQIKLRWDSLMIQQGR LQQKQTGKLLSKEDLKELTTYGASQIFKLDGDDIKDEDIDILLKRGEQLTKEMNERIEKK FETFKDKVSSLDLGLGQINIFDYFDEAKRNKEDEEALEDALVNHLMQDNKTRNRDKKAMM MGANSKKIQGKQIKLSEHHLYENKDRLQFLLQKEEDFLAQQKSQKKANENDENVDFGGLT QEERQEQKRLLETGFKSWNKQEFQDFIVANEKYGKDAYDKIQDFIKTKTLDEVKIYAQAF WERIDGLSEKDKIVKQIERGQKLIEQKTNGQKLIEEKCKHFHQPKYELVFTPQLYNKFKS KYFSLENDKFLIYMTNEVGYGNWSQLKQSIRKDITFRFDHAFKCKSENDLKNRVISLVKV LDKEKENNSMGRSLVKNTQAEKPKIIQETQKKKQKNDEEEVQEESESVKKIKL >CAK79728 pep:novel supercontig:GCA_000165425.1:CT868341:195326:195583:-1 gene:GSPATT00014658001 transcript:CAK79728 MDKLLEYLHQNKQICQNYNQQNEFRNKFKQLVEDGKNYQSEQTEDDMSEFDFYLQNPIDR KRHGSFRVFNPLVFLAREIRKHEQI >CAK79729 pep:novel supercontig:GCA_000165425.1:CT868341:196323:196796:-1 gene:GSPATT00014659001 transcript:CAK79729 MSRTGLIVDEFQEFSSEVSNRKVSTRKNRPIYTQTISVKRYHKIPLRTQQMLFEQVFKNG KKIKQAAKELRMNYSSAKSLIHYYKTEKRPIPDQVKSLIVKKKQASFCSIEEKNERHLSL FVEIKVNHEIINSYNYFQKLHNDVKEETI >CAK79730 pep:novel supercontig:GCA_000165425.1:CT868341:197629:199172:-1 gene:GSPATT00014660001 transcript:CAK79730 MDQKSSAFHIYKQTLIRRNAVPISSVYTYDVANKIGQGAYGSVFKAIHNVSQQVRAVKVI NKLNIKYKERLLSEITIMELLDHPNILRVFETFEDEENLYMILEICQGGDVFDKVLEKGN LSIDEAFKVYIQYMRAVNYYQGFKIVHSRDLKPENFLFQKKDDLNTLIVIDFGIAKRGVD KLKTKSGTAYYVAPEVLEGSYDSKCDIWSSGVILYVILCGYPPFYGENEKEILTEIKNAQ LQFEGDEWLQIPQEIKDFIKLQICPAAQRYAPKDLLSNKLVDKFNQKFQVDQKLISMLTI TQWVKFHPLKRLGLYYLATQINSSDLKQQKMAFFLINTSQSGLITQAELASYLKVNKQDI QKVWPYLDCNNNGYLDYFEFIAITLTPQDYNNHLQFIFDFLSQQEKQVTQKTIKSIFDQN ANLDSKWASISETKSNHQNTHDHQVNVKNIIEKDIDFAGFKVLMG >CAK79731 pep:novel supercontig:GCA_000165425.1:CT868341:200374:201213:-1 gene:GSPATT00014661001 transcript:CAK79731 MSLIANDGSIDCNIQTLNQLIMELYLAPFNQKHLCSIQPRMMKMFENKIASDQESDIIEI FKICLRDYASELLQDFSQENFKQVEHLWEIHYRLQATSLKTERLYEMYYRLFKIKTPCSY DFFQIQFDIAKKKRPNLQDSRKVHQQYIKERMKQKVTLVKILGDQCLSNKPQQIRECNQL KSLQKNTQTIQKQKDKVPTQNTINVRNEFKEFEDRLSKFENSQNKLEPTFSASWSQKYRN LFLKRKK >CAK79732 pep:novel supercontig:GCA_000165425.1:CT868341:202056:202697:1 gene:GSPATT00014662001 transcript:CAK79732 MIQNEIAECMKKMTTYLDQNGYKKFKLFQAQPNYFDLNYEERKELLQAPSVDHLCKSIIM ENTKYDDSYPDNEVNPKYICVVVQYITKLQGEKINKYFKQLQNQKYPDKQISRNKLHFRL TSDEMSYQLSGYQFNAITPFNMVQNMPLLISDRILNLEYIWFGGGHTDVKLRMDIKEMCT LYPNKVYYSDIIMPQQE >CAK79733 pep:novel supercontig:GCA_000165425.1:CT868341:202712:204898:-1 gene:GSPATT00014663001 transcript:CAK79733 MASYSGEQQVKTVINTDDIKEIVGILNNRFNENYSLVSFDEQNQFEFLELLNKIFTHLDS RWTIDVKVDNQDTIIYKITEYLRILNYPGQFDDKWSQSVLIADKKVIYPIFHYLLTRYPE LEKRAYLAKYLVPVFVPDEFQMDNDIKTCVDQIKDLQAQFQVHHQSLEQVQSQSMQPEEL KKDITQFEQEREQLLNKISNFKSKVLTKPNFNELLDVTNMLRKEQEEEARLQDKLRQQRM TLDQTDQQLLQAQQRLIDAQKSLSPDNSPEQMLMALRNEVKRNREISKDRLGFDLKERRK KLEQIERLLAEPPITLNELNNLENTLMALRRAVNQMEDKLKREAKPEDDKLTIYKQQAQL VAKKKERAVEDIKKVEEEQQLIEKEVFKKEDQIAKERGPNYKTKGEFKEYANQLKDKKFQ YQKLKDELKALQAERSTLERTEQILRKQKTELLKQQQELEKTHGIVGYSKKKEGLEKLSE ENQQINLQKGQTLEEISKIVNEIQAQIQLKRPLIQEQLAEIKTVRQQYSDLEQEHKKKKQ EFDRIMLGVESDQSSLVTDVKKLRDEVYAFDRKIKLNKFNSEILEIKIQRLNDEIEYSKG GKQLSSQFKSNAEMLQQRILKLEENIKNLKQQRELVKENYEPSLRQMNYFSDLKKILNVK FQQISNEQGQKQQMKAGANRLVIG >CAK79734 pep:novel supercontig:GCA_000165425.1:CT868341:204934:205188:-1 gene:GSPATT00014664001 transcript:CAK79734 MDKSVLKLYKQILRAGNQFKDYNFREYVIRRAKQDFRELQINPDLKNKVFEKYTTELEVI KRQAIVQNLYYQTNHIIEQKQCNI >CAK79735 pep:novel supercontig:GCA_000165425.1:CT868341:205217:206022:1 gene:GSPATT00014665001 transcript:CAK79735 MKFVLIVFLAFAYAKVGCDTDNKERVKERGMSKKKEDELLELHNEHRNDVATGKLKNWQG KFLTASNMNYVKWDNQLARFAQDCADKCPANFKIDCSFPPHYGFVTYLGDVENGGADWTA KRVFKKWAQHEDHARQIELAQAQFFGCGRSQVSRKNGSSDEIVVCVYNRKPNLHGDVYKA GVAGQECLHGRKKGYLGLCKHSATDMSVINFKHQKKHDEHKYHKQEEKHHKNQKHHKHNE AHQKVY >CAK79736 pep:novel supercontig:GCA_000165425.1:CT868341:206638:207085:1 gene:GSPATT00014666001 transcript:CAK79736 MNIKECDTKTNQKAIKSALKKELSNEEQMFRRYDRKGREITFGSKYEVTIDEHVQFLLAI PPVSTPRNRTKSPVGVPMSARSPRSKSQITEQGGNSTPIAISQKKLEFTQKEEESLRILE KKYQKQKMLGKQQKQCCVIW >CAK79737 pep:novel supercontig:GCA_000165425.1:CT868341:207754:209892:1 gene:GSPATT00014667001 transcript:CAK79737 MPPKAPPPKKLTKKELKEKAEQEKKEREEQERIRKEAEEKQRQEEERLRKLEEERLAAEE KARLQEEEIENEVQRSVFKENLDNAKKVARANDDWDKFLLCSIKPDITQEAQLTTFITLQ KEQKIITELKVAEEIQKLQQAENIERDIHRFLTHLKAERKLQQNQQQLQQQQQQQVFQND TVARNKMYIAQIRDIMVKKLEEINTQMVLNAELFIDEKFAELTKKANEGAKGTFKLDDKT KQEVIKTFPPTEDFKYGIFIYPSNKPSGYRHKPNEYPELQLAVDVPRSISVFLQKILWTS FDNYSPDLYSKFRIVGGVLDIEYLQMLPPPKKVNTWTLKQNYELKETVKRLAFELNAVFK VSYQLPSYIWIPNKETQKVWRVAFFDRVSEQWITDGVEDAKLDKGINIVASIPKLGPIGL LQERCLDYPYKNFKLRCVGNEKAILDIQGVRDLFKFEIGPGYIQLLKKDPEFQHFAYKKL LVGALFYELYRSGVNFIPVIEDAQSANIIQKDEGAEELALNDLSEAVRGFYIESSRWNNS DTGPQIVVKLKENPEFDEEFAENQEKDWKTIKWWNNKCAIIQAKDSHQKCNQAFLPDTET HCNLEVLLKMHSLTTQETLAKMKDLHYVIFIETIQQVLRITKLLSFTVRD >CAK79738 pep:novel supercontig:GCA_000165425.1:CT868341:209966:210394:-1 gene:GSPATT00014668001 transcript:CAK79738 MNKKKYTPRSRRFSTLQDQTYILPKLFQSVDFYQKQKHSVRMVSNQKINNLTFLHRNINY QTSKRSIQQESEEIGIQENNKVYEVKIQVKFVKHKQKLEKQLMQDFRQDFKFVRFPNAPI KQIKDEIELSQKLQRLLAKIKK >CAK79739 pep:novel supercontig:GCA_000165425.1:CT868341:211292:211915:1 gene:GSPATT00014669001 transcript:CAK79739 MEQSNEIGIKQVQEFIKRNVVRENKKSWIKSKKNYLNIKNSVKNIQQKHKDIQQKYQLDQ DTFKNQLIKKFTTLKILLDDERNSTEQMLIQKQSLFDKCIQWKGEEIGDLNTQLEQLRKH INELKEIYQQNKEKFHQFKVLSQKIIKKYIDLNEKAQQKILQVEVSKVNFSSEEIKRTNL QCRSIIDRIIRQSTTSGLIESFRIYSI >CAK79740 pep:novel supercontig:GCA_000165425.1:CT868341:213955:215558:1 gene:GSPATT00014670001 transcript:CAK79740 MISQKQETISFNIVNFPLSKELLLGVGAKSNFICDKLNIPLLSQKQQVDKSQRANFKLFT DVNQSYRMLGKHHHTQRLKIQTSLCVNDQMENKEIWRDKDMSTKRRLSQQNQAQRISLEG STSKIKNVLKDRMSYLPFDQSYQQDLQIKENREYENRRVKSQFVVKKSDHIIIPRIKLFN NTLIVRNEKNQSISNQIYNKQEIINKLNIQRIQVKRLRLKIIALLVIAICKLSKKYRLVL FKRNQEMQHFRKLKMPHLKFLQFFGQRQIKQQFQNFTENLFSKITSLLTSENYNKGLIEI LKQKEEMILDFQQQQLCYFIKLLLQDLELITRKNVLPPFINHSLNLSLFRGKQTQTSQFV SNRTQFYSKTVVSLNSEQQGLIASEYLIFTIILPIILEIANKQVFTQSNRQMNFSFSFIA LPILLTDFFTNHFKNLPKIQNPNVKPIQLILTVLEDQEIPLKTQLITSSILESDEELMIS GNFKKQFVYSIFNEKPKYKFQMNQLFSKIIKNIETQIDIENAQFDL >CAK79741 pep:novel supercontig:GCA_000165425.1:CT868341:216885:217298:1 gene:GSPATT00014671001 transcript:CAK79741 MKKYFKIASFAIIAAIRVKKSLFYSLWRKYQMQLKNIIFFPQIRVGIVLKICRIQFILAQ CEVRQLIYLLIQKERINAWCQAVCAKSLTHFSKQQLHNIIDFPKDKEKGRLLHDENNQFA IVYIRKLGLLLIIKILL >CAK79742 pep:novel supercontig:GCA_000165425.1:CT868341:218530:219469:1 gene:GSPATT00014672001 transcript:CAK79742 MSTNLTNNENHHQELVSDQQQENIHQARQIQFQEALEQSLRSYVQYTEQQNQLRFIYVLI IVLAIYQIVEVILCIISLCLIQRSQQLFYISIIQLSINGISLIPSLYYLKLFHQNEIEYL EGLLWFQYDIEQRPDYEIKQILQKSHCFCFYLKILTIFLQLCILVLIIVGQTKYKSSIQE QPLIIGLSLQFMSIARILVIFFGIILAIVTIISKRLYNQIYMQIANLQELEYEQFSPLNK YEIQIVEEIELSQLSQIQCNICLHNFEIQEEYYKLKCHQLHMFHKQCLLEWVLVNQSCPT CRSAI >CAK79743 pep:novel supercontig:GCA_000165425.1:CT868341:219581:221007:-1 gene:GSPATT00014673001 transcript:CAK79743 MQNYELLEKLYESKTTVIHKVRDVKDNNIYAMKEFMGFRLNEIQLIKQCQIPFVIKIKEA FLLDENVIVIMEYADQGNLKQFIGHHQGVNIQERVICKILIQLTFVLIYLRENQICYKGL NPENILIHQDQVRLADFGIDNLIQLQKPSYRPPELLFHNKYSYKSVMYQFGLILYELTTQ RPLFKFELIEQIKAVIHNGGAIVKLPQFYSKQLRFIIKNCLELQESERLDIRQLVHNENY KFLLSQKYMTFTFEQQSIFDTWCNIQSSNEQLISIGIPKNETENKERQSNYTAHTQEIKQ IHQSIIIETKVQNTKAIYKSNLQRQQDEIMSLRPLSSQTNMTQSNPALKTNNLFGKYNLA VYRNRKVKSPIHKAYQSDQFFKANNLDAELKPEDMLKNLIKMKMEQCIETIGKEETVQTL EFLRQGGSIKEFLSKYQDSKHLCIAKHMQDIISFSQI >CAK79744 pep:novel supercontig:GCA_000165425.1:CT868341:221070:222687:-1 gene:GSPATT00014674001 transcript:CAK79744 MDKIDYSQVRFSFIGTRKHLFKDKKYYVYVFDDSLLMGIIPNSQNPKYKIRLEINIKFRW TLKKTKQGWIIESFYFPYKKSAKPLFGSNDDLQRLKEFTNLKVTFENMNSIYQQFQLIGQ GSTGKVFSAVHTIEQKIYAIKSIPKKQLKSQLDQNQAAFKMEVSILKLLAKYPDNFITLK EIYEGEDMQCLVTAFLEGPNLIEEFERLKTTNQNRFSSIEVKIILKKLLINLATLHSHKI IHRDLKPENLMFKVEGDNSSLILVDFGLATYESFEMLFYPKCGTPGYVAPEIFNVKTANQ YSTKVDIFSCGCIFYKLLTGKNVFKGETFDEVLKNNRKGQIDFNLRIDQDYITEYSLVFS GIIQNLLQKMLLKNPINRISAFDALNHPYFRDVDNNIHPSLRSLQFNEEMCEDEEVNNEK SEEEDKNNIQMPKINRKCQLIWNLTFFQQNSQKTVFDSRQLIEYDPLSGSKYAKITSCPL VSEVLE >CAK79745 pep:novel supercontig:GCA_000165425.1:CT868341:223206:225164:-1 gene:GSPATT00014675001 transcript:CAK79745 MEDLQEQETSLGRVFKIDGSFVAIENIKDAELFELVKIGQDKLLGEIIKLEGDKAYVQCY EDTSSLSVGDPTILTKSPLSVELGPGIFTQIFDGIQRPLQEITEGLSSSYIPKNVNILGL DQDRVWEFKPSSTIKIDSIISGGDIYGSVFENNVFEEHNILASPSVQGRVTYIAPAGYYT LQDKVLEVELNEKKYQYGMSHVWPVRQPRPILEKLDINTPIITGIRVLDGFFPAFLGETC CISGPAECGYLEISLALTKQSNTQCMIYIGCAESGNEMASVLSEYPELKIELKDKEESVN QRTCLVANPSQKSLGKRYASLYAGTTFAEYFRDMGYNVGLIADQTSKWVETQTEISNRIG EILQEQEYPTFLASKLSPIYQRAGRVKCRGSPNREGSITLFGVVSTEDFKDPITIATLMN TKVFWGLDYQLKIKKHFPAVNWEISYSDQNSDPYFNEIDPDFLQLRNKLKNILKEQSILS EVTADVKPEQLSIDQTLTMEIAKIIREDFLFQNFYCDYDYNCPLLKTIGMMRCIVLFYEC AKSSLSEDGSLKWDELQNQTWCEFTNLSRMKFLDPKSSKQQIDDYFIQFTDQINLAFQNL SNK >CAK79746 pep:novel supercontig:GCA_000165425.1:CT868341:225629:227197:1 gene:GSPATT00014676001 transcript:CAK79746 MNNSLYFVSSERQSFWNKNLKSLVNYDFHKLSEDQQTIVLFKQGQLLKRTTPRRYKIYHQ HLFYFQVVSVLYFISDLLKNGEIGGFLILKNVYCSFRNKENLLIITLSHDQSQLQLFTSN RTEEVDNFMNELKLNCIQEQTLTNFYKINEKIGEGITSEVFLGSNQDNQKFAIKKVAKAQ LGHKMRDKQAKSLAEEIFIMRSLDYSNIPKLYEVFENEDYIGLVMTHYEGGQLQEKLQNL NLSQKQQLVKNLLETMNYVHSQSIMHRDLKPQNIMYKNKDPTSVDIAIIDFGFATNQKYQ EHVLYNCGTPGYAAPEVQEYKEKQKMYATQCDVYSLGIIIYEMQSYLQSSFFGVHPFRNS NPGSLTFNEKVKVPQSLKNLLIQMTRIQPKQRFTLKECLDQDFFRENFDSQGLDGLSKYS LVDSIYQKSFIIQRKNSIEHSIKQEKMSKLESAKNKTINSTAAESKNILLKSQNQYDQQF QDDLQFNEYHNYPNDFNNLLQKRI >CAK79747 pep:novel supercontig:GCA_000165425.1:CT868341:227563:228000:-1 gene:GSPATT00014677001 transcript:CAK79747 MQESQSQSDFKLPFIEYHSQHRLPIRMNPSFRLKHTNPVRVQYMKYMERRSVDEIKNETY YLEKFAKINKYPYQESNKQYQISNKIISESRNRDILAKQQKEKKPSIKYQKPPLKYFNLD DSDNNLHQMEYIFHNLKPNKVFISQ >CAK79748 pep:novel supercontig:GCA_000165425.1:CT868341:228049:230310:-1 gene:GSPATT00014678001 transcript:CAK79748 MGTCIQIVNFMIANRMSIPMRIESQYLSYSQLTYGFSQYYVSNESKIPAPITFFGTMKIV YQFLKDTKLFGVPGDSVFVIMIMNGIESIVQFSTAIYVLKYNEAPLKNAFCEVRTEIKQI TGLAISIVFVISNLNIFVYAAYPLALIYNTLKSAARLLRIFNYSIVCIIILVVIFGHNIV SLTLNGICAISATDSETMDFVISLSYLVIFLLFGTMSVIYVLTLKKLIPKYSTIRRVRGQ YLRHYQRFIGFSLIMKFLLGIFSAINLLNCYKFQQTWLEVSATLQNITQALCILGQTIMI FNDSSLKLIFNHSSTTDDNNENDMKEISLQCIRIYDFYRIQCSGIVAQRDEENPRVQILR RNKLEDVVQYKDLVIQEEQDGSGSNSSQGDLSELMDAFSQPFTLDEIEECLVTNLNNQIL QEKLKINHEIQVFSMRCILYAPKIFNYFITLDNIDVEDCFDLMKNSACIDQFTGPDGGKS GEFFFFSYNNQLIIKTMRQSEVNTYKKRLYNFATYQFNNHQSLLNKIYGMYTFEREEQVH SRVHFLIMKNISLGIPRNSILRTYDMKGSEYDREVLAKKPSSDLSKITLKDLDFFKIEQE IWVEDSISKKLNQSLQADSNFLERQQLIDYSLLVMIIDWNQKEEELQKYLDGQQINVIPS IKEKGIYYHIAIIDYLQRWNVNKSLERKTKKIIKMNMQLDTSAQEPNIYGKRFQEKLINR IVPLK >CAK79749 pep:novel supercontig:GCA_000165425.1:CT868341:230941:232287:-1 gene:GSPATT00014679001 transcript:CAK79749 MSNLSHSSQSSRSSSQKRNNKRPQREKRKKNNSEDYDSQIDDDDLSQYDGIRIKNKQQPI LGPNRFHKRETKLKKPTSNQIPATATFLYKPGAELPNLSNYINSIIDIKIACEYINKNNP AITLRQIWGSNGAYASHSDAVCIGIHAGLLALGDLKLTSSFYQGVSLSCKVIKGKKSLNG QMKVPLLSRTLKTPCAHCLKPEKVTWLPQLGSPEQLISWAKKMSLPQNRRLTKRSHSNVY LHEPPQISQPENLLVNNLPPLNEGWIVWDMCNEPSQKYNQLTFMDKETAQGLSSRTSYKF KTHCLYIETHTKKYEIYMDSSKIDEEVFLDSNVFTIQEILYPQQSDIEMLQKFGVPLPKE QKGVIFEKLKWENFEWGNDNVIINGTFQIQDLQNFKFVPISKHQVYEK >CAK79750 pep:novel supercontig:GCA_000165425.1:CT868341:233138:234982:1 gene:GSPATT00014680001 transcript:CAK79750 MQEGKNQKKFFGKDIMNLLKLMVPEKRYCILGMTVVGLNSALLLYLPQVTTDIAKLQELK YDQENKEKSQKTNMYYHLKWGGVCLLGMFLGGARRFLNTQISNRVGMRMRYAMFQKLMST RDNQSLHSQQLVHKLSNDVSLVSTGLSQDIFIMIRGFITTIGGAAYLSYYAFPLLASASA AAAVMGGSAILLGKYMSQFKVKETQELGSLSEVSQEMLQAHRLIKLSDMEQKENQRYSAQ LFRWYEQATQFSKMQALNMGLMEGGGLYALILILFQGCYLVATGHLDPELAKYFIQAVYM ASGTRVMIAIYNELVKTAAIYNTILDIHPNLHESELYNQPDLTKNYREYISQDDILKAQL LNPPQNAEHTKGWQELYEHSTIPPPSISFKNVQYSYPNHENSTLTFNLQINSGEIVLLQG PSGSGKTTALNLLTKLLTPSSGEIVIDGEPLSKKDFKWIQQHVSYVQQDGIIFNATVYEN IIYGNHGYDQSMERVIWAAKMSSAHDFIENMQNKYQTKLKSDGTTSLSGGQKQRIVLARA ILKNPRILILDEATSNIDSQSETDIIQALTQICKEKTVIIVSHKIENYKPLLTRVINLDQ >CAK79751 pep:novel supercontig:GCA_000165425.1:CT868341:235911:239145:1 gene:GSPATT00014681001 transcript:CAK79751 MAEIDLTQPFYSYPIEKVVSSVKTDLTEGLSKNEAQARLAKYGTNELEKEEKESIWEKIK EQFEDNLVRILLLAAVISFVISQFEDHEEVHAVPPWVEPCVIFTILILNAAVGIWQDLDA ERAIEALKDLQSPHAMVLRDKQWGQIEAKDLVIGDVVEIKQGDRIPADLRMVELKTITLK TDQSILTGEVNPVNKTTEAVVKDKAAVQDKINFLFSGTLVSNGTAIGIVCCTGMKTEIGK IQKEVQDAAKEKQEDDDPLSKRLDEFGDKLAKVVTYICIGCWLMNIIMGALYYFKVAVAL AVAAIPEGLPAVITTCLALGARRMAKQRAIVRKLPKVQTLGCTTIICSDKTGTLTTNEMC VKEIVLLTGKEASTVEVFPVEGTSYHPEGKIEKLESTLVKGNGLAANLKRLAQSMALCNE SKLYEDKGRVQRSGLPTEAALRVLVEKIGKYDKTFNSKPILIAPEQYNEAISAEFTKRAT LEFTRDRKSMSVLVNSKNEKGNILFIKGAPDYLLEKSNQIMNADGEIVQLTTQDKAQFLN IVKNLAEKGLRTLAICVQEECGQLSTYDGPKHPAHPLLIDTNTYKDIEDKPIIIGVVALQ DPPRPEVKRSIEKCREAGISVIMITGDIKETAQSIAMQIGILHNQSQFATHSFTGLEFSQ MGDEKQKKVLSQVIGKPSGLVFSRTDPSHKRELVKLLTGQLNQIAAMTGDGVNDAPALKQ ASIGIAMGIAGTEVAKEASDMILADDNFATIVRAVEEGRAIYQNMKGFIRYMISSNIGEV VSIFTSSLLGIPDGFNSIQLLWVNLVTDGLPATALSFNPPDPDVMQKPPRKHDEPIITEF VFVRYCVIGTYVGLATVFVFVYYYLGYEWAGDGHPVVTFTQLRNWGECHYWEGFKVANFD KYDFSKDPCLYFSWGKQKASTLSLSVLVVIEMFNALNALSEDSSILKVGVFANPYLILAI FGSMTLHCMICYVPLFENIFNTVPLTAQDWILVLSVSAPVVLVDEILKVFSRIRNAKLLE QRKKLD >CAK79752 pep:novel supercontig:GCA_000165425.1:CT868341:239215:240114:-1 gene:GSPATT00014682001 transcript:CAK79752 MKKVLTFADLSTSIQSGMSNRQTNLSTSNIQIRSASLRKAISRLKQENRISIMGISSICK TQIPKNQSYFQIKQESKHNRISNPSQEPHLNINQFDHKQDTLRGINQLQQYCKSQKALEI AMQKYSLKKSYKVSSDMSTVPTLVDKLNRDLINSKSKVIQEVMKEELRKRIPKKQKEINY ELDSMFKNYKSFAQLCLSENASIQNKKVYNGYLKVYNSGKNDRIFLDKIEERKKSLSDES EEYGAFLGETDEATIQRDVYNNNIRNPFLDDKVTVITMKKDGFLKRRVTQS >CAK79753 pep:novel supercontig:GCA_000165425.1:CT868341:244024:245363:-1 gene:GSPATT00014684001 transcript:CAK79753 MNCQLKRTCCLDCIEKHNEHKYDLKTFKQITQWKKNTTQNYDIYQKKISQIVEIMVQAEK YLTSLGEDLEKPFEEIMNDELQKQVHNLFRIQHLQQPFNQLISNLETLMEPISQIFSIVE ASSLEKSIKGQPILNDSNYKFQTIGSEQQIQEEKQLEFRQNEGKQKTKQLKTQIYLDLIE KTTRIRRDNDLDIHQYPKYYRSSFENLDDYKTIILIGTQNSQKQNLINLFVNYYYDVEFS DQYRFEIIDDIDITKMKQNDEYEQTKVYYITPLNGKSGLRIIYTPDYSDDLNYDDQNRFD RIYDIIFNSASLNQNILIGFVIPQQVQLGSFFMLESILSKFSNILIKNIVFLFPDCADDY PKQKQILQSQTETINGIFSPVFKMIPKMKHFWFLKFNTKVLYLENKTQENKCLWEMELFR KQIQLQQTIRDEEQVQ >CAK79754 pep:novel supercontig:GCA_000165425.1:CT868341:246320:247740:1 gene:GSPATT00014685001 transcript:CAK79754 MNVCSSRYCQYNLNIGQMVPIPKNRIQCPFCKTTYYCSQRCRDIDWTSGHKTSCLPEKMI NSQEISNSDKVSTLRQMKRTPEEFELIYDYPQLGKGSFGAVKLVKDKTNEQLYAMKIINK KDIFEYCSIENLKREIRIQRKLYHPNITQLYHYFEDKDRVYLILEYAEHGSLFQYLRRRG KLNEDEAVKFFKQTCLGIQYLHQQEIIHRDLKPENILLDLQDNVKICDFGWSAENLGSVK RNTFCGTIDYMAPEMIEDKPHDYTLDVWCLGVLLYELLHGYAPFDGKNDIEKCQNIVKAH YQIDGSLSREAKDLIQSLITYKQQDRLSLSLILNHKWIKLHSQNHINEIKNGRTKTQNAY SIHSQPQYLNKSTASSQKSLIRHQITSHETQNDISIEMNDQRISRNSDLQRKQFRSQTQQ SIGNESFMQKVLVALGCVKREQPYNKNY >CAK79755 pep:novel supercontig:GCA_000165425.1:CT868341:248137:250673:1 gene:GSPATT00014686001 transcript:CAK79755 MQFYGLLPAPNPLVQAADPEIKQKIGQMKKKDAYDERDSAFNMWDYFSDNKVNLIYQRFD NYPWSMIPLNNHRFAASCFFDCKKLLALNRELRLTEFTIVLNKNLYPSTMKQKEQKQLAL EQDNKKYNGLKFDSNFESGNLFTSYRVGPNEYDLMMQNDTNSKGNTQWFYFSVENTLKNS VVTFNIINFIKCDSLFNMGQRPVVFSNKCNRQKGTGWIKAGHNIIYFRNKFKRENSTLKT YYTLSFSYKFEYSNDKVFFAQCYPYTYSQLNNFIERSIRPNKFAEVKELCKTISKLSCPL IIIGNGKKAILLMARQHPGETPGSFTIEGAIEFLISSCMEAEVLRSHFTFYIIPMINPDG VIFGNYRCNLYGADLNRIWICPHKELHDSVWHVRELIRQINQITELNLIIDFHGHSKKQI FSWHFRFNSFYYANSLCDEQKLLPLISCNLSKMMNLRDCSFSVHESKKKTARVALQSEVK NGFTYTLEISFHAFRQQAFQDFTESSYKQLGEDVSLSIFKLFESQMVKSSKLPRTLTLQQ NDELDKLIKECDLSQLQIGEDDSGSDSNPEEDALQEQELQSFFNSQKQNKIIIQTKKKLN RIEKASQTDIQHYQNLMLDEKVLQKIEEQVKSIRKPNTETLGFQSIQEIVSNYQDVPLQE GFTNSFQQTDISLQQILGKMQVYHVRVSNKNSMFQNQIATQSSKPVVIVLKNPYSKQQMS QQQQLMPQHQDASIKNRRRITSITGRSSIEYKRLSHDRKFSIQQYNQDRNHALSFTQQQV TPQNLLTQDATFEKIANISKLKFYDKQMLKNLF >CAK79756 pep:novel supercontig:GCA_000165425.1:CT868341:252625:253343:1 gene:GSPATT00014687001 transcript:CAK79756 MSQQQPLNELHKQQDKAINEVNFEEANDKSDYNPIGIDLPNENGNKKTSTIVTTTEREVI AENQQQDDENLILEGQASYFQSSSSLSHDQLQQLEIIIQSRDKEESLKEKLLLVQKVETQ AEVLNRLRNFGQQVEDMGIENLKTKQSYERNIELKDSIKQKILQKIHKGELQMENDSFDP ETMNETHQTIFIWK >CAK79757 pep:novel supercontig:GCA_000165425.1:CT868341:254277:254625:-1 gene:GSPATT00014688001 transcript:CAK79757 MFLSEVKLSVQVLAVRTKVVKEKTGAVIEEDAKEQTKLQEKFQALTKQLVEILPHIQKQE DQDERSKPSLRISICEKKYFLNDYIQFPALFDYVNQFILLDFRQKI >CAK79758 pep:novel supercontig:GCA_000165425.1:CT868341:254799:255659:1 gene:GSPATT00014689001 transcript:CAK79758 MIVPSIFANFEFLKENVYKNQPYDENTIFQLIHCQLKEKKNMVSFKMQWFISKMKQNQFS QVRNTKDDLIQFKQKTNQYIIMQTRTVQKYSQISKQYTQIMQFMKQINKGQSICLSYNST IYINRQQVKYLLNQLLGILFLATFY >CAK79759 pep:novel supercontig:GCA_000165425.1:CT868341:257599:258864:-1 gene:GSPATT00014690001 transcript:CAK79759 MTSSQNNESFINIHGTPLLSKAIQDIQSLNLNRFEFMQMFLMLNWIKQTIVKEINVQYLL ILQAHTIFRLYKILKYSKILVVQGVDILEAIHNRIVDVDACNLQNEIFLQMVFIKDLQYN QHYLTSTERNSQKIYSKQLATHSSSQNMMTILEILVSCFLNQTIYLINGIYESIIKSVPL WQKRKMKQESISESPVVYKYKYLGIDIDYNGSTLPHVIIQQQKLIFQTINLVPSQMLLNS PKGWLPHIHLKINHCQIKKQNLGRNHSNKFRFPPNTPDIFVRCSLTTYQSSIKDDLIVTL KKKKTEEQINNGKAYLRWLYISSNQNQKQLLILNFYSSLLDLNPPNMIQDVLSNTFNQSS FHYKDSLMLELFTKPETEQSSLNKKNLRIQTNMLRKNYRN >CAK79760 pep:novel supercontig:GCA_000165425.1:CT868341:260427:261704:1 gene:GSPATT00014691001 transcript:CAK79760 MIELLLLLYIVVYCPLIIYVYSSLLKKYEDPKYHRADIPDRFQPFRRTDYKNWNKLEIYF GAIVLLPLRLIFVLGSLFAFAFVFFVTTKDFKLENPWPANRYKFLRSPLQFLARAYLFFS GFYQIKQRVVKYSDYDQDYVQTQINDLSQPSIIVSNHVSWYDTITYVFKYLPSFVSKDTV KEYPVFGWITTNLKSIFVERENANNRRQVMVDILNRVNLINQGHLFPPVLIFPEGTTSNG NYILSFKKGAFEPLQPVKICCLKYSPRRFSVAMDCIGIYATTLLSLVQWKNELEIIEFDG LYDPAYLKLEQYPEEKRWEIYAEKVKDIMSKCLGLEKTNSGYREEYDYEVEILGEKKKKS TQNNMKQANQVEDKQKVD >CAK79761 pep:novel supercontig:GCA_000165425.1:CT868341:261768:263091:1 gene:GSPATT00014692001 transcript:CAK79761 MGNTMVLENEDYISSNISLLKDNLKYISSKRHQYFGQIQIWKNKANPQEWIFSKVHSDQA KQITQIDIDQNIINTQHIERKSMKHENLLKYYGCTIKEQTFQGTIKEYRVYYEFQLITLS KVLQDYKQNGQYVAESFIWKLITQISNVFGYLECQNKYHQNITLDSLFFDKEKNLKILYH GALPNILSTYAQTLGNYNSNISLTPQQMKDYQNHLACLQINPFKQDSYQFGIVLVSIMSG KDVAKCTDFYKEVIHYQQILNFLGSAFHYYSQNLINLVVSLLQYDDLSRPNISELLKAKI YKKLPFLNQKQLKKYKQPEKNTLKRMDSKISYSFQTERTDSLNNILTEHNKLTRSITNTT IIYSSPVKFSSRTSTAYPKKYSLVDGYFTPNTKQSRTISYRFFTSNKKIQSPYIQ >CAK79762 pep:novel supercontig:GCA_000165425.1:CT868341:263129:264271:-1 gene:GSPATT00014693001 transcript:CAK79762 MNYQQDKRNSVIRQENVRGSMNQQLQSPQQLDVVQSNERHTGCIERMRTLTQELQRMTEA LRLKNQDVMYLQQELQYAKQNQQNNQGNEELIQKLQLERQKLDQFKQMKDQQLGELEAEL NKIHKELKDERLQKLEYVNRYQKQCDEVVRLQKELEKTNQQAANSNEIDQLHAHIDDLQH ENDELRLNMNSLSNKVQQQQQQIAILEDQLTQQQSFQPSKLPPSGPTSYQMPQMQYQQQQ QPQTSNYQKITTYTVESKGTNKPTEVRKQVVSTVNGKTDTYEYSSQDPINPKDSINSKLD LINQKASQNFEARNSQLQNNISASQLQRGSKYLPETYQKEFTEFKSKAETKPVTEYKTTT VTKTNNKYSKY >CAK79763 pep:novel supercontig:GCA_000165425.1:CT868341:264318:264947:-1 gene:GSPATT00014694001 transcript:CAK79763 MNQPNKQPIQQQPQQANAKRQSAIQLKKYINQLQGTGVLGKRIYNFQIIKYLVNIMTMCI LIRGKWRESQKKFLALRMAKSLCRIQKRECVRSYNKIELCNPNADISLINTVFQGKQEVS AKDIYELWNGEPITDYDPLQECLNLLCDQNEILDLNKMSQLMETLGYQKLDKQDQVILIE IFDIYRDKKIDKKDLMAIFTYILQHTTGI >CAK79764 pep:novel supercontig:GCA_000165425.1:CT868341:265220:266196:-1 gene:GSPATT00014695001 transcript:CAK79764 MELDFLSPRAREINKKRLSLLYSLKNQNIEQNDPINENVPFIQKLSSDHPLKDVIKECQN NVREGFLTPLTNRSSNSLEFTANMFVEELLDSIKIKHLKILQLDELINNLKNNIEILHKI DANKIFVTSKEYYQIFILNQPNDDNYQLYLNDQKLYPQIKYTCPFDAKQKLNFALFSSNN KMLEAISVDMIYQICHNCVKLESDEYLQTLQIRFFEDSNNPIIYDLKISLVFNMTRDFKK TIINTLKEEIKEIDYKILDMIEVINRMLEPFSNNGFKYLRYEGLIDNQSMSKNRNHCCSI F >CAK79765 pep:novel supercontig:GCA_000165425.1:CT868341:266232:267270:-1 gene:GSPATT00014696001 transcript:CAK79765 MLAQSVQGQLQGPRLSAGPGQNVQMGLGMIQPTFGLQQQNSQQTFVPLSAQLPFPGVGQY KGQLPLQFSMPLQGVNQGQLSQHLINYQQQPLVVQNLIQPQPQVQYQQQIVQPPPLQITQ PAQIERKVNYIPQKKQIVKMEEQEIEEIVPVERTIVEYIPVQTIIEKVPIPVEIPYEVMV PKPYKQEIKYPNQQNQFITDDMGNPLSNPEDLYKNKVPPTQITGYQQKVTESDDGDDDDQ TDEDDDELIEKYTRIIEEIKQRRRRRRHKQGLSSDDEDDFNFSKFQSKFGPQYGNQGGFY ESRYGNGGGGSRQSSTMPNRGYHGRDKSARDYLNQRR >CAK79766 pep:novel supercontig:GCA_000165425.1:CT868341:267468:268121:1 gene:GSPATT00014697001 transcript:CAK79766 MKTKDLASCQFPDNKQQFYLFIILYRLLDIYLLTFYKKPPIQPIPVNQQSTQRKLSIKRK AGSVKEIFQENAKPIKDQLHLQPLKQNQFQRVLSQNTRCKDIPEEIATERPSLSKSKNKR YKSVNLTKKFQYLHKKIEPLETTQNINQYQSYRINCNPINSNLESKWNSQLNQLEQFSQN SELYPQNNNPCQNKVYHKKLIFPKEFSANGLYKKYFA >CAK79767 pep:novel supercontig:GCA_000165425.1:CT868341:269133:270408:-1 gene:GSPATT00014698001 transcript:CAK79767 MIQVFQTLDWILTKLLVSICDQISSSLYKLEYYNASFLFMDLTKESIQRTSLSFYKLIIS CMISVVLIVELLAILNSQFLCIGKNYFLQLKIGKYNYLHVLILAIFSNNNLEFLYIFSQV SIKIYEAQNNSIMFGILFKFFSAPPLRSCIVFFTSNTFDHYSTLNLSKLPKFKGEIQFII LFINISEYKLQQALQDSLEQSLIQIHVLLIIYTQYKFNFQLTFNILQTQQLMQGEYPERA QPLANEEVEPQISRTCIISSCLKIIKKKGANETTQNIKQRYCRVGYNWLADTTPLRNRSS FAIIMRGTRMSLMFLLRARSTQAECVVQVEMLWHALLQRIKTQESIKILHTLRICAKDSK QIKKHDQYKICTLNNDINTIQLVIHRDI >CAK79768 pep:novel supercontig:GCA_000165425.1:CT868341:270469:271278:1 gene:GSPATT00014699001 transcript:CAK79768 MNLDEQTKEFLISGFKSYVCCLTKTKNVFIPIESTQEITLFRYEKYWKILNDQNNALNKR ITKFYFKNYQQIQTTLEKQIICSVHRLPVKLHQKQNKRNIFHKIRLSKQENEGRNSRTFQ DGFQTDKEPYVGNSVGIESQGEIFSHLKNIVLSSSKTREQKSNYENKKYILRDSQIRNEH EMIQSQLVLCGKSMKFPYNEQEKKRILSNIFENKDNANNASKDKSVEQVSLSLTKNLKIQ KQGLSNKYHLIKIKQNCSQLKLQQLQLQN >CAK79769 pep:novel supercontig:GCA_000165425.1:CT868341:271400:272182:1 gene:GSPATT00014700001 transcript:CAK79769 MQNLEKLLIAPKQYKFYLIIHHCLNLIHGVYYCKNYLDNLINDRLPFTLAWFRCSFLFLI FILSLGASILYVLIIPQIITLNQQRTRDFINSFKLLLKQKLNTYMGWIEDIVYLLYCFGL GLMIWDILTTQEEEIQDVINSIRYQVTIGIVKYLIIKFVLEKWMQKAILQTEQNLIMKTY QLNEKSEIIQELCPICYDSFKSKEQIAQYLCPGNHKFHQECLMKWLQAPYNKRKSCPYCK QQPLNRTKDFL >CAK79770 pep:novel supercontig:GCA_000165425.1:CT868341:272679:273119:-1 gene:GSPATT00014701001 transcript:CAK79770 MEEQRKRLNSSMTYEEYVNTFDKAPKKPCLKPKQEPDVGMEDLDLLSDAQTEIVSINLIT QQPQVKKKIYCDPNTVIQEVEEDGKTPVVWVDQQLTPSPMKMARQRTQSENIQFTFISFV EREIERKGSRKFE >CAK79771 pep:novel supercontig:GCA_000165425.1:CT868341:273426:274366:1 gene:GSPATT00014702001 transcript:CAK79771 MKKLMSTVFFTHSFSKISNFDVIIMDSFKGCHIKSNLNQYINNPTLFKQKIINLIGENKS SNNTAIWIELKNDQLRLAPILIEQGFQMHRVAGTVLKFSKWLLEGESRLPSQATHFIGVG GIVVKDNCVLLVQEKNGHRMGAWGTPGGLLDLKESLIQGVLREVKEETNLDCQVEDVLYF REMHDARYEKTDMYFAFQLKCLDDKQIKICDQELMDYRWVPIAELLDFLKKEGQKPHVIN FYKSVQERLIGEDKKYMKIEEREEMYQGQMKYYAVFKPRF >CAK79772 pep:novel supercontig:GCA_000165425.1:CT868341:274446:275496:1 gene:GSPATT00014703001 transcript:CAK79772 MSFQRMGISENRNTDNTLHISKKEKKEAKGKCIVQQYFQKSQTKNKSLYEDSLTINGIEQ FENYKKMVKLEQKRESAIGISRILFKESLRQRFERLQNIKTIQQLVAIPKYQQFCKMFFN LNTILVNQTLAFQEIVIQFKQDYQIEFKVQYLQQFLSIWNDAFRLVWKYYQNEKLSLEVG IKDQQFHQNDDKNIIFQQKLLDYIQIKGECIDLYPLPNMENIQQDIKNQDQESHSTHTIM RDQQHSQSNNQLKQIAIELQQYFHLRDVSNMYLINIEKYLIKKSNDLNSNNGILTIINNL ISRYPEWITIVQGQTDKIVRINRAIDIKQLMLEYECLDCN >CAK79773 pep:novel supercontig:GCA_000165425.1:CT868341:275565:275970:-1 gene:GSPATT00014704001 transcript:CAK79773 MNKTQVLKSLVLRQPTENLRRLHPVAQQKREQYSQTPEPLQYKTREESPFRVLRSQNGNL PVYKKFFVRSEVPRTVIRHIEGDVNEFIKELRKVCSNADVIEKVGRVEVNGSHTISVRKW LTQLGF >CAK79774 pep:novel supercontig:GCA_000165425.1:CT868341:276412:279302:-1 gene:GSPATT00014705001 transcript:CAK79774 MNLLKFLYGEPSKQQEVNQQVQKHIIYSNEDEYQGEILNDKRHGKGTYKFASGNRYEGQW KNHQKHGKGKLYYKNGELYIGDWVENKKCGEGMHFYINGDRYVGEWKDDQRDGAGTLYQA DQNIFHGQFRMNKKYGTGYYFNTREKKYYKQHHESDNILDSVYIEEVPTYVLEKFHIKPE RHHLESERSEDCAIKIEASPTIQNDSDKQKDMQPSGQSGQGESDPQQKEEGKSQLNSFGT SQQHGFLSLQVLEKLEEFESQKNMQEWQLEDVCNWLDSLHLSEYKDEFIKNQMTGKTLYA LTDNDLKQDLGISVLGHRKQILQSIEEYKKYYVKFMGGKILLKKPLESLEDRKGVFNSIE QLRFKSLFSYMEKIEEEQNEHHDNNISQSSSDSPSANKRKKNIKDIPKSPTRSMSNDSDQ GNLSDNNKSLKLKKQKSKRSKKQQRKQTLDLQKSGESADDEDGKQHLTSPVQSPNKASVN ISEQKIDMLVLNECSNVVEENKEKDSHPISELSKQQSTNKQQELQDAMSSKTPPQLTNNN DENATQQEKLQSISQQLQRQKSEVNGSKFQDQDTSSQESDVSGNDSSSDSSDEEKYKNQK RSRRLREINKKEKEKDDRQQHHLPKKLLVMLKEFGINERVLIVFHELIIGQIIGEGGYGV VHKGKWLGQDVAIKSYGKRKSQGNLKYKLQMADFLKEVEVISNLRHPNIVLYMGVCIRKQ NYYLITEYLEEGSLFDHLHKKKTHIDQKALMQIVEDIALGMNYLHGRKVMHCDLKSSNVL IDQNWNVKLCDFGLSKINKKIDHKVNKGARIGTPNWMAPEIMRGEPYQEKSDIYSFGMIL WEIITQQIPYEGLSQTQIIGSVGYGQDQVIIPSNSNPPILLQIAKKCLKKNPNERPTFAD IVNEIQMGQKTDAKLKKQAIRQLIDFFE >CAK79775 pep:novel supercontig:GCA_000165425.1:CT868341:279424:280655:-1 gene:GSPATT00014706001 transcript:CAK79775 MELKHLNKFVEEYKLIKNDKLIKYLLILGIGFVKHKLEDINQEAIKLLANSFKQKSIHQE LKNLRNKVLSLEKHIQPEQQIKYHSQPKLMPPKVTPKITEQIPLQQNVKKAVPFKHHLDD SEIKSILWNQYSDNHISNNDSQHQPPRKQTIDQQPIIPKYLPRNNSQTEIHPLSQRENST RQVTQRSSHHSSQFDSLHNRNSPKFKGEKKKSICKENKASGGAGGVPKHLRQVQSKIKSQ IQYDKEQYKSNNSQKEEEQTINIANSSLNPFNNTPQNKLFQPCHSTHFGSGQVSSAKLQQ QQMQIQDLNNITERKVFNLDEIASSFLNSPFMKSQISQRLFAKQESASQSSSSSTFSLFN PNNELKNFFQQLDKQVGNSLSFQF >CAK79776 pep:novel supercontig:GCA_000165425.1:CT868341:280683:282758:1 gene:GSPATT00014707001 transcript:CAK79776 MLRATYEHIIHVESFKNIDLFNQGLYYLRFLIKSNGEQATPYNYFETNNNKKKESWQPSQ IDEQYFQTRTFMIRFQEEEVEIDESCIFKIEVSASPHYEHEFKLICELMCADLAKIGGPP NEESLFKCVATFQGRICNSYKGVHEYVPIMFDTNHFCQVHCTVNSNLQDFKFRLSEFQKA NSSKISIQPKTFTEFLHKHTNKFTKSQEFFDYYIGQLRLTSEQLQQQVAKLCGQLKLYEE LSKLPTIPKFSYIQYQESSIIKENIHTKGNILISDVLNLKNIRDQNLLSTLIENDINQYS SVLFELQNILINIIQNNSKQYRILLQQDYQVKIKDKWGETYFREVIKCNSFSNGTLCQKQ HQDVSDQIRRKPIIMEQQLDIIDENLFSDQKQATVLFEEIYQISQPLVQQEQILQLIVLV HGFQGNSLDMRLIKNNLQLQYPNHHYLMSRANEDLTDGNLSDMGQNLAQEVKQYILDWIK NNPFRISFLGHSMGGVIVRAALPHLSDFKINMNTYISLSSPHLGYGYNNSLLIDAGLWFL KRMRKSVSLQQLAMTDAEQIENTFLYQLSRQDGLNWFQNILFVSSAQDSYVPFESARISK NFERSDQNSRKYEKMVDNIFNGMRATQVRRLDVNFVLKETIDNMIGRSAHIMFLENQQLL RMLVTCVDDIFN >CAK79777 pep:novel supercontig:GCA_000165425.1:CT868341:282789:283511:-1 gene:GSPATT00014708001 transcript:CAK79777 MNNYYQFINQISIPKQQFQVKTIPEVINFNANSNTYMNKIKCQTQLTDENKIEQHIKIFK DQSTQCDLTTLDQATQYDQLSEQEEQIIQLVESKVTYTNCLLSQMESIDMNNFQPRQAAS IFQSIVYPSTLMTLIQENNNTIYEQLLVNQNQTFLNAISQLTNNKQINDIRNQIQQCLDM LILINKGLIDQHFRKDYSIVDQLSFNENCCEQQSPGWLLTPTIKIADSQNFSTDSLIINK >CAK79778 pep:novel supercontig:GCA_000165425.1:CT868341:283565:284583:1 gene:GSPATT00014709001 transcript:CAK79778 MLQCFLIFLIFQNEREARQLIKLGDESLGRQVTKDMPTYDNNCEFELVNIVDNLDHYFLQ HCLNWFLLAFLLRDAWLLNFWQIFNEVIELSWQHILPHFRECWWYHVLLDVLIGNTLIVF LGLWVSKKLGIGQFDWLGRKDKSFTQQLVWHDYKYFGSSIGTWLALTINFLAGFFLMSQL WVPPKCWMVSYRLFVWFEMGVLSWQEQWNDLKGNQDQSGQCRYLVVWTLFEETFIGIKFL KDAGNIQYVDTPYYISIPWIATITISSGVYLIMRVKNKRRQKLKVR >CAK79779 pep:novel supercontig:GCA_000165425.1:CT868341:284618:286311:1 gene:GSPATT00014710001 transcript:CAK79779 MDYCMRKTEMTDNKEDDVTFASYEWENIKATTASQLPTCRNCHTATTFKHYMIIFGGKEG EGRKKFCNDIHILDLKRLKQCNNSMISWTSQIKVSGQIPDVRMGHSAQNYYDKIVYYGGW NGYTVLDDIILMTPSEQMNVVCIDWQHLKSENTPPKRQFHTANICGDFMYIFGGGDGKMW LSDLYKFDLVKCFWTQVETTGQKPQGRLQHSSVIYDHKIYVFGGEPDRSHQLNDLYQLDI ENNVWTRLQPKGSTPSPRVSASAVMMNNKIYLFGGYDGQQWRNDVFMYNITENQWEYIVI NEQEILPHFRCSSKENTSQQSSPPRPRCRHSAIAYKNTIVIFGGNDSEKSYNDVYMLKQQ STIKLAESTLKQDFSSILFSPVLSDITFFVENQEIYAHKIILASRCEYFKTLFLNEQFNL GDKLAITDTTINVFKAILQYIYTDEVFIDSYIVQDLLALADKYMLQRLKNLCEDHLIKNI SLKNVIEVVNLADKFSAQELKANAMIFLLDNKQKILNTQDINMLSKEILIELLKFTK >CAK79780 pep:novel supercontig:GCA_000165425.1:CT868341:286323:286851:-1 gene:GSPATT00014711001 transcript:CAK79780 MIAGQIIEFFWLMSSLVLFGYAVLSKFRWKENVHQSRKTRMNSYKSQNLPRNQFVIEEAE EQQQCKSEEDLPQQQQQQENQSIAQIIRPKVNYLINVHFLLSSVAIINILNGGDNIFLLV MVILYLVSTIMIVAKFSMKWLFIPQLMILAMMINYLIVI >CAK79781 pep:novel supercontig:GCA_000165425.1:CT868341:287355:287789:1 gene:GSPATT00014712001 transcript:CAK79781 MGLACSSSNHKPHNSQINEINQPLVIAENFINIIGQLELSDDIHDDLRYNLNQLIILRSK LAHCIVRLHKRYSHYHYKQLEKELTSVFQYVDIILQNVYLELYFPLIYTFLVDLIEIHKQ NLKQQL >CAK79782 pep:novel supercontig:GCA_000165425.1:CT868341:288093:288698:-1 gene:GSPATT00014713001 transcript:CAK79782 MLRLYYQAFSKLIKVDHLAMTPHQYWIAAGKGMERPYTGEYWFNQEVGTYHCKHCENQLF SFDTKYKSTTGYAQFWNHIPNSVKLEESNVKEERDLCCAGCDSFVGKVSFDGPPPTFIKY SINSAALNFKLKPFQEDPYFRKIARKEQQAQRSKKVEHNYLESNKNL >CAK79783 pep:novel supercontig:GCA_000165425.1:CT868341:288724:289538:-1 gene:GSPATT00014714001 transcript:CAK79783 MDSQRQNDSPQQLVYRESQEIFGFKKSSQNDDFVRSPQILYEFYSEDPIQLELFRNMSQE QQQQHLRQEESQRFINVIEQPDQTQEEKDSNLGLEQEQNQEQSKINEQHQQNIHTLQKKQ ENEEQAFKYFQILQNDPSEIQKLQQQPCNCKNSGCLKRYCRCFHSGRMCLKECQCSEDCQ NNEQHQEQRNNAIIHVDQKCYRNRRMPRDALFKLDVIYGCSCTKSKCRKRYCECYLRNQK CTDKCKCFDCYMNGFKMTYPPFL >CAK79784 pep:novel supercontig:GCA_000165425.1:CT868341:289609:290705:1 gene:GSPATT00014715001 transcript:CAK79784 MDHQNNNTKQRDFAVLLNRNPQTRPQVNSTPIKKVFIDPTQRIYEDIVVGEYLEEHQIVV ESNEIEVPQPFIEWKDCQFPNQLNKRISLKAFQRPTPIQASVFPIIMSGHDLIGIAQTGS GKTIAYLLPGLVHIECQRKKGGPMMLILVPTRELAMQIQEHISYFSEAYNMNSACIYGGA DKRPQEMALARDPDIVVATPGRLIDFLDAQVTNLHNVTYLVLDEADRMLDMGFEQQVRKI DSYIREDRQTVFFSATWPRTVQNLACDLCHNEPINLYIGSQEVTINKNITQETICLYQNE KQEELLYILEELSNKDKVLIFC >CAK79785 pep:novel supercontig:GCA_000165425.1:CT868341:290753:291432:1 gene:GSPATT00014716001 transcript:CAK79785 MSLHGDRTQQQRDYVMKEFKSSRCKLLCATDVASRGLDVRDISLVINYDFPNQIDNYVHR IGRTGRAGDKGRSITMITLDTMDPRIAKQLVDLLKDSEQVVNDDLYDFAYSKPYQKGKRP NQRKKNNDKPITQTKNTNTNNTNFNTQQQQQKQFNLKASSPVKQSTSSMPPPPELKKAFS DAPVFGFFNSKKE >CAK79786 pep:novel supercontig:GCA_000165425.1:CT868341:291551:292281:1 gene:GSPATT00014717001 transcript:CAK79786 MGNCFKEQTSRSSISDYPRMEIFEQDFLDQKISHKRTKSELLSQVESIIIKEDPETEQDQ NQSVELNSQFQIQHSATINFDRLPLGIKMEKHHKIKLYNLHTQRYLHSHAIKHEHNKSNE VSTCNNCNYEYDWWQFISIQNKIHIYHPITQSYLKCLLTTNDLGEVGCVQHDMDEWQIIS DDRELKQYSIIKLKHISTGLYLHTQPYQSKVEDQHIVSVCKQELANALWRIADMQ >CAK79787 pep:novel supercontig:GCA_000165425.1:CT868341:293551:293933:1 gene:GSPATT00014718001 transcript:CAK79787 MSDLESENDPTNRQSDRLREKQKRKYNLMEEENYREEQNGISNERRSARAIKRNYAATMS EEEESMNEPTLESESRRIFVPEIDQILWRKTDQHTGDLQYLVKYKRPKLFPYQSGLMN >CAK79788 pep:novel supercontig:GCA_000165425.1:CT868341:294030:298644:1 gene:GSPATT00014719001 transcript:CAK79788 MEEFEEVVDAQYFDPAFQEVDRVLSCTEIFPIVHPKKGSEMKGKWAESLTKVMSHLLNFS RNQVHYGVYFLESKAILDFGTINNRLYLGQYKTNNDFWNELGTVFRSAYQEYQENSEMKQ ISDTLRECAIVLYNQWYEEAKKNYEQKQSQQRYINEDAYKSKVNDAHRNEIMPELKKEKI NELVLNVLNIIDEKQYTIQENLPEIESIISDKLNLKLEIKSEDIFDLEDYLKSQLQTKDI DVAQEMQLEMLDFQQQMDNVDPDIEAWNPGDKSFDWLQLDSDDIQTLTESEILKLSQEPD RLYLVKWKHLSYLEATWEPESLIDCRQKIQEFKQFNRSLDKETRNLMMQQNSNHKTLVDF EQGLRKKKLSNHQISEIRNQLYFLNQRKPPHEYTQLTQTIYKDRRLLRDYQLDSLNWLIR AWYEDRNVILADEMGLGKTIQTISFLNHLYNFENYRGPFLIIAPLSTLQHWKRTVEEWTN LNAVLYYDQESTAGRTQCRQYEFFYTDISMKGIVLQASEIYKFQILITSYEVFMQDFQTI FINIPFQYIVVDEAHKLKNSNARILQSLKKLCCQRTLLLTGTPIQNNTEELFSLLNFIEP YSFSNLTSFKREYGQLETSDQVEKLNVLLKPFILRRQKEDVEQMIPPLQETIIDIEMTTI QKHIYKALYERNKSMLEQGFSQWAANAASLNNLEIQLRKCCNHPYLIQEMQNDLTKECQN KNDYINKLVESSGKMILLDKLLNKFRNEGKKMLIFSQFTMMLSILEEYLKFRQVKYEKID GQIKARERQNAIDRFNDPSKKREVFLLSTKAGGQGINLTAAEIVVIYDSDWNPQNDVQAT ARAHRIGQSKEVTVYRLITKDTYEAEMFERAIKKLGLDQAIFMSGQFKSCESSFKNNKND KKMSKQDMEVLLKNGIIGLLSNNQMGDTFQEKNIDEILEKNSRTAKYSLINGSYTVSKQS FVSEKTDKSISIQDPNFWKIILKSQESRCQKLIKLFDIHMSLQEQKKYMEEVGDCVNSLI ESKLSQSNYSTDDEQILTDLLNKINSSNYAKNYRELAMNWIYELSKPSRRIKKLTDMDLG EDARRLASKSKEELIKKLCYVCERPNCSVFCMGHCRRAFHIACKDLLETTEYINIEGPDQ DFLNNHSFPELNWSEEQLKENVNIRYSCPDCRNCLVVCLLCKSKGTYPPEKKQKEEVIAS SDENDPLEDNVKKIKNKSAISKCSTANCNRYFHLSCIQANPLSKTLDSNVELFRCPSHVC VFCKVNSSNTTTALIHCVRCCRSFHSKCAPPEVKSKTQKIGKKVMICDLCAKQKEEKFEG KMIVKISIKGYQKKQKQAKLDFKQFGTTARTRRIYEKKNETMPSDRRQLDSSRSNSEEKI VKKVQRKQFKQDIHPYSYEELGIIPVKHFDYSKYTNDWCKYCGARFASNFTKGPWGSRTL CTIHYINWGQKKQLDLSEHIELPKSPINRDDPTELQFLQRQKAKDPNFDPRKELNIYNDE QYQIFNND >CAK79789 pep:novel supercontig:GCA_000165425.1:CT868341:298676:299103:-1 gene:GSPATT00014720001 transcript:CAK79789 MPPKKTIQEPNVNVPNGDAAKGRDIFDQQCAACHAFEGDDKTASAPTLGGLLGRKAGSTQ FPYSKGMKGAGFNWSEKHLFVFLKNPSKYVVGTKMAFAGLENEQERADLIAYLANN >CAK79790 pep:novel supercontig:GCA_000165425.1:CT868341:299530:302570:-1 gene:GSPATT00014721001 transcript:CAK79790 MNHTIEYFNLQQHKLYEYMVGLTQNVTGVEKIFAELIACLLDFSSAISSIGQKFHSQISS GNEPDILVCLYQQVSNYLLRLSQNWDNTAQKIKSEIAEPYTQFVVNFRQTNRSLNSESKK QVAEIIETRKEMCKTQEEYWRLMKLFEQKSEQAQQMIDHIEKGNATKEDFQKLFNNSLRI QELADGMEKDYKKLLLITNERWKQFHQEWDSIFTNVGLNEQSRIMFTKQTVSSLLKLLPF DQDQSQFEEKISDLELKLKSDQKIPIRKLIEKRMQIQEGSFKFSYEEFVSYEQWKKNHQN HDQVHPLQQVQSQWTIVGDKISEEEKKLVDKYLSSLFQIDLSDKCEQIIKIKQILQKSAG RNYFINQLNKLHTKSYQEKQARFYLTLTTEQFMELLQLIRHWLNYVDLNEIYESEDIYDL LLKAIRIVRIDGKERVNLASQLSDIPLWTKVDRWIELFQFISAKKVDEKRKQAQSIMQQT QSTILKKGVRIIGKGLSMIKNFGSQQSNMMEVNESEICYMILDEINLFIYSLKLPSELSA EIIIQIASQQQQIDKDHVVKLLEKQEDFHNTQWKKFFKSGKVVLTHKTEKYERKQLYIYE NKVIQGCGACLKFLSIQDQPQKLLTLNGNFNRQLKHKIKKFYLGNSQVFSDESTHQLRLR LMSQALKLKQLNIDYVDMKTKVGIEMDINNLYEETIKLDVQRSLHIHKDKINSNVLQSLL RIYAFYNQEVGYCQGMNYIAGYLYLTYQDQEIAYKAFDRMMNLYFKELYINDFSKLKTGF YAFERLLCIFLPELSSHLKDQKIDASYYVASWFITLYSNVFQYSQRSALLNVIWDLFLAE EWKGFYKATFYIFSLIQQQILNLEFDEILHYLGQLIKSEMFSISTEQELIQYMQKFDKSI KADVSIKKTILSRFRITNRMLKSLESEYHSFQLKLNKKFNQCMKR >CAK79791 pep:novel supercontig:GCA_000165425.1:CT868341:302906:305134:-1 gene:GSPATT00014722001 transcript:CAK79791 MEDPYDFMLGENLLKSPPNFETAHYHNVNGQFKLKKAQIIHREIVNNSNRCPCCYLPVQT IKYPILVDVNVFSNCGTSYKYFKLLKTYFILLSILLQLEYLLHSIIAGLYNLIQNSKGDQ CINDQSCKNNLNNQLSILNRFDPENYQDDVLDVLYAIAFIVQLVFIRYITHQTNDYFNVD HDYDSEKTIQICSLKISSINTQWKRNTILEHFRNGMLESIPFQYNIIDCCLIYDIYHLEN ELKLQIREVYKKEKSRRLTIKLIDRSLQDILRKTVNQFYTMSNSKKYLKFTGSVYITLSD QQEAINFKKQFKRNFCLEQCPRPSDVIWKKIRSNGNPKLQLIKTAIALCGWLPNIAIEVA KNDYILSNPGKSQDQYQINTLLSLVTALILFLSSKICIHTIHNYVKQSFQDSKRQYWKQY LQMNEVIICIMFYLGPPIFVAVYSGEGTRQEKLWRSGGLSEDIIMIILVNAGLQILFTIM DIGQAWKLIKIIYYKYFNKGSNLTQFEANKLFSKKLNFNKKRVDLTILVFQCSYYGYLFP ICYPITLISLLIIYWLNKYQFINNGTNQKIQFKYRLSKIMTFMVIASQLGSTQIIKITYL GYTSNQAFNYIYYAKCIILIYLFWIKPELLFKKRTQTNKTNLDLVSSLQNNDLYPKYNPV VNENHLNSLSQQQSSLDMVVQLRLSRQQKYYEALQIKLLREIEELEKSELSTVQKNHIRP IQEDVN >CAK79792 pep:novel supercontig:GCA_000165425.1:CT868341:305577:306543:1 gene:GSPATT00014723001 transcript:CAK79792 MKKKQQNRSSSSSSSTSRSREDEVKNQRAKVSYQEYLLQRKQRRESIKKSNIWQFNSDSE EVRKDLAIMKEQRRQQRYIIEEQMKQEEDKLRRAKLDAIMKGEHLQKVLPSKSEEREQQS EPKEIVIQEEVVNQQEEIDQEQDEEEQYYSETDSDDSFAEKVRKLNEEMQKKKEENDKSC QIGPMPLLFDKNLQHRTNYGSQLLPGEGAAIAYYIQSGKRIPRRGEVGLTSEDIEKYEGL GYVMSGNKHRKMNAVRQRKEMQILNAEQGKALMIFNYEQKVKREKQIIDQLQKQLKLKQT NQASQ >CAK79793 pep:novel supercontig:GCA_000165425.1:CT868341:306545:307538:-1 gene:GSPATT00014724001 transcript:CAK79793 MPRRSGSASRSTASPSRSTATAARPAPQAQAPLQTPAKSGGMLSGLGSTLMQGMAFGAGS EVAHQAIRSVMGGSSHSQPAEQQTQQQAPQQQQQTCSSESQMFSNCLQTNQDITRCQPYM DIFKECQKKYNL >CAK79794 pep:novel supercontig:GCA_000165425.1:CT868341:308289:308746:-1 gene:GSPATT00014725001 transcript:CAK79794 MDSGGANTFVVEPKDEEKFYPSKVRKLIQEIMDDKLKNETYDANNTPNLAEELVKRIRSK VRDSIKMPRFKVAVQVIIGEIKGQGCKVTSKNLWDPTWDNYASYSFQNESIYGVAIVFGV YYE >CAK79795 pep:novel supercontig:GCA_000165425.1:CT868341:308746:310125:-1 gene:GSPATT00014726001 transcript:CAK79795 MQNQHIRTYLVYGDSGSGKSTFIKSLGGIDSDNQMPQTGGLGVAVTIDPGKIYFIQDEVL GNVQLIDTRGTWDPGQTNNDQILQNLVKTLLDYSVHQMSQQINPIQQLDGILYIHNSFID RYAKDTRIAQLGILIGSNLHSSTLTIMTRCKEIENTNLKYNFYEWNKKLHKSESEYIHWD SVNPLEKQKEKLNELLLNLKPIPLSNIKDANEDIIKLAKELQLKDLIEIEEPRIKTIIRQ YTKEKLKKKTSYTNVTLTINPPKGIITQIGGWVPIKIPFLTQGGNLTTTSIRIDADFPQN SLPPKLILSCDLDKSLDEIKGQNLEIIKHEFVNNGDYYDANFQYRWNGINNDNAGRVDLK IKMVIQYRQIKQKQREDKEEYFENVMKPRYSDEKPYIQQAMEQQFDHLYVGIKKNFEKQ >CAK79796 pep:novel supercontig:GCA_000165425.1:CT868341:310675:311324:1 gene:GSPATT00014727001 transcript:CAK79796 MISKISSKSNSSLASNDEDSSYQTQFHHQPHFQLEDGIFKSKQFKQRRKTIQYGQKDRSS AIYKYIKEKQKAFLDYKPRAQISQFSAEEDRILILLVKKLGPKFNKITRYFSGKTVNMIK NRYYKALRYVESQEIPKELEEELLSNNNDSRIIGRKILNLWPQHKYMSSVLENSPLFPEA KEILHTFLSSFQQLISNCKSDK >CAK79797 pep:novel supercontig:GCA_000165425.1:CT868341:314105:314329:-1 gene:GSPATT00014728001 transcript:CAK79797 MSDEKQKAFNQKYPDLVYIFKGLLDEGIFKSFDQFIEASKIIFEKYNNQNNKNQRLTIVD IGFTKQQLQEINEN >CAK79798 pep:novel supercontig:GCA_000165425.1:CT868341:314367:314893:-1 gene:GSPATT00014729001 transcript:CAK79798 MKGLNYKSTQTYSYEKSNEEMKRILEYDGLKNWQLNDDCKIVEYTIDHSMQMTLSLLIYL QEIKFIRLTIAQNIQLCLAILNKYQELQKQNIQHNYLSSDRILINLTDKQHQITIFPEKL VYTIHFLGYDCPFYERDNYKDSKKKDDQSIKEIIINIIQLSKKQKFD >CAK79799 pep:novel supercontig:GCA_000165425.1:CT868341:315121:316371:-1 gene:GSPATT00014730001 transcript:CAK79799 MKDFKYESYLTYTYNRPIKEMKRILELENFQTWDFNQQQKIVKYTINNSISIKLSYLLLF IEADFLRFSLAQIIQLGINIYNKYKQLENNQIQHKYLSSDRIYLQFENESQAMSILPQEL RYSIHFTGYDCPFYELENQDSVQKSDEQSVKEIITHILQVAKNKFKEKYKKMLQKQNGTE RFQQILQAEEEIYQKIYNEGICISLEQFINKSNDIFTLFDNSNNQRQLLIGIDLRFDEKI FWRKFRSKQVSQNIVNIVQSTYSSLKWIRVEQLLIETIPLIMREVKESYIYSDQIQAQNR QFINLQLIIGCMDMEDINIQIDKLLKKAQITYKFDIDKQIIFQKTISSLPDRLNILNYFY NNINFQIENNQKLIKLIDNLKQKLLNQIVEENIKEQIDLNIIQLLEEMF >CAK79800 pep:novel supercontig:GCA_000165425.1:CT868341:317684:318222:1 gene:GSPATT00014731001 transcript:CAK79800 MINQDRSQSNFLKEDSHLPVETILYQGKKYVPFFLGGSQGYYTDQQPIPPYDAFDMILEV LAIIELILMWVFFAQLYNQLDLVIPVFYNALGEQTATGDKIILLAIPTLGTFFFVLLTIL SLITNRFQYDLQVTPENSRIVFSNTRKLILVFKVITIGLYYFKMSLGLYF >CAK79801 pep:novel supercontig:GCA_000165425.1:CT868341:318575:319354:-1 gene:GSPATT00014732001 transcript:CAK79801 MRSILHFIILKKRILPYFLQPNLRIQDLSKFRINGCVSIVYLRNIIMKQIIQIFLSFHID RNTLKQIILNIYKFTQFQFKFHDYLLREHIGYELINTHQVLVINILIKRLNHQSLDRVEY ILGTFKKEISRRILVTIVNKYFQPVKLNYNNQVNINQLNDLINQQKQNTINLQDFSIFIM IKYSKCIKSFNSLIYIQFFLRDSDEFVVQLYFNNSEIFQISSLIINYYCSIHAIFWISLK FAQEIASFSLVKRSGNFLS >CAK79802 pep:novel supercontig:GCA_000165425.1:CT868341:319368:319977:1 gene:GSPATT00014733001 transcript:CAK79802 MIKRFGLILQKTWQLKRYFPLANYFAFKQAKFYITQFYPHWNLKSFEQVCQNAEKMFLAN RSERQHFVQQVIDFQIDLNTHLQYDWKTYYNWNYTCLFNITIPDHGIAIILNTPKTTING KPRAQLLLQQQVMATQDWKLLIFYQAKFFSMKTKERAAFQKKTINEAIESLKEKMKSWEE EKRDQIYEQLLMQG >CAK79803 pep:novel supercontig:GCA_000165425.1:CT868341:320109:321406:-1 gene:GSPATT00014734001 transcript:CAK79803 MKGLNYKSTQTYSYEKSNEEMKRILEYDGLKNWQLNDDCKIVEYTIDHSMQMTLSLLLYL QEIKFIRLTIAQNIQLCLDILNKYQELQKQNVQHNYLSSDRILINLTDKQHQITIFPGNL IYTIHFLGYDCPFYERDKYKESKKIDDQSIKEIIINIIQFSKKKELISKEKGKQLITDEK KRDEKQKKFNQKYPDLITIFKGLLDEGIFKSFDMFIEASKIIFEKYNNQNNKYERLAGVD TSLTKTNFKRSRRIPDVSKNLEQIQQQYYKDGQSYEFQQILQTTFPLITNEFRTAIRYEY QYPPKIDDTYFNLKQELSINNEEMINQIINENKDEILKEFKFELDLQSIKDDINSQLQDN QLKILNYFLNNVKFSLQQQDNKQSIQHIQIQIQKLKTEIIKEVTIQTLKNYVELQILLLI SDLI >CAK79804 pep:novel supercontig:GCA_000165425.1:CT868341:321606:322376:1 gene:GSPATT00014735001 transcript:CAK79804 MMARSKQLIILFDVDGTLTKSRNKIEQSMVDTLKSLCKLHYVGIVGGSDYHKIKDQVGQD VFEMVDFVFTENGLYSFKNGEHFHTQSLNKFVGEDQLQKFINFTLLQLSQIQLPLKRGTF IEYRNGMINISPIGRNCSQQERDDFEQYDHQHQVRKQLIEKLENEFKELNFKYSIGGQIS FDVFPKGWDKTYCLKFLDEFEKVYFFGDKTFEGGNDHEIYIHERTIGQQVNNPQETIKYL NELFLKN >CAK79805 pep:novel supercontig:GCA_000165425.1:CT868341:322428:323181:1 gene:GSPATT00014736001 transcript:CAK79805 MDSTQIVLPPHHYRWLNGYEYQDLLLNALNQNIPIFDSHPQDIYYQLKNFRWLPIYLKVI HFKQYWVSQIEGQFQEIVQMEKDEFLDSTSKTQTLSVIYCLQYRNRYSSFSYAHSLIVWS NDKPGILLCHIRLINNSISSLTHIQTHSYQTYEEIRNCKQRHTDCITKSLEVALEVEQVQ LRLTLFDDDYNYLQQKFYTFEQRQEIMKLYSNLVKKNQTFVNELRECEEVLQRYDSL >CAK79806 pep:novel supercontig:GCA_000165425.1:CT868341:323668:324519:-1 gene:GSPATT00014737001 transcript:CAK79806 MRLYGIKQEEQTNQAPVNIPRPLPFCTNYKYLLLVQTNENLISIQKQWSVIQKFASALSK LQQAQNKIHNQPKYPFVLPLSMNEFMVHLGSNKVEIRMQNEIPPFYPPFQPVQYCCPPQV SSPTPTIRLPIHKKREVNVLQDQKDTKNIPKNYCKSIITFACKNQNLCLQILKDQLKVIK FLEKITVYKKQLLNIRIFSSLLQHSDDPEEEEFRKAFRIISRIFIKKYAINYIFNSKIVQ HNWHMRYRSQIYKGIKSPNNFSHIKNL >CAK79807 pep:novel supercontig:GCA_000165425.1:CT868341:326302:326996:1 gene:GSPATT00014738001 transcript:CAK79807 MNIYPIRYTPYLNNSRRRQRVIDPNRAKSSQGMRLNSSSQQRCSTQQSPNRTPSQGELQQ LEFQQQAGPIAQNYQQLFLSTQIKRSPSFRLKNYHAAEITSSAQSTSQQKRQNAVVSGKQ GIVLDISKSKEDRINRINFLGDLLQQMEQNGQQTKQSLSTEMTTVPKPKFEILNTRTLTE YEQNIEELHFYLVDSQQRTKQYAQVIEKQKINN >CAK79808 pep:novel supercontig:GCA_000165425.1:CT868341:327038:327843:-1 gene:GSPATT00014739001 transcript:CAK79808 MSLALAGVVPPLYERNQEATIYIGNLDQKVTDDIVWELFIQCGPVVNVHIPKDKISGEHQ GYGFVEFKSEEDADYAIKIMHMIKLYGKPIKVNKASQDKRTQEVGANLFIGNLDTEIDEK TLYETFSAFGHILSTKIMRNPETGVSKGYGFVSYDNFESSDGALTAMNGQFLGTKIIRVE YAFKKDAKGERHGSQAERLLAANRPLAQKALLGFVGYMPTELRIPLPPPPSIQIQPLQEG YKPFPQQLPVPPPPPPGMPK >CAK79809 pep:novel supercontig:GCA_000165425.1:CT868341:328026:329284:1 gene:GSPATT00014740001 transcript:CAK79809 MSDFQTRQYVTQSSQRQYTSGAKDNVRSITQDRVEQVITRETPKVQTTTVEVEQRSATKI PRMQSQQFLRTQTQGFVGGQSYISNMAAIPQVGYQGNYSVQQNCVASQALCMNVIVVSKE EIEAPWRLECEYLQSLIAELEKRKEVQVVEKEKIVVDNSKVDFLEAQLRQLRSENDSLQM QLQSMRGEIQLRGSQADDLAAKEREFFNLRLKFESQIQDLEGQIRRLQEENGRLRKENNE LLLKLRDYETKIAMLSSEIERLAYSIKIKDGDLEDWRLRYEQLESQGQTVIQEKVTYLSS EVEVWKQKFIKVNHDYNECQEQLTMCQAELEALRKGQKKEVVVSTSKVVTRTGGTTTTSS MGQTRGSRTYEQFQP >CAK79810 pep:novel supercontig:GCA_000165425.1:CT868341:330391:331332:1 gene:GSPATT00014741001 transcript:CAK79810 MRIFIIVGLIALSNCVSFSLTQRCACSEYGQTLCDLYPYCAWSGSACIDMDCTYLKSADT CTGAKSAYQCQWNTSSSKCETHTYACSEVSKDDCEEKMFGFDCMWVNDKCQDFSCASATG DACSPFNCAMTDSSETCGEPKSLDCSSFTTKATCDQTDGNGNMCHMNNDSVCTQYDIFSQ NCGDYSSTKDFCETMCTYVDSSKTCQAKSCADFTTQDDCIVQLDLPGLKVTPCTWSGTAC QESTAADVEKLDMLSCMVVTMLNYKWSLDNSKCTACTQFTTNPQFQYLQDYLNGESYGVQ IGAIIVGLSLIFN >CAK79811 pep:novel supercontig:GCA_000165425.1:CT868341:331721:332365:1 gene:GSPATT00014742001 transcript:CAK79811 MQQHQHGPNCGCAEYMFNEDADDLYGQIEIDKVDCLNETAQNIKLIIRPENHFVQSDLDS EIIVIIPFNETVRIRQINVVSLNEESAPNLMKAYINISNVDFGLIETPCVEQFVLSPNLD GQFGNAVKVSKFENVNNLILYIKSTVNDPIRISYIGLKGIRTFQSKQLANTIYELNPLAK QVDPDKVVFDSLC >CAK79812 pep:novel supercontig:GCA_000165425.1:CT868341:332427:332588:1 gene:GSPATT00014743001 transcript:CAK79812 MEELRKSIIKQEEEKMNNKLTLLEQSVGFPLNEYMNQDFVNKIPEDSFLWYIF >CAK79813 pep:novel supercontig:GCA_000165425.1:CT868341:332632:333484:1 gene:GSPATT00014744001 transcript:CAK79813 MKGVKPSKQDIREHRLYEEITFHDFVNECLQAIMFFHLIIMDFKLNLRIITDSIQTLQQQ IQLLKEYQQIKFDQPNRLSISYTRHKSLKTEIFRYYKIKYKVAMNDQEQKSIYSYGDDLT CFFPILPNSTTLHFTILGKKIDGGEKFEILSKIDMGLDEVLVSFDLKTYAKEQIKPCILT LDYRDESQDALYEGPNPIELRFQLQMPCEQRIQLMEGLLDRQKKVEDQYREQMQLKNNSI QQIIEPFDDIVYIQGEDLKHRNQTEDREFCSACLIF >CAK79814 pep:novel supercontig:GCA_000165425.1:CT868341:333543:335393:-1 gene:GSPATT00014745001 transcript:CAK79814 MGAQCCTLPTKLTTHEIDLDSQKLRGSSHTQTKRCVINKDQKSDEEIIINQKENYEDFQI LGSASLDLRQNYDQNGCSNKLFHKEGSQIESSILDFIEIAIPRSKFQRKITNSSVGSVKL GAEVFINLKAGSIHKYYETGEILGQGAYGKVWKVTHKNTGMIRAMKELKKKSIIVEEQQR LFAEMNILKNLDHPHIVKLYELYQDQNNYYLITEYLQGGELFDRIKEFNYFSERKAAELM RQILSAVVYCHQRSIVHRDLKPENVLFVNDTQLSPIKIIDFGTSRKYEQNQKMTKKLGTA YYIAPEVLKQEYNEKCDVWSCGVILYILLCGYPPFTGKNEDEIMQKVCEGELIFEQEDWE MISQEVKDLLKNMLQVDPNKRFSALEALSDPWIKKNQQSTKVNKSALQNLQKFQAQSVFT QAVLSYIACQMTSQQEQDELAKSFQFLDKNGDGILSREELIEGYISVCKNKQQAIQEVDK ILSIVDINQSGQVDFSEFLMAAMNQEKLVSVDKVRAAFKIFDANEDGKISKKELELMIGT IEDDIWQQILIECGAQGEITEKQFINILLYQKH >CAK79815 pep:novel supercontig:GCA_000165425.1:CT868341:336577:338650:1 gene:GSPATT00014746001 transcript:CAK79815 MKTIIIITLIFVCLSQNANVTRELEIGVIQFEEAKGSQIYNQLVRFDTPFAKPPQVALAL VEHTSTTALFAKVKNITQHDFIIQFFSAVTLNATYRYLATTDEDVYINCSNYRATQQAIF RYPQFQSNVEAMAFLVGYHHNGFVNISIDQSNNLAKLQINTNDVQQTAIGMCLIVGSNLK FDDEADTPKFSGRVSSQGQTRSLSFIASILKILFIEVQQSSEQTKEKNRETLTDLKTFLD KETQVQVPSQSSEQFIEVPEAEQINDHNLNQLDAQEVSESQDEDEIQELEQEIKDDIIEE ESSDYDDNSEEDDEYFWSSKDNVDFELQLTLLQDALKKKPQIQQQTIKELIKKAPSNQKE QKQKQIIKKQKETTQNQSNNQPIEQTNYTKETLNTQILNNEEIDMQLQQKESHVRSLKKA LKLVDTVKEKELEIIFPQIENQKESSQGQQLNDVKADNKIQENPQESKAIGYKSPLIDDI PKVEEIIQVKQKEKKFTQKLDGYMQSFSQDTYTQKVQKKREVDQIKQIESIEKETIQQEQ AQLIQLKGQSHSAKVVYEASEDSLRKFEEDFERRKYRGKNEGNQITINSREQANQSQIFI ISLRGRIAEIIQRFLLQLNIINQTQYNNFLQLVSFSYLELFLYFVNIEEFQEPHQNFKQY LEY >CAK79816 pep:novel supercontig:GCA_000165425.1:CT868341:338675:341248:-1 gene:GSPATT00014747001 transcript:CAK79816 MESVNFAGDNPQPRFGHTICVIAPNKIALFGGAVGDTGRYVITGDVYIGDIIQKKWKRVE ASGNVPTNRAAHQALAIELNQMIIFGGAVGGGGLADDNLYVFELRDDTGTWVTVPVIGTT PGRRYGHTMVLIKPYLIVFGGNTGQEPVNDVWSFNLEKSPYSWQKLECSSEQPVVRVYHS AALCSTGSANGMMVAFGGRTSDQSALNDTWGLRRHRDGRWDWVRAPYRSQTEQPAQRYQH STLFLGTLMLVIGGRSNNVGETLPFEIYDTETSDWYKFQPIQRFRHSSWLVEQFLYLHGG FDSDQPNIPTEGILKIDLSKRFAQTPQLLKQMNTIKADQSFTQSFNPKPSQPLAQTQDQF KKTNQPASAQQKIQNQYQQVRVSSATNKNIRLANQALVAMTYGPEEDITNQVKKVPIDKL QDEHKKLGPAFSDPNSQNKSQFLDQLCQPFMQNLLIPKDYAQIPPNSNLLTGIKKEMIIK LCDEVQRILEKEPNLLRLRRPIKIYGNLNGQYLDLMRFFDHFKAPYDNLYNGDIDSQDYL FLGDYVDRGSRSLEIILLLFTLKIKYSDQIHLLRGHHEDPIVNKMFGFADECFIKFAEDI MDPNSIYQRINRVFQYMPLAAVIEDKIFCVHGGIGQTMRTIDEIELIQKPLEIVHDPKTY QQKVALELLWSDPCLQEEELENQPNPERDIFQNKQILRFGTNRINKFLQENSLNMIIRSH EPTMEGFERLNNNVITIFSCPDYGGNQPQCKGSILTISKRGEIIPKVILPAAPIQEQRWM DLEEPIQRKKGFAKYLVIDNNEALIRKRPTTPPRQKRPSSQKQFK >CAK79817 pep:novel supercontig:GCA_000165425.1:CT868341:341282:341425:1 gene:GSPATT00014748001 transcript:CAK79817 MNSRLVRPSTPKKPFDPNLIRQSTKKPFQFKTQEPKILIIEYYHINI >CAK79818 pep:novel supercontig:GCA_000165425.1:CT868341:342101:343520:-1 gene:GSPATT00014749001 transcript:CAK79818 MVLEAQLLIKNRSSNIVIPPPNIKKYADKTAEYVAKNGATFEDLVMQKELSNPNFCFLRR DDPYRPYYENKITEFARGLVAPIQEEEDQKTKPAPKNEQPKKVVKAPPNDQYTVEQPRNL SALDLDIIKHTAIFIAKNGKKFLVALTEREKMNPQYDFLKPTHDLFQFFSNLVDAYTKCL QPKKEEIDRLLTYVQDRQVIYQRCQEKYEYEIYLKEEKDRKQQQDEDEKQQMAMIDWNDF TICETIDFAEDDRIDKVYEKGIQRFDKMDFKGADQAQFQQQQNLVQTGQVVSRIINNPQQ PKEKQIITLNSTLQKAGQQGDSSAPIISNYQRPTTQNELVLIKCEICGKNFPKNQINEHI QLELQDPRYSEIKKEINERSKTTTLQPGNMIAEHLSQLKKKRPDIFEEDHRKPPPPPPPQ QRPQPPRPAPPPPPPPPK >CAK79819 pep:novel supercontig:GCA_000165425.1:CT868341:344041:344214:-1 gene:GSPATT00014750001 transcript:CAK79819 MDNYSGIVNNKEQVLQTIILNNLSYVQNVKKQAELANSNKNGVKKTTQKTGFCQGFQ >CAK79820 pep:novel supercontig:GCA_000165425.1:CT868341:344479:345463:-1 gene:GSPATT00014751001 transcript:CAK79820 MLNNILLESRRNEENQTQRNSESSVPDNSTMQTNNLQQSSNLNLTTQEAGSRNTFTQLDQ IYQPLLQPNQQLSGLNDQQKQRFLIQITGIWVFQEAIQIIMSVITILDFNYDNLFYNFDK EKYRASLYLFFFIFAIQLLLIRFYKPFRCVHIQNYYQETQCIFHILDFHYLLCLMDQRSH HCRTFRYMEYRKLCPDRLCYFHRYYMQFSYLDHYSISLLSDQIIDIIFQQNTLIFIGTIK YVFIPPFIYAFIFQIFNPFDYTAVLIFWMATELWAFTFGGLYLYSVLQIINGKFNLNQDQ TISAALLAFINMFFPFGHI >CAK79821 pep:novel supercontig:GCA_000165425.1:CT868341:345710:346999:1 gene:GSPATT00014752001 transcript:CAK79821 MKQEQNQFTFTTVIHPPFVDQEKQEEQFYPSIGFNFATGDAQPGWAFISKDQPPCVPYFS SYLKKQNGAEFLVTGGAYQDNDQGQSTAFCFRIQLHDHMEGHRIKYELWRQGLTKEDEDG NEIEGEKVAVIPQMNFPRHNHKVFELLKPGTTNQYLYMVVGGQFVNQDDWGILNQCEIYD EKGPVNQNGEQQWEVVESMIQGRAGFSGFVLNNKVYVYGGLTIEKEEIKVLHSNKVECFD LATRKWKDFKYRQGLNVTNHLGSSCLPVSQKHILIFGGSNGEKVTDKIAIMDVEKGSIEN IKQKTKLSVPRAGAQIFVLKQVADSIQKRDLIVVLGGNQGEVSFDYFTIKDQNTVDIEYI GKNEFNNAFTGAVTGTYTFGGINDIRAWAKTMQYMPVVTLIQ >CAK79822 pep:novel supercontig:GCA_000165425.1:CT868341:347379:348500:-1 gene:GSPATT00014753001 transcript:CAK79822 MTSQATQETLVPVFVSQDEYNQILQQPSYLHQQLLQELYQKKQQQTQLQANLQIHPYLQL QQQTLNQSQNQNVSINIPKIPTSPNQYSQPQGYPYQNQQLQQQLLYQNQNQIQNQNTVPI VQKQHLKHASIQKAGTQERKFFYESFTSLFMVEICISLFLNTISALFRIQVVTSNYTWGP GLWAILILFILLNLFIITNSTYMTQGNNQMTLYWIHVVLYVWLLQGIQTAVSGSDRIFSW DTNYFYYFYLLICVEFISVRYAIKYKGVMKPIKEYVSYLIFPPIIAYSAEFFSQYYRFFY VPLFLWLLIVILIGFGCILLIKRLVQKGYNNFKTNHVFAMAISFPILMISPFYDDE >CAK79823 pep:novel supercontig:GCA_000165425.1:CT868341:348573:349231:-1 gene:GSPATT00014754001 transcript:CAK79823 MIQHGGFKIQPYTNHDYFVTISSKASKEAKNHKARTIFNILQFYKLCITLPIKITNTLDY YYFRIQTMVMLQLQQSSALSFQKFVQYFYTIQKLDQQDQKQIVTLVQCDIPTNYNEILLT ICENVESLWNYRLFNWIWLLSIIDPHQNYQINKGNANIIILIIDQLRSDDSNMQQFYQVF LILVSYFDIGFKKSDEMIQESQSQNSQLKNVQ >CAK79824 pep:novel supercontig:GCA_000165425.1:CT868341:352020:352532:1 gene:GSPATT00014756001 transcript:CAK79824 MFKRIVSSAYVCKIISFVNSKQVQFFGKKFSFKKNFKINNETDQISMQKCARREYQHFPP NKIKNLRLDNHESNITLFHILWCLTCIFGVRLSISFIPKRSEQFIETIQCIYSFHTNCTT LKQCTGRQFFGFQYIFQSNNFFQKNDQYEFFLILILVLTCRMVKQISQCI >CAK79825 pep:novel supercontig:GCA_000165425.1:CT868341:352574:353259:1 gene:GSPATT00014757001 transcript:CAK79825 MGSSCCQAQGDDKIELQQQEKIRLKSKASILNKNSNLSTDQNKENERIQSYQNNGSLMID DTGKEYNRIAASSLEIQELTGDMDRVDSIQLTENAVKDKPIMRDSCEVISLGSKKTILKK ETKYSLFRIKANFNQNQKKVRFDEVYTNTQMVQIDSYKLSQQNFTNLYECIKNQKQQVEN IVPLFHLLFLFYIQVRRFIQTIFMYNQ >CAK79826 pep:novel supercontig:GCA_000165425.1:CT868341:353389:355448:-1 gene:GSPATT00014758001 transcript:CAK79826 MNKQRKTQLEELQEKESSFGRVFKVAGPLVVAEKMAGAKMFELVKVGWDKLVGEIIKLEG DNASIQCYEDTSGLTVGDPVMRTKSPLSVELGPGILTQIFDGIQRPLQVIAEQSSSIFVP RGVDIPALDQDRIWEFKPSSLVKVGSMISGGDIYGSVFENNLFDEHKILTAPRVQGRVTY IAPEGNYTLKDKVLEVELDGKKHQYGMSHFWPVRQPRPIIEKLQGNTPLLTGQRVLDALY PSVLGGTCCIPGAFGCGKTCISQALSKYSNSECIIYVGCGERGNEMAEVLSEFPELTIQM KGKEENIMQRTCLVANTSNMPVAAREASIYTGITLAEYFRDMGFNVSMMADSTSRWAEAL REISGRLAEMPADQGYPAYLASKLAQFYERAGRVRCRGSPDREGSITIVGAVSPPGGDFT DPVTTATLTIVQVFWGLDKKLAQRKHFPSVNWTISNSNYEKILEPYFNAFDPEFSHLRVM FKQILHEESELNEIVQLVGRDSLSEDQKLSLEIAKIIREDFLQQDAFSKYDYNCPLYKTI GMMRCIVSFFECGKKAILESSGDAKITWNIILNQTKPQFVKLSQMKFEDPKQPKQELMNY FTKFVDEIKSAFRNLTDK >CAK79827 pep:novel supercontig:GCA_000165425.1:CT868341:355969:357576:1 gene:GSPATT00014759001 transcript:CAK79827 MQNFISRPSRTNTNEFGHRREDSFDYNEFKNLASSLITCQHQKEWNHQPRLTQILLNQSL TVMFDGVILKEQCTLYPNVLKIDCHCIQLSQYQIKRKQLIHNNKKCYLLLLGNDIMLFFS NFDIQKHWYNQIKQFCIMKNLLVKYRLQEQLFQDFYSIIKKKNRQQFSAYIINKQQPFLE QTFGLLHESKNHSILKIKRIYEDTNNYVMITERFEGEPVFNFLLENIQFMEVQVATLIYQ ILLLLRYLNEHQAYHGNINALNILINRESQSLEIGVIGFIYYPFKQGDDISEYLKWVNLN KYYWSGFEENQIPGINSDLYQLGVLLYIITFYTKINQDLKRKSDPYKLFQKYMKELVIKY ELIDETIEVLTQMKTPDSQYVHVFSSSQLDLIKKLLSNCSLSDALTHQWFVNAKQKAKPH NQRQGLPTLKTIIEIKEASDGEASKSQTKRFSIQSDMYDSSKYNCDVQVDECPTVSYLMR NILDYEKAPCKTHHS >CAK79828 pep:novel supercontig:GCA_000165425.1:CT868341:357598:362163:1 gene:GSPATT00014760001 transcript:CAK79828 MLHAKPKAQTQQVLFQDLKSKKSSTSFNPINTLFGNQQTVHLPNNLCIHSQIHLAIPLYR GHFVNFHHEAIAINDNYSLSGIQDGFLYFVVNQKKMQFYFYSPLQDDLNYYYNTVNISNL LQDNQKYKFPFRFKEQEIGHLDYITAVGFQQVDDVWTMAIASEFYIFLYTFTADLDNFQL LKQVFNVNGEIINQILFFQDNLIYGGSSGTLTCKSIDTAQNYTVQLKEKIKRQFNRIFKD LTPWSSQQGLIQLELQEEFNICYGLFEKLDKNENVEDTFITIYDIGLQGELFQEIITIKQ SHLYNSNMEFKAVFDYHNLQFYHAFFERQYQTNKIVLIITTKDQLQLHLLFELQNRTINE INQFKQRSSNSNVTQQQYIKLKPEFQIIQIKNPYMKIDTTIPYGVCLDESRIQYKQKEML TIQQSQILKSVYKEEQLSLFHLDNYIIAQFLDMAHIQYLRNLEQQITKYNSYNQIQYSIY RDTSKEAIEKIELNCKNSKDIINYENGNDMLKNKNGNDIPKNIYNIGKMTVNRVFNHFDI NESQLYNLPEHYICIADNTVEFIVRKRPIDCFFTAIKVTHYDFIDQVDADFPLEKLVRAY GIEESCAQILQIIIQEEQNFYINVELQHQYQLDLQKAYQRIHYSENIQKFFDDNEKIPNW VTGQVYVRKGAIIKEKALKAYFALIKRSLINEDRNQKSKKVSSTTSLIWKILAPLTTKKF INEKVYNLECNKPIESYSIQQLQTVFKQIEKLIKLFENEPNYFQQLKSQRNDLQVDEQNQ FQNYKNGFSKYIYETFEQSTRLDISRSTNYSDQSLAVTVNVDVEYNQMKVLYSFCKKIKQ LLQFLIYFFGELQGIRNIINGYQNKPQLFELSIKSVLSGDNVGNLTLKQLMIHIIKSDKT KFREAAQYMNHHFPDFFTIADFKISLVQNLFDEILTFSAQKNLIKLNQNEQINEKIKRIL KLSKAPQITIDKVLDKREIFLYYKDLQPELQDQLLEALKLIEEVAILIEHSYLTQCFKNY MYPFATFKFYIQYLAQKCQQQEKDQSSDEYCNCIMDLIEQFNNLIKYYLNPPKGLTKAQT KEILIESLQLLNQFQLIKPTEAIANNILKHQLKEFIQYIDYNQEMDLQCSNDLEKLQMQK KQFIAKASKGNSEVYPQLIQILLKLAQFSLTQCPEMNLEDIQIKLPIKKRLRYVNKAQEF IRTFQKNAQGIDINEVEKQAQNLSKLLFLQDIMYDYIVTNENQSQIYHAEKRRILLEICD PKVILQFFEDNNIYFGQLLCLDYLENFLGKSFCTTKENIEQLWIKFIYKAYEESNEWPTD VLKRQMKLLFENLINNQKYIQLEKMTETIELINTKICQDLQVTQLTTWLFFEILLSHDIK EIDLVRIYLAHYKDHVQILNNPIYQRLEIEQIHTFKLQLIQQILILLEALKKLKCDQEQT SSLIRQFRNAFENDYRNLMDDELYDGKVIEQIKMRVDSL >CAK79829 pep:novel supercontig:GCA_000165425.1:CT868341:362239:363711:1 gene:GSPATT00014761001 transcript:CAK79829 MQQEQIPQQQNVTPKKHQLATPTKDKKQNKTNQSTTIQSPQNNDFYSPKNLNPWKTPKSL LKSNHKQSTPDHSILASPYSDRYIPLNVSRNLFNKQIQPFEIEEENQYEELLSENVLEID ENKHVSILNFTKQKHDKVQSAKQLETPKRKIDTLPIKVLDAPGLEDDFYQDTLHWGKNNL IAVGLQRCVYLYNVDNSKVFQLAEPMDNNELSAYYTSLQWNTNGQMLAIGCCDGSLKLWD YNKNTFSGSMNISNKRISTISWANPNIFAYGSKDKAINICDVRVPNYSIFQLLGHTQEVC GVTFDGNELQLASGGNDNKVFVWQMRGGNSNSNNQYISWEIKSHKAAIRALAWNPNSCGI LATGGGNQDKTIKIHSSHTNQQIASINCDSQVCKLRFSKIVNELVSTHGYEKNLVCLWQY PTMKRIHQLEGHSERVLYLSASPDESTILTGSGDETLKFWKIFPTQVSNNMSSLFSMCEI R >CAK79830 pep:novel supercontig:GCA_000165425.1:CT868341:363790:365178:1 gene:GSPATT00014762001 transcript:CAK79830 MSDEQIEQPNDENQEQQNDQDPLPEQNEEQVGDPEQTEQPAQPQEEEPEMPPEEDEETRR LRLEREEQERKEREEREEQERKEREERAEQERIAREEYEAKLKEDTAKFNELVLQGISGL SKTQTVYAYMKLNLAEKDIDRIFQINHLNLRYIDISQNRIVDITNILPLKYLVSLNASKN EINSLSYFKDPEAFPYLQYLNLSTNKINTLVTLSLKRLRRLNLIENEITTANEFEGHENI EILELGKNKLKTTDGLANMPQLKELYLQGNELKDFRSLNNLPSLLKLNIRANKITKIKTP VIEFPQLYYLNLRENQLAKFDDFKKIAKIRTITTLNMLANPIVDEMGADNFKQEILMFYF HLVRINKVDITKEDYDEAARVLQERRDEEERKRIEEEQAREEARLAEEARLAEEARLAEE ARQAEGAKEGEGAKEGEGANEVAE >CAK79831 pep:novel supercontig:GCA_000165425.1:CT868341:365518:366396:1 gene:GSPATT00014763001 transcript:CAK79831 MLYSQQPYYYYPFYQPQVVCPVFYFPAQTLNQQEHQVEPIMTSIIDNQPTNSQQLNYDTE ELDVEKSEKSKDTKKQSKKERETVIKKKKELPNTKIHEVLDSTNLHKNFAKALIAYTLRS QFIIYKLLGEKKGQEFLDLMQSVKNKLCNLTHILQFTKNDEFLRAFRTLGFIFLKKESVP YIYNSKIQQKTSHLKHKVIIKKTLLKI >CAK79832 pep:novel supercontig:GCA_000165425.1:CT868341:367345:368397:-1 gene:GSPATT00014764001 transcript:CAK79832 MIILFYVCISLSIGGSTLMLTHLFFFDNLKVFAQRIVACLSIADFFYAFGLLLYVEPTFD GYNVTRCTIQGALTQFATICAFVWSTSIAYFLYVSITRGQKDLIFFERYEKFIIAAGFAL PFLIATIPLFFQSYSPTPSKVPATCSISSNDENKTIEKNRSLSLYLNLTLFYLPLLSSVL ISVYFLLRTYFKTKRIKSQYELLNKQINIQLMFARNLILYPLVLFICWLPSQIVFLIFVF KNSWIEQIQQDYFIRQTGPYYYIRLLQYGASFLQGFFNSLVYWFNTQQMRKKLNSLERIH IDYQKTKTFFSNNNDLSENRSSQLSNSFENLQDI >CAK79833 pep:novel supercontig:GCA_000165425.1:CT868341:368405:370355:1 gene:GSPATT00014765001 transcript:CAK79833 MYNNTSPIRHQVMQGPQNKSVSPVSRFQIMGNIQPPPGMKTVLKPKVYEVVEVPLNHYTS VPSFQTPQQQQYDPELQRRIKQVEQESFICRQKIEQDQRNDKFQQLNEKIRQQQQEIERL KSVNDPNLRLLKEQCDYYFNQSQQAELEKNELILRLKQNEQQMQKIQQSQIHISEKDVKY NQLYNEHEQLKQMYQSLENQLQQQMDKQKTECDRIYNQNFSQIKQNSELRSKQFDDQISK LQEELNNAINEVEVWRQKYHKGDINHMNQTTRINELELINLHQIKEIERLQQQNTMKQQE NDSLQQKITYLEFNYQQISSSGLDSEVKRLKEQLESRQREIDDLKRRNTEIEQNLLRLKD HEIQIEIIKQRNQSFENQLQESDRFKHQYASQLIELEELRNKQIRLENNVNDLRRNEYRQ QSEINRLNDLVRVKDEEINKLQIDYKRNMTQMTQQMNSFRSLFERDIDTLNMNRNRLDME NKELIDQRLQQLNEELNLTKKDREQLQLACSQLTQTKLGLENRIIVLQGEIDRLNQLVKT QSNEINHHLQTLELQNDQIEQFRQQNEIYKKEMDLFKTNSNSNFFQSGSQKKFDFIPNQH SDSNWNL >CAK79834 pep:novel supercontig:GCA_000165425.1:CT868341:370939:373314:1 gene:GSPATT00014766001 transcript:CAK79834 MFILRYANSQIKAIGTILCIQIDLICLIILNFINLNVTTYAQLILCQYISKDLFRQTGSS GYYIISPRSLYYGTLFIGSIFQIVYTQVQSNFTAESPQLILCLLMSVLDNGRIEEKKPQR IQQTITVPIDTATANKIDGLAKKALSIIDQPSQDQSKESFRKGYDDFSNIQQYYINPEII YNSLEYFSEGLIILNVLDEQTHTYKISYMNNATRILFGKDQDVDILYILENLSSLHIQSQ DFFDDFNSRRDSAQQKVGNLSSSKLCKSLFPTRDFMFCSLKQALTDIQLHEKYQTMNMNM KDLIEKIIKSRRQDSITVNTHVDFNFIDNQKQIKISQNLAQSRQDNDRLMEFKLTLQREK TILIVCRDVTHRQKIRYLREYDIQKSKMLSFVSHEYRQPLGCIIQMIECALQYKVIQKNV EITEDLQAALDNSKYMLNLSNDLLDLAQIKNGKFKIQKVPFNLEKLIADSIKMFALKAKI KDLQLVCDYNLSLPKFIISDKNRIKQIIVNLLSNAFKFTCTRIQVIVDLQGNQKLRIGVK DDGIGISQEEQQVLFKAFSKVNSEESKKLNEQGVGLGLVISNQIAQNIGCSGLNIESNKD QNNHFSFFYFDIMMEIPTNKKKVPSFKIPQITPQYQEVDEVTTFNQECQKIALEVFPQCC HYLIVDDDCFNGYAFKRILMGLQSKYALSFQQFDVEYVSSGKDSLSKIQEKKCNKECQGY KLIFMDVEMPVMNGMQTTKQLLNINPKQLIVGCSGYSDVQEKQKCLEAGMVDYLTKPVME KDLIQILQKYQ >CAK79835 pep:novel supercontig:GCA_000165425.1:CT868341:373317:374506:-1 gene:GSPATT00014767001 transcript:CAK79835 MQKVLRFHFGVLSRPKLHIFDKGAKHTPSGIRATIHGGTSFSGIYMGGMLGNIGSELIFP HNHQYNYEDHVRELKTTSGPGQNWLLHDMNYDNKEMIEWTMKNSNVVVNLLGPRKHLKNR KDFEWINITVPKRIAEACAKNPGVIRLIHFSACGANPHAESLDLQTKYIGEQEVLNAFPN ATIFRPSVMVGDNDDFAYHWQVQKRYFHNFNIVPDNCQAKRQPIFVQDVAQAMLNALKMP ETIGQTYELGGPHVYTLLECYEMFHNIVQRPPKLAHIDKQLLLKIAQYIPNWKYFNIDYI LKHGDDMIVQQGSKTIDDLCVRPLSLTQALQNIFWDIQARYGGSSELYER >CAK79836 pep:novel supercontig:GCA_000165425.1:CT868341:375562:376437:-1 gene:GSPATT00014768001 transcript:CAK79836 MKLVLILISLSIQIHAISLQLQQVGGCTCEMASAQTECIPTTCDWDSTSSKCTHKTCDKF TVEMCDQLPDYFKCAWKDSSCQAFTKCSDYSYSDGFKCFRVGPCQAVFKKNSAGLYPCTD KTNDSMHSIDSCAGINQEGCVETVQDDGKVCYWNPSSSACEAWSNSACSNFDNTSQSACP KFSCDYNTTSGKCTTRTCDLITVEAACTMVWDITQQVATQCKWVNAKCMEFDYSTLTQPT CLVGSNLSYKWNSSTSKCEVCVQPKSDDDTDDTSYSSLAQVVLAIVIFINI >CAK79837 pep:novel supercontig:GCA_000165425.1:CT868341:376508:377986:-1 gene:GSPATT00014769001 transcript:CAK79837 MNQQSSDQKFVGISTPVLSDQEEDAQNNKQEQQPLQFLYISPNLFNKLWPEIKKQLQLLK ASQTNLTAFSVQQVIEEIYCLHFQNVYSINKSQPKQIKNKINFKNFCTALDGFPLKREFL AKIPLISDLALKMDSEFTDYLSMLLEKVELKRIQVAILLANMFLCVMHLQPDYKQLPAVF IMAKLFNRSNEDSGQNNIKIQKLRCLFYYFLHVFSPEFKPHEKITFTRKKQNLTMISKPL CEFVYCEQGQMENVQDSFIVDFANKRIGGGVLNLGCVQEEILFLTHPEALASLLITTEIK QDESIIIERVNRLIMYEGYKDKFECKGTVKQIRSVNFICIDAGDYSGNYHQQYKEYKREL TKSYCGFYGIARICTGKWGCGVFGGEWQLKALIQWVAASMGGCRQLVFINDEQYAYNQAF NLIKGLNSNQLWEYIQKYCQRKSQEELNNINAIDYILSQQRF >CAK79838 pep:novel supercontig:GCA_000165425.1:CT868341:378080:380347:-1 gene:GSPATT00014770001 transcript:CAK79838 MKQNTDNDNYSVDGWNFDEQSNFIKYTKFVIPFAILINIYLIVITFLYKSIYPPYFYEIL LYTSIYGITLSIFNLFWKQEKDTVIRQLSFVLNGLMIIMAISLMIFFIASFEADYNYKIG ENSSYINQIQEWQQFTLLFRSILLAFFLLLISYFYITYSLYLCQDQQNLMIALHIYNTLF FITGIAINHYSKLVGFQLILLLLLISTSALVYSANLKKIKVAYFTIGAILLFYLVLNQYK HFSNVRQAKQNKVSVLNDCSAHLRNLHMDFLFANTNCQKYQESTSCNDDEKAFMWEMDSK IPISQRDTKLGCLNLNCCGIVADRSKFKLNVVTLVHQLIGFATLTLILYISQKPPLLNTE IKIYDFVFGTIQLFLIIITIFLFSKEINQDINKFNSVSTSKYLDIPKGCSQIKNFVTLNC QFMYCDNIELTLKTDFPMNYYRLSNSKDFYVDDSNFTELKFIGKFDAIQNYIYQNIYMCV TSRTVNIDFTIKKQTRLLKENSQTNLIQNQDILKQLQSVRFWKLDIQLNINQNYTIKLLN PITNTEYLQIQNQNTLNFVQEQSYILIIQSKGYIPYEQNIFINQNTSLNINLIKQQKQLS IILQGSKQSMLGTTFATSIYKQYTTTKWIGSNNYMNLEYVDDKTQLITVDEVDYPILVFV INPNYDAILSIYDEDRLLYKSYTNQQNNTQQVLCLQGNSINYLRSQTTTTKLLPNSLICQ >CAK79839 pep:novel supercontig:GCA_000165425.1:CT868341:380388:381206:-1 gene:GSPATT00014771001 transcript:CAK79839 MLILILFTICQSVIVSLDKTCLCTEIFVENDCTAFGCKFESKLCSNLGCSDVTSRVECDS RFDCSFDHKTQTCSAFSQCNAYYVDAEEQCFNKGNQVIGCVSSGKKTGGFYQCTNYLAKQ MNYIVCSDQLTSSSCNGVQSDGYRCVWKSISNECVAFQLDSCSDASDLNESLCNVSYCVW LNNDCNEKQCKDFTSQSSCSFVPHLDGDSFTICTWSANQCVELSSVSQLQQSNCSSITLG TYFWNNVKNECIECEGFGKLFLMSFIILIASF >CAK79840 pep:novel supercontig:GCA_000165425.1:CT868341:381222:382058:-1 gene:GSPATT00014772001 transcript:CAK79840 MFDIQIIKEGIGDTPRLHEEVFFFFIAHNQNGELLTNSQHTATKTIIGRGWLQSEIEQAL SKMKQGERSLIKIYQSPVSKEFYNQYEIEIIRIGRMKNNPWHLEGEEVYERALELKGLGN GDIKQQQYLEAQNKYLESLNLIKTEYCDRELELQGQLRSNLSLTYLKNKQFELCIKQATN VLQGQPENVKLLHRRAVASIQVDDFERAKVDLKLANQLDPQNEEVIKELQSIGEKEKQIK KKQQERAKRMLFGE >CAK79841 pep:novel supercontig:GCA_000165425.1:CT868341:382085:382514:1 gene:GSPATT00014773001 transcript:CAK79841 MVYGRLIYNNVKDYTPQWFKTIPYQQTVKPTFVRKPQVVSRLNSDPKVKALWRFLGRNVA DNPWAWQVYIFANSFVIFGLCYYPWLWVYQFNNKKRTIDYALQQEKEWKAKQAAAEE >CAK79842 pep:novel supercontig:GCA_000165425.1:CT868341:382538:383269:-1 gene:GSPATT00014774001 transcript:CAK79842 MDFIQKGQEGDLFLNALQQLVQIIPFENAIQIPQFKQSIQIHCKDIDINPLENSTTAVGY IKAGGEALKEGFNWLGDKIAKGVAAGGEYINSKVEKKEDVEVKPETKLKVETAKSKFSQT VDVTGAYLKQLFTPVVQKGSEFKQDINKQIDNSDSQGLKEGREIFVASWDAMGTALTGLG SALSKIGDQIGTNTRVIVEKKYGQDVSETYLGPKPQQQQEQQQQ >CAK79843 pep:novel supercontig:GCA_000165425.1:CT868341:383720:385654:-1 gene:GSPATT00014775001 transcript:CAK79843 METQLTENNLLELRKRMISLFDECINNHKPSTTITLLVGLTGAGKSTIFNFLCGAEFVYN GRQLELKQNSDQFSIMKGGMVSVTKEPKFYMNNNNKHLLIDFPGFQDTTGNVDQLLFDLL FNKIVSMGEVKIIYVINNPNNNLPTRGTDLQAFISQLDNPHFNLLLNCYNDDLDDEELIN DIKEQLKQTKFQSNIDKIIVQRKGKRDNLDEVFKDNHRQIFWNQIQAMNKVQIKPRNIPK SQLISEFLISEATNKIQENVKIMQDFFNIKSDETNQQQNDDMLADLKIFKDLMQNDKNLT ALQWFEQFINICEKLTNSGKQQQTKIGKDFISLFQYFEQFKEFINGYQLLQTINEFGKQA FKQIEILIDTKIELIEKLKQAEEERLKAEQEKQKAEEDARKEKQERQKAEKERQKAEQDA IKEKQERQKAEQDAIKEKQERQKAEEERQRTEEKRRAEENRWAEEKRRAEQDRQRQQTEI DSLNRQYKLQEEKIRMQQRNLEEQQTKMENQQKQMQQESKRNLEEQQRREIENKQIQERE RLKIEQEQKHQLIKKEREAKVISESVLYKASEYSNQELNMRLDILIAEKNKYINEQFELR NSLFGGADWWWVYYSKIGDYEREIQYILDHVNFHWMQLEYSSHD >CAK79844 pep:novel supercontig:GCA_000165425.1:CT868341:386281:387323:1 gene:GSPATT00014776001 transcript:CAK79844 MYKDIDINEIEKLKKDQEMMSLKRAPTNMKERFGQSNKDFLEDEFKQKTVGLVTREEFKR KRENIDNLVMQDMKQKQEEETKRKLELKQKRKEEYQKKTTLLSFDLDDEGSPQKSYGKND HVDTTYLPDMNRERKIEEMTRQLTEQYQKEVESQKDSLIDIQFQYWDASTCSKSLRIKKK MTILEFLEMARREIIRDFGFLTEFSPEDLIIVANGMILPHKLSFYDLIAHKVKNRSGTLI FSFDRRKVQAKGQEYEVEVEKSTTCKIIEKFRYEKIKHIYPCSKWENVEINKYL >CAK79845 pep:novel supercontig:GCA_000165425.1:CT868341:387323:388059:1 gene:GSPATT00014777001 transcript:CAK79845 MLNNKSSIQMSKSNCFNTPKLSLGMFSNQHSIISQLLSTKGKSQNQKHKVSKTTYEIQTL RADTPNSPNKSSPFKSKYHYNTLSLVSKMESNKNNIQQEKQKIKDNSADLRDLSIRLKLC EDDQINKILKSLKLIEQQMTHNQISQSHLQLWHNQLNQNCDKLMKILSLELNTSFNFYQP STHIDTQLQKQLLEERKNRLLVEEQTSKIIQSQGQQITQYIDTIKLLEQKLLKST >CAK79846 pep:novel supercontig:GCA_000165425.1:CT868341:388142:388651:1 gene:GSPATT00014778001 transcript:CAK79846 MDSLEQNAITQLLNLEDKEIQNGWDINTPIQIHKSRSLVQLRQKKILQPIEQRKRIDVNH ECHFQKFPKIYQQEHSFKSLMEEYFKQLNQRQLNLKKDVQKSTRLRHSSYLIEESAIRKS KHSCHEILLQDNQSNKQIKLSDLCKDIMIYKPLKKSSYFPRLEPSYHLK >CAK79847 pep:novel supercontig:GCA_000165425.1:CT868341:388768:391505:1 gene:GSPATT00014779001 transcript:CAK79847 MDHSPNRPSVSPLSKTRMSSEQTLKLQDLKYKEKLLQMDKINAKLQSQLDETLLELEKAN KNLHLQNQMKETQDNEYLRLLKENELLKGDLQFKLQENELNKQKLAQQNKQYQSSLENLK EQYEQLQQSLMNKYIIEMNTKLQDQKQQYDTFSKIQTERLKENEHLEKQYQEQLLDYKQS IQEFQIKVSEQKQQIESFQKQSSIEKQVIADKELQEQLLLKKVEQLQIELSRITTDLDIS KEKYLRLEKQYEQNITNIVLSKDQQAEEQTSKLYQQHEQSIQNLTSQNQDIWKQLQEQKS INQVQSKEIARLSNTNQQQEQDLIEKDKKLKDLSVNTNQSTEQCIILQQRLLQTEQALQL YKSQLDDFKQTRGDFEQRMMDRSKGILRQKEQEFQQALQLNEQKIMALNQEVERMENEHQ IQSFKQLQEKLMSESNVKSLLVKIAGIEEQNSTLSSQLEETRQELLQKSTLSENLSVQLN KEKTYNQTTLRIQKNQISDLQQKLKEYQVEVDRLSCDVRERDDILDSQRMQFINEKNELE QQIKIIFEKMSQQRQRLQDLDDQTYQQQCQIQKLTLERDKLDKELKSLTVTTNEQIRKQK EQIDNCSRLIEENQQTILQNEEQIQNNETQIAELQTQLEEQYAQNQQLTKDVAELNDELE ETRQDREAKIQEMDQWKRQFKQNNVPLSDYDQIKKEAEQYKNKSMDQEQLINKLETQQQA NTKEIYHLQGELSQYKSNLSDAQSQLVNKKKENDNMSTELENLKREQQRTLNQLKEIEQR DQSNSELVSKQKREIQRLNDDLETKNRQLGNMEQQLNKKFDEVTSKQREIEELKRKYQQD ALGKMTTSPSQKSVMMASQNMAARSTAKGLTTKITDQQN >CAK79848 pep:novel supercontig:GCA_000165425.1:CT868341:393572:395185:1 gene:GSPATT00014780001 transcript:CAK79848 MGKIFWKDWHLRTQICMVQFLISILTIGLIAISTYSFMFYISEFYMEASDHVFQKEYGKW TKIMMSHNIHRVQQTLFRSQQQIVKANTLYQMTQQLIQGTFSQPTQCLNQLQLMDQYAYS ASFCYMIYKDTKSNKILQNLKNLCVFLTESVLIVDQDFDIMIASSNDIHFFSVWPGSYLS SDYNPQERIWYQQHLEQLAQHNYTNNSTYYSEPHVHWTWKLLMIAQTKSLVNIDGSLDGV IASHVNFTQFNYSDDQVSFTIINPTGRILLSSLNISENSNIYDYNITNLGYQDYQQILNQ AQGKSTQSNCDSTVWNDYGYLCRKTYNQKEEELISTKNIEEAGLIFIMQSKLSKYQIEFK NMFNTFQSQLNSIFVGTILGFFLYMMSSLIITTSVVIFLFNPIIKIINYTSQQLFKDSLN KKHFLKQHKHFSFFEKFSNSQLLNDLQESFNRLIHIKTANNKSTICHIIEGFKYPLKRWK LQTRKKKQITNLNVQLQDNIQNEEANQLQISIIKQLMISELKINGCF >CAK79849 pep:novel supercontig:GCA_000165425.1:CT868341:395610:396699:1 gene:GSPATT00014781001 transcript:CAK79849 MRQSRGRKIDTSNSESEIYQNLGPILNKPGNVQPQQKPKNQGKPKSQTQKKSQTNLKNQE NKLTKKMKEYEKQISELRQQLQDDQANQQYINCFNSTKEQYEKLIQTYEETIHQLKQTEL NLLEELQGQSEIARDALNKLYQYQQEYNTEQEELRQHYEQMMKEKELELTQKYELQLQQL ENINQQSRISEPKIAQELSKKLKDMATKEELYLEEIEILKNKLNQKKSSVKEKELRQKLI DLELKLQDWDDNLERSKSLEYENQSLLCKVQQLEKQIQRKEEKERRLRDEWNKQYQDLQT EVKYWKNEMDKVIMENNLVLSKITPSKKKY >CAK79850 pep:novel supercontig:GCA_000165425.1:CT868341:396986:397144:-1 gene:GSPATT00014782001 transcript:CAK79850 MCPWGFNDQTNHLVQQNDIPGLRQVSEHYEFFVLNYSKKMQFTHQQRYFLSR >CAK79851 pep:novel supercontig:GCA_000165425.1:CT868341:397482:398687:1 gene:GSPATT00014783001 transcript:CAK79851 MRNRCSFTNKAQQFPLHPSLTINRKRSCECLDCGLHNKKMLYVTTVLPQFQPYQHIITAL KEQNEISEQRKRMKLRNKRYLIQMKNIVEKIQKQEYKPKKRQNRHHHTVFYNHQANASNP SSLYTNEIAYTPSEPIQSSSVLFHRYNSLTPQKYFTDQKIMKVQKFSQKLKTFYLPITAP FESQIILRLQLLQDGKVKLIKQSGFQDDFTVNLRLNKFQEDDKKIEDKEIKNKITLPTLQ QEDEEEELTDEEINMKKLASYNKKNAYKNILKYNPRTLQSLVDKSQLGQSKIKAYPKQLN QNHIALLHRSVTTNMSPFNNSSISRASPISSIQLLREKRIKPKLLPLENKPLSKFALTHN SPTKQSNTKLNPKKGLQKSILSISGKKQNKNIIFRQLMIQI >CAK79852 pep:novel supercontig:GCA_000165425.1:CT868341:399918:400331:-1 gene:GSPATT00014784001 transcript:CAK79852 MLCCSNAKKIKTSQQKNDNKLQAHTNQQEESLNVNDEACTFHTTIQVQQFNTIQTYKITL DHQTISRSNSRGNNDHVAQFVFVQPVRKTPFITFRKRGTDSSTKYLSTKQGYTLLVTSLS N >CAK79853 pep:novel supercontig:GCA_000165425.1:CT868341:400395:401680:-1 gene:GSPATT00014785001 transcript:CAK79853 MFFSRQRLCWDSSIYIIFEAKSQLILLLWQQIFINYVNMSSIKSLLLFSSLLFLVLGQTC LDHSGNAIDWWFILKMPTDPTFSARGMDYLYCDAKNNCGTFDWQTDQLDYLTSPLQRTMA QIDFHNDNVMSVLWSDQPWNKNTISDRAHSKGILSAGINGSAFLISHSTPTFPMLDDAYD QIVLGMPSSAQVNGQHYMCLSITTTEANRLATEYIIAETLTNRANSPAAFATAFPQLYQL KSNSRTKTYKTESGTVLSSALQDSIKISTKGGFTLTAYTKNEDLVEDFYADVVAAALGKD LIMETWGNGTGGLQSAVCDQTPKSYSNLVRQHGAFTFSYTKDHSKYGITATSTNVCFCDL NRQTTQQKRGGVVYCFQHKSLWTFINQSFISRQTC >CAK79854 pep:novel supercontig:GCA_000165425.1:CT868341:402973:403375:-1 gene:GSPATT00014786001 transcript:CAK79854 MNFDDASLSSPHTFRVKTRQQLKGIQHSIKKSKRKYCHISPEIKQQLISLVLDKRLRIKD AAIICNLNYSTAKTILYTLRHRPPKQAGFKKYSSKSKPIFMMKTQIKGTLINEYDFYSHL NSI >CAK79855 pep:novel supercontig:GCA_000165425.1:CT868341:403543:405047:-1 gene:GSPATT00014787001 transcript:CAK79855 MIKGDQIVPYSALYIDMQYRHLFNTLWAYLKPVDQNKYIQELFFLQIEEIWLGGLKNQKI LITLCVRASTDLVLQALNWPPGSEVIITGINIPDMIQVLRLNGIIPVPVEVNADTLGCTL DQFKKFYTEKTKGIMISYVFGAKFDATEIIDWAKSKGLFIMEDEAESFVAPNAKLNPNVD FSTFSFGSIKTCSAFGGSISVIRNNEALYRKMKALQESYPKWSQKNYFKRTLKNLIPMIL LNSQKINRSSRWVFINLFPNWDYKEFVVNSIRGFQKNSSDILQTYRFRVPDPMLVMLALR MKTFDVDQFNEATQRQIAGQSILKQGGLLVPGHAVEDRKFWLYPVVVPNPETTYKILNAR GIDAYMGVTQLDIVESPIGSSYEYPNKTLSYFKNILYLPIHQNADLKSIQTICKGVVEVV DMLKNRNPKL >CAK79856 pep:novel supercontig:GCA_000165425.1:CT868341:405657:405870:-1 gene:GSPATT00014788001 transcript:CAK79856 MPNHLFRTHPRTYGKDSRECRVCAARQGLIRKYGMNVCRRCFRENYELIGFHKYN >CAK79857 pep:novel supercontig:GCA_000165425.1:CT868341:406027:408362:1 gene:GSPATT00014789001 transcript:CAK79857 MDELFDQLELEISECKTSMSEYDRDLNKCLGKYEIKSIQETYQTVIYSQSNQNCQNAPSF SFSQTTRFKETKKDNIINELPLNVSISSIKQAQPSMTFTKSQRFQESKVIPTTPLEPNYD FIKRKASSVVISESKIRDDDVSTQASMITVGPGSYDVEVKEKKQQNRTFAKDERFLKLKA NNQEAINPNYNSIRPNIPIPKISKPIKKNKYQIQKDEINRMNEQLRLEEYRRRPLELDKA IRQNIAGVVIKQAYNDFNSHPKGSKMRRFLIRKLLDDIQAITVGPGQYEIHEEKQPCMVV FKSHSTERRIPPDRPVPGPDYYYSKDDYIKPNPIQVKFGKEERIKKIKKEDLDMRPDLEV NVDPVKPKAPDAVRWDIEPTKPRLTLEIGDKVAPGYYDPSHVQVDPAVKGVKIAPLNEKN DRINAIDPTKGKDFNDYEEQLYPTLDGVKPQIQTFKYHENTDVKPMHPPDKELFPEQHKF YDVNMDAVREQLVQDIQLAGKKGRGLKEWLNYFDDMTMFKKYMQRRNKQPEIGEYEVQFN QIDKDPKTVNFNRYVEIDHEPIQKPDGPLEGDNLILNPDKPKPHIPTLDFEKQSDPRPEP EVKEVELLLNVDYAPVKPRVKNIPNFEKQLERPEGPQIKEQEAIIEPKFDQVEKRVIGNV NFEKQPDRADDPRYQPKVDNNVLQIEVKPPRNERTAVQMNSKTKRFGQKQGENDQEQQQD VPAAINISKIEKAEKAIRPNIPGVNLQKQSGRKDFIIKQKK >CAK79858 pep:novel supercontig:GCA_000165425.1:CT868341:408427:409224:-1 gene:GSPATT00014790001 transcript:CAK79858 MSKSWQIHQSLQDSLCSQLNKDEILEEFLQVCNHIANEDQNSIKSLNSKLQTIKKHYAQQ KCRIDHQFKYIQTLLEQKRKDLITQLNNHEQELDKTFKSLRDEVTQIQSVVFNIQNDILS NRQEILTEVDEETFQNILTQFDQQIQMAQNYKKELLSTKITLIIIEEWTASHQDVIKHLL NQSITIKDYQKQIEPHHSEMSASTCSDKMYSSNRSSQDLPIKQTPLIISFDQKYFVQSKQ NDNTKSESDIHDSCESDFLEEWANQ >CAK79859 pep:novel supercontig:GCA_000165425.1:CT868341:409244:413917:-1 gene:GSPATT00014791001 transcript:CAK79859 MDKCLDLIQQQCPSLTTRSINLLLQLFNYFQSGPPSKKSAKPINSTQFKVQIQLQNNVIK YMEFKEGDNTTINQWKQKLAEDLEVAYLQLDITIENKPIEQQFDVVETFVSQAFYQLATV KVKINNKNHPKNYLSQEQRTFEILFKLLDKQESIEFLSQVWDLINRLPINIMKKRQVENC KDWKQYLDHQFFDMFYVLQIIQTLLENEQWCEQFNNSDGVDLITERFFGQSFQFSQRPLE IKCFLAYLEILSHHRIRIKEAQFIEQIKSKIIESIQQFSLYVKTKKKLEAGQKKSAQQKE MNEVEFRLLRKCFQYLDQDGCKQYIKNSELNQQLYAFFVEHENHELKKEYSLQLLNLKNT PESKLLIKIVLEDVLKDVIQNNKQKCDHFFEFCCNLIQQEQNLAIQKLNFEELLVYIRQK LEELPFNENTVKDQDQILIGLLKLLNVLIDRIQQLSIHQGLFEQILSYLFENEGETRCKC KSQQSRTAGFNCFFTFKFLNEVSPLHYTHTWRTKNFNDWNIQSRFHEKSSTGYVGLYNLG CICYMNSLLQQLYMVPAFREKLLQIEDKSTCAQEENLLHQLKCLFLALKHSQKQYHNPKK FCHAFKDFDGNPTNIFEQMDVDEFCNLLMDRIELNIKSTSDEDLVKRNFGGARLVLTIQK ERNHSSLFLLPVANKKNLEECLQTLVQGDLLEGENAYSCEQCNKKVSALKRTCIKKLPDH LILVLKRFNFDFDLMAKAKINERIEFPFELDLLPYSQQGLRQQENRANPENGQDNPSEYY QYRLTGVVIHIGSADSGHYYSFIQDRCDFNKWYEFNDIFVSSADVKDVKNDGFGGVDRLL KTKYPNQFKDKQKSAYMLFYERVKPLNGKEELMDIEFDQKTSQFLDEIKVENRKFQIQRF IFSPEYFIFIQNLIKFELQSNEVSEQIVKTLVFFYLTCAVRENDKTFIQNNILDIQELLR RAPNTCEWLLKCFNQYHYIREFQFDCSKKMVRKFVISLVVTAIETVQKKEGYKVLDEQVD DKPTSLVASLINSWIRVLPDLKRSLKNSIEYYDLFYRFARLNEQNSQYLISKKIVGKLLD LFMDTMQINYSKLFIPYKESVRKQDDLKCKQFSEDPNSFLGQQNIQNVDIASNYYDELLE KKFEKSMNSGPSSSRVYMWRLIAFLLKTQGKNALSMEEQNLLQFDNSILMALLEEGDCKL AIRMISDILSILSQDNQKQTEQIIQAIIKQINDKEYKEYRKYLVVLKRLFTVKDSLQQMR IHQGMSKLLEVMQKQSQYFFETDVCQQYILRMVFRNQAVYQWMVKNQRLWQWIIETNNTQ SNPNEKLISNNSNSQKCNHRLHNIYLPITFQAYAKFLNWKKLQFLNLAKEPFKQNEDFDS DDDLADKIVKVDDKIDYYDQNSWITATVSKVMGDYVHLTFSGKMAPQNIDIELDCERLAP FNTLSSNNKIPGQNNQEMAIEHQSDHDNDTEEGNNNSINQTDSDEE >CAK79860 pep:novel supercontig:GCA_000165425.1:CT868341:414215:416669:-1 gene:GSPATT00014792001 transcript:CAK79860 MDVKKSKKKPELNEQLFVGNYIDVFHQGSKQHKLAYILSKSEKEIEITYDGISRKDNEII KLSQNKINFARRFTINYTGDDFRQSKTSRDYLKYSRDECDKYIKELNSIMQNNFQGLSPI EICLSVRVRQFIWLDMVLSSEFPSKELATPLEYIKTYFNFIKWYFEQFPKYFADYMRLQN NQELYILDERASIAACLTEVCEAFCMLFGSIWRVLKQENSFFYLNYDNLQSQLEKVFPAP NFQNFTTNHNIDDWNLYQGATDIVKCIKKTWPFYLRTMSYFKQIGGLQAWEGLLKFQDNA EYNYIPLKAMQRIVLTQKYLSQYFQSSEQAQMAKRTFEWLQNRVNNMSVQDIKDTDIDQV KDLTSDHQYYFQKGFTEDQLNRLTDEIQLQLSLKFLKSSFLEKRVKGISEIKDFTEKLKF DQQTNLKFRSSISKDDLIKWIQQNKILDQTLLGDSVHPELIKRSSDVAVFLCRNQAFEVD YIDKIWTNNYDKHETTQLALYEFFKTVSPYLSFQGIEKLYNHISAIPYSKYNENIVSMIK TFTESALSQRFHEQQLSLSPEKRFMTFNQLWELLQDRDDQLTNSVIQEQCFQAARNIISQ IPQTKQFISCYFGKCFELIGSHKSVYQAISFVHYFLDKQFKDDFNGKRELIQSTDDKYNI IELFVQDIEVYMEKVRQYFKNDIPQDIILYGVQKLSQNVFFRLQMLNYLLQQNHLKITYE QSVRLWDTLSSKTKGGIQKKELNKILITNYQMDTNQFRICPIYFDKEGEQKFFNICLMQS RQK >CAK79861 pep:novel supercontig:GCA_000165425.1:CT868341:416962:418553:1 gene:GSPATT00014793001 transcript:CAK79861 MNIDFSRILNLVNEIPIHVFKQCCLTKLPEQQIDEINKTIPILKSQLTIYSSIQESFLNI TVLLIPNLLVIADQYLVLSNCKITKLKQCYKFDAYGIQLSSSTGHLLMFFNNKSQYLEWM PKLKCFCKLSNFSNKFNLLEQIIKDYYIIQHKKTKKYYTSYVHSIRATSPVDILHSEIQA LRSIKHPSLLDLKWVYDDNHYIYLIFEYFRCEKLLDLLNQGLILDQTQLASIILQLLQSL KFLRKNNIYHGNITPNNILINTQSSFLQLFLVNMTFISRIDSEPLDQYLSNVHESYIAPE ISQGIAKPSIDSDLYQIGIVLYFLTFFVHQKRKDERVDRIQMELIDKAEEHLSQLNKNTQ CNNVLIKDKYQMVYCASQLDLLRRLLDKKENRIKLEEAIKHHWFINIKQKLKPKIERRKQ HLPSLKTIIELCEQNEYSKHFEKQQKIIDEDSVLEENNFIQVLMQQLEQNQQTKRPSKEN HEKLRMYEQFKTQIECEKTDQEKQFVFSKSMM >CAK79862 pep:novel supercontig:GCA_000165425.1:CT868341:418589:419462:-1 gene:GSPATT00014794001 transcript:CAK79862 MPKLGDPMNCIIQGQNGRGGLFLGNIESAGNGKLLGHHDIGAILAVMSTKDFTYDAHIAH KFIRIDDADFVNLSKFFEEAIDFIDINRQQTNVLVHCHAGVSRSATIVIAYLMKTQNMSL EQAFKHVQNQRRIVNPNPGFMRQLKQYDQKLQGSNSLRTSTVKQKERQHSQTNLRQSQFV QQPSENYNSLYQQYQPHRQYQSASDLMRQSQYNYERLNSRQMHYPYMPQHYAPYVRNYSV PYGQNPLHFSTYRQ >CAK79863 pep:novel supercontig:GCA_000165425.1:CT868341:419703:421058:1 gene:GSPATT00014795001 transcript:CAK79863 MRSRFLPTGDKVVVPDIRNTAEYGKLFEEEIFQSKIRAQREYEMKLQEFLDQVKVVDDYV FKILDIISSSLQNSQTPDPSLLSELKKLRLSLKFDDHYKESIEPLNEALLWIKASQHLFS VINQINLLRNIICAPLSQDYIRRMKNFSEDFELLLKEHKSIEVKSPFSFKQQKQPYLESA QLFDELLLRTLKAMKLIQQYSTYSMQIAAYYTEFQYHINGSIKVNQEYMELIHSLVLFYQ NESSQFTPLQKALEGIPNNGIFHEQLENVKNLLHNYRKQLNLEDFKELDLIFQKYLKQQQ PIFSLEQLRIDLFFILNDEEDFITVMKSFKRVCSQSILDLDSYDDLLKELINFTSTKRDN KIAQLEDSFVIVSFVQNDKKDWQQFLKLCITKLGVFLKDAEKNQVESPQHYLNDFKHSSN QLRPQKNTSSNSNLLQLYDKIIELFEINLNK >CAK79864 pep:novel supercontig:GCA_000165425.1:CT868341:421268:422638:1 gene:GSPATT00014796001 transcript:CAK79864 MGNKQFQESFSKSKSDTRPLDVKKCISIRRDPITGKLIGVPKEWATHLDADEQQIVETNK LPEEVRTHRLPERVLEIQNSIENQKNLKQGLKIDKGANLGLAGLNVEMEKEIYNSGVSRN EIVKDTVSFISILEIYDGKELASKQNSNEVMTQTEFLIENPAQKYIFYEQIERGVNCKLY KIIDRRLNQVFVAKVFKFYDNFNCYRLKREISFTRQLECPFIVKYHETYLHSGCFFIIQE HMNFGSLRKFIKLFDKKIDESIIGYILYQILLGLKYLHFKGKIHKHLSSKKVLMNDKGDI KLLIMDYKNEFKKDKDPYWIAPEIIEQQIIEDVSDIWCLGIIAYELSERNPPHFDDHPIR VMYNIVNQPAPKISKNRSCNFQDFTSKCLIKNYNKRTSLRELLRHDFITQNRERGRQGLI DLFQKVNLDQKSKLKKSASLK >CAK79865 pep:novel supercontig:GCA_000165425.1:CT868341:422743:425478:-1 gene:GSPATT00014797001 transcript:CAK79865 MNNSDIEKLIENYEDDQQVEINEPVTLDFNQDRQGQVPQQHRFSSSKFRERLSSIDPMNW AKNIKMPENNYYTYPCSLEQAELHRQCHYIQPDQEFLDKTNATECPCCNKPLNRKRINFL TVNMWQLLVQDYGIAAPLYFTFLKFQMLIFVLIFCIYGIFFIYEVNSTCANFKEQICLTD EDLIIEYKSACELNTIYTFVAMDPFLSQIECSAKIDLDNGGSGFKLIYIQIAAFLIFVIN IMIPLQYEIIIRYKQIKYWDKEPVNHVTENKKSVYVRHLPYKMTSEEIQSTISKAIALNT FDEVAKKSVLFQAKNVIQELVYIYDIHEVNEMNIERESALLDFMITLEQLKELKETGTIT TYSEKQAKTYKTFTNELLDNLFKQQLNDIVYKTQKIKSYLKNGLPFTNKVIIKFETKEQR EAAYLHYRKKWYQNLLIRYEVMKQQTKLKEMQKTQVADTQSYVDSRSVSVDDLQIQNQES ENQKARISLFNQNTYLAKQQFDYSNKYVEQIHYQMGVKRGFTINGIFWENLGMDTFKRLK FRLKAIFTAAIASFLLMGSFELIYFYQNNPNQKDKKSSGQLSTWEKVFANFLAILVTFLS SYTTLTILGQMAKSRRSTFIEVEESIMHFFVIIQYFLIQFFPYLATFEIWGGKHQIVACY DLVTLSLHRIIFKQLFHTFHIRHSRFWLRKRKALKNFTPKKFFQGQLNQIMTPAFISQRG RQFNMLFILTTALCLIYICPVAVIFCLAFTIYIFFFDKYAITHNYQIDKRFTINLFSHQI KCYQIVVLPFSIYLFLRLFWRFSWVIYGSLSAGLVMIVIIIFKKPIVKFIIFKICGLKKK RPQVEYREQSFFKSYNKFFESLRIESLTQILVNSLKMNETESTKND >CAK79866 pep:novel supercontig:GCA_000165425.1:CT868341:426316:427705:-1 gene:GSPATT00014798001 transcript:CAK79866 MKTLLFMICVVYTLASHAYLYPFNENNAKDLEGLNQKQIAQLIKYNIGLVEHVSQDQQKA YEQLIISFGKRNVLTPTEMNYLILIESDKLFSGQEAKQQRITEGYAQSFKVLEKEQRSIM ETLFGHQADSLIQKANALFNNNNLGFKIYQNEDDLDINYLQWTITLYLGKNNEVYFYEPK KDKSYKIEQSLEKCVDNFFGTTFDYAPKDNKIYKYNTNEILDITKDNQDHVTGFLKDICA MNKLATIFKKNSTPNMLSIINKSIAKLEKILNEQEIDLVYDMMRIAHKKLSKNFRNTFES EDLFGLILIEEEKNPQITTTKESAQVQRILMETRTRMLNQVTQTNSSNYVMNATTYQIYV WFGVFFVIVLIGIIYSMVTMDIQKDTLLYAKFLTTDQRN >CAK79867 pep:novel supercontig:GCA_000165425.1:CT868341:427857:428826:-1 gene:GSPATT00014799001 transcript:CAK79867 MKSNHLRLKPKSEEERFAQRLQQMKRTWHLESQPNHGLLWIHSLAIREIASITKIMTFYV VLNMCRTMNVHTNNTYAEVSLQASLVGGTTADLLKGDVVSIEDLFYGLMLPSGNDAAMTL AENFGKNPLIYFIQEMNNKARELQMTQTTYANPHGLNNKNNVSSAYDVSKLCNQLLKDEF FRKVVNTKIHFTTIQDEEGFTRDVIWENTNKLLYQGFKGIKTGNTSVAGPCLASYYQTAS RSFTIVILGCRNQEDRWSETMQLLQWCLSQIQ >CAK79868 pep:novel supercontig:GCA_000165425.1:CT868341:428851:430524:1 gene:GSPATT00014800001 transcript:CAK79868 MIRKIIYNFVKFQTLPKENNFKFQKIQQNQTIHKAQSTNDLLKFYQLKGATLNLVNLVSY IRKYTDFMISNSQVDDEFEMQHAYSVLNQVQEMIHTKQYLQYKDKISDHKRSFIMLLSLS VEMFQRSKDQENQNKFLRSAKLAIKSIKEENRLKDCNIDEISVLLRCITQINELNPTEEE EIEYWALIGTLINQLTPHSSCKLLHSLSKFRSFNENLIGKLLHPLQYIENKDINQKDAIS LFFSFKHISDKTKKFDQVIQTVFVFLQTYMIKQSFKLHVKYIGMIYNVLATLPLEINQDF LTFLELQLIQANNLSMLCLAHLFQFCYAKPELNVTINKKLEDKIITFLNDSSEIDDIPKA EQFIMFFNTLGNQCTPRYIDIFIPILKKIPMNDFIIGKMFSGLLKITLASHYLTEITDIC IQRLKQYDNAINIHALNSIYRAKCIQNAKYANLFQEITNYQINKFNQEIFIKDYQYLIKN KKYFESFEFLQVLIDFLKIYTFTGNEGKDNKAIVSERLKELKTIVANVKNQKVEENAEKF LSQFDEFI >CAK79869 pep:novel supercontig:GCA_000165425.1:CT868341:430613:431664:1 gene:GSPATT00014801001 transcript:CAK79869 MGSQCTNCKCVNTKNEILKTQDNVTLATSDSSSVHQKKIKKAILIQSYWRGYIIRKKLKQ MRLKKRETLNSYNSKMDASEFFFSSGVTLNQDEFPQEDRGPHKRSYIYGTMERQGKRWLW AKYDGMWKYNKAHGKGKFQHANGDVFDGEWENDMANGFGIYQHFNGPKYEGQWFCDQQHG YGVETWADGSQYQGFFQKGLKHGKGKYKWADGQVYDGDWYKNKIHGRGILQWPDGRRYEG EFQNDNMHGRGLYQWPDGRKYEGHYFNDQKHGYGIYQWSDGRKYEGEWENGKQHGKGVYI NGEIERPGEWYQGKRIKWDSDSNA >CAK79870 pep:novel supercontig:GCA_000165425.1:CT868341:431969:432430:1 gene:GSPATT00014802001 transcript:CAK79870 MDFDFNSQNIILHILLSKSLWFYLHITVKYTYFLCLAIPFKSFIILISVQVMVSFITFLL NNREQFRSLFFQQQKQQTFIQVGFSIGKQQQQELKLIVYPQNRQFCAYSIKFYHIQDQDV TKENSRIKRWKLIQKPSKEYYILMMIQIIPIIS >CAK79871 pep:novel supercontig:GCA_000165425.1:CT868341:432797:436925:-1 gene:GSPATT00014803001 transcript:CAK79871 MFYINLLDFSNQSTQELKYPLREFLIRFLINIINFGITKTPQMQQQHQFSCPCSYCKNKK EQQQPSVHDCNQIERENEILKGEIAKLQQFANSKVPEFQQLLRDNQQMKKTLDQMNQQIK EYEEEIQRQKQVIDRLNFDLDKSKRDNEQLLQALNDIKQRGLSQEQSLLQDNQRMKSTID SLNNDLRSLQQEIYRLKSINDKSRYVQDESNRYQQENQANLARIRQLEMQIRDLMSKEES YQLQITRAAQGTNTENQLLKDQIAQLNQQLHMMQKQYQKQLDDVRQDQDRMISEQRNTIN IQIKDQLQRDQQKWMDEREALLHEIQLLKQQLAQNELQVTRKRQLLEDEANKNQQFIVEM EQANGQLQNKLRDLQMQLQQSEKDKMRNDQYVRKIDELQLQVEQLQRQLYDLTSKYNNLL SQYEQKKYQIDQLNGQLNVSQDNEKQKIMNLERQIRELQDQLADTQRLRQQLHQMNENYS ALQITFKNSQKQLSEADELRQELDALFQELEYYKQQDMKSKQDLDRYKQQLIIIENKHSQ QSNYEIEKLRQQNQQLIDELNEWKNRNKQLEGKLQQQIVMLNQAQNDLQAHQALNEKQQY ALNESNQLRVKIKELLDQIQYLEMELNKKDNLIQDLQISLDQFSKQRDSLIIIEKEKKDF EYRIIQLEKQLAELTMYKTQFQDLQFKYNNLGQEYESLRKDYELLRYKYDEINNQYIQSK KQINIQINSEVEALKSEIQTWIIKCKTYESEISFLRSTINDYQEIEQESEMLKQELETWQ IKFADADRERIQLRQSIQEYEKFKFRISELEREISNYKYKLESTEKERDGARQQLTILQQ QRVQFEQIKKEYDSLVYKFQEQERDNLSLRNEIENLRQQLISLQQLKQQLILIEQEKSQL LYKIEEFDRERQLLKQQISSANNIKMESESLKREYEMLRMKLESSDREISTMRQQLTLAS NQKIEFDRLRSEYQQLRMSFEDSEREKQGLKQQLQIGINVKNELEQFRKEYDLIRLKFEE SEKEKNQLRIQYQSSQSNVNGYKIQIEQLSSQINQFQSQELVMKREIEMLIQQIDVYKKD QINKQQQIQEYQFKYQEIMKEYENLQGKQKNIDVDALMYEIYELKKQLAQKDKQYEYERQ EINEQKFQMEKSVKIRLEQEIRILNEKFNSELNELRRQIQIEKQEKLKLESELRIKYEQQ IMQLQQQIKPSVDVDALMYEIFELKKQAVTKEQVIEQMKKEQYQLRVQMEQRYNEQKLQF ESEIRMKLERELRISIESDLRYQIENEYNFESNIQDYVLQIEKWKSVCQMRDEEIAHLRG LQQALKEKLNQYTSEIESLRTQLALMQGDRRTVYQIK >CAK79872 pep:novel supercontig:GCA_000165425.1:CT868341:437344:437910:1 gene:GSPATT00014804001 transcript:CAK79872 MLNNNYQSKIFLQPKPNDFSIFDDFVQKARKICRTPQKSVSKYKESSLSISKQIKTEMNC DTFETIRKYEQNPQVKMDSYLDQQIHTSPFRFQLPLRLEKTQHFTSEKKRIPACLTSRKL KSNLDGQIHKSIQLSQFRSIQQQKSETPNPNQQQQTKFLITRYFLSKPLVCIKQNRKKKE IPIQIELQ >CAK79873 pep:novel supercontig:GCA_000165425.1:CT868341:438939:440293:1 gene:GSPATT00014805001 transcript:CAK79873 MYSKQKNQKGKPEWVSSFADQDRYKLSQAELMQKKISLMSKHRMEAKDQWQQVQEKLKHN VMDDDTQKVVIRDLQQIYLTALHSKGLNNSTSKRRNLTQMIEWEKSERAHSQSQFKPDIL EQAKLLLQESERGQQNQDPNFLHKIKQDLEDTKSFVAKAQRLKKPEKILKAQQEKSFDKS KQEIKKSTTKQNQMNEDKQQQSQENYGFNGMKNLDNVISFLENTLHSQEVQISQLKEENP FRNAALTDTKTIYSEIPNFSVNNTQNKFYPKEENCFAQQTIENFNQDTKQQQNLQFQNKE NDFINSRIQKEEFSNLNQSEIPKNDIPKYEQFKKDIMKIEEQHPNQFVQQRLNFDKVCFT TKNEISFDDNIDQLRQLLEQTRQELNQMNLQDSSILDCNSSQRDINIQLNQVEPLQQKHI NYQQLHRKYKNCDTFDINDHLM >CAK79874 pep:novel supercontig:GCA_000165425.1:CT868341:440351:441227:1 gene:GSPATT00014806001 transcript:CAK79874 MSENIQNRVSSIFEKLNNISTSVQDEKNNRFHTISQLIMAFEAQLQHQSDQKEEKFAYIA QKVRQITEFLEQEQEDRERQESETFKLITDLERHARRLIEQNSKDRVEQEKKIVYSIGQQ IENLQQDVIKEGLAQQTSHEYIDSYLNEDLPKIADELQNEITERKDVEEKIYHQFVEQLN DLRELFEREKKERETKEEEIVESLREISGRIQEQLRKTRGEREKTEETLVQLVEKVIEKL KREMLEMNL >CAK79875 pep:novel supercontig:GCA_000165425.1:CT868341:442733:443289:-1 gene:GSPATT00014807001 transcript:CAK79875 MNLSEEDIEQCQRAFNDLDDRGEGEIMVDDLEIALEMVGLKQKPHKVHKLISEIDDGNRG RIKFKEFLSLFAKLKYAGLQDDDQDMIDAFVAMGGNEDTTGNVDAEKLIRIIKNEFELTI DIEGLIKEVDTDGSGVIEFGEFKELLKTNYLQDDENDYP >CAK79876 pep:novel supercontig:GCA_000165425.1:CT868341:444818:445299:-1 gene:GSPATT00014808001 transcript:CAK79876 MQQKFKSNLKNIITECEQLKDQNASFNLEISNFTTKYRMRFSNIIKRASTEENKKLQEEK SLKMNIKLHNYLCKQIIDSTQYNLQRPNRKAQKYKLQEETFFDRNPVLYLKQQLQQDKQR SLKLSIQARHFSIQQQKFVQKLENYKDFIGLK >CAK79877 pep:novel supercontig:GCA_000165425.1:CT868341:445300:446378:1 gene:GSPATT00014809001 transcript:CAK79877 MDIDRHISSLLTGGCLPERDLKLVCERAKEIFLEESNVQPVRAPVNVCGDIHGQFYDLQA LIKEGGDIPEHNYIFIGDFVDRGYNSVETMEYLLCLKVKYPGNIVLLRGNHESRQCTQVY GFYEEILRKYGNSSPWRLFMDVFDCLPLAALIEGQILCVHGGLSPDMRTVDQIRTIDRKV EIPHEGPFCDLMWSDPEEVEYWAVNSRGAGYLFGAQVTKEFCRLNDLSLICRAHQLVMEG YKYWFPDQNLVTVWSAPNYCYRCGNIASILCLDNQLQSNWKTFREVPESGKSINPKNVLP YFL >CAK79878 pep:novel supercontig:GCA_000165425.1:CT868341:446601:448022:1 gene:GSPATT00014810001 transcript:CAK79878 MNKDHPVLIDNRYLLATLHYQGRHSNFYNAVDSQQEQKQILIQIITDQLCICKLFKRLEQ SNIVILIRIVIDNRDKSNDHYNRMSRYIPIPRIYKMGTLVVQDITYHYIAWQKSGPSLKL CFKLMKYKFSLKTIMLVALKMITNLQQIHNLNILHRSLKLSCIMTTNHSNLLITNFDDYV EFLNKEGKITNKSKQIHIKTNKFSSIGQHLQQIPSPRDDLESLGYLLLYLLSQGKLPNKC QSKDQNIRDKFYMEFKRQFLPEKELKQYPEPFLQFFQTVFKLGFKEIPNYEILKQPFIQY LGRQEQDQNFDWSEVFKPLAEQTATSELSLRDKTGSFESLMMNNNKKEPCKVNTSAPTSP TTQQMINKPAKASRFTLAPILEKNESQLTSIKNCDSNAGSPRFNHNIKNLKFDHFQEDSS SGEISPSDEPAVDYMPNFEYHQHDTM >CAK79879 pep:novel supercontig:GCA_000165425.1:CT868341:448104:448832:1 gene:GSPATT00014811001 transcript:CAK79879 MDSNKKVSFNTTMRTQTFYTTSNSIQDDNKLVKDIQTKIHSILKGENAESDYSHRFKCKV PLLQTTDGQKLCNKLIKFNENYKIAESDKDQIAINSKVLKSYKFNSVISKRRLTKSQQQK TTIQNTSHHSEDKYNKIFNTEWSEYQNIYDLHNLLTPNSLDMGPAYAEVQFIKSKIKKRH QDTLVLPKSNRNVIENNKPKKFIDLDQIYLVQPNQISTSRVVLKSTNNRESKSQRRHQAF QN >CAK79880 pep:novel supercontig:GCA_000165425.1:CT868341:449003:451582:-1 gene:GSPATT00014812001 transcript:CAK79880 MTILKTFLLEVKQQKQRDEYLNIKQDVLQDCDLIDKLRRDSAKFIEILEYEIRKTISFSK FRYIDVIKKYDKLKEQIIGMQQEWISLPKLFNQPTLAITWNLKSMTEAEKHQLKLIKQNK PKLKQYRRKCEELQDSMFRFYVEVQQLQSFLSINYEATRKIIKKFKKQEIRGIMDVNENI FEKVIEFQNEIRELPKRLKMIKDETESVIIQNFYKHDNPKKCREFLRRYTEKNQLSNESI FYFGFFAGFATLMIIVLLLMRYDGYLDPNSDKVFNKVFPCFRGVALFIFYFWMISLDVAG WNYFNVNYKLYLGFNHHYSTLSEILKRVTIFKEDIGKLAQELQVFDVRLYPLLIWVCLLI YVLYPFKKIFNPEGKKYMYQMLYGMFWGFLFNYESRYTFMADQFASFTTPIRDLDYTICY YYYIIFKGYEHEGQCEPRTRFTSALPATVPYLIKCAHYLVRARVKGRLFGTDEWYNFLKT ANAAQVGVWSFLARRNPDVTEFRVIWIFVAIISTFWQYYWDLAKDFLFFEKDSKYKFLRN DLGYNSPTIYYIFAGVNLVLRCTWVLSLSPDICKLFGIKNELFVLLVGFLEMSRRFLNNF LKVEKEHIVNLRSLKVVQDLKYPFQVQKELVEFNNRDHWSVSSFLQPNSGFQSLKDEVEF ENRPSVVQLFKGLTEQIGQARQPAQSINEQRISQYTININRAPNVKLPLKKSESKQEMYF EYQQRESKYSNGTQFLKVPLMKNVSQLNYQSVQSSYEDNLSDVENKNNADQERDTLHDIR LATEENSIIEKGKKKESLERQWIKELKQENKKYKKQIYKRYEVYRVQKTTE >CAK79881 pep:novel supercontig:GCA_000165425.1:CT868341:451698:452599:-1 gene:GSPATT00014813001 transcript:CAK79881 MKKLVPLALFTSYNKGFIKNVKLQILNGAQDDYQCFQVEKGHYLNQFLNNIEQFTANVIE TLKDCKSKQMKAIWIQLDQTQLALAEKLIEQGFQMHHCTENYLLFSQWIIENEKSRLPNY TTHSIGAGGLIVNNNQILLVQEKNGKKEGLWGIPGGLVDDGELVAEAATREVKEETGLEV EPYDCFFFRDLPIANDYQGDIYFVIFMRLKNQQQNVQIQEQEIKNYKWVEINKLQEFLLQ NKFGITQQKLMESIIQYSNSNRFGTQLFTMDMKPRVMYGKEKKYCLFKPNL >CAK79882 pep:novel supercontig:GCA_000165425.1:CT868341:453372:453849:1 gene:GSPATT00014814001 transcript:CAK79882 MRKDGLNISEVASDLILAYKQLTSQQADIVISPKYEKTALYFPQSRKLLNLNFSAIEEQI EEENQQDSPRKIVIENEDDYLKEISELRKIVEQMRMKYMNIPEKYSSQPFQSTNQNNHNY TSISPKKNTKKQIVITKYQTDFLRQQYGKIQ >CAK79883 pep:novel supercontig:GCA_000165425.1:CT868341:455749:456506:1 gene:GSPATT00014815001 transcript:CAK79883 MSIQLYFNPISQPSRAVHAILVLGKIPHDLHVIDLMKQEQNTPEYRKISPTGNVPSIVDG DVVLFESHAILKYLAKKFKLENLYPSDIVEQARLDQYLDWHHTGTRNVTITARDFVFFPV LFGKPAPENKEERLKELEWYLKTFEEVFLGNGKHQYILGFAEPTIADLSAICELASLFLL NIDLAPLPHLHKYIKHILSIPEVKQVHEAAFGFTQKFTQNLRQEYVDIIQ >CAK79884 pep:novel supercontig:GCA_000165425.1:CT868341:456702:457052:-1 gene:GSPATT00014816001 transcript:CAK79884 MGKLHGTLAKAGKVRKQTPKIEKQVRRHKIPKGRAYKRICFNRRFGSAATSAQGPQQKRK GPNWHAGRKDLIEEERKKQVEQRRQRKKQDNK >CAK79885 pep:novel supercontig:GCA_000165425.1:CT868341:457129:458293:-1 gene:GSPATT00014817001 transcript:CAK79885 MNEQFLNCRLCSIEFDLVIHLPRLLNECGHTVCEKCIKQQEGHFRCPADETLYEYSIDGF PINTTLFKLIQLKQKPKLNDLFETPQLSLYKNNSSSDSTISKNKFQDSQLTPISLQNTIS ESQKQRDEILSKIENKFNDLITRLEVRKMELFSQIDMKYEQSPFCLLQIDFQFQMDVLVP RYGILREEVYNDIQLSNSQQKEQFLTFSNKDSIDTNRQRSPQKKIFEELKQIQIRPALSQ EKMNELKKIIKAMNRSENLETINLKARTLTDDDIKIFSEALSRTISNIRALVLSRNEITD QGFQYLIDALWTNNTIKILKLKNNKLTNESLKYLIEIYEDQKYNSLQQIYMQGNQINNDD QVQALTKLGLLIYI >CAK79886 pep:novel supercontig:GCA_000165425.1:CT868341:458470:459373:1 gene:GSPATT00014818001 transcript:CAK79886 MNDERIQFLEWKNEKAILQQKIQIQEMFIQEAKEREENLRKMNEIFINTLNQQQNENVVQ KFQKTYEQVEIEKKQDIINAQQIQIKLFEGKLAEKEHQINQIQKSYEKEIEKLQKRIVEL EYLSCNKENINYQNIIEYQVNQQYDDEKALQQRKRNQSPSHPTTRIRVSQQQQQLQQISN NKFDESTCTNQDSTDISGFLNRINPNLQRQMKDIQNKLYNTKKKLTTTTEQETSFIRQSI QKIKNRSRNHSISINENPYCEQRSTWDDQVEYRTNQTNQTNQSLMCNGFRFS >CAK79887 pep:novel supercontig:GCA_000165425.1:CT868341:459490:461154:1 gene:GSPATT00014819001 transcript:CAK79887 MYQTETKSSLYASKLIQQTNYSALSSLPKTYIRQVQAEGEIFMAAPQKCANIWKEFRRND FTQVQIPYKTALQVFDACKEDFQMMLKISNGKDFFDLFDQDNDGYLNEDEQILVFSIIKE KMQLVANLLLQIQQYVPFKQLMKAIRTLEQNICEYQDILRQKIYKQEVATYKEIGLEKLD DFYEKYYNYFQQFENQKKIRIQMQIQKQQEEMGQLEDKLSKNTEFLKVKPKRKLKDLQTQ EKLVSLDERVEEAMDFRRELKNMEKAEQERVASEQENRIESQKDELQRKHQKQMEQLKAK LIEQEHKLIIQLKKEYNVLLKQIGLHSNEIERIQSSATQHAIRKGEKEGELKRMKERARI QNYIIGDTKRTMTPKIQQLNSSTYEDTVSSSPRSPAVHNKYDRAAHDIKVLIHKQNYTSF YIKKKYGADLPVNYKPEPYKLQGDNHDRIEKILSVKKKNPHDVLPSLTQQYDENLKELDK GSTQKSEQELIQERLKKKQFILEKLEESTH >CAK79888 pep:novel supercontig:GCA_000165425.1:CT868341:461621:463316:-1 gene:GSPATT00014820001 transcript:CAK79888 MPSQIDVFQLTYSSTDDEIQLCVEWIKAQLKAKQTVTLLVKKCQRQELIPQVTVDIVTMI QQRIKQLSQDPQTRLSEVQELLKIYNQKGVKNQTILIQIYVKNCNQQQIELANAKQPEAQ DKAIELLCKVEEKIRKQFTTQFSQFLKEKIKQLMGNGQQEANQQNYKEALALFQKAQELN NYYEEKDTTIQLNIQLGNAYHSLKQYDDALNVWEKSIKYIQSQSQQQQFQNELIYMYNTV GQIYYDKKQNNKATQYWDSAISILEQQNEQEKLEQQSKQLLGHLLNNVGMIYYQKGEVKR AIQNFQKTIEVYESIYGKGHISVGNRLNNLGEAYRSDKQYDKALEMFNKALRIKKAELQV QPSKSLASTINNIGMTLQNKQSFEEAIDYFKQALQMYNEPGVFSDPNAGDAALQKSNTMH SIAECYRELHNSELCIQYFRDAYKYKAQELKIFHKSTQHTAIQLASALFQQNRFEESLKI FEVVLDGQKQVYGQDSQIVAQTINNIGTVLSELKQYQKALEKVQEAIRIFEKKLDKSDPY LQKAYENMKNIKQKLLE >CAK79889 pep:novel supercontig:GCA_000165425.1:CT868341:463688:464251:1 gene:GSPATT00014821001 transcript:CAK79889 MKILLILILIDIFELTSLPAWDANAKFSQNDLHILEERSIIRGYYKDVASQSNGAIISSA RANIFARPSIDNSPLRNSIYFTDAVAPGHVYFALHQSLIVEFLQAYEINKIRYWMVDVDA RVTTMQIYIVGSDRQTETLILDDFVQPGVHTLKFPDQLVSKIRFYNKGGNTINSFMALIK IQAYYAF >CAK79890 pep:novel supercontig:GCA_000165425.1:CT868341:464741:465916:-1 gene:GSPATT00014822001 transcript:CAK79890 MTTISIKIKHGTDQIEVIEIDPNATVKELKEKLAPKFTAEISQMKIIFQGKILKDNDVLQ NVNVKTDSTMHLVVTKQQAQQPPQQQPPGPQQQQPQQPLGGQQGGFPGLGGLGGAPGMGG MGGLGGMGGLGGMGGLGGMGGLGAMGGLGMDPQMMAQVLSNPMYQQQMTQMMQNPQFRQQ MMQHPMIAQMMQANPQLQQIFDNPQLLQMMMNPQAIQMALSGMGGMGGFGGMGGFGGMGG FGGVGENPIPPNPNNAQVPPPVQGTTGQNAQNPLGGIDLQSLMNMMGGGVGGVGGAQLTP EAAEQKYAAQLQQLQELGFTNKQVNLEALIATGGNVEAAVDRILNMIGP >CAK79891 pep:novel supercontig:GCA_000165425.1:CT868341:466331:466855:1 gene:GSPATT00014823001 transcript:CAK79891 MENMRHQMNEAFNINLNFGSDLFQLNLQTNGNQRQHIQIRTLGPEIQVQQFFNMPTFQFI YDDDDNSDQEYQSYPSQEQEVQQIRQAQPMSLSEIKGIPTQKYIPNKKNKNCVICMIDFK KSNNVKILHCLHQFHAKCINQWLKQKGECPVCRHQLK >CAK79892 pep:novel supercontig:GCA_000165425.1:CT868341:466882:468928:-1 gene:GSPATT00014824001 transcript:CAK79892 MDIGYDEFICETKFISVPTFETAIHDPQYKLLLMQKHCGSLVHLKNCIIVGVDKPKNYLF LQEFQCKCSDKISIKGTHLIQRLQNQTNKENVCEECNGVRHEIEKKYKLCQKLQLYVSDL QPLNRKYNNLRQNLNNKYKHSFQLLDIYVEGNNVNKFNVGNQIYSLAYYTFNLSDQYRQN NTFILANEYCYAVTIDQYTAVQNKKYFQKLKLNYKFKQSQQIDTDENYNEDIKLFLDKSK RHYNYGKDNKNYIYEILNTIYYIIISFDYQQLKQHTLSNSLIMLKLSLLCSIFLENSSSL QYYLSPNLCQKSNLLIQRLEEEQIQRHLHIAIIYEDYEIIIDLIQNMIQNLENCLVLPDC DEETFDYLIMSVAKNGILILKRMPTKGVQEKIKQILKGSPIIIMKDKKIEINCSIWCLFD IQDLKVKKSDFTYLNEKFPHATDSFDIVLDLAYSNLNYKQVRFNLIADYCEYLFKSSQTD TSNPFQTTIKKQPTADRSIHAPSSCYLLQKRTADKIYNSDLETWINKLLTIDSSSLELLK KFYLKLRQNYYCTQHTLDSLLKISSAISMMRYFAYSQIDDNDGLYQYMITQMSTLPLTYS DAFLAILFVIETRINSLGPVGALFGSDTTNYLLNCLNPEFAFAKINLHQDDNETKDNMFE NFVYIYEKLINFIY >CAK79893 pep:novel supercontig:GCA_000165425.1:CT868341:470151:470453:-1 gene:GSPATT00014825001 transcript:CAK79893 MIGLPPSTSNKVIDFLIHLRKNHRLTTEIRMLLCNGGNFCATHQKRAHYQHVLDEHLQIS EDFLFDYLSGKIRSKKARKEIRNKLLDLTKIEVKKIDMKQ >CAK79894 pep:novel supercontig:GCA_000165425.1:CT868341:470941:471507:-1 gene:GSPATT00014826001 transcript:CAK79894 MKILLLFALLDIFGLISSPAWDANAKFSQNDLYILREKSILRGHYKDVASESNGALLSSA RSNSFYRPNIDNSPLRNSLYFSDTDATAHTFIGLQQSIIVEFLQAYEINTIRFWMLDHDI RRVAKMQIFLIGVNKQIESLIYEGDVQPGVRSLKFPDQLVSKVKFYNNGGNIIDIYMSMI KIQAYYAF >CAK79895 pep:novel supercontig:GCA_000165425.1:CT868341:473369:475797:-1 gene:GSPATT00014827001 transcript:CAK79895 MKEIESKYWDDQSKRFLKIKLHTLFNSENKIICSKDGQILRMILFLMGKILQIQIKLNTL DGLENVEKKMIKQAIGRQFGKKKFCPMLEVITPKMDKNKVFGKIQQQRIGVNPRYMRLEN IRMVSKQEGGNSFMTVKRCNEIKEIFRGGGSYNFQGQKGGKWFELSDNFWNKSQLMYYGE YFNGKKVGLWDILYKSKNDNNLFKIVGCGTYVQEKQNESIQINIKQGKWIEQNDQFWEYN SFQNLVKVKLFTLVFTKMEEKLVIGIFYTNPINYIQIMYKCKRISQLFKSRGGGQYELKE GDNGFYDQMKSGSWIELNDGFGELRQIIHTGFYKNGKKDGYWDVLFKSIETNQFKKIGGG QYMNEGQKDSVKVGDWIEQCSKFNLFNQLTYNGKYRDGKKVDRWDAFFKQQGENNKFNLI GGGVYSSTIVNDSTVKNGRWIEMNDTLWEQSLVTLEGEYQNGIKVGIWKSYFKDVIAQKK EQMQDGYLLCFLTRGGGEYIIERDGDSQKTGRWIELSSGFRWSDQVTFNGQYLNGKKFGK WDMFNKNGGLQKSQFECIGGGSYDIKQINSVLSISIKSGYWIEFEDKFWHQSEILFVGEY INGLKVGEWKIQYKDVLTKKISIIGGGSYKIIQQNNGFEISIKSGVWIELAQEFTYNNQK VYLGEYINGKKTGKWDKMDIKGNGVRKMQNQS >CAK79896 pep:novel supercontig:GCA_000165425.1:CT868341:476391:482647:-1 gene:GSPATT00014828001 transcript:CAK79896 MERCNQIRQTVQKIDYDIKLNEEKEENKIEKINVNASQVEVLDFKSDEKFSFAIWIFTLT VKLQQKLLKEEKQILTKILEEIQQYSCRLCQFQKYKAETQAKLQNNFNVYLLQFITNYEN LQWIGQDLQKENENSRKYFDRLKISLDKVKNENEKICDENNSNYQISIYDFLNELKGFSQ EQSFEKGLINEKITFEQELFIQKFAKIYQLDNQDKEMDDELNQQQGFFETMGQKYQDFKN NDEWKIKQGLIFTIIQISSNCFSDTIIEFCQQALIQIWTLEKDQRVRNLLKNQDLIAKQV QILQKDWRTQQDRISSQMQQMLRKIDDLQEQIFHEANLTKRDQQLIELDETTQQLDEYIE NISEMGQQLKLITDFVNHIRKGLNRVEAKINQMKDQLKSMSDDIKSLRGKSVQQLLEIRK WKVLKEAAQKNVKTIYVPLKSQDKTKRNTNNLMNLEQFDDLSGEVNKFLLKENETVLLIH GVAGSGKSTVAKKIEEFVWKLNESNIKVNEYVLVPIYISLPSVKNPAFQIIEEALRQDEY GFDDLQLKECKELLEIRKFRLLILMDSYDEMKLENIKKNIYIINKLYSNWSNPLVIFTTR SEILTSSNYSDWFAPEDKAKLKEIQILKFDQIQKKEYIKQFTYLSIKVLIFEIYEWQTKM LNQISMDLQKFELFWHKFQTEFLKQSPLKTSAEILLQKKQIEEIILFLKNDQLISLQSNE ALRRLDINLQKLWSVEKYEAMIKMINLDKLIDTPYMMEIIVQVLPQMLAKVTEILKLKQN FIKNLSKKIQELLMSLYLIKLYQNQNLKSSNLLKNAQDEQTPDTLKFENHQQDQYNKQDI EVLTSIDYIQLSIEIWNILEQNQFFSQFQLSEINELNQKLNYIFDFNNIKNILFQFEVIK KVPISNERIAKLICDSLREQNLTSYDFYEEFISQYHFRQIEKQRNLGKSINTDRFLYDLQ KYSIKLAKQMSIKEVTQVQYKQQGFLYKDETDENKWLNEFFNDDGQDGHLKKDIRSCSFV KQKGGNFQFVHKSISEFMIASDIFEVLIATKDIEKSCLERCIKILETEQVKDCVLFLEKH VSQKHYEMCIQNNNLSLYEKQKQLDVIESNFKKITTILRIIKQHDLSSVNYSTQSHRETR KFLIQKIQKEETIIQFLQFIVHLTALDDGFIQSGSNCINFLVEMKIDLTRQSFRNIKIKN TTLYASNFAFSDLSGSEFENVNIDGINLNGTLLYNCKWNNLQIYELHKIIGHKGSVYSIC FTSDGKFLASASEDKSIILWDVKLGQDMKKLKGHTEKVSTLCIAPDDSILASGSFDRSIR LWNIETGQQRFLLEGHNDFVQSLCFSPDGATLASGSYDCSLRLWDVKSGLEKLKLDGHKL GVYSVCFSPDGNTLASGSGDKVIRLWSLKTGLEKKKLEGHSGCIQSVKFSPDGATLASGS EDKSIRIWDIRLGQVKQIFEGHQNWIRSICFSPDGNILASGSQDKSIRIWDLRSGQERKR LEGHRSWISTVCFSPDGTTLASGGGDQLICLWDVRSDKNNQKQQGKINWVFSVCFSPDGT ILASGNGDNSIRLWDAKSGQEKNNLEGHRSWVYSICFSPDGTLLASGSDDKSIRLWDVES GQQKNLLELHTQEIYSICFSPDGNTLASGGEDKSILLWDLKLWKQKIKLEGINGSVLSVC FSPDGLILASGCGDNSILLWDMDSGQQKLKLEGHNERVYSVCFSSFGDILASSSHDQSIR LWRVASGEEIKKIEGNSRSVCFSPDGTLLAFASWSYSISIWDLNLMQELYILEGHNDSVS QINFSPDSNLLVSSSYDKSIRLWDVSQKQDKKLQLRAISACLSPDGTTLATGCLDKLIRL WDLKSGDQKMKLIGHNQRVESVTFSPDGAILASGSFDASIYLWDTKSGNLKIRINGHSKS VLSLQFSPKGTILASGSLDGSLRLWDVNSGSEKLKLRGLTNQVQILCFSSDGTVVAQGAL DKSINMWDINLEQQLSPSDSGYQEIFYQLQPNIEENLLQKKKFYDCLTVLRISQYEHCEA KGTQILQGQFINHFKMDLRRLFQQKGSIILEEQRINI >CAK78570 pep:novel supercontig:GCA_000165425.1:CT868314:70:799:1 gene:GSPATT00039302001 transcript:CAK78570 MKNQRYDQVRKFQSDQILIDLSILESDIESHLIQFEQIRKWEDRILKYEDKIRQCQLDLQ QTINNQRNTVAKLLFGSKDKEILRLQNQIEQYSKTIQELKVLINITMGQVCLFEIPDFVK EKEQNLFKLIKLIGQLEMEQVGIIGEYWQNILDSRLISQIEQQQVYDSVIITDQKQQDQG QQQKVEEKSENQENKENKSMVISQEQEQQSEQN >CAK78571 pep:novel supercontig:GCA_000165425.1:CT868314:815:1491:1 gene:GSPATT00039303001 transcript:CAK78571 MSRSQQKQQQAAQTTQQANKSQVQAPAATRGKSPATAASQQPVQPAASGFDPSKYVKPGL SKDEVLKIKECFDIFDDDKSGSISPNEMKNAIIALGMEQSAEEIVNMIQDLDQDGSSLID FEEFLNIFGFSGTIEDEQVLEKLYQEFDSSGQSKVTYEDFKRINDLVSERYTDQELREMV EYADKDKDGSLSWDEFKAVVQKEYPNQA >CAK78572 pep:novel supercontig:GCA_000165425.1:CT868314:1519:2799:-1 gene:GSPATT00039304001 transcript:CAK78572 MKRRHMHVEFNSPKHEEPSSPSIQQSENDEDSTFPLTKTIKNMLYVYGDQRKSEVHNLAH LQIQELISDIFSPLILHALYSPAPKPWEQMGSDIKFEFPQPLTKNKRILQNYLNCVFKEF VSLFQKLSRISKRLKKHASEVNQDNNVDYEQTLRNKAQKEKILIYEEQYSQQEDSVSNSS IISESPHEIWEGYMKARVEKMPSQEFVKFMELRSQTFIHHKKFKQFIDPHQYGQNFIECI NLKFLNYCLCRVIKRIIQKVMQSEQPQSQVFQLSEDHLKKYGYQIIETYKIRAKKYALQD QKLNQMAYKLFLQEFCTQGYDAYFQSKWVLKKSGQLSSNQEVRAQEEWEKMGSIKQKWID RVKAEKQVRQKLVKQKEVIPNTPYSRILLQRVGNKSYEQAKSEFSTIFKEWFIHLETITE IDSIYD >CAK78573 pep:novel supercontig:GCA_000165425.1:CT868314:3196:4598:1 gene:GSPATT00039305001 transcript:CAK78573 MYRFDNALFTFPVTRKHFFSDKVYQLYLFNDEFIMTDNQAKKAKYEIKLNMTTTLNWIVS DKKIQAFEISYNNKMKAFNASPNKLQLLREMIAGRVFYSGIQTFYEIQMRVGYGMIGEVY RAVSQNGDYVAIKKCDKQKLASLQGGIPQLIQELQLLQQLSHINILKLKEVYSDQQFYYI VTEFVDGRTLHTELLSRPAGLSIIQTLKVMFQILSALIYIHSKGIMHRDINPHNIMISEN IKLIDFGLARKIKNQLLFPTAGTPGYIAPEIINYKQEKPYDEKADIYSLGCMLYKMLSGE NVFHRQKNIFQENKEGIFELRKNPQHPECSSNKMDQLFVLLAYMLENDPNERPNAQFCKF LLKEIENNNQQIDKLIRKQQIMRPIFVATDETPLERTPLSFTSNIKGKSSDTLMKSDDIT TQGHKKLSKIAIKNV >CAK78574 pep:novel supercontig:GCA_000165425.1:CT868314:4600:5773:-1 gene:GSPATT00039306001 transcript:CAK78574 GKGFIEVETPSLNVIQGGATAKPFKTFHNSLHRDLFMRVAPELYLKMLIVGGLDRVYEIG KNFRNEGIDQTHNPEFTAMEFYWAYCDYNDLMTVTEEVLSQIVLKLKGSYKFKIHKGDNP TITLTEHDIKSGHFKEKEEDFLELDFTPPWPRVSMMAELEKKLGEKLPEVLESEEANAFF NAQAKKHKVECSNPRTTARLIDKLVGHFLEVNFKNPTFLIDHPQLMSPLSKVHRQYPGLT ERFELFVNYHELCNAYTELNDPFVQKALFQKQVEDAAKGDDEAMGYDEGFIKSLEHALPP TAGWGLGIDRFVMLLTDTQNIQEVLLFPAMKPEMTIEEMQKIQKEKEEQKQKQQQQQQQQ QLQQQQ >CAK69304 pep:novel supercontig:GCA_000165425.1:CT868067:160:2475:-1 gene:GSPATT00037743001 transcript:CAK69304 MKQIRMGNKVLSRKIESNLQPKASKQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTG KLERKIVRKPLNNEEIDNLQMGQNLEEDLGNGKQVISRKIVQNDQSNQALKQQGWIKNNE GNMEIKFQPEPVKYVEEEILVQGEDGVVQRKLIRRPFLPQDNKLQTGAVIEQDLNGNKVV SRKIVSNNKPVDSTWVQLNNGQLEKIVSQEEPEAYIEEEIIIINPKTQKQERKINQKTFD SIRKQVKLRENLQEGDGTRKVVARRIVRHWQSKQGQMEIQLAQNEPTKYVEEEVLCYGEN GIQRKLVRRPLTKENQQMGNNLNEVDKDGNRILSRKIVDNSQSFNQVQKDWQKGQNGTLE KIISQEEPEQYIEEEIIIINPKTGKQERKLVRRPYENDEEIGDQLNESIGNNQKVVARRI VQNDSSLNEWKKNQKTGQLEKPNILRKKVLVMNEETGKMERKLVRKQYQPGLNVGNNIQE NDSNGNKILARRIVENTSSTQQVEKEGWKNNQGNYEKTLSIHEPTKFIEEEVIIMRQGGK QERKIIRRPLDEDENIEEGEQLLEDLGEGYYVVSRKIVDNDLSLTSSKWKKNNDGNYEQS LGVEPSQYITEEVLQVNNETGMMERKLVRKPYLGGKVQEGDSLNEVDKNGNKVLSRKIES NLQPKASKQQWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKIKQIIYRWDKTQ KKIQEMVNKQFQGKLFKMINQIKP >CAK69305 pep:novel supercontig:GCA_000165425.1:CT868067:2550:3560:-1 gene:GSPATT00037744001 transcript:CAK69305 MLKKRYQFKGEDGVVQRKLILRFRLPQDRKSQTGAVIEQDLNGNKVVSRKIVSNNKPVDS TWVQLNNGQLEKIVSQEEPEAYIEEEIIIINPKTGKQERKLVRRPYENDEEIGDQLNESI GNNQKVVARRIVQNDSSLNEWKKNQKTGQLEKIIVQNEPAKYIEEEVLVMNEETGKMERK LIRKQYQPGLNVGNNIQENDSYGNKILARRIVENTSSTQQVEKEGWKSNQGNYEKTLSIH EPTKFIEEEVIIMRQGGKQERKIIRRPLDEDENIEEGEQLLEDLGEGYYVVSRKIVDNDL SLTSTKWKKNNDGNYEQSLGVEPSQYITEEVLIGQQ >CAK69306 pep:novel supercontig:GCA_000165425.1:CT868067:3691:4444:-1 gene:GSPATT00037745001 transcript:CAK69306 MEIKFLQEELLKILHQLSKLRRKGWKSNQGNYEKTLSIHEPTKFIEEEVIIMRQGGKQER KIIRRPLDEDENIEEGEQLLEDLGEERLVDNDLSLTSSKWKKNNDGNYEQSLGVEPSQYI TEEVLQVNNETGMMERKLVRKPYLGGKVQEGDSLNEVDKNGNKVLSRKIESNLQPKASKQ QWQNVGKQEEIILNSEEPEQFIEEEIIQINPKTGKLERKIVRKPLNNEEIDNLQIGQNLE EDLG >CAK69307 pep:novel supercontig:GCA_000165425.1:CT868067:4649:8010:-1 gene:GSPATT00037746001 transcript:CAK69307 MNDILYIYDDEQNTYKPFNVLGQFGKVRQICKGFSPNSFQILSETGCLYYISYELSFYQL PFKNEKIKYITTNKNVTLALSYSGQVYSFGEDKNHYGTLGISKIYFLPIPSRIGKDFKQV ALSSHLGCGLDYSGKLWTWGQTPIGNSEIPYIVGPLTIKINKICAGKDYILLIDGSSNIF LLSDSFKSSFYSNQKWMLQQFKSYTNEHLVISEILPNDRYSFLLTSLDKNELYLLVLSEL VIKKLDIRLKIHNVSQNDTHLFCLTELQQFIKIDKANLFQNEQIEMKALYEQIRYENTEK AMHTAISPQNIMKFTLNSIQAFVVDKERFIDGAQKKGISSAIQNYSQQYSLSEAHKQETQ LKLSIINHQVNRTPSKVVIPQQKSRLYYSPQPIVLKSNKSAITPLKQSALLPKSFLSRTP NMQQPLARSFVTYTESRTKSPVKKANLMRLLIQDVQLTINSAYNYPQIAFEFRERIYSNR SSSAQDIRQMIKEINPRANSSEKDKIQEFIHKERTYDQELNKQMETVRSQLEGLKRLSNQ SDDIFEDATSKKRQSIADDLSVGDFGTQDKEFNQFQSRILGTKKQLSNRQNVSPIIQSPG VQRFDESLKIFEEEILIRNKQKQDRVLLREIIFSDSPAYVNDENLYAVRAKDQILIASRV INEVIDQSWELTSNNKYKKVISKNEPIQYLEEDIKIHEGNCITRKQIRKAPEMLTLGEGI NEEQQNGEVVESRRLVENNESLTQMRADGWNLENMQRLERILGKEQIQIQQIENSQKVFV KKITPQQMQNKGKDKEQKSDPVKYVEEEILRYNKDTGKMERMLIRKPYKEDMNEENEQYN QNEIKVISRRIIDDSQTKQFMLKNGWQLNQEGLFQKLISESDPEQFVEEEILIYNPVTKK QDRKLVRRPFFDMPLQEISEELDEDGDVGVKIIARRIIEKDKNIQQGQEWLKNQEGNFEL QIDSMQYVEEEVLVKDNNGKITRKLIRRPIEQCKGKVGNNLKEVDKNGNVVISRKVIEQI GNLKNWEQNGKNFEMVLSEEPEQYVEEEIIIINPKTGKQERKLVRRPYENDEEIGDQLNE SIGNNQKSCGSKNCTK >CAK69308 pep:novel supercontig:GCA_000165425.1:CT868067:8034:10455:1 gene:GSPATT00037747001 transcript:CAK69308 MAKLEKAANDLDFYKNRDETHPQNLNYEPPVEDFYGPVATELRFCTDLICIFIWIIFLGF SFAYGFYAYQEFKIERLYRSSDFRTDLCGIKQLEKKPYAYFLDPDNLFDIAICVEKCPNT IDYTQQICLYDTDGQTILEDYCYDTYYTDPYLVYCMPMELGQRRDAIEKIINTDMEIFKR IAGDFWINIELIVGAFAVQIVVGIIFVIILLGKRIAHMMLWGFVTGFCVAMVFLSKYLDE ERYKVIQRDCPVDIKDPYQCVAAHLYLLEIAKQALLYLIPVYVFFLIYCFHQILRMIQVM ERMLKPLRQMLHVLLIPFFISLLQAALAILFILVLMGGINSGAPETVSAPTGFSSTSYRK LVFQVWQERGFYVVLFFMLWTLQALYYYVRCYIACCCSVWYFSRDKNYLNAPMLRPLWYT IRYHMGSFLMGALLIPLFWIPNALFYYWKSMLVQMSRKSFLLHILLFFSIPGFWFYEYAG KYISHRNFMHIAIFGESFWIAGQKTFYLRERNSSRVEMIKKTLGFVRLIGMLFISSVGTY LVYYQLYTNQIIDRFVIDVKQAVHFPIVPAIYVFLVGLYISQNFSSIIDIVAEIMMFDLI AEEEMFMGKQRFADQDMLEFANKYSKEKQKSDFVVTNQGRINPMNEGDDANKALGKFDLG NLMEQDEEEPEELDPEPEPPVEEPKEKSIKSEHDDDFGRGNELDLDELGDMNNKNNDDQF DDELAEFNKQRAQDSNNKRKLEEDVINLDQNKQQADGAKKTDRRRF >CAK69309 pep:novel supercontig:GCA_000165425.1:CT868067:10476:11437:1 gene:GSPATT00037748001 transcript:CAK69309 MGSQSSKLVTETQRHEHAINYMNSGGSMSDKSAKSIKDIEQTQQEFVNTQFKWNFGGQKV FVAGTFSQWKTTHQLQRDKGGEFSIVIPLPKGIHHYKFIVDGDWRFSPDDPTTADEHGNI NNVIDTTKVENKAKEFMDSSQQFKPEKSPTDSVIQNQKQVIQDFNFNDKAPPVPPHLLKY YYIEEKEKKLNNMWNKDIRPQGQMELEDAKPQISQQEIFDHLIQIFSNVNSLSPPPHVNL NHLACLTTNKNSPFSVYALTHRFKAKHTTIKFYTHKYQENKQQLFVV >CAK69310 pep:novel supercontig:GCA_000165425.1:CT868067:12501:13316:1 gene:GSPATT00037749001 transcript:CAK69310 MNLYKLNGQIYGLSKADLNDSNLTKETYTINENIKEIQVLHYKGACKIMKIFTCNHESFN FGECQEGNNILQEKSFSFQENKIFKSIYFDQGESNQLTGFLELNKMFTLDSIQQQGRITD EFNQDHELHDINILTNQGSIVGGQGIYRKTINSEIELVEGKPFINTANLNSELNDCAYEE FRVLYEFEVYQNKECLTIDKITLKYQIINKINQSKSKLQIEIGKLKEKNYSILKHQVQKG KLLSFTYSHINEQNYLTNIELV >CAK69311 pep:novel supercontig:GCA_000165425.1:CT868067:13395:13711:1 gene:GSPATT00037750001 transcript:CAK69311 MSTVQEKFDLVQKFIKSGNTGGKELDNSQKLQLYALFKQISEGPCKGSAPSKLKVVERAK YDAWKKLGNISKEKAQELYIKVIEGVWPKWNEKAKL >CAK69312 pep:novel supercontig:GCA_000165425.1:CT868067:14137:14661:-1 gene:GSPATT00037751001 transcript:CAK69312 MIRLAQSKSVARFSGALWGPIHERPIVDRVMSTSQWPVPYYQRIFKAYPVRQNKQTWAMN LAGAEIHDINWYCAKQALSRTLKGRQAVEYVENNIPTQSYIVIQKDVSRMAKAYVSDLSL FLSVANKESKVILDSVELI >CAK69313 pep:novel supercontig:GCA_000165425.1:CT868067:15000:15755:1 gene:GSPATT00037752001 transcript:CAK69313 MMLQTKKSNVKITVDQKFETCIQKNVTDIRSDQGSSQKIPGNSYKVEKPSHSEAKTKQSE PIQKQIPSLINQRKISDNQHPLKPTEVTKCYVDNSQLIQQLIQENIKLKKQNLAKDSIIN QLITNNDISKVNQLRSSTSQTERLCNKQKNQQNKQKNSHKSMEELSSLGFTFCNTEQKNN QLDSGQVSIKSSKSPNKLPKEFLIIPSQKKYFV >CAK69314 pep:novel supercontig:GCA_000165425.1:CT868067:15762:17009:1 gene:GSPATT00037753001 transcript:CAK69314 MGSCCANTTQDKESFTASPAPIQISNENLEVREPTKIKESNETPSSPIRSEKIDEENYGS IKSYRGVLIENTNIFDEQSSLALTIFNSLGPFPFPIIKDQTLTYAGPVQIEAGSFYMGQW LDGKRHGFGKQLYPFGSIYEGEWYNDQQQGYGRMVLPNGDYYEGEWRSDKAWGTGKYVTI DGTTYNGEWVDDKQHGKGVEEWKNGQKYEGNYLNGQKTGYGVFYWLDGSKYEGELLDGMP HGNGEYIWRDGKKYKGEWMFNQMHGDGIYVWPDGKIYKGNFEKDQREGYGELDWSDGRMY KGNWKNGKQHGEGAFIYKNKIRKGVWQNGQPTKWCQSEILSIQ >CAK69315 pep:novel supercontig:GCA_000165425.1:CT868067:17068:18357:1 gene:GSPATT00037754001 transcript:CAK69315 MGNCGCLKRNDKEFDDFDDKPIKQAPPAVKENTEQQKPTIQFSSHSVPQRQKIKETVNLR KSHTIEGEKKLNDYTLKQVLGQGTFGKVRLAEKNLQNYAIKILNKSRLKKQREYYTDSNG VVKIKNAFQNVAKEIAIMKKLRHPNIIRLYEIIDSPNSNKMYMVMEYAQNGQLIEWNEDL GQFILTHQDFKLTEDKLRIICRDIIKGIYQMHELGIVHRDLKPQNILFDQKFRAKICDFG VSQIINGDTEYFGTNGTYLFMAPECFSNGLFDRKAVDIWAFGISIYSFAFLTVPFLAVEI QDIMDLIEQNEIQYPNCRNEFKNFLQKCLNKDPTRRATIKELARDPWLNEGQQIRLQDEI DQKLMMIEVDETEIQEAYSLATFILIKNWVAKLKHQ >CAK69316 pep:novel supercontig:GCA_000165425.1:CT868067:18592:19494:1 gene:GSPATT00037755001 transcript:CAK69316 MNSRPQLYQAFQSPDDLVYSSYTSESMAMIKPSEQIKSLLHGRFQNYSKNQTEPEEYPDS LNQQCRTKPIAQSKEIQIQKSIQQLKQKLHQQQQEFYSKELLLQEQLLQAQLEHDKLMRL AKQKYELIIDSLKQQLKQKDEVIYDLNLKLRVSQSSQQKSDKQIIGKHSKKSSIMTGEEL DSEGACTQRTQNVPTRSSTSQQQNDDKLLSLYRHEIDKLKHQTFEFAQKFETEKRTIKDE ISLLKNQKLLLQTLLTTKQSPQSERKLTKSEAKTSCKTRKQENSSIRMPKNKTRQQLRFD >CAK69317 pep:novel supercontig:GCA_000165425.1:CT868067:19533:20726:-1 gene:GSPATT00037756001 transcript:CAK69317 MFQFKKIAKLPLILIQCECGREFLQEEMYCCLSCQNSMCRYCTSNEIFCYYCRNCGDVQI TSETSNLTVNCSSCFESPVCKNVLRRQIDTEKNYAFTCSYCFYTTRSIGVADPNFQQVYT KINNAYKQEYEAEYKDFQEQQNRIKCIENSNFLTKIQQKKQTCPKIIDIIMGKKRSLDSL KWLKKKTQKLFYKVELQDYNPISQNATLLPIPLGLLSRKNRKCRKCSKLILTLQPEKNSK KFAYSVQNLHYDHAPYLQIGKVSYVNKEKTELQVVIQMFNKSKNALEYSLQPLDSQTLEQ YKLLIVKNLPSPTFTFNNENIESDVQQYQKFEAILLIKDATKIQFAFSVTAKIKMPLDLQ QLNYNVNVYIGTL >CAK69318 pep:novel supercontig:GCA_000165425.1:CT868067:20726:21884:-1 gene:GSPATT00037757001 transcript:CAK69318 MKSIILIALIAVAFTARVQERNMAKIQAELAKSTYGSALLHLVELHSMAGGPVQELIDAI EELINDLEEELETLEFDFQVRTNEHNALVISLEQDIQDAVIDVNNTQDTLDNLLYPRRSQ IQSKIDTVIGYQEENRKLYDEAILVRGQEHDAFEAQVAELNDATSSVDDALSLLASLTNP SLLQIKRFQNTLKNIENKLRSRSRMAPMIKALISLASNQNFSNQDVLKSIVDALNDFRNA VVDQINELTAQEAQDVIDHEAYLEQLDDEFSEFGRQIDRATVDLTATNEKIDSMVEFRDQ RAADQKQYTAELELENNTYAEETDIYTNTKNEFTRELGVSEQALALVQSVDFSNIKV >CAK69319 pep:novel supercontig:GCA_000165425.1:CT868067:22827:23307:-1 gene:GSPATT00037758001 transcript:CAK69319 MQRKIGKLAYIGANKFIIAPKINKQFQVFKTMLMICGGTGITPAFSIIKYVCQNKDPLQM HLLYANKTSQDILLKDQLTKFQNECPNLKVTHILDKEPVYKGLQGYVTLDVLKQVFPSPN NDTIGTFCGPTAMNKVVAELYKNYSVKTVVKF >CAK69320 pep:novel supercontig:GCA_000165425.1:CT868067:23455:24364:-1 gene:GSPATT00037759001 transcript:CAK69320 MKRGLQYFFSKQRMEIFFLKDCVQGFQGEVRIVKPGFFEKYLFPRAIAYQNLPGYRRRLL PDLDEEKLENKIQRRKDIKLFQQKIAGIHLEFVRPPKFSNPSLLKDPIDDKLIIEEVQQR FKLQVTKNHLLSMVNKITTYGQFTLQIENFYSPELQDYTTFQITVVTKKPIMKVQKLIDE VATKDDQNKDENKDKSDQKVVKQGKEKEKEKEAQNSIDYDKTFETTLVKKDQITHDTYLF GFQSEVDIQLEPAEHFQILQIFIRLSKNERFRWD >CAK69321 pep:novel supercontig:GCA_000165425.1:CT868067:24410:25994:1 gene:GSPATT00037760001 transcript:CAK69321 MEGIITQFGILFLLIALFIFDGLFKLVPLYAIIAEVYSLYLDSQKIIPQQKELTKNQKLT WQELKKHDNQSSAYVAIKGKVYDVTSFLNSHPGGREFLLLNCGRDATLAFQSYHPFSDKP EKLLEKYLIGDLLTTEWPTFKPDSGFYKECTERVKKYFQSKGINPKTPIPGLVRAIPLWI CFFYTFYLTFVSDSLGLTKRILIGTIFGILQALNTLHLMHDASHGAVGNNEKWWWFFGRL TLDYISGSSMVAWQNQHVVGHHQYTNIMGSDPDIPQLKEGDVRRLVKEQIWSAMYKYQHL YMPFLYGLLSLRSRYYDVFEIFLKETDGPVKVNPISLQDKLRQASSKLLWLFWRYFLPVQ VFGMSQCQFWFLFIYVEFITGYWLAINFQVSHVSDEAEFFYNNIDKAVKNGTNEQWPIEW AVLQIKSSVDYSHGNWLMTYLCGALNYQVVHHLYPGVSQYLYPEIAPIVLEVCKKYNLKY NLLPGFKEAWNGHFNHLKNMGKQNKFVGFAKME >CAK69322 pep:novel supercontig:GCA_000165425.1:CT868067:26358:27910:-1 gene:GSPATT00037761001 transcript:CAK69322 MNQIEPSDNAPQERKVFRGVIKLYPDISHTNYFCSLCHYFVMTFIFVSVESLQPLLFNQR YGIHPKDAGMQNGYMLLIDIATKCIFAPIVGIMSDKVGRVPVLQVGIILTALSISSMGFA EDIYPQYCISRIQYAIGAITLATIPFLGDYVLDQTKGKASAINVILAAFGALFSATVITK LLTQSFSLKTTYIVVGCSFLCLGLLYTLGLKRGLHFKKERKTYKNAPLRDDLIERSICPY QNIKQIQDEDFDYIDYEKEVRALNQIKQESNCGAAMKIALLAGKNIWIFQGYVTNFLGRG DSILLTLSLQIWSQQFVEDTLNDDDAYKEASIQAQTLSGVTYAVIMVGAIFYGILFEKVS KNKLLFIMFSLTMIGCFLMNFAPNPKSPYTFIIMAILGSGMSGLYTGALYFVNKYAYTEF RGYISGLANVFSVLGILICSFVGGVLQDHWSKIAPFNLFGIMSLLGLILVIWSYYALYQS RSVK >CAK69323 pep:novel supercontig:GCA_000165425.1:CT868067:28125:29255:1 gene:GSPATT00037762001 transcript:CAK69323 MKARSGCSQFPTLFQISTYILFILNAVLIFIHTFALEENIVHYIIFSFLVWISIYFCIKT TISDPTDSFVIQQQNNRGEFFDYEDQQLNQFCELCFAYVKDTTKHCKSCDRCCEDFDHHC RWINNCIGGKNYKPFIGMIVSVFLLLLYSIVVNGRVINQYHEEELQTSTFYSKHAQLILI ITVIFLVLEIVGFVFLLQLIALHAYIYKKGMTTYDFIVSRRKKKVEPSNQSEINRDNNQE NKIKNIKDSKEQDNKPVIQKKEDGKQGSKTYTHPEIIKNEGSLCSSKKAKQTVLQKRKLT QDGSDEYQKESFVNFFTQRHQTNIEFQLQNRKTNNIEATTPELAKLQ >CAK69324 pep:novel supercontig:GCA_000165425.1:CT868067:29761:31229:1 gene:GSPATT00037763001 transcript:CAK69324 MSRYPQVFLDFQIGTQAAGRVIFDLFNDVTPKTAENFRGLCTGEYGNVGMAKKTKKLHYL NTNVFRIADNMLIQGGDIINNDGTGGASIYGQTFVDENFSRRHACAGLLSMANRGRNTNN SQFFITLKPCPHLDGKHVVFGQVIDGIEVIKRVGQVTVDMQDRPRIPVIIINCGEVSESK NWLICDPFKKEIMDEIHRDRLKALYGQEYLDELDKEEEQKLQALNPEKYKQQSLENEEES NKQLLVEQLLQKQTEKQEQEYDSQEKLHQFEGKNFMTQKHKERYLELTKKIQQSKVLNDK AVLNEERQNTDAQYDKNLRKGKYLKKKEAEKQELEFKQIDEDKDYLNRMTLKHDEEQEKK KEYFGWDVFNEDAVYNAYKKRCTTLAKNEGKYKQQMESNQEFLPTNEALERLSSDIANQQ ERRKEFSRRRRFNEDQPVTYINERNRIFNKKLERFFGDYAADIKANLERGTAS >CAK69325 pep:novel supercontig:GCA_000165425.1:CT868067:31660:33522:1 gene:GSPATT00037764001 transcript:CAK69325 MENRLEKNVNKLIERYKKTSQQLEQMIQTEQTISQPVTVYQTRSRQSRNNFNDEESSSRE KKNHSIEQISRLRETLNAKELQEKEKQDRLRILNKELKMTLKDYMQANRDLEIKVNQREK HIKSLEYELKSIQDSYSKVENNSKENRLKYEGQEKIIEQLQQQQYDSHQKLLLKKDKIQL LKEQLKQQETQFDEQGQNFAKELERVQRMCEDFSIEIKNQEAQNRELEEEKMQLLEETQQ KEAQIQYFDQLVQDFRLRDEDNQKSIDYLNKELQYHKAQTQQYIIKFEECQEQLKQVSEN TQEKLSNLEKESKKYQDQFQDLLNQKKQKSNQNKLKIEQLQQTILDLQRKIDNEEKNKEI QEYDFKNLQQFSNELKQLSDQQAQKLFNQEEKIQSLHLEISKQKQLSSNLLSQIKQMEQK SSQEQTMIEQLKDDNQNLSDSIVQLQNYIRNLEENHLQCKQQHEKQMDEIEKKIDDLVES GLNAQEQLQEYIQKENELKQKVKQSELETQNQQEKCQKYKQQLQSLRHTIKNLEDKFKQY DCQAQQIQIQSQKQVELQNQQKIKVLEDIQSLIKLHKKN >CAK69326 pep:novel supercontig:GCA_000165425.1:CT868067:33735:34617:1 gene:GSPATT00037765001 transcript:CAK69326 MNPQDHQNQIAQNFRPIQNYSFFYNTLHMPYQFSHPCAYNAPQGMFFQRQMPPVLMPDPQ HHQKQQQPKVVITISSDEEEVHPKPQEQAPKPVAKPPPQPKKQPKILDLDQLESQGRVYD YESSASPQLPRRVSKGLNFQKTMRQMHYENFQSPKQKKKPQKIRKEPTRIQPKIAKQVQI GRQRQLIVFPQSNVKTRLIRVYTKNEEKCTQYNIFETVQKLYNIILQNFPNVNDEDVVRI LNFSGKSYKKAINFVQENGFLVQYLIETYQNNILSSEEESKNKK >CAK69327 pep:novel supercontig:GCA_000165425.1:CT868067:34976:36415:-1 gene:GSPATT00037766001 transcript:CAK69327 MKTTLARDILKKQGNPMMLKLHAPKLTLLEMDSPPQGFYTKEFFEKNKNQIHIYESIEEN MKYHYLNPESIFDPQTQTDMFYPYNNNMKINRDLHLILAEAYFGSTKPKAAILGGQATSR LVRYIQDLNYDPVACDSKRNFIDFTNYILNLNGLSSPQLVQMDKYEYLTGKKFDFIEIEE IFRKPFALVVPALKAINDGGLLIYTIQNFSPNFMSIGKLAKSQIHFFPNDVHCNSEIILQ GSLAKFAQVAHDLGKHIEPLYTQATANQVGNVTLCMAVRNGKKDLNLFNAYCTTCGQFKS GCDQSKFCSFTGMGSLYAQNFDQNKQFLHKCLQINKYTNWGFLEQMLNQVYKIPSDSYPF YVNKYMQYESSFYFYEVLGNSEGYFVSKSPYHNMPTIYTNMPWNILMGKVHSFMIETNQQ PSAYIASFPKQPLVHNNIQPAVRIQYDEEIKLQPLPRTLKVKRQDDYGWQN >CAK69328 pep:novel supercontig:GCA_000165425.1:CT868067:37117:37587:1 gene:GSPATT00037767001 transcript:CAK69328 MKSKKHFGSSTTQFEMLMLKFNWSMKMNALQKIFIRRCRQPLYDLKNHGNEHPERIVKRH VRHLSDQVDDSMEQLKLLELLKHRRTPTDNFHKCNSSNLTRIYSNRNRDMNSSFFQSDEN NIINDQFLELFKDQKDQTYFGILSKFQIHISPKNSN >CAK69329 pep:novel supercontig:GCA_000165425.1:CT868067:37781:40242:-1 gene:GSPATT00037768001 transcript:CAK69329 MDKYQVSSTARFNYEKHEQNFRNSVYTGISFFPFILLLKLIFQHTFLTFATAFITSIFYY ENKYKWRYLIQIILCAASLGEIQIYCFQSHIFTFLINLILIPYWPFQVLFQLLTIRQPSH IYLFLFMITLLRAIEILKRYFYAKICRMNAIQQEYEGMILNLPHHILYLDENLNVLFSSK TTKLPSLSQQQVTDFIQKKHVGIAQFETSEQQLIEYQVKPWENNLLLIETYFQCHDDSFF TLMNEKIIKLHALLSQDYTKWNNLRAFRMIKESDLSTLGQCLSECLDLEHYIISQIISPS QDIKLFDIKIQLCNLIECTVLKLYEHFNKIDLTFENGIPELVAGDKTLLMFILQTLLFST RFCDKQKGELSIKCIVSQINQENSSYDLEFVLIFTCTPSVIKLYSQVFGLRDAKLNLHEQ FLIYCLQICKRLMKLNKNEFKFQTDGDNVIITFTFLSYIASNKQDHVSQFTDITFSRIVL NDYHYQWKEKVQILPTKFMLDSQIRKSLQTTTNTQTLPEQITSKIDINFPEIRDELILLL EITLEDARDKGIFDQIVIDQSENNDKFAISEYADSIVNSPPSFNTPQINPTKLQDLLKEK FQQRLSRAKKTKKKKKLVKNNYNVVFPRRNDSNCKSNSEPAMFNFNKDVQSSRDNRTNLK WTHRCNVIQPPKTVNEILCYVSNIKLQSDIITNFGNSTYDNFEIKNPIIVVDIVDVIRLY KEYLLAGKQFYFIMLFVKKQQEIADFTKIVQKNEQEFVQQNPKFQQTYLIGITESQLKPS LYAFFKCIIPFGQWNTDIKQIKALIANQRGIQ >CAK69330 pep:novel supercontig:GCA_000165425.1:CT868067:40262:42003:-1 gene:GSPATT00037769001 transcript:CAK69330 MAITDKLCFGFSTAALVIYIGLFGISSILGYGPVNQPISNGKQCNLIYFPDPKDTQTTLC LDECPKKGDTKLQCDNCSGNIANTDEYNHGCLPTLYSQLQLVLPALETSKLNTFTVSLIS NSEFVFTGLLLLLSVLYLNQRVFRQYFSLIIQFLTKFYPYCILWLAIIMANRLSNFHDME KLQRAGESRQLNQQSIEILVEAFNNKTTYTIILLLLIKLFIGSLISQFFTQNDKEGYRLK SYITLTIRKNLGQYTVRYNSVIQLLVVINFLVMYYSITAALSIGSIDTSKPVYSQYSTSI VGIIFAFLAFILFVYASRILRLYTDYFIYIFTLKQLLWEEEQSLQILKNSFEVFGTLSLL ALKQIINSPKSLGIKLMYLIKKPQIAQKWENDLSLLTSLTLGRQVFYLTQNQVNNQPIAS YQLQESIDNLNIQNLEIVYSLYQQAEAILRYSGWSLGCLVGLINSLFGCGFSTILLMIPL GCDLNYVISAQLIKGFLTFGLIDGDKDDDKLMNYYKTIILIEKEEQLSKKKQQQN >CAK69331 pep:novel supercontig:GCA_000165425.1:CT868067:42258:47428:-1 gene:GSPATT00037770001 transcript:CAK69331 MNNLENFKLSLQQKLENRLQFIIGFTQFCLMKENYFLWILAMIVSVLQKVAIIKLAPLLN DFNGTSGPQDLELLFRICVPTIYLPENLGPDYLIACQSVFIAFFSIPAILVGVSMSDEIT FFKQTKFKNKRQLNISQSDNLSDCIQIMHQIYNQNSYIEGFQHRLMSDQSNTSLQIIRLR QIAIFLNYFPHVFLIPILYFLLQINLVLDKADETQQIVGQILNVILAIETLIISSLSLVW CQVSFTLHESNFMRLRFSYLQWLHIGFSIVPILISYSYVRAGNIIQEDDFIYIVNLIFVI IAFLIDALDAYLTLPYVQKFKYSTNVFMRAIVLCLTLLFICRLTDQQIICLSFIIAPCLS RLFIVLQDSRIENVLTSTLKKEKSIQAFANLQQQQPQQTLHTHESEQGLRIESENIKYKD FQSNIIKQYDMSYFIHFIRAVQLMRLQEIGVLTRNSGVELKIERSKILMVCIILLRTHTE NCNNQYCFCRGLKGEKRKIIDKYEIVKEMRMYDDFKILNIQFYVELIMRYLRDYSKLVFQ TEIRKAHPNIIYLIQILVFLCNSDECYQAQLQILDLKHLLEKGSSLQQRLAFELLKSYSK YQILHRFKEDITRQSIELTLKYEDYRYTELERDRLLKQVFVNLSHKKQFLLKLNDETHSY ESLEELYLKLNTQNCKIQKELEYFNLYSPGRTSLYCYMLYCLEVTNNYDQFIKLCNLINT RESKFFEYPSFYYKTEEQSYKVGYMSLNLTQSHMKRGEIINFSKNFPSFVGYTSEEFRQQ VKSLDQLCYPSIIKAHDEMMEMFVITNRPMVFRQPLPFLTQQQTPGVLSYLEIFIDISFN FSSNVLPSFCFTKEIKQGIRQDGLSGFILLDSKQNIEGITNNALDCFEMTNPSKLLGKPI NQFIPNFNSLDAQLQNYLEEYQKENGVAETLTTANKLVQHFNVSQDSIEMILDKDTKFQL DLEVQMSYSLVNRIVFKVYVLKIRSPYKLGAVCSDESPLSQSLDEYSSEFEGIKLKDYVM PGAGDNEIPQFTSQPDPITLRSQMVPAEQENQPLRQQEFLCSDHHDIVSKQDSSEKDNES PEQPHKQIYINSISSNSSKQSEIQRILVKSHFYRKFSEMNTKPKLLYALLFINIFSLTAI LGFTTASTILYANWQSNIRSNIYILQAFTMTAYSRATMQGSMTLIQYIQMGSNLSEFGMY YEYNATLLGQLQTVLDRNIEIFSTKMDEYSENSIIRAVFSSIELEQLDIFENVDKTVKGW TALFQLMYMIRSLHITDFTDNFQFVKSILYLLRSYSKYKNAYSLLNTRFTDEINSILGSQ DNIIDYVSFTFIAVYVVYFCIAFLLQYSYIQLCKKYIRCSEQISHSAIASELNHVNQLLL INQDIDQHFDLYEYHFSLKDKFHPEEEEKQNNNYQGGSSTAHHNNNNNNNNNKKFIKVQE QFNVRRQLTFSFHLISITISLVTFLLVRMSDKQQISDLESKLAIYQKCITFTESLSEACL TNFILQSNKYLVQNELINPEDPAQFFIYTSESYTTANTILGDILYSEGTVSEELKVLFSS NVCPVVNEPEICVTVNNGELQQGLLLPSQDIFQILRENIDLYQISGSATQMLQLRHMLSE SFIIDAVSNFVDTVRQTIEEGILDSNRVKLTISIIFYLFIIMINMAQIWIIHQSIKNKLW GIRQFVYLLPPKALYNEDSFYKTLTYLLKIENQILYM >CAK69332 pep:novel supercontig:GCA_000165425.1:CT868067:48009:48941:1 gene:GSPATT00037771001 transcript:CAK69332 MQANLAHFFLVLKSQECVQYQDINGTQYEGQIRLIQPNEQKLVFNYTRNLATNESNDNKL VQFKDLTYLKVQNQMSQSQIVEKSKSEKKTKTFVRWEQNVDASNLEDQAVKNFDQFEAIK KFGIEPTYDENKYTTKLIAPVTEDLRLYAEKCEKELKNDTSNKHVLEERNLKQLDDEESA YSEVIREQPQQMVQVQQTMQSQIASPPIKFFNSNKQKEKNNKISYIEKLFEPTNLISDEP EQQPKIKPLNQEATTKFKLQQTTTNNIPKLMLSNFINAVEGYKWQNMNPDPTKNKKEKLK K >CAK69333 pep:novel supercontig:GCA_000165425.1:CT868067:49284:49999:-1 gene:GSPATT00037772001 transcript:CAK69333 MIILVIGCLLVANALPGDSCQTTAKGIFAKRQAEFEEQLNRLRNEVAQGRRKNHVGEMDY AANMNVVEWQQGIANAAQSCSERCPDSLETCRQLTTRYGALHKFRTVHSASQEWEPREVY QKWMDDNKGEQLVIARMKYFGCGRALKKNIDKFIEYVICFFDEAPRKGIVPYVSATQKTI GSACEKGRSSTYSGLCKTTIYQQKIFDGIRFSKYTLVESK >CAK69334 pep:novel supercontig:GCA_000165425.1:CT868067:50191:50649:1 gene:GSPATT00037773001 transcript:CAK69334 MAENNKDLKQLKIKTAALKRIQKEFFGYQKEELKQNERIQKLKNQNAEEADIKKQEEVLQ ETVQMYPNIIGRLVESVNELQVWLDGKLNDPLIDTSEEKTKALEAITEAQDFLKANNPAQ >CAK69335 pep:novel supercontig:GCA_000165425.1:CT868067:50791:51827:1 gene:GSPATT00037774001 transcript:CAK69335 MGQIEPEANFFIFHPNTPFTSSRTKQNRVLAFLLQKLAMLRKYENLCGVILLLLKELTGQ FFYANYQGNTELRNILIYYNNIIYQNPGLYQQFYNNETPQKYKFNLWLRSLAHLDDDKLQ IEIEKYSYDHNYINMLEYEILLSIIQESEIDLTKIKNGIVLLKLINYKEDEEKLQILKQM KDCYINESYTLKKEWLTLMIKMDKELEVQDLNNLQQVDLYDSNFQYLLVSSYLKLDQVEK AIQQLINHLLFSDGNAEIWALLQQSLTPESIEKYKEDCKILIKLHFGQGFLKQLIEFPKN QELLRLLQTKIGFISLFNEKQSEKYLIFLQKQEQYCM >CAK69336 pep:novel supercontig:GCA_000165425.1:CT868067:51863:53181:1 gene:GSPATT00037775001 transcript:CAK69336 MQSTQTFEGRNVTRTYTGNKALRKLKENRNNTAKFISKGSPSKDLLFQSQNKFRVGPPPQ PLLRAQQFSAFGPSRSTKSILARNNFLNGQPSLTNVKPFLDFNQFMRGLSSNNVKPKSPE PQQVKTIKSKFCRCKEVKDLIRKDENDNISINNDMSPKQQMLFNTSLSKPSSPTMKGYLN SKKDIYKQCMIFIVIKVKNDIDVKKEIYSYALKKMLKEGDEEELMDFDHRYLRSVRQRKL RNIVHFLLGRSKEQQVSLQDLIDNKMTQYKPFQQQGSYSFLYYVKKGLLEKVETLLQQNN LYAFDFDEKQMTGLHIATQKNNLNMIKLLITYNSNPLSKDLSGLTPLHYAIKHLNIVSVQ LFLSIKVPTDCQYPDYCKLTDDRSILKLIRTAKINDLIRRTSKHKYLQQYLQSIM >CAK69337 pep:novel supercontig:GCA_000165425.1:CT868067:53394:54403:1 gene:GSPATT00037776001 transcript:CAK69337 MSQNKYNFFLLLNHLLPILIQNELIHSAKSNNLDIYEFEKIHLYVLHTQQSPKVRLKPEW ILSIVYNLIDFLLFINTSKQQNLFLYVESEIYCEKKKSTASNQISKILSQIDGCFYNDDL KFLRISFLSNSHFVQQQAMLILYCLIQPLILNEKMQNERQQLDLSVDVYKPTTPQTILKS ASPTDRKFSYLSFQRTTIGLESPTKQQDSIYQIEYADDTVYYGQINITKRQGMGAMYDKN KNLIYLGQWNNDKYHGLGILVKDDYTYKGEFVNGILEGQVIEEANQSKFYGYYKNGQKNG PGTLYEQSKTIMGIWKNDVLDQEC >CAK69338 pep:novel supercontig:GCA_000165425.1:CT868067:54539:55731:1 gene:GSPATT00037777001 transcript:CAK69338 MQNVKQMREKYENIKQLNPNRDVSSRSFHSHTPSKEQYQVAFSSGKKSIDQPNVSIGKTL LCSPCYNKRLLNKSQQNKKQESELEKRLLMKQMEQNQLREQQKINFEVGQLSLRKQMEQQ NLNISKELLDLNKEKERQLKEQEIQQQNLIIKQNQEILYKEKIEKKKKQHSLHQELSSQI EQQKRLKQMEYMSQSMEFDDPYWRNQAYEEKEQQRRKNYQMMYNNNHWQNHEKEQYKKQQ QERSLKNKEIDERIKTLQEYHNQLEQEKIEKQQIQHQLILDLNAQVKLKKTKQKAIKLID QQKQRQRDQEIMQQEKLRKYQEICHKKKVSQEIIESLNKQIEMQKQLNPKQQQEQIKSDF YILKPVVEKQTISCADCKKQQVPQQLSYA >CAK69339 pep:novel supercontig:GCA_000165425.1:CT868067:56278:59065:1 gene:GSPATT00037778001 transcript:CAK69339 MNQSLTDNSHISHCQLMIQEESQSGCNNTILYHSIHDQNQAVQSMKLEEFQRIEDIQIKN ENSKQSEARQKPFSQLMVHFNVHHFKRKMLSYIHPNKELSKNQFFIIFDKSSSFKSYPKK QQQKTSLLQHNRAVVGFRNIIKDFRNTFIAQFKKKVQYFIEIIPIIDPYSTKKLIWDGII TIMRIYLLIWIPILIAFKNGTLEDVNAYTLNVCSSAFLIDLAMQTFTIRFDKGFPVKDRY LLIRYQINWWTAIELFSFIISLYFSIQFHQNNYSMSVVEDDGWTKILLLLLIVQTKNILQ FIENLQSVIKPSKSSNSLIELVKLICLILLIQHIFSCVWVIIGTYEHLTSQSSWLDMAKL DIYQPWHYIYLEAMYFISVTTFTVGYGDITPQSTSEKWFTVIYMFFCSLQLSYSVNTIGS ILIQLKENNEEIKQKMTAVTEYMRNRQMSRGLQFKVREYLNYYWQQENAQKKNETTEIIR LLPEELQKSIQREGCSNLINKSQFFKEKFTQGFLNQLIDIAYVKNFQPGIIISDLQNIYI IEQGCVEIIQNQTVVGQLDQFQQFGLKRVSLDLINETKYRTKSFTNLLIIPYTSLVQLIA QTQNELEIVSQASLNIINECVICKGQHVTELCSQVHYIPENEKVIKKYLYNSIQQRGRRR RKPRDQFQEWKNELKYFQDTAEQLQQDCNNEIELLFPNNEKQHHINICTPRSLLELNDEK PIGQRGDLEQKLENKDTTKTVKKFENKQEINELNLIQQFEAISEKFKQIHMYNEKVQKDI FLLYKRLEFLLGLGEFEIDRYKSYKHYYKSWNIDRIIAEYNFASNYIQNRKSEKVSLEQI SKYLLFPKMYFQQYHSEKPSVPVEKPKVNKNVRTSKKRKRIAIHPDF >CAK69340 pep:novel supercontig:GCA_000165425.1:CT868067:59090:63024:1 gene:GSPATT00037779001 transcript:CAK69340 MDQQKNLTRCTLIVNDSQSESNNNIDHSIIGVEEAVSKDAITQNVKQKEKFGQTEINAIF QNLQSNQTSSMSPKHKSFSKLLIYFHSTKYANKMLQLIRPNEKFSKKHFKIIDDSASSYK HMKKRTHLATNMVSQNLNLIDFRVKLRMKVREFQSLLKQKFLLYFSLIPLIEPQSKFKLL WDFLLSIMRMYMIVCMPIVISFHSKDSEQYHCLYIILTCLFFIFDIILRAFTICYDKGLP LKDKFELIKRQFTLSTFMELFSIFCGIIIALSFLSEQESPYILEEDGWPRMALLLFYQQI MNILQYFDTIQHQLKLSKLSNSFIELLKLVFLILLIQHFCCCLWVVIGEYKQRQDTINWL KRVEGEPWQNVYLESFYFMIGYGEIVPTNPIEKIFCICYMFLSTMQLSFSVNTIGTILTQ IKENNEKIRQKMTCINEYMREKQISQSLQYKVREYFNFYWEQEVIQKRNEQSDLIQLLPE ELQNNLKIESAQVLMSKCQFFKQFFSQKCLHQLLDKVEFKSYQPGIVIENNDLNIFIIEQ GLVEVKQQKRQLCYLKDNDYFGHLEMKSNQSSALQYKTASFCSILIIPNQGIREVLQQNE NDQEQYQKIKDISYCYVCQDKTHLSNECYQVHFVPDKEKVIKQYNFIQEQFRDCFRRSNK RHHFSASQDLELISNSAKIYQIENDQIIEQIVPTIFYEQKQSIQELEECYNYDRFNQSEN HQRKIKYKHKASGSQNMIRAQTIDILINDNGMKEMHEQIKQKYENIHKYTQQQQKDLQML YKQLSNKGEENSETCDKIQNLRYNKEWNLENIVKKVNRCQKQEFQKSTIEQFQKYMMFPN QFVSLYKNKKIPTQEDQEKLNASVFIQQYKQSKFCLLSVRSKKPKEKKWENCTLINIQYK LMNDNKQKDLESPIFAVVCFPDSVLVSLGGGGKKYGLVNSLQLFPKPIYGILKDPIHTLQ LGDEIFQRLRLNTKTGLIVGNSDDQCVILKVQDNKISIVTKFQTDRAAIEPCQNDGVFKL LGGYFGHRRRRRNFEGLEQGLSIEIHSGYERENLIIRLSCSKWNVATDNEECKILKDSHV LHKLDISSNNIHKLQFQSALFSLDGTTIFTFKNPMRGASYMTSWRIENDNIKPLKTIKIH GHPVVSTCQSKEGIFIGIGCSDGTVKIINARKLDIESSKQAHELPCTALCFTPDSRFIIS GSVDAKYHFLQNTRPQGMFSLLSKFWLLGMLLAYLIIVIKDLFE >CAK69341 pep:novel supercontig:GCA_000165425.1:CT868067:63082:63796:1 gene:GSPATT00037780001 transcript:CAK69341 MHNRNKTSKSQMQPLIQLSIDLGNSKCDRIVLYKETDPYLEARQFVQRNHLPQQMVKVIS NCIIKQMKAYEDMMMITYDTQTETNYLRNLKSNHIELQAKQKTPSPQRFHPSPKIIHKQN LSRSPSNISLQDELPTDKVVVKKLFQVLDSDQDGLISANKVNYQKLPIQLKNRVNFISLI QISIHLEQSSIPFEFEELYDKLKNDPQEEYFITLKLISQILDIPKVKVLM >CAK69342 pep:novel supercontig:GCA_000165425.1:CT868067:64513:66205:1 gene:GSPATT00037781001 transcript:CAK69342 MQNINYKESKFQFECFRKHLLKDAKYYGYLLPNQLILTTAKQSQTPKYNLPLLLNTLIHF VTKQPSNEIEQFGFLYKEHFKYFYANPNIILQLKELLACKVMFKEISEFYQPISMLGRGG SSKVYQVMKKGSKDQYASKCVDKRYLIEDGGFNGLFNEIQLMQKMNHPKIIHLEELYEGE NTFYLILEYLQGQSLHESFNKRQVLFEQEQIQTIMFQLLTAVQYMHSLGIMHRDLKPENI MFKSQNAYDELKIVDFGLATSTQAETYPFPKCGTPGYVAPEIANLKDLNYKYDLICDMFS IGCIFYKLLTGKELFPGTDYQEILKLNKKCSINYELLTLYRAPKEAIELIAQLLTINPKE RISAQAALQHNYFQLKFQTKRGKFQQNNNKYTPVFQTQNFNPKDNIQKQNFHIEDDVVED ENAHSVKVPVMQKMKTFDQFVCKIKPQVTPTLARRNFKKFQTSDFNSALSPNSPNPLQME NKPSLIINSSNQDLVNEIHTKNEHQINQMGQIDEEQEEQFQQNI >CAK69343 pep:novel supercontig:GCA_000165425.1:CT868067:66521:67437:-1 gene:GSPATT00037782001 transcript:CAK69343 MNQQIVSNSIREFSQYNRVLEGNNIYVEKKMAIYYQYFKKLSTGQTPKYLLIGCSDSRAP PNELTETDPGEIFIHRNIANLVIPTDLNLNCVIQYAVEHLNIHSIIVMGHTCCGGIKAAM AQDSVGGLLDLWLNQIKIVYEKNQELIESLENENDKLDCLSQLNVRAQVMNIWKNPIIQK SWQKGNPIMVHGWLFRVETGYIEELLIDSQTPEEMNKVFALKFKLDSERILSKQTTPLGS PKNNARKKFQSMQKQIIQHIKKQKENAGGFQKTNDLQQIREYVQNCQEL >CAK69344 pep:novel supercontig:GCA_000165425.1:CT868067:68208:69030:1 gene:GSPATT00037783001 transcript:CAK69344 MTSTSKQLVFPPIGKHIEDLQNQLKESVQQYKSDRYNEYKEIKNKKLKEINEQNKYKIFH RKIFNSQSKQFGGIFEKERDIYLCKEPLLNDYLKYHIIEPQRNLEKGLIEIKTGTKEFCD GSCFGEVPLQKLGEKERKLRECWLKERERQAKLKFKALIDSQTGVQKKLNQSKRLKELKV FIPNKFSLDLFDEHPSQILALTQLHQHSKTMKWKPNKFYGEVFDNSFCKLSDEDKSILKD IEKADQQQ >CAK69345 pep:novel supercontig:GCA_000165425.1:CT868067:69065:70740:-1 gene:GSPATT00037784001 transcript:CAK69345 MHQKLWIPIPNEFKPKQEHLIIQGEFTKHNGKTRLLYGYDHYVVCVKKDQLPKKCLKLEF ETKFEILRQPVQKKDEDDDSLGPIIGIQFIKDNGDINSVYKLNATAKLILEWRSYLSSRI NQWQFHNMFRVYKKIGKGNFASVYMAERIEDGEEMAIKAFAKQAAYAEENGKEAILNELA IMRKLRNKHLMRLFETLELLEGGSLYDLIKDKVPINAKQIQQIMVGILIGLQEMHKNEIM HRDLKLENILFKTQKKMESVVIADFGLATHVNEPVYLYTRCGTPGYVAPEVINLKDMKAK YSSICDIYSLGLVFYLLLTGKPAFNGKSYATVVKQNREANIDFEIKSLQIVPAPAVDLLK QMLEKDPNKRINTEKCLHHPFLSEMTKAMVDAEKEDQQHLDEVDEGNEINKINDEYSEFD ATRNINSPLQSPTQSPGLIMQKQVQQQKQIDSTQAVGNDSPLLKGKVDSIDSAQSIGTPK KKNNQYQPSPQIKPSRFAKQAQNNPLLKYAKKD >CAK69346 pep:novel supercontig:GCA_000165425.1:CT868067:71485:74090:-1 gene:GSPATT00037785001 transcript:CAK69346 MNSFRRVVTKTKTSIFLHKESIDNVLREQLAALRLLQPKTQIVDQKLEQRKQELLYEMSQ ENLQKNDNVDVAGYQKIFAELAYINNKKRSQIQEEFMMKDEQFIVIPELELQNDIDKEMD CKQMLEKRIDQIEHSVRIIEKQNNLMNEQIELYTQNEPKIHLKEIENKQQLAFFVHYIGT IKKAMKLEKEWQTQKIEKLKYKTEKQSVITEQQLKELLEQEDKEMSLLLKYEQTSDLINQ IDEEIKTKFHKIEECAELLEEFDDIDKLRLIFVLENPNDFELEEILQHVRWQLRQPFSRL QLASSLQEYIDRARFSGVSSRIKIFFKFHDNDLNYSINQLIQVYRKLQFQDGTLRNRYED LLLQKGQLINHLLFLNQLQFYELDEPESAAPQIDESQHEMAQYLKNIQIRHLSNNKYFFV RIFTMLTTVLSRILNALYNIKEILKSCNSDMFGHEFYLKWTYNKMFIQLSQVMQQLEKQY KIKLIAKDPSIRNSNQFLNAIYDHIEEKALREKTTIQPQQKQTIDQILSQLSPLSIQKVS QLISQDIFLQQFLSFQDLALLVKNQATNLNEAEVIKLIQIEGYDIAHCELRSSTQHLFRV IQDIITECRTVKESSVQAKSLAKKYHIQEIIDKHMSSYEYKFKEWKKEVLENKIKQIEHQ FDEISEAPTTPEMKLKSSITTVSTHITTQPSKLQFNSQMTKESTLLTPTSNNLRRYLNTV ESQIPHKKAQLPKEPIFAHLHQMSRKIKNEKISTQTHLRTFTLQSIDSTKQQSQQTIQLK LLPSIPAQSFSQNLLRQPTNRIIIRQNRKSQVVKTEPTVKLEVKQTQNFSDIYEKSNKKL SDFFRNVSMKIK >CAK69347 pep:novel supercontig:GCA_000165425.1:CT868067:74164:74631:1 gene:GSPATT00037786001 transcript:CAK69347 MSSFLISYIISNQSNQPISEILCYILQYLISKYSNTSFNHLLGSEKLKIQKNNFIIKRHS NHLDPNNIDIIQVLPFLNELRYHQKVYKNINEYFISSQYVIISNHRCALIRPDYQSQIDQ KGLRSNIFHIQVNGQIYYRDISHLIKQKRDIPQQY >CAK69348 pep:novel supercontig:GCA_000165425.1:CT868067:74813:75895:1 gene:GSPATT00037787001 transcript:CAK69348 MTDSTIICPYCKNNAYFDPRSSSSYCKSNLCNGIVIEQGKITYENEVNLHEADEPGKKQT RFGGYENKRDAFKNQICEMLGKSDSKQRKQFYEKFEAGEHQIQKLSSIFMMNEAFTNDAI SKYRSLMFDNANDIANKSFLALAALAYNLTIKERKSQRNYDERLNKITIELIVKFCQVTP QQMDLVTKALNMKNSGDPGKQDICQKIVQKVKEHKLPFNEHENMAIYDIPHRLMDNSIIR GEKTSTIACVVEYMVSQLSGNPELNRLTLDQLAQMNDVTKDTAKKLWNTILENQCLQNHI TRWQGQRSISELKHIT >CAK69349 pep:novel supercontig:GCA_000165425.1:CT868067:76397:77295:-1 gene:GSPATT00037788001 transcript:CAK69349 MSQKKQKIQVEQKVPENVAKKTQRDSKLRDAVAKRRTERLAANKTRRAQWEKTAQAYEAE YKAADKSLVDNLRKAKTEGGFYVPAEAKLILVVRIRGINTLNPQVRQTLRLLKLRQLHNA AFVRVNKATIEMIRKVEPYVTYGYPSRAVIKNLIYKRGYAKINGQRIPITNNNVIEQQLG KVGIHSVEDLIHEITTVGPHFKEANRFLWAFKLRGPRGGFIAKRKSFINQGDWGNREDLI NDLVKRMI >CAK69350 pep:novel supercontig:GCA_000165425.1:CT868067:77450:78925:-1 gene:GSPATT00037789001 transcript:CAK69350 MFKESIFQSTKTKLVWSYLPMPVFSKNGALDGEFIKSGTNTCKIKKYILIQNILGCYTKK GTIKWINYENSLIEVVRDKMLGDGISLTKGETLILYGETEIWFNYMKRWCIQQGFSNTYK ILEKIGKGNQAEVYSCRYQLGDEKFAVKQYSKHKLTNRLDKIALLKEISILRQVQHENVV HLYEVFENEDTIYVVMELLEGGDLYDYLKQPNSFLSEKQLASFIYRLFKAILSFHKFGIM HRDLKPKNIILRKQSLSQFCVADFGLADYFNKDGKYLFQRCGTPGFVAPEILRDEFYDFK VDVFSVGVIMYIIICGEEPFAGDYNETITKNYQGQVDVSKLKVSEQGLLFLKSLFEVKEL RPTVKEAMNHQWFKQEKIVTEKYRIRIKEPQIKQAQLFLPSTRETLASSHSQFLKPMRTA SSTRNSNYRLSPIGSQKPNKTPNPSPSLKRKSLK >CAK69351 pep:novel supercontig:GCA_000165425.1:CT868067:79255:87816:1 gene:GSPATT00037790001 transcript:CAK69351 MKKFTIILIIVCLQLSSCEQCSDYFQDETGCIQSTGISCRWIEQSNQCQESTGTLIGCQN YLNQQACISQKVYPDKTIAECIFSGYCRSVVDDASECQKNLSRAGCLGVEEAYCTWKDQC IAISQSQAVILGQATSLTDDLKLSSIAVCQLIINFPAIHQHGAHESLTKLYFENLTSSGG ENEYEQQMLIPGCFQPVTSIYREVKCDAQGLNSLSCMLIETEPCIFQEGNCKKVYNFFTL NCSDKLNKIACLSVENLEETCYWAGNQCTKYLVTTTTPCNISIQVSPSFCANITNGGESC FYDKSTMKCDQMCKSASLTTSTKCELSKYECAWRDYGVGYCKFKKNQCDRQGQNYTSCME ADEYCLFDDGLCQSIQDLNLRGCAGGLNKQACINLKNPSQVCQFLDNRCMEIFTTPEDLP YQLWDVNQNACKKITTTASYWNNGSCLDGKGNEECTIQGYNKLGCLNTINTVAPCQWNGD SGSCQAITITRETKCESLKLVNAVACRSVQEEDTSGNPVLCKYNINSTACEKVTVLSSIN CETEGLNEAACSSVPDPLQSCRWSSNQCMKVTSLGNAVTCQALQSVTQRTCAMIQSNNQR CVYDSSRKSCTTQVPPSTACTAAGLNPYGCAFVSSKCHFDSTTNQCKSTQDSDYATISCD TNFPSKSTCVLITKANQYCRWLGKDNVCELFSFPASDQCTKYSNVNKLFCLAFEVPLTRY ISSSYYCSFDSGTSSCTEYTNLAAWADCGSSLDINLHACVGLSNTSKYCYFDQTTLKCTE ITDPNDSKFSSLLCKQANKKLCLSLLTTGQYCEWVDSEKKCQPIKSGQSCSDYVSLTVNP TLCASTFSFEACVSNFADSHCKVLLASETSYGCLEKGLNRKACLENTNGACYYDTDKLLC LEMTGTLAYQVYCYSYVNKLGCLATLQAPCKWADNSCQYVYDLDPFNSACTDLSTQLYNP KVCQMIQTDSQYCQYDSTTFHCKDFSDFVQDCKPGYNYLTCSLNSLCQWDSSTESCQPSS SGADLCKDQTNETNCLAVTNDLCSWTTSGKCKKIVITSYTQCSQVGTAQGKFVKKICQQI FFGTEACQYDSASKACVTVTTTNNQCSSIGLNRKACLSNTIGYKCRFESDSTKDDYGCQE ISDFSSQGCSDSLDSEACASVTISQCAYDLTRDICEDYSQFYLAYYYTCAQFSTGYVVSI NLCKYLTDVACIYDAATFTCKTTNALLNLCSTNTTINSVYCLTQIKDQTCAFDPYYGYFC YQTDTSYWSCSDCLSFKQCVTSRYQICKWQDNLCQEITVDDVPCTDLTNINSKACMSVTG DAKCQFKYDSVAQTTSCVTPLDDTQLCPGLNEAACVANTIQSCFFYQKQCYSSFSDPYLA SCTEEFSFNYRGCVRIMNSDQKCKWDTTLKKCISISISPTDTCTTYADSNYSATICASIQ SGNCIWDNGCKVDVALSCDTNGANKGACINATTGSCVFSEGVCIDVTIPSALVKNSCTDP SNQSGCLNMNLGQTCKWTGTTCQEISVTGGCTSYTNVNPGVCQKVTDVACKWTSSNCTVA IMKGVCSQAGLNKIACLKLTSEVCYFKSKTNTCTQITQQTLNELECTDNLNEQACLAHQK KCCKWNLDTGTCQDSTAGSSCASLMNNSPYCCQILTGQACKMGPLYCQELASLQSQCSDA YNKEACQWISSPCRWDDTLMNCIQVNKNYGCKPDLNVTGCVNQSVHCKYLDKTCMAASTS DTCADLYNSSLSSTKCLQITNSRCLVINNGCAVPPYNIKCTENMNKLACLDNVNYCVWNE TTNSCNGKRVLTASTTCATGVVSRGLCAFSKVQCKSEYDYSCSTFTNIDDSNITCDERNA VLPSNSSQCLALDNVICQYDSTQQRCTSKIFGSVCTSIVTKKGCIYIENNCYWSSNLCLQ YSSDKYFNTCEQIYTKYQCLININTACQWFEEYSQCRTYKGFAVTCEEHTETEISPGICF YFSSDYCGHDGKKCKKDVAQSVCANSKSPLGCQANTAGNCFWRINTGKCEALTDSKLAQV MTCVELKYSKKEFCLAPIIEGQTCHWKDDLCQSANIEFISCTDDLSLDGCRAVAMWGDCV FKDKCQDADINNIKCTDYININSCLHLTQDGQYCKWLGQRCYSLESEDGFELSSHILINA NVCRKAYTKSGAPDATLKNIGVRYDPLNYVCQLSDPEKDLCSTPGLNYYSCLSTQADACD WTGSKCKLFDFGVTFTQCDQYISVSPKVCASINTSNLKCQHNSTTLNCENFSETTEIFVK NKDDVYVNTKKGMNRLACISVLKQPTIWASGRCEYNLVKVGLITCNELIDVNPKSCAQID KDNVTCKYFKEGTCTAYFDPQFDKCTTPGLNKVGCVSIETEQCRFSEGSCSKYEISNIIK CNEMKNVNALVCKNIINQRCKFSFVNRGCVQSYSNDKCSYSGLNQFGCNRTTQCQWIDDR CFCKGEVSSLQLIDCSKFTSELCPNYKQCIFDKLTSLCRNRRCSDLAVASCSGTIAKSIC YVNLFKCSSASKCSELFNVDCSLYTIAGKKCADDLQNENHCIDQGCQHFNEDQCTGNCQW SYAQCVLKECTYMNKDKCTGIMNNKQCYWDGTYCQALSSCKEYITYSKLTDTTLQQQLCT SSMFLNKRCRWELVEPFGTEFQCTSKLCSDQGTSSSTCHGFEAQSEVCVLLPDFTCVACS EITDSCTCLNMNGYCYYSESKGCISNLCSDLDEASCASNSQRCTFINKQCKPSCGKLRSV ECTVRQQSSECHWNSNVLRCLDGAPPSVNLNTMEEVIVIESSISKINQVIIMLIMIYIN >CAK69352 pep:novel supercontig:GCA_000165425.1:CT868067:88009:88809:-1 gene:GSPATT00037791001 transcript:CAK69352 MLFLLYFSIAFASQNEDYVRFNSTIDTSIKYGQFMQIIPNTFGMGSNNQYPIIDGKATIN LTIGSPTKNEFDFFVFDSPYNEFYVCYIHFSERSGNICQEMVQNICQPCPRNQIEFQYLV SIFMQAVMGVQLNYQNQIVNATNMNSICFLGCQSDQLGSFIIANTSYQYYQNAASYMILD NTAFPNLNDCNVTIRNCQDASSEIVIDNGDQNFINFCNIMRNISCVQQFQNITKPEIYFN IDFNIQVELRFMNRQFQIIIHIMFNI >CAK69353 pep:novel supercontig:GCA_000165425.1:CT868067:89071:90838:-1 gene:GSPATT00037792001 transcript:CAK69353 MGCCINSNKLNKIQTIKTNNGVIIFTSTADIHKIYNFGKMMGLGVFGKVLVAKMKTNNEK LFAIKMIEKSRVSGKETQLANEIYVLQRLDHPNIIKFNEVYQNNLYFYICMEYCEGGELL ERIPKHQSAFTEKQAQQIAYKVLSAIAYIHEQGIVHRDIKPENILFTKKDLNSEPKIIDF GMAIKLDESLQTKTLNCVGTPLYVAPEVIDGYYSDKCDIWSFGVMLFYILCGYPPFYASN KKELFQHIQNQDLIFDRRHWNHMSREIKTFLRKLLCKNPMIRPTAKDCLKDPWFSLNFEE VNKKKDLRRSKTKVLTLKQIAPTDYFEDGRSIYEMLKNYRTGARLKKEVMKILINQMNEK ELEHLKRLFQKIDEDNSGTITYHELEKALQSEGSQVSHDEVKKLMITIGMDNDDETLECS SGKSFKPLVIKYSDFLTACIDERRVLTKEKLLSLFKYFDHQNLNYITKENIQEVFARHGR SLTDEKLNQMIYEIDPNHDQKISFDEFCQMMSVEGVCQVIDFKDSNLEQQIISPKIDTKL ELIQFE >CAK69354 pep:novel supercontig:GCA_000165425.1:CT868067:91517:93552:1 gene:GSPATT00037793001 transcript:CAK69354 MQEAYVLHDQYRNQSMQNYISGGSRPLYFKRPLVPTMTDTPLKLSQPPVQQVKEQPLPAK ITIERVKDVEIQTDYREAEAQTDPYTPAHDQNEDQNIEILALQHLKWDKGLPASIEELES IEWNREKVWFENALPPISDEASFKLRRALMKSQEEREWKKKETDIKINQNERLYLLQEAL KEREKDVEEKNAQRIESIKIKKTELKNRMVAKIQKRKIKILRKMQKSRKEIDNEDKGREI IEDYANFASKVYAGITREGLSLDKIASKYEVQPVALNTYKGLTELSTTIKPSILETTVNV AQFIKTIEKDYTRLEIQHKVEVNKARNQIFGSNKQDQSNEIQADHIGNKNIIIRPATPTY NQEFENADVKRQEMKFENYEQFKVDAVRRQSEDKKNSAIILLQRLFRGRAMQNIMYEGKE KRTALIEELLTVAKIPDLPEAEQEEILMQQHEEKVKNAALEAIQGEVIAETFDMLSKELL RIKQEKRIAQMVKSAEEDRRLREIQEAGTRQAEQILRDREDVQYNQIMRVHQGTVDTYLH WIFKNTIEQAATRQATIMTNLRKQKMNTPVEYFERKYNSDETIIKDLVQQFLIPNVQRSK LQKQIQIEERRFNEAAKKSIQATLSQAAQQINQK >CAK69355 pep:novel supercontig:GCA_000165425.1:CT868067:93593:97144:-1 gene:GSPATT00037794001 transcript:CAK69355 MKIIENKKEHDRKYFDPQCIMKYLLPQYNYISYDVFTIIRIQQSETQMTQILMILIVLYL RVSQSLTVSNNICQCSAILTAYDCNQLSTCEWDLSSKSCNQAKLVAYSSTLCSSIIDGGR CAKQRGCAFVDKKCVVFSNCQSYIATSNVECQKYSMLCNWSLVNQYCTDERVCSEYVGED KQSGCESVKQLNGEGLCMYDTQTKQCKEKECKNYPKKTNEDCNKVLLNQVCITDKKTCVK ELANCDSYNVEDCLNILSKDGQCEVNNNTNKCQLRTCKLAPDASSDEACKKYKSTCLSNK QKCVDSLSSCLGYTIDECLSYKGINGDCQINSNTQQCKDPNCEDFKGTTDDECKKASPNL KCISNGVQCVSTLVGTCKQLKILENSTCDQYISQEGQCKPETEGSKVCALDICSSHNFDS DEQCNKYSSRDTNSPKLCISNGVKCTTTLQPCNTYKKSDGCFKYKGLDGKCFENEASDQC QPTPCEIIQQPSLATCTSTNPSCQFDGQNCVTSLKQCDQYTSKCETIISINKKTCIQDPT NAEKCIEQTCNTAPTTTKTDEDCQAYLSTCLTNGQGCTDKLQNCDSYTGTFQECEKLIGL DGKCTGRSKTQADKCIAKTCQESSTANEQACKAYTKNCLFNGIMKKCQSSIQSCKSIDNR MACEQTLTTAPGRFCLWVNEICVTATCNMIETQGSQDVCSIFGDSCQYDNLGGCKDSTFY ECQSLRSRTTCTTDSTGNACIWDNNQKKCIKFNKCEDFLLEIKRDDIGNAIPKNAAEIAQ DNFICSSISDKCYSDGSETCKHKLPCPQFTQENCNQSVGVDDKICGYNAIKQVCQTFGAC GDLQLKTHVECQAYNIKCTTNKETCVEKVQCTMIKDQDSCEAGGTDGRCFWDNSTCSPWK CQNALLTTHQDCQKQSTKCTTNGINCIDLLECSKYESPGCVIAFDNIACFYDAQLSKCRV KVCSDYTGITEQQMCQNVGCAYDSANQACINFDSCNNYKSQFQCNDNVSKDKSTCIWQEQ VNSTSNCLQMDSCSQANSIEKNCKNFGCAFIPEALINGTVQNSQCNQMDCSLLNPNSNSD IGCKPLINTINKQITLCAWSTKTNKCIESADLSSYNQNTCFTLTQYNYYWNPSTSQCVSC TKIEKPQNSTKGNDTPNQTTQSSFGIGLFQQLTLLMFICFQLV >CAK69356 pep:novel supercontig:GCA_000165425.1:CT868067:97416:98881:-1 gene:GSPATT00037795001 transcript:CAK69356 MIKQQLLQISSADSFFNDHTSLYSFHSIHPFVPLYKGEFQISYQNRLVIKNLALGSNFIR FGEDKFINLKNVCMQKIIHPKTGLFGIKLSKNGVDLDIFGLSDQWIEIMKKYTIQFDFIQ KYQLLNKLGEGYSTIVYRARNKLTKYEYAVKVFDKKRLLINKFEAKKFLKELKIIRCLDN QNLLKIYEVYESNNHIYLILELFKGEKLATITEKQQALSEQEILIIMKPLFQAVSYLHDL NIFHKQISTQSIIFKNKNDFSNPCLIDFNQAVQINEGDQKDEQTIPLNPEKQILYYIKFD VLSLGIVMHNLLTGKQFQVNQVIDDAMMNKFRGAEDLQFIDPPLSDLCFDFLNSIFYQGL KTKTCKQLLAHPIFDQENQKTQRNLGIQLIGILNQNSSRFRINSKQSQRSPKTIKVISLK KDFNIFSKSPSEKPPNLNNDYFEPPQSHLMFRRGSRQKRTLNFNNHNEISS >CAK69357 pep:novel supercontig:GCA_000165425.1:CT868067:99217:100723:-1 gene:GSPATT00037796001 transcript:CAK69357 MIKSQNNKPINLTQSILEDSPEFWIQRPQMLIQFQLSDELSVVNRNRFIQKTIYLGGYYL KFGDDVRIISIIKQKFLDVRNLFLELVYHPRTSQSGFRLSKNNEKLDVYGDIQNWVEVLR KYTIQTNLQQKYKIIKKIGEGSQLQVFKIRNKLTGQLNAVRIYDKLKLQNSPAFLELVKR QIHIKRQFDHKNLIRLLETFESANHLYVVEELVEGGTLESKLQSTTFNQQQIIFIVKQTL NGLQEMHKKGLIHGDVNLKAIGFKSEQDLDSLCLLHYSKVMSINAARNSTKKMMSQRNSL KTDKTHASDLQQLGIILIRLLTGHQYNQYNLPSQLDDIKSMLNFQQISKELEILLQQLLL IDFELQLSDTNTPLEVLKNDIFKKQIEPSNFILKYQTLNEIRRQSVQSENEDESMEIRSR VNTLPNIQERKSDSRSLSNRIKKNILLPLKISKFNRIDNGANPPSQNSQRRPSNLYQLPR MNLMPKIKQKVW >CAK69358 pep:novel supercontig:GCA_000165425.1:CT868067:101514:102008:1 gene:GSPATT00037797001 transcript:CAK69358 MADLKEKKVEDEQQTKKIRMIVTSRNAQDLENFTNQVTEKARVIQRDQGQQVVFKGPVRM PTKHLKMTVRKSPCGEGSKTWDRFEMRIHKRVIDFQCTLPTFKDITNFKIGPGINVELNV EQ >CAK69359 pep:novel supercontig:GCA_000165425.1:CT868067:102014:103857:1 gene:GSPATT00037798001 transcript:CAK69359 MLQRIQPQIGNNQPIHNTLEEMSIGQDYTNFVVLENSIFKTVFKIEQTKIYECIRIQSAQ KYIVYQLNHSVQSVSPQYIQKLNQYKLVNLIHPENIYYQENCIFMTQQQCLNTFQLQNCR QKLNKQLQLFLVTQLCISFNNILYHIFIALQELERRSFPPYILSPNNIYIIEGVLHLSLM HFNFQETGQQTFDSFKKFVNQYFHLDDYCKDNFEKTTDYLLSNIQIDDSGCNSLNYIKKL LQINYLKLEQAGYNSFIYSSSRPPLFQIYPHIKDQIIIKVLRINDDNDEDVNKYRYSQNE RELMFNEQLNDNIKFATFCAYIRIQKIPFFFFQKYPLTLQQLFEESSKTTDHPLQKLQYN FAMQLALALKELHRLQILHRDIKPENVFITNLDYHKANLKIADFDRSRKIDQQDCQEQVS EGDYTVFSSTYNYNPPEAFKTQYGYSSDVWQFGLTLFQMANNGVYPPVKEQLVFLDDDYR KINLDFIKNVLQDKQNINQAYINLISRCLEYESDKRITLNKFIYDLGQIRIDMADEDDIV EPYYYHTSEQSTCNFDSSVCWTNFSLKSPNWSLNKLAQSLGDTSILFKDQ >CAK69360 pep:novel supercontig:GCA_000165425.1:CT868067:103882:105378:-1 gene:GSPATT00037799001 transcript:CAK69360 MLLLIFLALASSLRIQKNFAISNIERTVSLTDRYVSIQSKITFQNDGVIPIEKVYYTIHN VLADRLYHVNIEECNAKKLIEDVDVKYKQNATVYEFTLNKPIEPSSTLEVELSEQFYKRF NPLPKEIGLEDEQLVQFLDSVYFFTPYLVKNQITYYETPKIISYTLKSAIQRNQLLEFGP YQDVKPYTTQIHTIHLENNTPMTIFTKASKIVEVSHWGNILIEENYSIENQGAKLKGEFG RVNFNKYNPNVGKHSLKQLSASLPYDSWGVYYRDEIGNISTSNAAKGKLNGENQALVQLR PRFAIFGGWRSNFTLGYNLPTKKYLEQDGNNFRLTLNFSFSVKEVVSDKYTFQISLPEGA YDIQVDLPLQVERNDTLLFSYFDTVGRPTIILNKATTSQYDNKQIIITYRLSGTSILREP LMIFGTFLFAFLSIIYLRRLDLQTLKLKE >CAK69361 pep:novel supercontig:GCA_000165425.1:CT868067:105999:106473:-1 gene:GSPATT00037800001 transcript:CAK69361 MNPLFLYDQRVLIQQQLLLQQLAQYNQMMALRNACSFHVQQCQQIQPQSPLEIKTNEQKT IKNDEINDELSSFELSKSLGVSKAIKKSKETKSNKANASLQQSKKRIFLSMKDIRDVQYK KQNFTLPRYKITNDNQNTEVVKNKEEKN >CAK69362 pep:novel supercontig:GCA_000165425.1:CT868067:106963:107899:1 gene:GSPATT00037801001 transcript:CAK69362 MNQFNQNILFAFWQSTPIVNKLFIIIASIFWIVDLLIGDQLKFQPISLQYVWIWQLITSV FVQGSFCSFIFNLCCLPVFNNVERRLGSILYLLEFLIKNSIGMAFIFLVVKLYCLLINYD MEMMYSNHFGFWNIAVYFITTQALKQPNEETKVLFLPFVMKVRYYLICLLLFLQLFNQTR FTVLFFAQIALLEFIIYKGPLFRLPKQFIEQLEKKAFLQKCISRQDFIPIDQASDLVFSG TQKIEFQIENFHKEMELSPAGQMSSSQEKVPTEEESMHIK >CAK69363 pep:novel supercontig:GCA_000165425.1:CT868067:108865:109403:1 gene:GSPATT00037802001 transcript:CAK69363 MWFSKTVELSIEIGIGVIEIILLMCLIYYVRKIHKKKNYYPIYQLSPITTILQGISFYIF QLTIVLNFYYIKTYDEITWDICLDQNENENANVEINTIYKKAPYYRLLLHIHTYEVIKNF DCILKIKVIKKQCNSKNLYGGSSDNTNCIILWDDVSRINHYYKLILLQF >CAK69364 pep:novel supercontig:GCA_000165425.1:CT868067:110293:112056:1 gene:GSPATT00037803001 transcript:CAK69364 MKSLSKMEEMSPAQQTTKTPTRSFTKPRKYDKLIHKTTQIPRISIQRLVECMDQDNDGWI KKEDIMKFSQKHYLFFEDELAQAMIDEALSKRIVAFKDQLKEPINVEEILGAGNKSQIMS VQIHYVLNENHVWVEKPRPFRDKWVQLLLAAGERLPTGHDLPPVKITKVLDMHEMNPFPY KPKLNCSQSQIIHTSQLREIHKFNKDKPEAGIVLQETDLIKPINNGVIKEEEKDNPFEKV NSQINDQNEFPTIKFDTQAYFNEAERLSKEQKLCRTGRNPILKFMPENLNYKVENTDSLR KSSEFELSQSTAAKYLSQPVLLRKPYYGLSDTALISTEQKYFQPKKSMDKNQESDKQIFY STFKPEDVMTLKNKLANQKQREKEKLQDFYNPPSTHKFRDEYLDKNKPLFKTRIKPDSLP NPHLMSEHDQRPQFAIEEDLKRQLKEEQKQQQLIDDYMGTFKQSKQWTKYFPATDLNHNF VDHKRPNFLDKGNYQEPGLILLQFLREGASAKDNFQLFHRLLRTNDKNLFLGLQVDPN >CAK69365 pep:novel supercontig:GCA_000165425.1:CT868067:112416:113844:-1 gene:GSPATT00037804001 transcript:CAK69365 MISLFSIFLQQCLAEILIQNLVNTTQGIQSTPLNNFCENLLPQNNLKISVTQQSSEMPIL AICQDKLENIENFEDLLDSTNDRSNSCLLDYNAYVLKNKTQIIFLSHNQYPTLVSKYYKI FQIEGNKCNAIIYSTTDSEYILTIESFEIDECAEDCKNKGVCVKGFCQCPIGFVGYDCSI AATNIEYNNYFYGFQVQYLDLITLQSVDYTIELNSDISYSIQCYSNSSRLFMPHQIGKTL KIEEDQINLCKEQTLILEQFTKISYYSQFIVVTNTSDVVQYSEYNTEQPQILIVVIVLVT ILSLIIIFIIVVYILKKKSSVKQQNNVNQIQYFMPVQQFLSVTDRKSSQKDEQFCSICLE LFKPDSNVRITYCEHIFHVNCLQNWMRKNKICPLCRASLDTITIQSKRQDLKSPQKTHIL QQLGQQQKNDGSLTSIDGSLTFHSPVTKQLGVAKHSKFLK >CAK69366 pep:novel supercontig:GCA_000165425.1:CT868067:113900:114793:-1 gene:GSPATT00037805001 transcript:CAK69366 MDLASQDQLDPVSIYYLNITELNSFRFDFNERCGFIFQCLGKNPFYLRGELEYQSFIEIS KEDSKMCVDQIHSNNEQFSLNFQTLYVFNSNSQVGILSDQSDGSNRNTIIYIVTSIGLSF LLIIIFACFKCKKRASQVNNLFGNSSSMSQKITLIRQMNKFMPIQTFEELIRKFPGLSDD LCCPICLENYQQDHKIRVSYCTHFFHSDCLDLWIEKNEICPTCRSSLNYETLNKLTNTDN NDDGLYQNASSTKQISNYSSQKKIRLFGEHKSANSPAVPL >CAK69367 pep:novel supercontig:GCA_000165425.1:CT868067:114810:115308:-1 gene:GSPATT00037806001 transcript:CAK69367 MNGQINIETDIFDRINLTVDQRLIITLNNYYSHKLPLFLICRRSPSFPRIPDYFAIQNNQ CVADLNSYDFGKPTQTIVVEFKEKDNSYMNIIQLKKNTRANIIVVLEQRSDFMINFDIQN KNECYVQFISQELQTMPKLRDVPKWLVLMCSRIYRQ >CAK69368 pep:novel supercontig:GCA_000165425.1:CT868067:115485:117068:-1 gene:GSPATT00037807001 transcript:CAK69368 MIFGLLLLFIQINGFSVTKQYRTQGLQYIDLDLDQFGQTSTGKTTLTIILENKIKVSYPL LAYCFNNDEQVVKSILQSISSIQQHIESNKKQCVFDNNALLYYQNTQQLVITNNIDDQNE WVYNQYSVYQLNHRKMRLYVYSTQETTYTLTISSSEKGECYNSCNNRGYCSENAVKLCQC QLGVLDSTCQMTSNIINFRSKSSFQMERQTENLIIVPLPDSSSNLIITIDSQLEIKLYYG CSYQKQYIPFYQSNSFNQTNISNGNLMISEKDLQNCFENANKIEEALETPLQKYLIILFV NEQIMNTEIKLTLTSGDSEESDDTLEIVYIILCVLGSLIILILLIICMIQKQRKGKRILI LQPSNEQANQLKLKKFRHSLRQDYIATEIYDQVIQEFPGLQESSECQICLLQFKKQDLVK LTYCLHLFHQYCLDEWRKRTQTCPFCRSNLTQERYMQQIQDEQIIRLGVVVDDAIQIDPL KLQEFQQREQRIRQLQCK >CAK69369 pep:novel supercontig:GCA_000165425.1:CT868067:117585:118017:-1 gene:GSPATT00037808001 transcript:CAK69369 MSQERIEQRPETSKCSRLEKRRITNSNQQRNRTAIQSRTAQNFNPNSYNGMTLEEYFRKK GQDNTNTQVEKKKKFLVTSNEFFMEFQNQDAMRRTHMGDSKYMNMKQDLKKKIQDYDKDL FQKYAIKFDLGNGLGK >CAK69370 pep:novel supercontig:GCA_000165425.1:CT868067:118711:120078:1 gene:GSPATT00037809001 transcript:CAK69370 MKIFWLRPSAGDSQQSAIVYQKQQQEAVKQVITPKRESTKFPFHLSLEKAKWIIDKQRFN LFQSVDNKILGNQVRALLSAPVKNWMQLNTNLQNLEQCDDFFNIHVNSLFIQLDEQIFKK KNENNYQMKTLVLTLDYYMNIQTFLLFLYWKLLRNSEELIIIERLLEWIIKIRYICLNID YLMEHFIHFLFDNRIQLRQVKPYEIIPKPLIGYEEMDLFSLDPAVLSNVITEINEEFYSQ LQFNNLLTNLDNGKQSQFLSEYFDRINSFSLYIQTTILKKKDRKKAIDYFYEVCLELCKN EDGEGVFLLFTLSIERLDQDLLHSIQYISPIQQQILIDMTKTFVCNKHIYTPSTTKKLYP IPSFYHFWTKLKKLEQAAQQSKDLKYIDQIRNILLDLMFIRQSNSSRLLTRQKQSDPLLK YFLLKGHQIELSQMLEIPIGNWNQMQLKLMKMASN >CAK69371 pep:novel supercontig:GCA_000165425.1:CT868067:120183:120667:1 gene:GSPATT00037810001 transcript:CAK69371 MQGNVQQVFLQFTANKPEMDGKTFAKVSKDCHLLDKKLTSTDVDLIFAKIKPTPAARSIT YAQFEKGLQMMAEKKGVGVQDVHNQILNAGGPHFQGTKADAVKFHDDKNLYTGVHANGGP STIDKNHGGLNTICDRSQADVRGSQKMMKNI >CAK69372 pep:novel supercontig:GCA_000165425.1:CT868067:121095:122620:1 gene:GSPATT00037811001 transcript:CAK69372 MDVKIKDSFFDDPETTTFWVNNGLPNQDQILQMQDSMYIQKENEFCKRTLGIQNHYLLYF KKQTAQKWVNLDNATIEYVKHQSVGLGIRISKHKQCFEFFGDVEPWYNYLRQYCVQRNFS QSYTLLKKIGQGNFADVYKATNKMDGAEYAIKCFRKNKLKENVDRLSMIKEISIMKKIQH ESVIKLYEVYEGDDCLYLVLEYLRGGELHQFMKKSPPFSEEKCSKLIFRILKALYSIHQK GILHRDLKPENIMLRNKDDLDNICIGDFGLADYYSPSGQYLFTRCGTPGYVAPELLQDKL YDFKIDIYSVGILMYILIAGKSPFDGKDYDDVVMRNYYAKVKFEDCKLTEVGMNFLKGLM NKNPIQRFSAEEALNHPWFATENSNKACQFKIRKQNYVLKKLGHCELQIKQFSAISTQFS PKSSLSSLSPYCMTKYNLDESPQTPNSPSAQFAISTPRTPGMCKLRPVRRSQFNLKQI >CAK69373 pep:novel supercontig:GCA_000165425.1:CT868067:122908:123431:-1 gene:GSPATT00037812001 transcript:CAK69373 MSQSIATRSPSQCRSHHQKFNPLVVQGKSYKPAKKFKQSNKLSYLKMRSKQYIRQQFQKL RNARSESISEEELDQVIQ >CAK69374 pep:novel supercontig:GCA_000165425.1:CT868067:123789:125482:1 gene:GSPATT00037813001 transcript:CAK69374 MLSQSNLTLTTQIRLATVIQAIAQNEQLSEKRRQILAQLDMFTVEVAFLRLDQSRVNQLA HTDIIEFLQDNKISHSQFEAAYLFKRLDWDRDGRIKLGDFLQYILPKRNNTLRDIAKART PYQIEPGMLLPNEVELALADLFTQEINNYRTISHARQALVNSLDYSTLEAFKTLDLFNQG FLTKETLSLFMQKQGAPLLNEEVDSFFDAVDLDQDGRISYSELVEAVHLMEPLPYRSSVV RDTDYIRAVENNRSLERLALPSYPYYFYPYYPYYYPYYYPSLRLENVRQRENSLERLRRS RLQQIESENRIIHLENETRRSAERQRSAERLRQIQEESLIRQKQIEEQNRIRELDRIRDD DLRRSRERIRLIENEARLKQIEAESRARDIERENRLLVLEREQRIRQAELERELIESKVK YQNLERQSSLERLRQLEDIRRAELDKLRTSSALAYSPYYSTYTSNVPYYEKVLQKYPYSL IDSINAFNSIRRYSPINNKSLVQSTIYESPSKIKKQEFKQK >CAK69375 pep:novel supercontig:GCA_000165425.1:CT868067:125611:126709:-1 gene:GSPATT00037814001 transcript:CAK69375 MGACCSFQQGGEMQNGEYRQFEPQVMPTLVPEQINFEEHQEEIVKIQSSMRKKKAKNVAA QMKEEAEKEKPGDAWVQSKEPKSMEQNVLTTQTKLGKFKFDKKLPQEFNECRFFIAHEHK RNQGNIYVGQWLSRLRHGRGKQYFADGSIYEGYWKFDQANGRGRIIHSNGNAYEGDWKNN MANGYGTFYEFDGSLYEGEWLQDQKHGQGKEILVDGQEYEGTFFQGKKQGQGRAKFPNGD IYTGQFENDSITGYGELKFEDGRIYKGQFKDGKMHGKGHFVWPDGREYLGYYCYDQKHGE GEFKWADGTMYKGEFRDGKQHGKGILIDKNGVQNESCWSEGKEKRQKSEA >CAK69376 pep:novel supercontig:GCA_000165425.1:CT868067:127570:129052:-1 gene:GSPATT00037815001 transcript:CAK69376 MKKSGGLQADHSKTSFFNDGNQLFTQLTQQNLQFQDQGSFQMKYTNRVTQINIQLAENYL KITEEVLKFENIQQKSYKYILIENACLRVVMNSETQQLGIRLSKNGQQAEFYGTNLELVE KLKKYVIQSEFQKKYHIIEKIGSGYISSVYKVRHLLSGQKFAAKIVEKSTLTRSSTLEKD CFLNEINILRQIRHNNLLKLEEIYEGEKNIYIITELLEGGPIEHQILNNHYMEQEKIKVM HTLISSLHSLHQNNIYHNDIRYENILLKDSQDLQTPCLINFGKAMQIPSKRSNVTSSNHL DQEKIINLCIKRDIYSLCTLMLTIFTKKVYNLNQVVDELLMKNFQYLTRIEFMGLCPQLQ RFFEMIFTDRQNNKMEILTCEQILEFDIFRIPQKPRNSKMFIAQQLLPILPRRMSKFIPT QENKDKADQSANSIKLPPLNRGDSTSVEKSFSSSPKSVRLLSSHLNKKTKKSKSILRKQL LNKML >CAK69377 pep:novel supercontig:GCA_000165425.1:CT868067:129469:130861:-1 gene:GSPATT00037816001 transcript:CAK69377 MKFLHNLDLFGISYEQPYMPNKKTYKSSFGGFFTIALYSLSLLYFVYKLHSWLIGNINPK STQTYQVLNNVDLQLNYPLISISFDQNTQQLDPLNSNEMIIQTNFVQIFLVVNVEAQNNN KIVLDNFNFSLTNSQTSLYPTQTLIALQICDKKSSANCASDEKIKQFLELSGISMKIDMN FLYFNAQTNQFERITKSLSYAVETDVTLLNVFQLQMQKNIINNGFLFDNMVETQVVNDVQ IITQSVKQAYFQNYLNGLIAVYEFNLNQLAQIQSIQYTKISEILADVGSIISTLMMLKGL AIAMNTYLLEELLNQQIISCYYPQFKELSINRNLFGQIKSVQHFNSQIDLIEFNKFYTKA KQVALKKQTVINQIYEISRLQFLVQLSIDKNKISQSHKFGIPLHLDFNNRSNTIVPFDDS IQASDRDKIYGNEDFNILTLENI >CAK69378 pep:novel supercontig:GCA_000165425.1:CT868067:130998:135766:1 gene:GSPATT00037817001 transcript:CAK69378 MQTMHESYHYDLLTKYSDYLNPEVEVVNIEPMNNFTQEKPKPNYTITELSQYINTVQFDK SYQTTSQYNLKKYPITIFLCNKDVIILEYTKNAKNQMDIYSHHEYDLTNTINDVKSCTTM YGLFDDLKVNIDCIDQQNTFINVILEIILNRNMKIQDVQFTLQFYSFENLLGSSEQVTAY WNNCRKKDIKYIVHYSQISRIFYCLYGNLLQPQDQIILIEESTQLVDDIYIINVYVLDQH NFVKCNSEEIVNYFYIKQLIANSITRITEYTEYEQQNKWVISLLLVDRHGICKCGNLMKN KIQCDFLELQEGLYTNLYSSSYLEEITYIYVASEILLYKINFYFTFLTDQDKIEGYFYIK QDGFNLQKIHIYYDYLIVVMSKKTNITNDNYLPQKILFFQKKYTIQQYVPNYIIDTTTNY GIFRVNFDEFPGSYYLEYNYKMQNLSLHQLQCKHLIFTYDQPIANKNYLMFQITLQIISL SITAQDVFNLVISQFNDDSFFLIKENVYDDLVFKSEQFNFNLNTNLLGPLLNYHEIEWED NPVTASSVIEYIREIKFMHFKSEFTKIFSGLFVYNEINAYFSLVGLIKDESNEIVLAYQQ FKYDKVRFVEEGKLKQIKLEQFSFQNIDFFSLNILTVIIGDSASKKYVLCYNNQFSSCSQ LTGDFLKEQDTYDYIQLNEFRCINDTLFAIPNNRKKIILFSLTCKIFIVSQMEVQKMGQI DSETIPELDEFEILDTQFEIIDNKLSFLLLSDGSIMQIITYYSISDYKLNYKVINKIKEP DCIPMMKNSSKIFFQFHQDKRVLVYICNENSENQKIFEYDLQNPYNPFLIRRYSLFNYQI NNLLKPFSDSQVVYIPVEAKGYLAYSPFFPSPNILLHDIPVSKDLISAIPVVVNNFDTNE WDIFSMAFFFDKNGGTLFYLNSNPVYYSVLNNDDTESKKMIMVYQQNQDSIIFKEFDIFF DYSETQIIFNPNNIQLVEASQDVILDLIDSQVQGAVEDFEIQNLSDEKNIIIKKNDILQL NQYLSDHYETLEFGRIFDILDMNQTEYMSIINSTAYENNLIFTVSQYYFIIYEKQRQTTK HINRITILKQERLTNLNSQLENLRQCRLSINDINQVLLYCYKYYSSSLQNHSKLVQYFQI NFQYDYANSKISYKIKPLKISLGSRLQIEAINPIKFKGQIFQFIKYNYENSQDQYELISG KIENGQFTSKQIIQSSTFDYNILGQLSFDTILLDEENILVLTLDIHELRVFFFTFENTTS ELFKTKEEKFSYQQLNLYLFHSTSQYRLETIHLLNCYNYTCLVLLGTPDKFFEFHLILSE TETAKIVKKYIYLNYFRCIHTNKQKPQIQLNGKLSINQLVAACVKQDVEKNFFFEDKFDY IYDDLQVFVQIFHKIQDASESSPIRIYRFFFDITLRDRFHFLLYKREPDNNKHILFENDT YFLIDYLKQDQISFQIKTNYTKTTTVTGNLVLSNIFKKKTVDITITFDFPIERHYIWIYI TTATFLLIIMVVLGILLWLKKRKRQRCKKKKNDSFSKSLIGLDDVLKEVILGEGKRGSQT KLDDSYSNGNESD >CAK69379 pep:novel supercontig:GCA_000165425.1:CT868067:135808:137270:-1 gene:GSPATT00037818001 transcript:CAK69379 MGNCNNSKDVNFDDALLPCKADFSLLFVIGRGGFGRVWKAENKKSKQQFAIKEMNKCKII NKKSVSSVMNERYLLTNLRHPFLVNMHTAFQDRENLYLVMDLMQGGDLRYHLCKQRKFTE KQTKFFIACLLLALEYLHTNTVLHRDIKPENLVFDRNGYLRLTDLGIARIWKPDNDNDTS GTPGYMAPEVMCRQAHGVASDYFAVGVIAYECMFGKRPYIGKTRREIRDQIMAKQVLIKS NQVPEGWSDDAADFINRTLQRKPMNRLGFNGPEEVQSHPWLKDIDWNNFMNQSALPQYSI NINNDNFDAKFANMKEEEDPETNLLNGQLLRRQSIQDQFNGYTYDQTITQQPFQNSINSK QTVPHLNTQQLTSAKRTNIEETNPQLSQMSSKKYNLISQTPRLQTKNMLTSQKPQTDRNY FTNKFQFN >CAK69380 pep:novel supercontig:GCA_000165425.1:CT868067:138146:138808:-1 gene:GSPATT00037819001 transcript:CAK69380 MVQRVYLQPQQLLNGEINVKETSRYFGLKNKIFELDLMEERSWSCKIFINQNYMNVIVNG VVVNILQVNYCVQLHQIKIKLIILNRTHLILDVLLKQTLQIFNLNQQTFINKSYQNKISS QGLRILILDLIINIMEKLLNIFLHNQRNQLNLKWKQDITNSRAFSILPIEAQNYLKKIDE PREYQSWIGNEQWREQIILKE >CAK69381 pep:novel supercontig:GCA_000165425.1:CT868067:138848:139157:-1 gene:GSPATT00037820001 transcript:CAK69381 MKSVADPQNYGDEVPAVALLFPEKFSHMGLSEQDFLRLRTKKEIKDIFESIGIKYGFGKF EGIFKRAKQIQNKNDDKVSVKSFQLAVQEMHYID >CAK69382 pep:novel supercontig:GCA_000165425.1:CT868067:139330:140191:-1 gene:GSPATT00037821001 transcript:CAK69382 MSGKSRDYFGTLKSAGRTVLKEDSAGAFKQVPETPSHIKKYRKSYKHQFGCSILHPGLVD APKPQGNWVYGRKTDQSDKVGELFRQQPQGIRELINEINEQKYASHIKEPLGTMPTRNYN WPDEAKSDGFAFGQKIPPSEYSAKEVVFPPDAERDEEKIRLMYLKSHGNFEAGEQKNREY NWKINPNDYRFGKKEEREQEQVKKILQHELTQNQYPKTTIISKNQEDWKNYNEDPLGKPK NQAQLNLRMPQIFGEMKKR >CAK69383 pep:novel supercontig:GCA_000165425.1:CT868067:140249:141088:-1 gene:GSPATT00037822001 transcript:CAK69383 MKILVVLLYLALISLAYEDSPGESSPLQVEVQIFGNSEQTKDEEVGIFQFDEFKRGTGSI NQLFIKDLLETISAAFESIANNEDDATFLQDAQKLPPQQDGLEPIQVESPIFGFFNPFIS MFQPSEISDFVEIETISINGQKQTKVTKTQTRNGITTTTTEITRESIQNTNNNQLSDEFL LQENSVVEEGEKFFGSEEDVYGDNINLVNLDDLESVKDFHLVQDNYVNNELVMNGEEKQF LQLPLGLSIFGGLVLTLLIGYSIKKFVFKNNQ >CAK81917 pep:novel supercontig:GCA_000165425.1:CT868420:1:273:1 gene:GSPATT00039479001 transcript:CAK81917 RYENNIEDPYLPEFDDEHLLREEDKQTKFERYVIDSKYENEKQSVKDEVKQQVMEIRKLN KSKILQSNIQQSRIVQIDDHQE >CAK81918 pep:novel supercontig:GCA_000165425.1:CT868420:275:974:-1 gene:GSPATT00039480001 transcript:CAK81918 MQKLCILALFSVIVTAQESFLEKQKHIKQQKDLSSEIYTIKKKGLPTFLGRSSDDSIKEQ LSSLLNYEEALKLTPSEAGEHTIKFVESMFIIDQPNPNAVRATEKPDYHLFENSVQQKKV YSNEKVTTFQDGCIYVFSECNFKGEHAKSCPGDNVTFFGLPFEVLSIHLPEGGSLSLIQE NGTTAYTVTNKCMRSRPIEFLFVEGAIQQSFGNGQIYIDQ >CAK81919 pep:novel supercontig:GCA_000165425.1:CT868420:1135:2460:1 gene:GSPATT00039481001 transcript:CAK81919 MKKYRENQLKQQNTYGGIEVQKYGLKLLVFLNYRLESKSKKHSIQKKNGKCSPIIRKDLT KSELKALYATGVRCRGHHKAGNEEFFKQTGKKLYVNQYRKLVVNPYVNPKKVKCRQSGQE RFHNRIGYVSIHILLRAVEINKYLDDYLVQELKRSAEKFQQLLLIHAEHHEDERIARFSH YHKVINRREFKKIQFFLDYVNELKQISINLYSDQVGRHPLLFPQIDQNKQSKIYELLIWG NDWQSNVQPNQIGKNIQCQSKKQKKMMITNRPKINHNNPNNNPLQIINPDSLEPETSKLK LNSYDLVSTATHKVCNNASKQQIKQLDKQELKSFRGHYYRDGQIRTKGLTTILFDTDMRS DEDDQDQCQNIEENRKEFFDKMEEIYDCVFGGKASLVSFEKSLQFPN >CAK74246 pep:novel supercontig:GCA_000165425.1:CT868177:9207:10558:1 gene:GSPATT00038979001 transcript:CAK74246 MQFQDFSIEFILQNSDQSSNSKFNFKKSQFYLITKIQQLHYDELLSNINDLAQQDKQTVT LDECRITKDIETNKIRFLTKHLAIAKSKILNNNYKHLILIQIQNKNNRLDYRIQMEFQVL LKSSFRKNFEKYMPKSIKLRIVNTNSLVGQDEKTNFLQGDFIQKQENKQKNLCTQQECLQ VIGIFKDFFFHSVGGRAGSGFGSLLLEQISMQYPLMSAQIKLEIQKPQYANCNRIIAQSI SSLTCPVRMKGNFQTLDKFQYRLVTFLTTHFLFSSSALFERIEKFNKEQIFTSVLKYEIP KPLNMIAKGNPANGKYMLCSVLYRDGVRFFQIGATAASICMQKTVSFDQIISKQRDSVCI SKGEMGQFNRSTLLIGNCTSINILFSQLNDRFDEMVNKKLFFDLYDQDGISEEEFEYSRE NFSTS >CAK74896 pep:novel supercontig:GCA_000165425.1:CT868203:1:816:-1 gene:GSPATT00039057001 transcript:CAK74896 MGTQHSSPINFACVSCQSNDNEFAVIQTIIQQSIDWKKQLQRECESIKDRCKWAKYFLSQ VYGGLKSQPIEGYIIQFQSANQQYKSQFLKNSRKSQSYSSNPNLSIKQSSESSIKQLILN TDLTIQQLEIQTNTILNKQEASVSLQIIEKKKISESFDKEDELLMSQLLYYVDMIKLLKQ QISNQDHQLNVILHFFIDSFKRQYNMDRCSKQLDHAVTDLKEFSKVFQSCIQNYYSIEKS QMSAGPVTDLFNRETMLTAIYSML >CAK74897 pep:novel supercontig:GCA_000165425.1:CT868203:1996:3321:1 gene:GSPATT00039058001 transcript:CAK74897 MENLFCQVHPDIPFFDLKKYHQTKLIASSNNRVYLYKFLNQAVAVKEVILQQLENEQIEK LKKQYQIQKGYSETIIQIYGMAMQQQQRFSGKFQTIVFICMEYMQYCLQDHIELIRMEQL PINKNSLASLLKSTVQTHAYLQSIHLCHGDIKPQNILINDRINPTQFKTCDFDNMIQLVH SKLQPCIFSTKQYHAPEIQQAIKEGLESFNYNPYKAQVYSLGLCLIQMHPQIDFLKINHI TFMLIEQVYGSQIQILISKMLDPINYNRPDFFEALSYLNKNSIANISTEQLEYQGNEILK SQNSYFRNTPDMFDTLRRESKYPNQLYDSVDTTSDFLILGQVNLSNEEETTFSDDHITSK VGTRSTQKYFCNSKYITKTNIMIFCSILLLLVLFILLLMYLM >CAK86034 pep:novel supercontig:GCA_000165425.1:CT868555:596:1047:-1 gene:GSPATT00039739001 transcript:CAK86034 MDNCQDLLSLQQKIKNIMKLLQKRLSLFCNNQLLKWGNNDIQNYFNKLTFSQQLTIIFGI ISHLERNFIMLMKIYNQKIYILLMEGFLLFMCRFKCKSYNLNHKDENFKKEILINQNKKG MILILLLKQFNISQKDEQRQKDS >CAK86035 pep:novel supercontig:GCA_000165425.1:CT868555:1161:2239:1 gene:GSPATT00039740001 transcript:CAK86035 MIRKDIQFLLLIIQLVDGFRRFRSDFDTTGYAVECRGAHAHAPGMHPHPCVYYSSICNSV TEPQMIIYNGNERLCHPRLQVYPAGIDSNVPKVRICLEKSLIYNFSEDTDLEISCEFVSD QCQIAQRSGDKLKCQYCSQNNYGENCQPSDSIVLGCGTYCESCVSNYCGTCKEGYSPASS TDLKCRLNCQPNHSSCSNENGVYSFYGCRKGYELVGNQCVACPLNCTQCVTGVCTACKSQ YSLKDGQCFGDINCIRFDYNYDPNTGLAVGITCQQCDFRYFYNPNQQKCTLCNEQPDLKD CLICFNATECKICQGTHVITADKKCTPF >CAK75726 pep:novel supercontig:GCA_000165425.1:CT868209:3542:4217:-1 gene:GSPATT00039064001 transcript:CAK75726 MAQIFLLASQRDCYMPVLAKPKTKLLQVIQKLKMKAELILIIYIFLIQITKQGCLSQIKV QELLLKRNHKSVLQQQAGALISQARHNFFWGGNLGSCLKDDAYTIIAKELPYTGCHINQN ISISLMQKYLLNTFKIWLWDLGYLWGEDIRFYTIIVYAVLNGVQTKIYDSKLATSIVKIT FPDQFVERFDVLDAGGNTYNTDLHILKTDAYYKF >CAK84528 pep:novel supercontig:GCA_000165425.1:CT868510:600:2012:1 gene:GSPATT00039685001 transcript:CAK84528 MSIILLGNIGTGKTTLYNKITSSQEKTYLGGTADTKSVFIKNSRFGSGFLVLDTPGFGNS ENKLDHIAGVLSALSEGPINRIFILLRFQRTDWIKSNVKTILPAFMNYINMITVIITFWD YCEENKEAYNKKEIQQMLTQLQINSVMFVGRKDTPESMCQQIDQIISKSKAQNVNLTENE IFQNFDMTDQDEMEFFEIQHIVEEFQSYYIEFARNMQQLINQKSISKELQTDFIYSITQL AKQMLEQTMNTFEKRILKLIDNQSEVNQLKSFKIHSELKNRLFERYQQIVDQVHRKYYKG KHFSNYIKKCYYCGQIWFQVTGCEGQTVCGRLNENPQDEFIQQEAAPQQYIITYEKGSLE YKPNESVKPKAVVLNERNKRNLMSNPSYIQKMTLGDETFNVGIGCRRVIDWGKMLPLSKQ EMDELLSYLDDKLRDEMIEAEKRITQKKKMVYKNQLEMKKIEQKQKTKRA >CAK66457 pep:novel supercontig:GCA_000165425.1:CT868045:3030:4584:-1 gene:GSPATT00035586001 transcript:CAK66457 MNELMQGLLQDDNIIQNRDNIKKINRQTIQNIQQDLFYFREVQNFNLIERMRKRLFQTNQ KNLPLILHYSNNFTAELNFIINHSVEIDLFLKELSKCIIDGFDQQHQSLQNIGTGSTAQV RLFKIDQVFLAENSIDHKLYAVKQIEKEQVTGRHRVLRSKTSLEEIFMMWSLDHPNIMKL HQIYESEKHIRLIICLFKENNLSIKSKILISYSKERWSNYQLKQLIIGAPKYLKLNQGIM HQDLKPFNILYKNKDPLDWQFGIGDFGFSTQIKQQQQHMHQKLQNIKKSQRCMDCPAIVR ITKLNSFYNSHSFKSKTKDDTFRQNVNDQINYYGKVCIPQSLKSFIISKVRKNPLHRFTL QQCLDYDFYKENLSKFNQLSQEIQEQIQRCSFQTQAEDNGDSKHKMSNSLVIKNTKQQKK AIFTSRFLCYTETINLTLRFIMNKYSNKTQVISLNYTCQNLNNRSSQSNKIEQILLLNQN QLNQKRFVKFF >CAK66458 pep:novel supercontig:GCA_000165425.1:CT868045:6088:7839:-1 gene:GSPATT00035587001 transcript:CAK66458 MVQGRKNSRMYKTRMIQAYFVQLFQKIQPVEIIRMSDSKKKSQSVLYIYEDESESKFKET IKYGVLEYEQHQYQQALFQFKQAEQILTAIASPPIHYQLINKINIGTHALYQGCLILRHR MVGKCLHLFRRYYFDIEERILLDTIRLQCFLQCCIILSEIGQHHEALAISKIAIKKALKL MFDLHSHSEESLQKKLLEEILRKSIALPQLKPKQQGIIITFPSSKTQQLTQENLLYIFNN CPTQYIEKANILSYIQMTPLNFDSLLLQVNPSNQQFLHILSLFVISLYCTSTESRFIEQI LDPLQFTEAENYLSRALELVYLYFPKDMPLVNQLLNVHNRFHDISKQIIQEDVHQKHEQN YEIVLLKPLLEPQKCGFVIPIIRKSKCSYLIKERAISLKEQQFQITKVQNLNIDTNTYEG SKPQNRKLRSISTTNKNKPNSPKWGLNQVAEIYLKEKNVSNHQNINITNFRQTHFKRPQT KASQKQYCFEKPRFNINFLIINNLNHVQLPPKRKRGTSFNLESIKPPQTAQNSAKGNKEL LKSYQMQTKIKNLIKSYQI >CAK66459 pep:novel supercontig:GCA_000165425.1:CT868045:7896:9080:1 gene:GSPATT00035588001 transcript:CAK66459 MGQCLNCFKKNQDCQQDVVSQQANTNSKPLNEHQTETITFYKQSIVLTNDSHKPIVTDFK LLKTIGRGNFGKVLLVRKRSNGKVYAMKIVNKYDLQVKKQVEYAKTERIILEKIDHPFIS KLHYAFQTQQKLYYVIDFCAGGELFFHLRRAYKFKENQVQFYAVEIIIALEYLHDSKILY RDLKPENILLCSDGHIKLIDFGLSKIISNRDKPSFSIVGTPEYLAPEVYSDDKLGHDESC DWWSLGALMYEMLTGAAPFYSQDRTLMFRNRTEKQTEMKPWFSEACSSLLTGLLNNNPKQ RINIQQIKKHPFFSDIDWNQAQNRQLVPPIIPELNDELDLRYFNKMFLDEPPIDSPVNKK EQFDNYDYFTYNSEVLTES >CAK66460 pep:novel supercontig:GCA_000165425.1:CT868045:9684:9929:1 gene:GSPATT00035589001 transcript:CAK66460 MKVKIKEWNAVTSWIWSLDTDRCTICQLAFEQPCPRCKLPGDECPPVTGACNHHFHLHCI VRWTEEQDYCPLDRQKWKVKN >CAK66461 pep:novel supercontig:GCA_000165425.1:CT868045:9966:18348:-1 gene:GSPATT00035590001 transcript:CAK66461 MSQLKKFQQADFQLVYPLNNKTSMQKKGAELFICKLVNPQKKTQKELVDEMDQMKIRLSK AGIYIPEGYWEEKQALCLVYKKFKCSMADLIKQHKRQGVYIPMNKMQTYVNEFTNWVDKI HKSKKGDNQRYFHGRIKPSNLFEDEESECVVTDFTQFSNENNELYLPPESLAAIKKNQSQ RFASGSSAVDLLGGDPVKVDIWQLGLTFLQMASLKDIEELIIFRDSNTQDSAKAIEIKET VKKLYGQHLYNVIERMLERNPSTRSPIHELEDTIQDFFSDNVIQHDENLLQPNPELLLDE LKQKVTQQKQQTVVQYLSKYQLQPVSRKIKATNFWDALEDLKISISFKEKAILLQTYDVQ KTDEIDLSSWIQRQKEVVKLGELSQAQDHELFEMLKDINTYLEDSNINLIEILKASDQEQ LGILPFDKFDNIIRQEGINLNPEDIPLLKMKYDPNKKNTIYYESFCDDIKSTNQQQLGVD DLKKKMYKAIRDVYNVFISFFRHYDSKSKGYLGYDDIYKFFNEIKYQPNPEILKQVLFNL DPDNKKMISFDNVRTYFDANVNEDLKDILTQLVNGLLRIQMTLPQLIRSSTKSSSIISQS QFFNALKQSNDHLRQTDFQFLLNTFGVKEEIEYPRFVQAIAQKARELHVQNWKQLQEADG GDSVQERKSNFDDPELSLQKSINFNPKSFDSQKKLLQFISKELQEKDETPRQYFKCTFQR MSIQQFKDKLRQLQVHKADFEVEQEELINNLLVPNDRTFVDIDLLIEAILYYKDKRNDQI RFSQHNAVIFFEQLNKMMKKKKMTYTDIEDLDSLGDGTLKKKHLQDYLVKQLLIDSEDEK FKDFLICIQQDQQNISLNKLRQGLKLDEAANKLLQDLNTELAYEQSKPEQLFRKYDANRN TKLEMREFQEFLQQLVGNVDQKILETLFHKFDANNDNTINLNEFKQKIINQNDENPKQNQ QQNRPKQQYQQEQYQEPQQQFRRTSAVDSKVLTPQIMKSVFRLKDALNENNQTLQIFDKR GRGEILINAFQDIINHFGFDYAEFRSLASYLQSPDDKKFLQYEKLQLLLDDCVEAEQLLL GLNQTISAGKNATAQLFYNYDQNGNDVLDRQEFNKLIHDIDPSIKNKQIDNLFLLLDSNG DGSISISEFKAKVFAKEKPKVLSQDNKQQSGGMFNEVIKFIKSSATILGDFEGMDEDCTN KLDVESILSVLKKNNGPTPQTLEMKGIADQLGALQGNQINYKMLYQKAKQHHIDTPQNKI DAILTKLREQLQQKYVSVIDIAQEFDLNKKGKVKLKGLKLGIADKSIQITDNDWDLLLTI LEQDAQDYIDYIALNDLINKGLAQYKESRKVIVINTNQEIDKIVVSFSQYMEKETCTLLY LYRQTDRDRDNYISNEEFTDLLLRTIGYSSSQDVQRQLFEIFDMDKDGKINFTEFEYQVY KRNELTKQQIEDMKLVMLNGRNPQFEQQISELFNIISQGSQSIEFKQFTMYMNYFKKYKI LELDQFFRYFDKEYAERLDQFRFILSFKQQQTRITLQYEQIPQQQPYPPGPNGYQQQPNQ QYQQQQPNQQYQQQQQPNQQYQQQQQLNQQYQQQQQQPNQQYQQQYQQQQSYQQSQQQQL QQQQYQSQQFQQPNQFQQSPNPQQFQQSYGNQGYQQQPQYMQQQQNQFKNQNDPPNPMLG SFNPSNISQSIVYPPQNTNYNQQNNQGDNEIKQQWVQNNNKIDQRWSQASDQQMFPPKQG PDQWKDSNDRQPENQQSIIPQEYDLSFYDQELHRKCIQKNVDLFDVLCQYDETALPELKI SRPEQLEQAFLFLEVMAPTPHIQQFYYYYSQGQNQMSIVLPYLKMNNPGKLLAKALNFTM VKHQQYTKQQLWNLIGEQQQTWQMPQLIKINKNLKIGLNERELREAFQYWDTQQSGVITY RMYDDILNLNQIIVPTKNNNPLQQQSQQMEIGLKQTLDAFLLHLSEVVLSKNCFNLFEQF DKKGYNQIPYNDFLQISKRLLGTISGEEIKAIKQTLQLNGFINLSDLAQSLKVDRSKTGQ FNQDDEKQQFGLANKDQRKSILNTQNKEKFTKMLPIINEFLKKRNKSYDDFALYFFPPNS ASTIDKNIFVKKALEAQFGLTAIQCEDLYDYLDANSSGNISINEFRLVFQSKQGDNNKNK AEQIIMSQDIEQEIQELFNQIDENKNQSLDQRELLKALQSVGLNPGTEELTQYFAQFDRD KSGTISYQEFSHIVKDILKKELLQADDLLEDLRREFRQVCNPTTRMLSKEQVSQVFQNMG VQIKNEELEDLFIEIDEDKSGSIDIDEFIYFIQKNQSGMSAKASAAVMNIKGSRRISLHD LKEIFLTLPQNFIMSFVRSQNKKLQNLPSQQLKPVLDNCGFFYQGLNYVDAANFNIKQSI LDKVNIKNNYIAEIRMIEATGIPIPDEKDVPRSSFLRREVGIILMDKALNKFDGNAIYIP AQWNSEYEDRWIFDSAAMEQAMFLRWGNFDEKMDNMIELIFEFITYSTNKGRLIQISCAY GSIPVYQLKPGKQLLELKGGAPLKDITIDKKDIRTNRSGWRSVVKALSSNIKSQLVVEVV KLTPQTIFKLSALPNKCLLNKWALGMQSAFREYFAYRTQMKGEIELNLASDIRIKAWLNC FDCPDTIRAVAQFWNEFIEPKVNDHQFLLKAVSKMADSLYLMFKNTEFKFSAGDPTARID HDMALLKKRQQLITDAILDMKTNLGIGNQQQKKQQAVVSLEPLNIEEMMDLENDQDLQAI ERFLNKKKPKKQPQQQ >CAK66462 pep:novel supercontig:GCA_000165425.1:CT868045:18358:19620:1 gene:GSPATT00035591001 transcript:CAK66462 MKKFCNFICCALDSDEEKMGEEKQISIKKEEKTSLELYGNFETQNYMLVRMTQKNFFVDF SMRKSKLVEKNPLSVFISYIDNDAKYEQDESSYLIPEKVLQYIGRRMREVKPSFIVDGLS RSGINAIQFSSETNTFVLGNNFSMQQIKNANHNATICNLNIRCDFLQTNLPKLPIIENDV FFIQPDYQIIDGKLTLNNIFPSISDILDYYLVWSKNLILVLPIQVDLQAFCEVFCQNLKE KNQDQLIFEIQKIQIDGELYYYFIYFGEIAAIQTQDEYMLMTEMTLEDSNQQNLFDSTYM LLKNIGYTTNPREIMNILLYSRQMSQFETTLQVLINQLLIKGLSNESTIKQLFGDADVLL SSTPKYNQIQVQENTFGQDCQIKQCYEQQQTQKNKKAKKSQFISFNIPSE >CAK66463 pep:novel supercontig:GCA_000165425.1:CT868045:20891:22011:1 gene:GSPATT00035592001 transcript:CAK66463 MNESQSKISRNTSSSYFSLPKIQKVTNTPKYLEVKGYKNYKYKSYMMDLMKSDKKLQKLL PFHLQNLTKTPRKRYELNDSTKQELLHFSKLDNIFTEGDLEIIKQATVTQGAINIQAMYR KTLKSYEQDSQNTRRADESQLCDEITMNNSIISNIIEHQKQLTTNPRVIRQSKKEIYKEM NTIKEFKVLVKNTQQYKDIYDIKESGKNPFIYQFILRESYLSFAELSRIIQDRILLAFDI NPHNEQEKVYYNQFRLFKQIVISQHFKEQTLINFFIKFFNPHNLPEISLQDFRSILIVIL QNSENMDQLVKYLIKNIKLSQYVEDNQIKDLFGIFKSKILDPINLIYLLLNNN >CAK66464 pep:novel supercontig:GCA_000165425.1:CT868045:22013:23255:-1 gene:GSPATT00035593001 transcript:CAK66464 MSFRQLAIQIVDNLFNFIQKSQNERIITSLTGVQAQLKSKLIELDQEEDDIQIKEVFLQK LQKLLQFAQTPGNLKQVWKLVSFKIYSSQDQFMELIRQIPEMIQQHTQQNCLTISSMIGQ EFTELYAHSLITFQINLQLDESKFKENSEKEDCVGCITYVSPEIQNLRLYKVVMKLKGLI NKVKKFDELINNGNLESELLQFTFDYNNKRITLWSQDDQISYQVTAKLTLDEPPIFEQRI YLQTDMVIQVTKNFQLLIKAYQHDNKQKQLVYNQHFTPQSLGDKQVQIKPIIQTFNTKWI SINNGFEDVIYYTNEIPLKLSQYQTLPKGFEVLLQQDSFGYYVTVVGDCSAYYPRIKSKR SKGQLLQLITCQENFNLGIVYQDNLRILLNSNLLPLWNGTEIFDL >CAK66465 pep:novel supercontig:GCA_000165425.1:CT868045:23617:25744:1 gene:GSPATT00035594001 transcript:CAK66465 MNNQQRAKIYVLQGQWEEFCIGYVYIVHTDGVNPPFIRSILETQQKQCCNLVQFAHLNTN KEEWCLNLKVNPDAVYEFCGDNIIQWQESEINLDIAISFIDSRYASEFWNQLQNSRRHFR YDDVESTYPILPSHQNLEAILSQISDSPELIIQKITNDPKNQFMEAIKRIFEDAEAQSNF HVLEQLHYVIRSLIYIKNENVMKCLLSDKYYVTLFGIMECNCWPLFYVDHSENKGPQRVS YRKFLKDELRFHRITEFEDATIEKIHFNYRLSYLKESVMAYYLYIEDPLYNEFNFYIHEN NQRILESLLIHHKDQFEKFLEGIMDDFIVKCKFINEFFLQFKPFLAIKQLNDAFVDCITE ANLLKVLYQHSLLKVQQKSMSQICFTTLQITIMIANQNQQYLQDFLKNNHDIFWQCMQGL LFCQVNSLNSIIIDIIEIICSNPSVEKQCIEWLKRCLSQPLNFSAALVLQELVQWICQQQ KSISQDLVVLSNYILNILNQQSKQQIKDKFLLMSGLKHFKYICTTPISEILQPYCNSLVQ LAVSKRMDGIIEGQIRDILKFIKLKNCLCQHLVMIVKTLNYSSHHLFVRLVDQFQNDYIA DGKLNIDDQREYEQEDSEEHQEQQPILEKDDIKLDFSRIVRRRNEEEEEEDDETHVRDQK PNGIIFGENCFKRIKPDDD >CAK66466 pep:novel supercontig:GCA_000165425.1:CT868045:25785:27326:1 gene:GSPATT00035595001 transcript:CAK66466 MHEQIGRGYSSKVYKGKDENTGEVVAVKVIDVKAISNEIERSLINQEINALKLIQSVNVI KLHDYYHTNNNTYIITEYCNQGDLGKLIQQQGVIPEVEAFKILRHIINGFKEQIRKGVIH RDIKPTNILIKNSVPKLADYGFSKMIKAPKEKVFYKVGTPIYMSPESYLENKYSEKTDIW SIGVVYYQMLYGQCPWVINSESEFQESVSQLHFNRNVPISEESKDFLRRALQVDENQRLS LAEIDQHPLFLRRNTTALNKMRGALVSNSFNKSITNMNVVRVNQTQQCTRSGSTSHKHQK SNQIKDRIGTVVQHTYQSFHYESQAEEVICNLLNQCKFIYRTCQLIDHIKYLQSPRLREK IMGYFGREVMDIMNKLKEAYEKGVNNIKVINFNHQFVVVILNKHSFREVYLRYKEYYGMS LLGDISALKDVIRELNHQLRINEQNETGILLLDYLTYYYQVIISNNPQQFLDGKPMNEIT PSHYQEIRVKIYNLEI >CAK66467 pep:novel supercontig:GCA_000165425.1:CT868045:27488:30487:1 gene:GSPATT00035596001 transcript:CAK66467 MKLDNDFLKIQTYASQLFESLPVIKEFKNDKDLITQLQYSCVCYGRKLVSLFDKYTILQK KQQEGSNQLLINLASLLNVTPNEQTIMGTIKQQIKANEQLQSKVEYLKQSQQDVVYQKML QHEQKLTQELQEVADRLIKELKQSKDDKQILLDELQIKEDQLKQYQQQLQQSSLLRSPTK QQYNSAQKYSSATKYSSSSKQPRFQQQLINTLQALSQQTKTFLSQYVNQQESAYDYDQLV TDDAQMLSMNVSNIVRDLTHIIQHKEEIVENLIKGLEIQLEQVRLEQQQSEFQQTDNKQL QYQLQQLQAIVKKAKDTRVKELDRAFNSEIPVFIVPDDLMDSELQLTIERHNSKVQQRLN DLAVQLKKKSTQFEQISSQTTKIKIILEEGLNRLAIILNELQNMADSNEALKLLKFCKNE IDSIHVNLNGIDIEQQISIEIKQQRQNWLQQLDQLKKFYSDQTEKLIQENEQLNEQLAKL QQLTQGLHNDNEQLMRKLKLAQQEEMQSKEDKDNLIQELELTISQQEQNIKLYEQQEKKQ RQTMEQIEKELENKKREYLNLQQSKQLEQQDIIEKLTDKNQVLEFENKTYKQQLDVIEKD FQKLKSQRQTQDQLAEQDKQTLKKQLDEKKKQLLQLQTENKKQHEEAVAQIEELQDELAH ISSQLQLRETEIKQLHKKLSELEDFSRKQIDVIRTYEDKLTEAHQVKKQLENNNEGSDQM LSQLQHRYQQLQQQSNQLKHENDKLLNDLSLLQKQYTKQKETMEQDFKDKMNKQSEINKI LKEEARNLKAQLELKINQLRQVEQDLQDKNNDLDKAQKICKQLNLQVNDFRQKIEYFEDF KQENLETSEQYAKQIQQMQNENFQYRRELEKQQQQNSQRQKTNNIQDQQLKEEINNLKQE VRKMEQLLNQERMNYEQEIRNYQNQIGEYTKLDLSMRQKSGQSGGSAFYENLIEAKDLEI ARLTNIVKSLTDLRK >CAK66468 pep:novel supercontig:GCA_000165425.1:CT868045:30547:31877:1 gene:GSPATT00035597001 transcript:CAK66468 MIIFLVIAPALSYLIDQHYQPLNWFQYGDTVCYGVMFDAGSSGTRVYVYSWSCRENKTMA YINITEKSLEYKVEPGIDSFGKDLDSLKSYINELIQFAYENVPYTMHKYTPIMLAATAGM RMIPTYYQIQIISTIRDLFRSSKFLFHRDDWCRIITGQEEGAYMWLSINYMIGKLGITDN EHALTVDLGGGSTQLAFKPQSTIDKSEVNLRIPNHNQYKIYSQSYLYYGIDQAKKAIFEK EINKLDKNNLVYQSPCFPNGYRNNYRYNKSYIIIGTGNINNCLELINQYLNNDKSKCIYE NCGINGVYQPEIDSELIYALGGVSFMAEFLNLEQYTLEQYFINAVKFCSLSYEEIQKHEA YGRNKYSNGNYFSVLYVYSLLSHGYGIKENQLIRSPKLIGNITPTWTLAAMFYQIAQIDC EQDSPICSTIMNN >CAK66469 pep:novel supercontig:GCA_000165425.1:CT868045:31948:32756:-1 gene:GSPATT00035598001 transcript:CAK66469 MPINYAPIPSEIENYKIAIHHLTEENLFLRKQLQHNQVSDVLSLDAEQLKDEVFKMIDYL MKNLNYLPKEQIFAYRKTIRSCTQRSALKRIYFLIFTRYLQAEKTKEEMIKFILRKSVKF QKPTSPIQKHEMKKMNNAFVQQLFLSPQYQSHYSSFLSQYLQLALDENKQKIQKYVNFIV ESISNDQIEDVLNYKRFPWLNEWIQQSVQIAKDLQFSSKPQSLENKKKRKF >CAK66470 pep:novel supercontig:GCA_000165425.1:CT868045:32959:35730:1 gene:GSPATT00035599001 transcript:CAK66470 MPQWQIIWKTFYKHLKILRWDQYQQWRWHNHFQWADTTFKPILILQFIFIIIRLSILADN LYIQITLATQICIHILLFLSFSKAISEESHFFIQFLPLIQQYCMYWICYIIKEKDYFMLY VTLITIYQSMYSTLHMKFMTIILFLIQNIFLADLPSFEGLFISTALLILQILRKEFLYLQ HFQNLQALFMIIDSTPQAMCIVHKDKNFMLYSNKIFDNLANKLESTNQGETDQSPEKSST VHSARNQLSFLQNLQLDELNNNQTLFDFDDEDLEMEDQYKIKNVTYCKEKTQLLSQNRFS VEIQQLPPKSHQQQQKFFQSFKNQEDESIIKKKFTSDVTMKIEFNNSPQININSAGKKSS RKRSQNQIRLNRSSVYATPSRKISVDQLLSKESKEHRNSNQKQSLFDQDLNITPRSNPTI NHKMKFLVNVLENCRIFDNDDGLQIYFINEINQILLRAKLKKLESIKKNILRSISHELLT NLNAIFGFIKQCQDKQSSKESCALQLEQALCYTKLQLYKIYDFFDYRDILEERLIMKSDK FELNSVIWECVDLLKDQIERKLISIKVKLPEVSYIILGDRQRLCQVLLNLIANAIRFTLK GGITIQVEKKQYIESMQGIDDVQSFHQSDSNLIQVSISDTGIGMSEQELYNLRKKLHLAD DDEKVSKQSVGISLGLSVCKYIVKHLAPMHQNYLSVESQLGVGSQFYFVLQYDEEQVQFE QSNLQNSFIHTIPFLEQQSTQQVRVLNYNKFGKSQIGDQLKSLDTNICKCKKTLIVDDEQ FNIHILSHIVKQLGYDVDYAFNGQQAIEKIEVQLANRCNTSTCIGYKCILMDINMPIMSG WEAVQRIRQMEYKIKLTRTIPIIAVTAFCSIQDQQKSIHEGFNSVLIKPITKEKLCESFH QFNI >CAK66471 pep:novel supercontig:GCA_000165425.1:CT868045:36588:39421:1 gene:GSPATT00035600001 transcript:CAK66471 MSQTKLKIECQPKEFDVISSKNLCFANNYAVEIYLLLLSFSNLAYYIYNESSSQFMLIKF ILTSCLTLIAIVLQKRLDPNITRFIKFICEIVSISQLISKDEWNCLAILSLIFDKGILLK NQEIYTYLYDYLILKVLGLTYASLYWNNQVLIIIICNSIVLEIYQILLLYVTNKQTQQQK LENFRKGFSVRSEKINSENINLVDNQMKNEEITKNDIFMKFQDQNNNENLINTEEHWIKR MHAIPISIIILSKKSLTIKFKNQTARKNFNTLCYNDNEINDLIMNKLEFTLLEDSLEMLK NSSSFIIRKQFLNKPVNQSYSSQFDIENLKKLTLSKISQNFLNGDYHNLMKPNTNSIDLY CHQILDSQQFQINGTIFYSNGDDELTIILSDISKQMQLQQFIVKDEFQKKVIESLSHELK TPLNSSINFIKSAIADDQLPLCIKKQCLEPASIALQLQSYIINDVIDFSQFYNNSLDVCV KEFEIKDVISEITSIFNLQFQNKGLNFVIDLTKNTSTNMSTDYNRLMQILVNVLQNSLKY TYKGGAILKIKNLEDDVISFSVSDTGIGIKSEMTKKLNTYVNQVENLRHFSKLKSWNGIG LLISSILLHQLNPQLTETFKIKSKLSEGTKFKFKIKQILIDDHNNNTDDISRRKSVKFRR NSKKNCQTNLVGTLIFVNESAFNTQNLGLNSKPRPNQNKKLKGSTDYDQESVESNNNYQN LSDQYLQLQNQEHNFILNIIDKKKLSGSFEQQSIKSSSLKSKILSYSQLKIMERQSNDSA LEYFKKNLSCQCRRIMSVDDEIFNQNSIKLLIERYGFEVIIFKSYNGLEAISVIQSLQKC SKECGLLNLILMDYSMPVMDGIQCTIQLKKMMKEKIIPNINIIGLTAFTSKLEVESCLNA GMLEVLFKPLKLNDFCELLTLL >CAK66472 pep:novel supercontig:GCA_000165425.1:CT868045:39450:40151:-1 gene:GSPATT00035601001 transcript:CAK66472 MRKSERFLNSGSNTLDDFSELSRSPKIQFCSPDANISQLVQIQPVLITDIKSSQKIQIKN NNPLFQSCKSQKSQLVNLKQFRKMETQYREDIFKSLATKKNSCNCNVILSVDDEIFNQKS VERLLQQIGFDVKLAFGGDEAINLILNLKPCSSGCNILTIILMDYQMPRKDGIATTKELR KLMSDGVIPEIPIIGLTAFTGQQDIRNCLIAGMSDVLSKPLKIQELKDVLASL >CAK66473 pep:novel supercontig:GCA_000165425.1:CT868045:40199:42227:-1 gene:GSPATT00035602001 transcript:CAK66473 MRSINNSIDTPSIKVKFNHSDKVDEMKTTKTMKKRDVQPELSIVWEYYMYMIMSLLVEII VLIFNNQTKILNTTRLIVFILIFMGSLIYIKKIQQNQLLCLICLIKIFQTSTICLNDEVY LIAILPLVYDQIVKNNKVAIKLKVLEKILMKVIGVSLISIFSKQPIAITINLCLVILEMY RNRLVFKKREESQSLINHKKPLDYEQVSHSVTQRVENNQREDVWKKRFYAIPLSILLISK ETLKITYKNKTLFKQFCDSFINEEELEDLILNKLHFSLLSDHQEFVQLSSTNIIKLKQKK SNSSNLFNQKSCMTQLSSYDLMQKRSLIEILTSIKSETYNLQKDVIELFCQQTEISGAKY QLEAKIILSDKDEEFFVTINDTSKQNEIQQFIVKEEFKSKIIESFSHELRTPLNSAINFL QASIADSTIDDKLKLQTLEPAVYSLKLQSYLINDILDYQRYNANQLELYVTEFSVQDFLS DLTSLFSIQFDMKKIAFNLDLSKNSLLYFSTDQTKLTQILVNLLQNSLKYTSSGVIQLKF SSKPNDIVKIVVQDSGLGIAPNVLEQVRVTLKNVEESKDFQTFKEWKGFGLLIVALLHQT LCPPSQKQIQIESTGFNKGTKIKFYILNFNYSSKQNTIKQSLSAKNTLRQSQSVKKSLKS ILLVRYWQ >CAK66474 pep:novel supercontig:GCA_000165425.1:CT868045:43248:44180:1 gene:GSPATT00035603001 transcript:CAK66474 MATRSLVPTFITKTFEMLEDQSISHIVSWTEEGLSFVVKSQKLLQQQVLPQYFKHRNYSS FLRQLNLYNFKKSKHQDGQEFKHKCFRKGVKQMLQFIKRRNNDDGNQESVNPNQPSAKLK EEQNILRVCASDIKDTNTRLDEDMQILKQKSGVLLEEMWNLKKLLHSQFDQVNHKLERID IIIGAMSQHKKFKNISQYFTTKFLQNELHTLETNLNQPLFESPKQEIDDQEQIKALFEEL QNLSKPIHFD >CAK66475 pep:novel supercontig:GCA_000165425.1:CT868045:45486:49918:1 gene:GSPATT00035604001 transcript:CAK66475 MMQKKLTQTNRQAKIQEFTPSQLIQKQLSGQIFEDAHPKIKIIEFQNLADFSSQPVSSVP IDEPLFDPIPPIIQFTDYEPLQIKEKIFKLRNKDRVARRVKIYKPDSRLFQVVPQGIYFM IIIHQVAPGMETNFIIRFSPESKSDYNYDVIVETEREKFVVPIVAVGKRAMIDFPDSLDF GNCPVKYTTEKPVIIRNLGEKTTKWFLKLPLGFDADKREGVLEYGKNEQIVIKFFPTEAR SYKNKAILQYDNMEAYVPIIGVAHNGNVYLSKSQINMTEAFIGLQLQQTLQIINKSNVKV DFEWRAFATEKEEQEKKNRLRNQLEEEEAEERMLIKELVSNEGVHEELELEEDEDSEEEE RDEKAIILKRQKKAELQLARKYRNIRKAIEDDLLLFIDDIFTIEPTQGQIWPNSEMTVTV TFVPRSAFLYQTVAYCNISCSEERLPLNLYGQGMGPRAFLSVYEVNLGDVFVNEIHKTEV VIENRGEIECKFELMPNERAFGKMFKFDVERGVLAVGQRMPFTITFKSSIPGEFKETFRW KLEGSTDQLTILFIGHVIAPTFNFQDEKIQFGTVSYSFEQTKSIRMTNTSTVAFTYELKI PGDGKLAEREFEIEPRRNTIQPKNFDVIKVTFIPKQIKTYEQVMVVDIEGVGQDMLSIPI TADCQAPRVELRPSDRLNFNKGDDQKVFLRNPCKSRVDLINTSELPAKFVVLPQNDEYKV LADYTVEPSSGKINGNSTMSLEVTLTTKKLYDITLPLQINIVGTNNGQPHVITIVAFSEG PKVKTSKTELDFGNVEVLKDYSLKLTLTNDSDIEADFHAFTKNKVSIFKPIQKHGIIKPK ESCEIEVLCSADDAVKVSDVLHFVIKEGDDVEVQLKAKGIGSTIFCKDDLSSVNMGVNYT HRKVTKEIFVENKGRKQQKLQWTQKKPQQKKEEQDSKNNKAPPPPEEESVFSIAPDTIVL PPKHGIMFQFRGYSQKKGKISEIFMLNSTIGTERKANLLFATTIEGDFIQPTLQFSERKL FFKYSWEKNVPFMPISKNLEITCACPLPVNFDLKCQQPFTVNQDKLQLNPGKSAVVRIDF DPAFKSDRKSGDLEGKLQLSHYEHPHKDLIDLIGQVNFPNLIMETNLINFGSILYDTTKK MVMTMKNQSEMALNYEWTFVSEELSTQGQQPNIPINEIFDILPLSGYMEPGSEEQVEFVY NAIGGQRFKTTAVCHVDGGPEYEVTLVGDSSLLSSKMSTTLIELGDVRFCEWVSREFTIE NTGKVTFEFKIDLRHIKKKGFVDVQPQNGKIAGGEKLRITVRVSPVIPAEFKEIILVQIG YYEPEPITIIGRGVYPSMVVQLPRSENPLFQQKFDVEIAKKKADLETQTKRAQLIAKTQN QKVQVKTLEQVQLEVERDIDRFVFCEQIKRTVLDKQDERTHSRDQKREIL >CAK66476 pep:novel supercontig:GCA_000165425.1:CT868045:49957:50779:1 gene:GSPATT00035605001 transcript:CAK66476 MWCLIQLDRKQQPSTIQALCQLSLFQMGYNIVPERVLNLPSGANITLNIQYQTKKNMGFG PTKTVVPIELKKGPKFHLELVANITIPQIVIENSADGQIDFGKVLIGQKKIIFIKIYCEW SQSTRQELTADKKEEPRFVLVPNSGWRLISLLWLKARNYSQKFTLNIKENATPYILNLKG TGTSINLQLQSSIDKHWAPSYPMISLHILYWKSRILLNLTLNYSHQILIPYICRMMKLQI VIHNWNTQILY >CAK66477 pep:novel supercontig:GCA_000165425.1:CT868045:50808:52835:1 gene:GSPATT00035606001 transcript:CAK66477 MVKHRQKAFTRSKRKDQILNKLQDPNVENKEQLQKELDDIVIQETMIKVEYPKKVSEDVL KNIVIMGPPKCGKTHLANYLEQTHRRKVINMNELVQWNQENQTQAYQQFEQYLQQRQNEI QFVQQEREKLLKKAGKKSKDLEDKWGPIPLHLYEYLSEEVIVKLLKARLAHEDCGAGAIF DNLQSKYWPNELYLMKCIMEIDSHLQVVVLKEQFDQYGFEIQKLIEWPGMEKLAEEDKPK KEEIVDPIPTSKDKNNKTQTKRGKEQSQSVTKKQEKPLVSQLQLQQQQQSRQKSRPKSQP KSAGNLVEQSYYDVFYPASFEDEIQKQNFNDLITKVKALVENKYHEKIEIATKIHDPVFD EGKKEEKPQKPAKKGDKPPEPEEPKVEIIDYTHLQKGERQFNEVPFHYNLPQLCQTGLQV IPAPIYPNPNSLPIPDPIYHQIVMKKKDNLNQSIQTGRKKTDTKESSIHQSNATLTQTLK YFNILTPKDMYVKDYKMQSQQKTEHVDEDKHNLQEEQNRHLEDINSPSREQLQHPDQLNK PVTPSVKARANVTSRSIANVSKRSQQQKNADSSQGNDQKYNYKLEDLTQKQYRWNIPAGR TLILVIQFFTKHTGSFEGRLNFDNFFSIKKSAAEVKGVADYPSLSGLPKQLFWTVKKARP ALAPDSYLSKVYVLE >CAK66478 pep:novel supercontig:GCA_000165425.1:CT868045:53038:59793:1 gene:GSPATT00035607001 transcript:CAK66478 MNSVPLELRVWAFPDKPQKFRDDLIVQIKDNPLPLIIPLVCIGCRPTIDLINPDIKFERL LISQSDSRTVTIKNTGMINAKWKLTGIEQLEEEFQVINTSGELAPTMEAKIEIRFRAIKE RKLNLKITLEVEDVENMNIKQDPKIINVDAEAFQIQVDIKYPTPENILDFGSVKVGDFKD QVLQVKNIGQYLVKIKCLIKKKLFPQLFQLEPMETDLNPGQQKDILIRFCGVKEIKMKTT NDTTDLYLEILEGKTQEIYKPVPINVQFNSVYSKYSINPLKNINFGPIQFNESKVRHLEI KNEGQFEFNFTIFDYANEEFRKQLLDQQTKEAQEKKELMKAMPSSLAPQEKKQAKPGKND PPPGQLKIGQWTIMPSIGTIAPDSSCTVEITFAGQGQKNYEQKLAIDIINRNPEDQPNGI EYEVLSESCIPGISTTSYESIFEEQVVLQSQVNNLSNLINSNVFFIEEKVFSFGTLVPSK VPDGVVERFKLTNPNKIPCSVKLDARRRQNYPNDNFAFEHLMKVSTSRLLFKPAIMAQYY GIFEAIVENGEQSAKTHKLLFDLRGEGALPTLKLEKPKDWLDERTPVVKFGRVRLGKTLT MPIVLKNDGQIPATVKWDLTAVNEHFRFLDQNTFTLTPKTTATFNIEFTPKEVGVKQHYF VMQTLLNPYEVTKVAVTGEAFQEDIVFENLDDEVQFGDCIINTEKKIQFFLKNNGANTIR FQWNTQGCEDFSFIPRQGHLNTKESKPITLIFKSNRSIIHKSFALQCDTKQINKKTQSEW DDSMATTKYVTLTEYNWFMKRREEEEARRLAEEAANKKGAKKVDKKTAKQEIVQPPQPEP GEDSNIPISDPLPEPEYQVIDKSDKSMPLKVNAVADFAKYEIDQRNVYFKETLMYTTRVH QLKLKNTSLIAINYNCKIVSAETGTIDPGYFYVFPKQGKIASNSDEMFTIKFSPTEVDES NERLLVISIDNLDPNQEKLIVELDGQAERPICHFELPPSNYRDKKPDLEAKYNVIEFESL GCKVKNTKRFYVVNPTSVGYEYEWKRIDDEKNQNANYFKCITLKGVVLSGKKSEIIFEYS PDTQGQHESYWVFEIPQEHITQYFLITGGVVEPNVIFNVGKVNFGPLLVQGKNKETVILK NLEDVPLTFQFDRESFHNPEFGDSLTITPVQGTIKALGDQPIEIQFAPKVEREYNYNLLC NVKRRQRPVTLNVKGIGYILHNNVLLNGVAVTQQNTVVELGDLYINEKSSKQITVENQGD FNFDFSVRKSAQLSNIVIINPENGTVKKNEKFNIEIRYQPTAPQKLNQQFSLCISSGPTY NFQLIGSAKKPAVEFSFLQYDFGPCFVLRQPLPITKNLELRNRDVQAMAIEALYEKKPYF GVQLPSGQVLLPIQIETHKDKKGIIQTKELNVLQIPITFTPRELVKYDESVIFDINGLQK VEVRFTGEGVPLKLDLLKTEYQFVDFGIYGIGQIGTEVVSLVNNSQKMVTLIFEQDLLKE LKQKYYIKVRPKKEFVINPRERKEITLTFKPKQRLHSFKTDLFFKIVENNEVRKLLTLQG ACHGIELKLMEDTIGFGGVVINSRLTKNVQLSNLGDVAAKFQWDTSFCKNYFTITPLSGT LPAHEDLQFQITFHPNAIDNDIRFDKVKCLIQNSDPLYLNLLGKCIEQPKEQIQEVKFET VVRVPTSKKVTVKNPTPKPWKVKASVTALLPQFKDYFEGKEYIEVPANGQAEYEVIYKPL TMTSNPQIQNLQDQHEGSLFFPLPDGQALLYNLFGKSLPPLPQTVDTTMKAKKNTTQVLQ VKNWLKTSQRFEVTWTLEPEDPSIILNGANTFEVSSEGTKEYKLTIYGLKQSQNKVTVYF RNAITQEFVFFKINLTIQPPDQLPRIELTSIVREVATKLITIENPINQPVEFKKDQLIAE TDSISFNPQQFVIPPKSEFGLEIAYRPLVVQDIQSKITIKSVQLGEFVFPLKLQGLQQNV SRSLYFKASLGTEMVLPFKFMNFTKKPTMYTCYATKLGPNGKPLPVNIDPKAKGAPAQTT DFICEQVQFQAPQSESFDGVECQISVKYEPSSLNESRGILVVQSPDGGEYQCLLIGQGIT PQPKGPYKLSGAKPPAIEFKNPFFEAQEFTLRIDNPAFTSSVKSPIKVDGKKVLSINITY KAVPNTSNNGRLIISCGDLPQWVFYLQGE >CAK66479 pep:novel supercontig:GCA_000165425.1:CT868045:59804:65794:-1 gene:GSPATT00035608001 transcript:CAK66479 MKIKLAIIFNLLILLEASTISITKCKCEQILSQPDCQNPKNTCLWDSDKCVTNPTPPVVV TQIVTYCSPLGDTVCQSTVGCAWIDKQCQQFAGCSAYYYTKHTECQYVSQYCSTDGFHCI DLGNCADYKTETACFKNQKGLLCYWNADKCQDFTECSQLPLTFQSDSECRKNLSKCTVGE QGGCMDSGDTCENQKLMSQCKWNKSQTQQCVWSDNKCLTLTCSSAPLTYKTDQLCNQFLI GCTTQENGGCTKITECSNAKIEAACVRNHSGQPCIWLDNSCYDQLCSKAPASFTTNDQCK KIGKNCITTMNGCIEQLSCSSSNNETGCIDMTDGTKCYWNGSQCLLKICSNNTSATSQAE CEAYSSECTFNVTASIGCVDRICENITQQDQCSTDSFKNNCVWKNTCFTKQCVFAPKTYM THNECEAYLASCILDNSGFGCMNKMLACQAYTSEKSCYQTQSGDHCAWKNSACVDRQCNM ADSSITTTGGCQQFKSDCIVNNNQSGCMNLTSNCSDRQLQQNCGYGTSPVCIWNNNKCVQ QSCETANVVGSPNYLTAFNQTNCNQYMNNCVLNNSSNGCIAKPSSCGVLSINNCFASTQN DCIWTGGQCKEKICSNLVGSTHLDCNNLYNQCTVNSSSNGCINIQRCSQYTIAEQCKLNQ TGGQCIWTGLLCRESGCSDSTDNSNYDTYEKCQQLNSQCTVLSKVNQQGCVKKLNNCIEY KYEYQCHSTVNQVQCIWLQDQCQELQQINCSDIRLTTYNDANCSAVLQRCKVNNFSNGCI NKICTDYLYTTKVDCERITGCTLNLSQNRCITKKDFCSEYTTDLSQCKYSKEGDCVVKGT DCLYTHVDCGAILNPTVNTDCSSKRDFCIIVVSGTTKTCGSGLCTSYAGGTISFEACQEY DYSCTVNRTGTGCVSMLNTCSAASSDSCYYTKNDHYCIWTGSVCKPVAIASEKNCQLKTD ATSSLTYEKCLQSSNNYCSVNRAKNACTNIQADCTLYTNLSDCYHSSRGRCIQNQQTNAG ASCIEMTNKIACNQIFLGETFTYTLNDCLKLKSTCTNDSTTGCKDKTCLNVDTMKTTHED CQVWLPTCTINSSFNACVEMKLTCSEQTASSCLWSQEGQCIAVDNKCLKMACHLLSNSFT THSQCENISNQCTITNKGGCITKLQNCSSYLTSIQCKFNYANQRCWWNSSTLNCIDFNCL EIEKTGISEPDCPTLKSCDLYTSSSQCVVDNDNKNCFWNTNTSKCQYRQCNVAALSYNSH QQCQNFDATCTVSVKLDDQQQQVIQGCQDISASCSDYKFEQQCYTNKDNKQCTWFESACT ELSCKTAPKTADYSTYQACQSYLNNCTVSSDLLGCIPIPSKCPEISIELSCIRDGSGNDC FWYDSKCQIKTCSAAPPDQNTAQLCSSWLPTCTAEDTNKCKRHVCEEYEFTTDSECKTAM SSCTTDGTKCVKRGSCSTATSEAGCTKSVKEEQCYWVGNKCTLKECQVINKEKDCTLSYN NVKCIWDKGNCRNIGECQDYSGTTYGDCQKFNTSCTIGENQKCMKIKSCSDFTTQSSCVL GLDGPCKWIDKLAQCFLFTSCKSIQFKTDQECKQVSSLCTTDGLSCVPITLCNETNTNGG CVTGIDGDCIKTVPTLNSTQPPICKLFTSCIDAYYLTHQECQNASKSCTTDGLNGCISLG ECNQYVNSASCKIDSIGKQIKNDQITSTGVCVWNETGQCRNQTCPDLAGSSHEQCTLQLS NCTYDGITCITKSSCTEYKLQETCTVAYGLEGKCNWNATLGTCQMYMCSSITIGSTLQLC QQSLPSCITDGSKCINKDMCSTYTTKIACSIGGTDGICVWNGQSCSLMQSCTQADQDQEA CLSAKDRCAFKYATGLSTSSCYAHTCESYQKANGKCNSFYDWNQSSKRGCSFADGKCTEI EFNTLDQSQCFTVSEYTYTWSASKNRCQSCSGSKDTTTNQTTNSTNSTSNTSTPTTTVDD FAQNLELIIMFLIMVY >CAK66480 pep:novel supercontig:GCA_000165425.1:CT868045:65805:66232:-1 gene:GSPATT00035609001 transcript:CAK66480 MAYNNEFQDQGQFDQEEFAMRMPVKSHLQRLDQLLQQLMDELDLAKKEKEQLRNNLIELS QITTDSFDDSNKYLNEEYQRLMQEFHEQNALQLEQHQFLKQQVDQINLDRIKLQQNTIVL ENRVQDSEKELGFV >CAK66481 pep:novel supercontig:GCA_000165425.1:CT868045:66384:67328:1 gene:GSPATT00035610001 transcript:CAK66481 MKTTNEPSLLKYRIIEQKNKKIVLEADEDQFTQFANALSIIQKFHDDIKAQFHASSQAQR RTKNVVSVQAINSDSEKSNNQSFANPNPDIKEDQDFRRYQVDLSPCRRIPHFFLSRFKKW AKMMEQDAAYKYLQNVQESKTSKQQRFELGDLQRCFQVQVNEPKESKLCKNLLKDLFISF LQNEATLQIIHYNKISSIEQKHKYISEIKNMIQEMYELKPFDSYLSSEKIKGKRNANNNN NQSPIKEKQEDLREEFQIPEMHEKYSNESFKKYGNAPSLVKMNSFQQ >CAK66482 pep:novel supercontig:GCA_000165425.1:CT868045:67499:68192:1 gene:GSPATT00035611001 transcript:CAK66482 MLKKQKQLIENPVNDLSVCPTNKLTLSSLDKPLFPTPWRSYQHYNIQTPTILINQTDRVQ EMSFQIDKLKPRYSRSLTKNRKQYLQDTVFNAYDPKPMEKQILNGSRLKFNGDLSMNLYQ DEPKQQLLLPLITESVKVGSITISKDVALPKYLDPPKSKRVGFKKLPEYSIRRFSHVDDL VERVRLQQVDILKKYQAKSFSKDIQNKLKDELFIILKQFE >CAK66483 pep:novel supercontig:GCA_000165425.1:CT868045:68224:68571:1 gene:GSPATT00035612001 transcript:CAK66483 MATTQLELLQQLYDLRNEFNEQLKKVQAAPENQGEVATLQDQNRRYAYRIGHLTKAVDEL IQSENHLRTENAQLKARISELEKK >CAK66484 pep:novel supercontig:GCA_000165425.1:CT868045:69053:70174:1 gene:GSPATT00035613001 transcript:CAK66484 MQYTLLLHENSLIDWKISKSQKKIEGFSILVNGKWEYFEMEKTHLEFFKQFLDAKISYQN IHSLYKMISFCGRGSYGHVFKYQNRISGEQVACKSLKIGSKNTQQIFLQEVRKLQILKHP SIVKLKEFYLETKHCYIVMEFLEGKSLRELQGQKRLNEEEIILILKQILHCIHYIHKEGY VYRDIKQDNVLFAEQGNLNSLRLIDFGLAITLNDLTAQGNRICGTPGYLAPEVINQAKDL DYKIDMFSLGVMLWEMIHNKRFFEGCDQSIQERMNRQYKFSDEFTKNIENQTLRHLVEKM IHHNPDQRLTAMEALNYLDWSLMNKCENVKEIDNNISTD >CAK66485 pep:novel supercontig:GCA_000165425.1:CT868045:70194:70934:-1 gene:GSPATT00035614001 transcript:CAK66485 MANSHEKMQQDYIWIRDQSTGDADVKMRTFGQHYLYYHAPNKRERLEMIWRSMGKAYDWE MEKFRMQKKFIDRGNKRRFFKNFFRFIKNPMGYIYWKTYKIRQPKGRIITTMLGLGVIGT LFKYKMESNQIQKREYYLLTAGKNSEGSGLINTGYNNDKLARQGMPLTQMFYSYLLAKDI VVSRSRDQNYRKYFEMRKKYQIKE >CAK66486 pep:novel supercontig:GCA_000165425.1:CT868045:70961:72255:1 gene:GSPATT00035615001 transcript:CAK66486 MHQELNCPRCEIAFDIYDRTPQMLPKCGHSMCMQCLREQTKIICPEDGIVQPQDLNLYPV NQAILKIINKSSLKNSQIDSTELGETVRLSKCENFFEIMESTSLCKEHNKKIELVCLEDH NKICVNCALFGGHKHHNVRNIDEVISEIQHSLEETVDLMQQIQSKIKSLKQPIINKKIRD QIRVCIKQQQSLAEEQFKEMITQLMMKQRKVVEEIEQKYKQVEDQLIKREQQYVNQVVEK ADLWMLAQFLLFIVHSSQERINQFASLTEKGEIAFFLLQKDTSNTQAKQIIEELEDFMKK FQTRLQEVTQGQITPQVHLSKELMGNFEYNYPQVQQSFFDDPNILRDVSMIDQSFLKDID DKPPHNEFLTHNLLNPQPFISISIRSPIITFIQQHFITYHKSQS >CAK66487 pep:novel supercontig:GCA_000165425.1:CT868045:72314:72747:1 gene:GSPATT00035616001 transcript:CAK66487 MSPKRPTKKKFNTKVESILLSCNGDTLDLSQQDLGDDGMILLEEFIKNKKLKILKLMRNK ISDTGASKLLELHCQILHLQSNVITERFLDLIQQLIQKQTQIHIKTIYLGQNLMNLFRVK KKIEDLKKLGITIQI >CAK66488 pep:novel supercontig:GCA_000165425.1:CT868045:72755:73529:-1 gene:GSPATT00035617001 transcript:CAK66488 MYSDNNNAHSEIRIRQLLYFKQPPQTTLPTNRSAMKLQTLEHKLGRTNKNFTGYSKSQSD TRPFFENFMEKTDQITSFGFKYPFNVEEFQNTNAQIHFLEVFTHSQQLIQNYISDSKRTL AQLKEQSKQIKEEMDQMKEQTERNIQKIEDQFNEAVQTKQRQLKEDYVAYSTESYRLNKE ISKLTKDKLHMEEQSIHLLQKIIKLEKQMQGVEMDLMCENEQELQSQLRGTFHNTKSITH >CAK66489 pep:novel supercontig:GCA_000165425.1:CT868045:73654:74718:-1 gene:GSPATT00035618001 transcript:CAK66489 MDFDKTSNFDNENFRFKEMNVLTHRADNKFKIIHGILTFRDEKIIEQLKKKTQHTNSLNI HHWQVKNDDLHVYFDYPEPISQIDNFEHLEVWTFINHITKILSLLQDLKMHYCALNPSGI FRVYTLQQKYVYKIADSFLFRPLYMKYYQSPTNYYQNEQIEYFKSDVFALGLVCLYCLGL KEPKHFFKTKQFDFKELQIKIKELNLPEYLHRFLDQTFIEQDLQRPNCQQLYSFVVKLNE EYKIQIENVELKLYNRAFQPHAHQQKQKAVNDGERVEVLNPDAPFECTHYDLSTWCHFIG RVANGKRNGKGELKFKNGCRFIGSFIDGQANGTGVFYYGQQEIIGYWRDDIFMK >CAK66490 pep:novel supercontig:GCA_000165425.1:CT868045:74826:75913:1 gene:GSPATT00035619001 transcript:CAK66490 MYDVLKFQLVSNINQRMKNQTNQSEICQYCNLSDCECSDQEDWDSCDSPKPKTLYSFKEI NLQNKSLLAEIRIICKNLIYVIGLAPNIAKEDVISFQLKKPEYFGQYGQIQKLIVIQSNT FNPPSHAAYITYRNEQEASLAILVNIINIYLQVKASFGTTKYCTNFLKGQQCKIKDCVYL HQHPKDKDSTQVLKKEEMNNSKWLFSYSQKLAQTNFKKFYTSINYKNALQKSIFPTTQNI LDHMIKERIVEPLQQQQSLNIQQEEVKEIQQIQDLQQPIYQKPIDIEKRVEAVILQMDGD GNTNSRFKFTNSKIIPQDHEAIQQIKQYLQK >CAK66491 pep:novel supercontig:GCA_000165425.1:CT868045:76156:76338:-1 gene:GSPATT00035620001 transcript:CAK66491 MITIQQQSFQNFVLQYFTDIQSVMRQFLVLFQADNLAIANEIISIVQVYYFGVGTWYQLQ >CAK66492 pep:novel supercontig:GCA_000165425.1:CT868045:76376:80159:-1 gene:GSPATT00035621001 transcript:CAK66492 MSNSKNKELINILVYCNYVSNHAPLKALIKLILQQANNTYFKQAFSNLSLELYSRAKQYQ REANHKNTIRSTNEHQSSLNVQSAYLTSHSASILFPILIDTIQSKISFWSKLINGYQDID HYLLESLKTTQKMLTCKKEIEKRFDMVNNKLKGQQQTDILTLRIIQIYHSGIYSNSFQAY QIEKSIEELIKNERYKQDETLDNMQLIQNRLIILKSSLVKKRGELLNLNVRQLALFLNEN EDNLKGIKHCNQLMPNFISSIHEKLMDNYLQRGHSKLMINGEASFCQNISGYLEQCSLNL FNFFDDKDDFILNMIITKQQSNNEIILFGIDGKILGFTKYFYEEVLQSNFKMNLSHQTTK DQNSIKIPVKEYLSRGPLIQFYIPGIASQVQELRQQIVSSSNYLMNNLKSFWIMPNNHIE CLQNSSLIFSQFKKRNEGSQQNYRSYKSQTYSKYSYNTINTQFDMQYDDSEMSAELKQNI SINGIPLLILHPDVEYQIQKLVEINDSLSKMPQTGVFYSLQFKTLNFKRGNYGYFMLTIK DFKTISSQTANNQQTSRTQQSLYQTLIPSQEFSASYTKSPHSFSQDIRSENRDDSQIQIQ EVRQMNNNQKLNESSIPDNNLKFQLKQSDRSNLFDSSRQPLFARPKIFEIDINEEVEQIE LDMQVINEVKQDQIEEDQEEGEKKVLSVSQIQKKSSNILLRFQTKQIKNQENDFASNPSR TSTNSTSKESLLIVQQLYHNTQLISPLRKIAALLFIICSGVLISNIINVQFISENLISQT NQLDTLRQPQNINFFYTSEVLQEYYLILIKNKLVTLSPYMFQRNLDTLQGMYDYARTSQV NLVIIVPRLSKEQNQQTTMETRFYENKSIVTIEYPLEEFYSILYQKTETSYRQFINSGSY DFPQIMPYGVVRLNLLDMLDFHNRLIKVVIANTIDKQTQVKQYFLSVMIGELLSIFVIIG LQLKYWLFIDHIVKSILFLISRLNENQAFDQINRLSIIKEQLDNQINGNWKLFNFGDVMY GFSERKAKKIILKSNVELGQNNIKATSALYSRIQRTYYLNRINAFITFMITILLTVYLMA GFLLHMAKNDNFQPSLTVTLKFVQIRHNMDSLTLLAGLIKSESIIPNLDLQFLNQTKACS LLVEFRDNLSPLINEVAQVILENANANNEKSRFDTILNYDLCLSSTAGTMPICDLTTIKL QYDQQFIIYYEQERQFQKHNQQWSIGLHCSTNQIYKLRIRL >CAK66493 pep:novel supercontig:GCA_000165425.1:CT868045:80921:81082:1 gene:GSPATT00035622001 transcript:CAK66493 MRMASNPTAEQCKMNISIIKRISYVFVTEVTTKQQLMSEIKGLLHNGNILPKV >CAK66494 pep:novel supercontig:GCA_000165425.1:CT868045:81666:81842:1 gene:GSPATT00035623001 transcript:CAK66494 MKQNLQTLNYTTFMHLYQERNLVTHGGRDDLADCGMKAWNHRGYIDVERRNYRQSDQF >CAK66495 pep:novel supercontig:GCA_000165425.1:CT868045:81971:83488:1 gene:GSPATT00035624001 transcript:CAK66495 MSQSPHKWHQESIDSQKSKMEKTVPICPLPQDVATLTLPNGKTVQLPVYKGTKGPPMIDI TQLYSKTGYFTLDPGFSSTGACMSTMTYIDGDKGELLYRGYPIETLAKYSSYIEVCYLFI YGHLPSQKELQLFEETMVSEMMINEKLIEFYKGFASDSHPMAIMVGVVGALSAFMHKDFD VNDPRDREYIAIKLVAKMPTLAAYAYRTALGLPIIYPNKKYSFIENFLYMMFSTPMNDFN VDKVIVKALDTIFMLHADHEQNASTSTVRIAGSSLANPFACIAAGITALWGPYHGGANEA VLNMLKEIGNKENIPKYLQKAKSKDNSFRLMGFGHRVYKNYDPRAKVMQEMCYNVLEKTK RANDILELAIQLEQTALKDDYFIQRKLYPNVDFYTGIVYEALSIPTSMFTVMFAVQRSIG WICQWMEMMSEKVQRISRPRQLYVGEDQREYIPIKDRKEEEKSRVCKLPMHSSLFGLVKV >CAK66496 pep:novel supercontig:GCA_000165425.1:CT868045:83596:84159:-1 gene:GSPATT00035625001 transcript:CAK66496 MQTNQNDEFYLRYYVGHKGVYGHEFLEFEFRSDGRLRYANNSNYKSDVLIRKECYVSESV ISEFKRIIEESEIIKENDSKWPAPDKIGRQELEIKLGNAHISFTTSKLGSIHDVQSSQDP DGLRVFFYLIQDLKCFVFSLIGLHFRIKPVN >CAK66497 pep:novel supercontig:GCA_000165425.1:CT868045:84358:85292:-1 gene:GSPATT00035626001 transcript:CAK66497 MLNIYIYYQSKILIQRCKIKKNKASLKIFQYDLKLWLNPIQHQHQNQKNNQSNKSQNKKN KKNIKIHWLPRCLNQHSSFIFKTKERNFNNNIQIYNFKKSQNQLLVNGKIYQKKFSSHIT IKPQKTEVVTHKKMNSIAHKQANKSTARVKPKPINQLQKKKKSNRKWIKMKILILLVQRS ARNDIYKKIHLKQLMFKIFIGIYLFFIISTLLPKILNDYILQLHLLLYNPTQQLNLQKII LTKLSYRNQNAKKQNKHKIQEQKIFVFNQIDLKISCLIPNQQYTLN >CAK66498 pep:novel supercontig:GCA_000165425.1:CT868045:85349:85873:-1 gene:GSPATT00035627001 transcript:CAK66498 MQCTLQKRTPRRMETSNHETYLLGGTTPAKIRNRKISTTTSPQVRYHSQADKKFEDKGVG CDLFEITSITKTFQFNMPQIENSQQPPLIPDKKVLKKKNGLIIFKPIPKECHLRTFYQSP KNQTLINKSRKRDWRLRKMMDTEIMKSSLEKERWFTDRNCRIFLNDLWSDSYFQ >CAK66499 pep:novel supercontig:GCA_000165425.1:CT868045:85939:86339:-1 gene:GSPATT00035628001 transcript:CAK66499 MDQDLNENLIKLSLLMRQSNTKKPIYNPSKYSNLHLILARSVSISTQSSSRMIQIKSNQN TQNTSKAQLIPQEKYFVSRRMSLAKQLKTCDSYLQKRKIESQFVKTDNLCGLKKVFEIGK TLL >CAK66500 pep:novel supercontig:GCA_000165425.1:CT868045:86385:87163:-1 gene:GSPATT00035629001 transcript:CAK66500 MQELDFLRVQRESQKFLEIDNIFNRLASNFVEKIFALFKEIFQQEHTYTIQELLKLAIQK EIPLKDFKLAEHYKLNSNIFKANLQMIVNVIIDDIWSAYNKQIVLDEKSIAEPRQLTQID EESAIEQCQSLSETSIIKDKKDVEIQKQVHSARSPLAKLLAGDKSPQFISIASSPRHSRD NSQNTLIKQQKLVIQNSKKKNSSLIKNNSMDLKNLVAEGILQPRSTNRSQLSISNLHLLM QKMQGQKENLK >CAK66501 pep:novel supercontig:GCA_000165425.1:CT868045:87296:88720:-1 gene:GSPATT00035630001 transcript:CAK66501 MSLKDFKIMSKLGEGAYSTVYKVRRIEDNAEYALKKVNLTNLSDKEKQNALNEVRILASI KHPFIISYKEAFIDVNSNSLCIVMELADNGDLFQQIQKCIKSNTFMPENDIMKITFQIAS GLKALHDLKIFHRDLKSANIFLQSNGDVKLGDMNVSKVAKKGLLYTQTGTPYYASPEVWK DQPYDQKSDIWSLGCVTYEMAALKPPFRAEDMEGLYKKVIKGLYPRLPSQYSQDLQNVIR MMLQVQTHLRPTSQALSELPYFNRFKTQTIEDQSKLLNTIIFPKNQISIANMLPKANYSN QKYKTEAHQNSDTQETINRNRQTTLGAGSQQSSPNPTRISQYLAEQELLLKQQYSINYSG KHKKAHNYSDRNVIAKILLEYQKEVPIYQQLKQRYRRLSQEETPYLQQRRISQLPVLIEH SPNQKRNNRNQSLPAQNLPII >CAK66502 pep:novel supercontig:GCA_000165425.1:CT868045:88753:89066:-1 gene:GSPATT00035631001 transcript:CAK66502 MAKGGKSRSQSKSGKKSGSATKKIKKQVPAASPAKVDVAAPAPKTPVASAKKDSAKKSTQ KSTQKSTQKSVKKSAVKNSNKKGSAQKGKSGKKA >CAK66503 pep:novel supercontig:GCA_000165425.1:CT868045:89457:91348:1 gene:GSPATT00035632001 transcript:CAK66503 MGSQCCNGQTRSLNNEIVNDSIKNSSLFKQSIHSLPFTNQMQCNNDEILELKKEDYMGLP LISENASQVEKEKQQFGVSQLTVTQDPKSNNYLGNSSDQQIQEGCHSNPSQSTPIKRKTV TSIGTVKLGADVFVSLKQGSIGKFYSTGSTLGAGAYGKVWKVTHKTTGLIRAMKQIKKSS LIHEEQQRLFAEMNILKNLDHPHIVKLYELYQDAQNYYLITEYLSGGELFERIKAMTIFN EKKAAEYMRQILSAVMYCHEQKIVHRDLKPENILFVNDSSTSPLKIIDFGTSRKYDPSKK MTKKLGTPYYIAPEVLKQDYNEKCDIWSCGVILYILLCGYPPFTGKTEKEIMKKVGEGKF EYDADDWGQISKEAKNLINRMLHTNPSYRISAKQALNDPWILKHCSQMIINNNVNLRVLQ NLQKFQAKSIFSQAVLSYIACQMTNQFEQDELLKTFQSLDKNNDGILSKEELIEGYNIIY QDKEKAEQEVIKILQLIDLNQSGQVDFSEFLMAAMNQEKLVSLQKVKAAFKVFDANDDGK ISKQELELMIGTLDQELWEQILEECNAQEFITEKEFINILLSQKI >CAK66504 pep:novel supercontig:GCA_000165425.1:CT868045:91379:93503:-1 gene:GSPATT00035633001 transcript:CAK66504 MICRISIGSMQLAYSAFTENTFSSNQGWSVIGGSPGYDSRDGSSIFGGNNFFGAGVSIYK LILISASHHTVKLKLKIWAINAWDNEMIQTKINGEIIDEQTVSSNLANSFGQYLYQEIIV SHIQRSLILEITSTLQAPSLQKYWGIRDIYIYTYNCPVGCTFCTYLDVDASQCKPYILKM SYFTSFSFYSNEVKMCAIVTNQLYLTEISTFGKYSTGTTLTRQYFLDPHYQLRITFQFWK FDALQNSQYQLQLDNSVIWIDSQKKYQTLSLCGSSTKPEQYYDVDITVNHTNQYLTFSFV SDTISPTDSIGIREFNVYMLACESPCTLCSPGLGSSCITGTKLTQSEIENDLTLNSFASQ LSWGSYVPSDATGQISKSFLTDSYFLNMNYLSLYNLLYKDFVLEDHEKIKIIFQVYSSGP QQNINLKIDEDYDEIVQIGQNDPSTSGTCTTTLQYDLEDYSYFEYTFDHTANFVRVQFES TPYSSGNYRYGFNQFKIYSISQDCSTTPCTQVEKLVNSNFFTTTFSDPKNWQLLTYSPIA VTTCDSKSVAGGISIGNKNIFIQKRVSLTQSHSILRLQLSVYFLEAWVPTKSLRILADKV IIWDQSVDYHTNLQNLCSSNTSYKDQIVTVDVSFTHNKGTVIFQIIIQNGDGSEYFAIRD YHLYYSS >CAK66505 pep:novel supercontig:GCA_000165425.1:CT868045:94439:95953:1 gene:GSPATT00035634001 transcript:CAK66505 MDLKVIIQNCVLVTQGNFFMVVQIDELQYTSKEKKTQKFRSEVQFTNAHPQFSKNVFQFT NLSYGDRVTLKVGCFNTRLTSEPQEQRKLLEHSILFGTMQLVLTVKLLEVLRIEGQLQHE SLLYDPEDQRKEKGRISILLRHQSHDLKAQVEDDVERIEEIYYDPFEKDQAVIRRKLQRV ELKLTSLNLSMEPIQKKLNFIRTAHKQISFDLATLRQQKDQIEEENNYFQKIVNQKNDVK ELHIQIDLLCNTDYGQDILKKKLVLLMNKLEFERRIYHELSNHYYQIENAHTEAKTKTQE LQTLKDTIQEQDFLIKRLEDQRPILTNLKETMENQENIILSLQDTIKETSKNLTRLDKQQ LFYNLDKLRADQQLLKQKQVQLQHISEMNKGEIPRSFFEQFKNDEFFRDNPIIVSKFQKR AEMLLKEIELLQEGLAETRYAPIQQSLRPKSQGTSDNVILQLEIQQAENKAEILEEQMLA VARNYAKQIADLKAQLNIIEAQLR >CAK66506 pep:novel supercontig:GCA_000165425.1:CT868045:95959:97310:-1 gene:GSPATT00035635001 transcript:CAK66506 MSFRIQVTDPVKDKISILEVEQTLTVLDLKALIEVEFQIAVARQLLIYGGKVMIDNDTLS KYNMQNDDLVLIERKQKQQRTPLEQEAIKLIKHCQQNPHLIEGMRSKDPKLAESIENKKL AGVIEYIQQQKQKKFQEQQEYIRKMQQLEQDPLNPENQKLIEEMINKKNIEENREYAQEF IPESFGTVTMLYIELSINRHPVQAFVDSGAQSTIMSKACAERCGIMRLVDTRFQGIAQGV GTQKIIGRIHVVEMQILDQFLPCSLTILDGDGIDFLFGLDMLKRYQCNINLKDNCLIFPN EKLNVQFLPEGQIHRRISIQQEQEILKRQNSESQIEEEQPIKISTQPEQQQQQQQQQQQQ QQQQQQQQPNTHTRHPESSIQAIINIGASRAEAIIVLDQTNGNLEIAASIIMQQKYGFN >CAK66507 pep:novel supercontig:GCA_000165425.1:CT868045:97827:98450:1 gene:GSPATT00035636001 transcript:CAK66507 MNKQFKVALIGDSRSGKSSLVRSLKGEEFLYETQETVGIDFYQRMLDFKNINYTFKLYDS SGCEAYRLVLNRVLSQIDAAIICFDASQDKYQEQITSWCHLIENELRTIPTFLVGNKIDI QNFSQISDISSKYPVFFVSAKTGENVQKCFNKIFLSLTPQKHTMIQKSLRIKRALGDYFV LVANQNEKMILEYLLFIVYYCMHEQQL >CAK66508 pep:novel supercontig:GCA_000165425.1:CT868045:98640:99300:1 gene:GSPATT00035637001 transcript:CAK66508 MSSRNGSKERQTKEIGKSLFGEHSKNLPPFFAENILFLEMEVECNNVTIEVVNQLLELYR IGVEYFESIKSNKFLIFKNKTQQLLMKGTVNQCMNVAYEELKHETALKRTSHPENPEKIP PLPPSTKLVIAQKSQKQQEVENQLKYIKDQDQKLQVNQLLEYHGYESQRVTRIHNKALQE QEDQVQQRLQKRRMKSVKLKGQME >CAK66509 pep:novel supercontig:GCA_000165425.1:CT868045:99361:99945:1 gene:GSPATT00035638001 transcript:CAK66509 MSILFTTEELKQIEPHPYEYVQTKTKYLEQMSSFNYTKTTQLPFISVAKAQHRQLLDKVK YYQFEEKKQLPTYQFNTIFDEKSQPPINTEDARQQYYREFILQPKEEEISKKEKEKNRYL HICGVINAQNQIDKKEYKNHIKELKQLQREVEQIQQQTKKPYYPTKEMCRNTNLISKLAT PMLNMYNVYFKGNI >CAK66510 pep:novel supercontig:GCA_000165425.1:CT868045:100034:100153:1 gene:GSPATT00035639001 transcript:CAK66510 MTENEQPEIPEFDNEEVQEQLNVDVKDLLLELGNIMDEY >CAK66511 pep:novel supercontig:GCA_000165425.1:CT868045:100189:101169:1 gene:GSPATT00035640001 transcript:CAK66511 MNQLANYMQCQTKRVVSVYQQQCYCRSPQGRKCAVVNQQFSPNEQKYMKQFKCIDQQKYQ QPKAIIYLQKGMSPTNKQPLIATKLKVQDKENNNNNHYYNANIIFQPCKTPRDTLKQQLF SQQNSPNIKQPTPQFENRYNQDYKNQESRLSKSQIKFRFVDSEKQTSDEQQLKIIANQLN KIQIGPKVPDMSPNSIKSDEFLVQMDSVKKSDYSNKSQTKNVCIQAFESTQFEKHDEQLS KIFGSLKKLKIIKKKQTQPTLQTQVTQRTMEEDSMMKLDSQQDQYSKPISGLSEIEIQYE KFQTELTNLVLYFYDKGFKQNQKPLS >CAK66512 pep:novel supercontig:GCA_000165425.1:CT868045:101380:102335:-1 gene:GSPATT00035641001 transcript:CAK66512 MGTCQLKNCRCVCQQNRLACQCGSQNTILLEEQAREIRRRISQDQMKRSNRFSNSKSKTG SPAQSNSSDSLNKTKFSTNRKASNRSPNSTERYLISEECNIPTESSQIRKIRKPKIRNIK FNRSLTYFSQESVVFKFQETIDQPFSQKEIKMDSPQFQTLKHNTIENKQTLDQIQSINDP TITMKTPTTTGAELSNIATSSAPFLIQQPSISRESSPSKLSHPVTQVSMEPNLNKQSLSV LTDQQLKNRSHTADLSDDQKSVTPRSVLKQQQKNNDSFRTQQQEKRKVRFDLPNSHYIKE RIKQQQRFFN >CAK66513 pep:novel supercontig:GCA_000165425.1:CT868045:102365:103162:-1 gene:GSPATT00035642001 transcript:CAK66513 MYYSNSQSSLIKQSHFGEVVTKTPLNKQNRDQDQSTISKYQSPTLRQAMSPKAAREYLNN LRTFICHYEAKPTVERIPTQSLHEIIQQQPYLYFPVANRLNDHFKLMTPHKIEVRKMNED GTIHSLRYYYLKQAALGNSNDIPQSQQIMIVGQKQSGKKQLFQELIKRIMPKQFKLQLQT ESGEKTINLQLSDDIKQTIKSILAGLKHYQLIAQGGQHMIACRGLEGFKRETKDKGILIM CVKPGKQEKSDCIKTIKMLEEIYKN >CAK66514 pep:novel supercontig:GCA_000165425.1:CT868045:103407:104335:1 gene:GSPATT00035643001 transcript:CAK66514 MNNSRSTVKKGTNNLPIQTGDLEEYIQILYDHQKSCEKAGKYLEADQAKKRLGELKKDLD QKNKFEVKDRHTNEKSEIEKAHLEEFNQFNEFWDQKMAEFDQEAQRVKEQVLQRQDEELS QFTDELENSIPVKPKDSAELLSLRKTEESLARQENYQEAHVTQQRILSMERDEYERWNQQ RSCKIKNLILQLKQKQSNELSALQQRILSGQEEQRKIRSQELEKLLQKYQNVRKELSSQQ NQEITRLDKTMKTQSIMQQSRMNSSKMQGSAMRKGDEENYYIK >CAK66515 pep:novel supercontig:GCA_000165425.1:CT868045:104358:105538:1 gene:GSPATT00035644001 transcript:CAK66515 MKINLFNPKTKHSQFSRSTRATSMLSLKKVDESTVGTVGNWAQTTLPQVKKKRKQNYNFL RDHEKFFKINDDDNSPYGNRKQQSLKILSQVDLNDMNDVEERFTFVLKYLRQSKIGNLYN VAVFTYAYILEKQFQYDKAILIWQKYLVFCNSNRVYRYKIIAYKHLVELSLLIQDFRKAL NYSKKLIKYTLFFNESNYELNAYDQIGKIFYYTKEQQLAKAFHEKFMDGEPMPTQNKIRQ AVVRMIEYNIRQKQSIGQIDTDSEDDFELDKLAEPKNLEFHPIRFKDALLKYKHLWGHVQ KQVNPQDLQMY >CAK66516 pep:novel supercontig:GCA_000165425.1:CT868045:106104:106618:1 gene:GSPATT00035645001 transcript:CAK66516 MNQKERERRSSSLKKNGFYGNRDYAMQTVQKRIQYNINRKQKKKNKQKEQQGKGQSMSQF TQEEDNRVLKLVQELGPKFMKIAPFFPNKSYSMVKNRYYKHLRDKQQDICKMSCDTKIEQ ETMSYKPNEKLDELNKLILSINLSPELTSLTQTFLQHIQNCLT >CAK66517 pep:novel supercontig:GCA_000165425.1:CT868045:107339:108654:-1 gene:GSPATT00035646001 transcript:CAK66517 MQSIQSNSNTIKPTMGRDRYGHSLSVALPISPVKKMDITNVTLRKYDRYTGNTETVAWEK HLIYELGDEDLNFIKDFYLEEKSYNNEEFYFRYIDSIRSKYLAGAKEAYTLAKDICKQLV AEDLIKTQRLMKNRKQVTQRIRKTLGTFKQSKNEDILRWKTGIKKLFHEMRDAIQHILDA GGEKEFFRLEELIKQKQHITEDFLKGLPNRNIQEINQYLQSIRLFVSKKTDEFQQHKLEH GDNFHFDEKTIREMSRSSQDDTASCYSDKLTEIRAHSKREKQKEAERKIEKFYDLNQLKN KWKTTNVDQLKGTIRRVLVKETLSEAEHIRARAYRLEQEKKGIQILKVEQPKKRKQTAQQ RIQSRQEKKDKRSVAEQQKRHTLTREEMKTEQLKQEFFTKYNPKSSIGEQFSVAVGYNNL F >CAK66518 pep:novel supercontig:GCA_000165425.1:CT868045:108770:109800:1 gene:GSPATT00035647001 transcript:CAK66518 MNIQNSPQGQQTIQGFINQVPFGIKLLTIITLIVFFINALFDGFFTNYFIDTPIKVFQNF QLWRLFFTQFIENLFGAILMPIFICMSLSDLEKTLGTVVFILDFFFKSFMIQVIYLILSL IIQNNNLPSRGLWNVYLVYLSLQCFANPNQLRSFFFFPCVLPAKIIPFLLVLMGVFMSQS FDPIAALILAYIEANYFNFMIFRPSQQLIQKLENGFLFRNVKSRSDFFVMTQNLQGRPQN QADLPVQHVEEYQEKGIQIGSSLGFDNIETNNKQTFQQFSNQSQQDNSK >CAK66519 pep:novel supercontig:GCA_000165425.1:CT868045:110777:111910:1 gene:GSPATT00035648001 transcript:CAK66519 MQTAELVFQHKQCTLPQFEKVYKEAIQLLSQKIANSKQQLQEEQDNLVSIDERLKTTPAL NSNNHRIPMRLTLHSASVLNLQLHNKFMVGSFAFYMKIEDYYEYESDFKPSYNLQFQIDQ TIDILDVGDSILLALNTNTQNQMNTTIVIPTYSLQFNKNVTELLTQKENGELLEIEITYE IKVLDQKLLNLQLNQARNLVISYIKKFKDELEDYQLQLQDLRQVKIPYNNEISNELNDGQ QNHSRQEPSQNTYTIGVPDYYHKPLELQSQDCQLNPQPQERQSSIIPYLIGLILASFSNL FINALFELSFVMIVLIVVLKEDKTTTFTKAMILLSWIMFGLNLWLHQSQILINITSIQIG WLGLCMLQLYKIYLIFL >CAK66520 pep:novel supercontig:GCA_000165425.1:CT868045:111979:113288:1 gene:GSPATT00035649001 transcript:CAK66520 MIYADAIAKYKSVKLLTGTDEHGLKVYRSAKHQPLQQYCDSISNQFKQLANSFIKYDQFI RTTDPQHIVKVQKNWNLLQNNGFIIKDTYKGYYCQVEESFVNHKTDQYLEEDNYFFKFDK DYLETCIKNAPSRYQNELKQLIINSICISRPKQRYYWGIEVPNDNNQLIYVWLDALLGYL QEEQHHYMHVIGKDIVRFHALYWPSFLKGINNQSTTELIVHNHWIRNNMKMSKSLGNVID PFQLLKKYNQNQIRLYFLTQGPQNMDVSFEESKLEKCWNTYIDQFNNILFRLFRPKIISP NLLLLQQHLLDDQLIQTKQSFLELHKNTKAFLDKNQFLEGYLELQKIFVLINTLIDKNQP WKMQEQFQKEQFLSFICILISMTQQILDIYVQTPNVITPQFKEFPQNNNVQVTVDLEQDL RLKRFIIN >CAK66521 pep:novel supercontig:GCA_000165425.1:CT868045:113381:114030:-1 gene:GSPATT00035650001 transcript:CAK66521 MNNINELTTYRSRSHYSPSQLSSNSGPLNQQYDEFVNKIYGKTKQIKIHHLIPKQTNKVF ELSTPQFEDAIYKKMDDYIKNEKLSQRETRIQERIIEKEIIQQYKEKQHLFKSQIPDQNY IRILKSCQNYIPKFKFTRFNSPNNEENNQKLLTRASELLQQRKQRYDIYKKQLEYERKKG KKIYSTGIDSFKIKKHRLNYSKMQRVK >CAK66522 pep:novel supercontig:GCA_000165425.1:CT868045:114045:114803:-1 gene:GSPATT00035651001 transcript:CAK66522 MSMITDPFSRSIVSTTFPIEDLKFVYSVPQIVDPDPMTCIVEQTAHEEGAIYVGGYEGAK DLETLKRLKIRAVLTASQETAVQYSDLIVQFHHVIQAHDKDDYNILQFADQTFDFIERHR KHTNILVHCFLGISRSPTIVAAYLMRKNNMNMEKALWKLKSKRRQVNPNSGFLKQLLNYE NLLQQQQQQQQQQQQLKPKSIFKMVRQQAAPQTQMLPQSRILPMDSRVIFQKQPSQYIPQ PYYK >CAK66523 pep:novel supercontig:GCA_000165425.1:CT868045:115590:116020:-1 gene:GSPATT00035652001 transcript:CAK66523 MKILDDIKQQVEKEMLKFDPRLAEKKYLKITQSIKDLFLKAVVKEKQTIKAAANSIGINY SSAKAIWAEFRSKNQKKKKKIKEDSDQKNGNQLVKRCSYKILNGCNKIKKFFMEIKSSVS QNLSSTHLINLESHI >CAK66524 pep:novel supercontig:GCA_000165425.1:CT868045:116136:116784:1 gene:GSPATT00035653001 transcript:CAK66524 MGGCLFREKEYEELAVQPLQTQFTDEVIVDELEDIRDIAKLKNCEILFITLLNHKCIVLR KQDDKTMNLRVIEIVGNRNMMYLEIHKQLQYAFMQLRQCQNFFIGAVTLKDKCFMVLRTV QGLAAQVSVQEIQCLGISENLSEVMKKRFDERFLCVGVIYIEDMVILVFRKIFLKIKCPI LQKYTSPSNDTICIIQYEGQIYELKKKL >CAK66525 pep:novel supercontig:GCA_000165425.1:CT868045:117433:118733:-1 gene:GSPATT00035654001 transcript:CAK66525 MDNINLNVDVGEIAETEQIKYTINDSQKELILKLHTEYQIPLIATIFVVIYKDCQTIESA NELYKNIVPSDHDFIQYNESKDCAICTQQDSSHQVYHLNYSTLIKMADELQIKNTTKITC TICFEGKAEENITRFNNKMHNICEQCFTMNLITQIQSGRVQDLKCPHCNELLSDEIILKY AQEVKQKYLKFKNNISVATSTDRMWCPNNQCLKIVIFQTSSNFEKCTFCQTEFCKICRQK SHPDINCNENLKQFIGIPQNNERLVQCPRCKFLIEKIDGCSQITCSYCKCQWCWGCCKEI TFLHPFYCPHFMPCYDEKVFWTKFLLIFWYFYLLLCLFFVGLFFGQILLFQCMQEARIYM NAKCWLQVVLNILVGIVGIIILPLTTAIYLVSIIPLCIGCIILDWHDGQTLKKQRQTSNV QQVEP >CAK66526 pep:novel supercontig:GCA_000165425.1:CT868045:118923:124820:-1 gene:GSPATT00035655001 transcript:CAK66526 MNKAALILILLQYYVHSQTTTEVSTLSTSNKSCTCLQVQSEKDCHSLNCSWDGTKCSDVP VVQSIKTYCELISIDLCKSTEGCANILDKCVSFPGCSLFFFTSNDICQNISTRCITDGEK CIDLDDCTNYSNQMSCLKDQNGMYCTWNSQTSTCQYPTTCDLLPLFLNTDLLCRQQLKTC TVKEGGGCETSQDNCENQKIQQACVTNLDQTKSCIWDQSKCKEKTCANAPNTNSTHLQCN AYLPTCTVNDLLNGCQDIPQLCTLLKTNNNCVVNKNGDICYWNKNSQKCEDKNCDNAPDQ FTTNEQCLSWLTSCTVKSAGLGCQTKASDCVQYTTQIQCTQTETGDPCFWNQNKCLAKIC DKAPDTYINHDQCSQFLSTCTVQKDLTGCESKKDFCSNYEKEEQCVSLTDGSFCAFQDNV CIPRICSNASKELTTDQACKRFSPDCMIKANQQGCILQECSNITTKEYCVQDYKTNQCYY GTQCQMKTCENAPSTLKSDEECRAYLSSCTVDDDFLGCKVRPLNCTDLNELQCKALTNGT KCSWNDDDPNNKFCRLLNCADIPPDTQTDESCQQFDKSCTVAENPSLCMQKPAICGNMIT KDHCLSVVLAGESKCSWNDETQVCRSRVCSDADTTNVSEENCRAFMPMCTINSDKTGCTQ EPATCKGYKSLDVCIKLVANPNSIVYCGWNGCEDRSCSNAPLQIDGNYRHATCNSYLDGC TLNDDQTSCVPQFASCDLIKNQIQCNDTILVDKSKCTFDLTVDPFLCRSFQCTDNTISDM THALCVALGVQCTLGVGGTGCVNQFNSCSAVTDSIQCEDTLVVGGKQCSWDASATPPSCK DRVCTFAQTKTNYLECEAFMSTCTKGGTNEVCIDKPTQCNGVSEARCNYVRLQSGGFCSF DITINDVNFQCHDRVCADAPSNYQTDTLCRSWLPTCTVKADQTGCTDEPSDCTQMMREQC FQIKSTGVSCFWNTSGGSGSCRAALCTDASKSKFNTDALCQSFIAGCTVAKVGGCITQPT GCAGLEQDHCPKVTINATGKASSENKCSWNAKTTVCQDRVCSDAPVTITTEKGCRDWLKS CTIGLTNKGCINEYTTCDQVQTLNQCGQLSSGQKCGWNTGCVGRQCSNAPDSYTSDDQCR NYLAQCTVQADGTGCELRKLHCAEIEVEKQCVKIDDNNKCSWNTVKKQCEDRSCTNAPLT ITVHNFCEDYLSSCTVKSNGKGCQKKLDKCQNYSFIGQCKATLSGTLCQRIVNYDVASCV DILSSCSTYISQSACTVQTDGKVCVWIDGSCYNRQCQHAPKSYSTHKQCQEYGTNCTTNG RGCIIQQKCSEYKYASACLQGTDGPCTLIDQCQQISCESAPTTLKTDSECSTFLKKCTTN GNGCIEKKRCQDAYIAEACKFNSAGESCAWVNDKCLDKKCETADISLKTETACEEYFPGA KCTTQKGGGCVQKGRCKDAQIELACTSQFDGKLCSWQDGTCKSKSCSDLFGTDHQTCYAQ QNDCTSDGIKCTSMKTCSNTLNKLSCILGTDGPCLWSNDQCYSFTKCTDLIYTTHQECNN IHSLCTTNGNNCISITTCARTPQIACYVGVKSLLPVQCIWTPTSIKLSSVQQCVEFTECA AVYYLNHDDCYKYSQGKCTTNGISGCIDLDSCEKYTNQASCFVDKLGKATNQSGNVTSTG LCKWANDKCRIQGCSELIGTTHEQCNSQLITCTSNGSSCILKTTCNTLKTYDSCIAATGT DGKCAWFSSTGIDKSCNPMKCTDYPVTQCSSYSSNCIQDGSSCQEISICSVYKTQAACDK GSSTSYCAWLPGGANKNVCVDITSCSSTNMYEKACRTLSDRCYWKLERVSNQDTYMCEPK TCEIQTDGTCTGYYDWDKKIYTVCKLDSQNKCVSINPTTLSAEDCLTKTLYQYTWNSNSN KCQICNLNSNQTNNSNSSNGTNNQSDSKFETILFPALIAILSLQI >CAK66527 pep:novel supercontig:GCA_000165425.1:CT868045:124982:127320:1 gene:GSPATT00035656001 transcript:CAK66527 MQSGQLLNLQRLERQYLQTLSQNGWESPVVVNAAVEFANTLLRDGRILLKSGNNPQAEMF FNRAKEVDKNITKFRLQLQFQTPVGYFIHPILFGQEIVQLYEQQNQYQKAWMNIKEVLPF MEKFAYTKRINISEDDQNVLKIYVQLLFHGCEVCRMMHRKDDMLDQCNKYVEILHRLGIT FDSLRNLTKDEFHQEFKKWFLLLAKAFYYIGKSQFKVGFEKEALRNLYKSWQIFEIIYGI DAPATIKARDKYEKLSQKMEFEMLMEKEKVDFQEFKDDIQKDVGQELLKKVATLKPNKTF NHHIIQQVKSYQQIVGQLSQHTTKYQMPEYVKKVRMEYEEKLRTISFQQKYDDSSNKYKQ YQIKNKKSSSRAVSTYNQSLLPQDRLFSSPKLSNHTQSKRTSLYQLDNHLDQYKEQLQTT ELKKDSIDELLLSRPMIKSEYVLHRKKNDNKQNDSAQPIKNTSKKKFKSNRLIPRILNKQ STQHIHIKSDSQQSEQKIHKLQTIQDQNFQLQNEDQHDPTTESVLFQQQQQQQQIIQVPF IQKVQTEVSEKNENLFADLLNKYTMEQLNLAASIIQSCYRKYTKTIKQVQSLQTIELTPI NLQKPIIVTQISEKVESQQTGRNLMKVSMKDMKSSFRRRNFGFDSIVREIKNTDSDQSTS NKAKTLFQIIKEFYNLPEQELYFLSKLFFDSEIKIWKLSNICVRAYSDANSQVQDTEEQE QIQNDFTPGEICIYFIMNLNQKTNCNTS >CAK66528 pep:novel supercontig:GCA_000165425.1:CT868045:127389:131779:1 gene:GSPATT00035657001 transcript:CAK66528 MQHYTLNFKINNFANYLDEYQRWFSKDRLQNFNGLLSIYCKQQSLYQSNKCQVIVFQSSE CVQEIVAKLLYSLKNHYYLIRSVVGFKIISMKDVIQNQMQHDIIDIRSYYKNKQIEKENK RQMLLKQLKIEPIKIVEHQKQELPSISSSRNKRQLLFNDEIQCSREQSIIIQESDDNKSE NSKIITSQSPSDQKHYSNHLQSIENISEKDIIQEIIPQRNPKKQYSVIHNRNTLTSPRFD SFMASQAVIRNPIQRKTHGSQFLEFSRKQKVDLVKQDEFNLEPPVSKQSLDEQIRDRTHS DIQFVNDFLSEFQFANLADFEEYQPKEGPIISFKNQFENKSTYFPPRGLIIYPEPEPFLP EYPDSFIMLNVTLRQDKQIKTGFVLYEEGQVYLIIDNGHGNVQKQSISIDPGIRLPNRKK KLEILEHLQVKKETSQKLSLVQELQQENHRHNLIMLQRYVRRMHHYDEYIQNEIHQQLYS NHVIPPTSIKCLSYLNKTVSLQLLDSQNKTETEEGIQIVIETGVAQSNEEVIDGKSFHCY RSKFNISKNKFYYFQTNLSLKVEVCFKETKILDTKLLYLSEQQAKQDLLVLKKNMHELIS HSKVLVKITLYNLNQNQYFYRTIDSPQDIEKMLHIFIKPQLMGYQIKSQAKIQRRLIGRA LQFNRQLVCLEDRNRDKFSALDQFLLMYIIDPLQFIKNENHRLLKQTILFYYFKQQLKLT LISSSRLRYFMKTYFHRKTFNAQSRVSNIGKVFVECEVYQFNKFYSDQLTNDHTYFYFQI TPQESRTKVFKLVLDFADIKSICNKVVLQNCFKDKVLHQIIKILTAYLVCYRTNTYHGIK IPLSYIASQSKYDLHNTFTEVTYGSNILEEQQNGRTSHPKLSILISDPILRKKSQAQIMD VQIDNLQMPEEANKVLKNTTMLTPIFRGQKFIYKTTKIVNNMYVIITISYLSLNKFQIGL YIPQTCRNFSCYISSNDFQNMSPLFLESIFPSSLVTKETIDQFYINRWKFSEMNKIFEMA VNHPDEFEDFYSEMRSQARAIPQSELQKLTESRVSINNEEEFLEKPYDETPKLLESFSDQ SRQNARSSTQPPAFVKKQFSKQFSVQNSIGQSNPMRLSLPSAQFQQKTDKSLFYRNSPRI SHQCSMENLYSSDKDLFFNQILVRKNNLDMISTFEIKIWESLIDRVQITKNSQNRFILNL DTFKGVLRENLYTQVVYLGNEVNALFEVFVENVRKPFNMLKPYMPIRLNESQNFQLYQRI TLFEQPQIVNFKLMLRKVLYSYYNDERRNLQNLKLNDCSVDQYEFIESDIQKCSVYMLNK LKKQLKLNPSKFIIQEVQGDEKCPQVIKVNANYQQYSSFKSYQYTLLKRMVFTMRPTLIY VSIFYQEKKKKFWLYFESMKNCKNTTVKYSLKDIQHCIPNAIGLLNLGLHQELGNRIFRS FKNRLLVSTHQQLL >CAK66529 pep:novel supercontig:GCA_000165425.1:CT868045:132354:133260:1 gene:GSPATT00035658001 transcript:CAK66529 MEEHQLVIEQLENMKNLKQLFHKMKNGNIRIVDAFSIVIYKLIRQFRPSSSEYLVLLQEL FYLSIDMQLIHTSDQVLKVLLKQFPTSEKVQRLKGYLLEANGEDENALVVYEKMLSENLM DQNTRKRKVALQRRQNNVDQAIALLNTFLTSFPNDAEAWLELADIYQEHLNYSRAQFCLE EVLLLNSQDLHLAIKLAEVNYSNQNYTQAKNYYCFVLSKNPNEPRCLWGLLQTIRKKKRE GNDKDLGTIVIQALQKIYKQQPIKYPFEKVALEIQQ >CAK66530 pep:novel supercontig:GCA_000165425.1:CT868045:133285:135849:-1 gene:GSPATT00035659001 transcript:CAK66530 MQNNSPVKGRRISNQIKLSGKLMKKKMASEVDIIGPSDQDTSAVDPIQIVQFDNLKSHIK QSQSELKVGKSTDKIKKKKSLDRTAAIKKSQQLYQKKKKSSKIKKSVSAERVQSQTVEFS SPEYVRRKKAATFFNDKPSSIQEHRDRLGLSFLNKIGTRRIDQILERNRMSSIEHSHHQP LTPERHQYPQQLKHYMKEKKKFYSQLESVKQQSFAEKRKHIDENLRSLAEIAKRNSLSNS RSPPRDTSNKASAKPLMLSYVANRKSTSRKSGGPKSLKEQFKQLEARYKTLKSNSDGISM RESRNYEQEMKDQAAICIQRWFRGHKIRKISKQKKDKKNVKLQQLQKLKNQEITRWSNLR EFLEKLQVKQDMIVLSDLIDSLIKHAEYNKLNAFTENFEIPQIKLKPSKLIVERRDVFPT QIGQILQLRGQLIKEREQREKNILKDLLIKERISPRSFGVKEQEIVKWGEKELELLEHNK QAIKEGWQKAYDTIQKTQKDLKFVQKLGVDNFNKMMPLSLSQSNLLKNQLNDLKINLLRV SSSEENLLEPQKKVHQQEQEQQLVSYNSNQSNKTLSSYIQGLEVIIYLSKGCSIGNGRTH VGYIRQYLEDIKLIVRNHYQDQFLQIVNLGIGPSPFEILRFFRLTEEMIEQSIDGGFIHQ AVLGLEIFTQKERISQEEVCNNEMERIHNKAIFDAYNESLDYFRPFGIKGKPLPWRRNVI SRQVKDLDETLNHSASKVVQWAETLCGILLPQGSQIDNDILPQVREERLDKLLKQEDQVL ENDDRWQEFDEEHTEVTLELSELVFNHLITEVMQELRN >CAK66531 pep:novel supercontig:GCA_000165425.1:CT868045:136539:139012:-1 gene:GSPATT00035660001 transcript:CAK66531 MKLAKKLIYSSLFLGLILLLQNFEASESTLDVKTQKEEACTEDLVVTQSERDSAQQILDN LYDTNIRSDVTKAVNEQNADINLVMNLLRYIIPWAIFLIISIFGWIFYCCYCCCDKKCPP SKCCKRDYEHDKLSKMELYVPVGFALILNLLLFAASIAGLSYSGDVEKGMKSARCSLISL FYDIVYGNSEGAFPGLSGLADSIDSINTEISDFQKQLKTTFSGKTSKTINQKKTDVITAN NNMKRGATNAALPVLDGGAESYLSSQNFFDYILMASSDSQASTYTSNYNSLASTYKDYLK CTPQPDALSSYYDGMIETSVQLEDYASDISGDSFDTSTLESGSSTIDGFINDINDASTTL YDNTKVLENAGTYASLALNVLFGVGLGLSIVSICSIILIVLLKIYKVRGLLHFTWCIYVI LMILCFLIALLLHPSSVIFAEVCYYLDSFVNDYEFYQQTDFIDAGETKEIISSCFFPDSA KSLFEVMDLSSATDPLVNFKEQISDFQTQYSSFDDQKKDFNDKLNNNLLAVQNCKDGTVF DAKPADYVPLKAAFDKFNNFKSNSGCVELAVSKCADGLLPMRSASDTGSTFNQKCWHPSY LASSTPSCADQSGLAETAVLKTQYNKQTSMWSNSVTKEQNVLTLNADVSKTFEDYMGKIN TFIADADTIMQHLDELLNGVDCSFLKQSFNNVLDGLCVVFIPGISRTTILIIIIGVSMFF GSLANCCVGLRAYRIQNYGFKDGDQPNSKIAPKDPETQGMTVQ >CAK66532 pep:novel supercontig:GCA_000165425.1:CT868045:139487:140255:-1 gene:GSPATT00035661001 transcript:CAK66532 MNYQDPTILALKQQNVEQQRQIEQLMGRVNMLIKQQQLLKPPNKKLEIVYKKKKIHDDIV DELKSAKESLYNPGSEQRKKEENLIKRYQLNKAIKTAKAQVDREREEQAKQMKKISSIHQ KSIEEQKQQMYQERRTNRNKVQLDEFQSKYNYQLYWDDRVKKFEEEQKKVKHQLSKSMMD QENLMNYLEQQETLLMGRMQQKDAQRLKSKEFEQKYGKPKESKFQQILDIKAKQ >CAK66533 pep:novel supercontig:GCA_000165425.1:CT868045:140539:141819:1 gene:GSPATT00035662001 transcript:CAK66533 MLKVNSKSRTTLASTPQSTAESNRQNLLIWDQMFGNFNNPLKSKNQAQRLAAQKYQLMND PICTSSPLHKPNPFSFNEKLRQGFREYLCGERSALNLVNECNHLNRKEAKLKSRIKLIKR ANMFANQLQIGNKYKSLHQQGLTDEEIEKKVRVFQRFEKIKKLVQKNSQTEPNEENNLRD VQLFRQLYEVSEENKNKIKQRNLLTERADGQREFNNVKYKTHGQLRVKKVEQQRGNTVSC EKKSFIKQKVRDAINEQLRGFLKERQQKEKDILNKAKRKMLMKNNQYQGFQKEKYILNDP LSKDVKLYAYMFMKNKDKGARTDISERMCNTSRNEEKPKHFIKNFNNELTSRNVSMSNDS SLNSMLEINIDNMYRTSNTIQSQILQSDAEKFVRRIKQFQKVQQLNFEIIKVNQQKLSSE RKSILF >CAK66534 pep:novel supercontig:GCA_000165425.1:CT868045:141979:143114:-1 gene:GSPATT00035663001 transcript:CAK66534 MLLIILLSINAFAYVHKQEQHLMDLYNKMNGIKEFLSQLEYYTALEKLEMIQKGNLEFEA ESLTIYKEHYQEFIKSFKQQQTSSKNEKEEIKQFLRETFPEVIEKIKRIIADKEKLIEGD IKELAKLKHQQPEDISKRFEEFNYLHQKIQEVLESNDEQDIYKTLELLESKIMIGSFKQM QSQEVDFFMKSVDKLFREKQNPNKKQESIQLVSLMRSIISEYLIKMMNDQLESSFDLLDK ELFFTESKNTHVNAKDQYVALLRRLEQLHFTVWKEVMELPYDNYLFEIGEKQYEQATQIG NRAISYHTQMDEMIKAMLERFLQR >CAK66535 pep:novel supercontig:GCA_000165425.1:CT868045:143123:143862:1 gene:GSPATT00035664001 transcript:CAK66535 MNKEGPGFLGISFNLFKHSDYDDIVSWNDNGDGFQVKDINIFQNDILPNYFKHSNFASFV RQLNMYDFHKTEKNSFKHKLFKRNQKELLPQIKRKVNDQIIILPNIEQINQDLSMLTLRN QELESLFTYIIQQSDKLKKENAFLWQELIKIKQKNDHQQEKLFDWLTNNITAESLFKILE KSITKRDLIKLVTRVFGQDQQNKKIKKDYII >CAK66536 pep:novel supercontig:GCA_000165425.1:CT868045:143887:144245:-1 gene:GSPATT00035665001 transcript:CAK66536 MKLLILLIVLSSIFCREHRPMAGGKFKPDSNDQGLGQAIAFAKQNFQTSCGGTSGYSWDK VLNVEQQIVNGSNYYISAQLKNGDKTKNVKIVVYMPASPPGIRITSCSVF >CAK66537 pep:novel supercontig:GCA_000165425.1:CT868045:145163:147043:-1 gene:GSPATT00035666001 transcript:CAK66537 MLDHKRNLSLKNINVIVSCQNKQVSIEISIEKNAAELMNKLYQHPETPNAQQIVALRTAS HSITLDYLLSIPNERAQLSIDKIKQENLIAVYGKPQPKSTLSLRDFKFENCIGRGGTSEV YLVRHCASGRLFALKMIKKQYITDCRRLEQVLREKKILSQVLNQSKFVIPLYATFAIKDH LCFLMEYLPGGEMFYHLQHYRFSDEEARLYISEVICALEDLHQHNILYRDLKPENILIDL KGHIKLTDFGLSKLDLKDDQLANSFCGSPEYMPPEVVNRQGYSYPADFYTLGCLLYELLL GIPPHYSQNTEEIFYKIQNEEITFSDELSPDVLYLLQNLLEKDFNKRIHDFNTLKKNPWF TSIDWSAIQTQSIKEMPISFNLKDTNIHEEFLQMDVSHLNQKNDAGELNSCDDLFDFFNF VNESLKDVFQFKPKLRVQSDHIIDLKLLQLRKQSNTNQNINSNSNNNKIINKKKNLKLNL QDIEKQKKFSQKMSLTPQNIMPLSLLRKSFQNLIRDKPQNRNQEKQPTYTSVLSDRPAFD KNQVLNMLSTLNFQKKGQSSLHQQTSWRPMEIKRGSSSSAHLKSNIQIISKK >CAK66538 pep:novel supercontig:GCA_000165425.1:CT868045:147073:148448:-1 gene:GSPATT00035667001 transcript:CAK66538 MKTSFLVFILLSCTLAKQLYDVKKSYVTPVNEINFEKQINKIRQTTKYVTIVHFYKFSDG ESKSFATKYDKFTNEYKGIFRIASCDCDEAERICQKESVSKYPTFRVYPPYPVPAIDYEG PLEIDGILKLASKYIHNNAIEINEANINTFINENPTVPKVLLFSEKKGFPLVYKGLSVEF EKKLSFGIVRSTEQALLDKYNIKEFPKMVLVKTHEKKPFPYTGEYKFKPMFDFLNVHSEV FVPGGGSSADSAATKEWMMQVVPQLHQRSANDICLKIDSAICVILLNNGDKPDNSLVDAL KRLNALYERNINRGTVFKFMWLDAKTESKWGSTLEFQGESKIVLLNPAKRKRFAVHDGEM TFEAIKGSLEKLISGDLKFKNIGESLPDFVKIDL >CAK66539 pep:novel supercontig:GCA_000165425.1:CT868045:149148:149984:1 gene:GSPATT00035668001 transcript:CAK66539 MNKSSLQSPIKQQHRKIISQQQGSRPFRFLDRLQLFTKHRIPLIEETPQRSERQSRRLKK YYSATMRNSSCNCVNCGKNNSFIQKTFQLFPFESNFNRRKRLYRRFRKYVHCVQFIIRYK IVQKIRDRQRNKMKKAINQNVHIHKPSAVANLLAEGIKQLRQQHHVEVLNEHDSDEEFYH FKPKMLQNRKSFAHFLSSPKGQKKLERVYYVKQANRPISQYIANRSKPSTTQCSPKSILP QLSSLYDFKNSISVRHMKKF >CAK66540 pep:novel supercontig:GCA_000165425.1:CT868045:150056:150741:1 gene:GSPATT00035669001 transcript:CAK66540 MRTYIDQSQRRLLDDNFNLKSFRSQAKDKWNLSLTSDLNQSSFNSVRKENRQGVPSFDNL QVRIRDLSQSRQTTLNYNSNDNFTPQKNLYSPHKAYGMRQIIQTTLGQNTMTNSNEKQPQ NSKSQSSQQKLFQRPSDPLQLPLKGSSRKQGRLLSDAVQLNEIVALRNRIESSVVSRSSL TSTYISELVKLAQSITTSLKQE >CAK66541 pep:novel supercontig:GCA_000165425.1:CT868045:150748:151277:-1 gene:GSPATT00035670001 transcript:CAK66541 MVYQWTNFVWETNAWWLRRIRPFIVLGGVAFTAFYGGRYYFFGKWAYYKQRQFSEAELVA QAEVNKRNWGIWSLVQTNIGEKQKEVVAGRIERQIQICYDMGRVVL >CAK66542 pep:novel supercontig:GCA_000165425.1:CT868045:151305:153939:-1 gene:GSPATT00035671001 transcript:CAK66542 MADTFLLTEAQITQKKPVQLSPPKIETEAEKKERHWQQILNKILFNPPNDDYYQKWPIKP GIFFYKALALGKLPTEQIRVNIPESVMCFEQTYILMYTDPTTGQLMRKTDIGYQDFENKV HQFHEEYLKRHVKDDRFDARTNVFTNQDNPYIISRGQTGQDWNMSKAVYTFRQQKERKVQ LDNNKLEQRFVISRVMKATIVRLVFYTKNSKSSHANYGYRIMNVMELYDPNPKKSITNKT TINTEQQNSFTIQQIKGVGLYEYEKVCEQIVTYLEKNYPVRIKTGVFDFLQDPEGRIWLF NCKQLIMENAISMSEIQGVGQGKKTLDQLTCSVYCKLCGIIFKKDDASKTLTYKLLWELV QHLKKRNKYLTNIKVSHLSTRPCRVCDVCYMLIVGEHELVEIEQKFAIAQNIPLGDAIIR VPMDSKPKHRPALLNEQLYQWRLLFYLEMVDLQGKKLIDLNGNPVTDLKNIVLQYRLHQS KSSFKTHIIKDDNYQTLKSNKQQTSQSQQKQLRFSGIERSDSIDIPEGQIDDQFAQVNDE NLKLNVIRVHYFFSETTDIEKFLSETEIKMRLCQGSEWVNYVAEGSTKTIHHFKNSQMGG QRHRAQVLLFFANGHYCILNLQVGLFCDGQYNTGKLNLYKYNNVYFPDDNYYNCNPFPPE WMEIFDPQYVNMHNTFEEQVKEIEAYSPKCTKHELNQMIDFNKYKETTKYKAVKPKIDAK KRDIQSAAPQYGSNTQQVLPKLPQSAKKYSNTMRQFDEQQLLQHYTLEEQDIGELLFEEK KQNKKMSKEDREFYEQHNLYDEEAEDSQEDQELNLRLNKQIQKSMKQFDNQSQQSNSVRP IRSAQQLPPKGHR >CAK66543 pep:novel supercontig:GCA_000165425.1:CT868045:154278:156638:1 gene:GSPATT00035672001 transcript:CAK66543 MSDICQLGLLVYINLGTHFQIKIANSFLQLFLQSILYSSGIIISLLCYFLKKDTWNKKLE IIGIILKIISLWFAQQESQEFMLCSLIQSQMFFKSIDIIKQPQYPIMIVFQMAFNIFQIR GVINNITITSLNLVQVIIFIVWLYRVQKHNIIIDQRVKLQEANVIIEIDEGRDSLKQHQK FIQTSSTKRVILPQNSLIFNQELELIDCQMEFEKLMNHQSYCDQAIQIMLSLRLNYIFEN QIKSCELKQLMEQLSLDQRILGVIDKNDYIKYEDHLVLLSCLLYEDIKCYQIQIEQVPFK LKKIEMEESMEVDMCRSLSHELGTNLNSIITFTAMALEDDKVDKYTKLKFLDPIWINCEQ LSLIVGSIRDYNLINLQQFSLKLEELDVEQEIKFMVSLFSESIESKQIKVDYKFDLQCFT LVGDKTRFRQVLFQLLQNAIKYTFQSSITIVVVNDNLECKVLIEDDGVGMSEDEANNLNS LLKSNKFIRVSEQSVGIGLGLGISNQLVKKMGNQDNQIELKRMAKGCQFSFAIKNHFYEL TKEFTRKKSSRLTNRSNQTIRFISANSYFENQIKEQSICLKGSINQNNTKMYVIPSTKQI SLSHEIKSQKEEDSVLSIHPPILSPKFQQSIIQCSLKSDCCSRVLIVDDEYFNIQCLKLL MTKYQSKCDSAYNGSEAINQVLNKKNNACKICGNKYYSLIFLDINMPIMDGFNTVKELKR MMGQQIINKAFCIANTGFCDLETKLKSYESGMDYYLTKPLDQNELNSILNDIFPMIDY >CAK66544 pep:novel supercontig:GCA_000165425.1:CT868045:156724:157197:1 gene:GSPATT00035673001 transcript:CAK66544 MPSKRRNNGRSKKNRGHVKPVTCSNCCRLVGKDKAIKRFTVRDIVDASSKKDILEASAYD TKQQQSIPKLFDKLQYCVSCAIHARIVRVRSSEDRKIRVIERKRPVQQTTQKKDAPKVQA PVAAQ >CAK66545 pep:novel supercontig:GCA_000165425.1:CT868045:157246:157804:1 gene:GSPATT00035674001 transcript:CAK66545 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFKRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCREEFVKRVQENDKKKTEAN KQKKHISTKRQPVLPRNAEVVKPTATVFQHPKAFVEII >CAK66546 pep:novel supercontig:GCA_000165425.1:CT868045:157964:159707:1 gene:GSPATT00035675001 transcript:CAK66546 MQSKDFQLQLDFQALRESQIQLDNIIDQIQKQKQSQSLKLNQLSSSNFEFTQTLQQFAIS NSPIKDTDNNSKLPNSKDSEQEKLKIQKADDLKKKGNNYYCNANYQQAILMYTEALQLIQ DNVVLWLNRAISYIKLNQFHQAIEDCSKVLEIANQGKDALKANSDNCFKAYLRRAFAYFK VNQFALALSDIEQALIIDPKSLEAEKLKMDVERQCVQKQKSQQEINNVTPKKKIVDSELN YQDVIDNFFQQKDNFDLFRALQVMQKNTDEAAAYFYDKKGIETLIQIIQSDEEVQHDQYN LMASLPAMILQCYQENNQLYQEQFIRKYNGVDLLIQKIISLLDKANNKKNSAIYDCIKDY IDALNIMTDNKIRNLIQSNPNFETKFYSQIFCPILSLHQTEIELTTSLLSLSANLCFDVN SSIRIVFYNKFDDMIIAVIQILENAKLSLSSCNLLVQLFNYLSNLLIEEQFRQKFLSQDF NKEFFKQFFDILKKLDLHFKELLQIQLGFLINLLYQNEIIHSEYLIFELRTLPSLFAQFS LSKDAIILERIEILQSFLQIRKYYLFNQKTKSN >CAK66547 pep:novel supercontig:GCA_000165425.1:CT868045:161159:161455:-1 gene:GSPATT00035676001 transcript:CAK66547 MQCSYTSTDTSIDEVYYEDEPSISQVKEFRETFFINRLNSEKKESRKVSFNELEVVLLFE ESDPPVMVSEDLILYQKQTGTNLKLFRYPTTSILKKGI >CAK66548 pep:novel supercontig:GCA_000165425.1:CT868045:161744:162638:-1 gene:GSPATT00035677001 transcript:CAK66548 MSANDLSKPESLDNLSQPSSQEGQQRKQYKVHRLGNRKQSSNQNYYIKEQASSREERKLK SYLQRIEEQQKLEEAALLKRKRSKPNQETKSSETQEGPKQIDEVIMPIQATVLLNPLLVP QQSQLDVTAQSKLRLNPKLKIKEKHHIFLYLSEWYDRCVDNMQKLNSGATQQYSPQKVGK CEVTNIQSINQDVYQDTLILMKNFKHQYQQQNGNDSKELLNRYSDRKFLESILKDNKQQI NKKNGLEKVQISNGKFNQKKN >CAK66549 pep:novel supercontig:GCA_000165425.1:CT868045:162729:162887:-1 gene:GSPATT00035678001 transcript:CAK66549 MEKQFNGGGGIWRYGFEQKIVYLAVNYFNKKDRSIERKKGFM >CAK66550 pep:novel supercontig:GCA_000165425.1:CT868045:163127:163977:1 gene:GSPATT00035679001 transcript:CAK66550 MLIAFFLAFQHVLADCIENSYFTYPNQAEWVYVFIFFIYYQAVKRISIKLEGEYVIGYGD DYEVKDKSKIQIKQVPGGLTFGPSNFEIYCPALHKLSNKDLVKCEIQLNMKEINATSVPS KALFVFSVEIDNGLKENPVFAIENNFQMRLKDFAKQLENMAYYLEYENCDQMVYLLPDSL PISSEQVALLQKYSKTLPDDSPTTQITESIKGQLFVENFSIDSGSKTHLYGLAAIIIGVI ILAAIRAFNKKREQSQQNDPTKQPLNEQELPKLA >CAK66551 pep:novel supercontig:GCA_000165425.1:CT868045:164062:166597:1 gene:GSPATT00035680001 transcript:CAK66551 MQEARQLLISKNYDEAFTKYNQLLSENIDNNNKSICALNCAICLINKQNYEQAMEFIEKS ITYNPKYIKAYYRKAQVLIQMKQYEEALQIIQNLDPCQEVNELKELIKLQFSDPMYVIND LKSCATEKDAIKFFNELYSKQDYINYDKLMKENGFCQQILTQKFVFQQMKYIQYCFIKSH MQYLPKWLQEYLLANFEFYCKSEQASKVYELINIYCSLFSHFQVNQQVLDLIIENQYFFL EKYLKCTVQLFVQNQNPVVSVEFIEKVAYKAKKAEDFDTNTEMYYLIVDLIILLTKPKLI HFGFLKRDTFRYQDLLILIGIISNSQLESIRKTYTNLAIKLLNTIEKKLNKLRYINLINQ ILMMTLNNFEFNQEINDNSVKLSETLLDYIQEYRKSKQFNQNIKKFCLLFLLQSKGKYLQ LYEYIHKADCEILLEHIFEDHNIEIDESIVLLQFITQFDDIKIMIMQKREQLKKIYNNIN NQFKLEGKTSLQKLRQYQILVGYFNILLQLTTDRSAETKEEQKQRFQINDEQYEQNRQFA KQYQQDISEKYFTYTNEYIMKEQIVKFIALEMRTIQLLSSFIFQYQDILNNENVVSKLLT QFSSEDQVHQYLFDKQCLKLVSKSILSKNEFGIPYLCKQMRNANPELFDFKEAQLICDAL FVCSQNATHELLTFYALLGIVQLTSLGDFIVDRIMDQKQYIQIQNFLMDENNYIVHASLE IFNNISLNEKFLVLLEDSKLPTFLQLVQALTKQFYENIEGKPGQNEKDFSHPKQILQTLL GLISIISQVLIVQDYFKKELPLIVDRQFYNRVLPYSNENQIKKIKIVWEEYGYKHH >CAK66552 pep:novel supercontig:GCA_000165425.1:CT868045:166647:169119:-1 gene:GSPATT00035681001 transcript:CAK66552 MVYKKLLIVNTFLFIVMDLAMISILLFQSFEDGLGQLLTISLMVGFGLFVMLQQLLVFVE WQVDMKYKLNLVSSLGKIVIVWIMDVRLSTNQNLLILFQIYMTQESYKRKSLQYSFACMM ILNLFEFNNFINLGYDQLINFMQIVIWIVQNLYQGKLSEGDKLQQSFAQVSTGGGQIQQT FAIIQESRRSMPMINSLKMMDDEPWLDYKWLSYEKYLVMGADLKMKFYSFDLKIFDKSIE STDDQEEIVNHLLDQGVKFISRLDNSQQSLVSQKGVVTLRQVLENQLQTQINSDYYYQTF QMQGQVFDIQKYLINVFGLMGRRQMYYIMHFQLVPKLTIEINNNVFDMCKSLSHELGTNL NCIQSLSEIALQKSSITQDVKDNIVQPILSNSQQLNLIISNIRDYSSIETDQFYLSLSTF NIREQIQEIVDFFQPTCQQKGIELILPTESFPIFNDRDRFRQVIFQLVSNAVRFTITGSI QILIKQKEYSYEIMVKDTGIGMGFQEESNLRRILEQRIMLRVSDNSIGSSLGLFISNLLV KKLNADEDCKIQFTSNKSGSCFYFFIKNMTEFKDNIEKLQSNFHRTIVKFQTINSYYENI NDVQIKFSQATFKSIFSGQSNLDDGNLTVYSKEFDENKQTLQPIQSIIPQLQDTIQAYSL KSTCCPRVLIVDDEYFNIMALQMLMSQYSAISDKAYNGMEALELINNKLVKPCPKCQNCW YQLIFLDINMPIMGGIETVRVIKRMMQNRIIKKVYVIANTGFSDLETKEKAYDAGIDYFM TKPLNIATFKSIATKIFPRG >CAK66553 pep:novel supercontig:GCA_000165425.1:CT868045:169138:171699:-1 gene:GSPATT00035682001 transcript:CAK66553 MAQNLESVYKDISSVLTREIKEKGLWCDFVAKADQQKQITFTNFEEVVKNIIIDINIEEI VALKEKLFIQEITTLSALARQLKINIIDQNKKKTQIILNQSSDNMEGSQGQSEMPIQNNQ NSNIFQSQQHQQGSSQHINMSSSSSQRSINVHHTLNAQTALKQGANDKRSFQRQDLQQLS QQENYIEEYKKQIAENKQEDNMSEHQNNEDQQPAQTQQLKPVRFQKEVNENNEPTLDQQI EDLFNSIDSNQNGWLDPKELNIALLQLGINPTPQELDQYFQVFDKNHDEKISKMEFNVII KDQLMKAILSTDELFAQIQSEYNILTDANRNELDMAQLQQVFKKLGILLDNNDLIDLFEE IDEDGSGFIEQDELINFLDKPFSQHTPKSQTLLTKINNFKNLSITGIRELFQGIPKNFTY SFIFAQNKKGLNTISSCLSPQLDSSGLLYEDLNLIQAQKYNLQRSLIDMTQTPPLVFYEI KFHLAAGLPSPDEKKCDLKSFRLREVAMCLFDKVNQKFIGNTVFVPCQADPSSPDKWLFL VDYPIILRCVPTKKDQQLVLVIEFIAHMEKLGTLNPLSVSYGEILLTSIKKPGKQIIQMN GGSPFNQMVIDPQSIIQPKGLFKKQLNQPQVTIEFMEIELKKPPIIQLFSVLPQSYLLPK TWLQLMHDFREYFAYRSVCQGFFDSGLPDYKMKSLLDMLDMPECGDQIANWWNQNIKTSN FKELINLVDVLCQRAYLTTQRVDFYLKAFPPVQRINHKYSILEKRRNCLQEMFRDLATVL GKRTGGRKNDNYFNMEPLILCTLQEEDKSMMEKILSRRKIK >CAK66554 pep:novel supercontig:GCA_000165425.1:CT868045:171834:172309:1 gene:GSPATT00035683001 transcript:CAK66554 MSGFMRLTKINRLMQRSEENMKNLKSLYAPQFNRGYDDDLTVIGRMNWMKIRQPKMYPTG KEEETFQARNIQRFPELRDSLSNEIDQVLEFLKLRNNEKLSKTLKNVKGNNVDEKIFRAM EYDINSFKQLEEK >CAK66555 pep:novel supercontig:GCA_000165425.1:CT868045:172509:173752:-1 gene:GSPATT00035684001 transcript:CAK66555 MMYEEYDDSPLQFIKSIRIYLNGDSSKYLDLKIAQNSSWSDIQTQLDQKFPKFKEMRLFT QQGVEIFKDDVKFFKDGQSFYASRGDEFDPHSPFSQYETIKKLGEGGFGCVTLARHRITG EQVAIKVIKMMGNAQDIELNFREAEVLRSLTHKNIVKVFNSYALQNTQMAVIMEYLEGGE LAERLRQKGRFQEEEACKYFRQVVSAIAYCHQKNIVHRDLKMENLLFCSPDSDDLKAIDF GIAGIQCPTNNDHVNIGSLHYMAPEILGGKVTRVSTSVDIWAMGIILYKMLFGKVPFNGK SQQDIIFNVVNKDLLLPPNNFSEEVINLITQMLEKNHEQRPRITDVEYHPWVNTEIRTPQ QKQSLLQIPSEGNIRKSRPSLSPRPRSKSPNPSGIVKHPNIFKL >CAK66556 pep:novel supercontig:GCA_000165425.1:CT868045:173767:174857:-1 gene:GSPATT00035685001 transcript:CAK66556 MGELIVTITTWDKPNYELFDGESQNYRIQEYLINEPGIFCRNGENETFFDQNLSRKDGVR TLFNVRIDDGQFVIGDKDKIEDLWIQSKNEQQIRQNDVIKLGKKVLKVLSISNENNLHLT HYQNVASQIENVEASLFESSNMCRICLGHTCNTSNPLLSLCRCCGSTKYVHYDCLKTWLF GKMQAKQTQYCTEINAKSVRCEICQSFYPSIVYTGTSVLALYNLENLKYHVVLEDIENQT ILVLNFKDLTTLTVGRGHESNVKISEITISRTHLAFVVRNEKLYIEDKNSKFGTLIKLQK ARRIEKNETLFLQSGRSRIMIKHKAKPKRCALLCNFLE >CAK66557 pep:novel supercontig:GCA_000165425.1:CT868045:175345:176296:-1 gene:GSPATT00035686001 transcript:CAK66557 MRPSLNYLRQLRNQIVWSASAIGLTTLTQTNFCTTKEYYFNYGSHMIPHPEKVHKGGEDA LFADKKILVVADGVGGWAELGIDPGLYSKELCKKLEEAFKQNPEDLKNPKKYIIAAHKVT KAKGSTTVCVVALNKSELKSSLVGDSGFAIYRKVDDKYQLNYKSQEQQKSFNFPYQIGSE GDNPNVATDETHKVQVGDLLVLGTDGLFDNMSAQQIQVVIEDVIKTEPNNPQALAKSIAN YAYRLSLDPKYNSPFAQHAKQSRLRYMGGKSDDITVIVAFIHEQ >CAK66558 pep:novel supercontig:GCA_000165425.1:CT868045:176296:177001:-1 gene:GSPATT00035687001 transcript:CAK66558 MSFVLDPLPYAQDALAPHISANTISFHYGKHHAGYVNKLNELTKGTPYETQSLTDVVKNT FGNPATVAIFNNAAQNWNHTFYWRSMKQQGGGPATGQVKDLILQSFDSLDSFSKAFTDAA LSQFGSGWTWLVEKDGKVSIVKTSNADNPITSGYNPLITLDVWEHAYYLDFQNRRVDYAN LFLTNLVNWDYANQNLVNKAHL >CAK66559 pep:novel supercontig:GCA_000165425.1:CT868045:177130:178398:-1 gene:GSPATT00035688001 transcript:CAK66559 MIIFIIASVQSLDVQLINLQQVYYSVPITANNFTYNLIVDTGSDTLWIFGKQNDRRKYYE CNKCQAIMQKRLDYGLGSIYGTQFTQLFEFGNQSLNLSVVEVERVQDLESLIADGIIGLS KQYPDSDANLIDLLYNHTIIDVKQFGLLLSDQICSANSLLTLGKPNPSNYVGQLHYVNTT RSDLWNVYANSVLVSNDTHQYMLESNKQLILFDSGTSKIMLSTRKFHSLHNIFNDDYNLH CEQVKHNYFHELVCHYTTQFPHLILNINSQLNLTLLPQDYISYCGYNYFLQYRCYLNFQN LDNDDEIILGVVFLQKYYTHYDLEAKKVGIAQSIYYSRDKVSLGELGNQYNYNMLYLSLM SVPILMLVMILSLNIIRMKQTADEIAQSNEGGRQSRGQEQEMEPITFSVQSVR >CAK66560 pep:novel supercontig:GCA_000165425.1:CT868045:178412:179772:-1 gene:GSPATT00035689001 transcript:CAK66560 MQQEIPLNVADQIIQDQMKELQQNFQLVEIAEGSQGICKKSEDRVYKFLNLKNNILTLDV LRGMNQNELYCLQELAISGYTCEVQNDKCDNQYMLWITTAYMNMGTLDMDCLYWENQHPH RLNLETITIICNRLLNILNYFHKNWIFHLDVQPSNILFHEEYQFCYSSENNDIELPIEQG LEQLRQNLGNNQQTSYMEQNITRDYTNLQCILNMQFQDLQPNGRRQLIERRFGNEHIDQL VFWINRWLKLQGMVNFNPQCIYVKKGIKICFCDFGYSQKIQEQELSRPEFEAEFFKTPYQ ILGKIVNPNEVIQAWRIARQQDLFCLGMTMINLLINNGHALIESIEFCQEKLVEFTKFLQ DTYGEINLQKACTCDVQKFLLKLYSCLKWLQKYMNNNPTYYLIYRMLSFRFTSDIPLNIQ RDQDIPLKTIREVQKLCNEMRVQTGL >CAK66561 pep:novel supercontig:GCA_000165425.1:CT868045:179784:181013:1 gene:GSPATT00035690001 transcript:CAK66561 MTLPKFSLLANKLAQIRAKQLALNFREDQLKPLILSDSKINQLVPNFFTSQNNFELFELK DEYKTIPDSQNFNSTSNTFTQLKIPIGQNQKLRTQFTKLQTKYARIGRLLEVLDLQVGFV GYKHAYADFSNRQITIATLGVYDFLFYKQEFDYNKDIIMNSYISYVGKSSIEVNSDLFQD NQLAATVSFVMVSRNAEKYDQAQPVPQLLDELHPDIKESCFIRKQLGKLNQQRRIQESKL QQEPPNQNESRYLHDMMKKRDDSLFISQTELDKNIMMHTQDRNLHGKAFGGHIMKEIIEL GWLVGYKHAIGNDVFIIHIFDVTFLAPVAIGSIINQSGKICYVEDDIMIIQVQVDVHNYK DSKVTILKTSEVYLAMKSSVPIKQVQPQTYEEAMLFLHGKRLMEKLKYD >CAK66562 pep:novel supercontig:GCA_000165425.1:CT868045:181272:181781:-1 gene:GSPATT00035691001 transcript:CAK66562 MDYKPHLQNQQMKKTIFMNLKFFVKPQVPKVQAQTQKHTKVPETTSTQSQTPKTITKQQM YRPQSMALFKQISEFRELKHQESSIKQDLSHYKDWVGLSVVDRNEIFQKKKQLKIKKLKE EKEQQIINTCTFTPKLSQQIKYSSPQSQIISKSYLDLHKQKQNYNDKLY >CAK66563 pep:novel supercontig:GCA_000165425.1:CT868045:182057:190549:1 gene:GSPATT00035692001 transcript:CAK66563 MSKIFLRGGGCGASKKIQKKHEQEMERGKQKVDLTQKERMAKLIQQHSEVIFKNSPIAMS EKKKLMSSFQFFLDNRQELWGIIVDKEESSQIIDIILTNLKPLLESLKILIKGFVAYSVY LSQIIQLLSWIVFCFYTNAHSKKENKDRFMNVKDQTEYLELITQIKTEIDIEKNIDKYQN NLEYELYIMESIFTIVPTDSDEAQEIAASFLIGAATSFLSFSINDQFLTSLKKGVIYIYN EHDKASRKKILKVIFALLQLKYEIINKIQKSELKQDFIHLDRLNTVYKEVVSKSSDWQVW CTWTKVLSQLFQQKLILPLEKIQSLKISDQHYIHKNENTKTCWLNIDSRLIESDATAKLI YQNTEELQELGRLQYSILYGYQQMPNFESLYSSKLTQSIEKENFDAFQFNLSSVSIMLHN AQEIKKAQVQLQQHVELLSTTNKSKVQDIENLLVKAINNTILLLESIYSLHEIENPRDNE LDSPKQQLAQQSVIFFSQNLQNPSPGKKNDDDNVWLDEIVKLKKSLGKKFITFFLKSLLL KTNNQSKEKALIDDETKEEYKIDFILNQSKKEKDQIIQEDFQQYQFNVLDLLEEIELRRI KKKKTKTPNYSQIIVNYKQDPFQIETLQLLQTRLKYIKIKPSKHQMKSEEEYKFGFKEGI NLLQEGSAFLRWNLRLLVRMKFYIQELSSNQHNDKQANQQTNQIIDSLKGFSQQLSSIKA IQKCIKFFYSKYEACIKYQLIGKVSQKRNVIYQVLKTITLKKFETLNMVYQIHKEAIRKV KNVGVIYICQSIFQAIQNEHETFLEDIVQLKRAIQMIIDILNANEKNNKKDQDEFKIFLN NDIFNQNQIEDPENHSTWLANKDALFKITLYYQNYCQSNKNWQKKNKNSSQDVTQQIEKK QQSIKDYIEKIELAQGYKTIQEEDDTFKTELYKEVKQHLNSLLELTIQNEQDNLNAEWNS PLKTKEFFNSLFNIIKDIEHQVQVQFGNLKFINQQQGVFQTLINNSLLLRKAISLYQNKI EQNEKKIQELVGNYEEKLPEQIFDLTNLQKIFNEAKLHLSPNLKSEIFFILTQIWKKEDV TSDPSYYDQFKEAASDLINSNKWRVRQSCIFELLQFKQSCLTQATVDLARGLLVKCQIYE TDRRNKQLLDDEDQNQVVNMIQQCWAQSEEDVQIKIKQKLEELNDIAYKITIETKQYEKS KYKKRYVELESEIQGIVKNAESLGNSLGTSLLFFQDIKHDLVNIQSQLKNLQGSIDQIHK DIQLLQGRSIKDLLIIRMQRVLQQRIILNSENVYVPIKTKEKQVLENHEDEETPLYTDDL FSNGEINEFIWKQQKLSLLIHGQAGSGKSTAARKIEEFLWLLFKKNMNIAESIPIIPIFV SLPQLKDPISMAMEETLKSDNYRFNEKQIIEFKEAIEQNQFKIVIIMDSYDEIKSEFTNR NLILSNKLYKWRCQSDELRFPKIITTSRSEMFTNTDYRSWFLPESENLYLYKEIRLLNFT SEQVNLYIEYHTIFSVKKIIKDFFFNNKDNSGFFAFEELFNEIIKVINDKEQEDDKKDQQ FLLTKNQIERILSLCESFVSGDIAIAMKQSLEEVWCKEYYIKNIKQMDIGSLLETPFMIE IVASVLPQMIKHRQELNTLKESFMKKLSQQDEVSKEQLSKEWSKIISNEKFLEEYLKVSN DTERDLIISEFFGKPDNSTIVQDALKLDPLCSYDFYDQFFAQYFKRQINKLRESGESLVY ISNLSDLWEFTHRLANDMTLDSLSQVQYIPRIFLFQKNRDADWRDVYFNDEQEQGQIKKL FRKIMPIRQKYGIYSFNHKSLQEFLVAKWLIQSLEQFNFNLSEEQIDGQLDDLCVHNLSK DFMNGVVRFMVDKLNQNQYLQKKLFEIVLLTKNKQPNDQYKELDSIKISQNIKLKRAQTQ LMAQLKVSQAQYSDKEKTQRVIQASSNSLYLLHLLKYPFTEDLSSIKINETDLLNSNFFG ANLSLSEFSKVNISQSNFNFAQLDSVQWQDILIDELPSIETQIQNLGLDIHFIYIKTEDL LMFKNKDVIKWFNYKNQTTAKAKNCSEFINLNLKYILISKDQSKIALFYNDSFTLISKSN SKINFPEGFQFEKAYFTIKDELIIGSKNEIIKLKTDVQDQEIVITDNNKIKLDKIQISNM IQIASYDNYIIIIKDKEMVFIKEKENQYIFFTLLIQCSHPECRNKPFDENKQEEEIPSQC TQIEQFISIQISDNQNFLLIGFKININSQGFLVIEKQNLIEKHQIDNQEPNKQKQYIITS KYIQLDLKQEKNLKLFFVDDDKYILSFSGNKTTFMRLWNYKEKKILSCTIFNSEILCVTK ITNILIATLNKESIIQIWDLQALIQQQNTNPKPTITCCIFSNNNNIISGNDEGIIQIWDG KRGTKIGKDLQYLKASVTSLVTYFDQYEILISGDEKGNIAFWNLFKHQFIHSIFIISPIK SLNMMEFSKGKFQLITHSQHYHYLQLWAQNYQSYQLFCDQQSNIEIDQMNLQKIIQMLQD KSIRKSAKKFSTSYVGKECSAFAIGKYTNYFYFAEPQLNGQKQVIKITIYHEEFKELKDS DTVQGQLITNGNLQLTFEKEDSSEVVSIIKELNQQKFLVATQTYIFIIKFEKFYIENKFN YKEVGNILDVQIDEDLLFCCGNNVVITNIRSGKNIPCSIKATNQNEQQIEMVGEQDLFCT LLYYRKKNTLFVGMTNGKLIEYDLLQCKSQVHNCHTEQIIYLQIHSKQTQNGLQDILVTA GQDSFINFWPVTIQDLKQWKPFDQIQLRLEEQQMKQAFGTLSKRTTLFVVKKWFLSCCLF LRPLMLLQNF >CAK66564 pep:novel supercontig:GCA_000165425.1:CT868045:190557:190682:-1 gene:GSPATT00035693001 transcript:CAK66564 MSLYCFLQYHSFRQLILLRVSLFKIKLVKGLFLIVIMILTC >CAK66565 pep:novel supercontig:GCA_000165425.1:CT868045:192937:194742:1 gene:GSPATT00035694001 transcript:CAK66565 MKKIEAKQCCKQARFHKLLDVESVKDQMLVSYQQDFQLLKHFFSNFDPDYVEYLKDIYST SFDAIYNTQEILKIVQSRLKHGEKTLKQSIRKNLIQLLQLEQPVPALVFFLKITPTSFEI HYKEDLLLILDTMLQKFNFDLRSEIYKSLYDALIETTDEEIILLSIKLLNSKFDFTNQFN QKQFDILDQIHSQISLINLQESKDIIIQLVRHFKEFHEKLKYKRESLDQVKSEKFPQFVL KVVLMLLKEQEIVIPELLTFLFFKDTPDKKKCIAIKSQVKEIQKMFEIPRMKTCLNLLKI DDSIFSKGAIGYEQVYSICQKEYPIFLSLKLNGALIQYIEFLHSMTHVNTDQFIQVFHNT AFEDFLTSIWNICGKEIQQLLQNILIEDLEYAKYGSNILRIGTFLYRIKFKLEKKIINNI ERGGDLEEQSIERRADSMNPLFKTFITYLVSKQIPFPLLLKTLKMDYYNLKIGYRITDMR ELVVDPKFKSYMKQYHGVICNKQDPDENLDHIITRQYPIKANNNGKKYELNWPRLIKKQV KLIFENRELVQQQLIEIHQNQNFMKVYNELIKINDNNKKKMQTKEILIELVAYIKSIQNQ Q >CAK66566 pep:novel supercontig:GCA_000165425.1:CT868045:194750:195578:-1 gene:GSPATT00035695001 transcript:CAK66566 MTMISFIKILFIEPLLIYKGCVEPTGSECINNGWTNGNYVTECQGINYIGAFTGGHRITK TFWSPSQKLMKLSFTLAKFDSWDYESVFIYKDGQEIDRITHGPFEGINVCQNLYPDLLDY RSYFYQLPQGQNYITFSLVDNLQADDIESWGIRDIKLQLINHCIDFYSECNYQGQLWRVC QGNQTTSIRQIPFKIKSIYILVSGVQVQIKDPQFKGGIKQTYTTDQTCLDDYHFPKYEQP I >CAK66567 pep:novel supercontig:GCA_000165425.1:CT868045:196159:196637:-1 gene:GSPATT00035696001 transcript:CAK66567 MIGMCLNLECQEQDCYFDEQQLKDHQKVNQCRDYQYMNNNISRYLIFKDSIQYFKQIKGS FNNKGVALKQLKKYEEAFICFEKAIQSDPNNPFGHYNKGCSLIKTKNYVDAIICLNNALN LNPTWSSPQYEISFIILG >CAK66568 pep:novel supercontig:GCA_000165425.1:CT868045:197107:206588:1 gene:GSPATT00035697001 transcript:CAK66568 MGFRAVLIIATCIYQGISDCVFDIITKPDTEQRIIEQDLVYSGSKNYGWGVWTKYEAGYG SPEELTKTISTMHVLTEKYSERIVLSYFIELDRDKLIFTHQLLIQHGGIMQIRELKTDAA TVNKKWVFFYFCYNENLKSYSMFLYAEDDTFHVGMSTGGPFGVDDLVKEYYLGQIINLIN PFDSSINYNLSVFIGQISDMDLRYGISSYYGDIDSFLASIGSTVCVKKYECSGTTKTISF DNLLLNDQSARVLSKLKYENHRFLISGWIKVSPVPLYQQRTLALFRITLKGKYEDDRYYG DRALFWQYLQNADQPDSSGLIVTTYHENTPFIPYETNYDDIMSYLSSYYSKAITEWHWFI YEEGRTENVYNIQNTIYWGNGGRNIRTDENHLQKTHLSESTLYMTLGGDKFYSFFGQIQQ FQFQYCLVDDNEYFLKCHYSCQSCFGPAEIHCITCPDEDTTNRAYNPSQSSCGCKNSYVE NGDKQCVKTQEYFQDITILEGEIVNSIACGLGQFYVQFGQKSYCADCPGNREPDFLSRRK LFCVDCLNQQYHWQSNPTCTQDYEQLITSQTSAYQKKARIPIDYEYFLINFNAEMNKIEI ELCEGCLGETTAKSNYILKQKLDKEIKVECKTCYFIIDGECINVNSNCDSCDQDKCVECS LGYALYQNECYKCPVLCPNDCMFDGSKFGCLKCDLGYYLDKVNNECLQCGANCIICEPGI PMDGTSVEMKCFKCIDDVDYFIDADQINCKLKTMPHCIYQYQEFYFRHQFSNDSYPFHLQ TSWDFNFSKPSAYSYQRCALCEKGYIYSFYEYGSGVDKCMLKSEITDADIPHFNTLDIAP EPEDDHFQIMLYHEQTISRGYFQYFVMMLGDYMTATLDGKLYNIPVMTNIDRKVKKSLDV TPQKEKDLLQECATKNCRYCIKNYVWFMEYCMSCNQGYYADMLSGQCYQCLAKLHCRTCQ QQHKVYKDGWKWKIRSYFRARTCANFCFTSFSLKCASTNADDYEVYCTDCEDGYEIYQEK CIPKCSCSKCIVQNGQNVCVECPSSLINTNQPIQTLINDKCTDCPANCALCRELNDDEIM LINPYFNPQYSQLAIYSKSCIKGYEPDKTLLQYQYIYRDPILSTQILCKQYQKCYQYLEQ QYTIYCSQVDFENELDSATNSNTFLYKNMALSQLFSSTHFSIESEKLFDQLNKKSIKSAK YILNFRYYNDEPCIIPPNSVIFSNLRKNIFTLQQLEIIFNGDITKQTSIQGTLSLQDFSK ITIQNFQFVQSSKQTQIAISQLDRVTLNLENIIIQDSDNYKFQFQIKDPQIISINKFKII NSKIVDTQGIVAYSFSQQITDRFELQANDITLMNSQFTNTQIIIQNLDDNCNNQIHQITK IKSIKNTFTTSSLFETYFPLSQRQSSFIIKEFSVDGDTLTDSSYAILQGALDVLLQDLTI QNSVTYGGTKLFQLPLFVIKNFYFLDNSLQSDENRVITNLINIVYSDLDSITSNILTFDN IKFENNYYVGSKGFIEIIQSSNFRLLELQITDITLIKNDMILDRTTAQSAITLENSTIYL DVTELQLKNVLVKRNLNLPEFTIKNANKVTITKFTGQLIKQFNILHPSKSCLSSVDEVRQ TTMLFFFNILNIEMSNIFLSNLTSINLPLILIKSIEKQNKRQLENITLQNCQFTENILLI TKTSEQQGVLAIISEQEQTIVFSKIQSERNIQHSYIEDIAFISSSTILLITPYSNVEMRD SLFQKNIVTNGENSNLILVGKQVSLLDTQFINQNNIEFESFAKNLNWGFSNTDQVYLENL MASFPIYSKGGAGYIKGSELLLDNVYVNNTQALFGGGFYLIPQSLGSLKLINSQFLNCKT VTSNSERSQGGTLYVDSSQSQLDLLIKNTTVTNSYSRNEGGCIYIEPSRVTSSIKFQDFT IQNIYSLLTPFLRIPVSFTSSSLILKIQFSNVQITNAYSGYLGYLEEIVNLKQTEIQNQK NNYLISIDQANVSMQNCLYKNIFNYGLLNCQDCQQVLFTNVEVKNFTMLSEAIMNIILNK KYQSAISFIEIAVENVVEFNGVVSIPNEKEEILVNTVYKCYSNYQIPKTIDTFYTEQRKK ILSLHNFYEIINGKQPLITILKIDQVSILHYLQFLNFNMEQNYCSSCENSIFQITNIDKA DQKNLIYITDSTFKNNTCGKFGCVVVSQKDAKSFQTQNSNRILASDSVIGNINAEVKILD TVFIENTATYGGALLISQINTLINNCIFNQNAAMQSGGAIYYQHSHDSQLHLYNSLITYN SANVGGGLYMGKYQMNDPKTLNNYLRNNKAKSFGDNMADNPSQLTAQVGTQLLLTKRIIN NNQQIIDQISFSNYTIGSQNYNYIMVPSGQIISSYKFFDENSQSFINYNFSLRIVPLNKQ YDRIKNLTGTECTIKSREILDSKEGEFNSSLINLKSVTFNQTSQDYNLDSLIVYFNPDQN TKGYLQLEISCNSVQISMYSSEPPYKFSSFLTNYSLRIDLQAFPCQRGEYKKPDGTCVLC DAQFDQYNIQAGEQCQIKNQLTMDEVNAARVKLKPFYWRPYEYSHTIEYCQNLPENCVGG WNPGNDLCISAHIGALCEQCDIYNVRGSGKFSTSALYKCGSCENIGDNTIKIGLISLWTM ISILISVKGTVDTANKIDHENKLSKLRISAKNPKAGFGGVLIKVLTNYLQIVGAISTFQL QLPSVLQSSIRSVSNPVESMSFSLDCFLITISNINIIYFRMIWALIMPVIYIISFLVLYT IAVVINITKADKSAITTSAIYLFTYLQPTLLGGFISLLSFRKISDIYWIQGNVAYRYDTQ THFNWMITFLLPATLCLSLVIPIYMFLSLYKRREKLADGETRRIWGYLYNEYSQKAYFWE IVKILEKGFMIVFLTFYEDLIIIKAAMIFIITFLYSILTKNFKPYKLQYLNFIDEISTLV CETSIVLGMTIYSASNSDNQEIIWPFYIILISINTIFIVIILWEIVLAQLEDQQENIDKV REKVNQKYPELQKKNWLFRRLLTNRGQQQKRVRERFQKIRQYLMKIVRANPGRYKMPSLQ IFDVISNEKNKDQNVMGYLSYQNSPSASDKRDTQNYIDQISKAKVYPEFIIIDKVESDQ >CAK66569 pep:novel supercontig:GCA_000165425.1:CT868045:206718:208921:1 gene:GSPATT00035698001 transcript:CAK66569 MILFLCWVSLLPLIYSYVDLETSFTNNRFTAADKNGWTFVYMIDAGNAATVTGMTGNRDT DLEKSQCLTSDGSCTDPNAVQYFGLGLLGEFAGAFKVFENLPPHCQIYISVDLAIKGREI STSRLLVLLDILPVAAYTINPSGDTDFYEAVTLQGVASHSYPFVLISFHTAFYVLPKSMG IRNLKIKYTPCPPGCLICTLMDVQVQCSQWVLGYEGLGLTSKLLSNDGWQITNSKEQFKN LNQDVNTISYVYCLSPGLGPFTQNQDVQIDLFLDPHYEVRFVFYLISIYTDTRFPPMIVV RLDGLEVYSLNIKTTIPLINFCQWEAYNYVTRKEEKLTRRVDFNYKTTKRKLNLSLRTQT ITVPIIYDQTILKDFQVYIKKCYSEPNFPCDECIGPLKNDCVQKAKVTNFQQIAANDFST GHGWQVMLPETGGVQICNGRYYFGLDSTKITTSYIQKSFSFNNPHTDIEISFIIQKIDKH TTEKFQIYLDDALIEEIPFSTFDSQFSYCGTSENDGQLFYQKKIAHTRSYSVIQMKSTQT TTDGLFGIYNFKLKVRNGQESQDLYNDLSNIPIVGATQWNKWIVTQSSNDLKQYVCDSSV TLLGKLQPEMQIRRFVQNIAVHTQIRIQFTIYLFTSSFNNKILTLILNNKTIWEQTINWY NQKACDANLDTFVVKGDIVMDHELDHAFFVWSSSVSDLTASWGIADFKLFIS >CAK66570 pep:novel supercontig:GCA_000165425.1:CT868045:209102:210656:1 gene:GSPATT00035699001 transcript:CAK66570 MKSINMINQQEQIVQQFERVDSKMIGMCLNLECQEQDCYFNEQQLRDHQKVNQCRDYCKM IDEIELILEYLIYELKQQQLIQTDQQIELANYQPILSNLNQQQYKLITSQDIQYLKIQYN ILNKQKDQNKIIQYCLQQGIQLIEQQNWHKALLYFTKISKQQQKNDIALFYLGVILLELN ECSKSGKMIEKLKTEYPTFFENQITTMTKDQSINQNNLAQLLLIGHSLFQTKEYHSAIDI SDRILQIDNDNINGLIGKIGSLIMLQQFEQAIQVQNNCLKTNINKSVLILFQGLLLTKQG QYNQAMTFFNHAIQIDPKFADAYYLIANLYLDQKKFEDAIIYFDKTIDLDPYHAEAFNNK GVALKQLKKYEEAFICFEKAIQSDPNNPFGHYNKGCSLIKTKNYVDAIICLNNALNLNPT CSSPQYEIGLSFYYLINRSVFKSPKLDKKDYHQLQDEDMQHPT >CAK66571 pep:novel supercontig:GCA_000165425.1:CT868045:211215:212043:1 gene:GSPATT00035700001 transcript:CAK66571 MTMISFIKILFIEPLLIYKGCVEPTGSECINNGWTNGNYVTECQGINYIGAFTGGHRITK TFWSPSQKLMKLSFTLAKFDSWDYESVFIYKDGQEIDRITHGPFEGINVCQNLYPDLLDY RSYFYQLPQGQNYITFSLVDNLQADDIESWGIRDIKLQLINHCIDFYSECNYQGQLWRVC QGNQTTSIRQIPFKIKSIYILVSGVQVQIKDPQFKGGIKQTYTTDQTCLDDYHFPKYEQP I >CAK66572 pep:novel supercontig:GCA_000165425.1:CT868045:212051:212383:-1 gene:GSPATT00035701001 transcript:CAK66572 MKQYHGVICNKQDPDENLDHIITRQYPIKANNNGKKYELNWPRLIKKQVKLIFENRELVQ QQLIEIHQNQNFMKVYNELIKINDNNKKKMQTKEILIELVAYIKSIQNQQ >CAK66573 pep:novel supercontig:GCA_000165425.1:CT868045:212488:213522:1 gene:GSPATT00035702001 transcript:CAK66573 MGNDSQKYKQPLYELQKSCTFQMKYPCSTVAINQSGSLILTNLVSRILVFGFDGKSIKSI SNIQKHQCSITVLKFFNLRNQFISGSSDLSIIIMPTILNPTKYIQKLKGHKGMIMCIAIH SLNEDLMVSGDYENTIRIWSMQQSSLQWKCIQEIIEHKSQVYGISINEIGNQVVSCDKKQ LILVIEKSKNSNAWFVKQKIEAYQHEGAGVSFLTNDRFIIQSISESTIDLYALRQEGRYT KIQSEKLKYCNNGLIRQNLSYNKKMKILTLKTGQKLFIIRFDLQNNKDQSQTKNNELLIK NVQIIDFKTLFFYRAISDDGQYLITRNQLSQSIEVRFLNKSLID >CAK66574 pep:novel supercontig:GCA_000165425.1:CT868045:213812:214486:-1 gene:GSPATT00035703001 transcript:CAK66574 MTTLIARNTTIPTKKAQIFTTKNDYQSEIQIKIYLGYRYLTKDCLFLEQFNLTGIFPALK GVPEILITSEIDSDYTLTMTAQDLCSKNSNSIIIANFYKGLTREYIEKHILDAEKLEDED KMIKSTIEEKNNLESTIYLIRNTINNEKFNLKFSNIEKSQYQLIVNETIEWIHKNQNVDI KEYQNKLQELEKVQQQLNQQVCLDDNDSQYAKAWFERSAKFSLG >CAK66575 pep:novel supercontig:GCA_000165425.1:CT868045:214797:215721:-1 gene:GSPATT00035704001 transcript:CAK66575 MGRRFSDSDVQNAILDFPFKVEAGINDQPFIVVHQQGKIQKILPEEICSMILEKLKKTAE AYLGKPVSSAVISVPAYFKDLQRQATKEAGTRIGLNILRIINEPTASALAYNLDRSIHQE LHVLVFDLGSKKLDLSLLFIEDGVFEMKENLINSKLSGIEFDNKLIEYCCTQFLNKKGID IRNNLKLLQKLRIQCEKAKKVLSFADHATIEIDNFHNNEDFLITITKPNFEMLCMNLFKQ CIQSIDRILMNQNLLKNQVNEIILVGGSAKIPKVQELLKEYFNRKKSLLVELLLKLPF >CAK66576 pep:novel supercontig:GCA_000165425.1:CT868045:215735:216682:-1 gene:GSPATT00035705001 transcript:CAK66576 MASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXVAFGKMMLQRQSKMNQIINRHLLLLHLLTVKFFQVS QLQIRFMRNPPQYCL >CAK66577 pep:novel supercontig:GCA_000165425.1:CT868045:217355:219157:-1 gene:GSPATT00035706001 transcript:CAK66577 MKYRYIQSLTELQNKVLQYFQKQEKVITTLIEQQNHQISLNISQANTLLTKQSVLDSQSI DNFIIFITLNNAKQQIIENQYNQINNYLERQFNYEFAEIQCKVNQLTTMDIKFNNVPKFE EEQFKIETVEKINDQLPFCKDHQMEKNCICIHQDCLKEQNLQYTCIQCAESNHQEHHKGN YVKTLKKLKQEQVEKIKLIEENLKMLKVEVENRIDKVLKENQEQHQENFIQSQIQRENLK LLEAFTVNDHLKQNSKYIYKKIDQNLLQYDSDMIQQEFQFQLNTFEQLKQQNTTIKKKEF LKLYQSIENVTLNYKQETFKLKNQVQQYEIQISELQKKLEAQSNESLLIKLKQIICEGQN QIQQQIEATPKIVVESMQFKFLQQDMKHAIIKINEIKQQTDAVHQFVVSLKEDMKNIIIQ INKIQQQTEAVPQFVVSLQEDMKYNIIKIKEIHQQTEAIPQFVSLKQDIKHSRTTINQIH LSTQTLVKQNIEVTSLLPGLANMIKSTQMISEQIQVVPKIDAQNTQFTQLQKQINEQIMQ LKQQIQYIPNCYNQTLSNQVNLLNGLIRQVHNIGLILEKNGDNQNQSGYKLYQSPTYGGK >CAK66578 pep:novel supercontig:GCA_000165425.1:CT868045:220068:220958:-1 gene:GSPATT00035707001 transcript:CAK66578 MLGVVIQKTRMDVRIGISIIIKIIFFGLWFYQSGQTKYEGGHFATTLITWVVFHMYILCG EKSYIVFGENDINRRKKGYKLIDSVQSGILIIYFSVQVYVNNNQSVFNNISLTSLFIDIA LNVDQLLKFVEKQKAISAGVIVEKQLTEDEEPNITGGEITLYISMVIGGGLAMGVAIVMM TELTNHPELQTFPFYTWSLYLCTIGEACFMIFVCYYLMPKVKKPPTDNVTNIRKYFRCYP SKHFLCMMYGFCIGLFSLYYAFFLGIYGLGKIIQKVLQVCGWIAKNTQSRVSPVEN >CAK66579 pep:novel supercontig:GCA_000165425.1:CT868045:224027:224215:1 gene:GSPATT00035708001 transcript:CAK66579 MDKQQECNFKGIYASREKLQEKPKYSNLLKNLEQKQVFSWEKQYGENIQKMENGQHSGTL KV >CAK66580 pep:novel supercontig:GCA_000165425.1:CT868045:224554:224679:1 gene:GSPATT00035709001 transcript:CAK66580 MTKKWKLVEISLLKTNVCMHFDQNLIGVGYYEEDGQKWIMD >CAK85060 pep:novel supercontig:GCA_000165425.1:CT868531:1535:2181:1 gene:GSPATT00039711001 transcript:CAK85060 MNYFKKVLSGSNVLCIQIVQIFSIYTSKQYRRMHFEQVINTRHPQTNTCQISTIKTVITR FTKVINIGSKYNLKLNSYIVFLSYYDYSIVDKISFSGEGNNTSPQEALVYQVKSGSQHLV HAEKNYDYHVMQVLYVVQELNTEAIKTCQIQQLKSIYKIMSLILKHSYGCHIIQKMTPNK NNFILVQQEIVVSLAEP >CAK81366 pep:novel supercontig:GCA_000165425.1:CT868401:654:1706:1 gene:GSPATT00039450001 transcript:CAK81366 MKKINQPQQKTKRKIQKVKLHKKKKEKISIINQQSDNLGQILERNKNQEKNLKKKRKLIN SNLNSEKSLINRGLSLMRKGKFEESIIQFDEAIKLNPKNDEAQALHQLNKTNSKKVYNQQ IKPLNQTLKMMKLIIKKAFNQIILGAALFHLNKQKEAELQFQKAISLNPKNEFAQFNMAN LLRECDRHKEAIEYYNHVIQINPSDIEYYVEKAFCLQALKKYHNAIECFNQALSLNPDEE LTQTLLMNKGTIKCERQVFHQVAFNNLRRNQTATKKLSRYNPKHKEAQKLINNFKLKINN SNKAYDNGTQQNKKQKIKQLAKQKI >CAK81367 pep:novel supercontig:GCA_000165425.1:CT868401:2050:3068:-1 gene:GSPATT00039451001 transcript:CAK81367 MDNQHHTSNKISYELIVQKQHFQLNSCNAIAIDTNNSIVVFAEESRIITCQFKYQFKISS SNTNTLNFFKFRQQFISGCQNSSIYIWSINMIANSKYIQKLQANSGSTLCMCISKLEDKV VTGHYENTIKIWGQFELHPQQWSCVENLFDHAGAVYGLSMNENQNKLISCSNDQQILVYQ ISQQSYNWILQQIIKNDGLRLCFIKNDVFVFQPFAANNLQIYLFDKLSQEFIKTQKVQIQ EGGQFCNESFPCIYNPKKGIIFDKSSYNLNILKCKSKQDLRIKDNNQIDFILEDSIDFGD EYFCGSISDDGEYLIIWNNEQKELSIRKYKEQ >CAK79590 pep:novel supercontig:GCA_000165425.1:CT868331:2527:4192:1 gene:GSPATT00039331001 transcript:CAK79590 MIQSKMIEKEEELQCSLKHKLPVLMIACDRKLKRNQRLLCSECMENLESKAQLMSFKKVL QNIEENQKQKKENVENVIMGSIKLIEELQKDLFLLKSNVVQSLDQIIGNVDEWIRHIILI GQQNVTYSFYNELDNLINQERLAEFGQKQLIDQINQIQQSWNQKIEKKLNLFKQFSEGQK CKNILQQLIDVNETEENQGKIKVTQENYEISIQNFQEMIQVENKQKQLEQQLMMYKQVQF NLIDDSNQQIGICFAIVFNKDGSIMISCDSNEIKIWNFQQGTFKLSNSYNKHSQAVSCLV YSKKTNNFISGCYNNQIICWQQINQNEWKCSQPFEQHDIVHCLLLNKQEDQLISGGDDRK IIVWKVDFINNDLTFLYSLDQHTNSVKSLSFNQSETVLASCGYSHFIIWEKGLQGKWEFK YKQDVSLYGNKIHFMNDYQFLWVNGYKDDILNSNKTISLIKNNQCEDDYYFPIIHNKDRN VILVRHKHHIYLIRQLNDGTFNILASLDSKTTKTFGTITNNAQYLLFWDRKFQKYSSYEI QYK >CAK64516 pep:novel supercontig:GCA_000165425.1:CT868032:1:281:1 gene:GSPATT00033992001 transcript:CAK64516 YGIIYNRLRVNYQICNFHSNIVFRDKQTIQSIDKGQQIMLNDERFKTFRRKFSKINVRNQ FLGVQFNNA >CAK64517 pep:novel supercontig:GCA_000165425.1:CT868032:293:1858:-1 gene:GSPATT00033993001 transcript:CAK64517 MFKPQMIEDEKDFHCVNKHEDKNKLVVLDENIERKQRIWCSSCMQILQTDARVVGIKIII KKIEDVIETNVRTLEAITSNTIQKIKLCITSVQEIKRHFMKLFDSIIRTAEDWTQNLQSQ RQKFNQYSFYDELDNLINKENKNLDSHIKLITTINKSWITKLSKTLIQFNQNKDKLNLQK FYEQIMNIGQSNLSQQYSIKLQLINQSVKQWEKCQAIVFNRTGSIMVSTEKNDIKVWSFL NGTINILNTLQGHTDQVLCIVYSNKQDSLISCSQDKTIRCWQLDLNEWNCSQPYQQHTDS VICIVLNSNEDLLFSGSFDKSIKVWKVDFNQNKLKFLYSLNNHNNDVVNLSLNQSENLLV SCAKGYNQIIIWERKEEDKFEFKYFVTQPFQEYGHKVKFIKENQFILVTNANQIDKIFIF ERTKEDFQVNQSKTIHLLTNNKIYDEYHFPIIYNKERNLIVLRYKMIIYILREFNDGKYN IVDQLSCATFSIYGTITNNGQYLVYWDNKNQGYSIYELQNK >CAK64518 pep:novel supercontig:GCA_000165425.1:CT868032:2457:2874:1 gene:GSPATT00033994001 transcript:CAK64518 MENEVEYSFIRLSRQHKTLRYSIKKFFLDHSVRNTEALYILSVSLIKWSRQKESAHIDLA EGNITTNKNQGDLKIFQLIKRQKETSKSNEPIRLWKEASKKNVKIQCHSNYYPKNWIITT INYAELNCS >CAK64519 pep:novel supercontig:GCA_000165425.1:CT868032:7370:8921:1 gene:GSPATT00033995001 transcript:CAK64519 MACLITQNEEIKLALLNIVCEYKQFSTQMINRQHEEVKKAETILRNNRIKWLRRNMPEIK NQYIANQTQKGVLISENSNRQNEPLQKQNTCTDQTTGNGDDNDQIYGTFLQEIENFLKAN HFSLIPTQEKMNFNKREALHLIEQNRLEEALVVIDEAIKKDSSNPRNYELKSNVLLFMNR LTESLEFAEKAIKNDIQNAKNYARKAYILLQLKRGLDALEYFNIAIQKDPDEYEFEVGKA NALLHLNKFDECQASYDVAIQKSNNKPEIYSEKANALRIQKKHKDALISYGKAIELDSQQ PSFHSGKAHTLQEMNRFEEALLEWQKAIDLEPSNSNYYSGRAYTLRQLNRNEEAVISYDL AIQRNPQNYEHHSGKGQINNFEIKPIRQDNCKETQKPQILSILQQASMLMMHISMHKKVE QSQTENQASPQER >CAK64520 pep:novel supercontig:GCA_000165425.1:CT868032:8982:9579:1 gene:GSPATT00033996001 transcript:CAK64520 MSTLFIKVFLKTYELADTLRAMNKAKEALDSYNQAIQRFPQDERIYGAKAQALRLQKNYD EALKYFDKAIQMNPENPSFYAGKGDTLRDLNRYQDCLKYFDQAIHYHPENSFYYGAKGRT YLIIKADALRHLDQFDEALKYANLAINWNPNLADNYELKGKSLFVKYQQTFQNSSQRLMR L >CAK64521 pep:novel supercontig:GCA_000165425.1:CT868032:10812:11453:-1 gene:GSPATT00033997001 transcript:CAK64521 MSEKNSISIKLVALGDGGVGKHDILLSYLKDHLTQDFVPQVFENYTIQTAFEGKTVNLSL WDTAGQETYNRLRLMSFQSSSQLLIKKASKNAINKWYQEVETPELKQVPKIFVGNNKDMR NVANPNHVQYEAAKSNINQLQCQYLECSTQTQEGVKEIFDLAIRNVALGKGVNSQNASSK KSDGKEKCLIF >CAK64522 pep:novel supercontig:GCA_000165425.1:CT868032:13451:14995:1 gene:GSPATT00033998001 transcript:CAK64522 MSSAPKIQRLVFSSELPENPMANKMTLKKDKTCGGRIRWNLVFNVVMIAMAGFPFYLPLE ATLGVNCFYALLWILFLVLAANTQSKIHQTMKKVSNNSQQPPNPTPIKFILMTFIYKEPL DLLVKTLQNIQQQRIAKEIIMVVCMEEKTPEKETKIDAVFEQFKDCFDQLIVTVHPYGTP GEIPGKCSNTNYAIRSVLAHLKQSNPNFDPKKYFVTNFDVDTLFHKNFLDIQMMNILKEK DRNNFVWQPVLFYNWGLDKLSFFTRITGLARNMLMMGALIPLNINIMSVYTASLQLHIEG DFCHPAYQMDDIICYIRWKTLSRRALKIKPIYCPTISGPTSGSNMWQEFIEWIRQNKRWS IGSAEAFHYFIIKAPRIRFCQAFTWACNYLNYYASFICVQSLLLITTTIRLFAMETDPNL QQYFCIPLGVVYICLFCMMVMNKIAVKYLLKDIVVEIIPIWKDFIHWILSLIVMVGYGLI VFYGFWEIFFCGKGVCTHEPSKKKVLDANCLAQR >CAK64523 pep:novel supercontig:GCA_000165425.1:CT868032:15806:16638:1 gene:GSPATT00033999001 transcript:CAK64523 MISKLSTTYSVFIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXAEIDRQAFEAISVSFSKKVSIIAPHSLDKRQQKAKLNAHFNRILSVCFSPDGTTLAS GSYDNSIGLWDVRTGQQKAKINCHTDQVISFYFSRDSNTLKSSIDDHSFRLWDVKTAKEI QPQHNIFISQFEIPLYSPSIIQPGIYFYYTEANIDHGIFRKCKYLTLQAKGALILKGEFI NQQGLDLRYLFKSKGSLILENQIQQEIY >CAK64524 pep:novel supercontig:GCA_000165425.1:CT868032:20678:22261:1 gene:GSPATT00034000001 transcript:CAK64524 MSSATNNQRLVFSSELPENPMATKMTLKQDKTCGGRIRWNLIINVVMIAMAGFPFYLPLE ATLGVNCFYALLWILFIFLAANTQSKIHQTMKKVSNNSQQPPNPTPIKFILMTFIYKEPL ELLLKTLQNIQQQRIAKEIIMVVCMEEKTPEKETKIDAVFEQFKDCFDQLIVTVHPYGTP GEIPGKCSNNNYAIRSVLAHLRKNDSNFDPNKYFVTNFDVDTIFHKNFLDIQMMNILKEK DRNNFVWQPVLFYNWGLDKLSFFTRITGLARNMLMMGALIPLNINIMSVYTASLQLHIEG DFCHPTYQMDDIICYIRWKTLSRRALKIKPIYCPTISGPTSGSNMWQEFIEWIRQNKRWS VGSAEVFHYFVIKAPRIKLCSAFTWACNYLNYYASFICVQSLLLITTTIRLFAMETDPNL QQYFCIPLGVVYICLFCMMVMNKIAVKYLLKDIVVEIIPIWKDFIHWILSLIVMVGYGLI VFYGFWEIFFCGKGVCTHEPSKKKVLDAIVQRKDETVIEIHQQQNKI >CAK64525 pep:novel supercontig:GCA_000165425.1:CT868032:23979:24847:1 gene:GSPATT00034001001 transcript:CAK64525 MQKLLFTEEGLQIMRSRNSDPIKQNTESKGISIRPKCLRIQSLSRQCNRVRVGTLKNTFN LSSPENLLQVLPFTRQKHKATSIPQVLSVDQLYGRQQEDLLQMAASHLHLPNGIGKDGMG RHHLQSIRLRTGDWYQWKNIPMLACVVDDFQKKLKLQQTSEEEEHMKAEKRQIQHEFEMK KVEQIQRKTQQNLNQVLEQKSLTYNIDLDEFWRQMKENSKYFQHHTDEMVKSKASKRERL EKFQKKFTDKKVYIPQIQKQNVNNRETAYYDI >CAK64526 pep:novel supercontig:GCA_000165425.1:CT868032:24860:27079:1 gene:GSPATT00034002001 transcript:CAK64526 MEKLIPFINDLHDILSQAGLSSELNLPSIVVIGSQSVGKSSLLESIVGREFLPRGKGIVT RRPIEIQLHQVLDAELGWFEFMDKKGEKIFESEDIRKLIESETDKVAGKNKGISPAPIKV KYFSKDILDLQLIDLPGITKNPVGDQPLDIEQKVLDIVMPFINNQNSLILAVSKASDDLA TSDGLKLARSVDPNGVRTIGVITQLDLMDEGSDALNDLLNQTYPLQLGYVGVIMRGQKDI QKNKTIFEQLKDEKAFFENHKLYKKYSEKMGVNFLVKTLNMNFIQHIKKALPIIRETIIS LVQIKEFDLKQYGDYDNLETKENKNLLVLTLISKFSSSYKDMLEGRCLDITSKELIGGSR IIYVFNETFRRTIQRMNPFDVLSDDEIRTAIKNANGIRPTLFVPEGAFELLVRQQISRLR MPSIECSHIVFEELRRVINQISIPEIERFDTLANRISEVIENLLNRCLRQTEEIISSLID IELGYINTSHPDFVSGMDMVHKDEQRQQQQMQQQQKEKSPLQSENESNRFFNFWPFKNNK QTELYDSKLDNLNKKRKSNIINQKQQKLQQVEESQILQQQSVINKIVSVNQQYFVNDPKR QLPQVPNTIKITDRPSKREQTEMDMIKDLLVSYFNLVKKNICDSVPKTIITFLVNQSRNF CERELIGMLYKQELVDELLQENQFIQKSRAETKQSLISLRTCLNLLNDLDSKF >CAK64527 pep:novel supercontig:GCA_000165425.1:CT868032:27115:28394:1 gene:GSPATT00034003001 transcript:CAK64527 MLNPDLIQEFEVNGYNLPKMDKAQCINQIKYLLQTHPLDFDFIVANTKLTTNQMNILQQK THISTILNSPNDYNISSPLFDFKQLNELLPNKNRLKINEIKSKVENLLNSSHIDPPQTIK KRWWTEEEDQQLKDLVSQYGAKNWKKIASFFQDRTDVQCLHRWQKVLNPDLVKGPWTQEE DELLGRLVVGYGPKNWSQIAKHLPGRIGKQCRERFHNHLDPKINKERWTDEEDQTIIEAH KKLGNRWSLIAGLLKGRTDNSIKNHWNSTLKRRLKMQNRWEDLQVLQNQDDTQIKGIPRR HMQRKIIYQKTPVKMIKHDPVSRQLNFQTPQQSPSKLESLSKSLFIVFPNFNSKLISLSS AILIKQLGELVNFDLDFNKQYSYE >CAK64528 pep:novel supercontig:GCA_000165425.1:CT868032:28459:29630:1 gene:GSPATT00034004001 transcript:CAK64528 MEYRRLGATGLKVSAISYGNWVNSDDKDTQDRNTKIIQKAWELGINFFDTAEIYGDGKAE IHLGHALKTLNVQRQDIVISTKIYGGDGNDFPNSKYLSRKHLIEGLRNSLKRLDTPYVDI VFAHRYDYQTPLEETCRAFDWIIRHGLAHYWGTSEWTAQQIHQAIGICDRLSLHKPVVEQ PQYNMMVRDRFEWEYESVFASGYGSTIWSPLYQGLLTGKYNDDILADGRFNNSDNIYVKH FYQQILGDPEKRTKIQNQLKQLGEVAKELGVTQAQLSLAWALKNKDVSTAITSATRPEQL EETVKSVQVVKLITKEVEQKIESILSNKPTTSLNFKQMAPYPTRRDLYV >CAK64529 pep:novel supercontig:GCA_000165425.1:CT868032:31753:33513:-1 gene:GSPATT00034005001 transcript:CAK64529 MSEEIELNFRTKAILTPKNFNSEKMLVAKVIFEELFVIFESEQKITGQHLREPCEFEQNQ LYYFPDPKGATLEELIKSKANLSVEEITDLFKQLLIGLYVLHQQGSLGRCFTIQNTYISN NQIKLSVFGFYPNLQLTPPEYLKNNEYSLGIDMWLLGCLLYQLLTQNVLNNFKTFDEYNK FYSQLDKKRMPQQLSSLVMKMLQPAEQKRITFSDLHTVFKCVPNNDHICQFYNKPQSLSK IKFSIKKREAFNPEWAIPKEFNEKTKLLIFSTRYQKQSQLLMELQNPSPDFLPLYNKSDE EKNLYPQIWRELHFHYYKFFIMEEQINSLDSIAQYSYILWTRLCLLNMLLILKREFLGLI KDQINFLKVSQDEWNNFIKNSKQFKYHLSELKYQMQKEQKKLDTLSLEADCQQVNAGYLE KALWRQLNLDGAFVDTSQLNLFDQFKLPYRRCLQYCYYELDRFIDEEGDSDDGLFYARLR LQLIICMTINRIFDLKFDNNKQHRDINFFELKKALQIPELTCPSQVNKFLKNATLDQLKQ QTIDIHKLFFNVQPMSSRNPS >CAK64530 pep:novel supercontig:GCA_000165425.1:CT868032:34252:34628:-1 gene:GSPATT00034006001 transcript:CAK64530 MYQFKKEGIEYTFEIPLAKNCKTDQIVYSGEGNQSKIGDYHIREGGKFGANYLIYEDKPE VCHSKYTLNRQCDNLIGINRLAEKTDKISLIGDMKIIRKYRRTTRKEKRKQQQRQQ >CAK64531 pep:novel supercontig:GCA_000165425.1:CT868032:34781:35390:-1 gene:GSPATT00034007001 transcript:CAK64531 MGSTCCQQIANVFNNDIHPNEEGQEENIEPRTNEIQNNSIYQIEVTLSKLDTNLQQLIIL REKIRQHTNNQQNPNDQICNFPTKIDNLSNKISDSINNIISYSKMIMEDENRNYYSEIQI NQINNFANQIQNSAIIMVDSFARGISSSNYSNELVSYLQVEGPFSIKNSNKTNGQQNQSE TFIQTNILSTGARF >CAK64532 pep:novel supercontig:GCA_000165425.1:CT868032:35423:36110:-1 gene:GSPATT00034008001 transcript:CAK64532 MFRSYRLYYAFNRFSGLTKFTKDYYKILKIPDTATQADIRIAYLKLASRYHPESQTKDEA KYSEVREAFQVLSDTSLKIEFDKQQQNSNGQENYEFKSSQQEQETTQKQEIEIQFLFKKG DTTFPFKLDVSKQKQLSKEELEKISVYHMKKFMVIDGGFNKFFKDNISQLEKGLSWIIAI LSIIAKKK >CAK64533 pep:novel supercontig:GCA_000165425.1:CT868032:36368:37604:1 gene:GSPATT00034009001 transcript:CAK64533 MLKQQTQLNTPSTQFTARTPEKNMAIKPPQIQSDIYNYTPHESRGIILLPYQQNYQLPGT LIPQQDVQADIQSPARGYEINSLANISDNIKHITQNLEELQVELKQEKQKRLDIENKFEQ LQQQNQELEQELNKQKQICKQMEYFSKELESKLQNQVTITSSHSSVENENQQNIFRQVET QMIELKKQLEQEKLKSSNESDKLIKEFKIIYQEQKNLNQKLLDDNTQLQKAIRSIEKSSK KAQKQSSNLLEEAKLRKIVELFYFDNNEECLIQIPTEQQLEAIKILKQISQSQNSKTQKD QTDKKWSAKKELKNQQEQLIGEIKGQMGKFIDQKNIQQKDVIKLQKESAELIRQLDQVES YKQEIEQSSKHNSHKNSNVSFKNNNFY >CAK64534 pep:novel supercontig:GCA_000165425.1:CT868032:37800:38621:1 gene:GSPATT00034010001 transcript:CAK64534 MKFIAFILIICTAPVINSLSLDFRNSCNCSELIYLNDCIADSSDCVWNSYTSECTETACS SIERSSHCLQSSQRCYWYNKKCKNFSSCESIPGKSQSECLQANIYCPASNGINCFSTDFL QKCSDINDPDICNDYYSSKGKCMWNGQNCIILKSCADLWTNSTKSCEQSGCYFDQYSYQC RDMTCSQLELQSQCELGAPTIGPYLNNVIPCTWDTNSGLCKNAKPSDYSVDQCYTYSART YHWGSSKPNRGGCVPCESFIFSIIIVSLMTIII >CAK64535 pep:novel supercontig:GCA_000165425.1:CT868032:38870:41344:1 gene:GSPATT00034011001 transcript:CAK64535 MLNELIQLFCSNGTHSSELFYNATLQLNEFLDKKEIVRCFARVSENLGDQLPNLRKASHQ LFQAYIRANESFDAVLSAMLSRGLVHPNWLVRQKNVNSLQSLFLSEGQHLEAGSGVVKNM VEMLLEKLCDCNLAVCKATEQTLISLISLNQYKQCHAQLPMGVQSQVEHFAEQMKETNQI RHELRLREPTSNGLPFDKLEDLKDTQWQMRAEAIQRIYEELQDVVLTPLQVEVLFEHIVN LVNDHNFNIVLTTLQIMQRCLQFNIVKSSNIVNIYTKLGDSKSAIRTAVRQVLVMYLQKI GDAELLIQILQWPNKNSFYKEEFLDLLIDLVQRNKMLLSQYLELAIKEVAPFLEDQKQKL RSKAIETLTQLATINQPLTKRVFSQLGITEDLFNQIDVSTASSNKSDLPQLRFKKEQREQ RDPKEQYTQSLPEPKNLQQFDIPIFQSQQQGYVPTIISQPQKQQRSAQKPESHPQSSSVE FRHVQQQQEYNQPPATQQSKPFRIVKNPQQDIPDQDTGLTYTKPFQRKPKTEADKTFQPI YLDSVLPLDQPESVLKTLLNELRYDDWIRQFEALNNLRRLAKHNTELLKKSINFPQILQE MVKQIENLRSGVSKNALISLQELSDIYKKDLDCIMDQALQKLIKKAIDLNAFISDEVRKA TISLLQNCTESKSISLISQVYQSKSIAIKVNICYALNNLLDPNKQQFEKMLQILCIYVCD QSQEVRQVAKEGLLSLMSQIDKRELESLIIKLAPDGECKRIMTIINGESTTTSEFRKFGA TLIEGSQNY >CAK64536 pep:novel supercontig:GCA_000165425.1:CT868032:41397:42058:1 gene:GSPATT00034012001 transcript:CAK64536 MPNYMNQAEIAKDWKQKKEAIDWLCTFAKKEAQALNGHKYSNKFLDLLCKLIDDGNKNIA LYTCEQFIQLVEPLKLPLQNNYVNIWNGVFKVVSSTNNSVRQSAESLFHELMIHIDIQYS LPQIQHLILYGPAKSKGIAITMLANFVQQFYDERPQLVVKHLVPLAKKLQEEQKPDIKIA SQKLFQALVSTCPNDVAHLKLLK >CAK64537 pep:novel supercontig:GCA_000165425.1:CT868032:42284:43473:1 gene:GSPATT00034013001 transcript:CAK64537 MKTFMLLGLLSLTFAVSISNQGTLSNPTSRVERIMSKFMQLSEMSTQFNFNKLFDAIDQL SAQLKQSEVDENNLFDADFAQYVEDQAFYTDLVTFYTAEVAQHTEDLRSLNNHLESYQQQ LTQRQEELDRTQKQKAQLEETIRQNEITYNKQISDFNTAIDVLDQAIQLLSGLRNPVLIQ TEQDQLKTLAGKFSSINLKNHRVLYQPIIDIFMQLSQNNLANQDLLKKVLGMLNGLRNSL DGGRNALTSNYNSERASNLELLESYTKKIDALVNEVIPMLQDLIANTIEQIKVKTELLNN AQANLDEAKETLQFIEDRWVKRKAQHAALLAEYEKEQALIAQTITVLQRGGVRRQ >CAK64538 pep:novel supercontig:GCA_000165425.1:CT868032:43492:43930:-1 gene:GSPATT00034014001 transcript:CAK64538 MSQKSKFFQWIDYRVRITLGDGRMLVGTFLAFDKHLNVILSECEEYRIRKQGIHEIETKR TLGMIIVRGDNIISLSAEAPPHQPPKKQELQPGPGKAQPITRQGPKGMGLPGQQTMMPAG MSMPPM >CAK64539 pep:novel supercontig:GCA_000165425.1:CT868032:43932:44676:-1 gene:GSPATT00034015001 transcript:CAK64539 MSSDEEQDNYNEDQIINLISALQQKIKTNRTNNGMMKTTRISESDQWNEFRETQKEKPQL NNFGNSNEFSLQREQSKHSSISENLSDFIVRTDKPAKESQLKTQQNQSQDSSELTELKQK MDYLLNQREKDQEMLEQINAGLMFLISQIPKPKQTSSIGVQTVSQRENSQNQSTPNVKKT AQQTVQQMFQEPDRYAFQEIRNFCFKR >CAK64540 pep:novel supercontig:GCA_000165425.1:CT868032:44727:46415:-1 gene:GSPATT00034016001 transcript:CAK64540 MTQEYIKYSSDKIKEQFELIAKLMQQRQSLVTQTLDKNLEQQNQLLSSQQHCTEVTIKKY EQFMEDLNQFVRQFKNREELMELRRVHDDYYKEMNEFKTAIMKSLKFYQGKIDKKPRQRK VIRSAINKVLQAKGIDKNISEMLVKYECMIERYEVAIDKKDLKLFKLDQELAKLKKEQGT IEEQKTQAQIQAASEKSNTEKWKQSEQHLVDKLKKQQEQVSVLNFNLNSFCQDLYRKFTP KLLKLYEYQLVNVHLKHFRKEMAQSVLISYDGEIALSTVCQEQLILWKVNENKNKWQFQS QLKQVDQIQCMCISDEKCSFFAGCENGEIVYWLRDDPKWRPITQAQRHQRGVNCIQVDAK NRRMVSVSDDCTAKLWVEKADQINWEVKYTLLGHQSGIQAVQFNRNSNVIVSGGFDQMIV LWNFRNQEWSQNQILKGHKGSITTLCFSKLRDILVSGSQDCFVKVWEMEQNQQYKKVQTL DGHKASITSVIFNSDSSILVTGSKDCTIKFWRQNMSNRWVIHREFQQNYMISQISISNNN QQIIVGGYGGETIFYKSQDYWE >CAK64541 pep:novel supercontig:GCA_000165425.1:CT868032:47087:50752:-1 gene:GSPATT00034017001 transcript:CAK64541 MAYQIDVNKENFDEKNIENLNLEILKEITLEDQLSIELSGDERIFKFTQGDNIDVMLLSN KSIDCLYQVKNCLQNGEIIMEKKECQILLIHPQCYQLHQLETSIYIVQCQYNENNSIIQI VNQSKILDEIMIPIQPFCKSDSTFYQQTLIIFNKQCQTTKFYSVQILNQSFQNIELFDLM DLQGFTENNAKLVDITFIIQGNLYITYTNQILHLLLENREISIFNQNSGFEIKRFLFFAN QKQALIAKVKTDTQQLFLNDNQLDLSSQDFLDIFIIKQNFIVYHFKNKLIAQVDSWSSNV IYLNSNSIIQIDQLPYLMAISNQKLKLIKIILPKSVISYNNSDTVQLIHATLLSTPNKLI NFKLGDPNNPIQDFDQTKLYQLITNSNYYLCLSYQRYKRTLPLKLTQIVENGKQSTILDN QNAQFLSYIDHIVSAGKVLFLHKTNNDQILIIQKIEDKYIKLIQYSNSGLQNKHLLKLLD NVIKIFFLRDFMHLIIIYEYQIEIYKISDSQVVKNIRSSALRIISAIQLKYFVNYLIEDC SVMSIQFFQNWFLLGSKQYRFDCKTSLQFQKEDLYIDKHSIHYKYKLQYKQIITLKEQIY EVRNVHHNYILMFTFHDNEYHLKLYQIQQEEFLYLYTLPTYNFRILYPFQYHILTFILMI KAQSSDQDFVILIYDLRYTAVESLVKITEIDREEKLSFNFVNETEYIYQYQGQLRIQNLD SPCFTLNMSDESYNFIQDKKTKITTQSWISNLSIDLDFHLIRFNENYKLQLLNQQQSLLP NNIVNLKNIFGNIDDTQINGPEDYQVHLPLTFTNNSINCFVYRFGLCVRPTCIQTNVFDL NTSAPLEYENMAFTLIGIGHNPDTCNHAIYIQGHNFIKVNEFNLCNNNTKLEKNYKIRTD DMDSFLNYEDIRQINDLLIYKSENRVLYLAYQYYPLQDRRLTNTLQSQQLDAIKLNNSIY LFLNIEFNYFEIRIINFTKGDEKVNYDILYHKIFNWEDLLANIQTQYLLIKLIKTQIYWV NTINSQTEVRFIVITNSQFAFLIKFTFNLDDQNNTKVEQLGILRFQQGAAFTKMLFIDNN YAVLSFECNEQLFINVFDISHPFEHNNIDSTQKLPFNNYTAIERYNKTHFVMIEKIAKDQ QKVHLITLGKLRVECQGQCSKPAHLKLKIQFNNGKEPKSFFQIIIIIITLICILIKLIAK QVKKIKIQLNQI >CAK64542 pep:novel supercontig:GCA_000165425.1:CT868032:51871:53463:-1 gene:GSPATT00034018001 transcript:CAK64542 MWHNANSLLSNITNSQENTRGYDCLEKKQTVEEVLEEETRNNYSEEEDMNKEQSKEFDPR EWSLSRFEMGRYLGNGKFGHVYLARERENKFILALKVISKRQLILCQLTGSLTREVEILS HLRHPNIIGFYGFFQTEKRVYLMLEWAPLGDLYGLMKKQTNRRFSEEMASTIIKQITMAI GYMHSMNVIHRDLKPENILCFHNDIFKISDFGWSVHTPSNKRRTLCGTLDYLCPEMINYQ THDNRVDVWTLGVLAYELVVGRPPFESRNEQDTKKRILNLIFQFPNWCSKDFQNFTFNHS NIESSLDHKMTLIYILIIYSLVYFNLMIRFILLQNRQGKTRLSKWYVYYDDAEKIKLQNE VHRLIVCRDTKHTNFLEFRNYKIIYKRYAGLFFALCVDVSDNELTMLELIHLYVEVLDKY FGNVCELDIVFNFNKAYSIFDEMIIGGEIIETSKQVIINAVKNIELLD >CAK64543 pep:novel supercontig:GCA_000165425.1:CT868032:54142:57876:1 gene:GSPATT00034019001 transcript:CAK64543 MQNNQGGQSLRIENGADDRRLERLEIKPINSNISRFNSMMQVWKEHEIPECHQKYYYNVI LKHQQQADELMRIEIDSISTNKSVVRTLHQLTIGRDRCLKEISRTKQGNISDFLKTISKF IYDLRKLTLNLIEFHRKWMNSLNSRFEYNYIWRIKDRNYLHQIKEDHKFIQNHHPKMRQY FQFSDEDIFYLSVVKQAEQDDEQKYEHLMKHKMDKKYIEKMIDLQEYFNKQIKDYKPVNL GNPSSQNSEMNHAGSPGFSGKKNINLGNYASKVIYTAEDFQFNLVSYPYKDLEAILENWS AQNVQELNDSFKYPINHVKDLLMYWQEAAIVRINQNGLILCSIDQEFNGRRWILHQIYLQ KEVQWQQKLNLVIQHFIKMLIMQGDSFTSLAICCSNDQSIKRVLIDLKFTFSKNITYNQF NVVIYEQKIQNAQQHNNRRYYTPLTLRMLRIYATETDSTLITNEELLIAQYCANLGKNQI IKFENNILALAPYLSKNKLYGQDYMLIQKPDDVKNKFQIDFSSPVTTPVYFQQFNVKLNF KYFSSEFYRNNTQPYLRLTAHPTMDKQEPSILQLNSSENSNGVIHLISTIDPFIKMYSIV TQTIDSSWKCNPIRYFNSNIQTFINQTFEKFDKQQECHQHLWLPQFKCSGKQLKLNDTNI DAYFQNDTYLSVTYPRNPSLHTNKQFNDADKIISPPFLFGIVQSDLEQINKPLFSILIDK QNIIKTSEKLTNNNASLPVPVQLQPKETESVLRELIKNTDQDIKRSFCSDPAILIYKLNY SLECSVINLNIGHAIIYVDENHILEKRWVIESIMVNNLNNLSPLLTKLIEYIFTIDPSAN EIQICQNHYQEQGELVANTTIMNSIKKAKFRWRLVDNDAKTQVRRTIYYLKRSLAEFPNK TQNQVSIQFRSYYATQTSEVRNQIQNHLEYLHSSNVQQFFEDCFQCHVNGQVPDSNSYLQ KKLQSIQGKLPNSEFLSIQTPEDLRSQIKFSINLPSFESRYQKFDLLQMITQLKIKRQRQ VNYKDKTYLEIPNYNGLQQIFQSENYQLNQKIFFIATQDPLYFLYFIELTNQTLIQQIQN DQLSVVSQVSQAFVTKYLSNHQLVWIEQFQKIIPIHQKQNFLYIDFALNSQFSIEQSVIM DDDSAHLEEIYKINLPLFCGLLNTNVRTLFERPLIGMIID >CAK64544 pep:novel supercontig:GCA_000165425.1:CT868032:58063:61354:1 gene:GSPATT00034020001 transcript:CAK64544 MNAPKAIPFIKYINNQFQVDPQAEQFLTSLGSEKLGVVSIVGKYRTGKSFFVNRVLLNQQ AGGFSVGPTINPCTKGLWIWSQTITAQNAEFPDMKAIIIDTEGFGGMDENVNHDTRIFLF SLLLSSYFIYNSVGNIDENALNTLNLIVNLAKDIQQNNENTFPQFLWIVRDFALQMVDQQ GNPINPKQYLENSLELLKGLSDSVEQKNRIRRMIKHFFKERDCMTMVRPVEREEDLQRLD TLHENSFRGEFVQQLKEARSKIFKRVRPKSVQGSMVNGIQLLQLAKAYVDLINGGKVPNV ESAWGYVCKAEGERALRECINMAEQSIEKLKNQVIQDLPAVKQQLLEQVRKQFRLKAIGS EDDLKQFTEKLAEQFEDKFKELKQSNKRNLKNHQSKVLQPHIENILEKLKNDEFSNYYEL QSEMFKIRDQFQKEVGRNQQVSQLFDEMSLQIYQQAAEKLTRKASQQTNQENKQLQYKVQ NLEKELKALQDDKHQLELQAHSKLEKLSKENELLSKSELQLKTQFQQIQQQTHQVQQQSQ HQIQQHDKQLALVTQENAFLQKEIQSLNDRSSQLDNENKKLRQELITQKAANEQLQQKCH SLDYGRAQEIQKLQLEFEKKIQELNNDIDSKKQTARQQSEWMVEKSYLENQVTFLKSQLD ENKRLHDALLIALQSQNNPSNDYQESTLELLETNRNLSAAMDKMEMRCKQLEEKVTKLKK FKKIFKNSSSIVCINCNRQYQSNGFSQHLSTCIDNNPLQSNSLNLITYEQRIYNNNNTHN QSLSHPLPPPIDLSQLQISINQTMVRETPDNKPYTEYMIRVQYNLKKWTISRRYKNFCEL HQAIIQQYPNLKMPESSSAIINTADIGSVFNAKRPTVIEDRRRALQSYIRDLAKLDPVRN STAYRKFLELDTIDQVEQPSRSMSQMGSPKNEGLQSSRDYGRESLSVMPKPFWKQSTQQI AIGASTSREQKYEDVPPQNNYARQKENQPTSSTSNLNTKIDRKLLPQSPQSFLKAFQKQQ ETSKVYKMHHQHSLLEISGDIQ >CAK64545 pep:novel supercontig:GCA_000165425.1:CT868032:62689:64103:1 gene:GSPATT00034021001 transcript:CAK64545 MLIFSILSYLVSAKLQIFIDGQSKNLNYSLANFGHIPYGRTLGGFLIAPKEIEDNQLDLC NKSNLQPLSDQGNVWIVARIGNCSATTKAYVAQELGAQLLVIISNKVSLTNGMELNNDGM GFKVHIPTIEISKSDGEQILKETASSEDPRQYAIISFNDSKKVEKPEVILFITLNDKAGF KFIREFQQYYKILEKKVKFSISFEVEVNKRDKRLNFTQPNDQCMGGGRYCMQSRGDGQGR LIIEEQLRQHCIWINNETQWFEYMDYFDKNCFKVLNYAACSSESQIAQQKVVKDCVENSY EKDSKKAKELKENTIMDYWVGNKSLSGIIYFPGVLVNGKPYHGNLEAESVTEDICSNMLD QSVCSALQQSEDDSPNSGSEINWAMIAFAVVSCILFGLFLFMCYRRRLKMQMQQELGQQV NEMVSQYIKFYETKNEK >CAK64546 pep:novel supercontig:GCA_000165425.1:CT868032:64135:64788:-1 gene:GSPATT00034022001 transcript:CAK64546 MQNYVYDIDSIRGLNLSLKVPLSLFAYLVDEIYKFTLSYQSAFSLQSMLQIFQRESVALE IAEAEFCINSYVYLIRFVQKNNISAEHLSKWIKENTLVQDDHREYLVKVLQGFDPEAVKK VLSLGKLKELKWTVNQYNGDVKYPNLKKLYILLEFHIQDEKGNLQKQIINLTIQEFKMLQ MEFKEIGDILRSFM >CAK64547 pep:novel supercontig:GCA_000165425.1:CT868032:64794:66019:-1 gene:GSPATT00034023001 transcript:CAK64547 MNDLQDDNPQYQFDEQHDSTQQIEEPTASQAIPVNQQNISLDISVQARTISEASSEERKQ FLIQTLKVLAVQQIFQLSLALGFAFVEEWGFCSNFFLFLFISQSLDLYIATFKARGIKKQ ETVMKLQWLGWMICYSFATTLFSTLMNVMYFGWHFYLLFLLTTFLFPIITLGMLIHISSG RVCINTKQYSLIYCVLIFFMDLCISVFNEGYVPFGFLLGEGLVYLYGLYLTFINVQIQYP VNDLSLNFQQEETKFNQMMNLLDRGTQRLSVGVIPNEAQEITMEEIKKQSIGQRLLKLAI LQSFCLTFYILPIFVQGRYKVCIDWQEVRGEIG >CAK64548 pep:novel supercontig:GCA_000165425.1:CT868032:66245:68063:1 gene:GSPATT00034024001 transcript:CAK64548 MQVQESEVYKRIKLLGSGAYGKAYVAESIRDGQLCVIKQIDDVFHETVDRDRSKTYREAK IMSTLKHPNIINFREVYKTKKGKLCIVMDYADGGDLAQKIKQTQGSLSESQVLDWFTQLS LAVKYCHDRKILHRDIKASNVFLTKEGMVKLGDFGIAKILSTTSPCAKSVIGTPYYMAPE MFENQPYGFKQDIWCLGVVLYEMCNKRPPFEGDNIAQLALKVVRSNQEVQQGSFSQVKSI DDQLSMRFYESKQSEIGSSHFQGETLQKEQQVIDLASKAKRSVQQLPQLKKRVATPKQRA SPSVHKLPEIKRTIDTPDFSRRVQLKQNQSILPTQSPRRQSPRRKNQISEGPETPNIMMK SPSLNIRIQSGKILTDKHFQLKKQIKSFDRQQSGRQLVNHCSNIFFSPKRKQQEEEEKVL DPIEEADSTPLTLTKSNIEFQDFNNSIEERRKKNKIIYKDPFKKDDEMSQMISQLQEIVE DDFDNPPINEQIRNLKARLIQKLGRHFEEVFRQCLQAFKKNGDLGQSEMIGILQQRFSGV SIKKVSGIATLILTLIIQTKGETINTEF >CAK64549 pep:novel supercontig:GCA_000165425.1:CT868032:68128:68532:-1 gene:GSPATT00034025001 transcript:CAK64549 MGSTCLQIPINRNDITLWDQVSISKQEKYAQLRIQQKFSESSSCPDEHVQMTSHSNDQNE NSQDEISLPMVDQSPAKTCKSILKNKNEFQIVRSNSQNKTVSFSFIPPEQLRQMMTSTGR LSEEQRKFLASLYE >CAK64550 pep:novel supercontig:GCA_000165425.1:CT868032:68609:69913:-1 gene:GSPATT00034026001 transcript:CAK64550 MIQADYNHLSYVEMVQQQAVIEEVKYPTLDPIVSSNEQGVKNDEQVEHSAPLNESQNRAR FLTRYYFYSILQYIGVLSLFLLTQDFRFVEVLCIVEYSPLDQDRYFYYSSEEYRNGEDYR KLFGRYDSLSKYSFRWTFYASLALTIIITLIIVIGRSSQRITRYQKCLYIVYNILIALDL GGFACISKGSWRNDAKIMYTKIVCGALIGNAFLQIMLVRLNKSHSYGKLPIILICLLSTI NNLLFAFGFSYNIPILAFEVLSAYGIYYFNQLNKSLLKSPKDLPKTFNILEYINKQCSKP VAKLPDQISANLAQVKNDNFIINKRLFLVYSVIFGYLILLIILGFINTGVCIFISVLTLM SLFDTQVASQSLKDEDVFMAVCMTYVDFFSPIKNFIRSMRQ >CAK64551 pep:novel supercontig:GCA_000165425.1:CT868032:69978:71234:-1 gene:GSPATT00034027001 transcript:CAK64551 MSQVDNSNSNYINLDQNQITKINYPDLSNYVPPQSYNTTIQVSQNSLDELKMKRMKLLFN CYKYQAMSFFIVLVIQIIGLTKWFMSIQTKFSKIKLAGYYYSYEEYLKYHSDAQTYKEYE DWRQKEYYEISQVRWTFYVIIALLILNTLAILIGRIHRNIVKYQQSLFYSQAVLIGLCLV GYAGKYWDSYWIHKNICEVTITINSILLLNSLVNLSLIKIDKLHYYNKLANNVIYLQVPF NFILILLFKKFDSVILIFESIAAYGLFYFNELNRSLLLNPEELPPQKDITTMFNEAVQTD NQSQEIMKNNPDFISIPRRKLVLVAAILSLIALIIIQIMSRESYLCLPLILLAGLILNLL FETRVASRSLQHDDNFIAASMVYLDLLCPIQNLVRCFGIVL >CAK64552 pep:novel supercontig:GCA_000165425.1:CT868032:71530:74978:-1 gene:GSPATT00034028001 transcript:CAK64552 MLDGMFEAVDEEYNPTTTVYQTRRAQNCADQMDLPEYPRVKHFAGLKNQGATCYLNSLIQ SYYMSPEFRKVILSLPLCGETIEDSANFAKNESRNRFLLEFQKLFIQLQSLQSKATSTLA LTSSFGWNDGQQMQQQDVSDANKVLFETLDRSLYGTPFIIAPFYKGVVFHHITCLNCNNS HGNEEIMYDFNIQVEGNKNLSEGLFSYINPFLLDGNNQYLCELCGFKVDALKGDKIRKLP SILTITLNRYTFDYERMQRVKLNDRFEFPLEIEMGVYLENPVDNLVYELQGVIIHRGNAH GGHYFAYFRDLLDEGDWLSHIPEYWQEKEESQQQQKKKNDEEVDYDEVKLPFEISNPKVA QGWFDFNDNTVIPIPVNKIQSQYQGSESAYILIYRDRNLVPSKLSNEEIPKYLNDYVNKL NEKIEQDRQAYEEAKQHITITVADASVVDLQNHKLLNDNYEKVTYKLKLSSTIQQLYEQI KKDEYWLLEFKENYQNKLLHFPRYLKNPESTLEEEKVEHESTWILVRRDQDLLQVGLKKI PIKLNLLINKQPQSFLLYLSTTLLELKQLVFALSGIPENEQELRNVQNQKIVSDLSDETL LEELQLTLESQILVKKKAPIQDVNKNEKDLQGGEDIISILVEQEDKNGVSKFYVNINQTI QDLIEFIKEQFGITDAYRLRNLNGSILFCKTDLPIQLKEFQSFREGGARLQIEKGEVPET GKISIVIQNKEQNIEIHVDPRSDKIEQLKLESCKSFNLDPAQYRLYKVDWLQVPSEGLTD ETKTVSQCYLRDRDLLILCDKTAQIDCELVRFQLYSTTTGYPDDCVFQKHETMRKDATLK DLKQMIISTFGLNVDVDHLRVRDINKNRFFGQVFRKLELPIQKCQFSTNDIVYQVLNQPE YLKDDEMLLLIKERDPLNKVYIKQQEFVFKVSKTPTLNELKEQIQKFVGIEEGAELQLAK FIIQEFQWLHIDSNALQKQAQGKNKGKGKQGKQENQNNKQPQKGQKQQQQQDPCDNLKKS PFNLGEGDFIGYRFGNHPNDDFQTKEDNELRERMIAARKNKHNALGPFRRQQEQGFIVDI E >CAK64553 pep:novel supercontig:GCA_000165425.1:CT868032:75180:78995:-1 gene:GSPATT00034029001 transcript:CAK64553 MNIYLFFSVFPFNILLLFSNAQQQKDYLFPGETKFATNNRYYVPFEQKFLESNQSRFEIE LIREVQIENQHSINLTEQMKLFYFQQLNEEEDNMIMTMDNQHLYCLYVIKNYLLNQQLVI HPHFCNITLPYQSCSQLIQLNDSSIISIFNLEGKVFDQLQLQFEQNCNIDLAYGHQIIFI FEQNCQSTNVYQVEIDEEQFQYKSVKKLDLFEGINITTLKIEYILKIELCDLERIFFIFQ DFVLQYNFRRNNLFQFLKFVNITMLKVFQLCSTSCLVLDIENSSQQMRLKEDLLNISASS YKNALLNEKILVLFFEHYILAKINDQLQYHINITLPEMLPLIGLSYFIGVHQSKLKLFQI QSPRNYNQFYLSQKILYLILASNPYYNSFYLEQGEIEIVKENESKLISKQYEQMVYLNDD MLDICLEQKLLSETLPINLVAIQDNETYYQINERISIDTCNFSFPKYIKIMHFGQLNDQI KMLVIFKQEDILTFVFCYNGQIISEQNMKLIKQVLNILVINQRNILICYEKLISLVIIDD SLSIKTTSYPQEHRIININRQYVYISILYEGCRFSLAHIVSDRLVGLEQFQNYPFPKSLN CTFNYKTSKSQFIISQDEIIILSNVNMRYKLKGKIVKVLIEILSDNFVLVIEEENEIRLE FYRVLRTEIVLQYIIPKYDFKFQPTATQKYENQYLMLSAKHQSKSYLLIYNLRNPAINAL IYITEIDESLQFFQFYENAKRTVVYLYQGKLYLYLLDKCCIRYTFQQRNALIMRKKIQIL VNSLVNNQSDTINIQISKFNNDYILSAMNNAQQLSFLNKPLNQDLFIGNIIRVDVQQKDD FVITSPMNITSEHLPCDYFESNVCFLQGDLINIQTLKVLQNNSFDIGEIIIKRINFDEDS HTYQILFYNIMRPDLYIQQLEQPKDDLNQSVLIRKTKRYSILPKSDVEQLEKVKIIQHIY YFQLMGAKSLLYYKDICQILMNSSQSSYIIMYFADCTYLSNSTYICIFFEDLKINIKIFA VNDKKIYQDQFCYADIIQAHEISLSNIFNQIEIYYFQLNMKKIEIISVAKDGFTYVLQFL MFQCTNFSLLLEISFNTVQYDHMRIKSYLFLRYEKNAEMLKMLYADEYFVVASYQLDGKE IVSVYDIRGDMKNKDYLDSIQRLQSFDYQRIEKFNASHYVIYSHMKKRLYFLTLNQLKVE CLGQCTENANLILSNEVSTLTLEISLENQLGQKYLTIKSAMILNNFLLILIFLKFGKRAK >CAK64554 pep:novel supercontig:GCA_000165425.1:CT868032:79457:80779:1 gene:GSPATT00034030001 transcript:CAK64554 MQQSDSQQPQTTQTIDEQPKPKDTRYKTSDVTKTKGVEFEEFDLKQELMQGLAASNYEKP SPIQEESIPFALAGSNIIARAKNGTGKTGAYIIPILEMLEVEGQIQSLILVPTRELALQV SSLVKEIGKYMKVECMVSTGGTDFKEDIYRLKQVVHVLVGTPGRILDLAQRKLADLSKLK HFVLDEADKLLSVDFQPLIVKILQFAPPEVQIMMFSATFPVDVKGFINEHVPQIQEINLM EELTLKGVTQYYLFIDEKQKVNCLNFIFSKLEINQAIIFCNSARRVELLTQKITEFGYSC FYIHAKMNQKDRNKVFHSFRKAVGRCLVSTDLFTRGIDIQSVNVVINFDFPRTAETYLHR IGRSGRFGHLGLAVNFITETDKDTLVQIEQELDTDIKPFPKEVDKSLY >CAK64555 pep:novel supercontig:GCA_000165425.1:CT868032:81443:82031:-1 gene:GSPATT00034031001 transcript:CAK64555 MQDCAADQNFLSFLQLEKDLSQVDEYSSQFIFSNQDNPSLTQLANLNHVPQSHQAKKIKK KKRVDFHYKRRRRNNKNSELNNQAPFNFDEDQRILSLVLEHGPRFSVVSRYFVDRTQNAI KNRYYKYLRFRWDQILGRDYINLNCRREDDQQTSEDLEQLIEKMNFFPEVTTILSSFVQR VYSHFN >CAK64556 pep:novel supercontig:GCA_000165425.1:CT868032:82998:83714:1 gene:GSPATT00034032001 transcript:CAK64556 MNLQEKNFILIRLLFDYNHNNKQKSQIKASYLQCFLQAFSIQNSCQQHSPQHQVLLYQTI IFCFQGTKNYPDSILFSIYHFIHNCSIAKQGQYTYFQSGFHITRNQFKGLTLINQARTTP ESHIKEIPFFIFEVHSHIVILIQSSFLLANILYQIFYKSFYFNVFSTANQALLFRNRLET QVPVDIDILKISIYKGGSRTILISSFPIKIILIQSFHLRHQKLFMIQFIKLRLIRSRI >CAK64557 pep:novel supercontig:GCA_000165425.1:CT868032:84123:85097:1 gene:GSPATT00034033001 transcript:CAK64557 MFQQNQVIYQQILSNKIQYNNIGITMFLPQLRGILEKSYLRIKSRIQIWVVDIRIIFIIA VCPCNPFVEYPQIQVEQSLVGVYLSFGKYIKIVQPGLIYINPCTDTIQKMIDCPRQQVMT KDNILVNIDSTVYYRMVIPRRSIFTQMACIRQQLKHCRVSYIVGSLGEESKGATINRRLA DQYVWEWGIDIENMSIKDIQLNADLQNILSMVAKEQRAAQAKVISAQG >CAK64558 pep:novel supercontig:GCA_000165425.1:CT868032:85378:90735:1 gene:GSPATT00034034001 transcript:CAK64558 MKSYILLAILIGLGASQIVVTSQTLCGCPQLTNQNDCDTLPGCQWQANSNQCTQSCQALT QTECQDAAQYCQWNPTTTTCSTFLGCANLIATNNQQCVQQNPRCVGFNYTTNLCLNYNEI TSPCANYPQTQCNFNFGTDGVCYFTGLVCSPYNNCTQAQQQQKCLQLNAFGNFCVWSTLR GCQAITSCGQLSTQASCTNYFSSFQSKTLNVCYWQTTTNPPSCVPITNLNNLDQTTCLVN TSFFFRWYGLSNNPGNGFCGPCKQFSLKKVVSTQCSCSQYVLQNDCNSQSTLCQWNTLTN TCSPNTCAQIKTQNICLTVSGCYWSIGANQCQALTSCNDLTFKVSAVGCAAQSLYCAGYQ GGQCVQTSTVASTCNSQITASSCYQFINSQGLCVWNVVNQTCSQLLNCSQITDSALCGNQ QNQCMWDATSLTCQQLTCASFTTSQTCTYVQTEFGSNTYQLCRWNKSQGILGVCENAYSA LQQTSTTCVSNTGNNFRWSTNNASAGMCVSCGTNQLQLQTPSSCQCSQFHSKSNCQNSGF CTFNAQTNICSPSPCTQYENQITCAGLSTCYWSANGCLAFTNCSSLPAAANQLECVSMNA SCKGISNGVCQSFASTTCAAQYAATNTCVNNSGTDGICYLVKADKTTTCVGFSQCTQAAN NETLCLRNQLSCSWNSLTETCSQVTCSSFKSELNCKFYLPNPLSSQIVPCFWNTTNGTCG PASDILTALTQNNCATSTHNTYTWVTTSAQTGKGYCVRCQQQVTLPKQCACSFLTQYDCS QALECYWNNGACIQMECTSILQQSVCASQKGCQWNNNSCTVFNGTCTNLFGQSQAACMAQ NIYCVGSNGTNCTTTYAKCEANTTDTSCVASIGSNGACYWNTISKTCVAVNSCNQLPEAE CNLQSKSCYWNGITCQSLTCSTLYSQYGQCTFVMKLSSNSYVSFCQMVGDQCTQVPDAFS LTQEQCYANTNKTARWIPQSTNYGGICALCSGNVIPNYNPQTCQCFQYMTQSDCNNASGQ SCYWSTNNICAQQVCANIVSQSACAQNIACIWNAGTCQTFTSCSQVAGTGLTAQQCLSYS IQCKGYNGGTCTSTPNYTCSTLLTPSACSGNYGSDGACLWNSTVAPSVCIPITNCSQIPY QQICGYYTEGCQFVNNQCQSLTCGYFTTPATCTFYVSQLYPGQIQQCQWSAATGTCVNFF TSSDLNSGNCAANTGFTYRWVPTSANNGDGYCTKCMINSVNVPGQCACNQLIYQNDCLAN SQCSWSTTATSPSCYNKPCSQIMQQAICSTNPRCSWSAAQNLCQPFSSCSELAGVNAGEC ASYSVFCAAISTTYLPLQNKYLCAGTQAQCTVSNPSGTAGATTPSKCENTYVTQGICQFD AETKQCNKITQCSGINSQQKCQQFNRSCYWQLPTGAATAATCVPASCTYFTNQQTCTYYL TSLTTSSSSKVVQCSWQPTGSCIEATNLSAATSKTCYSNSFMMSRWTSTQAESPNGFCAS CSQYSLTQTYKSVCSCSELSQYECQVASPQCAYNSTSTKCAAQNCTSITSKFSCAANPSC IYIGSCQTYTKTPTGTNVGCVNITSATSSFDCLTASANCPQFTASATQGNPGSCGVRQEC SKQTTQALCEAYNAQVFTGYCTWNGSACQNINNCKQISDVSACNLQTSRCQWSVVLNSCI TQSCNSYTSQADCTYVYTSYQPGDIALCAWDPAYNECRSAPLSNLDSTQYNQTNTIQCFV NTGHVYHLDGNECTRCFQNILSILLITMLLILI >CAK64559 pep:novel supercontig:GCA_000165425.1:CT868032:90945:93334:-1 gene:GSPATT00034035001 transcript:CAK64559 MHHREDNDPYSTAIVEDLLQSQSEWKNINDIIRLTFKALTDVVKSQGESIREIEKQLSTR ASKNELHSGLALKANINDISRTIAEIAANLDTKITYEDSQVLLKDYVLKADMQYLLSNKI DVDEMKQLLEKQQSGEFKGDMQNMKHKIEELQTQISKKFQQVPSMKDFSQLSQQVELKAN LQEMNELLETKASKHQVQQAVNKKISKQELDQILQNYSSLEDLQPIIQNLDQKASLDQID KIASILEVKVDRQDFSILINSLQNKAEIHQLEVIKEQQQELKNIVESRLQDNNSLMTEMK QQVDGVTKQLNKKADNKELDKHVHSINSLQGELDGYSQRFNKFSQEIISDLDRLRNEILE SKQFTSEQMQTTIMKSTHLSEKLTEELYNLSENIKQMDDDKREELDDLKKMVNTMRIQKK DIQQKVDQMQEHLDEFKSDQVFKQLQRQVQQMNKEISEVKAKQLSYEDEQHIQVQIEQQL QRRVNEIKKTGSSQDGFKNIKQEVSQIVTKKISSFEQQLNQLEINLKQRVLYQDFEELKN IIQKLCIDQDERAYQKDLTKHIQLTKYTLDLIQKDMMLKAGIQDMITILDTKANIPEINQ AFEAIHNDLQTKVSADDLSNYLKSQNQIYESLCQENIVARFQWRSGDTQNHLIPWEYEVI NTLPENFVWEKGKSSILIVAPGVYHIAYAFFSKEKQNVSVLINGENIATLDQKKKTSEYT GLNAQDFIVLPNRARLQVSYSGGKGEGFLSLKRL >CAK64560 pep:novel supercontig:GCA_000165425.1:CT868032:94054:97615:1 gene:GSPATT00034036001 transcript:CAK64560 MINLSQILEGDNGEITFFEDTSQVDLEDILQEDDEVREQLNHINSDSQTLECNIQNSESF ICDQEEFISPIQIMSLGHQSKTFAISTNYLINYWERQLALFSNNKNNKTIQIQDVSYISV KGQIIAVGFESGQIHIYEGSQLKLAHKIQEHQTTIIGLNIVDVQANELILASSDLDGNVK LIRVGQNLFRSKIVTNTILNKFEYPVTQLISQQFKYSDSDKTSLLLTLGNSNMVITLSIN PEVRVVHVFDKQKSNNDLHWGKCNYEGNQIQLLSIQWNSFIQLITFLENSPWYFSFYSAE TPLVGAKFISENLIIAATEGQFQVLYIPYFSKGKYCFKSDSKAKISNTHFHQNIYCLRAI SNVIYFISENKLYSLHALSWLQYLKSLIQNDSPQWSIALRFLVQVYRGKVKGMPNLELDE DRSAIQTLAPTLITSITNSKMNRELISAVVQFILLCQIPQHLMIVRQGCEKQQILDDYYR VLEIHLKKITQLPKEIFFDLANKFSPESFQKLVINLDLNSIDIGYTYDVCLSKEIYTPLL YICPRKEEDFLTPLNKMYEKHKQELYEKCLWFIEITQKQILFPNDQIPLEIWKKAVRQQM LWLMEVLDVFQSEKLFQILTTYLKQPCLGALHHESVYSYFNITQENLLATKIFEQVSSIK QQYLLQYLLFISKIIDQIKVKDSEKLLLQIFEINKSYIDNYVAMPEDLDQILQIIYYLMP HTSLIEQAYESQCVDYIAYYFLSQNQFNEAVKIFFNYEEFKLRVYKWLEYQPYDPLMLDH LDKLLELDPEKCAKVFQSWPIETLQKLIIYQPKRELLHVFKYLSIDLSQFLQLKLIELIS IHEPENTISWLKNRQYQLEDVEQIFQQTNNIEGLGYIMEQKGLYQQAIDNYLQIYKEDSR NYILSFRNQDRVTQLFQNLMRVTKIAVQSDDNNQEFYLYIAHQIFNPLMHQTHWCKLKRS YVFNQQFSQLFMHLFRYSPTELIKIIEINHETFNQVHLKSSFITVFKEFGYEKQLVEKIS KIIRNDNIKLLKLLYSLMNKSNLYEIICIECQQPYTQDQAILLKCGHTMHPFCLENKYCP ICQNISAQSMLESIGVEELKKSILKSNVDNQQLQLLKNVDDMEFEDNLFQSSTQDDKEMM ELRLLNKMDIYDQLSDSALQQYNSFLDQYF >CAK64561 pep:novel supercontig:GCA_000165425.1:CT868032:97727:100183:1 gene:GSPATT00034037001 transcript:CAK64561 MLCEHKGAQSFCTCCQQVICELCICCKDSKKINVPNIDLNVLCSRCDQTSAQIRCEQCMF QFCQECFQSVHKIGKFSTHNKIEINQNLHQQILKQMSIITELEQYSQEQITSVLRYFEQI QEVLDRKKKQFLDTISNYRCQQMKILKQRISIIEAAVKINSERSFEIPECMNYQMETFHL TAKEQIIELILNIDVQKILDQSTLSPRRIHTQFSVKRSASCKKLETQEKIVEVCETFEKR KFVKQLCQSNSIQLNWTHSKQKVEYNLEMGIGMKIKGIEQFKQIYQGTEPQFIINGLQAK TNYKFRVQSTFENKTSEFSEILNLTTPQYQSVEDSLIISKKTINNDIQVLFEKPGLVLGT NPLYFGVWSWEIKLIINGIIEDLTASLVVGVANKQRKIVGTTLNYGYQRESLIIKVLVDM DNKCMTITSKMHPNGERFQNLIGPVFPAFQNKNTHKGSGQLRKLNSYVDKEVLNLTGGLY PFALFSQTKPLNHQKWVQEEIVLTNENIQKVKAWVPEQERGLMFKGEKVNQDPEYLEFKE EEISIDNDWSFEETQYLFNQLRNYNYNFIVLSDRYSYQNKNRDIYELKDRYYSVVNEVLQ KRNDKSHFLYNYVYDEEYDRFRNMELEKYLKRTKQICDEDKKLQEDLRKVDQQIKKQERE HKSLCKSINLQEYDDIDDKSINYMIDMSQRNEEVKKTTTERIVYLRNKWINEALPLPSSI KDKLDRQLKEVLQNTKLALNQEIEELFCNLRKLQLGVLSQQRLQKRREQDKRYLEDKIKK LKAQHDQQQASDMKTKK >CAK64562 pep:novel supercontig:GCA_000165425.1:CT868032:100219:100453:-1 gene:GSPATT00034038001 transcript:CAK64562 MADVREQRIYCAEQIVVPPELPVILKHYAKEVIRNKPGDVVDFSAKYFRSLLEKRAKEHE FSEIVKQ >CAK64563 pep:novel supercontig:GCA_000165425.1:CT868032:101113:110727:-1 gene:GSPATT00034039001 transcript:CAK64563 MKIQSQIPEKELLLLCQEYIKRIWMIEKDESVRALLKNKEMIEMQKILFSHDLKTLSASI KDEMNQRLKEMDEIEQAIRFESNQSNRITLQKQLTSQYEEFEEFLDNISEMSQQLDITLI FLKELQKNVKQIKKKIDDLQESINEIGNDVRKLRGKKYEELLQIRKEKVLQQAYILELDS VYIPLKTQEVNPVTGQVQNTISGSQFSELLVEDVKQNNKEEREGEVNQFIYEEENKDVML IKGLAGSGKSRAARKIEEYLWKQNLDQNDWVPIYISLPQLKNPKFNLVDQALESENYGFD KLQLKDFKEAIQNNKIQIVMILDSYDEMKQDCIQSNLILTNRLIQELDLHQINQRRKVKF IITTRKEILTSLGYQTWFYGESLMTFKEVEIMNFDKQQSECYLNHYVKLSIKRRIKSQYD FVKQVKQQQQNVQEFLEIWNKILNKLGYQDMENCENSDKLLLDEQIENILEVIRKQPTFQ YVQQNQLIILKKELKELWSAKLFNKTIENLNIYHFLQTPFMLEIVVQTLPSLSKKYKGSN DVKEMFQNNFLLLKNKENLSKRLLLQFSYQEQKNEQAIKEETKIQEKQNEQDQEYQQDLK CLKIILEKLESQRFFENYSITDISLLNNQLADISNDDLKVVISAFKMKIFTIYEFYQNFI EFYHEQQIQKLRQLGKVENVDSFTIDLVQFSESLAIEMTINQITQVNYEQKGKLQMKNHY FDQKDKDKWKMQYFDDLEDEYRKLVRSSALINMKGKNYSFNHKSLQEFYVAQHINNLIDK LELKDNQLTYNSQTYLETSVFNNKDFNISLENYSGSLSILKQKLKLKYENIRKLISMVKL STNLTFEVVSSNSFCILSHLQVYLGEQDFSGIKIKKTTLKGLSSLNSRSQNSIFEEVIID SCLFDKAHLEKARWKSVICSEKPLLQGHKSGVVIIQYSNDGKLIASADSEKIVKFWDVLN FQLLGEISEISNITKFLVFSGDDQLVFICSDKNIIEIWSITDLKSIKKSDQQIMRQEKII QMQLSLNDKELIVVDELGFIEFWDVNLIKSNFNQKDGFTLKSSESEIKFISFFQNGEMLA SVSEDKKVTLWDVKEQKVSTEIEFSFQITYVVMNSTFELLYCVCDCSDFKGLAVWNIENI SQPYLLLTSTKYRIENILFTSDDQQSIYKIGDVLLIQNINNIYKQEQQYQITGCSCIDFS PDENLIATGNKQQIILWELFTYSPIMTFENDYSIEELLFISNGKKLLSGDYSKSLRLWSV ETCQLIATFENSYSQNITISQDFDKVVYQIQGDNRQLGIISLNKIQLKTIDDISLYGRVF SISQNDQLIVKSGSSDFIVEFMKGNGNIEFEKNYNQFQLGAFSHKSSLFASISKDSKTQI WVQEELKFYQKYEIKLDCKISSIVFSYDDKYLALASISDKLIIIWDFGQNQLYSLNESIN DQSRYNYFSISPEICFSYDCLWVAFYNNEDIKIWKFISDESIVTFKGADSSIKTIASSPK QNIFATSSDELNIKFWDFETNQLIYQFETGEYIRSLCFTYDGKYLISAKSVMKLWDISNF PQLKLLAAQESKNNEDVQRIVCLHQIQGILYTCSERSRVIQFDDFKYIGVLESSDNLHVQ TQFSADDQHIVGGIKEKVVMWKIQSNCKIEFSFPLPGTIESVQYCQDKERLIVTIPSGIY TLNIKSNNLLELIPNLKSAFYAKMFFNEGYLYTKELESVKIWRNDGNNRFTLIDYYSKSS NEHIVFSKDGKYLAKVLDSYSLSNSKVYAVTLTGKQRFINNKDLSIIAISNNSQYIIYGN QQLSKLSYFESNLLIEEFSHLGIVEFSPDNCNIVSQNNQIITIFNIKSKQIIGQVQTEKD YYSSLQFSNYGKILILVGQKIHLFNLEDIHKPQSIGIIYGKINSALPKTSFNYLANIFDK NQIQFTDMIIRKFIKSIVACDAYSLKDLVLLQNESKVAYIEYKTFKVRDLIGQQIENNYH EIKEDCDCIAISKDRKNVVFSAGPKIYIYENKTHQEFNKLEENVNFLNISFSQDSKFLAG CGNNKTIYFWDFKARKVVAKFQVHSEKGNVVQISQDNSTLASGSDDKLIRLWNLNANLNK IYQDGHEKEITNIVFSADSLVLYSGSLDKTLKLWDMEHKNLLISKTFDYNIHSFSVTRNQ ECLLITQGGEIQQWNIQYNQALQKSKFQFIPCGCYSYNFIIKSALLKFQLFSNDNLFITL SLNSFKEIDQKYYYLSFVEIWKRDHQIEESVFLYEYGEIEIRLYQISQDFKYICSVDSQN QLTLGKLLQTSEPKLIQLKQFNTEEIIYLLFSNDSKILSSVDNQIIIFRLLDGDELLCSL KINFDPRDFLQYFTDDDKFYYTNFKNQIYLWDVNHLIKDQNISTSQLELVLENLDIQCFT ISNYVKYLAIGLNDSQDNKILRLVELISIETIKTIQYHSKINLLQFSDDDLLLAVANQLN EISIYEIDPFSLKHSFKCHQAEIILMKFFYLKEPEQIENNNDESEKIEQSDKIDNLDVQS EQTERKQESKKDINLKSILCIISFDKNSSILINYLKNQDSQKQKIQLPNNSDHSIQKVFF SKDIEKLVVITQDQNLNFECTIYQQSENQYNQLKSIQQCIIADFSFDQNYLVYSNQEAEL YLLNLQNYFFSYNQAINLKYNDNSSRDPFNFLSLNSEKSILFCGNKEQMFCWKLCIEEDM VQLLLLKTIKCNNFDYSTMFIKQESQIFFQTNNTIQKLDFTDAIGKLKACLIYYQEKNQR SEYYIKTLIVPKIEFKNCSFSSDLSLCVSVGQGGLFVDKYRRHSNRKAIENVYFKYLILW NVKTLKYSTLLETYTSKVSNNYETIELPIGFTAIAVFFPKQKIMVYNNDDKIEFRDCQDF DSLKRIATINNDRRILNLLVSKDEQLLISICEIGIFKLWNISELDKIILSRVIYFNEEEL AKFRFTKDICCYSLHREEGEKLYYLEQLNLASSPSFRALQQFHKFDAFSIMQQQTIIAFG GSTLFLWNYITDEKKEVEGNYNNHFTSVVFINNSDLLAAAIGSDINLFNVNSDPLGLKLN NELKGHEGEIICLSICQDNSIIVSGSQDHTIRFWSIKQSATVQIIKGFIEIISKITLSPN GKDMAVAMEDGSIRLFKLMFPKDIHEIHLDQMSNQGDYIHCYRIFGRDSLISASNCILSD TVIEQDGKSLEILFEQKGSKIIRF >CAK64564 pep:novel supercontig:GCA_000165425.1:CT868032:111867:113920:-1 gene:GSPATT00034040001 transcript:CAK64564 MIFYLLQQITGAKVGNNLSSQSSSNSQLLMKCLGTNEIHERILEMKFDKVRYLNKQHPSP SLRGGGCGGSKKKSQMSITIPINYQSTMKQNCQILIDKCDQISDGFSRNELMMSIQWFSD NRYWICEICKNDNLILMLYDVALNSFRQLISVIPVYLRGSGCLCYYVLEVCNDLLLIIYT YQLNDDSRYLTVEMHGDLLTEIDIIKNDLEIHKNFWIRGLEFQITLIKIVLINSKTNSKE QQDKLISIASSAFSSILSLSISEDFTNALFDFAKYLLIEKFNQYSFPIQTYQIYYFFFLL KWNIIKNIQEKNELNKQIESLQRGYEQYVQNSDNWIVHFCWINAISDLIAYRQIISKSVF LNSLQPKQLWNDLIKQNIIKVLSYDKLLGKVNNDFSFKSIDYESEQTLINLGVKKIKSFQ NAILNQQFYNKQNFFQFYVNFTFQKQKKPQEKTTISQQLELLGADEQMKRLNRLSLLLKE IKEKITIQYSESLGKSFQTTPQEKETKNNVNKYDLILDKDGEVQQLDFTLLKLSYLLNEV NFTMIIEKKRMEICQESAKMNEYQNEQEKQNQNKKEKNKLLSQIDDQQKQLEKIYDDWLK IDLPKKYNQIFDLIANNSESLPKLSKGEKIKSQEKQMQSQENELNFNRKIFIRTEYLTII IQEFLETQLVISKIIQ >CAK64565 pep:novel supercontig:GCA_000165425.1:CT868032:116142:116599:-1 gene:GSPATT00034041001 transcript:CAK64565 MEEDDKEIKIQYQKIYFDYKTEYQQLKLQVTIIIITSKWKKKLLSFDLFILKDYQPKQIQ SRTKTWRRIVLISLIIASKIQDNESFENRQFCQSIPSILTKDINEMERILLILQDYRLQV YPAEQYFILIIYTESKKKNFPLRT >CAK64566 pep:novel supercontig:GCA_000165425.1:CT868032:116751:117779:-1 gene:GSPATT00034042001 transcript:CAK64566 MKITNIAFDFFQEKSDSENEFKKVFNQIMEKYKEHITTIFNSKIQTLQNLFSKLILTKTN NFLEPTAGPILPDSNRVHKKQQAKDDIILIRKFQNDKLITEIKENKLLKNLEKQLNQKRR INNYEEGDHKPELQMSQIRNMYLSYDTGKLTLTFVNLNIEEMSNCLAWAILKHIHFSKRN NYSMMKNSSIPFSMDFRFRGICTNQQDTSQNPIKEPSQLHLYSEQQQQQMGLFQSQQIQQ LDKTNLTNKWNKTFFQISEKTLSKDENEFDIKRQEIKEISKESFPHQLQFENDDYLQNEQ DCDICSEEEIDDQTQITMNNKMRTNPLVLLNLNI >CAK64567 pep:novel supercontig:GCA_000165425.1:CT868032:118488:120706:1 gene:GSPATT00034043001 transcript:CAK64567 MDQEYDLQLQVTRSKINQKSSIPRFAFQNNQQDFDESLKHLSLQQTRITQLPDGLQKLRK LISLNLNDNYIKQIDYNILDGLKNLEILFIRNNLLQEFQFGRFLHLQQLDLSQNHIKKIC AEIGDLLQLDQLFISHNSFVEIPKSITKLKCIKMIQVDTLNVFQLDWFKYGDPGVQELFQ SQDLIYQLLHTIELCCIGETISAKQLLSSIAFKQFALKYQDKDIQIQYKIHQTILDEDIG MLRTFLSEPKKFQIDEINQEDYSPLGLSIWEEKYLAARYLLYANADPNKGASWAKSCLNI AVSKLQYYLVFDLIKRNVGMHELDIYGNNCIHYLFCVYQMNEVEAQKILQLLLKNGVNGN HINNNGYTPIHLAVSKGYIQVIEYIYKQKEYKFNFRQKTQKQKHNCLHLAVLTRQIYIVK FFIYKFPEFIFQKDYHDLIPIDYLKNDLTIYKYLKGFQKVEIQHILTKNEIFYKSQLSEE CDDILSIKVQSLAQLDNLGLENKIQTTRINEITRQIPLSPNNLKRLEEKNTLMNPKDSQR IQCQLYKGIKKIAYEFDRLQYNNIENLLNQDQFQEQVDDLIQIKKLLYQLNCIKNIIDSN EIYNKQWHFQLKSLKYQINKQIYSERNLEDQKKASSQLLQIFLEMIKKVENLFKKKDNIG SQLHDFISTQFLSIHSGFGLGKLVVFLEQILKESNSDVNHLELIHIKLQLKSLLVKYNIF NQKQLMNIFTI >CAK64568 pep:novel supercontig:GCA_000165425.1:CT868032:120761:122350:1 gene:GSPATT00034044001 transcript:CAK64568 MLVFLTLSLVHSFQQYEILIGYPQIIEYYSASGIIIEQNLTSSSEIELTTSPCQVVPQQL INQNMLDQSLPIIPQYLPEQFSQYFDDGHFLGLIPHIISFVNIENDVVILTNQAELIYIR FLNESFIVSDKINLNINVTILQYPVFMETIRNQLIIIMYSDTVGITLDIKSEKIFSKSFR IYESFNTNKILSVAVVNNMLFIAMGVGGLKIFQLNGQILGSIDFEYQINNATDLKVFHEF DVYYIYLLDYDLGVSSFIYNPKSTLIYKNERLDTIPYSGDIIDIYNNIMMIASYQDQQTI IQEIYLNYTDFSWKQVNKHIVNSIIVDIDILDHVAITLGKNGNTVIFHSIPSQYQIKQQH FIMPSLQKLSFIKSKSSLYLLGVSLHNFYYSKLELKQSYLQCYFEQEQQIKLSYSHISEC KKYKSGICAYTQEYLIQSIKPIITTEQNFLIYLILFLVALAFITIIVILIREFNKYHEFV HNLDPICPKSNEITMQTFESPTKIIKFNQTGAHTSQFSIISNMTRLQQV >CAK64569 pep:novel supercontig:GCA_000165425.1:CT868032:122384:124069:1 gene:GSPATT00034045001 transcript:CAK64569 MLIFLLLIFVQSQDYNISVDSEKVIDKFDQYGEIFYQEIDYQNNSFKPCHFTKQKLVQST GLNIDIVESFNETFFQQNYEEGHLNAKIDEVIAAVTIQNGSIVLTKQGKLQHLLITNTIT LGSSIMINIKTAKKVYLKYLSRNRVLLIIADQETQAFQLSNKTDVFQDSQKLRIYDQFNV EQISSITTVNDMVFIAMGRQGIQMYQFKEKNLQSISWVLNGESNNQFHDVVDIKVFSQNQ DQIYTIYILDKKLGVQQFVYNSITTLISMNTKLGTIPLIGDIFDIRQSILIIIEHQPSFS FVHEITLDLTNNTYKQLNKYRTFKDVQDVDILNHYVVILGKNGHQVLRHSLPQSFNNQSS NSIIIPNLQQLDYIDYKTRTIIFGFTKHKFFYSSIRESPSVIYCFSNNTEVHQTKFVYKQ KSTKCPDELNVTKEEICQIQKDYTVNFIPPKNGAGYSQLILIYGVAFVLGLGFMMLSFLL CREFRKYEHFVKYNEMVDNDSIGLEGQNSNNSQDSSNQRKFEKIEQKGSSMTPVVYEEKD D >CAK64570 pep:novel supercontig:GCA_000165425.1:CT868032:124172:125422:1 gene:GSPATT00034046001 transcript:CAK64570 MKKQQSPEMYLIKNDQFWHCQFIQLKPITIQIRNGFLNGNIEKQETIEISLTKFPTMERG IEVMSEQIQQKLQKGFREINSNDILKANEQQFQEKTVASSKKDLMQQKILKKVNLPEQRK PVVALTDDATQGKLVGEWPKYRARTHLGETLELGPPGDTKPIACLLAGQWNENINPTNYL LSEKLDGMRIIWSGCEMFTRNGNSLNFPTSFVEGWPTTYLDGELWLDRGQFQKLVSIAKK KQPDYKAWKDIKFMVFDAPLLDEPFVNRYAKFKKAIEKIKNPHLVYVPHVVCRGYEHLKE ELALAQQAGGEGLMLRDPQSKYEGKRSNTLLKVKTTMDSEATVIDHISGMGKFKDQLGAL KVQTDAGITFQIGVGFNSKLRKDPPKIGSRVSYSYYGLTDDGKPRFPVFERIREDI >CAK64571 pep:novel supercontig:GCA_000165425.1:CT868032:125441:134636:1 gene:GSPATT00034047001 transcript:CAK64571 MFEKIIENLLQSILGEYIEGLDQQSLKVGLWSGDAKIENLRLKPEAFIKLDLPFIVKYSR LGTLNLNIPWKNLASAPIKANLDTLYLILTPQQASDWKFGSVTGADKLIQVDSFKQKLLE RIANKEQQDGMMQRIIIRVIENLQIRIQNIHIRVEDGQISYGFVLQNFTLLTVNEQGVEQ FIDRTSNKNQLLIKSLQISNIGFYWQWKGGIQYDESKFQVEIPKNDYLFRLSLQSKVVQP PKQSDNLPDYKFDLDLQSFDINFSKPQVQQILNLTDYLNSYNRAKISFQRQQQMIQPLNE MDQKRVKQLLQLVQLDKKYNDGLNKEQKLELCAILEKTQIKEIKPIVLEVIKEQQYEDMK QKALKKKQPQGFLQNWFGRGKQQQQNETPTLLDEESEEIYKFLQQNFSQDESQQNQGKVT QIVVSGKMRQGTITLSNPRQQKVDEIKILFQDLICELKSDKDLILDLSLIDMIVLFNDQQ FLTNTSNDKSKPIFLLNFKIIESITTYIQLETRSSKLRFHPDLITVLSDFSDVELKSNQI KNMADDTIQELKQNAQIALANQVIVEKNRWVNITMDQFYFELPLNNSQESWLFCPGLVNI NSSIVNSQELYKIQLSNIGLKHQSQNQYSVIDNFTIVLDILLPNQKNQNLTVNASIQDII TNLNPQIYQKLTKIGDCFIPTVSAEEYKKQTKSEEVEKTKIIENAIKIAPLYIKEGQLNQ WVKYTCVLSGSYLYFYSSPKSQQPNFIKYLKNSNIIEKGMDEYKMNVLVVQIENNQFEIG VQNQSQIQDWIQKISSLRDEELELLKQLEDKQEKQQIKPIEFEQIINFTISVVQINLQDS NSKQFLVMKTKDLSLRMKIHSQFLQVQLTLSGLQLQDSLRQYHNKQLENLIISEKENGEL IEILFNQTSRNSKKYQDIDQQIELKFGKLHINFKSETFAYLLQFINSNDNIQNQQEKKLD KIEKEFLEAEKQFLLLKLNVQINQISLSIVHEITKLPFVDIQFQNSFIEMLKYQDELQMQ VNLGNLQINDLTNHPYTLTQEEDYHLIKSNQIVGIKQKGESLLFVKIILIEPLSKKAQLN RDIIRVDAKISQIVADYQQQPILRIIDYIQNLQEPFTNPNSFKVQGYQIDNVANQKKPPS RITIQPSKEESIKITSNPPRMKLTVQIDNPQIIIRNKFSNEFMIINLGQISVANEQQQIN QFFKEIYTIQITQLNIIGECDNKKGVIASDFNLLLTVTLPDLVQYYKQIHSKVEETIHIS CEMSEFILNIDRNTMKLINRLVAYNFTLNDSFNEYVLLNSEKSNQISQEQQKIILKQDAE KKIRNQDYFLKFKLKIVNISIFLCLHRPLIRLSIVDSNIEFNMKKDDKKELIIQISSFNS CVYEQINGYFVSKPLIGIQEEKQYDNLNDLTYLIKNASSSEQESGKIEMYINPTQNKTHN KLYLTFGSFLLTIQTKIILQTLTIFESEQLNPFVNEALKEYQSRYDQYLRSQQKEEEVQP TDSQIFITLGDVIIAIPSNDESILTFTGKFNIIVNTHDTMNADELLCIIKEKGLNEYDLI GMNFKIKTSVSIEQVQAYVASKQQLYSKQFNLLDNKRQIIWPFTMLLNQSQQLVLSENLD SLVEKQSIKLSLSQFQFRIAFTDVLFIQNQINNQLQIVNDLTNNDIKQQQKQEKNQQQQN SLQNFELVIDGIDVIILNDIENYYQYIMNFKLFQTQTKLEQTSRNFKFLIELPIQLHYFN QNIGIWEPIIEKCVISIVYQKDLTGFDIVAENQLDVQIKEGVNINVSTQMILTVYSALTI LTQKLQAKDKNQINCQNNSYAKYSIYNLLGSDVLCETQDNTIIIAQHNEQKDFDVKNPKS NNSVSLQIQGDKNPFEILNWNIDKLQQKMKKIGNNSPVLIKNFVDQLKNQRVIYLSSEYI FENNTQTHLDIVFKDSKANLHISKLQAYMQDQNARQVTCQPNQYVLPQFLLYSDFYIRKA NNNQEKSQAYNIKYLQKCFENKEGLKLWLNESFIITLNVRKDPEFLGRYIIYIQPNFRFQ NLLPFPVTITTLYETKQGDETKLQPLQYLDEHQLKSIKENHAMLITIDAYKPSQPIPLLN SRNFKSQQNVINLFGREWQGSSGGKSELVLEYNNTLFNQSGLCSAVLYAQEYIRNETQYE YVVFQGKNKQYTSIGGQKLGSKQRPLILNNTAQQYELVFSERDTPQVLSLTPAQIISIGT SISDIVIKRNEHFYVAPLAVVSEIKLIQQTCQKVVTVRNRIILVNHSEHSICIKQLKAFE IKPQERVPLEICCGDKVPESTEGIYQFQFTFMDDYNWSWSGQIECNMIGVYYQQLRSHKF PEIRKFAQIDINESNGILFAVISETKHDQTPYRITNLSKMIQVTVLNIPASLDYNQDCYF AWDELNKQNEVQFVICPKVSQYEVVEYQFKIDKIAEAKFFVIRSRIPNDAGKIFIKLKSE MNGFTRHAQFFDSSEEELQRYKENSGKIAKEPQQLKFDIMIPQINISLIDNHASYPQEII NIVLYQSEAILLINKSNKAIFQFKLSAMQIDNTSQLHPLFPVLLTLLPSSKVKQQLPILN VLIQMNLQAKQLQLIEKFSLETNRLAIKINDTIIKTLLSTVNKITSIIESQKLKLQLQLQ QKFDWRKCPLPDKLIPTYFGTITIYPIIVQLTVQWGRKDKDQDADNPMFTSLLSGVGFQL VSVDEAEIVLKGIQMEDVFDSIDGLRNNLTKRYLPDIYGQLPAILGSLAVLGNPTKLWKN FVSGMQDFIERPIEGFTKGPLEGGMGVITGTTSLVSHTVSGVFNSVKNVVGTISSGLSKV TMDDNYQQQRQIQNQQQARNVSSGIQEGSVSLVKGVAGGIAGFFSKPIQGAQQDGASGLL KGLWQGTSGLIIKPVAGVLDVISKTSEGVKNQLSSDEQPNNTRIRYMRPFYESDGYFKEY NWVEAECYEVIKGIKKGKYEQHRLLRVVNMERDKKSFGLILTDTSLILFDLDLHLKLWSI LYEDIENIVFSGNVIQLKNKKSKRAFQGKSIASLTMASQNQSQQITEQIKFMIQQL >CAK64572 pep:novel supercontig:GCA_000165425.1:CT868032:134668:135418:-1 gene:GSPATT00034048001 transcript:CAK64572 MRKRKNQKYNMHQHTVRTIEEALYKKLYTELEIQQKRDQNKYENMFVCQGTQTYYEVDQI EVLMDNLVEQKSTKKELLKLKQECYAEIQQLFVQNKCHIQDNVNLRNLIQFQQILIQVLE SENILISDKIQSTKSLKIQSEEQNTFALELIDIMMNSSVLIEISINEHSLNIGDKKIPRQ WIVDKYFDYNHQIYPLGILYIVYKVSLCQVITLALTGTKIIQLYSQI >CAK64573 pep:novel supercontig:GCA_000165425.1:CT868032:135452:136453:-1 gene:GSPATT00034049001 transcript:CAK64573 MLEAVIQLKEKELKQYQEKKETLKKDLEVTNLQVVEVKKQLNKKKQQKSLVTDPNEVEVT EDALSQQINSLNTKNLNLKDDLQKLVQSQSQPIFQTLQQIEGTKTQLEELQSTIKEKDKK LDILEQQLQQLSMHQSRVTIQPTFYFDDDPLLLELQDKFTKESEMLDDLQSKLKRTAERH HELAIKLQDWAEKEHKLAIELDQRRQSVEKIEKELEVLFQKQSDLDSDKVNILQRIYQLD LDNQELNEQEIVSRNRIKEKKDYVQVLFKNLVEMDEKMLQLRNRMAVYSREGRQLTEQVE NLENEKEMKQESLQVRFKRNWKVWNQKKEKNNI >CAK64574 pep:novel supercontig:GCA_000165425.1:CT868032:136485:140983:-1 gene:GSPATT00034050001 transcript:CAK64574 MSQITSSLLKVVNTQIQDLDQHIQKQERIINDQASEIKSLKNRINQKDIMSQPIFDNPID QLLAYPKSLNALGLQIQEEFEKLKKQLQEKEQELQQLKSGTDSQRISYKPNTSQVDEVIK QHEELNDILIELEKKLYDKKQQQQELEQIMESYGEDDKILKQMKSQASVLNEQLAVEKAY NQEVRVQLKKLQQDTQSLNAQLEKQLAEKQEIEDHLAKTKNQLEYLRSQNIMLGIGTPTK GETEKLIAIDDLVKQNQAVDDMMHELQVKLLEKQQAHNLIQDQISMFENEQHSSTSLKPK NISSRKELISQLEVEQHECDIIQQQLKLKQIEVQELKVKLKKEEQEVFRLQNQLQEEIEV KVSVEQQIQSVQQRQIAIQPTVTSYIQELPTINIFGPQNESIPHDYQFQIDQLQETIKQQ ESQIEFLKFEIQQKDEIMSMSQHTYLQDLNQQNLDVENRIQELKQQLSYKIKRRDQLESI VIEDQKPKRKSFIQNTELMDMQEQLHEKNMNIVQLHEEISKLQKQLYDKEQELQQRDVEL RYQALMKTNEDQCEKADELVKKNLAMDELIHQLEVRLVEKESKLKELEYLNSSKNFEIES NQNNNSFYKDRESIVRDSGINSYDQDQDYQFVQQQQILAGFSSNMDQLKSRVECQKYELQ EKDKIIEQLKQQLSDLKRSHFNQQILATQDVSVINRQINVLQEQADNLVKQNLALEDVIH ELEFKLQEKKNKQEEIYKVIQNMMEEEVQQRQQKSDEMVKKNLELDEKILQMESRLTQKQ LQYNELEQRLSQNQRSMPWPLQVFHENQIIQDQNSNLSDLTIQIAQITESVRQLSQDDIE LEFLLKQKKEKQSQIEQLKLFIQSSATVLQDLQTEQQIKQEQVEKMKLQNKDIESMVNDL QAQQVTLVEDVSKSQQNVPEWLSQNIESSKRLNELQKGQQKVKEQIKNLESLILDLQIVV KEKKEELQRISQENYQLSILTRKQKQKRDHLLREQMPLGEKVGLLEQQVNDLQAEITRYT PELARLFQEVESKKQVKQTKEQQISSLSIESQQLDQNINQLKDDIEQAKILEQQLLDQQN QYKQNNALIEQEIKIYTEMNLDSANINRKIEDLTVQISEEKQLLEQLIEELQIQKEQVLL IVPQKEEIQRSYLQTKQQYDQSVEDLSEQQKSQQKVLDQKNQVIQEVNYLKLYTENQEKQ LVELQALRAKLEDSIKQENTLKNAIQDKADRIQQELDRNKQATQAQIQILEKQNKEKEQR QEQIKKIQLDIEDQKNNLNKLTDQQMKHLHQLDQIEKLDKDMERLMKEVFEKEEQVQQYA GLVYQKQQKVNDQKQKFNQLEDELKFYEKEEEEIKKRMSELDQNLSKLERDFQIKSEQRS KFEDDLAKAEMDIANLEEMLRNKDEELRTLNESKEELLKLKQQYYNIQGEIREKQEQIQE FEKQRSKLSEEIKKEQEQLTEISQEVYHIEVREEEMNFQIKQKLKNFKLTK >CAK64575 pep:novel supercontig:GCA_000165425.1:CT868032:141504:142169:-1 gene:GSPATT00034051001 transcript:CAK64575 MKKYFNQFNKRLQQLGLTLRDVDADGNCLFRAIADQLTGNEENYNKYRSMAIRSLQKNRK FFSDFLPEGSTFNEYTKRMSEDGIWGGHLELQALSNTLQIDIVVHTLDNYYIIKHIPIKT CWSSFKEKIHLYFNKKQVPTQKQIHIAFHSGKKIINHYQSVRLIHDNTNKPAKQPSIYCE LSEQSTTDESY >CAK64576 pep:novel supercontig:GCA_000165425.1:CT868032:142267:143568:-1 gene:GSPATT00034052001 transcript:CAK64576 MIRERQFEVIKTQQVNQHLLCSICREVFYNPIRATCGHTFCGTCLVRWIQMKKSCPLCRH KLERNYQFDKDILATKIVGDIEVKCLRCQSWEGTLAQFKQHKKSQCTYISTNNEIHQDAI EIGDDEEEFTFAGLTEENEPRQQIIENIGQQILAQIDQSQNPESQQPLQQNQNLTTIQQD SPQETNANKDPISDQVENPNNSEELNIELSQKNPDNIDSNNNKSQNDENQINNTFVQDDS NNQNNSNQQVEQKISQVSEVINQQQEQPQIPDSNPKDIQIANQINNQPDVIEQQGNVQQE NAQQENAQLAVVENEQNQIQNESKEDQSNQNNETNQSIVEQFKINDPDVEIINPTIKYQN NKELKQVKANKKFKINILRNNNNVKIAEPMMEEINDNLFYEFVDQMKKEMVKKLSDIQYY NTLLG >CAK64577 pep:novel supercontig:GCA_000165425.1:CT868032:143609:145625:-1 gene:GSPATT00034053001 transcript:CAK64577 MFNIILFVIIIDVIKALNRDVNTFSNYHEIQIHKLHIEWLLDLNQKIINGTAEYHFNVIK NNIKEIHLDIYQLDIMIAYDQATGTVLKHEVENMGEQSLKQGDRLKIYLPKSYNNGDQVK LRIKYGVTDKARALSFMTKEQTESKVLPYLYSYCQDNNCRSMIPLQDTPSIKQYFSALIL VKDPRIKVYMTGNLLDGRPFKRMNSYSESLTEYHISLDIKIPSYLLAIVAGNLEQRKVGN QQTSRSYVITEPTSIDKVAKELEFLQDYVDALANYIGPYEWGDYKIVILPPSFPLGGMEH PLLTFASPTIIVGDKSGVGVAIHEIGHSWVGNTVTCSDWANMWINEGFCTFLERKILEQY NGEDYSKMYSFLSNDTMFQQMARYGMDHSYSSLHPNTSGENPDDSQSEVPYNKGYQLLLY IEQQLGKQNFQKMLQQYIIQFKWQSIDEDVFYRYLLSWISTNLGKDHASIKEIKTVYKEW VYVPGKPPRTLDFHTDAYDQAMALAKAWLDSKGNKVPDNAEDYKNYIYYQKQQFLNEIAT KYVEMTDKNYKLMDQTYQLSDLKDSRLLYRWLIGCLRGKFYDRMEVIKHFMGNHGARSWL VNMYGTLFKDKPDVAREWFAEYRSFYHPSVVDALENEVFKTSVGLSVQ >CAK64578 pep:novel supercontig:GCA_000165425.1:CT868032:146080:147211:1 gene:GSPATT00034054001 transcript:CAK64578 MSKWLDLSLTCTQLNFNQSVANTYSNRSPMNLIVDLYKNSRFGQLYLGNINAANDIKYLR EHSINAIVAVIDTSEIKVDPSMTRLWIMAEDAENFDLYRYFDECANFIRDHIKNTNVFVH CYAGISRSASIVIAYMIKHLGYSLKEALKKVKGARSIVEPNSGFMKQLQDYEYKHNLNSH QGTRNGSSFHSNPRGSVTSASKGSLHSAKPSFLDRMSSGQKDLRREAESPSVSQFYVNGS AKQINNNNNNNNNNNNLKSFFKQGSVGSLLKQTNNEDFFRRYQKQKNVENIWNQGMSSHH KSAIEIKSDKIEQVKLTLQTFGVKNVFSGSNSNGLHFHHKGLSQG >CAK64579 pep:novel supercontig:GCA_000165425.1:CT868032:147541:147963:-1 gene:GSPATT00034055001 transcript:CAK64579 MGVCTSQQPKKPKPLIRLIKIRMDANNKSKEIEEAIQIWNKINRVISQLKSTTKIPDDLK EDANKINKFLKSQRNSKFLDTFFSFYDKFQYKLNEQITKNQELWIQFESIFSNLDLLNDK FTELGYTSRLGCTQSLTKYN >CAK64580 pep:novel supercontig:GCA_000165425.1:CT868032:148129:149130:1 gene:GSPATT00034056001 transcript:CAK64580 MDNRRKPQSYHRQRTGILITNKQQTRKRSPKKTYSKAIHPLNLHTKAMSQKNLDFVSSES SEYSQEALIEDNKSCCCFDCSKPNKKMKCLQKKFVLFRTQMSIVKQQRLHIRFQNAVYCI LYLINKRRKQRLLEKQKKMFRYKTKVFFDGSPLLPPQQLQHQVNVHDEKHPVNIHSQSIQ AKIIDQRHKQRQSVRVYLQQKLHDKDSQITLPKIHKYSQSSYCNIQPLRFQKTEDQKSPR CSTQLKISHHTEHSPCAVQNSPYLNHLTSRNPIETFIQSSFSKTPRPVTKSIQSELLTQK HQFHQRKNTATTLKGLV >CAK64581 pep:novel supercontig:GCA_000165425.1:CT868032:149164:149502:1 gene:GSPATT00034057001 transcript:CAK64581 MIIFTLFTIIQSQIAHLSLNGDGIKQQLLLMIDENQLDCQTIQLLISEDLDSRFSIWQQK NKSYWNPICNVQNNLYKMIYRNQNNQKRDSIIMTVMSSLNIQSYGHNQELTF >CAK64582 pep:novel supercontig:GCA_000165425.1:CT868032:149530:149870:1 gene:GSPATT00034058001 transcript:CAK64582 MLLLTLALRIIKLNISMKNYQYMLSLIRITSQLNSIQKFLSLIKLSRLQNGTMVLSITKL ESKIVVAFEQKKNDKFQILNLVGPKQAAIILIFILDRLRHGGLFQFT >CAK64583 pep:novel supercontig:GCA_000165425.1:CT868032:150582:152420:-1 gene:GSPATT00034059001 transcript:CAK64583 MNLIDYLKQCKEKQIKKVEADIHHFNKKINDASSQKMFEKLSAGVNTLPYLSQQQKTEMQ RELKKLQKIMDDISSKQCAFNIHINKYADTQCKKTFTSALELQHAGQQLLLSTFCNHKTQ LQTFLTKYNNLITYIPFWEYAQQLESKYNLIDIDFNLIQSMLDELQLNYTNMKKEQEKNK KLHKVASIQTNPNQQEVIYKEKEVIKEIYKDNPQQQLQIDELKKQITKLKEEISNLKTQN QLLQAHELTYGLNCMQDIQEGIQMYIQIGTADSKNALAQLYQQGKLVAQNIKQARQIYKS NIKSNNLQSVFQYGKIELINKKKIQKGIQYIKQAADQGHIEACLDLAILYYQGVSQNNEF LFQKNQQLAKQYATKGQHTCRGMCDLGIIETDSLKSINYLNEAIKKEYTPAYYYLGLHYL EDHEKEKGLSLLLQGALNQDLRCVEELYQYLYEDSLHANSLNKFQDYLQLLAVTKQSSEA YYYLGLCNNNNLLLQQTYYQLGSKLNNQKCLDKLSEINKDVQIYSQIVEMGQNQALFQLG QHYEQQGKYLNAIDYYKQSKSQQSYNRISQIYQSQLKNDALAKEYEQLAFVSPRFDSESE IAQSLIITQSKN >CAK64584 pep:novel supercontig:GCA_000165425.1:CT868032:152492:154471:1 gene:GSPATT00034060001 transcript:CAK64584 MLMMIRRYCYLKSDIVANEIRLNCSLKFRVFLLICDIGIQGTQFGMIFLCKYCEEYQDYI LMVLLITMITQLLQIFLMIFEYKKRVPLYFAHKIYWIASWIVLLIITSLILYYYGYLTNM PYLIFGRIIFISILLIYTLFVRKQDLAEFEDLGFFNSELPDVDISSLEQKLRISTLNNFQ TQFFSVELQKIWNIVDNDIEIKMNIHLIDQEKSIKLKKRVSQLFEMHHQLIIECQAYFEM HNNDLLQLEYLIRQISDNTDSQLINYIQKYFNVLISKVDLLSNTFLDFIEFPQQERELLN DIKLQHRQLHKSSLIKKQQTSLPYKKWELHKPYIPYMNVKIKEHQTIKQHGDSYIQYSIV ITINQETLMSQKRFREFHDLNEQLKQQGIKYSSLFPQKSIGKLTEVDLEERQKDLEIYLK VLLNDRKNHSCLPLFKFVGLSANQDPFLKKQYSRMQRDVEQVSQSTVKFLQYDDAQDQNG DKYYKYQFQIINHNIFNQYHIISKRYSQFHELHRILKKRVQFLPLLPQKLSALQQNINPN QRSGLLLQYLNELIKNPLVCENPHFRQFIDIPFFDFESDEITLSETKSQFNQIFRSQGND SIIKKIDDMKIRLWD >CAK64585 pep:novel supercontig:GCA_000165425.1:CT868032:154744:155515:1 gene:GSPATT00034061001 transcript:CAK64585 MIKTLANSKMPQIISQLFQEWYLQQFEKKNISTRQQSIICQIFNIRQTADDIFELLISDS KCIFPAKIYKFVLQQCCDKINKNVKNELKMLRGALVVLNQIDFLRVKKEFRFEILILDMN YIDGLGGAIVNEIQLQTKRPAIIKLEERSLPLYEEFSKIFEKIMEIESNQLHELSIKKKN EQKQALSEDEQMKITIIQQEMPKSQYLVYDASLYPEQHFLEKQFKAQFKFVPYISLKLSS RGTKKIEQQ >CAK64586 pep:novel supercontig:GCA_000165425.1:CT868032:155584:158505:-1 gene:GSPATT00034062001 transcript:CAK64586 MEETIEKFRRTTEQQIQVAEHSCEQVVERLLFSYCLQSDVNAVAQCLTCKRWYCNSATKS GSHIILHLIKNKHSQISLHSKNKVEITTIECYGCEQKNLFTLGQVNGTNKENILILCRGC LPLRQLGEITWDSNDYQPLIKDKSIQEWLLGQGDKINSRMVTLERINQYEEERKKKEGLK FDDLDRKGPNQQLKEVQLRYKDANHYQQVFSPLVKLEEEQDKQVKEGQVVQSVKVKWDLS LKKKRLAYFLYGGREEFDTNTLLGSEMQLSLKNGNYNWQSKGTVIKVINNEEICLELHQN DPPPNNIEEGYTVECIWVSTTFKRMQIGLKTFLTQSSSTSNYLYKMILGRIDTLAPPTAV ESIPQKLSAPNLPDLNVYQADAVKKALKSPLSLIQGPPGTGKTVTSATIVYQLVKAMEKQ KQRGQILVCAPSNIVVDQLAEKINKTGVKVVRLCSKTRESVSTNIEFLTLHSQVRSLDIP QYHQLQAFYELLDQQGELDQKDEQVFIRMRDEAEKEIIEQADIICTTCIGSADKRLKEMR FLFVLIDEATQAIEPECLLPMLKGAKHVILVGDHRQLGPVVQSREAASVGLDRSLFERLV QLGIRPVRLQVQYRMHPELTVFPSNTFYEGTLQNGVTISDRTHSGNFPWPNKQKPMIFIN VTGQEQLSASGTSYLNTQEAVAVEQAVYYLYQNTVKLNKIGIITPYKGQRTYILSYLQRN GQLPYNQYRDIEVASVDGFQGREKDFIIISCVRSNDTQGIGFLTNPRRLNVTITRARYGL IVIGNARVLSKDNLWNNMLNHFKDLDLLMEGSLPNLKSSQMKFRPPQKFIPERRNNTMNG NNDEKSVYSYAQTDNLNQFDHDLGEFPLTKGVRNSEFGFNFIPDTQAFIKVADQNEIRRN QDLQEIDQSNVINIGQPPSSIMQIGTFQNDLQLDV >CAK64587 pep:novel supercontig:GCA_000165425.1:CT868032:158789:161481:1 gene:GSPATT00034063001 transcript:CAK64587 MDLSLDSNQTVTVDYSHLLQVKDPNPNFVHSFLETTIFQILKNHSDVFTFNDFEIYDIKS GSRAVMLENGVLIGSGGHGDVYYTYNPQTQQKAALKVQKDCSFQAVLQYAEEFKIQKIIE EIYPECIIKVQGKVFISQNYVDSYKMHTYLELGTGNLRSYTNRLSEVEIDNVFNCILDSI IKIHSIKIAHRDIKLDNILKTKENGWVLSDFGCSTQYQTPYGEYPILGTIQYYKKSIRQT YAQNQRKCKMNLFKNDIYAFQLVMLQIIYPEKSMIELNTILDEKKMVHPRINQLYDKDYF IIKRDFSKIKYTRQSTILDDDLNIHFDKAAQDTRLDPLAQFAFSFFSFAKQLSVFQSIFL KLYFEEYQQEKQDTIRTAYLMKSFVLNYYQFKTVQEIFDQIQFEKLSKLEYDLYYDVFGR RGSKILQLMLCQRYQQILGNNNSNLLLQEAEILYIMCLWDDAKSIINSIKDPSLLESDEA ILKYCCLKARLNNLWVENHDDIIKYLRNYKETFQILFEWKFLLIDSIWMDRYKGKVNKIY KKYYRIKKDILEQNTDSDSIKETFQVINLVKFGEKPLVDNEDQKYKNFISQLKKPLTQVS LMKDYMNYDNYSIFYYNWTYLEGVDVYGEGFRNNIEDFIQFIEENLQGYYFNWVIFDLYS QHLTYRNEFKKAELYNQKALDIVKNDCVFHQLIVHHHLFRLKFKQRTQDRFPDCINLLPS SNLRFYYARYIQEEEGIQWPEGIDMQTLFKWEISHLNADDRKYLDLNSEYLSNKLMILQD QNFHKFKQHMNQFLPNLVEYIKCMVLPEFYELIKVAQQTGQPYKLVRILIQRYLTLKIIY NQIGGALNQVSCNYDDEYFAYVRHCIEDNDEPEQEVIPISKYFFYCESQL >CAK64588 pep:novel supercontig:GCA_000165425.1:CT868032:161823:162571:1 gene:GSPATT00034064001 transcript:CAK64588 MSLNPKPQVLCEGLQCRSRFLQKRCNYYKKSIELLRIQLYQAETPKKLSESKVSVASTDS NFSTLADENDSTPNLQEKLQQKQCKIYELKEAMLTLQSKVNSLQAQNDEMQIQLSKQHKE FLRNDKVTHSVNLPKSTSQTEKAMAVIDKFIQPFTVNSFKSQDGKPPSTEEKRKMIKRMS MNAGASAFMMAMKGDYTTLQSAFEEIQSNNNLEQS >CAK64589 pep:novel supercontig:GCA_000165425.1:CT868032:162611:163240:1 gene:GSPATT00034065001 transcript:CAK64589 MQESDIESQFLYQSIAQQQESVEEKQILVSRLTETNQIQDESVQINLDSEIITQLREEIV ELQKKNQYLTDQINERDNQIASLKEEVQRQTLLYETYLIAEVQLKQQIEELKKKVIERQE QTPPQLQNVSKLNLDFSWVNHMERDLSQDGLLERYDRYKSNKRLVPISIKPYSEKFRYNN QQNSPYFEQQQQTARNQNIQKKRINQNKQ >CAK64590 pep:novel supercontig:GCA_000165425.1:CT868032:164003:168955:-1 gene:GSPATT00034066001 transcript:CAK64590 MILFIVFLDYAMGICDNTCKICVASNCNQCQPTRYLVDGLCQCSSSNKELTRIESSQCYE CNRNCKDCKFNERNYCLSCYSENHRVLKDGKCVCDVSYQEIKQSQECMLSVYDVDLATVF VKSRQQDGPIKYMSNILPFQNTQITNFDTNIMNTVTIQFQDNIKTPLKVEQHNQQFFDSE GRHIIQIKVDNVLVIGTRIEESVIVVFDFAKYNDKTYDKYMSIKTFGNNKYTVDVYQYIR QNTLVILMGIKRTLLNVQNQKDLAISFILTFESNNFVAINIIETLVDYAIRLIGFCKDPL CSICSFYNNIETCKVCKPHTEWDSTTKTCVCKGDLSTENQCYYKGKNQNAIGCTEEVCLL CQPDNSTCTSIVEVCDDGYYESNKKCFKCPLSCATCTSDTQCQSCIGNYYKDNFTCKECI SPCKTCQSETQCSSCLDGYFLTNSECRSCTSIPGCKLCENASKCLESQKGYYVNSSYGCT QCKNQCSSCTSESFCTGCIDEFYESIGQCNKCIGNCQTCPNSSQCHVCNTGYFVDKMNCI ACNSQCTSCSGSASECYSCKPGFSLIQNKCVSCQTPCYTCVNTTSTCSSCINDSYYLDSN TCVLCEAPCKKCTSKKLCLECQVGYVLDNLTGLCKICETSCPSCCTQCQQKLVQNVTCQY CQGSCNQCTNTHTCQSCLDGYFMNGSNCSLCSSNCQTCKDNQFTCMSCYSGYYLSSGQCA QCRSNCAECESQDKCTKCKSTYVLNYESKCQSQSCKVEQCVTCYEQDTCDKCGISKYLNN NKCHPCSKTCKSCENGRDECTDCFLGFYLTQKQCQQCDLKCATCTTTSSNCIECALGYFR KDGDCLKCSNNCKTCQNSPEICISCQDSSYLSNSQCVNCSLNIEYCTQCSNSTTCLKCQS EYYLQNNSCLVCPFGCSQCISHEYCTECKNNAYSPSPNKCEQCPKYCKGTCKFINQKITC PDGCADGYFYKDGQCLECKSPCRTCRDSETQCLSCKDGHYYEISFGNVDIVNCYKCTTTE NCKSCENPDHSCKTCVNEYIFQLIQATQICILCKDCTCPKGQYYDWEQLKCLWCNPNCKS CDEQSDICTECAANQYLDKHRHRCFNCVSPCKQCSDFDKCLTCIEGQASPNGICQTCQLD NCIKCLNNQCSQCQAGYFINQNQLCEPCSTNCLECNSKDSCQKCVSTTQVYLSSGICKSC NQPCQACNQSGCQSCPLGTYIENHDCKRCQDNCNMCSSSSDCQSCNVSYYFDGITCNSCS TKWENCQQCTDSQCNICQDKYYLDQNGNCQLCQNQYCQFNCNFETCQTCGEGCIRCNLEQ ACVQCLANYYLNQGKCIACNQNCLRCNYQECLQCKSGYYQEGINCLQCKPNCQTCDKEFC YQCPDKYYIDKQECKQCQPNCSLCTSDQCESCIDDTFYLNQNQCSKCQSPCLTCRSKDQC TKCQPTRFYLDNFECKQCKQGCQTCTKDSCTQCDQYGYYLDNGECFKCQQKHCLICQKDK CLQCEEMEYFLTKDSTCKPCPENCKKCQSQDLCNVCKNGLTLYDSKCVTDCPKGKYLDEQ TCSDCSIGCLECSSLENCTKCQDSLFLSKDGMICKSEIDSPINQNIILMIAVPFIAITVV FNAILLNAIIQFLYCISTPIRCLFYFYEYG >CAK64591 pep:novel supercontig:GCA_000165425.1:CT868032:170034:170243:-1 gene:GSPATT00034067001 transcript:CAK64591 MNSQWQLNKPFKPQGDIEDVQIRDFSVQFKPQNLVCKFKMTQRVDFISTFYTGNIPSSQK APVKGILKY >CAK64592 pep:novel supercontig:GCA_000165425.1:CT868032:171515:171944:1 gene:GSPATT00034068001 transcript:CAK64592 MNRLLLFASDCGKVECLLSSFEKYFNVQVIEANYKQIVNEVLSKDTQCVFVTENSLKSQI IFNKFDKIRAAICYNQYFVQMCREHNDANVIIIPLDNIAQNVAVHFAQGLSQVEFDTKTT PNHQRRVNKLNLIQ >CAK64593 pep:novel supercontig:GCA_000165425.1:CT868032:171954:173932:-1 gene:GSPATT00034069001 transcript:CAK64593 MADQLFFYPLCSLERKFPYLYVLEIAGIKVMWSQGFPLHDLMYLTIQQKLKKVKLNESKD YSSKEGEYLFMNNTVRFDLQFINHIIFNEIDVFLVCTQMDLCLLNYLVRLKQFKPQIICT NATYLLGQHFIKELYQWSEIRNRHFYSFQENYSKEEESFRCFEELYHTNFQEFTEAFPFK ELDCTLVNYGQIVKIPLLQIQEAISIEAQSSGTGIGDSAYIISLGDYFKLVVATNLNNTN MTYAHKEISMKLKAADYLIYGMPQSRINHSFLSKTYEFLKQSQNVVIPFNSYQTILDLQD EIDDHLQHQCFKIFIISSEFHNYTLIANSLVEYLNNKLQASIMKENPQNPFNKILYLIKT QKIIVVPSIEKIKFEGFSLNETLKGITPSVFFIFDSSLRLAQAVFLFDLLEQIGQMVTIY TQKVSNADLSFGLQLIDPEFYDEKLLHQIKSSYKFCIAKTPINNQPDFIPWPDSSIKTFL IPESSTIQTKSLVLNYKMLQKIALRINQITVPIQPKLSGSGKNILGNIELSNVKVNIADN NEAELQKSSPPDVQYIVGYIQEETIRRISEEIQKQFGLFPQYQSLQHIEFINVDNQICAK VEILQNETKVYAKTQDDIKRISDIVYRCLTIRKL >CAK64594 pep:novel supercontig:GCA_000165425.1:CT868032:173945:174894:-1 gene:GSPATT00034070001 transcript:CAK64594 MQQVKQFAIRRPNVVQKPKAETIPLKPVGKVLQQIPLLHSQVPENNSILFTQEEFKKLQQ ENEQLRHQLNNKFQDYDKKIQIMNELVYKFEEQIKRRESDIHYYIHKIEYNIKEIKQQKE VIAKLRNKKKDYKMKFLALQQQNPQQQQDPFQSLVEMRELLLQFRLTAQVLPLLQQQWRL RQYQNQIIDVDNMNYEELLSLGEQIGNVSNGIAREDIRRIRKQVIQASDNIQGVCPVCQC NMEIGEKYRRLGCNHHYHAKCIKTWLLQHNNCPVCKQNVVIAI >CAK64595 pep:novel supercontig:GCA_000165425.1:CT868032:174975:176525:1 gene:GSPATT00034071001 transcript:CAK64595 MKQNQGLEKKVEKYQYNTSNILGDGSYGTVYKGINTLTQQPIAIKVIPRQKVYDAEALSF ELRILAKLKGDNIVRLHETLMTQNNYYQIIDLCDGDLKQLLDKQTFLTEQEAINMMIDLL RGFLELIQNGIIHRDVKPANILISKGTYKLADFGFARLVDNYAQQLFVTVLGTPLYMSPQ LLQNLQYSTKCDIWSLGFIFYEVLFGRTPWSANSIPELIKNINEKPLLFPDKIKQVSEYV KDVVRRCLVINEDERIGWYDLYKHPLFAYQFKDIANLEEICNNKEKMIAQTLRNEIVRKD LSLDQLVIKLNWGPQVTIKDIENLFSRVDPQLQRIQIEHIFNNIQKANNQYLTLQEFQQW LDKFQIPFQSYRQNPIECFRVIKQTMKNYNQTLDQYFDKFNQAKDGKLKFEEFKQFILRC NNQIFDSWIKSSFEFLDRKKQGFVTLQELQQQLDKTN >CAK64596 pep:novel supercontig:GCA_000165425.1:CT868032:176551:177565:-1 gene:GSPATT00034072001 transcript:CAK64596 MKQNNENNYVRVSYNNFMTTRNRQFGNELTNIQRIPTRSASQFICSKPLLKMEEETMPPE LVNPYVGDIFDYLCVNQHKFMCQTPFYMNLQLDITNQMRSILIDWLVDVHLKFKLQPETL YLTINLIDRYLSKNTIMRNKLQLVGIASLFIASKFEEIYAPELKDFVHVCDNAYTKEEIL EMESKILLTVQFNLTYTSPLKFLERQIQGANLCDKINHASRMILELSLLDIKCLKFSSSL LATTSILLAINLLRSPQVLPSSLHYIEDQEELRECLSEFLPVISLLKSFNMTAIRRKYQL EKFNKIADVILTILPNQQ >CAK64597 pep:novel supercontig:GCA_000165425.1:CT868032:178788:179149:-1 gene:GSPATT00034073001 transcript:CAK64597 MDKSQPIKRSSKQRDTDYQEKPQSKIMQDTQQSSQQYIITDATFELIIRERDALIERLEY DQRQLKYQLEQKKIELYELSQRFTSQRGNHLLKLQQSGVQLKQIQPDADAYK >CAK64598 pep:novel supercontig:GCA_000165425.1:CT868032:179179:179635:1 gene:GSPATT00034074001 transcript:CAK64598 MFNNPYPNPMFNNQYFELIRDNQQFQPQKESTHSLYVDGIPNDAQEREVAHIFRPYPGFQ RVRLIKKQTQKGREYLLCFVDFDDALQATIVMQTLQGYRFDKNDKTGLKIYFANNPKQEK QNKK >CAK64599 pep:novel supercontig:GCA_000165425.1:CT868032:179661:181360:-1 gene:GSPATT00034075001 transcript:CAK64599 MGSSCKSKPMRNHIHYNTYLCASTQLEKPIVQQQYSEDEIVQDSVSSCDYEKERSDTKSF VLRSPDVSLNSPTQNNSVVISKLHITSDDFVKLRNHNYLDYYSNERLLGVGSYGKVYLVR NKLTSRVEFKMLDQLRAMKQVKRKQSVASRKCLREMEILEKLDHPFIVKALEVFQDDQNY NMIIEFISGMDLQEDISNGKFTEEKASKIMNQLLLAISYIHHQGVVHRDIKPENILYQYN NGNDWIKLIDFGISAKIRKNKKLSSQLGSMYFMAPEIFQKDYGKQIDIWACGVTLFYMVQ KRYPFMGKTDQEMKSAIMSGHYTLDQGISPELKSLLGKMLQVNPNKRITAEQALHEDWFA KYNQGFQLNQSVIQKLLNYNSTSLFEELIFSLITYYGQNSDDSGQALQTFLCLDRDQDGQ ISKQELKQTIKSYNLNIENQSKLIDNLYSSLNKQQDDTLTYKEFLAASVASDKIQTRKCQ KLCFQLIDADQDGKFSESDFCHLMGRKQANLWHLLNQTDKTYITEEEFYGMFKQ >CAK64600 pep:novel supercontig:GCA_000165425.1:CT868032:181859:183331:1 gene:GSPATT00034076001 transcript:CAK64600 MGIIIVLIQFIVTALSQTNNSIDCNKYFYKFGTTESPLVFSGDVVYIQESQQVQINAKYT DVLFEDPIYFGFIEEDGKPPETTCLKLAVHRLDNTPQIIQSLSIITSNNIINQWRHYSFK LPLPKLNNQDVSTKNQKIRAYNGSYSINFESTQQRDFKYVFIFNFIITVDSQTELLIDTK FQTQIEINQSLIILPVSKLLWCADLACETHLNESPFLKLNDLFAIKQVIVDSQISNQIIE NIKVEIIGKSLYRKEKPMNLNNKTPGQVIIQLKVPIYWKNVSIKVTSAISGKPFIYGFEN TIDTSFNSLDQSCVDGTQIKSVDSELRWCSDITCQKILEQTPSLHINDFVYIQQLVNCSA CQNYFLTHTEVWFANGGLNKKTNLVEIINNTKGQVNMKLKIDVVWNKVSIKVTSFLSETS DQDEDEGSNLLDSLQATLEIECTKPEGKEVCATCEEQCNINGYSDDTCGFCNSYGFLTFL NMILLFALTI >CAK64601 pep:novel supercontig:GCA_000165425.1:CT868032:184085:185233:1 gene:GSPATT00034077001 transcript:CAK64601 MKMLVFLFIFFVIGLTRLPIEGAECNIYLEKFGTANNEDGSGATKLAFSGDVSYVPGTSN LRVNLRYSDVDRFNDLQYYGLVQEDGKKANETCLDLKLYKFTSNQYADGIEVTDLTITPS NNFQKQWRYYTFIIPGEQFETRLVKTSNSNQYIYKGYYAIAYYAAGTDELQYTFYFDFAV VVDRTTNQAETAFTPLSRKATASCKPNEPCENQGDSILKWCTDLTCTAFGQQDLHQNDLF VILQIMNTADKNDYYLIDTEVWFTGDGILQKATPIVVNNSIKGQAIIQLQADIAWNNLSI QVSSILSTSQTGGRRLLAQVTYDTYTGLLQDINCIKAEGAQTCPNCEQECMVNGFAHDGC EPCNQSNLTVLLLLVTFLFIMI >CAK64602 pep:novel supercontig:GCA_000165425.1:CT868032:185906:187069:-1 gene:GSPATT00034078001 transcript:CAK64602 MKTIFVNTILVVIYLGQVSGLSFDDPNCMKYLNKFGTVSNAERTATSKLAFTGYVSFVQG NTIAQVNLRYNDDDLFTDVTYFGLVKEDGKSADEVCLDLKLWQYTSNKYSDPVQVTDLTI TSSTNFSKFWRYYVFTIPRTELKTRLVETSNTEQFIYTGYFALAYYATGTDSLQYTFFFE FSIIINKATDPLYDPFFKPLSQRSTFNCTITSACNAIYDTKVNWCTDLKCSTFAIPDLHL NDEFVLQQFVTTAGMEGFYLTETEVWYTGNGLLKKASPISINNTILGQVIIQLKAEIAWT EVTIKVTSTLSAFQTGRRRILFQTQFDPVFGETQQIQCIKAEGSDSCPTCIQEWEANGFA HDGCPSCCFSHKIAFVFFAILLLIFTI >CAK64603 pep:novel supercontig:GCA_000165425.1:CT868032:187485:187950:1 gene:GSPATT00034079001 transcript:CAK64603 MKNQAEQTSIQNEKFSIFAILVLLQLQYLSSLNFDDPNCMKYLNKFNKLNPNDGLGDIYL VTNSNNAKISLRFIDADLFNDPIYFGFIKEDGKTDDQVCLKLKLWQYTSNKYSDPLKITD LTIIPSNNSEKQWRYYSFIQVQNQIS >CAK64604 pep:novel supercontig:GCA_000165425.1:CT868032:189267:189623:-1 gene:GSPATT00034080001 transcript:CAK64604 MHSNPIFEFMASKGLSDKLKKKVKGKICFKVIRGSEHKLWLIDIDGKKVIPDTSKDADTI FNIQDDDMVCFSKGQTNLRTLFMRKKLVIEGDFSNAIRFTSDLLPKMPLL >CAK64605 pep:novel supercontig:GCA_000165425.1:CT868032:190150:191343:-1 gene:GSPATT00034081001 transcript:CAK64605 MKTKFIITIIAVLQLEIVSGLSINDPDCMKYLWKFGYFNYSEGLGPLKVAFTGDVSFITG TNNAKVSLRYSDADLFNDPIYFGLTKEDGKTEDQVCLDLKLWRYTSNKYSDPLKVTDLTI IPSNNSEKQWRYYSFIIPQNEFKIKLVETSNSDQFIYTGYYALTFYATGTDQLQYTFFFE LSLSIDRTKGTAFESLFKPLSIFYQACHGRSDCIQHDTQLKWCTDLKCTAYATPDLHFKD EFILQQVTTTPGIQGYYLTKTEVWYTGKGLLKKASPISINNKIRGQVIISLKAEIVWTEV TIKVISTLSILQSERKRVRGQTEFDKVSGESQQIECIKAQGSDTCVTCEQELEINGFAHD GCQLPQSQEQMGFIFWGIVLILIIIFLNLSEIKSYIL >CAK64606 pep:novel supercontig:GCA_000165425.1:CT868032:193968:194315:-1 gene:GSPATT00034082001 transcript:CAK64606 MKKLKVELNVAKEKISKGEKSLKQKAMKGGLANFYQREIKKLSSQAQEKHLDLKITNCYI QQYQIEKQAEEIVSKIKQEKKQNFILMKYREQLRNGSTKIAKMNSQGSRMDIDIF >CAK64607 pep:novel supercontig:GCA_000165425.1:CT868032:195504:196658:1 gene:GSPATT00034083001 transcript:CAK64607 MKTIFIINIIVVFSLRLKSGQCFQCQAVNDYLEKFGLPDHVDGSGASKLVFTGDVSFVTG TVNAKVNLRYSDADFFNDQIRIGLKLWRYTSNKYSDPLKVTDLTIIPSNNSEKQWRFYSF IIPQNEFKIKLVETSNSDQFIYTGYYALTFYGAGIDQLYYTFFFEFSYVIGKEKGLAIDT FFKTLSQKSTAGCTISSGCNVKSDTQLKWCTDLKCTAYATPDLHFKDEFILQQVTTTPGI QGYYLTKTEVWYTGKGLLKKASPISINNKIRGQVIISLKAEIVWTEVTIKVISTLSILQS ERKRVRGQTEFDKVSGESQQIECIKAQGSDSCATCEQELEINGFAHDGCQFPQSQEQIGF AFWGIVLILIIIFLNLSEIKSYIL >CAK64608 pep:novel supercontig:GCA_000165425.1:CT868032:197232:198422:-1 gene:GSPATT00034084001 transcript:CAK64608 MKTISIIAIIVVLQLGQVSSLSFNDPECSSKYLNKFGTANNADGSGASKIAFTGDVAFVS GTANVKVNLRYSDVELYNDTTYFARFVLILKYGNITSNSYADPVQVTDLTITPSNNFQKQ WRYYTFTIPGTELKTRLVETSNSNQFIYKGYYALAYYAAGTDQLQYTFFFEFSVTIEKGT GAALDTSFKPLSYQSTLGCTTSSGCNVKPDTTLKWCTDLTCTAFGTPDLHLNDQFVLQQV IKTSGMSGYYLTGTEVWYTGSGLNKKASQITLNNSTPGQVIIQLKAEIAWRAVTIKVTST LSTSQAGGRRMLVQTEFDPVSGETEQIECIKDEGSEKCADCTQECDANGFAHDGCPSCSV FPIISICFLGLIVSFHNLIHSFISY >CAK64609 pep:novel supercontig:GCA_000165425.1:CT868032:199302:200367:-1 gene:GSPATT00034085001 transcript:CAK64609 MGSCNCIPKNLGEEELQTQKGSNEHQIIDKEKQQETESLTHEDNKPANDEYQDLVQKTKG IRQKLPRINMANGGYYEGEWFNCKRDGFGLHFWADGGYYEGEWKNDKAEGKGKLVHGDGD TYEGQWINDTANGEGIYVHSGGARYEGEWMNDLLHGKGVESWPDGSKYEGMYTFGKKNGK GKLQFADNSIYEGDFMDNEINGFGKYTWYDGKIYNGNWLNNKINGYGETIWPDGKSYKGQ YLDDKKHGQGVFYWNNGRTYDGEWVMGKQHGKGVVITETGERMLGIWENGRKIKIDSRQL LNSRQQTLAPTAPLSYSFPYF >CAK64610 pep:novel supercontig:GCA_000165425.1:CT868032:200757:201744:-1 gene:GSPATT00034086001 transcript:CAK64610 MSDLDQWIAKLKNCQFLTEQEVISLCTKAKEILISESNVEIVHTPVTVCGDIHGQFHDLI ELFKVGGDCPDTNYLFLGDLVDRGFNSVETFLLLLAFKVRFPDRITIIRGNHESRQTTQV YGFYDECQRKYGSANIWRHCTDVFDYLTISAVIENRVFCVHGGLSPNIVEIDDVKSLDRK QEVPHEGAMCDLLWSDPEDIIGWGVSPRGAGYIFGGDITKEFNRTNNYDFICRAHQLVLE GYKTMFDDQLITVWSAPNYCYRVGNQASILELDDNLEKKFKIFLAANVEQRGLIGRHPVP DYFL >CAK64611 pep:novel supercontig:GCA_000165425.1:CT868032:201755:202785:-1 gene:GSPATT00034087001 transcript:CAK64611 MSQSDLDRQIAQLRNCENITEGEVKALCTKAREILVEESNVQRVDAPVTICGDIHGQFFD LMELFKVGGDCPDTNYLFLGDFVDRGFNSVETFLLLLALKVRYPDRITLIRGNHESRQIT QVYGFYDECLRKYGSLNVWRYCTDIFDYLSLAAVIEEKIFCVHGGLSPSIKTMDDIRAID RKQEVPHDGAMCDLMWSDPDEIEGWNLSPRGAGYLFGGDVVDDFNRKNNIELICRAHQLV MEGYRVMFNEQLVTVWSAPNYCYRCGNVASILELDENLTKQYKIFEAAQENKGLPAKKPI PDYFL >CAK64612 pep:novel supercontig:GCA_000165425.1:CT868032:203217:203798:1 gene:GSPATT00034088001 transcript:CAK64612 MFQIKSDNRLNRYESIEIERPKTSMDQNSLERENRELQIQLQKFKELYQQQKHYFQCQQE QFLDLQEKYEGSVSKISELEEQLYQQSENQEQTQLCVSLLQDLQGLEYLVNKMKLLIPNK QALNLIGQIQKTYEQLRNKESVPCEQQIYHKLLTALDKKLNDIINNDKENCNMNTNIQES QPKLKTYLSQKMM >CAK64613 pep:novel supercontig:GCA_000165425.1:CT868032:203907:205784:1 gene:GSPATT00034089001 transcript:CAK64613 MINQLNIQQLFSNLDEWHYSKLSEVYQTPILQQYHMLILQIKTKFPIPNRINEVEFPTQE NAVQFFQNYKPMTLQMSQVKDVKIKLYKNAAYFGNIVDGYRQGKGILIKQTLHLYEGQFE KDKKEGIGFEILKSNQYYYGNYVNGLPQGEGIFWSKSQKYIGQWHQGKKHGIGLYQGIHQ DYYMGQWDNGKCCGSCLHVNGFIFVGEVTNDLNFGVGKKYLENGDFYSGEFRNGKPNGKG EYIWSNGNCYQGEFENGLRNGYGIWQSKTQNGTNCYKGYYTNDKKCGEGRFEYSNGTVYV GNFMEDKRCGYGEIVWSDKAIYKGYWRDGLMDGEGVYQYDQLILKGNWKQNQLQTFDKVR ISLNEFPQQQQIKEIQENQEIQSEIADEPDLDQIGDQFQNEIQESKAETVIQNISHNTSH HDMYFHPKQPILQKQFDLLSLLDESLQFQSLESSKRQHKSSVAIQTESKKKFLPKLSMKK QHPTQFNINHSFQEQRNRKSAHLQYDVSQGSPQVNGNGSFHQTEGIQGQAKKNVNRSIQY GRKRHKPILLSTKEQNVWAIKMSKLKLSPAKYCRLWNQEVVNEIKQFLYPPVWMPPSYLL >CAK64614 pep:novel supercontig:GCA_000165425.1:CT868032:205843:209955:1 gene:GSPATT00034090001 transcript:CAK64614 MLQEQTLSIEELKNSYFSQLFGEIIYIYKKQDFGIINFFYKIIFDKTYYQLTRIAKYSEV ELNYAIAKMLLYPIDGIQIKNTNQVISLIPLFLMFVIGQKLNINYCIKLECENEWDFFLT AVAKTINHEEDRAYEYLEMFHQKLEMQSKQSLAFDLEKTMNLIGSYTKGEKLLKLLNLMF YQDCSIFAVSSQKSQDHYVRFQAQKKFEIIINTFEFIQLKHQKEIENTIEESKMKVAISF LTNDYNYDYLTVKFDHLQAGILIFSLIQLDYKQFQNFFSLKHPSLQEQLLDQIMIIAGST DKFFFNLILVGKCLSKLRLWEYALDFFHKLYKQISNHLHKEKFRVYIYFKMCKIQFLQGQ IQGLLKKFIYLGDLMQQYQEKNVEFYQPSNRNLYNSCLFLQLLISAYTKQIDKLKHITIK ISTLHLVSNHQHSQKRPFNLLQYEFFRLVQQQGVIFQEKEGINQLEHILQVMQKCCKQRH NIESKKQSLLNNLISIFDSTNKKTSKLIEIDCYYRAIEEFLIINVLVRHREIYILQYLNP NFYPLLRIFLGEKDFFNRQLKSQIYFFIHDFKQAYKIAIETNNHKMIGQVLEYWCQYSDA IENYKKDISPSCFFNCAMLQKIQSDKSSLERGSLDKSGFEKVQQQQAQQNAINSFRNLAQ SQNQYRMIAQMFQQVLLRRQNQYQVTENMEGELEYVNTIDLDPRLTQSTKLMNSNSKQDQ LEDQSIQSGEYSTKFRSENSRITGQCKIVQSQIADNIESETSSVLEQLSNEENILLRMQV NFNFPVRKDIEFFYLNLKSDIISQEDGHLEKTLDEFVKKTQFLKYESSELFNDNNLRENI GKGGNSEVYKFYFQGQEYAAKIIQFEYKGQGETINDDEKLEIQNKLLEICIVCDVTISKI KYCLRIEHLGFKFEKLQGQIKVYKIILITKFYNNYHQVLKWEEIDKIKYVYKLSLGLATL QFDKELLHLDLKPDNVLVDPIKKNPVLADFGLSQYSQASYYLTTGVKQMTIKYIDPDLVD KSYQSRKNDVYSFGVSLFQYFSGSIPFKELNYQQVQGKIREFQSHHKNIISEIKNDLIKN LILDCTKPFQQRITFILVLKRLFFYLQQINNPKIKQLIEMMFDLIEIQQNKRKIKNLYAY LKIMKRFIKHTNYFEPLKIENKVLQSYIIVISNDSGKSFSEIIQRMKQFINWKILNKHDF ILRLIDFTIDINQINQDEATVTFHFWVEDAQKIEQNKLSQWKPFFKEIATILEQIHFSQI CLLHIPLSQIYEMNGKIKLNCLDYSQNLKIDQNYLYDLDCQSIDPQIKQSKKFHQLNDAY ALCILIEETIKSIKQLLDQNEQDQISNLENYIEQEKEGISLQQIIDKLQN >CAK64615 pep:novel supercontig:GCA_000165425.1:CT868032:209986:210913:1 gene:GSPATT00034091001 transcript:CAK64615 MSKPLTQTLIAQKAKTEALYSIKSLNLWGNDLDDISIIERLPNLEVLSLSVNRITTLADI AKCKNIKELYLRKNNISSITELQFLQHLPKLKVLWLQENPIADHPNYRDAVICNSQTLEK LDDVVISQQDRANAQQQLQYGISQSPPTKIQKVEEEMPQYVEVIKPNQYSPNNGGGRYSN QREQQSNQQRQSLQQSYQQPQQQQQFYQQQQQQLQREREQQYLQQQQYQQQLQQQQQSQL FLQQQQQQQQISRQVVKSDYADEERNSNILCAILSLLKELDKATLEAVQREVYDKLQQYQ E >CAK64616 pep:novel supercontig:GCA_000165425.1:CT868032:211151:214886:1 gene:GSPATT00034092001 transcript:CAK64616 MQEAQNNSQDYIYEGLQKSIMEKDSTESGSEVVDTQGEQSKHNDSSEMQDDESDPIHQDQ TIMSDLQQNVKVDEFDDNYKKQMQVDIETYQEMNFQNRKSFEVINQELSNICNNSIRQQN YKTKNENKLIEKEVQIINDYQISENIDNGMEDKLMRYNAEKIEVLSNDQTNQIMKEEMNN KVLTKQNQVQNVVEQLQNQENVINLYKQLFENYQVEEKSGLQVDMNNEVNKPEEQACMNN EVNQPEEQDDMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVNQPEEQACMNNEYNQPEEQ DDMNNDVNQPEEQACMNNEVNQPEEQACMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVN QPEEQDDMNNEVNQPEEQDDMNNEFNQPEEQACMNNEVNQPEEQDDMNNDVNQPEEQDDM NNEVNQPEEQACMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVNQPEEQDDMNNEVNQPE EQACMNNEVNQPEEQACMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVNQPEEQDDMNNE VNQPEEQDDMNNEFNQPEEQACMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVNQPEEQA CMNNEVNQPEEQDDMNNEVNQPEEQDDMNNEFNQPEEQACMNNEVNQPEEQDDMNNDVNQ PEEQDDMNNEVNQPEEQACMNNEVNQPEEQACMNNEVNQPEEQACMNNEVNQPEEQACMN NEVNQPEEYDDMNNEVNQPEEQDDMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVNQPEE QACMNNEVNQPEEQACMNNEVNQPEEYDDMNNEVNQPEEQDDMNNDVNQPEEQACMNNEV NQPEEQDDMNNDVNQPEEQACMNNEVNQPEEQACMNNEVNQPEEQDDMNNDVNQPEEQAC MNNEVNQPEEQDDMNNEVNQPEEQDDMNNEFNQPEEQACMNNEVNQPEEQDDMNNDVNQP EEQACMNNEVNQPEEQDDMNNDVNQPEEQACMNNEVNQPEEQDDMNNEVNQPEEQDDMNN EVNQPEEQDDMKQEVLQSDPMFEQTQQDIQLNQMIEMKNKNESPENQVKQKTQEEQDEQK NDSQNIEIEQSQQLFVSNQKQNNTCHYFNSLQAKYLKTKNEISHQFNYLKRILNPFFIIY LVLSFFYTILSTLKIKATTKIVSSTFSQLSFKKCVNLPAFVIIKFSICIKEEKLKQQSNK QNLNNTKLLQEQGLFRKLVFRNMLFQL >CAK64617 pep:novel supercontig:GCA_000165425.1:CT868032:215115:215786:1 gene:GSPATT00034093001 transcript:CAK64617 MKFFWHLKKLLVQTAEPVQPAEPVQPPQPAQPKEYPIALIIGEAGVGKTKFYNKINDTEA LQYEIVDTISFDFKNDIEYREQQISEFQSIFDSKPNKVATLFFVVKFERTDLMKRSILNI YPYFKKFQSQITLVVTHFDLSDDQEQDKIDLQRAFKMFKAKSILFVDQEATKEELIDQIR ASDCLIPIEEGYQFDLTDTIFEKHNEQEGQEMMNALQQMTVRS >CAK64618 pep:novel supercontig:GCA_000165425.1:CT868032:215818:216452:1 gene:GSPATT00034094001 transcript:CAK64618 MLYQIISFCLIFKARISQQLNLIVIQQFTELYRLFNQKIEVACKCYLIESNPIIVINGKK FFLKNRIYTRNAYKYLDLFELRKRIIKSLSQSMNYFLQIMMNYKDVKSQKFIIKKIKQKL LKQNLNLYCEFIMQYEQQYQNVMKNATTIRADMYLIMNIQIQYFSTSKSLLPLSLYNSNS IRLKYIMGSITFWIRLNKLSKG >CAK64619 pep:novel supercontig:GCA_000165425.1:CT868032:216521:217447:1 gene:GSPATT00034095001 transcript:CAK64619 MGTQSNQYSNFRSNVSTCATNISSAFNYSSTFSLENKFGSISPNALSIKKTQEPKELCIT RNYELTSQLTPSIPPQDTPSMPPSITIPNESVSSSSETSMISSESLCEEKIPLALIIGQV DNGKTFIMRKIFDEDTKIELFQPILQKQLKYYFVDTSSFDIDSDYDSREEQINNYKELFN KYPNRVRSILIAVNFERTDLMKKKVQNINKLFQKFKNLYTIIVTKFHFSENVERDKEQLK RSFQYLNAQDIIFVGNDTKKEQLLRDLKQNSLQALEDGYEFNLADTFLQEEDENEQNQIL NDLKNRFN >CAK64620 pep:novel supercontig:GCA_000165425.1:CT868032:217680:221869:-1 gene:GSPATT00034096001 transcript:CAK64620 MYIKLNDIKRKIGGLQYSILSPQDAINLAEVKIFRDKNYDKMTGKNIEHGPLDLRMGQSL RDTQCSSCTLLNECPGHFGYIPLQLPIYHLGFFTHVVKCLKCLCYKCGQVRLTPDEINQL TQKMVHCIQTRSYNAQLKVFKKVLKKCRSNKQCPHCYCEQIGEAKKLAKGDAGKIILDTM DINALYAWNQFSNIPKQHQFLFCINPFLNDLSDLLTSIIPAPPNNIRPSKMLSNTTKADE DDLTMKLKTILYHNDLLQKQIKEGKDGMSILKTQFLTQAHYFHYFNSETPRLPQFSSKDG KVDIRGIYQRLKGKRGRLRGNLSGKRAEFTARSVISPDPNLAIDQVTIPQHIACILTVPE TVTALNIKKMRDYVQNGPSIYPGAKFVKLGGVNYNLQFARRAHLAYKLKIGDVVDRHLLS EDIVIFNRQPSLHRISMMAFRARVDKWHTLRFNECVCTPFNADFDGDEMNIHLPQTYEAR SEALILMDVKKNLKTIKSGESLVCLLQDFLTTAWLITNKDVFYSREQFMQFCAAFSDSNE QIDLPAPTILKPKQLWTGKQVINALLVPNRKTRLVLNLEAKESNAFKGKLDYLWMDPGDG YVVFDKCELVCGNIGKKVLGASKLGLFYALIRDNSTQIAASVMQRFAKLSSRWISHYGMT IGIGDVMAPKSLIEQIHQSTEQSYLQCKHYQRSLIDADPGLTVEQTLEAKVNKTLSDVRE QVGSKCQEQLKSDNKVLIMYLCGAKGSNVNVAQMIGCVGQQVISGKRVPEGFTGRTLPHF KDYILHPKAKGFVKNSFYTGMDAIEFYFHNMAGREGLTDTAVKTATTGYMQRRLVKMLED LHIAYDLTVRSCDTKEIVQFRYGEDGLDPLAVEDANEVIKLQNLLTNSFTVLRKNQILLN KEHMLIKLDELFMIIEERHKKFLENYEATYEKEKKRVQALFKSTNQQVSEKQLQWFIRSW MERFLTMVMAPGTSVGPITSQSIGEPATQMTLKTFHSAGVAGMSITQGVPRLNEIINASK EIKTPQINVKLINRQVMDHAKQFAKSGDPQYEYAHRAVIHSAQQAQDVNIQIEQTKLMQI LKKMDEIYTADNCYIELELDCHCLARLKLEFVTPKYVCALILKELKLSPDDVKYDLKIIR ITVKKSSKKKQSENLLFLIKELQRKLLRLHIYGCANIKQTVIQVTDKDDKENVKGEARLF ASGIGFKEILRLDNIDWKRTVSNHIMEIQSALGIEAARQSIVNEVYTTMQSHSISIDIRH ILLLAELMTFKGRILGFTRQGIDQLKNSALMLASFEKTMDVFFNAAIQGKQDSMNGASQR VIIGQNVPQGTGMFDLMFPVKENTILESTINQQKFLFASK >CAK64621 pep:novel supercontig:GCA_000165425.1:CT868032:221904:222512:1 gene:GSPATT00034097001 transcript:CAK64621 MNSELQMKREQFMVQIRKQAREEIFSKKRQTSLGQEGEVVERCNPDEIINFIYQTYLEQD FKHLARLLKQYNLNYLKLLEEQNINDLPILNQFITHFSTNGNSLKLFFDIIRMSGIPLEI NLTSDRLMCIIQVLVILINFTYLERKDIVENLLQNDLITLLLKDLMSRMVSPDKVQIHFD RWCEILESVINLYYEFIRFAQF >CAK64622 pep:novel supercontig:GCA_000165425.1:CT868032:222577:226785:-1 gene:GSPATT00034098001 transcript:CAK64622 MISKKPNRPSSINDGTLESYRKSLPEPWILNSVIDVASLTPSQRIVCLYFAGDETQCGNA LEKRLVLNKEQCGQCNLRQLVDEWSTSTQLINHQPQTMRAVIQCPTLRNALEHIFASFSV DYLRPVPTTIQSTFNWITLQLADPLWDYTIEVSQEPLVRIKENLLKAQQSKLSQREAQET IDLLNQDQRHVLDSGITPNQLPNLIEHNPDLSSFLLARINQCGISIHEYFECLIQMKISL QSLEVVNKLSNSIKLPEAFLHMYLQKCILYCEELQPKQQMVSRYVRLVAVFIKTLIKSKT LDPKRISQRSLRLHCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >CAK64623 pep:novel supercontig:GCA_000165425.1:CT868032:226806:230263:-1 gene:GSPATT00034099001 transcript:CAK64623 MLYCVFYANQVFVTHYICSSFIYWDFKELAFHSFAHFAIEYLIFESKVEVISSCPTFEGH WDFSLSIIVSHIIKGHEDINQNMQQIEDQYVPNEEEYEQKDQTTNTRFGKFVKEQWEKIE KKNFSQFETRVLSTLQQLGNNSAEDLIEKQAVAEVVQKEKDNSKQKGGKQKKPEQAPQQQ EVQKSNVIYEICVGQDLYLKYLRPKLVEYGILSKIDDGNPKQEEKKQAQGKKGGKNVVKK EDLMRIELSKDKVNDQTVKMLQQMGLIILNQDLKPKENEFLKTEQASKRIKSLKWMELKF SALMIYATQLIKCHIHSKFRDEYAFELIYFLKNVYLNYKEYEGQSYLDETKKERLAPQAV EDLRYKLKELYKNFGFSNRVLFNKYPKLVIDTDLNQAFIRTDVRPYKSQENLLEILKKAE KPSLIFFSTMVGTGKTVLSVAIAQYLARMRESYALQENNAYNQLKNLGYRNPREVQFLYC CPLDTVRTQVGAAAYERKLKFAIASMWKDSQSSKLDSDLGYAECPRLVNSWQNRQEEFPT LVVADFKTTLELLKEKHTLSEREKQVVRWQGKDRKLVSHNPAKHGKDYILFIDEPTVDAD FADAEVTKILIDIIRRAPPITILSSATLPPPEKIPSFIKLYRKAQISEIDIPVVHDSQFH IGCQVFSHSGHKFLPHYQAQNKQQLAEILDRLEKNPFMKRCYNANAYCELYDRMKGCGIV NIEDFETFDIITQEFVQRKSIELLKILLTVDDESFKKVCSEKQEVVQQQDLGEQQQEGEE QVQEGEEGEYEYEYEYVYEEVEDTGAVNQTEENSQLQQQQKPKSKYTYTIEIDDEDKMKF TAPYHKLDIRQLGGNMAHKFLGGCLIAVNSPVEFIRAKFHKLLKKCSPASEYVKQYLKQH EYLEKEIQKKEREIDNEDERSKQIQALQESMKLTIAFPDFLKINTLEHYKKFVTNDPLYL EQYRARKAFSLEGINYQLDIPDDIMLYLFSGIAAYTEKQSELDIDYYEEVQKLASNGQLA LIISDDSMCYGVNYPLNHVVVFDEMAEKRSVGALFQLIGRAGRVGVSWTANAYLGDKTFD RLIKYLNGQNEETERDEAYNIEQQSKRMIQHLIELAKKKKEQQ >CAK64624 pep:novel supercontig:GCA_000165425.1:CT868032:231097:232314:-1 gene:GSPATT00034100001 transcript:CAK64624 MIQNHPLCPKSAMEKYYMEQQLPKYQTLPPQLAQKKNHSYYHDNSNIWNNQHQSSSNMKV FAKIQEQKLRGEKRIKQLQKQEERDKTLYQMSKHIYFNQLKQEDHLRMQTRKLERAGLQN LSQQQSDIEADQLQLKPIQYNTTLQGRPELFWNKVGQHSQKHIKMLVNPKILAMEEEAVK LIEKRIEKEHKVEQIRWELKQENMKLIRKLNAKRQEENDEIHYKAGLSPSHKMGISKTIH VRRPSRPSRPSLDIIEQTLQQIEEKQERTSRPFTIDSDKPSFSLHVRPQTSKLEQSVNQK CAQSSQQSLSVTKKRTLRMDRSEFKGLYLAQACDVSDNNFSKTIRSNCTILKGISNQKIR AQQMPQNIRSTNQPEENVNKMLDSFEGKLQDKKLKY >CAK64625 pep:novel supercontig:GCA_000165425.1:CT868032:232882:233614:1 gene:GSPATT00034101001 transcript:CAK64625 MSTKKTQKVIGKTQKIVEKQSQESSNKEQKIKSKVNRLEQQPQERQNGIIYVGHLPYGFV EDGLKEYFTQFGDVLGVKLFRSKKTNRVQGYGFVKFADKEVAPIAAQAMNGYLMNGKKLV VNVLSDQHPDPFKYKHGNQKLHFINWSEKAVEESNKEKSNEQIVKEVQRLLSNEEEKRQK LKELGINYTYQGFKEQLKA >CAK64626 pep:novel supercontig:GCA_000165425.1:CT868032:234275:235791:1 gene:GSPATT00034102001 transcript:CAK64626 MNTQENILQGMNLFKKQSHKLKSREKVLSKCDQNDSEVLYHIGDIYLIFKYPNEALQCFE NSLIKNPLSAVTIKDMGRAFMLKKEYTKAVNCFLKAYKIDQQQLDIFKLITMCYMENGEY DKAFVYANQQLSRFPKDPDSYCLRGAYANIFIGKYYLNTCKYEQSIDSLNICLKLRPNNA YALGTKGQAFMMLGKMHEGHQCLNEAIQINPNIAELHVDIGLLFEGMSNIYLAILSYDQA LQIQHDNPISIQRLYNLKLHQYQKLDNNKSPKTKLTSNYNETITKIPQSQQYYIALFYTI SNFLQGYLNVSDMTKLNYQKQIGYIKNLIDISHQLGVQCSPISSFFRGIECYLGKTNTEI QQYISEWISQKYLKTFNLKDLNVILSNIVGEVVIHKHSQCLFNQENNNLKVDHLLSIIQN SENQLFNNYQAIQGLHDGVNMIQRMLIFCTSFLKTWDADFKSILINILCYDE >CAK64627 pep:novel supercontig:GCA_000165425.1:CT868032:236187:237336:-1 gene:GSPATT00034103001 transcript:CAK64627 MNFYIKVTFNNTSCHLTIDNENNLVIMNQIQMMTFPLNLDANIVWRLENNLLKGFEINAF LFLGDHHDLLKLKSILGQLVTYKKISNMYNFLSTLGKGNYSQVCLLQCKITHKLYAAKCM KKENPAVINDIKREIQIWSMLQHKNVAKFYEVYESTNKIYVVMEKLNKLRNDYDHEEIKL IMKAILEGVNYIHSKNIIHRDLKIDNILIDDENQVKIIDFGLACQFINVESRNISCGTPG YIAPEVLINKSFDYKSDIFSIGVVMYQLYFNKHLFQAEQVADILKLNKKFTISRLSVLDI PDCGYQFLISLLNHNPTQRITASQALSHWYMNSIKEEHFTKVPRNPNPKFKDHLQTCIIM CSKTTITSYNKIQ >CAK64628 pep:novel supercontig:GCA_000165425.1:CT868032:237406:238915:-1 gene:GSPATT00034104001 transcript:CAK64628 MLQLPKTSRTPGQNFSFNQFASAPSSPDRNNKQSTLKDYIKYRQRNLIDFVKSRLQSRQI SPKNLFKVDLIKFAKKKYDSMPSPIIGEIKFLSTQKSIGDSIQIVNGQGMTDETLFDEII DLENAINSNGVDKKMMGSQRQINHSIMKKEVTEDGQVKINQYTILQELGRGSFGKVKLAK DNNNRKYAVKICDRKKLKLKLLSSKLDAYSLLDKEIAIMKKVDHDNIVQLYEVIENPHND KLYLVLEYMDGPPILSIQKSSIEIIWKLFRDFMLGLEYLHNFANIAHMDIKPENLLLNQE MKLKIADFGVSQIMDDELVKTKIGTSAYQPPEVFTDEQVRGKPIDVWAAGLTFYQLVYNE HPFISQRQDQLKNNILTQSIKWRETSDLTDLLKRMLEKNPNDRITTQQVLEHPWVTKHGR FPLKNDHQGNFLVTENDIHNAITRLSFNMAIRTLNKLKQKLSQSRQRLKQRKVLES >CAK64629 pep:novel supercontig:GCA_000165425.1:CT868032:238998:240008:1 gene:GSPATT00034105001 transcript:CAK64629 MGEQISKESCNCADSPQYLNTNNYDNIINHQQPISHFDIINTTLNDQLTEKKHPQSSQAD LQKTNKISPFQHQEQYRKTPTLILRVIASATLDENYIFEFQPNHQSNVIYFGCKYKNKKG GYKINDFKIPSIDNKLNKKNRGQHFYIKYDYQNQNFRIKDLGIGFGCFFKASEIQLQNNT LITMGSMYFTTQICFGQDLLRMPSFNNLYDVKEFIVSGYPCDRQQFLSLDRDQWYLRIQL YGGTAKDDVYILSSKNYLPVTIGRNIDCLIRYYDDVLLSKYQCTILFDGQWKIIDGIEGK SSTNGTWLYLRDEQILQENMLLKTNNTIMEVNFKLD >CAK64630 pep:novel supercontig:GCA_000165425.1:CT868032:240104:240447:-1 gene:GSPATT00034106001 transcript:CAK64630 MSENIIQNERIRQYQKVHQLQKEQLQKLVFQKSYSIKSASILLDINYATAKSIIAKIRKS KIRKNYLINKKLRQSHFKKIEYSKSQLEIKSLVSGLLISECIYQLQ >CAK64631 pep:novel supercontig:GCA_000165425.1:CT868032:240568:241583:-1 gene:GSPATT00034107001 transcript:CAK64631 MFQSLLYQFKLRFRMINPFDIKQEFKFLNTTQQQCTLHSKEQLNESTYLMRFAIPQQDKC LGTRIGQYISLNDPKFDINTTRYYCPISRIDDVGMFDLLVHAIYAENKNFSTHITTLNEG HILNINGPFTNYLYHGYGAIEVPKYNINSNYQYIGIVAESSAIAAFFQLIEGIATNGDNT HIGLLYVADTLEELVLMEELMWYMEEKKINATFMLRNEKDLINKFFSGPKGQLNPIYLEQ YLPQPSDQTLVLVCGSRAFKKETKTLLENINHQNIAII >CAK64632 pep:novel supercontig:GCA_000165425.1:CT868032:241627:243409:-1 gene:GSPATT00034108001 transcript:CAK64632 MSEETNSIFSTIKYYKNKLKFPTFPKQSSELDEGKEQKELLFSNSKQRKDESYRFLKEWA DNRREILEKNKSQIEWLANICDTRARLSGEYLQIVYKFFNEKYYHEQQYGQFLLTKPQPA LKQNPLSQQLYSDISQAISLFEEANLKRCEKVSNFSHTLSTQILKVEILQDLLEMDKRSN QLLSCIIAQKKQLQKYHQQSTQKLKAITNLFPQQQSQRVRKPIFDNPIQNEQQNQNIELK QNQEIEKQNEEPSVIKINDISEVLADEQIQVSINSEQSKENIFEQSQSQSCVLQQSSISD DQQGKEQELFKERQQQFEKSKQRSTIYQSQTLPYPSTQNENQVQQYQSTVDTYKLMASYM AKQSYTIKLLNQLVEQVKQYWKHVVIMEQKRMKWAQDSCQIYKDGIRDVHNFDVELPEFK QEVEQYYSINNIFPNSNCFENIKDQDEFCKSLIIKELGCQATRLLLVKEFKVNVVEKNSE LPSILVITIDKFIGCWLKYDDSIDAIDVPVFHYPTIEISIQKVGELILDIIPSKNLLFLN INNGKQRSKIKFACIDDMEEFITIIKH >CAK64633 pep:novel supercontig:GCA_000165425.1:CT868032:244043:245050:-1 gene:GSPATT00034109001 transcript:CAK64633 MQQKLTDLSKYFLDTNERPQNLIKKYKPEERFEDYPKSKELIRLKSELVKKHNHPPNYIK ADLKTFDLQQLGKFDAILIDPPWAEYTKRLLQANMQLKEHQQSWTLEELKQLQIDKIADI PSFIFLWCGSEHLDDGRELFKTWGFKRCEDIVWLKTNKDHSKQNQYVAGQDYGDNLFKRV KEHCLVGLRGDVKRASDQHFIHANIDTDVIITEEEAMGSTKKPEELYEIIERFCLGRKRI ELFGEIHNIRDGWLTIGSQLRDTRQIPQQYNSYFQQEQFSEEKSFMGPRYLLTTIEIENL RPKSPPKEMQQQQPQTYFY >CAK64634 pep:novel supercontig:GCA_000165425.1:CT868032:245096:247165:1 gene:GSPATT00034110001 transcript:CAK64634 MNTLDENLLICQFQTQVDQLYRSMNQELLINLFHLANQTTNELTLQIIGSLIATYIDTNH QEITCFETIYQGVFQIVKKINSTSKPVYHFLGSILATIMFHFQDRLPTNSFTLCIQDLFN SKPIAAFPILEAVIRRLTPNHKLHKQAVITLDSQHRAVKNYFYKNNLELLLNSIMLILKN NYVDLIDKAIDLLSFIIEGEFITDIKEKDFHKLRRADIRNNNSLQCQLSRFNPCIIEAEI QQLMIENSNIQWVDPTRSDIKRLWSNILDPEFISLLLRLLPQCNILWNVLGKIYQKSQDL ILYMTQEVQKLDPIQLDLVNLLKFSCRTIFANTNQDWLIFNMQLFSKANTIEQQVQVFRF LREICRFYRQVPNFNKEYFNQIFIQIIYNFFSNWVLAENDILRTEYQCYQNIRYNIKVIT QFINKNYFQFFQQYIAQNLNNLKVVVHAFDFLLLGFKSLVYSTSYQLDVLVKLRHYQSMD RFLYAFDLDSFNQFNYQSFFIELIDILWKINAHLQTNGIQTKFYYYLWAALFDSELLIKF EPIMQSIQIDRKLFFDFTQLLTISDASLLKKCLRLISKSKIRFEKVENYNNYTCTINNIF VPTECLNIFDHFQLIQDGELQELYYSIKCCIYNAQYEAFYNSEDCDDLLNKFLMGLNSYL TNLQDIQQILLISKGFSNSR >CAK87295 pep:novel supercontig:GCA_000165425.1:CT868610:38:2084:-1 gene:GSPATT00039798001 transcript:CAK87295 QVRALFSLHWYDYSQIKESWGFKNFHLFLFLCPPECLVCSSIDKDVDCITWTLAHSSYTE LTFANFITDGWTIVNGDQNKQQCMTIPTICGKGVCGQNTQMILNLQNLPAHAQMKVKLKY LRIGSWEWEDKFKTTVGGEVIWESPLTSLPKYLYGICDSTSEDVFINIDMTFPHHVKDST AYILNNLDGPFTDESFGARDIQILIKRTICGDKVVELQEQCDDGNLLPFDGCFGCMFSCV EGCSVCQDMICLECFEGWTYLENEFSCQKQMGQEFSCNNYQIQSIDHCLQFNSVISQVCE NDTFIANQLLCLTQCQTCIDNVCYKCQPGQNLILGQCQDLCGDQISPYTLEKCFCDLNCE ECVDNICYSCKNNLKLLDNECISICGDLAIQESEDCDDGNDIEFDGCFYCRYSCPKGCVN CEKGKCLDLCLPGFNFINKVCSTTCGDSIIAGNEQCEDNNTQEYDGCYQCKFSCPLNCYE CIDGICINCNIGYQLIENQCSNVCGDGILQVQEQCDDGNLDSGDGCSQTCQVEIDWICNQ GYDCTFVKYPQLMCEFIEQKNQYQYARIKFSQRVQLLSDIDYQDVIELSIIDLNETDYNI TIIEVQPPQYQVILDVEYILQIEIFTNQLQYPILTVKLTEYLYNDNLAPLVNMENYL >CAK59954 pep:novel supercontig:GCA_000165425.1:CT868006:2878:7116:1 gene:GSPATT00030592001 transcript:CAK59954 MFIEFLLIQLFRLNVEAYYLQNFASPSSSNDEGWLIKNPYNGASVHTYDCGGNTILGGYQ IFGCYLSTKTSLMKYFRLPPHYKVRVDITFWKIDDWQANVQKFYIIIDQWTQTWQFANNA GSDQCGGSGTDFSALQYNDFSLHTLNSIIVEFYSDCDSTNFWGLTNFQITLNECYLGCLF CFDSTVDCIMWKLWQSFFQLQYLDDGLEGWNKNKFPSFSDTINDIQLKMLILHQTDSAIT YLTLPDHSSLIIQFRVEYYTALPIIVRVFIDDVYQYGLMNYKKEVDFRTTTIQNSKNAIK LEIYCEDGTVGIREVQIILRGPINLISCLDDNLVPFDVSCLEGCVFCVKGECLMCDTRWD YDSVNQTCESLCGDKYITTNEQCDDGNDIPFDGCHQCNFSCPLNCQNCIFGKCQTCNSGY YYSNGICNSNYDNLLTLSISQVDQNQQPNYLVEIDVAVCGDGKVQQDEECDDGNNFSNDG CYNCYFQCISSCQKCIFNKCLQCSPTYELINGKCYEKQTQFRSVSCNRLDSLASDNLIQE LSQFTCCDTADTQYYNICDLERSRIKYNDQIIDLRCPFNCEICQLGQCKQCLANYFLLKN QCISQITKGVLVEDGIHIGQTEIGCYKCKDSCQVQCLQCIDSYCLLCIDGWSLVNGICKY ICGDNQIAIWSVEQCDTNLDYCMDCQFVCSENCSYCINFQDCLVCTLPYILVNQECQLPC ITGCKQCSNGICYDNCPNGELNIDGICYSICGDGIVQQKEQCDDGNDIQFDGCFKCQYSC SRYCENCFEGVCYQCQQFFKLIQNECYDDCGSGIKSYDEQCDDGNLINVDGCSSNCQIEI DYKCQEQAYSYSDCQYAESPYMFVKFLNQTYDKYFFEISFSQAIFFKDNYDLESLFEFSI DEISDEDYQIKLESYFEPVINKILNFQFQVQIELFVSTNSSSLTFFNLFLINEAYNSDEM EILNPSEKVSLKKYSKLSQSDIEKTKQLTQYQEAMIMAQGIGSVIVLISGNFQIFVEILD NLQYQSYLKYINVIYPENLYLFFEATNLISIVPILDYLQFNGFYQRFLFYEFIESYAKLQ FYNLNAHLITNLQFFFIQVISTFIFVMILRLISKLTFRIVYRIQSQHYLIFRLRSLQSKL LIQIFNWIFNFFHYILTFLAEFYQNGVLDVLLANAWDLLFKIFLSLTSSSKQDIISRIQN IISYLVLAASGGFITSSLKPVFSRKNQMLINRYRAVYLLKQFLFCYFLICFQNHQLIQIL MLTTTNIIYLIVLIFAKLNLQALDKLQMIIMEISIITFTFSTIFYLKDYSDLISEQDKIV LGFAQIYLLISGLLGIFIKQVIMMYKQIKKRCFKIKQRKKISKQVTHLIFEVVS >CAK59955 pep:novel supercontig:GCA_000165425.1:CT868006:7772:9055:-1 gene:GSPATT00030593001 transcript:CAK59955 MKKESTYMYAKKFCGYWRVGVLLQFVELKLECPSNCVNCDLHDPSKCKSCGNEDLTHRVL DINQACICQSGYTEVQYTYNCQDMQFNEQILQFQYILSLEEYVNRLSNRCCKQQVLSYCY NYNVNQILISIKNTSINMQNKISCLQEEFNLGLQQKQQFTYDVLEYAQLIQSQQCNFLAF DNTSTKILASFGLILKAYLFIKEELIFISLFQITKSRVTFIESLKQCNLFLFAMFNGQIQ VSSSVGMNNGKYIQKLRDHRSPIYNLQSNKNSDSIISCDDWKVSFFIKQSQLQSVFSYTN NIRICAVSLNEIGDKLIISDYNKCIVALVKRETDWIKLYNFHLGKLGPGISFIGIDSFII QEAFKTLGAKSLLDKENSKFKVMGTGVQGNSIKGILKINKYSQIKMEYQQIL >CAK59956 pep:novel supercontig:GCA_000165425.1:CT868006:9346:11774:-1 gene:GSPATT00030594001 transcript:CAK59956 MFESNIPLTIIIIIILIMDFLIRINTQQYQNGQAIRDRWRLIVYQAKKSMLIDFLSIIIM IVFLSIEPQDQYYNLFTLVTLTQYSYVYEILSKSEQLSYFTRPQRGILGLLKFVATLFYI LHLFSCVWFWISSLQIEDSWIDFKDLTNKSWQLQYLEALYFAIINVPKNPTEKIHHNLYI ISWSIVNCVIGGIMNRHQLKIKLKEIRRCRRCYLNTAISDKNEIIPFALQHYVLIAILFI EQRSTQPYRWKEDDEVDLEIEQALLDQLSDELKEELDKQAHKVFIEKSILLQQFFSDEFR NALFKSIKRKIIPPENTFQIDFNGQHHLCFIEQGHLLYQHKDSKQRSKMNTIITVGEFLC VKEFIIEDPEMELFKAVGYVSLLVLSKQEFLQTLKDFPDDFQKYCQLKDSIILNLDSIVL TKSVYCPACQQFEHSLTHCPYIQLKSNREVVIKRYQHSTNQQRAPFSRRRQKNIFLALSE KELVAEFAKVFSSDNQLIINQQLKIYLNHESDLFESNSVEPPPSNDNIKLTPQMQQASLA PQSAACADLLSSIRIQKNLIRETDIDLKGELRQQLNQGRIIRKMTLHPQNKQIKKSTTTT FTVRHIQDEEVEDKQLAIEFQNSQNENIILLYNKLIKQDQDELIVRQALSQIEPLFWKLN QKTIDNFEVLKNYDYFYCHHNVQQIIDVVNKNIHSWQKDIIDKLQKFMFFPFNYILKYLK LRRNRMNQAIIEKSNKFKRIKNKLRMMQLRSNLHLKKMSTSSNKNIRFSQILPSQFPSQD SIIA >CAK59957 pep:novel supercontig:GCA_000165425.1:CT868006:11897:12512:-1 gene:GSPATT00030595001 transcript:CAK59957 MHFESPGFNLDLDQELQAEQHEDFEQQYLGFCLRTTKRETSINCPNLYSQTQSIKSIEAF NDLNGQKMENITLKSIQQNTPETNRTLINTPTNKQHSTGSSMMAFFLIKRFLEKLQIKRR IIETLNFTHLNLIGDKAADIVAIYKVDSKSRVYFKSNEEVIEDAIVHRRDKNGNNEAILL KYEKAGNQNIIKFY >CAK59958 pep:novel supercontig:GCA_000165425.1:CT868006:13509:14409:1 gene:GSPATT00030596001 transcript:CAK59958 MFNEAQYAILGKTRNIKQILQFRLVSRRAKETVKLLLPKQINNLQQLIDEQQNDIQIKIQ SAQQAGNDQDQRQGLQAALDGISLLSRAHFVELRCQAKPHELIEKIINLVCLALDPAFKV AKDNWKECIKYLGQQSFIELILNFNISSLNNKQLQQLEQVNNITEQQVASKSLVASSLLI FLKAVVELRQSKLYMTQNEIKELESKIKKEQKLIDKIEKIHNQ >CAK59959 pep:novel supercontig:GCA_000165425.1:CT868006:15106:15805:1 gene:GSPATT00030597001 transcript:CAK59959 MFNQAQYAILGKTRNIKQILQFRLVSRRAKETVKLLLPKQIHNLQQLIDEQQNDIQIKIQ SAQQAGNDQDQRQSLQAALDGIARINKAHIVELKSFARPPELVEKIINLTCFALDPTFKM QKDNWKECIKYLNQYNVIELLKTHDISSISEKQIQQLEQVKSISEQQAAAISLVASSILI YLKAVLELRQSQVYVNQHAIKELESKVKKEKQLIDELGKIINN >CAK59960 pep:novel supercontig:GCA_000165425.1:CT868006:16615:17374:1 gene:GSPATT00030598001 transcript:CAK59960 MQQETQNKKITFKFLNQLKLMIKPSQQIVQITHAFVTILSGTIASIQGNQIKSEWQSIKH CLRNTNEILEIINDTQTFQKRVRFENLEKSMQILQSIQQQSEDEQRDETFDIIQEALNQL LTIAKPLFKNLLLCKRSNSRNRSLSQQGFEKANQLQQSQKSFSNKTNISQSQLNQSNSKI NRPKSQQSNLSCSRSSSKSKDYQQRVIEAQIKKVNFTLKVAIKIGQDIKIADQQAVSNFV DKKND >CAK59961 pep:novel supercontig:GCA_000165425.1:CT868006:17683:18024:1 gene:GSPATT00030599001 transcript:CAK59961 MIKWEIERESKRIRENEKVNQIQDEINRYKISWLKDQEIKQEKRKSSKKQKCFNKQNSTN NGSWRNNSINYDENKDSSLKQDLLLSEKVKNEKEQSLSKILKTINNSKQKQLK >CAK59962 pep:novel supercontig:GCA_000165425.1:CT868006:18054:19301:-1 gene:GSPATT00030600001 transcript:CAK59962 MRLGLKLKKESKPPQEQQQEQPTQEFKFEFIFFNNNSQQQFDNQDSKQFKLIVQKKNTKE QMIIQKDYVYIGEIQNNKMHGNGKIYIKQYDAYCNNNYFVYEGQFQNDQIDGRGTEYYGK TNNKKVDGVFQKLSLIEKFQEYNQDGSILIKQQNLQQSMLQQSFIQEDRSTNKTFRIKSG VKQTPPQPQQQKPQVKQNDKWTKFDVELSKDHLSSLLSEKAINDSIIEAYLNYINYIDSE KFFNLKSLAEIQAYKRTLIIPYFLFEQQKIDILNYFAEFSYFKGQFWQVYQQIFVIVNSN GHWILLELLFEGQDIKMKVYDSCSKKKAIFYVTTCKHIWNMIEPIVKQYNLTISWKSKDI IISECPQLAQPNDSGIFICAYLKYLISNISLDQITQEVINNIRKTELFNIVKKEK >CAK59963 pep:novel supercontig:GCA_000165425.1:CT868006:19890:20674:1 gene:GSPATT00030601001 transcript:CAK59963 MGSKYLQKYPVSEGFHQILHDFAREINQKILQNMELIILNAWFKKRNLNLKANTTLLKEA TGEVQQVIKLIEQHKQYEYTQIHLTFKCDWNSKKYIVEKDLNIKMGKNQDLETETMFLYQ YFRKLAKRENSSIRKCQ >CAK59964 pep:novel supercontig:GCA_000165425.1:CT868006:20748:21572:1 gene:GSPATT00030602001 transcript:CAK59964 MYQQKMLLKEKIGDGYSSNLHLCDYQGKQSVIKIYKEDYPIKLRNKEIKILKSLDHPHIL KMIDHHPENDYIIFEQLTTDFFTIVKNQNRLDVRAVKQILIELGEAIQYLHKFNYVHRDI KLQNLMLNNHLQMILIDFGFADLVDDQEQNIRSCGTQNYMSPELLISQKYIRSNLLKRSD IFALAVLIFILYFGFPPFSEATVKCPYWRLISKNNWVKFWKIVNRNSKLNDIIFQELFEN MISPNLEDRYTIEQVLNHPWIDGNHDLGYLINII >CAK59965 pep:novel supercontig:GCA_000165425.1:CT868006:21594:25007:-1 gene:GSPATT00030603001 transcript:CAK59965 MFIVGLFILIWITNGENSYCSCDNGLENGLYCFQTILNCLDCGNYIVGEKDICTSAATCD QTIQQQRDCKGCVDNYLLSNGKCWLKILYCSIQVDALCSKCQDKYILKNQDDKTQICINT NEIQNCKYYFQTGKCNKCENNYHVSVNGTLCYQDIKQCEIHQDDKCSTCITGYAKHTNST FCFPEILNCQVGSTFYIDETQKTYCGKCNDGYQSTENKLCSLDDKNCLLFNQDLTVCLKC ISDYILIGKKCENCPIKYCSTCGDSQIFKKICSQCQTGYVKGSNALSCVGQCESQTALMT NCVTCSNSTCTKCSDGYFINPQGKCTACSAKYASCEQCIINKCTSCTNNYYYDNSKQYCY LCSGFNIGCQTCLNTMSAGTTNNIICQKCEAGYVLTSTQQCMKCGSLFENCDTCINLGSS FQCNTCLPGFLKLTDNSLGSSNPTYYCLDCSGSIINLQNCYKCELQSDTSTTNQYTCKQC KDGYYLEEQNCLQCPVTCTQCTALNTCTKCQSSLILYNGQCTSETSGFQLTSATNSNDAL AYGNILVSTSSLGPYPFIGTLNSKGFFCQSDKQCNMYGRCLLISCKCIQNISGSRCQFTT TSDFIKLQTELLTYLNTQTIFDPNYVEIIQLISDTTYAVTESNIKQFQQALDKQIALNFD VKYFTAIGAISKNLYQQENIAAQKLTNLDNSLFNAILKQSEDIMISEIQNTAGPFHKQVI GKFDLFSDDSYSAFKYNFVKSYGTCDNDKKLLSPQIYLTEENLAEIKILQSDAQTPLIFN QMHLYYQNHRSSGQTINSTISRIQIIKSGKILPVTGMNMVIVVPKSDQYYADLNENNVCV QWNEDKQIWENTKATLLKCKYYTICQVNTLGDFGTYVQIVKNVTTNSSGLSSIFEIPQGF KFVKTVQNSAFAISMTLIGLFLSFLTLLCILYKRPIKKKKSKKFSDIYETVQTGNNLVQN PMSQVKNKKKSRNFWSVYPINAIFTASSLEFSLQKLSLYIIQIQMYVTFTSVYLSSLPFK FGMLTAYYLLVIIQTWICNYLYGGLALAFRKKRKGKVLGFYWILWVILFFGNMIIGIWQM YGLEFKFDMYLLVGVLIEFILDSIFCDLFLTYLYQSLFIDEVVGIFKIIKFKGYYEQ >CAK59966 pep:novel supercontig:GCA_000165425.1:CT868006:25234:26286:-1 gene:GSPATT00030604001 transcript:CAK59966 MENKQIALVVLLILIGIFIVILSLKLYSFFKFIENKQNDGISYRKFSLNLYEQIIPLEKS QSSNYGYMKLIKDNISYQKDESEQLVYKFQIDKNNNWKCCKYHEKDNRITISDIYSDEEY RPQGQSKELIYFSNIQMDKNQTYFYNQKITQQELKNGSISVLNAYLMYLNHYNWDKFLQK DFQRINILFLPSYILDQSHMKNSSVEEIKKYVQQQYQQFLFQFQPINFKIELLYESVYFT YESDKNLQFIEVDFQQSIINVHCIWFSAQKEEYYDISNILSSFLFQGIEKIPSICNFCKP NNDKGVRDYYKGCEIAFKYSQFNHPIHHQNAEGNPLQNLKSHIQQHILKI >CAK59967 pep:novel supercontig:GCA_000165425.1:CT868006:26576:27329:1 gene:GSPATT00030605001 transcript:CAK59967 MFVESTIHKVDAEMEDLSVIIKQKILNEIEEASKVYEISKIDSDLRTTLKQQEYLCRLSE NLEISILFNYDYPKSNPKYFVQQKFEKTPSINEDTWQINIQNENTVLNTLYAIIIELSQN PLCPDLQIQQQIHESTQEFPTSMAQILDSQEMSILNKISDEHHMYGNPLQNLFEIMEQKA KTNLSLYTKVQQIQSETEELKKDLNIIYNKFQQVQQEARILNAQCNTFLNVY >CAK59968 pep:novel supercontig:GCA_000165425.1:CT868006:27609:28849:-1 gene:GSPATT00030606001 transcript:CAK59968 MKSQSKQEIRILEIIQEEQLCLKIHIYFNINMQTQMRAVVLEGPGQEAVVKEIPIPTPQS GQVLIKVDSAPINPSDIAFLHGAYSSGKQFPCVPGFEGSGTVIANGGGIMGWRLVGKRVA FYSQSQFGTYGEYSVADALGCLELDNDITLQEACCSFVNPLTVISMLEVAKEHKTQAVVH TAAASQLGRMMIRHFQANGVRVINIIRRDAQVDMLKKEGADIILNQSDADFIEKLKNVTQ TLRATVFFDALGGELTGQILEAMPNHSTCYVYGGLSLKPVGNVSIMDLIFKDKKVVGFWL TSYLKTKNIISQALLLKQLKGLLKTNLKTIVAKTVDVSEFKEGLEYYKKNMSEGKVLIRY EKNQQSIQQ >CAK59969 pep:novel supercontig:GCA_000165425.1:CT868006:29222:30796:-1 gene:GSPATT00030607001 transcript:CAK59969 MNQQVKEPLNPAVCEVFQKLLSDVFIKKIWKEFRESGNLSEGKMTKSNFTKEMLKQLKLH VKSREYSYISSQFFLILAPEEFTRYQNKMKNDFEAIVERSCAESYRFDPDTQLVREPAQR RDNQSEKHQPPDQAFENWFKQNYDQQFLKWFGTNYNSDKKKMKISALDSLFERWFRSNNV QPIFEKAQQEKSQQEKTYFEIWVGKKFETLFEKLFERFQLEFDDKIEIQDLVLSLGVLAR MELEKKLELIFDLSDVDEDGCLSIDDIQQMIKRIEKNFTRETSLITSDSQALQNELAFKR AMRKFSWATIKMDKQIEIKNDELGLIEGKKFLDSLKQNKMLFETFLPGQLLLYDVLMTDQ GEKEFQIQEIDNKILDENQQIFKQEPKREEPKKEDTKKEEQVGQFELFRKEIHAQLRNNY KDKLSKQYLQEHKGQVRYPGKMEVVQVGNQAKRVPKLEKFEEKKEAKKEKEDTQQNQQKQ NNIESHGINAILYLQKKDQRT >CAK59970 pep:novel supercontig:GCA_000165425.1:CT868006:30808:32072:1 gene:GSPATT00030608001 transcript:CAK59970 MIANQRAIQKKRNIHNIIDNDDEIGEVAEWAGVSAAQAKDDDDFDFDNKQVFQQSNDHIE QARQKINQKLNKKGTNVNQRNGPLVNNLKDKAKSLALQNNQSNEAPIQQQCQSSDDENEK PRVDKGEESPDKKVFRLSERSIGKIEEEFEQANKVRMMDLEKKKNEVKQISFIEVWDQMK GSQDIRDQIEFIQKKTSFLDKLFGCFSIHLKSSRLIQEKNQVCLMSQLQFDDNNESHFRI LYTIYCQLMTTDYCLRYGSHWEMIGFQGTDPATDLRGAGILGLLQILAFISEYKIYFKQT LKIFQDINIPFSITLINITTFVLVSLKDNKLNQLINQEDSVISVINKLYFAGFHLLTKIL KKEQITFHTIGVHLTHIRKQIHEQPQKLIREFHSDIQRFYKINNV >CAK59971 pep:novel supercontig:GCA_000165425.1:CT868006:32131:34236:-1 gene:GSPATT00030609001 transcript:CAK59971 MSSNTYFLESKMLKLFKGPKIKLNKINQPQCTPKKQCDFSVQKFTKTQPDERTTTNNLSR RLDSSQDTNMILEQRLHWIDQLAESLKSNQSFEVGKILQSLQQMAVTLQQASKNEIELNQ KNKYIKQRVLLKPFHKNKSFNNSKNNKYNQFHMVQNHFKQDNIDQSKKLHLTQIEQQKLQ RDYLYQKQLCEEYYKKVRSLEKRIEMILEKNLSTHQDELRMTLSELVKDNEQLKRNVIKR EKEIERLKELNQKLIQQNNRLSKKLDNLKTKRVGAKSDNIVIEDNFVNNYVFDPNQLPQS LEFRLSQLTSEQNDFLIDLIEHGADVFTNQVIQLENMQQRKDLINLIANQFISQREFSEK INQIMNEFITLISYRNIKDFQIHVSKGFKMIFQTETVRLWIIDGMTCKAYTHDPNGQQQV ALLTEGVFQKIVFENFGIKSPSKEQQLLYITEGSKIYGKNFLLLPIMMNTKKPCGLLEIQ NINDDMIIDIQYYGLLVNMLSKSVITSILSYDALYKELKYQDLFYSSFIKLCQSTNFDDF EMRIQDSAYSIFQIAQTNLIHVENNKFKKKGQYYDIRSGCAYQVYKSQRPQILTSITKHQ NFDENIDISSILPVFAGPIIQDNQVVAILEFILKKKKLQDQHPFGLQIQIGFKVISIDED AQKFYDLASKAYCIAFRK >CAK59972 pep:novel supercontig:GCA_000165425.1:CT868006:34276:34563:-1 gene:GSPATT00030610001 transcript:CAK59972 MEEEETQNLQLRQSDDEENLIPQFKIMSLRDGNHRKVQLQNNNSNNQSDIRQSQQNLIEQ NKVTQHYQQANSLLYDLRKEYLETMEKQKNQIVEE >CAK59973 pep:novel supercontig:GCA_000165425.1:CT868006:34626:36422:1 gene:GSPATT00030611001 transcript:CAK59973 MFLLLLIAQIKAIIPTTYQQALAAIQDDPDHLSLMMFIKQDSEDSNKALQILEQHSTTML DILNVNISISIDKQIQVIDCDQITKEELNHLPACQYKESLPFAMYLIPPANSDPTKGPIQ PIQKLITNFSLSALKEGFLENSPQFWELINDEQQYEQFLSDKRLNKVLTRIATKPIWWYG ITQKFRERIDFAIVNPRLLSHDLVILKLINGQYVEQTYNGAKQYESLRAYLSVFASSQRI IKSLDSSRNINSTSITNITSVQNINFDNQWVLLSINNHQRLNSVIQDMKGIFSVYNLIDN SNSKQLLIYPFSSKKNPMQVGNQNTLQICEEMIDFLKYNVNTDSIQLLSDINSLLTTKQI VNAWFFNKNYNKCHQLWALTFANKQEYKKIFTFHIIYDPDDQIKKQFNIPKIPFITSLEI TEGQIAQIDYTESFNYQNIEEYIDKLYQRYQVKNKQSQQYPQNNKEFEFLCTQESVKCII TIIDRNNQVDEDVFIKSMKTINNNKQIWIILYEDCQQLFIQKYKLQVPSLILYDANNYKY QIMKEQFNFVNIDKFIKSKKRNWKELDYQFQIQKCQIRKDDL >CAK59974 pep:novel supercontig:GCA_000165425.1:CT868006:36510:37337:1 gene:GSPATT00030612001 transcript:CAK59974 MLQTLSTELNNMQAKSKQQVQRIENLYIGFQEIYQLSNNLNNPGLTKHDMLSQVRPEVFN QILIFLDIKQILQFRLVSSRAKETVKLILPKYIDTLQSLIAEQQNDIQIKFQSIQQADND QNQQQAMQAALDGLARINKSHIVELKSFARPHELVEKVINLICQLLDPSFKVQKDNWKEC QKFLNQSNFISQIINLDISILSDKQLQQLEQVNSISEQQARAISIVANSILNYLKAVLEV RQTKVYMTQKAIKELNSKIKKEQQLVDQLEKIINK >CAK59975 pep:novel supercontig:GCA_000165425.1:CT868006:38202:39647:-1 gene:GSPATT00030613001 transcript:CAK59975 MSDQEYDDYNYEPGFDDGQIDQEDNQEEIELENNYNTAEDEWKTNPDLAYQLFNEIIEKE KSKDINSRQRSFKSYQYLIQILIQKPKFDDNLMCQYIQGFLELLDKQYKTEGDKALKIVV DSLMNSNNSHLISTVLPNLLEKLKSMNQIGIYCGASMKLCKDYYQKGSFNKLEEIIQNIQ SVLENSQIQDEDRKKAFLAELLAYRVLLYKSTNRQNQIKPLYRQLLKCNLDLLESYISGV INLTIGQQKALERQYEQSRKKLMEAFYNFLDSSSPEAKQALIYASVVSILENFKMNLFDD MKSKVYDQDLNVRVFQDLRISYEQQNVQIFSSIINSEQFQKDSFLALLKPYMQQIIVEVK ILRYVKCYSTIKLDYLSKQLLIEIPVLQKYIQGLITKKQFNGLIDEIAGYLEIREEVAET QTKALKVIVDQIKSFDY >CAK59976 pep:novel supercontig:GCA_000165425.1:CT868006:39668:40831:1 gene:GSPATT00030614001 transcript:CAK59976 MKKQQVLAKAKAKTNEKQSKLNQNSNDRYYPDLLIDEDAYIRNNYKVVRELGRGGYGVVY KGYAYHNGQEDKTKKYAIKVNFSTVSPELIFAEIGFLKLVQGKENLPQLVNLFLTNQKIY IVTEYFSFAPFITFFSTFNMQQIRDYLRELLKALLVLKQNGIYHRDVKPGNFLYNPKIKK GILIDYGLSEIDKSFVNNMMESGGNLSELRQRKQLYEDIMKTIAQIGHNKIGTESFMPLE SILHYQEQSYEVDIWAVGVIFLQFLTRKYNLFSNVRMVHKPAVSKNLFYVNFILELTSLF GAEAITKICNKFGYNVNLPSVVAKTPINWRSVIHVEGFDDKAEDLLTQLLELDPQKRIKV EDALQHPFFFEQ >CAK59977 pep:novel supercontig:GCA_000165425.1:CT868006:40833:42948:-1 gene:GSPATT00030615001 transcript:CAK59977 MIYTQSNIEVSLEWIPKYIYMNMDNELKITNQIQKKVKFKMLIEQGEPKIIVAVRKRPLN KKEMNKGDIDIVDVSNQQSQVVVKEQRTKVDLTKYIEEHQFNFDAAFDENTTNEQLYLQI VRPIVEAAFNRAKVTCFAYGQTGSGKTYTMLGDYSERVPGLYLLAAYDIFCLLNNECYGH LQISISFYEIYCGKLFDLLNERTLLHAREDAKGNVNVVGLQERKVQSVEQLMKVIEQGSA SRITASNSSNNDSSRSHAILQISLKDGNKCHGKLSFIDLAGSERGADVSDTNKQTRFDGA EINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSFVGNCKTVMIGNFSPSNSSSEHT LNTLRYADRVKELKKPNDKELKDQVTSLDKLARELMLPRQQQPVKKQSQVQQQFNPFKNN PLQNYQNQNLFQQQQQPQFLNLQNSIPGMQIPQTQQSSQTQQQQYSYQNYIQPPPPQPQP MIPLQQQQQPQFKINETKNKHSFQKPTPQLNEPRPYVNENLFPGPVERKSKVNQSMEDDL MKIGQKHEQLISVILEEEENLISNHRSHIDSMVELVKQEMMLLHNIDKPGSDVDEYVKGL DQILLTKIEEIQTLQFQLQTFRSHLSEEETLQKQFYQQRQSMAQLESEGSDIFK >CAK59978 pep:novel supercontig:GCA_000165425.1:CT868006:42978:44740:-1 gene:GSPATT00030616001 transcript:CAK59978 MNSINRQPLSLHNSIDKRENRPETKQDAKPFSFIKPGVSNYQPLTSSNSQLPPQSPVTIP QQTSKQSKPSCSIQDLETFIKEIDRLQIENQKLKEKTQEPQVVVQQDPKLALENQELKDQ LDFHKHKCELQEKSHNDSVRQIDLSWKQDIANLKYQMEQQESKHRQALRQEQQQAKQEIA RLQQNLSQISSSDNKNQSLVQALETQLINLKKNNNILEEKLSYAIQQFDSQSKDQEFTLL KQQAVIGSQEQHVVELKSKLTQQREQNKEISQELSKFKDVAETKTASESILQNKLKLIES DFEHLSQTYNEHKDSLQQKISELTSMCGKSQEQICLLQQQQTKEQNQIKKLQTSLENQEK NHQNEISDLHKQKQMLIQFLEQELLGYKEQNQQLKLMNQKLETQYKENQQSYQQHFRELE CKTNMLGDECTRLNNIIKQKDEQVFRLQVQLKDLMNLNGKNQSQEQILENLQIKEGEINI LKQQNDSLIGDLSRQAENYRNLVQQHKQLQKQSEEVKMNSITINEFQSKCELQDKEIERL RQKVLEKSQLLEKVQKENLEYQAKLKSKLR >CAK59979 pep:novel supercontig:GCA_000165425.1:CT868006:44949:45209:-1 gene:GSPATT00030617001 transcript:CAK59979 MIDKEIPQIKKLQVRKEKYASKFYSIEEKEIEENDKDEQVQESSINQNLSHLKKKIIKEM KSLCILNQLLNQLSNYHYLWDITQLF >CAK59980 pep:novel supercontig:GCA_000165425.1:CT868006:45431:45823:-1 gene:GSPATT00030618001 transcript:CAK59980 MNLINHQHLIEREQNDQLLKQNQELQLTIQQLEQQVIGNRQQFNKMNPIHYSIQGTSKQK QIKLLRQVFGEFKDQIIQNQLEQNVYEQAKKLIEQLQDQGTLKITQNCKTDYPINKQKNK EGNRVCFLAE >CAK59981 pep:novel supercontig:GCA_000165425.1:CT868006:45867:46172:-1 gene:GSPATT00030619001 transcript:CAK59981 MSIKEADLSDFDTQLKEYEVELAKLKLENNKLKVQVNQLKKESEVIKKEQCSQFSNEFQI QEQKWSSEKNHLEKTLQQLKQELQESQKIQKELNFKLKFVS >CAK59982 pep:novel supercontig:GCA_000165425.1:CT868006:46240:47490:1 gene:GSPATT00030620001 transcript:CAK59982 MKILVLCLIALAIADKFDQFRAFDQDEFGRTLIDTLQMQMSTGEPIARFIEIMRNLETSI ENEQKEDDKANNEYQNQCTEDIKVLQQESANLERRTVEIQSILDELEPLRSYKQGQADAK NAWKVETEKKLADLVKKRETEKAEFDKKVEEHDYATFVIETVRRMFSDKNQSFLQMNNEA QWQKVRDYFINASEQAKKFEIKKSYSKMFNVFAEIANAAQAEDFQNSPTVNRIVNLCDLM LKQIEDSKALETKAEQKRLNMFVLEKGNFDKDLTSLNNALAQLTAAILGLDNRIQDQKRD LSDYNARLDAKNKQSEDRGGECREKAYNYQLTREKREQKRQLVSQIIGAFSANQRDFAEY VKLRGQAGDFRGKNFQVLGNPTED >CAK59983 pep:novel supercontig:GCA_000165425.1:CT868006:47548:47938:1 gene:GSPATT00030621001 transcript:CAK59983 MKNHYITLGIERNAQQQQIKEAYHRLALKWHPDKNTNCRAQAITQFQEINEAYNTLSQSE SKRKYDSKLERHDHSQKLKYFLEQIEERSEEFTYLNKDDQDILNRFINRINNKSKRIRKY >CAK59984 pep:novel supercontig:GCA_000165425.1:CT868006:48042:49771:1 gene:GSPATT00030622001 transcript:CAK59984 MKVNQSVETNVNKNTVYSEQVAEEQENQQEKSSLKYIVLILACLLMFGNNYSFDNPQALQ RQLTQDLDISISKYNLLYTAFSFPNIFLTLIGGMIIDVLGIRVAITGFSAIVVIAQTIIA FGGLFKSFWIMLAGRVLFGSASESLLIAQTAMIGKWFRGKELSTAIGYIMTMPEIASAAN SFVTPTIYDHFNGLTYPLFFSVFLCFLSFICGVVLCILDRNNDKKQKGLQFVYKDNASEK SEQVEKVSFKDIKSLNGTFWILILICTLAMGAYVPFLDDANDFLQEKFEFSYVQSGRILT LTYLAAAITSPFLGPYVDKVGKRRFFILITCLFFSATHFLFGFMKSGYHDKPNWFSIIPL ITLGMSYSLYCCVLIPSVQYVVQQRVIGTAFGILGMFTSTSMALFPILAGYIVEEATSKE EGYSTVGFFYCGVSIFGLIFTISLYFFDKQSSIILDFVNPENPTKEEKEIMKSIKQANNE QLGVFEDIDDDLLKIEGEENDDDENVKNDGFWEKNQRYLVSSKGFSTPKLAANKTVHERT GSLYY >CAK59985 pep:novel supercontig:GCA_000165425.1:CT868006:49795:50397:1 gene:GSPATT00030623001 transcript:CAK59985 MNKNKLLRVKTLDHLFNYSPQIKSQVVEDSLSTKKFRVFTFSDKLPYQENIGKISTPKLM VSLQSNKSFQSLTKLEKAIHFHNRKNSFQHHTHLNQLLHDDQYQKIISIKMEDVDPFFKK ASPLKQEIKPNEQKQKNNLLRKLQVQKQLRDDEFQHQNQEKIRLLNQQINHSPGSRYYKT YIHKHGYRQPEFLNDVEIIQ >CAK59986 pep:novel supercontig:GCA_000165425.1:CT868006:50424:52254:-1 gene:GSPATT00030624001 transcript:CAK59986 MFNGFLQELGSLKNSELSFPFRNQFKIKENFINPNSKHFDSIAQKLLSEEKASIKRKWDK QCKMMFIWIMGKFFQLKNKKTINPTQDEWGQLSNILKIDEVTLKQRWITLINPVSKSINW DPEEDEIIRSLMKYSIFSFDEKHIWTHIALELYNQNNGQYIRTPKQVRERWMNYLNPKLK KTNWSQQEDLQLLNMVVKNGKRWSLISSLLDGRTENQVKNRFKSLIQKIYKDEDDDDIEE LEAIKEYLNKQDEQQIQEPQKEESQIRMTYLRQVKSKTTQKEPNKIEQIEVQTKKRKAIK DVLQIDQQIKVKSSKDLQMTSKQQGNKIKIEENRQDIQVEPQQQQQYTFEQQQKIQQHFQ QQLINENNKKELSTPNTLYYNIQPSFQKIEELKNDQFGFKQVQQSPIQQSNQYLYQGFRN YQEEVQPQIQQTPVQMLMGQYLMNYHQNYNLISPFPQINQTPMVYTPAQAMYLYNNSNNP YNMGVSPLLIRSPYPENLSPNIAPQQQQAQGFWQTPQQTSMEYFQNEQKLSMNKLEFLQS NNLVDKWKQKRVNEKQNTSFQESFQSLDQ >CAK59987 pep:novel supercontig:GCA_000165425.1:CT868006:52839:53459:1 gene:GSPATT00030625001 transcript:CAK59987 MLYKPIQYPESRALDRITLQQPKSHLLPEIQMNQQFKSLQNIPHSSRVNDGLLSYRNSLF DQIQEPLTQRSTYNSIIKNKKFSLNQEQQSQKKGLLVKFEDMGIQNNQPKSILKRKNSNS SQHSDVLDFFELVEEQALPVRNKKHIILEPLQSTPSPQMANAISPKRVSFNKQIQIKLIS DDYTESNEKRVQSRHHLRRQMTDFIN >CAK59988 pep:novel supercontig:GCA_000165425.1:CT868006:53502:54548:1 gene:GSPATT00030626001 transcript:CAK59988 MSNNQIIYQQQEEQRSLFSRLCACLQIFNGKKKRGTQNQYHAEIDTPKSSFIFGQKKTIV LDLDETLVHSQFQPMDNCDLCLDIVVQSQNFKVYVLVRPGAKQFIDELSNFYDIILWTAS LKEYAQPVMDFVDPNKKAIDRLFRESCTIIKGGLTKDLSILGRDLKDIIIVDNSILSFTL NPDNGFKVRDFFYDKSDRELEQILPFLVWISQLPDVRPVATQYQQFINSSPEQLNERRNG SIVPLDQQKFSSLSRSFTIQREKIKKASIIRTLTLSQNDDEEFDEIVIKKQIKQGVISKQ DQANDSEKETFEIGN >CAK59989 pep:novel supercontig:GCA_000165425.1:CT868006:54613:57543:1 gene:GSPATT00030627001 transcript:CAK59989 MHQITTLETDTPKKLNKFSVRSLALPRITLVGFEKSPYHSGIHEHSSNVPKRYNRQYYKI QQSAKERDLISDKDVYATLSNNHEEPYLDRKPKDLVGDDAYKSYYHTFKNIKRILEQNQF ENITNSVQTNLIQRAEKLKILPCKMGLIKLKGDKNSIAIQNQKFGDKYVEVLSEGLKTLP TIQDFNFNRNRIKEHGASQLFPLISKQARIIEFQTNSIGQKGLEPILQCLPLQTCKIQIL NLEDNQLGDTLISDLCKAMSKNPSVEMLNISKNNITNAAYLSIKSMIEQNDTLLELYLRW NSIKGSGGLEIFKVLQTNKNIKVLDFSYNLLGAGNVIITALKDFIIENKTVQHLDLSANG FSYQDCLQISEALKSNHSIYGFHFRGNFGYVDSKGFLIIDNNMKNYNSIHVDQRIKGVSP NPKPYEHSSHFEKLKDVCWICDEWQMSTFEWIPNQSGACSEEPIFIHFDYEGFEPIFLGK PDSNGNFKTHRMIPNGDIEYFYTANSIQIASQSAPTKQHIEKFRTKVTIADQVINVLIDE TNLEKFSKSKPVIEDWYPTYDVLPRTQDPIYIPAKRKKQKRIWTYPISIWAPKYKFDTEE LLRKCFERDWACCKIAKFVKKQEEQDQVKEMLWQAYKPMRETYRFYASVNPTGDVFSMSV NPTSDFVNQCQLIDGKQLKLADVDLKFIATCSASSIDWKGNYRNPERSLVRYQMMEFLVR LSDDKYVRFNPQVNIVQATRMILDQCMPHLSQFDCHKWRAERYFVEQCDDVCKKYKWVID YVYMRNSQKKVKPGQPPFMCLDELKDICNKANLYDENFVERDVNLAFNLSMLTQVDELES DRLFQMQWIEFMEAVARISEKYSPIAIGKKEEKEWNYELRFQQPLYYKLEAFMVHLINTL VDDETKKNWKQPTISMFDEVEEDEYY >CAK59990 pep:novel supercontig:GCA_000165425.1:CT868006:57630:59660:1 gene:GSPATT00030628001 transcript:CAK59990 MLEAERKQGQFPLLEMSHLLYGGKDQFDRFLERQSFFDDHPMFKVNHDFYNKSRQDQILL NAERTVEAMKKLSLADPNYYTPNVLCPQGIFISTVHYAMVIPAFQVLASDEQISKWMTSL KNFSAFGCYAQTELGHGSDVQNLKTVAVFDKQTSEFVIHTPNIEATKFWPGELGLYCEFA LVFAQLQVDGKGYGVHPFWIRIRDKETHKPLSGIEIGDIGPKMGYAVKDNGFMSFDHYRA PLDSLLNRYIKVSKDGKVERQGNPKVGYGSMMYMRNILCDQYTKFGGRALAIAVRYSLYR KQFKDDNKQEIRILDYQCQQQKLFPLLAEFYACVFGSVKIKELVNENFNRISQKNDFSLL GLTHAVLSGAKANYTYFVSNCAEWCRLSCGGHGFAHYSGLPIIFFEMSPNITLEGENTIL NLQLARYLLKQLQHVVQKPSAVPEFFKFLSLETAKFDDVTTIESLITLLGLNCANLTKYA AQKLMSHPDMKESWDTKSGIALAKAASTFIPYFNMLCFLDTINNKAKETKEILTVLARIY GITMLLNNMQGLLLKNQLSSQQIKALQDTRESLYPIIRVNALCLVEAQGLSDNSLQSLIA PREGDVYMNMYNFASKENSLNKQQVHNGINYIQKMREVNAKL >CAK59991 pep:novel supercontig:GCA_000165425.1:CT868006:59673:61006:-1 gene:GSPATT00030629001 transcript:CAK59991 MFQKFGQQLKPIINRSSSENRKEQPVTKPNYHFTQKRDRSDSRVQQSTVEDQNQNSLKPQ SNLIQSVPAQRLTIKLPDLKGYQKQMEKSTSGADLTKKPPLLKNRLILDSKTKQQLQGQQ SSLTQTLPIKSQREENQTIQQQGKNKIKITHCSQSRAGFDGIQEKTNQDRELCLQLDDNN YGFAVMDGHGMDGDYVSSFIKELLKYNLTKFYKVFDFQKVFFEMHQKMKFQTEFGCQFSG TTLTVILIRENTIHCGWVGDSRAILVKRNSNTNNLNVVELSIDHKPHQENERIRIEQNGG VVDTYHLPNGAPIGPSRVWARGAQFPGLAMSRSLGDTVAAAIGVSQTPDIKSVEIDNKED IFIVLGSDGIWEFLENQSIADMVYPFYQKNDAQGACQKIVQESVAGWKAHSEGIDDITAI VIFFQYEL >CAK59992 pep:novel supercontig:GCA_000165425.1:CT868006:61029:61841:-1 gene:GSPATT00030630001 transcript:CAK59992 MLIFFLTLFNPLLAQDQASLPAPTLSYLKGSEFQNLMGSLMGKEYGYTKAQLAEIGGQSV AEYILYLSRIDVPLKRVIFIIGQDFSGSSYQNGYFGLAAARYLAQRKVEVDVVLLYPNDP LRSRLAMQCKSHSATLHFDILQNLQEQLNVQMTKNILANWLNDYDLVVDAITLNSIEQPK TPVREFMHAFSEVSKKVLAINLPVGWHTDNGNLYNAYVPQFVISLGLPLKAIKEFEGQHA IGGRFIPQANTFNYVLPKYKIEDSFHLLQK >CAK59993 pep:novel supercontig:GCA_000165425.1:CT868006:62404:63761:1 gene:GSPATT00030631001 transcript:CAK59993 MNNYKAVQIQIIDQQCQQLQDSISDLGCYYSLIKKDAKFIDVALFADSNNCVKISLSDPE SLISMIIKNLTDKSVLGRLKLSVREILEYKQEYTQWYEFQSECSTQIKVQFKFILLQNDV ASPSPIKSSLNNSQQYSLSSNSYQEQSANSSEKKQMIHPHYLTVGQEHDYPVISQFPTSP TFKRMIDAATQVECENQDLFTLKLDKEQLIEQYQQSQNQLMKLQQEYLQQNSLYKEYDSQ IKLQLEEIQKMKIQIQNYQKEILLFRQNEQQQQQQKSQPLDDALIEQIKKLKVTIKQLEE QNQKQKLELANQLEISTNQQNQLNAITEKLDRNDSILQLTEEQNKYILSLNQQLVQREQQ QNIIIQEQQLNVDQLEKQLKEQNNQIDKLNYTIKKENLFNSVLQRVQEQQLQSYMKIIGP LNEYLDQSKDRLLYN >CAK59994 pep:novel supercontig:GCA_000165425.1:CT868006:63790:65101:-1 gene:GSPATT00030632001 transcript:CAK59994 MSAENEIQEERNYEEQYRNWKQNIPFMYEICINHQNNWPSLTVCWLDELEIDQNDNEVHR LIVATQTNNQEQDYIKLLKVAIPKKLDEQLDNTLLNNIWKTQAVGKVQEELQIPVEVEIN RVRQQPNNQYILAAQAGDGEVGIYDLSKQSKIQALKGQTKEGYGLSWNLNNSGHLLSASY DHNIYYWDSNTGQLIKQYNFHKGEVEDVCWHPQDPNIFISCSDDKTFAICDIRTSSGVSI QQEAHSQEVNCVQFNNFQSNLFATGSNDAQVKMFDMNKPEEDIHTFSNHEDAIYSLQWSP HQRNLLASGSVDSKIIVWDYYKIGNEIKAEDEKDGPSELLFYHGGHRSKVNDLSWNANHK HLLASVEQEKNILQIWKIQQQLWDEDENDEYIQSLV >CAK59995 pep:novel supercontig:GCA_000165425.1:CT868006:65181:66486:1 gene:GSPATT00030633001 transcript:CAK59995 MDAAEVQHDGSSPSKKVKSNPNALNSLRDHTVVVADTGKINDIQKFQPQDATTNPSLILE AAKLPEYQALIDDAIQKGIKAYQVSKQPVQKQPKRTNSRKKKDEPENNEIVEPELPQFNF NTLNPEEQKIVIGLVTDQLSVNFGLEILKLVPGYVSTEVDARLSYDKQATIDKAKRIIAL YEQAGITKERILIKIASTWEGIQAAKALKKEGISCNMTLIFNYYQALACAQAGVRLISPF VGRILDWFTKNKTGEDYSRQNHPGVKLVTSIWNSFKKFKYDTIVMAASLRLVDEAAELCG CDRMTIPIKLLNDLQGLEGTVLEPKLKVENLDKLNIEKVIVDEKTYRWEMNQDAMGTDKL NEGIRKFAQDLEALENVIKQKLTQNNQ >CAK59996 pep:novel supercontig:GCA_000165425.1:CT868006:66495:67583:-1 gene:GSPATT00030634001 transcript:CAK59996 MGSTCKNICQQQIEDKEFNINAIKKVSQKRKYHSNEDSKTGPYSSPQSLIKDESIRNDHK ITQNCQENPQSIQPIQIDGVAVQTDRKNRFLEDQEVEVKFVIRQNWLQEQEELKQIQEEF EMLRELQVEGRQNEEQGDKHQDLKNQFPFQNQLKQIKVESMYVKSTSNPYLPRQTSQFAP KKGDGDTVSQKSNICKSPTTPLKDQLVMTQSNLIRKSAQKKMKQSREEIASNNASIGTPK IRIKKKQQQQDALEIFFSECHSLDERSQSGSRKTSKSLKNQFLKAYQWERKQLDKLTLFS KITRQKKFDFPMIQISITGEEDFTYYKRIGGKGEIEMLIYKFNLLNI >CAK59997 pep:novel supercontig:GCA_000165425.1:CT868006:68624:69597:1 gene:GSPATT00030635001 transcript:CAK59997 MIEEEISGWLDDGDQLNSIRFNRNKEERIDKPPLHQNLRSYSLCQKKDDNEKLLSSKSNL FSDKENSSQFVKCRMQKKQNSTIEELKKVMEFLSINEQYKYETHRAFDPNDDKFIKQQQQ LIEKQKQQQELKKNKFKLQLDIKDNYQTMPKSRRQLQPISDVLQTQKINSDRSQINQIQQ SGIQESKSQTQTKFKFPKFIIQQQKYKKLHYKTDDFNGYKDQQEQQPKHFIQINRIFSNN NLTDTKQSIISPKSVTQAKYQVQLQQTINNINQARDNGYAYDLLYRKTPSSIIQDNKEKQ KQLKLLEIALKCKNIK >CAK59998 pep:novel supercontig:GCA_000165425.1:CT868006:70063:71347:-1 gene:GSPATT00030636001 transcript:CAK59998 MLRLEQQQQVTFQPTPEILYHQEFEQPHETAMYMSLNYHKANQQIYSQANQFSQHSKLFD LRKKYLKNREDTQRRSVSHHSRVPTNRSPLKTQQTQKQFSPKQENTLAQQKKKTTPVSKN LGSNLRNILKTTYAQQTIYEENPQNENIRKEYSQIVEIPPEYQSINSYTFIKTLGIGATA EVKMARHKELEIDVAIKIYDKKKMNNMHLKNLEREVEILNQLKHPNIINLYHMFENDKSI YLSMEYSSPSNLEMFMKGRPFKRINEDEAKILFRQISNAVSYMHEQDICHRDLKFENLLI DYTTKKIKLIDFGYSIKINGKQTCSCGTPQYMAPELVKKSSYDQSVDVWACGVILYKIVT GVFPFRGNSEKDLSKKICLGKIEYPSFVSFQAKQLISAMLKVDSKERITMKEVQQSPWLQ >CAK59999 pep:novel supercontig:GCA_000165425.1:CT868006:71378:71816:-1 gene:GSPATT00030637001 transcript:CAK59999 MPGDNFTCKLNLSYNLPLYQGQRFALREGGKTVAAGVISKILPDEVAPTSKKQILDKQEA AEKKQKEADDSKAAAAAKTAAAAAKPAGGAKPAGGAKPAAKAPPKK >CAK60000 pep:novel supercontig:GCA_000165425.1:CT868006:71820:72999:-1 gene:GSPATT00030638001 transcript:CAK60000 MLHTLRSVQAPFALNNTARALFAKFIRDKPHLNVGTIGHIDHGKTTLTSAITKVLAKQQL AEFQEYGKIDKAPEEKARGITINSATVEYQTKTRHYGHVDCPGHIDYVKNMITGAAKMDA AILVVAATDGCMAQTREHVLLCRQVGVETIIVFVNKIDLAKDPEIHELVEMEIRELLSKY EYDGDNAKIVKGSALLASNDQEPELGEKSILQLLETMDKEIKIPQRTIDKPFLMSIEGTY HIAGRGTVVTGTIDQGKASTKDNIEVVGYGKPKQTAIVGVETFKKQLDFGEAGDNVGILI RGLTRDDVRRGQVLCKPGSLTTHNCIESNLYILKGRRRRSQKTIPQWLSSINVRKNC >CAK60001 pep:novel supercontig:GCA_000165425.1:CT868006:73053:74041:1 gene:GSPATT00030639001 transcript:CAK60001 MQRLQGATLSLWSSFTPVPQYAFATLNLKQLKQRMKSVGSIRKITKAMKMVAASKMKQDV QRLENGKYFGVRSLQDLFAQETYLQKKQLTFKINKTLIVPITSDKGLCGGINSSIIRETR SIVRQNRNAYKLFLIGEKSLGALQRGFPELLTQAITAIQTPINFVNSSSIAHQIQLNVTD DIDQISIIFNQFKNVVQQVIKRVDLLNRKNFIAQFRLVTKYDTAEPEKEFVQNYMYEYYV ATAFYHAMLNNFASETSSRMNAMENASKNAGEMLDKLTLEYNKVRQAKITVELCEIISGA SAV >CAK60002 pep:novel supercontig:GCA_000165425.1:CT868006:74067:74603:-1 gene:GSPATT00030640001 transcript:CAK60002 MQQLEEPQGEEQQQQQGQDQDDDLMIPLNVQARLAEFTDRYFVKSFSEHQYVFQHSNRLY LSGINKNHPIVKSDSDIKEIEFTQKEQHVKGKKKKGGLKVKSDTVMLKVHLENGEVYNVV AGHDGYIIEMNENLQKNKQYLKQYPETKGFVCIIQGCRK >CAK60003 pep:novel supercontig:GCA_000165425.1:CT868006:74659:75698:-1 gene:GSPATT00030641001 transcript:CAK60003 MGNQCTAIDHCSKAEVIFDSTNNELYTENIELTDRPSEDFVQSVRMSYRPQQSLPIQKQQ VPIEIEDRIEERPTYQFPDGVLYTGQWKGTIREGHGIQEWPDGARYEGEFHNNVPHGRGK FIHADGDIYEGEWNEGQAEGFGTFYYKLNGKYEGEWKEDVQHGFGKQEWPDGSRYEGNYS RGMKHGEGNYYWADGTTYQGEWAQNQFNGQGAYQWANGRSYEGQFLNGFMHGYGVYKWPD GKSYQGEFKNDKKDGFGVYTWADGKRYEGSFAEGLQNGRGTMVFQDGTIKQGIWEKGKRM GWLDENNELQKSLIMSQYGNQSLYQSQLPSQIRMVQD >CAK60004 pep:novel supercontig:GCA_000165425.1:CT868006:75889:76765:-1 gene:GSPATT00030642001 transcript:CAK60004 MKKNKLDTLKTIKDFITQLQQLATTLSDMINELSISDELIQNQSYQDLTRDIKNIIKIEK SDKLILDKIPNIVTLVSALKQDIKSIQSSQGPSQVIEEPLSGYIINSTQEQTSQSNNNNS QVIITQVQSNFIFSKKNKHEDIQLSFQNKEARSSSILLQTRYILSEEVITENSIARIKVQ CGEAVSIGICHQNIVFQNDKAFEGTFIGYIYFSSYQVLTSQIMKGLQQRVQKTQKIHSPS LLKQVLAISWQQRLILEQGKQPGTIKQQKELLYSLNLLLEFRIT >CAK60005 pep:novel supercontig:GCA_000165425.1:CT868006:77739:79059:1 gene:GSPATT00030643001 transcript:CAK60005 MAANQDQIFDEQSQEATINEEYKIWKKNAPFLYDLAISHPVEWPSLTVQWLPKKETPPGQ DYSIHKLIIGTNTADNEMNQLMIAKVRLPKDSDVQQDPSEYKQNEPSGIGKATGESRIEI DVRINHEGEVNRARYMPQKSNIIATFTTKGEIHIFDYIKHPSQPSNNLVKPDLKLVGHQK EGFGMSWSEQKLGHLVTGDYDGKLCIWDVETNSPEPKQTFQANNLQIEDVCWHRFHPEIF GSCGDDRHVRIWDTRKPSPLSDIQTHAGDIYCLDFNHFNEYCFITGSEDKRINLFDMRNT EKPFHTFESHGDQILSLKWSPHNMKIFVSSSADRRCMIWDFGRCGRAQTPEEAQDGPPEL LFVHGGHRSKVCDLDWNLNEKYIISSVEDNNILQVWQLGAHIYQE >CAK60006 pep:novel supercontig:GCA_000165425.1:CT868006:79089:81497:-1 gene:GSPATT00030644001 transcript:CAK60006 MPAECVKVIVRVRPFNQKERDNGSKPCVNVYESTNSVELFRSQDNDKKQFTYDYVFGPET PQIQIYQQTAFNLVESVAEGYNGTIFAYGQTGCGKTFTMIGDPLNDNMKGIIPRTFEQII SIINNNSDSNKKFLLRCSYIEIYNEEIHDLLSKDVKQRYELKEGQQGLYVKDLNIPIVKT LQDMDKYMALGAQNRSVGATAMNKESSRSHCIFTVYMECSMTDDKGNERIIAGKLNLVDL AGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDGKTQHIPYRDSKLTRLLQDSLG GNTKTIMITAISPSDFNYDETLSSLRYASRAKMIKNQPKVNEDPKDAMLKEQAEEIKKLR ELLLRQNQDNGDRQNVDKDGKLNNVDNSHHEQINQFKEINNQLLQEKQRYENEMKQKSEQ AEQERLARQRLEELLKEKEQMMIKGGKGTDDDKKKYKKMQQAIEQQKKEHEQLKIQQELK EKEMLEIENKYNSVQDEVDKLRKLVKYLKNKYEQQQQEQNEMKQDFEYDKEEFLDTIRSQ SKEIKLYSGILKMILQQEEIDKITHSCEWDDESEDYKIPPFNIKAKKVNFPNLPYQKAMD LIDVEKQERHVEIHQRALPSSYRDVENTRIASPGRQRKGESQPRMNGNSDNKGMSIVEKT KLNQQLLELYEGKNTRNQRDDLQQQQQQRRTHQKVILNPIDNRGSTVPNFNNQCEAININ NNSNNNQNASQVTPPLIKKISNLNPLEQKPPSGRQVQQQKL >CAK60007 pep:novel supercontig:GCA_000165425.1:CT868006:81535:82880:1 gene:GSPATT00030645001 transcript:CAK60007 MYPQQSSLARLQREHRLMPVALYSPQKENLQRLLPRYPESVDVGTTSSKYQGNVSLPALP PLKKLNKIEQTVQIYKGKVNKLPSLQLSDQYLQRKKQISETDGMRKSITPERRQGKRSSV QFAMRTKAGCQPNKVTKINQDAAILCPKNLENMGYKFFAVCDGHGQYGHMVSNQIKQQLP KHLGKLLKEVGNLESNIFRAFEITNNELCNSEIDTNLSGSTTVSLLMIKDIIYSANVGDS RAIMCRFDDGWQVVELSRDHKPDDPQEKIRILDAGGRVEQQKDFHGNGIGPFRVWLSYIQ APGLAMTRSFGDKVGAQAGVIAEPEIQKFSISAQDQFIVVASDGVWEYMSNEEVMSVVIP FLDKDNPEQAAERVVIEATQAWRRNSLARDDITCIVIFLQK >CAK60008 pep:novel supercontig:GCA_000165425.1:CT868006:82889:83729:1 gene:GSPATT00030646001 transcript:CAK60008 MSQDPFPNEPKLNTANEVDQYIKTLQQYQDSCEKSSEYMQADAAQKRIVELKKQLKCNKH TANRNKKLKGLISTILINSTNSGIRRSVEQELLNKQQNEYNKVSEELESTISQKPKESSD RRIFGQIKEVSISIIFCYVDAQKIKEQRLLIEDADYQKYQIERTNKINTQLKQLKMRHKN EVNNLQQRIKAGQDEQKKNRSLELEKLLQKYQNIKKELEIKQKMDKLAFEGQFTNKGSSN QSVYMSQM >CAK60009 pep:novel supercontig:GCA_000165425.1:CT868006:83810:84876:1 gene:GSPATT00030647001 transcript:CAK60009 MTDSGFECSLCMKLAMSAQICEKCGFMYCKQCLLEIFGHNYECVQCQSKQFKPIEQSALQ EAYEEILTKSQIILPPKIDKPPPEPPVVIEKQKLQTFLKENCINFDICKSNINPIFQNEQ VCSLECLYFTKIIQLYEQQDFANIRKEIINLEKYQTLKNVQPIPQVGFSQYLNGNSIFTF NRCGPGIQIIQSTVILQEEEYTFKTATSSVGFQNGIHFWKIIPLAMTKNEMKIGVSTSDK YDLKTAFSDYNFGYAFYTVGQFRNGSNSNGFEYGVKFTNTGEVGVLLDMNRGVLAFSYNG NFLGKAIATEALKKGPIYPCVALLHQAGFEFQCGLPAPQNLLDQFLK >CAK60010 pep:novel supercontig:GCA_000165425.1:CT868006:84901:87128:-1 gene:GSPATT00030648001 transcript:CAK60010 MNQQRCPNKQHNLYRHQAIRYKDDTIIDSTHTKSQTSDQKMSKISEIKVVNDFSVDSQCL DRSSILEQSLENDLRQWQQAYKIDNLIVQKLEKDIKDIDNQIVQIATQFDVCMNLQQKTE AKFKEFQQLMKGTQFIKFSRSNCKKWDQRMIFYCSFLDSICWKSKTSKFPSAKQTIPIDQ IIQIHTNYNKYKLIKKNFVLPPNAEQDCFLAIEAKNGIRLELLAENKEDQFLYAKILNLL INKDRCVKNQNQFIIEQYSVDINLIRKNLEGSKKNFLETIDKLQQSFISTTSTLLKQEKE KTKTIKKKSQHYVFQSKLQLEDINKENKIQKKQIKELQLENDYLESRIEQLQEYYTQRQL QIKSSTNPQQVQLYQIIKDIIGMNGDQSIHNESQYEYQPQDYHEENVKNLQKLSALYDRQ QSTEIELRQYLKELQEEKSQIATQLQQSQFDLHEQEMYIIELENINNLLQTKLQDVNQVL EFKEQQFSNLVQDMQKLQQEIPKLMNNNHQQSVNTETRRLQTENDKLKDTIQNKIRELKN QMIKEEQNWMNFFVQIISYICYHIAPDDLSSDINITIQGLYYKLCDKAYGESQIQLDNLM NSIKQKANFFQKQYKEQFEQMFKDQQAILCSLASRLPSPKTTNSRQSSHQTLHLDKTFQS PSRVFVKNKAKLTKDNHPLDSIQIQYLTQLIK >CAK60011 pep:novel supercontig:GCA_000165425.1:CT868006:87834:88943:-1 gene:GSPATT00030649001 transcript:CAK60011 MSASPLIKVACSAFTNGSALTFKKKTSSNSQTQNFIVASSPLKSTDENEQSPSKIINIYS DSGLFNVGNSYSTVKNAPLIEEEKTQKTYTYSQMPPEEAEKAYCERQKVIKEQIKRTKNS GKFKPKMRIDQSKPTLKSALKKQQLDYSTAQSQQIDISRTSQTFRRKSIDQPIQTVPKPH PKVSKIIENINTSKQSQVTPASPNILQQSFHQQLQSKKQQLLNEIAQLDKEIVKEKGKKQ QSLIQQTNISPKAQQLKQSPGLKDDLKSTYEKRQQMKKVADKEKQRKEMEQCTFKPQISS NTSRKLNTSQSSKFTPLTSPKQASAPRPVKVPTRSQSQEEKSIKKDGLQNSKEDLNCKKL YQNQSDYKE >CAK60012 pep:novel supercontig:GCA_000165425.1:CT868006:89985:91340:1 gene:GSPATT00030650001 transcript:CAK60012 MLSSKAGVDHVLRQFANKRVLIRVDFNVPIKEGKVKNATRIQGAIPTIKKILEQNPKNVT LMSHMGRPDGKRVEKDSLKIVVPKLEELLGTKINFVNDCVGSEATEAANAGNGQINLLEN LRFHIQEEGKGLDANGAKIKADKESVKKFRKELSALGDIYVNDAFGTAHRAHSSMVGIDH KVRVAGYLMKKELDYFSKALETPQRPFLVILGGAKVADKIQLIKSMLDKVDEMIIGGGMA FTFLKKIHNVPIGKSLFDEEGYKIVDEILTKAKERNVKIHLPVDFVCGTGLEASSPVALH DLKSGIPDGWLGLDAGQLTQRENAEAIGRAKTIVWNGPQGAFEIEQFKNGSVSMLNALVK QTSSGATTIVGGGDTVNLVGANKANDKLSHVSTGGGASLELLEGKILPGVEYLTNIKDL >CAK60013 pep:novel supercontig:GCA_000165425.1:CT868006:91340:91638:1 gene:GSPATT00030651001 transcript:CAK60013 MDKQLLQQIDLIMQDSVQGALIVDQFGFPLANKSLNPQLSQYVKSIADCATALKDEVPII AIETGETKTYIIKPGSKFSLCLLA >CAK60014 pep:novel supercontig:GCA_000165425.1:CT868006:92267:93008:1 gene:GSPATT00030652001 transcript:CAK60014 MEYGYFNPFVCIHDFQYRGDFFGDDSEQRFKEMWKMFLSVEKGGANQSGLDERDGLDGIQ CIKQYIIVMNTQLKILQFKYEIGQPSQYYFLIASGKEQYFTSTFKLQHGLNDFRDKFTLS VSQFIDFQLWDYWGDPVMKGLGRLDIQKVLKERKDMHIIQIWTDVKVGELMIQLIFEEEM LSLQSSLKEKSSFGLQPSFLSQQGASFYDNYLNDKPLVYMSASRSTQYRPTQSPVLQIKK >CAK60015 pep:novel supercontig:GCA_000165425.1:CT868006:93021:94167:1 gene:GSPATT00030653001 transcript:CAK60015 MDQESVFDLSSLSDFRSDQGLQQLYIVRGRSEQLQEQSKLVKEHLQSLNNEINGGFTNPL KSLVSKQKNRFIMDGFDLDLTYITEQVIAMGFPAIDYEAIYRNSMEDVQRFFNQRHKNHY KIINLCSERKYDHAYFDGNVSEYPFDDHQAPQFNMIYELCAEIHNYVTQDKQNVVAIHCK AGKGRTGIMICCYLLFSELFKSSFEAMRYYGMMRTKNNKGVTIPSQIRYILYFEKALQMG YTVQDVPQPKIQILQIRLITIPSMNYSNSCTPYILIQNYQNQATFTKFQIVNDSFILFTV DIIVEKDTRIICYNKTLMGKEVMFQFWFHTGFIESNGLLVIDKYMLDRAVKDKKHKIFSP NFRVEVQTITLPN >CAK60016 pep:novel supercontig:GCA_000165425.1:CT868006:94189:95975:1 gene:GSPATT00030654001 transcript:CAK60016 MQSLVLSARLPSSPLLHKKKSSDFQTLHSNLTRVQSPSGNKANQAFGRCQTVKTLNSERD PVELTITLILDGQKSIVPISIYLNTTDLYKKLIAKVLQVNPAIVNKIKHFQTHSSVKNYN IDYYLSLELQPLEVFEEQRHLKLEPYYSNPVGQQMSIEDYDILKCIGVGGFSRVYLVRKR DNGLFYAMKLIDKNFILKSNKEIIICNEKYVMEQLNSPYLAKLFYSFETKFYLVFVMEYC AGGELFYHLRKWKRLSEPLAKQYFVQVCLAINELHKFGIVYRDIKPENILLDLDGYIRLS DFGLSKPNMNRDETAYSFCGSPEYMSPEMLKREGHNYMVDIYCLGALLYEFIFGSPPFYC RNIDQIYNSILNDKLQFPPFKDINPDLKDLISKLLIKDPAKRLGAQNGIKSILQHKWFQD CDIEGLVKRKCEISYRPMPLRYNFDELEFSKGDYEFQKKLYENLRIERTSKFARSFPHFE YVNKRIVDHRQNILDQLTKSRQIQGQQGLPKQQQKTQICLSPVSLVKEHSNIQSLISGSF KQSSQFKRFNTDVDLKQLMRKV >CAK60017 pep:novel supercontig:GCA_000165425.1:CT868006:96007:97710:-1 gene:GSPATT00030655001 transcript:CAK60017 MQAEEILPDHINDEIYRTLEHLYLRLNEIVRKAEDVLDLSQSVSFQATLKRLNTASRGEN YQKVPKNYFPPPSDQESFERKFKLLRRCGHILSDTMLKVRSSLTELHDATRKQKQDIVKM KKDEFAIKNEKPYPLNSIQRNGTSQQKLPQTILLDEDDDLMILPKIEFVFEQPQLLTTSI ASDPVYYISCTCLLEKTLQQLIPEELKVCIAIESLQYYDKFYLNSNIVQECKTANRILLQ LNGDSNCITKNCVFYEKIYLNMIKYPNVQLNFARIQRGEQFYFPLFSEITDLFNEQTLFL YGIYQFSRSIGRAPCQKVGNIKYLMNLLHTQSISGLVINAFSPSLDKSQFLIDFLSAMIH LNGKEIVIGLNKLTFPECQRNPRIVLVRYTRKLSQQEKDLLDQNLNDGSDGGYRINESSC KLKRIVIIFSKEKMFIPEIDRNLKHWEIPVDFLKQQCEVYHYQYLIHYIDQVVKCKIEDR LPKFFTVLITLINQNQKSNNVKEFNQQIIRDFDYFIKNRNYVNYFSLKTYHKTTLVENYL QESLKQQKLICYSKDIIRLLGIEEELN >CAK60018 pep:novel supercontig:GCA_000165425.1:CT868006:97786:100211:-1 gene:GSPATT00030656001 transcript:CAK60018 MQKDETYLRTPPIRKLNNGLTIQQKINQLNKKSSIKFSELTKEQPSNQKISYRRPSLPRK PVQRIQQAITIQRNLSQEPQTQGTYSVVFTNSAPQKRDKRKVQTSNCDQIEETKNSQVRI SKGFYPSDINNTKQILTSILQGMQHRISAEKAMGVMGLQVIPFNKLMEQNTVLTQQTIRQ KALSQDKERDKSQSFTEEIEKKIKCKVPQKTKQKHSINYNNNASPVPPKVMAPQSSTTQI QSNNFKFSLTEYSQLSREQLFQTKLQNYQHFLFLVSTQCGFYTLPQDTVIDLKFKIGRGN NSLLIKEIFKLRWWWNQYKYSELVDAESNFIWTQIKVQNYMDTQNPSTNEIKSLMRKKLN QPQSLTQIKKKIQIDPLFRLMNDDTDYKQIERNQKAYLKLINQKGFSLLKVHSSLKIHNH IEKNYHLGNKKALYHNLKRYYELTKQDLHSIIPITFHVQKGSRDKVYLQFLEHYKKLPKG STWIVKPGEFTNRGTGIIVCQNLNEINKIISKKQVHQNGKPFSYLIQRYIEKPFLYNKRK FDIRCYFLITQLNNIFRAYWYEDGYIRTSSEEFDLDDPANLYVHLTNDAIQKYADTYGKY ENGNKLSLSEFQRYLENQPKKYNFYKDLYPQLIEIATISIKSVYCKLAPHKKEFNFEIFG LDFMIDSQFKPYLIEINTNPCLETSSPILQRIIPQMVENAMRLSIDTIIPPPDATVWPPC KKHLIFYDNLLENNKFQLIFDEREDSEELIKLYGGLLQHDEIDEMDEEEEEYQSDDENEK NEQ >CAK60019 pep:novel supercontig:GCA_000165425.1:CT868006:100288:102637:1 gene:GSPATT00030657001 transcript:CAK60019 MMFFNFQRFFAGFSSMLDPLLAQDNCTLESILDDDTLQEIKMNGATKFGNLQAFQIYQSV TKNIETFSKMVGYLCVNEDEEYNEKTQIRYPFMISEAIGNENVPIIDYLFEADKSSQNYE QNEAIRQEFLPKIFDILDRDYLNVTLASYLSKVVCAIIRRRGFDLWRFLSQPEHKSILSN LIKNLDVFHVAEIIEKLILLDTNQEQQDNQSVFLEERSDLLRRVIRVFEYKTHQNDITDN CSHIIIEILNKTELFLSVLNIPDKFFAIALNSSSAAVVSVLITLLEATHRYIQQQQEKNP SYHFSYNQYYPIAQEFKNALLAEKISISAFNTTYGISQQPFSQMKLKLLQLYLTILRFND IDLINQFDHKGIYASLMKFIIEYEFNNQLQNYFYEITVFIFDRPQFEDIQNEFLSLDLAT FIMKYNRYEKEIVGALNSQIRRGYVGILSKLAYYFTNKFEKNQEWNQYVEEVLESVRNIE NQYMLGVNPRPKIPIDTNDEGMNEMFRNFQKNKISQSIQQQSIQQQDQQTTVEVEQETEE QQNDDDQDENDQDIQREDDQIESVNSKKPEMLIDVEEIEKVINSPETSPKVVEQIIQFQE QNLKTSPQASEIMTDQTVNKYWKAHEGLEHQEPGRKGSQDDIHKHQSDHDQLKHEETHKD TQEHHEVEQQIQPQENMQIEVQEIKVETINSPEEKQQQQQLEEEQQEQENKQQEVQDELI S >CAK60020 pep:novel supercontig:GCA_000165425.1:CT868006:102827:104269:1 gene:GSPATT00030658001 transcript:CAK60020 MKNSSRIKNSHQSNDCWAALFCGIIEVLIKTNQHEQISLLQPAISQYSLSRIKIFKTIEL MNQIIRNPNVDLFYTLINQEEASQVMKIFIQTKYNIIPTSVCKKEILELLSNTFKIDIIF ITQNTSISLANSDNLELVLKIVQQQSEFTIEAAQKSRSRSSSLLDYVLEMKQQCNGCLRQ FEQGELYKSLTCNHTYCQQCLKFYFKLGQSFICKDFFCKLELRREDFPFLQLSPLQDMTA QQNRCLQCKESINQLYTNRCGHTHCEQCIRDQLNKSKYYQSNFCLEPSCTELLANEIINN QSKDNDIQTVLSRNNSTTQKFTEFCYFCQCEQEKGVKGECGHYFCKACLDSKYQYIITYG LHRIIDCPQCSTKFNIEKSLDEYYNALAYPQPPRLKRMRSSSEQQIESQQQFFQQKPSFE SQQKTRVPSSCLPLNGSRHQVPYVVSSGISTPKRVVTSSNRASRNLPQQAQYFQRSPQFF >CAK60021 pep:novel supercontig:GCA_000165425.1:CT868006:104320:105069:1 gene:GSPATT00030659001 transcript:CAK60021 MKKEQDDQERQPILIEKDNQYFDWESFKKQYYQQENVLQTTLKSLNELHSEISRKLIQLD KSDPICEEDEQENRKQFQSIDVRKYQDQFDDLNLTMSTMSRMLNQYAISPEEVQAKLKNN MLRRFKEIHQEHEKEVLKLKQAIKTNTQKYELFKEAIKNQSTTGNQKYKRDYQLNDFLSR GVNQASHVLDMASTIHSSLEDQGYRVSSIQNKVTDYMGTQSFYAQANLKESTH >CAK60022 pep:novel supercontig:GCA_000165425.1:CT868006:105749:106314:1 gene:GSPATT00030660001 transcript:CAK60022 MSNKIRSHNINDYYHDRLDQSFNNVNITFMNTLRGPIQINDLNKTVHDIPSCFGRKTLST EKSHTTKDVEKIANISMYEHLIRNRIGVKPLLGSVIFETSLRNTKKRSISQVQESKPLKQ INKRKTTLPKVMKDPFIEIQEKNINTYLHCLKEEGQGTIKSAQVEWVTSLKSEKKKRKKK >CAK60023 pep:novel supercontig:GCA_000165425.1:CT868006:107065:115062:-1 gene:GSPATT00030661001 transcript:CAK60023 MQIYLIVVLIFQLWYKVIAETDSSQTSQTQCMDAECTYCKAHHFVFQLPQDNDEILNERS RICVECPFQSFMENEENLYCGDCLDNSRTWNVNRVCSYDYLTYSTINSVYHQKERSSAEL FYVVESEPGKIVQYSTIICNGCDHFCKEKSQKCFLVPKEYQNDINYMYVSCKEGYEFDEL RQLCISCPQNCLSCDQNTCLICNQGFSKLILRESANSKTTKVFCIPCFEQCKTCYFGKNG IDLNVDSWDAYNKKEGLLLKDFLENQTQYFENLYIAFQIAQRCEQCQSLTQIKFIPSLNR RSCVQCGIQCIRCEYYSYWLSNKELINPSKNRKQIIEPQNEKETQELLESQYVLRCRECL VYNQMFNAIGTSCSDCKINDCVLCHKTESQSQSKVPFSTLSINFEVQPQEDIFIEKCVLC KDGFYLTETGICLIFDSQNYPGPGCLTFERSYDLKHCRKCQQGYILSFDSKSQIWYCVTG CDQMLQDSQCESCVQQGDQYRCQICKVGYYVDTLTNKCKPCSLDGYCMKCYTLTLNIVHH PECFSAQYYQDSQSNTVLGPFCYQCIPDDDDVKGRGHFLNEDLRKCEKGGDKCETFLARG EKGFCDQCLNKNSRSSSSDGNNCIDCPNNTIGCRERTEEEIERQNRFYEPSNELKIYSTL SFKCTIGLDQMETKICRCLSEQKKNREISIDVRADCSEEQQINNDKVWQINTLFQEQNNG QSTNNQFQKPLSKNVTIILENKASLSNTQDYISNITKFYEDLNIRAVYKISINLIFSSSN PSCFFQKDTYFSTDLKKMVFSAESIELNIKVENDNAINWYLQNNIYFEYFSKVTISGINM MPAVDCDLYPYIKKLQKPFSLQFIKNDGLIVRLERVQIINQAAYTHYLNPKLYTTPYSQM SLLNKKYTPFYFIFTNVYDLQLNQVMIQSLNYLHLKDTNFTFNLFGFNYEPNIPLPYFHL NIDDVMFSDLGIEDQALFDLEFINLTQQSQINFQVLVQQVSFNDVYFLNGAGFISPKFND TLNGLIRINNLFVNNTKFNHSRGIINFNNMQQIYVINFTLVNSFVNHTFLFHITTIQMAK CYVYNTQFTSKGRMLQTQHELSKISKPNHQRLKLVLEEITFEKVICLTPQCLILISAIKN DYDIPVNITMKNIEINQIQTEGFDLKILNAATSAAIKIEKSYIVYVQDFISKENSNLSIL YVDMVWNSYFYDIRCHQIQNLQIRNNYCLFVNNLYKSVKIKNIELLNLHAQDASFIGISS WNNLIYNTSTLNSVESISLEQIIVKYCTVSTTQPSIPSSAIIIQSNQKQNVQLTQMQFQQ NKHFLLIQGSLTPSNPTLIMQSSLGNLLLTNSKWGYNSAQGSGAVLHLESGISTIRNVSM FNNNDPYSRGVLFGDQQNEGGHLKIVSFQTTVDNCTFFNSTAKFGGSIFIKSLKEGQILI KNTLIQASSTQLDSTIGSKGGCLYIDSKESKLNMTIIDTNFEDCFSRQEGGAIYVLSFQS QQQILIQETTFSNCFALSGAIFKVIYDKNQKQTQQTRMENIYIQAIQSRQEEFFDSLGLL KQIEKYIFLIRTSAIEQDFGEIILINVNSQDLQYQGLLSVKYSSLIKLQSIIVQNYILTY NPLIEIIEPILNPVHIEEIKFANITSISLESVKCNTQKLSMTCKILQVRADFPQIKIQPS LMKIDSIMPSTFLTLRGVLINKIHCTECIDGLVQITRVYNRLLVQSVFIDQCRCTDTLTA YYGCFAISCEPHIKMQTAQDQIHNLKIQTNLTREAIINYTGIVPSEDLFSIQEQSQRLLE TEQNFQYVIPNPTYKSHVFVLSLFTENNTCFHGCGISIYELTTNITNSYCTQNEALGKGG FIYFESGFQQRINVANISCYDNRASIGGCIALQGVDINDVAKTGSQIGRNVATLFGNNIN QFPQNLALYINGTLYQIPTPILFQSGYTLDTYQNQIIQIHFLDQDQHIVKHQIYSSLNIY TDTQNEQASNNSCEISSTSTNKTRSFNNELQGFDLSKLTICQNPNLNKIRNLTFTSSHIK QPIYIDDYPYTFVKYKQMNLQLIVKFQPIFCRLGEYYNEFGDICIKCPLNFYQLQLNSST CKEKDYITMKEAHGFQIYLFEDYWRPKINNDQVESCRRKKCKGGLQVGNELCTEGSIGAL CEECDIHMIFWEQSYYKDWKSYCSSCENISIRVETGFVILTQFLIILSLGWISDVSKERN ILLNFKRTLGHSYIVEKQQITPQVFLIIICHMQFISIMKFSNMENPLLSFFIAFNSITMP AQSLKVHLQCLLEDDLYIRLIYSFVFVIGGCLLTLMISIIQQFNQKKKKLICYKFRFSVQ NFLILVFIYVQPSFLIELVQLLYYRAISGSKYIYANLSYLFKDNYDSKQNFVIIFLILIT VTPILVCIYFYFAIYRTKKYKKTRVLINWGIMYSFYGKRALLYEFIFRQIKLLIFVLISF NEKIYSMLPLVFIIVLRKTIQNFFNVQKTYPYTSKQNNKWESISDFVSFTSYILSSFLFN QNWSSINQAAIISLYIINLAFFAIFLHFLLVKYYIKIKMLLKRRGYGLQYQIIEDGEQEQ EQVSNNIEKKKKNIKKIIEESIEIWKEDKTKKFKLISKQIQYTRIL >CAK60024 pep:novel supercontig:GCA_000165425.1:CT868006:115951:117152:-1 gene:GSPATT00030662001 transcript:CAK60024 MNQGKSYEIQGLLKAGIDKNQQPVYVLLYNLIAQNKNYEEIAKKFQSYLQQFRPFKGFVL IICSQDEGLMDAKSVKALIKQTIKKVDQSQLKRIDKLIVISNNQNYGKKEKTIPFQLKGL LKDKLHLLINTSFLNTLEIELHQSVQKFLCNQIEYQELQPFYGRDLETYPIGKSGLPIFL EEILKYYSQNIDCLRQEGIFRLSGSHELETKLIEQLLSENYEAIYNSEPDVIATVLKNTL VNLKNPIFPFEIYAILRETSPEIPSNEFIDMFSIFFAHLSKVNRLTVFRLAEFIKFVAGF ENENKMGLHNLSIVFAPCFFRTKEANQLDLQGAKTVVLHFKSLIQNIDEFLEKQKRLSQ >CAK60025 pep:novel supercontig:GCA_000165425.1:CT868006:117331:118991:-1 gene:GSPATT00030663001 transcript:CAK60025 MRNINDYYKIDKNNILGQGQYGEVYVCQKLENDYDYQKYCVKIIPLLSQDIQDEEMISEI LKNYNSSNFQTGPKCINIVQTFDIFKDEISLYIVMEQCDEDLYSEFERMKSFNVWYSEQE VFELIRQIISSMKFLYNQKIIHRDIKPENILIKKDTQNKIYKLGDFGVGKLIEDMLNKSV ITKTGSLNYAAPQMIFNQDCKSKCDIFSYGVLFYNICYKGEFPYPCNSIQNLLKSLKQLK SKRFKAPDLDFHRGGILKDLLEKMIVYDEAERTSYDEIFQHQIMTQTGMEETVNFLFQSE QLCQDFDKKESQDKKLNEILMLDLQINKTLLEVLFNRFKICYCFFKEIESNSQLTFLCYI IQLIAKYQLDYALAFVYIRPLELHPRIKQYNDIPELVQKQRQLKQFLLDYKGELAQEVLS LRQEIQVAFHKLRTDLFHQENKMKQSTQELSWQELDIIKNSATSRIEISILQDQLRNMGN QSILQDQFSNIQNLQSEKKDISLKEHLNLIINLETKFSIDLYKDNTDLNEKFPIHILQQY NKYFV >CAK60026 pep:novel supercontig:GCA_000165425.1:CT868006:119953:121593:1 gene:GSPATT00030664001 transcript:CAK60026 MKQRERSHGYLYKQICKHLNVPISSSLLNGIQETEIVIALDQISQQDIEPLSLLLPQCKF KRIILKSIQKNLKLNKSMATDNYKMELLEILRVITKLFTKSLDVVSLVIRDIRMNSEHMQ IIAQGIAVVQSLKELRIIQCLMTSNHFSILQPSLIQNSSLHILDFSHNYLTHQIGVLLGQ LLQEHGKRRDNVEYLYRIKGDCPEEDISKIGLEELDLSYNKLNDQFVKDVVPYLERDRWV KQINLKYNAIQKEGFEILIQLLDKNSTITSLDIRRNLGSTSQFQKEVLKKLIRNKNTKND IQQQRLDDENENISVNVSAIPQNLQSVISDQESPVMSFGVFEPNNLTKQMSNNSGRQDQL KLKSGLKNSDSGCSDCNRLQMKSKKQQQMIQLLKQEVQFLRFQLQSKSSMAEQQQQQQTQ QQVINELNFSENNHNPPPQEIEQEDMVNKIEYMMNDLTRMMDGLDVQGSVTNNLNAHLSS TLIVQDYTRAIFEREDRANDLINILDENSNNNLSQSSDEEQQ >CAK60027 pep:novel supercontig:GCA_000165425.1:CT868006:121610:121964:-1 gene:GSPATT00030665001 transcript:CAK60027 MVSCAQYCMFISAPGCIFMTYLGFMIAIGSETVYVVPHSRIEGAFALFITAVLYAIFFAI SYNSEYSQVQRQQNVDEWINSQQIRDAELEMQDIPKQPKSQLSEPVEQLQ >CAK60028 pep:novel supercontig:GCA_000165425.1:CT868006:122065:123449:-1 gene:GSPATT00030666001 transcript:CAK60028 MGNCNINEKKEDLMENNVVSVQNFQFIDAIGRGGFGKVWKVRQKKNKQFYALKVMSKPKI IQKKSVQSVMNEKTLLSGLKHNFLINMQYSFQDREYLYLVMDLLSGGDLRYHIGRHRKFN EEQTKFFSGCIIVALDYLHQQGVLHRDLKPENLVFDSNGYLRLTDLGIARIWKPENSQDT SGTPGYMAPEVMCRHNHGVAVDYFALGVIIYECMLGRRPYLGRSRQEIREQMLAKQAAIK RQEIPPGWSLEAADFTNKLLQRKPQNRLGNNGPDEVKEHPWFRDFSWEKLLTKQIIAPFI PNGNEDNYLPSDSRRDSDDSINEEQQIMLRRNSVQNLFNGYDFDNNPVSVPSSQMVVSST SSSRITKQPTTTNTPRSAKLAQKLKN >CAK60029 pep:novel supercontig:GCA_000165425.1:CT868006:123475:124164:1 gene:GSPATT00030667001 transcript:CAK60029 MILYTLISKGNLVLSEYTELEGDFTEMARIVLKSVKPNQQKQIFSKNEYVFCITCISHLR FLCMIHNSQNKEKAFAFLEAIHQEYSNCSKNSDFNNGQFSAQICRMMKGFNQLDQKDALT NLEETVEDLNESAFQNLRKMIEREVRLDVLVKKTEELTKNADIIFKYSRNVHRATRGSEF RLGGKVLILSLVIFAILSLYIYYQI >CAK60030 pep:novel supercontig:GCA_000165425.1:CT868006:125078:127949:1 gene:GSPATT00030668001 transcript:CAK60030 MKFLSIKNIFEFKDNHIDGVRNNLFKNINQLQGLGLPDVCIVTKQQPTHVMKSTFETQSS FHFIQGLCPQSQADVFAYLQTILQNLEKSEHQGLFSKNQTISKLTYICYDIFSKTFLVCE IQVFLEGQHKSKTFGVQENQQYILPTRQHWQGVYISSILRAIDEEFKLASVGRFFNNINL KNNIKLSEVIQMLLELITTNVSLFQDLDKISSIKDFPELKDVLYYLCWPLEILVSYLEKS NQLQILIIELDNIEDSIVFYLIKSILFYKMKNYEKSLQCLVHISCICSQFNLVKYIFGKV LIKLGRHKQAFLMLKDTLVSSYENQIVWITLASIFRKKKNYQVCLSLLNKAAEFQVKRTR KSFWREIHIQNYRVLTQDSSIVNLTDLDKVYTVINPIQVNKIESFSQLSNHPVLEQLLLR QRTLDKKELKSWLLQAQKSLNNQTQKIDKSTIEFISSNQLLENKQALSLFQKEIIRLSNQ VGQKQLQKYLQLYFYSPPHSSYSFFDTKIDNNCFQIKLKNKNLNNLQKRDPANYTTISLG DIDSDEETEPVFLKQNLNKTFDFTNACSQSTLQSPNSKKKQSNTKISQQLLQKSVSKTYQ VIQENAFESVESERDCAKQYKEFGIGIFQKKKTMETLSEQSLTKDVKVKMFGLIDHLGQN IPQDKQNEMCDQIKRKKDTLHTALLEFIDQISVTQNILDDLFYNSNQKTKTFQTSIQSSF NCSEKQTPKPKIPQIFKSQLKESVMKKNYLKKKIDIKDIYNLYGLQLQDNDEWSTYFQFT KQESIFLYKCSKIAMRLKQQELAQKLLEKINARVISVQISYSLLQIYKDDHSQLIQLIQK IMVDFIECGISKIESMPLWIEIHLIRLIKLKGSNYVLGLLGQLENEDAFQLMKKIVLQTE NLL >CAK60031 pep:novel supercontig:GCA_000165425.1:CT868006:128164:129681:-1 gene:GSPATT00030669001 transcript:CAK60031 MESQLSFICIRQHTFFDQKYVLQLLSTKITLSQIGKDPKYIIELDLNYTISWQLNQMKQL TSFSIIWNNMEKQFQSSHEDLFKLRQIIRNRIMYSSVSQLYKPMHQLGEGNFDEVYLCID MINGSSYEIKCLAKNQFEDSITRIHNEISCLINIRSDYVQNLHEVFNGENTVYLIQEYLE GANLYELIMNVALDKTQILIIMKQLITAVRDIHSSNIMHRDIKPTNIVFKNKDSLEGLKL TEFDLAVPINPSQDLRVCGTPGYAAPEKFKDSYNEKVDLFSIGCIFFKLQSQYLFPGKTS NEILRLNKICNIDLKILQLYKLTPEEIDLLKNLLEIDPEKRISAETALSHPYFQSDITHN DEQQLAKKKSIQGPTPGERSNKPLQKEINEIEKYPDELQVDHESPHISAIPNFKVLKKDS QIPTNQTIRKSSKLKKSETQEYAQLNFNKSRFQARNSVQQTIV >CAK60032 pep:novel supercontig:GCA_000165425.1:CT868006:130901:131600:1 gene:GSPATT00030670001 transcript:CAK60032 MSGNKKMDALISMKAEIQAQKEGQEKIQKLCFENINIGQFTNETLKLLSKYNDLICLEFN DCKLSSLDNLIGFPNLTKLRLCNNYLDKNSLQHIIQHFPKVTHLDISGNKKISEDDLKVL VDLKELQHLVFNDKDTNRALLFEQIPQLSYIDHKDKAGNEIEDEENEDEEDEDEEDEDEE DEEEEDDEDDEDEDGESAEEAEESEVEQAVQKKKKI >CAK60033 pep:novel supercontig:GCA_000165425.1:CT868006:131629:133567:-1 gene:GSPATT00030671001 transcript:CAK60033 MRQRSGQIMSPARVIQNFQMSTKNQTSKNASQSPLKFIQRNLSQIILKDLVKQDSARKVN HGSLTERVHSQQRSNSRDKPKPQVKTSKVPTETNFPFQQISKGINLILNQKLTNKNKLIL EKGSMTRRSSVEDLLTSSIQAGGKSSSIDKMPKQEISVYVHYSSEVTQNHRFNPNVTTDK MLSVLKSKSGSYQVIGFATLDENIGLDYYLTIPSLPMIHMIGKTIRLKPIIGISPPKLLN LSCFQFLHVIGRGGFSTVILSRSLIDGNFVALKFISKSFVIQNEKQDLVQNERDILIETT NKGSLFTSKIQFVFETKNWIIFGIDYCPGGEIHKARFYITEVCLALGFLHHQQMIYRDLK PENVLIDITGHIQLADFGLARPNMQPEQNAYSFCGSPEYMAPEMFHNDGHNYLVDYYCLG ALLYEFVTGLPPFYCEDKNIIYTRLLNEQVTFPKKLSPEVKDLIRLLMIKDPSKRLGSKN GIDDILAHPWFQDIDITKYIQKKIDPPYIPDITKLSFKQPTTNDRILFEQLNREQKLITQ FTPMFESAFFYQQKRYKVYEFDKNSSTQVTKDTIDSLVRKRTKNSQNSQQSLLSQQSQSQ LSSVICSNDTLKNTKSVTNLKFYQSLLTEPSKK >CAK60034 pep:novel supercontig:GCA_000165425.1:CT868006:133862:135218:-1 gene:GSPATT00030672001 transcript:CAK60034 MFGISSIYILDQKGRVLISRQYRNELPANIHETFNKKLLEYDEYTQKPVMIDKDGYTYIF IRHNNLIFMTVCSQNANCLMIFSFLFRLVQVLQEYFVNVEEESIRDNFVVVYELLDEMLD NGYPQTTEFKILKEFIKTESFQLKEKKQPEPANFNVVALVSNKISWRKEGIKYKKNEVFL DVIEKLNMLIGQQGNVIKSEIIGQVQVKCMLSGMPELKLGLNDKAFFEAQGRQARARAVE FDDIKFHQCVRLSKFENERVIQFIPPDGDFELISYRLDIRVKPLFSVDVLIERKSATKIE FLVKAKSNFKPKSTANNVEIFVPVPDDAEQPQFRTAHGSVNYMPDKEAMCWSIKQFGGQR DFMMNAVFHLPTIVSPNRDKFQKMPINITFEIPYFTVSGFQVRYLKIQDKSGYNALPWVR YITQNGEYQIRMS >CAK60035 pep:novel supercontig:GCA_000165425.1:CT868006:135652:137937:-1 gene:GSPATT00030673001 transcript:CAK60035 MNDSVQAIQESYTRIKLLSNDVQIKDQTINILQERLQELEEQLSQAIKQKEYGDRQFAIL QEEHDQMFDNQQKKNKQLQQFNQQLLVALKEVQDKNQELLEKVKFQSQEISTKQMAQQEV TEQISLIKDAVKGLEDELQQLTKKNSILKKEKQEAESSLKQVTTEKNKFENSVMNMLKQL ETENELLKQELEEYRQRLEQQHQELVELKYMRIQFEDLEKKNQQLNEQFNIQVQTAMECE KNYGLQFDEMNKANEKLRKECEIQNDHYKQQKEYSNQLEQQNKEFSKLFNYIQQQIDETI AECLVVKKKSNVYERLYEGRKSSSASSRRSVPKKKKKYSYNQIQIEEFFIDIKEIIQSLI STNTQLKDENDQVCKNIQEMHKVGEQLRYKIEQLNSEHIKAKDQLQLEHQIIIEQQEDKI NQLQDALEQQNLKAQKDNQYINQLVESCHQYDQQILEKEKLSQQCLLQKQDLAQEFEELN NQYIQLQVEFEELLVRKAISQNKVALSYSLLSYLLKNKRSQFLFSQFIQYSNYIHYFVLL RNEFQARSNNVYLRFRKVAYAIIFVKKLKKALYIKDEVVNLEFEANEVIDQTFEKFIPIF HEIEEGKQTDFNIVNKMLKELKYQINKYDLKYQGRSINSQSYYQILNFAKEDILKKNSVV GQIDYYKQQLSSLQTRVYQLDEYVQQLEQELSEKVNFSTAQQQQVYLQQSEEQSVNKFRT DSSPPQKNNLSVSLQNELMSILSKKSSANLSKAGQIVKQGF >CAK60036 pep:novel supercontig:GCA_000165425.1:CT868006:138661:141351:-1 gene:GSPATT00030674001 transcript:CAK60036 MFQKISNFDAELEEFLREDNRPTKKLQQQEKPQVVNRENLINNENCMSNANYNLIVKDVL NSNQVEIDSYILSIFKRIQKQPTSHFLLILACEKFNFGDNQKTIEIFLFDHYNNLKQIRK GMQIRVNGEFIDSRAIIFTQKIQNKNSFTLEPETLVAPSILNGLDFCRRKQFTREFFQNQ CFGTTLEMLSGKILHDFFQNIIMDVKSYDSLFENIEQLDEHQKDLQQLVVENLPQSFLQP ISQLYSKYIQEFYHLEKKTVREMLINIIPKCVNTLRWIQQFVIKQQPISLKQQKSSDVFK IKILKWVSNEQLISSSVYGLKGFIDVVVQVEFRKNSKECQICYIPIELKTGSKKQSYHLQ VQTYLLLMNNFYKQQSQFGLLLYLNKLELEVVTCDQMDINDLFFYRNLYISETYMFEKPK DCYSKLPDLKDELRSERDLNFKCEKCDDKSVCYGLHILTEQSELTVQNPYYDNINQQLQS KSKEYLNEMLKNIRQEEQTILIPQATYYIQATKSCQTGIQVTLQSKNYYLKQQANEILKQ CFQDKTKINLFCIRTQKNVKYNFQNAWLVQGTQIINLIENQTDVEEIEVDEQNFDYAIGL EIFLEYEQIDQLGDHLQINDELIHKLYQKNPFKHFKFIIFELATNKEIYQRKKEILIFGM EPKFRLVTEMDDNQKVANAILMEKFQKNLNEFQIKAIQLCLLAEDFALISGSYGKRKMLS HLLFLLGYTNKKVLYCAADQEVVDDQIKDFVDTFPNEGQWVLRLQGEKDKIPEHYQKYSF SFKNCKDIPEVEKKLEDKQFYFSSCQYCTDILQSQSFDYCIVDQSTKIIEPQCISCILKS KVFILLDDKEFEQPLIKSQKAKQLRISLFQRLLQQFQNVGCQQQLEFSIQDIDDLI >CAK60037 pep:novel supercontig:GCA_000165425.1:CT868006:142357:142965:-1 gene:GSPATT00030675001 transcript:CAK60037 MQELQEFLDKQKDLNFKMLEDMLSSQSLQIHNLSMGLKSVQNCLENFKQKTRLRNKNLYK LYSNEEATIFIHLEKEIQNLQCKSDFTLSVSLRDYSGNLAKNEEYTVYIKLFSADKFPKE ITVNSQGKPILVGKTKLQFKGKGEFKKLQITEQSSNFPQGKFALVLYSNSPEVQSLILEN LEVQKKK >CAK60038 pep:novel supercontig:GCA_000165425.1:CT868006:142977:143691:1 gene:GSPATT00030676001 transcript:CAK60038 MIKTLPLLLLLTICLSEDTPVARFAYGNMNLLPRQRAPFFSAIAVTPDLKFQKISLSDYS GKYIVLLFYPFDFTYVCPTELVAFSNAIDQFRALGAEILGISTDSHFTHLAWLKTPRNQG GVGDLQIPLLADISKKISKAYGVLVEDELDELYGASLRGLFIIDKKGLVRTMQINDAPVG RSVEETLRLIQAFQYTDTHGEVCPANWKPGQRTIVPDQDKKAEYFAKSEL >CAK60039 pep:novel supercontig:GCA_000165425.1:CT868006:144485:146393:-1 gene:GSPATT00030677001 transcript:CAK60039 MLIPINPSKAEKHTKTLLVSQPILFHSKGASRSDLMLDQIHNSTKTSTFRSNETGNFYQT QYGLGDKTKQFIQNQEQMQQQNNCKRVLKQGVDTFALTQSTMNIFEEANNEERKKYIDYT GGPQFEKDKSDKVIKYSVVGYNQFLKIHQEKQRNFSRIKKNQQNPNQMTTDDSLFDEQPP TRTNQQAQSIILDKQQARKTPKKNKVDQQYLSKRDLNKIVKDAEKHIQGAQIEREKAEEK LPFHLRNAISREERAMKKFENVKTQWENVNIQVASNCQREPEQTIMSRADQFRERNQKIQ AIELSKGEEEKNSSRYWYLRLRWYDHKDDRPQFTLLTQTNKSKSCQQFENRLMNRFLSDF DAERLAQQQQFVLSDIQANFNTKLIDNPFKQLETVISEKKLIENEATQSPKSSLYTNKLM TLYNQKLQDKLKKKFVDCKNFLFLTGQSQKDREQMMLNRETGKQFKMAEIPNEEPEVIVN TWNRKNLAKSGEHILI >CAK60040 pep:novel supercontig:GCA_000165425.1:CT868006:146569:153666:1 gene:GSPATT00030678001 transcript:CAK60040 MPLKPQKTIRDYLKVKRKLFLDQLSQILSEIDQNYNIKLSAKQFVTRYFQYILECNERKL RGDTSEFVYEMGDYFKDFNLKYFFPKQIWQFSVTKTLINSLQNASELDILHIFILLNLVL QGKFAISSASLIEVLPYEGGYTKYPFEYDYMIAFRYRNLVKIVIQIIPVLYPILNEEGNP KHFEFHHELILVGSIPDIQKDNYQEIDKYFRNQQTSPNYFPNMKLVKEQKGDAQVLNEIK IGENPKNWKDLMHPRKFELILLDGNDYVYNPQFKRWISVSTQDILNKKLQKMQAFSANHY LIQKYSKQPSAFQLFKIIQNIPNFKVNLTKEQQEIISFNGDGLVIGRSGTGKTTCALLRL FTTDILFKIRSKLDQINYQTSEIQLNQQQQDCELKTVFVTASPLLACQVKRLYDRLISSI QDVINKKKNRQRNQVDIQVQGDQDEIDQSTFQIIDAIKNDQEQQEQQQQNQEDDIDDQDI DEYEKEMGEYQRFSDINQFPIFLTLRKFIFLVDGSLMNSFFAVFDNKQNRGSQWHNEQFG QMSISQINEAMQKKLEQYNQKLMDEIDNSDFIQTKMHEVTQNVFVRIFWPKIVGAIKSQF ITLKFCDPIFVWSEICTKIKGHETSHKYPDKYIDFDNYKNLSGLNKDECSFIYEAFQIYE QYKYQLGYYDLLDLVNHINQELANGNDFIENVHYLLLDELQDVPRAVLILLDQLTELGLF CCGDNAQNIAKGIGYRFFEVQNCLLSTRNEKKKTYRNLKIFDLSINFRSHNQILQLANSV IRMIEIFFPQKIDKLNKETSNLQGPKPIIIKSDDVGQLLNNLCDFFSNDKNTVEFGCNQV IIVKDQESKTRLPIELQNVLCLTIYEAKGLEFDDVILFNFFHDSTASIEDWQSLNDLEPY SEHLKKIDYERFITIHQNEVIQSTEGKDNELVQVWQLKMKKKVENYTISIDLCQELKQLY VAITRPKNRLIIFDQSSEKRRNIQNIWIQLDTVNVIGQQVQPKDVIFQLESQNNNKENWK RQGLKMFRMNNYDQAAKSFKFAEEKVLEKKSIAYNIVANNAHVVNDNLHLFQEAAMLFEE IGLFYRAAQCYFTAKQFEKAQDLYEKIGKMNEMAESAYFARNYSKAAQTFEILGDIRRAI DCYRLIRDWDNVIIILNKYKDQFSQKERMAFLNQFFPNYLQSLALEIEETGVSQNESNQV QEIQETNTQGELVLNQSDSFTIQNSVLNRSENQGDSQLVSDQINNQNQNESSLEILNIEQ DEQSQSQSFQIENQDENEQNMDHLSIFDPDDEWLLQDQKSLIKSMSSKISHQSEFSNIIL LNQPPQISLLKQKSNIFISNKVLQKLIKKSQLFSQEFRNHLESQKCKQVQLSNRKDDDKE FDHMINFVYDLENIDIDSIYMILDILEQFKSYKLCIYLCNYFKLASYLGRYVVSLTSSYS PLTKNKSSVYIQMITLGSYRRNLLEQSALSQIAFDNIIETINPIFSTFKYEEELNLCNSL GLNFYQELIGLGFWKALIYSLNYRHSMDLCMSFNNFSDAIEIFKKMKQHKKSELSEEEQF RLRKIQYYQFIKSIKSQQDKVVDFTNTLDDVFQITKNYYMKKQKEINEVDLDQLIINANI SKKNLTFNEQLKQIEAIILSFDILKSIRDFSYENFVGLFQLLEYFHDKLISYQNQENINE ALLFCYGISIPKGDIMSHYSHSLFIHISSKLIKQVVKETHNKDNAQKQPNCLTFVDIGYE YISIPFEQAIEEIKISFFQRIQQMLNHQSQRLKEFYKVHDDEFFSLSSQEYWEDTLAELL VFVMLEQSIKKLQSKIKQKKEVQPISIHYSKQMESQDNSIVDSETSQTLQLIQRIILKNN FRIIETPNYIKSIVREISLGLILRKQDRNHSNMIMAINLLNLTDQLSFGVLIFSSQKKGY EVFLPYLKYIEFLECQHFGIIEDSAGCFLDYSQYVMEKFYLDEQLCHVIRIGLNILVSLL CYSQQKLTILKGYKDYLNKIENVDEQCCKLEVGVFQINNQQVILEYLEYLFEFYQYCCSN YYQDKIKQFLFIFAINLLNTQQVKVIKSLIQYLQQDEKLKAFKRLQDTLAKELSGNKKEV QHKKNILISHLSYLEEDIVEVEIVNIKSGQQLDQQYDKCIQIWNDYQDNSNSKMDCMKQL INKWNGYRKNHKINLTEQIKQNLQFWRSLQYYKIGYDRHQCLRTCVNLRDLNRNMKKHIS NFNEDLQLSVSLQRQLLKERQNYINSFDIHLLNSFLNDLGEYLKELFRGEDVHTKVKQLE VEFRNWKENKEEDGTYQKMMELNRQLIVQKWKNLKGGVKVHHKGRRDQLTTIQEEVTDEF QQ >CAK60041 pep:novel supercontig:GCA_000165425.1:CT868006:153722:159227:1 gene:GSPATT00030679001 transcript:CAK60041 MFLGLLCITYSVLANQPIEIGETINNAIMPWHGNAKYELYQFELKEVKQDADLVVVVKQL SALGNPDIYISTTVTEPNEHTAEYICDSIGMGMKFSKLIDICVIDNAKKGPYYLTVHCEG YCRYALKVVYQSELLMTNSDDLEFKYDSKAWTDVVRIETKNLSWEETQMKPTLEIQVRVK NMEILQESFKSYLNIGDQKPTPQKSDFVGQDTFSGMQKFKIHNLESNSKFTLLVEGQEGA IIQIQTRTYGTIRYMQVGDKVEDIVAEDDYQFYCIDLTQDSKGIALGQTVLNIQLLPFKG YTEMYVNLDFQPPFLDQYYWQLKDRITDDLVITKTDLSKANAKGNYAYVAVRGKESNATF ELKSQVLDPNLMLLELNKPTTAKLQKTNQIQYKFYVRHSKKQTVSVSLKNLKGDADIIVK QCENLWMCTIQQDEIHDKEQRVVTKPGKLFLYSDNPGNDIIVFDNDPEMCQKYENTYQCF YDVVIYPGPKNDQDELIYSILITTKGEQIILRENTPLKQYVGLGLNNYYKFIVEEQDYIR RMMIQITPIQGQPKLFASQTTQNPTQQDKQAVQNVITYGQFGQQGDQEPIKGTYYIAVNG YTACQYIITVYVFRNRGDWSQIGKFPHQYILLLDGYPQEITSQNNTDVWLFKIDLSAYDN PEQLMHYGVDVKLRVIQGSFLMYGFDRPNVNKSQAFLNGSDFLSLNTLSKIYPQYLYIRV EPNPVISTNQLSFQIYYRINNQPIELILGDNYRGYISKDDTQQFVLSYFTNDELVITKNA EYMDQSVLKARVYYGNFDQDMTTSSFLLKSEDLNFEKCKTNATEIIKCQITIFISAKVDT YFSLLITKEASVVFLYDGEPVTKQLQNKKDHFLFYLTDQETEISVFSISGEVKILVQFFE QQPKLEQFPTNNLDAAIQSLDDSNDIGSSIFISNEHLELKQCKEKGCYIAVTCIKDPKYD AKGQYVITRSSSYTVLYPSIIYYGEAENLKMKYFKISNVSLSHGLMVVVSPLSQGSLIVL ASRNEMPTLDTYQYSSNSMLGDQLVIQAQEDESKSVNYYVGVYAFDNVKFSIQINIGNLH FYQLKKGIPMNFYAEYNSFTYLNYYHSQDSEFTILFSSNLVTQYNRANVHVYTYKDMSMQ ADAIQKVQQDPMWKMADYFLTIKKDIKYCSSCYYLICVQNFNVNNDISITIQLENESTQM LDNVEITNQIQPNKPHYYHYIVEDDFYLNATIFEGKVQILGGFHKHLSEIEYPLLTLDVN EGLTDKNGQVIGYSYSEGKFPNKTIKVNKDNSENPKYLYRFKIVSSSVSTYKIECIQQRQ AIELKVGEPQSHELNSTHTVPFYFVIPERAYDNSNEGFYTLDIETYGHSQARISEKTQHP NIKLTQDLYSNPNFLSENHNFQDVQFIRHNQTDQIIHFEFPNTPGLYFLQISPREASNLP YTVTLGNDFLNILAPKLSRFASNRIGQQRFWELNLYKPSKVLIQAQLCGGIISVYGSENL ENLQRGSYSQKMDYKHNKQIIGTIPISSSGPYYLSTKAVKSMIPYKDYVDYILKVNVLQP SSVVPHEHFEPGDGGEFTAHYDGSNITIFFSPIQVSEQSSSEYKIQSFSYNFIYKLYNQS SEEIPFYHCDSSISFPSISFNKEPHQRLKELNHEFYVGKDFEKISLSILAKVRVTTNNFE ELKLYYYYDTLSMKVDNIILRSNPKAYRRGIIFGIITILLIAIIWLFIRNRKLKQLIQFE SSQQGTQHLVRQEQSIEMNYANLR >CAK60042 pep:novel supercontig:GCA_000165425.1:CT868006:159468:160102:1 gene:GSPATT00030680001 transcript:CAK60042 MSLRDFNCITISACKFWENQLKTPKKEEESTVCSVQNPPQPSILEVSAQKCEEYLFGGEE KIQPVAESIDGDEQLVEQDRQPKDDSFSQMSEKESRKESRKSKSTSTKRKGKKRFSIQQN HLIMENIRKGSNFNEIAQQIPGSTISSIKRQFIKKLRVVNEESEDGEIVNTMNRIQRLLE QMNLLNIETRVWTEKKKQENSRY >CAK60043 pep:novel supercontig:GCA_000165425.1:CT868006:161179:161457:-1 gene:GSPATT00030681001 transcript:CAK60043 MEKWKQTIYQPPSLFLERKRTALYRRLDPLLVAPLTKFDHKAYDQLFKSQANQHLRIRNL SLQSDRVQETPKQLGLEDIVYKLQKIRKLRNT >CAK60044 pep:novel supercontig:GCA_000165425.1:CT868006:161731:162653:-1 gene:GSPATT00030682001 transcript:CAK60044 MNSAQVYHIISDKFHSLYMSNIHQADQLNILRSRNIRTIICVDKSPSKHYTDFARYYQVF LQDDKSTQQLQNICELIQNGLKLSSILVVCNNGLQGAPTIAIAYLISQGWKYEKAFYYVK EKHNLINPTLQSKKQLIEFYGSISIQQPKEDEFTRFTQVLKEMWEKQEYFEGSPCFNPLT DSFQDDGTKTPGFISPTNVLKIRNSIRKPASRKSSMIRSTSCHQRSDPNLNYSFNFDEIR ELDQEQKLEIQSPQFLQVQEICEEEEEELVRLRHRRHRRNITRNKSAHQNI >CAK60045 pep:novel supercontig:GCA_000165425.1:CT868006:162671:163551:1 gene:GSPATT00030683001 transcript:CAK60045 MNINNQLLKIVQDIQLTIKSDFDLKPNLDELITILRQQVTILIELVQQQKSVDYDQLEKA VQKAEAEIRNHVRVIVQFLPIQVEQQMKLYSDSLQEKIDQLEREKQELIDKNKTLIQSQE RKISSQNATRESSPSLFKKSYILGNQVYNRQQGTSQDNCTSIIQNCDSHQTREKRSSGTQ HSYIMMKNIRDKVNMNASQEKINDKSSTILKSQNGSSLIKMYNIQKLIIKNQQDKNLQQL KKYYSYPNLSQTLNQILDDSQATKKKP >CAK60046 pep:novel supercontig:GCA_000165425.1:CT868006:163875:164656:1 gene:GSPATT00030684001 transcript:CAK60046 MAQFAVENLAQVNEFLSNNNYLNGDLPGADDVRIFNALKGVPPKDQFPEVYFWYLLLSSF TPAVRAQWAPAAAPKKDAPKKEAPKKEEPKKEEPKKAEDDVDLFGDDDVDPEAEKKAKAL AEQKKQEALAKKNKPKPVAKTIVIFEVKIFEATDQALLESTAKKVKETINPDGLTWGKEV KFEEIAYGAKKIVMSMIIEDDKILTDDVFDQITAWEDDVSSVDIVSMQKV >CAK60047 pep:novel supercontig:GCA_000165425.1:CT868006:165883:166455:-1 gene:GSPATT00030685001 transcript:CAK60047 MSRDPQLELLNIEPTLREFIQMPIANKFYHQIETDFTAEPWDVPITPAKSSQQKKRIITF KQPITRLMPRQSITETPTNISRPKSCHHKSAFAELGVPTPQASCPKVLQRIIISAQHKSS RKNSTSQYRQKCLKNILLAQKQNSQQCNYTHTSTDYFNFISSINPVSQKNKTTTEPFLKK FKLKLKNMLN >CAK60048 pep:novel supercontig:GCA_000165425.1:CT868006:166734:167891:1 gene:GSPATT00030686001 transcript:CAK60048 MFFNSTYSLFSFFNRYMNSQFLNYTIIKRVIIYLNIQYSQFSMNLIINPDLLHYSIYQFY SSLDTNDAFRSKQLSNLNISVHTFSLYEQESRIHSNKILHLNYQLSFLIVVLDINSYNFK HFAFFMYLDPYNPGVISCLYSLLPQFQQFYRSISFKTSYFIIRIIIITIVFIMFNINHHS NLAIPTFSHKECLQTFNSYHQVIPTSIKQMRESIQIPYNQDIMKASTILEVGLRTRNTHY KERLEDLYLKYADGCIYRGQGIPPSQRHGMRVLFNTESQEVYSGEWFNNLYEGFGILINQ SPHLIKHKIDPKDLNTIEDSWNDYKGYFKQIKCIGKKFEGHFINGMIHGKGRFYGDQIIV GNWLEGVLI >CAK60049 pep:novel supercontig:GCA_000165425.1:CT868006:168228:169978:-1 gene:GSPATT00030687001 transcript:CAK60049 MMHKILNKYVYSEDDEIGRGAYGIVFKGLDCVTKQCVAIKLLNADDMQDQDSICKFQNEM SIIKEFQSPNIIKFIDCYSDNKQNIAVFDYCDGGDLLKFLEINQNSVDEETALRILIQIV NAFREIIAKGYIHRDVKPANILIKNGIFMMADFGFALKATTYEYFQQPVGTPLYMAPQLL DNVPYTSKCDIWSIGIIAYQLVYGKQPWPYGDYKSYLKNIKCYPLRFPVEKTVSDQYKNF IRQCLKIDERQRLNWAELFEHPYFDSRAQKQNIKNIQDQNGFENYILEHIQFMIKIRKLN FEEQFKLFDVNLEGNLSQNQFYIFIQRVDPSLTDQELFSIYTQLNVENKQKLSQNQFKLL FNINDTNVFKDIYKKFIQEFQDLISTNKLKIENIFNFYDTKKVGRLGFEEFSKLIQRVKP TLLKYEIALIFAKFDLNNVNSISLEDFKSIVSPEQKIVDKITLKKIQQDIKQYLRKNNLT NECIFKKYNKSRSNKLNLLEFRIFCKEFNNLLSTDEIKQLFKQVDKNNDKVLSYEEFNNF FN >CAK60050 pep:novel supercontig:GCA_000165425.1:CT868006:170964:172519:1 gene:GSPATT00030688001 transcript:CAK60050 MKSNLRELYHVEENQKGFIAQGAFSHVYACRRKNGNQQEPLCVKIIKKKIYTMNRTLQEI EILNEIKQLKSENLLHIDHISESESQFEVVMERCDMDLEQEFKLLKSKNKWYTEQDCLNI VTQIMNGIRVLYNKNIIHRDIKPANILVKIINTDKNEQKRVYQIADFGFSRILNDFCGVD YYTQLGTPLYASPQIFGSEPYSGKCDIYSLGILFYQLFFEGNMPNQLQTKGDLLNFHKTL ENKQFKFNSPKYHNAKLITHLLQLMIVYKEEERISFEQIFQHQILKIKISFNYSDSIFSE VQQGDCLNKLYAMQLQLYRKYLFYKSVSQKLVQSQQIEVQAALYCLTQLGFKQLHFCLGF IHIIISDIHPHFNKDNIYPLLQELKLCEMNIDKHPQYQLLIKIIKQKYYSEKGDLQKQMN QLETEQNSNTQKSESMRTFMEIFQLSKSANIEQKQLTDLLQLFLEQKLLIGFEADIKKSI LLEERFPIQNSQDINPDDIFKV >CAK60051 pep:novel supercontig:GCA_000165425.1:CT868006:172615:175719:-1 gene:GSPATT00030689001 transcript:CAK60051 MINHFLHNWHQPVGDPIEYEEIKRYDDGRRRYDKTLREKGGIEYYLVSKKFVKQWKYYVD YYEEMGDQQKEARKKPDKMNSDLQSNEKVFKYIPEDHVYNSSIRYLENEWDYEYVKIKLI NNQILKEVFDLFKEKYSSIEPQKRIGFYQENEKKKVVYPNLARFTLIYQSLRDNKIYSVK AQVDHHSEIKSWLDLLKATFQDEQKQNPITNIRIWLPRHQNYNVDLITSQLEQTMLIDGD VLQETLMVFQLQSKRDNCLILDFESNKWQFNKMDEQQQIELDTELLFNRALNGCGKYVCD FPHCKLNNGFLEQDFSQDDIKGICQQLVENEEVNWNQLCYQGKNINNKLVPLQMSEFQLD LPEAIIKISQQLEIFGASFVENFYNKSGVYAIDLQNADVDTNLIIETQSKFFNYKKGFTL IINILFSQKEKNYEPLTNLYQLRALYLILQFRQFLEHIVDEKSQIILKIIRRLDVKQKLQ LSRWFTNLSKAEFEDTTKKIKKLINSEILRQQHTPLMPFLEIEDTYKMVGLFELFQILQK SNKMNTRLQSSEFVIDLITKLYKQDADKEEFSQFRYYAAKQWRNYSFTFCLYAWSIPIEF KSKLLSLDCKVKQHDSMSHSVKYHFTGQAPYLSLQIERNNIIESAIKQLQITHIPLKNPL KVQFIGEQGVDEGGPKREFFRLMMEKLISPDYGMFIPKNNGTVYWFNPKSFEMPIYYSLI GKLLGLALYNQVLLDVRFPTVLFKKLQNEKVTEEDLKELDMEIYTGFQYLREQTDSNLIA NLALNFNASYVVWGEAYFDDLKPNGFQVNVTKENREEYIELYTDWYLNKLVKSQFDLLHS GFKSVVDGDGIKLFSGEELQALIIGLPHFDLKDLELVTKYDGYDDKSEYIRYFWSCIHSL SIEMQKRFLFFCTGTDRIPVGGLKSIKFVIQKHGEDTEQLPSAHTCFNVLLLPLYKKKET LRDKLMISLDNAEGFGLM >CAK60052 pep:novel supercontig:GCA_000165425.1:CT868006:176459:176998:-1 gene:GSPATT00030690001 transcript:CAK60052 MEQFGEDDEIALIPIKKRPKAQELPQNKSSIKGQQSVKKPISKPNPKRMDLKMQASLLNS LKLVENIQPDIIQSSLKSDFTEWQHQNFVFKLSQQSQNSKVEYDDKINQYFNDFNQKWKI YPYKHFVDLKPETDHVIMKQPLCESKKINKQNQNQYQIKVIPLRQNEKLVDIKIKLELQ >CAK60053 pep:novel supercontig:GCA_000165425.1:CT868006:177378:177916:1 gene:GSPATT00030691001 transcript:CAK60053 MQEIEAKKQLKASEGAHFFYTLIFLSASGIIETQFIEQKCNQNLQLFVHLVFYGLIIWGT YILITLIPRYKNAAINLFFNFLDICFGIYIGLLLFFGGRMYMASNDCLAEAPALYFFLET FLLVNGIIFAILFLAFVSYVLKRFSKSQQVYDEGKDEFYDA >CAK60054 pep:novel supercontig:GCA_000165425.1:CT868006:177936:179631:1 gene:GSPATT00030692001 transcript:CAK60054 MNNSVQNIRRPVTSQNYRSIDKLGNNSQQKLPNLSDVMNLKLKQQSERELQELIQKLVKQ VQQLNSAVIQKDKQIQQYEFMIKSLQIAIEKRDCIISALRVEKQQIVEIVNPLKPMVKTD DSSRTPSLGPKKSMRTRTKDTNFDENLSAILDSDYALLSQYVKCYEELNHLTQIKNLVSN EDQFFANIQKMELSNIVHIFDAIQLVLQEHKLLFKNVIKQNKLFEACLQIYEQIPLNDQL ESLQGLLKDSLNCDKVQIYVLDSEHQELWTKTKDRVLRIQANEDIIGVCFQERGLINVHN AYNDPRFKKENDKGYKTNTLLLCPILDKQQNSIGVVMAINKLTGHFNNDDQLFISKTSEM ISILLRNHQQSNESISIQNALRNVIQAQLQLVYMNDTEHILFEAENLLKNLFHTQKGLVY VVNNNRLLRVNEKKLLEVSQLGIGIVGEAHKVKEFLWVQNAYNHQSFNNLVDIQTTMPIY CIEVEGKNQSVILQVINNKGIRSQKVSSFDQELLEMFGKIILSKIDFIQL >CAK60055 pep:novel supercontig:GCA_000165425.1:CT868006:180645:181732:1 gene:GSPATT00030693001 transcript:CAK60055 MKGEYVIGIDLGTTNSCVSIMESGTPKVIENAEGMRTTPSVVAFTADGQRIVGAPAKRQA VTNPENTVYATKRLIGRRFDDPNVQKDIKHLSYSVVRAQNGDAWVSLKSGQTYSPSQIGA FVLIKMKETADAYIGKPQSKAVVTVPAYFNDSQRQATKDAGKIAGLDVLRIINEPTAAAL AFGLEKKDNKIIAVYDLGGGTFDISILEINAGVFEVKATNGDTSCGGEDVDSILSNWISQ EFKAQAGVDIQKDKMAVQRVREAAEKAKIELSSTTQTDINLPYLTADASGPKHCNLKLTR AKLESLTEDFLKKTIKPN >CAK60056 pep:novel supercontig:GCA_000165425.1:CT868006:181735:182752:1 gene:GSPATT00030694001 transcript:CAK60056 MKSFWFGGMSRMPKVQKLVQDLFNKPPNKSVNPDEAVSIGAAIQGGVLKGDVKELLLLDV TPLSLGIETLGGVFTKMIPRNTTIPTKKSQTYSTASDNQTVVSIRVFQGEREMAADNKLL GQFDLSGIPPAPRGVPQIDVTFDIDANGIVHVSAKDKATGKDHSITIQSSGGLSESEIQD MINKAEKYKDEDKKRRELVDLKNEADGAIFNTEKSLNEHKAKLQPNEVQEIESAIQNLRV LLTENLTANDVQRLKDAVENVKNSAMKIGQAMYRNTGGCQ >CAK60057 pep:novel supercontig:GCA_000165425.1:CT868006:182761:185384:1 gene:GSPATT00030695001 transcript:CAK60057 MLLILYIPLISASYLYENSTLITQTLFYEREGNPMYNLSVLTTQESYNQLDIKIEDLEKR QFHIPSEMEPFKSIYNDIVNPIEYSHYDYETLIDESPFKFNVIRVDTQETILSLFDIIVS ELYSEFTVKIPTKYFFGLGERNQKGFRFKEGIYTLMAKDVPQLLEDGKQPGKGVYSSHPV YLMRERSGKYHVLFFKNSSPMDVVYKEDKLTFKYIGGILQLKLFLGDYDPETAVKLYHSY LGGWALHPFWAMGYHHSRWPIKSSEKLKEYVHNHKENDIPIDTIWSDIDYMNDRQIFSVD ETRFHKSDFEEIQNQLGVNYIPIIDVAVGVKYGKQDKGFREGINLDIFLRSPNTGQRFRG NVWPGSSYFPDFFHPNCSTYWRTMIKHLYQSTNFSGLWIDMNEPTNFCDGECDLQSGGDK WNSTMDYTDINEDYKNNHIRFPYIPGVSPLEKMTLPPNLYHYGKYLHKDVHNLYGLQESY ETYQAQKEIGKPLPFIISRSTFPGSGHFTQHWEGDNEASYTFLYLSVGSTMQFNIFGIPM VGADVCGFLDNTTPNLCARWVQLGSLYPFFRNHNNDRAKDQEFYSLGQDVYQAARRNIKL RYSIIKWYYSLFLRSNHTGTIFRPVFFEFNDDVNLFKDEVLDTQFLIGDELIATPILIEN QTIRKAYFPKAYWYHFLSGSRLQKQEDPGLEHFIVCKYTDYVPLYIRGGSIILQQNITNV RSIKDLKNHFHAVIAIGEKDSFGTMIDLDEFSDQKILEKCDQSNCILNVNFSIQENKAEF TIVRADNGKPASYPLFINRLKIYGLSPSQNIKCSSNKIVECSYSNDNTFPYISIIELNEG IGLEFGETTDIFKLTLQFS >CAK60058 pep:novel supercontig:GCA_000165425.1:CT868006:185606:185797:-1 gene:GSPATT00030696001 transcript:CAK60058 MGVLLILNIRFLSNEWVIETVYEYYRKDDTQGACQRLIQAAREAWQREDEVIDDITVVIA FIK >CAK60059 pep:novel supercontig:GCA_000165425.1:CT868006:185828:187064:-1 gene:GSPATT00030697001 transcript:CAK60059 MKAKASQISPDKLYASLLKRKSEQEQQARLKSPIVNANKYLVPSNRNGGGNSKIEAKKSR PASGKDFKDVSSVLLKKTHARQNSKLIETKENSHNLSANALSILLKIKQERPETKQPVIQ QNKDLFNQQGISKKYQKLMEMTKQQQQSIVKSKLFKGPRSISNPDISNLHLYIAKANGLS APGMLYNGQTKTNQDIYKLINKFNNKENDWYIQVSDGHGTNGHQVAQFLQQVLPSFIEQG IQAISSCYDRDKQVNTILKNCFLQTNEELLESGIDVTYSGATTVTVISFENVLYCANIGD SRAVIGRFDNKLSVIELSKDHKPDCFLEQARIIQRGGRVQAYSDEDGNPVGPARVWKSDE DVPGLAMSRSFGDYVASQVGVICEPEIIKHSLLPL >CAK60060 pep:novel supercontig:GCA_000165425.1:CT868006:187673:188907:1 gene:GSPATT00030698001 transcript:CAK60060 MQNQDQEYLLNNVNPILEQLALYLVKEKPDNVTQASIEWLSVTGAQIENGLHHNQNFHND TVESSDDTESDEDDYELYANNPIRPDRASVSAEVYGIYNKKTNFKPKIVAKSHLQIQKIK EKLAQSFMFSELDEHDLRIVINAMEVIQCKKGDIIIRQGDDGDNLYIVDEGTLDCSRTKA GQDSVHLKTYKPGESFGELALLYNSPRAATIVAEENCVLFSLDRGTFNHIVKDAAIRKRE RYEYFLAHVELLQELDPYSRSQIADALKSRNFNIGDYIVKEGDEGDIFYFLEKGEAVATK VLNQSQPAQEVYFYKEGDYFGEIALLRQAPRAASVIAETPCTVVYLDRETFKRLLGPLED ILTRNFKKYEKYMN >CAK60061 pep:novel supercontig:GCA_000165425.1:CT868006:189734:190193:1 gene:GSPATT00030699001 transcript:CAK60061 MSYYYQLFVNFMNLSARSYMPTQKSISPLNDRTTKKRTLTIYELTKSSKKTNKIITEKST QKKLTSNSSQETSEICLTRNDSAQDNTRLQQLKIQVLTEKVQQIEQLNFQKTTLLLKELQ YYRNKPLNSSNTSVMVALALARI >CAK60062 pep:novel supercontig:GCA_000165425.1:CT868006:190973:192096:-1 gene:GSPATT00030700001 transcript:CAK60062 MSNQPNKDFQEIEGKKKLFMKWALNTMRKYFNDKNLPYHLLSTCVSIKPGTANRRATLQS YPNQGVWKHLGSLPENVREDLKAFLIESAKSVETKFQQFILIEFQKRVQNEFDFLSFYKE SKETKDNQVIQFQTNIDNETNETPQIQADEKEKQVKQKKWSKGQDFVSESKQILIQMQIC NTQAPIVPVNQLHYHKLRTLLEKIKELKCKMSDEMKQFQFELEKFCKQDFCSTEPAQKKK VKKNTENNEQNDIQEKTQYNFSIKVENEDYDLLSSFKERVKRIEPGITLFIPNSQQFEEP TRSYLQQFEDNYYKELYYKEKAEQMELNPKNILQLWRSSYQIVQYKISQQKVEAQSDRSE FSF >CAK60063 pep:novel supercontig:GCA_000165425.1:CT868006:192870:194447:-1 gene:GSPATT00030701001 transcript:CAK60063 MHNFNVDHKYKFQTRIGNWFEEWELDETKKKDYLKNRQNGQLASIVKDQKTNFSLRLASL TYPKDEYIHFGFHIMLQNLKTQGFLSIDIQERLKINEEAYNVTTAPTAQSTVRSVFVVVP YNKEPNYFGDDILHYGQHFRILANPRISNNKTFYLHSLPQTPTRCAKISRKQEVCAIESD VFNTVWKLEHSDPKIRFEMEGQPIRIDDVVLVKHSFTQHWLASDDIVYQNDFGREREVFV HSYQCLNKTQNLIAEKEGRTTIDIPLRNQEPQNLWKFVIATKQSQQFDESVMDDNRNVKN LMIRVKQQITGKGSYGLRGLAKGFFEMDQNNNGVVEYDDFKWGLRNFGLNLSEDETKMIF QTFDKNNNGKIEFNEFLDAFRLQMSDKRLYFVQRAYASIEQRAGKVTLDTMGRTINVKEH PDVLKGYKTERQVFQEFVSHWNKAQPDRVIQFQEFGEFYQDVSSAIEQDETFEAILKKSW NL >CAK60064 pep:novel supercontig:GCA_000165425.1:CT868006:195534:196393:-1 gene:GSPATT00030702001 transcript:CAK60064 MSFSTSSISNSTLSSQTSFQYIQTFSDEELELEAEKEIFAQMFEAHYNTTSMIIQTVQES VVFKKTKKLRILKRKLRKLMREQQRRQKGAGSQGQEGSFSGTTQLNQFKYYPYYEGQYQE DEENKHEDIENSVWQPIPEPIINTDFSIMSMSSTQHSSSITNLNNVINVEIQKNTNINQN NNTNQINNASNQSEGVVKQAIKQYDPNEEYQRLQHQQQ >CAK60065 pep:novel supercontig:GCA_000165425.1:CT868006:197005:198479:-1 gene:GSPATT00030703001 transcript:CAK60065 MSQDNIFLKHSQQGLRQKQQITQKQTRTAFNAYQNLFYSFQNLTLPKSNLKDNLNKKQPQ PKFKLEKYVKTQKQQNLFGRFVSQIVFRNIMVFLSTQDLMQLRLVSILTNHLIEANIEFL YYIKIQRQNYVKSLNIQDFQQPVIPSLNNILKQLRKLMSINGSISQIPSQQISQFTLLAD LYMATKSQEQKQKQYVTKYDILQTVICLQYQPLDWYGLLEKERRLIRNYMSKNRNQKNYI NSKENIALTKYIKNLYDFTTQSDYKLICDAYNKEKIVLNLQQQQIFLHNLLTKQRSKLNQ LENISNLGTLFFKGILSYCSLKDIQNTRYVCKKFNQEFEQNSNFYYHIQVQRVQKQIKTI ENNFNLCSKQDELLSKTKEQNSLMELFYCIQNQVKLENNIRTQDFLCINSQEIVDAIFKS EIDHEIYSRTRENGSFSGKQLQNPFHEIRDSLTECLMDYYFKLPQLQKKLEFILHLYHET EIRI >CAK60066 pep:novel supercontig:GCA_000165425.1:CT868006:199013:199327:1 gene:GSPATT00030704001 transcript:CAK60066 MGLCTSKKHNYPLQRSQPQRNIEIDFVKLLEYNRKKEVKDNKNNQQQQSFCQIFLQDNKT SNPQTLTQNLAPTAQLDYKKI >CAK60067 pep:novel supercontig:GCA_000165425.1:CT868006:200264:201471:-1 gene:GSPATT00030705001 transcript:CAK60067 MSTGLAKPKKLKLTDYEVFQTLGTGSFGRVKLARNKQTNKYVALKSLKKAEIIRLKQVDH VINENTILGNLSHPFVVNFEGFCQDPRYLYLVLEFVSGGELFTYLRSIGRLDTSHAAFYA SQVASIFEYLHSKNIIYRDLKPENLLIADDGYLKLTDFGFAKVVEGRTYTLCGTPEYLAP EILLNKGHGKAVDWWTLGILIYEMNAGIDPFSDEDPMAIYQKILKGKVKFPKTFDKNAKS LVKHLLVADLSKRYGNLKNGAADIKNHRWFGNLDWNLLTQKKLPVPYKPVVKAANDTSNF SSYPESDTQSPALKPADDPFLEW >CAK60068 pep:novel supercontig:GCA_000165425.1:CT868006:201685:203519:-1 gene:GSPATT00030706001 transcript:CAK60068 MQGAPVIVVNANTKREQGRKAQLGNIQAAKAVSDIVLTTLGPRSMLKMLLDPMGGIVMTN DGNAILREIDVQHPAAKSMIELARAQDEEVGDGTTSVIILAGEMMVAARPFIEKNIHPTE IVNGYFKALEDSVSILDEIAQQIDTDKKEEVMKALQSCIGTKFAFRWGTLISDLSLQATR IVLRRWKYQQIEFGNQEIPGGTLEESCVLEGVMINKDVTHPRMRREIKNPRIILLDCTLE YKKGESMTNMEMTKESDMTDALQQEINEVALMCNDILKHKPDIVITEKGVSDLAQHFLLK GNVSVIRRVRKTDNTRIARVSGATIVNRPEELQETDVGTLCGTFEVKKIGDDYFAFFVDC QNPTACSIILRGASKDVLNEMERNLHDCLAVAKNIYQDPKLLPGGGAVEMEVSARLLEKA NKVEGLGQLPYKAVAYALEIIPRTLSANCGADTVRVLTELRAKHSETGGLYFGVDGNTGK IAKMNEINVWEPLSVKKQVFKTAIESACMLLRIDDVVSGIKKKQQQSGRQGGEDEPQETF GDQRDG >CAK60069 pep:novel supercontig:GCA_000165425.1:CT868006:203956:205458:1 gene:GSPATT00030707001 transcript:CAK60069 MLQIIKCLLIAQTMYLTYADIGYKIQWEDNNWMNCETKTQIQPTKCSEDELHWEECYKVP NEGLCTINDIKTTFQGELYFYIAFELGSRDDIIHQYDIEINRNRYQWLQQAIQSLKLDSN SISIKIITPGLVISGLTIRNTPFSNNSTCDDTSFYFNYQCLPEYCLDQISSINSSTHTGS TSQIDQITNNLQIISTFSIPLIYCLVPKVYLTKSIEDNTITTILPDEQVNLDDNDNRVLY YYLTPSQYQNCENFQTQEAIVYQCYIGIALAVNKVTQYLLMLISQIIVQKSTNAYTNSIQ TFEIPTSNSESFTIGPIIIFSDASNSIVDTNSMLIQTTQTIIDPNYQDYQIHFLNAKMTQ NSNTIDLKLEEEQQTGSIKVITLSYDSNNFDQQQNYSISIRSSLTMNSTRRLLGQRNIIP QLQIRSSVDGNKVEYQKIKIYSNQESTLQGGYLALIFIAVLLFSLLFIIVTILLLQKVDD KYFKIKQNEDKKTPTDIQSN >CAK60070 pep:novel supercontig:GCA_000165425.1:CT868006:206165:208106:1 gene:GSPATT00030708001 transcript:CAK60070 MFLAINGSAFPIRNEFRLPKLRGQKGLRQSKSRLQEQPESPDKSSKCLTKPCSLLKYQVP GSMILIARSMKFSKDQFTHRQREKQEVIPEILDGYKYSLGQGNNHELVQRIMDARTEWHL CKESNSMSINFRWQQTNRGYKYDRLIANSQYKQCVNHFEQHFEISNKQYLFRNLCQLCEN NKQNVFDFVPVTFVLDFNDESIDSQFTQFIKFFDKFAPKDKKIEQLPKKLQEYKKKLKIQ STPLLDKKLHSIPQKIPKCQEGDQYLWLLKPTFLNRGRGIHVVNDIEAIIQLISELQLGS AEDLEEQKKKNAIKANSFVIQKYIEHPFLINSRKFDIRVWVLVTMDLNCYFFKEGYIRTS CENFTTDNVDNQFIHLTNNAIQKYSEKYGEFEDGNQLSFDDFQNYLNDQGFKVDFYGTHV PKMKQLVWTSLQSVKRKLNLSQRRYCMEIFGYDFIIDSDFKTWLIEVNTNPCIEESSSIL QMLLPRMLDDAFKLTIDTIFPPKYQDDEPTDSKYPVKKYPNNENLWEPLGCLDSPKQQSK ANMYYQNQQQQFQQQMQQQYQQSSSQHHNQLPYYLQPLTPQSSSQFQSI >CAK60071 pep:novel supercontig:GCA_000165425.1:CT868006:208474:208828:1 gene:GSPATT00030709001 transcript:CAK60071 MKWQNAINNNYALYIKILSSDYNNQSSPVFLKVIKFITLSLIAPGCKLRLTSLLLPAERR ITTIYQFLNIIDGCYHDGGMQKGIYLRVQNFESTPQIVPVHSIDKINYE >CAK60072 pep:novel supercontig:GCA_000165425.1:CT868006:209253:210713:1 gene:GSPATT00030710001 transcript:CAK60072 MIVKQKREIFHVQLRRNQREQIFSKKRILDLPQQQQQQGTSEQAIILLKGGMSNQMSLSE MFQELHQLLPKYGDLHLKTLPYIIQGVKKYLQDADSSLLYMNDEDREMSEYTVLEILQYL GSLTYHIKVEFDLENLIDMLVAILNSTDEFKLIERVCQVFQNLLFDFEDASPYLLKCEIV TKLANKFLDCNVSYDLNNLAPMFRVLQQLDGVNYYDFNQIHPLLHRLQNDSKVDTQMYCE IIEGFFAFLIKCTNQLSVQDDSQKLLQFQLFNKLFEFQYVNWSVSLVFKYKNQKKLVSVI YSFLQNLSQGDCGKKLISQGVLTAINEHLADKSVSQNQLYSILTNLIVDDFDSVMKNGIL KKVHSQFEQGFYSADYINELMYCISTAFYTASESQILELIKLRLADCLVLYISQQPMELL DLELCSAIFSAIHSVFVKQPQKSVYSLKKKLRNDSIFLARSSQIYDISQKDENEYEEMVK YLNKND >CAK60073 pep:novel supercontig:GCA_000165425.1:CT868006:210799:211818:-1 gene:GSPATT00030711001 transcript:CAK60073 MGCGASKEIEKQIEEYQVKIDEIQDINAILIQQINELKENKNKRKPVYVVADQEIDDLFV FKTDLDKRFEQIDTDIKKIRIQLLGSEQCNQKQPNEKKQTCKQTDDASSENKWLEMMENN DNEITLKHFQDPILDDLSEKLDQNGKEQSKEKIENLEMKKTIPKQQQEQKPVQDKQANIS ELSKQLADALGEDMKQVHDGMKSKLFYNTGGNQSKEIPSNNQSNIQVSIPNSSNANLTNT NNNINNNNKSSRTNAQNINTPRTDQVKRKSTKSGSQVDKGQANSQQHFFSKQSTEVIVAK KKTKKG >CAK60074 pep:novel supercontig:GCA_000165425.1:CT868006:212359:213366:1 gene:GSPATT00030712001 transcript:CAK60074 MKTVQNPTQYFFEQSNNPSSEYLPKINEFLSKLLNQKQCVLCKNDYNMKDRLPRILIHCG HTICTACLSNFYRNRRVRCPLCLKLIKHLDSIDRLPINHSIFTRISDDINKKSKLHGGTE VIDPQQYLFNQFQQSALQSQKARQQEQNTHPQVDPDSGLEFCEFHTDRVKHFFCMKHKVT CCRICSEMIHQKKDCIVVDLYEIEDVPQFLKEAYQLNEENNNNQQVNQGREDMGFIEDCE QNDGENQFNDDDLEGEFEENSVQSI >CAK60075 pep:novel supercontig:GCA_000165425.1:CT868006:213385:214087:1 gene:GSPATT00030713001 transcript:CAK60075 MAHKLSQNWVFWYAPRGRKAIAGSDHYDVNLKEIGEFNTVEEFYSYYCYLQRPSEVDIDN KIMMFRKEHKPMWEECLDGGTWIIHFKKRESELLNKKWEALLLGCWIWFDDDNVIGVVLS IRERRNLLEIWLKDRKESEKIRIGEKLRVALEMDPNNLTFFFKEHSKSLNDKSTMKGAES YTFVKTPLETPQTEPKGQHPDLDQFKL >CAK60076 pep:novel supercontig:GCA_000165425.1:CT868006:214257:214819:1 gene:GSPATT00030714001 transcript:CAK60076 MQIQSEYTFNFDWETVVKGFWRKYPCKEFDFIKFNQVVDMMLNDNNTMSIKRIVYARKFM VWCLTLEEITFDIENRSMQMQTKLLKACKYYPNLSGDESIIYKAIDDQKTHYSKLLSNFH QTFVTKLLSQFNSSFKKGVEVVEARCRELQNKQ >CAK60077 pep:novel supercontig:GCA_000165425.1:CT868006:214819:218058:1 gene:GSPATT00030715001 transcript:CAK60077 MIQKKKPQFPQIQDVDIMDKFQSKKQIKAIPYSHSQSIQEEDEQSSSQINLFGHSKPSFL MTFPQQPRDCQGSEEIKAPTIITNLLSNKSQEQLAIKKECRQHNPFKYLDESSEFSSKMN SIRSIFEDKKPLQINQERVKLLVNQMRVKFLNLIHYSKYNDPILTQEYQELSPLKYHYFT MYIFLSTTLSNILSCTFIPLVQFFDVSQLTTIISQIVYGLTNLIIIQDLIFQRGPYKMQR GVIKVDFKDSNKKLLDILRMFFLSFAALIEIDERIKLVSVLLMMLFQFIRQAENFENIYR STHHFIFILQLWISIIISFSCIYQVSHQEEDPNLYFCLTLAVSLLTHSGTISTNKNAFLI SFYMIICYLCLAYTTMILYVWMKPEIEIEEEKQKLLKGFVERFREKCENDGLLKRCYSYL EFRIDEDLNKTKDQLTKKLSPELEDEIDISLRSTMIDKIELLNRFSPQFKQQLIYEIEQV TFNPEDNIIIEHQIEDLGLFYILKGQVKVQFQGSSFGNNKRAVTTLQEGSTFGQYSFITG IPSNISIFSSGVTTLMKIKRSDFIQTISNYPQDNEIFCTLKDNAFYNQKLFECYYCKIRG NYIIECRHLQYFPQRINTIEKYLYTAKQQRRQFVRKLRKYFAWQDMNLNQDRARQYANKQ SQDFVSDELPEPSQLPYSESKESFKLDQTYQSVSFVSNSLAQRNQSPDQYQSVSESFDQF NFEYECSDEQTIHIQEPQSRSISKNSNKTTLKTAGFPINGEPNNQASAGNNITVIEKDDR DILNQLQEAQMNKDRTILFPAVRNNSQSKQKFQYLKESSQGILLKEDHTYQQQQQQQQLS HPTLKQSIRQPSSRSQTYSNSLSKDVSNNPSSNSKQNKSQKLSMQYSQSRFQDQLGLKKQ NSSKSLSDQNKQNNNRSLKSTTRQSTPNQISQFHAISTPENQGYFINDLLFNNFETMKEY KIYYPHNNYAQVIDRYIRFLDSNRQNQFKRKKLNHSTPYSIKCFVASKIKRVKKLLQN >CAK60078 pep:novel supercontig:GCA_000165425.1:CT868006:218075:218923:-1 gene:GSPATT00030716001 transcript:CAK60078 MKKVEFGNISLGLQRQSSQRELMIQQQNTDALQERMKMKLLNQRLQTQSNDNPIRTDLST AEGLQLQENKSDEKKHKFDKLKRQPPTFFEKIPKDPLTLMNSTRKNKYIQINILAKSKQA VKDHIINNNLPQQSPAQDAAVRELLDSFQTQFNFRTAIDAKKKRQVEDLKAKYLKTFFIK NIPTKDKVVYKYYGENQFASFTNRDDRFKYSRTQLKNECYHKPFTQSFQTQTQTFCNESS SCTGYCQYLRELYKKNHQSLKHLSELTSSN >CAK60079 pep:novel supercontig:GCA_000165425.1:CT868006:219082:219657:-1 gene:GSPATT00030717001 transcript:CAK60079 MSFFDKWGYDDIRTKELDDLCEIKHQGIQSFQDIALVKQQLELMKLQSFQLREQVQVKRE NLEQIKELTKNMEMLLEEESQDIEKKIEVERKTNNELSQYNIKKQSIPHLEDLQQYITPL NERTQLYQQIQNKRRELFGENYTLMPPQTFKDALKENYDLKQQLHELKMKIKQ >CAK60080 pep:novel supercontig:GCA_000165425.1:CT868006:219867:222763:1 gene:GSPATT00030718001 transcript:CAK60080 MEKHGVAQLFLKELQIFLALLLGENCISLGLQQSEGVDSILPIILNFISGLAFFIVQYKD YNNVKLFWKLKLLIILIQVSLNVETSQYCLIVVHLLDDQLLKGFILATALLINIIQDQEI NYVTTIPNAILLFFNILYWLGFFLANCSYNKARNAYIDQGFQQKSLQLKESINNRDIGSR VNQQQSMMQELNPLKMECNEDEQILKHVAWIQNQSVLVYNNKLNIVYQNIFLGKLLRQST LDEQQTQQQSKPDYEEHFLSSQILMGSKELTDINNNNEQSVNSDGSFLLNRMNDSHKCRL NSKLTIRDLILMMHIQFDKWCTCMVTLFALKSEVLDFENVQVKTFVNKINESFYVFFLFE QKPIQRKTIEGSLPIINVFTTFVHESVSYINCILTLILLTQSDYENTLKISLKQNYYTPI KLISQRFFLFVNNMRDYIFYIQNQLFLRISAFRVSDLAEEISNIFEDNLKVRDVNLITSI DLSDNNQVIFTDMDRVITSFNQLKQILACLLTYCLKYTSGSSLKLDIKSYTVSGIMISFK DSMIAKDEGLRKSITNLIKTLNSSLKTSSFYQVDLNNLLELQICVILCWQLSGSFKRGIE FLYDSQGYGTFTFVVESQTSQQRMQSRQDTGPIKIIGQRKYYETSLSLLLAQDSSGYREE SKYRFFVIRYYTLSQLSKQFSMKPGDAFDVQSAYFSQISRIKQDSSNSKQVQNSGSVPKQ SKEQSNSHSGTWKQAFPDSAQHTYKKNRNERSESQQDSVKTHSAYDLGLEQSTPSIHPPE FTPKLLPSVIKYRLRLTCCSKVLIVDNDHYSVLSLQKVLEKYNIKCDKAFNGVEAQQMIS NKQIKPCRCGNRSYLLFFIEFYLPVNILQGLQLFSGIELVKFLKDEMKSGLIDKGFAIII STFVDLNSKLDCFKNGADYFIAKPFDLIDIGAAVQYLDF >CAK60081 pep:novel supercontig:GCA_000165425.1:CT868006:222777:224061:-1 gene:GSPATT00030719001 transcript:CAK60081 MISMCDIEDTTSLNRISSDDEESLESPLISQKFQEMKQECVDQCEVEVAITQIPIEISFD RHIDQRINDTAVLQHHADEITEKVMKNVKRAFSRDYIKEIVSKSKKRFKVDGFNLDLTYI EDNLIAMGFPAENFEAIYRNPMSEVQKFLNTRHPNNYMVINLCSERKYKHESFFKVAEFP FDDHQAPPFNLIVEFCAMVHSWLMSNPNNVIAVHCKAGKGRTGLMICCYLLYCGKYVSSQ DALAYYGLVRTLNQKGVTIPSQIRYVHYFSFALKNDLIKRPFLQVELLSVRLVGLIHGTL IRLQNNSKQLIEKAQIISEKEVIFTFDGVYLQGDVLLQIFQKSIVSESKMLQIWFNTTFV SLSPNEQIFKASEIDFMKNTKKKKHCTNIQLELLLDKTIEIRKRSNCLSKDI >CAK60082 pep:novel supercontig:GCA_000165425.1:CT868006:225079:225288:1 gene:GSPATT00030720001 transcript:CAK60082 MSIQSILTPQTKQIKQQQEDCKQREKENKTLNVKFKLSDLLPNDQNITLQEIQNDIQQIK NRYMKQIVN >CAK60083 pep:novel supercontig:GCA_000165425.1:CT868006:225353:226920:-1 gene:GSPATT00030721001 transcript:CAK60083 MSWYKEIAEHLESYQKMLNDKMKSSQGFIGLLQQIFKLEESYSKSLENIGLQISKLINEQ DGTTELYTILRSYLLIKSEQTKCFAMQLNNTLISEQQQFLIKQDNLQKEILQFNLANKKE YQQNLQTLNQFTSEFRNAIEEEKQHQLNRLQKKKLSIAVSELSFQNFLTIYNQFIDACCK DVINYQLKLSNLEQERKNLLQDSHMKFIIFEISVIRNLQYDLTGISQKLEQHQPNNGVME QQLQKNISIDKIDFDVLKRDIYQQLHFKPESQLLNKVKKPIFDQIKSKDSENFEYISEES QSYQKIFHNVLLNNSITDEVIKKLSELLEVALPYSYTYCLEQVLSKVSSEKYQKNVSNYQ VSHEVYLSVQKLLITLLDHCNKYQDYATVILEACQFAKKIVKRVYLPTENKEIKLSVFEG LMNHQVIQSSQIWIDQAMNLKKQPTQKICMFKENYVKTSQIMYTHDEYLNALQQIGCQKQ IIENIRILFNTNNQNGQN >CAK60084 pep:novel supercontig:GCA_000165425.1:CT868006:227628:228470:1 gene:GSPATT00030722001 transcript:CAK60084 MELAIVLGLLGFEPAGKSRLNQLLPRVTCEGCTAFRDAVIKGNQLMLELFALFCQQGMHE KFKFVHVILTDGEDNKSQTSLQDFLVYQQFLQQKLPPNILQTFYIGVNVENNNTVQQEMS AILKCSGKSASYYPVSSNQINDIFQKIQMQIGIRVQEQGVIIQNSQARIALRQQTYQPVV SMQVNNYILLFTLDVSGSMSDHWYKVCSAVRSFLDTLGSGDLVLGITFNDNVQVLTAKQQ QAAKKTQQTSTPQRTKSSQPQQQIKQTERTGVDSCCCQIF >CAK60085 pep:novel supercontig:GCA_000165425.1:CT868006:228977:230105:1 gene:GSPATT00030723001 transcript:CAK60085 MILQFKCERLHYILNTHYIVSVYNDKLTIGNKSHPKYEYKFTLENVLHWYVENLKLQAFG IEYNGAIKFFKANNKDLEKLRGLSRCLLCFDNIVELYKQIDINEPSRLQDQISLQLCSMK VVSQQQLLTEVPIVRQLNHQGIISYRECFQYKSQYYIVTEFVGGITLQKFIIQNPKLSHL QCRAIILQLLKAVKYLHEHYVIHATIDISQIVYKSDFIKIIDFSEAKQTNKIDDKDIKNC GRILFYLYQTFSSQFRYTGKEYSDKDQELNYKTLQSAQTPKLAQELILIMICDNNVTVSL ILEHPYFSFSLDAEERKRRFQKFQRVQRSTSEMDESDAISQSIPELQTNKSKSLRQLM >CAK60086 pep:novel supercontig:GCA_000165425.1:CT868006:230151:230559:1 gene:GSPATT00030724001 transcript:CAK60086 MQTESQYPQIDVKEFKAFAVWRWKAEQLNQNCCSCKKLMTEPCIDCEVNDQNDEQEKCIA VQSGNCGHALHQHCIRKWIQTKSQGGTSGTCPMCDLIWEITNEVELQN >CAK60087 pep:novel supercontig:GCA_000165425.1:CT868006:230752:231313:1 gene:GSPATT00030725001 transcript:CAK60087 MSRSKQQPQRQIAPQRKARSELNEEQKQEIKEAFDLFDTDGTGYIDVKELKVAMRALGFE TKKEEVKQMIKEVDREGKGVIEFPDFLELMTVKMGERDPREEMLKAFRLFDDDNTGKISL RNLKRVSRELGETMTDDELQEMIDEADRDGDGEISEEDFIRIMKKTNLF >CAK60088 pep:novel supercontig:GCA_000165425.1:CT868006:231343:233281:1 gene:GSPATT00030726001 transcript:CAK60088 MAFVYQAQRDLNQLLTSVPTNVLSLFYSQQVGPGSYGKQKKKLIKQSVAPFNTQSTRLNP LKNSSFPGPGSYNVNLANAGQKVLLQSNQSELKILEIHKQQSVFASKCKRFQEVNTSDTP GPGSYKPEANQRQHYQKGSSEYFIESLLKLNRNKSIPSIPSNEQAYGYDDSGTLQLNKSP QDIITGLKNDSVGPGHYDLRNSFDLNKEKGHNWHSSKQPKLAPVVSKDQKMIVGPGAYAI ENESQPLYKLLPSGSFQSNTSRFNNLDKGQRSKEILRLQFEKKKSKLLQDSQFEDLKDEQ FDISQNDGPGPGYYFKDHSTVSTISTSKLETQCFGSKLKRFQSDSNQITVGPGDYKIETQ LSNSSLALKQPPFLSSNTRFEQQHFNRKVGPQSYHINKSLEYDLIKKLERSPVGKFGQNQ PRFVNDQSKEELPGPGFYDYLQQSTQQGAQTMFKSQTKRIDPTLLNKSEIPAPSQYNPRN HTIEYNIKKAAEDLEFDINRPPFQSSAPRFKSNVDVKIDELDEDLYLKKQNQKKEEHKIP YKQKQQPPPFNVQEKRFQYGKLTVQSPGPGEYNESTQISWDKPSFNVQYSQF >CAK60089 pep:novel supercontig:GCA_000165425.1:CT868006:233311:234403:-1 gene:GSPATT00030727001 transcript:CAK60089 MANKYNVQILLQKKYSNCQSYYYSRTLNDFVNSARTKEVIEFYQALAYNENQEYLRRFYF ANELRDKLQLFTEYYKYHNEIPRFFMHKISNIMSNYHDKKRRVEYYRIKRIIEVENRKNP NKPKKAIAGDQPEELKPTSPKVQNPVYSKLLQDLVDNSTTIEAINNKLEGLQIKTGELIF LPSNREEEQLQKFIHFLAEKQKPKVQSHIQLHSPKTFNRIPFGVSQQTIKQIISRTSKTK QTFHLSPKTFKDEQLLTQNGPSTHRVIKDPLSKMLSPAHIYTMNSPHAAEFQQKLNIQTH RSQQASVSLSKQFKITESIPQSRKAHQHTRSGYRFFKK >CAK60090 pep:novel supercontig:GCA_000165425.1:CT868006:234481:234732:-1 gene:GSPATT00030728001 transcript:CAK60090 MLLVSDYDSVLQTRFGSQFIETKFCLNFRKMNDFDRETFNILRSLVQIPNSFNSVMKWTE IAQEIKTKTKDDLRQMWYKLGFQ >CAK60091 pep:novel supercontig:GCA_000165425.1:CT868006:234759:235376:-1 gene:GSPATT00030729001 transcript:CAK60091 MKKIQKQTIKLRNQQQSLQNEYEDLISSRERQLSQNNHSKTDAIPQAQQDQQNIEQQIDQ NRIRQTKSRSWHKRLDCRVNHSEMKEGRFSKQEVDCIMKVVNEYLLQNNLSNQDLQEYIE LKSFGLSKIWLSIAKQIPNRSVDSIYKLIRRKYDSNNRHGYWSQNEEADLIKYVQQYGRK WREISKHFNRTPDNIRTKQENSQYQ >CAK60092 pep:novel supercontig:GCA_000165425.1:CT868006:235392:237540:1 gene:GSPATT00030730001 transcript:CAK60092 MHPTTQLLENARERVTFPTEELTKLIYVNDEIYETFMKAQKIIANEPTIRNHPDYHNWSR KQQIIKSYEKLRIMHQHFNLANAVHWAPLLSIFQGTTPTAVSFAMTVPALRFLGTDEQFN LWGPKFLTMEIVAAYAQTEIGHGSDVQNLETTATYDPQSNDFVLHTPSVSAVKFWPGELG FLSNYALVYAKLIFNGKNKGVHPFMVQIRDNSTHKPLRGVTVGDIGPKLGYSTKDNGYLA FDNYRIPLNSMLARYVRIENGQFSRHGNEKISYASMMVSRQLIIFIYPRMAAQALTVAIR YSITRQQFTNDKREENSVIEYQTQQDKLLPRLATCYGMIFAGIRIMQLVDDNFHRVQKKD FSTLQQSHAILSAIKAFSSQWVVDTTEWCRLSCGGHGYAHYSGIPAVYFDTAPNVTLEGE NQVMYLQVARYLLKVLQYAEKTPEKVPFYFSFVLHVKETLANKDQNTSLGHWLKLTLTIQ LIQVGKRIKDLMNTGKTFQQIWNEHVGIHLMSLAQRYAEYFIYLVFQEYIANANPSVKEI LQQLCDLYCVQTILDNPNTLIESGQITQEQLKAFNELKIELFAKIKPQAIGLVEAFNFND NALRTCIGCHDGKPYEYIYDWATKENSVNKVPQAVIDLMGTKIKAKL >CAK60093 pep:novel supercontig:GCA_000165425.1:CT868006:237612:240746:-1 gene:GSPATT00030731001 transcript:CAK60093 MDQRQKLDRMTFQKLIILLKQDECLCILNEDDSCRGIRRSLEGGRVDERVKQRDNQQRCK IIKMRQISVILIVKEIGTELRQKQVVREYLYLGWIEFNRYQQSNQKGMSRRPRRGQQEEE KKRGSDDEEALEEQVGVQSSEGTPGEEDSGEELINDNMLNDYKPIPELDRYESDGIDDDE IHGEMDYEQRRRAEEEIQRRRRINMDDRRIPRAAQDLSDSEDEVLDQFGERVYIEDPFEE EDTDVVEEERYLNIEECRGKLNEWIKDDRTKAWIKRAFRKILNECKRGSDQEPIYIQLIK EMCKANKQSLEVLYPDLVSANATIALWVAEEPKIILPHLNEAARIEVNKRFNHYHNIHQE IFVRITNLPVVDIIRDLRYKHLDKFIRVIGVVTRRSAVYSQLKEITYVCVKCGMKKGPFY LENNDSIQLGVCIQCQSSGPFEKLYNQLVYRNFQRLTLQESPGQVPAGRVPRQKEVIVLG DQIDIARPGDEIEVTGVYTQRYDYALNVKHGFPLYSTIIESNYIRRKDESESLNIDKKIK DEILKLSQNPKIDKLIFNSVAPSIYGHQHVKMAIALAMFGGEAKDIQGKHRIRGDINVLV LGDPGTAKSQFLKNVQKTFYRSIYTTGKGASAVGLTASVQRDYSTNEWSISGGALVLADK GICLIDEFDKMNEHDRTSIHEAMEQQSISISKAGIVTTLQARCSVIAAANPVGGKYDSQQ SFHDNVDLTDPILSRFDILCVVKDEVIKEADDRLASFVINSHIRHHPMAAYELNNDPDSE WSQQIKGYFVKENKQTQEEVIPLELLKKYILYARTHIRPKLQNVDHEKISKFYYLLRKES EVCGGINIAIRHLESIIRMAEAHARMHLRNNVMDFDISVAIKVMLESFLQSQKYSVARQL RRKFSDYLTFNEDSFDLLLNMLNKLYRQQKDYYQNIKNYNGDIRVPIQVLEKEAKTNGVY FNSDFYDSTKFLEVYKRIQDYITLK >CAK60094 pep:novel supercontig:GCA_000165425.1:CT868006:240757:242415:1 gene:GSPATT00030732001 transcript:CAK60094 MSDNILVYLKVKPDQEEEGGLTIQDNTVKVISQSSFEEKTYQFNAIFQENHELQQHCIPI IDQAIRNQQNACIITYGQTQSGKSHALGFSLYNEGLLHSFLQYLFEQMQIQQVSISMLQL YMQSAIDLLTQDKSLKELRIRNKQNETYVENLTEVLAQNYQESLLICQEGIQKTQFSKLH TILTLKVMKSKIQFVEILGNNSINAKSPQSSNCITALLKVFSALLKQQGTQSQVFIPFRD SSLTMLLKNSLTPPSKIIVIGTILPKNSIETSQTIKALSSCIKVFPPQDNPNNTTYHYNA TKARSVTPTITKQKPQDIVVNNGQQQQQHNSTNQLQYKELSEYLLKLLTKINSHACKLIN QECLQHIDINQNLDKIISQVQQVSHQLLQVINGKITSQVNQTKTDGWQKALKFLYHTYEE EIQREDKLLKEKLLYIINEEEQYDLDSLRKILFPPKQEYTNSLFKTLTTLRKYTNTIQIP LSRENYRNQLTNDIMQKELGVMSNQNYESSGKQELTSFQSYTENLMSKFKWQSAQKQDYY GYQP >CAK60095 pep:novel supercontig:GCA_000165425.1:CT868006:242648:244175:1 gene:GSPATT00030733001 transcript:CAK60095 MKSKPFSITSSIFTSPNSQFWNDQDFQKTKENFKDDYFLFFSNKKLTYLNKPLQKAGSII RKQKKNGLYLWCDVSNALIELIEIQHVGHGLRITKNQQSIQFFGYVDAWFKDLKKFCIQR KINESYIINNKIGCGSYADVYKVTRKADNKDFAAKIYDKSSDKFDPECIIKEIDILRKMD HPNVISLLETFETQKYIFLITELFIYGSLDDVITKTPFTEEEVLRGMYKIIDALSNIHSK GIIHRDIKPENILFRKPNLDDILISDFGLADYYNQEGLYQYQRCGTPGNMAPEILNDQPY DYKIDSYSAGLIFYQMLSFGRSPFIVEDYQETLIKNQQGLIDYSEINASPQAKALLQQML EVDPIKRCTINDAKFNPLFKKFNRMTIIIKRKRILQDQTVSSYSPRSTSIYQTPSNSPQV LKIPKNKSIGLNTLHCLPNRVRSMDSQLFPSPRSCNSGGNSAKAQCKQRNRNFKINVKNS IYQSMSSTKAI >CAK60096 pep:novel supercontig:GCA_000165425.1:CT868006:244452:245184:-1 gene:GSPATT00030734001 transcript:CAK60096 MNQEIVDSISLLLGYTILISVGYSWQRHLQKLEQQKEKPKELETIKNNAQEDNPVDQPDL NSPKTSQGKQEQVGLLELYLYSYKQFKQNKQNIELIKIGQYILYISNNLMVVSIMNAYYG IEDFNKSSWILGLLIVPIYNLIQLIIFLFAYLLAYRILKKKLIENIDTKFILVGYFYFIL GNLIIVSSISGDDYLFDKALSICAIQYILEIVLNKLIEKGDLDVVLKLDTILKKL >CAK60097 pep:novel supercontig:GCA_000165425.1:CT868006:245266:246820:-1 gene:GSPATT00030735001 transcript:CAK60097 MEQLVSLLQKKQLQKEERLKSLIKIIDRRIVQKLYNLRNYAYLKIKNFKMANRNNVKMLS EKDDEKGAFQINISNTNQFREALHQLQNYNLVQLEILKKETLCRMIYILQKRVSNHYRHL MIRLMNKNSRKIIPFLKVFAYRFNYQSRFLKILQKFQRNREMHLQREMKKQEAISKFVMA LENKKMHCFCYWKKKFEINYNEQSQIDIKKQNEVLQLTFQIVALLNKQKFQIVSNAFVEL KKYKQRNTQKLVVMLNFKIVLRRLMLKNISYGFYKIVCYNRNNQNSQSANADIIKNLSVI SSKLNQALNQAHMKYLRKRRNLIIYKYLYKIVMNEDKMMSKFFTKWKRTLHQFNFQMRIK IVQQSKELEEDQQLLVQQYKQLSVENSELQQKYQQVQTYFHTPTGLSVKKSETKQNQQII QGQQQVFQLQSQPQEAFWDEDLMQNEDYSPEFVENIENQNVELKYKIEEQQAKRNMYLEE FQSRKQELLQQIALKKQIQKVQ >CAK60098 pep:novel supercontig:GCA_000165425.1:CT868006:247525:248631:1 gene:GSPATT00030736001 transcript:CAK60098 MKSFQCTDHKKDIIYYCNDQHCEMRCLCADCEHEHEKTAVQSLLEGYNIKELVQTFQSFK YIINDLMAKMEHELSQHLQQIKQPQATAQNLEQFDKQLSEISNIQNTLQFKIKNRLQHII NALKGQDLDSSPNTLIDARKKDHSKSIILSQAQLQQDMIKKSRQSKIFKMNIPQSNQQET QQILQQPNLNLDRVEVARLQQLSTQDWAWKMSSSTVFCCIFSALRDLKIIGFLQPCLFKS NTNNYQKKSAVINFGIYNKKNLTKQPIHMEKYTLRHSKMKIVNDCYELMLSKPISIKEFT EYSIAIWPSKVLYCHYFSIAAPINPFIAFQTQDFNDNPKIKRSEDFPQVISTFRAGLVPY LIIDPREQ >CAK60099 pep:novel supercontig:GCA_000165425.1:CT868006:248697:249573:-1 gene:GSPATT00030737001 transcript:CAK60099 MQQTQANLQATGPLTQKPYKQLPKDNEKLTLLENQMGDLANDFEDMKRNREEAKKQLEAK FLDVHRKIQNTREFITQEGERINNTLIAYDSKFTQVLNQTNQKLQTQHETFAHQTDQRIV DANVYLKDLTQKLEEEKQERMRQSDENLREIRKQLTTLFEHYETEKNTRVEREKEILRKL DDEAYQLNEKLSNEKSERILQSKELRDHTDQEIQRQRKNNQDFHVKTIDEFNHVAYNLKE EMNQRFNQQNQIIDNLSSVIKTIQDTLKIIGKGVND >CAK60100 pep:novel supercontig:GCA_000165425.1:CT868006:249621:250926:-1 gene:GSPATT00030738001 transcript:CAK60100 MYNHNCRFCMHKNQCLYASIDEISKKGDLVQTMNLSLYYKHGQSQNYFYSRPINQIIRKE KRTIYIRVEDYKILDTQEEYLRQFYNLSKCQLQLKQLIQYYKFSIDHPMLYFLELKAIIL KYISSRSQVEYQWAMNQIEMEAHKDGGGSPKKTKKKSTPMAKQELTCFLKDLSDTQRSTT ILQLLKNVEADRALEKDLQKQFTQSLVEQDLTKAMQFSTFIQQMEQQKQKESTNREITAS SRTVQFGNVKKQLNLPIGTPRKASNAIINIFSTGSSTHTHSNVTIRAQINQANAGASTNP THRTVAPNFHFFNHNLNPTTQVQNTQSTVNHNFVTKTSIVNSSNGNILKPQTIFKMQPLR LMEVPKLKIKLNHQDPKSERKYDRVHENRIDCLAQRVKTQLDEPSTARGIVNPKAVVIKR RQNFQH >CAK60101 pep:novel supercontig:GCA_000165425.1:CT868006:250995:252952:1 gene:GSPATT00030739001 transcript:CAK60101 MQLSPIDLDYLHQESIGGVIADGLTVLYNTQPEKPIEFLAKWLLQYCKTSTQKTHFHDDL QKKEQNIQNFIQQQKQEKERLEELLSFKQQTMQQEQNYVDYLRNHPYHQELIIQEFPDFL MKKFVRISYIISIQNLSGVYICYFTHQKQDVDVDLVDDENAFINQKAPKLLKYVGTSLNQ KFLLNLNLTEQAILTYEVLKPPPVEEGKVPEVYKGCYVPDVVKEPKVYFHRFPKLGCYFA MPMNFETSLFEVLHFQLYQDAFDAGLINRIKYNSEVETQKQEIKAKEEEHAEQLQNAENE EQKQQLEDEHQNYLSSLPQIVEPPFLGIQQQYIVCGDTLGQDRRLSEEERNELYEAIQQF GQSYQEKEIALLSEDINQQIEYQSTIPEKLEENYLDQENQFVEQQAASLEELKQTNERDY NYEIECIKFDFLKQQFTAKDFASTFLNLTKRRVIKFPNIIKALFYLLGYKKEEITQENKL NWKIVASYIDYRFLAKLALLNHRGPKEAPLQHATINRLEKLVDIYDEEKVMNYNWALGYL QRFLTLYCKLRKEDVAIRKEILQEKRTQLSNAKEQLAQLLEQKETDLQAAKTNFQGEEGE EFDEQKWIEQWESEHNLPEIGPEPQDEIDDDLE >CAK60102 pep:novel supercontig:GCA_000165425.1:CT868006:253099:253710:1 gene:GSPATT00030740001 transcript:CAK60102 MIKKFSYFTYESLPVYTMVTSLVLDSNVMQFFLVNPTFAISDENSMMSFSKYSKTKFALQ LRLSAEIEQINDTLQIKRWTTFGMNYEELSKLLYPDLLPEQEFVLCRLIDYAFIQKINKN NYLEIFKENIDQENQQTYIINMDQHGQLRKQIEKSLTWVAGWHHPKQFMKKQEVQWKTI >CAK60103 pep:novel supercontig:GCA_000165425.1:CT868006:253772:256178:-1 gene:GSPATT00030741001 transcript:CAK60103 MGSEDQVLKALYKLAVQKPFRQVVQDFGRPTLAQVHFRKLIIQIDPSISITDCDRFYQKA LIDHKSLVGLTVEILEKITENQEKVELVLSKQDLQTISAIIQNYRAKRLDIVRVFKFFDK NRDLSLEEREIKELIEFYHNSITPQGYQSLRNKIGNLKFSCDHLRQLFQQWEQIVDNQNK NPQQEQVKYTIRSQLEEKKKEDEVVPVPVPVEDVEQQEVKDDQVDFADFINIDLHITGAK ELVQIREGLKKTKQTFVDKDFPASVRSLGMKFCQLSWKRMSDIFQNNLKMFNVDDSQDSR VGLGKWISHRDIKQGILGDCYFLSSISTIACRWPEVIKDLFISQRANKEKLYAVRLCLDG EWKVVVLDDFIPVNGQGNPAFSKNAGAEMWVALLEKAWAKMNIDYTDIEGGDPREVIKSI TGGPTWIVFTNAADFKITITKMFRYEVCYDQWYFWIKSELYQLWFGAWTCIFIIEGEVTL LKIRNPWGNKEWNGDWSDGSSLWTEDLKEQVKFGKKEDDGIFFMEIKDFQRYFQAIFVGY FRKEYLYNSIKQMAKKTKTIQYDIDIPNDGEYYFTVHQEAIRRYKLNKDIKYEYSYVRIL LAKNTGKGEYQFIDQKQQKDIEVHLGGQLTKGKYSVQIKIKWAVPTWNEHEYQFSVYGSE FLRPKQVPRDADLRKAVMLQVARENKNLQQLTTGLFCAMETVVSKGLGYFYYKNSSQKTF KLKNTLSNKQGVKFLKPEIGDNYLIELAPGEDKIVLYSLDPSGVAFTPKHQLVQ >CAK60104 pep:novel supercontig:GCA_000165425.1:CT868006:256533:258074:1 gene:GSPATT00030742001 transcript:CAK60104 MKKFNGGKKQSFVPAVVTIEFRIQKRNQIADLIQANSLLKEINEQIILARVKEIENTIYI EHAANVHLYSSKIEKVVSLFKGINQNNYINIAQKLKKQELATQSILKLLEKKKQLKDYDQ DILNKTLQKSEYDPLNTKQISSKKTVLVANKITIVKSKNSTSDQKGNFAAQPNMVFAGSI QPIEPIQEKKVKIEKSEKEDDDEIDIRKDDGMQGASSIGVHCSKQLILFDSKIKIPVVLL VQFLPQYRVLCEKVDFAKLHNAKETIQFLSSNLERKIVFGQVILKPSEYTKNLRELSEKL TKQDKIVAQKFDKDNASFLILHKNQLEQILKARKQSLAFDASDMVIREWTKQQSYLEWWA LNKQDHNLHFLISYKSLTNSNDQIYDKVILQKPSCYQKIQQTIDSLKQKKDIDDLLQGFL KQDLFQSSQLGDMLQEPNQIQQVDYQIQEEAEKVQQDLEKEQESNKQDDKFETNKISEQE NNQINFNIKISDLTLQLSKEDYF >CAK60105 pep:novel supercontig:GCA_000165425.1:CT868006:258449:260702:1 gene:GSPATT00030743001 transcript:CAK60105 MEGTLSFYLDIFKSPSNKFFIIKYKTRGQADLSLNNQVIFLQKRFDQQLRNAAQVCEQVG VFLSCLDQQSFIAFGTIQNEPTDFEYLTEEQQQNLSKLQEFFQSFVKVEWIFKGVLNFEK TKNIKNDLNEMKPVNHCKDVQELTCQTAEQLLSLLQKQEPYKPQPQWGVVIPQKINDIIN QNLRTHDNDKQKSSKSRSRHKDKEKDKEKDRRKSSKDRAKDKYDRKSRERRSKDRRSKER SQRRSKEKKKKSNEKKDNREDIKSQNISEFVVYDNKDQREKERQTREKEYLKEKDQREQR ERDRAKEQIEQRERDREKEQRQQKEQEKREKEEKEMIEKQKQKEKEREREKERERERERE REKEKEKEREKEKEKEREKEREREREKEREKEKEINKDREKDRDRQRDKEKDYNRDREKF DKSKYDKKDDHRQKERNESKHDYDKKQKDKERDKNREKSKEKSFERSDRKDRERRKEKER SRGGDKERDRDQNNKKHKDYRRETTKKRSEERSKPRSRSEDRRQGHNSNKNKKIVELASQ SEQPREFYSSVSLSSHKQEQEQQDHELEQNSVRPEQKDQVDLGVILNVLDSPNQNAQQFQ PLIQPVIITPAEQIVLTKQSESQQSNILNSDSKKRILLVNQEKSQSVPDNVEQQKPLNPG SMKIIKDAPSKSIQQIANQQCQAKKSVVIQDSKQGSGSQIRDRIILKKK >CAK60106 pep:novel supercontig:GCA_000165425.1:CT868006:260851:263697:1 gene:GSPATT00030744001 transcript:CAK60106 MRESKHTEFQFDDDSKDRSLPQPIDHINKSYSLENDMVLGWVPAQYQEEIINVQFYNELN DQLIEFYNQNDPNKIVYLGYRPNEAMQFHKQFSAQSNYKIRNAKNYKVKSYQNQQLFVGM NPNVENQIKFNSQFESGNLDLAIQKSELEYDLYMRVDTNTKGHTLWYYFEVTGLRNFDSI KFNICNFRKKRCLYERGMKPYIQRDSQDWQQEGENVKYGAYKCQFKEIQKQYYCLTFTLM NKKRDDKIRIAYCVPYTLSKLNNFLKSLNSQYLEQSFFCHSLSGVYIPKLTFSKGNILKK KVIVIQARIHPGESNSSWVMQGLLEYLSSSQADKLLDQLIFVIVPMMNVDGVIFGNYRTG CAGRDLNRQFRDSCKKLYPTVYAMKQLIYDLYQLYGDQIVGFIDIHGHSAKKNAFLYGPE FQLWNCNYYKSRLFAKILSLKTQVFRYYSCLFRINKCKINTARAVFCEKYEFINCFTLEV SNSSYYYEQTTENFSEQKWIQFGQIIGESFNDFIIHFQEIDTLFCDFKDKKVNKQTKKKY NTQINDEKQQNLQNICQNSKYSELFEEMKNDQPNLSFSEGESDSERESDDLDDEILNYVV LTSNKQKKIKKSKKSLKTEYKKQSIRSENSSGVNNHTVFLNKKQFLEDQPNNIFQQTQNQ NIYRRTSFKQYKQEDKIKDNQTFTLTSKNSLESSNIKTFRASQLSGLQPKFEQIGVQNRN KSPIKLKTLIHEGKLGESIELNGEINEENMADQIFQPYIKRPLLNQTNNANIKFGNICSP TKDFVFLNELNTRIMQNTHFPTDQGCTYNVTAIYHGINKHQKKPNNYRFNKMQKFQKTQN PSINLRNTLQVQKLGTTTEDSFGIVIKPKLFSSPQIDESQGQAEQSKSAMNQYQNTSISQ KPQKQLVPSSFYSIKKHWTNTRGIHQYLNLNN >CAK60107 pep:novel supercontig:GCA_000165425.1:CT868006:263751:265836:-1 gene:GSPATT00030745001 transcript:CAK60107 MQHQGVLRIGSQDSFSRKSQMNQLHTTPSHRKTSQNKQQSIDQIPDNALEKFYLYKQKKN DIRMKQQLSKQTQRSTQNSIAQQNKFFINANFVNNQGGQQKRSAQSVTIEAMESVKRTIK TDMGNPTSVLSKAKSLGPDEQMQQVKHHNVVVRFGNQDFYFYFDSHLNMRQIWFQILQRL HDLEFANPQECYANLKDKPSVNQIVSFVSQQHSISIDYYIAQPDLSFNVFMSSGLKLEAF LLQASPEPKVGLKDFIFLKNIGVGGFSLVYLVRKKDTGKFYALKLIDKEFIIAKKKQQIV LNERNIMTLLNSPFLLHLSYAFESRQFVVFVLEFCQGGELFFQLKQIKRMSEEQACFYIS EICLGLQEIHSLNILYRDIKPENILMDIEGHVRIADFGLSKPEIGREEKAYSFCGSPEYM APEMLLKLGHSQTVDFYCLGALLYELLTGLPPYYSTNTNQIYQDILYSKLSFPNDLTLSR EVKSLLIALLEKDPIQRLGAKGGVQEILKHPFFSQIDFKQLALKKVKPPFKPDPLKMNLD EKESQRGEQDFRKKIAQGKTMNLPVIFGSSFFYESPQEAQTKSVYKEWISHTNLQPNTPC VASYHSQGRSAKSQDIIPLIQSVKNNPRSRQMLNQKQNFYKASGQKLSLERINQEKKFFS KV >CAK60108 pep:novel supercontig:GCA_000165425.1:CT868006:266275:266772:-1 gene:GSPATT00030746001 transcript:CAK60108 MNVTQSNVSSLEQQKQNKYLLLFKYWKQQGQINLYKQLKQFDLKPNFLYLTFLQISEPSR IFSTHQVLHIRKLIASMDNIIENSLNFWQQRLHTTIRDQTSTLIIPKKKISIVDRVVIKS ACFWNKMADEFLAQSSTNRSMESLSSQPNKLQISDC >CAK60109 pep:novel supercontig:GCA_000165425.1:CT868006:266919:267809:1 gene:GSPATT00030747001 transcript:CAK60109 MNEDQLTQKRELTSYESCLDCCGNVSGCLRAWLPCIFCCCENPFYAVQQSSLGLVEKFGK YHRSLPPGLNQINPCTDTVIQVDMRTRVLDLDRQIILTKDNIQVNIDTCMYFRIIDAVRA TYRVSRLTQSVKDMTYAALRQVCGEHQLQDLLEHREMVQDSIEAYLDKQTDQWGIYIEEV FIKDMVLTPQMQSDLAAAAKNKRIAQAKVISAQADVESAKLMKEAAQALDSKAAMQIRFL ETLQLLAKGPSQKLMFLPLSPESQGAHNG >CAK60110 pep:novel supercontig:GCA_000165425.1:CT868006:267835:269736:-1 gene:GSPATT00030748001 transcript:CAK60110 MKILIINGYGKSYKGMKNFEQYKLIIKEALLSKKEMIDTEIDFIIRDRDSIDDLLYEIDS SFVRVECGKMFDSIDIIFFEGDANLRPWSPNAYKYLILLRMCLRSNKILFASSFAMQGLV FLIASNVECQISVINGLNGGQLGDLSKIKKDLTDIKQTDFFLDNVTGDLYGFNYDTGEWI SKGNAGIHFRKAAEEFKTIGKYIMKAPQYKVKGMKELDALYVSKENEIVCSLRKNQMHHF LFNDIPFEFVVPYKNSWDVHPFNFVNPKKTFQTLADCTKGPLIIQISDNIIGTQFAIKRK YKDTTVVLKNYMGYQLTKLCSGQAHSIPIEIASIKQNDNAMDIYLEHMSKNKYQAHQKTI KFNVITEFHHAGYAAKKSNKLDVVVNNAIGKRKFKQQMQKMPTKELDKMLSNDNSYRQTA RTSNNQSRKFVSFANSQNGLNSPLKGQILTQFDDPIEQKPQFHKTSGEIMKLLHPSIDDS LVESNNKQIWVPGFLSQNRGVKLSNHGQKESWNQKLAFRKTYQNPMQIETQFDNIYKTQK QLELEEEREKCKKIIGPKQFRCGTPSQSNLVTAYISRNQSVPQHQFRITEKDKWISPQDF KV >CAK60111 pep:novel supercontig:GCA_000165425.1:CT868006:270005:271724:-1 gene:GSPATT00030749001 transcript:CAK60111 MNSNNEKAKIDSIIDQFYGFKVIEEVPEEERHFDQVVSQPDQTQIQKMLDDSLQKRQEFI QNNDCKEYSQMLIISQIRMDFTNDDPFLKHLMNVEKAIKKAIRNILLQLQKLENKQQFKE ACDKLIKIFYQDINIGFITSTGQIKSTAYAFILKSIIELINRKKINLQFKKIPLKITLTF FAIDSLQQIIPAQKLYDPRSAFIRNNKFFNDYIILSQTNQDELRSGVSIFFVYRPEDDQI YALKRSKLQATQLDFFSVFSTTASNTPITPDIRKIREAKILSKLTHPNILRLFAWWIEQT NDGYYLYMQLEYCSFPGYKYQPTDLLTFSYYYLNVMQNAEKIHKIKSILNQILDGLEYIH QRGIIHRDLKPENIFVTINIKGDLQVVLADFDQGKDVREEKLSTMTDERLPQEELNSITS QNTITTGTCGYQTANYIKDSHYGMADEFYAIGIILLHLVIAFPGEPKNRNHYAKTFVMAN RAEDVLSLFDTWANKFVKNKSIDFSFTHYKNVMDLAKLLTSSRKLTHDDVRKMINEL >CAK60112 pep:novel supercontig:GCA_000165425.1:CT868006:272050:273066:1 gene:GSPATT00030750001 transcript:CAK60112 MRKLENYTGEQARAYKKFAQKAKGSRADFESDLNFNEAPSKFVKSNESKQTLKSIKDQID YFGYEIEPFNMKNERDVGKFDDGGYFVFDKAKDKSVNDAWLDQLDQSNMFQELQEKAQAE NTKKIQKQLKEANKNYERRMQKIRGDGFFSSTKFASKAEAEEFYQIKKQENQEEQQEVEV EVEAKLQVEEKQNEQQNNQSEEQEVKLELLKIDQLKEILYELLEENENANQAMKRVRAKL ASNQKKVFKKNVRKDNTEHNDKQDQKTSENKNEATDEAKQTLDILIDICTIIVKKSNDPS IYTIKKEELVPQRVSRLEEDDIF >CAK60113 pep:novel supercontig:GCA_000165425.1:CT868006:273106:274422:1 gene:GSPATT00030751001 transcript:CAK60113 MSQNIDQFLKFFVFEGTQSITKILNLKQLQFANPRLIKRQQPNHFELAELNKKSKLISEN VQLFSNKAFDVVSEFYILLKQCKFENVSTKIIFNYMLSLQLKRNYIDCLHLNTTDKNYII SVDIIPEYKEQIIRQMYSFVNWVVLYQKGQITCGEFKTDYQDYAHIQIFENQKKLILVIG YNKLESELFLSLNLVRNQNYLRNHLSLLNIPECRQDTFCSILYHFVSIIRKMLRFSDTKR YDSELRQLISANASILIEHPEKQKHIPYLGTFNHCDFVLFDFKVANQLEFDKRLKNFQYQ KELIMSIIINLKTLYVKSKDDMSYSFVLSGQYLLVTFQYNIDGAILLKEGELRNKLISEC YEFVEQDFEYGIKKIIITNQEKNQKKEETLKSQRNQKIADLITTSVNTAFSWYDYAKSVN LTNKPQQLANKSLTPRRQ >CAK60114 pep:novel supercontig:GCA_000165425.1:CT868006:274431:274994:-1 gene:GSPATT00030752001 transcript:CAK60114 MQNNQHIRLAQEINPTPCEGPVSQVVYPQLPEQNLQIGQPIQPIVPAQQFMRQIPYQQQQ YPQMQQQQQVIYNPTAVQQIQPVYSKYPHIITCAYCQRQVQTQVNYEVGNGAYAIGGLLA AVGLWLGCCLIPCFVQDCKDAVHFCPACQAKIGKKRFLFD >CAK60115 pep:novel supercontig:GCA_000165425.1:CT868006:276057:277065:-1 gene:GSPATT00030753001 transcript:CAK60115 MSSFQTRVQKLQCEEANHDNKPIILICFNKDCNKRKVCLTCIEEFHKTHKGELASIEDVE GFIRNNCIKQRGAPQCNEIIGKFNELQNDIINQLKVLEFILKEKVRQIFDNLNNTDNKLQ QIGDDREDELNDLQNKCKQKIEEFRSEWNNKLNSFKSILVPLQINLNRFEKLSENELELS PSKVNISVLYPKQNIKITKLNISLLEKSFHDKQIELEFSIFKNMNLQDKLHNWKTSVDHN NMKIINGSYQIEIQDNILLKEGECYSLEIKSDQDVKFNISNEQSLENPLIGFQQRDYEKT SFKADQNTTIISQSSSGLLVAIGAMFE >CAK60116 pep:novel supercontig:GCA_000165425.1:CT868006:277405:279995:1 gene:GSPATT00030754001 transcript:CAK60116 MNQFEKETKQFIDELKNGVSNILVAIRVRPLSQKERSQSEFETIRILDNKMIVLLDPDSE REDDLLKKNRLKETNFAFDFVFDQWAPQQMIYENTTEFLLEGVLEGYNTTVFCYGATGSG KTFTQMIGTHQEVGIMPRALQQLFNFSIQDRFKDTQFKVCYVEIYNENIRDLLTHEDKNL EIREDKNSGIQIAGVTEVEVRTVSEVLALLKVGNKNRSKEATDANKESSRSHAILQLQIE SKERATGIQEQIIQSKFSLVDLAGSERAANTNNKGQRMIEGANINKSLLVLGNCIQSLSE ANEKGIKNPFIPFRNSKLTRLLKDSLGGNCRTVMISNVTPAVNCFEETYNTLVYANRAKN IKTIANRNVLMAQNHISNYAQLIQNLRQENEELKLLIQQQQYNQNNPQLKLPSIIQKNPP SVQQSLKQQVSELESIIHQNVEDIIQTKNQIYEMEEQQNHFQQNIGFLQFQKGRTQDKFE QMRLQERMDNAKNQKAILKRSQDDLEQQLVEYEVQKVDIQKQVQQIQDSNYKNYLYGIMK QGEFKIETIEIKIQEKKRKYQEQIQDEQVKQLRTQINKQQAKITQGIKQKSNVLKKVPSL PGVDSPYYQLQNGQTYGIQRYQKNKSHLKLPPVVQLAQVHKSPKTQNTSLQNKIDDPMKY RISQKYSSRLNRPPSYHPPSSQRKSAKGRYINRSLDLGSGRESINKSSGEIQNEISLQKL HRLRKEYQQQRFEKAMNNKNNQKSQPQFGSKILLPGMIHKSPYVKNFQNNNEPIELKKDR LKMFNLNQKIQGDDKFQLYN >CAK60117 pep:novel supercontig:GCA_000165425.1:CT868006:280333:280734:1 gene:GSPATT00030755001 transcript:CAK60117 MEKLIAGYAMKEMMKQKKQIIPTDQKYLYYGCWTTGIAVIAFMGHTTYLKKKYESNYLYK IQGKQYLRSLIQLYLPIICNQLLVSFCSNHQEAFLFSLSSIVHLYQYIMGIPQISYLSAA FTILGCILKFKIE >CAK60118 pep:novel supercontig:GCA_000165425.1:CT868006:282628:283132:1 gene:GSPATT00030756001 transcript:CAK60118 MGKFRKYNNKENIIMEEKLEDGNLQLLRPNEQDIIIQVFFAQNLLVPVDRIMIINFKHKK WFILFERFANYESILADHGEYKHGKKQEFWKQKKNKIFGIIKLILKGNYRAGIKLKSGIL KSIQRGRLDSRRSRIQSIWIKGDQWGAQFR >CAK60119 pep:novel supercontig:GCA_000165425.1:CT868006:283965:285432:-1 gene:GSPATT00030757001 transcript:CAK60119 MKMYKVEKYASGGGSYDEGGGNIKIGMWVEWQKIYINHFNNYITYNGEYKNGQKVGRWEI CLIQFNKESNNWKKCVSGGGSYDEVRGLKIGKWVEIVSEISQIGEYLNGKKVGIWKDIDN ENKLIACVFYGFGGFELNRSMTYYSYLLEIGNIQNGKKVGKWEIWSRNNEFMGGGSYDEG GLKIGRWIDLDKVNSYKQKNIGLYKYGKKVGQWDSWLLHGDKLNMKIGGGSYDEEGHEIK FGMWIELDEIFIYQNFRYITQKGEYKNGYKVGRWDINLIQFENKHNKRIGGGFYDQEGYG KKIGQWIELDEKKKFILNGEYKNGYKVGRWDISLIQCDNERNKLMQKIQILSFENVLVVE DHMMKEVMKQRLGSGFRYLKIKAGTISKQLRMVNIIMIKKVGIWVEVKIKRNNQYMKVKK IIICGN >CAK80506 pep:novel supercontig:GCA_000165425.1:CT868371:1655:4027:-1 gene:GSPATT00039402001 transcript:CAK80506 MDFKQSINDSLEKMCKQIQQYVFPIQKEKQELQEFESQLSYFEDITQLSKLYSQEQQQSP KLIQDNHFINEIQIQFELLFISSEYFQTVDTFKNTKEIIKDIIDNNVIELVPSNITKNDS KTPSLSRICSYHKKEIIMIDIDSQKQKIEDRFACVDCIYENPQIQYQTIENIDKQWKEYN TDIEKIITEYNKESKGKKSELLNQLGQMRRNYNKKLNEISENLIDEQFLSINKTKQTNQI QNISIKTLDDEQLLKDLRQLIKKEKVTQSQIITNLKNKDQIFKKDLQYQLESLHQYNQQD IQQSLEILKEVSIEKDLIMQLTDKCQDIQQCAQEDENYINQINFIKEIQDLIDLAKKHQC QQNLFDQTILIYQQHFQKIEYIKQNILIKTQDQAVKSEQLKSQYSKLSNILNEYANTYDN NTIQMKKYCMIKQLESDIVKLRETNSNLEVESKDIKLQIEDNLISSMQKQFKYKLNETNI KKKEQKYKKMKEQLKNAVKDNIKMKKQYEQDKTKMMEKFEDECKENKVKFELIKDLTQKN SELQEALMKLDQINQVKSEQEKYSKMEIEKIQQFKKSLSFSNNYKSANCQVSEDAKIVAE VVNDGYWYYCLCEQAIPKTGKIQFAFQIISGQGFMVGIGFREIMQRNNYKSCYYTGFGTY LILNDGCIFSHHNEDLHHKKQSFAFTTNDVIIVEVCIEHKYIKWSKSINPQLMFVLDIDT SQELYPCLGVFNQSKIKLLHNIP >CAK79911 pep:novel supercontig:GCA_000165425.1:CT868347:142:863:-1 gene:GSPATT00039361001 transcript:CAK79911 MLKKNDSEQITKINDLKNENENLSEINQKNEQIIQQLQQTLKQAQQFSQSLTFSTIYKHN NCSVTQNGKVIQTKISGWQCCMCDQMIPKNDMIQFAVKIIEIGDIMIGIGFQGQCVEQRV SKLFYTNRTYNIYHNGQCYNHDQQDKNEKSIAFPFSTNDIIIVEVDIQKKYVKWKKQFTN QSFVSNSIYYQSLTIDTSKDLYPCVHLYGTCKVEILNQVFK >CAK79912 pep:novel supercontig:GCA_000165425.1:CT868347:890:2481:-1 gene:GSPATT00039362001 transcript:CAK79912 MLSDLDRKMCQKHKLEILTIDLKQSTAVEDKFLCIKCLMEKIDIQNMALVEETKTMIKSM KSEQLNNRLREYQRRIQNFKQIESQLKEMKVSINNTIDKLQSNLNQKITLMENELNDSES KTMVSTFEEDVRILSNNYKGSFNFEIPKEFEKSLDDNSYIDSIEQQLQSIINCPKLIEIK ECLEQIKVENENKEVKQCQLLNKKEEDPQKTPSLKIQCNKHGKEIIMFNLNPDKTQLSRL ACVECIQQHNPIKYTTLKDANLKWNEYLGQTSDYIKRFQNQRYLKSTQIIDILQDIKEKY NSTISEIINKINTQYSMFNQNQINEFNDNIIFQMNIEQIDELTEILSQDDKFQVLAEKQL NIQKQDLKQLEMISNNFAKLMQNDLVATEKINQIFKESNLNMSNIKDLTNDIVSDDKVSI QNIQQIRQLNSQIQKFKIYQDILNDGLNQYEFIMQKISSLSDEFQDTQLQQFNEQLTKIQ KDSPQYKNSFNLINQQQKRNHFKINLLKIIN >CAK83397 pep:novel supercontig:GCA_000165425.1:CT868468:60:2571:1 gene:GSPATT00039547001 transcript:CAK83397 MSNSNQCKRYAQEDCREQEKCGFYFGQCIDFVDCMVFDKENCQESSYKCVSDGSKCVQIQ ECSDYKTENGCANKNKYNKYCFWIGGMEKKCLDATTCEGLPNYLTNHQMCKSGLDGCTIS EDGYGCIKQMELCSQYLNDYQCFESNKNNCFWDSKNEKCVEKVYQNLLFTQDYQCREILK DCTTNGVHCVKRKQCIDAQNAYGCVTDAEGKKCEYHQNQCKIKSCSTAPDSLKNYQQCQD YDNLLDCVTSENKGCKIRPETCYGYAQEIDCYSIEQQDCVWYNNKCEQRQCYHAPFFFMN ADCHQYGNCIGKLNGGCQMIPKQCEEILEKQFCEINYNKEKCIWLGGKYELLQCKKLKLP TYKSHQICQKASQYFTFNLNTLGCTDFLCENILEIEYCIIDSNGTFCTLNQGCVEKNCNT APPYYDSNSKCEEWMPNCTVNNQKILIGCINKKNSCEPANQDQCYSTISGLQCKWDGYSQ KFYIQQMKIVNNLKCLVVLAQLDFQELGCQNWPTDCTQMITQNQCQLNLQDGTKCFWTGT RCKLQQCSDAPKVNHTNNIECNTWLNICIFDHYYGGCKDRPNNLACSSSPNNIMYNNHQE CIAWNPKCTVISSLFAEGCELKKSNCHEFIRERNCKTNINGQFCYWDDKLQKCMNEGEDN NGLTDCDKRLYGDLSHQDCEGFLPKCTVSNIGKSCSDLSSYCDYKYQQQCIINRYYFPCK WDDQNQICKYVVCTDNTTAQTEVECLRFKIWSICQLKINSNGTYGPGCEDRPTYCLFVTN PIICKLTLTYLQKRCYYFNSSCDEVLSNQCEVITDSQSNEL >CAK82845 pep:novel supercontig:GCA_000165425.1:CT868443:2488:2786:1 gene:GSPATT00039509001 transcript:CAK82845 MTQENQTIFKKQLFLFTPHQYENSEQGLLYTVAVRVPIILIDVILENCIKTFSKYTKKYQ LILRNQFLRSSTLFKKAILQFKLQIKVLNLQQ >CAK78301 pep:novel supercontig:GCA_000165425.1:CT868307:3025:4254:1 gene:GSPATT00013731001 transcript:CAK78301 MSTQQELPIMNQQTPQPSSQISKVQYPILSNVVPAAQYIQLDDVQQAVAEKSVLIDINSD RSTFLIEYYKKILLQHTIIFGLFLLGLTKWFSSIVVIHHYWWSYFRWTWYVILGLLIALV LVLPILRKYRCIVSQQSKLFHLQSVLIAFLLIGVAGNQRGNSNHKTVNVWIILVLLILIG HDLLQIVIVKTQRTHYYTALMKFTIMGSLGLNFIVGLSHKNLDRAFPISEIIIIYALYYF NQLNDQLLKQTHELPEELSIFERIQQLTLIRNLFNQEARQNQIIEQIQDTHCVISKHLVI VIGSGVGYIAVLVLSIVTGNGFLIFTVILGSISMLSVILETQVASRSLKPEDSHYAVCLT YLDMASPLRLLLRSLFNK >CAK78302 pep:novel supercontig:GCA_000165425.1:CT868307:4527:6075:1 gene:GSPATT00013732001 transcript:CAK78302 MFSLNQIRTPKFLYCLRNYRVKNQILVIQLLIFTVIIAFLTIALVINRVIIESVIEKVSL QLLQNINQKALHKQSTYLEYQTFLTFNFGFGLLNKINNENLYLLKQQNIMLGNTPFSCVD YNVRDIQPFQYYLPQLCYYYANHIDFALIPKTEIDQHLIVLIQMLNQQLLLIGYSELEPT IYLTQQGDGQFFAIIPQKMRYPMYRPKERQWYKDHIAALNNSNQPEVISEIYRNYETQEF EFTLTVSLTDSELEFDGVIALDSNFQVIKPKINYDQLNIYLVDLDGRILISNVYPNINFV QELKFFNDTSITGFDNEDWNEVLNQILNQKGQSNCQFDYKNFCRYNKLFEQDLFIRGFGI HNRFYQIVFVDYKFMEQNDNDTQQLKSELLSQATAQLFYYSIYNISGILLCWIIMHFFLK PYYELTRLFQQTTQTKFNQKYEIALRKVKLFKQNNLIQTGLDNLCNTLSKIRSKKSRECH YLENYKYPKNLAKNAYKFRYYIKQFPK >CAK78303 pep:novel supercontig:GCA_000165425.1:CT868307:6583:9291:1 gene:GSPATT00013733001 transcript:CAK78303 MGYINTLISIMITSTLLGLILFFRGVFIDRYYIRDKAEGKIEKADPVVLIVIDSFRMDLA VSYNFNFIQQRKSDPQSLIFLSLAETPSVTGPRIQTMTTGNFAPLTKVLDNFHDSEIVED SFIHQAKMGGKKTLFIGDNNWLGLYPNEFTQAHPLNKMRVNSQAMYVVDKKFEKLFHQNF NQKFDLTVIHFLGIDYVAHEYNRIVQNKILDEQLEQLSDIITRIYQRLSNDTTLIITGDH GMLNDGNHGGNSSLETNTVFFVTKKNAHYDKYFMKKFDGFRDDYETTAIKQVDIAPTLAK LIGVPIPFSNIGMIIPEIFPSDIVNDYCVENLKQMFHYSKKVKQEQGLFTKAQIKQWRNK IDKVSNCAEAIPIMEEIHHESRIIWNNYNELLIFLSIIFQVLTLVLYITTLLNIKYVKDY SLWITLVKLVKQKYNIYSKQIFIGLFALSSLILFLEVEVFIAILLIIGITIILIFSIVQL YQCMKEESATYSSLNKDPVNHRKEFQYFKFFILAIFLHKVYRQGSLLFSIDAETIEHNEL FDQIFDLLFMSIVLNIMVLLKTYYDSGQSKRHFIQLAKSIGEQLMIGVLALILLQLEYLY YELSLEHSFKNLRKKKQLIHIYFPCILQHALLFYYQKLGNKNRFTKVVAHLFLLIIQFYF VVPQISLFDQNRTLIQNSLKALIFCMPFFYIYAKDLVFFLIPTQLATSQYGIAIYTYFII LMLLVYILSKPQLKYNKLIFVAIISLICQYTWFMIGNQCSISAVQRATKRIGFTTTNGVE LLLIIVYLLIGPYVGGCLVLKFVGSMLKEEKDELRESEQENTNKEIKLYFLLNFYLQILF TQFHNYNNLGGYTTIQFKYIFDVVGYMIICLLVYIQ >CAK78304 pep:novel supercontig:GCA_000165425.1:CT868307:9320:10172:-1 gene:GSPATT00013734001 transcript:CAK78304 MRKVLITGGNRGLGFKLAEVLSPKHHILLTARNLSSLNEAKRKITAIVPNASITTQELDV SRFDSVKAFHKWMLSTNTTVDVIVNNAGVNDENQLENKAFDIMNTNLFGIINLTETILPQ LTQDGKIILISSMLGKLKLQPPSTQKLLQEKLTKQQILQFARDLIHNYKEGNYGIWSSHI QPLYKVSKVLTNAYARHVLADLVQPNQSIFCVHPGWVKTDMGGPKAPGEVKDGIFTSRYL IEELPYGRNPQYHAKYFNDKAQIEDY >CAK78305 pep:novel supercontig:GCA_000165425.1:CT868307:11018:12096:-1 gene:GSPATT00013735001 transcript:CAK78305 MQNNNKQVRESWKMSLINKFGLTEAIEHNLIFQPPNPTYQIKNSLDFNGLEFSMKVNDNW IDLNQCYNIQYRVSILKEIENNVIVSYVPIIHYSCNSDRVIILSHSNAMDLTLTSRWGAK ICELYQVDVICYDYSGYGITKKTMKPSEYGISRDLSNVVALAQHQYDYIFLWGYSIGSYP TVEVATQFQLSGIILQAPLASLGRIIDNRNSFYSEHDKFSNQSIIDKITAPILIFHGTKD TIIKINHSEQLSKCCQNLFAFIKVEGANHNDISIAAETLNSEVYNYIKELLNNQNILPIK KVFELTLKFDALIILDQIEEQKLYKSNLPIQEKKEIKKNHEKQSCSLFCTKF >CAK78306 pep:novel supercontig:GCA_000165425.1:CT868307:12126:12718:-1 gene:GSPATT00013736001 transcript:CAK78306 MRTITKPGTIKINKNKDNYEQYKILVLGDPFVGKTAILDRYINGDFSEKYNSTIAVDFKH VQPSSNISLNFWDFSGQQEFVEVRNEFYKDANMIMLVFDLSSRKTLETLDMWVREANDYG AANIPILVVGNKKEKRSMSENEGYNWAKQRSYQYIEVSAQQNNNIDLLFKVIKDIFTQKK >CAK78307 pep:novel supercontig:GCA_000165425.1:CT868307:12782:13842:1 gene:GSPATT00013737001 transcript:CAK78307 MNKKEFRKLNAHIDKEVLNLTGGVYPVGLITQQKPINHQKWAQEEILLNNSLVKRINAWV PEQERGKLFPGEKFNIEPEVVEFTENEITVDENWDYEETKYLFQELRHFNYNFIILYDRY QYQNKNRDIYQLKDRYYSVMREILQKRSQTSHQLYNYVYDEEYDRFRNMELEKYLKRTKQ ICDDEKKIQEDLRKLDQLIKKQEKEHRSITRCIGSAQETDEIDENRLQTILEVGQKNEDL KNKTQQHIVYLRTKWVNDVLPIPSSVKDKLDRQLKDIIPQAKLVLNQELEASYSQLRKFY LEVLIKQRLQKKREADKKQLEDKIRKIKAQFDSQPPSDIKQKK >CAK78308 pep:novel supercontig:GCA_000165425.1:CT868307:13938:16580:-1 gene:GSPATT00013738001 transcript:CAK78308 MDIDAHNAEINELNDAKIQQIKASRKLFGGIIKKGDPLYKNIINVILFALLFPCMIIFEL VIGIKNLLIYTWESLISLIHFIAQTYKSTKQYIIVNFYFVKNWIYQKGLLFIVKLQYVLR VCSQYITKKWQQLVLFIIQYFLIFIENYLFVLYIWIAKKIKVVTAICKNYYQRISSVIKR QGKKGMKFAISKIKYILNKTKQLILIIYKAIKRFTLYLKEKITFLITYLYQQIKKASIFI YQRTLIPLKNKIVQFSYYLQDQSTLGMVKLKSALTHYVPIILYYIKAKYLQFQNWILVQI KLVGRCLKYVKNRLIHYSLLLIDYLLGKYKQLKQNVISPFNLKVNEVLKKIKRLIIDISL KTIDLIKVALLFLRDDVIIKGKTKFMEYYRNGQKVSYQVFKILQKRGRNLALKLKLIYSK MRENSAKRYLKFCILMQKLQNKLKILANIIYQKAKILYQKILIIAKYIILKIKAAYKFIK KIIKLTGQKIKNFVIFLFRSIKKSIKWTIEQQCKILVKFILVFRIFKPIIWLTEKFFDIM FVILSFPYLQFNKVIEVGIDFFIYRIPNKSYIWIVYIIVKFIKLLIKIEGLLVLIFKQAK KIASIINSKLITPVLRFIMGCYRVIKKAVLAIVQSLINFKDMMKYNYVLPAWASIRLFGV KVKNSYIKIKQKVIRIIKYGIDKLKQACIFLKNQILFIKAKITEFFIYLIKVIKELVQLI WQQIKYVYGIMIDPLIQLKNQVVQVFISLKNTIVDFMQFLRGVIVAQCIVVKEAAQAIQI KMIGIFNQIKQSIIEQFQAAKVILQSIYDSIKQQLISIRIQIAQQMQQVKESLIQQKNAI AEQFRIVGQSIRMQGVQVKQSIITLKNDIKQTIQAMFKRN >CAK78309 pep:novel supercontig:GCA_000165425.1:CT868307:17205:17897:1 gene:GSPATT00013739001 transcript:CAK78309 MQSNEILPVQSNFLQTHSLLPQIQHIELPFEDVHDQLQLIESVETFNFNEMDAEDDYYNP IQKLPLKKPRKRRRRQAFHDKRRKRSSQKKSNRKPQPIPFNYQEDRQILLQVLEVGPKFY KIAKGFQGRTLSMVKNRYYKTLRFKWDVILGQEYGYMNASVNDIQNQQGLEYLEEDYDHN IYSQFLF >CAK78310 pep:novel supercontig:GCA_000165425.1:CT868307:17956:20454:-1 gene:GSPATT00013740001 transcript:CAK78310 MLRTCLKKRIYTFSSIRDRINQERAERLKNLPTTTEEKIRNFGIIAHIDAGKTTTTERML FYSGAITFPGEVHDGTTTMDFMPQERQRGITIRSAAISFNWANHQYNLIDTPGHIDFTAE VERSLRVLDGAIAIFDGVSGVQTQSETVWLQANKFNIPKIAFVNKMDRQGASLDYTLQSM KDRLHIKPFIMQIPVGEIDYFNSVIDLLTLQEIVWLDKYGSEVQFRDVDKSHRYYDKAIQ ARDDLLSTVSEYDDKIAELYLEDSKELLNQQLLLHSIRQIINTNYNQCCPIYVGSALKNR GIQPILDAVHQLLPGPSERPLIFDINNPNNKRKLEKSEKLTAYVYKVLQDQDLGLLGFTR IYSGEMTQKQNYNNSTKDELIKVNNLYRVRANRYVPINSVQAGDIIAIQSKQAVAGSTII GPNDERFVLQQLQLPQCVFFANLEYESAKDKLKLDQALQQLQLEDESLKISIIDESLITI GGQGELHLEIVVQRLKEDFGLNTKLKKMQVEYKESISEEGVLEVKYQDILKGRPLWFKLK LKLQPSEQQENEVIFDFDRENDFDILYKQFKESQLRLVPNQKIESSIKYIKNPCKNIEVD SIFDDHGEEKAYHISSLPFPMFFQLEKSILASLNRGFLKSYQMQGVNATILDGAFSVKRT NDLAIGRAVQKLMTEIHPLLKPIILEPIMDLEISCPNSLQQRIINDLISHRRGKIIEIKQ DQNRAGSQNSNRVILTATIPSQETIGYSTAIRSISQGEAYFSMSFKQYEFVGGQKQSELI SEVF >CAK78311 pep:novel supercontig:GCA_000165425.1:CT868307:20494:21285:-1 gene:GSPATT00013741001 transcript:CAK78311 MLLLLSIPLVWTQQFIDITFKEICNCDELVTQQDCQRDLYCFWSKNKCENIRCEDLNPYL CQTSRKCFNNFTSSQDPKCSKLFTSSDSEDFCSHLLSNGTRNCYDQNVWCTNQTNSDYCT LQSTTHCESLLTQQQCLYNFGYCAWNNGVCTVAQCKDLDNDQCAFYPFYCILNNNACVNA ECQYLPEYFCNYIINSTLENGYQIQVCQYDYNISQCQAITTQELTKDNCFKNTLHTYYWN HNQCQKCYENILFMVCLIMYLLF >CAK78312 pep:novel supercontig:GCA_000165425.1:CT868307:21359:22310:-1 gene:GSPATT00013742001 transcript:CAK78312 MQQQNQVMYQQQQLPPQQQRDYNIPPPGYKAPRYPREELPENQEQNLDLGGSYQSYLYNC GECCGNCKAVCPCNPCVEYPYVQVEQSFVGVYLRFGKYIKTVQPGLIYINPCTDTIQKVD CKVQMIDCPRQQVMTKDNILVSIDATVYYRIVIPRRSIFYINDLHQAVTQLTLATIKSIA GSHTLQDLLEKRAEVQQQIEGFVDEHVWEWGIDIENMLIKDIQLNADLQNTLSMAAKEQR AAQAKVISAQGDVQSAKLMRQAAELLDSKAAMQIRYLDTITTLGQQGSTKVVLLPTDSK >CAK78313 pep:novel supercontig:GCA_000165425.1:CT868307:22359:25280:-1 gene:GSPATT00013743001 transcript:CAK78313 MNQTAPVLTLQKRSYLFKACLASLFGGHSLHLPTKLYIIQVIIQLVSVIQTYIIGKFVDN YAVVLIVPAIINTILQICLNYFTDEEHRKTRAQIGSQIGILIPQTLGTASAVKYGGTVLD AIVFFFLFPREQNVELGYTQLEAYSRSRYACLFLKLILIFTHVDRMIIANIIRYIYYFTP ILLWMGLYGQFSQFLEYVGEQFNFLMGSSYQSTQKRTYLTVLLNVVLIAICLGLSYMNNS SGVAIIVSGILVNIKMFNIFGSLPKDDNQIYKQNYLDRPYLLANLISTLLNAFSIILCGV LASSHYKELYYAIIPLFLGYILLQLKFESYVKYYKILYAAVECACISMMDYNFEGIFSQR LFECTTIIRLYSLIHSNPNYLFFEQLVCFIVSFKTSYSMAYCQLIGFLVQIALRFAIRMK SRFLIWIIANQKMLTVKKQKVEGAACITTLQLIMCPITFLIMLISSILDSPYMPFLGLPL FYFASLRPFRNTIHIQKHIENSSEGSIYNAYLSKAYNKLLSSQPLWSHYLVRIGKYLGII QVLEYQGGYAVIQFKGLESQETTSCHGIEAREVDRLVDAKDCGSFCGSALTLQQKFQISS YEENQLSLTGTIEASEFVSGFKKIYLRTLCYYVQELNESHQKSIVDLSNRFEGYESYFPN SFADCIKLKDLFSSFKNNSLDQQSEIQIQIKPNPKTQIKPEEDDIMKMLDDYTQDIPQQT KKLQQPVGKLYNHVQRAILYIYQVSLGDLDFNYESKLNQKGLFNLFQSSKSLSEQRQMKG VDQILSEIATKAVRSALKLGLDFYCVEGHLEMSDADLLEELKNSADCFIGMGNEKGWQTA MQSNLLLWSLGYDSGKNKLTVYRHSYTDIDCQIFRFDSEVVRGIEANLQMELLFATNDDE ERYSIQTHEQFFRNMIIEGSELPLGYAPFYSGPVVISLR >CAK78314 pep:novel supercontig:GCA_000165425.1:CT868307:26056:26644:1 gene:GSPATT00013744001 transcript:CAK78314 MQDCKDEQNYLNFLQLEKTVGSIDDNSTSLHQLNHENLSLTYNMNQEVSPLNHQTKRIKK KKRVIFHYKKKRRNTKLIEMNNNNPFTVEEDQKILSLVLKHGPKFGNIIKNFNDRNQNAI KNRYYKYLRFRWDSILGSDYRRLNCKRDSEKVDCSDLTQIIDEMTIFPEFKDLLSQLVYR VHSYFN >CAK78315 pep:novel supercontig:GCA_000165425.1:CT868307:26982:28421:1 gene:GSPATT00013745001 transcript:CAK78315 MKISRNVLVFMNLIINQMQEKLIAGLTSSYGSLLGQQYLKWLKMPPSYLRVMQSIDYRKG MYGSLANKGKSIDNAYIDGEVFHKCGIQLQTIASQIAHKISGGKVEQQYLVTGLLKIILQ VFVHQKDQSLTHSPFANMIQPPNTPLLWPQTVIDLITSRNLASLIEGTLLQCQSMYNELQ LSKVYGLTALEFLKLFQSVRASLICFNPQKPKYFDMQSVQIVCPIVYQFDHSFDPNCYLD GCYLSHENMSFVDFSAKKQIEPGDKLTINYGNLSNHDLLMRHGIIADENPYNEMPIDLDF TTAINYTEQLFEQKQKWLRQSEITNIERQSLYANKINVQLLQYLRIYFLTEQEFSKNPQM EFNSFQEKVSEENELLVKQFMIQNIKNILSTYKQISKPVGKELQDLYKIQKDEMTILQNN LTFFSK >CAK78316 pep:novel supercontig:GCA_000165425.1:CT868307:28468:29157:1 gene:GSPATT00013746001 transcript:CAK78316 MRKSNNEQTLPAQGNAIDKQFYYNLLSLEKAIIKEPQLDAVQQALAMYRKCVEYFDNIQD PIKYYFLDKIQQALSETKTLMLIINSKSELESSKTISPVKRSSMSDLPSPQLSDQQVMDK KLRSKQVTLQIKLHEASDQQSEILNTMVTDFTTNTTSTDQKVRQDLNQQADIIQARLLKR QQSTKIKGCSSMQTLF >CAK78317 pep:novel supercontig:GCA_000165425.1:CT868307:29804:31348:-1 gene:GSPATT00013747001 transcript:CAK78317 MINKAGKQLTWLFALKILSRIFDLSLNILVLRDLEPGIYGLTTNLDLLTNITLFYLKIVL KQTYSRLKDPNQEQTQSAVNLMYFGLLITICIAPITVLVMSYNQSVAFAKTAFLYGISAV IDGASEPYAVQWIIQLKLNVVAKAEGLAVFMKTIVLYILLGKYTRELFQIETVIGFGIAQ VIYSIFIFGYIFSLSNQSKFKQLNKEGVYVVAEMKQVGYQFTLMAFMKFLLQELEKIVMV IYNNPIISGEFTLVSHIGSIIPRFIYVNIEQIAFNLFPKINQEEQTQLLQKYLKFLNLVG ISIVSAGIPCASAFLQIYGSQWTSDSCILAMQLYCIYIWIMGINGITESYVNSTIDTKDM WWYRYLLIAQTIIYSLSLVILVQMGSSGIIISNILSMSVRIFVSFLIINKQGIKIQWNAI MPKFMILYLLGFLFQYLTEGFQLLVLTALYYGILGLINIKTVTEVIKSN >CAK78318 pep:novel supercontig:GCA_000165425.1:CT868307:31360:32327:1 gene:GSPATT00013748001 transcript:CAK78318 MEYIQKMIRPFTDRSNYYVSQPNSFKRKESKHTHDPQSVLLYIKQIFFTLQTQQNVNMSF LNPNYFSDIQSDLNPEMRAQMIDEVHKLCTAHKAQRRTFHQTIYLLDVYLSTNRIFTAQI DSIYKTCYFVASKYEEIYPSPLWRYTYDRDEMQEIFQIEKELLSLLDFKLVTASSYVWLN YYWTIINIAEKTSNWLTYSLLLLDVAVYNINIIKYEPSKIACASLYTSAIMLNIQMNWNS FASQFGLHFQHEIKEISNLIMITLKDSVNQKREIVKHMQLQKEKELF >CAK78319 pep:novel supercontig:GCA_000165425.1:CT868307:32485:40885:-1 gene:GSPATT00013749001 transcript:CAK78319 MLLFIGILCVIQEVRSAISTITQTLNPTQQQFTQQLNLTYLQQYIYITAEFKYVPLSYQY YNNFQSDQNKYIIFSLTSDKSIAVLAYLAIDSAFKICMEVHLINDVTQTRILEVFELPQD PLLIEGNWFTLELRNNLALKQVNVTCYQNQNMTNLLNKIYSGYNIEVSEYLELQAGKTQD IGQYYHLFFQGPIRLQVLDKSSKFWPQSISCTYNSYYEIMALRTFDKTDFETHQITSNIN KCFGIATWIKVNKTNEYDVVNVLKILASPNANIGDSNELINLQYQINTDSTSIKLDCHSY TFPVLQSNTINSIQKPLHFQIPKSLYEWHHLSIAYQQNQVSISLSFPQHPQDNVVQVLKQ VYLYSDTNQTIFFGGYINDQTSYYSNTPGQFNQLRYFSNLGSASPLPISCHTNCLTCFGP YSNQCLSCQASRYRDYYVGTHECLCKTGYLEIGYSSCIDGYPQRQEKLIPLSLDPRYDSE YPNVVCSFGYFRYENVCYQCPKVNRKYPCFLCLANPNTWVYEPKCLKTQVQINKDDDNSP YKPNDNFVQLLFFQDDQYDVYIFQDLELILCEFCRQLCLTLPFLTDCKLSKYVHLSKPTY IVCQLKSYLIDGKCKRAFLGCSSGKFYFGEYICTTFSVQSSSIQHCLLYRTEKCEECEDN YFQSYDSDLCLPCSIQKCKFCFEYYQDDVSYSSVIQLNKQINREQLVTGCALCYQGYQFN FATGICEIVQQIDTDCLKRYIDFNGKAVCYETATDDFSKGTMIHNCQRFMLNCNKCIQPA SNQYYCGECQKGYYYNRLKGICLKCSSYNEVYTECSTSYVAQKDTIYNQILGFTFQLSGI YPINMLKDSAIERLYPLSCADGYSVIANECILVNTTYCTLFSDQCVSCNSDQYGTKRLTV MNMACQECPFYCEYCQIRTQPINLLNPYFNSSRIYQGYQCLQKSNRASLFIDNYYGHVRQ CNSTIPKCQTQISLITTNLASLRDYLSVIYDTQTEFMILKGVSILTFQLNVSQHITFSLS FHQIDILSNQYVYQVITLQTTEIVFNGLNVNKYYSLTFGQVQFQNMQKMIIQNLQLNFSI YRDSLIQTVNIDSFQLYLMNVTIMDSYSKPDLTYDINLVAPNDNQFGRAYQIQVQNPQII SIINVMISNMSMLNTTFLNLINSNYVDLDQIVIQNLTFFNCSFFNTDFLALTQNLLYQNI QITTFNIKNCTFNNGSILHFSDYYFKGNLKIQDLQLSNSIFINSILFILPQSNLVDISSV TFQNCKFQSSSGLRIRSNSTFQKFRISNCHFVYSNLISSNIYSSSQIFLNFNQMVFSEVN LESSYLIEIIGENIFYVVSNIDIRGILASNSMSNTYEKVFYCQGFSFKLSDVTMFRFRGV TEFQLENFEVLELNHIVADSNMDQIVSQIFDISASTLIINYFIIKNQKSEDSIFISVQEG VNNRQNYMKISNLQIMNIQMIKKQYKFPSSIIQIVSSIEQSISIQDSQFLNIWQNQELQD PEISTASLIVLNVPQSSVLIKNLKILNCLISNATDSLILINSKTLDFQLCFSNMTNNLES YFKTMKIEVDLSQIQQMSYGGLAYMQVQELTIKDSQFYNSIGYYGGVFYIITKFNGIANI VGLRFENISTFRRPFAQGMGGCLYIDSQYSYLQLNIIDVYVTNSSSNYIGGFLYVKPSIY QNVMNLRNLEFFNVFSSQQSIISFIQMYSQQSNSTINLRNVTIRNEKNQYKNYLEYYGLT NSEGLYGLITIQGTQITISRLNAEGYFYESILHIEYSKSFKLSRASVYNSLFDGQTLLFL QDISSDTPTYIHIDRLSHFNIYGNNRQQTAIVQLFLSKNCVLAQFDDMNFYNITCQKCQS GILSFSTDSKDVTTRIRFQGLTLNRNLCGQNGCFNLLSNSSANDQVFIIKKSKFINNIAN DGGSIFINNLQLQVMSTLFLQNEADNSGGAIFYWSQKDIHIQDCYFYENKASTGGAIFLG SNALIQNQSTLQFTQNSATSFGDNLAEQPKFLQLIINNQEISNLRQIIDQTVIDYPILKQ KLYFPTGSKLSQYEFFNYTSNLFSSIQYSIQLKAYNHIKEEIMFLDDTKCLIAYDNQQAN TSFQDDSLASYQIIVFNSTKQYYDLNELLIVHNPYDDQKSIMLDIKCDSITDDYHLRFSV ETLKCQIGEYFYEGACLRCNSAAGYYSVAYNSTSCKRIDIKLINQTNGAILQLQPFYWRP HLRSDLIEKCQKYPSKCLGGWFPGELSCSIGSIGALCEECDIYNIRGDGYFLNSGQTQCS FCGHLSVQVMLLLISSFWSSFLIIMTVKSTHNSLQKLAQLKVLYLKYQTLYTFSLDQTSI LIKMSNNYFQILMVISTFQIDFFTNLRNTLQFLGEASSFVTYQIDCTTSQITNTPIIYSH FILMLLIPFFNFALSTAIYCISIMAKVSRFSQTFIFTTIIYLYIYNQQAILNWGTSLISK RKISGYDYIQASVFYYYDTEEHANWLFKFVIPIVAFQGIMIPLLLLYYLYYHKGNLYNKS ARKVLSYLINEYSDESYYWELIKIAYKLLIIVIINFLEQQILIKGILIYIIIILYYQSIA IFRPYRQSQFNLLEQTISYYVSMTILLSLLLYQIQNLDIYYLEISLIILILYLILKLILL FIEKIFIAFFKRLDEQLDPIRGIILMRFPNICKICPSLRNILKLRKQQKQRILERFYKIK NYLKLKIIRFPTKDSARDQVKAKDSRSMLFISQHRNTFTSIEKIILTQGNETAPLK >CAK78320 pep:novel supercontig:GCA_000165425.1:CT868307:41762:42256:-1 gene:GSPATT00013750001 transcript:CAK78320 MGIRIYQVFEYQQHLKNSHQLVIKLPKMGSTCLKIPITTNDINLNEEVVQELNQSNQQKK DILKIIDESVCSDDEIQLTSHSFEEIQENSNQSKYSMIKKLDQGVNIKSILKTTTNSHIV QTSSIRKSVSFCLIAPNKYKEMINCSAKLSPAQKEFLDSLCSSQ >CAK78321 pep:novel supercontig:GCA_000165425.1:CT868307:42895:43905:1 gene:GSPATT00013751001 transcript:CAK78321 MIRNILIRGKNVPFLKGENVLMDHFNKVEQAKKFQNYLNSWEQSNVEVKQIEVSYVYMFG QNVGFVNLVVDAYLNGIRLPGFVFLRGDAVAILLLVNKKMVLTQQFRVPVGKFTIEAPAG MMDEQGDFGGVAAKEIKEETGISIQHNEMQYLQDMLVSPGGSDEVIHLFVVEKNMEQAQL DELCQKTHGEEGEGEQIKLVIQDFTWENILKTQDSKLIAAAAAYYSKKQ >CAK78322 pep:novel supercontig:GCA_000165425.1:CT868307:45042:45608:1 gene:GSPATT00013752001 transcript:CAK78322 MATHKKQVNSLFNVRFFPDKTPSNNVVKARSPVIYPTHRLQQQRMATINLNQQNQEAPLK KPQLSRCGSMGMQTEMPCSETLTKLLEIENDIQSTEVETISIDKLQKWRKALVDQCELME EQVEKQEKDAHQYAFKVVPHTQMIERRIAEEIQNREKLQAQTSQMIKYQEQEINQLLQKI QALQESLK >CAK78323 pep:novel supercontig:GCA_000165425.1:CT868307:45723:47895:1 gene:GSPATT00013753001 transcript:CAK78323 MAQKEHFAGSWDALVDMFDKRLQLYKYNRVALITECKPTIGIEFYPAIKNEKDLLNHIMR LHLYLPQVFFNQAQFYEFSTALDDRNIFDRRNVGSLLGMAFMGIVGFLALGFTIMGMIAG GVLGVMLGRYAGRRMKKTFTSQKVLLEFDIYSIRLRCFIKWAEERGKTYRCNVNFVRFIA EKLLLETKTALHYKQFNADQQKQARKTILKVAEVFNEKHFIHALILSLKMSHEYLRILKL LSENSELNRGDIEEFQHFKSFRSELEVCLMKAIDNVLRPLVRLLEDSDPKSKVLKKIRDQ AKGFLIQKEIVQLTKQFPDPQMRITYIKMLAEKMKQPIKNDSIISYLKKQHFRDIEQIGI VIDQHVDQQVEQQLGGDLKQIELEIIQEENDQNMSPKNQLKSQLSASSESEFQPLQEEYP KSAQKQQELQLKITQHPNLVFIDSNQGDLVIQNSLAQSLSSADIKQILEPEQQISLKQHQ SVEVKQQLVQYSEETTTKFDLFLQFFEESTDTWECVTTKEDIYIYKSMKPGCGSVFLKGH SFIYGHDKQVVFNAVYQKEFRTKWDKIMQKFNIVRHEREDIDIMYYVVVPPIPIVSTREW LQRRVLRYDFPYKGQICLLFYSVDLPEFPISKNPVRAHTEIAGYVFENTSSGTKITFVSN NDIKGSIPKLLVNHASAKGPFGWFGNLRKACDLYRKHNGDLSRIVIS >CAK78324 pep:novel supercontig:GCA_000165425.1:CT868307:47969:49047:1 gene:GSPATT00013754001 transcript:CAK78324 MWQTANSVLSIITNTYENTRGVECLDKKPQIQDPIEEETRNNYSENEDFNQADKEFDPTQ WNLRNFEMGRYLGNGKFGHVYLARERESKFILALKVISKRQLNLCQLTGSLTREVEILTH LKHPNIISFYGFFQTEKRVYLMLEWAPLGDLYGLMKKQQNKRFNEKMASNIIKQITMAIG YMHSMNVIHRDLKPENILCFNDDVFKISDFGWSVHTPSNRRKTLCGTLDYLCPEMINYQP HDNRVDVWTIGVLAYELVVGRPPFESHNENDTKRKIQHLQYQFPQWSSSDFQNFVKGILQ HDCNKRPTIQQILNHPWIAQ >CAK78325 pep:novel supercontig:GCA_000165425.1:CT868307:49050:49559:1 gene:GSPATT00013755001 transcript:CAK78325 MVRIYIIRFILLQNRQGKTRLSKWYVYYDDAEKVKLQNEVHRLIVCRDTKHTNFLEFRNY KIIYKRYAGLFFALCVDVSDNELTMLELIHLYVEVLDKYFGNVCELDIVFNFNKAYSILD EMIVGGEIVETSKQVIINAVKNIELLD >CAK78326 pep:novel supercontig:GCA_000165425.1:CT868307:49573:51482:-1 gene:GSPATT00013756001 transcript:CAK78326 MDPEKQLMDQPIEEESDMDEDEKRQKGDWHQQLLTACQKGDLTAVQRCLDKRANVLYEDK KKWNPLMWASCRGYTEIVRVLLSRQAAAPYVPEMALILQNFAQTMPIYSSPMNQSSPSKS TYFGGSPGGGAQSAVFNFPQQLSKKVVQGVINQQVRSTPLMWACFKGHVQVVWLLLKSGL SWEDVDQFGNNSVHLAASGGNQVVFQTLLFYGVAVDRPNTRGHTAKDLATNALILQLIKL LEVSGQTAKYFCMTCRKFWKQEEYRMEWVYENAESTDLEKPEGRCVNCWTTIQKHTEELL SIIDKQDHKLLTEKLNEIEKGIVIKNENGKEEFQRIEIDPKNYKFALIEQEKLRTQNVIL DYLSTLKHVPNYKTILKSVNQIQSMLDDAVNRGVKIDAHVKEEAEKEMERLRAERNLQFE LDNLDIGLSTPEQVVVLNDKVVIATDKGVDKQYIDQASELRDKMAKAIQAKKILKMFNEY PAREIPDPIKWDPKTKKPIDPITGKPIDPLKLALQNQKKKKKKEPKFVIPEWANDVKSLD ENIKGLEGLLKEAEVLNLNHEFVDESVQQIKRMKNEMRYRKEVDEQLKWIADAKAAEKKK NK >CAK78327 pep:novel supercontig:GCA_000165425.1:CT868307:51608:55453:1 gene:GSPATT00013757001 transcript:CAK78327 MSPLTITTLILFKLLQVVHLKLINNTYFIFENEYIQTSFPVLSIPLDFDISNQSQTQSLI QEYNLTEEYKYPIAPKAKLFSFKKSNNEDIDIVQLEDQKLTLLYQIKNYQSNNQLLIRSG NYSISLTQRNCFSLHYLQEESYLLACKLPEHKIEIQILNLNQQSSEEGFTNKTLNILDYN PLCELESNLAYSLLVIFEKNCSYSKLETIFIDAINQKIINQTKFVMDEYNKSNEFITKIQ ICSTTTLMIYTNNEILKLDLEQQDFSNFLFFNQEQYFNAKQCTDMSIVEVRDNQLKFNQF SLNVSIEGFIQGFRLNIIFILQKKDHVIVYYSYNLQLIIRKSFQQLFSLSNLPYILGVTE KGEHLIYRIKNPRFSFTYKNSSKIQILEYEKQPKFQKLLLDQIISDVEILSESSPQFLIQ NNTQFFGSFEGSRFKIHQNQIQKSLPFKIKQLYINDTLINFKEETKLLGCNIDIRNLKQI SILSLEDDSFLILFIQNDATQIVIARCTENKLQNIKTIKIQEGFIQIMNFNLPRVCIVQE NSLKIYQFENQQLLERSYAFNLNIIAAYNMNNTNILGLVFEDCNQRTYFIDNHNLQIHQI ISQQLECNGNKQKLTPLFFIDEHKIFFKNQVSYQEQIILKETIIKAEVMLQHRKILIFTQ YQQKLKIKFYSFISFELQLLYDCPLYNFNLKVPLNYKLQSPFLLVLAENSQQNSVLLIYN LNNQAINSLIQIIEIDQEHFHFDFIFGTLKSFYYYYQNDIQIRDFETYTLFLENQIPQTS VFKVLHFSFAFQTPILNEIINWNFTEKSINNDYTIMLIQNDTLSLNSNNILDMSNIFSNI ISIEVIQQNYQLIRPLRFTHEKMTCKFYQNKCCLNDHNLECLQNNQRLFYVFHYVFQFVH TIYFNDNNSECIIISTYQENLQMIIISFQNYSSSIKPQIFQYEIITDPVLHKGTDLQIIF ADRDHVIFLPDKINKIFVYLKLQPAQQLFFNYSNQKNTLLYGSRIKDDDYVFLYQSQDDI FYSIVTISINKKNEQQKIFIKNQMQDQICISNNEFIEPLIGFKKIFPNNFQKIQIIELTL VDDVLVIELLMLFEIQIGFVIQLRIDIIKYGKCEINILQTLRYPHNSLLSRPFYVNKDYI IISTCRINIESLILYDRFQGQNISLIHSIDVFPQNDYLKIEKINNSHVALVAIPNIFQEV QIIALSQYKLECLNNCNSTATLILKNEVSKLTITVQNINNEIPLQYNIRLITLITNLLTI QLFLQLRNKKNKK >CAK78328 pep:novel supercontig:GCA_000165425.1:CT868307:55998:56258:-1 gene:GSPATT00013758001 transcript:CAK78328 MTEQVQDISEQLLHNPINDDKYWELKPSIEEETQKEEEQHYKILDQPQINEEKQKPQPGF WNGLIFQCIGDCLVAFCIEVFVRGLC >CAK78329 pep:novel supercontig:GCA_000165425.1:CT868307:56387:60190:-1 gene:GSPATT00013759001 transcript:CAK78329 MNFICLFISVWFCFICQEVAKVQFSDEQYLYFLENERHYIEENMLGIHFENDEDLKQKFF NYSSQKLIEKYQEFDLGLDDNAKTFKFFSHQGKYIDICFLQHSSIDCRIRINNTLYNEGL IVNQINSSYISLPSNSCYNAFYIEDEAFLINCLNPNNQIEYILLNQQSEIQDQITVNQPL SCNLDSKFKSNKLLINSLNCSVSIFLIIEIDQLEGRLQFNKNFTNVHEQETFPTHSMLID IKLCEQDQIILIFSTFICTYNLENQSLNIIESSYQPWFLYIQDSCFPYKMNGQLHLSSSE IQLYPFLNSKINLESQIKCIYQAERNFIFLYEGWAEVSFETIFGEKILNIKQICKLNTLP FLMTLDQNNKIQFYRVLFLTKGIKYQGLPFFGLSYKTSLRHSSIKLFSYYSINQYSIIYP MKIELIGDLYITNDKISRNTLIINYIKLSKSIPLYLDLESNQEDVFQDFRNYTIYTHHKD LPIKKILKIISLEINFIAIIYEDKFGKIYVHLKNNEIEQNKLIMSKNSDVQVEFIYEIID QITIFIICKHQIMQFQIVAKNDIVLLDTSRSQLDIQECKVDQKKLICLLDDYTVYAYQYQ NWYKYFSETTMHLQEFLKQQQNKYNDFRIPLLYIDHKTCTIKIMPLKPYQHFVLIKANGQ ILLSHIFVPYQRILLILQKDNLISLELYYFCTNEILHLYQMPLYQFTIIFPIEIKFLYKL FSIITQKDEKEYLFTYDITQQAKSCLQSITKMYDYYENFNLIDGTGITSQILPDQIIINY PYYSIKLKQTKKTDYSTINFDVQFQANSFIYSIPSNFSIHLNLINSENSLRFKIDAQQQI LKVNNQYEITNLDSIYGSVNNLHLGSNCKGFLSSPIQLIKRVELDHCIDIYQQFCLVSNS MIIVDYISQNQTLKFLNGVEALNKIIKFSDNLLIVYNSIDQQIHLFSASGNKLIQKDINN KFEYIHLDSNHFNPNEMVINIVNNFVTLETVNFYSIYLINRESENHQMNLLCNTDYQAVQ QTELLYKVDSSFIFLILTLDSLLKFEYCPRSENENAEQKKFGFNHQIVSFYILNSTYENQ QIHLILILFNPKDIAYTFEFSFDLKESKIIKSLMRSQIIRYLNVNYQNFIKINDTNFILK GKQENSILSAYFYKINISSPIQIIDYYYKDEEFSDIDYYNETHFVLIKNNENSKTAQLIQ IHNYQVHLENNCELVLHNDVSSLISPVFLTHIIQNESQTTIYLLMGNFLLILLICNKYQN IIKNRRI >CAK78330 pep:novel supercontig:GCA_000165425.1:CT868307:60576:61458:1 gene:GSPATT00013760001 transcript:CAK78330 MYNKMQLLLILLLIVNSTFESECSCDQLGEKDCKIAKQCKFDQNSCQLKSCQDYSISECN YIPNCNSENNVCKDHFWSTCADIPLEVCEFRENCAINNENQCKEFTKCEDYQFSGNGNCN LKNVNCYNGQDGHCKKKTLIGNCSEVHEDCEKYQMLDTKQCVVSTDNKCVSIQVTQCSNM NGTPACSFYQECNWDITTSICSEKRCSDLSSQNCNGAIKNLNGDQMVCYWDGGVCQKMNS IEDLSETNCYQSTNGQHTWRDGECQECSSFGGLVILINYLIYLF >CAK78331 pep:novel supercontig:GCA_000165425.1:CT868307:61490:62314:-1 gene:GSPATT00013761001 transcript:CAK78331 MIKIVFALFFVGCLGSSGQSVGQFYTTNSCTCDQLSYESDCTKMGPQCIWDGSSCSTQEC NLITVASACAANLKCMWTTDPQGGSSCKTFTFCSQLTGATQVACLQVSANCPFTDGTKCG SSNQLHSCSHFTASQYCEGYISYDGICMWRDATGCSLASECAPLNLAECNSAKYGCKYSN NVCSQLLCSDYTTQQTCTFVMQTITKGEYQLCSWDSTAGKCSMAQNYGGLGQGNCYATTL GTARWVSTSAGGECLSCYAQTLFVFIIALFLIMI >CAK78332 pep:novel supercontig:GCA_000165425.1:CT868307:62504:64996:-1 gene:GSPATT00013762001 transcript:CAK78332 MHQHITAQSLRKQLENKEQLMHTLKHLENRVTHDMVPVLIEILKTVDQAIRLVAKLIQLQ PKEFNSQTLQVAIQRCLTQPINITLLKAIGALLQEFKIDTPDQFIRLVFRCCFTGIPQFG NLQPEHVVTDLSSDSEGQSDAAQKANSKIRSTSILVLKTLIKEFPLYFQSNWQLFLQKLL QLLQHEPRKNTKINLVHLIIVLLDTLNVSKWIQGIEIVKHQHGFQPKAYVLFQGVKQIHE TALALLSGWKQNDSQFNIQLCKLEVYLMQECRILTKLQDQEGGIPLYQISLQLINDATVK TSAMQILNVLVYEGIKTIDVKYLIKQCLQGIKERNENNNNNNELFIQECYQLLQKCQSHF HKEFCELVNCQELQRIKQPNIQYYRIFEEISCVAEQGYQEILIDVALECLQSNAVELVPA GFNIIGNLQDQFWQKQSQEVIEKLIKQISYIHGQLFSDTPFNMSSLKITFYKAIGTLCSS NSVLQNPMAVQELSQMFNKLQGNNVHVLEKSSWAAANLSSLVNLNQEFYQLFLNFAMNTK EKISTNGLRGLGYYLMKCQQATINQDLINCYANALASKNPKISWNASTSLHNAVQGNCQE LLENAKIRQSILDVLTKGDNLKAQMHCVELVRYLKNEHAKDYLRSLIWILVKFKNHDNFI EKKYQTRMRESVLFVLEGFFKRLSLEELIHALDDTLHEENVLERVATEIYAAVLDRKPKD SQIIIANSDLSKQEVLQLQQNFKDDEKTFKQQLEHSYIINQYLSVPKDEEFQGFFQQMSF IATTLYNVIDESPSDKLISFSALEALQELSTDSSLIKIYSID >CAK78333 pep:novel supercontig:GCA_000165425.1:CT868307:65019:67251:1 gene:GSPATT00013763001 transcript:CAK78333 MVLSNDETFELTFVDPFRQCKGKPLEYKHIMTPEGICVIGEVDQHFILNVECKDKGVYGI RVIVDGFTLPGKKTFKSKCKVQGFTNSDGNINCFKFQRPKSDPESTNVFKRNVYRPPWDM SQNDYRDYPGGAGEIIVKIYETKQEENTQGGGGGGGPRGGGAKKSQDPMFVEVTKNDSKS AEDQCLGISQGNVIELPPRQAFDDSRPPKPFKDVIVYEHIVQSFRIIYFDAASLVEKGFV KLNCHNHIAYLTEEFFQGNEAALIQVLQTLIESGQKDKEESDIQQTANVLVERLDYYFSG ELLNIFLNKENQEQLQLDPGSRAQYLESELIKLMESWPDFFLNLGMGAFGIKNKYDQKLY IEKIQEKGFGFGFGVWVWGWGWVMIQVWDYESNNILYLRIRFHTIIYYSMFIFNCKIAPY TLSSQKINLDKASLKNKTALSLAVPKFKCSALFCTDIKTQELCDNTGECVWNNNGACELF SGCGSYQVDKKEDCLLKSTQTKFCTYDEEQQSNGKYNCINDLSKSGGSFMTCFTLNDESL CNQYEYAVCTWRSFQQCQDESDEVNLCQQYQYCIGEESKDCADLSGFEDKCDKRANYCKW VKDNSTCMEKECSDYTSEADCKSILTDDLKRAKPCTWETEQCIQGFNLTKLNKYNCLIDS LNTSMWNEVEQRCQSCKFEKLLNFIIFVMLLLQ >CAK78334 pep:novel supercontig:GCA_000165425.1:CT868307:68035:68421:1 gene:GSPATT00013764001 transcript:CAK78334 MGSICVARHQNLASITQSDVEVAEEQAINDQVSTISCNFISTLELQFKSTASQNQIEHQQ TQRISLELSQIGQQIERKGILKNTNPHYEEELRILSQTIKINQEEMHYEKLVNYLRARKI QGSYKINL >CAK78335 pep:novel supercontig:GCA_000165425.1:CT868307:68435:68943:-1 gene:GSPATT00013765001 transcript:CAK78335 MALKRIQKELSDLAKDPPANCSAGPVDDKDNFHWQATIMGPEGSPYQGGVFFLNIHFPTD YPFKPPKINFVTRIYHPNINQNGAICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPL VPEIANIFKQDKNKYEQLAREQTKKYAQ >CAK78336 pep:novel supercontig:GCA_000165425.1:CT868307:68976:71360:-1 gene:GSPATT00013766001 transcript:CAK78336 MGKHRNKNQSKYENAPQKKVKTNHWDQDNQQICVVQFENPIFRRFYEIQLPEITSEEFQI FWQSLSLPLPVTFRINPSQYKYESLIERLQDGSLIKDMVNEEEIVEPIREIKWYPNHLVW ESKVPKKSLRKSAALTKLHQFIQKCNSTGLLTRQELVSMLPPLLLDPQPTDYVLDMCAAP GSKTCQLLEVVTKGLIVANDVDAKRAYMLSHQLSRMPTAQVMVTNYAAQFYPTLYINGQR LQFDKVLCDVPCTGDGAARKLPTRWVKWSARDGNVIHPLQLSILMRSLQLCKIGGYVMYS TCSLNPIEDEAVVAEVFRRAGFDAFELVDLHTLQGFKTRKGVKDWKVIVTDDFLTQKYLQ RESNPDQDEKTFLESVTEDDLVYEVNNVNQKLNIKKLFSKFSRKQEGQMINALKTLKPSL WPDTEEFMNKIGIEKTLRVLPHDQDTGGFYLALFKKKQAVIWKKPIYQESIISQEQPIQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQIEVEQITDKPEQPNQQQQPEQVQQSAQ QEVIQSEDVQQEQFIQDIDVNQDHLKDILKQSNEQDGKQQNEKDKAALLEPYTPINDYDW KVICDYYGISGFPQGQVLGTGQSLDIIMQVNKKFRYVSEDVKNILFDPKNQNLKLINIGQ KLFERGKESFGGQVTPFKITQEGLPYIYKYLTKRVVECNKEQFMEILQKRNIRMVDFTHE ELKKQFEQLIQGCFVLFYKETPDVSEAIVCQYYKQSINLMCSTENIENILIRHRLM >CAK78337 pep:novel supercontig:GCA_000165425.1:CT868307:71471:72982:-1 gene:GSPATT00013767001 transcript:CAK78337 MGNSSKHPNQQGNQSSLQMKQPNKPEDELTKYLTQSCSTFPSSILKKPDYKASRVSCLRC EFLLFDDGLGRTNKCEECQIPYVIKDGVITLLNQSQEVQCCSYSFPMQYLDQVVLRVNQT CINHISYGHLMTQIILPFFQTRERVITSGACFNIDQFEFRVVGCGSQLQGIVGTGTKIYC YESYTDRILYRLKVYTQRKNCQDELFHYFFSHPKENQLIQGMTLWDYYQIQYFILQCTEQ SGRLHLYTKMECISNVPRLSSVQFIILKQPIEFTRSNRNQAIQQVLNQVIHPYFSGLTRY LEKGQILRIGDFIFEVCLDEDYGFVIPNQTHIQITDQVDQRMNLQQLQSNQSYNIINRST NNGNNTRQDQIESLHRLLNTFIQLNENGTVEGHLGCEDHEIQQLPVRRINLEQIKQLDED HMKCLICLCEYEEEDQVKTIPCLHYFHDECIDKWLKKSRHCPICKNELEV >CAK78338 pep:novel supercontig:GCA_000165425.1:CT868307:73257:74189:1 gene:GSPATT00013768001 transcript:CAK78338 MANYIIKQVQQFEKEHKTLVPTIYQILSSFFFALNHFSVKLFDGIPVTQIIIWRCCLTFG IIRLLSQILPLQSYPKNKKIMSKLLIRGAIGMFGFLACFSSLKLVAVSEAVVLMKTNPIW TTLILVYVQRKERINIRTSLEILTCIIGVIFITKPPIIFGNENSEYSVLYLVGLCLALVT ALETAVAQVIIKSLNNQVHSFVILQYFSLFAMAGAVLFQLYSPFEDMRFLSWKEAAILLF SGFLGCLGSMFMNRAMMLGKVTQMALIGETQIVFNIVLDLLILKQMIGMTSWIGIGMIAT SLTSNIMQKN >CAK78339 pep:novel supercontig:GCA_000165425.1:CT868307:74228:75190:1 gene:GSPATT00013769001 transcript:CAK78339 MANLLIGLTQQLKVLEKQYPTGLPAFYQLMSSLTFALHTFSIKFFEGIPASQIIVWRSVL TLVILQILIQMFPCQSYPKSKGTLVKLIGRGVFGGIGFISFFLALKLTTVSEAVVLMKTN PLWTTLLVVYIYKTERMTWRSMMEILFCLFGVVLIAKPPIIMSVLGYETHELEFTYLYFI GLCLSLLTALTTSITQVLISSLSKEAHQLVILQYFSLFGITMASIYQLYSPFEQFHYLSL SEMGLMIISGFLGCLAQLFMNRSMMIGDVTQMSLVGQSQIVFNILLDVLIMKQNIGILSS LGIFLIAASLVSNIYKKKKK >CAK78340 pep:novel supercontig:GCA_000165425.1:CT868307:75325:77044:-1 gene:GSPATT00013770001 transcript:CAK78340 MQQRVPQFNEERLEDSYFQLELKRTTDQFMEYKGRRRTNDYNYIIRRFTTHEINNERFLM LRKIKLYNLLPVLDLYLPENSLVGPDYQEYSLQNNLEHMNSDEKIYVASLVAITLQELCK RQLPFLITPEKVIIIGSHVCLQQIDFEFNRVITPDESFFSFIELVSNMGLECQGSDFQEI IDYLLELQDVNIGLTEDLPYVEFLQKIFSFTNDLSIVDEKANSFVYVFDTPDFLKTIPGI SNRTVMKSAKLDIADNRDYLILCSQRELEIMENFRKYEPFAYYFAYFRIQDQLYLFMEMY PTDLQKAFESSEIYDRNIKDLCCQLAFAIRQLHRHRILHRDLKPSNLFLSHEDLDRAQLK IADFDHSTTLDDTPEFCNQILTGLTSSTNYEPPETGQSNYTFTSDIWQIGMIFYQIANQG VYPVEHSSLLWTDEMYRDECTFEKIQQTLADKNIEDNFMRLIADCLSFNPQDRPNADTLI LRLEQCFEDFGFENEDTLQSTHQLNLIESFGQLLQRSQPLRLTRSGKI >CAK78341 pep:novel supercontig:GCA_000165425.1:CT868307:77078:78464:-1 gene:GSPATT00013771001 transcript:CAK78341 MQKQPLKLIQTPSTYVTKTPEKAINTSPFKGYSHSDKQQMTPIKYNVGYPQVQQTINQNL QPQFPYYENTSPFRQESREQLFQRIYNLEDNLRAITKRYDQLKEEQEKQRTKKCDTDRNC TQLQQKCLDQENELKKHIQSAKQNETKYKQISSQLQEFKEKLNEKDNEILEQKTVQEKFQ KILKQKEKEINELKLMLKQEKDLRSFESDKLIQEFTQTYNELSQQNDQLIQENDELKTTI LEFDNQGRSLSSKKQSEQNFQTNTDFLDDPELRQFVHEYLTKNDEYYLNQIPEKQLRTAV QIIKDIFQTVTARKLKEIKSQQFQQPKQINEEIEINLQEVKKKREFLIKEIKHKMERVVK SKEFNLMEFNDLQREIEELKKELGSVENLIQQMEQSLLMNPHRNSCVSFDNEEFQ >CAK78342 pep:novel supercontig:GCA_000165425.1:CT868307:78797:82679:1 gene:GSPATT00013772001 transcript:CAK78342 MNLLEKITYIITRLLFFQIRCFAITLNDSLPIQKYNLLENEKIFSHYQIGAFPLDNQTQQ DYTDIEFPLIDYKQTIKTGSLMVKNVQPININDQELAIMTIIDDEMICLLQLNTYSVNDE LRYLNCSIRFKFESNHCFQVYQISNDQLLIICQKDANTIYLYLQNFDNQVINQYELSIIQ NCKVNSAFQDSFIIIYQSDCIDSRDYTDCQQTELVILKIEEGQIKSYYKKQLAQISATKN IDIEKTGKLREIQICFTKNLVFVFTKGVYYCNVFNQDQCYQIKSIYQYSTIVKVYNSCSK SNVLEYTDKGEWYFKRFLIDLNNLNYKDYVNSGIIQNKLLVFSKDDLYIIASSRIYNKRK IQVQTTIPIANLSYFALLDVEGKLQIYQMNYQRYYYTYSRQLQLIAFLPNSFYEVNTNLI IIQMEKYDSQNPPFLIGDKEIEIYHRNFETNLSINRNQIQRSIPFQILKIERNGRFVNYQ QQTRGFQCKYNNKVTYINLWFFEKMDLLQILILELKSTIQINVCSQGRLMNHFAIPLHQN FIGIVVNEEYLQLLIVYQNELNLYQLIDMELVSRQIRLEEKIIKILNNKKSISLILDDCK IILLSSDSINSYIEYFQYNGDNCTEIQFLADKQLTITHDQIIKYNSEKIIQIINLPYKVF QVSFCDNLQPSFFLIFYELQQMVNISLFFDYQEKVEKLYDLPLHNFQIKIPLNYQYQNSY LMILAYNNEFDDVLLIYNCRETSIESLVWITQLDQNEKQFFGFINSNLDFFYIKNKSIVI QNINHIQIILEEYENNFQSFIPFLTFNFILESQLQHIKKQANIEVKQYFINSNQSLALLK EDFIEIDSKGYINLINIYGIINYIAILENSEQGIIHPLTLSNPNEILECLSYSNNLCLQS DSVFMLRYQEQILKLQLDKAIMNDCLIFFDKQLDIYSIIELQDFQGWISISITKLKFEIL QTKGQVSINKTLEQTSIILMAQVVQILNVEGLIILIQDYIDGYLVMEGQYHSQKIEFRLS FPYFTCDTFFITNGTYFFMAGNNQYLQLKVFSFKNIDQNLTCQTLFSQELEMSQIMTELH FSELQLELSIIKILAVDFDSHSLKFQAILFLTDFFAFSFSLHYNIDQQIVMEMQIQSLFR YSNQSKFNNMIYLDQNFIIIKVSNTSSSSLLIYDLNLTSQKKELDAIQLIPDQTYTLIEK FNETHHVILQHDKDILQVQFIQLSKYQIKCFNQCNFAMTLQLQNDCSSIIVKAKQQNDMA LVNYNYIIILLICFLCIIKTTLHRKH >CAK78343 pep:novel supercontig:GCA_000165425.1:CT868307:82865:85210:-1 gene:GSPATT00013773001 transcript:CAK78343 MVWGQEVFLIEHSINDNTIFVVNKKAISIINSKNAISYQIKPSDYYENSQIRFCIEQQNF IIISKNIIKAFHYDKIIELKWSLRITKEINKIIQNNQVVSIYLQDCLEIFIQIDILKYEE INNSLQFGCKFKPFDQQEIRISNKEIEILPYFSNKVIFNFDQIIDYIYFVNQERLVIFEV IEKKIVLKLYSIQFQDLYFFFNLPLYDFTIIYPLRYEIFWDQLAIAAKNPSNQQEVLLVY NLNNQLISILIKVINIDQNQYYFTFLNVHQIVSIYNSSLMVTYLKQVFLKFTDQYLDFDT DFKHELNYLEAKTDIFNLSKIVQFDIVISHFNYTLKIKDNKIPFLQHYHKQKQINKINFE NVFNQIDNVYLLRENDFQKLVPIVITPFLECGFYSNQACYKDTDVILFNSVNQIMIKLPQ SCKDLVFIKDLSSMQEIFCLASGMFLEKYEVNQIQLNKKSQYQQSLQIDFYADRIQSIIF IENIAIIKIMNKKQYQILLFQENDLKQFSYKDRIDFQIVQVIYVKNHYVIFCYDNKINHL IHVVLLTQNYSNDKTLEIDISQMITEYFSLGFLHVNSLLILNHTLNYMIFETNIIICFIA QNCFRINLIIDFQQSLMHSHIINFIRYQHNKGQLQYCLANQNTLILSLLMDEETVIYFYN LSSIKVLDSLYQYVEQNTTIEYFNESHFVQIKSVNTTQQLSFVQFQGYQFQPQNPFKQTI ETIKLNNSVSTLTLVFYPSFDRNDIINFSNMRISLINLVNLILLILFLKTFKNRRFRHQI K >CAK78344 pep:novel supercontig:GCA_000165425.1:CT868307:86772:88929:-1 gene:GSPATT00013774001 transcript:CAK78344 MEKLIPFINDLHDILSSAGLSNELNLPSIVVIGSQSVGKSSLLESIVGREFLPRGKGIVT RRPIEIQLHQVIDAEQSWFEFMDKRGEKIFESDEIRKLIEQETDKVAGKNKGISPVAIKV KYFSKDILNLQLIDLPGITKNPVGDQPQDIEQKVLDIVLPFIKNQNSLILAVSKASDDLA TSDGLKLARSVDPNGLRTIGVITQLDLMDEGSDILNDLLNQTYPLQLGYVGVIMRGQKDI QKNKTIQNQLKDEKEFFESHKIYKKYSEKMGIGYLVKTLNMNFIQHIKRALPVIRETIIS LAQMKEYELKQYGDYDNLESKETKNLLVLTLISKFSNSYKDMLEGRCLDITSKELIGGSR IIYVFNETFRRTIQKMNPFDVLSDDEIRTAIKNANGIRPSLFVPQGAFELLVRQQIQRLR MPSIECSHIIFEELRRVINQISIPEIERFDVLSNRIQEVIENLLNKCLIQTDEIIQNLLE IEIGYINTSHPDFVSGMDLVNREEQRQQPQQIIREKSPVQSESESGKFLNFWPFKNNKQT ELYDSKLDILNNKRKQNTLKPKKLEQIDESQILQQQSVINKIAYVNQQYYVNDPKRQLPC VPNVIKLNDRPSKREQTEMDMIKDLIVSYFNLVKKNICDSIPKTIITFLVNQSRNLCERE LIGVLYKSDSVDELLQENQFIQKSRKETKQTLISLKTCLNLLNELDQKF >CAK78345 pep:novel supercontig:GCA_000165425.1:CT868307:89667:90584:-1 gene:GSPATT00013775001 transcript:CAK78345 MNRSRRQELLQLVNKKRSFLSPPTKRTSNNKPFRRQSCRCSECGHVGAFQDRQNALHPQT YIRAHHCKKRKRRMQRRKGTYVTSTSLNIGDSQNNTIIITNNYRSRKTKSILVNTKTSEA IAKCKRLLTKDDQQINYEFFYNNELKYSKSIMEISQNSQTYETALISFVNLTKSPSFAFN SFKNIINESRTSSSDEQNQKVSESKPLQSKTPLIKTKNFSMHNRKLTEGIMLTNVNKTVQ QFVSSCTSMKVINTPKPQKQIQLPQINSKKEQNKFSVYLLSSPRKALFEMKTQITSQKKI KTSKK >CAK78346 pep:novel supercontig:GCA_000165425.1:CT868307:90669:91676:-1 gene:GSPATT00013776001 transcript:CAK78346 MDHHDTLQAYCLQRVTGLMAHLNHLNTPYYSKPIHPSNSDHMSKSFNNLDLNSLDSSETS RNSQFNVNESCCCSQCGKANKKVKSLQKKFTVFHTQMSILRNFRLLKRFQNAVYCIIYLI DKQKKTKKKEKQKKMYRFKTKINFDASPIIPTQQQQVQNEKHDDKQNYRNQHMQSIQAKI IDSKHKQRQSIRVYLQQKLYEKDHQQKLPKIHKIAINSFTTIQPLRFSKNDEQKSSRFSS QIKITPQTEFSPTSLHHQSPYFSNITSRNQVDSIIQTSFKNSTRTIIKSISSEQLIQKQQ KHQFHSRKNTPSTLKGIF >CAK78347 pep:novel supercontig:GCA_000165425.1:CT868307:91686:93908:-1 gene:GSPATT00013777001 transcript:CAK78347 MNLRPQPGHQNKNGINPITNTPIDYAQRGNQQPYYKQPYPQPPIQYTQNPQQYQNPYYNQ TLPQVVQQSMPEAGQKLPLQSDAIELDHMNGFSGRYRDVIHLHPQKESTIVYALAGLIVI ENLNDKHQQLFLRGHDMDISALTLSKTGRYIASGQMGSRNAKTPEAPVILWDFNARKPMQ IFRGLRDEITNLSFSSDDKFLAATASNNNLIIWNCQDYTIVHNKLLEVPINLITWCPPRR SSTKHSQYLIVTSQGSNIFLNTMDFDVASMQYQLKQAPCQLPSSGLVRNYTKAVIDQNGD YLYVGTHAGEICIFSISSQQGGIFKATIPVSNNGVLSINIFGRNLFVGSGDGKVKKLTGS DTRWNLEREICLEGRMNSITTDPNGNELLAGTSNGRIYRINTANLDSVVHTEGHLSSVVG LSVPLNACDLFVSIDYEGVVMVWDMNEMLVITRCIPINMNRVKGSSVYLDPDRTVVSGWR DGFIRAYMITNKPVSPIKWEIVNAHKGAVTSLYSDQNYYLSGGEDSIIRVWSKTARQLIT QISIHSKEITKVFPDLMKPNLIHSCSADKTIYTYDLKTDKKVIQHQSRNGVLLDMTQRKD NELELITCGINMPIQFWDIDIVEPVQSIDVTKLNSIAISPDGKILATGSDSGELILISIL TQSILGKYLGHSSGVSKVAWTSDQKQVITTSYDGTVCLWNIYL >CAK78348 pep:novel supercontig:GCA_000165425.1:CT868307:93999:94565:1 gene:GSPATT00013778001 transcript:CAK78348 MSYLIHKIRYAFAIQRIPNGTHLIVGLGNPGKIYENTRHNAGQLFIKHLAKEFNIKMSDG QNGAIGSFQHIILFNPLSFMNSSGHPIKKIADKANIQTSNIIIVHDDLDNLPGRCKIKQG GSAEGHNGLKSIIQYMDDKFIRLKIGIGRPNSKDPAIVSDYVMSKLDYEPSQQAFKQGIV LVRQLFKF >CAK78349 pep:novel supercontig:GCA_000165425.1:CT868307:94594:94806:-1 gene:GSPATT00013779001 transcript:CAK78349 MLKNDLLMEAITIFIVSMIFLMIGLGLNRSKGESGRMGKNARQRQEKCQNSDILTDSEFN TLLDETNYIE >CAK78350 pep:novel supercontig:GCA_000165425.1:CT868307:95285:95963:1 gene:GSPATT00013780001 transcript:CAK78350 MNRQFEVMGLQQVNQHLLCSICREVFYNPIRATCGHTFCGTCLVRWIQQKKSCPLCRHHL ERNYKFDKDILATKIVGDVKVKCLRCQQWNGTLAAFKQHKKTQCKFVQKNNEIPQNAIEI GDDNDITFPFIIIDTRKIVKTANHPILVQIDEQNNKQRDDLFISQIRQSNHFQNDNPQVE INPYVISDQSNHIIIETQDNLVIINIYLPKQ >CAK78351 pep:novel supercontig:GCA_000165425.1:CT868307:96370:98073:-1 gene:GSPATT00013781001 transcript:CAK78351 MKKYDAIIIGGGHNALVAACYLKHKNILLLERRHVTGGAAQTEEVYPGFKFSRFSYLLSL MRPSVINELNLYSHGLKLFRRPISSITVTKNKGEYLLMNSDSTFCKQEIAKFSKRDSEVY DEYNQFLSEIIDLWSYYQDKYPYDTSARGKGYNIKKSFDDLGLLLRMGQDGWKNKDKIYD YIQFMSQSSSQFLNKWFESDILKGTLITDGIIGEMISIDTPASAYILLHHVMGEIFPNSK GEWAFVEGGMGSISKILTKLNEQKGVEIKTNISVDQILTSNNQVTGVKCSDNNTYYSDTI ISSVTPRITFEKLLSPDQKAKNPKYFSDVKHMEYNGACTKFNFAVSEMPKFKCFEGTKYE KPESVLIGTTHLGCERLDTLRQAYQDCINGKSFSDNLFVDLVVPTAHDKTIAPQGQHIVQ CLVQYTPYKANWNDQIKKQLKEQVIDYISQYAPNFKKSILYTDMVTPQEIEQLLNMTEGN IFHGALTLDKLYGNRPSNGYNSYGTPINGLFLCGSGTHPGGGVMGAPGRNCAQYINNYLK >CAK78352 pep:novel supercontig:GCA_000165425.1:CT868307:98085:98649:-1 gene:GSPATT00013782001 transcript:CAK78352 MDQEMIRKWLNYQRMQRVISAQQNLMRNTMIPDIDNKYQINIAKLSNEKSKTVYNYAVHR NTKIGETIKNYIIQAQNRVSQRRRQIKPIRFKTQQSTRTETSAERSYNICPQHSIYLNNR KSVRTIEFTIEFLQEKLSAQTDHQTKHFIFLNKTFSIN >CAK78353 pep:novel supercontig:GCA_000165425.1:CT868307:99329:100750:1 gene:GSPATT00013783001 transcript:CAK78353 MGSCSSNVRKKVQKNSEVRQFSQQDIRHFYKLGQVIGRGNFGTVQLGYKVEQERKGFKAK CFAIKCIDKERIGLEQIQRELEILSRLDHPNIIRVYEEYEDLNHFYFVMEYCKGGELLQY ILKHGAQSERMTQVIMRQLFSAVGYLHERGIIHRDLKPENLMMANAEGEFDIRIIDFGLS KREQVIKKPSQQRSKCRHQTKVGTPIYVAPEVLKGVYSETCDEWSLGCIMYALLFAEPPF SGQNIHQLEQQINKPHLKFKLGISSECQDLITKLLEPNPNKRITCLQALKHPWMIRDQNQ CRLLTTMQSEENSLEIERIIELLRQYSESTQFKKEALRILLSQLTDQQQNYLKLKFEELD RDNSGTINEAEFLEYLEQQGKSKLFKQLPMEKFSKNDQGCYISYTDFMAALLNQPFYLTQ DRLDNLFFWYDIKHRQYISKTDYRIAMSRRGVVISLEKTDGIFLGIEFGIDQI >CAK78354 pep:novel supercontig:GCA_000165425.1:CT868307:100912:102019:1 gene:GSPATT00013784001 transcript:CAK78354 MNRIGFGLLCIMLVTASSNVMLQTADHSAFSEVFKTYSKQSEFGGRLFGELLVMVQKGET LQSLNDHVEELRKHLSDDESEDEKYFNEKQQEMEASFSGLATEVEELRVSVEQAASKIQV LNKQQTIIDGLFANDQQAYQKRVGDQNAILDVIGQLLVRVSELQQGGGHSLIEKDNLLDE IKALGRGKHVEVLAQITAHLQTEQVEHIQELLNRLRDAVLNSLAIDEEKEQENIRLYNKL SGEIRSSLAQSTRSFQELEAHFDQKEFELNSKKLAVREVGETLQDRNDQRQFILDRVQEA QKLLNDNLDRIARWSVESE >CAK78355 pep:novel supercontig:GCA_000165425.1:CT868307:102023:102621:1 gene:GSPATT00013785001 transcript:CAK78355 MNAVLSKLDPLITKFGLDNTDQIEILKGPCSKIGVRPAYVVFVFGSVALFSILFGIAARF LSTFISILYPAYRSIQAIETTGESDDKQWLTYWILFSIITLTDATIGFALEFIPFYHILK LALFVALFHPQVKGAEKLYDKFVHPLYLQYHEKIDKGFQKVQDKVKQQVNDRFQN >CAK78356 pep:novel supercontig:GCA_000165425.1:CT868307:102637:103318:-1 gene:GSPATT00013786001 transcript:CAK78356 MKSGTSWLNSMQMNPIDFAEIDYLDPALKDGHKILYDRILNINIRFQDRFSENLFVSESY NQGIDSNPQSIKIEISSEKDLFFLFHHEATVNGFTQIKALQNLQMPFKDYAQITIATLNK VEQNYNGYGAIFQVMLDGSARLEINQTTEFRSVHMIHFDFHQVEESFLRQTIVFKYNQQR KEIKYLTQSLGECRMRATGTFK >CAK78357 pep:novel supercontig:GCA_000165425.1:CT868307:103644:104252:1 gene:GSPATT00013787001 transcript:CAK78357 MQDSDSESQLLYQSIAEQQYDVEDKQVMVSSLTDMAEAQDESIQINFDREMISKLEEEIV LLQRKNIYLTDQLKDRDNQISLLKEKLQKQTAINDTHQIAEVQLKQQIEELKIKITELHY QKAMSQTKVQTIPKINLDFSWVNHIERDHSHDGLLERYDQMKVAKRLAPIQIKQPNQIQH SPYFEQLSERKPITQRKRPNNQ >CAK78358 pep:novel supercontig:GCA_000165425.1:CT868307:104645:105278:-1 gene:GSPATT00013788001 transcript:CAK78358 MSNFIPETKTFGKFDPLFTNKYDKVGPEFGQLQQQKSDFLAANVGKFEVQPEIPQNIEGL VLRTARTQRTPATMAWFRRTTSFERNGIFNIHTPVMNTRILPWVFFIFIAYGWQGYQISS WNTVVKKDSNEPRNTPYDKLSLRELPPAKNWARPG >CAK78359 pep:novel supercontig:GCA_000165425.1:CT868307:106640:107658:1 gene:GSPATT00013789001 transcript:CAK78359 MNSSESNDFQNGEYRFQEDEDYIDFEELGQNDMMRYENDQGNIELVNDRNQIEEDMNQSE MLKASSTVCMRVDESDESEEESQLQGTQSRTCNSGTLQKSIRKRNRNKEEPKRKIKKWSE EEDKSLEDLFQIYKGEWEIIVKFLEGRTVSQCKQHWQRLSGGQEKKRKWTEEENQIILSF TKENPQFNNWALIASRMQGRNGKQIREHYMNQLRPGIKNKQGWTEEDDKLLLNLYQRYGS KWCQINKHFEGRTEIMLKNRFNKLQKGNTYIDHFLNIDDEPHQFQQSHTFSFN >CAK78360 pep:novel supercontig:GCA_000165425.1:CT868307:107684:109362:1 gene:GSPATT00013790001 transcript:CAK78360 MGSICQSHSCAIEYTTQLSTSVNFLKPLIDHQQDDEVIEESLSPTDFEKEFTTTKSMVPK SPDVMQKSPSSSPTPNDSVVIQKFHVTSDDFVKFRYENVADSYQIERSLGSGSYGEVFIV RNKQTNQLRAMKQIKRQQSSMSKKALREMEILSKLDHPFIVKAIEVFQDEQSQNMILELL QGTDIQEDIQNNHSFTEEKAANIGYQLLLAISYIHNQDVVHRDIKPENILYQYNNGNTYI KLIDFGISTEIKKNRKLSSQLGSMYFMAPEIFSKDYGKQIDIWACGVTLFYMVHKRYPFM GRTNQEMKNAIKSGKFSFDKYISLELQCLLCKMLQINPNKRITALQALQEDWFVKHKFAG QINQQLIFKLINYHSTTLFEELIFSLITYFFHNSDDHGSAIQTFLYLDADQDGQISKQEF KKSLYQLDLPNSNQQIDDLYATLNKQSDDTLTYKVEFLAASVARDKIQTKKCQKFCFQLI DHDEDGKISESDFCHLMGKNHSNLWHLLNPSDSLYITEEEFYSMFKS >CAK78361 pep:novel supercontig:GCA_000165425.1:CT868307:109455:109790:1 gene:GSPATT00013791001 transcript:CAK78361 MFKPNFSGQSKSLPQDDFEKYIKTTLQENYYMTCLDTCFADYSTPICMKNICISLVAGQE KVCLAKCLDRAYDSLRLVQKIIDPFDNMKQKKFYYKLDGQNVLGLKKDQML >CAK78362 pep:novel supercontig:GCA_000165425.1:CT868307:109804:110204:1 gene:GSPATT00013792001 transcript:CAK78362 MKILLLFVCFVFAQAECYYYQLGAQHYCQECCYWYDGEWFCETYQYCYTFIAITISIWIA ICLIYTSIYFYVRNKTMRRIAMIHNRSAQAQRENSAINSSKRNEIPNATIEVGVMDVQIS NDQL >CAK78363 pep:novel supercontig:GCA_000165425.1:CT868307:110225:110718:1 gene:GSPATT00013793001 transcript:CAK78363 MLLLFIFIVPLLGQTCFSNKAAVDCGTLKCCTQEGYCAVQETDCYYSAEKCTDQQDFHQT HAIMLNVIIVVFTMEILLSVEPKINASLGYSYCWVLGSYQHQLNIATRMIVIAEKAKLVA LDNSRKSIVSQIIGTEEQYGKEFQVNKDNYNLQL >CAK78364 pep:novel supercontig:GCA_000165425.1:CT868307:110734:111782:-1 gene:GSPATT00013794001 transcript:CAK78364 MNNVQIVLFVFLTAKTIVQIIHQQKAEVLIFQWFTLLFIQQIFDLLGFFNFFYWVCLIAQ LTFAFLKFEHLNYCAVYLQNIQIDNVLTEMRYQMSYILVTTTSKIFKLVFVKILQSIDNQ QLNDERQSRLQQQLLDVSQFIEIETQNRCNKSQLNYTQFMDQTLNLNYTVIEKSDDLPSQ QNRENIEILKELNVTTKKVEQAKPQINFQPIALQVQPKKPLQEQLKQSLQIQSTIQNQIT NQNSNIIQNQNNVQKQNSIQKSKSPEQMKSSRASTDLNSLRKTLDLLQTDEKISQRIVVE ALQEKVQESKANPSKDELFQKMQKCKEKILQQQKQNSNFYE >CAK78365 pep:novel supercontig:GCA_000165425.1:CT868307:111978:113033:1 gene:GSPATT00013795001 transcript:CAK78365 MKSNNENNYVRVSYNNFVTTRNRQFGNEITNIQRVPTRSTSQFLCSKPMLKIEEEIPLPV PEFVNPYVADIFEYLRVNQHKFMCQTPFYMNLQLDITNQMRSILIDWLVDVHLKFKLQSE TLYMTINLIDRYLAKNTIMRNKLQLVGIASLFIASKFEEIYAPELKDFVCVCDNAYTKEE ILEMESKILLTIQFHLTSTSPLKFLERQISGANLCDKINFASRMILELSLLDIRCLKFSS SLLATTAILLAINLLRSPQVLPSSLHYVDNQEDLRQCLQEFLPVIKLLQSSNMTAIKRKY SLDKYNKIAEQIMTILHNQK >CAK78366 pep:novel supercontig:GCA_000165425.1:CT868307:114449:114718:-1 gene:GSPATT00013796001 transcript:CAK78366 MQQFHQNSLSPLIIIGDVDNLDEDIKSKHSPEKSPFSLDFHNQKIENTEKKENNLMIKKF SQHSSDFTDLLYLWNDYLRNKFEKHNRLQ >CAK78367 pep:novel supercontig:GCA_000165425.1:CT868307:114919:115236:-1 gene:GSPATT00013797001 transcript:CAK78367 MNDFDIQIWDCGPYAFQQQKLNMQNLTQSPLKHLIIEGDNSPVNFIDDFELSSQSSQTNT FIQSARKSSDGDNTARRKKFRSQDFTEQLYMWNGYLVKKFEPKQF >CAK78368 pep:novel supercontig:GCA_000165425.1:CT868307:116033:117958:1 gene:GSPATT00013798001 transcript:CAK78368 MSEDSNSILTTIKYYKSILKFPSFPKQYSELDETQEQNDLFFCNSKQRKEESLKLLKEWA QDRMELFERNREIVEELANSCDYKIRLSVDFLQIAYKFFNEKYFHEQQYAQFLITKPQPA LKQNPLNQSLYSTVGTSVSTFEEHNLKRLEKIANFAHSIQTDILKAKSFSELSDMDRKLD VLLSSIITQKKLLQNYITSAGQKLNLIIQQFPQHQVPQRQRKPIFDDQQQQNKTDKQNTQ AQGNEVVGTECLQNPAEKGLNKSIKEQERQIREQQLKDEQSLSNGSEFQQEELQEQQEQK QEQQDEAKCEIIQNKEEQPQQLQNQEQFNDSQEQSVQQQQQEKQAQHFVETLNSAEMYKQ QQQLKERQTFDQNKLKSKNYQSQGQPNIQQQIKNEDDGKKQQNQQVDVYRLIVSFVATQQ YANKLLNQLVEKVISYWKQVIAIEQTRAQWAKDSCQIYKNGIAEVHNLQVELPELKIDVE DHYKLKKLLPKEMSQFEDGNEEDFLQTLVIKELGCQAARLLLIKEFKVNVIDKTNEIQAI LVLTIDKYVGCWFKHDETVEVKAIDNPVLHYPINGMAIQKVNELVLDIMPSRHLLFLNIA NDRQKSRIKFQTADDMEEFCTISQAKV >CAK78369 pep:novel supercontig:GCA_000165425.1:CT868307:118409:118810:-1 gene:GSPATT00013799001 transcript:CAK78369 MYIGSSKLTKRKLSMIANLNVPYSFQNCKFLSQLVLPGIQINVKCLHEGKHYHQQNIHYF QEMQEFLKNRKEQLLPVIEQLLQIESLVVRLQNGLINKYEISEKMYQVQTKVLFVVKFEI EVEQQKQHYLQRN >CAK78370 pep:novel supercontig:GCA_000165425.1:CT868307:120291:122153:1 gene:GSPATT00013800001 transcript:CAK78370 MIKQQNIQQLFPNLQEQSYETLQRVYENIALQQFHVLVQQIKTKFPIPEQIKAVQFPTQE NAVEFFQNYNPIILDLTHIQDVKIKLYKNAAFYGTLVDGLRQGQGILIKSTLQLYEGSFL RDKKDGIGFELLKQNQFYYGTYLNGLPHGEGVFWSKNQKYIGQWHQGKKHGIGWYQGSHS DYYLGQWENGRCFGHCIYINGDIYVGYVTNDLKHGNGQEYFENGDYFVGEYKNGKPNGHG EFYWNNGNFYKGEFVNGQRNGQGMWESKTQEGVNIYKGQYANDKKCGQGVFQYANGTKYE GYFIDDKRCGYGEIVWQDKATYKGYWVDGLMEGEGIYEYDTLVLKGNWKSNQLQTIDKVR VSLNQFPQQHNLKEINEDEEVRSQVADEPDQDEIGNQFQTEILTSKTDTIPGPVQTASHY TSHNDVQFHQRLPILQRQFDLLSLIDQGLHYQTLEASHRQNSSSIGIQTDSKKQFLPKLV LNKKTPTQYSSSVDYQQNENNKFKFDSLSNSPNTRGTDQSNKSNREQKKPNRSIQYRSRK NKQALLSKKEQNIWAIKMNKLKLSPAKYTKLWNHEVVTEIKQFLYPPIWKPPSHLS >CAK78371 pep:novel supercontig:GCA_000165425.1:CT868307:122307:123673:1 gene:GSPATT00013801001 transcript:CAK78371 MQLCQLEKTHKISNSKAFCYHKQTKETYILTIQPPSEFNRLLYHLNHPNLLLVQEFWEER GQICSVQRCENINDLQEIMQNNKFSENTILTILYQIISAMFYLEQQQIPITVSLNNVFLD EQLQVKIDVLNIVNAQLSFASPEVLRKEVESSGIWSAGCIAYYLMKCELPFSGANERSIA YNIIYREPPPSDFQEFPLVSAILKVIFIKNWKRRATTKQVLQFLASKSATVQEPVKLLRS PQSSVGKNKSSMMLSKIDRVEYSDKQTDSMKMDDKQSPLAKVLTLQEFEDQLSRMKGRPQ SSMIDESNEIINKIKRMRPNSAITLTGKEFVRKNIKEEINQRRQVQSSKPFLVKKNTENF GGKHHKEVSIDLDNADKLKHSDTIRVYNLEYQDNAMHPAKNNKVFLKILKPKMVSAADYP KEQIQGMQMWKTKMNSMLI >CAK78372 pep:novel supercontig:GCA_000165425.1:CT868307:123870:124548:1 gene:GSPATT00013802001 transcript:CAK78372 MQQYKCLALPGWLNDAEIMKGLMAQLDKALASVIKFEYLDPSYDVSKSISQLPSHIQVPQ GAGSNLLKYLQETLYRWGDIHLDHSQDIANLRAKIINDPTIVGVVGFSHGTLLVTELGQL AHTDQELKKRLKFFMAFSCHGIKAKKEIYSQKRLITIPTIQSLGTRDPLELESLIQSSEF LNPVIIYTDSTHRVPIFSFEQLKKIQKFVQSALEQPKL >CAK78373 pep:novel supercontig:GCA_000165425.1:CT868307:124564:125502:-1 gene:GSPATT00013803001 transcript:CAK78373 MGQAISKNKVVLLSSLGIVGFCAYYMKMSAKEKQSLEKLFWKHDLDQYLDTNDRSIDQKL EISKDIEIRYNEDHFLEANCIVEIYAKQLEIAGEDYVKLSKVNRVQRRRLRKQKQEFYVD SIIQFIMNVEDVLDQALKRLLYELDVPKEIFEESVLVRMDQGYFQQLYMLQASVKQKLKE KIESTKKLNLKQTKEIIQFSVNILRNFSQDYKDILHRIPSEDVILVPTIINTIIQDQIYA KFGVEEEDQIASLRNAQGDQEILNLLNKVEIEMSRLLQEQGIRLNDIPESLENFV >CAK78374 pep:novel supercontig:GCA_000165425.1:CT868307:126239:127903:1 gene:GSPATT00013804001 transcript:CAK78374 MFNTQLQLKREQFVIQIRKQAREEIFSRKRHTDLNSDLNGVLKCQPDELILQIYKSFMLK DFQTLKNLLYRYNEQFLIMIVRKQQADEVSMNQYINHFSNNQETTQLFMQIMRMSDVSQE SNIEEIRMLCINQVLVILVNITYVDAPQIINNLLNHDLLDILLNDILGRMNTKQKLAINY DVWQSIIDSLCQLFINLLLDLKDQRGVQLKIEIMKSPFFKIWQYIYKEFPPQQLWSSMLF LQQMLFLKPVIPDTEIVSSNLQRILKQCGYVIKELSEQDQLYETALLLINYCSEYQLETT IVLTKHIWVKMILINKLPLLMYGLFINFTSMNYDEDSPISDFSAEKHLMDIGLLNNMLFW LQNTPEEQLIIKIYKCLNNILTFQYQHLASVVIYHFLPFLDLYFEGSNHFSEEMVAEYLI LLKNLIKFQKLNEANLTQVLEEQNVMKQIREILLQGCFKACYILDLFDAFDLLSIEFKYL VLDKIVKYQVIEILNMLLAKDQISDDFIDTVMEQIAKWESETNELNYS >CAK78375 pep:novel supercontig:GCA_000165425.1:CT868307:127924:128960:1 gene:GSPATT00013805001 transcript:CAK78375 MLNQPFKPSNKVVSLHAIMSNIKRQNKQKTRSISYHSNHIKSEGQSIQLRDDDSKKFNDQ IKQKDELINQLQSQQQSFRKQLNEIFEVNHDNDESLILHIYSSIIQFKKEKSQYLQQDEI NSKVILHYKQLFTDIIANQEEQLSNQQQLLMTLTNQIKENELLQHLNTSQQEKQYMSKIE SLQSQIDKFRNASLLLLYLQPLLEEKIPILIINYTQYANCYLPIQRKLRKKFFNSFAIPF NSIKLLETNKFMLLQKVNLIMKGFN >CAK78376 pep:novel supercontig:GCA_000165425.1:CT868307:129801:130149:-1 gene:GSPATT00013806001 transcript:CAK78376 MFEGKIAIAMVVLYFCLPVMYFFLTILALNSIEINNTEVDMQITLNLLSTLLLIFFIYEP IAICFRIVIYRSFLDSIKHNEYNPINHFVYFFIYHSKINKIFDELNIG >CAK78377 pep:novel supercontig:GCA_000165425.1:CT868307:132751:135735:-1 gene:GSPATT00013807001 transcript:CAK78377 MKFIFYLCIFLVVCKGGKVNKRLNASIDDIVNSMTLAQKIGQTTQVDFIYLNDAEGKTQY DKIKEWNLGSVLVGGNGCPDDEGNISSQGTCMKADNLLWKKVADLALVQGVSVTVDISET ETETVIIQPLLGTDAIRGNQHSVGEILFPHNIGLAASNNVENFKNSAKWMRDSVIESGFN FVFSPTVAVSHNPQWGRFYETLGDDTTKVKEYSKAFVEEAQNIQNDEITGVLTSVKHFIG DGATINGYEEGDSIVDAESMDLFVEDNIKGYEGAVEAQTGNVMVSYDAINGVAMSVHPFV NEKLKATTEDGGLGFEGFVISDYNSVIKTANVDLPRNSQKMPLNQAYAESFKVGVDMQMI SDKVEDYQAMITGLVNEVDPQKPKIDEARLDDAVKRILKIKEKMGLIKVTSNKQDLKENK KIVKDKEAEYQDALQAALQSLVLLKNDANALPANKNTIKHVILLGDRYVPVGNGEYKLFS DYDNIGAQNGGWTIRWQGYNGNDYWTGDLKVKSKASSILDAIKARFQDAEIHYPKYTDRS DLNTILTDRNTFRNQLNTMKDQFSSANTLVINVLAENPYAEYMGDINCSYCQGEDKKGCL YDLHDNVYLTKSQPTRLEIKTGAYEKQIIANILQGKSKVVSVLISGRPMLIDDPLAISDS FIAAWLPGTTGGEAIIQSIFGEYAFGGVDKKNNKLPSPWFSTLDSIKDYPKYVSNIQPKI NNPKFATGYGLETANEHHLYQLSINICIQSINSTFLIGQYYDKEYDIENEVKDLDLIQNT HLIVIILLNYKQNSITNNVIKFEEFFINDFIHLLLAAFQCRLNVNKGGECPLQKNQAVQF KQEAEIYKFMKQEKNVDLNQYLYSWIISDDAETGVQSLLLDNKIINNQYYQQIHQFLIFL IFKYLKITKCPKVKECHLYQVLLQITNNKHFRQLIKRWRKLGTQTQLDHLVVILQDGWPN QVSIITIKNWISLFQMLKQ >CAK78378 pep:novel supercontig:GCA_000165425.1:CT868307:136341:137353:-1 gene:GSPATT00013808001 transcript:CAK78378 MIHPGLSVVDYQQFYEQYPLYQSAYDFAIYPQYHYTPSPINSELNEDLNRRNKSRKIKNK QPKELLPKTKDQVEKPIGSKEEINQQIIQPQYQTVDLSQGPLLPKLQSHLTTEGHISNSK QQIKSIKLTKKQKQENINKGHWSALEHTTYVNFLSQYENIMNSSLMKKTSKIFKQMSELI GTRTPSQCRSHHQKFNPYALRGDYGKRLPRSQRSRAGRKKKLLLNNTLQSNQFMDSDLYN FMYDKQNYYNPFIIDYQLNEQENYIINQNQLIQTQIEFEDFLKLRQDYQNYLEY >CAK78379 pep:novel supercontig:GCA_000165425.1:CT868307:137382:138326:1 gene:GSPATT00013809001 transcript:CAK78379 MSNSQLYKPNDLIEFENHKRYSSVGKMLDSMKQTAPAYGFGTSTRYQAQSIYRDKKLLHK GKSSPGPCYNSSNYIKELLARNTTVKIGTAKRNNLNQTQYDYYTRQDSDFEPHKANDFRK MNSTIVKIGLAQRFKTDYKNQVPGPDQYDNLIKKTGPQYSVVPKRPISKSFQGSTPDLIG PGAYDVNKSLDITHESYPSIGFTKGEKMKGIKSVERNQTYYTKGSIGVQIKSNCVTKPCF TIGKESRDIKPGIFKRDMDGVSTKIKISMPKF >CAK78380 pep:novel supercontig:GCA_000165425.1:CT868307:138622:138987:-1 gene:GSPATT00013810001 transcript:CAK78380 MKVKDVMVHQNIHIRESINFAHQDSKRSTQLNHLLMLNHQTHKLFIKPLSKLQSHIYFCI FQCAIKADGILLQLYSGGVYSRTSTAKTINDMNHVFLLLFMPKILTLSRTLGETFVEKRV T >CAK78381 pep:novel supercontig:GCA_000165425.1:CT868307:139041:139442:-1 gene:GSPATT00013811001 transcript:CAK78381 MRVLNLKEFLILEIIAWKTILRLRRNPQILSVCFKLSSGYGTQFKIRTWIRNFHFENELI ADLTLEEFQTSYLTLKLKAPERKNIKSASGLSLPDSVDSKDGLTVKNQGSCGSCWAFAAA AALEAGFHQSQKE >CAK78382 pep:novel supercontig:GCA_000165425.1:CT868307:139793:141187:1 gene:GSPATT00013812001 transcript:CAK78382 MSQVNISQRVPKQNKQETKDIKENIIHERIIGPICKAPGYLKDQYIKHGYRINFKNKKDV IKSMFMWHNELVNIWTHLIGAIIIVSLIFYLWLNYDDLFRHRAIQTFNESLHNIYEHAFT LEQQIQQQLENGLHNFQDDVQLMQKQLHEKINTVNKLFNEVAHQYDYEFSKIQKNIAEAF DWENLKWNYNISEIIQDYKQKATEIVESKDFDWIDLYLEFQHLTGRQTIDEEKLHKMISR WPLIAFLVSAIICLGCSTTYHLFYCLSENVNKVLIRLDYAGICFLVSGSTFAPLFYGFQC NLKYAIIYASLQGFFAIILFSFCLFDFFYTAEWRSLKNKLFAGLGFTSAIPLIHFAIGDT CLEGYSFQSQLPYYAAMAISYLSGLYIYNIRFPEKHIPGKFDNCGQSHQIWHISVVIAIL FTYVGSLNAYYQRLDMPCKA >CAK78383 pep:novel supercontig:GCA_000165425.1:CT868307:141378:142337:-1 gene:GSPATT00013813001 transcript:CAK78383 MLKGIFSIRTLYFNYEYNLSNPFDKVMGNNSQFNAQQKTSEGLYYYRIPNHSFFLYIIWN TSNLNQFQTTNLPAFQYWQNIFIIKCVLIRYCKLNQQSDGFLIFVSRRETLRSGRKFMQR GCIQDRNCTNFAENQSILILNRQESKDIYSLLDQRINAILFLIITNLKLTIIIKLFIQNT LILDRSCNNQLHESLDRTNIVQSVVSRNFLLNILNQANVIHTLTGEGLKVLPNELEQIFR EKWSKQCRYIKFLIQRNWALKTDYTRVGKRTFLGFFQMAKMHNIDIILIILLKLIFKQYY IKIIELHLFDIKKIKGGQN >CAK78384 pep:novel supercontig:GCA_000165425.1:CT868307:142861:143353:1 gene:GSPATT00013814001 transcript:CAK78384 MDSNLNAIQDEEDFETLHNKYRELVTFSPKTKSQINQNKNLKTLKLVPFQLISESNMSDQ GNKSTNHTSSLQPNQVVQSLNILQNEQPSIRRTCPLIEQSTKSLSPTKSSCELASDYTFK LYEGHKRVRFRINERIE >CAK78385 pep:novel supercontig:GCA_000165425.1:CT868307:143392:146524:-1 gene:GSPATT00013815001 transcript:CAK78385 MIPYPPFIQPTLKSANQSSGFQDGEEKFQHSTPKYVLKVPIPSLATLQQAPQSDNKSKSG HKENNFSAMTFDQKKWNPDSQGSDNKCVLSQSAKKINTENDDGSSIVEKSFTEAAQKILK IKNLELENKVSLLVIENERLTTFLNDQFQENEKLTNDLQNHQASLQDLSKNYEDKLNIVL LENEKIVAINQTLTMRNEQMQNQIKRLQSEKDEEINKTRKEWAGKYQQQINQIDEMRKSE IHQVDNRIDKLQFEKSELQILIQEQQQKLMEFQIELKVRDQTLFDYENVKADLASKIHRI EMMSQTILAQEKRINDLESRLDIMVADNKQLHDVNEQLNQTQRILQGTMEDRQIEFDRES HKQKDFYELELRNLSNKNKQQIEEIKLKHQEQLQGQQAEIENELIKELEQYKMELETKQN EFNNNLLIWQEKEKTIIQENKKEIEIKDQMISTLKFSNQNLQEQMNQLQTLINKMQEDFE SQYSQKETECVKMAKNIHLKEQALKQMSLRLDEICKQVKILEEQRDELSQEMKDKNNKLK DLEMKLDIVVKDNDTMENQLKNNQTQLQLSEQRYANLEQTSEQKIDDMKIQYSLEVQAQI EQCITQYQQTIKYEQDHSEQLMKNLKKQQEKAKHFELLSEQQLNDMEKLNKRIEELIDVC EQNGSKMMILENLLKERDNDYEVLNQKILSITENYELQIDVLKKQMYDMGIQNEDLASNL NETRETFISIVDYRLLEQDEWRKKIMNDLAEVTKQKIDQDQLIKKMRIQVQGLDEENRKN SEDSIKFKNQVYQLQQEVEEITRSMQMNFADKEQELQNKYENTIMDLSQQLNTLTIKFND LVRKEKDNRFRLKLLKSNPQMKLPSELEDENCYETMKRKMEDQSQYLQRLILENDELKQQ QYSHISKGSQIEQQKQKSQRQSMNIIKDYTTQDTDRTIRQNEHQKSMNPFKQQPVKALPV MNNSQNILDQKYASNRPIERDVYLGQTQNTRTDPHLYRKQSLQRF >CAK78386 pep:novel supercontig:GCA_000165425.1:CT868307:147674:149878:1 gene:GSPATT00013816001 transcript:CAK78386 MLQQNQPIQIEINKIIDAPTNQYPKRQFIIEGLLATGRNSEVFIAKPVQWGVYQNNVALK IHYSYKVEVKQLYQKVIEHQNKFEIQNNQNDNQSNFIRIYDYFEYFEYLVSVMEIGQNDL KSYLKKYQNLAFQQKQLICKQILQSIIFFHSLNLIHKDISLDCYLMVGLSVKLINFGSAF EKDDFTAFNKDDVIVNHVFKPPETYNHEYTAAADVWSLACIFYEVMRGSSIFLGSKNFAE LNRLKISQPYISEKIDELQITEEWKQTMKKMFFPQPNLRITPKEAMEQLSKKSSAFIIQK IQQPNFVAQQQYPIALQSQNFFRQINQEFQQTQVQSSIFLQINAMITTLDQPNTINQLLQ QTEQSKKLLESLKDKIQQMQNQLENNTTSLQPKLSFDTTIQNQKYQQKKKEFPPAIQQSL DEIKKRCLDIEEQCISNSEEAELNQNLQKINEEFQILKNVTQNLDKIQKEYLKLCKQVDQ LKEEQKVILKSKILDEELKQLYSENYKQLDVSTLKKEVEKNSKFIFNLEQSIYTINYLEV QKQNQQNKIDNLNQLISTQALLENEVKIQRDELLELKCKQKQQEFLNLEIQNNKKAIQQL KQQGQQLTDVQKQFEESQREIENHRSQLSQLRNLEFEIKNNKDQVKQMKSKINQLPALQN EADKLNKEIISLERDYKKLQETEEKIGNLVEQKQQLKEQNNQKSEEIKILEQKLNQF >CAK78387 pep:novel supercontig:GCA_000165425.1:CT868307:149962:151597:1 gene:GSPATT00013817001 transcript:CAK78387 MIDLIEQYRTEDIQRLSQLFSKPITQRLMEDYYKQNEKAIEFYQEQNRLYVKIEGMQECG VQPKELIELQMRNEELERQNRDLYTEVQETSKQLRHLKEQSELQQQCIKNLEGEKLESQN KIQKLEDILEQKNKDIREIVESRDKLLVDIEQLTIVRSQLEEMMLREKMKILEQLNEINE LNESVQRQKRVLEQDQDRFNKQYKDIQTQGKFQTLAPLATEQQLAKNAFLDDIPQMVVRR LNKFHNVEIYAIAQNLNGTLIATCGGDRTIRLYDPFNLTQVSQYQTSSDEVFTSVEFSPS QDCILVSSTDNSCQLFYLQQWKQKMRGSGHVDQVTCSTFLGGDKDSCVSGSKDRQIKLWN INNSFCTRTLSTGSQVLSIISEHNVPVIISGHRDGSIRGYSLKSDPKPIFQEKSFFDDSV TSLCISQDNHTLLCCSKEGYYIKSYDLRMNKLLKTYEHDKYMNSHDHNMITFGPDEKYII AGNSDSSLISWNINGRFHKQLTKGQHEGVVLSVSFHPVSGQMYSADTRGNLVIWK >CAK78388 pep:novel supercontig:GCA_000165425.1:CT868307:151605:152338:-1 gene:GSPATT00013818001 transcript:CAK78388 MMKTTQTPLNKEEQRRLNQIVQQLLDSNDSVEFRQPVDYKALNLHDYITIVKKPMDLGTV QRKLNSNTYKTVEECLDDIQLIWDNCKLYNGSQSWITKLAEKLERLFKKNVRNYLPLVNL PQLVPKYKDAGADVFQEEPQDQVSYNDKVEFSNNLKQLAPEQIGLIVHMIQNTSPNAFVE IEGEKYQIIVDYIEYEAFLKCQKQIQTWIAGDSINKKVKI >CAK78389 pep:novel supercontig:GCA_000165425.1:CT868307:152733:153116:-1 gene:GSPATT00013819001 transcript:CAK78389 MDTFSITNYCVIHQMHQKQITSKGANPTIKCDMSNFSGRQQDNNPLNRFRRLFFKSPFFD AKYDVDLEIQLSNTIQVIPIRMRVILNISQIRGQYIKKSTSFKIDNQYLELVRNFSFMII QLNFALR >CAK78390 pep:novel supercontig:GCA_000165425.1:CT868307:153312:154153:1 gene:GSPATT00013820001 transcript:CAK78390 MYYYNQRIPVPLQRIEFKHILSGLQKHSQSQDEINQKPLATRKNIKKPEVKFLQDLQRNE KQDQLALQTTDIMWDDRIIQKLEKLKKQPNEFFEKVPKDPITLMNSSKINKFFEIRILAQ SKEDVSKASRESQRQLNQIQEVAVKELLNKYPHQFNFTSSADARKKKEVLDTKDKYMKLF FVRNCSTSMSKSKANNKHLHNSDDQQKYMDNIEQIQERQKLKSNLSFCTWNKLQELECPG YCSFIKQLAVKNKLQQSCSQQKIDSYNLVND >CAK78391 pep:novel supercontig:GCA_000165425.1:CT868307:154209:156041:1 gene:GSPATT00013821001 transcript:CAK78391 MEEKCKLHQKDITHIDSCIHPDCFKYKRLCPDCIVNHESRHKKNQIYQNSQFTITIQECK QQVEKNLNTVKEELELAENRAANALITSVNSNIDEIILEIESIRQQIHKLFDKYIQGLRD KFEYKVKQSIHALYDIIQGQLTQIRQFQNDINSIDTQKKVMSTDLDYQVQYQKNRLESLI YQRANQKFYGRIDDDVALHFIGVIQKELEQLVIYEKNKLSSQIESLNQMTIKAQQTKQKS IKNFQKFLSYAPEKLDEMKNTMQGPQEYSFYRSWLHYFEPGTKFLYYLDLKQQNPQITKI ELEINFLITQGSRSILASDGQIYYFSGYDNGFNEDENKTIYQYDHPQLTFIKKSRMYTLR KNFSLSCTVDKDIFIIGGQNYKEGCLAKCEKYNINTNQITLINHLTELSTLHSSCTYNNK LIVKFGGLIANTEGEDLKKQCSGLLELYFIEQDCWERVENTTNHTQYPLHTILSTSIQIL NSNMIYIFGGQILQKQSFQTVNQGFMIQIEEEEEFDQDQIKFQPKLYYQLQHPIPQQAHF LTQPIYYSNKIMCLLKDGQIIRSCYEKNKWKSF >CAK78392 pep:novel supercontig:GCA_000165425.1:CT868307:156869:157397:1 gene:GSPATT00013822001 transcript:CAK78392 MLQIFDISGPTKPKNDNESLFPSLSYKERLMGFAFCSVLGYFIQILSFGSFIGILGGSPN KFALTYSLGNILALFGTAFLIGFKKQFENMIDKERKLTSIIFASSLVMIFLSVYLFKSKL LVLIFLVAEFCSYTWYVASYIPFARDCIKGCLRNIIKA >CAK78393 pep:novel supercontig:GCA_000165425.1:CT868307:157792:158725:1 gene:GSPATT00013823001 transcript:CAK78393 MLLSTSKLSTSKLQRSQLSSPQRSNVNYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLSSEKPKDEQRFKVLKDSVLKLQDYAHNQKGEREAFDDKKERDF KTLSDNIALSFDQERNIRGQGETKLQKQIDERFTQITLTITRNSHQYEDRSQVKIAEVLQ QIQLVKNQLDQERRSREESSESLGDQIDQEINKFSDQLLIEKKVREETQGKIFRMIEDVH GKLQQDINFERRERESTTEALLKLLEDACIQIDKNFRY >CAK78394 pep:novel supercontig:GCA_000165425.1:CT868307:158784:159277:-1 gene:GSPATT00013824001 transcript:CAK78394 MSNTFKQAGNTLSKGNDMGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEK TALQQQLLGLQRRIAELELQVGQEQA >CAK78395 pep:novel supercontig:GCA_000165425.1:CT868307:159326:160772:-1 gene:GSPATT00013825001 transcript:CAK78395 MAELKPVTVLEPGYAITREQIKSLRTQNGDMYSLGYINYGSESQLPCAVKINNLKKEEDR ISAQREREVLIRVNHQNIAKTYKIIEKDNQMYIFREYDEQITLKIMFQRLLRTSQAFDEM NMLNLGSQLIHCLNYMESLSLTNRDLNPSNILFSSDNIYKIFDFGSSRIIDENQLLQSMT IGGNEFFMSPQMLGVDQSTEIDYYKSDIWSLGMILYYFGESQYPWKKEFREQDPEFDAEI EKMSDPKYKLPFQKIKSEQMRNLIRRMLDYNQERRASAKELLEDRLIKDQLKILYPQEAK LEFITELILKQSEMKVKQPLDETLEELIFNLQIRYFTENKQCINQGSQVYKSYHSLLDYQ MFNKKQLWQNFKIRHYTKYFIQNFVNEYKRQSELSTRQQQELLLLLQTIDSSKAFPNDPQ QLIELVEKQYLAD >CAK78396 pep:novel supercontig:GCA_000165425.1:CT868307:160827:161237:-1 gene:GSPATT00013826001 transcript:CAK78396 MILSFEKNKQTQQELISDLFCFARKYLQYLQTLDLRGFAFGQEGFYEQTTNNNILIYNQI LQIIYYSNGRINEHNSQNFKLIQGQIYRILIDLARVRALFGADENNNELLLEDFAKEPDL LPQYLTEILQFYQLRY >CAK78397 pep:novel supercontig:GCA_000165425.1:CT868307:161750:162073:-1 gene:GSPATT00013827001 transcript:CAK78397 MLKQLFKKGILMIQQLSYLNGLHHLHKNKVIHRDIKLANIGVKLIAEEEQRLLQNNALSV FYNAQYKLLDFGLAKQLMNEELTNTYVGTELNMAPEILK >CAK78398 pep:novel supercontig:GCA_000165425.1:CT868307:162140:162576:-1 gene:GSPATT00013828001 transcript:CAK78398 MKIIPHIYLLKHVRIHHIYLIQNIYQFETKFKQSANKLLYYLPHFIQLQIVEQEIEAINN VFPNKYTNPEFIGAGAFSQVFKCKTNNNEFVAIKIIGLEKIQKEVIPYMKNEIVLLSRNR IIIIQFNQLRLLKFNKH >CAK78399 pep:novel supercontig:GCA_000165425.1:CT868307:162591:164002:1 gene:GSPATT00013829001 transcript:CAK78399 MISSLSFINQKGEILIYRVYKDDISRSEITQFCAKMIATKENKESPIINIDQTSFIHISI KDIIILATTKTDVNVAMVLEFLYQLSKICKSYFQGILDENCIKKSFVLIYEILDEVLDYG IPQIADPNLLQKFIQEGGMQQEATISIDKFRSLTGTITGAVSWRPPGLHYDKNELYLDII ESVNLLISAKDTVLRAEVVGSIELKSKLTGMPECQIGMNDKLLMGKQARMTKQNGGIVID DMKFHPCVGLPKFEKDRTITFIPPDGHFQLMSYRISENINIPFKVNVFYSEISENKLEIR LKIKSIYDKNVYGTNIAVKVPVPKNTVNVVSATGLGKAKHEIEEQSVIWRIKKLQGDVET SLRCEISLGATNRDQTWSKPPLKMEFQIPMFTSSGFRVRFLKVMEKGAYKTNKWIRYLTR GGDYLHRL >CAK78400 pep:novel supercontig:GCA_000165425.1:CT868307:164073:165259:1 gene:GSPATT00013830001 transcript:CAK78400 MRTGILVCLVLSVLSVELNMERHQEEVMSKLRESKWASFILEFAEVELGSGGALTELVEA INQLIDQLEEELDDIHNAYSRRTDEHNRDVTRYEQEIQDADRDIFNGEDFIDNVLIPQKQ RFQDALAQLKINIEENRRILDQETVNRKKQHEQFLSNIAEINEAIGAVDESLGLLSQITN PSLVQFKRVQSNLGRIQTSFQNHSSFAPIIKALLELATEQNFADQGSLQQLVKIFNELRV QFVDTLNQETADESAAETKFSERVAQLEKEFAEFQRAVLIKNSEIAANEQKLGETIVYVG QRKDDRATLQAQLQAENDNYAAETDLYNRTVAEYNKEIEISKQALGLLTQPSFEQYVKSK VGI >CAK78401 pep:novel supercontig:GCA_000165425.1:CT868307:166223:166681:-1 gene:GSPATT00013831001 transcript:CAK78401 MINQRVYIRATARKQQNQPNDDFYGEQQYDDEVQQYDSLLNKRTQKEFLNEESKSKKTLY HFDISNQQWNPTLQQNTQNELVKENSVQLEENFEQYQNYKQKGLQLFKIDDFSVLEINEI NYIEQFQGQKDYQLFGKKHKQNISKKIYKYVC >CAK78402 pep:novel supercontig:GCA_000165425.1:CT868307:166825:169285:-1 gene:GSPATT00013832001 transcript:CAK78402 MKRIIKIQPINVPGQDSPILLETVEADLFYLKAKKKVADSLKGYNQDEFVFYSKSKNLVV HEDDRVSKLFQNKDQNEIELYLTSDLIKKVVQGGSAGGAQFYQAAQQVMGQQQNKEGKVE KLENEIKKLKEEIFRQENKAKLDQNELDDKLQEIKELKNEQLNIKKWCDDKVKQEEEQRA EIIQKYKDMMSTNNNLKNEILQKDNQIEELKYQIKAIEVEKNFNEEQFKRENEMGRQKST IVNDSQINEVKRDFENLKRQYQEEQFERKKDKERAVQFQMQCQEFKNKLQQKNEELNQAR SFAEQEIENLKEELRRSEEKCQQYKDIISQQKKDISDQTSRYMEIQRKLGEVEAELSFQL KTVNKLREEAKDQQQEIERKDHVIKDIKNSKDAQLNKMNKSEEEKQDKILQLEGDIKKQR EELRRTKDQLQDEIQQKGAIIMKLENQLNNLNETYNSSMQKANQEIQKLFNQLDKEKEKL KIEEHKRVQLAKRIKENALRKVGDGKIISPTEAVFRNMSNPFEFLKNENIMTNDYTAQVE LIRVDTNKAIESLTKSQEPNISQFVVREPIGTWQIKRSLGINGDGTKRKGFLMQVINSDG DRYTHQIFLVKELTGFERIVTVEKALYVAQSSLIARVLAEHFQDKIQKASSEKPPCKFSY KEPFLLQTEGNYYIAERLVKGTFIKFNGEGESMDKDLKVTSYLNAFSLFTYLATQKMLMV SNIQGNFDGAEFILCDPVVSSPEGIMGDEDLAEPHILQFQDNYKENPKNYGKNYLGSLGI KI >CAK78403 pep:novel supercontig:GCA_000165425.1:CT868307:169381:171285:-1 gene:GSPATT00013833001 transcript:CAK78403 MDQVDMIQDEEQSPLYIKRELDIDIPYRVVYPTYLDLQQKETPKTAVRQIEHPEIEEVQV QTETTPKTQIDVSSPRVAFLTHSQIMGMHHEEDEVPFNRSSLNNPLSEIPEELTPSNIHN VSHLILFKKSKVSNPEIILDNMSIVNSQQLKISKKSSETNAVSIHNIDGSKLIEELKEHI SILESKVQELEKENFILKKKSSQEKVKYIELQMETEYKLTSLNEQFQDLELNYKNQIATL KNDLNTAKQQIHIIKQQIPHDDNQGQQLSKSQIQFSYNHQSQQQSYQQQFKYPILSQSFN EQQNKNQTDVCLSQVQYEIVHSKLIKIIKKIDQQIDLNQKNLCDLLQILESKVKLMVNIE EEEKIDEHQTLISTLKTELGEIKQIRGQLNRIFHDHDQFIHKRNNESANDQKINELTLQI KGIMQEKQELIELTQKQQEQIKQQNQKIIELQSKLNNSSQTDTQRMQSQFIRSLQINSTS SIKNIKETLKQKQEGTKKQLKSQNSPQSRSENVSPSLKFLNSPKNSNNVSTYQKQWLDDK KQHFYNRTSDFSAENNSTHQATTQNFNNSVHSSSEIIQKLVDQFKGNSIFAQKISSYSKR I >CAK78404 pep:novel supercontig:GCA_000165425.1:CT868307:171439:173944:1 gene:GSPATT00013834001 transcript:CAK78404 MGANQSQQQGQQEKKKLRKRDYLIGMCMSQLASKEISENSKLRKSLSENMKQQSQDILTK MSEEEMPNYSIKILQYFLNFLTLRECFQLKLVNKKLKFLVEMSSNIYSNFLSQFYLRKLQ LRCFVEYGLNQRFLSVYYSQLLCIETNQDESWIRIYLSFQKSLQQMRIIEEEIQKTFNIE YPLVDKILDIGRNPVMPIPLLTDDVLKQCTTSWFQLELAQRTYDSTTVEKVPLLDELTEK LYQDCLAKFDVKNMKHTQLLFELRWVVIDNFLKDPTILDSENIPLLLRFLLQIFHFIYQR CLFSRNVLAITKTEKNPPMFLNIYTILWESYVGMMWALNRALKKIFKKIDLIFEEYFTTN FPQITFTSALARLWSQIVIKGTKNSQLDSIENELFTSFENLLKQKRVILQQFYIKDHCTI EQQINGSRQNDDFFKYCPSAVNFLLNKFTSNILDLSLHEQSINWIGHSNVKVGSLYGKIL DIVISQANELYSKTSEQLSTDYQVFKDYIIADSNFMQEILNQWTVQVCLLPRGIEYLYEK VKINLRQHVVSYQLNQSIAESTHHHFEDSILESKIIGNNVQQLQLNDIEEITQRFEKDDS FLESVICEILKEEKIHLNEQDQSHNVTHPQQSVIQQSSYYQYSSAKQQSILEGDYNKVRM LSTFTSSTRVSQITQLTSVYSQQIMSSYKSNSNMSLIKDIKLKIEQNQWAMHLKEIYNIE IENQMKIEKRNNQILMRNTVKKIPQELEEQFNSNREFTKVWSLEDTMSLFESKNRLDQQE EGTNSDRNFSEMKIGQGLLASYFIQNQK >CAK78405 pep:novel supercontig:GCA_000165425.1:CT868307:174001:175395:-1 gene:GSPATT00013835001 transcript:CAK78405 MKKFSNYINSLIGKGNTPFLQKFNSSSLMNNTPVILMTDILYLIECFEGYGPECQGIYLT GQEYLQQFFQELSQKPLSGLMAVKISLTIHLVLYEFQIGEIVAEEMISRDYKLQVIENQS HGQFVQNYLMYLFKLAQNLKLFYSCKIGQYPIFDQEVTYTNYTESTRENQINQFKMFKKQ SNFKDTNLQYREYQQKKLENHLSNKTGYITIDQKILYLFKLLNLLNQCIQILNLSLGVCQ IEQQDVKSIYVEICCVLWNDAMVMYKFATLEICKILDSFRFLPLQQLQSLQQVYWATTNS TKSIKWIYNNRKYFDSQNIVKQPFWFEENNQIIRDIQSSIIDSKINSIPESCRQLKLQTP QSSKLKSPIQIQQPYSGRILNQRNQPFFVAHKKLQESISQYTEIKDQSQQQNNQKNNNIK HAIYPIFSDQEMQLSPKLQQQELIK >CAK78406 pep:novel supercontig:GCA_000165425.1:CT868307:176568:177324:1 gene:GSPATT00013836001 transcript:CAK78406 MQGSQESTQIKLVVVGDGSVGKTCILLSYTTDKFPTEYVPTVFDNYTTQLTVDNQMVNLS LWDTAGQETYNRLRTLSYGSADIFLIVFSVADSSSFDNVLSKWYPELNHDELQKVPKIIV GNKIDMREENNSKHIKKASAEQVLQNQNLQYYECSALTQEGLKVVFDEAVKEALKAKNAN KSVNKTGNQKQEISNGTQKKEEPCCSIY >CAK78407 pep:novel supercontig:GCA_000165425.1:CT868307:177377:178290:-1 gene:GSPATT00013837001 transcript:CAK78407 MSRAGEFQNRVEKIIENVFETNSLSNQYLQKHKIFNMKNSINHVDASNSEFDLLEKQMTR NNMIDELIRKISVLVDAANDHNKIEVFHQLIYMQIEQKFIRFEQEISNLRSIIMMQTDSN PTQLTITRSENNSFIEMSDSFLNDENVSSFKKKLKEIQEQFSKKTQQIDNMLTLVIDQQL QLKQQIILSPSTNQSNESQLDLHQQADKILNIIQPSNNKQQCLLEIQFLNKEHFDEKYQQ FKKVMEEMKKSAKEKQSLLMTIVDECKRDCEKIEKKFNEHITQLNAEKKKSWIPFK >CAK78408 pep:novel supercontig:GCA_000165425.1:CT868307:178378:179448:-1 gene:GSPATT00013838001 transcript:CAK78408 MENKELEQEIKKKITNIPLKDRAKVTALRFYLNKCKQADSDMEKICDNIQSKYDKSSMPI YQKADEIILGTAQLNEQNLGLAAKLLSEEEIQQLNQAPKESKAIPDYWLKVFKNADLQEL QLSERDAEAFKFLQKIEFTLAENDSDFFLKFYFAENPFFKQTVLEKKFYYEDDELIKVEG TKVEWHDGKNLTKKLIKKKQRNKKTGQFRVISKEVDDESFFLFFRSIDISNKEKYDKLPE DEQISLQDQLDVDQDVGRMIVDELLPYSLEYFLGIKEFKAEDDGSAEGEEDDEEDDEEIE VPAKGQNKGKDKKRK >CAK78409 pep:novel supercontig:GCA_000165425.1:CT868307:179601:180283:1 gene:GSPATT00013839001 transcript:CAK78409 MADQRQQLEQLKYALWLAIMQNKNEQIVQFFNSPKIEINVNVALTNQGITALHQAASNGN LNLVQFLVAIQKADIDQQDIFGRTPLHFACAIGNLAIVDYLIQSKASPNIQTIGGESPIM KAAQFHQSQLLFYLIETHSDKINWNLVNKLGQNVLHIFRISCVNSLEKQNQIYTQINDVM VELLALISAEGQQTIQ >CAK78410 pep:novel supercontig:GCA_000165425.1:CT868307:180427:181221:-1 gene:GSPATT00013840001 transcript:CAK78410 MDQQVMETVSNYDNIINEVITKLKRNSDKGQLQMSESEIQTFKDLWQTHLKAIQEGREIK CKTEVQVQSKNSHVETDQIEPAIEKKVLVVKQEEENQEVDVCFDDDSDEKVHHNQDHVDN YQSQNQKIKEFEKNRCESLRERTLFDKIRELDQLRNQVKQEEQDDDDTEEPKAFDTQIYA QKINTDSIVSRIRPNKLQKASLENVLIKEKSNKEALYPQAQLQFNFKTNKKKNQ >CAK78411 pep:novel supercontig:GCA_000165425.1:CT868307:181268:183023:1 gene:GSPATT00013841001 transcript:CAK78411 MFRTIRLIPKLLKFTQVATCLALIYNQQSKLRCAQINTQTIKIINQKDVDALLANPQDSS NTIIILNKNIIEDLPKNLSANLFICDSSENDYDLIAVNQHRYLLLKIRGEEDYLKLLNFL TPLQTLDSKQDAINLIDQMDAQVVLSYIPSIINAEEQINKFEPSQKYDPQQLEEQLKELR IHDFNREAQYYIIKDKNVAEEFNLSIHDIGEIYILKQPSIFNSKESSFHHNGKEYFMKKV EPDQNIKRIDTFIIEKKHDSLSSYLQGSKEETVQQQNLQGFVNKLTLTANNYVNYVFNKQ QMDSQLLIYKQMGVKYILFYHSQNDVNEKIIKKLINVKKSVNNEQQQQFAIVYSDNIDLI QTYFGIVDNGITDDVRLLDLNQNQTYTISFNIVQLGQKEYNYDQYKLCKRYSFGDKITVN RLKQFIVQTTNLKIQNIEPQDYNREEYYEKTFVKMPFDKLQTITANNLELSGYDFIYFYK PGCAACHTVAETLEKIAWNIYNPNPYRVKNISNFKKISLKKYNILNESQMLPSPIQAPQI YILHDGKMQKADLVQNKINPGDESKMLHFLTKLIDNL >CAK78412 pep:novel supercontig:GCA_000165425.1:CT868307:183049:183551:-1 gene:GSPATT00013842001 transcript:CAK78412 MIINNYLLLNHDLDVITSHRPPTVAVEEPSKPFSNTNFSRTFRNTQLLRKLDTKQNYMNM MSLKKLKPLTKSQSLICLSLKTPIYEAKEKKMELANLRMGKRMKRQAACQTDCFENNIFL RKTLSSNFQQSRKTVFSETFYKSKIDFQKVDEQTRQK >CAK78413 pep:novel supercontig:GCA_000165425.1:CT868307:184109:185209:1 gene:GSPATT00013843001 transcript:CAK78413 MGCVCNCKSSKTSNQNTQLQFNLAEQYRNNRNINTQDSLEDICQSLCNIGQEIKSLGQLK LEVQERINELGTFKAIEQIDSNASCYQFLQCPDGSIFYGSVKNGVRNGIGKQHWLTNGNY LESVWANDKANGPARMIYSNGDVFEGHLIENKANGFGIFRNKKKEVKGYWVANKLQGNGI EVRKNGIKYEGQFKCGIIDGRGQYTFPDGRIYRGQVKQGMMHGEGLMTWPDYSYFKGEFR HNHIKGYGCYTHSDSQTYFGYFFSNYHQPVKQLEIFYSHDQSIQESEKLQKFLKQYGGDH Q >CAK78414 pep:novel supercontig:GCA_000165425.1:CT868307:185584:186313:-1 gene:GSPATT00013844001 transcript:CAK78414 MNKYQLLKNHIQQLIRKPNTTNILFVRHGQTNQNLSNTICGWTDSRLTIRGREQANQLLQ ALLPFRDQFKGVYTSDLRRAKETAQISLGFPHDTLIIEDPRLRELNFGKHENIAYSMLDQ ETKDIIFTFQYQAPGGETWQQTQERAMKLIREKCTENGSYLMYSHGGQICSITYNLGLQN SIGNCSCVGLEYDQQKKEMKELLFKWDFPEEENEQEI >CAK78415 pep:novel supercontig:GCA_000165425.1:CT868307:186337:188982:-1 gene:GSPATT00013845001 transcript:CAK78415 MKLNDQKSNSQLTIFPQAYNTNMTAAYKYLSRESSAIKNDKSILKSPSTNQLLCTSNRGS DKKVRIQESASKGSVQFKSTAQFGRTSTDLIQVPPMRSLNREDREKLIATLIQQVKQQNQ FILQLEDRVQQQAEQLDELNLYNEKYEQKEKCYGEIIQNQNKINQRIHQIAELRELDEQI STNDHLDLLNQIYEDEVDMDMAIKWRNARLKFNFLDAFSKAAKYSKKLKHVLQRKQHLQL QNCFTGWKYYIKNKALLNQFNEVRKLRTVINFWMNWKQYIQDKKKFDKNLKTSIQFFNQK IKKKCFYKLKQNYLKYNFSLKDFQQIAQSAVNEFNKIKLFKVFSSWTLWIKQQNQKQKCI YQHINNGRINQMKGVIRFLKLNSDFHKERANQIVRQRGQAKLKKLFNKLKSNLKENKYRE NQIQLNRNFFIKFRQFKQWIREYQKLSRLNHISVKVVKKRENLFLQRLFNSIKNNAQYRK MKRQNEILLSKKYDNRVLNQAFMQWLSNLLKKKNLLVKEQNVVILKQKDIDYQQQNDVQN LKQMYDSVSQQLENQEEQINNYKILISNQKEVERELQMQYDYLKQELMTSKDQLGHYQIE GDDVRILKEQYSQAQSQLRELKMQQRCNIKISYFQVGSPIRIMQNSGINVDQDQLRKQVE ISTSLLNDQKQEYNHLQMEYSKVVGDYEKKIKDIEKYFVDLCDKQKLQIERLLKENQTIK IENQQNIVSRNRLMDELNMIHESFREEIPQQNRTNFQTQESYEQQQFGGYNRRLKSNLYS QTSPISENQYSQNSPQKKVQIEDRRIKEEACNLREDIKRRLASLKTQMDYQL >CAK78416 pep:novel supercontig:GCA_000165425.1:CT868307:189012:190066:1 gene:GSPATT00013846001 transcript:CAK78416 MNPETEQLNYDLETPQIPYNYDVDGRFYLPVSNQIYQKVYSQYTYHPPTFLLMPQQPIYQ PCPQVKKQKKKKVNNKEVEALRARIMELEMRQPEVKIVKEVVTDTEQITRLEKELRMVKM QLEHEHENNAQKDRTISDLRAQIQKNDLSRSSSLVSLQTTLVERERQITKQDGIISQLQA EINLLRGELDDAHHHIEELQTTHEEVTVEKMTYLSKEVETWKQKFIVLNREYHETQEKLM LAEAELESIKKGEVKEVKQIVVERKDNIGRTVDYKQSEQVQVRKSGFLQTLQ >CAK78417 pep:novel supercontig:GCA_000165425.1:CT868307:190816:193170:1 gene:GSPATT00013847001 transcript:CAK78417 MIIDAPNQQFPNRKFQTINQLGKGAQGQVYLVKSINWGINDSKQFAIKQQPKFNENELQI LNMIMNYQKGQFNQASQVIRIYEILQYNNKAYLIMETGEQDLYSYIESYQQQQQQLSLDQ KIKIMKQLSQSIYFFHETLKLIHRDIKPENFIKVGDDFKLIDFGLAKPSQDFFMTQNVGT PYFQAPEIIQNKQDYTCAVDVWSLGCVFYELCKSQLLFQANTIQEIQNIVLNFNQKYLED KLSSDEMPQSLKYLLIQMIQPNPKDRLSIKEVLSKLNQISTEQFGNQYNNSFIVQNVFQK NNQQFQQQNNFPQINQQISFNPQIQQQKQQQQQQQQQLKDQDQKQIEQNTLIQQIITILE KQNLQQEFKNLQDQQDKYQQRITEYIQLFQNQFETNLNDLKNEFKDQNIQQIKVQNNNNE NLTKVMQEYKLNIKDLDLKNREQDMQIKELNLSIFEFKTKIQEQNNQINIFKDKEQAQLQ LDQYNKELIQKIEKYKFNEMQQQMKQSQLQKEIQDQQISIEQYENNIKKYQEEQLQLNQL IYQQKSQENIFQNTIQENEKRIQQCSDIIQDQKIQLEILNKAIQNSNQIKELENKFQIQI NKILDQQLQCQKESDKQQQKVFAELNSIKQSSLQLQQNQQELQKDINRLLNVIQQYQQQI QQQEPIKEKSQIIDSLKKEIQLHFENVKRNPTTICQVLKSKIYLLFEEIKKEISFIIQNI DDVKLQGKYLVQESYKYNVNMEKMIANDLEILKGWKLVFTDLQKKFKK >CAK78418 pep:novel supercontig:GCA_000165425.1:CT868307:194801:195124:-1 gene:GSPATT00013848001 transcript:CAK78418 MNLETTNEGGIFIANSNGWDDNSKQFMLKIQKEMKEDEKVFISQLMRYQRNYENGNDQHQ VPTNILKLYDYFQWQSSHCIVMEVGQESLLEYIDLSNADSKKNQKAF >CAK78419 pep:novel supercontig:GCA_000165425.1:CT868307:195284:195745:-1 gene:GSPATT00013849001 transcript:CAK78419 MYLLDKNYINQAKKQKKYQLILSKFFNIQVFLHHYFYVIQVKMNLFNVRLFHNDGFQTFS IIVLIIQGFSQLSFLSIYCYFPNILKKIILILYFISFYVSISLTYKLIILFHQLQIFLYY QQFIFAQIQIIQELKFNLKYICSIKQLFFIQIN >CAK78420 pep:novel supercontig:GCA_000165425.1:CT868307:196194:207702:1 gene:GSPATT00013850001 transcript:CAK78420 MIVRLMISLLLLLMQTLVEAMTDASRDTTIDAVVILSVSTVLGKEKSSPIGSLLGGTTLY MKVQGLDQTASNNAVYIGKYPCLISDKGVNGLFMNCKTTKPDPNDHNLSGLKIVVKVLDK PDSVCTYSSGYCKFTYSENMTPKLFQVVPRSNHPRSLSYWRAKWTVSSNAVEYLEGQFMD ANRCDRFTVQDMYPKEINPLDDGVVCQVSAEIRAGYYGYVIKSQRGYQKNDVGVNQKKVH SDRTYDSKVIPLITGISTNFASPEGQILEIVGLGFSPISSENFVKISGQSENIKVLSSSP TNIIAKIPKLENLPRLQDSTENSLYLQGSGLHYTRWDISGLSLNCPSFRQQIITDKTVWN SRIKFDGIYPEPDVHNIFGENYGQYFRGFLKAPFTANYKFYISSDNCAEFYIQTAEKLKP IRPDSPIVQSSWNPYKNYWYEYLSTSSEIKTISENISLEEGQMYYIEAYHLNGPQEGHLT VSMEIESETRKINSLNSIYQISTSYTPIKEVIEFSLYNSNANTLLTGKYRLQFTYGTKTY PQTELYYSYITVELSSNSYANAIKNAIQNCGANYLVTVALTKLDSTGKELEETATTFAGY KYTITFDSYRGGIPYRALPKMIYANLKGGVVGSKIECTQSPSDPISGTFQLAMTINGQDN LFQTAENSYELNFNTASAVLADNIERLTGYRPLVWTIGRAQDGWSWFIKLRSHSEDLSDF RVLNNQLVSGNGDVTITLTQPLPNSNNLLFEPIPNELLFTYSENPQVQVEVQYQLIEHGS VIESELILAGCAQQSACDLTLNEEKTPLVTAYSISGSVLSLTIEEGVDLTIISNDLVIQY LGADCNNIQVTNDGSPYTITCNLEQQDGKTIKEAGDGQLPVVHHKDIGYSKIDQSLTVEN IDLNIMSISPIAGSPDGGTTITIAGTGFPKNSDREFTFQIDGQNVKPLSITNNQLVFITP KKVNGGTGSISLSFNTKTATSTLTYDDSLRIQVTGLEFNSKSPVFRGEMKITGVNFGTVK EDIKVTLVGNKSYNAKVLSVTDSQITVYLRGGMPGNYRVIVTKKNFGDSYSNNDDNLFKY IIPINSVTLEDGTSQAKGSEAGGTVIKITGSHFVKGETLVYIGRAVNWLCEIDETRFTSE TIYCTVPAKYELYTEEPQLVLVTLQITLESTCFDSINNCLFTYENQLTPKLEAYPESVTQ YSGSRILTEEDHSKPDYYKLIQRKRFLWTDESKKHGHNHILNVGKSSRRDMLTSTDLVLT QQKTYKPGDLETLSGIGLTSSVSVVFKGPVTQTVAATVDDNTITYTIPNLPQGYYSTYIL TQTGYADKIWVSIIELSVTSIDNAAIGGQILTINGAGFNANQNPIVKVGSTTCTELQVIS SVQIKCRMARQSGTSAVVTLTQSPSESNSATPYTVEYTIAIYPTSSSPLITSISGVTYDS TQKANKMTTGDVILVFTGSNLSGTNILATLEHMNRKIIGTVSALSDTSVTATFTSVPIGV YSIQLLLDNKYAYHNDANKQKLIISASQPTSNNPIVSYAGGATITFTGTGFDTQTEFSSV QVCGFNCPITSSSYTSLSCEAPKLLTTSVLQQYTALQEPPRYIKLSEVQLLADTIPLAQT FFDLQQSTYYVSSAASNCFLQVDFGSSRNLKLNQLRYLPRIDVEAIYLKGAVFQYTLDGS IWVDFLTIDQSVHTGWNIYVPSGDISGIKAIRLFDSRGTTGSQCQLAEIEIKGWVLSNSK NAYSVPTPCNADLQVNGQSIGTILNAVSYSSSSVPMVNTVSPKTGSFTEQAIITITGTGF VNGQTQVAIDGVSCVIQSVTQTEIICKTGVKDLEQTQLNGVFQVRVNGNLAVNNQQFMYA TKWSDINTWGGYEYPGDGDSVIVNAGQTLIVDVKTPKLMQLLVEGTLTFSDELDTSIDAH YIVIREGKFNIGTELIAHQHKVQITLYGDEQDVQMPEMGNKVLGCHQCQLIIHGKERTPT WTLLSSTALAGATQITVDDPVDWQIGEQIVITSSEVQHLQSEKRYIVSVSDDKKTLHLDY PLLYKHYSAIETYGDEQFPMKVEVGLLTRNIVIQGEQSSVKYGYHLMIHGRAEKGAVGKI SYAEFRYGGQPKIIGRYPVHFHLNGEIDNSYVVGNSIHDCYARCVTIHGVHYLKVQKNVC YNTFGHAIFLEDGIETNNVIEDNLVAGTKQSWIMLQTDITVSTFWVTNPQNILRRNRSGG SEWYGFWYEIKTNPDGPSATSDICPPGLSMLEFKDNWSHSNGRFGLRIFQMAPRQYPCMD LANWANDQPYIDNPSIQSVFETFRTWKNNECGILGEELGNIYFKDIMIAESKFAGFQSHR TNHSDEGAVLDNALIVGKSINNAYSDAYYEGSRALVVPRTNGFLAKNIRMYNFGSNTALI ESCSMCWHDFVWVQGGKNTHFFNVKAYNSNSAKRIYWQRHRREIFWDIDGSITNVDGGAY IIPYKKHIDGIPGCVTHPEEYWDNSIICAMNQVTIRDVLINNPTPEQDFSGVDLKIYRLD DSNIETKMDQSIDEAKYIEAETMMVIKSGSKDVPKSFVSIFATGFTYNVHFKLGASDPLS MGIFASPYFKEADNAVVLRFNYSTNRETFDIMRHIEKQFPLNYTKLNQKPNTHVCNQGDW YNDKTNKLFFICLSGKNKKKYEYVEVRGVICRDECSSLGDVKQEDGYRYWSDPNTWIDNK VPVEGDNPIVQAAYQVILDVDTPKLANLTILGTLIFDERRASTKLEAERIWVRSGKLLAG NSTHPFPGKINIILNGEFGDNPLIIDSKLDVGNKVLAVTKALELYSTPPRTVWTRLAVYA DAGTTEIQVIECSDWAIGDEIIFGPSGTNPDQREKRKIQSIQGCVIKLDQALEFDHYGAP QVTLDKGDVGQLDMRAVVGHLTRKIKIEGGPSVHGLGCRVLIYQFEEPEANLGYPRRGYT VLHGVEFNNCGQFDTQRSGLDFRNLKSQIIKTPSEVIGCSFHDTTGMLMTIQDSQYITIK NNIFFNGIKALVQINNSQYVKFQGNAMVYVKKRMVQEFEGGIANWAVFGNFIYMDEYIPT RMTRDILDISGNVGQGSQDTGFFVMGHKCSDAQISSFYNNHCSGTVLVCFAVRQVSSKCT YMSGLYAHHSEGGIMLAVQTEQVQLENTIVAENNRSIVLKLGSWNYYDNTIKLNKLFISA LVRPDCVKCYSSSLSPHCKDSFGIQMGTVSTTAFPPINSPISSEFDIICTSQKIDLKVYL TNVEFHNFRLTYDNLPQCGENAAFRQHKGAHDMSGQHYLVNSPCTNCQFEALLYKSLGFE VRKLGWFGGCGSFGCSGLTNYLIEDQTGHFFGSIGQGIGNNTYFGPNVTYCTRQESWNGY WCPGRKITTLGFMSTAPDYKKRLYSPIKLTDGEFFNEMNSQMEWAWLGSEPLNLRESKFV ALIATNTIINMTNAGTNPTSSEYQMSKRREDGSPEDFVILMWQFQVPQFIQVFVDNKVIQ PGLTTHSKHHDLLKMTDQCGANNYFYENRTIHFVVNGQLGCRVKIALKNTLQISTRLELS TEEFYGDKFLQYARAQLGGDPYNYFIIGIKKQTRRFLEQSVSYQVSVEWGIVDSAEIGSE QSSNSKTTLEEFASKLEFLNPPPEIGKILELSSSLSVIDKLNFPSTEPTSASPQGSNTNN QSNGNTNSGQDQSSGNSNNNNNNNNNNNNNNNNNNNNNNNNQNDTDILFTTDELVNQGDS KDDSNQEDIGTVTKSFSKGDPQSNTVIIVVSVICSVVGISLLIAGLLYYKKVKLAKLIAS RNQRVDNEFFKINLTEQQLQAQ >CAK78421 pep:novel supercontig:GCA_000165425.1:CT868307:207910:208629:1 gene:GSPATT00013851001 transcript:CAK78421 MLNTSSMNLGDTSKVYKQSNQLGILSTAISKIGNSDHSFQKGVKAANTTNVSPVRMRLFR ESPERKQRGLDGLVVGEFHPRKQTQVVYSSIHQSSSPMIHKPQQKQSFQDSPTRAKQKID RFKNWDSKLDIELQLQNTGITRMGNDIVYQSGPQLNYTKSIQLNERLNRNNPSITQSDIV QLTAKLNAIPRTELTNFTRGHAHELSTLQQSLQRILKSSKGY >CAK78422 pep:novel supercontig:GCA_000165425.1:CT868307:208701:209875:-1 gene:GSPATT00013852001 transcript:CAK78422 MNIKPRLYGDANLKKRPSYFEFENIDIHYGQLDNYEIVRKIGRGKYAEVFEGINVNTLQK VVIKALKPIRQKKIKREIKILQNLNGQNNVLKLLDVVFDPASKTTSLILEFINNTDYRVL YPQLQDQDVRFYMYEILKALDHCHSMGIIHRDIKPHNIMIDHEKKLLKLIDFGLAEFYFP NKNYNCRVASRYFKSPELLLDYQYYDYSLDIWSLGCLFAGIIFQQEPFFHGQDNNDQLDK IARVLGTDDLMNYLQKYNISLGPNFENVLGQHPKKPFSKFVNEDNEYLAKADAIELLQSM LIYDHNLRITAKEAMSHPYFQSIRKNSKRQ >CAK78423 pep:novel supercontig:GCA_000165425.1:CT868307:210530:211771:1 gene:GSPATT00013853001 transcript:CAK78423 MQKLAILALVLAITAAKFVDIHTSLAQINTDPFGHVVLSAIKAHLLAQTPANEVNMLLNG VGAGIVQDQNDHDHAFELDTTTNNRIVEDLEKEILYHQNQIASNTQLRDDTIEALAVSEE DIRVTIADIANNEATYAREEATRNQQHETFVAKVAAIDDVIDAIDDAAKLIQHLSLGASF AQLKSKYDTLHKKLSDNTSHSALLQPVITALTELATHGVNQKALTKIAQLLSEIRQQLVS EKAAKTDVEDRQAAHWAEFSVHLANEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHTL ELENSEERLAGQEAWYAVQSQIYETQTAEREAQQEIVDRLQEHISEKLSTTAQFIASRN >CAK78424 pep:novel supercontig:GCA_000165425.1:CT868307:211834:216506:1 gene:GSPATT00013854001 transcript:CAK78424 MPSTLKPWIRQILLMLSHFLTLEYNSSKLIHMLILFYQIIQPTSFIFYNAQPQQEQLNHS LTKITLITRLYVYITDPIVKQSIFWIMVFVQNIIILIAAIMAFTNYYSNHTQSQIGQIIA TVFSTFVYYVSITFYPIILEISLCYSSNLVEFIVATLLMIETLSIMFMGELIFRRGLTLK STSNLYINISTYSYIIKTFKISQILLFYYLNSQTLFPLTIQCILNILIQISFVTELCKMK VYAYHIYSKAALILSSISIVLSFEVLLTQTTISNNYWILVSLILIKILFICDNYMPNSIQ NFEIHQLKYQFAQLELSNPETTSTKLRTYILCRSHYQTCDKRSYCICVSSKEYSKNHYQT VLKLIETRLEQKFFGRVESSKDSLAIDYAQTLLKNQQLVKVQQHIIRCLSLNQSIYPQNR QRFSAITTLLLEFLKEQVLNQVLIQISENIGSNIQHNKIITDSLKSFVLNESTDQQIIQQ MKKIIAAKIQFYNNFLEHQNQNYGSVQFAIQFIKQIKQFKDVLQSRYEQFPTISNQNLLK YFCLNILNDYIEAFNINKCKAFDDDKYNTFQGQIYHKIFGINPAYFITELTSDLDVLITK KSSQAISILESLKINQSKAHQNNEINVFLPEYVIAFHRQLVESFLNNGKNKYYQQQNLAF LKIQDKVMLPIQIMVSINHTTTDKFSFIIFFYDSQEYRSYLAVDNQLEIINISSDIQDSL FFSETKQPQTSISKIIPNFQQIISSSQDIFLNQEIKLLKDYHNQQGFFFYNANVKIEKKF HNQFQCYLVELDNIRPISRKLREETSTVYRTSTSQFPCSTQKILNINSEVDYSCEKMIPY SDTDNRINPEGSLCVLQQDPQIIYNTEMNAMGTQQLMDYYKIQNMFEPLSQNRMIHSSRF SQDDDLKKQMNKIVHEEEINEIGSTSSITAIKKSKYYKKFEIISVLMKSEKQSKKIIQMN KFIALYISIILVGLIIFIVMIENLEQFIFDIQILSVRYDVVEPYESFYVSRFSQVNYREQ QAGGFINISQYNQLTVYPFATFELIFNHLRDSMYKVQQREEIDYLSTNQNISIYFLENAY QGEIRNVTIRSLISILINYQYDFKIGLTTKAVVFESPFFYFTAKNYLTIKQTFDGLNQIV LDATLQRSTLEQQKWLSVLLPFLIFSFLLSLVIISHYKDYISIMHKIFLYLMSLDSVIID DEKQRLQKHLNIISNEIKKIKTYDFDIEAIDIELEQSHPSKTRHLIKKEQKNVYLYNNDL KNCVIITSCHFILQLTFFLSIFLIIQRYLEKYEKTAVVYQQISDLGVDIPTIYAQREVLY RRTLRYFFLSDQEIEGIYQVLFKAYDKVEEFSKQNLDFTSDQYLFDQNAITFYKNVNEGN LCDFQTDQFKELSKTICPVVMNGNLQKGLSQILAYILQTIKSQQYETKNFTQLPTDTKLE LEGATILGNVMSTIVYNLYLTLLDSCQLLMFYTKLPCIAFLTFQSICFIFYTLFGNKRHK KQFQSIKQTIFLFPRQTLIFDEFFYRNFKSIIKDEGISQ >CAK78425 pep:novel supercontig:GCA_000165425.1:CT868307:216578:220153:-1 gene:GSPATT00013855001 transcript:CAK78425 MNIQGLTNKVIDARTNEFPNRVFKLIKPLGSGTEGAVYIAIAQGPGDNSKQFALKVQKQM KVNESNFIQQLIKYQQIYEKGNDKSQLPSNIIQIYEYFEWQQCHCIVMEVGQKSLYDQIT SNKIAQEEKEQVLIQITQPILFLHNKVKQIHRDIKPENYILVGDTFKLIDFGWVRGSNPA DLKTMQVGSLIFQAPEIIQNSNKYTDKIDIWSLACVFYEVLTSKSLIDGENFQQVTSQIT NHGKFPSYLNEKINKLQTSEKIKKLIINMLSFEEAKRPTIQQVYNELQSCLQEKAEILRI FERIKILEKNQKNLEQQFGNGIQQISEGEQELFDKQIESLKVELSQLNNKYQEMDKTNKN QQQFNLEFQNKMDTLIKSHLQQTQPQFDSLQKQLEGKYSELEIKITKQLLEKDEQIESQK KQIQQQINEKKQMEANLASALIKQQNQQKDFESKIQNKISNIENSIAEKLLSKDQLIVSL KQQVEQQSNEKKQMEERLNSAQIQLQNQQKDFESQIKNKINNIEGSISENLQLKNQLIVS QQNQSQQQSNENKPLEQRVNDFLTQLQKQQQEFEIKLEKSILNINGQQCKQQETIINPNI QNYIEDRLIEYFKKQIEEYKSQLENINQNLLENQKKYFDDQFLTIQQNNLNKIQECQQQN EIMHQNMYPSNEINNKNIAIQQQNFEESNLSNNQGLDKNYINNQQQDQDEQKQNNTETLK ENEIQSTNEQMISNQRSIENDAQQQCQEEQQQSIQESQLIDTQQQNTNEQRINNQGSKKN DDETIISSDNQNLQKDENDLQNKEGQIIHQINMQLQKFQQEQEIFKKHFNQQSQTLIQLE QNLQKFQQESFEKIAKQKESDQLNENLQKFYKESQAENDTKQKEYDELKLSFQKFQQESL AEKTAKQIEYDQLNENLKKFQKESEAKNVTKQKEYDDLQLSFQKFQQESMAEKTAKQIEY DQLNENLKKFQKESEAKNVTKQKEYDELKLSFQKFQQESLAEKTAKQIEYDQLNENLKKF QKESEAKIATKQKEYDDLKLSFQKFQQDSLVVKNQKQTEIDQLKKSNTDFEKQSQNLQAE LFKLRQENIVKEKNLTYLQEDYKSMQISLDQAKQPLNQVKDLIVYFKEKKNLLDFSTQHN VQFMELVGAINSTC >CAK78426 pep:novel supercontig:GCA_000165425.1:CT868307:220539:221709:1 gene:GSPATT00013856001 transcript:CAK78426 MRALLTICLVAAVFAADANKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFTQVIGDLTNVASLNKQQWESLGAVRGDVEAQIRDGYQWLSWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHADAIGVVQLLEQDVAGFLTNNAGVELVEKAETIADKLSA YSHLFQQDALQKFQSLAEVKRDGTTGEQVLQILQDLQAELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTGLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETARRQEENAIIDVVIQLFKDQVRSLASQTSLKRK >CAK78427 pep:novel supercontig:GCA_000165425.1:CT868307:221711:223207:-1 gene:GSPATT00013857001 transcript:CAK78427 MKKSQFLTPGLLIQIIKIIDQFQLRYLQNRITLALQLKVEFLGLYVSYIEKYPFLQTYLG ANIRNEKQEFNEMLNIIQDRQYQSLDNFNQIESQKNILELLQSNNANLKIKQELAWQYLQ FTHDLFNQYKWNMYDELNKHLVYSYLISDIDYVTKNELEYPSFWLKFDTLMLPPALVNQS TYFDQILKADRDQQKKLLSSYNKISNQLVIYLFEIRELLSQFAKSKLQIQIFPSQLNFNC IRQDNQKPYYLNTQHFEKFVEQNSKRYKETRQNISYFENYLEKNNKISLNIFDYYPYDQI SSGLILVQEKQREQNTKEFQEYWQNLYKQKYPTKGNIDSLQIKPASFKYLHSLNCTVNSS FEYLQHIIDPESMICRQCKEEVDDYGTLFYDITFYRFYQCLKGVLLSPYYMDIPGVYICP ISLRFIGAKNTFEIVSEILNQEGKVLLELYKLKLKFELEESVPAKFEDDDQDYEKMNEIR ERNQEQILNYSSDEQQII >CAK78428 pep:novel supercontig:GCA_000165425.1:CT868307:223524:225011:-1 gene:GSPATT00013858001 transcript:CAK78428 MNLYSPSNKKAVHINKEQLMNQRKNEIKRIASEGRHFRFKINEQDDEYYLNRIIALKAEN DIAYNDSKRQEISHIDEQIYKELNFIKNQIETVKNELKLCDSVSSGRNRSNNPSIISSKT LETMHQKLSHIYTNNSVNHTSKIRNFSNYVIEKQQNLSPKSQCDQKISNSYIKWKNKAKQ QGRKIENSNNVNIQQGQSNDYLFCLFSRLSNSSIIQLMGKNKIRVNQEDKCRNQCSYKTY TFKNNLITTFNEIDSFYSQNKFQKNFMIIGGKSTSKKKIVEQLIRSMILQLQSNVLSIKV SYAIHGSISKSDVFRQLPFNMQNQEVALYQQECHLQAPEVIFHQLQLLIQQSLTDPYYYI KQFFHDQLQMTQNPQIKKTLMNLINKDISCIKGYNIQLSTYNEKLQYNILTTNYLISSNN YSIFTGNSQSLLQNGQGHNFILECLEQMNNDETVRLFCINPLRYRINDSLHLLKMMIN >CAK78429 pep:novel supercontig:GCA_000165425.1:CT868307:225430:226685:1 gene:GSPATT00013859001 transcript:CAK78429 MLKVAVCTLLVLSITAIDIKASVWTSHDQKMFAQIKQSGWGNFILNFGELHLSTGGILSE LNTEIAKLIDELDVELAEVHHQYARRTDVHNREVARLEQEIQDKEREVFNAHDFYDNVLI PQRDRFAAQLEQLQDNIAQNRRTLNEATVQRANDHAEFEAQVAEHNEAISAIDESLGLLS QLSAPSLVQVQKVQKNLTKIQQSLKRHSTFQTFIKTLIEIAVEANFADQGALKEILVAFN NLRVQLVDSLNTITADEAEAQKDFEARVIQLNQEHAEFQRAVVVKTAEIEANATKIEQTL DLIDELHADLDTLNGQLQAENDDFAFATDVYNATVAEYNKELNAAHQALDLLNQPRFTDY VKSQLKGAF >CAK78430 pep:novel supercontig:GCA_000165425.1:CT868307:226697:229735:1 gene:GSPATT00013860001 transcript:CAK78430 MNMIVHHQLSSSLILNEEEMSNTSIDLQQEMQDSVQFENRDNFNIQFNRQSMMHESTELG DTINKQLIEEEQKQLLLNQITELRMNNQQLVEEIKDLHTAAVEQNEHLQAKNTEITELKL LIHEQKQQNRKADDTIQQLKQQIQDFEYNIFEKDETIVEFGEQIKKLEMKKQQLELSLKE SRNHVAAQKQQINSLLLNCSQINIDEIKTEIDNEQTKHLQSEIQLLQESYDQLLKEQEES ELRDNQKQEQLKKDSLQYQQTLVELQLLKHTLNQNTQEMLQYDELIEKNETTIQQLEDTI QSQNERYAQLSKKFYKSKLVVNELKHKVQDLMLLQQNDKAIILQQQNQINQTKKDLGKFR YQRDSLIEVQSKLLKSQYMDEEIPTKKQLDVKANEIQQLLNELNQKKDELTQEQQQHFKS QQQILEMKTNSSSLEQKQIRLEEELRSLNMIIIQQENKIRDYCQQNQDIKSQNLELLTRM SQFDKETTQIIKQFNQEIQSLKQNYNLHEQSYKELETVNLELNDQLNGQSELTQKKIQKL SEENNQQKILISQLQEKLLLINTRQVKEQFQQTYLKTKSISLQTDPIVDKLVIQNEEDKL RDVENTINFIINNLDTMKEKINLNQSNINELLGFKQIISNLILKQQGGVQENEQCQESTQ IHTPRSIEKKDNKEFECLNQQIHSLELQILEKVSMINELQLQLQKQEQQIENLKLKETEK HLLDIIVSDRQQLCECKLQQEYNKYVSDNQNFDRIKQLESLNIQLKIKLEAQQEIAKENL RLRKKIATLENQEMKQSSTSPQKIFNSKITLNTFQTNSTQKSIEKKRSHIGNQNCHSYQS GLKQQLIESDTIQSKRYVDMLKKCIEEQQMKLQQREDLMKQSFVLLNNLSTDLKKKLNLI NSEDLTKKKQVVTFIEKVQLQVDFVMKRLLQYRNTDCVSPTRLGKD >CAK78431 pep:novel supercontig:GCA_000165425.1:CT868307:229775:236559:1 gene:GSPATT00013861001 transcript:CAK78431 MLPFGYIVLQYLVFSDLNTSNLKNSRGVVQKSRSARNLWQNDQKSPRANVQQRKTRKKST FKFENVHDNIIQIQLASDESYEMDINEEIEIDKKAMSRGLQNEFSPDKRKTFRAKQFQIY KTLQEYMDEELIEEDREDIQEQTPTPIQRQNQKITPEEIIFQKNLQIPDIISEFTKLYES DPHNDNYNLQLLHVEGWEEIWLNHQFYKDAYILLWLRKLIVTFFNSISLICYKITTNIVS NFIMLLLVSINMVLYITKFYDDVYTQYKQLFDGWSLSNKCSIIIVFFWIYVVEHGIKIVG LGLVQFFKVFWNCYDLVNLILFGLYIYGSLSFDFSPLRAMRILIQLAKISSQLQKTLKAL TESLKNMMEASLVVLIFCIFFANVGVHLFSQLLQNRCFYIEDGIQDFNQNICGWVDCPNN MNCFQSLNNVDTATNFDNFFFAFAQIIRTITMDNWTDVMYYTMYTLSPMTWIYFVLVIFI VGFFAFNLIIAVLKTQYSQIVSNYQEDKEDLSNKQTYIEPLNLRFIKNIGLYNQIKTLQK VRKRASQQSLSQSHVSQNKSIDNQIFSPKGSQLIQKSKVISQFTGGFGQINKLQKMVRRA KTIKEKKKQQRLILSARQQKLLQKRENEEMGIMNSNEQSIFDKFNLKAILQPQRNFNIEQ SKYEQTVQGDIKGKKILMSLKYNDVLAWKLDLKYSPYHSTSKRDVISELKEILQKEKKLK EQYEKVKRQNLKQYYMLDIYDKQREKISQQLQSSDNGNPSIQRLYSIKYDINTKNRDQPI TSKLKLKFPIKSSRRIFGSELNMGDSNNEIPTNKSSITRKSYYDHLRKQRLFFQRSQTKM HRASSDAFMEFPEWQEGQSYITINGIKWNHDACSILINRKINELDEDDDNDDSDDDRILK LDWMDQNYEHYIQIRKKELEQGFIRRHNISMLNIMNNLTKNKLISILKSLNKHDYNIWIQ GFYGYWIVLQFKLHKLLEEKMVSIIFDLYTMVNFFILCSEGYLSSDLVDSINSVMTFLLL GEIVLKIIGYGIKDFSKMSSNNLDAFVIVLCLLQYFISITQPYWLEQYSSEIKVLRASKA FMLYRVIKYNKFVVRIMKIAQLTMKSYINITFLMFYVIFMYAIMGLQFFVNKFDESQRLA QLHSFNSIGKSWMTVFNTITNDDAVGMLKVATQYTDTWIGLLFYITMVFGLNYLIYGLVS AVLLDAFSSELEKGNQYEEKRERILQKLGIQENINQNGTQLDTLSSYKNEKDDNDDILND IKIQQQQFIKRQTFNSKKSIYQQVPETVKHIFSKQKSLEIQYYKDIECEYSLFIFQFDHN FRKFCYRTTKSVIFKFVLNSSICSSVATMIIISFDDSLPSLHTNNLNTFYEYHLFAVNLV ITITYILEIISQGMLLDQGAFCRDIWRFIDILYLISYYLSFMTLSPFLKFSLYLIYLRPL MMINMFQSIQGIKNAMSLSLVQILNIIGVILLVFLIFDVIGMHLYQNKMGYCEDLMNFYV NEFQCSQQNKTWVIHPYNFENILNGLLTLFLAASLDGWGEIMQVCFNANDSKYGPTPLNT QWATYLFFILFIFIGAMFFMGFLTGVLFTEFQKYTKQLENKYLTSDQSQFLKISELILQQ SPGYSNPPKTPLRRLCYKIVFSLWYHKFFIVVLCVNTIVLCLFYSDASYEYMSNLNKTYQ VLTGVFALDTIIKLLAYGPTRYFATNWRVIELSLSFIAVADFIYDSYWGWFTTFLGANQS DKYFTFYRILFCMRDIRILLIIQEFKGLLRLLRVLLFSMPLLFKILYIQVIAQTTYALIG KELFSHISNGSVIDDKYANFKNFINSALLMFKCTTGDDWRALLIDCSPQNYYCRSDSNQC GSQWSLPFFLSYYLFSNIIIMNLFVLALVDQFENFFNASTNAIQTFVENVDHFCNVWCKY TYETKGTKMHTRFIARFLLDLQEPLGALEGDNVWDAAKSASNFRIRSNKQGYVYFNELLY ETIRFAFKESVFKSGSLIGRSQMKQFDLAMKRKMRENNIKQESQLDEDYFQEEYISKHQN FNILSEYLNVLIALKTWEAYTVRLIEKTKKLDEYTEQTSSSDTEQKLSMSIVPSVQNAFQ NRLGQTIELDTHRISRDYCHLNIYKETNFYQYQQHCILLEPFSKQSQHETPLQKSPSTFL ENKRQPNPKKTLIKRQSSLFKQNVQSFKDVLEKVF >CAK78432 pep:novel supercontig:GCA_000165425.1:CT868307:236567:237594:-1 gene:GSPATT00013862001 transcript:CAK78432 MQIDEVVWQVINRGHCSFKIKTISQNFCRNEYNVTGLCSKQSCPLANSQYATIKEEKGLC YLYVKTAERAQKPKELWEKILLSKNYEQALAQIDEQLKYWSNFMIHKNKQRLTKLRQMLI RIRKMRLKGFKELIPIKKKAERRDKIREQKALVAANLENAIEQELIDRLKNGVYNEIMNY NTKAFEKVVGQNQVEDEEEREQQEQEEEEEDYSDEELIFDPNDLEEDDEEDQEQDDEEDD KRLFQKQTFNQLRRDDDEVKDVKKKKPKVKLQYEEEEEVEDRKKQQIAF >CAK78433 pep:novel supercontig:GCA_000165425.1:CT868307:237653:241493:-1 gene:GSPATT00013863001 transcript:CAK78433 MLNEALLDILFAQFEDVNEMNQRDKSSLDLNFAMRYFKDILDCDALIAGFRPQGQYEETS DDEEEEEEASEVEQKRIGQRQNLRINEPNLQNFNLSSDTDQNQHLRNYSQLRTFLRQIDL RNSLSSGQTNNTRQSQNVKYPVYAFSDFYYAASEDVKMIYDKVINDILYKYKKSKSFDSD YYDSLMQNFSVLTDQQIREHLQLNKDSEIILDSFKKQKCLKSLEKRLSLYTKLQNESKDN YTILCQEEIVENPYGVIQSFHNPLLTSKYFKELNDLINKKHKKIKLEEGINYRKEIQERM KNCVQNTTENNNNVYPLEENREFQQQIQIDQDPKKNQMELEAQIRQKDSENLKRFFTNKF KLFENEQQELFNAEVQNQKQQRILNKESFLYQCPQQDINALKCQGQTDEPGMGLMQRIQQ QLEDEKKLNEQQNQQNQQPDESFKQAEIDKKEQEFQKALDNYSYYNKEIVEFCMGQKDNF LSKSFEAYNRVEIDMQNRQFFSEKLEVLLHQKKFPEKVGTQQQLANRLAAPSIVSRQKDN RSAVSHVNLLSVEDQQESYDLSQFEDGIQQQQDFNQQFGNEDGGNKELLNLIESKMKAFQ TTPFYNHSQVAESFLLNDFSQVLTKHARYHRNNIVDNLYEEKREFLGLEREQVKYFDPWT TELVPLVNIKEQKKDNREILLEESTEKQNSHEYYKQVENLSLSKGNFILMEYIEQRPLLL NNTGMCSKVTRYVYPSRALLQFNQKRIKDEGDNYNNFQENSLQGTYRSTYGQMGQISNEE MTLWEFKNMLVEQLGNIGQIRFLDKNGTIPLQGQLTDERFIGIAVIENELYRAPIFKQKL PATDFLLVRTRVDNCHYKYTLRPIDCIYLVGQIEPKVEIFTPHSRPLGTFLKNCLKTYIK KQFKMDQEVKQDELEQIFQKQSHTNIRSALKECKGIQDSSNQKTWRHQRGSNDDIQVLKY DITANQVCLYESMLHSSYLLQEFGLKELKNSDKMKKTLQEYLSRNPQDFNSWVIARRIED ELNMTAWSLSQSFLHSANQTGRMLLYGIGDPTSGHGGLNYIKKPLKARGEKGQNAIQEKK PQVMGTENDLRKLHVEDIQNISRKLGLTTEPNLKRWRMINKLTAFLQQSQNPDDKESRDA VMFRKNLELLDEKQRKQLNVIEKYAKNQRQTTKKQKEQYQKELDKQLAKLIQNLTIKVQG KYFEDFEEVKKKRTKRDLDKDDKNKDLEYNDEDIKPFLIVDQENYKLRIEAFQKKEKKIW LEKKKKKGLQ >CAK78434 pep:novel supercontig:GCA_000165425.1:CT868307:241885:245876:1 gene:GSPATT00013864001 transcript:CAK78434 MEIALPLQLFIISSSLSTIIISKQRKEFQLISYMIIAQTRDSSNSNLSSLINQLDVSNII YSHQDVTFIISIIQFALSLFVTMIVIILLYKRNHNKAEQIQFSTLGNVIISFIQIFIRSI RIIYWILCYLLLLVSTYNVMIAYDLTQFLSMFVIIFVIFFTFFHDFVLLNDNFNKQDFLN IAQPKPYIFTTWIKLVQCGFSSYFYKNQTSHMIYLLISFALSFLNYIQLQYFMVIFGNQS SLFWIKLRIVLIQYLINIDDPYTLTTILIIINLVVVHNSFRKWYLEHQFTSCKDVSNRVL LLHWFRELQSSNVYYMGIIIKHYNHPNNKKCFLRQKAIFYSGKKFAKYTNITTKKHNIQK HKGLFVKFYIKCLLETQLKQQPNSSELRLLYAEILFYKFSLINESFRQIQKIKSNFNFNQ QLRIIQLKLSIHKKLKENSSLSYRSKLPFENMLKCEEQMKDFVKAFLKITELQIIFWKGQ LGQFISIQNQINISQEILNEIQICQANWKSIHFINPIDEQNMFIKFRWRFFYLFFKLYIL HKKLKVQELKELPDQILKSQQIFQDEKIDERSSSEDETQGKVYYQTNSIISSNNLFFRVD KFGEIITVSQSSLQMLGRHQNEYRGARVEILMPDIIKENHNYFLKLFYKTGQSENLYKRR SILVKHSKGHCFKAQKYLKYLFNLEQNRFEYFSMLRKVSSRSQYIILNSKWEIDSSSEFI YQIFGEFKLPFLSLCPKAISYTEFAQYLTTYDMKILSLKLCSFGSRERIQDRKFTFRRQN DQTFSNKSIHEFTSLVFKRELEEKQKQNVVKVFQQVLEEDNEIDGAAKLNKLSHIKLNIR VPVYKAEFQEDYNKYDTQMNNIFYSAESLKRLIFRNGNGKIRVDKFEFLQRYRWVKDQRM KFIYSKIKYLFEHYCQEQVLEKVLKVDANLKFYKTLNNTSFYIVKINRLEVYDETTNNND KQDMQFFNSNSFKPYTSALVQEVALITQSEAYTLKNQSGYQYSDMEFVTNRDNNFKPLLI GHALREDFLEQGSNTIYSLNEQNNPFIKEEINKISKRNHKLSLLVFLNRCLFFIEILLIC FTYFFCSFYYNPLTLHNSVILLGHVYQISLITVQSYNYIIDVGLMNENKLTSLLIVNPTT KFNTTGQFLQFVQTEIEEEYTFIYNIYTDYDFVSMVYSGFYINNSDVSGLDIFDQYQLNL LQFNFSEKGSINLNDTIILHFRDYMVPYGQQLMQDSIVSRINEAILYQTQSIDNLILFMI FLFSVLMINSVFSLVIKYQFRKRCQYYLQYCCQYTKG >CAK78435 pep:novel supercontig:GCA_000165425.1:CT868307:245924:247030:1 gene:GSPATT00013865001 transcript:CAK78435 MLYLKKNNMDTKKNLCMLQMQILQCIYKDKLRQQDFEIIEVEKANQKRNKARVIRLPKYQ LNTIIALVFYLAIILVLFILVYYFIWMNQMQTKQIFLDNNYLAQTNYFWIITLLKEKFIY DNYLNSSFYPNITQFRFQEFLDDMAVTSPLLYQTTLSDIQRIFDETLCDYYSYDESATYI FYEQFCPEILNGALKRGLKQFNILLSQVVIKALNPYDERYEDFKIEDLAQYQISFQIIYE IFQKSFQIWASNAEDILSKTSDLSLVSFIIQLLVIIVLYFGLLEYYLFNKLQKDFDFSKN YYRYFMLNQTMNQVKTMRVDMIRTGLLSK >CAK78436 pep:novel supercontig:GCA_000165425.1:CT868307:247123:248130:-1 gene:GSPATT00013866001 transcript:CAK78436 MNMIYVNQAQKPKQSITKRSSSGTNRSPFIKTHQQQPQYEPIPTRQEQIYKKTCDDLRQK IKTFNQLQRCNIILFGPSGSGKSSLIRTFNTSLNQTQTLPEALCIKDLLHNEGTKHFQSI NLLQSTKNQIFSKMNSHLIQNCQINILDTRGQIHLSSKENKQVELMLEGKVKNMSLVEQR TYRYAYKLWEFWKQDCELFPQEIISCGTYIPHQVLIVLDGSLDKVPNGKEETEFYQQILS LVKQKGYDNPFIILTQLDKLEYKFRHHDDYQLYVDYRIESIVQSLKIQRESVFFIENYHG QQQSSELDYQALRLLYESLQQASKYQIQNVECKFF >CAK78437 pep:novel supercontig:GCA_000165425.1:CT868307:248741:249433:1 gene:GSPATT00013867001 transcript:CAK78437 MNNNQSVPDIGEQEDDLQTIKKTENEDFILSYNQCTEQSSSFGRKLNQQLWQEFYKQELI YQKRKNPQANHNELTSLISKKWKSKKQDKKTVNKLKLKIKLESIADFAAQTKNNKQIAIL NKEEQKDDLAEKLKTSTFKLIYLDNICELKGEAILEAIKGNLQLIYINKSNDIEQLDISN QDKSKSTKSCPEPQEQKESFVMSSKDSENEPVNDENESYFEIANNIMNYL >CAK78438 pep:novel supercontig:GCA_000165425.1:CT868307:249680:252467:1 gene:GSPATT00013868001 transcript:CAK78438 MDQQESARSINISSSRYSDKFQPQLIHMNQKQIQKNKDDSQEFSPIYEKSYDDIVQEKPQ RQTRGNFKKSLNYHSTSINPLEKVQNIRGNKIVQLIKQKNLLLKFKERLFQLGHIHPRNP NEKLSHFLEEQYIHQNHHHTRFSQQEQKFEQGIIEQYQSVENVQQGIKIPIINPTGKFYL FWQLLRLIQIMFLLWWVPFKISFNPPKMTSMSYIENMLIYLFAADLLIKLNKGMIDQGQI VYDRFRILKHYVIYELYEDAIYLITLTLVIGGDPIAISFFPEVIVLIQFTFNFIKLKKTI NRYGEMFAIQSTFTELVSLSQLIILIFYFAHFMACIWYYVGNISQESFSNSWIQQQHLEY SPLFHKYGYSYYWATATMVTVGYGDVTPQNIYEVICAIIMIFFASVVFAFSINAIGVIFS NIDLQKQYYKRNLVLINQYMNSNEVSLQLQSRVRNYLKYFYEQQVKGNNTEINSIIEKLS YNLKQELLEDVQIRAVTCVDFFTKNFQSSTIQEIACRMNIQQFTPREIIFQQNSVDEHSV YIIQKGEIQLIDDKSGKIVSKLIKGQCFGEIEFLTTQKRQYKAISTTFSSIYRITREMFL DIISENSIDFEKYCEMKDKVIFQYEDLPIKCFGCDGDHLLSNCHYLTYKPDKEFLIKRQL YHSRQQRSEFQRKKNRYLRIFKQNEEHEQPQKLSNKQIEHELSLLSQESMHKQESNLTGS EHQNNLYNNEEVAHQIKKRISLWITNPDQPFIEQQKSLQKTNRHNSETPTHKPTRKSLFS SSQDQYSKRHMSNQLLQVNEQQQLMSSSNNSQTKTYKQQHFNQLENLQSYHTFNYELDKI ESYQIYFPQNNIQNVISTFQKQQKLSKFTKISLASNKYRFIYVQKVPIQSLTKKTILNVN SQI >CAK78439 pep:novel supercontig:GCA_000165425.1:CT868307:252550:254978:1 gene:GSPATT00013869001 transcript:CAK78439 MSFLNFELKQNTHDYEKDKLGPDKTSNMINPKQGSNSEIQFYSELSVIDSSRPVQQSSLI RPLAQIKKESSGRQLKFNNSQKTVKIEQSGNKISNFRKVIHQNEETIKVVQTKFLNKLLE AANIWRKDIFDYNKEVINNIFSYDTKEQNNGEIINSHQGILSLKIEVLLPTQLFVKIWDL MAIIIIAITLWFSSFVATFDLFQNNFYKIVIYIFITFFFIDAFIICHKAIILQGELMLDK RIIIQQYIKGHIYGDIINCLIWIIQLSHIDDMHARQLLSLIQTVFIIIKLYSRLNNYIDC LYMSGSLSELIDLIILINYIFFCVHIFACYWTYIGFATEDEGINWLIKNSVENKGHWVQY NTAMYWATMTMVTVGYGDVTAGNQYEILYSNFAMFISSLVFAYSMNSIGIIIKNIQVSSS FYKKNLILMNTYMKNNLVSDSIQNRVRNFLKYQAENNQQANTQDIHNIIDDFPPLLQDEL KQDIKLRILKQIKLFDIFSEKIKYAVANKLEYGSCIPNDVLFDNQINNDNSLYFIEKGEV IIQESVTKKQLETFKSGDCFGQYQFFTNSSLPIRAVSQTFTQIYKISRSDFLKVLNTSSI DFETYHNIKDKLLHSQEMSIINQKCNICSSFTHINVFCPKISYRPDLERLIKSEYFLKQE RRQITRIDRQKINSLGDYENILISVNEYQKQEFNLQTQNNNEKTSQDQSDSQLHQNKKNN QSSLQQLARQQSDQCKLIQLYYLREFHHLKFLRIIVSQTTIKIVFYLKVQRNIKS >CAK78440 pep:novel supercontig:GCA_000165425.1:CT868307:255473:258341:1 gene:GSPATT00013870001 transcript:CAK78440 MSRFYNSNNDENLSSKIIIEGATPHVDPKILSNSDIQFQSDISNMESMRSINQETKKKIQ LIQKNSQIFSLKFQVTVLFQHKQPALVNSKKQIQHSLFKIDNNQDGKLKQVLNKQMLKKF KDNLLQNAHILSQKVLQEKKPLLNYLFLDYVTQDDRQLKKNKLINNIEKLLFCQVFLPQD NFVKYWNLFSILFSFFILWFSPFIISFQLLQSSSVQQTIVFIFIFLLVDGLITCNKAYLQ QGELVISRRQIINNYLRNQVLSDFLNLFIWILMYNGIEDFQIIQILSIFQIIIIINTVYK KINNFVDYLYLNGNLSEVLDLAFLIISLYYFVHIIGCLWHYLAVLGEQLNQVSWIQKYSL QDQSSLVKYDYAVYWATMTMVTVGYGDITASNPIEIVFSNFTMFISSFVFAYSMNSIGII IKNLYDVRNLYKRQLILINAYMKNNLVEDSISNRVRNYLKNQVDHESKNNQIEAQQIIDN LPHGLKDDVNINIKTRILSNMKLLVSNFSKQTQSQIIHKLEQVSFIPNDIIYDPEGLNLD YYYIDQGSVSLVEVRTQKIVQEYKEGDTLGEHSFISGLPQKFKLISTSFCQLYRISRQDL LKVLQNNQKDKEIFYSLQDQLLYLSDFSLINKKCNFCHKFDHQNSDCFIISYKPNLEKII KMQEFLIQPRSQLNRNARQKLKVVNQIQGIQNSIQQFQQSDIISNQNDSYTIMSGTKRIK QYSTHRQSDNKIEQPESSSVSDQSPDVQIDKMAYRKPSSNFTGKFNIQNLQSIENKDAQR KISIAILKEQTLNPEHPSSQIIQLRSIKNLSNPAILEYEEYLKQIKFSGFEIDKGYEFQN YLPQNNLQTVILNYNKQKVKFIPIYQKSMNKSLKYTFYYKIAKLACQMRMIAAPITRRLL KGHQIKKHLNDS >CAK78441 pep:novel supercontig:GCA_000165425.1:CT868307:258648:259220:1 gene:GSPATT00013871001 transcript:CAK78441 MFTPPNFAAHSALHKISKIMARIFQLQEKLKIENTVEIPKSNQKINKTLKNIYLMNLEDE TIILAFYFVDQITKKLRIFLNLENSTGILIGAIIIADKILNDYPRNIDKYQLASGLKKQQ LIEIEIQFLEILDYNLYVTEENFEKYQKRVQEY >CAK78442 pep:novel supercontig:GCA_000165425.1:CT868307:260143:263733:1 gene:GSPATT00013872001 transcript:CAK78442 MNQTNSKLERQLISPKQQGQLPSLYYDYSARKKQKLKTYFRQEKCISISPNKQIIKTQRD LLKKIESERNSEVYSFEDEVSRSARFQRKSRLHQRNKIFNHPIINNQPLYTDTQNETETP YFKDKDSSISKSDDENEEKNENKIDLKLEGETGKALKQISHKINQELNNSFYHFIKKSEK MKDLILNFQQKDNLSLIHYYCLNDSLFPKRIELSKQDVKEINLSDLGLTPKYIPLIRNLL NSKRDKNIKSIDISQNKINEWSLKLLIDVFPLKVKTINLSLNQLNRRGAVLLSEHLKSFE NLRFLNLKGNQIGDTGTATILETLRSSLRIKKLNLSDNAISDAICNDLKEFIMKNQSIQV LSLNWNQLGPVVGLSIAKGLNYNKSIRVVDLSYNKIGQSDNNYECIKEWCSLLSNTYTEL IHLDLSYNQFNEKQLQLINYSILKNPRLYGLHVEGNKCLAHVDPLGFIQFPQQIKGKQSM QPKHQNIDGVNFIPMQNENENGSDCCWICQGWMEYHFVYEPEPEESKTDSIYLHLDFLDF KPISMTTSKELWKQISSKINNNAVLEDITTGEIIHQLKHAFSAGKIITMTAINDAYTEDK KMKDDMKSILSELNSKFFFTSYQMCPPNQKILYFFSSPTGKGIFINPRFPIIQLQTAENI ELIMDKEHVFFYPDGSQISLSQVEQVNYFQTKQMLVIDQKNQYKPLVKVIPRCLENKYFL KRFAVDASKQKSNLIYWQKEQSAFKSYQGDTEDVLDECFQFDWNCMGIQHFLKSEQEIEK VIQIMRFHYPKLKDVYKYYSSFGYNANIQLNGYQVETFYIPLELIYELTQELLPQGISIE DLQSQVKQIKYNCDSKFIYNPEKGFVRYQFIEFIFRIALLVTRLKQKPKLIVDEVYRTFQ VLTERFAAFDNQQKWREERLWTKECGNLIYIKQGFIQRLHDYAASIKNKKWSFKLKWIAL TEFLDFCNQMGFQQFLSETQLKIIYNFSMQTHQDELTQDRHLRMSTVEFTEALARIAEFI SPNGNETDNAATNRLILPLHIKLENLLTHIYITLRILKVNNFESFSIYFSYNSNEILPKP TELLLDQYECTTQELRIYNALSYLKMFKTPYLHPNYKLFLEIPFQQVQILKSKRSMIERQ MTQKQKLLKLKATLQLL >CAK78443 pep:novel supercontig:GCA_000165425.1:CT868307:263773:267463:1 gene:GSPATT00013873001 transcript:CAK78443 MKNSVERSKCSLPRLKDYSKEKAEKLKSFFRSNRSISENKIIQSKLKTSKLLYNNEFSDD NNVMAYYMKSSEKLIEMRQIINSTSVISDQEETKRKNYPLGLLKHSFSPFKQMKTDSLVE QFRDEEPKDINEMDQQSFQKEQSQNEDLDYDMLSEESSYDEPTRFDGVELQQEIKKIQHK LHQEIDQSYFYFIKRQDRLKDLAINFNKKNVDPINFYCLNDNIFPKRIDLSNCLVNNKEM HFSDLGLTEKYFPLIKNLLQSVKSRAVKQLFLCNNQLDEKSLLYLIEGFPMALKEINLGN NVLGKRGASIIANHISKFSNLKTLNLQNNLLGDKGGSILLSNIQKNMTIKRLNLSENQIT DSCSTVFYQFLLQNSFIENLVLNWNQLGPITGTMIAKGLQQNRSVKVLDLSYNHLGQNER SNCIQCWCEAINNPQFSLVHLDISYNQLSEKQLRQFSQALLKNNGLYGLHIEGNKCSAKV DPYGFIQFTNDKEEFKVVQQKKNVIDGVNYIPMQGDSVFGNDCCWICQGWMEYRFQYNPE NDSNQDPIFIHLDFLDYQPIPMTSSYELRQQLIEASKRKSSYQDLTTGEIIHQLKQLVNN EKRITLAAITEAYTEETSKKSEEITKQMIQELSRFYYTTYQMCPPKKKILYFFSNPIREE YFVDPRVMTMPAPLDNLILQGKDPKHSIHIFQDGTKLAFKKIQYVNYIYSRQEYIIDDKD NYKPLIKVFPRSSQKKYVLRRFANFLVRKQAHLIKWNKEDSIFRPYIGDTEELLNDCFEY DWSSSKISRFVKSDYEKLKLREYFRSNYQLLKDVYKFYSSLGYQPPNFDVFCIQIPQYMK LVNKLAIIDGDLLKQQDVEIDIVSLKNNTDPKFIYNPDKALVRYQFLEMFFRIANDKYIR NDIYKSYADALFRLLKEFKEQYEYFDTIQEWRTQRLWSRECDHLLQIKMPFVKKLYDYVT DIANRKWYFKLKWISIREFKEFCRQFNLHEYLSEKQQVIIYNFSMMTQVDELTLDRNIRM TFIEFVEALGRIAERISAAPITDVADNYTLLQRQLLPLHIKLETLLTYLYYQMKQKDIEY EQFCDLFVYFKPDHSPKISMPKVDKTKKPEKDHDYHNVISSFTLYNAVSYLNQNKLPYLN PKYKQFLKQNVIQTAPIRPFQHIFQSDRIRKPRAMPDPYILEQRSNQLQQNKQQSPNMQT TLSQNA >CAK78444 pep:novel supercontig:GCA_000165425.1:CT868307:267497:268243:-1 gene:GSPATT00013874001 transcript:CAK78444 MQEFQGLQQDQQEDQEVISFSFLEIKLPNSILSQSQAIIITQYGPASIFIDQHLQKSQEI GQVIQTTSNPLKLATIKQIDQLLVISFQKDIRYYYYQEYNLLKELEKNGLKQQPIYILMN VPSSALERYSDENSQLRQLNHNIESSVKQLEDPEELEGYFGDLFYSLKNLKSIAYILVQT NYDTTLELIQKYDEIRKHLPQIPNSVNKEFIKEQLKKYAKSLNRDNVHI >CAK78445 pep:novel supercontig:GCA_000165425.1:CT868307:268311:270090:1 gene:GSPATT00013875001 transcript:CAK78445 MKQQFDQSDELHTKLMALSNLQPQKTEKLIGEVEKPNNIPPLPDGFKVEPPQFDTSKRLN QGPKSWDLPQELYKKHLPKYNIGTIVRIQELLNAGDSYIGQTVTIAGWAKTVRAQKNLCF VELNDGTSFAGLQIVISEKIKNFADIIKTNVGFSLKMTGSVVQSPAKGQLIEMLVDDNLK HEVIIVGCADPQEYPMAKGTQKPETLRQKAHLRPRSNFFGAVTRIRNNLAYATHVFFQNN GCLYIHTPVITGSDCEGAGDMFKVTTIFDNDLQKVPQLNGKVDVTQDFFKKETKLTVSGQ LQVENFCVSMSNVYTFGPTFRAEKAHTHRHLAEFWMIEPEFAFADLFDNMEAAEGYVKFC INYILANNYDDLEFLNKRVKQGLIDYLKDIVSKEFIKCSYTQGIEILLNAQQAGAKFENS KIEWGMDLNSEHERFLAEKVFQRPVFIYDYPKEIKAFYMKVAEDGKCVRAMDMLVPQVGE LIGGSQREERYDVLAQRITECGLKLEVYRPYLDLRRYGTVPHSGFGLGFERLVMMITGVE NIRDVIPFPRYHGSADW >CAK78446 pep:novel supercontig:GCA_000165425.1:CT868307:270167:271240:-1 gene:GSPATT00013876001 transcript:CAK78446 MPTLQTSGDVRLQNTNYYYQVFDKYGPSLKLCFQFVNHEFTLPTICMIAIQTLTILEKLH SQSIVHCNLRPKKILTQLGKHDLVLIDFQHSTKYKHKNGKFIGYPSKFSNLNKLTKYSSL NQHLGLTASPKDDLESLGFILMYFLKKGDLFKIKEHGSKIKKMEEQKLRMIPEKYCKDMP IEILQYFQFIRMTNVQQYPLGDYEFLKKLFRNLLQQLNVNEKDFQYDWIKKMNLQQQFQQ PQKESTCQDQTQTKIVIHQPQNLEGIQEVQTEQERSSIKREFYRQISSLCISDEEDKQFE SVSTKMLHLPNMFDLIKLKS >CAK78447 pep:novel supercontig:GCA_000165425.1:CT868307:271272:271580:-1 gene:GSPATT00013877001 transcript:CAK78447 MSETKSKDSYQKQNLIIIGQHYVLKTCIYRGKKHNWYLAINQAQPGNYFIVRLFIYLIGK HKHRQFTRGRKTPEKAGQW >CAK78448 pep:novel supercontig:GCA_000165425.1:CT868307:271596:272407:1 gene:GSPATT00013878001 transcript:CAK78448 MKSLQDIHKKNQQQRATFTLMNHTNNRTQMGWFTPQPSNDLQSPFGNTLSQFSQQGSEHN IIHNTRGNMHSWSSNLERQIQSREIARQVRKQNAQQSARQEKHFFGAINGIPKINSPKTQ ANQTTTMFQPNLIRVDPKIKRQLSEMSKRNFLHFLLVQKVGHKDEKQFYEYLKKTYNFEP PQPFEEQQIKVSKKGIKYLFTKEDDDETIKKTSQQILRMHKLHQNREIPLDRYVQDTYEQ QLRRFPKVREKLEQSKKSNLEQ >CAK78449 pep:novel supercontig:GCA_000165425.1:CT868307:272443:273024:-1 gene:GSPATT00013879001 transcript:CAK78449 MLIFAGIDTTSNLFGACCYALSIHPEWQIKLREEVQKQYSTYEQLNSDNINVSNQITNFI NECMRMYTPVPYFIEREVKQDHKVGDYFLKKNSEVSLCLFPNNYDSKNYKDPFTFNPDRW NQQGIDPFVFLPFSIGKRNCIGQHMALMELKCLLVYLLMNFEVNSVGEKQVWTFKFVYTI QNEKFIKLKRIGK >CAK78450 pep:novel supercontig:GCA_000165425.1:CT868307:273085:273977:-1 gene:GSPATT00013880001 transcript:CAK78450 MFQFTILEIILYLVLLLVLLILKPLFLMLIMKIKYGKKMHLMYFPLLGRIIEFSRGIKKH NDLLHFEKMIPQQHPGVEIVVSNTFLGLTHVFFKTEHIKFILNNQDLYKKTSKVLFGEKM LYKGLAFQDGEDWRLARNVLAKSFEFEQLRARIPLIKRLCEEKFSKVPEDEPIDIINISG NIVGEVITQSFFSQSFKDLNGKTFLSELVDVMVGIISQYREAPIRSMSRTFIFGTKDYPN WTISKVEQRLLARLQKVKDILSDSIKERQSMENKPADFLTAYINTICIK >CAK78451 pep:novel supercontig:GCA_000165425.1:CT868307:274173:274442:1 gene:GSPATT00013881001 transcript:CAK78451 MESMKQAKEQLQDKELNNNRTMRSISDKIDDFFGWQHNYKQDSLVRGIIHGCYHGLWGVL KYMASNTVGSQREFKRAKDQFQRNGRARE >CAK78452 pep:novel supercontig:GCA_000165425.1:CT868307:274460:275308:-1 gene:GSPATT00013882001 transcript:CAK78452 MKLLVLILIACLVIAQAQEDLKQKETTQQESENLKDEINASNVAEGKSKSRTKEEIIKER DTLQTLLKTLNYSCIVLARMQLSRYKSELMKVIESHQTKQEQSIVWKKIYTSYVSQCQKS ITYDDSVKIFSQIQSKEFKFDDYRFIYDNMSLEDYSNDKVDMHITQAEEKIWQYIQDFEK AMDSKDEEDDDSTLKRNFDLEPKVFGFSLKSVKSLQYIMFFLFVSFILFLGYIAFKKLPK QYEDQKKKRRN >CAK78453 pep:novel supercontig:GCA_000165425.1:CT868307:275490:277040:1 gene:GSPATT00013883001 transcript:CAK78453 MSHNFDDGSVNNLLKEIDDLINRMGDQNESHKSDNSFKDQLRQTGRFVLENEQPCDVPKK FSTSIPKKQNNSQQKRVTIVAEKKSSSSSSSSSDEEYQVNKKKFKKDKQKQQSKYSPCKA KQAGSQCSYRSKAKSNLKCETQSQLSQVKTQQNQLLVQPVKDVFCLICEEFIPIDIVNIH MADCQQITNSGNENQMLKLKLENYKKLLSLRFEAAFDENVKQLIELLYNCTQQILDVSDQ YQLSQTIEDLCILKTQLNQNTKAYHVLTVAQKIQEIANRKMQVLISEQEKHSEIREPSTI SYQNKINIQKQEQLVDRQTIISYATNTTSRKRLFESPSFQHMPKQLVKMSSINAKPISQV QNQNKSPVKQEQMNQKTGIEFGNNYDSSKKRSYIQLSNSKNLSQVHTIQNHQENNRESEP TVISKIQSYISNVKGKFSNENEQIKKKFFLQACKCKDKFPVNHPAQNVLISEMFNQSQRQ QIPENEWEVWIYNVLQNA >CAK78454 pep:novel supercontig:GCA_000165425.1:CT868307:277125:278439:-1 gene:GSPATT00013884001 transcript:CAK78454 MQQYKKTQYKLILVLVGLPARGKTHISYKLNRYLNWIGYKSEIFSIKGAQQNNDGFDQKI DYELEIDPANQQFVEVRQQLSQIAAQQLVQFLQNDGDIALYNGLTSTKADRQKLKSLFKE TLKLEYQTFWVESICDDPQVILNNFNESKLSRFQDKTIESFTNHINVLAKDYQSLENIEN LSYIKLINIGKLVKVHMLEGYLCSKIVSFLLNLHANNRQIYLVRSCQTEYHLLDKIGGDP ELSATGRQHSQQLGDYFIEELKGNKNITFFSSQMKRGIQTAEIVGEKLGIKALKTKNLDE IDYGICDGLTVKEIAAKYPKQIKERKANPLEFKYPRGESFLDVIHRVEPIIYEIERSREP VLIIAHVAVLKCLYAYYHCNQISEIPNIDIPINCVIKLVPIPYHCLESRVIIK >CAK78455 pep:novel supercontig:GCA_000165425.1:CT868307:278509:279037:-1 gene:GSPATT00013885001 transcript:CAK78455 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVDIKEEKKDQKKQQPKEQKEQAQPQQ KGKPQQGGDKKKAQGKKQQ >CAK78456 pep:novel supercontig:GCA_000165425.1:CT868307:279127:281828:-1 gene:GSPATT00013886001 transcript:CAK78456 MTDDMEQTLYNEFKIPGSSRMSDTFRPQLIQMDMQDIPFKENSDYDSIPQMDSLQNIRFR NASNSNNQGKDVITSKTFHHQIDKIRVYKSTGMLKMLLVNNHVNSFIEKLKKRAYIYPKH KSKQITEHLPEFFAKIKKNWRKSKQLQQQDDNPKNTISKKLPVFNPASKFMIFWEFCRAI QLTILLWWLPYKIAFNPSSNSSIEAFESSLTYLFGADLAIKFNRGIFDQGKLIKKRVHII KSYIKNELYEDVIYFITLIFVISDIGINTYSFQEVIVLVQFGLNFIKLKKYLNKYEETFV ESTQLTEVLNLIQLIIITFYFAHFMACVWHYVGVKSAQSEQISWTQDPQFDNSNTLQMYV YSFYWATTTMVTVGYGDISGKNIYEVLCAIILMIFSSGIFAFSMNQIGSIFTNMDAQKQQ YKRTLLLINQYMNNNQVEEQLQGRIRNYLKYHFHKQEKLYKNEISGIIDKLPSNLKQELI QDVQFRVMQCIPFFNKNFSEEILPQIACELNLQSYTPREIIYQQNQLDECCIYIVWKGEV NLIDDNSGKILRRFTTGQCFGEIEFLTNKRRQGTAISCDFSQIYYLSRVQFLKILNSYNN DFQQFHQLKDSILFQYQSSPLECYCCQEAHCIWKCPYIHYKPDLERVIKQEFVKETYQDR SHFKRKGDRLKVFRQDSIYQSTRHIRTSNEIEISQPVASGIQQVGSISESIESGDDSIKY EKKSDQVLTLSYCYPNDNKRTTVKLRPLVLSPVQPAESPKARPAEILRYGQKQKKSYFFP DSAMVNNNAVIKRRESVKRNSSIVYTQNFNKQSSGYEVDVNQEPFQIMDGLDKLILYGNY FPDGNHNKVIEGLLRCQKKCRLLRKHMVPSKYAFVRLQKFERSKTHGKKINL >CAK78457 pep:novel supercontig:GCA_000165425.1:CT868307:281916:284578:-1 gene:GSPATT00013887001 transcript:CAK78457 MQDNRESYDSIDFCSCKLYLLFSKVSPKPSDSFRPQQIKPDFSNAPIKSNTGSIGSVQFP SAEIHQLQRPPFNPRGSIHVKKINKNNEWALINTKKDAIEPQKLQKSTKLSKMFYKEALI RRFIDRLRKQAYILPTIVPNKMKNFLKENYIENKRISNQQKIILTKSQQQQTLSTLNPTG QLMLYWNLFRAMQLMFLMWWLPFKVAFKPNYSFETIETILIYIFVFDVLLKLNQGYIKEG EFIMNRAEILKHYILNELIEDTIYITTQLFIIYEIMLNISIFYELFVFLQFLMNFIKLKR KIQKFEETFATKTSYTQFADLVQLIITLFYFAHFMACIWYYIGVESLKSFDSSWTTQTQF KLSDSGSYYLYSIYWATATMVTVGYGDVSAQNNHEILCATILMIFSTGMFAFAINQIGEI FNNIDAEKQNYKRAILLINDYMQKNQVDQQVQSRIRNYLQYQEQIKLETSKEQLDLILQK LPPNIFADLKTNIQSKIMQEISFYKSNFSKNVIPFISQSLQLQSLTPKEIIYQQGQLDDC SLFTVWKGEVLIVETQSGKIVATLTKGQSFGEVEFLTQQNRQFTAISKDLSQVLKISREV FLKIIKYSDYDFEQFHKLKDQYMFGKIDQISTCYCCSEAHFILDCSICQYKPNLEILIRK QRVQEEVSNRQKFKRSKEKKAFCQFQTLKSNSELIEDEHSGLNGSSSFKDVQPERSPSRQ HKTRIINLKNFGQLLHVEEERAFDSPSFPWSNLDILNEQQQQQQQQQQQQQQQQQLSQNK LSNPQLLELPSLKYKNQLSNHQIQIQSLNQIEQLAQEFIKLTIQNFEKIQNYQIYFPQNN LEVVLLASKKQNKTRKVLVTETLGDFTRKRVLSDNFMNP >CAK78458 pep:novel supercontig:GCA_000165425.1:CT868307:284766:286690:1 gene:GSPATT00013888001 transcript:CAK78458 MTAPSSFTILTFGGFILFSIAGIAISNNQIYQTTLKLLLTIVLVVKIYEFFSKSKNEKPV MIKSPQKQINFSAFERNQEFIKQPEYRVQQSEMINTQTESVERQVPKERERILSKEQRKN NMKMHFMQDRDNEEWPMQFKNGTYRQYYPDDTRSQYSLAQTQSYQQIQTLGMSTYNSKQQ HGTPDYEIQQKSKNSEVILSKLIKKMSDAHQKAEELFMNSFIPTFVREFQDHLVNVNRMM KEHFQQKIIEIDIFFANKPYDINSNKHEKSIGLKSVTMEDVFFLKNKIKSKSREPVKAPA NVEKFAKEKAEFIKECDLLELFCNILDVSLINRKIINDKKLFLTKLIDFSEHNCKPKYLS DGLNEKIVSDYELLFTVFINVLLKCIRYEKQKYNKENNELNLNAWKFIEVSLIGLESLGN TESAPHNVASNQNESSNQTQKQTEQIKNLIINQKLEQYVSEPQFHYYSDCIVKALTKDKE IKTFKQEYVLYTPRDQNSLFCLISYYLLHLLNVPKQHWQQLQQMGNGLKNDQMLDQNGQG FFIFSSKCVIQLYKLQFKNIYESKILLRGSIKSCVFIDPQSQSDRMRSQQI >CAK78459 pep:novel supercontig:GCA_000165425.1:CT868307:287066:287566:-1 gene:GSPATT00013889001 transcript:CAK78459 MKNEIEAIMNTNYYKRYHQTKVSLLIYFDLTTISITDFDLNPKRDLVISQLYNQGQNSNT TRESQNLDCQQPLSVQFINCQIYYYQDNSNNQDIDRQINKITQRMQTGNPITLIYNSDES SYDYLDAKFQSLIDSQTKWLQGTDQYMQKGFLKIRWSKQFESNKQQ >CAK78460 pep:novel supercontig:GCA_000165425.1:CT868307:287739:288609:1 gene:GSPATT00013890001 transcript:CAK78460 MNQSLPHQIRIPTLEQDNPYSQEQSDKNIKSYLQPLRNAVAKITEQRQEQQYRRKSTYGQ LFGEMTKKEMGNLPTLVEQHKQFNKKELALTSFALMLERKQRKKTTRKLSKRLSKQESII EFDNMERPPSCITPPKIVQTPKPQLKSKNKLKKEIYLKDQDFKFGHFLTGRLQQSKKLQP ELNHKIRYHCQTQSCASLPNGQLSSFASSPKVLTTIKSNTLAIIQDFKLTSRKQVVRPYT KHNTLLLKQIQQTFEMKPQNRTNKHSIKYTKIMSESNQYIY >CAK78461 pep:novel supercontig:GCA_000165425.1:CT868307:288756:290341:-1 gene:GSPATT00013891001 transcript:CAK78461 MKLADRKKLSVHLDMSGLKQIVSSAYPLSTKRQIETSPISPNTSRLFSEKKLKVFQPLHS SKSRNINTIQNIISNFKSKVTREKENKSISIMSQFPLSVDQFIKLYNVTQTEIQELSELK QVFYYKETLIQDDGTNGQYLCNAQDHIRYQYEITSLIGQGSFGQVFQVFDHKTKRTLALK IIRNQEKLKKQAQIEANLLRIIREKDSLSQSNIVRIEDQFVFRGHHCIVLEKLEKNFFEL LKQQKFRGFDYSSLRQFALQILVALNYLQKLNIIHCDLKPENVMIQDMKQKIIKLVDFGS GCIDGNQMYTYIQSRYYRAPEVLFGLKYGMEIDMWSFGCLIAEMHSGQPIFPGENEVEQF HLIMELIGEPTIEFALKCPRKKHFFDENGHPKKTIKHYRNPRSVKLHDLLKTTDGDFVDF LYKCFTWDASSRMKPQQALGHPWLQGVVLNKSSNFPDYTFIKNSKTQSNLLLREFEKKVP KENIFLKLEQTRTLIANNFMEYKPSMIQEIYSRSELKIEQ >CAK78462 pep:novel supercontig:GCA_000165425.1:CT868307:290392:291984:-1 gene:GSPATT00013892001 transcript:CAK78462 MNQGCSYKYCINTTGSNAIYSCSYCQNMKYCSQKCRDTDWTLSHKNNCHPVKGKSITELN DSASTLKSIRRSPEDFEIIIKDNKMELGKGSYGCVKLVKDKQNGQMYAMKVMNKKQIFEY CSVENLKREIKIQRRLQHPHITKLFHYFEDKENVFLILELAENGSLFSYIRKRRRLPENE AFVYFFQTCLGIDYLHKKNIIHRDLKPENLLLDKQGNIKVCDFGWSAETTQNGVRRTFCG TLDYMAPEMLTNQPYSFTLDIWCLGILLFELIHGFAPFKGRTENEKCNNIIKMTPIEYDL TLSLEAKQLIQGILKQNPIERLSMNQIFEHPWMKKFYKSYGIDLRSYMCKEDKNNDSNRS ISPQNDDIITRSFVKGPNQNSNSELKPLSKSSNYVSQYNSNNNGNNGKSTASSNYNQEEE FKARVSRLSQRQQMAQGLREIQGQQPRQEELGFMDKVFSAFGCLNRDKQQAQSHNY >CAK78463 pep:novel supercontig:GCA_000165425.1:CT868307:292017:293153:-1 gene:GSPATT00013893001 transcript:CAK78463 MILPIKYDDYREYDVNRQLHDQARDFRLVQQELLKQQLQDQQLEMERRKRSLTTQKQREE LLYLENLRKEKEMQYLMEGQNKQFIRKDLSKWYENSLTNQRINQEQLRQERQQIEQQIID TAKQGQTMAESFEKQKKDYYRKVQDQQYGQVQIKKMNEMNYKKFDQAVYQEQVHQENTKI QRKLDNYKQYYQNVQNRQQQLQAMYLENYRDPKQGLDDIISKNVLEKQQQDEKLYRYQKE LEDVSKEKYSSILSIQLQEQQQKRRQNRNEVKLNGYQQANSVYWQNTERQTNISTPVRSV VSEQNQLYNPLTNPNPNQTQNPYILKQLGMNLNDLPTPAYTKSKLASMGKFCMN >CAK78464 pep:novel supercontig:GCA_000165425.1:CT868307:293189:297814:1 gene:GSPATT00013894001 transcript:CAK78464 MDPAPSFRNNSDQQMRDVLQLNQKHQLRSYNEDVAMIQQAKDAITSSVHMNNLLKDRKDQ YDIVLTQRKYLWKQEEQVYNEIDQLRDSHRRYQESVNRYGIRNNNLEAEIQSKFEQVNSK LNFIQEEKKQVTKNFNLIQQSLVNSKSKLSSNGQFVIESNQQYDKLVDFIHKQEQKVKYL KNDQTNLAINQLIPFDSKLQQMAQDTYEKQQKYVYQGTKFQGNELQKIAAFSTLRKKIEQ HVQKKGFQEVILDLPFKLDEKYQKENTKAIAYDSTLQELDEFRNDYIAKGGNDPKFLKEI NELERQYKENHPLGIFDANNQLSHPKSQDQVSQNPIFTYLPQQYQKDILDLEFKLQEQKE ADLKNPQMNKQLLRSQLNVSDSSKVDEIILENLRFEESELLLKSKNNEYYKLKYNEIQKL KQIHERNYLEKKVQEQLALRQIEDDMLNFKEKGQTKLTYLQQLQQGIGTRPLFYDQDTGF VVRIDFVNKLPICYDFVKVGYGIFIKNVDEPKVIMNTNQHECVNENIYSKKCVIQEKFVE RNHEIFRDTYLYIVLWCFSQDFGSGMVPIQVGWSIHKLFDEEKLMSGGYLLPIYNSSFTF ELQRLPEVQEIKIGLRICLPGDQNLDLDNKIMSLMDYKVQPVHLKKPELIDQERQNVFRE YKIIPLPEEYIQVRTNDTKLWQSELPPNVYKLIDYNFMNEEEVALKQQEQEYEERRMNRL NRPKRRFMGKQGTIARGSSEKKKTMLTFKQRLALKKGAVTPASTKAKPTEKEQSSRPQSK ADISRKKLEESTTTRVQEKQKSALIPSKPRKLMFKLRSLSQIYVGGITTLTMKLALFQGI QLLDDDDKNMCVFSKELEPDEKGDNYINFNESFTFELNLTQYFEDYEESEILSTFLFIAI FKEVTNLFGWHAIQAFDTSDEHFKVKSGIYYENLYGPPGQAPPFNFNKAKRLNTQINFIM MQDDDRVLQLPTIDNYKLDQKLLTEEDKEKRKKIYSVANPKWNTQIRLDISSFRNFQSKD EFIMKTIVIEEENIIIDAIDRQCIKFDQVQLFEGTGKGEFIANHLIILRIGTRYLVDTFT TTFKKLNYLFSFFIKQQIVGVCKFPLFSAGGALNVGSQRLMVHDLDDSGKIGKKTTKEMY IFIQEEQLNLKDIEETPKYAQQTKEQKIVNKQDQSKYLIIEIDQLTDYLSQEPIDFTIQV YNGEEPAMDSDGVICAYTSVTPFQPKPNYSVPINEAVYFKMPINAIIERKQGLENYQVFI SFSDLGWISFQLFLNGELNSSDFVGKLFRGDVPAPPVDYTNMKKINTKVSYNVKYDLKQT EEFRNSQVEERSGLMRSETQKNLQSIPSEQTAKIPSRRTMNSNENLDKLQIMLINVNGFI NQKKLQVKGILMMEGKTLLDKYNQSCSFKSEFIDNVKKVAFFNNARFTFSVDIPTLQMES YLFLSIFDEKETVIAWFGKRLVQAVGKLNRGQQFEYLFAPPLMRPPLDHSQIQNLQQSIQ FEVK >CAK78465 pep:novel supercontig:GCA_000165425.1:CT868307:297840:299412:1 gene:GSPATT00013895001 transcript:CAK78465 MLNWILLLISVVAVYLLVNPLVTMMKLKMQFGNAVYCKYFPIFGIIQVYRKSFKLTGNVH QWIMDIVAKHPEVKFVVANSINKPVIFIIDPEYYKQIYLDHHLVTKHDQSGIKDFLLSGG LLFTEGPKWKQQRNLVGDHFLFQKLKARIPMINQVVKEKINGMPVAEKMFEFICTITGEV VIRSFFGVEAEGWKINGKEAQIELGSIFAAFIKLRVTNFFVYFKQKILGVKSWQYCTKKE KEIMDRVTKIKQDIREVIFKRRDKIKQQGNYQGSGDFLDVYLKIFMEQNVYSSDRIETDE IVSQFITLFLAGTDTTGALVANSLLFISENKQYLQELRNEVNNVIGNGEITPENINQLVF VESFLKEVMRLKPSVVYPIARKAKQNLQIKDLHIKEGTILLLGNFLANLNSQNYDNPLEF NPRRWLQQQPIKQDNGFINIPFAAGGRNCIGQHMAMIEARIILAYLIRNYDIVRNPQVPK VQWPSRGTQTYIPDNAVHLVKI >CAK78466 pep:novel supercontig:GCA_000165425.1:CT868307:299615:300067:-1 gene:GSPATT00013896001 transcript:CAK78466 MQNKPCDWICAECRNLNYSFRKFCNRCQQSTRDAPGTRFIPNKKDQGLQIFETIKLQEQN LTGSSHSSVESNDDEDVVFSQALFLEDLSKKTESLNKSFSFLKKCSVCQCQNYFYQQKCG QCGFSQFV >CAK78467 pep:novel supercontig:GCA_000165425.1:CT868307:300556:301386:-1 gene:GSPATT00013897001 transcript:CAK78467 MISSSQCDLNQYSQAIDISLNSLDQSSSVCQRFQGVNTINYSDDQNEIVSTQSIRIPFNR VEFIQQPIQPKKIMSAQIKKISNSYQFYIFDFLLMEATKNGLLNQKYIIQSESKALVGKI KIFENGKLYVFQDGGMSPKKCTNQQFYRKYMGSICKKNELKCHIPKINQQNNQIYTYSPV YFKKNKEIIQQNNQFFEFYNIFKFQKQNLWSIFYKKELTSDQFLIKIQKTDETSFEVLYT TPLNHLQAFQICVAIIQIIS >CAK78468 pep:novel supercontig:GCA_000165425.1:CT868307:302301:303403:-1 gene:GSPATT00013898001 transcript:CAK78468 MQNKQVKLQDFEILQTLGTGSFGRVRLAKQKSNSKHVALKMLKKCEILRLKQVDHISSEF KILQQIQHPFIIELLGYAQDERYLYFVLEYIQGGELFTYLRNAGTIENDEAQFYSAQIVL MFEYLHSKTIVYRDLKPENLLIQQNGYLKLTDFGFAKVVEDHTYTLCGTPEYLAPEILLN KGHGRPVDWWCLGIILYEMLAGIDPFNDEDPMAIYQKILKGKVKFPKNFDNDAKELIKSL LVSDVSKRLGNLKNGANDIKQHKWYQTLNWDSLIKKQMRPKYIPSVRSDNDTSNFADYPD STELPDSIKQADDPFRDW >CAK78469 pep:novel supercontig:GCA_000165425.1:CT868307:303429:303896:1 gene:GSPATT00013899001 transcript:CAK78469 MSFRKDNFRVQNQNLMLFIIKIHQNSKKRKDLDLVNPFRSQLSHYFLENQFILASQEILQ YPQKMLINNIVLLNQAIVNRVNINQLPQLLNPNYQASQEYFIITFHSSPQFQIKSSQVLN QITIGEKKSIEKPGILTSTDQNDKQFHGIIRQNLQ >CAK78470 pep:novel supercontig:GCA_000165425.1:CT868307:304563:305845:1 gene:GSPATT00013900001 transcript:CAK78470 MTDSSSEYDSMNEGQYEAKIGETLKNNQYQIIKWLGDGTFSKVWLVKDLISSIHYALKIQ SSQYSDAAVEEIDILKILNENENSPQWLDIQKNRIGNQQETHCVKMVDSFVHIVNETLHH CVVMEILGPTLLDLIRFYEKKNSSMSIQLGKEVTKQVLIGLIYAHEVCQIIHTDIKPENI MIELNDQQLKQLINDEEADDKKKKVKLNDINNGETFIWNENVIINVNTDLKFKLVDFGNA CQTNQQFEEIQTKEYKSPESIIQAQYSTNTDVWSLACVIFEILTNDYLFNPEGDNEEEEM EDLLAMMIELIGPPTQSFLSKGKRNSQYFEKNGDLKTIKDLQKFNLSDTLIKDYSFEEHE AKQLQDFILFALKWDPVDRPSSQNLFLHPWLQQQQQ >CAK78471 pep:novel supercontig:GCA_000165425.1:CT868307:306416:308151:-1 gene:GSPATT00013901001 transcript:CAK78471 MKGIQLQNQLKNEKKMNIKSFYIVGLIGEGAYSEVYEAIYLKNNKKVAIKKVYKESITLS NKQSEIYIERHMMKQYSQKYPSMVEFIGSFQDKQYLYFVSEHCPFGDLGNIIYDIYQFYR NTKSEELEYFIKVYIYQITQAIIYLHNEGIAHLDIKPKNILIDKNYNLKLTDFATCYFFE EHKQPPDLIDQIRKFQQSHVRSIKKIESESTQYRSNFVGTPEYISPEMLNNSRASKEADL WALGCIVYEFYHGKQPFTDISENAIFNNILTLNYQLDKSIPQPAQDLIRSLLVLNPSERL GQEDTKLIIQHQYFQGIKEDYIPWQTEIEIPFEYQGLFQEIIPSQSKSSILWEFTPANIQ MKQDLVKKKQDRLKTIMEELDEAVTPIPNVLIRPQKIYCSPNQPIFKPDRTINQSPSNQD TESHCNSVFKHFDKCVGFIYLNTNRWFCIPQIAILFGYLKPPCLLIDFIKGEKKYLPIDD TIKISNDGVHYVPSLEIIRFQNLPRSSINLKMQKLGLQIGSRQFNQQKMNILINDFNIFI HTAHSTIILCQYTG >CAK78472 pep:novel supercontig:GCA_000165425.1:CT868307:308581:312548:-1 gene:GSPATT00013902001 transcript:CAK78472 MKLYRLDSTNVALKMDANIDESKYIEGETMKIIKKSNDVKYSFASVFATGFTYNVHFKFG ASDPLSMGIFASPYFNQNDNAVILRFNYSANRETFDIMRNIGGQFPVNYTKLEQVPDTKT CNQGDWYNDRTNKLFFICLSGKNKKKSEELFVEMSVIAQEMYHWKMDIDIGVIQPLGQIT KCLFEGDSPIVQAAYQVILDVDPPKLANLTILGSLIFDERRASTKLEAERIWVRTGKLLA GNSTNPFPGKILIQLNGEFGDSPLVIDANLDVELWNCTQPHLEQYGLDWQHMLMQVQQLL QQQSVLVGLQETEIVFGPSGSDPEQKEKRSITAISGCVLTLNQALEFDHYGAPSVTIDKP GIGKLDMRAVEEIVFMVWDAEARSQFRIPKKRIHCFTWVLNSTIVVKYDTQRSGLDFRNL NSDIIKTQSEVVGCSFHDTTGMLLTIQNSQYITIKNNMFYNGIKALVQINNSQYVKFQDN ALIYVKKRILNEGGLANWAVFGNFVYSDELVPTQMRRDIFDVSGNVGQGSQDTGFFVMAS KCSDAYQSSFYNNHCSSTVLACFGVRQDPEGQCSYIQGLSAHHSEVGIMYAIYSEQIQLE KSIVVENDRGIALKPAPNSIQENKMKLTNIFISALARPECVKCYSASLSPNCQGQMGIQM GTLTSSAFPPISTKISSAFDTICTIQRLDLKVYLTNVEFHNFRLTYDNLPRCKNNAAFRQ HHGAHDMTGQHYLVNSPCTNCEFNALLFKSRNPDAGKLGWFGGCGSFLCTGQINFLIEDQ TGHFFGQIGQGIGNNTYFGPNVTYCSRQESWNGYWCPGRQATVLMFMSTAADYNKRLYSP IKLTDGLFFNEINSFAEWMWDGPEPLNLRESKFVGLVSVNTVINMTNAGMNPTSSEYWLS KRSEAGSPEDWVILKWQFSVPQIIQVMVNNKVVQPGLTTNNKHHDLLTMTNQCGANNYFF ENSTIHFVLTGKLDCKIKIALKNTLQISTRLEITSSEFFGDKFLQYARAQIGGDPYNYFI IGTKKYRRRFLDESTTSSIVIDWGIADSAEIGSNEGKQSQSRLAEMATKLESLGISEGLQ ELGVVGLKHESTTISSISELNFKSTEQTSEIILVPGGNTNNNNGQGNNNGNNNNNNNNNG NNNNNNNNGNGNSSNNNDDLVLVTDEGVNQSDSSGNQNNNSTNPGTIQKSIKKSDNSSTT VTIVVAVICSVVGVSLIIAGLLYYKKLKLAKLMAVRNQKVDNEFFKASITDQQPIEAPQD >CAK78473 pep:novel supercontig:GCA_000165425.1:CT868307:312617:313039:-1 gene:GSPATT00013903001 transcript:CAK78473 MPQLLGRSNGNANPDITYYDGSRAMVVPRTNGFLAKNIRIYNYGSNSALIESCSVCWNVK LWVQGGKNTQFINVNAFNSDSANRIFWQKHRREIFWDQDGSISKVAGGAYIIPYKKHIDG ISRMCYSPRRFLGQTQSFVQ >CAK78474 pep:novel supercontig:GCA_000165425.1:CT868307:313192:313917:-1 gene:GSPATT00013904001 transcript:CAK78474 MKVEVGLLTRNIVIQGEESDLKYGYHLMIHGRAEKGAIGKISYAEFRYGGQPRIIGRYPV HFHLNGEVDESYVVGNAIHDCYARCLTIHGVHYLKVQKNVCYNTFGHAIFFEDGIETNNV VEDNLVASTKQSWIMLQTDITVATFWVTNPQNIVRRNRSGGSEWYGFWYEIKTNPDGPSA TSDICPPGLNILEFKDNVAHSNGRFGLRIFQLAPRKFPCKGPENWSNEQPYIDQSKSSSN V >CAK78475 pep:novel supercontig:GCA_000165425.1:CT868307:313986:320167:-1 gene:GSPATT00013905001 transcript:CAK78475 MLMIAFTSMLLALLSNNVEAMMMDSSTDSSSDAVVILAASTILGQENSGLSGSVMGGTTI YMKVQGLDQTASNNAVYIGKYPCIISDKGVNGLFMNCRTSKPNSDDNNLSGLQIVVKVSG KPDSVCASISSKCLYSYSQYYTPKLFYVSPRSNYPRTLSYWRAKWAVSSTLVQYLEGQFM GANRCDRFTVQDQYPDEINFWDDQVVCQVSAEIKAGYYDYTIKSQSGYQNNDVGVKQKKV YSDRTYNSKVLPVITGINTNFASPEGQILQILGYGFSPIATENAVKISGQAETIQVLSST PTTINVKIPKLSNLPSAADATESSVFIQGSGLHYTRWDISGLNLNCASFRTQIITNQAQL DSRIQFNGIYPEPDVQNQFGEYYGQYFRGFLKAPFTGNYKFYIASDDCSQFFIQTAQTKQ AIRPDTATAASGWNPYRNYWYEYLSTSNSIKTISSSVSLQQDEFYYIEAYHLNGGAGGYL TLSMEIESATRKSNSLNSVYQISSSYTPVKEVIELTLYNSAGNTLLAGKYQLQFTFGTKT TPQTTVYYSYTTGDITPNANANAIKNAIQNCGGNYQVTVIATKLDNTGAALDDAATTFAG YKYTITFDSHRGTVQYRALPKIVSPSLNGGTPVSNAVRTQEPVDPISGTFQLKITINGVE TLFQVAESNYDLPFDASSSLIADNIERITGQRPFVWTVGRAQDGWKWYIVLRSHVEGLTD LSISSNVLVSGNGDVTIAVSQPITDSTNLLFEPIPNELLFTYSQHPQVQVEVQYQLKEND VVVETENILAGCEQSDACDFTLSDAKTPTLSAYTVSGSQLSLTLTQGTGLKVTQSDLSIS FAGADCTNIQATLTSPHTISCTLEQLNGQNIKEAGDNQVPAVHHKSIGFSKVDGGVTGET VNLVITSVLPATGSPDGGTTITITGSGFPKNLNREFAFKIDGQDVHPLSITNTQIVFISP KKASGGTGAISLSFNQKTLTSSSFSYDDALRMQIQSLEFNQKSPVFKGEMTITGTNFGTV VEDIKVTLVGTKSYNAKVISVTNTSIKVYLRGGMPGEYLVTVTRKDYGNSYANTGDNSFK YIIPITSVTLEDGTSQAKGSEAGGTVIKITGSNFIKGETLVFVGIAINWLCEIDESRFTS EVIYCTVPAKNEYYNDPAQLVVVALQVTLESVCLDTTNNCKFTYDNTLTPVLNDYPASTT LFTGSRILTESDHQKPDYQKLVQRKRFLWTEESKKQGNDHILNLGKSPRRDMLSAADLVL SQQKTYAPLDTETLTGTGLTSEVSVVFKGPITQTVTATISGSSFTYTIPNLPQGQYSTYI LTKNGYADKIWVTVIGLSITSIDAASIGGQLLTINGYGFNADKSPSVKIGTTECTELSVV SSAQIKCRMARQSAASATVTLSQLPSENNSAVPLTATFSLTINQSSNSPTFTAISGASYD STQKAYLATAGNVALVFTGVRLTGTTIAVTLEHMGHKIAGTVSAQSDTSVTASFSNLPAG VYSINLLVDAKYAYISDVSKQKLIVSASLPTSNNPAVSYAGGATVTFTGTGFDTVKGQNS VKLCGFDCPVTSATYSTLSCESPKLLTTSVLNQYSALQEPARYIKPSEVTLSADTATSAS KFFDLLQSTYYISSATSNCYIQVDFGANRVLKLHQLRYIPRIDKSAVLLKDAVFQYTTDG TTWQTLLTVDQTVHTGWNIYVPQADISGIKAIRLFDSRGSTGSSCQLAEIELKRLGVIKQ QQCILCSNFMQCRNLSQWISTYYSSKCCQLLSNPTSGRFTEEAVITITGTGFVNGQTTVT IDGVTCAIQSVSATQVVCKTGVKDLDQTQLNGVFQVRVNGNLAVNNQKFIYATKWSDINT WGGYEYPGDGDSVIVNAGQTLIVDVKTPKLMQVLVEGALTFSDEVDTSLDAHYIVIREGK FNIGTELVAHQHKVQITLHGVEEDVQMPAMGNKVLGCHQCQLVIHGKERTPTWTLLSSTA SVGATQITVDDAVDWQVGEQIVITSSEVEHTQSEKTLLLSVLTRKH >CAK78476 pep:novel supercontig:GCA_000165425.1:CT868307:321574:321982:-1 gene:GSPATT00013906001 transcript:CAK78476 MSTQWIGHLDKMIQNFYKKMFMVHQVKLKFERMLLYNVDQQKEVEEQNQYSIYIQKLNKI NTGSQYFAKQPILKFIDMKRFSNIEITFQKQRRRGKTFKFQNNKLQINYFIPKFKKDGEA NDLIKEY >CAK78477 pep:novel supercontig:GCA_000165425.1:CT868307:322283:322870:1 gene:GSPATT00013907001 transcript:CAK78477 MIKFIARKIERSSSLKRDQQKNEEELQFEYPFNIQKPLAISDQEYSDDYQLLKQNPPGVS LKLNIGTPKIETPSKLPYVLDDTLQRQKGRMKFYDDAKKYGFLVLDEDGTDVFVHYDDLQ AAGITIEKMKLYKIIRNNPQYVKFTKNGGPYFEFTLMNYMGKYNKSRKAIDLQLLNQT >CAK78478 pep:novel supercontig:GCA_000165425.1:CT868307:323118:323300:1 gene:GSPATT00013908001 transcript:CAK78478 MLNKNMNDETKIQERNKAFQITKNPIFQRRLSQKKEISLTKQTYSYLERNNQTSKNYEYI >CAK78479 pep:novel supercontig:GCA_000165425.1:CT868307:324255:324504:1 gene:GSPATT00013909001 transcript:CAK78479 MGCTQGKYQQKRASFQYEIYERVQQDQIKEEKIYDVHKNPIIQRRVKTHSQTPDQQSRTK QYSDLLPNQKPQF >CAK78480 pep:novel supercontig:GCA_000165425.1:CT868307:325607:327258:-1 gene:GSPATT00013910001 transcript:CAK78480 MKSQFEVYLRLKPSNNQSQNSMQYQLYHNKRLQVLLPRNVKLGMINNNRDNLEFNFTHVF DQKSSQDQVFSKVTVPVINSFLDGYNATIFAYGQTGSGKTYTMSGAETWQLRGVIPRTLS YIFDEIDKRTKFQYKIYISFMEIYNENAYDLLEKRHLEIPLEQWNKIALYEDDQDNIHLR DLSIHSCNNEQEGIDLLMMGNFIRQVSSTPMNQSSSRSHCIFTVTLEGCDTTTETYFVSK LHLVDLAGSERISKSQVEGNLLNEAKYINLSLTYLEQVIIALNERMKGANRQHIPYRNSL MTTLLKDSLGGNCKTVMIATISSENDNLEETFSTLRFSQRVGQLENEIRRNEKVDLEAVV KRLEQEKLVLIRELEQYQRSGTVNSNNKKPQTQQQILPQQNGMPLNKKQIQEKVELYLNE QVTQLDVQSYDDAQMCFEAMKDLYNIRMKEYVTELTFISEKLQKYDEILTRKKEQSSFLE QKENSSPENEIYKRNEFQQINSKQKPNQ >CAK78481 pep:novel supercontig:GCA_000165425.1:CT868307:328591:329961:1 gene:GSPATT00013911001 transcript:CAK78481 MKRAAFHIRKTNNHTEYQSQIQGMIFFIEKQKNDKSRLSSMIFQSCQTRIIISYSVKINI CRCYMSTFDFLNYVLISSMSFEQIQCYQQNIVYKSAIDAITSYQFLNFLDSGKMHQTIVH STLCNQSVQDDGSKKTLLRLADQEAPSSIGFLMIDDQNKQDSYSQQQLDKQENDFQQQSN NKKKERKKHHSLKYLSWQVHKLVGSQRETTYKQMADQLASEISKSSLSSDSKNLKRRVYD SINVMVALGVLEKQKKIIIKGQMFQQNHMTSKYVRDTRDRYEILRQQQYEELKRKKILFQ KLTDQARRINALIDQNKYHNTAISIIRPLQKDESDFLQGQQPKIYQFPIMIFKLPQNAKL NIITIEGKTIVQILSDHEIKIVGDYDILPLIKRVEEAKDKNLPGPMLNL >CAK78482 pep:novel supercontig:GCA_000165425.1:CT868307:330990:332574:1 gene:GSPATT00013912001 transcript:CAK78482 MSKQFEGQNIIEGYHIEVEQVLSNVKYNMYQFQNVHPYDIKCPVCLNVYLDPISCDSCMN HFCKECYQQKQSTKCPLCSHQCETRKAFPLLRQLLSQLKIRCHHFDQGKASIMNIGCQVI VDYDAYDKHVKQCDYSEEVCGLVDGKLQCNVIKFKKDIQNHRTYECNYRQCECCHCHQFF SSFKLQMHELKCEEALTRCPKCHTQIKIKDQSSHLLICDQNIEKCIYCSGEYAWHQLLIH QNECPQREVCCVGCSQKFTLSVYYIHQTNCPKFPQICPSCNQKVTREQFENHNFKDCLIY IKSQHDQEIQNLIQKHKQLEIELGKKDTIIKFSNRFKDPDIFLNLDKTNALVKQTANKKK DRFVLFNNPISEQRKQWKFKCSQVKSSWYAVGITELSTLHSQKKYQDIGHGSYLVSSNGT MYNNHKDDQNSKESDFRINTNDIIIIIVDLEADLLILYNTTQNLSLEIEAAFEGKDFYGC ACLRTAGDEIQIIQ >CAK78483 pep:novel supercontig:GCA_000165425.1:CT868307:332793:334332:1 gene:GSPATT00013913001 transcript:CAK78483 MKQINYSIFDKCPTRNTTLWKKGAPKILQIDRELRQTSKEAPILIRDEMDNLISRNCYHM INSYFISNNCYININNLRLKSVIYKNVEGLQISGKQECLQIFGNPDVISTWKNYLSKYTV QRNFYKKYQVLKMIGKGSHAKVYKIERIKDSSIFAVKIFKSEKLISKDKGIDSILKEIDI MRKLDNKNVLQLHEIFEDENNVYLIVDYLQGGELLKQIENSLDGYTEHLVQNLMYNLLTS LNYLHSKQILHRDIKPENLILRSKDCLTDLVIADFGLSDIYNEQGVYLFQRCGTVGYVAP EVLRDEFYDYKVDMFSVGVILFILLTGEMPFESNSDTDDLLRQNAQCKIDFNKLNQKSIS PAAQDLVRLLLDENLNIRPNAQQALLHDWFATPKTDTMVSLSLSKLPIFQIRLDQDDFIK SSTPLWNQKGSPLSEQSPLLPENSSEPEDETNYFKQFISTGQDQYEIGEDCINEEKSPSE MVPKYRLLVKQRSLK >CAK78484 pep:novel supercontig:GCA_000165425.1:CT868307:335500:340783:-1 gene:GSPATT00013914001 transcript:CAK78484 MQLKYSCNIMPALDGMQKVSGLAWSYNGQKLGVCTADRKILLFNDQLGKEDNFPTKPSDK TQQKSYVVRAIEFSPDSTKIAVAQSDNIVYVYKIGAKFKEKKTICNKFPASSSITTLIWP AQRENDIIFGLAEGKVKIGFLKNNKSQIAFTTESYVVSMATNRDGDVVVSGHLDGSVYSY NLDTQQSQKLVVHHSIPYALAYGQHVAAAGNDQKVSFYDNYGNLVQRFDYTQDERCKDFS VGACSPNGDIIVLGNFNRFYLYTYNQKRSQWEEIGVKHIENYYTITALCWKNDSSKLITG SLCGSVDAWDISMKKIRFKGKYDLNYIGPSQIVIQVLSTNQQAVIRSSQAEISKIDIKKE RFVVAKTHETLIVGDLATGKTSEVSWRGSGNEKFDFTNENICMVFNAGELTLIEYGSDRI LGTCRTEHMKNSLISAKVSYTGQNKTKVIAFLLDLQTLQIQDLTTKSIIATIAHDTKIDF LELNSHANRLIFRDKRRQLYLYSIKNAFKHTLLSFCNYAQWVPESEVIVAQNRNNLCVWY SIENPDKVTLYTIKGDVEEIERIPSTPTQAGSTSVIVSEGQNKVAYKLDQALIEFGFAIE GRDLEKAASILDKLELTSDTEANWKVLAQLSLEEQNLSVSEHCYAALGDVARASYLRGIN RLISKYYEETGKKDGISYYKVQSKLAILDKQFTKAEELLLNHNEVNEAMGMYQDLHKWDE AIKIAEKRGHEQVKVLKENYYQWLIETGQESKAAELKENEGDFINAINLYLQGGLPAKAA NIVFNCNMSFPQDLLEKIASSLAQSGMYEKGGEFYEQMEDDQQALNCYIKGNVFKKAVEL AKRKDPKLVKQLEESWANYLVENKETESAINHYVEAGKFQKAIEAAVYSRSWTKAIQLLQ NQSAEVSRPYYRQVAKHYEDVRQYDFAEKYYIKANSPIEAFEMYVKASKWDKALSIAREY LPEEIVQLYLNQGKKFEQAGKYKEAEKLYLTVQEYDTAILMYQNLSQYESVIRIASKFTP QKLKDIHLGIAKKLERENNYLKELNNTTIEAGSWHLAMQMYKTHNQWEEAIRCCKMYGSE KETCQQAKLWAESLGPDAGLKMLQRLNLVDALIEYQSDRHEFEEAFKLANLHAKHKLQDV HFKYACHLEDERRYKEAEENFIKAGKASEAINMYEHLGDYTSALQVARQYEPQSVTQILI SQAKFYIEKKDLQKAEQAFIQGKRPELAIKMYVESSHAPHMVAELNNKYGNVANTYNMTG EDLYQSAQTWEEQRDYLKAIEIYLEVTPQNTQSEDVMTRAWERAIQIAANYDKDKYPRIV QIVCKRLIEIKKLETAAYLYEQVGQYQEAVTTYVQGREFEKAKQVAQLINNKELNTKLMD YITKEQRKYGASTGQANVMIETGDVAAAMEMLAQKNDWGQCLQLADKHGVEYLNKYLMRY VKITMQQGRFSETIQSLATYGMPIIQQNYPIYENLAIEIFVECDPKELKLLRQALYGFIQ GLEAAHEIKSETGKKFLKFGIVAHLLNLRNQYAQDGIVKLHAQTCISLLRYCDLVRIDQL YLDAGRVAKKINQLGLAFVLLNRYLDIYEVIEDPDNNNGLGDGAEFQNSDLPSPYDVPMP EKNLINDKEKEEIRDWLLQLSVNQNQEPVLPTRQCDCGYQIYDASLRCFKCKQTWEPCII TGMPLLKNQTVNCQSCGKGALKDAWNTYLQAYPTCPWCNKHAK >CAK78485 pep:novel supercontig:GCA_000165425.1:CT868307:341119:341626:-1 gene:GSPATT00013915001 transcript:CAK78485 MSNLYANEQQSLREQIFKIKDKLLSLSQNLNNYHADNSESNSTAHFKTQEDEQSPQQTYH QNEEKSFSQLNFIDKTKLVPQNQCHQFKKLKPLFNVPDKSLGIDKSPFKRVNSTGRPPQI LRQSSKREASISYISPQQMNFSQRISLSPTKRL >CAK78486 pep:novel supercontig:GCA_000165425.1:CT868307:342061:343793:1 gene:GSPATT00013916001 transcript:CAK78486 MQGNDRQSDDLQKDAEIKMIQEREQNEELQQLEEKKSLFSNTTQRWILWILDLVGLIIFL GYCYLIQSYLSTIYFLIFMLIIQTWETKLYKIGMFERRDSDKKVYKDPFDFLENYPKIRE QQRQRKTIAGDNQNLEDDVTEISGMDETEIKYKPLYWIIFKDQNHAIWMFLLIFSALTLV MKGTFIGIIKNDPQQAANIMTQYNYEGLEIYFEMLKNNKFSISTKDYVKIFLPNSLIFIF CCFVMALRRVKKKKYLEQFGEPINRQIFSFYFIIIWLFLAIILISNQSIIGYIYFILEII VGFRFFQKEATITQFDKMVLKVLKFTLITTLLIVYFAGTPIITQFVGPDSFWPQYFGLDI MYWMRTDYQWNSYVFMVGGLILFAFLCIVTEQFTNEDRKIIFKDAIQECTTHYEIYQFKK QKYNTTIMEEKEAPNFQGQQQEEEEQEIQLKQQLADENELKQMMPGQPVRMSHVDEGDEE DKNKLLYKLNTALFSLGNKFTKFIDQPIVTLRLLQLLMITIVIMQKTYESLLSVIWIMIT GIFSLLQSQVSHYDIILIPLPL >CAK78487 pep:novel supercontig:GCA_000165425.1:CT868307:343896:351416:1 gene:GSPATT00013917001 transcript:CAK78487 MILYVRKLVFFSLIKNTNKLLMQLFKIVLQLLIMNITIYTIFLFLRQVHKYDQYKSVQMS VKKSQLQIQDKKKQLKVIQRLFILGGKLASYVVALIIVYWIGFFDVNLIQGVLVVFLMIF IINDSTLCIYKQKNDKNEIREYVVPFSFKYWIVFLIYICIITTLRYVYILFFMGQTKINE EKQWIISLIGINNQDNYDILFWVLFYFAALQYDSYRSKIYLKYSNQIVDIFLAKISNKDK QTKIRTTIETLYLLFMKTIIWITFTLNICFIIFTPFSFVNLGLFVFIGGLFFYYTFQFIR DKVNYNSMSRFWYLYLYALVMAFIIRYIFQFICLPDYNQITASSLEGLYNNLQLKYQQYG FYQYNQSKLRIEYLPDMIAIFFGAISLNTYQFFKQAQKNKLKLASMTNQVPNTIEKPLLS ADSLLEQSGSSDVAITDKIEKQQQKDLEDLVKIMKINILDEYKFLQKPIMFIAQINTDLL TLYVVFSVVFFVQSVASFIILVLYLSYFVTVHNALLDNVEEKNLLARLKQKIVSLKKKYV DYSSKSNDQNDNEDEINLVQATNLITMTRMKIRIEKKVSIWKISFSFSCFCVFLTYLSQY LSLAIQTDNVDAQKGILDAQLGLYIFGTFAQELSGAQTCFCGQFDPSSSCYGSLKTGSST IFDEVLFYLILVFANVVDAGCTMFLKEQLEKEQENIQSFEHQFQEQKQKSESKQVISRSM IHTLKGIHNQAEGDSPINFIQEQQEIDDQNELEEFKKEYPFLSINVINKYDQPQELSQDD LKKIQIAAKDINYYNNRSRIFKLNLTTAGFVTLQRVFILILLFNTLLANNIFSLVYLGVA TYLFLQRTGASNIKILNTFSVAAIWIQYIMIYFLNYEIEDNDKLLPVMAQGYNKDVFSLI KMAKVFQNYPYFIPYLGFDNGPIMDCSKFDNGSTSTNSTETTFLESHYCLTNNSIEWIFI LNTLVLSLIYLYFLLLQHLSKLILTTTIKMELDLQFTQSILQKLYSKSKFSVSLNYQTWK NRVYSTMSGIIQQVIKINHIVFISIIILLAQSNRILLNFFEFILAVLFLVIFEFVLTSNR ESNLRRESIYFKVLFYLAVFTISMYTILRLPWILNWCASQAEENFNQDSDFQNEFICLEI FEMRLGGMIFILFFISLHFDLINSEQFIESLNKFMIFEQQQAKMISRALAYHKNFKKYTA IIQVSVQKALFKKTQEKAQDKLKMWHAKLLDKTKDKVIMADEIQLEYQQQSNQQQQQQQL EKHSEQQEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQKYQFASELEIPIRKEMVSQFQVEQEERKSAEMPEEKLLKDTKFQGLTKSQKSCIEI IAFIQSFNNKFKFMSLPNVMDYLLSQNIILKRRVQFSLTDFLQENYRQFEEELLYIDQFY SHLHKKLRAAPNQILDVTTITDELIQKLEKSSYNNSKLGRKMQKIISSMKLTSKLSKQAM LQSNYSISPQKQQQGFDEDISYENYIKSTDLYFVKIVDDKQLIFVENTKYSSALTKLSLS ILIFRIITEIFSLIITYWMPFCFLLIIFYYLVDSGLLGGVLPFMLFLWILIDEKVQSKLF WIIAFTIYFFISMLVFAYSLSGVVEKQYQKSNYGNKMAWYYPLYNGNQSISYEVMLMIFI IFQVYFAKQFGVYYKSLLDIENIFQGYLRMKINKFTKFIKKSIIEKQNLSKSDDQDKLVF EEDLYDLQNQQEENNQFQLKESIEIEKRIIDDPKILIQDSSNLFERIFSYRFSRPGVDLY PITASIQILILIYTLIFFTNMVNESIKINNILKTNQIPTSLIWALLVEFILMFIERYITM KSNADVIDSDNKKPSFFQKYKLQFFLKFLLQLCTVILIYWLIFLRLGNPWGENPIYEHDS TSAASYSKYKSNGYIECFFILYSAYFTVSALQLRYGYKKLKLRNTLLQSYNMISYAIAQT YYSIPFLFELKVLMDWTFLKTSLDVFQWFTLEDIHGIMYFTKNKSKDYLEKPLGKRISKF QKCTCGCCLVLVIFACIFGPLILFSSLNPASELNPVRGGEFSLSLINTKTALNVVLYQTN QFYGLENTLQNQQEIDTTLNQHCTNSIINNAPKSGELQQFQLLNFSNTNWDISYPLYQQL LEQLNETINGSVAHEFFFNVSFIFTRDQQKIIPTKNYFQYPGSGNEYLKDLPGQNETSLP KSILSDISSLLQCKNSPESKNPLQIPLENLYIETVQLYSADGTSFKSKPIYLDGVNSVAN ANLSFFCSGTSPLDGQSWWTLVYSGKACLLETCGKDPKKDQPNGLIMFVVSDTFSTLTQG FSIITIYTSVILLIGSFIRSFFSGQIWMLEFKGMVHPDDLIMICQAISIARSQKDFKSEN ILYFELVDILRSPEIVKVMTGTWTEELKKKENEREQQLQLEKEEQQILLQQQDKQQQQAQ NNNGQKLKQD >CAK78488 pep:novel supercontig:GCA_000165425.1:CT868307:351456:352343:-1 gene:GSPATT00013918001 transcript:CAK78488 MNDNIIQKLKEQHEQEKQQMIKEFMKRIDLLLQSNVQKYPEAENWKELILKYEKQRQNEL FELRQHLEVLQRSQINTKDIEFYAERRAYENKINELQNKLQQDDVQDLYDTIEYQRNVIL DLEDQIGLLQNERQQLDQHIQQLNQTIDVLKDNLSRYQQQQDTRRKQKAEVIEAIDEMKR EREKERERDTDRYRDLDSFRSPQRPYRYSHKSSWERYNKDWRFSNQQVPSPRPPVSTIHY QSQPILSYQQENKEIVSKLQEINEIKYKYQTALNNILKLEAQVIEKLQQDDIIVE >CAK78489 pep:novel supercontig:GCA_000165425.1:CT868307:352712:354574:1 gene:GSPATT00013919001 transcript:CAK78489 MTEEKCETECCDKGILIEDKCMCDIGSFGEDCRLNLQDIYIAPYYTFIGIYCVAFFFVLL VTIRQFQTSLKTQRIPTYYTCFQYAYALIGSPQNYILVLTMVLSVCKLIWLILDPFEIYR GQTTVIERLLAEVVYTILFYIYGCLLIVWYTMYDEISYNIYQGEIKKPRKWIFTYYKEAL KLRLFIVLLVQITVSTLNGLRKGVQYPIFLMVCYVFLMANFFLFIIEFIIYGSSLQTCIK DQITICRSQFRIQFQGMEQQFKLQLQKEESMSKSPESILRVARFSRQVSIEEQKKEIQDE VKQMDEPKLKIGQTKIKSVSFARTFVKGFRGSSLFRQESQKQLKSNKSNQKNQDLNSDSS AKDLDNQFKEEATDEEINSCCLNENQSNSMKWENEKDREAYLDTIKMQIKAVKETKDTAE KRKESLQQQQQMQKIVRGEQKKLSYVGSSQQDYKTSIHQQSDEDQEKFNLKSSNLAIDRQ ILFKIQLLVYFGIILEILFGGLSIAVLVTDLIRDPIGVLVYLYGSSTLQFFSLITVLKLF RDIKNQEIKNLIWIQKVGNQKNKINQQYMFSIPEDQKEDVQKLKFEQRINMNTLY >CAK78490 pep:novel supercontig:GCA_000165425.1:CT868307:355073:358257:-1 gene:GSPATT00013920001 transcript:CAK78490 MSEHLDVAQIAIVIFFIFVALTIGGLVSEITKKIMIPYPAAVFLVGILIGSQFNNIHNHL LHRTVQAAFNIDANSNMTLFLPALVFSSAFNADIYIMKQQIMQIFVLSIPSLLISASLIC LGLKLLLEYDDEYYSWGFAFVFGVVVTCTDTLQVVKLLNNAEAPKRFISLVQGESLVNNC ASMVLMLIAVNCARGDCDLLTVELSYGLSLLLGGAFVGIMFGLFTVWWIRRINHNSILTI NLTIVSAYVTYFVAESVDLGFRKNGLIAVITLGLFMSAFSKIRIRTEVEHPLQIFWQYTQ FANETIIFIITGVTCGYKIFDQQSPYIRKQDYINTILLYFYILLSKFISVLLLLPTINLY GQQVKMSEAILFSYSGTRGAVQLMLALLVVKEPSFSDQWSDIFLFHTTFIVILTMLINGS TIPLYVKFSGLCATAQYRAKVRLNFLQEMKEQIEFKLNQMKEDYKQKTIDWNKVEYFSGL AETNTQIQQKDDKLSKKQLDEENKHKKEKESSIIGRFQKLLKSKGLQDDFNDDDIDADDI IETRDRFLMALKQTYWDLYSQNQCGGKAYNLLIESVRWDLDTVEGRMCSWDFIYSVFYSP IYMRFLYSLNKFPIIRGFSGDLLFDWVAIGYEVISTYVRAHEEMENMIEEFPINVTLKKK LSKESKENRTNAENFIEGYFYVSFPEIIKLIQTKKSAQGCLASQGKYLLRKYELGELDFQ QYQKLKLQLNNFVCNVEDIRPIWPQISIHTKLKVLPLFSEFNDDLLKQLALQSKELLFDK DECIYREGDLARYFYIITRGRVNETSSAYINYSISKDIGQFLSCHHIVLESTLYISQVVA ASLVEVIQIEIELMVSLYKQSLYMQDYVWRDSIFSLSRFYPKELQIFSLVDREIIENILT FVVFKKYQAYTSVSFQAGILLQGRLTEQKQEKRIRLEEDQFEMNCNDGLQGPLLFPFLNQ TYTYQTETACSFFLFDETKKEEIMKLIQTERSQERRRTRLQTDLRVSVSGVNRQSFGFSY LLRPSKVNLDVNESKH >CAK78491 pep:novel supercontig:GCA_000165425.1:CT868307:358311:360498:-1 gene:GSPATT00013921001 transcript:CAK78491 MIILTLLLGIYAQNNINELLKEWEIHIEQSSIESQSTFQEILHYFKDEIPKQYQSRFFRL YGQYIFYGLENENTIDYARALYYFKKSSDLGDINSQFYVSLMTYLHLDGSYNIYSNLKTE SQLFRYVKEKYQSISLIDLYFSAIQGFDQSSVAMGYRHLKGIGVNQNCSNSALFYLPVLE EFANQPIKAKYISENRQVAKALYDSNFYMNYELDIFGHAQIFENLNLVTHESAVEVKQLA FSFYYGLNGLRRNFTKAYQLYLKLYDQLNDKESGIRVAQMNIENLGVDKPNYQLALEILN KISTKNQEYQGKIYNALGYIYFKGLGVHQDIIRAQDYFKKSADLQNNDGQFNLGSLYMLP STIQRERNQQKGVSLIEKAATTGHAMAQYSLALILLDGVDLFYSCDLAAALLHASSSRGP WADVLKTANYLFQQEQYTQSLSKYFEAGYSGFLVATCKQLQINLENAAVVMEQYDAFYYN TLHFSDVQNRLSQDPVYQITGNNNDAFSYLYQDYLQFVNQSTLHELLELDSFGLNGVLQY RFLKQCANEKEAICHMKLGDYYYNGKYEEVDFQKSFQHYKKALDGQLIDAMKAHVYFNLG LMRALGQGVEINRTKSIEIFELSINSYSLIPILPVNAQVQIIDFLVNVYKQIDKTGIELF LDVNYLELAKYLIDTVEECLIGNSQAIVNVSVGFIIVILFGWRLKLINQYN >CAK78492 pep:novel supercontig:GCA_000165425.1:CT868307:360506:360889:1 gene:GSPATT00013922001 transcript:CAK78492 MQHSIQEIQAMSLLTLYRMLIKNVQYYPSKNRFKIMLAIKESYSINFYKHFLRFRDNRLL NDTKRITQEIKIAQMGLRNLEMYRIKNKEMKDVYKVKDDGFQDSMNPKDKNFIYF >CAK78493 pep:novel supercontig:GCA_000165425.1:CT868307:362480:364018:1 gene:GSPATT00013923001 transcript:CAK78493 MLEDHLVEALHLLKKQPQHRCKMEIDLLVHFTQELPFFKQYQNSENGLQIHHECCKHMYL ERFQATDIVFHVDSIGTKFYVILDGQVEVLIKRRGYDELESVRILRQGESFGELALIHRQ PRLATIRCVNNCYFAVLDKQQFQYILHYEQTKKIEQNIDYFAQISIFNQLNRTQLTQIYL NSFLYEYEKNQIVLQEGEKSDSFLIVKTGLFQVRKQMNKSSPLILFEIGELQVFGFYYLF NKIPYEYSIICLSSKGQIYKIHRTSLIEKIFERHTEDLEKHMQEINCYVNYKVHQEQNTH LKYPRYFQIKTSCDQDKEIQEERVKSCKSKEYKNQNLKSRSELIRQKMDTMIEQSKRKLG LKSSLPKLNSLFEIFLKSHRGFKDSQRQNLSSLKESLTRQFGSSSHFYHNEDQPINPFIT FRSSSPTMKNQGRHFLTLKPQNSQRTIVKSSQHFNQNLQFQEYNTPKHQKNYISLSPNVI KLKVYKH >CAK78494 pep:novel supercontig:GCA_000165425.1:CT868307:364050:369386:-1 gene:GSPATT00013924001 transcript:CAK78494 MSENDENDKISEESEQKDNSEKDEAEERENQQREDNFGKDEHDAVKAHKSPIQVKRQVLK RKSTMKAEDMFGMNFFKFQYYVNQEDLGIRKKPQNSQSGDRLEEHNFYMHEKKYSFGKNS LYVLNESNIIRKYCVWIVTWHWFDKVITLIIVLNTISLAMQNYDFRVNGTSNQSELTYVR NQMEYFFTSVFLLEFILKILAMGFLFEKHTYLRDGWNVLDFAVVGTSILSLVGSFNLSAI RTIRILRPLRSIKSVPGLRILVASLLDSLPNLGNVLVFLLYLLVIFGILGLQLFAGAYEN RCRFTQFPVNGTWPADPLITHLCSSDSDCPDNEFCGNPINYNLPNQDTPIPELSYSYTTF DNILTATFTIFQALTTEGWSTMVTQLIDAVNPILVYVYFNLLIVIGSFFTINLILAVIND SFLKNQSERRQELLKEEQQRKQQRKEKKQKERQNAQIHPTQNIADLVPSDDSDSDEETQK KKEEIIKQVLKPSKFQGRAEQLKILQDQFNRKVKKEKQQINFFDPSLYTLDVNDDKGTNF DTKFKQFFLRFIESLAFTIVVNCIIAANTVVLAMDRYPQPESEITILSYLNLAFTLFFVF EMVLKFFALGISEYFKDYMNIFDAFIVAISLVEQVLDFAEISVSGGSITAVSAFRTLRVF RIFKLARSWNSLREILIAIATTLEAISFFTMLLLLFMVIASLVGMELFAYNIPDVRLNFD TFFDAMITIFALLTSESWNILTYLYMYKSDQWWPLIFFIAVVIMGNLILLKLFIAILIYN FGQKAIETEKKLQDKMRKLNFQQLVEQFRSSIKVFDPDEKIKHKSPKPEQVHPEHQKKLK INQNYIGQHQNSDVACFFLAKYDQARFEVRKETKQKKLIKRFFDTAEIVDPEEISDDSSG DEEYIKQMEQKMQLQSQGPLTFQDGYQPQVSLHQSQQQSDKKSSVFSKHDTSSAQDHLEG VLIVGTSLFIFDQTSKIRRFAFRFINKSQVIGFIILMILVSSVLLALDDPLQENNSAVLT EIDLVVTIIFTAEALLKILAYGFFFNGEFSYMRDYANVLDFIVIIFSWVSIFSEANLQIF KILRIFRVLRPLRLVSRSDSLKIAINALILSLSKIFNLILVSLVFYGMFGIFGTNFFKGA FYSCDIHKVGDYKIVGKYDCFDYGGNWVNADYNFDNALNSISSLFVISTTEGWLDQMYQG IDSVGIDQQPIYNYNMYWSLFYIGFVIIGSFFVMNLFAGVVLDAFNSETDKLRGYFYMTL EQQEWVDIKERIYYAKPQINQRMSDQWFRAKMFQIINHKYFDSMIFILITINTILFMLQY VRQPEVLNTIISYSNLAFLGIFTTEMLIKLIALGWQYFAEPFNRFDFVVVILSIAGSVLE QMSILTAFGTVISVFRSFRIVRIMRLIRSARSLKAIFETFFLTVSQLANIGGLLSIILFM FAVLGINLFPYVKWSTTGLTDAINFSSLGKAFFTLFKCSTGEQWDQVQADLVRQIRPNNV CFSENLLTDYQTYGFNGCGFWYGVAYMVSFQMIFAMIMLNLFVAIIVQGYEIIQKDEASS ISTNHIQAFIEIWKLYDQTGSGLIKALDLDRFMIKLPKPLGWKGLLLTQMEKRKFINQFR LPVYIKNQTAMYFFYDVLEVLSHNILTRKYGEDTELEPKKLVKATKDLIKKRLQLIEQLE NAKTSAWTSEDINSAFIIMRRMKWKLDELKEKRKNEVHVLRDFSSGAHSSEQDDSPDQTQ RPSQFNTQGLTSGHQMHIDI >CAK78495 pep:novel supercontig:GCA_000165425.1:CT868307:370391:375647:-1 gene:GSPATT00013925001 transcript:CAK78495 MDDEMVHINKALQYVFIILSSFQQLSFAFENYYFQNIQHNGFKNFFLEISSFTRPYVKLF KSFGVFSFIIPLVLLISYEVMIFYIFICFVKCKKQKRMSEILLEQNRLFQLILKIYSYQQ GLLYYLIFIPQLEFATSSIYYYSQKQYLNLFALPMAVICFIMIILNMLFYLFCIQQSTHI KVKNLNILSQSFNHYLNPIYLILIVCINRFESNDKQLKFYLTVTILLIKSSFNIYSIYTT FQNIYRRCIIELFSECFQFSMSLILMLIQLSQFKSQYKDYETILILIIIPLATSVPIILY NNLHYRIILNKNQMMASYLMLYKIASIIDEFGEIKHSYLHDNQKITQIIYFQQTHLQHCS TPKCLCTQQLLTFEQIINLYLKDQVNYFNLTINKLKKQSNKQLYFLHYLSLIHYLGLSTR AFQQSNLVVYQESENFSSQFSKVLIAKAESQKAESSNSLDQQHSSVQRSKVDSKSDEMIH IKIQNMGFINKQRLVLIQELIKKTMSTGLGKQSNQILSDNLDHAVKLFLKSEESNKQLKN KIIKMINKKKDFFVNLTLLNSNFNLFNAAKQMITKLTYLEDELLKLYFQFPSRKMQALNT FYQSEVMNNYFQAYKLATITSISDEKLFKMQSQINFDMFSSKLDYIIVGFDEKSHKLQIR QYSNQIHEFFGYNQEKFKQLKTIDHLLPNNFDQIHSKFVQQFLSQGYSKFFRLINQNYCR YQKKFIKAIDFFFDINVSNLQDLTFACFFQNKDSSNAFIFCCNNNRIQSVSKNFANKIGY PAKLITQLMDSLYKQPLSKIFPKLQMIMEMNGSKISQDNDEFEQELMDQSEYQVHMILPE VDCLLKNSKQTWDTESNSQQYVVEAIFHNRKLKQDTLCNYVIVEIREAKRYQKTCSTPFQ TEVITPTPGASLTQFQDDGFEDLEFLEQDEFQANIPRALEFDQQENQNQIMNLYQDQSES DGQFGRKILSNSKNQSQSFYPNVSLVSPKDAGEKLIDAKSIEGGLYDNNKRYHDAKQQFF SAVDQKDVSSNADEESMEEVENENEIENKNQIDKLQIEDIDQELQENIKMQMQLEEQDQN IVQIDDIGSQVSSVAAFKKSKYSKKYDLIQKLITSEKFSKNYFLARTFLTVLFALFTVFS IVQIIFSSDDLNRFLQELDMVQIKSNIVAPIDNYIVAQNAVMFYAILNMIGMMNASTAAQ KLEYAKNDITYNYEELKSSYIKQLSNKYLNPFFQDKNFTIMQSEQTTTSDRNVSAREAIY LSLEAAYQFVLLDYLNIFTSLDPTSGFFVYLFGNYQTFYEQLTIVNQDMLSYSVQRSITV KEKWLSLMIPILIIGFLLLSIIVKFHNQYLQQYDQFIQLFSMLEIVWVQRDIDRYKGISS LVIKDSDVLFKYQFDIDLKEKFLAAEDIRKEKIAQNQKGQKQRQKATNLNFNQSTTKLPS LVIYSTIYALCFGLCFISTSLGESYFVKYPDTTNFFNTLCDVSIASTGVFSVRQIIYVVS NKNSQAFFFIKDTTYFIQVFFEHIETINQFLAQMSEFDPSTLITSDAFINKIEQLMQNDV CEFLPDFKIESAQMHCDSLYDGVVRRGFQLALNTVRNELLTEYKNSQNFSIQSYTLNEQL EIGLISYDAVSNIKLQFQDELVRFTYNLIDQILIINICAIILYLISIGLIYKIITQIYHQ EFKLVLKFILLMPQTSLFLDSQLDRTIKQIIIKHNLT >CAK78496 pep:novel supercontig:GCA_000165425.1:CT868307:376054:377425:1 gene:GSPATT00013926001 transcript:CAK78496 MKQHHKQRGYPIDEPVLQAVMSVAQIQNQYPKMSDFNKAINLNSISKNAIFLILRSASLD NIHKGMKYGVWTSTPKSNARIDELFKESEEVYLIYSVVGTKAFQACAKLLGPFDPTATFL YWDEPLKWFGSFQIKCLFLNELKQKTLDEKQPAHLGSIVLTEQTDCTEITHGLGIFVLQC FKDQQEDETNVNVLLQQFQNMDRREDQIKQQRDLDQNFLTQQSHEQQLFEKSPFEYKNYH KRQNQQRWQNKQADQMMYMRAYYSANQQYGGQQYQGQNQQMYNQYRNDNKQQYNNYDYQF YYPQQQYQQNNGQFKYGYDQQQYNQNPNYQRQPQKYQKKYYNNNYQQNNFQQGNYQQNNY QQNPNYSGNNQKYQKQNDEQSVNNQDKQL >CAK78497 pep:novel supercontig:GCA_000165425.1:CT868307:377524:379016:-1 gene:GSPATT00013927001 transcript:CAK78497 MFFGYNSAPNSNVSQTQSILHESQVLSLQRESENYIRKVEQDKKHHYNIEETWVSTKKEW QQKKEQIKKIQHDQTLPAAKQQLNKIKNLQNALEQSILKYNESQTNNLELKKQINMLRKE RHQYINIHQDLQDELLNIENETAANEVYRNSNEQQAQKKKETIAEMKKKNDQEKEKYVEQ FDRLKKEVMEEKKKHDLDNLGQRKEKAANIDTASTLKLRLKKLISNNKEKVKLIDTYWKN MKVIEDAFNQIKEASGIQDIEEIMNTFIKSEEQNYSLYNYVDILSQQIDQLQDQNQDLKK KIDSQRVENESKKRLLMATPQAERHRKKNDLIIKKRQEEINTLRKQMEEIAPTLKDALIE LSQTQLASDPTAHLDYKLSFNLNESSLEKYLQDLERFIDLAVAKEKIGLNQSIAQSTLLL DEIPLKEFKGSNKQFNDDELLKQESQQQQYNKLLTQKALREMALESLKKKK >CAK78498 pep:novel supercontig:GCA_000165425.1:CT868307:379655:381643:-1 gene:GSPATT00013928001 transcript:CAK78498 MDPSKTQMLKLSQSTKERVEAAKQYIEKKYQKLLYEQKEKREKWEQLIQKLTNLNYTPIE QQVIKQDLLHKEAEILRLQRQKLSIKDFEPIEIIGRGAFGEVRLCRNKLSNDIVAVKKMK KSEMLYKNQVCHVRAERDLLAASDNAWIVQLKCSFQDEKYLYLVMEYLPGGDLMTLLMKK DIFTEKESQFYMAESIMAVDSVHKLKYIHRDLKPDNILLQADGHIKLSDFGLCKYVESRG TRLDERISIHKPEDKGGNTTTFKRNRIKAYSTVGTPDYIAPEVFGKSGYNETADWWSLGA ILFEMLVGYPPFFSDDPSSTCQKIINWKKTLVIPQEAKLSPAATDLILRLMTDASNRLGV NGVNEIKAHPFFAGIDWKNLRSKVSPYIPEIKSELDTRNFDKFEEQEPWVPQDSGKSVRK DVNFIGYTFNREVEVQRSYLLQALLDLDSLQTQKTVPTESTLSKSQEKKDTFFMPNLPNS ISLDPELQSKLLKTQKLLQNTKSKPLMSQKELSTTQKSQQQLSLSPTHQNFNQQNYLKQL ISPQNKKSAISPQSKPVSEHYNPTLAQLYKQFEVQKQASNTQRVQPKSQIQMPINSNLNK K >CAK78499 pep:novel supercontig:GCA_000165425.1:CT868307:382527:383202:-1 gene:GSPATT00013929001 transcript:CAK78499 MKILILNLLYLIIQCQPNERQTSGDHYHSSESSFYDEGHNNHNSNDDGRGFQEFTIFLII GVLALALPNLYQSWTEDSAAINRNKNNLDELNQKINSLAQKLQEGNLEMSFTFNDEWIQL QIEKVSVQQNENILNFEMYGSDCYGSWTKSGVININKNSQIETSFKKIYANAKLQKGDEL IYEGQFDEQNQSIKGHWQYVRSKERGQWLLRLK >CAK78500 pep:novel supercontig:GCA_000165425.1:CT868307:383710:384324:-1 gene:GSPATT00013930001 transcript:CAK78500 MDMGPRKKSFKFHIKSASTSNMFASPQSTNTPATGRSIAQFVPIPLLSFQRCEMKKYILN EEREYLKRKQIIESGYLTQRGMAKYLKPNRLKWQKNDLKIHDTILQTKLNIADIILNAKG GQSRRELLIEKIQNQIESPKQIISQRNIKSEHTVQFMDRLKFQSLPQKLKGESQSPSKSI QSQKFIFNSSTTYQTE >CAK78501 pep:novel supercontig:GCA_000165425.1:CT868307:384364:385264:1 gene:GSPATT00013931001 transcript:CAK78501 MKSNKFSQGCGPKEEKQRSRSLYASQYFNFAEVPKQRASQASIVKTEGKEIQRRLLFYHK TVLVDNVIRNSNKQQKNKNFKEKMFEINDSRQRRLSCSCNECGKRSTKMNKFHNVYQKQE QTSKDFWSSLIIQQQQQSQLTISTKSLKSTTTLLSIPDISPKKQQQKIESPMSKKYKQNQ KLASPPLINLKPYLYESPQKKFTNLQTEQTQLILKPVFLRSSVPNLETFEYSSKQSSPRR GKSNQNQKLEQQVQTARNLTKRMLQICYLKPFSGISNRNTCMNTQRKEQKY >CAK78502 pep:novel supercontig:GCA_000165425.1:CT868307:385797:387080:-1 gene:GSPATT00013932001 transcript:CAK78502 MIKNLIENAKLTAQKVMTKEQQHKQQQIESFPTFEQLAFKNYSIPKDNLWQYSIEDLSNI LHQMEDYINRLQRGYDDVCQQFLPMFNKFEMLEKERKRMAQELAEKESHCKELEQAMIEM QADADNELRKKEVIECELEQSKKELQTVQAQINWNNNQIDDKELQEINAAFIDLDKSNDE QYKQRIDALQKEIQEYIQLNKDQLHKINLQAQEIDKQSQENRQINRNFNMVLQQLADQQS KSRQEIESKQQQINMLIKENNKLINQLQQFDAQRNIELQIQLENTKQKEEIQDLKKVLIK KGEEIKALNDQILSEKQQQQQLNDLIKVLKGKRDVAISEITQMQSKQQVDNSQSITQQKL KQIRFSIQNKLIQMRKEFENMYKQYLTLICKLEDNKLSNDLMKLESIINKIVIDMQDLVD EVEDLMN >CAK78503 pep:novel supercontig:GCA_000165425.1:CT868307:387317:388662:1 gene:GSPATT00013933001 transcript:CAK78503 MEKVLSYDQIVSHLVEFCHKSQDEEIKQIEATKILNQMSMKNGHQEFNYEIAVELFERVA SYNTSLTIQTLANVIYEANDIIINKYNHAMNREMYMEEQKAYLKHIKPYGNTTNLHIRSV KIQEAYVHRPYIVVLIGEFIHESEIQTRKDGWFEWSLDVQIPVKSLVTDLKVELLEDHNI IASLQIPCEALPMNEMKEAELQMQNANCHTLIQLQCMLNIGNNYKELIDEKMQFLDNQTQ ICQEELFLLQSQLHELSKPFFRDANIQPKQIGYSNKSHLNTEIFQNIPDKSTLQPPSLQA TAFRNTSSALGFKKIIIEPERKFHSYPIMARIDLLATLIAIISMMINFFTPDISITFIMV ILFSIMLKHKYTLIYILILVTFGILIEIFTLSYLDLSIFAILLILLNMLLNFGLLLLTGL LLNDVENVSRLKSKLLLPL >CAK78504 pep:novel supercontig:GCA_000165425.1:CT868307:388675:389258:1 gene:GSPATT00013934001 transcript:CAK78504 MFTLKKYLYDIAYGQRKVKNRLGIVVMLISGYAGYNIGTNQSEKLDTSSLYQFNGQDEEI YNLLQTKPVLQLIYPPGEPYFDNYRTAFIKASNKFNQVHFVLVNTNQHFQYCRNIDLSRL PQGLLWLPQNFNLDKIEKFKQEGVFPVVEYLKEYSVEGIECFLMQNGVIPEKKSTDKLTQ QIKPLM >CAK78505 pep:novel supercontig:GCA_000165425.1:CT868307:389389:390347:1 gene:GSPATT00013935001 transcript:CAK78505 MDNLADEEIYNDRNRIEPFVRAITRNKHLFKDKIVLDLNAGMGLLSVLASESGAKQVIAM KANSYATEIMKLNNIDNVKLYTENIREVELNCKIDIIIAAWMGNMLFYGGCIQDVIYARD KYLNQDGFIMPDKGQLYLQSIEDSQYRKTKMNFWDSVYGVNMKWMKQWIAKEPLLESIKE NQLNSDEQLIYEINLQTCTLGDLSFSNQYQVRIKRQDYATGVIVWMKYSFTYTHLPIHVI MGPTKSPFWKPVILYFREEFPVNKGDKLEGSLAVKFESEELLQIKLSVHMQQYNYVSYFK LN >CAK78506 pep:novel supercontig:GCA_000165425.1:CT868307:390364:392475:-1 gene:GSPATT00013936001 transcript:CAK78506 MNEQLRFIKLEESIEQLSKSFLPHLNQISLMQQKLSTLDVFITNNPRVDLISDTIQLLNS QLKIQERLSSIESQHSKFSSHYDEILNRHSVYIMQIQPWIDEMHSILEEAKQNREVNNQM RINFKEMNQKHKEYTGLLLQNDRLTQNYKTRLDQFETQLASKIDHSEITKVQDLMRRDFV TCLELDNIKNQIQQRIDRLQGEMSTKQQLGIVQDNIQFVKDRQVSVLTELVDKKVEDLAN SKLKVYATKNDQRLFEDRINQVCKILSEKILMVQKETTEAHKLMQEQIKEENQQLNDLKS QLHIVNSTFQKVVMKDELQIAMQKTLRLQRESEYLNEKLESISAEIFEQKVSFQAFNKQK NLEIQTLQHQLVQKEGESVTYCESPKRAEVSQEEVESAQSSPRKKIRKSAMLTRQGSIIN SDMMQRIFQRSDARIQELQNQLDEIRQRFPKASKFQENITQPVSDTINIRMEYFNQMIIQ LLEETKKFKTSFDLLNQQIIAINSKPQIKEETLQQIDERLRFIQELEFKLDQKCDSSLVI PILDSKANAQEFMETKLLSKQLKQCVLDTINSLRTFFTSDQNDQIKDLLINQLSRYLCYF VRQIEGQQQQQQSDSQQNPQSTKFLQPKYTAQRMKSYSSCSKRRETDCEIRGRAVNRNIT ETAVYNSLRSSPLAFKLVNQNKQSATIVKRMKVQ >CAK78507 pep:novel supercontig:GCA_000165425.1:CT868307:392487:394513:1 gene:GSPATT00013937001 transcript:CAK78507 MQISEKGFYRILNSYDQNDPRKHVDWTTDFTHELPIRGTKDPIPITIPQAFKQDCVKFLD MPALSVKRNKIWQTLSYGAYYSAVLDFASALIELNITELSAVNIIGFNAPEWNIAFMGSI HAHNLPVGIYTTNNPEACLYVSEHSECELLVADTREQLQKYLSIWDRLPKLKAVVLYNDN LDHIKNIPPYRKVYSWNDFLEIGKKSNNLKVVDQRTSKLEPGNCCTLIYTSGTTGNPKGV MLSHDNYMFIVAQHLKKYKIDDGYRIVSYLPLSHVAAQLVDLIGLFRWGGHLYFANPDAL QGSLINTLKEVRPTFFLGVPRVWEKIYEEMQKVAKSNGVIKTLIATWAKSLGKSGTFAQT HELQPPTCFNLAETMVYQQVKKALGLDKAAYLLFGAAPLNPKIREYFLSLNMFLINAYGM SECGGVQTLSFPENFSQFDSFFMSSAGQAIEGTQMKIFQQDKDGNGEICYKGRHIFMGYF KDDDSTRQAIDEDGFLHSGDIGKIDQKGNLIITGRIKELIITAGGENVAPILIENEIKKN LEFVSNCMVIGDNRRYLSVLLTLKQDQTAKGKLSAEVISEFQAQGSQATTVEEAKLDPNV KKHIQSLIDQANQYVISKAQQIRKWTIIEGDFSVETGELTPTLKLKRKVVEKKWKGEIER MYLDSRL >CAK78508 pep:novel supercontig:GCA_000165425.1:CT868307:394575:399296:-1 gene:GSPATT00013938001 transcript:CAK78508 MKKAICLFIYFNQIPIQHSMLNSYTSKQRKRFQENRCHYLEQAQPDESVSSNTELEHQQQ DIRVRLERTSKKKVSVKIRNLDIDSDIIKEENSEDYASEKQSQDQMDRQESNAVLNLIPE EEIESPFKTRANQNKEMLWKASNPESQNIEVQLFNTPKQHQVGADSPKIENAIKSYKNLN TMNSLYSPVKGSQKLIINDDTIYEHYNIFQRLFLYHIFCYMKQMKKFVESSTQKISVAQL PKQAFRDSIQYNLKRIYEQIKNNVNIFIQHSQDLYNFNNRALFKLFFWQDNKYVTLMIVT LAMFETYSRFIMAILTETLIAAITEKDMNSAYLQAVALAILSLLALMSKHQQQYQINSIN RYLISNFATKIRMVFINLIYDRIIELNSTQIATLNVGKIMNLVSSDLNVIEYQLTFIYQV AVIPGSLLFTSIILWLRFEGPLGLVAIIFCAVLYPLQILIQNVNKKLLLQTRKLQDQRIQ QTNTVIEGIKYIKMYVWERIFENKINVIRRKEFFYYLNIHVLNLLDRSFNFSVHIWGSFC FILILYACDTHLTISTIMGTIQLMSMIKYYCIFQVSYAFQALMNFSVIFSRVSEILRQQS NNITTIDQFRTTFTTYDIQHQPMQQLLVDRTRGLNRKGSLTLQQTSLVTIYQYSGRWSKD GPLAISSINLDIKTGEIMGVIGKVGAGKSTLLAAILQELPYYEGSVNQSKKLKLAYVEQE PFIYTGTIKENILFGKDYDQVLYLKVLEVSCLDQDILSFRQGDKTEIGEKGANLSGGQRA RLSLARALYSQADLYLFDDPLSAVDSKVAGKIFDNAIKDFIFKFQPNYRPSLIKTHQAFI QQTPSVILATHQISYALECDYVVIMDGGMITHQGQKNKMKKHILEITSAHTSNTNVDNPV RSLKIRRPSKLTVKVVNQMQKSSKDETQALYTTEDQNQSDASFDTYKRYFSYWKPFILIF VILFQNVASEVINNYYYKEMASFNEDRQRENDQIFYNAAILVLAAYFNNIVKYFLNIFGV LTSNNTIHNQMLKRLILSPIIYFDTNPSGRLINRFSTDLSLADTQIQSTITDIFEQGSQF LVSLVTIAILQPYFTFAAIFTIISTVVIFRISRSVVSQLKICDLIQRSPLFDQFKITING VTQIRINENSSWIKEKFVKLSNQSMQANLIFLYSQRCFGFYIDLFGQFANISGIFLIIGM VSDPTIFSQALLLLSTFNTQAGTLRQFMAFDSMMNSVNRMFEICDLEIEKEEAAGELEMR NWPRLGSILYSNVTMQYRENTPLVLKGMNFSIKDKEKVGIVGRTGAGKSSVISSLFRLNS IENSGIISIDDQDIRKINLYKLRKEISIIPQVPFLFKGTLRENLDPFQKFDDKTLLNVLS DTGLEGFIQQLPNGLEHQIEPEFFSIGQKQLICLSRVLLNKKKILILDEATANLDMITDC LMQTIIKDKFNDCTIFTIAHRLNTIADYDKVLVLEDGKVLEEGHPYELLVQNPKVSTYIN SDSAFAKMVLQTGHKNSSQIYATARRSYLQKHEIQSKSNCNSNIMDVSLSSINEFNI >CAK78509 pep:novel supercontig:GCA_000165425.1:CT868307:399371:400566:-1 gene:GSPATT00013939001 transcript:CAK78509 MMNIQQYQNYFPYVNEAQVQFQGEIEQAKQNQGSNYNEFNLFQQNFYAPAFPPYQFEFVQ EHEIPSQIKNKKNKKHKNNDSKGLLPKTLESDKQFVEDQPQIIIPSDQMYNIHSHPSIKQ PYPVFHYTQGNPMPKLQSDIVSNPHQDGQGSQEGSQQTQKQKGQHSQIKKPKKENINTGH WSTDEHSTYISFLQQYEDIMTSSMMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPYALR GENGKRLPRAERSRAGRKKKNPQADLPKAEEANIIANYETHDPYYYMMLEQQKYYYSNLQ QEFWNPQHEMEHHPSIKKEEDIEFMNNPHLQQLQQEYEECLKYNLPFVQRNGIHSDYNFD ARNQVVNDLLI >CAK78510 pep:novel supercontig:GCA_000165425.1:CT868307:400635:405924:-1 gene:GSPATT00013940001 transcript:CAK78510 MKKEKKKQKQKSSLDERFIEFYIQVRVLCEHYLVKFNSAIINIVLIFSTIQELSFLLLNY QEELFSKGINFFTFIREISLASRIKLSSNNEFKHLSYLIPLCITILYQIVLIYLFIIYLG KNKKQHRLSEFLKTQNRLIQILLRILSYFHSLLYYILIIPMMELTLVSLFHNAQSSSIDM IHLSFSIITLIFVIANFLIQLFCNLESISITPQNLQLLQATFSNYWKSLLNFIIIVIDQM ESSYLTKFYLIFSIALIKQSSNFWVLIWSYPNLHHRQKIEIQCNFFQITMAIILFINQVS EQKQDTYDVLLILLISPILNELIYNYEIKQHESLMTTSSSKITADMLQYKIGNIIHEYGN LQSNYLQETKKITYIHYFKQTHLDECDDSKCLCKRQMVSFEDVVGLYLKDQVKSFDNMIS RTKDDSARQSYFLHYLSLINYLGLNTKAFQQSNFLAQLDSEQIQFSSSATHGVNYQFNHQ KSDRKEQNNSSDGKSHSIQKSKSLGDKNAQSSSHIRLNNMGFIARQKLNLIQEQIKQSMS MGFSKQSAQLSENLEHAVKLFLQSEENNKRLRKKVVKMINRKREFFNNLNQLSNDLNIFR AGKKLLVQMNSLEDQLYKLYDQFPSQKMQALNTFYQSEIMNNYFSAYKLATIASISDEKL LKMQSQTNFDLFSNKIDYLIIGFDQQSHKLSIRGGSNMIHQFFGLSQDSFKMISQINSIL PQGFEVVHEKLVANFLQHGVSKYFRQINLNFCQYQQKYIKTIDFFYDINFMNLDDLTFAC FLQNLETQSVYLFSLSNHKVSGISKNFINKLGYSSKLNKELAQAFQRVPLSKIFPKISLI LEANITKASDANLDVIDVTQISEYSLQMQVPLALLLENNQKINWENQDHLTSYQVDCILH IRNFKTDTNYIIIEIKEIKKQSRTENTTPEPQLTLGQNSNQEFESFNAYEFEQEDIEYAV NLPKAIELYGLEDQTANLENLDHMDQFDYNINKLRVNDKSLNQSYQQPYQNQSMLSPKDS GLRLIENKNPDFNVTQTKGKQFGNKQQFFKSIEQIEQEEQSSSFQQSSEKKQQMIENQVD KLQYDDIDQELQENIRMQMQMDSKEQRVVHIDDIGSQVSSIAGLKKSKYSKKYDLIEKLI NSTKFSKKFKLMLVFLTVMFVLFLVYSGIMLYYSNDDLNRFLEELDLIQIKSNIIGPVNK YIVSQNSLTVYTVLLLLYPNITVQSQIAKMQYSIRDINYTYFELKDSFTKQLSNPYLNPF FEDKYFNILVGKYPNTSNYSISARESMYLYLEASYNFVKIDYLNIITLDLTQGFLVFLYG NYQTFVEQLSLLNQEMVNYSINRSGTVSEKWNYLLIPIVLICFLLLVIAFTFYKAYLNQY DNFLQLFNFIDVVWLQRDIDRYRGIASLLIKDSDVLFKYQFDIDIKEKFLAAEDIRKEKI AQNQKNNKQKQKGQIANLNQRMSLFPSLFTLTFIFGICFIFCFITNSLGRNYFDKYPDTT TFFNSLSDLCIAVSGVFSMREVTYYQKYPIGTIFYFFHDKNATFFVQNFFDQIEIINNFL SLVVQMDKSKYITSDEFISEMELIMSTDVCQFLPANKIEMAQSHCDAVYQGVLRKGFSAA GATIRNNLLNEYNQTKGFVLKVYSPEEELEAGLILYDTISVLKQKFQSQLKNSTDELILQ ILIINLVFIILMVLSILLVFTKILSYFKWEFNLMKRFLLLLPQQSLFLDNQLDRTIRQMV VKDDLT >CAK78511 pep:novel supercontig:GCA_000165425.1:CT868307:406035:406982:1 gene:GSPATT00013941001 transcript:CAK78511 MNQRQGPKFLISLFEILEGEENINVIRWNNEGDAFEIVNADLLVNSILSVHYKQSNFFSF VRQLNQYGFNKQKIDKNSYSFRHKYFLKDQKKLIAKIVSSHKQKVLEFKREQRENVFNDD EQNVQTKTIQELQQNQHKIFSQFKEQMNTQMQIKQLIIYLKNKIAEFNIQIEQQCFIAQT LLIKVIKTIPNDEQYQCETELLKNVLQEFSNIYLEYYGYNQSQRSPNLSILSLTPAPFQM NEQQRRSLGLVDTNKVHINEQIQQYLRWVKESYERAIIRNAI >CAK78512 pep:novel supercontig:GCA_000165425.1:CT868307:407151:408659:1 gene:GSPATT00013942001 transcript:CAK78512 MIKNLQEEIEKFRTTQIEIQIEKHKHSLSEDSVLQCYLVMINSDLQQALKEYINQLLDYA KNLPLPSNNELAVAFSQSFIPINTPFIKKFKQVKKIIEKVNYNVLNHFRKMYDEMRNVQC KKMEQQIKENDFSKCICAIYQKTKTPKSIPNAIILVCFMCRFQFHNTCLRYKIKDGQPSF VCPQCILTNMDPLHKVESMLSCLTLETRSQSEKSTTMNFTLNQVELNQSLDIRCIRLDGY RYEQSWPDIGDLMINGIKVVQFKPLKVNSSLKYRKDEYYTVKNPKQGQYRVVLRSQSSNL NDRKNFQIKTDQLFYFGAFSVSEISSTELIQQYQFDKSKWVNTQQMKDNICMYSNLNQSA DIAVNKISVSLICQITTLPIKIPCRGILCEHIQCFCLDSFCKFIESLTQKKWSCPVCKRI CLDFYIDGYQFSILEFLKSSKANKQSKQSFEQQVSNINFDRNGHYLDNFIDGSQLKFTPG RNYFNEIYSQKKLIKEDQIVKI >CAK78513 pep:novel supercontig:GCA_000165425.1:CT868307:408726:415266:-1 gene:GSPATT00013943001 transcript:CAK78513 MSRKGQSVIGEEEEILKSINKDDKSMISENKKEEEIGNGEKSEKEKDSSNNVLDEIEQII ANDAGFCTNLQLIPTIFSMLLIIYVVLIIQIYLEYRIPEVHETRQSIQKYINQRITTQLH EIQDVPIIYSEYLEKIIYFMHDHSEDRNRFSLLYNPQIENSSQYNESQYIFLENYNYYLC SVVTFTLKHVDQDLESDEFVRKIIGIQNTDIYTMADNMLKQQWDMNFANQIDMSTIDKKF LPNISVECNILINSILESEGSFQTKIFFTNTMEQAFASEMLSIVESQFQFSSLFNLKHDI YIINPLTEVILKLSNQFDISNQGRIQYQQVIDYGVYYYQGSNVQSNVVFGIVTKSLFAAV VFFQIVYTIGKRIFFMVIKFLSTRIFEFEFDLLFITAQRTLNFIYIIVWFLMFSQFQEIS ITPQITQEQIVGLDNYLQNSNTYQLFAITTFIITIYDIIKIMSSFFPTFGVLMYTFSVAG KDMLIFISFTAMALISFIMISNVIFGPLNDEIATLGNAAYLCFEFFCGNFKFAFLESIVP GIGFVYSLLFFIAFNLILLPYFYGIIILTYGQLRQKLQLTTQALAEIAADKSTAATMKWI NLIFFVPPVTEEQQLREKQKKEEAERKKKEEEESKKKKKTKTPLSSGQPPLSDQKSIKSG ISIKSARPDQIRGLLKKKNDDASSPQKAQPNAAKPEEPKEKEKELTLKEIFMYNFQQLDI KNVVMNFIGLGQEDLLTKQQRIKEINDKKEEIIKRQADNRKEMKEMKEKNLFTNLKDGFL HIIFLIFLIIGFQNQTLKSSTFNQEYYIKSFFDSSLFPGVKHFKSHDIILDDVESSRDID SYIKNVIFSTAGDDYDNNYSKEQLRDFDVFVGYSPVRMTIRLYEGLNVNFFTENKDLEFR GRSSSDFSPFSGESSGEKMENLYKSGIEYQYRGKKNPSAAYQAGGYTFQFQSEISGAVEQ YQKIQSDSFFTVSTGIINCEFIIYNPPQDLYSFVTVTIYIKATGQIEHIITLNVQFLISL LQTFPITLYSGSRLTRIVFELIVLYFHIKFWKMFLNQWKEVWNHPSAIEFTPYDLPPERK FSFVERHLNMDFSDKKNDQIVNLLFGIGKRIYGFIMRLLTSLKLMVQQTFFNILLITGIL LMFIQIIYWLQIVFNQLRMSFDYYEGFLFADKEPPGMFNDFQNLAQLFQLYNTMASFILF NEMIRIMVYFSFSAKLSLVLDIIASASIDIVFFMIMFCLIVFAFACVGVLSFGYVNGSFR DLYLSVIYSLQIVSQNAKMHKSDGENYQASVILYYFLINIVILLVLIRMLIAILDGHFIE INSEQTERLGLVDTIMSILRNEYEKLKNEEDDDDGNNEREDDEDKVYSEWAILNWIYKQI HIIKDLTLLVYEYGESKFKEYRTVLFGMLFEKDDNKKVSRKSSINEEGGEDDEEDDNKLK IELDFDEDKNITGNRQRIESLEEIKIYNSANEAIANLQNLTGKKLASEQFKTTQVSTWIA ALEKGIQDIASNQLNFQQYYTPQPNQKMDWIIQFQFHDFEKKYKDLGFEIHGDDQGDFAQ TIKQDQYIEATHNLIEKIDTQISNLNIKQLDTFQSLIRSIDFLFFFFTNIILVKNPEQYL ERYQVKIQEQEKFKQKQKTLKLQQQQQKGKVKQPKQHKVEFDISLLDISNEVRYFDIDTE KIQRQGSRKKSVLKSMKSIKTVNNQQNNQVIEIQTEPSKFAKFNAEHLKSMNAQQIISVF SKLVCLFQIWQELKLNEKIILWFGNVLHTSKKEKLNAQPYFCIYHKIAFWNEAGWDKRTL QRIAVSSDDHKKLYDDYKDQNIVPEFQFIQFWDVLSKMQVINQKSNDKQDGDEEADESNQ TDFLPVSSVQIQFVQQLLRGKGSKDLLVQVENANSLEKKFAILKTSHLQNDHKIIIWILM NPMEKMEMILQQEKRQQVQFMILMLLEELYDNFIMLEKIEKDFFNQLNSKIYLSFHNFAE FNALSTALNIRNKYTQQSVNDAKDYTDYIQFLELQLANSNQALAKYSDFLIKEVKKVKNK GLLKSNLGNLIKGQGQQQSSKDDQGDFQQPMQPGVTKTNQKLKQVTIREDANT >CAK78514 pep:novel supercontig:GCA_000165425.1:CT868307:415291:417479:1 gene:GSPATT00013944001 transcript:CAK78514 MQNKQLVTRTTTRRNLLQSQSVLEQSLKSSILQKSNFQLQIQSQVISNNNNPNYEDKQNS QNPYFNDLSGISHDSENDIFRDCPTYKNGPKSTGSLKSRSKILNQMAYITQKMIPDFQRG LQLISQAEDKVLDFRVEQSEQPVRISSQQLGLGEIMINYQQDKHPIELRPELWNVQSVRQ MEFYYYKLRSKQQPVPLQFYMNQSETTNFKIFVSSVNPFPTKFSCDQIISVKGWKYKIDE SKTFHCDYIYISMVCDLDTQVKLKFQFGNRLLKRPQTISRKIEPSSLLIRSVHHQQLERM NSDLLNAQVAEIKKRRKEKLRSRGIFKDLIKENIQKVIEYNEEHQRSFRELKKTQGDNRI EDVLCRKKLMSQNEQQRKEYSQKTKELSKIIRQRHNFVLSKKNLKNRVCTHWFNSIYLSL ICTKIYFMFQHKIEAQNQSTLMAFQVKRIMKRIHKRLSAIKGVTIYDRVFFDVKLVLQGF CKAVYSKQVKLHMEKIIPHLKTRAEIYHLKSQVLITNLKISKIRMMFLEFLLRYKSYKQM LHNIWNKYFKEQFLKYYNGLDQSKKGTYQPYIIQLQNQDYFIQLQSVFIETYSKDKLRKY LKDMSVYAKYLKKLRSQKYNKTKRRDIANEQILHSPRMFQLPTYDDVLEYLPLLVVNTNK GDLRTSQQMQQSQMPLIQESQEKKKKHAKSKK >CAK78515 pep:novel supercontig:GCA_000165425.1:CT868307:417499:423035:1 gene:GSPATT00013945001 transcript:CAK78515 MQWIRDYMNLIIQTNLSPSLNSAKFITFQILIYYLQLTHFITQNYDENVFRLIKNISEIC VLTPLLQSIYAVNVLTGILLILLNLLPYLIILHRKFTNEHQKVAIISKSLSQYATKLVNC YFLYFTWFLYLPQMHYIGWNFLSQKDLFLIVISTIILCISTGSLLISNIYFINFEFNEQS LRKHFTYYNCYAQLLIIPMAILNQSAESLYQLIGRIIHGIILFVLIYEAYVELPFGFSKY STVYNRLLIMHILLFIFTSYLYSSPEQAYSLLAIMLILQPVSQYLFQTLIQHKRLKTYHN TVNQYYELLIIEDFFDLIQAAQKSKKRIIELIQKFSLHLNRCQSVKCQCKKIGAVGVLRQ EDAVILTSCLFRIGFEKHRNDSKNLEIYSLKFLTFINKYRNNAPKSYQELKILFQKKREY SFYFIQISLLLQFILQAQMQKDEDYNINKDTRVSNVKLQVSKSERSIVQTLYQMEQIKQN LLPLLMQLTQFKVQFWKQYLAGKFSNFSEIEIEVKKLQLLKNQILNQLKIYQPIFYTHGR TFNVQFLKYSALIDLLLFNNVRKYFELERERREILQLEKSMNSFDITNINFFKGEAISVK VCIAQGPNIGKVLNEVISPLIPKFFGFHHFDNPMEAFLDFTKGNINTLMPTWLEPVHDEI MQNYIRRGGTARIGKYFQTFAKIYDKTLIRCQVYLAHNFSQNLTDDFTMIGCLKSLEVDQ PKFIPGKEPKKIKDVAFKGTQHILFDVNGTILGITQGLYQMIERLQRIKSNQKVESLKNH SHEKSKSENSSIESESFDVYQQQWSNEMLRIDEFYQKVLIWMLLPFVPREIEQTGIEYLM DGETPPKNRYPNLVDLETSNSIVSNKETYLFIPEDINLFVSQYEKVLQKIMDDVRVQSNN FSSGSYKGMKSEYQESESLSAQQSVTIYNEKLCSFYFDQHLKSHQALQFGTTRQSEIQKT SMKPSSQSQVTLHSEEDSEQLKTKAEKIYYDKYTKYIEKITLQNFNPVPIIYSVNYEEYR YKKNDVGQKQQFFVIELVVNESQLLTTMGYKRQVRETIKQACLNFQSKRLLIEQQVQTED SNSVQSNISEQISDHDGEIINYMFPTHPSHYYEDLYFQSPKLDQDSNQFQSNEDKNEPQK KQSINTQDSLIKGSFKLKFPERNLALKTMNKYLDRKRQQDFFNETDSKLAIDSRASQQQI QEEHQVKYSENLKLFDQNYKSIHSKMEIFKNPTSFKIQKYLLYFVLFLIIGYIILLTIAV LQQYNFNECFDLIELMLSTQQSYSQITHGLFRLELSNKFSIDKNLTEFYSLQIDSNLQNL INLQSEQLININQINFSVNQFYNIEYQMILNPTLQQTIQMSLAQFYKIKNNSANNSQVAL NSIANLKEIGQLPKLAYDNCYDDQVQNEEQLQSLLTIYMLIIFFLVMLLQFLQVPLISKL KNDHRRLYKLVIKLQIYEVQDEIETYEHILSIFKKSLYEWMLIDFVQETRMFENSLDNIQ FQTQQLTDQSQNLLLNNSNKKNKFKLLEKLKKQRINQFKYIIILMMGLIVILAYFLIIFF VIFILSQQLFANADFLFKFKLIQSYFINIINNIDLVSYNTYDQQSFINLMSQEEFNNYSL SLKSDQQDQYIEFNNNFASIVSDEQLQYNLQQINENNICLDQIGIACNETDSFKLNPNIL QYYQHGMKYLITQIDKLIESQPHFFYDSINNNSFKQVQDFYSNNDHIVYIDYGSEILISA YAKLVETAHQEFDQALTIYKQTLLIFILSVGMMGLLIISMLGRLLLNMQQDSISTCQSSL LLISPKRYLNQNIAQLTQKKR >CAK78516 pep:novel supercontig:GCA_000165425.1:CT868307:423181:424955:1 gene:GSPATT00013946001 transcript:CAK78516 MNPTFKQSQQIENSSNIMGSSAGFNRKIKLASQRPGSRDQKLKTGEMQFENVYLNRFPQF QDPLATNPFNLQSLNLYQDENTFITTMKAELHQIQEGINKLDFDGRIRNNDPRQEYRLQQ VKKWVAQNSQSKLALEKLNNIEISQLLTILDVQTLEEAIVKLYKFISIMDSVIMKVTEYE AIHLIKFDELFSSLCPNYQENLQQISVLKFPIAISNINRMTELYQVKQDKNQQQKLINDN SNNQGLLKQIKQKDDQISELNKTIKQLQLQLQASMKGNNNNNNTNNNNNSNNNNNNKNEN SQEQIDQIRNEYEIALQKQSETLNLKHEKEIEMFQTQIEQFQKQLTQLKNHYEKQISDLV SQSQQDQLNIKSQYEDKLKQQQQQQQSQQQQQQQQSSQIQTQTNTQQQSNGNAKDHNYYQ KILDNAQLEKCNLIFTIQDLIKLRNQLTEKQTIIESLQREVQQWKETIDRLNKVKDEIYQ QFQKRYTTQEQFSNSYEAALKLEFETMKKSFEQKIQKYQQDLESQRREAGRSLNEIRVQL EREQETKKLLLNKLSLYI >CAK78517 pep:novel supercontig:GCA_000165425.1:CT868307:425118:426865:-1 gene:GSPATT00013947001 transcript:CAK78517 MEQLLPIVIREYNVFKQYIKEFYKQTPMQEYTRSFDVFDTAVLVAILCVSGLWALRNLKF PNRDYNQSLFGYFQAQIFLFLVNYCPGVNSYIEKKKSEALQSFNDSMEKMTTKKTLKLPD NGVDTEAMMEKMKDWIERDSKNYGSGKVSGSLYVMPDKQFIKNAQDFCKHFLYSNPMHAD LWPATRQMEAEVIRMTGDLFGQEKESIGILTTGGTESILLAMLAYRNWGESQKGIRQPNI VIPETAHAAFYRAGEYFKIQVRIAKINNTTFQVDVNDLRSQIDSNTVCIVGSLPNFGFGT CDPIEELASIAKKKKIGLHVDACLGGFTAVFAKDHGFDLGKFDFTLDGVTSISCDQHKHG LAPKGVSTVLFKTKQLREHAFFSIATWSGGAYAVPSIQGSKCGVGAAGAWFTLQSIGKKK YVEYSKKIMDATQSLAKQLSEIPEIKVFGNPQINCVAFMSKESWLNAYSIHEILTHQGWT ISSVQNPAGVHISLTQQNIVNLKQYVHDIKAAIEKIKANPQVYQKGGEMGTLYGTTQKIP DSKLAGQALKVYLDSLLKI >CAK78518 pep:novel supercontig:GCA_000165425.1:CT868307:426878:427344:-1 gene:GSPATT00013948001 transcript:CAK78518 MNQFESNEARILYLIYTLYQNNTISLDQKGILKGTNSVIVTDYLITKGNGRFMNAITSFE QSKDIDQLSQFLIQFVDMENSLSDYVSVDSYKTIKSSKPCELLKETTQSSNTNSNQYILF SPVLIQKKNRDAQFISRKDRKYLTQIQ >CAK78519 pep:novel supercontig:GCA_000165425.1:CT868307:427649:428048:-1 gene:GSPATT00013949001 transcript:CAK78519 MNNAKIVQQRHYREKLFKLTFNTSVINKDLSTLDQHLQKNYYYGQQTWKLSYVIRNYNNK VKFGNGKDQALKDPKKILVYKLFSLLVLVSPFRKTLYYRITLNQFTHYKLQKRFKKGLEM DVNDIN >CAK78520 pep:novel supercontig:GCA_000165425.1:CT868307:428966:429487:-1 gene:GSPATT00013950001 transcript:CAK78520 MISSISSNSEQNLDQNNLPILSFLNNQRKRSIQYVKFKMQTVKVYKNFACYQVEVNEQGK IWIMEIRYSTLQQFYQKLKEYYDVKLTFPGKLFFGNLCPNNLKKRAIGIQQFLQELGSNY QLINSEVCSEFLAKQQHYGTHVIDLTEQEEFELDA >CAK78521 pep:novel supercontig:GCA_000165425.1:CT868307:430420:431043:1 gene:GSPATT00013951001 transcript:CAK78521 MGGACSGTKQPQQKQKQQQQELKIIEQPYLHVQSMSQPQSRPSEQRGETGPCVKELLYCY KRFTDFLELVGNLDHLATHAREQLNNCIIQRSNINILIQNSIKRIMKEQKIIKRVEESDY YLIHNDKKFAVTFVQLMQKISNIILTELQSDSNFQEAFPMLIVSFVDLATQIIKEIENFQ NFKTPSVQKQNTKPPISQDNYQASTNK >CAK78522 pep:novel supercontig:GCA_000165425.1:CT868307:431325:432570:1 gene:GSPATT00013952001 transcript:CAK78522 MDQTPTSINTFTTTDKELENNLKDLLERILVYVEKYIETKEELNQIENELKDYESLSYLV GIIKVIFTKLMLKIEKKLLKLDKCNDLNKSYLLKDDEEYDKLEQNLIKYEQEIRNHISVE QQLKLYAESIQSKLDESEQIRQELLETTKNNISKLKRENQELNEKEQQLQQEVMNLKQTI SNLEKENKRKSIDSNQRDYLQALINKQSNQSSSNQQKNGSNQKFTQDQRQLNSHSEHKSN PKQFLKEQQELIIPTQSSQKLNYYSIITNSNNKQKSEVIKSMQQKDFNNIYSQIMRTKHN SLSSINDLIQNASQQDKKRQDQFQSISKNSSQNNSMIQKNREFKQIEPIELQQRSKSSKR AEDIIKFQTLESLIKLK >CAK78523 pep:novel supercontig:GCA_000165425.1:CT868307:432654:433774:1 gene:GSPATT00013953001 transcript:CAK78523 MLYLIVILCVAHSSAQFGSEYYSEWQSGVDRTINKQQEFTNPSFPIRQYTQIVFPRRFSA RPQVLLMHEEIQWSKGKNINYQTFVSDITINGFYINYVIWGPAIVPILTVRWIAVVDEEA EIQYVQYSYEDLYQLRQGFGQRAQDFVISYYPKYPAPKVTAFIVGGDFEIIDSLYTSIQI VLGIPTTSSMDVRFSTRDFCHVRSLTVAYFISNNYLTLAGSVGAYHNYFNQYLDNSNKIA VRAQKFTRIVPSIYTLEPGNNILAQGISGFDVNTQVGSAYLRVTCEPMISYSSTYTLIYG TRGETLLSFMQASYVLHPPGSPYHYYYKNYDWVVLKGDQVVLKEKVQVEKKISELDIK >CAK78524 pep:novel supercontig:GCA_000165425.1:CT868307:433810:439231:-1 gene:GSPATT00013954001 transcript:CAK78524 MGHLSSLLKKNWILWKRNCFCSTCEIVLPLLLILALAGIRQLLVQFFRGVVDKVNLDETG FLEPKLEWRSEDPNVPVELSPLINEQEIETRMKLMQKWNATLNLFENLKALDTRTRLQVL PKMKNCIDNYNYQQEREWLNGHVALGPNENHEVVKELKYILENYYFYETKIFQNSEELND YTADSDYGRDGVPRVCFGVIFNESAGNNIYDYQLRFNSSGFNDYEIPPTNLMDVDPIKYQ DYEKVNAYWESGMLTVQTFVDNIILRIETNNQAKITPKFSYVHQQDGVKDDFATFLRGQF GVYLILPLIIIYLRMTYAMIYEKEKKLREGMKMMGLNNTSFYLSWIIQYLIIYTIISIIA TILLSAIVFTHTDGFVLFLNYWLFCIVLIFQSMFISVFFTRALFGLIVAIVWYLLMYMVI SLVGSGSELVPESSYWGASISSHAAMSFAFDVMVLFEAQGRGVSMSTITTKVENYAVNIA LIMHILNIFFYLIMSIYLDLVFPNEWGKKLHPLFCIPYFNRSHNGAQSKLMKKSSQIHQE RYEEVDQALKDQESRQEVLQISNLTKIYPSGKQAVSNVSLTMYIGQIYALLGHNGAGKTT TISMLTGLLDITQGEASVFGLDVETQIEEIRQFMGVCPQHDILFDNLTVKEHLEMFATFK GMKPDEIPAAVRRMIEDVDLLEKTDYLSKNLSGGQKRRLSVAIAFIGNSKLIYLDEPTSG MDTSARRYIWEMLKNYKEDRIIVLTTHFMDEADFLGDRIGIMGEGKLQCSGSSVFLKNQF GNGYNLTIVKESTLTESDPIIEVIMKACPEAVLISKVSAEILMQLPLNAADKFPKLFLEL DNNSKALHIQSYGISITTLEEVFLKVAQIGAGHHQVNDYMEMEDKNKQAVQIDDFDINQI RITNSTQLFFNHTLALLMKRARYFKRDVKSLCCEILLPCLVVLLGLILMTIEFITEPNVI ILTPPSECYGQGIQYLWGGINDQSLFSQIDLQMYNSSIQVFGDDSLNNLQKIDQSYFDTF EIRENLGWYYLTSNTNDQFAYYMFVNTVFREAPLVLQNQMNQAILRKATNNNDFQIKVTN APFRKTYEELNGSKTIAGFLSALVFSMGMAFIPASLISYIVKEREINIKHQQLVSGVSVK AYWFSNWLMDLGKHVIPAVVCCLLILAFDISALIEGENYGFSWVIFFLYGWAIIPFCYFF SFAFRQQGNAMLLSFFIHLLVGSIISLIIYILRLISSTRDAATALQWIFRLIPSFSFAYG ILNACSKDTYMVMEGWTEMKSTYDMAVSGGDVLMLAIMGAFYLVCIFIVEYFEDNGQLQK LGSSEASIPYIPKPIDDDVAKEKQLCETFKPEEKAILVKDLRKVFMLGEGKHKVAVDQVS FAIDQGEVFGLLGVNGAGKTTTFKILSGELKPTSGEAFIAGKSVINELEAARVNIGYCPQ FDGLLENLTVREHIELFSDIKGIPYYKKEELVEKKLNEMDLKRFENIQSGQLSGGNKRKL SVAIAMIGNPPIVFLDEPSTGMDPEARRFMWNVISRIATQRKQSTIILTTHSMEEAEALS TKIAIQVSGNLRCLGSVQHIKNKFGKGYEIEVKLEKPQKSEIQDLIQKMGLQSNSRLDQH TTVDILRKINQNHLEQEITMKGSGSHIYNDIRKPNGLAVETLAEYVIVEQMGDLLKKFIE QNLGQFEIIEHFQTFYRFRLLGQITVGKLFEGFEHNKKQYRISQYSIKQASIEQIFNNFA VQDSQQQQQQENVQGAQIAVQIQANS >CAK78525 pep:novel supercontig:GCA_000165425.1:CT868307:440147:440807:-1 gene:GSPATT00013955001 transcript:CAK78525 MKSEKALNLICCNKKSINNLQNSNNTARIGSNNRRTSITLKDLSSGKKDLKLMQKKQVTN SKGFLSFCKSSKTLIKQKSDEHLKIPQPSVQRKSAKEIDGQQNLKEGDTYKSISPKVVFN DDKINVYFVKEVSKYHINTETKSRKDQTISTENTLILQEIQQQRVYLKTSQQLPATSGNK QIFSNIPKSMLSNHKSFISQSAKKIQDLKFHK >CAK78526 pep:novel supercontig:GCA_000165425.1:CT868307:441144:441498:1 gene:GSPATT00013956001 transcript:CAK78526 MIQINENKLKINLIKKKPNLQIQTSSLPPVYETQIKSTQPFHIENEIDSPEVKKFQALLL DSLTKNHRLSQKFKLFQPIMIKEDLEQHSRTKLPRPTNY >CAK78527 pep:novel supercontig:GCA_000165425.1:CT868307:441665:443051:1 gene:GSPATT00013957001 transcript:CAK78527 MNKKETFFLSHQVDLGLKSNEDSFRPIATAACTGSEFNFDDKFSQTVTSSKFMKPNSMHS IRNIFDEVNNEERKKYTDSQIGPRFEDDKKEKIVKWSIVGKPEQFLSVRNKHRQKVVIKQ KKGDGDDSFSDEVPSSVKPIMLQQSQSQISGMGQQRKTPKKPRGEFKMLARQEALIKMVE AKKRIESNLKEKQMYEQNLPLHLQNAVTREKRVLDKFDVQKEKWEFFNNQIASNCERNPY NTIMIRSDNYREKNQKISIIESLKNEDEKYNNRLWYMRLRWYDNKDERPPFTLITKPKQK SLPLSSRLVNRYVKDPEAEHLAYQENFVLSDIQSNFNTKIIENPYQQIEKVITNNKHNKL YSKKLEQMFTDKIKDKPKKRYVDTNEYLEVEGINVYSKELDFIRNNKQQEYQYFEEKQDE QEVYIENWNAQQLAKTGQPLNYELN >CAK78528 pep:novel supercontig:GCA_000165425.1:CT868307:443093:447217:-1 gene:GSPATT00013958001 transcript:CAK78528 MGNSNLILDAFLTNYHLYDNQALDISEKWVNLFKIHSSLRNLSYIYSQTPTSWVYFFVII IRPSQAIQIENFSIFGLVFVIFHLFYILKPTIIGSSISSTINTLLKVSLLNIVLEQFKDL FGYIVGGIILMFQTFEYFFIQWTLNVNQKNFQLVRISLLGTIKFLLDIFLIIGQEFRIDI FLIQVISLINSLVYILMILNEKSDMNIRNQAIIFSIQLLTICLGIAQFIKYENSYTLILV PFFFKIAFRMNNNNSNLNSKDPIIKASILLKQNSYYECFMILLKQQETNTLRKIKLKLIQ KQAVDEFNFNVNKNVKQLSIQKDLALKLILNDSQTQAISQEITHIIKLKIDLLQNWYQNT IEEIIVLIQKIRNTRIKLDQFQYKESVGCVQSLILFFYAEILNDIIQANEILIHTRKSAN PLIKESFSNRKIRYMIVNFQDQQYSIETVSSNGPQQYKNKLLNELIPFGIREHHDDLIQN YLTTAQSKFTRNFNKNYIFKDGFIESIDFAIDIIYTHKVQFITLFTLRNFKNSLFTMVTN DNFEIKSISEAFNQIPFIKKVFKLGTFVNKIINELNSVTQSCLIESSLYYSHPNIKSRQS FFNETQEQINYYCDVNVIIKKIDGQIKYYILELENFRNFLSIRKDSVLQTYTSFASLKGF NTNCNELDQNEALQIPYQSDEQIKKFDIDLHQLITSRDEEQYFLHNDLDKNQMAYEKSNT IQEQQFKIQQEEEQFLQEMKSQQSSSIEQLRQSNFIRKYSLINRFNNHLPLKKYQQIIVL LFILCITISMLFIIIELLSLNLIGFARDINLLEIKNLFFQPLDMFLATRWNLWTYNYEKQ NEIITQEEYDELSKFSISNLGEGYDQLNFNMQSVLYKYDLQYLLQNKILQIFQYTDTYQN EKYNMTLRSAIQILLNFQYILKMNYVYEKTVKADSPQIFYSFKNYPLLRDILHELNDDIM VATIARGQEYQQELLTIFISEQAIIFMVILIILGLKRFTANKLQLFLSLTQFVDDTYLQR EIVKQKSLLNLLLEDRTKLFFYKFDLFEKEASFLAKKTDRTVEKQKTHRMLDQKKLPITQ FTIFLGIFYILILVCPVVNYVSYMNYLRKYPQTAAFKKQLSDLSGDIPLMFAQREVLYGR KNYMYLDSSYFDTIFHYVQESLNQTIAFTSENLDFTEILMSDQFEVFYNKILIENLCDYL PEYLIDKSKLLCPITMNGNMKRGLKIMLVYISSLIQTDMAINNFTYRARPTSNELEGAYM ISEIINVINKSFYDDLIEITTSLVEQQIIFNILYLLVLLGVLIVIITVIQPIMYKNSRNI VQLIYLIPEQTLYNDEAFERTLRILINL >CAK78529 pep:novel supercontig:GCA_000165425.1:CT868307:447519:448570:-1 gene:GSPATT00013959001 transcript:CAK78529 MQESSDNFQDSQSQSSEQRQKGSVPSFLVRLFDIMDNEDLKDIIGWNTEGNAFIVRNQQL LADKILPKYFKHKNYPSFLRQLNMYNFKKSKADDINQKFEHKWFRRDGRALLTNIKRRNQ EENDDKDEIPQIVDEIEQFKKAQKELKNEIQAIADSQKQLQVALQQIMQQNETLFQESQQ LTQELSNMQSKNQQRFANYSNILTEIVQRLQQEQEEELRNRDNSQPSLLGEQKKNSVIQI KQEQPSQQQQFFSQQQNNLSANSLQFLMNMSANKNAFNFQMFSQLKHQQMNAQIFQNGST QSPQNDVKKNEIKKESEQ >CAK78530 pep:novel supercontig:GCA_000165425.1:CT868307:449071:450437:1 gene:GSPATT00013960001 transcript:CAK78530 MNLRKFSQQTYFKAKCSQQQKQRNKGVNSKIIQPNNEFEKKDMIEQFEQNQSNQQTLINQ DTNEMTVSDFDTSTNMDKKCNDYMIKVISNPRTQRKHFSPIFRTKNNIIDPHSNKFQLSL SREKQMSNDAISLWDSNQRETKQSYNSLQFQEKQTLICKGSKKKLEKGIKIQQELDDFLK CRHQNIQTSFDCTKLKKLEKRKLHSKINLEYQIDKSIDKQNNEKECQFNYINNPTQEIQT EINNSQELQEQPKSKTSTSTKSNPLIPIHIRYQYEKEYKEAKQKQSSQTLKEQQQQKKTV SKDQIAEFFKEQQMFLKKRAEFFEKEYEKKLWEVAQNESEFLYTPLLNEQSSRILDKKLK GKNFDQRIEQFQQNRQNKLDEQIQNMKPSFTPTISKKSRKIMENPKQRLTFQNEKSFQQL NWRVKTLEEQ >CAK78531 pep:novel supercontig:GCA_000165425.1:CT868307:450467:451766:-1 gene:GSPATT00013961001 transcript:CAK78531 MQLHISITLESKTDAINIYDLNSIKLQADVILKNIENQINKCSQWKSSKEQHFSLNTTQN TGNQQQQRHWSSSINQKIPQQRPFSALSGVAPRIKRIEERKEKEKIESQQQIIEKSSQPQ IQPKRTLDLNQLCNPELMMGNFKLETIIGSGSFAMVRLGVDKNTKEKYAIKIYEKIKLND SQKMNNVKREISILKRIEHQHIIKLYWAIEDKKSINLVMEYISSQSLASYIKSKPNRILP EKECLNLFYQIAMAVKYLHDLNICHRDIKLDNVLMMKTNVVKLIDFGFAICMPQNQKTNV FCGTPHYMSPEIIAKVPHHPSSSDIWSLGILLSKMLTGEYPFKGQNDKDLYRQIQCQKIK TNQDKKMNENVMRVINGCLEKNIQNRWNIDQIINDPLFHKDSLIPSAKSF >CAK78532 pep:novel supercontig:GCA_000165425.1:CT868307:451950:452585:-1 gene:GSPATT00013962001 transcript:CAK78532 MNFFEQSFGNQDPYQQTAQFFKPEADKPKQQNVKKRRENSLPQQHLAAIKSILQSTKLLQ KNALSRKTTMYSIQDSNKENICNYQSTQQIKKKSAKDISNLSNNDLDQNFKKSQNSLLQQ QYESCKTIKKSNPLQCNSQGFNQLQSNQKFYTTSNSHEKRHIIKVKDPYRIFSQPQISVS KKDSSKSFVNYKAQNKLVERIFSSRSDSFQI >CAK78533 pep:novel supercontig:GCA_000165425.1:CT868307:452689:454255:-1 gene:GSPATT00013963001 transcript:CAK78533 MNDKLSKALQLNGVLQKRSPSMMKGIVSYILEEEAPFFQRQAPRYSHAGNQGVLSLTSIS TVANVKGSDTEFILVVGDRQFQLKAETASQREFWVESILYLKEYRKQLEGDNEPIQQKVK WDELDKETITKIKLEVEKDHLDRISNKIDIKNDEVMKCKGIYPYLIKFDAKTQDQFIICG FLMKKGKNTTFTRAKKRWFIMISSVCITGQETDKVGPTQADIPDTFQLDTIYYFAYDDRG DKSNFIARIPISELENIQYIDKTSGQLPYGLSFEYNERVYQFFSEQLTDIQKWILAIQCV KKKPKTSLKNSQHLEKKEEIVFEGPLQKQSSKIIKGPLSWINVNAQLKKNSLSWTAKDDS QGNQYVLLIYIKQVVGKSRSFQIFTNDDQEFKFKAQTPEQKDQWVKIIKEQVDVLKGSIQ NLEEILELPPEEATKIDYNEQIKDEDLYDQETITEKFYNKMTLQIEKSKQEEKLKSKSFF SKLLCCFGGGESEYDYVKDRGF >CAK78534 pep:novel supercontig:GCA_000165425.1:CT868307:454273:455508:1 gene:GSPATT00013964001 transcript:CAK78534 METVSQHITQLNHKNQELFGLENRINQLKQQLNLQQANNNILKTKVDKIVHSKKQKFYDE MKLKQILQSNAQNNDELQYTVQRNKALLNEEQRQSKQDMFDEKYLQTYKMKQKLDLEMLI NQKKRDQQLYEKQQQYAKISEWEFNLKLNLKQREIDKIDKIRLEEKEFKEQLAQRMQQQQ KICDQLSNEEQLLMNKLKSSQQFQHSLKHDLKTALNLSIKDYTNNTSVSIPTYFKSYSSS KIPLNSPYAQLPTMLKMKRSVQINKSNNNTNESLMKEYKFSLPSLYKPSIIEQQQQVERL FQSKSVKNSKSDLYQILHYGDSQGIMEKKSKFRTNQSEVIQNQVIKQYKQITKEQEQNKE QSNQEKQEIDATNQNKQEEQEEYQE >CAK78535 pep:novel supercontig:GCA_000165425.1:CT868307:456062:456606:-1 gene:GSPATT00013965001 transcript:CAK78535 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHRPVVNIDKLWSLVTDETREKYAKSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK78536 pep:novel supercontig:GCA_000165425.1:CT868307:456760:457751:1 gene:GSPATT00013966001 transcript:CAK78536 MQNDAIDYLTIFTSLTIFKAFCSGVHRYKVNQMPTSHYETESTLATLMKIQMQFNFLLLH CTLFFKHLDNVQEIGKFNLFLLISTCLYALTLMLTDYRWLLKIYRYENHILREDYPIVEF LGIQVFMMFGCALLITIQWFTIPILSDLMYQLLCIYKIPQIIKCHQHNQMPNITYFCFLE DYCILIFFSYYRGCPINIFKLQDNLGICISVAIFICIQQCILLYQFHVKPQLYQTKSQVE EFTNEIREQNIYSNFDDLGTNNNLECAICLQGIEITNPLQIQLNPEDPIVLTRCSHKFHE SCLIVWLKVKKQCPVCRHQF >CAK78537 pep:novel supercontig:GCA_000165425.1:CT868307:457848:458218:-1 gene:GSPATT00013967001 transcript:CAK78537 MPLKKEGFQSVGEFLSFSLSTDDQATPDQLKTALFFVRQIVSVLLGLTAGFLHLQGILTI LGFLFLSMGFSYYYIFKYKQVDEDRIENTEIYTEGLGASIGEFLLTWTLIHTVA >CAK78538 pep:novel supercontig:GCA_000165425.1:CT868307:458313:458664:1 gene:GSPATT00013968001 transcript:CAK78538 MFLFQTKITQLLKQYHELGYSNSQQSQERHFYLRKGKNQQQQKCELFDWKIRSEMLRTGL HIMQEIKCIKCKTYLGWKYLHAYEQSEKQKEGKIILETLFLTKIKWN >CAK78539 pep:novel supercontig:GCA_000165425.1:CT868307:458839:459133:1 gene:GSPATT00013969001 transcript:CAK78539 MSRVHSKFQKEILQFYRSVLKWASLKPEPAKSSIIQYAQNEYRKNQNIPKKKFDRIEFLF RQGKNKFEIWKDAKIDSISIK >CAK78540 pep:novel supercontig:GCA_000165425.1:CT868307:459160:459699:-1 gene:GSPATT00013970001 transcript:CAK78540 MCDNYDSSEFSSRSSGQNYKKQDHQIQQNSESESENDQIKKKTKLIDDNEPIKISRKVSD DLIKMFERLPNDQITKLLDVIKVKNSPDLPVQVNLGDLMSQEDDFILDSQSDSQKEKMRK KRESKEKQCRCCSQFVKRHHCTHTECEKPCQVQEKFKKTKV >CAK78541 pep:novel supercontig:GCA_000165425.1:CT868307:460248:461310:1 gene:GSPATT00013971001 transcript:CAK78541 MIIENQRCFGKEIANSSVHQSKEIGMIVEKHKKPFSIIPKVFTTSLDDKENKLFRRESEK IPIEIEIDKSKEHLNPQKVELYSNEILQHLLMEENKYTINQYMTPEQQPDINLKMRAILV DWLVDVHAKFKLKDETLYITISLIDRYLSLAQVTRMRLQLVGVAALFIACKYEEIYPPAL KDFVYITDNAYVKSDVLEMEGLMLQALNFNICNPTAYQFLQKYSTDLDPKNKALAQYILE LALVEYKFIIYKPSLIAQSVIFLVNKIRTPTHKTQNENQLKPCAKELCTLLQTADLNSLQ AVRKKFNATKFFEVSRIKVEKTNN >CAK78542 pep:novel supercontig:GCA_000165425.1:CT868307:461345:466729:1 gene:GSPATT00013972001 transcript:CAK78542 MLQLQKSSWAQSNSPRQFRQNSSPSSPSIRSDQVSRHEDIDDQWDVIVEDNFVKKVGSNQ NQRFESDFTVQDQDKRNILHQTALRQDAKILELLIQDYHNLLKENKKQDRAKLQRKVSQI KNNENEDEEIIEIDFDCEDYDFLELQDQVKKYVQQPDIFGCTPIQICCFLNDPKFHQNRQ ECLKILIENGSDVNEANPHNLWRPLHWCSFYGDEGSVKLLLQNKAFSFLCDYNGLYPMDL AGKNQHNEVLEALIDNMVILLKDCQFFWNGELIGKKETEFIEFQEICSHYEAELQNPLLY TKILFWCCRFKFNKFIKTILEKLPRIYIMFPIKSLDSQTCLHACCFSSNAEALKMIIKFE ILDLSMMKPDPAQTKKQLKREQRREGFHFKILSSYLEQITKTEVPGINQILQKRYTQNYK ERYYHELQRYLVQDQIYRQELAKVNFMLLSLKDQKVEKSFYDLTKQTFCRNVLKINVKDN HGNTPLHLASLNGDQNIIKFLLNKFADPEAENFEFFRAKQLTRDLTTQLYYDGLIKKQKY MISQAQLLRSIELIFQKHKEKGQKVSTNFEDQYNLSENIQQKSKYYRTQLKIKGKTSKSK KKLQTISQIEQGESAKLSELRTSNAKENSNLIQKPKKTKKVTNKIIPLNFQPSTSNKKSK SSYDHYEQLILFSSQLFVPDIVLKFDQSVKVNLIDYFKKSSMVDQKKLIEQQINFQIDLL QKAEFEIYMMFSFTSKDYIYIMLRIKEEKLERLAEEMEMQIKMIDSYDLEQFKMKQRNKF EPFRSSQRQKIVYEHLLKSINLESLMKSKLIDSCYAMHTSGGIQIVKREWQKLSFGFIPQ PICQIKDYLSEGSGRNFTSLTTMRLYFGEQISFFFAWISYLSCISLIIAIPGLILQIYVI LYDFHSEILPYWVLIVTIWSTVQTELWKRKTSEINTRWGCIDQMLQAESSYYEGPLKDKF SGDEEINNITKRLTKHQQITKLAVYFVIFLILLAVFLLGSFGIVYGIDLLRKEVQSYKGV VFLLGALQAIAIQVLNILFHYFSKWYADVENHKFELSYEKSLIYKNVFFRFINSYMPLMY IIVTSNDYNLEDIFYFLIPLVLVKKAYYILIDFLIPALIIRAKIKIYFSKVKEVSQDQRF FGKQKGKTLSFLDEIEQFWTYDEFSKNKNSQAQSQSQETSFQIAYLESASRTVLKVESEE ILEEKLHEQTQNPKLVYQPFETKIDTDAIELNSFKDEFGGTLDYFMEAVTDYGYFILFSA AFPIGPFIGIILNVVEIQMKLYKLIYMTKRIKSERMPGIGQWLNILEFLSAIGVFTNFVL LYFKHRNATLKMFTNDVDQIIQDDLELWYFISCVIAVTLIKILVRELIPDRPDWVIEEID KINHRELVEQQEKAKQKLRDMEKHFKELRDENIKLKKEQADLEQITDLRIRQLDAEINKL EQQFQNISRFKKIDKLIITEYDMIVLNVLKNRYYQFDNIMLTKRLLQFIETRSCIIQICQ ECGKCPAILECLECQENFCGSCYSKVHTVIQQMKHNVKLKVKKNEISEQIELNVIQDEKE QKQGASEQSKLLKKQIGIALPKRNWKKIEYFFIPLQIQSGQPKLNELYSQFGDYYLKSSG LEFRDFRIVIEKILPLKDVLIAEDSTLRLEDKIFLNRIAFQLFRKKKHSAEIQQFLRYCT ILQTGQLEQRIFMFFDFIDINEDDQISKTELEGLFLASFVQDLRTNKRVYEVIDQFFPNN AQIRIKREVSQEFFNKVNRSDEFRTFLEALLQVQGVKC >CAK78543 pep:novel supercontig:GCA_000165425.1:CT868307:466836:467963:-1 gene:GSPATT00013973001 transcript:CAK78543 MKLAIALVLLVTFASATSTKDQILALLQTGTKASDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKSLNQKLFQQSIENRAQFEQELHDTKNYLAWNEQRQDEIAR KIQVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQVNSVADKLK QYSNTFQEQELKSFLQLANKQEDGSVSRGATLAERVLSVLESLEANLQASLDALEVNEIN ASWELAGWVSLSEAEVANLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDALDQ AQSDLENKRADYAEAKAKRDEENAIIEQVIIIFKKQVASWSGR >CAK78544 pep:novel supercontig:GCA_000165425.1:CT868307:468484:471163:1 gene:GSPATT00013974001 transcript:CAK78544 MNQIQQEITMIPTKIFKNAQWISKSLSVNKRKSPNYPCKRWGHTAVLHDKYMYVFSGCGK SDNPRQWEQIYRMDCITFQWERLNSPSLKHPPGRDSHCCVCLQNKLYFFGGSSNELILGD FWSFDFETSEWTEIQVPKDMEGREGHSMVALSSRLIYIYGGWDQVQNIMTESHWLYDIKT NKFQQVTHFTGDEMIKLESHTANKIGDSVYIFGGQGQQSNKQLVFHKDLYKLDFENLNDL HSKFDQLESGDDKGQIDNNTVIKIEKIKANGSQQPTPRASHSAVAYGERFLFIIGGEGYQ YDQQKDNEEEAMEQDQDEDQNIDEEEKPIFPKNDIWIFETLMRVCNNKLNLQTWSKLQPK SKTPVFQPRFSHSCIVYKDQFIIFGGLRSMGEVLEDIMVLHLKDSENNIQKYARDEMKNV CKYCQLIYGIQQEEEISFYKTTENNAIKLPKLSLTFIDEISKLIQCPMSCFGLFLDNIKL SEASEVNFEYVFLQRKMKYQNTIDEIKDKIPVLIILEKDPKELDDLNDFLFNFDISKKKN KLINQDLDLKNESEQNQILEEQQLLNKKQYALNFKIASLRLGDSVLICHKSQNNYYVGLI SMNNQLNPNDETLTFYNYTMTIANGTERRIDSPESKYVLLNAITHLINEEDFILNCSYNY TKIFIFDLARIHALQKIFELSLYTDDIISNQSLAFGLKKEEAIKYPDFSFKEYLKYYSLE PLQFKVTVQNQVINTNLNVISRIENKNKVSVTNEKILNKLSEWAESYQLSRLTQNNFGIL LYYQGRLINRYRKQLGVYPNNLEQSGYINISKIVKPNMTFEGFKNSYLGSILLQTLEALE EECEPERRKEIKI >CAK78545 pep:novel supercontig:GCA_000165425.1:CT868307:471840:473521:-1 gene:GSPATT00013975001 transcript:CAK78545 MDDFFIKFKDFYDKVKDITNVRFTYMKELYNLGIDQFPENYYILNIQKNNQASIQQGANK LKKNWTEDDKKVLIWLIGKYFAFQKRDFKNISETDWIKISSMMQRRDAFHCKQKWLQMLR LPLQQAPWTKEEDDALLLIIQEYQRLNKGNKWSQIATTLNKRTGKSIHRNGKQCRERWNN HLNPSINRKPWQLFEDLELMKLAILNGKKWAQISKSLKLQRSENNVKNRFNCLMRKERNN KVKPFSNQESDSELEDSFSSKPSDEELNLEELRIINQIIKKIEWRMKEDGGDPYDVKQED FDQISKKISSTRQFKNIIQQQQKDLVLNNDNLAIQHMDNNYMEHNNFNYYKQLENIQKQQ EQNTLTLQIMDFTQISQDEYLQLQSCLINKEKNKIYFATQEQIYQFSRTQDYLKKDIELN QGLLGHVSNPSLSSYNNLLSNIRLNQNIEDSLPQNQLTFQENRQNINSYFQLYPFNGSQQ QYQGASQCVPQRSILNFPPNLNSRSFQQVPNYLPGLNYHLACYPQQQYIKPLQNSEKAQR RC >CAK78546 pep:novel supercontig:GCA_000165425.1:CT868307:475521:477191:1 gene:GSPATT00013976001 transcript:CAK78546 MNPQKITKNKNDHHVPSNFEMEDLMVVSQEIAIKEFYHFIEKTSFIQENFEMLSKVGDNL RLDFKDWPTAEQAIALFELNLQNGRKKSIKWSETEKKLFYWIVIRYCLHKGLKDNRFIVN DLLTLQKYEEWREISKIVLGRNAHQCRLKWEQRYRVPLSQIPWTEQEDQLLFSVHEEFMK SGRENKWSQIAKEIFKRSSTKVFRQPKQCRERWINRLDPNISNVPWDKQQEIDLLKMILI RGKKWSELSILYGRARTENTLKNKYNSLIKREIQKQEINCINPILFEKVQTLRQSYSKKY GIATPIEEIDNFECQFILLAIKNLYVELYLQDGKIAEAQKINNDEFFDYLPDDYLQVNTN QRFLYKKNLNIKKVDSNFYAKNDHSSLVIFNKRNKRIYLTPYNVLDFSDIILNTILKRVN LDNNALKNVKEQSSSINQPNFILANQQNCIIPFQQMLHNYVFVPNCFAQSISSQTSNSFQ FCTLQQSQQYDQLRSIDLSYLNNQKDLINSIGVDIKTVDSSDEI >CAK78547 pep:novel supercontig:GCA_000165425.1:CT868307:477346:479677:-1 gene:GSPATT00013977001 transcript:CAK78547 MPPKRLHHKTYSSEVSPSKLLAYPTQRDQPKVSKSPTFQFDFKVIKFQIFIDQQTKWFLF QSSIIIIIVIYSNYYLRKTIRKFQQQDLLISKGFISPQNLSSNPIFFQSNLKSKYQHQFS NHIKTQPYSNQNPLFQNLGIGIEIEENKEHTLIQQFYQKFQENLQTKSLKKNSLSLKQHT DIPVLDIQQKQQLETLYNNQFHKSQGSFRPQSTQKNSLYKNDTHSINNRIAITPILQFNT LKEAPIEFQSNETLTARKKPILLLVLQYKMLKIKLQVDISTQTIQHLVDIVKQEIHKNLE NFKQKIIGIQTCNLSIPADYILSMTEKSLLILDQCSIKPLLIEPVFEIENEIQPSRVSLR DFEFIRCIGMGGFSKVYLVRQRQTGKFFAMKLIEKGPIIQQNKSKIIQNERDIMCLIDDP FVVKMYYAFESRRFFVFVLEYCSGGELFFLLRKIKRMSEKDAFFYFSEICLGMKTLHYNN IIYRDIKPENILIDMDGHIRIADFGLSKPNIIETENAYSFCGSSEYMAPEMLLKCGHTFQ LDLYCLGALLYELITGLPPFYSRNLEEIYQRILNQKLNFPPQLQLSSSIKHLLTNLLAKN PNDRIDSIDTVLKHPWMTQWGDSNLYTDINSKKIRPPYVPDYFALNFDEKEFGKGEAEFL QFIKPLQKSVQENFPKEIILKEFYFNKNENICDAEDQINQELEQKQTQKLNKKGKSAMED SLPCHKTVQVNKYSNLKRMLTEIEPKLQLA >CAK78548 pep:novel supercontig:GCA_000165425.1:CT868307:479708:480848:-1 gene:GSPATT00013978001 transcript:CAK78548 MSYCNNICWNPEDVYLALIGGLLIGLATSIHYMLMGRVTGFSGIYYSLITFDKGSWNWKL SLMSSVMLASCIMFKIYDNGQSSILYGASPSYNSEQLLTGIGWGGSVLSGFLVGFGTKMG NGCTSGHGVCGLPRLSIRSFVAVGTFMGMGFITATFRYWVINKDFYGPSDNIFSAYDYSI ISTVFLCTSVALIVLCFVLQNIQDKSKIIDVAVASLTGFIFGLGLVISGMVQRLKIISFL TISDIWDPSLAFVMITAVGVNFITFRILDKPVFNTKFELPTNKTVDLKLVLGAAIFGMGW GIGGLCPGPAIALYPEFTIQIGIIFMIFLGVGQVTANFVVEKTKGAEIMSKPLL >CAK78549 pep:novel supercontig:GCA_000165425.1:CT868307:481062:482913:1 gene:GSPATT00013979001 transcript:CAK78549 MIERNRVSSQQKLPTLDLSSTNRGTADKLKVNITIQSGDAQFISFRSLNEKNPRFPLPHN SVDSSSNLNSSIQNDLSQNKKIVQLLGNTLYRQKFEYQEVQETLIKKMRTMTRMQKYVKR IPHKVEPFQNLEKIAQITQQQQVKKKVSFPMFYNGAFFTKLQHTWIPTVRENTMAADYQK RIYMFGGIGSEVFGDMIEYEIQFGKFRELKQKGDIPLNRYGHCLHCIGYPMLLSTQFSYD NQQQNPINGRQLIIYGGEMQFNSALKIRENLSDTRIYDIETETWQLLKPNIECIPESRRS FGSCIIGRGLLIMGGIRSRFTVFNDLHYLNLSLCKWAPLETQKNPFIKGLAFQQLICVYN KPQYIFEKRETRSKQLKNQDYEGVYCFGGCFIDENKNTQYYSNFLAILKFDQPFNNWVIP EITGKPPLPRIQHTASYIQDMNIIVIFGGRDDQKINPYFNDLFAFKILDREWIQLDIFGS IPRPRAAHTASAYKSQILYFGGVNLNGYVEFSVNIAEFSQNQIRQLAAEYRPNDQRNDQE LEKEEQQPQSIINKQYFSLHSINPAPLKKLTKAEELDLQIKQLSFQNFPKHLK >CAK78550 pep:novel supercontig:GCA_000165425.1:CT868307:482942:485537:-1 gene:GSPATT00013980001 transcript:CAK78550 MQHHNSHLKSNPNPRMFTRQISSKSESASRLSEISDDSSRQMVDQNRSKSHTRNNSKQPR MILQEQLNQKIKMTSEINTVNTTLKKTKFDSIWIHTNFLKALKAERMKQQFINNLFTNSY VLRQNKKQLIHDKYIKQSIKQPILATFSQSSIPVISPTSGFIIIWDAIGTIINFMILWLS PFLLSFQSQSDLISFSALQLFIIIFLISDIVVTLNKGIIIQGIVIKKRRKLIEQYTQTTA GNDLMNLALWIMIQQDLVQYQILGEFITFCQLIVTYRKIQKYLSDYFLLAFFKGSSSFLM DLLSLIFSIYFFAHIVACFWHYVGIKSEETSWLIRYQLINESLWKQYNYSFYWATMTMTT VGYGDITAQSQLEIIYVDIIMFLSSGVFAYSMNSIGMILKNLQDSKIKYKRSLLQMNTFM SKNQVEPQIQSRIRNYLKYYIEQEQNENQEDVNNLISLLPKNLQQDLNTDIQTKVINKDK FVINHFSKRTQQELSKMLELVNYTPGDYIYKRGEISAKNLYFIKEGEVDIIDESSKMKFT KLQLNQPFGIYQFFTNFPPKSSAISVGFSNIYKISRQDFLDILQFNRKDFEKFHYIKDQI IFNSNYRIFDIQCQFCDRYNHQEIDCPILTYKPDLEQRILKMAQQSNETNRLKVIRRRSK VNTLFQYQQISQQVNSFLEDNYGYQVSNSLQVAIAAQRSKSNNYSELNTEHKKTTGIMLD DGDYEQAKQLFAEGSLNRVLIKVDQITNQDQDFPCLKLNKRESNNQVKEFNMLDDLISNN KWFHCPIQCDQYQSYENYFPQGNVECIIQQLENFNSKYQKKVQKYIQSMKKYSFFYKVKL KALKLRLFYMKTLNNE >CAK78551 pep:novel supercontig:GCA_000165425.1:CT868307:486922:489385:1 gene:GSPATT00013981001 transcript:CAK78551 MSNKIYKNNIKSLYQTQISRAKDIFKTLINYQFQINSIQYQKYEEAIQIDNWESQYTQNI KQRDKRKKTYQNSQKHQDFSIQQSHEDPNDFYRNGKELLHYSLAKILRNLKRFQEALEYY DCAIQKNPQNPKAYNCKGNTLKQLSRYEEASQYYDHAIEKNPQNPKYYFNKANILDDMNK FDEALKYYDQTIQLNPEDPNVYNNKAFTLRKKFMFEEALANYDLAILKNPQNHTFYISKG INKNISQANTLYDMKRFEQALVYYDYAIQINPEDPKAYNNKANILKEMKRFEEALQNYDL AILKNPEHHEFYGNKGINKNISQANTLKDMKRFEEALVQYDYAIQLNPEDPKMNINKANT LKVLFRNKEALQQYDYAIQKNPEDPKVYNNKAITLNQMNRYEEALECEDCAISLNPENSN YYSNKAKTLMNLLRFEEANQNYDLAIQNNPEDSDLLNNKANVLVRLGKYDEALKFFRLCN QQQPKQCTLFFQQGQQNLKNYEGNVLEDLGRFDEALQYYDYAIQQKPDDPTFYCIKGRSN NICKQIFQSSRINIRKHYKSAIMRFRQILRIQTYQVLKELHYNRWGTLKKHCNILIKLFK KIQKNHFIIMIKLIHQIKWEDMHKLCKIMIMEFRKILKIQKQCLIKVKFISHCKQIHQFD QISQKRRQNIWIQSFKKTPNNLEYCVGKGLTLFKLNKLKEALPCFKFALQKNLNDTLKQQ LERIILIIEETIADEIIPQQI >CAK76079 pep:novel supercontig:GCA_000165425.1:CT868227:1865:2104:-1 gene:GSPATT00039119001 transcript:CAK76079 MIKKQEQEQLGIMNGTKPCDAQDIVNQIQQSSINTVNQIYSLILTGAIMLISPPKFLLVV ILLFLTVIKIFENRQKVSV >CAK76080 pep:novel supercontig:GCA_000165425.1:CT868227:7780:8595:1 gene:GSPATT00039121001 transcript:CAK76080 MIQSQSLNLRCEKDDHKDYIDMFCFNQFCTESRLNCFKCIQKGIHQAHLNDVQKFNYLLK FIENKNKECDDLIDDLNQFVESVNQSFSQFKTGIKNKYSLSNDRLLNLNQQQINDVLNSI IKQTDDKQLITTLISEQTKKLNHSFNYLYEQLQLSSINYYQILENNRKLSKELYERGHKL YKVDKYKEAIEIFDKSIQLDPNNHLSLQFKGKIINYQQANAQNNQINMSMQSLVWIKHQL LILNMLIPYVLREN >CAK87546 pep:novel supercontig:GCA_000165425.1:CT868620:153:1162:-1 gene:GSPATT00039810001 transcript:CAK87546 MNSRKKEQQQISKIQGYKYTTQKQTQIHEKQKNSVNQAILLQSKQCYKQQQLQDQALIQY YIFEDYQNLINLIYSLQTVNEFFKDDPDNEMVVLCRNKVINQLNLSNTIHLDIQETYKDQ DYAYFIKQEKQKVKELVSHLPPNIQNSIYLQMYSQRSLSENIQSTHQELEKMDSSFISNV VSQIFSSMHIVDQKVSQIQSQKYYKIPSPYDVLDKAQQYQSLTQIKLEASYGQKLQSSSP RTVNLKQPQAQNSLVRSKSCKNHPTKLQQEIQNQPKEKKQQQSNQQAFQGNQNNHLKGLI NLANC >CAK80227 pep:novel supercontig:GCA_000165425.1:CT868362:438:4366:-1 gene:GSPATT00039384001 transcript:CAK80227 SNFICYDCSQNCFECDSFGCNKCANGSFLNDGSCSNYCPYYYNVVLNKCEQLCPEGTFLH IDQCSASCPANTYIYLQTCIADCPFKTILIDSICYQCPERCLVCKNQYECLNCEEPYYQY KGECVVACPTALPYQNKIYYACQSECSPNTYERGYDCVKECDLIIYQNKCLKQCPYGYYG SIICKPCKLECKACNDFNICTECSENFYLERNQCDTQCTRIKDLKQKKCVDSCSSLLYQN VCYETCPINTYQYANTCLQKCLDGYFGSTEFKCEKCSSQCITCTSFNQCNSCKIGYYLYQ QQCLDQCPGKLFSNPSTSQCSQSCPDKLYIFNNQCLYECPSNYYNDTENYKCVSSCGKQQ YLTKNSCYPCSFECDQCTAYGNKNCIACANNYVLTEDGHCFGKCKAGYYQTSNSCEQCLH KCLTCQNGTECIQCRGNNRDQIDCSCPKGFYDDSFYDNCQKCPCEECTSQSECLVCKNNL QVPNCSCNRRLNQDWCITCQVASVKINYSDDLNSIIVYFGYMISVNLINPFQPSSCSFWF TNAEIFGQDAQCYLSWDRYAVHILLEPYASVNVGDKLSFQQSFYRDVNQGLCDGQYIEIF IDGAVKEPQVQTKPYILFDVPSVVSTCKTIEIKQILLEGTAKKIQEVLFWSLHEMDNEDY YLQMDAFLADQKSEFIIPIGTLASNVTYIITAKYMNFINRVNFTTFTFTTLPDLVPYIFL QYNPLIVRVYVFDCKVTYSDLKNEFNLTIQISDSNNKTYLSMHQSINPIYEVPLNESLLP KETPLLFMASTGSSIIHEKIYLKSKNIDIQFLQKNRFIGLDNQINARAFDRNIKDEVLST LNIEYQWQCNNLFNLQPCKTEENKIMEFPSRRIADIYADSQNTTFVFFVKASKDNRWTVK EKKFVLNQEIPQNSVNLNDEITILIRNNQKYAFIMQEFKILASINIKSQTLKFRLTGLTT NYNSPVYIYLVPGNESIAFQLNNPPSEVKFFIDPLIGESLDYFNYSIQNLQPGNIFSIYY YFEKRILQNDVNLQSINYGIPLVINSQELEGSFQLPNGIIDDAISVLCQIESAKGSMFYL VWDIQVNRKNYQQNKLYESFNNQTNFSNLQSIHTMTKLMEIEQQQVCLKQCSGVEYYFDD CSGTLEDYNNFGNLILNALQQLIKNPITNDDEFRLYSQSLLHLSTLQDLNNTITNSDSQQ ILEQYIQNLNQRLEKINQFSINLEYQSTAYLNYSQIDIRSLENQNDLHTALKSTTLLSMN CKVAQKPFCQLQLN >CAK82623 pep:novel supercontig:GCA_000165425.1:CT868435:5:722:-1 gene:GSPATT00039499001 transcript:CAK82623 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSEGNFSWGSQGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKQWYAKKYN VELGSKKKKETTGEQPKKLSSKQEKRAKENVIDQFVQEQFANQRLLVRITSRPGQSGRAD GYILEGKELEFYIKKIEQKKK >CAK82624 pep:novel supercontig:GCA_000165425.1:CT868435:1088:2106:1 gene:GSPATT00039500001 transcript:CAK82624 MGSQPQKSRNQIENRYQQPSMQTSQMPQHIQMQYRQPSQQINMPMQYSQTFQYNHFSTFI YNQSMIQQQPPSSQRSQNINTQPRVIKNDFCIEKLKLVGNENFKVEFTLFALSPCKIQLY VQCLEICHPVNKILQEIRDKLQYDEFLINEPQTMNVVKTSKITIPKSSLQQKKSINGQAY QKLLLMIQNKTTMMAYYYDYENDQLKLVKQKFQNSDYGAFEVEEIYGINDSNLIGSMKHD QDDGECIICLSEKINTIIMPCRHMCLCGNCAKQIMDKKEQLRHEPAERQQHAPDYNLCPQ CRMEIDSFIKLQKIS >CAK82625 pep:novel supercontig:GCA_000165425.1:CT868435:2349:2886:1 gene:GSPATT00039501001 transcript:CAK82625 MNQLRNQRGTDTDEVYIDPERGIAINARQMTTEQHFKYYKSSFSTLRPDLTEYEYEAFAK RLRVGESFLNHMRAFLNHESGRVTNLYPVGARLEKMLSYQNQYFHLRPPFILGHRSNANR NWADASKVVNYIEKQLLKITKYGLDYPNYYAPNTE >CAK86041 pep:novel supercontig:GCA_000165425.1:CT868562:200:905:-1 gene:GSPATT00039747001 transcript:CAK86041 MAMVTMHTVQSNRNISMQWVTRQMCLREPDTANLQVVQIFGSLFEAETRRISILLAFVNS KIQCKVQCYRMEFYFQKTNCGSFVILVNQEEVQTARNEDPKNKKYFIQDHKQKFGMQRIQ APYLNTLKSYLNINFCLEKNNICPIQYQNITQDVSYQVEQFLPVILADVQRYASQNPLLF QSDYSFYTTLSSFQEIIQLDLSGSQFLFKNCFLPMKLDSRFTIG >CAK86042 pep:novel supercontig:GCA_000165425.1:CT868562:1166:2104:-1 gene:GSPATT00039748001 transcript:CAK86042 MVIKIISCEVRQLDTAYIGGQIFNCKLQQLISAYILIKSKGQCGSFFLTQRKSIGVDTSK IFIKCINLSLSIPANCFYLSRLIKRHSKTQQILVVLNLLSITLSYYFTHHFRNGINNPSI QIIHMIIKYSSSGAIISTFSPKLYHILKILLSVFQLSYVGQSLPQKYIFNNQNVIDQLIA GTQFENYNIARGKQFTVRFYPNSTTQSYQYTHSFFKSYASSISYQYKSQKHKRFFYNGQL FPENLINTQSGLYQQILNATTDTFTDCYMFTQSYEQHCQDIAKYDSYKDCF >CAK77674 pep:novel supercontig:GCA_000165425.1:CT868278:91:473:1 gene:GSPATT00039227001 transcript:CAK77674 MSNLHFLLIYFHDSDFSQKIHLLEQVQVKVDINIENLGKSLSRGKRDSKIQNNDKTDKTQ NQSLVEMGGFVFDTNFVVLTAKKTNLVDLKDQFIFTSPNIECRNIIL >CAK77675 pep:novel supercontig:GCA_000165425.1:CT868278:545:1060:-1 gene:GSPATT00039228001 transcript:CAK77675 MNSIRKHIKLYNSFRNQFQSFFMISKSALQLVLQGNWSPSHNPPQSFTNYPGYFCIITIR LLALSLPQSNGTNTFNFVHKIMIYSISLLQNPHNLCSTLFNKITSVILKFKIQCIQSSNP NQTRFVNITLPGDISKSSEYTKIKYSFSSQSKTQYCSSIKTKVNNFCYTKS >CAK77676 pep:novel supercontig:GCA_000165425.1:CT868278:1093:2637:1 gene:GSPATT00039229001 transcript:CAK77676 MKYIFIGDLKQKYLLKNHHQSRTSSISMMIRSLKQKKHSRKFKNSDDITEPHAKLLLERF PYSIKDLQFREVPTLHVNQDFFQDPFKTIDDLYAKGYEKFGIVKLLLPSELIVPEKKFFS DLEQKLKGKRVETRVQDFKLITGRGDIWLKYCRIHLARFESSHKLQGVREVSNQIRQNEI EFWSIVDFPDRYSEVEVEYAADLLATKYATGYQDGQLGNLSNINKNCNSIFQVLQEKSEM SGISVPWLYLGMKYANFCWHKEDLNLNSMNYMHAGAPKTWYAIPPSHSEKFLQYFNKKYE KERIHNPRLLYDIVCQISPIELAEQQITILRTEQHPGELIITLGATYHAGFSHGFNCSEA VNVAPTQWLDEYERASTEYRMDGNLKKVSFPLEWLLTKVVLMADQVKFTKQSWIKIFDKF KVMVQSEIANRNSILVLYEQGQDCRVRKQIRKV >CAK77677 pep:novel supercontig:GCA_000165425.1:CT868278:2643:2870:1 gene:GSPATT00039230001 transcript:CAK77677 MSAKSVPIICSLVIFSVESKSLLDHYRCLKKGCIAHQSICACANPKISLYIRYNSEELQT MLKTVESKANSKTGQ >CAK77678 pep:novel supercontig:GCA_000165425.1:CT868278:3695:4458:-1 gene:GSPATT00039231001 transcript:CAK77678 MNSNSDYHFLFKVLLIGNSGVGKSCMLMRYSENQFTNNFYNTIGVDFKTKTVAIGEHNVK LQIWDTAGQDRFRTITCSYYRGAQGIIIVYDITDRESFENVKTWIAEIDKYASESVNRML VGNKADITERREVSYEEGLELSRQFQIPFYETSAKSSINIEAAFTHITKNILNREIHNTK AVVRKTSNMRLQTRQQQQQQQEKKKQEDLCC >CAK77679 pep:novel supercontig:GCA_000165425.1:CT868278:4563:5627:-1 gene:GSPATT00039232001 transcript:CAK77679 MDNKWINFYLLSDHINSRLIQHNILLIQHYPYIKYYTQYFILGGIQARMQLNQLHSMTIL KDQNQDKTLSIIWQVKIRNNCLIKKGYEVLLLEDPVDEFTFQHLNEYKQKKLTNVGKGDF KQPEDNDEQRKKQKALKKVFQPLTDWWRKLLSENVDSVVISQRLIEDPIIVVSSESGYSA NMERISKAQAYSSKGSNSQQFGKKIVEINPNHQAIQELLQRVKDDPDQETEEMAKVLYEA ALVNSGYSIPNPEKFASRFYKLFNSALGIDRDAPIKDFEVEIEEEPETSSEPTVDQDGTK WEKVNTDDAKWETVSNDKRDDL >CAK77680 pep:novel supercontig:GCA_000165425.1:CT868278:5640:6878:-1 gene:GSPATT00039233001 transcript:CAK77680 MTKNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVGFYSCFLAGQKVTVASKNSDDD QYIWESQAAHSFAVSKDPRGNTLGRGTQVTIHLKQDAVEFAEESTIRELIKKYSEFINFP IYLKVTREVSKQVEEESEQQQDQQDQQDQTDDDEVKVKDDDDDDADTKKKATKTIKEKVS EWVQVNENKAIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYVP KRAPSDMFDNYYGKQTTNLKLYVRRVLISEEFEDILPRYLSFVKGVIDSDELPLNVNRET LQQLKMLKVISRKIVKKILELFQDAASYDDEDEEDTEEGEEDDNMAETTPEEQQRLKDEK RKKKIDEYNEFWKEYGKNIKLGVIEDSSNRQKLAELTRYHYYYYYYMKYVMQ >CAK84536 pep:novel supercontig:GCA_000165425.1:CT868518:21:1844:-1 gene:GSPATT00018731001 transcript:CAK84536 MEQQISILKKNFKSYYKELSQINIKLFQQEDYLYEWKEDLYEVLASLKDIDEQIFTEITK MLRKEKKTNCIEFLQAGCDKKYLQQSCFQDELSSPQLFKNLNNKFMYIANSLKNILQTDL NKKNYYYKTGKEQRYGLITKISKEKNLLQFLKFLVELTSLDYQFVQCGSNSLSLLVEMEV DIKNQNFKGIKIKNTSLIGANFFKCNLSKSEFDTVVINGINLNDAQLFNCKWKNENNIYQ MGIAGTIIASGSDDNSVRIWDAKTGQLTKAKFNNHTGAVRSVCFSPDGNTLASGSCDFSM YLLDVKTGLKKSKLKAHTNWVQSLCFSPNGKTLASSSNDNSIRLWDLNSAQQKSKLDILN EIAYSICFSPDGAALLHLFLGILSQSKESHSSMAIQKLSCLYVTPANGTLLASGGADKFI CLWDIILERQKFKLDGHSQAVLSVCFSPDGMILASGSMDTTVILWDIKTGNQKSNLIGHE ESIYSVCFSPNGSTLVSSSVDKSIRLWEIQISKSKSKVSGNMRQSSQVCFSSDGFTLASV NEDNAVILWNVKTGQEKANLVGHNSNVRSVCFSPGRGGYVGP >CAK84537 pep:novel supercontig:GCA_000165425.1:CT868518:1903:2877:-1 gene:GSPATT00018732001 transcript:CAK84537 MMQLQENHPYQLQSLNQLIYNLQSLIYHQFLLQQLPLMIFWMPLFLTIHYYSQSLNFMNC QAYIRIYSHQLKIDSFLGIMINFFILANNVMNHDLSLFIIQYVLQKFYILMSLIQKVVRK IYLKFCLFTFISINILPLIKKVNNNFLLTYTISNSNPTQQCLLQKSNFSKQILDRNYQFF KQKPMKCPNHDGRQIELICLAPHKCNRLRKLCIECIYDHGVDWKLTIPIKQILEKAKTKL NENRIDDLSKIIAIRDSFKFMMSKTENTLQYFWGQVTQQIELTTMKILQYNSSYRNLVVE NVSLAQSTSDDLETLISIVEGKKT >CAK84538 pep:novel supercontig:GCA_000165425.1:CT868518:4591:5169:-1 gene:GSPATT00018733001 transcript:CAK84538 MLDLQGILKEYLCYQFSIEILIDKLFLILLGQSIKRQELLENNFTNDMLQVIRIDQYENH RLILIMNYYPHSYSPILKQKHRSIVHQLRSKSVTVDARRMFVLSYPHSRKQIQSPLTKNN SEQLNFCINGKGQIESSNPLKRLRRRNNNSEYQTAITQYAANSFTHRNKINDPGVESLFC IRKYELLRKKIN >CAK84539 pep:novel supercontig:GCA_000165425.1:CT868518:5281:6144:-1 gene:GSPATT00018734001 transcript:CAK84539 MNMGCLFNERNIFISSCLHSFDQTETEHNQLIHKINFTIWEIINKLSDMEDRYQKEQMED AEEKVFQDACNDDISVYDNDIANTDSERVSLEARLEAYSLWISHREIDELDAERVAQHID LEEFAADHNTVIAVLTESRNIIKANVEATSSLVEKQAIHQKKKSHRRIFHFFRIILRNHK EIIKFQRFVLVMKLLSQIASKTKFYDTTIQKQQLPKILHIMVDLFDRLISEDSDSLSSQR FAEDKRVEVYNKQENVWLILSQQLNLNQQY >CAK84540 pep:novel supercontig:GCA_000165425.1:CT868518:6355:6681:1 gene:GSPATT00018735001 transcript:CAK84540 MFIITNIRYLICFPKNGQFFKLNKSIQSLEFDSDSNLKSIIIRFKKLDIFFKELMNLYCP YYLLSKILNNLRQEHKFSNQKQQLFELHSKVFKEQSCQVILNQESLMN >CAK84541 pep:novel supercontig:GCA_000165425.1:CT868518:7307:8549:1 gene:GSPATT00018736001 transcript:CAK84541 MKYILVLALITFSYATTLTEMSEKLSQYGDHPFGKSMVNLVTVNMKTGGSLNELKQLLQQ IKDELIALTQLQDSENATFTRRSQVDLAKLQATLEQAQADLDNQREEQSSLSNELATLQT RVKEDQAALDRNSRGSGDAQSRLDVENADFATKYSDYNDAILACKEAQRLLLNLRGEGAS LIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLESNHKTQVDNLTRLGDDLRNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNALVEVTQLNIQDATKINELEDAEYSNQKVSRQTEIDIVDRLIEYINQK LSE >CAK84542 pep:novel supercontig:GCA_000165425.1:CT868518:8592:10176:-1 gene:GSPATT00018737001 transcript:CAK84542 MQQDKCIEKYFYNTGHVIGKGNYGCVYKGVDQNTQTDVAIKIVKKTKLEGESYSQKAFIN EMMILKKLSSKNIVKLFDVCESQSNYYLIQELCQQGDLKKILKEQQLNEKEAIKILRDIL SGYQILLKYGIVHRDIKPANILNSNGVFKLGDFGLATQISKQKMLNQCVGTPLYMSPQVQ QHSNYTSKCDIWSIGILFYESLYGATPWYHETSQKLLKKIYEETLEFPTQPFVSDVSKSF IKSCLEINEKDRIDWVDIYNHSIFRSNPFQKLDGNSQQIITRIRNIMKIRKFNINQLIQN ILLPKKLNIDDLNLLLQSIDKQFTLEDAEIVFNKLNHNNRDTIPFECVLLWFSQNDIILA QVLEFCSPLLTQQDQSKIDDLLDDLITRLRLNSISIIEICKECGVSQNQEISLNKFEQIL LKIDIKMSKADITLLYRKNKQDNQGIIRRESIYKMFYEESELDDADDDFSVSPVHLPFQG PGLKLQSCNNIERLS >CAK84543 pep:novel supercontig:GCA_000165425.1:CT868518:10848:11216:1 gene:GSPATT00018738001 transcript:CAK84543 MIRDDLIISDPIRKVIRQNPQQNSEPDMEETKEGGDTNSSQSEQEMSEYEQSLIHDSLIS SLTPSYLLMSSYNSYISRFEQITSSSGSDSSSSSSSSSSNQTSESEDEDEERKENLSVSI SE >CAK84544 pep:novel supercontig:GCA_000165425.1:CT868518:11608:12150:1 gene:GSPATT00018739001 transcript:CAK84544 MKGGAAVQQNSVIDKISILLNKIFAYSNLAEREGKGICPNIFTRQQDIIQKLVSLQRMGV NDEIFVTGLFLVDRLTTNNNFYLNKRNIYNLLMTAIILCLKMYDDNQNVQHIYSIIFETN REILNKMEKKFMKLIKYQLFVKTEDFFNYRARLLKLKD >CAK84545 pep:novel supercontig:GCA_000165425.1:CT868518:12489:13750:1 gene:GSPATT00018740001 transcript:CAK84545 MFMFKVTQSQICQFLQLSFFNWMIKQVLCACLLLACIAVEDGSYFQYMEIDRDEFGKTLI DTLELNISGGQSLEKVVDLMRDLEGQIDNEQKDDDISNQQFQKKCDEDLTNQESEINQTQ LTIVEKQGKLDELQNAQQRKKQVANAKDSWLKQIQELISQKQTIRNQEQDRYEQEMNENS YVISVISEVKKKFTLNGINLIQINNHEALMNDLENAVDESRNFKQNTRYQEVFGLFLQVA AKVKGEGADKLKSLCDDLLVNVSDNMSLIRKQEDKRREIFEKEISSLQKDLQQVQSDKSM IDAGLEQLDNSISMGQNDMTDYNARIESKKQTKEDRRKECSQAAYEYKSSREQNDRKRQL VSQVIGLFSANQREFKEYLNIRNN >CAK84546 pep:novel supercontig:GCA_000165425.1:CT868518:14422:16946:1 gene:GSPATT00018741001 transcript:CAK84546 MFINYANYLLMGLCSKDLIYCILSQSRIPTIIYLIVLTVMIIQQILKIKFRISHSELILS FIYNIIYITLFGTSSIWQAYYVMNIFQKTNYYFLASFPIIIYQLVLEVIEFDLIVPKTFG FQISSASMIIVYQFYCFVKENDIASVAEQVIIKQLLKNSYIKVFNRNLEDLTPDVLLRNV KPPSGQIIFTTRNKSENFELIPNSLNKELKIKSFLNSDMQLEESGDSVIDSPNKYQSYKD LKEFINNFIQNQQPQTEENLIIASEIEMDSGKKQKFKVIINTEIDKYYILAFIKIDSAIV RKQHNSIAKFKTRLANNFTHKLKTSLNATLAYLSNAINDRNLEKTVLQSYIHPSYIHSKI QLYQVQDLLDYLNTEQDQIGLQITKFNLQQSLGQIYEFIEYQCKVKNIAIRFLINGSDWK SHESQFYLYSDCQKFERVLFNLINNSYRYAPLNGELTIDLVYDSTGNKLHVKINDNGDGI PEDQLNIINTQAQLQNKYNITNKYVTSKNKSKFGLTLQITNRLIYMLSDQNCSLQVKNQK EIGGCSFEFFIIINSTSKEGSLEQIKYSNYIRQSKQSSIKLQNHINRSSNSSSQRSIFSK NQYDECIEPIEEEPKPVKPRLSKTYQCHDNKQLITPVKRPTLSGIRDLQLSNIIDQVNQT GMNHNHNHNQDNRFILIVDDEPFNHNTLNLMLQKLGHKQFLYSFNGQECIDKVQQNSSLI KTIFMDLDMPIMGGLQQATSILIRMMTEGKIDYIPIIGCTAHDDFETQIKCLNVGMAHVV TKPVFIKSLQEAYRQIREEHQQQDHRSLQLSNSLEKY >CAK84547 pep:novel supercontig:GCA_000165425.1:CT868518:17168:19457:1 gene:GSPATT00018742001 transcript:CAK84547 MILIVISGTLLFFTLLIYLNIIKYNRTFYISQAIQFCFIVYRAYFYGSAQLWSSFQLLNA NFKKNYSMQLICYFLSLLIFVLVYSLQYDGFLKLSMGSEIFQCCCYMLYFFYQNKNNNEN LKLIEDKLLSEIKKYCFLKIFNKNKKLVITYPKTDESKKFDFFPNNNQFICLFRVYMDQE ISSSPSNQKSFNRTKIFNNTEDFLSYLESADNLDNLGFNWYSATILDQQTKIKTKYRVTQ SKLKNDTIVLFFMKIDPTLEKKSFGKFLHLKKDISNIFTHKLKTPLNAVLGHLTQAYYDQ EVDVQIQNNYIKPAYINSKLQLFQVQDLIEYLNFDQDNYTLQICKINLKTLLYSLQELIQ QQCQMKSIHLLFTINEMRYVKLDNVFIYSDVLKLERILFNLLNLTYRNTTENGCISLNIV IDKDLDEASFSISDTGLELSQGEIDEINYLIFCQNQFKPIKKKPHYYQEMIITLSLTNKL IKSVNQFTNYSLELCQTKNTGIVYKFKININRDRSAENSQEQYKIGYILKQRSLKQLHTH HQISQKSIFSGQEQSVPILEDSIDEPFAKTPLVVKMSMPSQRKWLQKKKEDQIQLLSQTQ FHQANSILIVDDEPFNHDTLILMLKTLGFNSYLKAFDGQQAINLAFAKQNEIYVVFMDLD MPIMGGIEATKFLVQEMIKCNLPYFPIIGCTAHGDAESIEQCKQAGMLHVVVKPVFIKTL KETFHLISDDSIKKSYNRVGSLQFS >CAK84548 pep:novel supercontig:GCA_000165425.1:CT868518:19489:27994:-1 gene:GSPATT00018743001 transcript:CAK84548 MLILLLLIKQCIQQATHYGFWFQYLPFSNIKASGDFILNDKSTFTGQNIMANYNFISKAP SSKFYIWLSFNSITLNITVSDFNTITNLSQDPTKFEGIWYYVYLENLQDGSKLYVRGSKL QSVKLTTKNIVPVLNQYGKVGSTLNFDYFHGKYSEVKQFTIAPFNNDNEFDQFFIQNFQS PQSYNITVVDVFNGLKLLGDVFYSTKFLMLGHKYQIRCWVKVNYENVVERQRYLLMRLTL NENYRNDSILGDRVLLMSYVLDSTSNNYNLFNMSVLAYSYPYPQLAYLTKAINTFAIQST TNRDRFQKWHYIYFQYLVDQTYVKILFPGDQEVIQTFSALQFHNQFVYLYVGADSFFYKS YLEGKIQLEITYNYEQELAYSVRCHYSCQTCDGPTESDCLTCPQNSNRQWKFGFCTCEFG FVDLDLTQKCSKMNELYPIMNQTYQNNTFKCKYGEFLVDGKCISCPSSINDYQINCADCL LNSQSWYQNPVCTFDYKRYTLTSTYIKSVREESLYEIFFVNSQLMNLELCKGCLGYCNDV TKITCIHFNHLYYSCKIGYFVQGNTCQKCIENCKNCNNAQTCTKCIQLYEFDGSKCQKCP LNCAICNSTINCSTCQPGFALFKGSCYGCGAQCSICEFYFDEIKQNHINRCLKCTDPSLY EISYDALKCQIVTIKQCLYGAQQYPGSGDFPLTTIDLYFQPMSITSSIIPLCPRCTSGYT FSYISYTCYKSSQLCQESAFYNGTFYCLVGGEQAVSLLGCEQKLQNCYECVNYLNKIICI NCFPGYYADHIVGMCIQCPKQCFSCSQQFKINKSNWKKDIRPFFELFVNKNVNHDYLTFV ESSNPDDFEVICTSCQTGYMLYNDICINKCPSDCLECLIIDNQNICSKCSYSSNGLILSL YNNSCYQCHSLCQFCVPASDIAVTQFTNQCLLPILEDTVFDTNIYQFSNCPDESNCQMSM SISLNLICSSDQTAQNVFDIPYNQESDLSYVFQLLYSNGLFNYMNEQNVQVLNIYLTIQT CSFPQNLVISQEFSANVYTLKKVSLQIMGLSEVSTVYFQGDIYIKEFHSLWIKNLYIDFQ DTSISFNFNLDHTTIGFENSNFLGQGSFYFEYSNLIAFFMINLNLDGFKFSNLQSLLKVE PIKSVTIQNVKLSNIVLKNSTLFQFQNVDELILDSITIESNYLLNSKIFQSNQISKIDNF IIKWTYLEQSSAFQGLDFHFNNLYVLMNLFFDNSQICQSSMLQLYNSQFEGNYLTYNSYL MHVSQTTGQADILIDRVSFSLNSLYYSSSFLYIESSTLIQMTNMELYMLPIEKDVNYAFQ ILSNSFTLKDSLIEINNQISAIFEATDLDLFSFENITVYQQYYLAGLSQSLDCIYLLDSK KPIINLLNIKRVEFQMVNFTNIFTYNQPVIKIGSTELNFQCLINIKDSVFQDSLIIKSTN NITVAFIVIESKNSISINLTNTNFINTIYKEYIGITTYGTSANNLYFLVPQGSVYFYNNM FKSNLIIGSLNSNIYIQCQKLFISKCIFKEMNVVNKLFYQVLSFKYQELLYKEQLEHYFK VKSYGGIAQIQVEEIQISNSQFETYVGYKGGCMYIITLNNGIFSIVNSQFKNGQAIIGQL ESQGGSFFIDSKGSKLNFKLINCTIQNSWSFDKGGFAMLTLSDQTSILFHNVSISDAHSL QSSVISIEINDFLQEQYTLQIEDCIIQNTKTGYDDYIKLLQMKDLIYMFTFDTYDSVLIE TKKGKLRVKNLVVQNIMGYGFMTLKQCYQVTLDTVYLLNFILQKRPLIFFDRSLGPIIIT NSRFYGVYQNYTKVICVYSELQLEELKYECTQELYTLSSQFSNFTKQCEQNITISYDYQT YNIFQIFQTQSEIYISSVIFSNIYCTNCNIFQIESNNLVIIQQTKFISNIGNSSILNIKN MNQNRLLFTYADDLKTNIGQILIKNSQFIKNTGFYGGCIYASGVSISCYSVLFQNNSANL GGAIYLNKGSLEMTDSQIINNVAQSGAGIYSTTPLSIQKNRRNIIVDNQEDDKNGVMQSQ PSKLALSLNSQKIFENEIRFKNDTCVIEEVMSQIVLPNNVEIQNYQILNENFTEHGIGNQ LSYVSSIQVYKKLNYKFRFIPLNDFNERQYHLQDSKCNIRSRLLSSKDQSFTTDYLSIES VSFNMTTQDYNFDDLRILTNEDLLLEIRCDSIKIPIYDSLNQHILQFHDNYSIVIKVKTF PCQRGEILTNSMCVQCVAQTYSLKENSLKCTLGDQEIMENVIQSNIQIKSTYWRPYFDND EISRCIIGLEKCLGGWAAGPDSCIQGSFGALCETCDIYNIRGQGSYFKSNMNCFQCQDSN LAYLSLLIVGLWTLISMVISVNSSLENLKMELLRTILKRGGLKVFSDTQESSSLYKMMFH YLQIISTLTTFQLQFPNLVSIAINSAGSQTKSLENSIDCFLISYDMNILHSRIIWIIIAP VLYLCFVFCIYGFIISIRRQSYKQGVSAIILLQTFVTMQPSMIGVLISILGFRNISGYDW VIGDVSYRYDKNFYYSSVLAFPNLFGLTVIIPFLMFLKLHRNKNQLNKIKSTWGYLFSEF NENAYFWELVRLGMKNLVIIIITLFDQYIVLKATMVFLLIQAYQLLTKSYQPFKTKNLNQ MEDFGSKVLAISIVLGASSYQMLQTALRNYIYIFYVIILEANCAFLYHIFRKILQENIDQ NQILINQIQNYLKDKYPLLLRLPLCRNIFIQKKKNSSSTKFRKVALILKESIQTQRKKNF MDLQQDDSLIVLSSPDQLWRNKKNKHEINSSGSVMLLSDIKDSKFQSRMISDRYGLQKSS GGQVI >CAK84549 pep:novel supercontig:GCA_000165425.1:CT868518:29261:30089:1 gene:GSPATT00018744001 transcript:CAK84549 MAEFKEDKFFNKPGDSDDSANKLKKKISKPKQQSLISTSLKIKEMFKKQQFKDIVNWAEK DTSITLHEYDEMKVNSQMLKLGQCALIKNAKNPSEDYVGKLQRIVTIKENKSTKLICLCE VNWFYRKSEIIKFKPQAKPWISNNEVFSTNCTDYVLASTILSPCRIVTLEEYETCTQVEK GIFFTRLEWLPTKKKFDGLSKLQHHCTCKQPQNPDQIYIQCDKCQKWYHITCVGLKKGEY EQKEYICGCCR >CAK84550 pep:novel supercontig:GCA_000165425.1:CT868518:30118:31853:1 gene:GSPATT00018745001 transcript:CAK84550 MGIDSLLKALKSVTKPKHISEYQNKKIAVDTYCWYFEHLQFRLHKGIFLCAQELSDGRET NEHINYCLKKVELLKKYNITVVMVFDGAKLPSKKTTEEEREKKRNDNLQKHLEFKQQGEK DKAYQKLVESIDVTPQMASKLLEALRIRNIECIVAPYEADAQLAYLSLTEYVDVIITEDS DLIAYGAKKVLYKLDKFGYGEEIDYNSISSCTEYNFQNWHHQKFLTFCILSGCDYLSSLS GIGIKRAYQIVATSQNYKQAIDNLQRKQKITVPFDYVEQFEKAYLTFLFQRVFCPVQRKM VSVNTFDTDLLYSQMKSLLEGNLDFLGNVYTDTLIQDIADGKICPQDLKPYSQSSKNLKY QNMENKYQNDNNELDIPTFGKDKNVQVFKFIKKSQSTKINPEENAERVEDQKIKPQSQVI HSKQTEINEKSQIEQQLYNYEQSNIFSSQQETLKQKSLFNTNILANEQKKKQSKIVIKHE QKRINEYFQSSFKPFKPPSSHIQKQYQISQVVNIVEEECQRFEQKVNSQVEEEFQQYSIS EEFSDNLKRFYYTDFGLFLNSELQFKQIQL >CAK84551 pep:novel supercontig:GCA_000165425.1:CT868518:33097:35168:-1 gene:GSPATT00018747001 transcript:CAK84551 MFFNFARFFPQQQQLDSLLADPDCELETILSADNILQEIKGVGANKFADHITKHPEMYQR MIQYVISVDDELIDKKTQIQYDIAFLSKDTHSYAVKFQAVKIRKEGIEADAEMIRVNLYD QLISFLEADVFNMTSAGYFSKAFLAILKKRGFDVWTQIINNKQILSNLIKHIDCKHIAEI IEKLIILDTTQEFTDETFLNERKILLQRIIILMQNKYYCSEIVENVCDILIEITTKNMSC LYYNNPDIVPFIDQITRPELLFDIAMKTQKPQPIQVIINLVEMSSKESKKEEDDEVIHNN EKDFSIFQNIVPELPQNLLSKRYCAANFKNSNQEQVQPFGLHKIMLLKLIQTFVQTNDIT VIEALLNAGLIQVLETICIQYPSNNQIHIITEKIIKCILDLNDEKLTENVFEFGSLIEFI IGYHTEQNDKKGYLALLTSLSNYLLEKSYNKTILQYYQDNQQWMEFVKNRLTNINQKEKP FLCNVNPKAKSEVADDQESDIMQILQKVNDSQYGQQNQSGQQYQEKESQKVEQELENNNQ QDDGGLDDDENILMDMNDDQGWNEDILQTKKQNQDDQELQSTSNNDKESTNKDDNISSLF NHSLFWKIEYDNREAEEILDNYA >CAK84552 pep:novel supercontig:GCA_000165425.1:CT868518:35202:35903:-1 gene:GSPATT00018748001 transcript:CAK84552 MYKKHSTEKLVRKNASPTHEKENNSYARKYSRKLLSRQSNNEEYENVKENTLTTYNSNTN STNQSESVTQLQQIIQQQKRQIQNLKMGCPRCLTKQNTDLELRNKILVQNNQILSLLSRE TQQSDSTELYKINQMLQQQNEELKLNNEILIQNQQEILQENLFLKNFFAESNNITNAKEN SSFSRNPKEDSHPFCSQTHKFNLHHMLNNIMRNCLTYQNEF >CAK84553 pep:novel supercontig:GCA_000165425.1:CT868518:36008:37775:-1 gene:GSPATT00018749001 transcript:CAK84553 MFSKCTQNMRLRNQMILIVSIQAFIIMSYVLAYNMIHLSLLNVYFSDTSNHLYKDSSNRI QQNIIKLYRSYFDKVFYLNGNTLISFHRLYHHTKKQVVLQNNLTINEQFQMPYGGINPIP DPLRIIKGYGNFDISYCFMCYSNLSSYSQPKTIDELVGIKMQEQVQAYGQLLYQGKDINQ YFFYSYIKKEKITSIYPCLNREQGIYSYKPEQRDWYIELQRNYDQTENYQNYNYTFTTPF MLFTEKKIGLSMAMPIVDEQTQLIGGVGSIFLGNEFVQEVGQSKFGFQIIYLISNEGIMI MHPYKVSNEYLPLYIFNQTITGFNQTDWEEMKKHNGSSSCPNFDIYNSSMQCRYNSVYNQ EMIVGIQEIAEFKMILITLLSSQEYLEFYYKFEQELSESLQETLSLNITTLLALLILVCL CIYVLIQVLFYPIYVVQEYAKNMISQKKKKDKTIPIFIQRFMSNQVRQLLQTFKFIENKL ELLSFRKTEQCAYFESLQFPQKSISFKLHLQQYQNFLTIHKLKKIKLLKMQTDQNFNQSN AQMQHKLYLRQILQLVKKANLYQSS >CAK84554 pep:novel supercontig:GCA_000165425.1:CT868518:38514:40090:1 gene:GSPATT00018750001 transcript:CAK84554 MNHIQQAFIQSIMKQQQHEKQQQVKQEENNQKQNIQVQYFKLFVQYLQKQQLLSIIQQCQ QQQQPIQQASKSPTLIEVQNQVTSYFQKIILQKQTINLNNVLEQQLPYFLQIMGNLIIYG LENCPGEKEIVQEMISQYKSNPLKITMSCSLFQTIGLLFRSQLVYYDQCTKYLTNFLLFR DDFCDIFFNTLYCYQITQGQTFSQQIGFTQINQMLKKTQDDVWTAFIFKMLSQQQQKMTK DMFLLQFNQYTVSMNNFFTKSNLIMDLVAQSVKQTSKLHNDQIFQEYLLIMGSFKATTQD FLKKATQLFFEESNDLDLLQFSNNIIKIHKQMNCQILFILPMLFLLESQYLDHLVQNGIQ EQQPVKNSSNYYLKMIEKLKNKCVYNGEEECNCKKCQCIRRNRNSAKESQKKKREALEKI GPLQDEYDKIQKKVQSLETENQVITKLLLDVFRHPSLEKLAAQFIEPLAKIIADQDFNNI DEC >CAK84555 pep:novel supercontig:GCA_000165425.1:CT868518:40110:42101:-1 gene:GSPATT00018751001 transcript:CAK84555 MQQSQLLTFDVFRGISQYLSIKDLLNLQSVSMQMKDNVQLALKALLASIGFNNPNTTTPY NIIFRTIFGRETYFWSFDESVKPFKRRHILGMPVKSIQIGLTYTGILTIQNRMYICLSQE YQEVINQKPKFIIKQDIQMHIFKRFQVKQYSAASKGKFIYLKENGDLIVMFDNQEEYLIS KNVEHFETSYYQLVAIFTNPQKIPQLPSEQTRAILYQLQDLTPHNCDQAQKYKQIILPDN EIITQVAIGSSAIYYLTKTQKVYSSDTKNEQVIDPIIKTVHQNYFDKRSIISIYSGLNYF LALSRESIKSIKEWTNEELQVWLAKIGFSDYVNIVKYNEFTGLEFSKQAVLQDFQINTLG LTNAELQIKLSTEITRSMELQYKDYQLFGWGKNDYGQLAAPLSNNVQFTKVALPNLDEDD EIIQIECGWKNVAILTHSGKLYLTENQQKQIKREKDIQQQQQQQQQQDEGGKKRKKSRQE PKDIKDQKEQKEPEQQLQQQQQSKYWSDISKIFIKSNDKREYRHYYVNLSKDYLVVVCSI FDKKTMLNYFPEMLEQKEMQKKFKPTLQVIDRILWDTKFNKEDFIVGYEDRFLGIMEVPF TDFIISQVKSHRVQYFKQKGKIVWDRPRRLDLL >CAK84556 pep:novel supercontig:GCA_000165425.1:CT868518:42144:42996:1 gene:GSPATT00018752001 transcript:CAK84556 MQEIKQIPSFLQKLYEILESDQSAIGWNKDGTTFQILDSSLLTEEIMPQYFKHRNYQSFL RQLNMYGFKKLKNKHGKSEFQHSQFKRGLKNNLLKIKRRNQDDIKQSLESLTKEFEQESY LNEHEKLRKQLVELYSNQRSLLEEIRRQMERNINLQRETQDITNRINTVKSYHLKKLDKL IIIIQKLPEDAQISKMALMKEKILRKLELIEAENICMKEISLEKQDSSMYQEPNLTGVKS PAGYSPKTK >CAK84557 pep:novel supercontig:GCA_000165425.1:CT868518:43121:44325:1 gene:GSPATT00018753001 transcript:CAK84557 MRGVTLRRYPDESFPKNIDFFDLKDFPIPTNLKENDLLIKVCYVSIDPVMRVWFSGAKTY IDTVHQGQVIPAFGCGVVAESKSKRFNKGDLVLGALDCADYCVRNANTIFKLPAVKSKHD PNLPLFLSVYGVTGITALKGLHQIPKENLPTKESKKTLVVSSAAGSTGSFALQIGKYWGY RTVGIVSSRDKKDFVLSLGADACVCYNDTLDSNGIVDTNKLTKLMKQAAPEGIDAMYDNS GEEVLDAVLPAMNKGAFIVLCGATATYYTWKNRGGLKNLSHFITKQIKAEGILYFGQKNA IMESFKEMNELVTGNHIQHKEEFINGLENVTIGLQKVFMGKNLGRVIVKLDNEVPLPKF >CAK84558 pep:novel supercontig:GCA_000165425.1:CT868518:44349:48046:1 gene:GSPATT00018754001 transcript:CAK84558 MFWSKRPDVLNQPRSFEGNFRSERTLEELEQFPSNFIKTSRQEIILELCRYNLVTFLPKS LLLQFTRYANIYFLCIAIIQCIPVLSTLNPFSAIAPLVFVLGLSMGREGWEDYGRHVSDN EVNATECVIMKSRVMTTSTWAQLAVGDFVLVKQDESFPADLIVLGSSIESGACYIETSSL DGEKNLKPKSAILESQQLYRDMSNFTEEKVKVEAQVPTQNLYELDASLFLSVGNGQQKKF QLTAKQLLLRGAFLRNTEWVIGLVVYTGQDTKIMRNADASRIKTSEIERIMNILILGILV VQISLSIITASFSSAWLHKYGSDSWYLGYGDFQPNLLSSLCIFQLHFIIQYYDPNLIDSQ SGICKGVLSMYVQQRDKFAKVQTTTINEELGQIEYIFSDKTGTLTCNQMEFKYCIIGNTL YGQEQQHTNIPVQNVDLKRQQTAKVHPATDVFQHSVFNFQDLELSAILKGEGSSGEQPVN LIVQSLDGKQQVKISKQRNLIEEYFFLLSSAHECIVQYDKNQNANYQGPSPDEITLVDAA ARMGFQFTGASASEQKFIILGKDKKVKLLKSFEFDSTRKRMSVIIDDNGVIKLFIKGADN IIKGRLSPNQIFLNQIINYLDDFSKIGLRCLLMAIRVLSNDEYREFDNAYNNLPDNDTRA EELEKLTNNLEQNLTLLGASAVEDKLQPLVPETIADLLKANIKVWMLTGDKLETAENIAK SCRLIQGDFTVMRLSELSVDDCKRKIGDIQETYDHCIKENRKKSFVVEGQSLQFVIDNEE LAQAFVSMAKDCESVVCCRVTPKQKADVVRLIKDRLNKITLAIGDGANDVNMIQAAHIGV GLYGNEGMRAVQSSDFALGEFRCLWRLLLVHGHWNYIRIAEMVLYFFYKNMIFTVPQFFF SYFCAFSGQSFFDDWYITFYNLIFTALPLIMRGTFDQDINYRQHIQFDEKEEVASVQRKF EEYLKVKFPSLYFVGQSKSIFTIPNYTLWAFNGLVHGMIIFFFILWILDYEIVEDNGFPG GLAAFSLTVYSSIILIADLKIAIHTKYWTWFNFICITFLSVLLYIIYVIISQFWPGTLME YTPFTMVGTPHFWLSIILIGGIIGGMEAIIAQLQREFFTDPAIEMLYKVNDFGQNQLKLI ELKEKNQRQFQDSFWEPLYKQQQLQQQNEEHAE >CAK84559 pep:novel supercontig:GCA_000165425.1:CT868518:48080:49741:1 gene:GSPATT00018755001 transcript:CAK84559 MNQVLESQKLKNQMSLQTSNKQLIGPLLYNLNKHTSYVFAATLHNVVLEESFEKCHEINE QKDHNLDENQWQEVKRQLWQSKIERQGGQSSKQQKCFLVSAGADKNIYLWDIDAMQLSSS SSVQIKPEQLPQYPNAQENQGNENQHNLNFSKDELDLRHVIIPYQQNLYEFITCLLNVEL NQNQTYLIVGYESNQIIRYQISGKYWMKGIKKQIRYILNLSEVLQITKKLHSHNDAVRSM VYFNNQLCSCGDDMQLLIQTIDGKVIKRFYFDSIIENLCIWNGHLVFSHYTVNLRSTSQM KEEIVLIQGRLLIPDQNEQNQNNANQQAAAGLKVQVDKHSYAYGLQQCLMIMGENQQNNL NIQLNVLNYHPSLEDAYNQVDKITCFEVSDNQNILFSTESGKLIKIKEPLKNESNLEIKV DQYKDEENSNQQYQYRILSQFQSRSKIQEHNLNQIIMSFIRYENMIYVFYKNQNQKEQVF LQIGEEITDSNNKFYQVVENTPLRIQYLSIKSDQIDENIIRPYEVFLQNPLLIKEGNTNI ILLCGDQLRYYKL >CAK84560 pep:novel supercontig:GCA_000165425.1:CT868518:50091:51490:1 gene:GSPATT00018756001 transcript:CAK84560 MIEMQTLRTQPVKAKFSLLIDASRTLTYKELFNLAYPFALFDIKTLNASLYGQFKDVFVT KAQAVTESQLEEIISLVDFIPGATTSQMMVALGTIAMKNAQGGVVALVAFATPGALLLAI LSFIYSKYPDPRDLNILIFLAIQGIKASTVALILQLMISMAMHSIKNRVHLSLSILSAVL LVAYQQSYVLILTMMMGGILAIMLEYDAENTAGRRTFIKDQTVTPVIITDPKLINIKNSH KISYLLGNKSFYLYAIILLALLYLNSAYQSMILDISLTFYNIGTFMIGGTPITLPFMYAE TVLNPLYINEDAFWLGFGLAAALPGPYLNFAIFLGTHSMGFSGGVCCWIAIFVPSILQIW GLLPHWGNFKTNVYMTKFKQGVVSVATGFLATAVYYSWVDACKYDMPTATVITFLSLIYV SLLNFNSPAVISLGAALFIIRYLALWYYRELDPVIFQQ >CAK84561 pep:novel supercontig:GCA_000165425.1:CT868518:51520:55634:-1 gene:GSPATT00018757001 transcript:CAK84561 MKQSQIFTKSFYQTHDKNTRKFLIGQQVEMEKQPKKNGKILSRQVRLQETNMIQIKNQKT IKYPNGFLLITKQEFEKLPENQKTFFRWTTNQSKNVRILFDRSSEGLNKTQIQQFEKPTA VYFDNIEAGIRFAEFLKFKNQERLNHFNDNLTRIQSVWFHLSSLKIVESSIEKMNNEAQQ IQYQQLKEQNEFLLDFDELLDYDKPIYEEMLNILFKKAEYEEKHKKQNIKQQKVEIMKQS ENFFKNKEVKAIRQLLYLWRQVAGGILRENEELEEYQQRELEDEERKLQTEIDAIENEQQ RISQIQQSQIQQQHQQQNLPQYKDLHLTKFKLIIGQHIKLFNPILYVYFKGLESNYQGKI EFDKGQEINFMQLDKKGWTAELEVTLSGFQMQDALDIVIYDDYQEVNEKILARAQEEQNN ILAFLATAKKVMQGRIYMRDFEKNLNNNRRHFASLEVSANLQQKVTGNQLLNVPMIQMDI YEDSPFSILNSTVNPFYLDIIEVPFTAQEMKYFARQLKSPFNSLQQQWDSFKVEALEFAL QVRGQIWVNSKQSEDDLIEIQEFIVEEQGIEEQKGKFTLLAEYLQVNPIQNKQDFVKNKL IQACRKGFTKQSRNELIPIFLQFNNKITKFLQDIGIEWNQNESILDLIQNEINQYIEQDT ILSNHEKVQHYLDSLQFRQTTVWTDQYIKKLQLLKDRIAYLLNCFELSNHKKNQLILTVR LFQLFNLREDCDDYVLKIILCLDYKLMIPKMQCDKLDFQWFRTHMNNLFSDFFYFDIWLR VFDYIIGIGFVNGDFDRAIASVIGGILNEVDSKKYRTKEEFITGLTIYGKLLCDPEKLIM ACYNSYNHQEFIYLESDEQILEILTQNGNPSEQICKDQIQQQQQQSHQQSIIIDVPEEHE GSIYEIHEQYQQSATQQRSQQKYLFKQKVEKIHILIHSMYLHQIVFHEQNVVTIQNQRKN EQDGLYFELPFTSQSVDIQINENFKAQIDIGYLLVNTIYKNTLILNDNYDMERNYQISEL EYSILLIGEGLPYERVMSKDNAINSFNDLAQKFKVQHNFSQHPDAFKLGNVLSFADFNQL MQHYFKLDQASYNLDELYQKFMLKGNQQIYLIDILLKLNQNNQKQLEVLNLFIPNEKISH KQLRREQLENNGDVKFLKVILSDENYGQSVDLTTYFNGLLLDHYLKYNSYDMLLIDHKNR LYLLDNAGSFLSQLRTQTLILSLKFSSFGNIRNLQYQLNKQFKLTMEQQTSATSIKELLE NNSRLISLDNNCILMNEKASTFNSHLAYLRCRDGSKQFYTCDVIGKILNKNDEVQYYVVQ LELDKRIIKSKEDVLLFDSIPLEELEVYDKTWKQVQ >CAK84562 pep:novel supercontig:GCA_000165425.1:CT868518:56741:57120:1 gene:GSPATT00018758001 transcript:CAK84562 MSKPAKFIAILYDILEKESFQTTIRWTKDGKGFQILRIPEFQSNIMEEFFNTQNFQSFLR QLSLYGFKMKKNEKNQKIYNHPLFFQGNQYELWLFQLRTSQNLKKEKSKRKWRTIF >CAK84563 pep:novel supercontig:GCA_000165425.1:CT868518:57152:57492:1 gene:GSPATT00018759001 transcript:CAK84563 MRNQLEILRKQQQKLLMQMRIQFQIQFLIAKKINAIVDHFKENYTNEQRREVYEKPMHLL FHLIFGHKPEYASDLMEPLYEDIIGQLSPFSEFPCSPIQFARLF >CAK84564 pep:novel supercontig:GCA_000165425.1:CT868518:57755:61293:1 gene:GSPATT00018760001 transcript:CAK84564 MEELVQQMIAISGGQMNEEKAKQYLRYGKNDIELALNYFYQAEERKKRQQPTAGITKLME GAKKQQQLQKILKDLNQEYQKPIEECLDQQIVLSKPSGNLDFQQTNDIEEIAIQYAQEKR QAKFRQSSEVLKQQKNEEEQKNTQLQNQQQKNKKKQNKTKEQKDQILEERETKQTDESEN NEKKNQNSGLKLNSGETTINRGITFPLFIGSAKIKGYALGSQNLKDVQQQPIQLILDGTC KVVDKGKKSNKIVKGQNIIRIGHNDREIGRLNSEYEDLFSPLLQENYVKIIGYHVQSQVL CKTHDLILLQIDVFLNEKALSDGTSDQFDDAVQEFVRYSDNFQKLYDLVNCKLITSTKVD FIDKKKIENSSVEIKENSNNNTLSKTAVTYQEDPFKQKPKQLSLNDFKLKNNVSDQSQMM KVEIEREKTQNEMNVEIDCDKFLGFNNCTHHDLEMHQGPKQLGSKLFDYQKQALTWLLQR EGVIKVDDESSSNALHPLWKEYQTSQGLKIYFNPFSGQSSLDFPSSSRRCNGGILADEMG LGKTVMLISLILANPFKTPQDYYHKSTKKNQNQSGKKWIGDYVGYKKKKWARTLIIVPVS LLQQWQDELNYHCSQHLRIFQYTGAERNLSDLCQYDVVVSSYHTISVEFKKPSKDPYSVY NYSWYRVILDEAHYIKGRTTLLAQGAYELDCYYRWCSTGTPIQNNLNDMFSLIHFIKLEP WSDYLWWNAYINKPHEEGKDNIFPLLNSILRPILLRRTKKSKDQNGRPIINLPNKEIHFE YIELKKDERMVYDKMEKKSQDEVEGYLAKGILMSQYMKVFELLIRLRQICDHPLLITSRS DVKNIDQLEEQIDKFLSSQSLDREDQEELLMNNQQVQICQDQQQYKQEVLRRVKENDIPP CPVCLEQVEDTIVTICLHFLCRLCLYGILANSSECPYCRKYLTKQDTMTLPRESSFSLNW KENYKRSSKIEKVMQILDAIPKNEKCVIFTQFIGMIQMIEFDLDNQKIKHLRLDGSMPQQ ERAEVLKTFKEDDEYRIFIISLKAGGVGLNLTSANHVIMIDPWWNPAVEEQAIERVYRIG QTKETHVYRLICKQTVEERMIKLHDVKKQLFESSIRTEERSSLRMEMFKNIILGS >CAK84565 pep:novel supercontig:GCA_000165425.1:CT868518:61705:62217:-1 gene:GSPATT00018761001 transcript:CAK84565 MRNIGDVGEKKLYMARILEAFLIFQITNNWLIYGFGENIYTQISLKYFQTGLSKHLFIGL LINYKERGLLDRGLPSVFSNQLQKKERELFCTNCRGYFKFIPNYQIFQDKFLLLINKFKF TKGAQGLKTHPKKGFIHHQQYQYALFFIVLNLNQLSQLFYQYIQQYFYQV >CAK84566 pep:novel supercontig:GCA_000165425.1:CT868518:62376:62699:1 gene:GSPATT00018762001 transcript:CAK84566 MTQTYFQNALKYVQTLYDQKYIAKEEKSILKMIIMRQDVNDKLVDRRDAITQVSQKLKTL RRIKRLHLQTSSSLNQITEKSIEIDS >CAK84567 pep:novel supercontig:GCA_000165425.1:CT868518:62933:64040:1 gene:GSPATT00018763001 transcript:CAK84567 MQSSPLKKKFNLTLNTSRGTRASFQESCTSKSPFKCNTDQIIKCNQLEQELHRSNQQRKL LELELDDLNNQYIRKSSALHDCEMENLLLKQQIQAIRQQHEIQKLQYTYKQLFIKSRQKN QSYLEQYHKFRCMEKTLESDNNLEQQIKQLSEQNESMKQQLQEMKLQYKIIQDNYQEIKV ERNSFYQKLVEIQTKGTSKEDKIKQLENDNNLHQSQINQLTESNKKLQEQLKQLGKVQEK KEKWKIRYKNYRNEIEYQQIKSRKSSDSQQVQEGQKIGSDQNNLIEELTELKDKLQQLEV ENQYLREQNIEMQTQLQLTTDKLLKQQLQNSLPKEQSQAFSFQQYNQNYYKSNQQQEEHE >CAK84568 pep:novel supercontig:GCA_000165425.1:CT868518:64242:66067:1 gene:GSPATT00018764001 transcript:CAK84568 MNLRDGQTEKIEKDFSRLLERYNRSKQVIDQLCQSGGKNDTTIRSDKNYKEDKEKEYVSR DKYNKMRSLNKELKITLKEYIDQTKELESTIRGKDDLIEKYEKELREQQENLYNQLQEQK EQILKEDQQTRTAEELKAQLSQAKQKLMKKKAKIQLLKESQKKNDSKFEDQRLGFQQELD RVQKLCEDFSIEIKDSEKRLICLSDENEHFKQLLDQKEEDRRYLEMMIQEERENTNKIRA KIQEFMDEKKILQITIENQGKRVQDLEEQLRQADTRYQMLQGKLDQEKQGLIGELQETLQ KKKQKTKQMQSYIQQLEQKLQEKSKEDIIVNKQIQDLQGEQMRLHSQLEEQKHKTDQALN ETQFKVQEVRDLKGEMEKYRQSLQIQDEKISKYDVQYQIDQKEKQRLHHEIEICEQENRK LQHLLYEQDREIDYMRQQQEQEILHIEKKVDSLVTEVHVAREEANEWKRNASDLKRQLQQ QEEHTNQFKAKYLKSKQNSKNLENEQKFLEEKVKSLENEKLLGEREALKNTVVQQKYVQQ SKIKVLDEIQNMIKQHKYRE >CAK84569 pep:novel supercontig:GCA_000165425.1:CT868518:66087:66593:1 gene:GSPATT00018765001 transcript:CAK84569 MRTAKEGEYPYLHNLKNTIDDNAQKFFEKDILNEQEKQTAADLYIEYNKNITEVNKFLLL EYSVLQNKITNCLRNRCYDDIFKDRQSIRFCVIECTQGLKDADKFVQNQFQLLKDDFQQC MEKTNTGQWMISGVFKCYNNMNESFSQLKLNIREEFNYYQ >CAK84570 pep:novel supercontig:GCA_000165425.1:CT868518:66615:67269:-1 gene:GSPATT00018766001 transcript:CAK84570 MEIEESYQEARQILSQNLEKGRAKLSPDEKLKCDIAQAIIYEHDQKRLQAASIFYNLSQK KPEYFQRAIINGLTAPASAKRQALLLTIYRDSRIQKSKWLPFIKSNVLQDLLKWNDIENF ARETKVNPNLFCEHIITAISRFYSNISIQKLAQYCKLKQEEAYELLENMIITERLQAQID QQQGYIQFQHKEQCTIEEFCTNLYSLCQ >CAK84571 pep:novel supercontig:GCA_000165425.1:CT868518:67292:68864:-1 gene:GSPATT00018767001 transcript:CAK84571 MGIPQPKQTQSQLFEETLKQFDIIDKQSTYVLFQNNSTMQKLEYWTFKYHDEDSFKRAVK DLTPLRTCQTLLQIFKQNTLTHDEFCSTFYELQLLVEHPIQSFAEYLQNRGQHDYSSKEL YHLIDCVVFAQLNLDKKQYIGFNNLWQGENDVWKLRSFDYSYRPIDFWGKQIPYLYPAPE DFKQDPSLKYSLEKSTVFTFGMLLLHVALGITSAQLSIAKSCDSLYQNNTIDHQRMTTRI AEFYKNYPTTLADIIANMLQFDPNQRCTYQDIQNILKEAQQCKITDSTNLLLKMHKQSNS QSKLQGNPYKSPVETSRLMNIPLYPEQTFLNSQSIPGNNSLPNHTNPLQSNNQQLISTIS QQQQIDNKEPQTLLQNWSQSRSGKLQFGDGRYYEGEIMNEQMNGKGVLYNSDSTVGYDGE FLNGQFHGVGVQFNENPDIMDTFLNINEFPGILNYWVKYEGEFSQNKKHGIGSITFSNSD CYIGGFQKDQMNGRGTYTQKYGQTYIGFWECNKLKY >CAK84572 pep:novel supercontig:GCA_000165425.1:CT868518:68888:72034:1 gene:GSPATT00018768001 transcript:CAK84572 MSLKRAKGMKVKQSSSAEEEKKSDSISKQIKKQRKKNNMVGMVENKKKVKTQVVKREDAW KHLETVVDQLVQSDPRNILDSEELSGLIAEFENTEGNPKDSYREWFDKEYEYQYDEAAQL MKPINFKSNLCYLHQFRKRVEYRQYWDIQEIIKDLHKLFGNIYLKCRNQQSYYYLSRVWD DAKPKIRTVIQEFSELIKEEVYNILQMNTEFKVFSTIWPVVPEKKEIRTYIEIQDFEPNP NEEPHISQIKEKLSSKKIPVKCKSDCVCFDLSRMGTFNYSEIKWDSECPNRSNRIECREH EGTDRPCSNMQIQLKQYLTNRTNFGDNADVEETLCWGIDVYTRNVIINILPLNYVESQKN QFIEKLILAINRPNDKERGYDMGLACDYIIRESRMLSSLYNKDDRKMAKSIKRVIKLDGG GFRIHTKGCGLVCVNKFGIKTNSLIIPYLGEIYQPWRWYEKQDFIKKQMKEQNKKDILPD FYNIMLDRHLDDEDGIDILFVDPINKGNFSSRLSHSCNPNCGTVTTVSNGTYVIGMYAMR DIQFGEELTFDYCSFTESKQEQLQALCLCGSENCKKYYLGLSNQREYNAILDRTHCFLKR NAILFNSCLDNFKIDQSLLDKYKIGSSLLTGCPFWLKCWICQLLVFIDEEYIIYKAELDT KFILNEETEKWNQFTAQLHSEERIQNLIFTLDKIKFFLKQSDTVEPPLTKITNEDLIMNF WGMTNESLLSNELYQLFQKHGLKKLMELIVLIQDKRHLYDVQEQLLLTRLLFLVLSHLLL QQKQSFYYEGLSLILQMMAFTYTYFKPTEYKGFQSPPIDDLEWGKVGLIKRKCKAEGKTY SSLFAWGQLVGWYKQTVLAPQLSLCVDRRGTLLYPQISSFDSNSERQVSAQQEKNKTDFI YKYLEKRFNITVAEMSYKTNQKYFGTIFFEQVYSQELGQQFIDRALVYEKKDFNVKFKQF LKYEKLKFNHSSEQIHKLMDHFKNVVQVPKQRSNKKKLEEIKSEERQEEDEPLFKRECLI TQEI >CAK84573 pep:novel supercontig:GCA_000165425.1:CT868518:72055:72666:-1 gene:GSPATT00018769001 transcript:CAK84573 MRSDSINRRKQVEQQQLGEENQRIKIKLMEIANEKKALSQQVKLCQAKKKLQQLIRHQQN MMENLILMKKITNVTSSITHRVQQQDFHLSKFKQLDRYLQDDDKSFLKPSLLQSPNHSQQ NSQHTQNTKTLDATHIKLSELRVYSNGQLLQ >CAK84574 pep:novel supercontig:GCA_000165425.1:CT868518:72728:73439:-1 gene:GSPATT00018770001 transcript:CAK84574 MNPIDTRQILNWDQVKVHLQPNLCIECQLVPQQPLQCYRCQKLLCQTCHGDLKQKSRGPK IFCQKCKALNYFNPANNAIYQSYRDMVIGCVNRRYGCKVTLDYKKYQKHVEECEFQRIPC PSPGCFVTTLKRAKGDHLQVCEYVIETCQYCNKQFNRKSMAYHQEKCNGKDVQQIDLASL KSDFLRLRSKLDAAISQFEQSAEIALLEQKVIKQQLEIQQLKNNINQ >CAK84575 pep:novel supercontig:GCA_000165425.1:CT868518:73966:74664:1 gene:GSPATT00018771001 transcript:CAK84575 MQKQCCFDSQQTINSDEDDKIIDVLAFPTNFFQFSEASTQSLSSVESANQPISSPNYKFM GGHYSAESQEQNNVFRTFKDQKPVQTDGNLHLQIQKYPFLERQNKKLTHRNQQLPIDKLN NRDRCTQRSIYEKQGDKYQAQQKMSLTPRQKKQNEKQFMPSKLNGILKVSCLKTRPNETS KIRPIFDLLIGRPSNKNVSFVFTMEQIKTMRINNKSNIFNMPMEKNTKLFLV >CAK84576 pep:novel supercontig:GCA_000165425.1:CT868518:74902:75869:-1 gene:GSPATT00018772001 transcript:CAK84576 MSAPQIIIEQQSQLNSPIEVDGAVYVAAQNGEIIQYKDEQSKTFFHVASQPHSIKMDNKN KVFYVANMARQCIQRFSQDENNDEIIDFINEFEGMPLLGPNSILLSPKNNMVFFTDSGPF GETAIDNCKGSLFAADLENLTCKALALYCLSFPSGICMGNDEKTIYLCETGKNRILRFVQ ANAGIYYFRYQLPHKFSVYIQFQGRFGPMACAVSSTDLLYVARYEFAQVTEDGLISVYNQ NGINIDNIILPQYPELTGMTFSVQAQIFVQSRLQPGVLYITENSQKGKCLKLNVTPPDKK DKDKFK >CAK84577 pep:novel supercontig:GCA_000165425.1:CT868518:76075:77622:-1 gene:GSPATT00018773001 transcript:CAK84577 MTTVNAPKISEYKEATRIERVGAQSHIRGLGLDGDLEPRNISQGMVGQKKARKAAGIILN TIRAGKIAGRSILIAGQPGTGKTAIAMGVAKALGNDIPFTAMAGSEIFSLQMSKTESLTQ AFRRSIGVRIKEETEIVEGEVVEIEIDKSATSGAKTGKITLKTTEMETVYDLGAKMIESI TKEKITSGDVITIDKVSGRISKLGRSFSKASEFDALGAQTRHVQCPEGEIEKRKEVVHTV TLHEIDVINSRQKGFLDLFSGDTGEIDSEIRDQIDSKVAEWKEEGKADIVPGVLFIDEVH MLDIECFSFLNRALESDKAPIVILATNRGITNIRGTTYKGPHGLPIDLLDRCLIIQTEPY NEAEIRSILEIRCEEEDVEMTEEAKEVLTKIGVDTTLRYAIQLITTANLVAQKRKSNEVD VEDVRRVYSMFIDHVRSTQYLRDHQAEYMFDEYQKQTQKMQVE >CAK84578 pep:novel supercontig:GCA_000165425.1:CT868518:78485:79040:-1 gene:GSPATT00018774001 transcript:CAK84578 MVESNKKLHTLLSISNYTTSYIDSFDPLNQSNTLIGIDFHNYIMLHKKCCPKCLIFLELF TSKKDQTFQCTNCQWMIELKITLLYTLVNCLQITLMLSLFPIIAFALSIQKTEDVMQRIE RKIDSITIIIIVNLLFSIPMFILGLIICLFLAPYKILLAFSEAVKQRDFNIIKRVFS >CAK84579 pep:novel supercontig:GCA_000165425.1:CT868518:79385:80094:-1 gene:GSPATT00018775001 transcript:CAK84579 MLSPIIIMNRNIFHLQKSFIRSIKTLVYQKITSFISIVHSQLSIKEHNTTTKKIYQNFIL QLNNINILYNYIKLNLKPDLQGWQEYVQTPYTNQLKQLSDLLNNSIEQHQPKINSNPFNI NFAKKCITQQSQSPKYKQHQKLPFNEYRKQMNINRQASPFIRHNQFNFSQDSKQEIHSKH YYNQNQKQSLVIQSPQRSQRKQIRRKLLMISKDFNNSQIYHIIQLINK >CAK84580 pep:novel supercontig:GCA_000165425.1:CT868518:80554:81573:-1 gene:GSPATT00018776001 transcript:CAK84580 MNRKLISIFFIILTLGGNQAKEDIPKYKRCLEYNKEKIFGCINNHITSAFTFQSVTTFAS FVIAKIAIWLAGFTAMGPAAGSFAAFLQSLIGNVAAGSFFSFLQAVAMGKSILLLIPSLC VGTVVGIIYLVFSCFRNEYCTQEEQVKSQPNPQENQQSNDKSSNGSNNLFEQNNNKQEES FWSQSLNFITNSNSYTKIAESISDLYNQLPNMPQQVIKLKKQVIDYSSPFLKFTSDVLEF SSQVASSIKKQIEEGQDQMGILYNQITSTFNDYVEGINDLFDFVWRNREIIKEAFEYVIV VLQEQFNKIMTIKKFIEDRIQSKKQDACQNNFIEYLANL >CAK84581 pep:novel supercontig:GCA_000165425.1:CT868518:81924:83053:-1 gene:GSPATT00018777001 transcript:CAK84581 MKIGNESTSEHDLDICGISLSSSQTKLPNQSNNSEDKTQKNETTKKNIQTIKDRDDLQGS VSLNDLNILGLKDYYLGSNDNLLETKSKLNQDNIESPGMDFQQNEQLNTKLDQLGFKLNE VIGHYLNELTNQPRLSFDSFPLQNSENLDEEQQQQILDYELQAMRQRIYRQIVDKINLSV IQSVSSFGSSCQLPSQSNIIQAAFSKELINSTTHISQISSISPRKSISHSILDQQLQIHQ SIHCIQPKTNKNIEDSAIQMTENEKTKEDLQNKLKMSLCLNQKQHYFNNQLKEELSKLEK RNSISDNNNEDKDHNNLYIENQRLREENLKLIEFIEKKLCDQCKTIPKDF >CAK84582 pep:novel supercontig:GCA_000165425.1:CT868518:83206:84440:1 gene:GSPATT00018778001 transcript:CAK84582 MINKIIDQQHNNEPRQTRSLTKGFSQSKRNRESELLYLSKVNAFSQLKNYQIDYICRSIR SVEFTRGHLIFQEGQKCDAIYVIKQGEIEIFKKEPINQQIEQHFQLNKKKFVHQMIKVLG ESTAFGVGDIGAGIYSINARVSSHFAELYMIKVQDYKRILDMEPQIKLQQQESENMDNLE VITKYQLPSLYDSVKTSQAQKQEIQYLRKVRKGITYLIPQSQRTDIMKNSHIDQSLEEIY KKSVILHQKMLPNYQQVDLDNPLIQGQEVINDRLLLNKIIQKEYTIKIPKESPKTNKLMQ QSFVSSQNSPMSRKLQLIFQKDKKLKQNSSIYEVTHREKIKIIQDSSPHSSSFRSAKFDT GRSTFHATPLFDQHDPIRLKQFIPTELFPIYCHLIKPDNQEIS >CAK84583 pep:novel supercontig:GCA_000165425.1:CT868518:84841:88270:-1 gene:GSPATT00018779001 transcript:CAK84583 MQTIMTFIEQKNQQVLELLRNFVLDYQNIDLFQSLILEDGNPETTFVLYSILQKSLINGD HFTNFPHQNVNTEYFYNEYDHRLNITEVCTKHIEIALIYLQQSHNHPNYVYNQVIFTIAL LLRKNWVDILKIEDLISSIITSTLQTNKIVFFKIFENIIGCIRQYSYASGYIEFRKILNN FQTLSLQRILQAIHLQIKQLQGETLITLYPQNKVMVESFINLLYQLMNLNFNLSYYEIDV DHEGKDSQFTNFPDYYLDLIIDPVFLIELFRDTIGFINIDQNLALFCIGMLQRIACVRLS IFHNKKCKGGFRRVMWEGLLYLYQNQDSFIKYVEFSSEIHSLAVRIINNLTLRKIAKFQI IFDNFFQLIQQVYQKTVQLYGVKIMANSPIVKLQELWNQIGYQGSLHLPSNDVLNVQINK TMQNQLIMVLQTFFQQNQFTEISQECSVKKFKKLLDLTFIPFHCLFKSHIQDNISLILQM IEQLRGDNEYQSASVICLTAVFLLHTSNTEYLNLGFDCYNFGQKEEPKPNLDGQAYILKF IVELIMNSQVQKNTRILKMAILSFIESFITTTIDSFCYEQETQQFKNLSQLYSQLIRADQ RYQNYLLVLETMLEECFQVFTYGDPFLIEFSLKLIEYIYQKVKKYIPRKVFKACSITDML KQFFLQLNITCLSTPQYIKKRALVFKIITIVWVDDCSDDYVNALVDIYNQIKQSILTEIN KINILKYVWDLIGIASEIDVDNIYRTFLRIIYPDLAKLLTPENIELFAKDYDSSIAIITL FKAIFYNKNTRLSTENIQLILYQIYGKAMKFFIILIQQQIQTAKTQQKLSDFQLKQVSKL LALMSQICGQKKINQGFFIIYNDQSFLDLFNLQIQLILIYRPLLQHIPKYKKLLYECFDC ICQDHSETLAYKSELNSYLDIFLICKDTLKDVIYDNQGEDTINENCATLILIGTLLSNIA TFLVQEASVGDVNDLQTIKNKINTINIQGKQLLCEIFNLSCQITLIYPKNSKMMFAIPEI LFAISIFNPSDFETLLVTLITNQIGIISNPIIDQVFLAFKNLQGELQISNKEDFVRRIKI VLEMYK >CAK84584 pep:novel supercontig:GCA_000165425.1:CT868518:89773:92262:1 gene:GSPATT00018780001 transcript:CAK84584 MIKIYQFFIKFFFHPEESTDLEPKKIMNLWTLTFINQDLEEKYSNKEKQRIIVLFRLQYL IHFLINLIYFVNNQFFLKNHLVAYFRLAFCFWHIICMVSQANLRRIHYKSFIAISEFLSC VITILIAYVYVQAQLINKQCSRQTTSQAVSSGIQTGIIIISYLLVCPLWFIQGLILIAAT ILFIGLVGNLASIYWTFYVLLLMMLIFFRFLEYYKRIDFYMICQQTSNLHACKNIFDKTV PNSILILTENNDDLQDSTNQCKEMKLIYSNDFAIKYLQVSDENEIIKELKSIYIQTEQES MQDTYNSYLSIYDVLYQGMGQFEEQFNQQFKTNSTSVIQNEYDISEFSDYFLCFRFDSQC KVAISQKQQLKIKSHFEIRVLHCIWENKHSILVIMNDISEKIRLKHLKELDQYKDRLLAT VSHDLKTPLNGMMIGINIMQNIFNIKQLCAHLDEFNQSGQLLLSMINDLLDYSQINKGYL RLIPKAFNLNNTFKFINSLLLRQSNEKGVQLIWNNQIDPTQSDLFTDENRLKQVLINLVA NAIKFTMQGEIIVTAIQPIEQDVIEISVSDTGYGIPEDIQKNLFRLYSTFDIGNNNRHGV GLGLTISQQLVSLLGPNDKIELKSQVGKGSTFKFIIFRRLQQSLENSMQEQDQDEKQIIP IFPSVKNQTIFSKKRKNQSKKAQTYYKLETIPDECLKVLIVDDTPFNIIALSAMLNQVIY NCKLYKAFNGKQAFDLYSKEKMSVIFMDVNMPEMDGKYEQMYKLTQSLIIIVTAFSGSDD KIKSQKCGANDHLDKPLNMEDLKQAMKKFRII >CAK84585 pep:novel supercontig:GCA_000165425.1:CT868518:92816:93424:1 gene:GSPATT00018781001 transcript:CAK84585 MTNDQTCNGFNVFFHQQFFNAGTANSKKNLEHTEKIIEQWKKLSDEDKMEWQQKEEELKI QVKSKNNQKTSQSQGQLENQNRQKSKANNKDQNAIEDIQNSIQSLKFEDAKQNYIKRISE KNSQKEVNDSDGDQNVEPEQKPQQQKQSKKNTQRKKQIDDDDDDYVEEIKQGRKSNVRQS KPRQSRSRCKSGQRSGCGKRRM >CAK84586 pep:novel supercontig:GCA_000165425.1:CT868518:93684:94459:-1 gene:GSPATT00018782001 transcript:CAK84586 MNNRGYDHLFKLVIIGNSGVGKSSLLIRYADDKFSENYISTIGVDFKFKTFQLDGKGLKL QIWDTAGQERFRTITNSYYKGADAIVLVYDTTCLQSFEEIEKSWLDEIYKHAGRNTTILL IGNKSDLPNKTVSTERAQVYAKEKLMLFKEASAKTSLGVNQAFEELSRLLIIKKNLEVEE KKLKRNQKKNITKLDFDKKESYETQNEPKENINLWLRPKPKQEDRIQQQQCNC >CAK84587 pep:novel supercontig:GCA_000165425.1:CT868518:94499:95759:-1 gene:GSPATT00018783001 transcript:CAK84587 MNGKLSYKQYIQGKLDLLEFMIAEKQANVKRQEAQRNELNSLVRQVKEELQALQEPHSYV GDVVKQMGKDKVLVKLNSDGKYVVTLDKSIKIEDCKPNTRVALKSDSYVLHKILPTKVDP LVSLMKVEKVPDSTYDMIGGLDQQVKEVKEVIELPIKHPEIFESLGIAQPKGVLLYGPPG TGKTLLARAIAHHTDCTFIRVSGSELVQKYIGEGARMVRELFVMARQHSPCLIFIDEVDS IGGARMEGERGGDSEVQRTMLELLNQLDGFESTQTIKIIMATNRIDILDAALLRPGRIDR KVEFPNPGVDARLEILKIHSKKMNLMRGIDLRKIAEVMPGASGAESKAVCTEAGMFALRE RRIHVTQEDFEMSVAKVMKKDIEKNMAINKMLK >CAK84588 pep:novel supercontig:GCA_000165425.1:CT868518:95856:96775:1 gene:GSPATT00018784001 transcript:CAK84588 MDTYDAIKLPLRELAALVMTKEYKVLRQIQNEFDIECPNYVEILKHTNDFYCQIPHYFQQ NKVPYNQIVYKILEESKNDSNQIDETFKKLNIDIQQLEHNSEKVKINQMFIQNTQGYSCK LEVEHVFELTKEQDDKRFKQDFGNRMLLYLGSPSINFAGIQAQGLKIAALEAPVTAHRFG KGIYFTDVVEKAASYVAQIFKKIIYLFYFAMWHRVIQVSNSIMIVMEVISLKRLIALWVK LDATLPNNLMLICLDCLMQKFQLVSLNLENFRIHPCQSAMNLQFMMMLKSGQSIQSN >CAK84589 pep:novel supercontig:GCA_000165425.1:CT868518:97462:97754:1 gene:GSPATT00018785001 transcript:CAK84589 MSQETLNGINGILTDVQSFGQESYRFIRKCTKPDKREYIKIATSCAIGFAVMGAVGYFIK LVFIPINNIILSAN >CAK84590 pep:novel supercontig:GCA_000165425.1:CT868518:97769:99418:-1 gene:GSPATT00018786001 transcript:CAK84590 MHQSINRIYDEQIINQSKQSNLAGTARKLQNVYNNCQICNQGARSLESLLTCQKCQIKVH QKCYGLENVINAWVCDLCLNFGSQGKFLKCPLCPKLGGAMRETTLAMKDSIFEQSNPSYH TYAMNYKIDRQKPPPDGEETYNFMVLQYSLDTLMGEPPKSEKIWAHVSCMLWLDFDLRNI DKKKFNYLCSICKTKKNGACLLCTKSKCGIAFHPECARRSQFYMESDEIFCFKHQPLKIK RIFEDQHNLWKEEIYYFFKQYEKLEQYLIHKPKNNDLEFQKFEIKVQQEEIEADIKLENQ QIFQQITDIMERDEKFIITLENNQVTNIQYPYKRLSVYDLEENDRIWQQLANEKYTPEQV YVLYQRAIRMRKKKKISNIISQLQMTTQQELPNRKRHSIYSRPKIFKRHKKQQKLNGTNK ISLKIKIPKEAISLQYCICKQHNENEEMMQCETCYDWYHLSCLGFQGSIEEAQRLLFYCF KCESKLTKEQSKYIRRYPQYFVDSTFRDLKLKIGMSPHELRMQEKKNYKQLSK >CAK84591 pep:novel supercontig:GCA_000165425.1:CT868518:99619:100111:-1 gene:GSPATT00018787001 transcript:CAK84591 MSLDNSFENIEEFMLSFFNEVQNENQKEGQYVLDSIVQEEDLRPQLQTPKSNGKAYRQLF EIVQRLNDINWVEPYTKITPIKHFTPITFWFIICSQYFQKDVDPKRINIEEEITLDQKDK ESRPYTYFDDWFILEMRKIVNFNLRQMVKRIITQK >CAK84592 pep:novel supercontig:GCA_000165425.1:CT868518:100658:102104:-1 gene:GSPATT00018788001 transcript:CAK84592 MLNLNKQESKSWLAGYQCCVVIYTSISFGLILVTVFNQVQSIQSYADWDNYLIKDIQIKQ NFDEGFSNILNFTWPGTEKVCDCTQSNSTSIYKYKKYEIYHYSSYYYMDCSQFMLQHIKK QMEKQQFIYWPFLQQSVERGNHCLYYLKDSKSAQKESVYSDANILIILKYGEFRQYLNVP IASYCPISHFNMIPMAMADQLEIPVSIITIKELSRNKCQITHFTIGYSILICYLVTRFYL FFCCKISNFYYLDNMNDYKCYLITVSEQSFKLIHQSIWTNLQLIKKQSFYLKSSRCEFIL YMIDQISIVQISRFRLYIQFEQLLKRQCNGHLIGCIGDSKNIGRCIWILIPIISTLFLSR GFHFKNLFGFWLGTKMIGVILCTIILAVDLGYQQFIINHFYDFINADCLDTLNLYELYNY LLLNFILYIDTNVTDIYLNYLMCRDKYKKEQLQ >CAK84593 pep:novel supercontig:GCA_000165425.1:CT868518:102346:109902:1 gene:GSPATT00018789001 transcript:CAK84593 MYRYQETDRLSQLSQPTKRSKKYTQNQSLMVMSRLYDNHFNRDLHGNPWISLGTFKYDRT IKYEHSDAGLSYSNVKIKKLRTFQELWEKNEEIHNKSEQHQGFREFREFRDISEEDVIVT IEYCTNCHEHTGSTKHDETRYLAYAQQLKNEILKRFPIVKVILKPLLYDHLDHSIDTMFL QRRLGCFEVQVVSKQKGQLKFAVIGSKLNSKAWPQIPSIINKLPQYFKKVSFNIDLKYAD SDQKIKNTDVRIQPYRPTQQRTQSLMFTSRTNKILRPQSSQSRPKSSYSNLSGQTQKTVK DHEETSYKIERTNQDGRITLTNVPLDVYEVIIEETNDFLRKTHLINLFQLISDDLTLDQI IPLCKQTHSCIVVAVTCEMAPITECRVEIIPLKGGKEAVLKESPPGSAKYEIVVEPNQFY IFIKKIGYQVFKEQIQTSPGVCEYTYTIEKVNQPEEGYDPVQDALDKKNQIKPSNDLRIR KPKYLQPPSGQQSEINLIQFSFIDLVEKTPVPNVYIKVVDELNKKLYSYRSNEHGICKAL IQKKITKGRIIITHPYYYDTSKEINETQTINQNPITYYIIQKPQQHSLIVLIVNKPNEEF LNFYIIQENKQVIQEDQEIQQIQNPKDGIQLIKFLNLQNKKDILQLVACLPRLKMDQVQE MYVLTPDRIEKYNLPTLSEEQGMLNPYFWILGSLKEPYETFDVVNQIVFTNQLKSYPNLT KTQQDVEVIQCAFQSPNLIVAANQKNGSVSIWNLDNYLIDNTINNVLSDAANSIIIWDDD NVMLSDNNGQIAWLRKNEESQFALLTSLSINRKINTMCKVGSEGLVLGSVDGKLILLKVD QRQLQIMIDSEIEVESTPQTVEPINKIVSITNDQIAALSDGNKSIYIYKVEKSLDKPLSA TQLKSVQIKGYQSCGKPVLQILSLDQKYLVFGGLDGILHTLSIDTLERGPNISLNSDLQV SSVLSNEDGVLITQGNFITFIHLPETIQDLKAQNHKYFQSPVKLYGGDCVAYEKRLLTFT TQGKMVVWSLQDKQFPQISNFLVDSEFPHNLKPDRCSYLLVDAAIPKENFSVYLIKENGE TMKESNQIIKFERKEKYFYIEIDQSQQQGLWRLGAQLQNTELIRQRQPKIYFIQPHGFQV LNFPQQIPSSRKQIYHWFIGTLIPNIEMLKTCALYTDTQIVGRFPSLELIKHEGKINQMM FINKDEFITAGEDKQIIVWNAQKFSINKVLQHEAEILSVHIHNGMVLAGNKEGTVIGWKQ HDNIWQMDIKFKFHEKGVYSIIYLDGSIVTSSEDRKIQLINYTTGALEHAEPVDRTFVIS MVAYNKDSFAAGFPDGRVKTYKREKTGPKIILKTLRTVVTTKVQHLYLINNTQVLVGGMD KLGEILNVEQGTKLKTLKDGHTGQILCSLIYSKHLITGGSDGRLSIWNPDKGMLIKTYEL TKEEIRALLIVGRLLITASMGTLHYQALMEQSVYGKKFVHLLNRSLQKKNQNSNSDLYNS IKQLMRPYTSTPQIQHREPAHSAIEFRHNVQHYKPKFYVTKAHQDSNEQFLASKTRDPPN SRVFKKTIPNTMVQDKERLYEELNKTKQLCNSLKLDNHQLKAQLKSQETAMLKCSDVHRN FIQPLIEEYQSSLTNAMAQQYRKQPNEVPQSTIILQLKKQNKELREELEDFKEEMIRVKR SAKLTKIQELEAELKNYSDESLRLKQLLHQQILNTMNPNQTEQSLEDQILTLQEELQKYQ SQQEHQNQALKKAQGEIKHLNAVNQELEQNYQKSIKEKTQVKKQLSSLEEQFNKAQLNDP LFKRGQDVTQMKLELEKKVVENNQLKNDNMIKDRRVHELEKSLKDVTSTFQDKLNTVTKE KESFKEKYEKQKQELIELQDKYQQLFFNSNRNLQSSQVYSGKRDPVFTVQSQEDIQNQRR KSQELTPEVQKQNDTVQQNSQSTLQEKQSYRRKVQRKLSSIRQDDIEELLTQLRYKLRAQ NIRNAELELYLFRSKDTNETSLGEMIQILQNKPFNLNQNESVLISRYLIEPYGEKEITYN INLTKENESIMQTLIRAIGKYNILVGEDKIQMHEQIRQKLNEKKDDLMAYLKPKKQQGSS QQSIAAQLPGSLVNRQQFKTALLQTSLDEEQTDVLLQTIYEQTQDFNFIDLDMVFSTWPS SGPIKITRAQVEQSNEDGELPDIVRECIDSLLEYANKNGLSILDLRKQIDEDNSGNVERT EMKQFLLKCEIQLNNEQYDLILDHFDLEGDGRISTNDIGIVLNKAYNQKMQAMKEQRSAK KTSKQILNMVATHFLQFMQANKLDLFEVFKTIDQDYSGSVSKDEFKQMLRTRIVIPLDQE EYADFFKLIDNSNDGQIHFNEFQQHMIPEIEKIIQRPYHELLK >CAK84594 pep:novel supercontig:GCA_000165425.1:CT868518:110735:111457:1 gene:GSPATT00018790001 transcript:CAK84594 MQIAESLNHMIQIEEEQDQVNALSESQYVISEDLDPYLYLSPEEMDRKQKVLAAQIRCEV ALKYKLLRLLGIMTFWSTQILVVWALRLQMLNPEDPELYHACFRHVITFQIVFLFLTMYQ YLEVYMVSLLIVICLPFIIPVMLWHKFKQKKKNYDNQQSLNELKKTCKTLYHSEKIQGDQ ECGICMHVYVTDEELLILPCDPKHHFHLHCIQAWLLINSTCPKCRASFLRFNQQQQQQQQ >CAK84595 pep:novel supercontig:GCA_000165425.1:CT868518:111458:112191:-1 gene:GSPATT00018791001 transcript:CAK84595 MYLDALLYIKDNYDSSLSLRRSCREGICGSCSMNCNGLHKLACIHAIDTDLTQPAYITPL GHMFVVKDLVVDMTNFYTQYKTIDPYLKRKSPKEGNKEYIQSVEDRKLLDGLYECVLCAC CSTSCPSYWWHPDRYLGPAVLMQAYRWIVDSRDEYTDERLEKLAEDVKVEDCQNIGMCSF TCPKGLDPQRSMNHLMKLIEEYKERKIASATL >CAK84596 pep:novel supercontig:GCA_000165425.1:CT868518:112204:112495:-1 gene:GSPATT00018792001 transcript:CAK84596 MLQRLSTVFRRPVFWHGTHHHDSNDATKRLFDTVSSTVKGIQQINYVVEHDPKLTADEKS KMKQFLIYRYDPADENDFPQVCQLLKRC >CAK84597 pep:novel supercontig:GCA_000165425.1:CT868518:112543:113040:-1 gene:GSPATT00018793001 transcript:CAK84597 MVKRLIIKFIIEVGSTVAKSFMKAYQQSAKQQGGKSANPFSEFLNQTMQAANLTHKPMTR DEAFKILQLTAEKTNAEEILKIYWRQFHKNDPVKGGSFYIQSMVHNAKCELMKDFPDANE KEILEKLRKEEESQKGKEEEVKQEEQKEN >CAK84598 pep:novel supercontig:GCA_000165425.1:CT868518:113387:114013:-1 gene:GSPATT00018794001 transcript:CAK84598 MQDLRYSFQLHHVLIYTLFQISQQFFADIFQQKVTLIFESQTNSLLSSFSLYELQDFDLI IKLSITFIKFQDNIQVVNQFLYNFFNSKFIHLIATVYSIFPNSFKFRQNFQISLMQCSSR KKTIEDLIHQYILLNTFKSFNEFSYRFFLIVTYYFCNFLIQEFCKISQSIQIQYQIKIQG NYYLKIIQIKGFYSCNLGLFTQYSYNQV >CAK84599 pep:novel supercontig:GCA_000165425.1:CT868518:114029:114652:-1 gene:GSPATT00018795001 transcript:CAK84599 MSNLNAASLYENLQQPISQYYDKSSNLTREEYFYKLSISTTLYLGNLSIYTTEEQIFELF NRVGAGVRRLIMGRNKETGKAIGFCFIEYFNHEDAKEAHEYLDLLKLDERAIRVDWDIGY SEGREYGRGTGGNQVRDSYRKVADPERPNVQSNRGRGRSYNNNGGRRKQVDEDESDNSGG GYKKRAGNRSNNNY >CAK84600 pep:novel supercontig:GCA_000165425.1:CT868518:114914:116545:1 gene:GSPATT00018796001 transcript:CAK84600 MIKLEEKASKILCSQHQKSIQYVTLSKSSNSKLLCQKCPQNNKIAINKASELLMDINQDH PLNYHIKELQKQYESLRKEIKLKVDELLDDTEDCLNSLINHKLSDKQIQHYLYDDKLNEQ DFEKLQEILSKYYDFEQENQIVAKIPSNDMHNIYQVQQKCQKIASIIKAFYKSQYHQRLG TQQSQENLLSQVSLKKNTKPEKPPEKDKNEDAKSKGLYTIKEEITTNSVCHCIDLNKTAT LIVCGLQGNNNEDNLVLIQKKEEGFKEFQTLVGHVGAINAVCIGKINNTIFSAGDDKTIR VWNEYLQSKENKLYNCKQIINEAHNMEIHCLCVNQLNNLLASGCQEGNIKIWIEAEEKWI NSQVLIQHSQWVKSLSFNYDSTFLISGSGDKSICVWNLNEDWEHFQTLTEHTSLVNCVNF AQNENTFVSGSEDQTIKIWNQQLNDPNGKFTCIQQLEGGYYIRSVCFNHNSLLLVSGSYS NIKVWVKNHAGVFVLNQQKYFDSRQVLLSDDSKSLFSVNRFTLNIRMFRIKEKNQKNNSQ LTA >CAK84601 pep:novel supercontig:GCA_000165425.1:CT868518:116664:117173:-1 gene:GSPATT00018797001 transcript:CAK84601 MMMEQNTKQEDYDFDYAEKNIIEYQLDQSGILHISQQKLFIISVCLFCGNEISSNLLKLN CGHIYHQDCFINWLQFQIQNKKSSIIKCRCGTKMNTNIIRRIPESKIRLKLLNSLFSEQL AIILPNLRKINDFDQIQGLFKSNQYTEDHDYVSSSGLLYFSNYSTPGGD >CAK84602 pep:novel supercontig:GCA_000165425.1:CT868518:117190:117744:-1 gene:GSPATT00018798001 transcript:CAK84602 MGSFFSGLSSQKPKSEEKQNTSNNKKEQLQTNDAFISMKPTQSIGEHILQDGEFSFNEDK CKGQSSKMIKGQTNANNYQSQEAIIIDQSGKLNRHFNDNKHKIFCYECNQIIDETLIKII CQHTFHQTCFISIIENQLQQKDKFIIKCKCGTKLNSNILRQIVDVEIRSKLLYQIFSVQV LKIL >CAK84603 pep:novel supercontig:GCA_000165425.1:CT868518:117816:118934:-1 gene:GSPATT00018799001 transcript:CAK84603 MFKQPPQLHIKQQEKLGTNHQFNFNSLRDLQKNTNEKRTSKQNFQSIFDNIITLLKELRV RQQQIQSYVQNEIIDMNQKLDQASKQLLLAIEQYQQGEKEENHLESTLNSSKQHFFNQQS QNPTNNKEIIQIKLQQQDSHSISSSSSKFFINKNVIENSQINQSSQSLMKPNLMQIYTKS KHGDFFDQITNINKQTQNPISEKTQNKNSNVGFLHNLINSQKPKDTAIKNKTNITEENQD NSLLKTQYANQSSCYYCGKAFDSEFILTPCYHNYHDPCLREHYELLLKEYKNQKQIVCMC KMKLPQLFISRSLKVNLESLLQKQVEVIKEKIKSQIDWCVKCKFFWIRRMSDTYTRQCKM CDQNGVIIIKSK >CAK84604 pep:novel supercontig:GCA_000165425.1:CT868518:118985:120264:-1 gene:GSPATT00018800001 transcript:CAK84604 MSYKVTSSGMAQRNADKKLSTATMSQVQTQALQNSSAVLSKKSIPEQQSPLKVQAQSQEN FQQIITNEVLEKIQGELSKINKLEDLYNSLKQENQEIKLQIKQQEQRIQEQDIKIQEQQK KIQTQEEKIQTQAEKIQTQAEKIQTQEEKIQIQEEKTQSQAQNQQNSGILEHINTFISNN NHAHDIWKKAQENIQKKQEYLENETKQIEGKVTEYLNNLSQAVNEHFNNISKQVKDELRV YQEQVGQTRQQANLNLNNLSQHENTLNQFCQKIQNYFGNQGPQMQNYIENKYQSFDQQQY QQVDQQMYQYSQYNQQQQDQQQYQQYSQSQSQYQPQMDQLPLSQQGSFINPPQQQQYNYN APLQNDMNAQIPPTQNHQEPQSNAQGKSKIRLISQSLPQQQ >CAK84605 pep:novel supercontig:GCA_000165425.1:CT868518:120543:122238:1 gene:GSPATT00018801001 transcript:CAK84605 MSKMPFSILSTIKCSSGKNIIVKKYQQHVTNCRVRPIQNQPVQSRNLQVGDVVIEPNHHH IPNPNPNPRTTPHYIQDDFQNRKQKYYWCKELLPGSKIKYKWNKKLSPPMPAANVSEMLA LPWVQIKNSEFPIKQTWFRLQLEKKRIPWQQGAETLSVNKESFLMTTLNMYKEINWHKEV KVHIDGDKVLDAGGLLREWANLIMKEIVHPESGMFQLADCEDVSYKINCEADTDEHIIDC FKLLGIVVGKCIFERIPLNIYFDRSIIKHIIGQSIFLEDIYYYDRQLYQSWDFLVNNKFE ADDISEFFVIYRKSKGDYYELKKGGQTIQLDMDNCQEYVNLCIDYYTNISVKPFLTAFLS TLYSIIPKQLLSLLEPLELEMILYGTPFIDINDWKDNTDYKGAYYRTHQTIQWFWEILEQ LDQFNLAKFLQFCTGSTRTPVEGFRKLESNRGNCSKFCIESVPYTKANPYPKAHTCFNRL ELPMYETREEIESYLKAVIQADMDGQFGME >CAK84606 pep:novel supercontig:GCA_000165425.1:CT868518:122276:122775:1 gene:GSPATT00018802001 transcript:CAK84606 MHCHLLEDPQINNNDQPKKIKKKNKYDYHRKKRRSSDASNTKKLGQKFTSDEDKLILQLV LNVGPKFQKIHKHFPGKTLAMVKNRYYKYLRYRWEVLGQNYKHLSVQQDSYENLCEQQKI ISDQLDEEKGNLITQIISHTKLLSNARMFVEYLVEQLL >CAK84607 pep:novel supercontig:GCA_000165425.1:CT868518:123501:124804:1 gene:GSPATT00018803001 transcript:CAK84607 MQFTPKVYEFDYERPTLKVYKLSQQLVFNRTASEQKIRKSRAVSDILSQPSKQMDFKEEI KKLELIQKPQELKREIFRQIKQTCREFRNLERERDGSLWVKSYCTNEKIKISKLFKGTQN PQAIDYKNFHSRSNSKTHIKPKRKAVEIPQSSLQQQSKPRPQLLRLRLKSKNVSQEILQQ NGCFTERECQVNLVTQQINTDTKKPKKEMEVQDMGVFDEMLEFYNSDRIVTITQDIMRRK IDFKKIQHELKKTLQYISRLNLDIYQAFSDQVISQKPFQKEHSYEFIQAAKQGKEWEVKE FIKDNKYLVYDFDYIYMTALHWACKRGHFEIVKLLVENGADIEFQDIIGRPTLYFAIIGG NPKIVKYILDKKADPWSTTAVNYNQLCMEYNPSFVQLIAQARKAHITLKMTPPSQRETIW KILRR >CAK84608 pep:novel supercontig:GCA_000165425.1:CT868518:125353:125623:1 gene:GSPATT00018804001 transcript:CAK84608 MYQLWRFIKRGVVSQPHMVGGVIVGYFGVGIISYYNSDIQFNYGEYNEPHSHNHGEQVRQ YCESTLLKIRSQINELREQH >CAK84609 pep:novel supercontig:GCA_000165425.1:CT868518:126520:137424:-1 gene:GSPATT00018805001 transcript:CAK84609 MNYILIFLLIGFASCQCQVGYVWTNTGCKCNLVFLFQVCQSFCSSCTNGECDQCPPSYYG DSTHCYSNHLNKLDCYVGCTSCTDYQVCTSCQSPQYFLVQTTCQQCSFPCVKCDTQGACL SCVPGYMVVGNNCLQCQSPCSQCSGELYKCTSCKQSSPQYYYLSAQSCLLCEFPCVSCIS KNKCTSCNQGYYLNYDQYCTKCIEPCLECSSQARCSSCISDEYYLTPGDNQCQTCRNFDS KCLTCKAEHQCTSCETGYIVQDDYKGSQLISTCEKCSSQCLTCSNDSNECLTCNNAFQPP TCKQTCSTSQFQVGLLCYSCASQCGTCELKSIQCLSCSLNRMSPPLCPCKETYFDFNGVC SPCISNCKFCYDSVSCSKCSDGYYLQSNVCVQQCDPLHYIRRDFSCIYCNIDNCIECNIN GICDQCKPSYLLYNNQCYFQQCNQGQYTIDNENCLNCHYNCYNCLNQADNCVECHNGYYI LEVNQINNCVVECPLSYYVQNKQCLKCNSNCQQCSQENVCTSCVVGKFLLNNSCQPNCPI SYYEIDQQCLKCPNYCEVCYDQYCQKCQVGYLFLQNSCLEYCPDGYFGDTNSECKPCQKI CKTCANDHECISCAAPLFYENQTCIGKCPITYYRDLVDNLCKQCIDGCEICENETFCHKC LPKYATFKKQCVIECDIGYYIEDQYCRECDFSCYTCHGPTKFDCIICKYELKVYKSMCIE ECPKNTVLIDGQCKECHETCDTCYDSGILNCLTCKSEYILFNSGCYAYCPTQYYKSKGQC LKCQDHCDICINNLSCLRCENTFYYNSGNCLSVCPNGYYGYDGICEKCHFSCATCNGALQ TNCVTCTQSLIYYNNECLSGCLSRQYKDASNNCQPCKETCATCTNSNNCSQCIYHYYLDP LTNNCVLQCDTGYMHNYKLRACQACAPGCQTCFGQSNNQCLSCFPNYLFYKNECWQTQCP QSTYEEQGICLECDKDCMTCDAQQPCISCLPGYYYFNQKCYQTCPDGYFGDQNTNQCSQC KQNCRVCWDASGCGYCYNHYTNNGQLDYFLFNNDCVTTCPINYNYFLFECTSDITPIQLI SNVAEYCRDDSKTFLDITQNKCVACEQSCQTCFGPWWSQCLSCTNLIRFHFCADQCGIQE YQSGNQCLPCHSSCHYCESNNRIDNCTSCDLGSYLQLVENQSYGKCVNICDVGYYPDGNN KVNGQTICKLCQPTCLTCLFQSSCTSCAYPKVLRNNKCVDHCNSDEFLSKIDNKCYKCKG GCSTCTDALSCTYPLIGSSQTLYLQNNQVYASCGEGYYAQSYICEDCPTGCSICSDSNTC TKCSSSYILFNSYCVVECTGKSYFNNGQCSLCHNNCSLCYGGDENSCISCDNGLKYILIY DSISSTYITYCKDSCLANYTYDIQSRICLYNTCHNSCLTCAGDQQNHCITCPQGKVLNLI NYKYGTCDGSCSVGMYNGNGICQKCNDFCQECTSYTSCTVCKPNLYHLNKQLCLIQCPLG YYQNIDTFTCDQCDSDCQECIYPNVCTNSLQSCTSDQFLYQNTCMDCHWTCLTCDGPTQF DCTSCGYLQSSSEHRYKYYTQCVLLCPKGYDGYKCFQCPENCEICSSNQDCVSCYIGQYL QNGDCVNTCNQGYYADQDIKICRECYTGCLECVGPRYSDCVLCQPNYLLSDNRCLPYCPY QHFYNFFSTKCEYCDSFIYGNSCVTECPLQYYPFNNVCQLCNNNCTNCQSGLYLYQKSCL QNCIAGTFNVNGVCQPCDVQCYECESQLDHCKSCSSVTRYNAPFCECQIGYQQDSPNKDC IKCANQCHSCVQTFNNCIKCKPDRVPNPPACTCPYGKYDNGVSCVPCKHECDDCLTNALC LYCKGDRVGTNCLCRLGYYDDNSSLYCKKCDHSCTTCTKTGCLGCLGNRILNNNKQCLCQ QGFYEDGESINCLKCHFKCLTCEVNQYNCLTCKGDRQQIPYCKCKDGYYDDEVNAECQAC PIECSTCNKASCLNCKANRFGSICDCPPGGIDRQDVGYIHCETCELGLPIIQMQPDLTTL IVKFGKQVTFDVDLGCSEIIDTSILGVNPKCSINGLSQIVIELGINNSIEIGNLVQLFTK ITGLDCDLPYTTIFPTNILPPYIISDSDVMIFGPSQVSTCEITEFKVSQYFFDGQNGFKM VSWTLDSISPYNQITEQQIFGILYEVNLYKSTVVTIPPNILQENTLYKFKYQFMNYLGQH QTKYYQVQGVSPNLPVISIQFEDQQPQVYYINNRISIKATIQQQSDCDSLSLIDVNAFYK IQAYYKNSLIVLDKQFHFKHASEKYVDIVIEPYTFTQSGYYQVFLMATIDQQNIKSKPMT FKMLLPGISAKIYGGNQIHNFGQSFNITAVVKDLNVKEQQTKDIEYFWTCTNVVLQKPCE TVDQQLLIMKNQQIIKIISRTMAPFNSYQFVLKAQKGNIIETTSSIMTIVDVEISTFSLD TPSIALNTPILFNQELLFEVSPLQLTNLHGCIIYDFQKLSTFAIPYNTFAIFLSNLMQIR QDQIRLQIFSTDSISLTPLLTSVDITTIQPPLNCKFNVSPLNGLSFQTTFKLQISSCVDQ NNPIYYKFILYYNSTQYMQDILMNSQMNADLIIDYQTINTYSTILPKSTNSHSIIIAIIK NQLGAQTNLTCSVIIGEDSNEISEKLLQFESETSTNQPKSLQLNRRFLYDDFESNLTDLY YQSKDLNINQRLSLLNILTIGIEQYATPSYPMDSMRSILYFDTQAKLDLPLITEATKMQI KKANKRIIINNTNYLQDFNQIQVYLTNLNSSLSRSIDNVLFIINSISQNQAGYRDYQQKE IEKSNMIYQLSALDYLLKSQSNFYYDYFNGNISLLNETMYKSISSNFESILSLLQKLKYG LLLAQQVNDVPIKFSGSSFTLIFNRLTTEKMNEYLSEIEFVKIVDKPIDNSTQISQSLLL NFSYCHYLENPFLLNPSFPNNTHFTTIQSIEPTLQNGSLLTPTQPITTKYNVTLLRPKRL LQQYQDLYLMDEQPNYVDFVCTNKYFAQWESNVCKTSIEIDVYSSLLIACDCQQFGPTTI SSVLADILEIDKFSKSFSLEAIDALNQFPFHRSVIFYCLVICTIILIVLLPYGIKKDQID KERAMPQHMIESEYIRKMFEDRQKELQKEMEKIKEERHKEWKEFHEKQNLIQIVIDEKEQ KQLSLDKDEQIQEVIISPDVDPDEMIDQQQLEQSDNSNNINLDISHDSDEDFQLEEYPPI NISKKKNKMPAPSPYAKFFQLKNAFSLNNTIVNRLYLHSSLYEESLQNQQNQNQKNLIHE RHSSRIQSDQFSVRNSENTQQIILKKSSTNQSKYYNNSVNSQAKINDYPPLSPNSVSPIV GLYDSNQILQPNQLGQSGSQLESHNHSTKTAKYQIPYRKSQEHILFLDHEFYEEDNLSIE EKEKVIQIWYLEKKRNKLLEEGFKLRFTLKNLFLFLSLFQSLLSIIAIFDKKLPRPIRFC LIYMTILTTCYINIFFEVELNPATTIAYSILSSILSMLELIILTILMPHQYIIFRIIGWL GFLALTGLFSFLIIVSMAFEAQDSGGDVTRSNQWGINFIISFITMNFITDPVQLIFCFKI IQLSFNHASPQLQQLFIMLCMSKHFNIYFDFIDY >CAK84610 pep:novel supercontig:GCA_000165425.1:CT868518:137479:138962:-1 gene:GSPATT00018806001 transcript:CAK84610 MGVCQSRSDRRKSLKQKQLQIESEQTIQEKVKVKENVVPRHKTMPSIHCYSSKEKLVTCK SVIVSKIKKRVYGEALAKFYAVLNPQFVYKNNDNMGLVQHNITGVVRVARNISKPTEANQ DFDHLITNLQEQSYCSLHFANIIELYQDSKSYYAIQEYCSGGLLSDKCGLIKEEEAIYII SQILDILEEIHKQDNCHGSLSISSFALHDQTNNHYVKLIDVIPVFQAKEKSKISEMQKDD RQAVALILFQLITNKPITSQTVEMIIKRPKDLPTRTEWYQLVVLLLESRSSIIYESVLQN KQYREIVQKQEARYTETIINKSVKRTSYIQQQILILMNSIFFKERQLQLEKIFKKNDENK NGTLSKEELQIALGIDEIIDDLFENIDCDGNGEIDLDEFILHSCDRKALITDLNLEAAFK ELSRKEHIVPSNFSRLKNCDEEKIENNMIEFCNSKRMSLEQFKKLMMDLL >CAK84611 pep:novel supercontig:GCA_000165425.1:CT868518:139670:140509:1 gene:GSPATT00018807001 transcript:CAK84611 MQSQLSDYEYLSTILQKNSSCFNLNKKLTLYIRPQNRNEKRRKKHSSCSTEDINPKLYDS LIKKKVDLSASGTSEGQGNQKNKIRIAFKPIIRLRNKFLNGNNPNGYSLVNYKEIVCNFC LLHLDNQYSKQEENQSFSIITLEQHQQTHSQTITTQCKHKYHYDCFQNNIKQQLDQQKST ISCICGKKINNKLLKSYASEVLLKKLLKIQLETIYQKYQEQLNLKQCNTCTFFWVKCDTQ LYLPICIYCLLSHNANDTTKSSNLSQFNKQKRLRSISMQ >CAK84612 pep:novel supercontig:GCA_000165425.1:CT868518:140668:141313:1 gene:GSPATT00018808001 transcript:CAK84612 MDSLPTHFRKQKREISQILQSSQNLLQLSQQLVQTSQSEINSQTGPRLNPNQIESKTGDT GDLLESGNLLQYSENSLQTSQSIFLQQFTESGSFLNQYCQHISLTQEVENRLFEQIKQAS TCKFKNICTKNCQMKFNPAYTSVQQILLYQLYENQIVELKNNLLKNNSQKLKICDGQGCE FYFILDDQLQKRNYYCPLCLDSFELR >CAK84613 pep:novel supercontig:GCA_000165425.1:CT868518:141700:145453:1 gene:GSPATT00018809001 transcript:CAK84613 MKSYSPVLNQSKGTTTGFLKKLLDYKVNLNSNRSSKIQSISSFQRDISHLADSFRHKTLQ QQIKEKQQIAEMRLSEYILKQKERKHNQQYMIQSQRYYYKTQNLENTFDDVLQILEKYSD FNLNWVAQDLQEVSGNRKNAMLNLKTTIENGLEKGYFEDISSIQNAERQLSIKKKIHMKH TSTHFFSSEPTGRNDAINLKKWLQNQLESISQNPSIDHKSKLQQASDVLFICLNELIRQV SFQCTERGQLMLAIFKGYIKVFRKTINMSESDKQQMQIKGYKSQLEQQVEFEQQQDDLNE KILDLKKVIQQQEKKLKTQQDDEQNLIAIVQKLTKQNELDKSMKKGAATLISNLNREIKE LRNKISKIQYMKQSGFQVVQVEEDVDEQDLSLSQVLKEVEQKTCVEQNPDIVVKDIVDEP PKITFSVNCQTEIPTRTFETQTDLNLIGHQYDKIINQQELDQHFKEYQLKEQMGFFLQED QPQSSNNKNVQSHLQMQQTKEQRSSLIRILDGLPGSMDHIRNMKKEDMFDLFANNMKTLR DLFDKEEPQEKQIQSDSEQSMFVEPPEVNSDEKRSTHKDSKNNIKLIAEVAEMEEASPMP TSQKRINFSLKKLSFEKIEVQSVKNNTNTNSSKQNQKSNKRQLEKMFSSEKLALPTVKEF QPNELNQTQMLTNSNLNIVNSNSSVIQSSQNLQANSIANKFGLSKQEQIQLKTQVAMLIM LYNLQIQKSQNLTKSMNDFAGLLNQLFSFTRKLMIHILRTQQQSHKQIAEQFLVEFDSLR KRMPVELDAEIQEEEYIINELDEEEEKEKKKQIQFKRKKNRITKALQFNFQTKQNKVNVI DRLMHPGVILAVKAREQSYLFKKVVNYWPLKNVLRTITQLYDEKVRSSKEYETQKDLEMQ IFVFNNFLNRYGFKNVAEKKYLQFLLSVIHFSSIFRVNVFARMIAILQDEHLNFSIEESS FFLQGYDFMCSESTLGVNVVQSESEQKFHVPYLRALDYMKVYLENKMMEDELRDLKNDLE NLKEPDPKNLNKQGLIDVDNFLIKVMNKYRIITIRTKEFVIHAFQAADLDGNKKINNNEF ITLFRHIEHQKFNFKEAMNLFYEQADIISVDERSLSFNRFTSVCVSQQIFTFQALNHFIN VRTNDDVEKQFLQVRQEWQNYKLEIESTLKELQPYVTPEIFSEWNTIIKTLEQRIMSQTN QHSSIKPILIAHKILKLELKRLLNVGHQEDDIDENAVIL >CAK84614 pep:novel supercontig:GCA_000165425.1:CT868518:145499:145973:-1 gene:GSPATT00018810001 transcript:CAK84614 MMQQRDFYGNDYIYKHIKQVSLQEFTDQLFNPVRHQKQMVLFTQNEQKEQQELYKYYVYL GGGIFAMSALSVIFYKKIPYLNRIEKRWARILTKALLLFGPLQVQSIFGQRAQYELLDRQ FIQYHRQLQDYMFSGDIRKLKQDIQISPV >CAK84615 pep:novel supercontig:GCA_000165425.1:CT868518:146289:147714:1 gene:GSPATT00018811001 transcript:CAK84615 MGNEFCLSKRSPKRTILNDEERQQVKRLYKKLISRHDEQNDYLNEECFQYLLKNRPTIAL KLYKYMVFHFSDERPSFEAFEDLVGKLTKPETLNRMTRTEQYSIISIQKINMAKIDYDQE KISYLDAFDLLSEIEYFIWEQGYFVTDYLIQDEYTTRSILSRLFTSSAGSIMFYELTIFL EQNFPCLSILLTKYFNQLFISQRNYNQLSKFQKPSYLINNSTFGYMAISSSVIQEADSFD LLWTSVKGWNFDQLYAALIGFKGATLILIKFDDSTENDSDEEENQKQKKIKAKSNKHCIV GALNSTQWIETNSYQGTSQSILFQLYPTYTPFNVATDVFKSKRPGSQNYCYLNKTDNKKG LGFGGDMKQFRLWISAQNIQQSSYAAKQGEPYIKGDLIDPSIQTPTITYMEAWGVGNQNL ECESSSEEEIKCYRESTNDLLLKYQS >CAK84616 pep:novel supercontig:GCA_000165425.1:CT868518:147946:148608:1 gene:GSPATT00018812001 transcript:CAK84616 MLNIKTEESYESNSINRYDSQMQNQDRKFVLHANLNEISRKQYNQERKLVLPQIKVPHSQ TEQSEESPGIQMFKNRLECVKLPEIDEKFFIRKSFNIDLMNKPKVYSHSHQVSREEYEFA NSEKNIDALITKKVDFQDDVMVYDYVNQTCKKDCIKGQGKSIKMRRQKTRKLSDDLTQTY KQNLRMNNLLKLNPKSHFFEENSKESQKVIISENLMDDNI >CAK84617 pep:novel supercontig:GCA_000165425.1:CT868518:148824:153513:1 gene:GSPATT00018813001 transcript:CAK84617 METKSEYGLSKEGQSSLGKVVIEIKNVLFSVTRQMLIDDDPSLLFTIASLLIQLFQMTYI CFNRNLYSAWKHDEIAEYVQSITGYVLLSPQYDHMSYSAMVILTYLFVGIIALEILLYLV LSNRTEKLSKTLPMTMLKSIINLMLSILYMPIVDLFISVWTCRDSYHFSFTNYECWAGSH TVHSIVAIIFLIIFYTLSAVHSFLYYEARFIYTNSISKQSGFDDFILKTYILIQVLNYSF IESQYVQVILIAFGNITLYIYNYHQNTHNNLIVYKIQQMIIAINNWTCIMLAFSKILEDV IFRGTIYAWLLGIPLLIIIVIQNPEERIDLLLLDNFKGTINQILLQQHHLLRLHNWNTQL FNIILDGYLKTHNQTCVRPDCGKELIKIIQQNYLDASKRFPYDYQLKIRYGFFLLHIGQR QYALQEFNQAQNLNPTMDYEFVLFRYKKIIEDEMNDQNVEQVDTHNDTMYLNIIKNFQSK IERVTLINMDFWSQLQEDYPDLGKLNQIGLNIHNLMNEIEMTWNRLQKTKQNTQKSLKMY SKFMIDVVQDQDYGELLYEKSRVMDASYVRKGIQMASKEEISMESLPTMIISLAPDKFGQ IINLNTACHVFGYMKNELINRKLNVLIPNIFQKAHDQLMKLGFDQKLSKERSIYVKNKQN YLIPCIIEMKALTSLDQSVMIIAQFKLFKPYKQTCYILFDSDDIIDSISFNCISLLGLDL RLIQNKKLLVSEIFPQLQDKSEFLIKGGGVIQYRIPEDIMSGQIQSNEYIDEKTKFSQEF VCTLNSVTLNNQQLGYMMKLEQKESENRLVPLKKSKSNFQFKYNVNKKIFLGEFSTDQVS AIHDLSSVHDQTVDVSAMSVKNQSITQVKSSEDQKFDYGEGIKTLQLFQNRIQEIDKEEI MEEFDEEHQQSVFQNNIEQQQDNESSINNNIFKSRASLQEQIKNQGRISIISKMIWFTNI LYLVMTALAFFEFFYLNQQYNEIEDNINLIGKENQIQATLQSILTNVQNLKMLNLGVWQN TNKSSYETTQKEILVKQLNNVQDLNKEIIMSDLKMTDYYSNMKVSDTVKMYFSTGSSQQY DFMEATQQIISKGIEVSSMSLKDIREDQTSVFFVEYNLLNEYWMALSIVADQFVLALDDR TTKQEQIEIIVITLSAIMLFVSLIIYSIAIILLTQMRLSILQLFLDIPEKTVKYFYSKCE NYISNLQVGEDDENVSFQEDLEEQAELNKTLKSRKKKKKFINSNQNHKDHILLFVFSVMI LQGYFIFAYFVTQNELNNMNQQIAEFNITTRCEGFYRFCDNSERQLFYNQEIKLLNQEPY DVIKQNVKDMYAYDTSMQQQHALNIDVQNSDYRSVYEHIMMSDPCDVLPSYGSPDTVTCE AFARGALAQGNSIGVARYIENLRYIITIYDKFKGLGSAPNFTTVARGDAVASHIVEDAER NNILNLNNFNQTKESRVYQSNYLTPSFRYLTDNMIDGFSKDLSDHVAQKLAVFIVFNVLL FIMQFLIMYPFLLKLNKQISNTRLLLTMIPLRLILKIYSIRNFIKNNLTDIQY >CAK84618 pep:novel supercontig:GCA_000165425.1:CT868518:153534:154380:1 gene:GSPATT00018814001 transcript:CAK84618 MKKSPPPKDKKKKEKSLEKAGDKQDKQEKFEKSNKNLQPLDKVRIPRNVNFYLHFLQHPE ITENDIEWVLQLRHWDANKMKELLSKIPNQPYSLADKKTIFSEKQHDVQDIKNKDNVANF MHLLNHRLGLGASGSQADFETGLRRYQANDENLSKKEKGWWYIPKKDRHDFPEFPKPLKE QGELKKSFSTGKFNTMKFTYSGFEGMQELPPYTMKFKQGNCGSVKHLFGPDVRMSQGLWE EGLRESYNKRMPSQHRNKEESKNKQKLDPIKK >CAK84619 pep:novel supercontig:GCA_000165425.1:CT868518:154487:158413:1 gene:GSPATT00018815001 transcript:CAK84619 MLQLSQLYGYQNKYPKPAQLTQHKRFDSQPIHLISPVKSFQQDQQVQELTRIREKLEYYN EGNRKKPFEQVVEEMDFKYKKQPIHIDPTQTTLNEIDRLHNDYLNSGMRDPIYLNSLQKL RHDFLKQAQPIGYYVRPPVQQGHTPSYTNHPIYEHLPTSFKRELNQQHSLPVLQHNRQKT DFSGSINDQMLSYMRNEENEAVRQSSMDRLTNIQMIKTSIENEVVKERINKMAQEMTMKR KRGFESNEDVTVPYSHLEGFTIQWDMVSKLPIQYTKARISFGIFKQTEEYHAYKLTSDCL TQAETYRTNKCIFNSIEQMRDVQADDKTILYIEIWVFESDGSLSMLGWSVFKLFDRTGSL YIGKYKLPFYQQQYKPRDLLVYSKEYPYYGNLCMYFRIMQQPQHLDEIIFVESDYHIPHY HIHRECKIIRSEIKDQAQIPTDFAKAFAYDKPLREREPQLFGDLPLSPTKKQRTLRPQYQ ITDDEYFGDEKLKESPTTSIQQKYQVKSRFSKKIDTIEHLPTQEKNSQQSSDAIIKLESI RHLDKDSQRGSTKNIRIQLIQEEQFDSKRSIEVKEATRRANGDNTIRGDRTIRGDRTVRG DRTNKGGQTSRHLIQLQQQDGLSNQITNVKYFNKLKRKLKANQGDQTVRSQKNIEIPTEP DLGSTRNKVVITGAGVVVMDILKLSSLDTKKYNELKLKIGFFINDIILKDENETDCVMTQ NLIPNEVTDKQQRYNLHAEFSLDYANILSIFEQEGFSTKDAYVYIAIYQNNALLGWYVGQ LFKETDDLNNLQVDSGLYQVNLIKPPGQQAPFHQKDMVKSNIQLDFVLMSKKDQLKNLPT VNKYTIQEEEKVKNVENPEWNTQMIIQLLQAYNFVSKDELQISISIQSEQKTIDDVLQRQ CQIKDDLDIFSTESKNVYNSHYYTTFRVGKKYLQDTFSKVNLLNYVIIIHQHNQFLGMTQ LNVFKKTSMQTGEFRLNLYTINNKKLSFEIKLLIKEVPLQLDIYERTPSYRMSKSKGGYT QQDEDDEVKEKDSKKPSSISRYLPITVQIQELQLKNKKVVLKILKNNEIVKQQNEDDCIQ EMIVPNSAVFIIDIDDMLKCKEGYFVLIEVYDDVLIAWFAMNMVFNKKLYTTKLFTELYK SPKLQTPLDYKLVKKLKEKIEFQIHQGDVRTDIRKLNQKQRTGLDIHIHSISNLPYNGDN QIIIQLINDGKVIQDKLLKLCQTKQNMNIVNGYIKDLSFNFNLNKGDVPKNSQLGIYISQ ENVEVRWCVISLYTLGKLKEGKVEKKMFTGPFNKTNKVSNTDICLEIE >CAK84620 pep:novel supercontig:GCA_000165425.1:CT868518:158488:159394:1 gene:GSPATT00018816001 transcript:CAK84620 MGREFSGGRGDTRGGRGGDRGRGAPRGRGAPRGGRGGRFGGAPKAFVVPHPRLAGVFVAK GQQEALVTKNMVPGESVYNEKRISVEDKQTGEKVEYRVWNPFRSKIAAGVIGGVSDIFIK PGAKVLYLGAASGTTVSHVSDIIGSEGVVYAVEFSHRSGRDLVNMAKKRTNIVPIIADAR KPLEYRMLVGMVDVVFADVAQPDQARIVGLNSQYFLKKGGHFMISIKANCIDSTNRAEVV FQHEVQRLKDEGLTPQEQLTLEPYERDHAIVIGVYNV >CAK84621 pep:novel supercontig:GCA_000165425.1:CT868518:159396:160213:1 gene:GSPATT00018817001 transcript:CAK84621 MSHYQNPSYNHAQMKQQVGVSNLKMLDGEDLTAGDRRKMQQLQMKDWVQQQTQENQAKKQ LNKQIQQQYDQQTLQINQNLKELDQEQQRRRVEMEIANQQINNQMAKEKQDREQYMAALA QQEKKQHQQEIMNNDVWTENTATCQSALAPHRVIPYHYKGMSEEQRQQIRNDQAQQRQEN EQKRQQEKEDEKMWAQYNEHNRKQLIVQEREKARKLQTLRNNQKEFNLLSQTEQKLKLKN EYA >CAK84622 pep:novel supercontig:GCA_000165425.1:CT868518:161114:161756:-1 gene:GSPATT00018818001 transcript:CAK84622 MAFEKRSPFELRIWHFYTEEEKSITLLNAYKQRVLFSEDIKSFLLKDRLNTWSYWGFPAA CYALFHYTGALQPYIATKYSVSAQRLIPAAISGILWLGWLNFNPFYNALQNEKLHLLNLI ERRVGLNMKALNEQVPRTWTTQEIHRQIRESYNNRHGFFTNILYPSEERASPLQDISSYP FKYRRDKIVK >CAK84623 pep:novel supercontig:GCA_000165425.1:CT868518:161809:162279:-1 gene:GSPATT00018819001 transcript:CAK84623 MIQSFKIARKLQINIDSSQQNDELTPTFQSERNLLISTPSLLQKLKLKPIQEFSITTQTN NHIQMKSSIKTNPSFQLKDSIFQMKQICSSQKQKRVSFCDKVLIIETQKGVIKRERISTK DQQQIFSRTPKRKNCILIPSQHRILKTFQSIVIDQL >CAK84624 pep:novel supercontig:GCA_000165425.1:CT868518:162666:164308:-1 gene:GSPATT00018820001 transcript:CAK84624 MLKIFKNAFSKNKKSLTQSFQSEQPELPPIHKAQTQDFQLIDEKCMETIEETQKQKQRSQ SFEAKEEPIKQQEEFMDYVVQEDDSFFGIALKFSVNEGYLMWINNLSSDMIFKNQILKVP ITNQPRFSIVKLPDQNKQQENIWEQEKFSQKFEVIYCNNKQNSNGTLTLTSDIVLFDPCQ QEQIQDKQNKVRMNACISMIDINEAVTYVLQNQNGCLDYIVQILLSGIGKPQFEKMYSKQ LKKYKKQKKSIATVFFRHAERDRDGKLYSEEIKKANCAFIAKFINESCTGYTEQSKLTKL PYIDLIEDSQQKKQLQTDEIQDVIGERMGKLWACLEYVPVLKEASNCFTNTTYKQIIEQI PAVYRLAEWVKLYNIDYDGSSYLNMLYEIKNKSPIIIIIKDFDSLIFGAYVSTEVQQYSC GFKGNGETFLFNYDQEKNEIKPYFWTEKNRDFIYCDETGLGIGCGDKFGLFIDQSLTFGY SNPCETFENIRFTKSEKFKIMHLEVWAILQQ >CAK84625 pep:novel supercontig:GCA_000165425.1:CT868518:164313:165832:1 gene:GSPATT00018821001 transcript:CAK84625 MFLFLIYGIFGQVIVEINDSNAYFNVHEGVRSTILQLPHAWDGQLNGTGCELRQKSIQES ISQYQIIDFSEEDMEELILVSQDEHRIAIMTSNMRLIIIHKNNLTQKSQYDLSKYEIDSI HQIILNENIVLVITDTTAYVVYLLPISIVEFAEWIPRQERWLTNIYGAFIYTAVGLNGID IYHLYNLTYFRSINHVDIGQNQLCVKDFTIYKTTLYILDCYSGLIIAKMNSYENVIDFKV RKIDVQEGGIAVDTKNGVNIFVGYKWKLRYAIVEYVVDDTDWRIQNLIYTNKIKDVDVNN EYAIIQGNSRHMVAFNFGTTLSNNIIKYNLRDFELRNNELIGITVTQLFRSQLQLIPLKI DCQIHQDYSKHYSLVYNVSYNGTTIERHHLNFQLNLVSTYLYRHQSYLVIILITLLLCIF TLIIIMIFKWYYNLRKEERKIAKSIKGYSLSIPTSGRTNTQQSSRPFSPSNQHLIINNIQ QQS >CAK84626 pep:novel supercontig:GCA_000165425.1:CT868518:165878:167438:1 gene:GSPATT00018822001 transcript:CAK84626 MFIFFYLIINVQSYRIRQIINESLEFQIPTQEETLLLSLFQAKDSKLITNETLPFCHLRE LNLIFDTRRQHFENIVTMVHVRDGVLFITSDYFLYLIKFNYQNFSGEFAKTIWKADFKEL GLDTMAEMPQLLYCSMSNLGILFQTRGAVLFSVQQMEQKVAKLQIKDLIDIKQRNERGVT KEYDNYIFSCVGENGLDVYKITGNELHYVDQISDTRFKDLAILKIDHLIYLILLDEDQSC ISVYQMDVVIIKISLKLQYKFPTIQQEFVAIDNYKNNIFVVYEYHHKYICVEYYFTEREL VELNSFETYSKIKDVDVSEQFAILQGQNKHHIMFVTKFDGLQIQYVPQYTLLGAIDIDFF YLTNIDLTMSHLTDSNNFSMENFFFGTSKSGLFFTKFKFQDPYIICYPPKNQKNTQQYYQ IIQNETSNLLNNIQNNFIFQRYTNITILAYDDGEPSYQKLIVYLGIPICISVLLMGICVW FISKNKEIERLETEISTIKNWLMVKSLTHPKSYN >CAK84627 pep:novel supercontig:GCA_000165425.1:CT868518:167490:168360:-1 gene:GSPATT00018823001 transcript:CAK84627 MIITTNCYYQRKEPNRMKIRKQFRELSRTLHPDKKEGNQTQYVNSVKKQRLIMKSILKIQ IEVNFIITIDIINMYIILKQIHIFLFLEQPCSYLSFNMWLEFVLMESTHFKKVVKERYKE SNLSKQQVIQETLEEVKISRAWSYPTFEEIWIIAILHFIYSIFYRLYFAIKWKYYYKYQK CRKEISEKDQEYKTQLILGISQSRQEIVDKESLVNQQLWILENMKEFDEGQLLKQDEYIQ QHSNKFKQYMRLTCKSVSKIYKFVLFSYEINHQYLHGLRCF >CAK84628 pep:novel supercontig:GCA_000165425.1:CT868518:169139:170029:-1 gene:GSPATT00018824001 transcript:CAK84628 MSSQDLEFVQENLQILIKTVQELQSQFQGKENQEQIQLNKQKCQKQIDALTIKFKQGKFN KSENMTVQMLKKEFTKQQNIFIDITKSIRNPKQVNYNDPREVEMQNAKKMNGKSTYVHDE DTNYDEEDSGEKGPHLDQLSEMEVHPDIQHKAMLIQEQQEEIDQIQKDALEVLKIQTEVA KVVVDQGKMLDVAENNINKSNTNVKEAVVELEGAKVEHKQYMKKLAGAVCIILIVVAVIV VCVKLI >CAK84629 pep:novel supercontig:GCA_000165425.1:CT868518:170051:173023:1 gene:GSPATT00018825001 transcript:CAK84629 MKIYIQSPVFQKFIFNNQQQRNKLQMPLSSDKIEKPSPMSRSLTIKYRRRQTQNTVEPRG LSLSISRETQRSFFKDTIQNKVIPTDQFQTMIKEIQVGNSMQVITKLEQGPFNKKSYLIL GIAYKQLNQFDKARSWFNKCLSEYPNYLDGYIQSAVLEFKAQKYYESLKFIEQALLHSPN DKQALQYKARCHSALKQHQKALEIYEKINNLDFIGRSRHCLSLIKCNYVDQALIKIDTIL QQDSENAEALLLKGIIYFKKKNFMESQIYIEQAIQMSTSHQLSAKAISYIIKAKICLMDF YEAQLHLSRADQLKKSFKCISKLKPFVNGTVKLMKRQFAQGLQLIRDLKPISKFLQPIYY KFLAYAQFCTSDYVSALKSYEMINQIEKGQLYNKYVSEALILISKCQYEPSNKLLDKAIE IFPNKLEPFYYKSSICLLDKLYDGAQECEQLLEFAFNKQGKQPNLFFARALMKSYKRRYT SAMKDLEKAIDKSEDSISDHFYIRGILHAQSMRLKEAIKDFTTAIHFNKEHSESYLERAK CYQIKGDTAQSFSDMNKYVKYNNSDEINYWSGSLLFHNNAYEEALKAFNDAKQTNQILIL KLKCEIKLQQIDKACIISNQLTQQNVRESKFKIDTQMLIFIKQILDAQLNKKHGDSVQFP NIDQQEIGLIFQVQDILLFKSSSMIFQHKYQEALLILQQLETYWMKLQKERLNSISSHQD ADSEKSEDLSNEMVLKSERIVQHIIGIKYNMTIIYLLVICYNNVEQLSQYPNAKMKVEDI REQVGNVVSDELDYLKLMIQNQIDKKSTPAFGKAILTFLMNEKSLFNGFPTIKIHMHGQG IFECKLSLNFPDIQPPNICPEFKKEFLFMIKPNLIENKPEAPWLQRTQQQILVFTQNQLN DELDLTTDDEEETNEKRKKKRQVQNLEIDEKVQKRLDQLMKKL >CAK84630 pep:novel supercontig:GCA_000165425.1:CT868518:173066:173752:1 gene:GSPATT00018826001 transcript:CAK84630 MEDINYKSNSYIQLQVVLLGDAGVGKTYILNQYVRGQQPRNNLPTIGIEFATKTVTLQDG GKIQVQIWDTAGQERYRAITTNHFRGAGGALLVYDITKEKTFENLTRWMEELKTAANKDV VMYLVGNKTDLVERQNNSRKVTREEGQQFAGENGLFFEETSAYRGTNIAQCFEKLIEEMY KKKNLLVTQQQQQEWNAQLKVIEAPMCSKC >CAK84631 pep:novel supercontig:GCA_000165425.1:CT868518:174171:177348:1 gene:GSPATT00018827001 transcript:CAK84631 MESKIVVYDLTVSKIWCTMCANKIKSSFEGQPGIQYVQVNVMAERVIISFDQNIITMNQI KEIFEKNNFFIVGQPRLINNDSNQQRTCQFFFPNAEYDPEELADIRNNLNKEYQGGLSGI QELPFKYNKQSGQLMSINYQPLSISGHQLKQFIETFVKDKIIKNDEKENLDADLSLIIYN QLLEKFRKKERLVESVTQKKLILAITLSALFFLLCSIMPHFEFYSPVLTYPNEKSIFSVY LIFIFVMTTLTLMKFGTQTYKNAYQLFVKYKMFNMDTLLTLGSLAAYVMSIFMLIVYTIE SEKQSTDKYDQLERIMNVIHDLESAGLILTVITIGKYFEGKAKQTIMDMQNQIFPQEQLL KTPIVTRVQVKNQDYDIDTQHPCEVSLLEKGDLIILQKNMKLLVDGVIVKGSVTVVDSIC YGWDDKFEATIGMRLKSGADILDGSCIFQVEQVIEGSMLFQIAEQLNLAQSEREDKTFGI SAMLQSLSQKFVLGVICAALLVLFVWVLLIGLDLVEIDEYCVWCFPFERAISILVASCPC ALGLAVPSVVIITLNLALKSGILVKKNTIFEQISKVKCVIFDKTGTLFTKVDHIDSFTLL SKNQTEICSKNSDKTIMKVKQFQRSLVMSDPIETKREQQCCEGTLQSKLKYNQTLSVQDL WAIISILEKEFTHPIATLLFKESISRQIGKQSTFVLTDQPKLEKNGIIGNVTRTTDKAQF KCLIGNLKHLENNKAILEDYLLNKCRFLEGRGKTVIIMAIDNIPEVVVCLDNKTNLRPEA KAVIQYLREKLKKQVYILSGDSSKTVTSVGKYLGIPSINQFSEIDAEGKQRILKELRENN QEVMMIGDGLNDILSLQQACVGVAINAKSELNLMASDVIMLNENLWKVASLFNLMNVALK VVYINLLWAFAYNIFMAPIAAGVFYGQGFTISPMISSTAMSLSSIIVVLISNCMRFIKFD PSQQLSLRKVQDEKISEVSQCSYDSYIEVNSSSQSNRKSNAEKIITS >CAK84632 pep:novel supercontig:GCA_000165425.1:CT868518:178037:178815:1 gene:GSPATT00018828001 transcript:CAK84632 MKIQSKQTQFIKVKSLKIQNNNQKWRPKRARVDRLKAFTSNYKNFAAFTLILHKRNNNFE QYEYNLTYTDKILDFLYQERLDLFKRLNEDNYLRVFVGPIQTQVHLLIKGSISQDLLMLS TRLLKIINLMIQNTIVCSLHIQPFMKIEAYGPYLILINPQTHPNLLLQKTTLKIHLLIQN SESLTIQKFIDDFGNKNLKEFYKSEPIPEYTENKDIYNIFGQTIFIRSSQQKKEFFQLLF WDSKNQELF >CAK84633 pep:novel supercontig:GCA_000165425.1:CT868518:179658:180790:-1 gene:GSPATT00018829001 transcript:CAK84633 MNQKNNNQITILPIHLLEEEFRVFSQKLTRWLWYFIQPTFLQSRPLIQLNSGKLQYEPIY QQIYFKLNNQNPEFEDIISFNSAGNAFIVKDQNGFSEYVLPKQYKHQNFSSFIRQLNMYG FKKIRNVNNQYQFSHHCFLKGREDLLYKIMRKNGVMQRCHYLKQQNKAKQEVTQLYSNYS ELKTDIEQIQKELIYFYQQFDQFQSTLSTLIDSSRQQIRELSIIKNQNSICNDLLFQVLA NVSQSQPEQKYHFQYPFTNSTQQTQTTKSNGSDKDELNNDNENNNNSSKEDTNQSFQSNS FREPQQQLKSD >CAK84634 pep:novel supercontig:GCA_000165425.1:CT868518:180944:182095:1 gene:GSPATT00018830001 transcript:CAK84634 MLNRAINTGFRKALPSFTFSSRNGPYNPNRYRHYLNPNFFQSNKEIADIAKSQQVPLPVR NVRHVNPVRQSGPLPPYDGPYTMEDVRAVWQNTSIGRQGTWSCQMDPDEIMRRVPGITRR EVEKILNMGLTPQEQVDFAYLVYNCGFDVDYVPNSVYVARQVVTNSKGEKVEILWNVQVL EDLAKLPVGFAPVRELIDYHWEIFLWSDPMIKPTGDLDLGVPNTWFEYECEWGGEMVGIE DQFNIPESDRVFPSPRNPNCRRELWKSQDDIQEQLEMEDENWYPKGTSYNIYQQADYKKV TKSDSTFQEKI >CAK84635 pep:novel supercontig:GCA_000165425.1:CT868518:182253:183337:-1 gene:GSPATT00018831001 transcript:CAK84635 MDFHQQDSDLQTSKPTNHMTSKLVSQGLGVQEQSDYSYSSQQLCSSEQNSDRNIHLNMSS FKKVSKSSADLQNLTLKHAYICAHYNFLGNCPQEHCKKRHIFISKEDGLSDLISDNIDLI DNLTRSGKKLRLQQSTYKTQLIQYRKCKSNNNEISDKYESKFSDQEQIMFRDFPMESLWK MNDLSFLATQIKSQPDLNTESQSKSNNHLIKPKPRVNYKQVAEILENSISPVSISSEIKK NLMSKLKTDIERMQEKIKIITMLKKWMNDKQVDFEEYITLNSSLSQVQQIHYSNFLRLTN MSIPNQVSINQQFQNIQNIFQ >CAK84636 pep:novel supercontig:GCA_000165425.1:CT868518:183555:184728:1 gene:GSPATT00018832001 transcript:CAK84636 MFIINSIAITNDQKYVIGATNTGALYFWDFENILLENSSRTQEKTQNTLIYKASYKFPLF KDSIQQILLIQENLYCVVANKLYQVQMSSLISPNQIIDTDLNSSIMIQSFQEEIEFIKYN NEILIVAVGSRLYFIDLQHENNIIEEIDITQSINDNIYTLVPIKDVKITSLAVIGQNFLA IGSDIGIIGIWSISTYSFQSVLSFKGANQELSYVNDILYMEDEQQIISLHKNYLVIWNSI LRNDDEIRPISDYYKMCSFQNNIYLTKGNRQIEQMNSKITLQTSSDVILQFSTISYQNSN VLIANGSSPFVDIYVDKNYTLTLSCL >CAK84637 pep:novel supercontig:GCA_000165425.1:CT868518:184980:185492:-1 gene:GSPATT00018833001 transcript:CAK84637 MWLRLSYLSFRSCFNKKMVNGKFFGPDISGRYKGLLRKQFYFHGLPWIYEQPKNRELSPY HRKPKGHKRERTREQRIEKIKKNLMNYAEEEFKFRQERLNKRPPGGLDMIVQKMIPSWIH PKTAAQLQSTKQLLLMKRGRQPKQEEEYKTDQYEF >CAK84638 pep:novel supercontig:GCA_000165425.1:CT868518:185515:186380:-1 gene:GSPATT00018834001 transcript:CAK84638 MKYDKNNHQNSDVRPNPNKSKYLGMNTASIDINKYRDLKQTQDFNLQGNLNLQIIKTISN TFEINTIFDLDLSNQKIEKIDCLAECIQLVQLNLHNNSISDISPLNTLKYLSILNISNNQ IAKLDLVGLNSLQNLEAQGNLIQSVEAIKDLDSIETFTILYLQLPSGELKNPICDINNYR NQIFKAIKSLSRLDGYTREQQCLHSEVQYIEEINIKIEIQNKTDPWYAQQIPKVQKPTSV QLDDQELKQSVEETKRSLSNLEKKLALLK >CAK84639 pep:novel supercontig:GCA_000165425.1:CT868518:186395:186999:-1 gene:GSPATT00018835001 transcript:CAK84639 MLKALSTLYLNRQTPIAQFAKQITFEKWNVIKGDKVVVVSGKDSGKTGTVLRVYRKSNEV LVQGINQKFKRKAQLDDPSGSGIQQITRPLHLSKIALIDPEKGKPTKVQRGFLEDGTPVR VSKLSGAIIPKAVDPAKSPAHRHKNKVDGIKDTSPALALQVTYKGEDFSAIKAEFDSFIA EKERKEQLLWFDK >CAK84640 pep:novel supercontig:GCA_000165425.1:CT868518:187045:189530:1 gene:GSPATT00018836001 transcript:CAK84640 MSYSNKENIDDTQILIDQDEVLEFVKQMKMMNKQFISQIKQLIREKEEYKFLIQELQCQL QRKSDQLQQSANYRQHLYRLLQLESCRSNYEEQVNRLEAELKLNQMVFQIELSQQKQEIV DLSDKLKSTQQGYSTTVATSFLQTSFNQKQDSLFKDSHTLESVGQTEGDQSIMSNKNKGQ RMMLKIMQDPITNLKKQKELIKREITQWQDEFLRKEGRPPSFNDKQSISTKFYQYTILKK QLNDRSTIIQDSTDCKNVGVQCNLIDASQIIQMQELLRQKTHEIRRLSFQLEDLKGKVKV YCRVRPSNSSDKLKDCEVQFIDEQHISLKQKIFNFDICFNQQSTQQDMYEEIQQSLQAIF HGFNLCIFAYGQTGSGKTYTMFGTKQQPGVIPNLIEEIYTYIKRSNLECSIIVNSLEIYK ENIIDLLNDQQGYQSLELKENLNGQVFVQNLTNIKVQNMHELMNLIEFASSRRKQGLTEM NDSSSRSHMCTQLIVETFNKITQQKFISRVNLIDLAGSERCNKSRLKPNQLEEAKFINKS LSALNDVMIALSTKSSFIPYRNSKLTYLMRDCLGGNSKTIMIINISPSFINLDESLSSLQ YGSKVKQITNQPIKNVEPAEQLKKLQKVCSQHDESADLFYICNNLNTRSEYQVVQILIIS LKLKTFLKKQRLLLLMDFRIHSYKKSYLKTRRQGSQSRCSIGMTQYSKIIFARQFGKDEL GQLLVKDQKHQELIQQHQEKQINEQDRLQSFKQKWKTQSLQLQEQMVYRSFDDLLQEYYQ AIEY >CAK84641 pep:novel supercontig:GCA_000165425.1:CT868518:190261:192250:1 gene:GSPATT00018837001 transcript:CAK84641 MFEGIYTETLKDKFTLSPFEKYSKYGRFPFKFLISCALVFMTTFQVILISEHYTYNSSGQ IIQFVALLLNPDEPMNNKITLLTIEDFQDSLNNTLNNLANIDDITAQKTTILGETFFQIN YNYPYQAYRFDYHFVRNLTLGEPLLDPFNLEDDDQIRDFWTNAYELTLTVNKVKVESQQR TACWDIQITYQFINYGYIDAIISSIGGICADNREEAHGSAFNSIALKIDNLLLQLSIILL ALVDVCLGVKYIYEVIEMYTNNISKLKKQRKLKVPDYKLYKNQNLTTLKIILTEQKPWEQ LTIKEKLLFFDLFLPIRILGNILQLISAGILVSETFIRVDGLSQYDELMAGLGCFFAWFS IVKYLDYYEELHLIASVLESSIIPVCMAILNFLPVFLGYALLGMCLFSDSHQFVSLSSSI NALFSLIYGDSISDVARDSSRGVSLEVSLIYIVTYILLFLFAVHNIMVALIKEQLEIRRD LIFQEKELMNQLDLSNNYQYQMYLSQKMKQSAAMNRSVMKDDFSSILQSQSGSNQQQQMN KQISLKSITKLAMMQKQMQEDVSKQDMEKNWKEICKEEMQNHYIYNILISTISETKNILS NVELELWNDIDSSPFTVIDKKEIITLYCSQLIRKHQKMRKIFDKTKQISQNLKP >CAK84642 pep:novel supercontig:GCA_000165425.1:CT868518:192281:193123:-1 gene:GSPATT00018838001 transcript:CAK84642 MSFRNRQKQKHASGMMKDDLDLKDINEPEELGLDQKMDWLLSKQKYHFKREISYKRQHIL NLITEQQSSSTKPAQPSQQQSTISNNTSSLQDAIPKIHLDQGDSKNEHQYASSKFSQLNK EAPSPSVPNRFTSKKRSQFSYYSNDSYNSNLELHLGQKQESNNQLSETRKERSKLVSNTM NQYNQQYLLRQSRNQIINKVNRNNPKSSLLQLELSDKSNSQRSISTPKSILKNPSSLNSS RLSFCSLQLGSQLNTQSPSKKLKVKFCLTKQQARRENIPC >CAK84643 pep:novel supercontig:GCA_000165425.1:CT868518:193575:195023:1 gene:GSPATT00018839001 transcript:CAK84643 MANLPQQQYSTPSNLPSYNRDPSTYQQPTLGQQQIPQSNYQPQQSSDKGLQYLPQQIGGL PQNAQPQQQQLYGQPQFGLIGQPITQQPVFGQSQIQQLPPQTTETQQGQLVKGQSRIEYI PYERTITEYEEVRRQVQVPITKQITDYYAVQYDIEYIPQVIQEKQIEYVPVERVAERTEY YTVERQNVIQQPIGYQSQQVQTSATYTPVQTQLLSQQYAQYVQPQQTLAYQQPVQVQQQY QTREVIQQPIAQQSYVQQREVVPQQQIQQSVALQPTLPTTQYVPQQQYQTIQNTQTVQPQ LQIAQTVPLNYGQSIQAQQPVNPAIQTNAPVNQGYSIPATQVNQGYSIPATQVNQGYSIP STQQPVYGQQSLGPQQPKTQQPLTQTTQYNPQLQQTVAPSQFAQSVPQQQQQQSIPQDMG RTRPYQQAPLPQQPSQPQQAGTAQKSNKEKSFLEKLFD >CAK84644 pep:novel supercontig:GCA_000165425.1:CT868518:195065:199779:1 gene:GSPATT00018840001 transcript:CAK84644 MNYLFIIGSLIVYSIFGELILLTKGDHYHEICDINKHDFYTDCDENSIYIQEHKVNEQIQ QLQKNEIEQILYYPNDQNTILILEKNGKITILFKDNQQFNSPFKELGTIDLNTKTLPDFT CSDMTQYSLDIFMAVCRSDNDIYISKIECIAEENKYLSGCSSQLFLDTDQLNNCDNLNII TESSWRVKDPEYFSIYCSSQENFQITKQLLIGKFNNQANSIKMVQFKSPINEIEKVSFFD DNTLMILDHRSGLHVYRFTFTDDGEVMYEQLLWQLFLPNELFYALSYSHEQYAIRFPPLG IIENDIQYSIVILSDHFIYELALPQKSKDFFFTTLSINDKINLNSILNRKQIKKAQIQYD EQFYYTCFTYIQNSIECYRINRHQSYTEKLLLQNNYQHPMLLTYPVSFSTITGQTNILIT QQVNQTHAILSLIKNEIERLTVVSTSNEEFLCSIIYKNMNNQNVTLMIYNQQFESTNVFK IDHLQNYNFPNTDSTVLYSTLIRGPATILSPKSDKKNVEVISLSGFGYEMWEDLYQHFIQ HSRIPIKSFLDKGFRTIFLQIDQVKMIIQQEIPNTNYFTQKIYSLDCSSVNIVKNIVSIS PYYIIFYQKTTYYTVLKIKRVEEGVVDFDCQNIEYSLFDQQYEVFVDLDYINLFFSTNNT IYYTDIYFKNIITIEIDRKFRQLNFQFLKNDLIIGSHQNKLYQIQLTNQFKVNHFKTIIV EIELGQMTHNFKFFVLQLKKPILTLVIVDDRITLYTIDNLQDIKFDSLLPQFNSIINANE TYINYYMLIVPTNKPNELYVYDLSKTGSDRLHAIHKYSILSEPITICYPKMQTFLYCLQF TNADINCYFNKMLEFELIASDPTNIDNQFSVAINAQSALSYSVQKTLTINGSFRYQDTMI EKSVKKLPEIEIYKTDFTTSLKIMDYYSGPIFNYQLQNAKEFNIKMVPFIWEQEDNLVRF KIDQTILGTSVSKEYLIILGDETIGIIDIPQTQYHKQVIQSTIDYDEIKNVFFDQDQPTV NNCTKSFINPLSIAELSAIHVIALFCQSMMVRVDVTNQINQLSFTILSDNQPIEKQFLEN FFFLNINFKDFLYQNQSSFILEDVKMINFPIQFKPKYKFITITAMVKGNKRYDTEDKARD NLICIFYGYLDQSKSSLLNGSLLDVIGAANFNKNTLIVNNFQMYCIKGCAIQDGNNIQIE TIIELVFNDQYSFNLFKLQISFTYHANKSPSYKLLNVETLNIKELMSNFVQNQIEFTYID TEVEFLKDSTYTINVTTDSLSFDLVQIQGRIEIEQIFKQYTQCQSPPGSLKASYNEYFAI SCRNTSRNYSEQTQFVKLFLRGQQQINHSVKSVIQAAEIQGNLRLLDIQKGYLNEHVRML ILEQDYNQQDFQLRWFTLNDQFTLIADQNSTPKSLLNVQLCPSNLNEIQGLCMDITISNT GYKQYIKTEWLLFVFLIGLPLIISCSFIIYCIINYKAEKQKRVESFGMNLEHQLKKQSSH LQLKMEDTIY >CAK84645 pep:novel supercontig:GCA_000165425.1:CT868518:199852:201466:-1 gene:GSPATT00018841001 transcript:CAK84645 MSLSLKCIQRFGSYPLPRKIGVLGYGAIGSAFIEVLLKNHPNAQIVALDKYNAFRANENR FECIIEERTKENIGDTLSRMGLQSGDILVDLSTNIEFLSIWSLCAEKKIRYMNTALEQWE DSEDANSCPKNSEEAYKLTLGYIKDKAKQSKYWNPKSGATSILEMGFNPGVVSHCVKRGL EDCAKHYLNHDDQFKDVNKQLLKKYLEARNHSKLAQVLGVHTIHCSEYDNQMMKDIPKDI NTKFYNTWSCRGFLTEGLVPIQVARGSHEDPHIESMYTIRDGKTIVSTKPSVQTYAKSWL PNQDITGVLIPHGEAYSIQDYLADPETGYAPSQYYVYDYNPLAKKFVAGLPKDADINNTH PEMEVIHPINHPTLRGVDKVGAMIIMNNNRGWWTGSIMDEVDSSMLFNGRFGPTVLQVVG GVYAGFLWSCINPNIGSHFPESIDTDFLLSIGQKTMGRFVSVNVDLTKTSIKDCHKLQSF ICDKQ >CAK84646 pep:novel supercontig:GCA_000165425.1:CT868518:201621:202180:1 gene:GSPATT00018842001 transcript:CAK84646 MFKQQKSSLLGISLVVCRNSKGQYLTILENGDQGWWLPGGLVDPPETFEQAAIRETKEEA SIDVVLKGILRVENSLKPDQNHLRVRLVYYAEPIDEKQIPKQKPDRETQQARWVDYKDLP SYAQIGPGWRGKELLEWSKYIENGGAIMPLTFFANEGRDPIIEKAHYKAELPLSKLK >CAK84647 pep:novel supercontig:GCA_000165425.1:CT868518:202207:205325:-1 gene:GSPATT00018843001 transcript:CAK84647 MGHKHKRKGRRNKHQQPSLPPLDEDFKQILYMEALEGMNKLKNSKDLFLQKLVKNAYLLS KAWFETWKLHVGYDAILKGEQPGGKKYGRQQIGEINKDLIADEKLFHATPQIYRQLDPPL NDVQPVKDYILITQEVWEFFYGKYKGQAITRIANQQNEFNLKLISPNIIFMTQEKLNEIQ SLNQNQLISSLDLLRMRKAQLFSDWKFTDLEAYCQQIMESNNIQIWLMNPLYENNQIKLQ LIKTIHQQKNVLLGEQLIDAEYQQFYLSQFLKNHFIMVVFQNAVELSQLDVKFELNQSGC CFNCGSKALLNYSCACKKVFYCSDTCRYKDQEFHSRQCTKAYDSQDDEDFDAITYDNLST KYGAGLVRIWVILAFMNSSLQSLFSSTHFQEFLSNKQYWTQNTLKRNVQLNYKLEKLLRN LLTHNEAFSPYSFRQQLAQKYPFFGKDYQQDAAEFILYLFDALNEELILKDDKQKPEQQQ LELADVDKAEPEQANSDSDDESSEEKENQESQEQQQQQQMQFHNRVQQNQINNDNYEKAV EMIHKLNNQQIECPICEQKTQSYEQFSILPLPLNAACQSKRKHLVDVFVISNDWYQPCKK VVYEYQPNTTLLMEVKRDIAERLGIEPTTLLGALCVNSIIDMYLLHDEDNLSKIINDNQN SYFCLFQLDQSIYSITNEEQYFDVMIYSFEKRNAFSYQCENVCVAIPKILVVKKSCTAAQ IYQQIWNKLGEHSNSNQLKDIQIDEPQQQNLLNSQQLKEALNYQVTIQTRLPSKTPCPFT CGKSFKKEQKPHSCELPFNNSTTLNDYLNKTNYPNAGTSLSIEFVENAIPKSIRIEFEPK NNYFKHDKSLDLLDLIDNFESKEPLVDENAYHCTTCNDLTFAIKTMSFQKLPDQLIIQLK RFQYDEHKGFQKNNIVVAYPENIIINQIDYDLYGVVQHFGGLDNGHYTAYGKRSNKWIEF NDKQTKEVQVNDVINDKNAYILFYQKK >CAK84648 pep:novel supercontig:GCA_000165425.1:CT868518:205887:206430:1 gene:GSPATT00018844001 transcript:CAK84648 MSYLYFGTLPSSVPPVFDTTPCLKQMHDYEDCAFESNTKQMEIIHPSWPSMWPRMLTDGK SIDFGHTPMHQDSSTHYTYMRPLTKKIKHYLWECEEERFVYKACLRKLISLKRTDKHTSW DTASVSNLSLV >CAK84649 pep:novel supercontig:GCA_000165425.1:CT868518:206615:207649:1 gene:GSPATT00018845001 transcript:CAK84649 MNQNSGQYFKLSKLTNIMMECGQIDYYLRKHENRIGIIHEGQEYIFLEYLEDNELLFDSS FERIQDEEENEGDPNVGSNQSSIGSPKEQDLLYCFCGKVLYGFLCQQRGKIVQVDLKKQQ ENTFKIGSQYLSAIHPQKNTDFLVLLYVNSIFEIRNTQTLDVVIKLVLPSLPEIEVLLIQ NSIIMLRFYSNILLYCQVRPSKIHQLYILNGKSCQIYSKLLILKQKTNRFKLYFNLSKPK LLRQVNLIFKNNSNFKFIEKEGSIFLKEKFLNFAKFYYYKWWVGNFQHSDLIKKYFDGSI TSREAKQKIYILEYKSTTNENQLEEVAVDFFSPNYPVYDRYGLT >CAK84650 pep:novel supercontig:GCA_000165425.1:CT868518:207922:211710:1 gene:GSPATT00018846001 transcript:CAK84650 MSNNNSRINQNNDNSPQRSDTNQVEPQAKHQQSEKKEIQPNFTQAEQNGQQYIVYQQYRE DHPLIEFQVNQNDRTNTNFNQSQKCKSQADFRKSIFIAPSQEEINQPQTFFQKVIVSVMV QIHKKEKQNKKCCEVCCLPIGSHTFCCGSQNTRPHFKQFGVDSQKSQGTFLSFSLYYQYH NYFLVYYVIFLKIIIAYVGSEARDSSNPFEFLMATTIGATSLNYKDCQIQSFDSGYGPLE FNLQCDSGNLAGPSFLYGIITSNEQDCIYISKSELDLNVTNQDRLNSLRQQVDSQEQYSI TLTKSDFDKENISGMKIFVGAQCKESMISIGPVQLEQKIIPIIFASCDAAIVLLFIYFLV CLTTSEKKYNKISQKESPTLQHFSLQISNLPKCEDLIRSLQLNENQQIKQVLLTEVKKFI DEKLKSVLKDADLQIYDIQVSEKEKILKLQSELKSKKQMISKQLDELKANKSQYYQQAQK NIQMSIMSKETYLEFYQNFQHLCEDPNSLQNLNKVDKNIQKYWNEIEEISQKIEALQSEG DSKYIWITFDTMRQKQQVQEQLQSLPKDWLYFYCCFCCFDDEEKNKKLLLKKYKLQVKDA PIPENINWNNLNYSLKQRILRQFCSLFVTLILLAGSWVLVSWVNLQKTDFQQKYPSINCN NKIYDNITELQVQQEIDDENSIAIKGYVECYCKPKINDIISGDYEICQDWVKQYTFQQSL PWIIVAGLIGVNVIIQYIFIFLSKWEKHLMISEEYSSRILKIFLGQFLNTGLILLITNID FGNSTRDDAPQAIRFLFGGKYGDIDSKWCQNIGIVLLLTLLINILTQPMMLLIEIIIRYV RQAYDQCSCCLNEKKTRKKNYQEFKDLYKGEQFRVELRYAQVLTALYICFMYTPALPFLY FITMLTIWFLYFVDKISIFTTYRKPIRIDSIISESIRKYLWIAVVIHLGFATYIYGSSNL FYETIEAKLVIDQLQDVYGSQNVVVEWWDRSWSQIPNIILLAILAILILIIFLFFFCYKP VIGLLSKCFSTFNEKVLRNNSNGQDQQELRPFLKFLKKDQIQEDMKFTQFQMMFAPSIYK GKYLKLLNELEIPIGVNNNNEIPIINNQDIKIEQLPLAQSQIKQSALVQNENQNQNSQNK ANNQNDISQSQFNKSKAEQSQILGSQQKLIGLRSYHKAYNKQYQQYYEWDEIKAINIE >CAK84651 pep:novel supercontig:GCA_000165425.1:CT868518:212324:214540:1 gene:GSPATT00018847001 transcript:CAK84651 MIIQFRFVQIIINCYVILNFINCHLLQILIRMMNNATEYPSQITETIQGITFSSAFDSGN LKSVRKENSGRYILNISSDWGINGKTTNYRTWFYFSVSGVNEGELTFVIANMQNQMGLFK DGMQPVYRNCHSSQWERLKQPCQYRLVPEGHFEITFKHCFLNDSTVYFAFFYPWSCEDND NFLQLCQAMSLQVPEIYYDNSVLAYSREGRPINLITITNGSSQIKEESIPGLFPQSRPYQ FKKPHIFISARVHPGEVPGSFVNNGLMKYLLNAYDPAAQVAREKFVWSIIPIINPDGVYR GHYRTDSLCQNLNRYYLSPSKEDHPTIYAIKEYIMRLQNTDRFYGYIDLHAHAGHKGVFI YGNQLSSLSQHTSNCIIPRLISLYSEIFDYDACNFTEKNMYAADKGDGLSKEGSGRVAFY KICGIIHSYTLECNYNTGRITNIMYEESVNNSYTDDQLDENVVLGSVQTSNPKSYFFTIQ DYEQVGVGIVNAFIDFNLINPRSRLSASPFKTLANLRSFLAYNIMKTMHYRFEPYLRKVL KFINNKEQLNRVLKAFYEYICNGQIKDNLEGNLDTVVVKPQTRYQKAQEIKKEKKQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQYKQNFRRSQYEQIPVKQYPFSEVDLDARQMRTQSQG KTRTKSETKKQQQVQQQQQQPLQQKPQRAFKIKRNVKI >CAK84652 pep:novel supercontig:GCA_000165425.1:CT868518:214909:215459:-1 gene:GSPATT00018848001 transcript:CAK84652 MLEDKMDFALLYNLKQPYHPIKRNRKSVFVYYFVILTSQGCIGYILWQLQGILLQIFVGL LAILLIVSVLAQCCNPGFITLQITVEEAMNQQIDPINICPDCWVIKPLRSKHCEFCKKCV VVYDHHCPWINNCVGAKNLIYFYIYLSTLILIQIYSAAIIVFCIYFYLNRLFQI >CAK84653 pep:novel supercontig:GCA_000165425.1:CT868518:215483:216269:-1 gene:GSPATT00018849001 transcript:CAK84653 MIDDSQQIMKEMIQSNSIEQLMEFMSKVKPNILNLDCGKKQTILHIAVQKNDYPFLRFLE QYSQDNYKENEIRKLVNTYNIEIFTALHIASYNGNVQAVRILINMGADIALKSGSGLLPI HAAAQGDQPYMVKWYWIQQGISINAKDDAGNTCLHWAAYQNCELTVSYLISFGCNMDQKN NEEQTALHIGASYGQSRVVKKLLIKGAQRNIETDSYPLI >CAK84654 pep:novel supercontig:GCA_000165425.1:CT868518:216783:218645:-1 gene:GSPATT00018850001 transcript:CAK84654 MQETEIQPQIEAGRLNDTVQSAKSLRCRRVVDIAFQNIEYQVVDQKGHKRDILRNLHGYC PGGEVTAILGASGAGKTSLLNILAARVYSSKTVKLKGKVLANQMEYDSETFSNFAAYVMQ NDVLFETLTPREALQFVADLKYTDPELKQSRVEDTIKTMKLERCQNAIIGGPSLKGISGG ERKRTSIGFELVTNPSCILLDEPTSGLDSFTAFQIIYELQLLAHEQDRTIIFTIHQPSSD IYLLFDRVMLLVQGKFIYQGHRTKLVGYFKGIGFPCPDHSNPMDYMMSIMHQESQINIDN FPIYFDQYEKQLHPQVLEEIKESSKTELVYKQVETSTAFQINLIAKRAIKGFVRDKMIIK QRVGMAIFMGLLLGYSYYGIGEDSGTFADYTSMSGCMFFLCINLTMSSLFPVVLQFATER DVFLREENSKLYTTFSYFMGKSFVEIPFCLISPIMQELILYWMIGLNSKDGGVVVTHIFI AILTCLNGNSMGLMAGCAFNDIKVATSIVPLILLPLIIFSGFFANSKQFFVWIGWIQYIS PVKYSFEALATNEFDGRSYEFGDPIDTLGFEVGQWESVGILIAFVVAIRFGAYMFLNILR SKQQ >CAK84655 pep:novel supercontig:GCA_000165425.1:CT868518:219758:221632:-1 gene:GSPATT00018851001 transcript:CAK84655 MKNSNRKNNHSAINQAQFIYGKKLTKNNYYYAVKWQGQPISNMSWEKQSNFTEESSYLIR RFETRIAYLFYNKKIYPSQDGIRVHVKSKFCQVYTPQMNQKSKSIQDHQWKMQNISNKFI QTSSIINQNLKDVTLSTQDSKFDSKRVVVQFAPLPPFIGENQIKQMIVTTQSMTNLNQVV KQESTIERDIKLLNQIEKAQKKINEQQKIQQIEVQNEQQKINNQKSQIQNNSKIVKKSSK KSNSNEKKNKINQLQQQKLQSNLESSKVEINKQLFDQERKKKQWQSSSDFISIENQDESF QLEIQNFEESIDKNQPLIHHVNQKLKQFDNKNNQTNSEKFQLNNNGSKKQKFRAVKSGNL ISFLNMNSSKLVSSIIDSNNKNNDNSYQQTNNKFNNHKNPSNDNSNLIEIDGDDDNKNIL NRSSNNNKSDNSSSINNISLHQSINYSEEKKTEKDNILDQIIYQKPKGNDNLDLNIFKEP KQLNQVKTSQQIQQEIQKLQLDRIAQNKPSRCSVPIIPRIKDVEIQVCLVDNHESKMIQY DQELNQIKSYNIMISNICSHLLMNEQLFFQCQYDNGIEYFVEYDELKVFSPKQLLDYMIQ NSIFI >CAK84656 pep:novel supercontig:GCA_000165425.1:CT868518:221666:223698:-1 gene:GSPATT00018852001 transcript:CAK84656 MIEENPNFGYAQDDEDASFNNSSRFQNEINEEFRKVSENKLQVTKLNEENNICTIKLSRT KSAEKQQDHMMILLLSLLDRELYIKQVSFKVDSWKVYAESEKLTQLVKQSQHTSTLELIK SIVPEICNIVLSFEISTNSGKKSDKQEFGKAHTHTQPIPYQGFSTCCWSPQGHLITVHNT ATSHQKQAKEQKAQYTTLQELNSFVFSQPKTKQSNQQQRHVVEIREDEENLQPFFQAGVQ LISEKEDEAYDYLNFQFYYHYFPQFHQQEVPKEQKVEKVAFYKDYEIYDLHNNPDVIILG EQFKNLSKKVYEQQDKKTVINQNVEISIYDFGSYSFHVDNITALHEYFTSHMKKNNNNTS INNPLKILFQPLEEYFKLYNSNIANFVLTSGVTLDLQEYNMKILKILSDCIYQQQLKKVQ HQEMNLIKDFQEYFKKLWNSQYQIYRLYDQIAYSIKKSNLKDTKKLLDCVYQLKLESNIE MKDQIKDLIKDEISKYNSQPNPKLFVKGTQSISTYDNFQKIQNECPIYIIWNQLNNFPLI VSDKVEFSKLNVLKTALRAQSPFNFCIYKKLKSTSFQVGNFRDLLNNEIYGQGPLQLGNV KQNQNRTICSICQQVITSHGLFCSTCQHGGHYEHMQQWKQTCPVCDCDCRK >CAK84657 pep:novel supercontig:GCA_000165425.1:CT868518:223731:224133:1 gene:GSPATT00018853001 transcript:CAK84657 MSKLKLIETIKQQEKLIQKLETQIKRSHSHFQSPQDSKQQNTQRSSSRSIHNAKKLKEKD QTINILTERLNKAFENENHIKYKIQKQRVMFKCLYNDLQNEVVKLKTEVLQMKTPQRQSK KLLYY >CAK84658 pep:novel supercontig:GCA_000165425.1:CT868518:224141:224911:1 gene:GSPATT00018854001 transcript:CAK84658 MINNSLIKVQVKEYTSPAKPQRRLIYQRIKPYMDAYGISPEFFEIKGKSVVFKNNNPNNI THLNKSVVSIRPSKRKQNQTIAVKRPQTASIQLSNNVILPISNKYANLFNEIKTTPQKPQ VQQPQKVEQSLILEEADEASDSSYIKKHEMMLKDIAELEKVKQEKILELEMLQNKIDEAK NAEINSSRFIIKKNVHKPTLREKKDKAASLIQAHIKGRIDQKRFIEWKKKKEDKLRKVIF IQKMVEKFK >CAK84659 pep:novel supercontig:GCA_000165425.1:CT868518:225049:225636:1 gene:GSPATT00018855001 transcript:CAK84659 MGVMKGDFRLYLNQQNFSKLLNEDISKQSIEFVSLMNIIINNIIKLIQIQNSRLVFDLQK QNLIDIPRLVLQVYLNVLHISANQYSLNINQAQPEQPQIMQKEYLDSSDQKPIIIEEEPE NIKQDKLQEIKEDNQSEQQEIKTDNQNQQIMSIQVNKENQKSVNIESLYQNVESSEVVIQ KEDVDPSPCPSSSSW >CAK84660 pep:novel supercontig:GCA_000165425.1:CT868518:225936:227674:1 gene:GSPATT00018856001 transcript:CAK84660 MDDINKEQEIQNTNQIEFYALQDPLNSQISNQQNLQFQQYISDLKNDNIQNDLNKFEKEQ IVNQTNNNFLETIKIVEDTQIIQNELLSSQRTQKNNDHQIDEQDLIKYEQDDKEQKVNNK KEDINEEKEQNQEEIIQMQSGDGDVQQQGKTNNLQEDQQILVVMEKQEIKSDTEVVTNKN EEFEQMENKVDKPTEVKEEEEEQQQIVISQYGNEQNSNVQSHKIEKEPSIQSFQKKDNDQ NLLQLPSQMEFHLLKSCESNFFEPPNSGKETVKYLNSGELLSRTNFLSQLGQLGKFEFEN NSLLGSGDLKKDIEGLPEPLNLRNKSNNQQELFSESQTFPNRMPSDFRSPFIDDTFKLST TFKFQFSSELKAFESGQFISQQFNIKVQQQDSLEYSMDESSDLQPIYITTMKVDDNDVDV FQIKNVLRFKDKNNLYQCYDFKISKMQQLNSDYIIQNLFVFIMKNRINCIDGNQIVRIQR YLKRFRFVQSCVLTLDKESTLICLFKSFFQIKMCFYRQVEIGEQIIIEKMTTKQRRILEL NFYSICPQLLFHDKGLEQKVLATIDALGAQS >CAK84661 pep:novel supercontig:GCA_000165425.1:CT868518:227801:231805:1 gene:GSPATT00018857001 transcript:CAK84661 MIVIFESILLLFYVRIADCCTQLPNNELVLVNKINETQSMNVQKLFVKQEQSQILLIAND SDINVQKNMYIHSHSNYSFDDIISVKCLRSYKDYAATNQYALLNKINDKYQIHTNSYQIE NNQRLPEFNQYFEITDYICHDLEMMDRYTLVADCYNESSNVFIIKKEQSELQYFIQNQTR DQRIKTKMFAFKDDLLVRVFYYDEDYKQSSIHFLQIGETVSTSLIIDEDYINDILSDTQT EIQLQLVQVEFEQQIITILNKNQWVIQINAKDYPNILIKDYFQLDQEYPAIFITYHVKNQ IFAFLTQQAIYILRDESLTSIKEIDNKNQSFQIFFAQNCVIQYNNGELSLLSLNLKTLQT IRINGNHRLIIDQKLDEIIAITESESQRIIVRVNELLQFKSDTLYQQFRSASLVQQINIL ESCTLNIRYTTIELSSTQAIPTVMNQFNTILNSPIESYEVNRFIDGPNITISNIIELKAN QVSFSINFEILQQKTISGILNEQAVQYVKVMKLTTQYLYLIQTTNLYIQFYYCVQSMNCY FSSQVYFEFNLSSTQHEMFQNNYNVYIVIKNNEQLDIWKVQDPLIYLCTIYPDQDHYILQ FQYINQFLAVLYSNQLVVLYKVMDQCQKSQSLTTEFIEKYNIEWYPSYISTNEEYNVLYV QTKMQLLLIQIKEKEIYPINIIPLSQNRSVSIFSYSNIIWIYYKDTAVIESFIINSITYI ECLRTISLYNLIPNKFFHHQQSKYVYFKNTQDSLGTIYIYSMETSSHNALLTTFSTRYKI LYSVQNLFIIADINNYYEIPQKIKYSIYYQDIENPDYLVKVNASLIISSYDNKEQLIYQI NSTLVNPYTTITVNQTQLNLLEFLDQGRPIGYCESKYSWYTGQVVDIELNSRQLKLQKSM HLLNREICSTGIDIKEYVSDSVLILYQDRIIKLNMTNQFQQIFYLDSSITYTKIIQALQD FIFITAYKLVPSFSYLLQVVKCDQNFICSNQLIEISSYSDPRKVKIFQNLLFLIFDQFIK VYSIKDDKLSIIQSIDNSQIYYFKDVISPQQDYFQIYSQQDNKINITTYYFKENNLNLIE HYKIDLLSAIQQSGFNIDPKTQFHRIIIKNSKTQQQLLTVELFCQSYQHSHYILQIQFIC SLQNNLYLCPINNYKVVQILQGYGTWPTSYVKMYNDQYLLMIYYQSTTFINALYNLHLNY VQPTISPTLFSGAIQYQNITQQLSAFFTKGSQIYLLTNSIHQDVFALYEIHNTFKFCIYD HLTPEKILITLKNHFYQKNETIEVIQNNDVIDNKTTKLIIWFVFGIILAFLLGILLFGCY IKYKKRQAENQLIL >CAK84662 pep:novel supercontig:GCA_000165425.1:CT868518:231817:234705:-1 gene:GSPATT00018858001 transcript:CAK84662 MHSKIYRAQEDQLYLGKDVNNFCLQIPGNEFQSQKSSRLASQSEQIKIVTNTTNTQQYHQ ITNNAALATEKLLIDLQMIQEENVKLNCQLQVLQLNNKQYQQDIQDFCEKQKQLENKYKD QILRVQLENDIHFKKVEQENVKLLSQVEVYQKKQSQYEILIDDYLASIDKLNTINNTLQQ QLILQQKDSSIQYKDQDKQIQKLSEMNQQLLTQITSLNNQRSTDQLQIKDLSILQSTLQD QIKMHQDEVTLLSERLKELSNQNSQLSQMNTDYLNQITMFQTQVSQVQSKLQQNTYERET KSRQSEQYTRQSTSNNSRHIEELNSKILNLNNQLNLANQQKQQLQQDLYQIKKQLQQEQE DKLSVQSRQSKSSQQQKLIFELEERIKQLQQQLESEQLNKSVNHGDLDIKNKQILMLEQK NQKLRQELEQFQIQMGEEKSLLYKEIDQLADQNRRAKQLKVAEYDIEYRNSVKQKESLQQ ELSKANQSIQKFQSKIKALEDQIIQLNNESSTIEKQNRFIADLEEKLKQCQRKSELEIKD HSVENQQLTSQLDKVQYELQQMKQKYQREIEELESRLQRENQRVSLQLNDKVADLSQIEL KNKQLKEELMNLEQRYEKQLREANNRIKEVTDDLEIMIENYKKEKKISEKWESQNEDLRR QLSAQIEEVQIRTERLSVEKYERLSIESKKQIEQVQQKLNNEINQLQGEVRQKNEQINQL KQQSQQYDQKISKFEKYIHDLEDQLQQLSKKEELQNQSKNQSLADSLNVKIMQLENNLIK AQKKEDQLVQRIRQLEQQQNSFRNTSEQRELEQRNNQMFEEIQILVQTIKSQDFEMQTMK NELVQYQKEVSFMKEVVKEDTSRLETSMLDDKNRRIIELENKCALLANENTRLNQLKTKQ ADDWQKKYDKLKEETERNLNLGEQNEQNKKNVIISSNIRQISNAK >CAK84663 pep:novel supercontig:GCA_000165425.1:CT868518:235082:237143:1 gene:GSPATT00018859001 transcript:CAK84663 MNRSSHVKSGQTAFKVLNFFLRSKKPSQVVPERRHFEHDEIKKIFRRCLIRLRTIYILNQ VTKEIVTYGTSSNLYDISTRDRPALQKYLFPLSKSQDQDDDQLEFPIIHPNSIFRQIWNG IFLLMMIYKLLIELILVSFYLDNEVQAWYIADIVTDVLFWLDLIISFLSGYYDEEGKLVK KRKIIFMNYLKGWFTVDLISCLPLNYVLDGVQSENGNMKSQNIKLFKLLKLPRMYRLFKI IRFIDMMKYLGISEIMEFFQFNYGFSRLLSLLISVCLVIHLSGCLWFYVAAFNDFDSYTW VSRNDLTSSAIQTKYIASIYYAFTTLTTVGYGDIHSFSSAEMIITIFLMVVGVGFYSMII GLLSSILSQIDYKGHKLQQQQAILNEFCIEKKISLNLREKLKETLQYSFDKNCFTWADNK YIFKDLPINLRYDIIMNIHNGVFGNMQLFQLVDDKQFLVKVVPLLKPILFLESEIIWEQN SNPDAIYFIAEGRMNFKADFIVIKQTNQKKQFAFKSMIGGSYFGEIEIYLHTKRETVAQC ESQCEMYYLTITSFENEIYDDFPHIMNKMRKIALERRQKNLETIQQLQQFIDDATKKQLK RTQPRKNTVLLREYIKQSSQKTLEDMKPIDYQQETENPQISTNRLKLQDLFLITQEIEQL LE >CAK84664 pep:novel supercontig:GCA_000165425.1:CT868518:237318:239309:-1 gene:GSPATT00018860001 transcript:CAK84664 MSLFGAYVKLKRKSFWVIRYVEISSDGIFTYRDKKEDRQIKAEYKLRNCKIKELLTNNGS SLQICHSDESIKIITYTESEHKRLLQSLTQIKYQSESKFESQFQESFLKQQSPSINIQQT KSPIFQPDQDLIKQDFGEEVRTLAKQYIKKFESHQSILFDINDGVLIYKQQQPEMISQDN LSKFDQLFLKCQNLSIRNLIAISTLLFFLISIIIYYFLTLVENQKYTHSIILTINFILLP FVFKQKKYKKEKPKPFIVQGVCYMNFDYNSLVYLMTRNDIRKEWNPNLLAINAQNNIMTL SYTNQVIERFQQAIFVDQASFFIVEYYQQQILRLFIIKYLFEEDNIEIKCLAQNTQIHLL PCLKQFVKQQKQNPKSILFEYQTQKAQIEQPSIIQSEILTKSPKYTQADESTQEIIQSQI QPISQFQPQPIQVSPPKQSYPPEYQKYFDITLEAKKLLESVYPLNPSWQVQSEKGGFIIH TRFDENSGQTMSRGEGIVPYSMEQMYEIIEKVEKRGDYDSLFDSGYMHKKIDQDTGILYQ RFKTIKIVVKSRDFVLVSRVFREENKWIIVAKSIEYPDIPPIKDSVRGELKIAGWVLQKM EQGTKACFITMVDPKGSIPTAIVASSAKEQGLCVEKVKNLLDKRNKK >CAK84665 pep:novel supercontig:GCA_000165425.1:CT868518:239403:241282:-1 gene:GSPATT00018861001 transcript:CAK84665 MKGNEIALVQTKETTSSVTISFQNLSYQVKVKNPQGIIENKMILNNISGICKPAQVTAIL GASGAGKTSLLNILAKRISPGGNVALQGNIHANGEPYNSDKFSQFSSYVMQNDVLFGTLT VRETLEFVANLKYADPKQKIEKVEYALKTLKLEKCQNTLIGNALIKGISGGERKRTSIGV ELVSDPFCILLDEPTSGLDSFTAFIIINLLRKLAHSSGRTIVFTIHQPSADIYMLFDQVM LLVQGKFIYQGSRVELVNYFKGIGFECPAHSNPLDYLMSVMHHEDADHPHYQTLFNGYNQ RFSQEIENAINSIQVQQISRQSIQTSFGFQVAEIFRRGMINVKRDKVSSKRSFRGIFWTA GSEPGYKGIQSTIGVLFFLVMSSFMGALNPVMVQFPAEREVFLREENSKLYSTAAYFTGK SSVELPFLFVFPIIQQLICYWMTQLQLTLLFVSYLVQVEIVLVQFNFQLLGLMTGCMFND LKAAAGFLPVVLMPLVIFSGFYANQSMYMDWIGWIQYLSPMKYAFEALVWNEFETRRDEF IGQTIENSNPIDTYNLDLGLWKCLVILAAIILFFRFMALMFLYLLRGKQQ >CAK84666 pep:novel supercontig:GCA_000165425.1:CT868518:241428:243291:-1 gene:GSPATT00018862001 transcript:CAK84666 MNKTQVVPQQDQNIGLTLSFKNLSYKVNQSRNSESESRTILNNISGICPPGKVTAILGAS GAGKTSLLNILAQRISTKDNVQITGDILANGNHYDSEKFARFFGYVMQNDILFATLTVKE TLEFVANLKYTNANEKQLRVNYALKTLKLEKCQNTLIGNELLKGISGGERKRTSIGVELV RDPQCILLDEPTSGLDSFTAFVIINLLKKLSVVSKRTIVFTIHQPSSDIYLLFDQIFVLA KGRFVYQGSRDKMIDYFSSIGFECPKMANPLDYFISIIQSGDHKQQELQNIFKGYDNQIQ SQIEEQLSRIQPTKILNEQYQASFKQQVAQILKRGILNVKRDKILVRSRVVMAVFLGLLV GGIFWGAANEPGYKGTQSTTGGLFFLVMSSFMTALNPVIVQFPQERDVFLREENSKLYST FAYFVGKSSIEIPFLIIFPIIQQLICYWMIDLNDQTASIVIINILVCILLGLSGNSMGLM VGSMLSDARNASGIIPVVLMPLIAFSGFYANQSLFMDWISWLQYLSPMKYAFEALIYNEY DTRRDEFIGQTIQNQNPIDTFSLDFGLWNSIYVLIAFPIFFRILSLMFLYLGRSRQQ >CAK84667 pep:novel supercontig:GCA_000165425.1:CT868518:243448:245784:-1 gene:GSPATT00018863001 transcript:CAK84667 MSSVRHVDKNIIFVLKDQQQNNEMKRQIRKINKEKLKIILQISGCKASHSYHLCEKIFVQ ILSKLSENIEKQKNAILFDQISNPQFKQITITEKEFKEHVFSSLLEKKYIQVKGELYRED FEIAWNLTEKKQPLIILLGGTSGTGKSTASSILASRFGISTVLSTDSIRHIMRNFLSKDD NPVLFASTYEAGKTLPDLNISDQRRIIKGYKAQCQLVQQRLEYVIETFNEKMESIIIEGV HLTPIFMMKMMKKYKRVLPFAICIKKESKHKERFAVRSKYMTLDSRHNKYIENFQNIRLI QKWFLEKADEFLIPKVDNVNVDKSIDTIHRTIIQYIKHSCEDLQINELKNALPIYEEFNK IISSVISDAQNSKEVKDYIHSKVNKSEIVEQFIQQMNEYQVDTGTPPFQESDKSNPKVLI QQSVQKFNEINSKNEKSDNQNNLTNKTEVNYIVDDQNEKKDNINHISDQEDETEERRRRN QDTNTIEKLKKTKSLETLVTVANEEQIQKEPIKEQTVKKNLRVVFQELENEKEEQEFIEQ RPHKTNYKGILQHLTKHKRIFISKSIPSSNLIFIKKMISNYNKNYQSHDRIKLLQNNDGS YCLFKINVQKIHRKNASREESSSEPDDNDQSIAQQGKSFMTPSNFPFSERRDQSDGDSVR FRNDGISDEEEIENDNDAVNSVEEVSSSEEGGDLENLKSKMNDDEEDYFELQNIIEEDEF QEEIEQQMHNDLIQQKVV >CAK84668 pep:novel supercontig:GCA_000165425.1:CT868518:246156:246512:-1 gene:GSPATT00018864001 transcript:CAK84668 MNESLMVAQLKQRFSQPNQLQRGYDELLAYLKSNDISFELMLMKMKFEEKLKKNEQALKT WYYNIIKSSNFLLFLQPNSQELHIRKLNILKQLQYFKEISDELLIIKQLFPQVNLMQI >CAK84669 pep:novel supercontig:GCA_000165425.1:CT868518:246555:249775:1 gene:GSPATT00018865001 transcript:CAK84669 MLLIVIFHAYYLTQNILTRQECQTDICICHGSSHQCRCYFYQAPYCDGYGPSSTCFTNPG CLGCDDNSQCLNCISQKQFVDSSQNCVDCPEHCLTCTSESNCQSCITNNYYLDAGLCKQC QFPCQSCNSLHNCLTCVSQQFYLISGLCRQCDSPCLTCLTQTQCLTCVDNSYYLDQSTCI PCQSPCLTCSNQNLCFSCVSNDYQLINGLCIVQCPLNCLICQKSQQCTTCEDGYYLNASY SCVKCQDPCENCLSQELCITCQSLYYQKNQHCILCQQPCNTCIDEQKCSSCVDGYYLFNQ TCNQCSLNCLKCDQYRCYQCNKQSQLFNDQCIDCTIPMNHTFDICNYQNCHDGVWTHGEE CDNGNSYGCINCTIQKGYPSQCSRCIQNCIQCEANRFCQVCKDGYFLNANHQCQECNIEC KTCFYQPNNCSSCKIINKDFQSCQLCEINHGYYTDFENNQCYSKCGDNIKTTQEQCDDGN NFNGDGCSSNCQIEDGFYCIDGHCDTLIQGEIQVSQQQFNFDAPSKQFIIQFKNYALNSD SEIVPIIQFLNCQINQSLIVVKQNITEEMYFQHRVIEINIEFENNCIKDKMEVNIVQRYK NNSKKNIVLSFLTFQIFDILFIEQWKQLFNEIMISFNQTLFYIFGFVCLATFITGTIEVV YNAIDLIQMFSYLKYINVNLPSNLQNYFDLFKFAQLQYFSNFTKQVALTFLTQKELEQYN FLPDKIKRDGYYSYCLLNYPFLFLLFVFAILLYPMAKLLLSQLQRFQVRIKEDDDDSILL KLKLIQLHIKLYIQQSCQYVINKLYFSGFISTHMILTYDILFVSLLNLFDLKLLFTTNNP FIAINVYIAILLTTIHCLLLFIYYSMLAKQTYFLEQKDYVRKCGSLFEGLKISTTSCTHF YKLFTLIKKSLFMLLITFCSSFPYCQSLSISFLSFIQVLYLFNYKPIISLIEYRKQLISE SCVLILSLLITLIILMHDTHQDMFFYQDIIGWCSIMIITLLLLFQIILDIRQQFLLCQKQ FILFHNILKSIQTKIQKAFENFSATQSNANSNVVLFY >CAK84670 pep:novel supercontig:GCA_000165425.1:CT868518:249932:250297:-1 gene:GSPATT00018866001 transcript:CAK84670 MIRKIVTSLKQFRRTYEDQKLGRQLVGTDPHGNLYYQYYDQNGKPTRRMSERTDKMAPFV DPLWEEWIRHIRDKPYTEEERMELEKQQRAYKTKVNEYEQKDAEMMQQFKKSNKTWNANK K >CAK84671 pep:novel supercontig:GCA_000165425.1:CT868518:250320:250568:-1 gene:GSPATT00018867001 transcript:CAK84671 MHFGKPRGGSSGSTWEKLKKSIYPTNKAEREKRKKQFLDVAIFVASIVAVSVFEKRIQTL LKVDKSELTQFSNMQQSMHAAY >CAK84672 pep:novel supercontig:GCA_000165425.1:CT868518:250608:251688:1 gene:GSPATT00018868001 transcript:CAK84672 MISKCYINCEIILDFNSLLFSILKKQNPDLNLSLNDVQENPKKYLETQELLLQNLSILKP QIGVIETLQFLESQHIQPIIYSEFAQLLQQHFPYLNWQEFTDSDQNAIFIDPRIDFCEQL LKKNLNVVLFKNHKLKDKDYWNQLLQNKPILFAESWETFPWQSFKLVTQPIFSADQYKVN ESENASTKYWNTFIKLNPIEFTSKIIHGRNRGGTMLGIPTANLQINEEIQQLTKNLLPGV YAGITYLENKQYGGVLSIGYNPYFLDTPQTIEVHLYGEFQEDFYGANLRLIITHFLRPES DFRTFDHLIKAISNDKIIARKLVC >CAK84673 pep:novel supercontig:GCA_000165425.1:CT868518:251742:253339:1 gene:GSPATT00018869001 transcript:CAK84673 MYKGPNNLKTYKVSDCEPLLKDFFNSEDCSNLSIRQCGIAIRHNLQQLISIISKLLSVRN IVAVKQRLYWLNKITSIDITECLILGIKSSSQKIELLKFILNNLKDRDFQQVAAYYHDDI DYTTYIDYATLLKDGNILLQIQRNEQQNKFFEKLQQQLQKFINKDIQLDESLLIELLHLL QINMDTAQAIISKYVWMNIQDYDKLDYFVNSAIKLQQLQYVSVIQNQVESQLKDKQLIYS IAKEIQSKDTIHQFVQKFMISKLNQSQLFQQYQLQMAVSKYIMTNQKPNAYFQTLDFIAS IQYFNEILVVSNYFWNKGEVQQNIVSPLKDITLPITKQIEQQFKSIPVQTHKIFQLFQIV LQVQYKNTILFVTLQELALLQQFQKVNQAKINNIEWAQRLITIGVFKKINSNELQICEEN FNLLQNFEFAQKPEVKKQEILIEEYEQNKKLIIEAGIMKIMKQEKKMQQQTLYGKLAQLI KRSVILTEIELIESIKGLVKRDLLCYENDVVFYSI >CAK84674 pep:novel supercontig:GCA_000165425.1:CT868518:253506:255967:1 gene:GSPATT00018870001 transcript:CAK84674 MFRATEIHLYKLYVEREQAFHLLTKVGQMKNVNLINCSSSAFHEHDYYKQLKRCDDIYNK IGEIKHLLHLYNKQIHYCPNYEVFISNIKITDDQAIKIEQELTHKVQFILNQQANLQSIM EQRNKLGEEIAVLQHCKDFIYKFSGIQLGYIVGCLNTIDSHKFNRIVFRISKENGIVKFK NLNNQRTLFTLVFALGKHENLKNKLLKICEAFNVSIIQVPEESKVENKILELENDIANLD IVISTTKQEIDQQLDFFSDIQVEKVLNLDEIYDYGYCSYICELNIILDIISATYYHLTFF EAKSQFLIGQIWCEQSDIEEIKSFGVQVEIMQDINENIYEPPSLMKTNDFTYIFQELVNT YGIPRFDEINPGLFTVITFPFLFGMMFGDIGHGVVLTLFGFYLLIFGQRVLKRIKLENSS DYLAYADFQSLYQCRYLLTLMGLFATYCGFIYNDFFSISLEYKLEKFQLGFDGKWSMSES HLTVMNSFKMKTAIIVGVTQMVFGILLKGWNCLYQRKFIDFIFNFLPELAFMLSTFGYMS FLIILKWLTNYNNNQEPPSIITTLLNMVFTLGGIKGTEMYPHQVYYQSILIRVAICSPII MLLKPEVLRIKRMFFNQRNQQIVYNELIEQEHGQIEQMKEEKHQLFGKLVESRAIKEEKH FDYSEVYIESLIECIEFVLGAVSNTASYLRLWALSLAHSQLSEVFFKMSLEPQLQTGSIV GICLTFTIYALATFGVLMCMDTLECFLHSLRLHWVEFQSKFYKGDGHSFQRFNYLQFLDQ KFQFSTRM >CAK84675 pep:novel supercontig:GCA_000165425.1:CT868518:256358:257602:1 gene:GSPATT00018871001 transcript:CAK84675 MNDLQKKQENILKIWDILKNHNYYLLKSNLPSIIQFFLSLHSNNSQNKFQTGLKLAKSCD LLNAIKIFDEILKIDERYTDCYYQKGLALMNLDKKNLDYLQKSVYCFQKAIEIDQFHFDA YKNQGICLFQLEQYDQALKCFDCAINYGLYDKECLLYKAKVLQINDRNLEACKIFDKIIE EDSRDVESYFYKGVLIILIQVEVYPYITQKSINRHNNILIKLLTLIQRQFQFQTLGDLLL DLEKEDEARNYFDKVIEIDPKNLYAYLGKILTFKNFQNKMKTLNEAIEAGNKSNKLLLIK GIMILALGDLLQNERNYDQAIEQYNELIGSKLSTQESWMLYYNKGTLLFIQNEEIQRSL >CAK84676 pep:novel supercontig:GCA_000165425.1:CT868518:258561:259058:1 gene:GSPATT00018872001 transcript:CAK84676 MQGLLKTAAEEMLSKAIRTYTFNDLIVIGRHPYKSLPEMLAQYPNNGVGFKVWRKTWPEN KFIIITEAHFKGLRNGKFFGIQYYNGRPLTPQPIKIRNCSKRGTWKYDTNNTSGVSTNGV YFSADDLKEYSKLHQNREQKE >CAK84677 pep:novel supercontig:GCA_000165425.1:CT868518:259097:260605:-1 gene:GSPATT00018873001 transcript:CAK84677 MEIHEQPIINLLSFLHLQNPIGDYFLSANVPDTIFYENSIPKQWFFSKKAQVRMKSRIKL DDNYISQYFLELNQEIVAIWRTKSELQIFNKESLSKFFQHEGQKDGILQRFVYPKGNYNF TILIQWCQNQSLFEKFESKFMLSDKTVDIYQRALTHERDGTLAQQINSKSLTERLEHFSG AIATHGNDLMKWKSKIIRMTLEIKIDNSGQIWLTNCVLMKWSTQEQVQMKSLSLPQFIDQ EKLVLKQHKNIMKDCHCEMCDTLFHRNDLLKTQIKNIIESWKFDHMGDNDKLFVTKKQEV LLRKKTMAEKLDVRQDIELRQVNQNYLIPTFIRKIFPKLKYSQYKELLGNPGFLEMEFNV CYNCHVQIDKGVNVSQDLSKLAKQEMLCPATYRGLQKLRPEMLKERLNNTRREILRKIAN HGHRSERIPIISTKHFNSTNTSRIVGISPIKSMITTIMPKYSVITLDTKGNKNATTRTHS RRASDLK >CAK84678 pep:novel supercontig:GCA_000165425.1:CT868518:261161:261361:-1 gene:GSPATT00018874001 transcript:CAK84678 MEAHFNINNSYFPKLLLDGNIEIVQYLDQESFKKLRKPKAKYSRISQLPNNLQKLKSDSL VHSLLL >CAK84679 pep:novel supercontig:GCA_000165425.1:CT868518:261581:262999:1 gene:GSPATT00018875001 transcript:CAK84679 MSYSSQQSIKLDDYRDIAVDISQSLIAVRSIIREILRRSSVILIEKHLEQSIPLIMPKWT LQFSEDVVSLGIHEPDEMMTEKINQGLVLETEPEIRIQDCWRSYIANIDLRFHQTTHTSH SVTKSHFSFNPGYQRSSVTIKRASQILKTQFEPFEPEFVNMQQKVEDDPFDQGLRQQLER DQQLRQQKWQAEQQRIAEKKEQLRQIRLLASSFTGDKKYTFDYEGKFMPQNIPQVEQLAP IRPRVSSMVQFSEKNKVIEFGKSFAHVKIEGDKPFLGSQMNFQQKQQQNVFDQIEVAKGV TLTEGQNQKQGPSFSNNQNLQLWEEGLKLTKSEYQQFVQGIQGGVKISKIIQDTSSINDI EPSRVNLNTSIVNSTTVKEGSIRIDGNISVEELLITEEPTPIKIDVQQPLPKLLLKQKDL SQLLTITTEALKQGKPKLPKAMSSQHSLPQLTKNPRDRTISQLQKFKMIIKQ >CAK84680 pep:novel supercontig:GCA_000165425.1:CT868518:263016:272060:-1 gene:GSPATT00018876001 transcript:CAK84680 MKSFNSFLKLLHIQWEQICSDDISDSVRDKLKSSLQNQTILESRFISLSKTKSENPQEYE SFFAQERDSPFFSTYLLICTVIKEYYSQTDNMLSELSPSLLSSSSTQQQITIESIIDCMD NLLYEYEKLTEINLIVKSVIFEVFYQVGGNVTIFVKNLMLNNDQQHLPQKYVKAFINLQK LLKLNISLNFKEKQKILPFIYQMLLGNALYFAYLDEIEYQNFMDIFSLFQQCFLENYKQL QELSDIEQFQEVPFCQVYSQCLKQKYQQQQYSQFLQSNVTRWIDQIKEFNDINYYLIFVY LIQATEFVDIINFDIEIIKIRNLQIINLVKQKNNRVVGHLFNQILQNPQLQSHMQYFDEI FQHQNHETKLIYFKLIGEQLLQINNTQIIDQYLCVHLNGLKEFINVNNLSTQQLIQFITY LCRYYNQFNQNQKREFDSLIIQFYSQEIKDEQYYKKFDQKILQLLEDEQIYQQIIDIAIK NSSRSKQHSYGFLVFIQDSISINSESLPHILKFIHFLMGSCKINKKKQNKRNINYKKGKG TRILDFLLSNMRIFITIIKNVYDLMIKESNFNSVEKQHQLYTEIYHKITYIIYLIYWLIE DKSILNSGGIQQISLELCQIHYKFTINLIVILQKTNNLSSNTLYQIINNINSIAHGYDYI NYIKESYFDKNNNLINPQDVFEQLNEKRVQMKLIFNKFYETSLSILFEVPNITIDRTFYL QILRFQLKTQISLVLSFTDFCPKFIFYFRIERDPEVISLMKQCAIIVVSLNQDPQIIKQL FETLSYHQSTILMNDLDEMQLDVNDKDDIQQAYRLANLSPYRIPLLLQSSLLLRLVDDKN YYIKVVKIILEIFLQVYQQWQEILQSLQKQSNLLYFQGGSSSIQVNLNLVIPIQLIEIRM KLRLIVQENETPSMQYLDRMSFGSFLVNSEYVGKLIIFTLIFAKNQEIQISINKQKFIIT YKQDEYDQEEGISIKFDLSQWFNLNFYIKNNNNNPQMILEINETKYTLQFKLPQNQILQL NQFVLGVTLNFDIEQSQQMNKKQKFQTQFLDSFIFLNQSFDGQISEFNFIINDQKIKFEQ SSNTNLQKQTKNFINSGVIIEEEIEFDDIFLITPCRQIQPIMCSQNVYILDMKKGLDFIQ KSGGYNVFYMALDVLYAVYENTNLSDSEEILILILKLFEEDIQRQASQVSRFVDSRNLKI FEEHCKQWIQINQQVSDQFIKQLLSIFSKIGDEKTSIQYLITVIKILDNKITTVEQMKLI FKSIVIQTLLKPENLNQLISVQLYFESRQIFTQVSLTKAKPFINLESNNLFSHSLTKCIQ YQGVVRTIDFPRLSEFFNLLLSQEQFNLFTLDILQIIEVLIVDLMKSKSKLKSEEDNLLN SYKKNIVQILDKQNKFHTINQYFMYESEVFDKLYELTFSIYVSIVQKQRVNSKKDTELGS LQIYYDYFSPQTQTEVGYFFISKILSLISQNEKDENLIHQLLKFLNQLYANQQIKDAMKE TLTSYFLDIFKSVVLRKQQSEVLIMNLMQFSDFALLMKHLMPHSINKKQNSSELFTYFII YLIEVYPKCTSEILYKVHIESNLFNKDSFNSFLQAIYPIIIQFYAMKQVQQFQGQEDERK LISIITAYLHIEQCFGEISDQEFVNWNLYSQIMDKGIDLFNYLDVLFYDRNFYMPENDDN FNWDTVKQEFEKQRKQSNRVLPNGGIKRLMRQLIAPYVKVPQMKKPIKNYLSINKKNCLD GKIDQQSCLLVKKLIDKEFSAPLLQTKKIQLKNYNQLFQDAGFQRVKQKETIVRKSRTRK HSNFYQSLIYYALSQDSSFDETQIKNLQEIFGKYQLTKLYEWKNVNQNYVECLIYQQEAS QDQILMALNQLNKIRTENKQNNLKSLQNTFDLSQIDQIREDTAFAIQQSIFMDFQIYYQF VHKIWLLFPKIADFTEMPKTQSNLSAHHHSSFSEMSIPIFRDSAKKYWDKECLDLKQRQG LWFFVDDYLQVLYQELNNFISHQEFKFEVLENMQMKMQVCHLEDITRRRPHLTQIKMKNF YKPYLKTNNQARKKLITLEESSIDKSKSNINTSFTILLQKSDNIEESLSQSLIKRAKRRS SIRSEQLITNQASAIISSFRKGSSDSNQLVTAKYFCEYITQEAAYQGVLRFNDKNQSLIF KSEKIDIKELFTSPEVKQTTEEIFLEISLSQILSVHPKRFLLNEIAIEIFLHRQNFFFNL YRYEKQQELVSELKRKKVQVVDPIEEFRKRNYQQNWVGGQLSNFQYLMLVNTFSGRTYND LSQYFIFPWVISNYKSQQIDFAWRKQGNQLRNLKLPMGAISKKSEDILKDYKERDLDCID ENFMYGSHYSNAAIVFNYLIRLEPFNELHFSLQKNKFDVADRLFSKIDILWENSVKQDNK ELIPEFYYMPEFLRNINFFDFGTLQSQSRVTDVELPKWVKTQTAEEFVYRMRQALESDAV SSQLNHWIDLIFGINNNGQNAVENVNVFHYLTYEQNLQLILNKNEDHGTIQSYLTQVYYF GQTPKQLFQKAHQKKKYSLSLYTNQIPYFGENPNKANKGFIYQSKLDLDKSSILGFYPYS KKCFYILKADNDKYNHLTLLETKQQEEEGYYYYHCQPKQLAKLPEIKVDGCNPQNMFTFI YEQEHYFGDGFDSQFYSIYEEKQNYNLYFCLVGFLDKSVKIYDLKNKMTELRIDVSISNA KRQKRASCVRYHAVTKILAVGSLDGLLQLFQLQFGQQIQAKGVLSKQLRNGIVSIDLTDL NILTVDQKNIASLFTLEGDLLQTIQLDNSDKISQCCIQLHFLVFKSSNSQISLYTINGKQ YLQTIQLMDKVSKNILFTTPFSSKFLLYSSNQQGDEIGSRSDPFIYYYDIFDLKLQQQQL GIYMSRRGAKRGSGVQALHISFDRCLITEQNEIKHIYLIAIDNQILFYLDEQYDQRLVLR QKLKEGGLSII >CAK84681 pep:novel supercontig:GCA_000165425.1:CT868518:272078:272650:-1 gene:GSPATT00018877001 transcript:CAK84681 MYLIFALILNQIVAHEGCQHEHHNHKEEYTINNLIYAVAILQPDNGSGVSGIVKMISDGQ STTIQAKITGLSDGLHGFHIHEFGNLIKGCITAGPHYNPHGKLHGGPKDQERHVGDLGNV HSENGVAHFKINDDFVKLSGEFSVIGRSMVVHANEDDLGKSDHPDSKSTGNAGARLACGV IGISGPFEFD >CAK84682 pep:novel supercontig:GCA_000165425.1:CT868518:274045:275935:-1 gene:GSPATT00018878001 transcript:CAK84682 MKNKRSLIQEQQPDHDTIRRQNEIILQPAIQVYKMYTQLHTAAQAEQVADSLSTIEFMQK QCDKNMKVREFAMYAAQFFKYEHYNFGQPIMNQGEYGDRFYILLHGEVGVYIKRSAEDVE QELDFITQQGSETNIGGGQLKKKKTIQLPSFNRYDLALRMLNENPMYHNSGIPLFKKVWQ YYSGQCFGDQALILDQPRSASVIVVSEEAHLISMNKHDYKLVYEKQIQEQNVKIEYFMKL FNGASKFTVSKFTQNLRTINFASQTMLWKEGEEPKFYFLIQKGRVELFRHIQDDVTNQST TKKKKKIVLSQLSDNAFVGQEEIIDSLPQRLYSCQVLDNTVAYYMEAAEFNNLKKNFPDI VKLLKDKSNLIQEYMTNRQAKIISILQQHDKDQSSQPQQFQITERKTIQEIFKNPEERPD KNDVRSQKPRVLLQSEIAHQNMIYHLKKLPNDPKTKFLMLDKEMIDQIRDRVSRKIQTNA EKKIRIKTTSLDDNQILINRVQSAASTKSKRLKKFDNIEPVLQITSSEPNLLSPNFATSS TTTIPIVQRAWNPLSKQLKARMRKTMSCMFSRNTVPTRQTESDEIFSQFTQPVRIHTGKS HSRKITSSIASRKKSAQCHSFI >CAK84683 pep:novel supercontig:GCA_000165425.1:CT868518:275970:277623:-1 gene:GSPATT00018879001 transcript:CAK84683 MSIVEYHLFIKTCIICFYLDSCLILSLFRDLNEYLQYIKRQCVQQLTAKYQLISIIWIYD DVSIFINNIKYLQSIMRQFISKNPATKQVLGEFKFLNDQELNHVIQQSKEGYQINKNSSF QIKSEKLLKLADLLNQNQEKYAKLISYEIGKPISQSMAEVKKSQNYCKYYAENINKYLQP QRVKTEAKNSYVQYSPIGTIYSISPFNFPFWLCFKPIIPMLAVGNAVIHRPSDSTGLCGL ALQELFTSAGFDGNIFQNAFTTAQQLDQVMAHPQIQGVSFTGSTAAGSIIGATAGKYLKR SVLELGGSDPFCILQNANVELAVQLALKSRVANCGQVCFSAKRFIVHFQYYDIFKEKLVN ALEKLKIGDPLLETTELGPMAREDLITNILNQIKKGVDGGAKIVYGGKRLKENENFMLPT VVEVDEQNVLAKEETFGPLFSLIKANSNDEILRIANNTSYGLGAVVVSNDKKEIEQFVNH LEAGMVFVNEIVKSDQRLPSGGIKGSGYGRECGEWGVQNFSNIKTVWIE >CAK84684 pep:novel supercontig:GCA_000165425.1:CT868518:278098:278965:1 gene:GSPATT00018880001 transcript:CAK84684 MSIYFDGSNVGIQIAPIEYQSNYTVSNMYDYLAQYQLDRQQYSLTLDGQTMLNPQDSSYI SQFFQPNAALYVVQAQPTFNVYFEGQQILTNQLPNMAILDLLTYLQQQGVNLGQNVQVDF YDQSSTPLFMNADINEGLYNYTNGNQIVIINITPLQQQEIPKQMGSNTKVDSFKQQNIGA NELLQSLILLKNVSLLIDPNGQFVVYKFHFPTLIQGYLQRSQGKDAANITHFSVVHADWI EKNNIKYCSFDDYGFAITWEDGNVSSVKIKQQ >CAK84685 pep:novel supercontig:GCA_000165425.1:CT868518:279575:282320:-1 gene:GSPATT00018881001 transcript:CAK84685 MLTQGFEVYEQTSESNPNIIYRPINQGDGLIYDNISTKGKDYLDKHIKLYNYFIVKLKER QNQEQTSQSQQQKAGQEQGKDEGQLSDMDDFIKQQFNVDDIVNSLINQIQNNPYPQQTEI ILRQQINKQIFQFEQIDDNSVTFCLLYDNQEISEINSQIATFKDIFPKESKRKINIVILI NGKENCKNFDPDLVSKINVKENECNICYTYEYNKCIFLVINEEQSTIRLLNWIYRGIFKH FQSQYFYVGYLSIQIGKEKFIYMDEMIMSPKYCGATGYYNLIHVQNENKILTLNYSFVGY MNYLNYQFQIDSLAELKRFHDPFFAYYKWNELYNDVDSYIKELESENQKGNLNLHLNSVL PFNMFKKSEKKYFFSAASNLGEKKIIQDNQAQMFLDLSNLMYNITQCNDFALNKHSLMYA ILLDLRKFESKIKLAQILFLYTFSPYQLYFYSNSSRSYLKIIIAIVCPFFLFILLLFFVL LVQQYQIGVTIVKKKVRDLILLFTKNELQILFKSKIKKLDKNQMNSKKEINFEIEQKNYK FENLYKELPTQEFEEWMRDAQSSHVQHSSTDNNINEGLMNDGNDEQNSKQVRMYKLSIQS ISEKKVDNFYEKLFKYTFFAEILCSAAVIGNLIYTYGRNLVYDVQDEQHFVTIFGILIFI AFFIIRNFGIKTDQCWVSLLVPIFIFLNLLITTVYSEMYHLLRIQIKPKEYLEQVQKLSQ YLCLNIFFLIIFYIVETSMNFQGYIFSIIIFYNLTLYLIDSLIVLGAILNGKYNKSIQSE NTKKEGHVKKNDDEKQEDENKKEEEKKEDREKKIDLRFQILEEDYKSIVDRYIKDLRMEQ QKQNENTRAQIINHKELIVVGEAKFSHDPANLNNSSEIFEK >CAK84686 pep:novel supercontig:GCA_000165425.1:CT868518:283207:283965:-1 gene:GSPATT00018882001 transcript:CAK84686 MLTLTQMDEIIKLTQQHMVNCQVNHDFKEADEVKSKILQMKTIRDLIEREEIQDQFKLGE ERILSQTKQQIEEVNQYFNQLFEKFNYQKSQALQQLWHQQKVQLQKSIFNKRQQNAEYQN LQKIITYLSNQKEFKKAELYQVYLKEASQDHMRRTQSEQRQTQETQQRVLKQKHAHQEEV LINKFNDQEQLIKLEMSKKLQEIEQKRINQIFQLQFERNQKTSQLERGRTKSIKVQIQQQ LDEMEKCSFLFK >CAK84687 pep:novel supercontig:GCA_000165425.1:CT868518:283979:285034:-1 gene:GSPATT00018883001 transcript:CAK84687 MSEKQLDSQYKLQEQETQSGNNIELSSPQESSKKKGRARTASERTFSAAETLYGRSRNTG SMILLRTADQFNKKVIHDVINHSPSKQQYSFAKATRFSKPRSSYCQQQFYDSQIRTALGQ RTCSFGFGIKFDFAKLTDKYIPPPTAYTIKSKPKPVTKFGYGRAETKAVGIYGNPNKNPA PNTYNLKDTFSNIKFSFGERTKAKHIYLESTTPSPCAYNVGGISKIGNYFNSKYSSNRAP LFSPTSNKSFRIIKTPGPGTYDPPGDIADFRLKSSSGFSFGASERKTIQIRNKVFPGPGT YQLPSEFGDVEYPD >CAK84688 pep:novel supercontig:GCA_000165425.1:CT868518:285063:285927:-1 gene:GSPATT00018884001 transcript:CAK84688 MALQDKGLVGFILVIIIKQKYRNQEQQIPSRCIKPQNISQKPKKPIIYRIKKSEEPEYGK NALILPIFCIGQNRVRCSLIILNSEQEQFSSDEECFGIIICRFLGIIIQRLVHKESWQIA QKYGSLMINQLIYTLRTKSKQIASDRIKLSFKRILGLQMIKFYFVKNESEGRDLFIRIWI GRNESEEQRSVKFYSKVDVTSILPIFIKPLLNNKDKVIGIVETCLKNKLLIYIEREHLLE VSENFFGIEEPLTSQLQSYAELLAGTLSNIEI >CAK84689 pep:novel supercontig:GCA_000165425.1:CT868518:286343:286669:-1 gene:GSPATT00018885001 transcript:CAK84689 MRSNLTILMKENEQLKRELERKNQKVDSIQGKLNQNQIVVSLLQKIIDKLRKNNKDDEIR GFIKKDDNELSLNNKIKQIQIYSYFQSFNFVIVTFNSFSIDHYSIQFV >CAK84690 pep:novel supercontig:GCA_000165425.1:CT868518:286763:287113:-1 gene:GSPATT00018886001 transcript:CAK84690 MKQTVYLLSKKLNKSYFQLSTLFKIHLKLKSIQAVQTESKRKLQLHHFLFSSVQQVGIEK GQIILSEQVNTIRSGDQFIQKQQIDRGRLKNIKSTQKKQRSKRNQSQNTKCIRKEN >CAK84691 pep:novel supercontig:GCA_000165425.1:CT868518:289213:290519:1 gene:GSPATT00018887001 transcript:CAK84691 MISHSQSIPRQNDPQGSSHKNIGDPHEVRLVDETETKRMRKSNFNRFKKFVFSQPNSDKE ILDKKIISKFISVLKQKAYIYHDCFFNSLQKEILTEKYMTLPNNTFTNNGNKNQIILDFS FYFIWDLLNLFQIIILLFWIIFKIAFNSTNQYLTQVIFILFIVLDIFICLISPYLQKGYM ILNKIDVIRNSIPCTIIINLIYSVSTTILLLQDNNDEILLILYAFQLISSFAKLNKIQNK LSDFTSLNFELLVNIGQLVFLLHLSSCIWHIIGINDENSWIYKNNLDESDKITRYCHAFF YSISLLFNVGSSNIIITTNTELIFSSVLIFLSIWICCLILLQLNQYLKHFYEQQLDIFKE METINAFLNRRKVPFLIKCKVRNYIKYMFQKQKRNDYEIQNILQSLRPDLKEELIL >CAK84692 pep:novel supercontig:GCA_000165425.1:CT868518:290520:292342:1 gene:GSPATT00018888001 transcript:CAK84692 MRSKALSSCKLLQKFSKDSLYQLTKYMEHIHYNPNEIIQQQDQSLYLIDSGEIEVYEYDK PLLTLKQGDYFGEIPFFSDISSKTIFKALEFTRLYKINQSNFLQIISSNKVDHERFIYIK HSLINGNFNVINIKCYSCNSSNHLINSCPLLNYKPDIEKMIKKDNFHQQNRTKFFRDKNV DQRDFNKTFKRIFINFDALKMFRLKYDLIDQDDFYPRSNEIIETEDIESDTESAESYEQY QSDQILSDNRFIDKQDGTIVSIIKQQDQLRDNSKILLEVDDNIEEMFIIRPNNQKTTIST AQFQFEQQQQQQQQQQQQQQQSQQQQQQQQQQANQASYRFSLMPIDTKVRKQSSSQLEQV SSQNIATEGQIPSVKGSKHNSQIAFKGEYSDKLKFGSQNKIASYISLDNKIKKNLSRIKE EDSDTLNLSNRYNSDEQQSSQNMRYFYRQSQNSLPSRNTYLRPSMKRISSKISSINFIPG TRTYNKTNTPFTRSFVNFPTTGIVDLSMYKREDYKSEDFEMMFVYTIYFPLGNYPNIIKR LNYYLKCQLQEFVQSKYTFQYKVKQLSQKHSLLQMNPDLLNVKSQSKTIFE >CAK84693 pep:novel supercontig:GCA_000165425.1:CT868518:292385:292967:-1 gene:GSPATT00018889001 transcript:CAK84693 MQNYYQLPLSPRQFVSHNNCNLNQSPRHYAQVPISIVPQQAQVVDLKQYEQKWMKKIQQL EEELCYSQQKASYLEKEYEDLASEAQGYKEEIRKLKSNLYQCDKLDLMNQMSRTQENNTI STALDSERRLDDKEDNLKDQIIELQKVIIKLQRESSQNKIEAIKWKNKYIEINKLYNELL IERQKP >CAK84694 pep:novel supercontig:GCA_000165425.1:CT868518:293169:294115:1 gene:GSPATT00018890001 transcript:CAK84694 MSDIMIENQDDIVKQNIIAEGHDFENSSEQRLPTLMNQSSIPSPVLISEYNPTNTDLFLN TPVPKGITLECDVKIIKGWFGLHTLYNFYHSKTKQLLLQVRKQQCPGSHKFQLSKSETEL DLVGTLESNFIGTEFILYSKGLSHKNTNDLQTLRQELAFIQYEYQILKTRRNLFKVFIPS LIEGQPYRVVPQDENTGLKIKRRFKNKRNDEYFEFQTQEPVWSSKLQSFILPFNSRVNSA SIHNFLLNQLKEDDRLSKDIAIQFGKCDNKLLNIDIAYPFTPLQAFSIIISQLDNKLLV >CAK84695 pep:novel supercontig:GCA_000165425.1:CT868518:294295:296978:1 gene:GSPATT00018891001 transcript:CAK84695 MENKYKKQVIHSFSDQNKKKGGKKNDQYCFGQLKQINQFILRIRLIKSKFELYKINRKYF TQNIFWQSRIEIFCIQFDFQDQLIAAGIRIFIFRLLRWDSEIIQYNHKEINVQFIRIIRR INLNNQYQMEERLGKQSQSVVINVNSDGSIMFWHAQKGKQLHRLVQKNNSILCMDLRLDG EVFATSGKDCKIRIYEGEKRNQFIHLLQPISKNNQIGHLNQVFALKFLEEFPTILLSCGW DGNLVIWDLRDKRIVGSIQGPHVQVNMALTEQIINYNSGILVKDHQQQISNGIMQILKTL THTLVNLVRQTEIPYWQVVVGGKKQSCLTQMIIMKFEDGFESNKKGYIVLIMETNQTNLH LEVAKEQSNLLIEYIQMIMDPQNLIDNLNEVLLGDYFTYIDTLGKGSFGIVVAAYSSSLD KVVAIKVYPKLIQITQYFEQENESSLLQECPHPNIVKLYKVLIAHNRVYLIMEKLVGTTL DVLMKSQVLPETLTRNIMLQILNALVFLHRKGIIHRDLKPENIFICDNNYVKLIDLGLGY QMLSRGFIGQQVGTPYYIAPEIINQQEQSQLVDIFSLGIIFHQMINNCQHPLWQQGYTKK DYYKVISSEFLIQYPPQMSKMAKDFVENTVTFQSINRMTAQQCLEHPWVLGEQIKSHPIT NREIKLRYQFTKNFNIIVKALWILKIFKEQCSEEIFYMKTQASDEINEVEDELQDPLSSR VQTEKLITTGNGRQYRLHRNTTKVERKPSIKLIKTSSHQDLTQLKYKFQTSKKSFIRQWT PQAQIE >CAK84696 pep:novel supercontig:GCA_000165425.1:CT868518:297133:298179:1 gene:GSPATT00018892001 transcript:CAK84696 MANNFKIPGMLLGNDRNQYNKYTKYIIDTDAGGDDAHAIMMASYILKHIRKDAELLGITC VSGNASLYNVIKNVHITSRYCHFDQVPAIYKGCEFDSMRTFHRDFFFKEDGFGGVQKQYL KELNVDENQQLQENKHAVDFIKESIEKYQEQLCIICIGPLTNIYLTLLQHPQIVNQLGCL FCMCGTYMGVGNQQNGIAEFNIATDVLATKIVLQADFKNKIILPFDTVLSYSLDKDVGSK IFNVQHNKIAKLVHDIYENVLFETCYYLCDELAIVMAMMPETITQTLIRGIHIVEDGFAR GGMIVDWIKKMKKEDISEVSIVTQFNWDQMIELALKTNQE >CAK84697 pep:novel supercontig:GCA_000165425.1:CT868518:298217:300376:-1 gene:GSPATT00018893001 transcript:CAK84697 MNSLKGYIDITKIIASQLQTIKKVNTVAKIQNNEFLVSMIISKKNIVENSSLDYQNLYQL KEDGFHLLQRGSVVQQNLFTSYSNDRERFIKQQQISDESEKPRQVIEIHQQGKLLFSINT QKFHEEILNNEMVGEPFKWNKQNNKVLYLAKGKSKETKTFFQVEKDEEIQQAWNYRKYEQ SWGERMDNVEIFFLFMLDIEQQKLFKVQTPSNLFLTFPQFTENDEIILGGYQIHKEFKYG IVHCFNRESGIYLIEKPKLIELPDKGENLEEQQLQLLSKHEISVKPLISPDFKKLLYLGS DYKHQHLCYLSLNMINLENKFVEELIGIQKENDLRKVRENKVNRDTIIGGICGFYDDLSL NFWNKDSTHFVYSSIVLGQQKIFCIDINTKQIELLSHSVEQEATHNCEIINYDQVNDILY YTDENVNQSPILTAIINVMDKQRRYIRNLEHFNLKISNNFIEEFVDSGEAEGFVWYLQEE DEKFGLPKYFKERPLIVLAHGGPHGTMQSQYTQLRHMLLQQGFILLAPNFSGSCSYGQNF IEALSGKIGVQDVQEILDMIDQVQKKYKTSKTFIMGGSYGGYLSALMGSKHYDKFNAAVI LNPVVNLPFMINITDIPEWGSSCALNRKHTWNLSVEDYKTLIERSPMLQPLRVPSLLLIG SKDRRCPYQQSLAMRAQALEVGTEIQTYVYPNADHALADSINTGYDTFLKILMFLNEKL >CAK84698 pep:novel supercontig:GCA_000165425.1:CT868518:303335:305284:1 gene:GSPATT00018894001 transcript:CAK84698 MINLKQTSQNLKLIEQSENVQQYQKYNLLDKKVYAKHNEYQDRNWKLIKEAEEQILNCKL QYLKENEMRKSQNIEILNHLKENLIQIQQSMVQKIEEIITFINKQLNHLSNIKIEDNFQY KDGSNQNLDYIERSYSQFKYISFNFNEIETHIQSQLKALDLSEFLQYHEEMLSCIRCENQ GNCQEFMPFGLDRFHQNTNWFCDLHNKQLMFVDLKQVSVVPNRIVCSNCVPKYIVQFTDF DQFKILWNQLVSYISNFSNLQDRNFSNSVQSALQLITQWKDSFNNVIDESIISLKSLSLN RSKMLELLLQLIKKEWLSYSKDEIIQIADILSQQNKEKEFKEQIQQEFKAKLQSIQDILN ELFMNLQQKFSDFKPNQCSLPIKEKNEIPSSKSDTDKHKIANNVSESFKYELLKNCSFKD DKIYAITFSQDSSFLIAGYSHGIIKVFQFRNGQMKQTSILKNHQGIISCLHFLSSCQQFV SGATDSTIIIWQINEKKEWICSQILQRHTGCIRSIISNNCEDLIISCGDDSTIQFWNKDL QWNWQQKLIGHKNKVESISLNEESTKLISCAYMDFTILVSXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLYSSLSKTR >CAK84699 pep:novel supercontig:GCA_000165425.1:CT868518:308538:308762:-1 gene:GSPATT00018895001 transcript:CAK84699 MAALVRISTSKYVSQQLSLTKDNKCQAQSKLIGFLFQVKQPCVLSFVCRKVKPQIFNYFR IFQERQYPILIKIH >CAK84700 pep:novel supercontig:GCA_000165425.1:CT868518:309954:311414:-1 gene:GSPATT00018896001 transcript:CAK84700 MKIFNFLKQMDVFGAPLFQRVDQNAQIYKSIFGGVVSLLIFSSSLAYAIWEFYKWNTYQL NPKVSTFLYASDFSLLDQNYGIIKINYWKDHNDKIDPFESKILIPLISYTENYVVVETEV LKFSNETSYNGNQFLIPQMKLGFSQINGSLMTTSEMYIYFVKCSEELLNENEKCAPQQII DDFFKQPLNTIVMQIHYNQLNSKNSSIEQSVQEFLIQIEQENCYTLNTYLQTTNYEVRDQ FLFGEPHHYEFVNGVQIQSQTNSVPYCRQAFGYETYSVIYIEMRGNQYKTILEYPNIADI LANIGSIVSVLFMVRILITQLNSYFLHQQVINNLISFYYPQFQNIKILKNWKQQIIRVQL KNEHIDPKEFQHFYRHIKSQMELKMTLINILYEISRLYFLIRSIKVREEIDRCHSIGISL SSIYQQTQEIESTPKSTSRKTEQVLLNNLDVNILSLNQRKHERLESIENEIPIEQSDYYS LNTIYS >CAK84701 pep:novel supercontig:GCA_000165425.1:CT868518:313301:314204:-1 gene:GSPATT00018897001 transcript:CAK84701 MKNINRFIILRGDNCAYWEEIFNLKKIFVKTLNFKLCQRQQKQQRNQQEQNEKCQIEQFV RNILLKKSEDYLIIFSFKDIYQEQTLQIQQIPRVAXXXXXXXXXXLQKNFMKKRNNFLPF FFFSQIHKINPLNSEPVCWKPWTYYKTYKNLVAMSSPKYVDYKQLISTIGIFGAISQEVI DRECQRVGVCPTFNRSVSEISHHYQERDVIFILDNSKVHRSKIVQKKFQLIPLKFLPKYT PQFNPSEKICDWLKSSLKKMPHSQVLMNQERDKLLENHFQFFPYIRQSLYVWEFF >CAK84702 pep:novel supercontig:GCA_000165425.1:CT868518:314433:315155:-1 gene:GSPATT00018898001 transcript:CAK84702 MTYQQQLKNYYYLIIFYFKFSFIQSTYFFDLIQHCLNHYFIFNAYQILFSQPKFNPSTKN HQEQMYFSQPFLYPNCQYFYLCTISIQSLSFFFLVIRITNYFLLQVNVLIQLLRNHYQLN SVHILIISNNNFLSPSNYPSFQYICMGLQIINIDYQYQKLSRFRNKFQLNFFQRVVLALS QKQCIPLLKLFTHYNQELNNIEQLNQTEQFFCMAQLKQMRWITFKTKNIQIQINNIIQQI >CAK84703 pep:novel supercontig:GCA_000165425.1:CT868518:315897:316949:1 gene:GSPATT00018899001 transcript:CAK84703 MKQRIKIVFQEEFNLEKLIGISSIKQKINNRPKQIVSDDEILDEYDQSDGDEEVHFWELD FVINSSNSKYIAFDISKENDYSERSYFHVILDTQTKKIKYQRKFYDNSYIQFTHDSKYLI IQDDSKNALVDLETFKENLIDNKGDSIISIDSENNIYKLHSKIEDSCNLVVYSISDNSQK NVNIKQEIIIEDIVGFEKIFLSYAFVETQKTIYLLFLQNNKILQRCLQNHSYLDSKNLVL KNSIIVKQNKKQNDIYVKRLNNSKLIRKFKNADDAIIFKTTQSIYQYNFCQEKLKGVDKI ERTNLVTGISIKPDLDLGKYDRQFHQQVFITQNYFLDLSRAKLRYFRISD >CAK84704 pep:novel supercontig:GCA_000165425.1:CT868518:317469:318693:1 gene:GSPATT00018900001 transcript:CAK84704 MQSNDIKLKCSVSNHDALVQLVCFEPDCKAFRFFCIQCQKDGIHQNHVQMCFEIPKLFEY AQKVGKECDDLLEIVNKQWKSIKYQFQTLIEGIKKKYIISNTFLIQLNYSQLNQTLEDLI NFQSQNSFIKNTIQNTLFDFIEKIEKLEQEIKLHEKPLSFHISKQEIEKSQELFYKGYEL YQDNDKYDEAIIILDQAINLNPNNYQAIWCKAASLRMLGQYKKAIIWADKALLLDPKHNF SLYCKAACLRMLDQYEEAIIWANKALAIDSQDCFALSCKGSSLRLLKRFNEAIKVINASL TINPNHFDSLKEKGACLHDEHQYQQAMLFYEQALRIFPGDQWTKDRLDKCLQALKPKQK >CAK84705 pep:novel supercontig:GCA_000165425.1:CT868518:320503:320832:1 gene:GSPATT00018901001 transcript:CAK84705 MTNSDINNFFDTTISNGNNFMTGYKFSTFPTQFIQGCGMVWNNAIYQKPWSISKPHYVVT FRSNVTYGDGYGGVLITKFKVQNPLPIQIHYQVVIEIIINQNTTQPYIS >CAK84706 pep:novel supercontig:GCA_000165425.1:CT868518:321035:321292:1 gene:GSPATT00018902001 transcript:CAK84706 MQLMNLKLQGEIMALTYKVCFQPKYIQHLQQYYLEDLKSFFCPPYILCWMNNFYFLNDNH PEQNFIQNMFELFKNQLSQPWSQIF >CAK84707 pep:novel supercontig:GCA_000165425.1:CT868518:321667:322756:-1 gene:GSPATT00018903001 transcript:CAK84707 MDKINKIMEYQLRNIGSRIEQIIDENQELLKYKQFRIELIDDSKNNYLIVQQQSLIKLDQ QWFQMSNPLLGYGNLTKKKQNYCKLKMYIRVIQIAQSIVNNLIVLFLDQMIKLLFVGNIS IMMNGKSSQPFEEHNDSVLCLILNKSEDQLISGGYYKLLTFWEVDLNNNELKFRYSIDKY ENQVRQLNFNQSESLFASCAFGEIILWQEGANNKWEPLQIIKSSIYRLKLQFINDSKFLW VSYGKNQDKIQVYSQQYGKFEEQLDSLIQLDKNIEYNDYSQFPIIYNQELEIIIVRHKHK IYIIKYQKQDKFRIIYRHDFGINDMCGALTKNGEYLVLFDQIQQQLQIFRLFNK >CAK84708 pep:novel supercontig:GCA_000165425.1:CT868518:324117:325408:1 gene:GSPATT00018904001 transcript:CAK84708 MFLDLVFNFCCSKRQKDDKDTKSQNSNKSQEEQIIEQKRMELDLGKGGSNQIQKSQPQSS IVSPTDNYFQLNDEKQQKKSSFEEDNIDENQFNFEGNEEPKLNTNNVKDHLGKRSKSQEK QEKGLKKKISKNKEKAEGDKRVVKIWQPEEDQRLRKLYQEYQGNWSKIIQFMPDRNISQC SQRWRRINPIQSKQKWTQDEDTKLVSMVAVEGKNWTKLAKNFPGRTGKQIRERYLNKLDP TLNFVAWTEQEDQEIVKYYNQYGAKWSQVASHLKGRSENMVKNRFYSHIQKYLLGRQNKY QIIYKQGKNQKQQNGSSQMIEVGQNMDVEYSDTSNSDMKLVHSENYSSSIGSSTFTFSYY DNDEFSGNGEDLDYDNQFDHEFAEKARLF >CAK84709 pep:novel supercontig:GCA_000165425.1:CT868518:325437:327109:1 gene:GSPATT00018905001 transcript:CAK84709 MIQLRPQISLKIDKISKDGICIRIFGWFLFYVILQIMMINNRKKYLSYDIRLSKFEGDAN QSENKKLNYTNTTGKYTFPIQNISEVELNLNVTINKNDYYDLEHVMVKEEEILNDMKYQL IVHSEDQTLVLNETHTTSLKCKSKSQQIQCTGYLESIINNGYKDLQFQLLIFHHNALAIH SQNLTLLYENNQYFTMILIFQVLFLFLILYGIYYFFSNLSDYPRQIWPKIHQWLLILLIG MILYNFPILLIRNHYGQFVHYYSNLVELFIQSGLFYFWLSIFELEQTQSSEEDGQTTSNQ FTIWNLLKLLLVMLYTVPQAIMDTFIFIMQEELMQYDPRVEIPFYSIYLKFVILSLVGYS VCFVFLLYKSFNRHEKSILITEPPVIENDKQHDPFYEGQQIKEQDGQGEIRNYKLLHILS TICFSALVYYQLVTILEPIVLTSSSTINEWALINIYLCMIVQLYMPYNQPFEDEQQKSMY GDVEMDDLNIKSTRVKHKNLANKKFYTKQKDEQTGQEDDIQI >CAK84710 pep:novel supercontig:GCA_000165425.1:CT868518:327160:327534:-1 gene:GSPATT00018906001 transcript:CAK84710 MRVVSETKYYKVLAKLYDKCASLSAQLDIYEFDSPKTEQCQQSDNSDDDNLIQRAKNKKK QKYIPNTIIKLEEEQQKQQYQYLSKNQKTIIQNNGKSTKIKKKIKKPKIYDFSSSSSQTS SSYN >CAK84711 pep:novel supercontig:GCA_000165425.1:CT868518:327675:328670:-1 gene:GSPATT00018907001 transcript:CAK84711 MNAHSRRKIAHLEVPEFQDNQTMIAYAKCQYCLGECFGCLRTWIPCIFCMCVNYPYQEVE QGTEGLFKRFGRHIKVVRPGLHYVNPCTDTLEQLDLRITVIDLDRQSVMTKDNVTISIDA SVYYRIKTSRFAIYRVENYDQAVRQITYAVLKNTVGSFVLQDLLEKRQEVADQIEDQVDE YVKDWGVLIDNIYMKDIQLSADLQQALGSAATEQRLAQGKLISAKADVESAKLMRQAF >CAK84712 pep:novel supercontig:GCA_000165425.1:CT868518:328687:335728:1 gene:GSPATT00018908001 transcript:CAK84712 MNYNHHQIVEKWLSRFRKCLSLCYTSDQQMITMELHKGNVSLLSVPQNKNIFNTRKYTFL NFLPKTIFTGLVRFGNIYLLVISLIMLIDPTLSPFYIWITIFPIGLSVLIYVLIEFVLDI RRQSHDHKINMQTTSRGAKDGSIETIKWSDIQIGDVLYLIKGDIVPADIILLDTGQVRDR EAICMVDTQYYNGKSSLTKKKSLKNQFPEYKKMLTGKLEYEAPNGDTQSFYARLKLKKDP KNEELTIDNFIPKGTKIKQTSWLFGLVVYVGKNTKTMQSILKKNNAISIPSLWHAYHYFS LLISIIVLLARSDENSFALIIDSYTTNGMKVFQLAILYAQLIPATLYLLFDIVNFISLFK FEINQIEDNLVKYVKINSSNNLCDFGHVDYMLIDKTGTLTTSYNKLDNLQFGQLAFSLNY DQLQLALNQKYTKQDDIEEPQNIVSLNDNNEYLIPFEYDKKTHHTTEGQSNGKLLLRSVK TSNNNPIFSQITAQPQNKRLTFLNMDAQYQQRYQDYSQGRMFLPQPKLRNTPGNNNDEFM QLVNQLKNSSPQHEYLQSKDINTLYYDAFLKCLMLCHEARPVFGADSITYESFSKNEEIS LTFARSCGYQLENFNKFDSPDMYLCKVGGNQIWYQILGLNLFTYNRNLNSVVVQVPMTMD LELSYEHEALNQLCGEGSKNKSLLICKGDYDAIKLKLQLNHKEREELDAYIQHYKVRGIR MIVYATRVLSEKETVNYKEKFNLLHSSLTNQDSLLEELAVEYEKELNLLGMIGFKEELKS DALDFIRTVKECNINIWLLSGDQEAQTISCAQALEMTETSKYLRIAAKDKEQIWLQINTA IGQIQSELQKIQEKQLIKQQEKQQQLQGGRSMIKSSVTLFEGASYQQMLQFVLVVNGQSL SLISESPDLMSHFRFLSCVCKNVIGFNMNPQQKELACIIIKDYFPNKPTILGVGDGYNDA LMMQASHVSIEIINSKLNHIYPQVNAGDIGVNTLKEIKVLLLQKCKLHSERVSSMIIYLF YCAGFLGMTLFFFNWFCQFTATSLHDSMTVFLFIFLYTTPNALVIGLADLQTNPLVNKRI PTLYVDGQIRTRRFGFYYLIEAFLESFLSAAFTFYTCTYMINYTWTDDGHQSDLQMVATS IIYVIITVSTLKVLFRLIKHKVHIVIIVSLFTFGLLVGDFDYLELTYQLFTRFNSIVAIV FSLFGCFFINYFLHDLIKLNLFPTAYQQFAFYNKDGTQYDNLTHQQIVNQCLDQHVNVST IIQNVFTDCSTTSPYISEMLNPGDTKVTEMKLKPLTLEMKELVLEQKFLAHKLSQSLNHL RFFLCVLLLYFIAYCLTDFFINDLRVFTGVYYLIIFGIVFLMLLFTFTSIMEQQYYTYSH LAVLIIFAIKVAIDWLSDDLTITLSATLAILFSTFNTMNMTVIPIMLYNICYLIQLIVRI LVIVVSTDLSTSNGTYTQSRISVYAACTQILLVVSITIRFLFTYYKSIKHRRNDYLAKYS IEQDNMTAQDILSILVPRFVRQQIQTGIYSMQEAQDDVSILFAYICDFDTIMKEEGKNVV LMLDSLFRLYDNLCIQHGVQKIETVGYTYMAATGIKACEQNMTAHVIRTEKTMRLVNMAF DMMQQVQGRKYGKGNQIEMKIGIHVGRVIAGVIGHHKPQFSLIGDPVNQTSRVGSTGDTG AITLSELAFKQARHGIKYYSKKQKEAKGLGIIDTYQVFKTKPPGYQVPKAFLLWQNCTKI VVKDLRSQKSLRQIVPKKGQFLSQLQNSIYVDNMKQSNRLEISPRGPQTVSLLLPQNASQ EVDNKSKLNQPIQPLETALTDESVFISNDQDENEKLDLIKPNLILEIPENEIKNNFNQIL KEQNLEESKVGITFLWFTYFVITLLSIIVRKLFKYDLLIFVLRAIFLILVIVLFPILSKA YRNVIVNLMYYLLLIYAIFTVLFQAYLTDNSEVAIICLLEILYIMIVTCQFKMFTFFQVI VYMFIMLGFFLGFYIASDLVTHFAIFYICCCMLILLLGYYLAMKEQIQMFNNLQINEDKK VKQINLVSQLLPMHSYLKMKNSSIYDKSDFIDEFEDVTLLFADIKGFTEYSHTQTPEGVV TMLRDLFTEFDKLCQKYYVYKMYTIGDCYVVMGFTNSAKRNPIQEAINTVKMGFQMVDII MQVRQKIKFDKLNMRIGIHTGQVTGGIIGTDIVRYDIYGKDVSIANKMESSGEEGRVQVS QTTKQMIERADKHPFKFKFHHVKISNLLQDVDLSKFNMTTKGYLVEWDKIKEEASFEQQ >CAK84713 pep:novel supercontig:GCA_000165425.1:CT868518:335955:336310:-1 gene:GSPATT00018909001 transcript:CAK84713 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK84714 pep:novel supercontig:GCA_000165425.1:CT868518:336399:338511:-1 gene:GSPATT00018910001 transcript:CAK84714 MNSQIDRNSDPPNTNVILVVITNKANKTLPHDKYYKVFSPFGTIQRMLIFERSLTWKTFI EFDNIESAFKARSQMNDKQFCDDTSLLMNVYASKLTYISFQENNVWGVDYTIPRCKQKEQ SPPNEVNQSSQSSKSIQIVPQQNFQLLQSQMLFQHQMSQQMQQINTLMGQLQQVCVEGFA TPLMQSTDLLNQIEKQQSILKDIHEYQQTFQNVTDNYQNFLQGFNQQDEQKSISIESSKN GKSNRKKLTLPNDKKAKNGDQIEFIQSYQESDVTKDIMFHSSDKLIDQVQVQKMYQSDGR SVNKAEELNFNGLGLRDSEDEADDDSNQFEEQLLNAQSDDEDIHNDLFKFVDQNQGFTEK DRQQVFKKLNQDMSFDKVDNQVAAVVEKQTQKNTIEQSSRSVDNIDQLISKGKIIQRSNQ QPTSKSQTIYQQAEKLDQFQSKSQSFKNARKSKVIYARWFDKKVVTSAMLYNIFSIYGNI DKMIFLKERSSCLIQYVSQEHAAIAKESLNDIMFYGQSIKIFFSNYEEISLKTQPTKPGE IVFDIKTQEEYFQGSEETHRIKPDSTYTLAPPCDTVQISNLTKNSCQASVMQQYMQDFGQ IKAIKILTTGNKYLSIIKYTSTEVALTVLANMNGFELDGKPIQINFSKQKL >CAK84715 pep:novel supercontig:GCA_000165425.1:CT868518:338681:340806:1 gene:GSPATT00018911001 transcript:CAK84715 MGQQIDLLDDLSSNIQSSFIKITKQQHKQEEGTFSDYIKYLPLNQFAVDNQDVFGMKYIN DRFVLSQFIFQQRYDEQEYQVKLLNSFSGTEIHQEQYRNAIFEFSMNDNYALLLIKQQSK TNNIQSEQQSALLIKEVFTGTIQDDTLYLNQITNINICKQVAFHPLNSNYLVILQASQDG NRSHTYQKDQFFLVFEMKDLKQPIVRINLNSAMNGNVQAFCLSLPEDNNSFLIYFVNNLG IVYYYELILPDMAFKLQTKMYLQTSKQELIQFVKEKNEKEFTINYKMTTQDDYQMENIRF KSFQNQNYQLPKNTFVLFKKVPIVEYYLFIFVSKQLNNNLTIQVLIGRDLNKLYEIYNDE VQFSKYGNDDLVISQLHKYQQPQIIYSSEYTTQLVQNSFDLLNKHQMLLLYHNSLISIDF TNVVQYQQNQSPINVTCIDLISSWKDQSEQFILQVLCIDLFKSKQLLVRINNKNQRQTFQ FLPQKLLLGLTESSSEINKITLDFYQEQNVYLTNKSVIQQFKKYLEVISNKRLPQCKTEQ DIISINEDLSSALQSSIKEVAIKIKLEAENMNDEIDIKMRGDLTEQQQRNLQLQLQISQK NEKINSLLEKIHSIQPAVLRQQFINQQQQQELDQFELQFKQIQEHIKLNQSQLEGQIQIS NRTKQEIESIQSNLIQQILQKKE >CAK84716 pep:novel supercontig:GCA_000165425.1:CT868518:341214:342784:1 gene:GSPATT00018912001 transcript:CAK84716 MKTRNWESKKQRLENLNRKNELKKQKSVQQISDTNRNFFCNFSTFFQLNMDLKIPCVEYQ AFIFRFLSEQLHIQELFTNQQIFELQQELSKQWIPKIKKNWSEEDKQILIWIVLKICSRD SIPIRKIPNKVWEEVEQLISRRTFEQCKIKWNDLLKLSLQQLPWTQEQDEYLIQLINKEQ GMLNKWCIIANLLNAQFKESPRTGKQCRERWNNHLNPDINRHQWSLEEDIELLELVKKKQ RKWAYISKILKSKRSENAVKNRYNCLLKKNNCQSITSLIDLLKKKYKSENPEAPLLNIKK RKLIHMPQIQQVQNQKMNTEFSIQQFDINDINQLKCLTPAFYDSKNQILFISNKNQLVSF LSNQMIKVESDQNIQHFDQNNQQHEFSNQMSGLLNLVDSSNFAKFVPCLFANQQIPIQQE KKSQFNIPNIPAVRIEQTNSERVPKSKLSFFSSKFIDLKDRVAMEQEENNQQIKNCK >CAK84717 pep:novel supercontig:GCA_000165425.1:CT868518:343036:343781:1 gene:GSPATT00018913001 transcript:CAK84717 MINSVRQSENRKLSQSHKSQNYNFPKRASSQFKIHYLPSISSNSTCCSNSCTSSPPESCS KLDEDNVENILVSKNTSQDPLSCFNYDDFKGVLPVTINGIKILKIDWSYFTATPNMQSPW KAHCYWTVGYTFDINMRKMKRSQNIRYRLIIQSWCCLNNKSWVKTKWDRLLEHETGHYLI GCLCALDFKQKADKFKYTKNYRMECTKLFQDTFYFYLQLEKIY >CAK84718 pep:novel supercontig:GCA_000165425.1:CT868518:344001:344832:1 gene:GSPATT00018914001 transcript:CAK84718 MIINQKDFMFKEIKQELAQKYKQLEIDQIEIMQKLQCYKESAQNKQHVDNNSLLIDDIQN SSLLLLSNTQRDVADKTITDLKRALEQSERDRENKIEQINELKKLNQKLYEELNIAKNNV IKSNKLLNEITFQKEELMNQINMIRKRKSVDTSFYQTSITDITNQDISIQMNRKKSMSQY ETADQKQLQQYKKFAECVKDMILKLQPKLYENQNVSLSDAWKWLKIIINDYVQIANTIKQ LKQILNVEQPYIVLEVQQLINMIVYKQ >CAK84719 pep:novel supercontig:GCA_000165425.1:CT868518:344908:345690:1 gene:GSPATT00018915001 transcript:CAK84719 MDNKKKKNKNKTKKEQQPQQQQPQNQLVPKNQQHHHDHEHHGNDEHHHDHAHCNHGLPSD ASNLDPTSLLNGLNKGEGLLGSLSMIPKLTEMLNQLTNFGGQAFKPDDPLPDFTKFDQTK QTLEVAIEEFQSTIESVQKKDFTNLTKPVVQKQQQQKLSKQQQQQKDKNNQIIKQNIDYL NTLQKDLKKLMQDQSLNGIAPILNQGIENLNQDIQYYQKQQEV >CAK84720 pep:novel supercontig:GCA_000165425.1:CT868518:346667:348027:1 gene:GSPATT00018916001 transcript:CAK84720 MEINCKLPPKITMNPLYYSNGARPVIQYRVNPNDQRRYTADIEAMRKYSKSQNQYKQNDV RYLCDLLISGNQQNSQQKSQGIARANTADKQQLEDSKNQYNSSRDNKKPQQPQLFKVQSQ AKPIFENFSKCQSQKLKNHSFVTQHKQNEKPHSFKQIAQKGPSQISHLYYVADLQNAILN QKLQSSALFREHIQSSFTYIPVIKNLQIDKEQIQEKLLNIPINLNKKCKDFYLRQDNKTI IFDMDETLIHCNEDENDKCQFKIDIQFEDGEIIEAGINIRNFAREIIQKLSDLCEVMIFT ASQDVYANKVINILDPNNKLSYRIFRESCISVGDNNLIKHLGVLNRDLKNVVLIDNSSYS FAHHLENGIPILPYYYDDKDNQLIKLYRYLCQNILPADDVRPVILQHFKLDRLNQYESVE EAVQNLYF >CAK84721 pep:novel supercontig:GCA_000165425.1:CT868518:348067:348908:1 gene:GSPATT00018917001 transcript:CAK84721 MAQCFNLIHISDQCKLKMTINKRVQIILIIFVKNHLMYEVFQISFQLIRNRRKIYDDNDF REVVKNFYNLVSEINKGQYSVQTLIVKKISKAPLRKQPQQLKQKNTSKNKQPPTKELSYS KRDVHFRPDQQAQQYFNSCFSQQIMSQLMPTFDQPSSKQTPFFYDKPKPHLNFKRNQMHI QAAYQIYIKEFGSGLVDSRDPTSFARKVMNSNFNSKTQYQEEEKESDRSEKLNKSYEDGK KKPLKELVREFQSDQ >CAK84722 pep:novel supercontig:GCA_000165425.1:CT868518:349076:350806:1 gene:GSPATT00018918001 transcript:CAK84722 MSLITKFIKIWNIESFLFCQLIVKYKRTKNQMNQYKLVGKKGEGTFSEVIKSQSFKTGNY VAIKCMKNKFTSIEQVNHLREIQALRKLSPHEHIIKLIEVLYDEPTGRLALVFELMEQNL YEHIKGRRQPLNPQKVKSFMYQLLKSIDHMHRNGIFHRDIKPENILLNSDHLKLADFGSC KGIYSKHPYTEYISTRWYRAPECLLTDGYYDQKMDLWGVGCVMFEIIALFPLFPGTNELD QVNKIHNILGTPNPKVFDRFRKQATHMEINFPNKHGTGIERLLQGQTKECIDLIKMLLVY DPEERITAQSALRHEYFRELYEADATQKSFQHTLQNIKISYHREQNDNSLERSQRIDEGK QMHQPNFKKTQNYYAKSQKKSGLPSLQFDLKVESIYKNTQHHDSDDDLDKQSSNKQMMLP EIKKKKKYDPKIIYGKQQYQSSQAYQFNSKAGKKMAHGLQADYIVYGKKAVNQQVLG >CAK84723 pep:novel supercontig:GCA_000165425.1:CT868518:350881:351509:1 gene:GSPATT00018919001 transcript:CAK84723 MKILVITCLMVLTLSNPLEKIQKINVPCIEEIGYLTKDLFVFGLDIARHKFCNQVKDVIG IIVEIVKLKNACSSSSSQDIMEEHNKTLACLQNSLDIAEDAYNAYNAFIQKGFSDELFDQ TTNIQQQLVSTLSRCSSKAKLLEDIFPTHCISVMNNFARDALFIKEQRHQPWKIIDGLAS MGENYQVAKQHCPFMK >CAK84724 pep:novel supercontig:GCA_000165425.1:CT868518:351683:352526:1 gene:GSPATT00018920001 transcript:CAK84724 MLNRTDKSSQFRRTNQSMEGASKKEIISDQKSRKELAVPQEDERKMLGSKESEKRSQLKI GSHQQSRKTLRGQDDEEKGTKVIGEGRLDEYGEEEEDTVCLRFPHLSFCPYNYQSQLKET LFVEIQPGIYLCGFAMGLWTKYLLQKAVTNVLNLTSMEYTKRTKYFRYLNIDVHNTSDED IKKHFRISNRFIRETLLQKGKVLIHCRDGLNIGPCFILAYLINEIKMPLKLGIELLQSFI PLLDIAVHFYKQLEQYDLEKLALLQVKTKDS >CAK84725 pep:novel supercontig:GCA_000165425.1:CT868518:352837:354168:1 gene:GSPATT00018921001 transcript:CAK84725 MSMRIVQSTKKILDMSHSSQTVLNSQRPQMSLMPKEKLVIGLNSKQEKKINKIVIKLEDQ INKSIVDQVHLEQSKLLQEVQHTKQDLLETKISMEITHTHFELKVPKVIVQPQILEIPKI VVPSKIKIEEEDKLMTYNQTNLLKQQLIQIKKCNEPIQLLLQENNKRKKYYSRAQREKED VVHWGQRKLLISEIWFLTKYGCLSRNIIYAGAAPGFHIQFLSDLFQNHKFYLFDPNDFQV KQGPKITIYQRCFTNEEAQKFRELFQNDYLFISDIRTANFKCMTPLENEQAVLGDNQLQM EWVKILKPQKAMLKFRCAYPTEINDPTEFFEGEIYIQAWAPASSTETRLVPYDYVNTIPY DNVKYEEQMFYHNDVVRKKQKGSLSWDDRAETEVLIEYLRNSGINENQIIKQVNLIKDLI TKKLNYQ >CAK84726 pep:novel supercontig:GCA_000165425.1:CT868518:354314:355107:1 gene:GSPATT00018922001 transcript:CAK84726 MKHLLISFLLITIAFSSLRGSDRMNKHQEEDSSSYSGSSSESSSYDYSDAPSYDQSYSGE SDEEYSSYSSSEDSDSDSYSDFSYSSSSSDDSEGSDEDQQKSHRPRPDDHRPKPDEFTRS VFKTLRQIEKGILEDWRQTEDPVLQNEIRALLIQNLENLLPVAETPVEPTEIQPVASRIA EEKDEHHPRPPHPRPPQPPQPEDEFVNKVIETLHQIEQVILEQWNVEQDVEAKNQLRQNI LEQLGALIPLNE >CAK84727 pep:novel supercontig:GCA_000165425.1:CT868518:355126:356427:-1 gene:GSPATT00018923001 transcript:CAK84727 MQIANYQIELPISLDYSYQQLYRGFDQSKQSHYINIFPKSELDSKMYESISKKIQCYPYI KDAFEDDNNLIISYSNSNAWIKMPSKITNDQFNAYLKQLYDLYSLDILGFYDFNPDYLYI NQNTVFITDYGLKYYLHQIKYQQLYNNNYQQNQLIKTWMFGSLLYCMTTGTKDPSFLIKS NQQQINFHIEYNCRQNNISEDNINFIKILLRPDHDIRPAFDQLANLPKLQDRQFVKLHSQ PSSNHDKHRVIKKINQHDDQIPKSPNKQTQQQFVFSRHHSKVKLALKSNLIYASQKQIKN SKLNQYQLASQLIKSKVTHKHGQVIKNISTTKSFYTQNNVQNQTALNEQSRQNPRINQKN LSINIDVVKPSRTTSVNTKSLDQQSVAETNPLPIKSIQENKFEFKQKPRKNQINSFEQNF YFREEDDKSDISF >CAK84728 pep:novel supercontig:GCA_000165425.1:CT868518:356440:358528:1 gene:GSPATT00018924001 transcript:CAK84728 MIFILLVQITQSLSIHEFLELTPFQIQKLKTETLQDYFISGSIQGYLGLTEYSQLIDKLA NSFPNQVSLRSFGNTYQGTQIKTIQISTTKSPKYSIAIIGMLGGCTDLISVNYLLYQLYF ITIQLSNKNPFMMTLLSDKQLLITPLINADGLQYITTQYIQTQTMPLIFKNRNPSNSNKC SQNEIGVDLSRNFQYKFGINEIGSSSNQCSQIYRGRNAFSEPETLAIDKLVQQIRPNILI VIGGDLDKLVIPDSNSSTYQQLLYQVSKEYNLKMGDHQTLYQVEINGDLCQNYIYQINNI CYTINIGQYALNQTILNFQNLLFDVLSHYSPSLQIQFQQKFNCQNGKMLCNQLESNEKGC VIIISVQNVGYNQFNDNIVMDITLNQGGEKLYQIDSYVIAQSIKNTSDSSLKNGIQIDET STDRVYRYQIVNNRRLNQNQTIYFVFLLTQFGFSYNYINTVESIDLTFSLENTLLNSLVY QTLTPSDLAAKNSKEQLQRIFPNRPESYVLLICFAAISVVFLFSWIIRKMYIWIYDPHQF VEENKSKLEGSSDDKNLEQYKSHNLVESRLTTQVKLQQVAPIKSLHYNESLDQGQPEYKG INGSQLLPHLNPIEIENRVHKALEIVKSQDDLDKQEQYLEMGQNMHQNQYYNIQQQNQHQ MEEELLVNTPNIQQYGQNEDQLVKFED >CAK84729 pep:novel supercontig:GCA_000165425.1:CT868518:358573:360002:-1 gene:GSPATT00018925001 transcript:CAK84729 MNFQIIQTITFYFFIWVIKPIANVGLSLTYYSAYIAIHLTDYVLSLFVKECESRNQTFLH KLRKILNKHKPYLGVYTQATDIISDQGYNFESHKIITEDGYILTIWRIYKDVTHPHPHPI ILQHGLLDSSWSWLINNDKKLTLPYILAEQGYDVWLANNRGNKYCIGHTKFQSVDYNQQY WDCSFDDLAKYDFKAIVLYVKNVTQRAKVIYLGHSQGTTQAFAYLSNNIEFQNHLKCFIG LGPAMFISNLRSAFLQWAIKLYIFELIYYLGIPYFFVFDDGFNIKIGALCYMIPSIFRSF FFEVTNQLCGFPQKNKIDLNRFGNMVAHEPGGSASKNIVQWMQFFRSKQLQYFDYGASQN LALYGQRDPPPYPVDNLKNFTIPKYFYLGTKDIITDTDDLGKMLNKLDQTHMKVEFIDDY AHLDYVWAVDAHVKLYPSILKNIKENQN >CAK84730 pep:novel supercontig:GCA_000165425.1:CT868518:360002:360980:-1 gene:GSPATT00018926001 transcript:CAK84730 MKLEVVWLIFIMDLTQQYTVDWGYLFIRNFLYKAIYDQVKPVKPFNDLTLREKAVLSGSV GAFAAFVTSPFELAQVRMIADGGLPQPIRRNYKSAFDAINRIQVEEGGSRALFRGAFAHV VKLAALNVSLTGPYDYMKEKIWLVFGDFGFNHFLALTWASFWATLFTLPLDNVQTRVLKA FPDPSKNRLNYQNYLDVFKQIFFYERIHGFYAGAVPYYGKMFLTAWLTCTLVNGVMDSQK RAAGLEEWQI >CAK84731 pep:novel supercontig:GCA_000165425.1:CT868518:361264:362597:1 gene:GSPATT00018927001 transcript:CAK84731 MKQSVIWLCFIALYFSNAVNILEEQAPLQPEQQKHKVHHIKLKEKVVNVKDSQDFYKFVA LNQKRLFENQFIQKSSASENLRLHNFKNIQYTADLEIGQSGNVFKVVLDTGSANLWIDSN RCSEPGCLKHKQYKHEESHSFLPLNQELTVEFGSGDLKGIVNSDTIYFGDITLPRQNLAE ITSENGIIFKSLDFDGILGLAYPQMAPNNFNPVFDNMMQQRALEKNQFAFYFAKDPNDIT HSEFTLGGYNQAHVDGEINYHNVIDKYYWMIKADSILVGGQDIGLCDDGSCKLIVDTGTS IMSGPMDDVGTLLRNLNVKDHCSEIKSLPTITFKIDGIDYTLEPEEYVKPTTKDSSAFAE MNSSEDQSMVEVNSWDCIASFIPLDIQEPQGPAWILGDVFLRKYYSIYDRDNDRVGFAKS KQ >CAK84732 pep:novel supercontig:GCA_000165425.1:CT868518:362676:364498:1 gene:GSPATT00018928001 transcript:CAK84732 MSQLTPQTLSRLCEYFLYLAKLESEIEIIRNVLCEHVRFHPFFIFKYIDGISINPKGQLS PADLLQFLDDNGFVHNLEECIQFIDLYDEDSKGFLLYSQFLRICLPQNNVALRQKIALQN VDVPHYDQKISYTLSKLINYEIKSMETTSTFVQQLQNCSDYSPTACFEFMNPSNSQGLIA SHFKDLFQKSAIIIYPHELQSLFKRFDLLNDGIIDISEFIKHFKSRVLNPKDYKTPIKDK SYLQHFKDKSQQKQAQSQQSNRSKKAQTTPIKKLNSILKQTGQSPKKEFDNQRSVSFVET SKSSKTQNLNSSYIFKTPNQQHNQKYINQKTELRAQMQLFKVQKQQQGLNNSTIKKPTQN STQKKVIKNSPKKNNQFFNPSKFLKDTLISLLNFEKEIERIKLNLQAHPDFSLCNTFRAL DKKKMGFLVTEDLEQFINKQSLSIILKKASPDGRIKFNQFIQLVSPLSIYHQEQQLIREQ SQHQSNIIQGRIKQLLSLLFEKLYQRELLFDQVNQEIKQNQQCDIKYLFMMIDEDSCDNE ISLKDLDSFLYKQQVQPELEDLELLFNRLDTDRDGKISFPDFINIFSF >CAK84733 pep:novel supercontig:GCA_000165425.1:CT868518:364773:366360:-1 gene:GSPATT00018929001 transcript:CAK84733 MLVSTNNTPKNKNIASNEEQRTIEKLRFLLKNSIQLNDELEKKNALLLYRIEQQQNTSQQ SFSQDDYKHQIVKLQKQLSEAQSENHHLQQSLKCLKQLGNHNNKSNFELTLQDCAVSQIE KQFLEYFEKSSSVVQEQMILEFQNLLEKLQTKYQIVEIHKITSFTNLDKTKCIESQQKEI DLLESKLNNTEKELCDLKRGFESFQQLQSMKSSQQDQVHDFFNSNQFEVFTSRLNHSQRI EDCLSNDKRWIEIDNQQLKTDERKLIQYEKIIQNQQLEIDNLQNKFQKKNQLKLQNQELS SVLYQQAETCQRIISNLQAKIEKQVVQIQYYSSIQQMRSKQNYQPCQHIVQSSQFIDTRK KFTYHSPNTNNSPVSITKSQNNNRSLRRQDSSNDQTTWVIKTTDASLVKKLDKKDSQSES TTDQVTKPTKLSSQLQEVKKSLDLMSNGICTQKLLKGYYFVQNKPILTLDDF >CAK84734 pep:novel supercontig:GCA_000165425.1:CT868518:366478:367606:-1 gene:GSPATT00018930001 transcript:CAK84734 MLPNLRNLQKTRPTATTPDISQKRMLLQKSEHHDVYSLKSQIESLQKQLEYVQTNKSFVM KITSPPSCTQCSKFKQQLDLQQLNEKITKRNYDQKIDELQKQTQKVIQIKGKQFEVDTRY DDLLFKLKQIYQYELLITEDNAINVNNIQKIQFSYRNSQEQNQQSIEQLLSQLEEQDQII KKQTNLIKNANSQIDQQHNVISNLQNQLENIKKQLKDRQELNKKTLQTLPNEVSPKQIVD PHQDKSLLQQLLFQNQSLKDKLIQQNHQIDKSAQENQIQKHLLRIEVLETELKLSLRCCR CQNLFEKPRTYIPCGHSCCEKCYKYKCDSCDTEAVYRNRQFDELIRVYHIIKDTKTFIQE CLLRLQG >CAK84735 pep:novel supercontig:GCA_000165425.1:CT868518:367703:368431:1 gene:GSPATT00018931001 transcript:CAK84735 MFNKRQLLKNIVDLYYQQVEQMETNYDIDNKYATKIQSYYRMYVLHKAYKKNQNATITIQ KYCRAYLARQLVKQKLSECKNRRNVSTILIFHQIIYLSNQAQTIQRYFRGYIYRKYVHDF YLRKKQLELMNIKNQNFLQELRSKAEQEKEEEKIRHEQAAKQEFMKLATNLHHLTSTKSQ PGIFKPPFAKENPKAFDIEVETQLKIAFQTNVQWKTQKRSGSAQRAVTSQGFRIRPQSQK LK >CAK84736 pep:novel supercontig:GCA_000165425.1:CT868518:368439:369196:-1 gene:GSPATT00018932001 transcript:CAK84736 MDFEYFEKQDDDDDDDMNVQFDEEDIKYNIEDSKKPIEKELDDSEISQIEMKINKMKEEE SYFDHDSDDDTRQKLTKAKEWKRHFGNYTPLIFINDEPIFAISPQWIINLFVIVALLVIT YFQFTKPKQKTIQYCITIIINVLELLGYVIAAVMNPGINTSLQETLNKHNNCQICEIVQY RKDTYHCEICNVCIREFHHHSNFLGRCIGQGNLFYYYLSILFLPLFLINLIVLYVF >CAK84737 pep:novel supercontig:GCA_000165425.1:CT868518:370543:371136:1 gene:GSPATT00018933001 transcript:CAK84737 MSWRKPKVIHQESGCGYEIRGFTEPTFKRVPHCHNDDDIDVDIPNGDLEFGRKMYGQACA GCHDLDSDLDQGPALRNAFLRRLGSNKKFSNYGWDLRARRLYWTRKLLWDFLQNPEKMFL DTNMQFDGIQDPRTLGSIIDYMQYLTVYTHDHRGSKRPIF >CAK84738 pep:novel supercontig:GCA_000165425.1:CT868518:371330:371969:-1 gene:GSPATT00018934001 transcript:CAK84738 MDQNIGMNRNVYKMKINSYISAVILEEFHINNKNQEESRRNVDQNMIQQVMKKENISIVF TTSPQVELEFIQIPIIQVRVNQNGTVDSDQIIRDNRSINIEKVKGNILYLIDTYNTMIKY VICLQFIANLTEDYHNLDSFTQDLIKQQSELNDYTKELKKLGVVGSSNSTQAPQSEIIAS QQTYQLPSPIRIPLFKFNQMFIQ >CAK84739 pep:novel supercontig:GCA_000165425.1:CT868518:372045:372917:-1 gene:GSPATT00018935001 transcript:CAK84739 MIEIIVHQETFSHSIDQMILYVISIKGDLNIEVQNLIEEKRIQTIFLLENQEQLLNLENI TKSLASKSLEDKCEILFKEIYEAKKNIALLISEGKNETLLFFASYMILQEKKNQSWLYEQ TFYQTFQKTEQEVLQNLIKNSPDTLGSIFLNTEKLKFDNQFVQSEIIHTDKPQNSEAIDL NPPFLVGSQFNQPIFPTISLDSQIIGPTDKLSSQTITNTIINDIQKSQVKIGNDKLIKDD QQLELQEQEETIKELAPPIQFQSFYQKYTIKCFK >CAK84740 pep:novel supercontig:GCA_000165425.1:CT868518:373241:375595:1 gene:GSPATT00018936001 transcript:CAK84740 MKNDEKLYQNIQKRLEGRLDFTNKNDDIRNLLIECNKIQDNEQGYKSINLIFQAIQKSGQ KVQLSDSDQIQIVKSCKSLAILGFLYNQDQIKLQNKEKEFRENIRYQIDELQIQGSLEKA FLFDVAIKCDNKEQFIEISTIIKLIVNVLIYFGSDSFHFTQIFDVIINALLLTGNNTTIG KDHQLLSILDQLQKLLEETTSPYLWTIILESILNASRHTDKPTDFVQPNLIARIILSKIP FINDLFNYFGKYLGRCYKFEYKMFQSMLYFGVTESTLDMILRFSKYFVKNYQTEILIEMR KNLIPKLIKYMKATNYFDQIQELFNYFLISSQQQQLSDQQLDLQHFDEIITNYDDNFDFY SEKQLHRIANFLTLIPQGINKTGLKNYGTLETKLRAKHMFNQQISRSMIEKTEQIQYWFN EEIQQAEKSQPTQKLVGLRNLLNTCYLNSFIQALFWSLDFRDLIMNKFKREEIEFLKPFS LKTSFLRCFLFMDSMTEEIDYTPLLLKRALREPYKTDIRQQDAAEFGVHLFEDMENNFDP TEYSQIKEIFYGVSKSVFQCKNCEKQTKGPNEEFMYITLNFENDRNVQEDIEKMIWRHQV NEQITFKCDQCKNTSQSTRTLEFSKLPKNLIILINRFEFEQGNRYKINDSINIKPIIQIK ENQKSLNYELYSIIIHFGQTPDMGHYTVYCKVKEDWHRFDDSIVSKIDRDFTKVQRNFKK EETPYLLFYRRKNG >CAK84741 pep:novel supercontig:GCA_000165425.1:CT868518:375755:377242:-1 gene:GSPATT00018937001 transcript:CAK84741 MINATQDSKYENSLEIILNGYNIYNNQSSVAALGFKQSANLQIINETNIVINNTCADVKQ GTNTINSGMMQFQIEIILPQSGQQETLMFQYWFICQYQTFNDPSQIKINFDGSMAIIIVF GCICVWFLTKMAKIRSLKIEMDLQKHPFLNRLIAIQAFQLEGQVLYFQGFIVNLILYLFY IVASVMFYVVVHYINAWYETVLIMCLIFALFCCWFLFNELQCFLSVNLKLQAEVFKSIRR CDCISAFLSFFFVVLYLILNQAWYISNIITFCISGSLFRLFKVINLRGVAYLYVGIILFD CIYYFVFLTKLFHVNYEIIVLQYSNYPVLFQIPQFRYNLNKVCVWLSLMDLVVPGISISY LYRFDRNRNSRVYFIIGLLGLFLGIMCWLVGTLTTQNSQIQLPQSIFVYPLIILFTCLWA IRQGDLRTIWYGEFYDKYLMDNFIVEYNPEQSKAELENSALKQDQMTILMKGLKLESQL >CAK84742 pep:novel supercontig:GCA_000165425.1:CT868518:377825:379677:1 gene:GSPATT00018938001 transcript:CAK84742 MKLIAKENEWKKDKAVLEQKIQLLELQLEDYKSRESNYKKLNETISQAINDTSAMQKVFI SSKLPQRSVSELQKNVDIQQKDHNKAIYKEQIKSLELQVRSLTEQLQEKDSQGKEMELQF QKQYYQYDHRIVSLEQEKLSSNQENAKLKEQILKLEESFKQREQQLKNQFEQELQRIKDL NMQDNDLKQTDYESKYSQLSLLYEKEKEQLQLRISKCQNTIKRYQQHIEQNVDIVNIKQQ YEDQIQQLKEQNSLLQTQFQYERQILLQQIDELKKQQREHIMKESINYHESTSKKRSVDA VKIKSSLQSYDSPVQCKSFHIASQDSKGISYNQYLKNSSNQSLNFTQKQLQQSQQQNNQS FEKSCKFNDGLPMSIDQFNLMKNKKQKSQSTLSIPTSNNYNLNSLLQEASLFQQNQESFQ LDSNNTQKEDPSKQRILKQLNPNIATRQLDYNRSVKHDQCRQPEQPYQRSVSQEGLKSSV TNLSKMLGQTEISSQQSNSTYQQTNNTSLNCLKVPAFTQNQLNSLKNSTLYVYPCATLRM NENHVQKARYNNNHSTHEEVKQNKENQLPSKKQSRSDIKMIIGKLLQTKGKQNEDMDKTS QFSKVNRI >CAK84743 pep:novel supercontig:GCA_000165425.1:CT868518:379898:381458:-1 gene:GSPATT00018939001 transcript:CAK84743 MLYTSPSQARSSSAYSVRLPNMSQLINQSSFTPNFQFNSPARSYLKTNEIKQRKLQHHSV VAKLTDIINNQHPKKIPRQSLQSVNRRTSAYCITDKTQILWDSLKVKFEHEASDQLYELL LELLKQSNHLQVEKYTLPIHTKQEFTRFKHNFILTFLMEPNLLKIIHKLEQFRQPLLNPP SLYQELRGYSTISQIVDLLYQQLLKDITLQPFLVPYPERDFKQFAIQLITNLIQSQGNST ELKIQLHQFSELHKLNHVHFTQFKILFYRILIQFSINERAIRKILKIIDSYKSSILRAKP IKNYLIENSEILPLMVVDAKNQEQQKKQIMLLLEYITNNHSRAIKKDDLLMLNINQNVCQ AIISTLQSLKPNHLIMQDFTQDLRSCLSQNYTIPSRLLDKLAKKLNQVPFYATIYKEHSI DWKKLTKIEIDFISQNRTHFRKKEIQLIHKRFKITNEIFDSYIQNIQDIMGVYSQNLVSR INHYRDWIVTEKNI >CAK84744 pep:novel supercontig:GCA_000165425.1:CT868518:381572:382702:1 gene:GSPATT00018940001 transcript:CAK84744 MSGTDEGSQDDSDDQQCVNSSVNMSLSDRLKQQALDVHRMLKQLEREFEEEECQTYYILS KNWLDKWKQYVSYENVVSNQSVPNTSGKMMPQNFNHDLIEPYVNECFKFYPLSTNPWNLW LKSNLDEGTHYIVISQQLSSYFNQYYRGTNIVRNATGKGKDKKVIANLLKFNAILMIPNT VNQIAQDNLTQLEKEYLQVDENANLQDFYTTILKTVPTFRGNYNRQNGVRIWRYTNDTDP HKALFAEIKKQVEDLDFNDDQIFNFSGSPVSISSELTFKSLNLTDKDIIIIEFQQINRPW CIRHPFCSSRRKVRGVFQHNRTNRSLCLQKGVLLHRTMQNQR >CAK84745 pep:novel supercontig:GCA_000165425.1:CT868518:382827:383855:1 gene:GSPATT00018941001 transcript:CAK84745 MNSGTQCLSNTYQLTQYFLSNKYFEEINEDNPLGTKGQLVRKFGSLLKKLWCGDKNIVIP TSFKKAVGQFQPMFKGYQQHDSSELITFLLDGLHEDLNRVKKKPYVESKDHQGRPDVEVA KEGWENHLARNQSIIVDLMHGQYKSTLKCPNCQQISITFDPFLTVGLGIPNRKQKSIQIK VIKSVVSIESKYINFDGSKKNQSLKDFINEYVINEFKIDPDSELICYSSVMNDLSDPINL GSDINTARKNSKRGYLVVKVLSQDERKIENNERIYLNFVQKAYDSYGHNFKKIIQPSTYL IIKREYSLAQIHLKIFQFLLPIFCDIVQEAELDTEEKFEGIL >CAK84746 pep:novel supercontig:GCA_000165425.1:CT868518:384039:384870:1 gene:GSPATT00018942001 transcript:CAK84746 MVNPNDQMQKIELVVFWNKSPFQNINAGDIYQYYSSQQYRKQIEEENNNADQENNGKGRM MGKNNQTSYIMNPPPKVTLQECLRFSEQPEQLAEDNAWYCKVCKDHVQAYKSMQIYKASD ILIFTLKRFKASHGFFKQKLETFVEFPVRGLDLTEFIINQNKPHENFQIEEEQKKLIYDL YAVSNHFGGMGGGHYTAYAKNHFNGQWYNFDDSQVSELEEDQVVSKSAYVLFYKRRSEQE QTQTLNTQELN >CAK84747 pep:novel supercontig:GCA_000165425.1:CT868518:384893:386169:1 gene:GSPATT00018943001 transcript:CAK84747 MQNKKLKWKSDFEKSVIIENFVNRGWIKSQEKEEESTDWNVYWATVWNVRNIFNPKNGFR LNDQQIINHFPNHYELTRKDFMVKNLKRFKREQDKEVLETFNFDFLPTTYIFPGEYSLFV EEFHRNPNQTWIVKPAARSQGKGIFLLRKIQQLKKISGTTVTSNMTQLNLASKENYVVSR YIDNPLLIGGKKFDLRMYVLVTNYKPLKVWIYNKGFGRFCNEQYTTDVAEIENMFVHLTN VAIQQYSDKYSQKHGGKYSIDALKLYVESAYGTDALQRMMDDIHNIMLTSLKSVQAVIQN DKHCFEMYGYDILLDSNLKPWLIEINASPSLTTTTPVDKNLKMNLINDVYNIVLPNDDFP DNEQKCNYLFIQCIEQQPTKVGGFTLLYDESLDPNNRKTQKRQSVKIWK >CAK84748 pep:novel supercontig:GCA_000165425.1:CT868518:386237:388223:1 gene:GSPATT00018944001 transcript:CAK84748 MPSRRRTKKKNKRTNKQQKSIQVEKQKPAKIDYQPKPATRAQSKTKEQKENQNVQESVKQ TKGHIDKPHNKEQHPNSQNEKGIPASSTLTHQQECSDQISQISQLFTLQKSEAPNKNSRQ LSKPKILSIRAAKLTIPAETKEIQMDVEQEECEFINQAMIQTRCNLLGMPKQSKSKKDHS LTTENNFAFDPSEQSANIQAPRPHKTKEQLALMEECFTSLLESTIPDEILCRDQEKILIT RFIEDGIKNNGQKQALYISGVPGIGKTATVLEVKNKLLSKKLNFEFIYFNAMNVGAPEDI YPFLYEKFTNKRETSRIKSCILLTELFNGESETIKQNKVVLLDECDHLYTTDQQVLYNLV DWPQQPSAHLIIIMIANTMDFPERLKPKLQSRLGNHRIVFKPYNSTQIESILQQRMKTKK IKQLFASNTLNYLGKKIATISTDIRKTLSVCRTAIVLAKEQLLKKGVFSQIEVDHIKLAY DIIYNKPQHNSLQHFNDELKLLLIMIALETHIKGYNYAYFHQVIQRVNQQKQLQKKDTLS NFQMKQVLIKLSQLNLVEIKDEQVLLTKSSWQQKLQVNIHCYFIQNSLDKDRLFNLEDVL IQLKINIDDIKNGLSNDDLFKEFSNLF >CAK84749 pep:novel supercontig:GCA_000165425.1:CT868518:388536:388808:1 gene:GSPATT00018945001 transcript:CAK84749 MGCHVTKNPNSDQKFREQVITDEMLQECKVDEDVKSRISVYNQALEKMQKIPKLRNASIL RFIVVFNPIVQRRKMQKFDED >CAK84750 pep:novel supercontig:GCA_000165425.1:CT868518:388849:390028:1 gene:GSPATT00018946001 transcript:CAK84750 MQELKLIGKECPIARGGCNFLQYDKGKYLVIGGVNRSENGLQEFNDFWTLHLPLKDGNLA GIWKELTLENQQLYTSRSSQAACISDDKMIYIFGGQKFLESQSTDEFYRIDTAQKVLTIV KSKNKPKARNSHTLTYFNERLFLFGGANENGPLNDLHIYDIKSEVWQQIKDVSELIMARE MHTAHILQMNLKPLKKSEDNKAQKLIGIFNELQGEQKEQIQQIAVKEQIIEQANNEIQQQ QQKEQEQQQQQDQQQQQQQQQIKDNQQDYLIILGGRNKNDLLQDIQVINLSTFEVDQIEN LPTPLCAHTSVKVKQDIWIFGGCDGASLNSNIYQLQDQTIKKVGTIQTAIMASSALYSEE LNKIIIFGGCTLDRDLNQTFVVQI >CAK84751 pep:novel supercontig:GCA_000165425.1:CT868518:390057:391123:1 gene:GSPATT00018947001 transcript:CAK84751 MQKNSKPEFLQIPSQTKSVNKINMIEVKNEGMIHDVCYDFFGTKIALGSSDGHIEIQEIN VNSFKSEQQKDILSYKIPDFQHKGPVWQLSWSNPKYGNILASCSFDKTICLFKEQKFGKY SLLLQLSEHKESVQSIQWSYRKLILAAGVADGSVHIYYRKKNGQIKNQQDWEHKSESQHM SCVNQVSWVRDKELDFATCSTDKSIRIWKVTTPIDGEYKLSQIQHIPCDSWVRSIDWAAE QFNQNQILAAGLESSQVEIYYLKWDQNNDKKVSISKEISLQTKGPCWKVQWSPLGNQLAI STLDDKNDPFVQVYRQLQNGEFAEI >CAK84752 pep:novel supercontig:GCA_000165425.1:CT868518:391138:391638:-1 gene:GSPATT00018948001 transcript:CAK84752 MNHESENTPLIQQEFPPQQSNVDLKQQPKVVSINQLLFQNTTQALNPAKMISKQIYVFSN SLKKSLAQYRNNESISLAKNITTSLEEFDGLSYDNSELNKDQSLTAKGAKFVGKTLSKIK DNITGSQKQPAPPSEVQQQNSNETIAQQSLKQEEENL >CAK84753 pep:novel supercontig:GCA_000165425.1:CT868518:391835:393363:1 gene:GSPATT00018949001 transcript:CAK84753 MSSNDKYDRQVRIWGPHGQTKLQNARVLLLGCDPVGVETLKNLVLPGIGYVVIVDSKVVT ESDIENNFFIPHDTIGQSRAKVVLEYLLEMNQDVKGEWHQVENFDVKEQHYDLIIANEQR FSETKFNLIELQTYGLVGRMRLYSPKCHIIETKPMNQKYDLRIFNPFQELKEYFDSIEFE GDVDYLSHIPYIVILYKALQKYHKEKGKYPSTFSEKHKDFKQVILSLCEGLEYQYTGNFY EAIDNIDQAFNSENWKNSLESIEIEQDVNEDQYFVLISALRQFVQIHGAPPLGKAFPDMK CFTQTYVHLKNTLYGTKVEKDFQAFQALCQNKVDDETIRLFIENIQDLKSITYRTIQQEL QQPNEVEDYDNDCYIWYILLRSVNEFYNTNNRWPTQEKGDTQQLQEIVDKQCRLVNVDSI PETHVIEICRYEGAKLHMIASVLGGMASQEAVKLITNQFVPINNTLIYNGLKSEASIFEF >CAK84754 pep:novel supercontig:GCA_000165425.1:CT868518:393599:395228:-1 gene:GSPATT00018950001 transcript:CAK84754 MQQMIMPTSSGQTSQESNAGVLYECMVERRHLFLNRKYYLQLTTQCLTLCEKPNQQNPKY IYQLKIEKVFSWSVLNNKIVGFQLPYQDKIKDFFGNPNDMVNLKAKMGALVCYQKIDMFY KKEEILQAGSFGKVTKETCRFTSNLVAIKSIKCENNHSPTIRNEIEILKRLKQGNALNIL EIKEVYMDELNYYIVTEYLPGSNLKQHLERRTKPYSIQEALQIMESLLKGVQCIHQNGII HRDLKPANLMYHNNQIKIIDFGLACFNGKQLEQHPSCGTTGYSAPEVLNVWNKKQAYDYK VDLFSVGCIFYKLLTLDGLFRSNNEKETFRNNKTCQFTIKEKGSVFDLVQLLVKAEPQQR LDCCQTMEAIQALLDYKYFDVNTWYANKQKQYGRSQCEFNQEPQTKLSRQCSLTSLKQHE PQTERQRNKSKKIEQN >CAK84755 pep:novel supercontig:GCA_000165425.1:CT868518:395568:396369:-1 gene:GSPATT00018951001 transcript:CAK84755 MQDNVFDFVITVSQSQKNEQTVYYQLNFFNQKNQLVFHNQHRFSELKKFHQALETLKITL PSFPSTYWWKSVNSDPDLIEERKQLLDQYFKSLTCSRIVRDSLIFKNFILSAQKESEKRI LKEQKEKTKKTEGLPNQNQKKLKSTKYQTPAPIPVNLPPTPGDLKERSQSLEHKPQSCKI EKDNTKSSKLQSPILNFGGSKIFRGILSNAAKQ >CAK84756 pep:novel supercontig:GCA_000165425.1:CT868518:396650:398616:1 gene:GSPATT00018952001 transcript:CAK84756 MNESDKENKNGIRLNNKRIIGNYQLIKTLGEGTFGKVKLAVHLKSQEKVAIKILEKERIV EVADVERVSREIHILKLIRHKHVIQLYEIIETPKHIFIVMEFANGGELFEYIVKHQRLQE IEACKFYQQLISGIEYLHKLCIVHRDLKPENLLLDFNNSIKIVDFGLGNTYKKGELLKTA CGSPCYAAPEMIAGQKYDCLMVDIWSSGVILFASICGYLPFEDQNTSALYKKILNGEYSI PKFVSTEGANFMKAVLTTDPKKRITVEQMKSHPWFNLYQSQSKISPGIIVGYNRMPIDDS VVDSLSQQGYDKEYIIKCLDANKHNDVTTAYYLALKRNLISGIQSKADINSSVFEESLLE PKQRPKKPPISNIVATSIFKQNRSGSVQQNGQTNNNSQNRGKSVPQAISDDQTQMLVNYA HKMKYQPINPQQKFAIPTDVTQIISLQSVDDTLERPKSISYHIPKKTPTNHQSSEHNKSK TGVQRDSVSPQSKSKQKKSLQSKQVNSSFEYVSVNNYSTKQTEERKKSNSKHTTKDLFDL TNYQKKLMIDCNQKPTSQLWQLQNNFRQNSRLYEIPTSTKTSNSVNKRSKVTKQENNNKS TNQKSTLNNSFNFDKKSYYN >CAK84757 pep:novel supercontig:GCA_000165425.1:CT868518:398799:401612:-1 gene:GSPATT00018953001 transcript:CAK84757 MNLGSQPSMFLTPQPNTQQASSIFGQPQTLPTMQTQQGLQPQPQPLQPLATQPLQPQLTT TAIVQPPNQAQKKGEFENLVKFYKEKPELTKQQELQLFVLQTKYLKNRIQKKFQKQINVK QTQYLLVDTLSQKVCQLFQKFNLNERNLEYTKKDELQRLSQRIKADQEKQNLLFQSQIKV KELYSQPISNYLELNSKFQMNKNIINTMQKKEVLTQSRQTKELINLLHENRAEQVQPKSV SIKSISFNTHNQLIIEKLFKEQEFYEKLEQFLEFSKQIKDKKNIDKTDYYESLFSCFVNI QSDHKDIMKLLSQQFQGIQFQSKNIQKDLLKNSIKILEAEMCRRIGVLDIANINCNPNQI SYYQRNLRISTMRSYQNVQTFKAGILFLLMRCGQLKQAKSIIFQFAKHQKYQLFFELLED RIETNQQKIQKLDQFCDDDLFEQCYLIAFDSGSVSQVFENQHFPQDQDLFFWMTLKTTHI TNQIGSDNWTLSDLQEAIPREIGFKMDIHLQRYEEILKNIYKTSASLVSKLEYFTLFFIM QSLLEIQNESTITEMNLILNGILGQVFEKYPDIGGLLIILTEPDLQQAINKIQTEMMKYK YGVELFQDKKFFENFENMFGENQKTQDFIESIVQEQFQQNLLEYYKDFTENIIKGNNINE LLATHKAFIGNIDMRMEELKSYLEFRKTSFTHLAMNLYQISGILLLTIECQFNLKAQELK IISSATSKFLVKNNNNPKQYYNLFSTLYQIALSNPRQKHIFDLLQAFNFLIQSSKIEQFN ENKFKQYLVQIDISKLTQILIANKQLYLLKLFFWMIEITLEIHDKKINEYKNMKETFQRM INNKTYQNVVLNSLFLINREVDLHFQDFKLMPK >CAK84758 pep:novel supercontig:GCA_000165425.1:CT868518:401776:401988:-1 gene:GSPATT00018954001 transcript:CAK84758 MSFTPPKSSNKFQQMISLPFVDKFQKTFSDVEIQCVQRKLDFGEMDLPQKKSIGTFRIGK IHKPTIGKLR >CAK84759 pep:novel supercontig:GCA_000165425.1:CT868518:402164:403590:1 gene:GSPATT00018955001 transcript:CAK84759 MNNKGTFKNRDINTFLTNYQSLDKQTKKPFEYLNLAYSKQFDFSPIKKQSYSSKANDTQT QYKSLQEASFLRQSTLPNYEPTRCSSLKNGIIKAYAANTNQGLVRDYNEDRVSIILNIIK PQSRENEQWPKCSYFGVYDGHGGSACADFLRDNLHQFVVKEPEFPWNPINAIKKGFETAE KCFLQMAQDSFNKGIPERSGSCAVVVLIVGDSCYVANVGDSRAILSTENGRKVIDLSKDH KPELEKERIIKGGGQVYQTHGVNEDGQPVLGPVRVNPGRLSVSRTFGDIEAKFEKFGGNP KIVIAEPEIKHFKIVNQHDFIVLGSDGIFDTMSSKDVINGVWKDIQVNQNTKDLPQMMSN AVESVIKESLIRKSTDNVTLLIIAFSVTPLREEEIRVKTISYIDKLVKIPQSSNPSNLRL SKFNDENNPFFMNAQKLKQNPKLTKFNFEDNLRYRLIG >CAK84760 pep:novel supercontig:GCA_000165425.1:CT868518:403776:404828:1 gene:GSPATT00018956001 transcript:CAK84760 MSNFAPSQKLSDLFKHEGRSNSMHSFYNNRSSCYSTLNLNSSLLNGWDSQNHQPVSILTS NRSDIKQINMPSSQQDITNEIVSSQHDRRSSTHVFVHSGLDAYEKYQSQPRRDMKIQPNF RQELLHEVVKIEKPFYITRVEEIERYWKAKEIMLLRQKGQLEFEVKFQAQQANKKPVILK VEPLQKIAEMEVEIQQLEILLKNKNKTLNELKWDSEELDVKYDKLMDQDNDTQFLKYEKQ LKNIQQSFKDLNRRFHETEEQITMKENEIESRKKQIQRKSSIIMPSNLRNSMTDSKFKQR TSFKRQSINSGTMSPTRVTFSQKSQPSEECIKFKH >CAK84761 pep:novel supercontig:GCA_000165425.1:CT868518:404929:405756:-1 gene:GSPATT00018957001 transcript:CAK84761 MYQDQISLADFVLQEAREKCFEIEVKAFKQFENEKKLIVEREKANIQEEINTKFKKKAQQ ERIKHSALVNGARMRLMNARNQALMKIYSDSQYQIYKMIRQDERFYEELLKNLIVQGLIK LFEHEVVIRCLHRDIRHVKNVTEDAIAEFQDILRKELNGLEFEVKIDVDEDKCLDERILL DNSLKGVQDYSLQESASEVISKTENDKKCFGGILMTNKDGLIVCKNTLDVRTDQTFQDSL PIIRSALFGK >CAK84762 pep:novel supercontig:GCA_000165425.1:CT868518:406288:407845:1 gene:GSPATT00018958001 transcript:CAK84762 MDNTRLKRDQFRLTLHSQQRLNQFQENRKRFLQIYMEEQQINELKLKVKVAIQQLNDNLN DFDFDCPRCELKHYTSQIMQILPEMKKCIENLAKPDFEGLSNKFWNIYCFMLFNKDNPCF VEMLELLTLCCQKSMVFCDTYCKSTLYSNLQANAIQFPIEYQLEFLKQTVLIQSHQEHQL QYDKLCEMIKQMNGQLQQQKALEHQHKQIIQIIIEIFLNLKMEQVHKVSLQYQINRYFLT LFTSLSTLEEILIGNELLNYIEYLNRNQSLFNVSTIELINQLSFNSQLSSYPDEKSMAIA IIGVLMSGNLTQSKYYFNKFSTENLSLDQKLLQNLKLQQPQVRKMTVFAFSNIFGETSYD RVDYFSNGTVIQFLQFARQETEIEVILEILQALRNLVYHAEDNYLPILLEQDIVSIIVDN DNYILNVQQVECLLMLINRFEDYDQQALQKLVQFPNFIQYLCYKGVGNFVRRVFDNSKFG NTMDIQQGKKNNDGQKDEYQINLLKLCEIFY >CAK84763 pep:novel supercontig:GCA_000165425.1:CT868518:408564:409569:-1 gene:GSPATT00018959001 transcript:CAK84763 MSNQLGVGFGAYKFQTIISNEMRVTKDSVHRTRIQTESRKSIQDKCKYQFQNAKKKIIQK LQDPNERERFNTLIQVDKGSDDSLSGDPDNQMDQLLEQKKKKEKEFELYISESSSFSGID SDVSQDDSLQEDLKKSQGQKEENKLASQNLKALNTPTILKSPQISQSRAQVALQKVKAMK NTRHPVVRKDIDFLRSLCQNQNFVNNEKAILDEYKLDKEICVDMDCQDIVTFMRLQHEVD EMRRKQTEFNSQKERHYEVTKNLPKKTESKTNTKSEENGICTFMMAKRFIKTLKLKVEQK QPKPSIQAINLLRKSTRGSTLKTQY >CAK84764 pep:novel supercontig:GCA_000165425.1:CT868518:409654:411010:-1 gene:GSPATT00018960001 transcript:CAK84764 MKRNKTENQFTKTNYIRLLNLDKIHASAPTTPRKIKFKSLQPKHQDVQNYPILLSQLLCD IPFTHTQVIQIPTLSTSNNYYCKSTTKTNTPSVSQNTQTKKLQLNHKPQRFLVKLNKKIP IILSKKSQIIASQTYRPNQTTTKFHSLTIDPKFQLISDITDLKSENLSKQPQSQQPSARQ FTSQKQLSKYRDCSEIDDFNNKLYGSKQIRGLLNFQKFPSENIPLKHKYKAIRASLIQYL RHLEKLKLTTKEFLSHQVFPSKPFEHSASKHFFQLCKQGQNQEIIKLLDDNKYLVYEYDH LLMTTLHWCAMRGLESTAKILLKYGADPDSQDIVGRTPLYQALIHKQNNIAYFLILNKAD PWNKMNLNYEESVAENPEGKTMLHQTRRTHILLRMTPVEQRQFIWQKEQLEMYEPKKKKL ASSQ >CAK84765 pep:novel supercontig:GCA_000165425.1:CT868518:411036:412166:1 gene:GSPATT00018961001 transcript:CAK84765 MDKDIDVDKILEKLLETKGARSGKPVNLTESEIRSLCVRSREIFLTQPMLLELEAPIKIC GDIHGQYTDLLRLFEYGGYPPEANYLFLGDYVDRGRQSLETICLLLAYKIKFPENFFLLR GNHECSQINRIYGFYDECKRRYNIKFVKTFTDCFNCLPVCAVIDEKIICMHGGLSPELIM RPIEVPDTGLLCDLLWSDPEKDSQGWQDNERGVSYIFGQDVISNFLKKNDLDLICRAHQV VEEGYEFFAKRQLVTLFSAPNYCGEFDNSGALMSVDETLMCSFQILKSQDKKNVPQARPR TPKYVN >CAK84766 pep:novel supercontig:GCA_000165425.1:CT868518:412337:412605:1 gene:GSPATT00018962001 transcript:CAK84766 MDTPPQNNSKYNVDDDFRHDVCQRIYTKAGKMTHGQNQQAKSFSEKDQLQKMQKDLRDKL KYIEETNWMFEGLNNNVNDNF >CAK84767 pep:novel supercontig:GCA_000165425.1:CT868518:412996:416261:1 gene:GSPATT00018963001 transcript:CAK84767 MDSLQIQKQLMVDQLNKLEYMDKILPLHKDEVQAEKKLQVQINSLNFKRIIEGQENNKLM AEIEESKLDVQKNRQKAFQKYFTSFMTEKQQQDVNILEFNQSDFEVFQQLKEKQRQLAQE DLTIARQKEKSNQIFEQNLMKKWDRVRKKLRMIGRMKRLYDEVKLYGTSCRVETSIKRHE VIQELEQMLNKQNQQISKPMPQKHKHLFLPDSKFKKYWQYVLMILLIYTAFITPIRLAFI EEFNVVWFTLELTVDMLFFLDILITFNTAYFNEEGVLIVDRQQIAIQYLRTWFIFDLMAV FPTDQIFQNEAQKLSQYFKLTRLPRMYKLISISRMWSTVQNSQNHNDCFSAIQDLINLNS TTVRVLKFFGTVLVCVHIMGCLWYLVAKLNKFGPNTWVYELDLLSKSEYELYLTSIYWAV ATICTVGFGDIHAFNDTERIVCIFWMFFGVGFYSFTVGSLSTLMGTLDTRESHLQSKITF MDEFCEETKLSLQMKHKIRKVLEYNSMINIFSSAEVDEFLSEIPTNLKYQIAQAMYAGLK NRVSFFKNKDSVFISTLIPKLQPLKINAGEFVYNKGEYPNQVYFIVNGRVNMVIGVYSIT FKTYVTGSYFGEIEIFDNSARFHSARAELECELLAIEQDVFKKILQAFPEYFEEIKAISL EKLKREKEAIEKLQDLTGLSQTSEFFNKKRTQSIYKSIKQRESVHFIDYSEESEQELKSM KSSKFGFFKKKTNHLIPEDTTRLHTQEDTSQKNLIVSDSSQKKSEELGKVITLSIPDQVK RDSEHKIKLYGEVPPAISQFNEDLDQNQNEKVNLRIQSEEPNRAPQTKQVRYISQNLRCS PKRQEHRKPSIQITSPNENPGSDTPLISSVRSNFIKPSIKIDEASYESEGSNKNSPNMSI HPHNAFYNAQSPQNSPRRSQNFSKFPFPFQKQPSIFKSASNQYIEASKLKNLPRLSGFRR TYRKEREIEVLEETIENEDYTQLQQKLVELLEKIKKQKEQQKVKKQQIKFNIINPLPKKI VLEQKDSMSIPDLPDVEKQQLSQQDSNSSGILE >CAK84768 pep:novel supercontig:GCA_000165425.1:CT868518:416277:418278:-1 gene:GSPATT00018964001 transcript:CAK84768 MKSIGNYILGKTIGEGTFGQVKLGQHTITNETVAIKILEKSKMKENIDYDRISREINCLK KLRHPNIIQIYEIVQTVNSLYLIMEYAPGGELFQVIIKNQRLNEKDAAEYMMQILSGVQY MHDNYVMHRDLKPENLLLDENNKIKIVDFGLSNQFKDGQLLKTACGSPCYAAPEMIQGKE YDPKSADTWSCGVILFAMVNGYLPFEDKNLNLLYKKIMNCEYATPKYMSPLCKDLLEKIL QVNPLIRYNIQQIVQHYWIQTCITNPILTPGYGEINICQEVLEKLATYNFKLPQAYAYLK ANKHDPVTTTYYLLLNKHLREKQQDPDETFQYKLIQIPPPQHPQIAILKETSNVQSQKIS QVDTKENMTPQKNLQEQKSTNSQKTLNIENSEQQKISQLPDVQNQEEVEEIKEKFNEFQN LTDISQINPVNNDQFESNNEQQYDNDVTTNNYLNISAQIPFPERPSLQTSLNNTPIYHND YNSRLRQVSLSIEKKPETLFPTVEQIKLKAMQFKQRAFENADHSPANQKPQTLKTPQRSA VYRAPNFHNQHSHDRPQSPLIVVKQHEGPYHLNQLVFTDPQKLTQKIISHLQSQNFDVKR SKFEIKISKCDFAMTFAIALLCDSVYVIKCEKIQGDSEEYEKIYKQIIQLR >CAK84769 pep:novel supercontig:GCA_000165425.1:CT868518:418304:420893:1 gene:GSPATT00018965001 transcript:CAK84769 MGSVCKKQDAENELHSLIPKKKGDTITISQNHGGGSQIIQKKRSTGNKGDYEPDAVVEKL EVELKRNDKKKLVKVEARQDQEEIVENIQKSNKKKSPFDYQLILNSFTTNSLFQSLSQQE QEAILEQMFYCTTPDGQFVFRQGDLKASSFFLIERGQCQIIIDGEVKKLLKQSDSFGERA LLYNAPRSASVRAVGDCAFWAIDRNLFRKMIEDMRMHEFEENRQFVENLKSFEFLTFDQR SAISSVLFTVQFKKGEIIVQQDETATSFFIIKKGSVSVIQNDNEIRKMKKGESFGEMALF QNSKRGATVKAAEEDVRCLVITRDELTKILGDKIQVIMFTNKQIWAIQKHQVLGQLTELQ IQKIVQNMNQFNYEQGAVVFEEKVQKLVIVLKGELIFTGSKMKAASEGQVFGDAYFNKQE LSDPVIAKDKSVLAEIDFKRFEEVIGGSLDQVINKNQKISEKHKKQESYKHDYSHLKLEE FVSLKKLGQGQFGNVYLVKNPKDNPPHFYALKCISKAQIVDQHLEKHLAQEKSVLTSVRH PFLMKYYCSFQDSNHVFFLVEFIKGMELFDVIRDIGLLSAFDTQFYIGSLILCIEYLNKQ KIVYRDIKPENIMVDEKGYVRLIDMGTAKFLNHKGGRTYTIIGTPHYMAPEILQGKGYTF PVDIWSIGICMYEFMCGQVPYAEEAEDPYEIYEEIQKKQLSYPQFLKDRKAKKFMEQLLN KTPELRLGGSYASLKAHQWFDQFDWDKLYDKEMKAPYLPPQQKMPATGKDVQGTPIMKEL QNLNAPVYRKEKAKDPNWDQEY >CAK84770 pep:novel supercontig:GCA_000165425.1:CT868518:421342:422833:-1 gene:GSPATT00018966001 transcript:CAK84770 MLLISDDPLALTQPRLEQMELKLTFDVQFLLSIDLNFNSPKIQQAMLNLNMREEELVQKK YQTFQLPEEEDFITEQRFLFHLHQIAHRRKFLIKERNKIKKEELESQKLDQQMTRYYTQT DSLLNLNIENAISQLDKKIELQQLKRDRNEVMMKNKIKELVEEELKQINEQKQKEEEKRR IEKLKQKTLKTVSDRAHSFNKKTELIAKKHQELILEQQEKAFQKQREILEREMLESEDFP RGLSQSKIKQKEKEYKDGVKQKQVNDRIKQLKQQELEQCNQLYKKIEQKLNKSHANRIGQ YDNQKTCILLNQSQSKIEDTQKYLEKYIEKQNRFLKNSKNQNSQTSLTQTSNIKKRMNIR QSIERERQEHCSSLEENIQDKKLTRLLQPQLNKNTKSRSLVNLEKAKFQQIEKVKKVLEK QRSGSVVQIQIKEKKEILRDVSRSIFLKKSLYQELQNDITKIKQNVSKDFLVKQIQKLDC SELVQKYIK >CAK84771 pep:novel supercontig:GCA_000165425.1:CT868518:423535:425013:-1 gene:GSPATT00018967001 transcript:CAK84771 MKILIAQLLNQLVLSQCVIAQYRYQIIQIFILRSMSVSKVQKLFFRFSYYKNLDLTSPYP SFQARDMTTTHTMHYITKPPCDPKIMTFGAFHTDHMLEIDWTEKMGWSRPQIIPFKSFSI HPFAACLHYAIECFEGAKAYKGPNKTIRTFRLDCNMYRMKQSAKRLSLPDFDGAELQKCI EGLLKVDQDWIPDRPGFSCYIRPTIIATEEALGVRASSRAKLFVVLCPVGPYFPSGLKPV RVFCNTTTIRSAPGGVGGYKVAGNYAPTVMPLKEVQQIGFHQNLWMLPDGLVQEMGVCNL FFFWKNKQGERELVTPQLDGTILPGIVRDSLLELTRDMKQFKVIEKKVYIQEVIEAISEG RMIEMFGSGTAVSIQPIEAIGYNDKIYEVKYDPKLNAGELSHQLFDMITEIQTGNKPHKW INVV >CAK84772 pep:novel supercontig:GCA_000165425.1:CT868518:425206:426321:1 gene:GSPATT00018968001 transcript:CAK84772 MKKSPFSSLYVKFAEVRVLNEFQRFMEVSKTLSQLFDCQPSDLQYAIAYIKHSENKNVGS QYQNLKWEDLVSEPENYIILDRLDSIWMFMQYLKLKDELIHFSIRFKESEFGLFMSQLDI LKSIFKKDIEVKIIEKQGDSDTKLHQMRRQYKERKKTQRGQINEKKDMQKYQDQLDTKEN SLRLDHTSSQDSTIINLIENSNINSMISQKNKVYQQFGSTFIGDQEFKQLFKKSYLCQSE YEIENRDDVIIFDSTMGNKVELGQCILDVSLKQAIVNEEYKKYYLEMARGKNAIKIYYID LIGKSYTEFSKNLVFYTNIDVALKEFKGCQDSYLIQFETCSEFQFTQMSNQQVKLQQSNR VIPLIIITNQI >CAK84773 pep:novel supercontig:GCA_000165425.1:CT868518:426361:428269:1 gene:GSPATT00018969001 transcript:CAK84773 MGQSQSQNLEQSRMSVPKINQLQFWKSVNDIRYGEIKVYKLNDGHAVAVKDHIFQDEGQW HLFREQAEQQMQSRQKDLFLIEMLDLQFITEKELCTQMTQAHSVYEYFDEYLERLLVEQA ASKQHFEEIEIAAMLHCTLVAIQKLSIQQKSHGDIRPLTVSVTSFPKNCPIRQNEPYPIY KLTDVQQLTDLNAFKRCVTKQLGNYNLSPEQLQFLKQKVLRPTYDLNKSDVFSIGLTALQ MAILKNINDIYDYTHYLLSTEKLDAHIREMKTLYSEQLCSIVCQLLQLAPETRPNSRQAN DLLIGYKFQLEDYFRQSTIQESLPNYSIDFRQQNSNQKLEQQVVSRVVDTTDIYEQLELL EQRTKIALQRSQDAQNKCQKTPGKQLDQTRSCQLYSNLTPITQQELQLQLSDYKQDNINQ QATYYNQQNNYPKHEINQFQIQEHEQYQYNQLEIEDKPKQNVVEQLPPSNIQVIEPRPIT YNENIIKQQQLIESINTDQTKSYQYSQQYQNQSKYQQQQYSQQYQNQSKYQQQPRQSQLL RDQELTRQLTGQQEALLNYQQEQENHLQSPYSSKQDIHHQFVEHFDSKNQVGVRLSGTQA QVQRQLTGSIVETRRSIHQ >CAK84774 pep:novel supercontig:GCA_000165425.1:CT868518:428343:429297:-1 gene:GSPATT00018970001 transcript:CAK84774 MSESIYKVRTQKYLKPILETQIIGISRVILGLPIDHVFDRFKTLLQAESTQSSMKQLFKD TYRRNGVLKGVFAGFSSQISIQLFKQYYRWPMMILIPKYYKELLPSSWIENHPALHKGLA GATIALFESFVTCPLERIKCQLMTQHQSKSILKQLWRNERSLSHFVRNLYTGMEAMVLKQ VVSWTNYLYWDHKIRYFFKENPSQALTLPQIAMCSLLTAVPNILLVQPFDAVKTAYQMEQ NGNYKQLTIPQAFVKVYKDKGLIGYYAGWQLRLSQFIVQAALSTPVMDYLERLHGLPNEL >CAK84775 pep:novel supercontig:GCA_000165425.1:CT868518:430624:431625:1 gene:GSPATT00018971001 transcript:CAK84775 MRSIEKQQQKIFPLDYNFGKSEVEMQEDHSVLSQAKEKIIQAREFIESLDEELQQGQQQN NNDQTIDQVSQVQDVQTDKVEEVKETVKNEQVKRRVRMMRILLGGDKVKYLNFQRIRKKS TQEYSCIYSNNEQKQINKIRKSVNLFLRLLKCRRIKMMMVKKEVGEKLNCVRKLQMKKVM NDYVNYVMICIRIIKMKSMNYLSKGNDRFKKLQLVVVKELLAIRMQVQLKRRNFKRKKKY NIKLNLRKEIQLHLNNNLQFRIIYKTNYKNMFNNCSFLQIVIDDEENQQHRLEYQKQILQ RQECWDS >CAK84776 pep:novel supercontig:GCA_000165425.1:CT868518:431717:433219:-1 gene:GSPATT00018972001 transcript:CAK84776 MNPQFQYDIFVIGGGSGGLTVVDEAQKLGKRVGLADYIKPSPHGTQWGTGGTCPNVGCIP KKLMHMTALIGEIRHELTATGWQGVDPHSKHNWNTLVNEVQRQVKGINKGNDDWLVTTNG ITYYNKLGKLKDDHTIELIDKDGQSEFVTAEYIVIAVGSRPSFPTDIPNVKQLTITSDDL FSLKNAPGKTLVVGASYVALECAGFLTGLGYDVTVMVRSILLRGFDQEIAERIGEFMKIS GTKFIRGTIPSSIEDVDGKRLVKWVLNGQEQTDVFDTVLLAIGRSADTQNLGLEQVGVET NKESGKIIANDADSTSVSNIFAIGDCVQGRLELTPTAIMCGKRLIKRLYQNGNQIMEYSD VSTTVFTPLEYGCVGYSEEAATKKFGKENLKIFTSEFTPLFWNFANRKGTCYSKLIVKKE DDVVIGFHYLGPDAAEVTQGFGVVIKLKAKKSDLDNVVGIHPSVAEELVQMQTWK >CAK84777 pep:novel supercontig:GCA_000165425.1:CT868518:433241:433558:-1 gene:GSPATT00018973001 transcript:CAK84777 MQQKAKQFFATYGKLGITVYFSICLANYGIVYFALRQGVDVKQVGSKLGFDTTQGKWEQI ETYGTPTAAYIIYKLMAPIKWPIVIGTTAFLSRKGRR >CAK84778 pep:novel supercontig:GCA_000165425.1:CT868518:433696:436632:1 gene:GSPATT00018974001 transcript:CAK84778 MQGKHNFIFSLDGEQKSFFVSNLDVASFCRLIRSAFQVDKTITALQDAQGNICDLQYFCH NLHVHKDKFYVILTEQRKSERNSRKSHKSKNSRVQTTEEPSYLVDFGEFLQEIQQIRFSP QHPVLTIVLVFDNEYQAGIFGQPIVMRIYKTFAFLQAYYTIIQEDESDSLLLTVFENQNL KHEMEMKINHSIAEDVCYQIEQLLCEEEEPEPILQGSFATPNSNANNNIPLIVTRGNEKQ ILQVEANDNFHSSQGKRTHGVMRVKKAVEKFRNRFESREYEYLLGLIIGQDQSVFAAYQL YQKQQDDENFIKNLKLLLPQEDNNNLSFQNNLKFITSPSRSDLHQSVNFPYDCNPTPGLR SSVTIIDQLLNSGDLDSIETGMLRELKMDSDLDDLVKSLMDYPQDILLQIIRQYLQSQFQ QEITKNFNSHQVEYFLQENANKQTPIYEGFQRFGQNGQLQDLFSLLKENVGQLEQQLREE NSYSYYLMHKRRKDSHDEHFHFHLNLPQINQQEERKLSVDSILLESKKEINFIDKYHVKV LQKYSNEDYEKLFMTVYDQCSKFCDEHEKAKLHGYYTAQNQRLLEILDKYGQRYDIDCIK SDINDLLTLQNKKIDDIPSPTHGAVIEPKMHKYQNFKFIINFLYEIDKVITLRQAKAFYY LYSIEDMSVMAAYEVYCETKEQDEFLNTLNLIFKVYSSSSRFDFNEIEQYDSLIEQQLNI LFAYRRCLNQEQRLALEQNMISCDNTLLKLFRDFLRQRDQRIFIQKLSDFANSQIEKQKD NQSMDPYMKKIDQNNQHKDLIKDICAKFLKTKVKQIDLLMDAIDKDNIMIKSSLEVYDYN LDKQDLVENIQLIYNYILKSNVKSILKANLIELGRSKTDLTYLLRNINQDEPILKGAFEL YFQTKDEAELKDTISRILKFSNI >CAK84779 pep:novel supercontig:GCA_000165425.1:CT868518:436645:437219:1 gene:GSPATT00018975001 transcript:CAK84779 MYKVELVDSSEFQDRSKYYKHLFRTYCQWSFLKYFVYSIIWLIGGRISILYSMQGLYVIL TGFALIFTNLGTREKGTLSAYSIFNKGYKKLMGQMTEEDVANMYNMGGVKKKNDDYSDDD DDKISKDFEALSMEQMMAKLGKLGNKQCFCNSGKKYKKCHYFIHQRIKQQEDEERRKGIK KN >CAK84780 pep:novel supercontig:GCA_000165425.1:CT868518:437832:438221:1 gene:GSPATT00018976001 transcript:CAK84780 MKQSPHLKLQIIKMRPIVCNTELAFIIPINEEKKVSMSNKVNSYLERKMKTSNEQVQNVR SRYQELYYQQESNLEYLYDQLTERMEKYSLQKQKQLASKAKQAGDYNLKWKERLQQQKWL DIHMSRFVS >CAK84781 pep:novel supercontig:GCA_000165425.1:CT868518:438244:438580:1 gene:GSPATT00018977001 transcript:CAK84781 MQLQEFQRRNSRTKSLALPKIENTKKLTEPTPIIRKKEQQYFDKQRKIIYCSYQRDLKQM KRSFEIATKLDQNDHRIMKQKTLDIKPKQKVAQKHDDNTFLTYV >CAK84782 pep:novel supercontig:GCA_000165425.1:CT868518:438693:440449:-1 gene:GSPATT00018978001 transcript:CAK84782 MGCCKYFPNGCCSSKTFENPSYQVIPKETSEQFQNEFIQSIINLNVNGNIEREQEISFPI KNSSNTKDITDVEIKQEYMKTSSKQWNIKQTMFVRVNSKKNINDSYLIKEMIGQGGFGKV YKVVHKQTGMIRAVKMILKEKMKQEDEERLLEETAILMDIDHPNIVKLYEIFSDKYSYYL VSEYCEGGELFEKIKLVSILTEKEIANFMKQILSAVSYCHQKGIVHRDLKPENILFDQKH SQASIKIIDFGASAKLQNCEKLQKRIGTPFYVAPEVLDANYDEKCDIWSLGVILYILLSG YPPFMGANEQEVLIKVKKGEYSFDPTDWGKVSNSGKDLIRRMLMYNPTNRISAADALNHE WIKNNKSKGQINSLTLSKLQDFDSKNKLKYAIFQFITVQVVTNQEKTDLLKIFQEIDKNG DGTVSKDELYQAYLKIHKGDKLAAETIVEELFPQLDANGSGIVDFSEFITATINKEKSLS RYRIEQSFKLFDLDQNGLITKQELNELFDEEIDEQMWQEILDQCDTDNDGMINLNEFIHL LENKISKNPIFLK >CAK84783 pep:novel supercontig:GCA_000165425.1:CT868518:440703:441038:-1 gene:GSPATT00018979001 transcript:CAK84783 MKNLMILEALKSYGIDDIVPIPQHISLLNATYKTLALYQKYYIILNQIIEAFTPKQIMTG ILGYYLVTTMGCYQRILLYEKFSQVRQTLQKAQSEIERVISQLILIKVIQF >CAK84784 pep:novel supercontig:GCA_000165425.1:CT868518:441338:441682:-1 gene:GSPATT00018980001 transcript:CAK84784 MIIRKFETNPLIYQNKISNHFEDTEISKINIFEYQANTIFEDRNKTGINEKKFFREVMGA INQQIKVTDHPCGLILQTFLNYIINYTGPQVWFRDLFTDSEEKRITHYENVIYQ >CAK84785 pep:novel supercontig:GCA_000165425.1:CT868518:441751:442023:-1 gene:GSPATT00018981001 transcript:CAK84785 MGIKSQQSETKDSSKLFLSIFSITSGQHTKTIESSQVENSNIFIYENKIKYCFTSCNCFG LIKTQIVEIQLSGINYTLRKLYRSQYKHQA >CAK84786 pep:novel supercontig:GCA_000165425.1:CT868518:442433:443983:-1 gene:GSPATT00018982001 transcript:CAK84786 MRKQYIQTVNLMQQKFFKIIQKILNPQFYKNLNKSQIIWSYRKKHCLNQIKNLPIIVFQK KLIKLTFRINICFRLRKKNLQYKLKNLITTFSKKTHQNVEFLKDLLNDEQAQIMIHNIIS QNEEVNPDTQEESNQSLISENKFLYTPSNSQSLITKNSNEQSENLASNISSRVIAKEESN EKSETINYKLISSTQQKEICTGIAINRDGSLIAASCNNDIKIWKFKDGILIDQKILLKGH ESVVFCIIFSKKIDWFLSGGMDNSIRCWIDRNKKSWFSSHSWEGSKAYTQHSNSILCLLL NEKEDELLSSSSDHSIKIWSVKSGDNLIEYKYSLNKHKNQVFQISLNSTDTEMVSCSEDR SIIIWSKNENNKWEFKYIINQDVDEYGLRINFCSDDTIIWCQNSKPIAQVFKFENGIFQH KPELSIKLKPFVDSEFGSDYCFFPSKYNSSTQTLILNQNKYIYRIKKSKNDSFYIDGDVI VNNAASCYGTIQDDQKYIVIWNKESSQMQVYKACYE >CAK68415 pep:novel supercontig:GCA_000165425.1:CT868060:6:1715:-1 gene:GSPATT00037199001 transcript:CAK68415 MKLDENQKNLKILEEISQCKDNILRFLKEEINQSLLHSLLDNLALNNCKLSSLEVNSALV MENMNKIKQLSDLLIVEEMQETILKNLVLEASYTNLIKKSKTLQVIKVMDLLKESFDHIK SVNSMDLVFFLGGTGSGKSTSINYYLGHELEEYNRYGRKFLKLKLEKDLPLNEFAKIGHS IAVSETTFVQGYKVARKEKLMLCDCPGFDDTRGDIYDLTTVLSIDQTIKNCNSIKAIVLT LSYESFFVNRSSAIIQLFENVRQLIPNIFNDNQVKNSVYLLITKANNSNQLKEVLTMLIQ DAYKTDNQMLNYLEEQDNQYNLKNTKNMERLQIWQFVLYLIQNQRVISYNIINQMEALDQ LVDFENMMGIDKNQFCKAMDRSDKKQLAFSKYIIFQLDTWQRQIFEKFFHEIPQEIKNAE TWINQKQTSIKEKEKENKLEEERIQYLKTKIAEFENEIQTLQKLSNNKEQIIQKLNTKNK FSDQIRKDAEGEIENLNCYIKKKNGILERNKKEIESFKNEIQNIQNEIKKLEQDNTKLSE GNTYKIIYMNLDNFGMNEKLEYWVSKQFT >CAK68416 pep:novel supercontig:GCA_000165425.1:CT868060:4110:4373:1 gene:GSPATT00037200001 transcript:CAK68416 MIKKNQNIDSANELISILQNEMEIDEDIEDIIQKLMLNGINSRSKLVQFPVESYHQLGIP NIYWVEKTTKGNKQLVQYSNKKYLKNF >CAK68417 pep:novel supercontig:GCA_000165425.1:CT868060:5318:5614:1 gene:GSPATT00037201001 transcript:CAK68417 MQGDFCAVCAQKQKKCHSDVHDNMEFYFVTEELTQQVVMEDLKRRYQTSSREKLTKRRPT KINGYRTLNQQSNLLNINNISNEVCQQIKPNCTLTSNQ >CAK68418 pep:novel supercontig:GCA_000165425.1:CT868060:6737:6946:-1 gene:GSPATT00037202001 transcript:CAK68418 MYKYQFIQAQFSFDDPTCRYLANQFTKQIKKRLSLFPKLNHYIRFFEDKLKCILQQVKSF IGGKQFQMI >CAK68419 pep:novel supercontig:GCA_000165425.1:CT868060:7998:8729:1 gene:GSPATT00037203001 transcript:CAK68419 MNHTIQSKFQLLLLIILNLWYRFCSYFIHFAIGVEYQQLLCILFDQTQLTGNIYVTIPCA RSLSQIFVSVFFNLIYLFHIFCNNSINNYFQQMQYYYFSQYFRSHSEMHFNNLLIQMIQT YELGMGIIQSLLKGIKLIKIINIENSFISIIYSIKFIKVILTQLKFYLDFKFVLHYLVNV IRMQSFQDRLIVKYLQGFRLPIEECSLKLTFSLIFVFSDNNNQLIVAPSLFVIRNTYKIP KLF >CAK68420 pep:novel supercontig:GCA_000165425.1:CT868060:9943:10434:1 gene:GSPATT00037205001 transcript:CAK68420 MGNGICHIGEVNGQELKRSHDKIQVNHLIDLPNSFAQSPSKPTIETQNPTISLNQDDCYD ILLTSSLDMVHLDQDATSLKKYDYKGKTKISTLPILPELAKDSLNSGNLTELQLPHSSTI EPKPILKICEQLKSNQSKKKVRFNDDD >CAK68421 pep:novel supercontig:GCA_000165425.1:CT868060:10612:13654:-1 gene:GSPATT00037206001 transcript:CAK68421 MNILDENVLICQFQTQVDQLYRSMNQELLINLFHMANQTEKELTLQIIGSLIATYIDTNH QEITCFENIYQGVFQIIRKINSTSKPVYHFLGSILATIMFHFQDKLPTNSFNLYIQELLS AKPIAAFAILEAVIRRLTPNHKLHKIAVITLDSQHRAVKNYFYKNNLELLLNSIMLILKN NYVDLIDKAINLFSLIMEGEFITDTKEKDFHKLRRADIKNNNSLQCQLSRFNPCFIEAEI QQLMIENSNLQWVDPTRSDIKRLWSSILDPEFIQLLLRLLPQCNILWNVLGKICKIFKKH FLKEQRALDLILFMTQEVQKLDPTQLDLVKLLKFSCRTIYANTNQDWLVFNMQLFAKANT IEQQVQVFRFLREICRYYRQMPNCNKEYFNQLFIQIIYNFFSNWVLSQTEVLLTENQYYQ NIRYNIKVITQFINKDYFTFFQQFIAQNLSNLKIVVHAFDFLLLGFKSLVYSTSYQLDVL VKLRHYQSMERFLYPFDLETFNQFNYQAFFIELIDVLWKINAHLLSNGIQTKFYYYLWSA LFDSDLLIKFEPIMQQIQIERKLFFDFTQLLTITDTNLLKKCLRLISNSKIRFEKDQVYN NYTCTINNIIVPAECLGIFDHFQLIQDTELQELYYSIKCSIYNAQNEAFYNSEDCDNLLN QFLVGLNGLVTNLQDIQSIMYIFKSLLNANGRFSYKQIMTMMWEQERFNQFKQFLQLNPI SETSITLLIFTKKMISIDSVKSLRSEIVDEMYEWIYSLSHYYVDWLTRNNNDFNQERQLG EKSEKKIYQVYYRPIRKLLKLFVNFKYMRQCLTSKVKVKEQILQLIYKLLHLINLNDLNV LIHKMEPLYRVCEWLVEFELSNNKMNPNDSHLILEFANKAIRIQEKQTFTLAIKIFEIAK DKPDILNRHYVLIQFILEDLLIAAYIYNQRVQEIGKILYNHLKKHPNIYDSLFVSIQQRV SQKKSALWQQQFIQKASILKQQLYQKQDQFNDAFVQLQMLYNYLQ >CAK68422 pep:novel supercontig:GCA_000165425.1:CT868060:13690:14698:1 gene:GSPATT00037207001 transcript:CAK68422 MQQKLNDLCKHFLDTNERPQNSIKKYKPEERFEDYPKSKELIRLKSELIKKHNHPPNYIK ADLRTFDLQQLGKFDVILIDPPWAEYAKRLMQANMQVKEHQQSWTLEELKQLHIDKIADI PSFIFLWCGSEHLDDGRELFKTWGFKRCEDIVWLKTNKDHSKQNQYVAGQDYGDNLFRRV KEHCLVGLRGDVKRASDQHFIHANIDTDVIITEEEVMGSTKKPEELYEIIERFCLGRKRI ELFGEIHNIRDGWLTIGTQLRDTRQMPQQYNSYFQQEQFSEEKPFMGPRYLQTTIEIENL RPKSPPKEQQQQQPQTYFY >CAK68423 pep:novel supercontig:GCA_000165425.1:CT868060:14733:15610:-1 gene:GSPATT00037208001 transcript:CAK68423 MVRSLVLLSFLIVSYTTEIKINGCTCDEVLKQEECDGYQPGDMNCDWNEQKNVCDADPRI SCSMIDGQELCRVQAYCGWVSGKCVDFKQCTDYKETVDQKCNNINFKCVLLEDDKCGTKK PIECESFAVENCKSTSNNVCVLSGTPAACVTMKTCSDGSYNNDQCKKNSKCFWDTDKCRD KKCSDIQAKDSCSLIMSFFSPYATLCHWSADNKCIDGEDEHYTAETCFKGSAQSKVWKDG QCRSCTDSPAPGYSNVLSVFMILFAIIVM >CAK68424 pep:novel supercontig:GCA_000165425.1:CT868060:16667:17680:1 gene:GSPATT00037209001 transcript:CAK68424 MFQSLLYQFKLRFKMINQFDIKQEFKFLNNTQQYCTLHSKQQLNQSTYLMKFAISQQDKC LGTRIGQYISLNDPKFDINTTRYYCPISRIDDVGMFDLLVHAIQAENKNFSTHITTLNDG HILNINGPFTNYLYHGYGSIEIPKDNINQNYKYIGIVAESSAIAAFFQLIEGIATNGDNT HIGLLYVADTIDELVLMEELIWYVEEKKINATFMLRNEQDPIGKVFSGPKGQLKPIYLEQ YLPQPNDQTLILVCGSRAFKKETKQQLEYIHHQNIAII >CAK68425 pep:novel supercontig:GCA_000165425.1:CT868060:17784:18126:1 gene:GSPATT00037210001 transcript:CAK68425 MSQNTIKNEQVRQYQKVHQNQKEQLKDLVFKESYSIKQASQLLNIKYATAKSIMSKIRKS KIKKFLFLNKKLGQCQFKKVELSKPQLEIKSLVSGSLISSCNYYLQ >CAK68426 pep:novel supercontig:GCA_000165425.1:CT868060:19120:20616:1 gene:GSPATT00037211001 transcript:CAK68426 MLQLPINNRTPGQNFSFNQFASAPNSPDKNTPSTIKDYIKYRQRNLLDFVKSRLQSRQNS PKNLFKVDLIQFAKKKYDSMPSPIMGEHKFLSTQRSIGDSIQIVNGQGMTEDTLFDEIID LENAINSNIYEKRVMGSQKQINHSIMKKEVTEDGQVKINQYTILQELGKGSFGKVKLAKD NNRKYAVKICDRKKLKLRLLSSKMDAYSLLDKEIAIMKKVDHENIVQLYEVIENPNNDKL YLVLEYMDGPQLLSIKNTNIETIWRLFRDFMLGLEYLHNFANIAHMDIKPENLLLNQELR LKIADFGVSQIMDDNLVKSKIGTSAYQPPEVFTEDQVRGKPIDVWAAGLTLYQLVYNEHP FISLRQDQLKNNILTLNIKWRETSDLTDLLKRMLDKNPNTRITTQQVLEHPWVTKNGRFP LKNEYQGNFCVTENDIHNAITRLSFNMAIRTLNKLKQKLNQSRQRLKQRKAQES >CAK68427 pep:novel supercontig:GCA_000165425.1:CT868060:20687:21837:1 gene:GSPATT00037212001 transcript:CAK68427 MNFNIKVTFNNSPCHLTIDNDNNLIIMNQILLMTFPLNLDSNIVWKLEKNVLLGFEINTL LFTGDHHDLLNLKSIIGRLVTYKKISNMYTFLSTIGKGNYSQVCMLQCKITNKLFAAKCM KKENQQVVNDIKREIMIWSELQHNNIAKFYEVYESTNTIYIVMEKLNRLRNDYDHEEIKL IMKDILEGVQYIHSKYIIHRDLKIDNILIDDENQIKIIDFGLACQFNNVESRLISCGTPG YIAPEVLMNKPFDYKSDIFSMGVVMYNLYFNKHLFQADQVSDILKLNKKFTLSRLPVFNI PDCGYQLLRSLLNHNPLQRITASQALSHWYMNSIKEEHFIKVPRNPNPKFKDHIQSCSII YSKTTITSYNKIY >CAK68428 pep:novel supercontig:GCA_000165425.1:CT868060:22600:23014:1 gene:GSPATT00037213001 transcript:CAK68428 MIIRSATTYMMFHSKTPKYPITREFFRKLFSSKPQEPKEYLLQSPDVKTFAAHLKQMEQI PSQGEQDLALFDKFQIGSSILRKYFRFFVYFCFKMCYLLLDEFKRFIILGIIFFCL >CAK68429 pep:novel supercontig:GCA_000165425.1:CT868060:23026:24480:-1 gene:GSPATT00037214001 transcript:CAK68429 MNSINILCPIHARLVNFLNIDLNVKLGDKVACDECGLIRPISIVAGFEIYNGMVKQQNQE IDRLLDQEVKSLEILKNKLFKKTEELLANMNLIEKGIEKQIQQIIQQYKNLKLNQYQIGQ VTFTQLQKMAQQISLFKSQDIQLIDQEVVDKLKPYQGVFNQYYDTALKHVKNYKKKFEDL IAYQQWNDHPQEICFDLQIAHRHNVIIANCENKIRSYSFKDGRINQIQESNTHRGFIETI CISNSQNFFFTGGVDKIVRVWKLSNQGTFELHQELKHHRDCIKSIVINSQDKQLFSTGCD KTIQIWNKCENSWQLSQTLSDHFREILQIQISQSDKLLLSVSWDRSINIYEHNLGVWKLT QKIGNAHEYKIFSACFINDEQFVTGSKEVLIWRRNENNGQFTLTGTVQSYLECVDRIKYL PSNSIMIFQSSNTLDVWRFVGINDPLQIQRFKGLFQGATLRNDGQYLIAYNVDERKIIIH QRNF >CAK68430 pep:novel supercontig:GCA_000165425.1:CT868060:24734:25475:-1 gene:GSPATT00037215001 transcript:CAK68430 MSTKKTQKVIGKTQKIVEKQSQESSNKEQKIKSKVNRLEQQPQERQHGIIYVGHLPYGFV EEGLKEYFTQFGDVLGVKLFRSKKTNRVQGYGFVKFADKEVAPIAAQAMNGYLMNGKKLV VNVLSDQHPDPFKYKHGNQKLFFINWSEKAVEESNKEKSNEQIVKEVQKLLANEEEKRLK LKELGINYTFPGFKEQLKA >CAK68431 pep:novel supercontig:GCA_000165425.1:CT868060:25535:27941:-1 gene:GSPATT00037216001 transcript:CAK68431 MNSLLSCIQGQKEFNQKQQLQFDINKYIQYPETLTPILIQICVKIFANKDKYLDAQEESQ ILQLIIKGLTIKKYNHDILIEIGSVINLQSNDKANQNIFYYVYLSSLYKQKSKSVQQQQQ INKMINLYEDQFKNYIHHLSQIEWNHNLLQSLIKCVLFNPTLFRNHQKQIQELCIQRRSQ TKIATLLAQLYAVLQFTYLEGKQGINSYQSQVVNTLEQIFDAYYVVASLIDLEKEKLVLQ NNWQANLSTEEVKMNLLNIEGKNQKTKYSIIFQIIKEFLTSTDNFTINFRETLHFLSLLF QSQLQFIKEDILIDIQIESAIQKIGEIIQLNNSFADHLDVVYETQLNQSIMQQLQNKLNK LMIDRGITLSIESFKELNYFSKSTSLSLLHTLVLSSFSQGLIMNTSILQILFKFTQSSFS VNTSMIKLISQLCESMSQQKFLTTRIELEVISRILEQLELEFPKFMKNMSNFMKRERHQI YQPQNADNKKKQKVVKDIQLGFLPQDQLNKTSVKTLKQRFENQFSSLSQLVVNNLRQGHS LDLTKRLYQLLFIIFSFPHDILLNHCGSEVISSLLRLCKVVLQNYNELELCLIQPIKYFT LYLMQSEVYDIDLDLQEATSGILELINFVYQKRKTLSIDNYVSTIKSINDQFSKVQQILQ SVNSEEQYYSCKDNQMDLSMNASVGNINNNLQQIAAQIRKTKSFIGEEESSSKQQKQTED EMFYSIVNHSNTNNAKGEQQNQQIVTLQQNVIVNEDEEIEIPKVVFD >CAK68432 pep:novel supercontig:GCA_000165425.1:CT868060:27946:29162:1 gene:GSPATT00037217001 transcript:CAK68432 MIQNHPLCPKSAMEKYYMEQQLPQYQTLPPQQAQKKNHSYYNDNANIWNNHHQSSSNMKV FAKIQEQKLKGEKRIQQLQKQEQRDKQMYQMSKHIYFNQLRHEDQLRMQTRKLERAGLQN LSQQQSDIEADQLLLKPTQYNTTLQGRPEFFWNKVGQHSQKHIKMLVNPKILAMEEEAVK LIEKRIEKEHIVEQIRWDLKQENMKLIRKLNAKRQQEVDEIHHKAGLSPSHKMAISKTTH VRRPSHVSRPSLDIIEQTLQQIEEKQQRTSRPFTVDSEKPSFSFHNSHSSKNVQSLAKQQ SLSVHKQRNLRMDRSEFKGLYLAQACDVSDNNFSRTIRSNCTILKGISNSKIKAQQMPQN IRSTNQPEEDVNKMLDSFEGKLQDRKLQY >CAK68433 pep:novel supercontig:GCA_000165425.1:CT868060:29179:30306:-1 gene:GSPATT00037218001 transcript:CAK68433 MFSKAGKITSEKYIIFARKDEILNRKMGKRVKSHLFEIPIPETQEKQLTPNAQQALKTQQ PFTKQLSRAVGNYTKIGCDGQPPCGYYNPKFNQLDKANHIVPDYQKSLVKSTPRQTTKRV QSAINFGNKEPIPEKQVWKRDCFVDMSRQTGRQEVFFGQPTPHEERFNFLNITTQFSKVP RTPQYSMNKSLSREQMTVYKRKHYAPDYEPNFEFGRRKLGSAGPKFDSKKGRDDIMTKKS DYTFEEYFEFDIYSRSQKSQIYRVPTAPNFGKMIDREHDHRSILPSYMQNQHTQTRIGIT HLSQKMLETNNFSDGRFQTITSSFVPPPKQIVEEEEIK >CAK68434 pep:novel supercontig:GCA_000165425.1:CT868060:30362:32212:1 gene:GSPATT00037219001 transcript:CAK68434 MLQSEDQYVPNEEEYEQKDQTTNTRFRKFVKEQWEKIEKKNFSQFETRVLSTLQQLGNNS ADDLIEKQAVVEAVQKEKDNSKQKGGKQKKPEQAPQQQEVQKSNVIYEICVGQDLYLKYL RPKLVEYGILNKIDDGNPKQEDKKQAQGKKGGKNVVKKEDLMRIELSKEKVNDQTVKMLQ QMGLIILNQDLKPKENEFLKTEQASKRIKSLKWMELKFSALMIYATQLIKCHIHSKFRDE YAFELIYFLKNVYLNYKEYEGQSYLDETKKERLAPQAVEDLRYKLKELYKNFGFSNRVLF NKYPKLVIDTDLNQAFIRTDVRPYKSQENLLELLKRAEKPSLIFFSTMVGTGKTVLSVAI AQYLARLRESYAIQENNAYNQLKNLGYRNPREVQFLYCCPLDTVRTQVGAAAYERKLKFA IASMWKDSQSSKLDSDLGYAECPRLVNSWQNRQEEFPTLVVADFKTTLELLREKHTLSEK EKQVVRWQGKDRKLVSHNPAKHGKDYILFIDEPTVDADFADAEVTKILIDIIRRAPPITI LSSATLPPPEKIPSFIKLYRKAQISEIDIPVVHDSSIPHWLCRSFLIVVTSSCHIIRHRT NSNWLKFWIDWRRIHL >CAK68435 pep:novel supercontig:GCA_000165425.1:CT868060:32218:33578:1 gene:GSPATT00037220001 transcript:CAK68435 MMNHFKKVCSEKTRSEQQQEGEEQIQEGEEGEYEYEYEYVYEEVEDTGAVNQTEENSQLQ QQQKPKSKYTYTIEIDDEDKMKFTAPYHKLDIRQLGGNMAHKFLGGCLIAVNSPVEFIRA KFHKLLKKCAPASEYVKQYLKQHEEIDNEDERSKQIQALQESMKLTIAFPDFLKINTLEH YKKFVTNDPLYLEQYRARKAFSLEGINYQLNIPDDIMLYLFSGIAAYTEKQSELDIDYYE EVQKLASNGQLALIISDDSMCYGVNYPLNHVVVFDEMAEKRSIGALFQLIGRAGRVGVSW TANAYLGDKTFDRLIKYLNGQNEETERDEAYNIEQQSKRMIQHLIELAKKKKEQQ >CAK68436 pep:novel supercontig:GCA_000165425.1:CT868060:33601:34691:1 gene:GSPATT00037221001 transcript:CAK68436 MISKNQIDQVYDFLKSINDGTLESYRKSLPEPWILNSVIDVAPLTPSQRIVCLYFAGDET QCGNALEKRLVLNKEQCGQCNLRQLVDEWSTSNQQINHQPQTMRALLNTSSPLFRLTIYD LCPQLFNLRLIGSAFKSLIPSGIIPSKSVKSHQSESRRISSKLNNPNCLRRKHKKPSISS IKTREMSWIVASLPNQLPNLIEHNPDLSSFLLARINQCGISIHEYFECLIQMKISLQSLE VVNKLSNSIKLPEAFLHMYLTKCIQYCEELQPKQQMVSRYVRLVAVFIKTLIKSKTLDPK KMFTEIQGFCIEFSKIPEASQLFKQLKETGVEEKQHQ >CAK68437 pep:novel supercontig:GCA_000165425.1:CT868060:34946:36055:-1 gene:GSPATT00037222001 transcript:CAK68437 MFCYLERRSLGLNSSECGLSFTQKTPITKVWKAILLLECKLFESNDIDLTDIPHYNFNCI ISQCTFLIDKVQMDDESLYKRVLEILQKLSEFKVTSALTLTLTKFVWEKILKLNLFPSKY FSIFTNFLAEKDDDHYILQHFIEIGLIESMISSLQNPGDEFLIEKVFKCFNNILYYPNTF IAERTIQVFLPYLHNYFTQYSSIKQEICIEYLYLLQNLMSHQQLSDYHLNEIFINLDCLQ YVSDILLSCSYLTKLVAELFMHFQRLPEQFKLVILQKVDAYDFVEILNNQASILKNKDDG SINLILNSAYHSGNTVILKQVLLDQQIYICFNDISYNQLQQIEFMINQGNPSE >CAK68438 pep:novel supercontig:GCA_000165425.1:CT868060:36086:36694:-1 gene:GSPATT00037223001 transcript:CAK68438 MNSHLQMKREQFMVQIRKQAREEIFSKKRHTSIGQDGDLVQKCNPDETINFIYQTYLEQD FKHLVKLLKQYNMNYLRLLEEENPNDLVVLNQFINHFSGNLNGLKLFLDILRMSDIPLEF NLPSERLMCINHVLVILINFTYLDRKDIVENLLQNGLMNLILKDLMDRMVQPDKVQSNFD RWCEIIESVINLYYEFIRFALF >CAK68439 pep:novel supercontig:GCA_000165425.1:CT868060:38109:39672:1 gene:GSPATT00037224001 transcript:CAK68439 MNIILFAVLLFVRADHIPEFKAALDFNQLNSFKQHVLKPIIGLIQGAHLPLDIQLNQSIP LIGQVELDINEVYLQELTLNWDDTFIMPYQNREDSIILQMRSANITVEAYARLAFGGWSM LNTGTVFKLQNLDFSLVIQFDPTTSKRSWGFKPIIKYVDIALSRMQFIMDNIIIPPQAGI NALIDLLTPMIVKQTKKMILQVASPALGLLSQNQRLQLAQQFGDYMYQFQITFTQPPSVI YGETTFMSLQLDIQIENVDTGNGCPIFDTGSLPNRVNSHQALELIVGGNIIDQLLWLLID SNMLDLTIDNSSLENIDLPISLTTNGMKLIIPDFYEAYGADKGIYIRMYGKTAEQQMYIR SGRLLGAMTVMLDFIVDTDSSNYPKNKSSCESCVIAYTAEVQLGLMITLYNKSPELFAAS VMLVKIIDIDQTSGELLTVQQLSIMQTLNSLVGALLPTINQDLNKGIENPFVGFFGLKEI DITMGDSFMSLGVVLND >CAK68440 pep:novel supercontig:GCA_000165425.1:CT868060:40547:41504:-1 gene:GSPATT00037225001 transcript:CAK68440 MSKPLTQTLIAQKAKTEALYSIKSLNLWGNDLDDISIIERLPQLEVLSLSVNRITTLADI AKCKNIKELYLRKNNISSITELQFLQQLPKLKVLWLQENPIADHPNYREAVICNSSTLEK LDDVVISQQDRANAQIQLQYGISQSPPTKSSQKSDEEIAQHVEVTKPNQYSPNNGGGRYS NQREQLQNQQRQSIQQQQPYHQPQQPQQYYPQQQQQAGREREQQQQQQQYLQQQQQQQYQ SSIQQQQQSQIYLQQQQPQQYQRQIIRQQYAEEERNSNILCAILSLLKELDQSTLEIVQR EVYDRIQQYQD >CAK68441 pep:novel supercontig:GCA_000165425.1:CT868060:41537:45394:-1 gene:GSPATT00037226001 transcript:CAK68441 MDQDRQQRIENLQQKYFSHLQKRISQMVSHENQKIATLLYTVIFDDSYYEIIKVYNYSEQ ELNNQIAKTIFIPLSEIELNNTQQLIQVIPWFLLFVIGQNLDINKCINLDNENEWGMFLT FVAQKCNYDPSAQEYFGKLQNTLINKFKQVQFTTLEEVVNLIVSTQKLLELLNQMFYWDY YPFAISQTQTQDIFYDLKLQKRQQIITNSYQFLSQRDRGMIQSSIEDSKMKVAISFIEKN YNYGYLTETFHNELAGIVIFGLIQLDHKQFQNFFYSKRPSVQESLLNQIMDLSDIQDNLF LKLLLVGKCLSKLRLWEYALKFYKKLQNQTKYHQNREKYSIYITFKICKIKFLQGEIDGL QKKLKNLGIQILRHQNQGINFYQPKNRQIYHQCIFLQLLMSVYKNSSKLRTYIKGQIAPI ILQSNSSNQKRYFTLLQYQFWKLADQQEIIFKDNEGKKQLEFILNKTESICQERQDIKRK SSNFFSNITSIFNSPKDRLYQCIEIKSYYRAVEEMLIINALVRHRDICVLQYLNLNLYPL LRVLLAQKNFFALNLKPQLYIFIHDFEEAFKLALQTKNHEIMAQILDYQGKIEEAIEAYT QLSSSNSKLNCAILIKTQEDQMIQNKSVLLGNFEKQSLHENSINYFKEIAKNKEQYQIAN KYLQNLQPLPSELTKSEKFSRKSSNLSESELFTDFTQQDEYFKSKLKLNLPIRQDIKLFY SVLKFKLRWEQDSQLLQLLDEFVKKTHFLKCEIYELINNNDLEQQKIGQGGNGQIYKFNF QCQSYAAKVISFDFKDSEDSMTDDEKSRLENILQEICILCDNTVSKIKYCLKIEQLGLYF EELGQQKIIKIFLVTKVYNNNQVVKDWGEEDKMKFIYKLSLGLAALQFERRLLHLDLKPE NVLVDSKNNPVIIDFGLSKYSYKSQYLGDRSNQMSISYRDPELINSNYQSRKNDVYSFGV SLFYYFSGFTPFQGFDPYQIIGGNEQFYVHHLAAIQNIQNEIIKDLIRDCTQPIAIRISF VLVLKRLFLYQYQIRNPKVRNLIEMLFNQIEYNKDKEQMKPIQTFLKVMKQFIKYTNNFQ SKKLENVCQRYEIISHKASCESFIEIISRMKQFINWVILNEQDFVMKLVDFELNCEQIDQ SVMHITFNFWVENAQKIQSTGMILWNPFYLSIAQILKQIHAHQIYLQQIPLEFIYLKDGK VKLNCFEYSLNLKFVHPQLDSDFEQLQNFISKQDVQVLCELIGQTMEFMRNKLDQDMQDR VTQFQQILNQSEGISLEEIIGKLQN >CAK68442 pep:novel supercontig:GCA_000165425.1:CT868060:45445:47325:-1 gene:GSPATT00037227001 transcript:CAK68442 MIKQYNIQLLFPDLEEWHYEQLSEVYQSPILQQYHMLIQQIKTKFPIPNQINEYEFPTQD NAIEFFKNYKPIILDLSQAQDIKIKLYKNAAFFGSIVDGLRQGQGILIKQTLQLYEGAFE RDKKEGIGFEVLKNNSYYYGNYVNGLPQGEGVFWSRSFKYVGQWHQGKKHGIGWYQGIHS DYYLGQWQNGRCYGFCLHVNGCIYVGEVINDLKHGLGKEYLENGDSYNGEYRNGKPNGKG EYYWSNGNYYQGEFDNGLRNGYGTWQSQTNNGINRYKGYYANDKKCGEGTFEYANGTLYT GNFMEDKRCGYGQIVWTDKAIYKGYWREGLMDGEGVYQYDQLILKGNWKQNQLDSFDNVR VSLNDFPQQHRVKEIIDNQEIQSRIAEEPDLDAIGDQFQKDIFGSKAETVAQNISHNTSH HDMQFHPKQPILQKQFDLLSLLDQELQVQSLEMTQRQHSSSVAIQTESKKQFLPKLNMNK KHPTQYNINHSFQDQSSKNSAHFQSVGLSGSPKAKGINSFHNTERIQGYGRGQSNRSVQG RSKRNKQVLLSQKEQNLWALKMNKLKLSPTKYTKLWSHDVVNQIKQFLYPPVWKPPSHIA >CAK68443 pep:novel supercontig:GCA_000165425.1:CT868060:47600:48040:-1 gene:GSPATT00037228001 transcript:CAK68443 METGNQQRKDKRNNIVTSLIQISQENCLSFYQINLQEYESTDTEYFKNIFRSELQSHLLK LIELDQQQKHFGKQCEEKKFLNFRAICGKCVSDNELEVQESENQVQTYLSVLLFQFLGGL KFQVKRRYLDIRQVGFEFEWSNIECL >CAK68444 pep:novel supercontig:GCA_000165425.1:CT868060:48859:50090:1 gene:GSPATT00037229001 transcript:CAK68444 MKSFIVVVAILALASAQRLDINSASCNNYLKKFGTANNPDGSGATKLAFTGDVAFGTGST DVKVNLRYSDVDLFNDATYFGLVQEDGKTAATTCLDLKLWKFTSNTYSDPVQVTDLPITA SNNFQKQWRYYTFTIPGKDLGTRLVTTTNSNQFVYKGYYAVAYYAAGTDQVQYTFYFEFQ LTVDKSSGASVDTAFKPLTQTSTLGCTPNAACTTKADTVLKWCTDLNCTAFSQPDLHLND QFVLQQVVTTANMNYYLTGTEVWYTGNGLNKKATIVSVNNSVKGQVIIQLKAEIAWRAVT IKVTSTLSTTQTGARRILVQTTYDPVSGETQQIECIKAQGSEKCPTCEEEKNANGYSSDT CVDGNDDDGSNGYILAAGLFAMFIMV >CAK68445 pep:novel supercontig:GCA_000165425.1:CT868060:50222:51244:-1 gene:GSPATT00037230001 transcript:CAK68445 MGSCNCIPKKLGEEEIQTQRGGSEHQILDKVKQQDAQTFTHEDNKPANDESQQLVQSTKG IRQKLPRISMINGGYYEGEWYNCMRDGFGLHFWADGGFYEGEWKADKAEGKGKLVHGDGD IYEGQWANDMANGVGTYVHAGGAKYDGEWLNDQQHGKGVEVWPDGSKYEGMYTFGKKNGK GKLQFADNSIYEGDFLDNEISGSGKYTWNDGKSYTGNWLNNKMNGYGETVWPDGKSYKGY YLDDKKHGQGVFSWNNGKRYEGEWALGKQNGKGVIITETGERKAGIWENGRRVKIEGEND QTAEGET >CAK68446 pep:novel supercontig:GCA_000165425.1:CT868060:51298:52686:-1 gene:GSPATT00037231001 transcript:CAK68446 MWKGYLSIFGGFLTHLVTGGFYFWGNISMNVSSYYRFNGYPDIETKTVSAVFPAIYFGIA IGSQFGIHMARRFGHKLVSVTNMLCFCASMFAATYSNFYFFVFFQGLLPGVFIGIEYLIP VDNALKFFPSKKGMVTGLILCGFGFTPLIFNPILQRLLNPDNILPVNGYYPEEVAENLMK SLRIVTTIYLAMGLIGAFLMQSIKQSDDHNDDTYNQKLIQNDAQVGVNSQFDILKTKEFW LSTFQVFCLTGFGFILISHYKEFGIVHIPDDQFFSLVGMIGGFTNGLSRFLWSYLLDFIN YKYLVFFNIVMVMTLAATINLIADSKVLFMLWIILTYLQYGGLYTLFPGICTKQFGTKFG PLAFNMAFNSIPFSNLTQFLLTLYFHDQFMNGQLFYIYVGINFLALVIQVYLLATERTRK KLSSVNYTE >CAK68447 pep:novel supercontig:GCA_000165425.1:CT868060:52701:54327:1 gene:GSPATT00037232001 transcript:CAK68447 MDKQQLFAECIRILQITPIRRNPEELNHLLIFALTITFFRELGEQSPEMLQRCIQVLSYR TIKSGDILFKVGDSGSLFYVILRGSVGINIRLPNPDDSQQFELKEVNILKAGASFGELAL INDAKRTATIVAKEDCVFAVMEKHHYKSILGAQEIAKIQNKISFLCSFPFFSSWSFREIK TISYHFEPVTVTLNQAIIKQNEYCNHFYVVRDGEFQVQFSYKNKLCSICTLGPGESFGQE AFLSKEGQFLIKSSIFVQWGKTKYDIVCKSEIGVAYKITREDIQKRFWDSKTQVVFLQLL SSIHHFRSIKAEELIKEIDNKRKKLDEQIHLPIPLKKSYVQKYNLLSSRNTNDDILKDKQ YDEFKQQEKQAIEQFTKLLKDRNCSYAQVKTFFDQKKFVSQGYFKKRFYHKQFFRFASNN SQFRKSANEQELEQMNGMLTQCCNQQNELNINNSQQFNHHKTLSSPTTMGKFIKRIKLKV ATHQKNEDTQTIINIRTHSPNSYRSMNSRRMKTYID >CAK68448 pep:novel supercontig:GCA_000165425.1:CT868060:54347:55334:-1 gene:GSPATT00037233001 transcript:CAK68448 MSDFDQWIAKLKNCQFLPEQEVIQLCTKAKEILISESNVEIVHTPVTICGDIHGQFHDLI ELFKVGGDCPDTNYLFLGDLVDRGFNSVETFLLLLAFKVRFPDRITIIRGNHESRQTTQV YGFYDECQRKYGSANVWRYCTDVFDYLTISAVIENRVFCVHGGLSPNIVEIDDVKSLDRK QEVPHEGAMCDLLWSDPEDINGWGVSPRGAGYIFGGDITTEFNKTNSYDFICRAHQLVLE GYKTMFDDQLVTVWSAPNYCYRVGNQASILELDDNLEKKFKIFLAANVEQRGLIGRHPVP DYFL >CAK68449 pep:novel supercontig:GCA_000165425.1:CT868060:55345:56389:-1 gene:GSPATT00037234001 transcript:CAK68449 MSQSDLDRQIAQLRNCENITEGEVKALCTKAREILVEESNVQRVDAPVTICGDIHGQFFD LMELFKVGGDCPDTNYLFLGDFVDRGFNSVETFLLLLALKVRYPDRITLIRGNHESRQIT QVYGFYDECLRKYGSLNVWRYCTDIFDYLSLAAVIEEKIFCVHGGLSPSIKTMDDIRAID RKQEVPHDGAMCDLMWSDPDEIEGWNLSPRGAGYLFGGDVVDDFNRKNNIELICRAHQLV MEGYRVMFNEQLVTVWSAPNYCYRCGNVASILELDENLAKSYKIFEAAPQENRGLPAKKP IPDYFL >CAK68450 pep:novel supercontig:GCA_000165425.1:CT868060:56474:57153:-1 gene:GSPATT00037235001 transcript:CAK68450 MNYFLTGTEVWYTGNGLNKKATIVSVNNSVKGQVIIQLKAEIAWRSVTIKVTSTLSTTQA GGRRLLEQTTYDPVSGQTDPIECIKAEGAETCPDCDQQCDAQGFASDNCSSMLIFKHHSI CFRAIAFNIGLIYFSYKFVIQKQIKYQSQFSVYQKQSKDYYLLIKYYCFAPSYSYNFFFN SKISKYSYLEKFSTIYILQTQLCCKLNFYSIFII >CAK68451 pep:novel supercontig:GCA_000165425.1:CT868060:57153:57944:-1 gene:GSPATT00037236001 transcript:CAK68451 MGDRINAHIYKMKTIIIVAFLVAFTLGQRLDSSSANCNKYLEKFGTANNADGSGATKLAF TGDIAFVSGSTDVKVNLRYSDVDLFNDATYFGLVQEDGKTAATTCLDLKLWKFTSNTYSD PVQVTDLPITASNNFQKQWRYYSFTIPGKDLGTQVSLNDKFKLIYLQRLLCCCLLCCRN >CAK68452 pep:novel supercontig:GCA_000165425.1:CT868060:58226:59377:-1 gene:GSPATT00037237001 transcript:CAK68452 MKTIIIVAFLVAFTLGQRLDINSENCNKYLQKFGTANNPDGTGATKLAFTGDIAFVSGST DVKVNLRYSDVDLFNDATYFGLVQEDGKTAATTCLDLKLWKFTSNSYSNPVQVTDLPITA SNNFQKQWRYYTFTIPGKELETRLVQTTNSNQFIYKGYYAISYYAAGTDQVQYTFYFEFS VTIDRATGASVDTAFKPLSQSSTIGCTPNAACVTKADTTLKWCTDLNCTAFATPDLHLND QFVLQQVVTTLNMNYFLTGTEVWYTGNGLNKKATIVSVNNSVKGQVIIQLKAEIAWRSVT IKVTSTLSNTQTGARRLLAQTTYDAVSGETNELECIKAEGAEKCPDCDQECAAQGFASDS CSECSFSKIITFVFMALLLAFTI >CAK68453 pep:novel supercontig:GCA_000165425.1:CT868060:60583:61735:1 gene:GSPATT00037238001 transcript:CAK68453 MKTIIIVAFLVAFTLGQRLDINSENCNKYLQKFGTANNPDGTGATKLAFTGDIAFVSGST DVKVNLRYSDVDLFNDATYFGLVQEDGKTAATTFILIQYKLLIYPQPASNNFQKQWRYYT FTIPGKELETRLVQTTNSNQFIYKGYYAISYYAAGTDQVQYTFYFEFSVTIDRATGASVD TAFKPLSQSSTIGCTPNAACVTKADTTLKWCTDLNCTAFATPDLHLNDQFVLQQVVTTLN MNYFLTGTEVWYTGNGLNKKATIVSVNNSVKGQVIIQLKAEIAWRSVTIKVTSTLSNTQT GARRLLAQTTYDAVSGETNELECIKAEGAEKCPDCDQECAAQGFASDSCSECSFSKIITF VFMALLLAFTI >CAK68454 pep:novel supercontig:GCA_000165425.1:CT868060:62651:63634:-1 gene:GSPATT00037239001 transcript:CAK68454 MQQTKKFTIRRSTVMQKQKIETIPQKPVSKIPQQSPVQQAQLENTSNLLTKDEQKKLLNE NEQLRQQLKDKCYEYDKKISNMSELNKQLERELKKREEEIDYFISKIDVKDKEIKHQKDL IIKLKGKKKDYKKKCQEIQSQHQQHQHDPFQQLLEMRALLLQLRMTAQVLPLLQQQQRLR QYQTQAIDVDNMSYEQLLQLEDQIGNVSNGIAREDIRRIRKRVIQQSDNIQGVCPVCQCN MEIGEKYRKLGCNHYYHSKCIKSWLLQHNNCPICKQTVVIAI >CAK68455 pep:novel supercontig:GCA_000165425.1:CT868060:64103:65115:-1 gene:GSPATT00037240001 transcript:CAK68455 MKQNNENNYVRVSYNNFMTTRNRQFGNELTNFQRIPTRSASQFICSKPILKMEEEIIPPE FVNPYVVDIFEYLCVNQHKFMCQTPFYMNLQLDITNQMRSILIDWLVDVHLKFKLQPETL YLTINLIDRYLSKNTIMRNKLQLVGIASLFIASKFEEIYAPELKDFVHVCDNAYTKEEIL EMESKILLTVQFSLTYTSPLKFLERQIQGANLCDKINYASRMILELSLLDIKCLKFSSSL LATTSILLAINMLRSPQVLPSSLHYIEDQEELRQCLSEFLPVISLLKSFNMTAIRRKYQL EKFNKIADVILTILPNQQ >CAK68456 pep:novel supercontig:GCA_000165425.1:CT868060:65176:66159:-1 gene:GSPATT00037241001 transcript:CAK68456 MPRILLLLSCILFLSQSSFVDDIEYLTEINNNVVIGIFTQPSDPDYVDYPSSQYSYLAAS YVKFVEMAGARVVPIPYEADNTILEKYFLGINGIIIPGGASDLDTPTGPSKFAKAVAYMV NRALQVNEAGEVFPVFGICMGFQTLHYIISGYKTPFLYRVYGENGISHSLENGDRNFALY KDFDDDTYQAIQTNQYLYYSHNWGVSPDLYKKYPSLDAFFKITGTNQDNKGQTFVASMQG KQHPVFGVQYHPEKNIFEWKISAPHSYLAVKVSANHIDAFVNYARLNSHQFNAEQLNKAV IYNFKTVQEKNASFVQVYFFKNGELSL >CAK68457 pep:novel supercontig:GCA_000165425.1:CT868060:66306:66706:-1 gene:GSPATT00037242001 transcript:CAK68457 MDKSQPIKRSSKQRDIDNQEKPQSKIMQDTQQSSQQYIITDATFELIIRERDALIERLES DQRQLKSQLDQKKLELYELSQRFASQRGNHLIKLQQSGIQLKQIQPDADAYK >CAK68458 pep:novel supercontig:GCA_000165425.1:CT868060:66738:67225:1 gene:GSPATT00037243001 transcript:CAK68458 MFNNQYPNPMFNNQYFELIRDNQQFQPQKDSTHSLYVDGIPNDAQEREVAHIFRPYPGFQ RVRLIKKQTQKGREYLLCFVDFDDAFQATIVMQTLQGYRFDKNDKTGLKIYFANNPKQEK QNKK >CAK68459 pep:novel supercontig:GCA_000165425.1:CT868060:69010:69219:1 gene:GSPATT00037244001 transcript:CAK68459 MNSQLQLNKPFKPLGDIEDVQIRDFSVQFKSQNSVCKFKRTQRVDFISTFYTGNVPSSSK TPVKGILKQ >CAK68460 pep:novel supercontig:GCA_000165425.1:CT868060:69692:70278:1 gene:GSPATT00037245001 transcript:CAK68460 MTNYIPETKTFGKFDPLFQNKYEKVGPEFGQLQQQKSDYLAANVGKFEVQPEIPSFIEGL VRRTATTSRTPATMAWFRRTTSFERNGIFNIHTPVMNTRILPWVFFIFIAYGWQGYQISS WNSVVKKDSNEPRNTPYDKLSLRELPPAKNWARPG >CAK68461 pep:novel supercontig:GCA_000165425.1:CT868060:71237:71764:-1 gene:GSPATT00037246001 transcript:CAK68461 MINDQMIKRLEKEFEQVQNAIDEGVIDNITVAIQYENNSANYTKWDVVIYGRENTIWQGG EFAGTLEFPQNYPTVSPTYTWKLYSKNRFLHMNIYSSGKTCIDILNDKIGYSPAKTCVEI LKALEDFLYRPNPKSPTNAELAKIFEQDLPKYELMIKEFVQKYMEEKKIAEQKKK >CAK68462 pep:novel supercontig:GCA_000165425.1:CT868060:71865:73061:-1 gene:GSPATT00037247001 transcript:CAK68462 MTLLLATHKACKACCIHEVIFKQLLQDLPKQVNFLRIELEDNVSFIEQYQIKRFPAIFLE TKSGVIYHYNDLLHYETLLSFIHYYLNGLQFTQFHSLQEIESAINNQHNQQSLYDIYIIG LFYDQVEYHDEIKHFTQQCKKLYNYRIKFGFLMQKSEIKNIYSKFNTYSHILISRFPNHY QFVDDLLAQPIVPLINELKFDNSKYYLGHALIVMDSIQDNQNYLLKIQKIALQLPSLRFA WIDGNLNEDRLQMLGIEKFDQEIKLTIFNLDSNANAIYNGDLHNLTTIFTFYKDFLSMDS KHFHQKYSFIKKEQNKSPSQPYIQYNENTLIILIPQYDEHHTKIIKQLQQVNKKFHNQFH PTNSNQFIIIILSFISCPSNHLKQL >CAK68463 pep:novel supercontig:GCA_000165425.1:CT868060:73138:73819:-1 gene:GSPATT00037248001 transcript:CAK68463 MQESDIESQFLYQSIIQQQEGVEEKQIQVSRLTESNQMQDESVQINLDSEIISQLREEIV QLQKLNQNLTEQIKQKDNQIAQLNERVQRQSLLNETFQIAEVQLKQQVEELKKKIAELQE QIISYPPLLQSVSKPNLDFSWVNHMERDLSHDGLLERYDRYKSNKRLAPIQVKPYSEKFK YNNQHNSPYFEQLTERTQNIQRKRINQNKQ >CAK68464 pep:novel supercontig:GCA_000165425.1:CT868060:73869:74619:-1 gene:GSPATT00037249001 transcript:CAK68464 MSLNPIPEVQCEVDQQDLIEKLLCKNRFLEKRCNFYKRKREFLIIQLHQAETPNNKLSES KVSVSSAANCNLPTMPDETDLIQKYQDELQLKETIILELKEAVAALENKVNNLQACNDEM QYQLSKKQQEFVRSDKVTQSVIIPKSTSQTEKAMAVIDKFVQPISSSSFKSTDGKPQSTE EKRKLIKKLSMHAGASAYMMAMKGDYTTLQNASEEIQSNKSVEQP >CAK68465 pep:novel supercontig:GCA_000165425.1:CT868060:74735:75344:-1 gene:GSPATT00037250001 transcript:CAK68465 MNILFEKLNPLVTKCGLDNMDEIEILKGPCKKIGVRPAHVVFICAVVSVASIVLGIAARF LATFVSMIYPAYRSIKAIESDNKEDDRQWLSYWILFSLLTLADSSIGFVLEFIPFYHVIR LALFVFLFHPSFNGAEKVYKTVVQPVYLKYHKHIDQKIDQVTNKVKSQSIY >CAK68466 pep:novel supercontig:GCA_000165425.1:CT868060:76718:79672:1 gene:GSPATT00037251001 transcript:CAK68466 MTEIFDKVKRPTEQQIQVADYSCEYCLQSDVNAVAQCLQCKRWYCNCATKSGSHIILHLI KSKHSQISLHEQNKVQITTIECYICEQKNMFTLGQVNVRVDEEENILILCRGCLPLKQSD KITWDSNDYEPLIRDKCIKEWLLGQGEKLNSRMVTLERINQYEEERKQKPQLKFEDFDRK GPNQQLKEVQLRYRDANHYQQVFSPLVKLEEEQDKQVKEGQVLQSVKVKWDLSLKKKRLA YFLYGGREEFDTNTLQGSEMQLSLKSGNSIWQSKGTVVKVINNEEICLELHQNDPPPNNT DEGYTVECIWVSTTFKRMQIGLKTFNLNESSTSNYIYKMILGRIDTLAPPTKVENIPQKL SAPNLPDLNVYQADAVKKALKSPLSLIQGPPGTGKTVTSATIVHQLVKALEKQKQRGQIL VCAPSNIVVDQLAEKINKTGVKVVRLCSKTRESVSTTIEFLTLHNQVRSLDIPQYHQLQM FYELMDQQGELDQKDEQVFIRMRDEAEKEIIEQADVICTTCIGSADKRLKDMRFPFVLID EATQAIEPECLLPMIKGAQHVILVGDHRQLGPVVQSREAASVGLDRSLFERLVQLGIRPV RLQVQYRMHPELTVFPSNTFYEGTLQNGVTISDRTHSGNFPWPNKQKPMIFINVQGQEQL SASGTSYLNTQEAVAVEQAVYYLYQNTVKLNKIGIITPYKGQRTYIISYLQRNGQLPYNQ YRDIEVASVDGFQGREKDFIIISCVRSNDTQGIGFLTNPRRLNVTITRARFGLIIIGNAR VLCKDNLWNNMLNHFKDLDLLMEGSLPNLKPSSMKFRPPQKFIPERRNNTMNGANDEKSV YSYAQTDNLNQFDHDLGDFPLTKGIRNSEFGFNFIPDTQAFVKVADQNEIRKNQDLKEID QTNVINVGQYPSSIMQIGTFQNDLQLDV >CAK68467 pep:novel supercontig:GCA_000165425.1:CT868060:79821:80738:-1 gene:GSPATT00037252001 transcript:CAK68467 MNRSRRQELLQLVNKKRSFLSPQMGKSITNKPFRRQSCRCTECGGVGPFQERQNAQHPQT YIRAHHCKKRKRRMQRRKGTYLTSTSLNVGQSENNTIILQNAPKLRKAKTISITKELQQT IRKGKRLLTKDDQQIKYEFFYNNELQLSKGFLENSSSSQTYEAALISFVNFTKSPSFVLN SYNNIINESKTSSDDEQHQKHLQDKQLQFTTPKIKIKNNSMHSRKLTEGMMHPNINKTVQ QFVQSCTTIRVLNSPKPSKQIKLPSINSKREQSKFSVYLLSSPRRAVMELKSQITSQKKI KVLKK >CAK68468 pep:novel supercontig:GCA_000165425.1:CT868060:80864:82894:-1 gene:GSPATT00037253001 transcript:CAK68468 MQLFAYSFIVPSSVSIIYVILMICRYCQLKSDIVADEINLNCSLKFRVSLLICDIGIQST QCAFIFLCMNCEEYQHYILIILFVNMIALILQIFLMIFEYKKRVPLFFVHKLYWIVNWIV LLIIAALIIYSEGYFLDVILISCRLLIISILLIYTLFIRKQDLVEFEDLGFFNSELPDID VSSQEQKLRISTLNNLQTQFFSVELKKMWNIVDNDIEIKLNIHFAEKEKSMKLKKRVSEL FDMHSKLVVECTAYFEQHNNDQLELNFLIKQISESTDLQLITYIQKYLNVIMSKIELICT TFLDFIELPQQERELLDDIKLQNRQLHRQSFTKKQQQTNFPYKKWELQQPYIPYVNVKIK EHLTIKQHGDSYIQYRIVIKINQETLVTQKRFREFSELNEQLKQQGIKYSSLFPQKSIGK LTDLDVEERKKDLEIYLKVLLNDRSNHNCLPLFKFVGITMVQELFLKKQHQRMQKDVEQV VQSTVKFLQFEDVQDQNGDKYFKYQFQIVNNNVFNQYHVITKRYSQFDELHSILKQRVQF LPLLPQKSSALQQNVNPNQRSGLLLQYLNELIKNPLVCENPHFRQFIDIPFFNFESDEAT IPETANQFNQILRHLDNDSIVSKIDNMKVRLWD >CAK68469 pep:novel supercontig:GCA_000165425.1:CT868060:83823:84664:1 gene:GSPATT00037254001 transcript:CAK68469 MVCRHPNCINKGAICCRCQLKYHCDNGHTLESFVPLDEVLILCQTQNPKAEYFEDLSVDG MLEVQQLQSTLSNINNSIQEQLKRELLILGQYQKPFKDIYGIISHYSQIDGDTSRTDDGT QGGMKSDFMAIMQYIADRIIVVDGEFAIDQSDMNNCLTQIIERKRKNLSILQKLNKKSQK QARIISALFQTGCSFGLDQHIPISQFNQWVQLLRHCIIGDPSEQFNNGWHLEREILGVLC NRPIQGSFQRESQFLFKIKVDFKLGQFWM >CAK68470 pep:novel supercontig:GCA_000165425.1:CT868060:84794:85633:-1 gene:GSPATT00037255001 transcript:CAK68470 MIIFTLFTIIQSQIAQLSLNGEGLKEQLLQMIDENQLDCQTIQLLITRGFGQQILDLITT DSIILESHLQCAKQSIQNDLLQLESLKKRFTNHENHVLIKPIILWAQSRANILIKVKFAH RIDAPAYISVKNHQIQYLNQNLQISAESDQNNILTKFYLEIPLLNQVDKTIGWQVESVGT MVLNITKIESKLWLHLSKNKNDKFQIWWDLKEQIGKDMEDFSKMLDNQEDHLKNKKKQQK KSDSSQQSSPIKTNIFVAFFLKFKQWLNKWF >CAK68471 pep:novel supercontig:GCA_000165425.1:CT868060:85668:86845:-1 gene:GSPATT00037256001 transcript:CAK68471 MTVQEFQLIPNNDLLKQYLHYKVSYIKFKLIGHTQSDIYIYIYIQIIYIYLLTKIKAKNG LSQKTTIPSSLENWDFDNEQTTCQEEVAKKDLLQGHSPLKFAYEANVLTKPGLFVFGKVM RRHMGSSESSRATMIEDNRSCCCFDCSKPNKKMKNLQKKFGQFRTQMSVVRSQRLHLRFQ NAVYCILYLIYKRRKQKLLDKQKKMFRYKTKVFLEGSPLLSSQHQQHQLNGHDEKHHMNI HSQSIQAKIIDQRHKQRQSVRIYLQQKLHDKDSQLTLPKIQKISQSSFANIQPLRFSKGE DQKSPRCSTYLKISHQTENSPSAIQHSPYLNHLTSRNPIDTIIQSSFSRTPRPVTKSISS ELLIQKHQFHQRKNTAATLKGLV >CAK68472 pep:novel supercontig:GCA_000165425.1:CT868060:86902:87273:1 gene:GSPATT00037257001 transcript:CAK68472 MKVKMDPAQQSKRIQEVIQIWNNIDGLFTQMKSTSKISNAQIQEHANNINKLLKSHPNSK FLETFFSHFDQFQSKLNEHINEHQELGIQGENIFSNLDSLNSKFTKWGYASRLGCTQSLI KYN >CAK68473 pep:novel supercontig:GCA_000165425.1:CT868060:87611:88724:-1 gene:GSPATT00037258001 transcript:CAK68473 MSKWLDLSLTCTQLNLNQSVGNTCSNRSPMNLIVDLYKNSRYGQLYLGNINAANDIKYLR EHSINAIVAVIDTSEIRVDPSMTRLWIMAEDAVNFDLYRYFDECANFIRDHIKNTNVFVH CYAGISRSASIVIAYMIKHLGYSLKEALKKVKGARSIVEPNSGFMKQLQDYEYKQNLNSH QGTRNGASFHSNPRGSVTSASKGSLHSAKPSFLDRMSSGYKETKRESESPSVSQFYVNGS AKQTNTNNNPKSFFKQGSVGSLLKQTNNDDFFRRYQKQKNVENIWNQGMSGHHKSAIEIK SDKIEQVKLTLQTFGVKNVFSGSNNNGLHFHHKGLSQG >CAK68474 pep:novel supercontig:GCA_000165425.1:CT868060:89901:91241:1 gene:GSPATT00037259001 transcript:CAK68474 MNRERQFEVIKTQQVNQHLLCTICREVFYNPIRATCGYHSFFISRHTFCGTCLVRWIQMK KSCPLCRHRLERNYQFDKDILATKIVGDIEVKCLRCQLWEGTLAQFKQHKKSQCTYISIN TEIHQDAIEIGDDDDEFTFANLVEETEPQIKQQIIENFGQQILISIDQSQNQEQQQQSQQ SNNLNQNHQDPPLETNSNNINLNSNQIENLNQNPELNNDLPQQNLENNQIDNPPQEINQE NQISLSIIQDDSINQQNTTHQVDQHINQAKQLNDQQQQDIPQIPDSNQNETEEKPLSLEN QQLILQDNQDTSQNNILNDEASVHQDKLQQVADNIQIQLQTEIKDDSPNYNQTNQQNLNS AEQFKTNDPDIQIIEPPIKFLNNKDLKKFKATNKFKINILKNNVKISEPMMMEEIHDSIF FEFVNQMKKEMVRKLSDIQYYNTLLG >CAK68475 pep:novel supercontig:GCA_000165425.1:CT868060:92648:98973:1 gene:GSPATT00037260001 transcript:CAK68475 MQQITSSLLKVVSTQIQDLDSLISKQEKVINEQASEIKSLKNRINQKEMLSQPIFDNPID QLLTYPKSLNALGLQIQEEFEKLKSQLQEKEQELHLLRSGIEGQRRSYKPNTTQVDEIIK QHEEIDDILIELEKKLYDKKQQKQELEQIINTYGDDEKILKQLKQQISVLNEQLVVEKAY NQEVRIQLKKLQQETQNLNDQLEMKLAEKQDFEDQVAKTKSQLDYLKSQNIMLGIGTPVK GETEKLIAIDDLVKQNQAVDDLMNELQTKLLEKQQAHNQLMDQLSKQNIQENEQNSSTSL RPQSLSPKKELISQLEVEQHECEIIQQQLKQKQLEIEELRDQLEKEEQEVFRLQYKLQEE IEVKVSVEQQIQSVQSRQLIIEPTVTSYIQEAPTINVFGPQTESIPQDYQFQIDQLKETI KQQESQIEFLKFEIQQKDEIMNMSQHTYLQDLNQQNQEVESRIQELKKQLSYKIKRKDQL ESIVNEDQKPRRKSFVQNTELMDLQEQLHEKNMNIVQLYEEISRFQKQLYDKEQELQQRD VELRYQALMKTNEDQCEKADELVKKNLSMDELIHSLEVRLVEKESKLKELEYLNSSKNFE IESNQNNNSFYKDRESIIRDSGINSYDQDQDHQFVQQQQILAGFNSGLEHLKSRVECQKY ELQEKDKIIEQLKQQLNDLKRSNFNQQILATQDVSVINRQINVLQEQADNLVKQNLALED VIHELEYKLQEKKNKQEEVQQIIQNMMEEEVQLRQLKSDEMVKKNLELDEKIQQMESRLI QKQQQQYELEQRLSQHQRSMPQRLPVFHEDQDIIQDHNSNLSELTIQIAQITESVRQLSQ DDIELEFLLKQKKEKQSQIEQLKLFIQSSTDVLQDLQSEQQIKQEQVEKMKSQNKDIEQM VNDLQNQQVNLIEDVNKSQQNVPNWLNQNMESSKRLNELQKGQQKVKEQIKNLESLILDL QIVVKEKQEELQRISQENYQLSILTRKQKQKRDHLLREQMPLGEKVGLLEQQVNDLVAEN KRLQLELARLFQEAESAKQVKQTKEQQISALSIESSQLDQNIMLLKDDIEQAKILEQQLL DQQNQYKQKNALIEQEIKIYTEMNLDGANINKKIEDLTHQISEEKQLFEQLIEELQIQKE QVLLIVPQKDEIQKLYLQTKQQYDQSVEDLSELQKQQQKVQDQKNQVTQEVNYLKLFTEN QEKQLVELQALRIKLDDNIKQENTLKNAIQDKADRIQQELDRNKQAIQTQIQILEKQNRE KEQRQEQIQKIQTEIEDQKNNLNKLTDQQMKHLYQLDQIAKLDKDMERLMKDVFEKEEQV QQYAGLVYQKQQKVNDQKQKFNQLEDELKFYEREEEEIKKRISEQDEKLSKLEREFQIRS EKRSKFEDEIAKAEMDLSNLEEMLRNRDEELRNFNESKVELMKFKQQYSTIQGEIREKQE QIQEFEKQRSKLSEEIKKEQEQLTEINQEIYQTKVKEEEMNFQIQQKLKDLDILNDQFRK LDDDAKMLEAVIQLKEKELKQYQDKKETLKKELDIANFQVVEVKKQLNKKKQQKPIEADN NEVEVYEDALSQQISCLNTKNLNLKDDLQRLVQSQSQPIFQTMQQIEGTKTQLEELQLTI REKDKKLDILEQQLQQLSMHQSKVTIQSGFYFDDEPLLLELQDKFTKESEMLDDLQQKLK RTAERHHELALKLQDWAEKEHKLAIELDQRRQCVEKIEKELEVLFQKQSDLESDQVNLQQ RMYQLELDNQELNEQEIISLNRIKEKKDYVQVLFKNLIEMDEKLLQLRNRMAVYSREGRQ LAEQVENLENEKEMREESLQVIQEELESLELEKGEKQSMIQQIKKEIQDQNTEKDKLEIQ YALTHSKNQQLKLFIGVEESLYKKMQTEFEIQQKRDQSKYENMFVCNSTQTYYEVDQIEV LMDNLVGQKITKKELQKLKTECYTEIQQLFAQNKSYVQDNVNVRNLIQFQQILIQVVESE NILLSEKTKCRKSLTIQSEEQNTFTLELIDTIMNSSSLIEISINEHSLNIGDKRIPRQWI VDKYFDYNHQIYPLGVLYIVYKVSLCQVITLALTGTKIIQLYSQI >CAK68476 pep:novel supercontig:GCA_000165425.1:CT868060:99001:108181:-1 gene:GSPATT00037261001 transcript:CAK68476 MFEKLIENILQQVLGEYIDGLDQQSLKVGIWSGEAKIENLRLKPDAFIKLDLPFLVKYSK LGTLNLNIPWKNLASAPIKANLDTLYLILNPQEAHDWKFGCVTGADKLIEVDSFKQKLLE RISNKEQQDGMMQRIIIRVIENLQISIQNIHIRVEDAQISYGFVLQNFTLLTVNEQGVEQ FIDRTSNKNQQLIKSLMISNIGLYWQSQDGIQFSESLFEKEIPKHDYLFRISLQSKVVQP PKQSENLPDFKFDLDLQSFDIQLSKPQVQQIINLTHYLNSYNRAKIKFQKQQLMIQPLDE KDQGRIKQILQSVQLDKKYKDALNKDQKQELCAILEKTQIEEVKPIVLEVIKEQQYEDMK QKALKKKQPQGFLQNWFGKGKQQQQNETPTLLAEESEEIQKFLQQNFSQDESSQANQGKV TQIVVNGKMRQGTIILSNPRQQKVDQIKIIFQDLKCNLKSDRDLKVDLSLIDIIVLFNDQ QFLTNTSNDNSKPIILLNFNLIESTTTQIQLETRSSKLRFHPDLITVLSDFSNVDLKSNQ IKNMANDRIQELKQNAQAALANQVIVEKNRWVNITMEQFYFEIPLNNSQESWLFCPGLIN INSSIMNGQELFKVQISNIGLKYLSQTQYSVIDNFTILLDLQLPNHKNKNLIMNGNIQEI ITNLNPQIYQKLKKIEDCLTPSISVQEYQKQNKLAEIENKILIENATNIGPLYIKEGPLN QWVKYTCVMDGSNLYFYTNPKSQQPIYIKYVRNSTIVEKGIDEFKMNVLKIVNENNSFEI GIQNQTQIQDLIQKISSQNDLERQLLKQLENEQDKQLIEPIMFKKFINFTISVVQINLQD SKSKQFLVLKTNDLSLRMKVHSEFLEAQLSLSGLQLQDSLRQYCKQQLSNLIISEKENGE LIEIQFHQTKRNSKNYKDVDKQIDLKFGKMHINFKSETIAYLLQFIGHKKKDNQQEKSFN KMEKEFLEAEKQFLLLKANVQINQISLSIIHEITKLPFLDIQFQDSAIEILKYQDELQMQ AILGDLQINDLTNHPYTLTQEEDYHLIKPYQILGIKQKGQSLLFVKIILIEPLSKKAQLN RDIINIDANISQIVTDYQQQPILRIIDYIQNLREPFINPYSFKVQGYSIENIYKEIVQPS IIIVEPSKEDSIKITQDPPRIKLRVKIDNPQIIVRNTFSNEFMIINLGMISVTNIKQQIN SFYKDIYTIQITQLNITGECDSEKSIIASDFNLLLTVTVPDLVKYYKQISNYVDEAFYVN CELSQFILNVDRNTVKLINRLIVYNFTLNDSFNQYVLLNTQKSNQINQEQSKIILKQDVE KRTRNQDYFIKLKLKIINVSIFLCLHRPLIRLSIVDSNIEFKMKRDGKKEIVINISSFNS CVYEQIDGYYVSKPLIGIQVEKKYDDLHNLRQLIENASNSDKESGKIEIYISPTQNQTHN KLYLKFESFLLTIQTKIILQVLTIFEREELSQFVNTQLQEHQFKYDEYLRSQQKEEEVQP TDSQIFITLGDVIIGIPSNEDSILTFTGKFNIILNTLNTVSSDELLCLIKEKSLNYNDQN DMNYKIKSSVSVELVQAYVASKQQLYSQQFNQIENKRQIIWPFTMLLNQSQQLVLSEDQD ALVEKQSIRLSLSPFEFRIAFTDVLFIQNQINNQLQIINELTNNDIRQQQQEMSNQQKQN KLQNIDLVIDGIEVMILNDIENHYQYITKFTLFQTETKLDQTSRNFKFIINLPIQLLYYN QNIGIWEPIIETCDISIIYIKDLAGFDQVSVNQLDVLIKDGVNINISTQMISTVYPALTI LNQRLQAKNINQIRFQHNSYAKYAIYNQLGSEVLCETQDNKMIIVQQNEYKDFDINNSST NHSVNLQIQGDKIPFEITNWNINKLQQKIKKIGENSPVLIKNFVDQLKNQRVIYLSSEFI FENNTQTHLEIVFKDTKGNLHITKLQPFMQNLNAQQITSQANQFVLPQYLLQSEFYIRKA LKNQEKSQSYNMKYLNKCYESKEALKLQLNENFFINLNVRKDPGFYGRCIIYIQPNFRLQ NLLPFPVTISRLSEYKQIEVAKLQPLQYLDEHQIKSTKESHSILIDIDSYKQSQPIPILN SRNFKSQETVISLVGEEGQMTKGGKSELILEYNYTLFKQSGLCSAVLFAQEYIKNETQYD FIVCQGKGEQYSFIGGQMQGSLIRPLILNNSNKSNDIVFSDRGSPFLYSRTPAQINSIGT TASDIIFKRKEVFYIAPLSVTSEIKLIQETCQKIVSIKNRIILVNNSDYSVCIKQFKVFE IKPHQRMPLEICFADKIPQSSEGIYQFQFTFMEEYNWNWSGQIECNQIGVFYLQLRSNIY NEIRKFAQIDINESNGVLFVVISETKHDQTPYRITNQSNMITVTVLNLPAELDCNQDCYF AWDEPTRQNTVPLIIYPKINQYEVVEYQFRIDKITDPIFFALRSKIPNDNDKVIIKLITE MNGFTRHAQFIDSSEEEMKKYKENQGKEIQGPQQLKFDIVITQVNLSLIDNHLSYPQEII NIVLYQSEAILLINKQNKAIFQCKLTALQIDNTSQLHPLFPVLLTLLPTGKVKQQFPILN VLIQMNLQAKKLQLIEKFSLETNRLAIKINDAILKTILKTINKMIQIRDNQQQKLQQKFD WKLCPLPDKLIPTYFGSIAIYPIIIQVTVQWSNKKDQDANNSMFTSLLSGVGFQLASVDE AEIALKGIQMDDVFDSIHGLSIKLTQRYLSNIQYQLPAILGSLAVIGNPTKLLKNFVSGM QDLIERPIEGFTKGPLEGGMGVLKGATSLVSHTVSGVFNSVKNVVGSISSGLSKVTMDES YQKQRQIQNQQQARNVGSGIQEGSVSLVKGVAGGISGFFSKPIQGAQQNGASGLLKGLWQ GTSGLIIKPVTGVLDVISKTSEGVKNQLSSDGQPNNNRIRYMRPFYESDGYYKEYNWIEA ECYEVIKGMKNGKYENHRLLKVVTIERDNKTYGLIQTDLSLILFDLDQHQKLWSILYKDV ENIDCRENVILLKNKKSKRAFQGKSAIQLPMSSTYQSQQITDEIKFMKQQL >CAK68477 pep:novel supercontig:GCA_000165425.1:CT868060:108201:109451:-1 gene:GSPATT00037262001 transcript:CAK68477 MKKQQSPEMYLIKNDQFWHCQFISLKPITIQIRNGFLNGNLEQQETIEISLTKFPTMERG IEVMSDQIQQKLHKGFREINSNDILKANEQQFQEKMVATSKKDLMQQKILKKVNLPEQRK PVVALTDDATQGKLVGEWPKYRARTHFGETLDLGPPGDTKPIACLLAGQWNESINPTNYL LSEKLDGMRIIWSGCEMFTRTGNSLNFPTSFVEGWPTTYLDGELWLDRGQFQKLVSIAKK KQSDYKAWKDIKFMVFDAPLLDEPFVNRYSKLKKAIEKIKNPHLVYVPHVVCRGYEHLKE ELALAQQAGGEGLMLRDPSSIYEGKRSNTLLKVKTTMDSEATVIDHISGMGKFKDQLGAL KVQTDAGITFQIGVGFNSKLRKDPPKIGSRVSYTYYGLTDDGKPRFPVFERIREDI >CAK68478 pep:novel supercontig:GCA_000165425.1:CT868060:109581:111229:-1 gene:GSPATT00037263001 transcript:CAK68478 MLIFLLLTIVQSQEYNISADSEKIIDRFEQYGEIFFQEMEYMDKSFQPCHFTKQQLVQSS GLNIDIVESFNETFFVQHYEEGHLSGQIDEIIAAVTIKNGSIVLTRQGKLQHLLITNTIT LGSSIQINITTTKKVHLQYFSKNRVLVIIADQETLAFQLSNNTDVFEDSQKLKLYDKFNV DQISSVTTVNDIMFIAMGKLGISIYQFKEKNFQSIPWVVNGQTSSQLQNVVDIKVFSQSQ DQIYTIYVLDKNVGVQQYIYNSVTTLISQNTKLGTIPLAGDIFDVKQSVLIIIRHQPTFS SVNELELDLTNNTYRQINKYNTFKDVQDVDILNKYVIILGQNGHQVQRHSLPQKFSNQSS NSIIIPNLQQLDYIDYKNKTIIFGFTKHRFFYSSIREAPSLIVCQANYTEMLSTTFVYKQ KSTKCPDNLNITKGEYCQIQKTYTINFIPPTRGGGYYYIILIYVVAFILGLGFMMLICQV CREFKKYENFVKYNEMVENDSIEMEGHNLSPSQDSKKQMKFEKIENKGSSMTPVVYEERD D >CAK68479 pep:novel supercontig:GCA_000165425.1:CT868060:111260:112852:-1 gene:GSPATT00037264001 transcript:CAK68479 MLIFLTLSFVRSLQQYEILIGNPQIIEYYQTSGIIIEQNLTSSVDMNLTTSPCQVVPQQL INQNLLQQSLPIIPQYKAEQFSQFFNDGQFSGLIPRIISFVNVGNDVVILTNSAELIYLQ FFNETFVVADKINLNINLTILQYPVFMEIIQNQLIIIMYSDTIGLTLGAKAENIFEKSFR IYESFNTNKIMSVAVVNNMLFIAMGVGGLHIFQLNGQVLGSIDFQYSINNATDLKVFHQF DVYFIYLLDYDLGVASFIYNPKSTLIYKNEELGTIPFSGDIIDIYNNTMMIAHFQDQQTI IQEIKLNYSDFSWKQVNKHVINYIIVDIEILDHVAITLGQNGNTVIFHSIPSAFQIKQQR FIMPSLIKLNFIKTQGSLYLIGVSLHNFYFSKLELKQSYLYCYFEHEQQVELSYSHISEC TKKETGICTYNQDYIIQSIKPIITTEQKYLVYLILFFVASAFISIVVVLIKEFRKYHRFV DNLEMNVQKSNEISMETFESPTKNFKYNQTKTHSSQFSIISNISRLHQVQ >CAK68480 pep:novel supercontig:GCA_000165425.1:CT868060:112907:115125:-1 gene:GSPATT00037265001 transcript:CAK68480 MDQEYDLQLQVTRSKINQKSAVPRFAFQNNQQDFDESLKHLSLQQTRITAFPDGLGKLKK LISLNLNDNYIKQLDYNILDGFKNLEILFIRNNLLQEFQFGKFKHLQQLDISQNHIKKIS ADIGDLLQLDQLFISHNSFMEIPKSITKLKCIKVINIDTLNVFQLDWFKYGDPGVQELFQ SQELIYQLFHTIELCCTGETISAKQLLSSIAFKQFALKYQDKDIQIQYKIHQTILDEDIG MLRILLSEPKKFQIDEINQDDYSPLGLSIWEEKYLAARYLLYANADPNKGASWAKSCLNI AVSKLQYYLVFDLIKRNVGLHEQDIYGNNSIHYLFCVYQMNEAEAQKILQLLLKNGVNGN HINHNGYTPIHLAVQKGYIQVIEYVYKQKEYKFNFRQKTQKQKHNCLHLAVLTRQIYIVK FFIYKFPEFIFQKDYHDLIPIDYLKNDLTIYKYLKGFQKVEIQHILTKNEIFYQSQLSDE CDEIFSIKVQSLAQLDNLGLENKIQTTRINEITRQIPLSPNNLKRLEEKNTLMNPQDSQR IQCQIYKGIKKIAYEFDRLQYNNIENLLNQDQFQEQVDDLIQIKKLLYQLNSIKNIIDSN DIYNKQWHFQLKSLKYQINKHVYSERNLEDQKKVSNQLLQIFLEIIKKVEHLFNKKEKID SLLSDFVTTQFLSIHSGFGLGKLVVFLEQNLKESTSDANHLELLFIKLQLQHLSVKYNIF NQKQLMNIFTI >CAK68481 pep:novel supercontig:GCA_000165425.1:CT868060:115303:117645:1 gene:GSPATT00037266001 transcript:CAK68481 MKQWEKQQSQKLYEKRIQHAKAQVPVSNKKKSTKVNESMNSMNSSISGFTHKEKEDKESI PLYKLLKLYQLQQYAQQLITRGYGYDLIKFAMLTDNQIEYLFNDIKVLPGHKVKLLDLIA YIKEIITPSNSSKFRNTSYTKKNSKIITQQKPKTSQTQRIKPQQQQQQQQQQQYQQQQQQ QQQIQAINKQKQMKITNIGFDFFQDESDYEDEFNQALNQIMEKYKGDTTTIINSNNQTLQ NQYSKPILTKTNNFLQTTTGPILSDTSRTHTKPKAKDDIILVSKFQDDKLVTEVKENKLI KNLEKQLNQKRRKRNFEEDENLPDLQMSQIKHMYLSYDTGKLTSTLVNLDIEEMSNCLAW AILKHIQFSKRINYSTMKNSSIPFSMDIRFRGTGMNYQDTSQNPIEEPTQLHLYSEQQQQ QQIGLFQSQQISKLDKTNLSNKQNKTLAQISEKTLSKDENEFEIKKQEIQDILKESYLHN EQFDNEENDDYQQDYDVCSEEENDNENQIIQVQQNESDSFSPIKPKYIQHQQQQLVKVNN ISEESLQHIEDSQKIYNYSQSMQYQEQQSLFQSKFDFIEMEEDDKEIKLQYQKIYFDVNS EQVIPTAEVVSNYCKNVIITSKMEKEVTILCLVYIERLLTLANISLEPQTWKRVVLISLI IASKIWDDESFENDNFAKVFPQYRTKDINEMERIFLMLLDYRLQVYPAEYAKYYFILRMY TESKKRSFPLRPLDLNTIMKLQKQSNQAEFGLKQKYGQSLNKSF >CAK68482 pep:novel supercontig:GCA_000165425.1:CT868060:118197:125680:1 gene:GSPATT00037267001 transcript:CAK68482 MIFIIQQILIADFFLRGNCLDICNQQLSYSSCINSIEGQCMWDSVRNHCQHTNDYMQGCS TFLNLLACQKQLGDALGQFAKCRFKKFCENIPDVLTETCYNNLSKYGCLSVQNPKQICIW KNQKCYFLGTSSQSSLIQNDFDKMMYSASACSLIEHYLVIHSSLLWNLTSYIPDLQLEAF QQQQRDLNIIDTDDINFDDPSLNEMQNNYLTKNGKFIWYKLGKSLQFSQTNLQISNRFRE GCIALEIYDDDDFYSIFSVQGEILGVNHVYCKYLNQNPQINQKYIFIDNKCVKFDTNELA NQRIIEEYNINCKSLDKYQCIFFNPKEYNCQIEDDQNEYQCVEVQNDYEIDCSDAFCTIK QCQKLDNHYIDLGTNMCVNTCTSISNMNECLSQDCNYLGVKSTQSEIICAPINICNQIGL TKSGCIFLRAKCDWDNNENKCYELQDNAISLMKCSDVQNLHVCTLISLSDQLCYWSELMM KCINILDQPLLMVQTIFMDRNLDENYQQQISLVCNLNLCKYNSLLATEFDEVKRVCLFDQ LNLNKNLALINKYDCLHNFKGYYLWNQEKQICQKFEQSQIKNQYCLELVDVNERFCQYSK LSSITVRCVYDQITTSCIEKTENEVNILGCSGNGLSEEKCLNNNIKGQFCTYQDELCMEV SINTINNLKCSSLINVNEQICRMYFINKTLCKYNEVTHSCIELSSTDLIEYNYNLNRYAC QAVSDSYTYFDEQINRCIEFDSTSDIYLMKLKCEEKYVNKLTCLSIITDGQLCIWSTSLN QCKNYFDNFPSCSFFENGSSQTCVKLKNMNKQESTMENYCVYQDNKCISKIENQIDCGDE DIMNIHRCSGMTGIDQDGYFVQMCAFVAKKCITLIDSSMDTEIILNTITCEQANQKSCGQ VKTPGQFCYITKSSDINNIIINKGCRFQKLDKKYCYLLNYKYGEDINLLNPKICSQATDE CIYDSAEGCMSIYDTTLNYDCEQPGISYKLCISQTKERRCAFIDKTCKYISENYVFTKDC KYLNEFACSSSPFIQCVWNEYYMECELMSFYYIESITSDYFCSSNHDNIFMISGETECIE IDQADYNLYTCDTPGLNKYGCYSIPTQYCQYLNNKCQFYSSVLCDDTTFECESNDTNDQQ CVFKDGQCFAITNTLFDCDSFEKTNYLFCLQYPNCIYKDSQCQQIKQYQKYTDCQSIDNV TTCTVQNSEHQCQYIEECDSLDQLLDICPSLSGYYSRSVCSNFQDCQYGYTKNGFGFCFQ GQNIVELTCEQLPQDLCLSDLSHLNGDLTCFWDSTEETCRNVQNYNILTCDDLSQYKSSY AACMHIGNNDCKYSFQDNKCKLVNEYFGTTCQGSTKQQCDQIESICYFKASTCVKSGTND QINKYGCIHQTGYYKYHQGSCKLLESTDYQQSCQNLSKDACLSELTKEIHCRWINEKCKT VLIQENKEISSCSDLNQRVCLEVALSNIQCKWNSEIKSCETIIITQFDCTLTDQTNNTSK SLCSGQTSNYCVRRLDGTECSPATTKINSCVLFGLNLNACVELTNDVPCIWKQYNNGGYC ENANLYQSQCSDLLNEKACMNVKNLGQHCKWDIDQKRCQFQEITTCSSASYLNGILPCKA VSEELCQYDELFNQCKKIIDTLTDCSELYNQQACIQSSKGCVWNLNSCKCELFLNKFVCL NNQQVGCQWVDNQCESFESIYQKIYCVNLPKGLNKFACLTNAMDPCNLNFQLEMCLPSQS FSQNFDDYVSVSQLLEIQTLDYPQPLLFNQCEQFETKHDCIHSRKEDQPCVWIETCQRVT NFDKLKCSDALNIWGCLGITSTNQLCFWNHKCLNWNQDNPIMSNVNINVCINHSISSIYS KNSCQVKDLQTIDCTSVGISKLTCLSIPNQPCQWIASLNQCQKFVQDNKKNKCSDYQLVS PFVCQMLSDYACIHDTDTFSCITTDQDNQMIGLSKLACLQNNQKPVYWNETNYCEDLKIQ ITCDSKLQVNSIACQSISDTPCLYNNKLNQCISQFNVWSLTCDAAGLNLHACSMVLQEPC IFRNNKCQKFNDYQSSCITVKNVNALACASIINENCIYDSVKLQCNVPIYQSNTCNVSGK NKMFCETNSLCNWSQEKLMCTCIEFQSEICKFDKINECKANSNCYFNGNQCTRKQCYHLK SEDCNSILDDKQCYLNNLNDCQSASRCEDIIDSKLPCSNYVINSIQCSQAGNQCASSNNL DLYCPYSDCSNPKCKYQFGICKVRTCSDYSIKDCNGVGGCYLDSNKICQILSSCSLVNNY GESAMNICNQLSVQGFRCNWQKMSLLDSIEVCTSQPCQLYGSSKSLCHGNEINGYSCILS NSLICSQCEQIVEKCQCENQQQICSYANGKCVSILCSSFLTKVECLQAQDRCYWSAQLKE DNSIVEKCVKECIKIIDSDECTSRSKECYYEPLQMKCMKGQKQVIDLSTDIIIEEFYSHV MSTLILIFILLS >CAK68483 pep:novel supercontig:GCA_000165425.1:CT868060:126079:126314:1 gene:GSPATT00037268001 transcript:CAK68483 MADVREQRIYCAEQIVVPPELPVILKHYAKEVIRNKPGDIVDFSAKYFRSLLEKRAKEHE FSEIVKQ >CAK68484 pep:novel supercontig:GCA_000165425.1:CT868060:126350:127371:-1 gene:GSPATT00037269001 transcript:CAK68484 MGSRGDIVIELAWVPEQERGKVFTGEKVNQDPEYLEFKEGEVSVDDDWSFEETQYLFNQL RNYNYNFIVLSDRYSYKNKNRDIYELKDRYYGVVNEVLQKRNETQHFLYNYVYDEEYDRF RNMELEKYLKRTKQICDEEKKLQEDLRKVDQQIKKQEREHKSLCKSINLQEYDDIDDKSI NYLIDMSSRNEEVKKTTTERIVYLRNKWINEALPLPTSIKDKLDRQLKEVLQNTKLALNQ EIEELFCNLRKLQLGVLSQQRLQKRREQDKRYLEDKIKKLKAQHDSQQASDMKTKK >CAK68485 pep:novel supercontig:GCA_000165425.1:CT868060:127397:128806:-1 gene:GSPATT00037270001 transcript:CAK68485 MFCEHKGKQSFCIGCQQIICEKCNCCSDPKKINLPDNDLNALCSRCDQTSAQLRCEQCKF QFCEECYQSVHNIGKFSNHIKIAINQELHQQIVKQLSIIAEFEQYSQDQMAFVLRYFDQI QEVLDRKKKQLIDTISNYQGQQMKMLKQRRNVIEAAVKINSEQSFEIPECLNYQKETFNL TSKEQFIEFILNIDFQKNLESSTVSPRRIHTQSSVRRSASSRKLESQEKIVEVCETFEKR KFIKQLCQSNSIQLQWTHSKQKVDYNLEMGIGMKIKGVEQFKQVYKGTDPQFTINGLQTK TNYKFRVQSIFENRTSEFSEILNLTTSQQQSVEDSLIISKKIINNDIQVQFEKPGLVLGT NPLNFGVWSWEIKLIINGIIEDLTASLVVGVANKQRKIVGTTLNYGYQRDSLVIKVLVDM DNKCMTITSKMHPNGERFQNIIGPVFPAFQNKNTHKGSGCKLLIQYQQL >CAK68486 pep:novel supercontig:GCA_000165425.1:CT868060:128915:132476:-1 gene:GSPATT00037271001 transcript:CAK68486 MINLSQILEGDNGEITFCEDASQVDLEDILQEDDEMREQLNHLNSDSQTLECNNQNNESF ICDQEEFISPIQIMTLGHQSKTFGISTNYLINYWEPQLALFSNNKNCKTIQIQDVSYISV KGQIIAVGFETGLIHIYEGSQLKLLYKIQEHQTTIIGLNIVDVQANEVVIASSDLDGNVK LIRVGQNLFRSKIVTNTILNKFEYPVTQLISQQFKYSDTDKTSLLLTLANSNMVITLSIN PEVRVVHVFDKQKSNNDLHWGKCHHEGNQIQLLSIQWNSFIQLITFLENSPWYFSFYSAD TPLIGAKFISENLILAATEGQFQVLYIPYFSKGKYCFKSDSKAKISNTHFHQNIYCLRVI SNVVYFISENKLYSLHALSWLQYLKSLIQNDTPQWSVALKFLIQVYRGKVKGMPNLELDE DRSAIQTLAPTLITSITNSKMNRELISAVVQFILLCQIPQHLIIVRQGCEKQQILDDYYR VLELHLKKITQLPKEIFFDLANKFSPESFQQLVINLDLNSIDIGYTYDVCLSKEIYTPLL YICPRKEEDFLTPLNKMFEKHKQELYEKCLWFIEITQKQILFPNDQIPLEIWKKAVRQQM LWLMEVLDVFQSDKLFQILTTYLKQPCFGALHHESVYSYFNITQENLLATKIFEQVSSIK QQYLLQYLLFISKIIDQIKVKDSEKLLLQIFEINKSYIDNYVAMPEDLDQILQMIYYLMP HTSLIEQAYESKCVDYIAYYFLSQNQFNEAIKIFFDYEEFKLRVYKWLEYQSYDPLMLDH LDKLLELDPEKCAKVFQSWPIETLQKLIIYQPKKELLHVFKYLSIDLSPFLQLKLIELIS IHEPENTIPWLKNRQYQLEDVEQIFHQTNNIEGLGYIMEQKGLYQQAIDNYLQVYKEESR NYILSFRNQDRVSQLFQNLMRATKIAVQSDDYHQDLYLYIAHQIFNPSMHQTHWCKLKRS FVFNQQFSQLFMNLFRYSPTELIKIIEINHETFNQVHLKSSFITVFKEFGYEKQLVEKIS KIIRNDNIKLLKLLYSLMNKSNLYEIICYSAKVWSHNASILPGKQILSDLLKYLNLIMYP YEYKFIVLESIGVEELKKSILKSKVDNQQLQLLKNVDDIDFEDNFISSTTQDDKEMMELR LLNKMDIYDQLIDSGLQQYNSFLEQYY >CAK68487 pep:novel supercontig:GCA_000165425.1:CT868060:132639:136119:1 gene:GSPATT00037272001 transcript:CAK68487 MKQQQLPSFTETGLKFQTLSHTNSLLKQISSQQISTRSNNSTVTQNNFHIAEQLKLAKAE QKSHQNSFLFNSVFQNSKKQLPHKNTSRNQLDLEDFVKFTRSKKQANLLLEKDKKEQVSV MSRVREDLSHYYDPIKGERKKSDNLLDEKKRLDRKKPQISRRQISQMSSPQLSLLDMNEY LKLETSLNSEIRNLQTDAPMGRVQAIKLREWFDKQKQVDQSDTLNLLSVTIKELIKQIKF ECLERGLLIEEIWTKVVNIVNDMKFQTEIFISKGKVQMLDEYAIQSKIFGHEIDSLKAEL KKQTEQLTAENKKNNILKDDYKELEDQFGKMRLQSNDLKKIVAYLTKKLKQANSEIELLT KKLQNEKKHRFSQVAVPHTEIIVNQSEKHLLSKQNSIYNAPSVFYLKQGRNSIIPQKSDS LQNSVQSQQVKQDSSSEEDHDEVIEELMDDKNIIEMDNMVMSMDKIITVACFGQITGLDF LEYATREKACQTLISMQRSDFNQFTQSQEKFDQVFEQQKIKHNIEEIVKVYEDKFKNQPS SRQLNSLIEKKFFTQKDPDIPSLTDKNLMLDSEVFDENKRIIHTQVDIQLQKQHNVQQVD VEKVKTLIELLSGAEMQNKSKDHKLMEMQNHIKSLNEQIEELKRKLSLFTEADMYNVACG KEQIKKEQKQIEQFLESQNLKSEQKIAVRKRDAKKMTQAKLIFKGPQLGQKVTVVYEFQK QNAGPLLIEKIKSKQLPKIKHFMPLKLLIKQINVIYADRITQQKENSNIKDQDLASFVYS YFLSQFGIKKIAEQKFLILVVSVKHYKSIVRINNFAKFLNLFDTYVNFNLDELKRYLEAH DYITNISQLGILNSDQDQEIRYMVPYLRVVQYIGIFADSRMTAEEKEELRRELDLLKEND PKQANKQHLIDFDQFMIQLFAKYKILVSRAKEYVINAFAACDLDGNGMCNFEEWFLLLRH IEPDRLSSEQISEIFFANADLLVKGEQNFSFEKFAVVCVEFGLFSEEAQNQFLQIKGQKT EIMIQFSKLLESWPSIRGVIEQRFDQIVLLDADRLDQWRQIIDTLQKKIQELSSHLQQSG IEKKVKPLLIASLLLQKESAMLLELQNDYEDESVGSQMQSNQSIRLAEDQIKE >CAK68488 pep:novel supercontig:GCA_000165425.1:CT868060:136273:138791:1 gene:GSPATT00037273001 transcript:CAK68488 MHHRDDNDPYSTAIVEDLLQSQSEWKNINDIIRLTFKALTDVVKSQGESIREIEKQLSTR ASKNELHSGLALKANINDISRTIAEIAANLDTKITYEDSQVLLKDYVLKADMQYLLSNKI DVDEMKQLLEKQQAGEFKGEMQNMKHKIEELQTQISKKFQQVPSMKDFSQLSQHVELKAN LQEMNELLETKASKHQVQQAVNKKISKQELDQILQNYSSLEDLQPIIQNLDQKASLDQID KIASILEVKVDRQDFSILINSLQNKAETHQLEVIKEQQQELKNVVESRLQDNNSIMIEMK QQVDGVTKQLNKKADNKEFDKYMQSINSLQGELDGYSQRFNKFSQEIISDLDRLRNEILE SKQFTSEQMQTTIMKSTHLSEKLTEELYNLSENIKQMDDDKREELDDLKKIVNNLRLQKK DIQQKVDQMQEYLDEFKSDQVFKQLQRQVQQMNKEISEVKAKQLSYEDEQHIQVQIEQQL QRRVNEIKKTGSNQDGVKNIKQEVSQIVTKKISSFEQQLNQLEINLKQRVLYQDFEELKN IIQKLCIDQDERAYQKDLTKHIQLTKYTLDLIQKDMMLKAGIQDMITILDTKANIPEINQ AFEAIHNDLQTKVSADDLSNYLKSQNQIYESLCQENIVARFQWRSGETQNHLIPWEYEVI NTLPENFVWEKGKSSILIVAPGVYHIAYAFFSKEKQTVSVLINGENIATLDQKKKSSEYT GLNAQDFIVLPNRARLQVSYSGGKGGRVPQFKETVKTNSYIQVINVTFQYQFNFNYDSSF SVRKLKWQCKHRNDIK >CAK68489 pep:novel supercontig:GCA_000165425.1:CT868060:139573:140108:-1 gene:GSPATT00037274001 transcript:CAK68489 MNSQSKKTTLTKNKPNQLTNPSASVEKTYFHAPDELTFSTNECHSHQILHKHNSKQLKKS HQIKRKTRNFTLSEDQKILHLVLTLGPKFKQIAKKITGKSINVIKNRYYRDLRYRWDDVL GNYREYIHLNVKKEESYFDTLVANSQMHQDLSNILIPMLSQIQTVINQFLH >CAK68490 pep:novel supercontig:GCA_000165425.1:CT868060:140326:145611:-1 gene:GSPATT00037275001 transcript:CAK68490 MKAYILIAILIGLGTSQVQVTSQTICGCTQLTNQHDCNTLPGCQWANNSCSQQSCLSLNQ SSCLKASQYCQWNFTADTPSCSSFQSCENLVATDNQQCLQQNVRCLGFNSKSKQCLYYNQ ITSKCIEFPQAICSYNFGKDGPCYWTDNKCQVINNCSMATQQDQCYQLNYFKSEYPQGMV CQWNSATMQCQAISSCNQFNSEDTCKHYFTSLNSIILNVCYWSQNTTPPSCVPVTYLNNL NQQNCLSNTGLLFRWYGQQNNPTQGFCGPCKQVQLITRTQCTCTDYVLETDCNSQQSLCY WNTQTQTCTFNTCSKILTQNICITISGCYWNFNGYSCQTLNNCTDLTFKVSAIGCAAQSL QCAGYTGGICNSTSAIVPTCSNQPNQETCSQFISNQGLCVWNVSNSSCSLLQYCSQITDA PICGSWQNQCTWNASISQCQQMTCAMITNQNNCTYVLTQFQQTQYQLCRWNSSIGTQGTC ENAYSALLQTSKTCVNATGNTFRWSTNNASAGMCVSCSTNQLPFQTPSSCQCQQFQSQDN CNNSGFCTFNKASNICQPSPCTSFENQITCALLSTCYWNNNYCAPFTSCSDLPPAANQLE CVSMNASCNGISNQICQSYPTSTCSAMYTADGSCYNNIGTDGVCFLSTTGQTTQCFGFSQ CALAQNATLCLRNQFSCQWNTINNQCLQITCASFLTELNCKFYLPSPLSSEIIPCYWNTS NNTCLIADDILTTLNQNTCSLNTYNTYSWVSISQGYCVKCQKQVTLPNQCDCTFLSQYDC FQALECYWNNSFCQQLECPQILQKTVCASQIGCMWNNNQCQVFNGQCSNLQGSSQYQCMA QNIYCIGSNGTQCTKSLNNCQENTQQASCISTAKGRDGVCFWNNQQMKCIAYQACNQLSE SECRFSSTSCYWDQTICQALTCGTLYQQFGYCTYVMSLTFINNLQICQLINNQCAPIQDP FALPSNQCFRNTNKTARWMPNQNRDGGICYSCSANMVPLYSPTICQCYQYMTQNECSDSP RSCVWNNGTCNVQTCANIYTNQACAQTVGCGWRNGVCENFTSCNSISGLGINLENCLSYS IQCKGYNGQTCTQYPTYTCTAQQSPSTCNGNFGSDGPCLWNLAGKASCQAISICSDIFIP KICSYYKNLCVLVDDQCQQLTCDYFKSPASCKYVISSFLTGELQLCQWSTVSQSCINMIT SSLLNSMTCSVNTGYTYRWVQGSNSDGYCTKCLLNSLYVPGQCACNQLIYKNDCLSNPTC SYSTAQYTPSCYNKPCSEIVLQEICSTNPRCSWSATQELCQPFTKCSDLVGINSGECASY SQFCAATANAKTSLKDKYFCAQTATQCSTVSETPSASKCENTITQNGICLFNTTTQQCTL ATICSDIKSQTRCQELIHYCYWQQPTGQATQGSCITTQCNIINNQLECTYVLTTLSTPSP SSVIQCQWSPTVGCQTAKNIFTTLNSTNCYTNTFMMSRWISTSADSGHCTTCNQYATSLS FDSVCSCAELSEAECQQASPQCSYNFTSSKCAIQDCSSITSKYQCVVNQNCIYIGSTCYK YSSKPSSTAAGCKNITNPKSALDCYTTSINCPVLTLNASHNSNSTNNCSAPTQCSYLNQT ECQEYNSEVCVWNENNKKCKTIDNCNQITDVALCSLQTNRCQWSVVLNSCITQSCNSYTS QSDCTYVYTSYSPINIALCYWDFNYNECRGAPLSDAASYNQTPTQCFVNTGHVYHNVENQ CKQCFQTIINILLITILLLLI >CAK68491 pep:novel supercontig:GCA_000165425.1:CT868060:145856:146815:-1 gene:GSPATT00037276001 transcript:CAK68491 MYQQNQVMYQQQPNQQIQAPQHRDYNIPPPGYKAPRYPREELPENQEQNLDLGGSYQSYL YNCGECCGNCKAVCPCNPCVEYPYVQVEQSFVGVYLRFGKYIKTVQPGLIYINPCTDTIQ KVDCKVQMIDCPRQQVMTKDNILVSIDATVYYRIVIPRRSIFYINDLHQAVTQLTLATIK SIAGSHTLQDLLEKRAEVQQQIEGFVDEHVWEWGIDIENMLIKDIQLNADLQNTLSMAAK EQRAAQAKVISAQGDVQSAKLMRQAAELLDSKAAMQIRYLDTITTLGQQGSTKVVLLPTD SK >CAK68492 pep:novel supercontig:GCA_000165425.1:CT868060:146988:148409:1 gene:GSPATT00037277001 transcript:CAK68492 MINSQTKKKLLKLFNKLEEFEVHSESMRQILCQQDEFEPYSVFKKISNAGHIGSSEIYQL LQQNGLIVQLEQVNPIVKWYSQKCDNRLTYADFLQIVLPANNLELRKLVSQKPTFAKDIS YEIEYGVCRIFYKEIQIADEIQAYKQDLVQSPDFDYRIAFQTIDQFNSGFIQLDLLEHFV DRDCSALMRRLDQNRDSQIDLEDFRIALEPQYGNVYSQKSSASAVTSVKQIETQNQFPNR RAKQSVAKHIDRNKKIVQQKKVQCYDMDYLAEALKIISELEYENEFRKEELGYLQYDFLE LFSFFDQDKTGKLAPGDVKAALEELEIYPTQSQLYLWMKRYDIDRDGKWNVREFLGAFKP INYQKINIRQLDKEILNAVKNVIKTEIENEVAIECIRKKLSQRPNFNLKSLFAVITSNQI IVEQELRTFLENHGVYLDEKNRELLMRRFDRNEQQYITYSDFLNEMQPKQPKH >CAK68493 pep:novel supercontig:GCA_000165425.1:CT868060:149591:150180:1 gene:GSPATT00037278001 transcript:CAK68493 MQVCATEQSFIDFLQLENDGSQFEENSSQLIISNQENPSLTQIADLNLRPSSHQAKKIKR RKRVDFHYKRKRRSNKNSELNNTAPFNFNEDQKILSLVLEHGPKFSVVSRYFNDRNQNAI KNRYYKYLRFRWDQVLGKQEDDYLHLNCRKEGEQYPNQDIEQTIQEMNFFPEVTDILSSF VQRVHSYFN >CAK68494 pep:novel supercontig:GCA_000165425.1:CT868060:151022:152345:-1 gene:GSPATT00037279001 transcript:CAK68494 MQQSDSQQPQTTQTIDEQPKPKDTRYKTSDVTKTKGVEFEEFDLKQELMQGLAAANYEKP SPIQEESIPFALAGSNIIARAKNGTGKTGAYIIPILEMLEMEGQIQSLILVPTRELALQV SSLVKDIGKYMKVECMVSTGGTDFKEDIYRLKQVVHVLVGTPGRILDLAQRKLADLSKLK HFVLDEADKLLSVDFQPLIVKILQFAPPEVQIMMFSATFPVDVKGFINEHVPQIQEINLM EELTLKGVTQYYLYIDEKQKVNSNLKLIKAIIFCNSARRVELLTQKITEFGYSCFYIHAK MNQKDRNKVFHSFRKAVGRCLVSTDLFTRGIDIQSVNVVINFDFPRTAETYLHRIGRSGR FGHLGLAVNFITETDKDTLVQIEQELDTDIKPFPKEVDKSLY >CAK68495 pep:novel supercontig:GCA_000165425.1:CT868060:152383:153423:-1 gene:GSPATT00037280001 transcript:CAK68495 MIEFAKLSKQEKEKYIKFLVDNKIEFQQSDQGFTASFKVQNKENVDPSRKSIEQIKRASS YLRTPTRSVSPLRRKFTREDAINLIQEIYSKKFDDASKQLKLKNVVNEDFGVYVMRFAQN KQIDIKDCMQIQDENVFLFKKFLQKEYEEEDLMYYLFLRAIVEKEIGQSIYQSKKSLDLQ KQYLSVGQVQSIISTVYENQVDEVQELLDYFMNHFENNRISAFDFMALALEKYNNDRKPQ DKKILIGEQVINELQKFFYQEVEECTEQLFDQVKLIVNDLLQSIFRQDKRIWINRVQNAK PEDVLYLEGLQKQFKSLSANKQDIKLFSRKVLQTPQLSRCFGKLLE >CAK68496 pep:novel supercontig:GCA_000165425.1:CT868060:153628:157434:1 gene:GSPATT00037281001 transcript:CAK68496 MNFIFFFNILLRQSISLEITSEVQTLYYYPGENKYTPELRYYVPFEQHLLDSKDAQFNIE LMQEVKVEKHHLPFISDQTQLYYFQNITSEQDNMIMVLDNSNLYCLYLIKNYFLNQQLVI IPHFCNITLPYQSCSNLLQIKNQIIISNCQLNSNQVAISIINFDGKVLDEKVFEIESTCK LQISYGDSILFIYYQNCNSDNFYKMEIDQVSYQYTSFKIYDISNKNDDKVNSTYNQIEYI NICNKWRHNILYSDKIVYYNQEILSQPYFLDQVNSTILKEFLFCKGHQFILYIDNDRQQL KFDDFDLNVNFSHYINSFWIDKILVLHRKDQIIAIINDQIQQKIDITISQLVPIINSTYI FGLYQGQLRLFKMQPPTHYHSFNVSQKIIYLIFQRLYNLFQFNLGQLNIEVLNESEPRIM VNKQDINIFQLTTEEICLEQAQISKNLPINIKAIKEGGKSYSIKSQNTEAYFMNFDEDSK ILHFQKLNDLTNVLISIQSNNLVNLVFCKFGKVIQKYKIKILPNYIDISIFQKTNILIFS EDCIQYYKIENPAQILQKQIPQIKKIIKVNNYHNEIVILYDDCSKSNLLWIFENNLIIRE QKYQSYNCTQTQLINLFSFENEIIYRSTWIEKYVQEGKVVKILEDVEQSLYLVIVEVGNR LILKFFRYFKNDFTFMYNVPAYNFIFELSATYKFQSGYLMLNAKNQGKTFLLVYYLKNQA INSLIYVTEIDSSPQFFSYYDIGSKKIAFLRDRKFQFSILHQICMNYTFTQQGSIIQVKQ VSLVLNTLINNLTTFLDVMIFSLYRDYSLSLLNQKMRIIKNQDLIDWNSITGNIVNFKVQ SSQNITINVPLNITQEYFSCLYFESNICLRDKYTIEIKSLNIKNCFTFQITDSQIKKIVF NQESLTYSIFSNEINFVQKFIVQVNKDKPDEFTLIKLELSTQLIDQVWKIKGIKEIDVIF MSNKLDVFMYYNNCQIFGLFNNLAVYYLFDGAQLSNNIYLFLHWNYSYIQISVVSFNNIQ LGSQCQLKWDIIQYHDIKIQKKIEKIYSHHFHKELKQLQIINLEKREDLFFIKIALIYKH YFTLLYEVSFNQVSYDNLVIESYRFLRYEFNTLFLDLLYADNNFLVLTLQKDSQEYIHVY DIKGDMKYKDYLDSIQRIESFKYKKIEKFNESHYVIYSANSGGVYFMTLNPFKIECQGQC NETANLILTNDVSSLSLEIQFKNSSLFNVEFTIKSEILLANLIFILIMLKLEKRAKEIQV NQYKIGIE >CAK68497 pep:novel supercontig:GCA_000165425.1:CT868060:157568:160990:1 gene:GSPATT00037282001 transcript:CAK68497 MFGDTFETVEELLNPTITNYQTRMARNCADYMELPELPRLKHFAGLKNQGATCYLNSLIQ SFYMCPEFRKVILSLPLCKETIEDSSNLAKNESRNRFLLEFQKLFIQLQSLQSKATSTEA LTSSFGWNEGQQMWQQDVSDANKVLFETLDRSLYGTPYIIAPFYKGVVFHHITCLNCKNS HGNEEIMYDLNIQVEGNKNLSEGLFSYINPFLLDGNNQYFCDLCGIKVDALKGDKIRKLP PILTVTLNRYTFDYEKMQRVKLNDRFEFPLEIEMGVYLENPVDNLVYELQGVIIHRGNAH GGHYFAYFRDLLDEGDWLSHIPEYWQEKEEIQQQQKKQNADEIDYDEVKLPFEISNPKVA QGWYDFNDSSVIPIPVNKIQQQYQGSESAYILIYRDRNLVPSKLSNEEIPKYLFDYVNKL NEKIEQDRQAYEEAKQHIIISVADASVVDIQDHKLLNEKYEKGTYKLKLSTTVKQLYEQI NKDEYWLLEFQENYQTKLLHFPRYLKNLESTLEEEKVGHESTWILVKKDQDIPQVGQQKV PYRLNFIINKQPQSFLLYTSTTLLELKQLVFALSGIPENEQELRNTQNQKVVSELADETQ LGEMQLTLETQILVKKKNQVQTASKNQKDQNQQLGEEIISILVEQDDKVGVSKFYVNINQ TIQELIEFIKEQFGLTEAHRLRNLNGKILFCKSDLSVQLKEFQDFREGGARLQIEKGEVP ETGKISIVVQYKEQNFEIHVDPRQDKIEQIKLESCKSFNLDPAQHRLYKVDWLQVPSEGL TDEAKTISQCYLRDRDLIILCERTAQIDCELIRFQLYSTTTGYPDDCVFQKHETMRKDAT VNDLKQMIISSFGLNVNIDQLRVRDINKNRFFGQVFRKLELPLQKIQFSTNDIVYQVLEN PENLQEDEMLLLIKERDPINKVYIKPQEFVFKVSKTPTLLELKDQISKFVGIQEGTQLEL AKFVIYEFQWLHIDPDVIEKQLQGKGKQGNQGNQNNKKKGQQGKNQQQQQQQQQQNPCQN LRKNPINLSEGDFIGYRLGDHPKDDFQTKEDNELRERMIAARKNKHNALGPMRRQQEQGF AVAIE >CAK68498 pep:novel supercontig:GCA_000165425.1:CT868060:160995:161798:-1 gene:GSPATT00037283001 transcript:CAK68498 MIFIGLSLLIIAAYGAQNAIVNIPSDVCQCSQISSQLDCTLANRCQWSFQSSSCESINCS KVSDQYSCALNSQCYWTGLACTELKHFNCGSIQLNAQNGLTSCQEANIFCAPDPKSATTC ITRSSTTVNCASCTTSVECVKNGLACKWESATGGSGTCQPIGCPNLRTQEDCLYYATTTA YKSLQLCTWQNGNCIPATTAQVSKYSYNTCFLNTLGTYTFSSNNVDDQGNFKGYCMSCFD LLLSGLIVLGALII >CAK68499 pep:novel supercontig:GCA_000165425.1:CT868060:162253:163485:1 gene:GSPATT00037284001 transcript:CAK68499 MNRTMCQIIIIIFTIMIDANQTEIIINGPQNPQVQPQYIELNETTETTQVRQNQTVNLKS RKEFLVQYYRKIQLQFAYLILFFQLGSVEWIGQTVVDIGHHSTEFRWTFYIVVILLILLG LGLPIIRQNRLIVQHQSHIFRVQSVLLGFLLIGVSNYQIYNQHFNSTSFWIETSLFIFMF NNLVQIFVVRRNLTHYGYAYIKWTVIGFVSLNACVALSGFELDRSFAIAQAIILYSLYYF NQLNATLLKLPHELPEEESILDKIQNIRKISQIWNEEGPQKESIQQLNTTHYVVNRKIAT LVAGSIFYLLVLNLCILFDNTLFLVIFIVLSLSLLSLVLNIQIASKSLQQNDVEFAVCLT YMDLLSPFKNIIKKSTTLQ >CAK68500 pep:novel supercontig:GCA_000165425.1:CT868060:163698:165517:-1 gene:GSPATT00037285001 transcript:CAK68500 MQVQESEVYKRIKLLGSGAYGKAYLAESIRDRQLCVIKQIDVSYMKQDEIAQAYREAKIM STLKHPNIINFREVYKTKKGKLCIVMDYANDGDLAQKIKQTQGSLSESQVLDWFTQLSLA VKYCHDRKILHRDIKTSNVFLTKEGMVKLGDFGIAKILSTTSPCAKSVIGTPYYMAPEMF ENQPYGFKQDIWCLGVVLYEMCNKRPPFEGDNIAQLALKVVRCEITPTLECYSTKLRNLI GKLLSGKEHRRPAINEILREQLIRDRIKSFLSETLQKEQQVIDQTTKAKRSVQQLPQLKK RMATPKQRASPSVHKLPEIKRTIDTPDFSRRVQLKHNQSFLPIQSPKKQSPKRKNQFSEG PETPNKIIKSPSLNIRIQSGKQLTDKHIQLKKQIKSFDRQQSGRQIVNNHQSNLFFSPKR KLQEEEEKVLDPIEEADSTPLTLTKSNIDFQDFNNSIEDRRKKNKIIYKDPFKKDDEMSQ MISQLQEIVEDDFDTSPINEQIRNLKARLIQKLGRHFDEVLKACLQAFKKNGDLGQSEMV NIIQSRFSGVSIKKVSGIATLILTLIIQTQGETINTEF >CAK68501 pep:novel supercontig:GCA_000165425.1:CT868060:165730:166915:1 gene:GSPATT00037286001 transcript:CAK68501 MNDLQEVNPYPQFDEQQESNHQIEEPTASQAIPVIQQNIVIDNNTQARTIGEASSEERKQ FLTQSLRALLVQQMFQLSLAMSFAFVEEWGFCSNFFLFLFFTLSLHLFIATYKARGLKKE EGVMKLQWLGWMVCYSFATTLFSTLLNVLYLGWFFYLPFLTMAFLFPMITLGMIIHLSSG SACISVKQYSIIFFVAIFFIDLSISVFDDGFIHFGYLLGEVVAYLYGLYLAFINVQIQYP VNDLSLNIQQEETKLDQMINFLDRGTQKFELGAIPAEAQEITMEEIKKQSNAERLLKLAI LQSFCIVFYLFPWLYFTLVIWVMMVAHKADTKFVQIGKKQEVKLNEQFVAPILINLDILG GLKHAIE >CAK68502 pep:novel supercontig:GCA_000165425.1:CT868060:166967:167586:1 gene:GSPATT00037287001 transcript:CAK68502 MQNYVYDIDSIRGLNLSLKVPLSLFAYMVDEIYKFTLSYQSAFSLQSMLQIFQRESVPLD TSESEFCINSYVYLIRFVQKNNISAEHLSKWLNENTLVQDDHREYLVKVLQGFDPETVKK VLSLGKLKELKWTVNQYNGDVKYPNLKKLYILLEFHIQDEKGNLQKQIINLTIQEFKMLQ MEFKEIGEILRSFM >CAK68503 pep:novel supercontig:GCA_000165425.1:CT868060:168592:171868:-1 gene:GSPATT00037288001 transcript:CAK68503 MNAPKAIPFIKYINNQFQVDPQAEQFLASLGSEKLGVVSIVGKYRTGKSFFVNRVLLNQQ AGGFSVGPTINPCTKGLWIWSQTITAQNAEFPDMKAIIIDTEGFGGMDENVNHDTRIFLF SLLLSSYFIYNSVGNIDENALNTLNLIVNLAKDIQQNTENTFPQFLWIVRDFSLQMVDPQ GNSINPKQYLENALELQKGLSDSVEQKNRIRRMIKHFFRERDCMTMVRPVEKELDLQNLD SLHDSDFRGEFVQQLKEARSKIFKRVRPKSVQGSMVNGMQLLQLAKAYVDLINGGKVPNV ESAWGYVCKAEGERALRECINMAEQQIEKLKTQVIQDLPAVKQQLIDQVRKQFKLKAIGS EDDLKQFTEKLSEQFEEKFKELKQSNKRNLKNHQSKVLQPHIENILDKLKNDEFSNYYEL QSEMFKIRDQFQKEVGRNQQVSQLFDEMSLQIYQQAAEKLTRKASQQTNQENKQLQYKVQ NLEKELKALQDEKHQLELQSNSKLEQLFKENELLSKSELHLKTQFQQIQQQTQQVQQQSQ HQIQQHDKQLALISQENVYLQKEIQALSDKSSQLENENKKLRQELITSKAGNEQLQQKCH SLDYGRAQEIQKLQLEFEKKIQELNNDIDSKKQTARQQSEWMVEKSYLENQVTFLKSQLD ENKRLHDALLIALQSQNNPSNDYQESTLELLETNRNLSAAMDKMEMRCKQLEEKVTKLKK FKRIFKNSSSIVCIHCNRQYQSNGFSQHLSTCIDNTPCNPIVPTQQQQSQQQLQQQSQQQ AQTHQQTHNQSQTHPLPPPIDLSQLQISINQTMVRETPDNKPYTEYMIRVQYNLKKWTIS RRYKNFCELHQAIIQQYPNLKMPESSCAIINTADIGSVFNAKRPTVIEDRRRALQSYIRD LAKLDPVRNCTAYRKFLELDTIDQVEQPSRSMSQMGSPKNEGLQSSRDYGRDSLSVMPKP FWKQSTQQIAIGASTSRDQKYEEVPIQNNYVRQKENQPTSSAQNLNTKIDRKLLPQSPQS FLKAFQKQQETSKVYRMHHQHSLLEISGDIQ >CAK68504 pep:novel supercontig:GCA_000165425.1:CT868060:172082:172894:-1 gene:GSPATT00037289001 transcript:CAK68504 MNKKYIDRMIDLQEDFDKQITEQKPLNLGKQSYQHLDIDHAGSPGFSGKNNITLPIMQEG DKNQRGPIWKQSSSFGLLFQIPNVSYQNLLLYQQQAALVRVNHTDSQVIDAFNNQFYLLQ MSIESKNYEMNQKIFYFATQVPLYFLYFIELDKPGLIEQIKQDQLSIVTQVLQIHIIFNK GFVTKYQVACEWFGLNNFRKSQIKNFLYIELAILNRVIFNNGGRSKQKLINLQDEFAYVL RTIEFECGNTI >CAK68505 pep:novel supercontig:GCA_000165425.1:CT868060:173812:175019:1 gene:GSPATT00037290001 transcript:CAK68505 MGTCCGTQGPHTGSLFEFSTLTYYSRNAIIIQRAYRKWKHKKEEQENRLIITIDSQHSNE PPPQETVVIDKPVEVVEQIIDHSPQNAIKVDRKCSEYSTIVSNLIFRIGNFNYKEYAKQL SYTDEELALPHLDPFQLQDGTVYVGQWKLGLRHCKGRAIFQDKSIYEGFWKDDQMWGYGR LILGTGDYYEGEFLRNMANGKGKQVTTLGYMYEGDWVNDKQQGEGIEQYPDGTNFKGKFV DGMKTGFGEFHFQDGSSYVGQIIENKFNGKGVFKFADGRQYEGQWKNNQMDGYGTFTWPD GRQYDGYYVKDKKQGFGDFTYQDGSMYKGNWFEGREHGNGTFYSKSGLCREGEWLNGKRV KWLGQYQTA >CAK68506 pep:novel supercontig:GCA_000165425.1:CT868060:175069:176087:1 gene:GSPATT00037291001 transcript:CAK68506 MWQNANSVLSNITNSYENTRGFDCLEKRKPMEECIEEETRNNYSEEEDMSKDQTKEFDPT QWSLGRFEMGRYLGNGKFGHVYLARERESKFILALKVISKRQLNLCQLTGSLTREVEILS HLKHPNIIGFYGFFQTEKRVYLMLEWAPLGDLYGLMKKQTNRRFTEEMASKIIRQITEAI GYMHSMNVIHRDLKPENILCFNNEIFKISDFGWSVHTPSNRRKTLCGTLDYLCPEMINYQ SHDNRVDVWTIGVLAYELVVGRPPFESHNEQDTKKKIMNLMFQFPNWSSKDFQNFVKGIL QHDCNKRPSITQILNHPWITK >CAK68507 pep:novel supercontig:GCA_000165425.1:CT868060:176136:176638:1 gene:GSPATT00037292001 transcript:CAK68507 MVLLFILLQNRQGKTRLSKWYVYYDDAEKVKLQNEVHRLIVCRDTKHTNFLEFRNYKIIY KRYAGLFFALCVDVSDNELTMLELIHLYVEVLDKYFGNVCELDIVFNFNKAYSIIDEMIV GGEIIETSKQVIINAVKNIELLD >CAK68508 pep:novel supercontig:GCA_000165425.1:CT868060:176876:184730:1 gene:GSPATT00037293001 transcript:CAK68508 MKIILLFAELVILSKQAIKDDLINNSQAGVLYLENENVFQFDKTYAIYVNGEDFNDMKNY SVDILEEISIEKRQFNDLNGNEKIFSLNKNGDDDIDIMLLKNGSIECLYQIKNYIQDDQI IMKSKECQILLNHQDCNQLYKLDQDIYIIQCNYNSTNTLIQIINQTQILDQIILPIQSNC QSDSIFNNHQTLIIFNKLCQTTIFHSISISNLLTFYNLTQYDLKDDNSIQDFTPSALFSM HFCSNDLIDINFHNLFITYILPQKIISKKKYFTNAHKIVQRFQSCESHSNYVELNENSNQ LLVRKKTLNISTSFYIQSYWINYLMVFHKQDELVVLFNNKVKQHITNSFQYVLALTELPV IFAIKEKLMIIYKVNYPQQFFTVSQDQHLLKKIRINTDYVFPPSDLFYSTIEVSAKQNLK FLLLTSKATYFIPKINSTVCIHHNILQKTDAFEILVSQEQSNFNIIEQNDNINFCMVQLI SKGKAFVFENKYQNIDIIITQQDQILSIYTCEKNKLKHFNKILIQKNYRDILSFPHSFQL VIIYYYKIEFIEFQNLQVIQSTQHFDKKILNYYQNYEQINILFEDCTKADANIRVRDIQA IFQDKYPFDCKLSLQFIFNEIFITQDKMLCFPIKGFRRKLVNLEGQKNIMTIVLRQFLIL VKQVEDEYQLNLFHISKTEAIFLYNLPTYDFQIKDQISYQIFEYFLIIRAQKQDKNYLLT YDVSKTALLSLIRITQIDQYETFFQIISSDSFLYYFQDKFRIKNIAISCFNNIPKVINGT FILNQEFQFQIISQINKEILPFKLELISISDDYNLRILKQEQTIISKNTINLRNIHGNIE KIEILPLDDYQIIYPITFTDVHKDFTFYEQGICYDQSKRLIINCFDDHQYYIQDNTIEVI LNVGYAKDKTFYQVFYQSITGNINFMTINIKLDEVYYEIKQTAIPDNYYKDLYNSKIKKI QTTQNLSTFELFYQNNLFTYNNIYAEQLREAFKDNTLLEVAYLIDSTFLFLSKQSPQNII MKFIVITEENEKEIKCDIRLQYQVKLNEIIPESLINMLYYQTTSLKIFEVQIEQEVIHFQ IGVFFLEYFSILISYEMDINFQSMPKVENTAILRYQKDFSLERPLFVDNNITLLILDFNK IEFVYVYDTRRKQAQNNIDSIQRFENNHFTGMERFNQSHYLLKSMVRIQFITLDELKFTC QNECKPIQSIQLSNQVSKISIEISNFESKESRSLHYYNYIYTYFTNSKVFKEKKCIKELN YEFIYFICLKLILYIQQIYYCISECIDIVLNEITIILNQVITQTQKSQKLQQLLKLIFIQ YQINKFYMFLKIFLLIKITLCQSVTTLLKEMNYNIFPDEKIFVKNEQYAININDDNLEKI HMNNYSVDILEEISIEKRQFNDLNGNEKIFSLNKNGDDDIDIMLLKNGSIECLYQIKNYI QDDQIIMKSKECQILLNHQDCNQLYKLDQDIYIIQCNYNSTNTLIQIINQTQILDQIILP IQSNCQSDSIFNNHQTLIIFNKLCQTTIFHSISISNLLTFYNLTQYDLKEGEGLTQTTQQ LIDIQFISQFELAIGYTNCIVFFFLKAQGLSFDIKNQQFQIKAFYVTNKPSIKILVEIDQ NNQQLYLNKQQLNFSSIGFQKVLLVKKYFYLFHFTDKIFVQFKKMYSNTISINTKNLVQI GELPYFIALSNKQLRLIKLIMPKSVVSYKNSDKLLVIQTLQTQFPSFILNLKLFDRHNPI QYFPTKKLYLDLINYYRDNQLICLSYMKFQRTLPIQITQIMEMDNRQIAADDYSIEITSS LNLNGIPTNYKFLFLHKFQKDQLVYVIIEENTIIQFIFYDNGKSFKTHKFVLLANLIDIF INRQQILITIVYEKSIEIYQLTFGDIQRKLHQTKMKIIAARQLNYFVYYLLENCNKVAIR LQSNDINFEMLKYIFDCKSSLQFIKEDVYIDSHSISLKHRMSFYVQKLTLKEQVIEVRNI LTDHLLLFTCYKNKQYVKLYQVNLDEVFQLYTLPTYNYSIEFPFYYRIEKSILMIKARNS SQAFFILIYDVQKTAVECLIQITEIDEEERFPFDFVNEGEYFFQFQEQLKIQPLHQPCFY FKPVQDSLDFIYDKPLKIITYSQISNQYVDLEFHLIIINKNYTLQQLNLSKKILTGNIVN VDNIFGTVEKVEIIGTENILVNLPLTFNNCSKICLYYGYGVCVNRSSIIKNIFDQSISIS RSQYTESPIFYVGFNPDDCIHAIYIKGNDSLLLNQFNFCNQNQSLLQNYKVLTNIETLRN FRQIQDLQIAGHFFDNSYFSFKQKKLLDNKLQDSFRQVTFDFFDAIKINNSTYLTLNVKL QLFELRLIDFILIGDQAQSDIIFYKYYVLNDMIDDTHLKFHQVVFKTIYIYQIINNINQI EILFIVFFKHHLAYLMKLTFDQNNLDQMKLVQQGMIRYNQDAIFEKLLFIDNDYIVAAFK FDEDTFINVFDISVLSKTKNIDSIQKLRDNNYTHIERYNATHFVIVESVSQSYHQVHLIR INKLGLECQEQCNGTAYLKLSNKVSQLLIEINFSPEQNIQKGSLIIILLIINFISILNRL WCKKKDKKKKVILSN >CAK68509 pep:novel supercontig:GCA_000165425.1:CT868060:184966:185638:1 gene:GSPATT00037294001 transcript:CAK68509 MSSDEEQDNSNEDQIINLISTLQQKIKTNRTNNGLMKTNRIGESENWNEMRETQKEKRKI NNFGNSNEFSLQREQSKHSSISENLSDFTVRTDKPVKESQQKTQQAQVLENNDILELKQK MDYLLSQREKDQEMLEQINAGLMFLISQIPKPKQTNSIGVQTVSQRENSQNQSTPKVKKT AQQTVQQMFQEPDRYAFQEIRNFCFKR >CAK68510 pep:novel supercontig:GCA_000165425.1:CT868060:185706:186144:1 gene:GSPATT00037295001 transcript:CAK68510 MSQKSKFFQWIDYRVRITLGDGRMLVGTFLAFDKHLNVILSECEEYRIRKQGIHEIETKR TLGMIIVRGDNIISLSAEAPPHQPPKKQELQPGPGKAQPITRQGVTGAPNIGLISQPKGM GLPGQQTMMPAGMSMPPM >CAK68511 pep:novel supercontig:GCA_000165425.1:CT868060:186163:187481:-1 gene:GSPATT00037296001 transcript:CAK68511 MLYFAYLNGYFYQLKRVTIKFVNADVNYCNEEIELYYINFHIYIEKRIMRTFVLLGLLSL TFAVTISNQGTLTNPTSRVERIMTKFMQLSEMSTQFNFNKLFDAIDQLSAQLKQSEVDEN NLFDADFAQYVEDQAFYTDLVTFYTAEVAQHTEDLRSLNNHLESYQQQLTQRQEELDRTQ KQKAQLEETIRQNEITYNKQISDFNTAIDVLDQAIQLLSGLRNPVLIQTEQDQLKTLATK LSSINLKNHRVLYQPIVDMFMQLAQNNLANQDLLKKVLSMLNGLRNSLDGGRNALTSNYN SERASNLELLESYTKKIDALVNEVIPMLQDLIANTIEQIKVKTELLNNAQTNLDEAKETL QFIEDRWVKRKAQHAALLAEYEKEQALIAQTITVLQRGGVRRQ >CAK68512 pep:novel supercontig:GCA_000165425.1:CT868060:187540:188637:1 gene:GSPATT00037297001 transcript:CAK68512 MVHSNDQKFQLRFIDPYRRVKGKQYEYQHIMTPEGICIVGQADQHFIMLIEHNNKTEVMG IKIIVDGYTLPGRKTFKGKCRIQGFPNSDGNINCFKFAKPKADPDSTNIFKRNLYRPPWD LEQNDMRNYTGGPGEIIVKIYETVQKQNKYYNFGGGGDYRTNEPMFVEVTKNENKEAEDQ CLGIAIGNVIEVQPRTYQEERRYRDIIKYDCIVQSFRVIYLDSSSLVSKGFIQLSCHTHI RYLTEEYFLDNEPAMIQVLQTLIESGQKDKEEDEIIQEARILVERLDYYFQGELLNIFMS KENQEQFQLNAALRIQYIEGELIKFMNGWPEFFTIIDAKTFGIKQKFNQKTYLETIENQI RIELI >CAK68513 pep:novel supercontig:GCA_000165425.1:CT868060:188677:191855:-1 gene:GSPATT00037298001 transcript:CAK68513 MLNELIQLFCSNGTHSSELFYNATLQLNEFLDKKEIVRCFPRVSENLGDQLPNLRKASHQ LFQAYIRFNESFDGVLSAMLSRGLIHPNWLVRQKNVNSLQSLFLSEGQHLESGSGMVKNM VEMLLGKLCDCNLAVCKATEQTLITLISLNQYKQCHAQLPMGIQSQVEQFVEQMKETNQI RQEIRLREPTPNGLPFDKLEDLKDTQWQMRAEAIQRIYEELQDVILTPLQVEVLFEHIVN LVNDHNFNIVLTTLQIMQRCLQFNIVKSSNIVNIYTKLGDSKSAIRTAVRQVLVMYLQKI GDGELLIQILQWPNKNSFYKEECLDLLIDLVQRNKMLLSQHLELAIKEVAPFLEDQKQKL RSKAIDTLTQLATINQHLTKRVFSQLGITEDLFNQIDVSTASSNKSDLPQLRFKKEQRDP IDQYTQSLPEPKHQQQFDIPIFQSQQQGYVPTIISQPQKQQRSAQKTESHPQSSSIEFRQ AQQQQEYNQPPATQQSKPFRIVKNPQQDIPDQDTGLTYTKPFQRKPKTEADKTFQPIYLD EVLPLDQPESVLKSLLNELRYDDWNRQFEALNNLRRLAKHNSELLRKSVNFPQILLEMVK QIENLRSGVSKNALISLQELSDIYKKDLDCVLDQALQKLIKKAIDLNTFISEEVRKSTIS LLQNCSEQKSISLITQVYQSKSIAIKVNICYALNNLLDPNKQSFEKMLQILCLYACDQGQ EVRQIAKEGLLSLIGQIEKRELESLIIKLAPEGECKRIMTIINGESTTTSEFRRFGATQT KEARTPELRNRTPNNKKLSADFEQMPNYMNQAELGKDWKQKKDAIDWLCNFAKKEAQALN GHKYSNKFLDLLCKLIDDGNKNIALYTCEQFIQLVEPLKLPIQNNYVNIWNGVFKAVSST NNQVRQSAESLFHELMIHIDIQYSLPQIQHLILYGPAKSKGIAITMLANFVQQFYDERPQ LVVKHLVPLAKKLQEEQKPDIKIASQKLFQALVSTCPNDVAHLKLLK >CAK68514 pep:novel supercontig:GCA_000165425.1:CT868060:192086:192901:-1 gene:GSPATT00037299001 transcript:CAK68514 MQTIILIISTAQLIKSATLDISNSCKCSELIYSNDCFSGFSDCSWNTRKNQCVDVACSDI EWSNHCNWSSNRCYWFNKQCHDFTSCQAIPGKDQSECISANIYCPASNGINCLPMQYQQA CSDITDPDTCNNYFSPNGKCMWKEQKCIILQTCTELWTNITKSCLPYVCYFDSLTYMCRD MTCAKHTMESQCDFGVPTIGPYLNNFIPCEWDTKNSVCREANPSDFNVNECYSNSARTYH WSNSKSSKGSCVPCQSPIVTLIIGLMVMIIM >CAK68515 pep:novel supercontig:GCA_000165425.1:CT868060:194129:194704:-1 gene:GSPATT00037300001 transcript:CAK68515 MSNKFEYIEAFHSELGHYQIICLYPLIQEYYHVHYDLTCAIANFINQYSDLCIFKIFNAE SRKKYEQDGNQQEQGERQPIQAQPEQQVQQDYDNIIWDLYETNQNQVKVNNKFKILQSHM KNFKEQLFIQFSYWNIDQKVGYLKKCNKIIDYIEEKQKNIMNKNTDTQFLEEDVVKFIEQ ELKTNKNEQII >CAK68516 pep:novel supercontig:GCA_000165425.1:CT868060:195208:195996:-1 gene:GSPATT00037301001 transcript:CAK68516 MDNQNFLYLSLGAYIGVSMRLEIVSIVGNFLRDQTIPIDIFDNFIGSFVIGFYNDRYKKR DFSSHLAISTGFCGCLTTFSSWIIGIIDLVNSEQWFNCIIHLFIGTTVAYSGFKLGQNAE TEFKFPFHQKLIYLAFLKLIIFQVLFQRWSMIVTALLSPFGAILRCYLSKLNHKDFPFGT LMCNLFAVWIAEALLISKIHLDDDSNKIINSVLKGFCGSLSTVSTWIKEICTMQSIELRF SYYWISLFLSVYIGLVIFQNLS >CAK68517 pep:novel supercontig:GCA_000165425.1:CT868060:196273:197435:-1 gene:GSPATT00037302001 transcript:CAK68517 MSNPYQQSNPQYAQQNQQQYPQQYPQQYSQQYSQQQQPYPQQQQQQYPPQQQYYQSQQPN YQYQQVQQPVQQQPYYQQTQPQHNVVIDEQQKNPLDDYDLGESFLSNVGFDQRKKFIVKV YSLLTIQLFVTFVMVAIACFSKAFRDLLINPYSYKATPFYWSMFAVSFVTEIAIFCFKKV ARKVPNNYIALTIFTVSFSFVVAGSCAVCKDAFENGGTLILIAALMTFAVTASLTVYACR TKSDFTMAGGALFILSSIMFILFIFAIFFFNIILWLLLCSLSVILYGFYLIYDTQLIIGG KSHQLSIDDYVIGTMFIYIDIIILFLRILQILMILFGKK >CAK91984 pep:novel supercontig:GCA_000165425.1:CT868666:2568:3087:-1 gene:GSPATT00024715001 transcript:CAK91984 MNSNGKKFKIQPIKQIREFYQRVNYVCFSPDCSSIETNNVDNFILQLDVKPGEQKAKLFI RYAFHLMLLNQHLQSRMAMYQKGQQMFKLDGPNRIANSIYFSPDGTILASSSKDKSKQLL DVKTREVYRVDKTIISIQLKLLFSIDCLLITPHLIVEITLSVYVPS >CAK91985 pep:novel supercontig:GCA_000165425.1:CT868666:4604:5851:1 gene:GSPATT00024716001 transcript:CAK91985 MNQLIQHKMLIFKILIYLLVSKSDACKITGILYTPKSFPANNVIVSFKNSQTISNKKGEF ELEIDSNLVQGQLSLNIANQFTMQIEINRDDCPVNLGRILLDNKESVKTSISGCVIQSDN LLPVSETTISIVYDQPTLLDIQEIKTGQDGCFLAKNKLMKLLVYQASISINLQGYFKQQQ SVQLYDSYIADLGQIYMNPNYYLVNLQVNINRNCQENQTQIQNSNVVQISFSCGTAYKYQ FMTQKDVNVIQLDKAFKEGFEYSCGIMIMNPNCGILYSVLKVKEKSNITTDFNFSPQVIK KKALSSTKFTGRVLEMQGLQCTDKQQSAIESVKISYYIEFTAKQLINSTLSDREGYFTLY AENPLINGQFNGIFIFQKDGYLPNEVDITYNTMNKDQEYPIGTIQLINMGGLTEC >CAK91986 pep:novel supercontig:GCA_000165425.1:CT868666:5877:6794:-1 gene:GSPATT00024717001 transcript:CAK91986 MKVVFQICIISLLLTTCYAFNIHQYAAMLPGLKLPQNTKTRVLVIDTFEDFLWANLYVGL FYYFSYLATGAALEFTNPIPKSAFRVKNMRLEISRGVQALFWVLLFATIFMWKIEPLTPY YGYYETHDFGLKEWLIGLFVYSIGFDFYFYVTHIILHQPFFWKYIHKQHHEFIEPTAFAQ DAVHPIEAIVQGPLGHFFPCLFYPIPPVWHHFFGFLTAVYAQAAHDGRWDPFGHINHHFY VTCNYGIWGVCDRIFQTNHSSSRFPVRYVPSWEKDAKKIK >CAK91987 pep:novel supercontig:GCA_000165425.1:CT868666:6797:7821:-1 gene:GSPATT00024718001 transcript:CAK91987 MQTALNDSGLKKSQIDEIVLVGGSSRIPKVRQLVKEFFEGKDPNTGINPDEAICYGAAIQ GGLICGEKSDATDGLVVIDATPLSLGIETVGGVMNKIIPKGSFIPTKKSQVFTTYVDNQT TVTITVFEGERPLVKDNHKLGQFDLTDIPKMPKGQPQIEVTFEIDEDGILSVSASEQSTG KKNQIQITADNKLTKEEIDRMIKEAEQFAEEDKIAKEKIDAKNSLDSYIYSIKNQIEDEK KLAKHLTEEQKQTLKDAVQKSEEWIKKDEANYDKEDFEGELKDLQKVCDPIVQEAQKKKD ENKENEDDADDTKTDL >CAK91988 pep:novel supercontig:GCA_000165425.1:CT868666:7833:8847:-1 gene:GSPATT00024719001 transcript:CAK91988 MKKLLLALLLVSVSVCESSVECPVIGIDLGTTYSCVGVFRQGHVEIIPNELGNRITPSVV SFTDQERLIGEAAKNQAATNPSRTLYDVKRLIGRKFSDSTIQYDRKFLPFEIVDRDGKPY IQVEKGTQSKVFAPEEVSAMVLSKMKEIAEGYLGQNVINAVVTVPAYFNDAQRQATKDAG NIAGLNVVRILNEPTAAAIAYGLDSKQVESNILVFDLGGGTFDVSILTIDNQVFEVISTS GDTHLGGEDFDQRLIDHFIKLVQKKYSKDVSGDKRAI >CAK91989 pep:novel supercontig:GCA_000165425.1:CT868666:9222:11064:-1 gene:GSPATT00024720001 transcript:CAK91989 MLDDQIPEELFNEQISVAPSLYTHSSIIQDIQNKMIQQSSKIQNDPDILKTSLTNDIFKE DNLDISQFDPNNPKYKSKPHEVLFQVNSFIKYTIFSLLYTILGPLIIPIFIWTHKQLLYN FQLFGLKWTCLFTYLRYSLDMALVIGFIYHPMTQFQLQLLLYFVVQIFSELVVQNLMLAF YHPQKIELLEKFPLEVILNKFENQINPLLWCQQSPELIQFELEQSIRRLHIEPGLFIFFF IVQPNEKRREKISHKFENLKVEGKYAGNGIKMAIEIIQYYNESSPLYMYVIVACGFTIIR LCITVTYSFFQHAAPMQTIMFVAITALNTIGYFIVITIILITFRDLQRKVFCLNQLSHLI SAQQVEEYDETKIFPTLNFLCVQSLFSWQNLRKVILDYGLQYYQRENAIISFCLEIILVL VLFALLNMFNVISCYSLGDESKFSLFILISFDVLTIMFLSILVVLQGARINKHFQIHQTM LKKNMFTYQQLSTQSFIKKEYHVQPQDFMFKTLKSILDRIQLGDENKNWFFLYSQYVLNA IDQAYSDLCYEEENKPFTVLGIAMTYSLAFQLIASFLGILGTIIISLYFNVDL >CAK91990 pep:novel supercontig:GCA_000165425.1:CT868666:12678:13065:1 gene:GSPATT00024721001 transcript:CAK91990 MGLSFSKLFEQWFGKKEMRILMLGLDAAGKTTILYKLKLGEVVSSVPTIGFNVETVEYKN IKFTVWDVGGQDKIRLLWRHYYQNTQGLIFVVDSSDKERVDVAREELDENVG >CAK91991 pep:novel supercontig:GCA_000165425.1:CT868666:13066:13267:1 gene:GSPATT00024722001 transcript:CAK91991 MGVMTVPEITEKLGLHTLRREWYIQGTCALTGDGLYDGLDWLAKTVGKKK >CAK91992 pep:novel supercontig:GCA_000165425.1:CT868666:13320:13736:1 gene:GSPATT00024723001 transcript:CAK91992 MNQPLVLDQGGHVGATLHFQRHILYWKRWTIFQFFFLILSTLLGVCYISENNYRINGDYV PIIVGAQNLIQIVVYLRWRWAMKNKNNLIDCARYLNQQQMVNQGWLEEVDMHYCISYTPK QRLQVYNLLD >CAK91993 pep:novel supercontig:GCA_000165425.1:CT868666:13803:14225:1 gene:GSPATT00024724001 transcript:CAK91993 MYSNYFFFIPIIFWLAAIVLTILYFDLTRIYQWQYLTFIVITSFITGFDLVALFTFPFYY FPKSILNYCRRKKHIKPYNPSSFDQSTSQCAICLNEYQKGEIVYILPCNSKHYFHQQCIQ NWIQYQSICPFCRAEFIYLE >CAK91994 pep:novel supercontig:GCA_000165425.1:CT868666:14326:15152:-1 gene:GSPATT00024725001 transcript:CAK91994 MELLNYAATIIQKTFRGFLARQAVKQIRRINYFSSFDHHNEYGFCIQPQRSKKSTRNAQS LVQPTKRMVRSSVCIQKCYRGYLSRKYVCNFYRLKQIVLFLEGHIHNFLRKRIQQRKIHI KQLMAQCYNFQTQCKSIAKGRYNYSKFMNQKQFDEINGIIFTSFLHLMIPNFEKLNSEQK SHYVDQILGVVQSHPPRKTMPTQISSKTPLKQRHIIQNVSVLSSEVMTSNGSCTSSHKSK SNLTNEEFKSILQRQNKQIK >CAK91995 pep:novel supercontig:GCA_000165425.1:CT868666:15196:15944:-1 gene:GSPATT00024726001 transcript:CAK91995 MSLNFKFENPKDVSPIYQKPPTTQQIKTSTAFGQPGAFEEFEQFGKVQKTENPFGDSFQV SGFSSAETTQTVEKQAKIDLLNIDEDAPQKQQTVDVDPFQQNSQQTQQTGVFDAFATGWN QQQPQQPQQSFIQSNKSSQPFDAFNLVGSVGQIGQVPPQQGFSNQQGGVQAFDQRFPQPQ KQEQLNILNLYGNQQPVVGNLAYSAFPQVYTQPMVQSQPTPFDLFR >CAK91996 pep:novel supercontig:GCA_000165425.1:CT868666:16059:16389:-1 gene:GSPATT00024727001 transcript:CAK91996 MQKNYYRSKKKCQWTFKLDNNKHILELEFSILSGKRKLILDGRVLHESMMLSSQFQYPFT LEGFALNILQQGNTFELRINNKDFSHLYNQSNQLFFPTSKNQ >CAK91997 pep:novel supercontig:GCA_000165425.1:CT868666:16481:17385:-1 gene:GSPATT00024728001 transcript:CAK91997 MDHERKEMKQSVIQNQRELKYENYRLIIILQLNNNNIFRWIRKDELQQLIDEQNKDEFSD DQDLVSHIDIEDFESRLHTSQSFAREKPPQQNPRAQSGMTQTGKQPQSVKDLNEKMQQNL AKKQANQQTRVQVQQRSSQQQQFSQTQQVQKKQDKRKSVEPRANNPRQVLEQAQKDNQEL LQKLGAMKRQLQSLEAENAELKLEIQRKGNSSGFLPKIEQAANSEAKLLKMENEELKRII QKQNGSDSGKMLQMYGLQVVKLKCRIDELTQQLEKQ >CAK91998 pep:novel supercontig:GCA_000165425.1:CT868666:17636:18369:1 gene:GSPATT00024729001 transcript:CAK91998 MSQLKRLQNQRDDPISCIIEPSKMLGGLYLGNMEAASDPYTLSQYQIKAILTVCPQSIPS STKIKLNFYHQCMADDEDSYQISKHFDETFRFIEASRKSTNVLVHCQMGISRSAVIVLAY LVKKELMGAREALEYVEKRRSIIFPNNGFLRQLGAFERQVFNDMINESNKKTASPKHVER EYKQQRQFDDEFETKMLRLKESNKQPHYQYEYNLTKSNVYRKQENTLLSNFYYKHY >CAK91999 pep:novel supercontig:GCA_000165425.1:CT868666:18385:19227:-1 gene:GSPATT00024730001 transcript:CAK91999 MFQSQVINRKLKTEFSKVTPTVRMMKELQRSNEHKNYSFEYPSTYDQLPKKLRCQSTYSK LCGFRSQKYQLFHDVVSEFAEHKKQRLANVIIQNLSNHSCIKDPQKNLTSHPRARLWTKH QSQLQPIHEESQRFQNLRIERHHPNPIVFIPTFKPSSIHENMPLTRQPSIEQAFDTKQTI TLSCSRKQSPKMLFKPQQFILKSKFKTNNALEKILTEARNQIKTSQSPRSVHISQHKKTK SHPTNVYTEQNKLLQRVILKTKLNQ >CAK92000 pep:novel supercontig:GCA_000165425.1:CT868666:19318:20516:-1 gene:GSPATT00024731001 transcript:CAK92000 MADLKLKINARRFSSPYRSINNDLNIQLFLHNAHRSTNRKNSNKPSSHSKQHDYSQDKIK NQYQLQCIIGVGGFGRVWKVIHKQQVFAMKEINKALVLLKQSVPSIMTELKFLTELKHPF IVNAYSAFQDTQNLYLVLDYLSGGDLRFHLGKQRKFSEEQTKFFVACITIALEYLHSQKI IHRDIKPENLIFDNHGYLRITDLGVAIKKHAQFPQNFETSGTPGYMSPEVLFRQDHGIPA DYFALGVICYECMTGRRPYHGTRKDIREQMLLKQVQVNMSDWSPEANDFINQLLLIKPQQ RLTQPRTHPWFKNYPFDLLASKKLQAPYLPVRKDNFDKAQILIEDEENHQIIKLNQHLIK DNQHLFDDYRCQINEKSRRSSITNKMFFQLF >CAK92001 pep:novel supercontig:GCA_000165425.1:CT868666:20769:23238:1 gene:GSPATT00024732001 transcript:CAK92001 MIQKFIQRVSYFDTFGAEVKLNFRKKETHQSPFGGFCTILLVVVLGIVFVQGAISLIKQE TFTISSNRVLNIDPPTTLLNYNDYMMAFQVEDPIVDGTKQLIDFIYTQYEQNMNENGTLT KTEKYSLPLEKCTIKHFQDFNESTIYNKILKDQLNSYYCLPLNYTLTLEGTFKSNTFQYG RITALLCDTSSCYSTSEIQQFQLDGQLNNSFKINTLMLNRVANLNQESNYISYIYSDFYI STKIGLETKADIYLEKQAMTIENSVVPGIKDMQDEHVFSIVENKLTPNTVYTSNITKVAS FYLRLSQSEMHYSKEYYRVDELISYVGGITKFLATVIGYFILRYNETGLQIKMANALYQF DMPEKKKGELVFSFQTLVTKIIDSMKSVDDVVQKFKHSAHRVIHMTRVATALRLPISSTQ QYDKNEQDNVSVHTHRVQIVNHDVHYGNLKSEKSLDQSHENHHQQQQQQQPNLDKDKEKF LDLFIKLILESKKKLSFGVHFIVKQVSGSFKRNSTTNYQARIFEKSRKMILTDMDILVVM NKLQEIEKFKHIFLNKTQRKVFNYLPKPVVCVSEERSQVKHHEDQISENEMTNKKNDMLK QKSSSKSLLGGRQIYNTERKFKRLYKAYESLALNDLSGTEDFEHQSNKHNKDFEHQFKHS DDLEHSFSNNKYFEQSLNKRLLKMVEPTIQYSFNSLVELEKISRQSKQNKNKNNKRVITP ALKNRLVQQLDSQNEEGDDDNDMDCITLKGSKKDQQTKRSPHSLSNYNIKKTHNTLGSSS RQHQEIKLTKVQSKQDLIDIEVFSS >CAK92002 pep:novel supercontig:GCA_000165425.1:CT868666:23297:25180:1 gene:GSPATT00024733001 transcript:CAK92002 MYNEDDTQNVTKIKSHLVIDMQNHHDIIKEKLSDQPRKVLILNTGGTISMFPSSSGYVTK TGALKNFLQNNPFSCDMDYTYFKATDDFLITPITAFNRRIWFKVDELDKLLDSSNMNSDD WVTIAERIEKAYKHYDSFIILHGTDTMAYTASALSFMFQNLSKTVILTGSQVPLSQPRND GFTNFLNALTIAGHFTIPEVLICFQDKLLRGNRAQKVNSSSLDSFDSPKFIPLGYFGVNI SIKWDIIMKNPNEENLQVNKKFCTNISLIRLNPLLNHVTLNEIFKNEKLKGVVIETYGAG NMQTEEKFCNEIAQAAKRGIVIVNISQCHTSQVEMIYETGIIFENMGVISGGDMTSQAAF TKLGYLLAQTDDVDKVKDEFQRNIRGELTESGGTAETEPFLMALSSAIKQQHSKIDLNKD LVFPNILCQICVQKKKLSSMKLSKIEQEINYKAQDYDKRSILHVAAREGSLEIAELILRK CPEIVNNVDRWKHSPMYEAVMAKNTQMIQLLLRFQGKLIAPIKELTQLLMLDVANGKGDM LNLFYEAGERDFTQYKTEDNRTVAHLAVSVGNDAALQFLSNPGVIFDWQVQDKFGRIPKD EKILQKRLLKR >CAK92003 pep:novel supercontig:GCA_000165425.1:CT868666:25220:25846:1 gene:GSPATT00024734001 transcript:CAK92003 MQNYCSLCGLPQGTLKQELFLFPVEIKTQYKNCYIEQIVLKLITFHIHQLILIDNYILFR NEKHICESCWQLIQQGFTCKKCKVYNFVQNSSIKQCNFCKSCFCLDCEAQLELFSSTQGI CYGCQKQELFSYKIAYFIMILFVGLIVPCLAFNQLLSQYFDPIHQFNCCKNRFYTTLLLF ILLFPFLYPYTIIQLICTGIKSIIRNCR >CAK92004 pep:novel supercontig:GCA_000165425.1:CT868666:26028:26503:-1 gene:GSPATT00024735001 transcript:CAK92004 MSGKEDEYEKLLSEQNLKDRLEAPQTETARQRKWRIVKRKFAEVQVYAKQGFIMGSLVGG GFGLVTGLWAAVQYKKLSMIPISVIVSGGSFGFIMACGSMIRSDDLIAGEYQQHFNFNNQ QVPISQFWLNRKYE >CAK92005 pep:novel supercontig:GCA_000165425.1:CT868666:27041:27922:-1 gene:GSPATT00024736001 transcript:CAK92005 MRNRSPKLKEKALYYSRDNEPIPKAFVKLIEKQKEKQDQKWNRYQDAKFSTPQNLYSSPT KRPIFTSPNNFTVPKRLFFPTTPSHTQNLTPNKIEFQNFEDSNQSAKLSNKNEIKSPDQY QVQPLNVNQENVEIQIAQNNNQDDQADFQEIKQEEDVNPEEVQASYNNSNQIIIQEQQQQ IIEEQKLQEPLNQITIVNEEINKYSQSIQAKIAEVSCLKNVHTQQFIKLLYQIQEILGQI GELKDKQKITLKAKILQDLIAFFATVLPELECKIIQELVQTSISLANKKLLAL >CAK92006 pep:novel supercontig:GCA_000165425.1:CT868666:28187:29303:-1 gene:GSPATT00024737001 transcript:CAK92006 MKINYNQLIEKLRQYNIDDLQYPYKGYQGQQAQIFGCRYNMKNKFILKVIEYENEQSVHK ELEIYNHLKQDFNKNENLVAIKSVLHFQDLKNVCILMDECDCNLKTHKDKLTNLESRLIF FQQFLQGYKSLYDHKVIHRDIKPENILISFKDNKPIYKLTDFGVSKLCEEIGNTISQLGT QQYAAPELQKKHGQSLPQFDYEKISKIDVYSIGLVLYELQFQRLPFGKPKTQNQFFDTSL KTRLVSQEDVSYEEGWFIDLIMQMIQTNPDERVGFEKLFKCYDKDLFKFISNLKRLAENQ LLQMFLKPQVNIFNQRQGENQHRNNNRQYSLLQQNQAQFFNQNFQQQQCQTDRTYKK >CAK92007 pep:novel supercontig:GCA_000165425.1:CT868666:29764:31087:-1 gene:GSPATT00024738001 transcript:CAK92007 MNKELLQFQLILLFSSVITLFYLYDRELNKKKISLLFSICNISIFLIEIRMIGNLRYLTI AAALFLVFRYYSKQPNKAYIPQINILNTQVQECTNKIDQEMEQQPQYLPAIPSIHESNSS MTPKQIRLSNENINGPQNTTERGQQHIKQDSGMSFLGDNKRSQYSKNYSNGGMPQRQLSS SPNQSNFNVIKFQDDCGEIKFGILKTLKSVNYDQLGKEMEGIYQNDKTLTKLLTNIEKKG GPRTQILYESQQQTHQVFDDNLRGGIQLDYTNNDSIKIDEPKKVTWKKIWQTLFFQTVLI CNLYISHPWQSVQVILFAFICLRQILILSKLKIKELEITLDFRQILIWNSLVFLPLIFLF ILNLIVQSIALIVTTIAQLISVMITLKYYRACYEHIHLVSFYILLLATLLCFI >CAK92008 pep:novel supercontig:GCA_000165425.1:CT868666:31324:32466:1 gene:GSPATT00024739001 transcript:CAK92008 MTEYYVLKKSLQTFENYGKTQEIRHQLLQGDTQVLKNIITLLITILKDPKTDPIMMLQAV RFSKDCMETFNDDFISQFQLEVLPYFESMAMFEYKSEAHDRGQFYFNKEPDPYTAKLGNT LLHLVLECIWVWAKWFPMDPDCLKVSCYRITLEKLCILGVRFNKILYFNKLLIKRHLPEC MVPMKIIQELRRAVKLDLQQTFGNGSSSIIIQQRLSQLLPQEMARDESQEVFYSDMIRRL YRQHLKNERKLAPKKSGQDESFVYVPEEKENNIQNQQMLQLNAIIQKTQTDFMSTIIEKQ ELQKQLREQQEKLKLMQQRIEIQDERLKYYEGDRPFRSQFYQSRFVQSNNQSVQQSFTQQ QQHF >CAK92009 pep:novel supercontig:GCA_000165425.1:CT868666:33208:35486:1 gene:GSPATT00024740001 transcript:CAK92009 MKIIKILLLLCIVSSVFTQLTQGAPTGGQSGSGSSNPTQGAPTGQQGQQNTAGGQQQGGQ QGGQQGGQQGGQQGGQQGGQQGGQQGGQQGGPQTGGKAKKCQPTQSQSGQQSGQQSGQQQ GQQQGQQQGQQQGQQQPSSQTLPGNQLPGQQVQQQPSGTNQQQPSGTQQPSGTQQPSGTQ QPSGTQQPSGTQQPSGTQQPGGTQPQQPSGTQQQGGTQLPGGQQGGQQTGQTGSGQGQMG QGQTGQGQGQMGQGQTGQGQGQMGQGQGQGQMGQGQGQGQGQGQGQGQGQGQGQGQGQGQ GQGQGQGQGQGQGQGQGQGQGQGQGQGPQGPPQSKGQWNQDSEQQEQCVEVEVSCADTEI VFKDRCVAATCENLDKVQSKQEGGKKKLPIKVDGKADFNDNKDVVVQLAFPDNKDKPLVK SDGNANACVERAIYRYRPSFLSETLDDTTVTSSYDDDKQLRIWEFTILNADLDSKLFKDE QTDKIVYTGYYSVKLTIQEKKLAQFFFTFFVAVDLDGNVLTAQATSDAQDDTPACATEDN CVSRAETEVGFCSDQTCQTLVDQPQVYAGQNFYVVQRVKQTGFEKWKVGDADVTITGDGV NKKLKPASQDKQAGQTIYTLNVDILANNVEFSVNGKLEDPTAARRFRFLEDATTTDGTEV SSAKAVGSTTLECIKKDANSSCPTADEAKAYNKPDCGTIGQAECESNNGYIWSFVILAVL MLVQ >CAK92010 pep:novel supercontig:GCA_000165425.1:CT868666:35549:36781:1 gene:GSPATT00024741001 transcript:CAK92010 MQYQSYQYYSYGNLKELQEIIKVDGIGIMNIDEDEQIIEYQIDRSKCVRLSDLIYMITYN FLRFHLGQLLELFAQLLKKVISQQAQNHIQHQYLDTNRIWLVFKNESPRLSVVYTKIDYT IAFTGYQCQLFEKGPRDTKSADEQIQNIIKAILKKFKKDNIFFNPSKKNEIDNQIYDPII QACDKLCIETTFEVIMQLQSKYELNKVQQTIQLDIQLENSKVDLARNQNLPKIEQQLKAY IYALKSETIFDLEFIILTQIENMIVPLENPKINFNKIEEHNEYFKEVKSIQQKTYIKRKE QLQSCIQQIIEDALKKMLEDYKFEFKQEEKQELNETVVNRILNLKLNKYFYNSPHYHLKI SEESLLQQQLSLQSKLIQKVVNEEVQMLIYYHILLLIDDLI >CAK92011 pep:novel supercontig:GCA_000165425.1:CT868666:37598:38426:1 gene:GSPATT00024742001 transcript:CAK92011 MSILSKPISFIKCIYQSQRNNISYLQSLFQQNSFKMDQLKPIIDLCKSNSNNIEIITCIQ DKMKKYNYQDNLKKNLIQFLDIDDQCNDYVGSNRQKSIPKVNEDLTRILSFGSQYGQVTI EYLLSEAIPMMSQHLENYSKPKFDYFNKAQQFYQSIQTNQNKLKVHIQKQVLNLIQFGLK EKEKDYKFDITQDEIKQLEDQIISSIFQSPYIQYFNNTYWLYTKNEEYINYQLSAAQKFI NKEVQEQVDLLFMINILTLISELI >CAK92012 pep:novel supercontig:GCA_000165425.1:CT868666:38930:40978:-1 gene:GSPATT00024743001 transcript:CAK92012 MFDSQNSNSAKLTEQIKQQQSYKIREFEWFEVETKIRLFVQKLMEPTLKQMHEDRMIVDE LQQNLKTNNKEVTYLRDIVLNQGAGIPIFEDFKQRFLDLEMKLDQQKRESIDQFGNFKQS LDSTDNEIQKFLQSVRTLEYFKIKLEQDLQDIQIKNDNFKSSVEQIIKQLSSDLTESTKN WKLTAIEIEQSFGKVDNKHSDLINIVKQEQVKLKQIQDSILDINKKQTQNINTFEVMDTR LIKADVQITTLKKQILEVDQLINKNKQVQMFLIYYLPMYMQAQISDSLFTCLPLKLFYKF TQFEKQKFDYLDKQLLEYSGDPNIQILIDEYSKALAEVMKRNSRISQMIFMNQKKEQKDS HSSDSDMDSDNRSQRTNIQHSIQIRQDSTNNHRKESIYSKDAQQSAMMQQQKKNSVQSNN SNLIYYEDQIKQMKEQFQQEIDELKQLNINIKKQLVQNQKETNDQISLNISQCQSNNQKL LNSTTNQIKNLEENLKKALSQDNSNPNDLVFEQVKQFKKTLQTILHFLVLITHLLIQDEI DRQGIQLMGAKDTQIDGGLVYTGQNKKGPSIQLNGECLSCSGQSIQLLQCFKLACLAYHP SDILVDGKSFKRDQLLEMCTTLLRQAKQEFLDQEQNTLQPNTQPIASRQRSSSIPISPGR LNSNFKFNKTRKLAPMTNIQNL >CAK92013 pep:novel supercontig:GCA_000165425.1:CT868666:41367:41573:-1 gene:GSPATT00024744001 transcript:CAK92013 MGCQSTKSKKHPPFFYANPGLMNEYTISWSKKFTKSKTNFDTKQEKQIYCLEKNPILLRR QKQKPMSI >CAK92014 pep:novel supercontig:GCA_000165425.1:CT868666:42260:43022:-1 gene:GSPATT00024745001 transcript:CAK92014 MKILSKGCEIVAVQNEKIHSIKSEHYLKNEDVELYIFQDESVKSIEYIHYKDILRKGKPN YILGIKKISGSGGLEIVIANCINPNTLIMEQNDQEFNCQAVRKWLNFKSQNQLHQFSQLY RTLKDLQNAQTTAIRVKQHDTLDVFHSCVDLSHLTNRNDSLKELEQQYVQGQMKWAQIDM ENAELATSIQQLQHELQQRKQQINKLKFQYENNAYQLIQSKQQLGDVINQALEIKDSQIL AKLGL >CAK92015 pep:novel supercontig:GCA_000165425.1:CT868666:43062:47516:-1 gene:GSPATT00024746001 transcript:CAK92015 MYYNDQQKQEQRRINLDLNESALRTEITPEGVFQQFYSSELDQIIYRKEFQNPKYLQEIS LDGTKFKYQNEQFDYSFPLQSQTLYYQPKLKDIFEFEVFPHTKPNPKCLAVQKNKIAIAY AEFLQIWNKKKEKEYILPLGEISCMEFSKDGNLLFCGQNKAILLIDSNKGILVQAFPNIH IQRIIVIRCIWKNKEEQFVTTSIDASGQSLLINFTKGIFSYSIDEWELLDTMQFPTGSMK YIQMTENRILIGINSIQFFWVVYVEIMSTKEITFKLVYEFKNPRKVRDSQIFKTSCAIGR GLVRIKDQLSRRYVMAFVWDNKLHHLVLENDRQIEMGSSIFHRSQYINVGSLVYECDFLK DSLYLLYSDKGIIIMNTLHIDMNQSEQQLSSIKHQYFDNPGFRPTGYQFRRCKTLMKKVT QQYVKLKLYNDPICNYSQQFSHQNALFNDRCVQSSLVGQGKIVKHLIQEEEWLYCMNYVL SLYLGFNEYYSEFIELNKDERYSLIKHSVEDLSLKYIKICVQLIQTNISAMQDASKYKLQ ELKMTNQIMLSILIEFLLKCDSYDLLFEKIMNDVNQSLKDNHQFFLYCLQPFYQQKFVKR IPVSMLNKIIEIYMNEKRLDLIQMMIQSLDHKIIKSDQLIKICLKYGLMKSLAIICFQGD MEQYLTPILKIWSVILTMIEKAQFETCIYYACRALAIMKMIFINNDALGDMMEEKKQVEI KKQLVNWLLNDQTIEKLMTLQTISTFEVLLKVLEYQKKYDNSNFSRTMLIISKVISVIQA GENEFQKSFQQFHKQESFLDDLQIQFILFQVRYFISFGGHGSRIVEYLSYMLKNPQVFCW IYFTELIDSIKDDQKMQDIVLQWYYKKDIIEFLKCQYFINIAIKLEKEISSAVLGLSYEA DFTTFSMISIYFAERKKEFTRAFNFFLTSQNRFVRNLVFDWVEMRMKELQQSDQDNFNQM RSALILKISDLIQIDWNKTRIIFSNYNSESEKVVIEKLSQFPELQLQYIDNVIKRERELG NKVDSNFLIINIQLLCQLNPDKIIEEVSQYDYPLEEILEPCKQYKILAAQAYILEKMGRI VESVSISCQILRQIIQKHKTELDQNYKLSMRLGGGGSDEHHKLANLKILFPMGKKKKKNG QAQEEINIMKPFEDAKFAATNEIVNQIQKIVKICKNDKFEKNEEKWYTLLDQLIDIRDNL IFDSPSQNFMKHSIFTTQICHIFATIAEVSNLGSLIYQLSNRYNNLDLGQLKKAFQEIIQ NYLYTQHLQSNVQYLLMNDIYEKLTVYIQKSKIGITSNRICYKNRTEQSLDGDCKVSNDD FVVFECKHNYHKKCVMMYQGVAFCNLCFKVDQTYSYKLMNSKVLEGATNLEQDDNELLKE EIENVNKFNNSSSQQNSDDVKFIPKVSEETMEKRKKRVNKLYYFDRKRNDNYNIMEDTNR DIDYCNKQNEMKRKKQEMEEKQSKLNKKRK >CAK92016 pep:novel supercontig:GCA_000165425.1:CT868666:47630:48513:1 gene:GSPATT00024747001 transcript:CAK92016 MLNLVYDCEEVYSYENKEIIEKVLKFDSIGEVNLDEKNKSITYKINRRQCIRLRDLIFWI ESDFVRIHLGQLLHLIILLLQQVRLIESLGIEHDYLDLTRIWLHLSNNSEHPSLIYQALY YSIHFTGYQCTFYQKQYSSQNASINVKEIIQIIFNRCFYKIHLKFNNVDKRNEIYNSIVK PIMDLCKSNSNNIEIITCIQDKMKKYNYQDDLKKNLIQCLDIDDQCNDYVGSNRQKSIQK VNEDLTRIVSFGSQYGQVTIEYLLSEAIPMMSQYFGKQFKTLV >CAK92017 pep:novel supercontig:GCA_000165425.1:CT868666:49154:50332:-1 gene:GSPATT00024748001 transcript:CAK92017 MSNIRQRLTPSKIKPNDQNTEKPRKLNQCVNHQNKKAKYHVVKDSSLQLCSKCAVNMVGK GMKVEEIPGLEDDYRRDQILKFITSVNNSIPQIDRLMNALIAKREDIQKYYDQQREKVER FHVQISQCLEDEKMRLLQQLFTNFKTLSKQYDDSISCLQLSRSETISMKTDVETNLDGIL RQMQNEPFNEIMTSYNKNLHLFIDRTECIQKTPIEVLKVTLNEPINITHLMTVHSLKTCL IKKNLHEQKNKIESNDSVYVSFENKEQFTQPKLPKMAPSLSKTPKKDQEYVSPFEIKTEE IYEEGHSQMIERDDIENSYQMMLIDNQSESEDQKPEPDIIKSFCPSNMNTNTLNPNNKVY VSKLISRANEKNDKKIDKNYAFKAMNTSLKLV >CAK92018 pep:novel supercontig:GCA_000165425.1:CT868666:50713:52000:1 gene:GSPATT00024749001 transcript:CAK92018 MIPQVQETDYLYSMPKSFSTRAIHTAQHAEPIHGSVNVPIHLSTTYKQRGPGQPYSTFDY TRCGNPTRAALEECIADLENSKHCITFSSGCAAISCIINTCKNGEQIICCDDVVYGGTQR YMRNFSVKNHGIDVLFVDITDPQSVVKTITEKTKIIWIETPTNPTLKIVDISAVCKIAKE NKILTVVDNTFATPYLQTPSDLGADISINSGTKYMGGHDDVVFGSLTCNDKELYDRLYFS AKSQGGCPSIFDCYLIMRSLKTLELRVKQATKNAYIFAKYLEGNPLVEKVIYPGLSSHPQ HELVKRQQRGGGAMISFYVKGGFEETSKLLKALKIFTLAESLGGVESLIEVPSVMTHGSI PVDVRNQLGITDNMVRVSIGIENVEDLIQDMEEALKSTQ >CAK92019 pep:novel supercontig:GCA_000165425.1:CT868666:52009:53948:-1 gene:GSPATT00024750001 transcript:CAK92019 MGIEQSTQKAIPEKLCYFFGFPNVLLSYNTVKDEFTRSQTPQIVLKNMACVQVNESTVYL SGGIDENYQEVSNNVYRYNVEENIFRNLPSMNIPRYTHQLIYNKYDQKLYALGVRTIVLI GQGRSYGQGSEGILNSCESYDFQKGKWEMIAPMNCRRATFFSILFEQKIYVFGGYTDVRK RSKKIEKYENSQWVILELKLHYGVEQGVLYQNDKKELFILGGQSAEGIIDNHQVVDFTKQ ISYIGKTSNQKPRVLQKGIQIENYSVQFFGSDTLSVERGDISNLELRTYKNKVFNSITNE SLKEFSIADYTFELGVIQKQIISYIVIIQEEAIYRINKNYFKDQNQSKQQKFKDYIQSTT ILSNKIFLYSGALLLKDGSLIIMGGVNNQMNQISNETIKLESNFNQITKLAKMNQARYAF SYALVDNYIYVAGGRNYGNDDQGILDSCERYHINNNQWEVLPKLNEKRCSSFMIQHNGRV LVAGGFNTFKTRINTIEIYNPDFKCWEMLGITLYSPIEACTFICRSNQSNLDFITFGGRQ AQDIDTVIKYSIDFDQPIDHQIGNCQQLQSLKFKGSLLQQISIDNQLFIIHQNGQQINMN SYYTSTMQLIETDINQQLMEILQELKVSNLGKLLIID >CAK92020 pep:novel supercontig:GCA_000165425.1:CT868666:53998:54885:1 gene:GSPATT00024751001 transcript:CAK92020 MIILFGFFTLALSDCSSNPFTPQYLNLLHNLEMDVQQYNTYMQSTPKDQVLALPIIIQTL NICSKMNSYSCCTPETETFFQSIISKYQSKLLDNNSELQNLLKTFESNIKVCGLLNNTSF GNSFIGTDSIENYKLQLKQLAKLQAGFLQGNLCIMCAASNDTKFIQNNKIVALKSNYDQY ISNLINQYDSLQSQVINFKQFVLDYYLNYTDTLRCSSVLEEFLQSWQNVVVCPGNMCDLY IKNTIQPLGFRQLMAEDYYTFSETEGIEVYIEVTGGEVASKGVILIFMITFILLL >CAK92021 pep:novel supercontig:GCA_000165425.1:CT868666:54949:55335:1 gene:GSPATT00024752001 transcript:CAK92021 MDQSMFELFGGDGQISDLIDQFYYKVLFDQSLRSMFLKADMTRVRLQQKTFFAQMFGCVH TKYTGKDLVEVHKNLSITDQQFDRFLYHLKCILADMNKPQELIDSVLKRVDSHRHVIVFK >CAK92022 pep:novel supercontig:GCA_000165425.1:CT868666:55343:56667:-1 gene:GSPATT00024753001 transcript:CAK92022 MWFGFKKQNDNQNGQQAQQFPTHRHKAAHQQGGLNLSFGDENSETQKVAASMFKQVSGKI MTGNFGSLMQISKPVAMSLDMSFLHGVALGHTFSNLLERCSQLSPLEQIKYISAYQISGL HCNGEVTKMKSPLDPLVGETLQLVKEDGTQFFAEQTSFDPPISYYYILGNNYKIYGDDRL DIQVHKTVNSLTGLQTGRQTIVFNNGAVYKCTQRPTMEITGLMMGDRILNWQGKMIVEGP GVKAVITFNYNAEGMMSKITSFFKSQEQAKIFDIVEVDIFEVKTDGNGNKTETLIQQGIG SWLEGLLFGEENVWQIDEEKKKWISPQEILESDTTRRCDLILMRNQKMEDAQKAKLQLEE QNFRDIDNRRKKQ >CAK92023 pep:novel supercontig:GCA_000165425.1:CT868666:57596:58969:1 gene:GSPATT00024754001 transcript:CAK92023 MAEQANIGNLIFMCIITVSYSGAIGLCRTVIFSTILKIDDSVINSVSLGIFTLIFLFGPF SLPLYQRIIYKFTYKAVFCITSLVNVISTTLYIIIIEQQPKQIYLIIAILLFEAIAAPFL AIFYCAYNYYVRSISNSKNIGIYFGIAYSLFSMQNFIGDIYITFANSMYEYNEYFYYPML FISLIISMLYLFIKEPKFGNKSNSSQSIDLHQRINQHIYGSQEEQNLLQREDDPSYSNQF IQIWTVYKKDPLFIYIIPTIISLGIFSAFNVVYSQDMIVPHYSMQLNQELIAGLTLHGIG QFLGGITVGLISDIYGYLNLLIILQIFAILTYIISVNLQYQIRIVGETTQVNNTLFYIFD FMSGLLISSSQVLTFAISGSQYHHNKNVLQFCNWIYCVTFYLFTIFMSLTSSSNLTLGVI SFSSFIILYSILNFACLWFHRRQYLIDLQ >CAK92024 pep:novel supercontig:GCA_000165425.1:CT868666:59065:59494:1 gene:GSPATT00024755001 transcript:CAK92024 MYSCISINSKDSIEEFLSLYHCKDSNKKILLKKEREIVKYLKSLGLQMKTDPLMKLQQKN NPIFQCDNSFEYETVIEKKEFEDDDDDDENEELVIANNPLYKKRTSKKLIRVFSFEKKDN NSFGEDEQNSLLQIT >CAK92025 pep:novel supercontig:GCA_000165425.1:CT868666:60976:62654:1 gene:GSPATT00024756001 transcript:CAK92025 MSLAFERLRKQDLLLSAVLYEKIPKEQLIQHLSQVRGEQFDLIDSWAYETLEGEVKVMVE KKHEEFRRVRTMSIDEEILIKPNVMINDEKNYRETIQCKQLPPNRIVLYYDQNPQVIMQP RIAEYKIIEGSTFTPNYVNYCVVVGQFGSNVWRRVEHFYWLQESLQQQYPDSLIPPLPAK TLFRKFTPEHISKRTKMLEQFLGAILNNHLLRQSDFIEGFLFIDDDIKFKQLLASSSVLK QPTKYSDYVNQEGQVILEFNPMMDKYFMDINTYMLNTNDIYKELTQNSRFMVNSMKDFII KVKNLAGSIGSLKEATKSFNLKNIVGSLPLLEFVYTLLEEYFIDWSTNLNKLANTLNENL YEFFRFQRDMQNQCVELISNRNKAQCKYLKEYQDLMKKKHKYFTSEPIEKWEMVTEMDKI KIKQNQVLSYHFMLPKETQEVEGLKMRFAYINRQAYQQITQYFDNKGISYTTRMCNMSIR KKENAAQHTQFVEMIASQFMQIVAMRNGEIPNLKQEWIDQYLNPLRISCIIR >CAK92026 pep:novel supercontig:GCA_000165425.1:CT868666:62661:63742:-1 gene:GSPATT00024757001 transcript:CAK92026 MFSSQTPNAFIPNAQSRYVISPRIGQSMQVNQNQQYNHQQLEQENTNSNSSEHLHHLTKL YFMMLLCYFIALFNLIEFESMNSFFNQNGYFLYLFLGALLLISMLSLSTENSLLYALFVI LFYFLFACIKAVNNMNVALMIIFTFGGQVLAQFLSVLSANNEKDLQLHQQSLYILTSGLI VFQLFITCFDIDFFEMIIILITGFIFGFFLVISTMSNESKFVGNALPESVSIYTKILVQI LKKAQQIAFLYYKDPVEVEIKLIERNKKIDENDYKIMNQSDAKNYQHLLINTLNGLDGLI GLSDGTFIYKKGMVQYSNAKFEECTDVAIMRLQ >CAK92027 pep:novel supercontig:GCA_000165425.1:CT868666:63776:65500:-1 gene:GSPATT00024758001 transcript:CAK92027 MASAFPKLPGFVPTQEIDKPNFRKVSSTKQEQNREVNHLPNKEYAVPRKQPIQIPPQTGM FNPDYMSTTHAMHLPKNVNNDSEELYQPSWVKMDRHVLRFSGYFKEAVVESALENYRIRK ITICYYLEDHSLSITEPKQENSGVPQGAFLKRQKVLRADDSKTFILPEDFRINQDIIIFG KTIRLYDCDQYTREFYELQGIPQESSFVPQSDSFETKTMTKFIPQKDTVMKDYLEHKLGG GRVTSQKQFLENDRKVLKFYVFSDIEYILHYYLADDTIEIKEINSANSGRVPFPMMLRRQ KLPRKFSLNQPGQTYAEDFIRPQDIQFGQPLIIYNRKFLITGCDQFTRYYYLEKFNVDFP LGGQEEQVQQERSNIIIPPHNGIGDEQDSLGYIYRLQPKPPRKDFFKWVDNQVNLRFLAM FNTTKPEDKDRVFVITFFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNVNNNNEFFTPN DLIVGNEVVINGWKFQLLDCDEFTKKWYAQNFK >CAK92028 pep:novel supercontig:GCA_000165425.1:CT868666:66335:67443:1 gene:GSPATT00024759001 transcript:CAK92028 MLFCKPQVELSEEQKKLRRWVKEIQLLQHYGLKIGLNYCIFDFDVEYLGKIYKIHTFSGG QNNKVCHILMQEILILLHGYGGSNLHYSRIYGELIEKFKVYSLDLPGMGYSSKSDIKMDS CEEAMDFFMGTISKLVMGLCPNLQVTLIGHSFGGFIAAHLLVRFPKLFKRLILLSPAGTT YYTEEQIIQKQDFSQLSFLRRKMIEYAKGLWEGVFAIPQYLNDRFIGRQFIKIYLKHRMR LSGIEYTLWKSYIDEMLELPEGSEKSICLFFHFPIFAKGQNSIESILSMNGNQIKNIPIS FYFGNSDWMDFRGAMNLNFNQNIRLRIIPDAGHQLNFENPQGVVQAIVQEIFQ >CAK92029 pep:novel supercontig:GCA_000165425.1:CT868666:67511:68207:1 gene:GSPATT00024760001 transcript:CAK92029 MWDPNASIQEKQKMEQYMKEKILETFNLFAQDKKGYVDKKEIPYIMRFLGKFPSEAQVSK TILPQIEEDEPSESIKYQKFEPYMIQVLKTNEYDPDDTDTLLAAFRQLDEEKKGYIEIDT LKQKLTTMGIQFRPLEIEDFIRFATNGDPNATVIYYEDYILRLQFFIEKHMDSVTKQYNP EK >CAK92030 pep:novel supercontig:GCA_000165425.1:CT868666:68242:69294:1 gene:GSPATT00024761001 transcript:CAK92030 MKQSFQIKSDILRQLCFKIVFLGSSSVGKSSIIKRFLKNEFAMKSMSTVGVACESKVITI HNQQVKVQLWDTAGQERYRSLTKNYYRNCDAVVIVYDIANMKSFYQVKGWIADFEDKCER PAIKMLLGNKIDLNREVGIELGTLLAQKQKLLFQEVSAKQNTNVENAMIKLIEIMIQTRQ IDSGGKLIRRGSLVESKSSSGDMSSSGISKSTRPVSYKPLEKDVSSFDTSKLQAIKSGGE SSQEESPFTSGPLLYQTSPPTIIVTPPEDALVAKQDENNNMWLSISKHKKKSHSVSMTLK LVHPRESETIRDEYFLRQNANESCFCSC >CAK92031 pep:novel supercontig:GCA_000165425.1:CT868666:69360:69895:1 gene:GSPATT00024762001 transcript:CAK92031 MPKGQTTTTTQKAQKAAKNARVAKKVIRARTHFQNRFHTAKPLALARKPRFTRLTRQLKP ITKGLDFQNVLKHPLITEKDMKKMEDENTMVFYVNQKATKPQIKRAFSKIYEVKVRKVNI LNTFGGKKKAYIRLGGENDALNLANKIGII >CAK92032 pep:novel supercontig:GCA_000165425.1:CT868666:70010:70204:1 gene:GSPATT00024763001 transcript:CAK92032 MVAEKADLPVPSEICKTEEDLDLDDLIINEMPKTEGPELINSTTDQSQKLNDLASPRRKL VTFD >CAK92033 pep:novel supercontig:GCA_000165425.1:CT868666:70380:71529:-1 gene:GSPATT00024764001 transcript:CAK92033 MRFLIIALVICLATCAKLRSQSPQKLQAELQKSNYGRALLHLLELHSMAGGAVSELVDAI EELVNDLDEGLQFLDFNFQRRTNEHNALLVQLNQQIQQAQIDVSRSEDLIENLLVPRKEQ LEVRIETLEEYQAQNRQKVDEENLTREQEHEAYEAQIAELNDATAAVDDALALLSTLNNP SLAQVKKFQNSLKKIEQSIKPRSKMAPFLKALISLASNQNFSDQGVLTQIVNTLNEFRNA IVDSINDLSLQEVQDQENFEARIVQLNAEFAEFQKQINALNVDLTATLEKIDQVTQFRDQ RRLDQATYEQQLQLENDLYADEVQIYNDTKNEFQREQAISEQALLLVRSADFTNIQV >CAK92034 pep:novel supercontig:GCA_000165425.1:CT868666:72397:81401:1 gene:GSPATT00024765001 transcript:CAK92034 MFYFQGKILLLFHIFNFAFGSGCQQELLEQNDYEIQHTSSIYQTIGIWFQWNPTFQQMKG LQGYNPNYLLYIEKNEIDATTSASRIFQELEYNYFLIQHYAFQSYASAPQNAQHQQIERV FSFRLDPFLMEGNWGFHCFSYDSTSKEYKVYLWFAKKGSMTEDFIFESKGYNLDRDPGTQ YTYIVGDIQTPPFGQVYRKMDDEVIPLKFRGLRSKLYFSDTYLGTLAEFLALDQLSCQKY NYCNAMGITRAFVPLIDGITSNFPQVSIQNYREERFSFSGWVKLNKMYGASQDITIVRVA IYEFYSNDYIMGDRLFMMSYHYDPEYLQNSSIQIDTYSYDYPYISFYTTTLFDTYKKQGL SMFELMTKWHYFMFEAGVQSYVSNVNVRIRDGAISDPNYYDIKYNYQRRNQFKQSQVVVN LGGDKFSPSPMNGFLANVAMEYCYSSDQVFEFRCHSICQTCFGPDKTHCLSCKDTTTKVL KNNQCLCIRNYYEDENNACQSIYYRIQNIAHNSYLGSLQLVQTNRNNACQEDQFLIPGDS STICQKCPSSKSDFQIMCSDCLLNQKTWYQNPICSQDYIQHQEGDVFDGSSAYQLIKRDK IDQDYYLIGKDGSLSLCEGCFGVVTDDTQSHILQYQLDKLTKIYCKPCHQIINNSCVNLN LNCLECDDDFKCLTCQSDFQLLNSDCIKCPSHECSTCIINQGRVKCASCPKATYLNNGAC VKCGQNCQICDIDRCQRCVDINQYYLSLDGLNCYPNPIPNCLIAFERVNGQRTSSLQFNY PLLQRDQTTVVECALCKSNYINKLTSCSYYDQMDETEQSLDINNDGNILQLDIQIAKATQ CQNIEAKNMKIDCQSPCFQCVKQNKYLLDGWKQQLMPFYQSYFSSSKFDNAPDPLNTNLV YSKDNLHIHCTSCREGYELYITECIPICSSNCECKIVNNQNMCYKCKSNQRGQPLSLFDG QCIECPYNCAHCKIRTKTEIKLINQYFDPEYSDLQIYGHQCLLPYADTTLYYDIDIGVYV ECSSSLCENSLKLPINYYCDLTSYNTAKSSYSGTLDEFLKYNVLLTDYESFKPFYETQFF YLIMNQRTLKTISFEVTLISSNAYCELPKNFTLATNLRRNIFTLINLPIVLKSNVNQLQL FTKTLQIIGYSDVTIKNLQITNFPLADVSIFISDTFGFVVTMKDMLFSCQNVKLQVTINN PKQIKCDSLTFKDMIVPNTYGIFMYQGLDNDKIVQVEFINVKVINTILTNSSLFVLSLFE TFQNQNVKFNTFLVQDSQFTDSTILQTNYQTSIRKAVVEFTQLKTITSTFISSVFLHFEG FLNVKLIDCQLDQSAFKDGSIWLLLPLFQLQNIKITDNTLETSNDRFITNKGTVAYSDSD NDQNFNQIQNLLFENNQFASGKAFIEIYQNVFIGLSIEITDLNIHSNQLFGSSSKYKNRN VVSENSTIYLDVYKIALKNVDIIRKQSFPELAILNSIDVQVLNLNARHSELKTSFLHNSY SCAQKSIFVQGYTALMYIYNAQNIKFEKIYLNNLRSANLPFIFIKSSDKMKVRQNESVLI QNSYFSQNLLLLTAISEQQAIIQISSEQYQNVIIRNVTFFHNYQHSYVQDLSFISSSTIL FSSPYSTIKIEQVTFERNMITSGQNSNLVLKCSTIKVINCSFLQSNLPPLDTIQQNIYWG IKQDQVLYFENYTQSFPIYSQGGSAYLSANSLEIENVLVLESRSQKGGAFYIAPVQYGVI NIQNITFLDCRASLEKVSSAQGGTMYIDASLAQLDITIKDVEITRSYSRREGGVFFINPS KTKNSVRLINIMVSESYSLFYSFLRIPQTSSADVYIQNFVVRNSYQGFKDYLGLLFYLSE IELSTFQSSYFISLMGNLTIDISTISNQFSGLFEIQSGQAIFKQMSISNCFMYSQPMMIF TSVNLLTFQDIRMYNISNHQYDEDPVDQFEITYQALQMGCTQETSKPSYLYDALNSSIIN YYGQQNIKSISASFAYPTSLIQIDSIEKDFKITYKDSQFSMLTCSNCQKALILHTFNTYY NMGVNIVQIDNIKFHQNICGYSCIVFLQENSNRLLSLTSSSKENLIYTVSVRSSEFLRNT GQNGGVFYVDDINFLIDSCVLNYNQASQSGGVVYFISKSAAFNIYDSDLTSNSAQIGGAF YLNNYTLNSPDRLNLHLINNRAIDYGKNYAEYPSQLTVSLDGEQTQLDKKVIFSNSSTII DLITIQPYSFNNQTKDFIVLPSGQAIRNYKYYNETTQQFVSYNLTFRILALNKQNNKIQN LQGTKCTINSFLVSKASSNQEIPNVLSDANATFTNLKEVAFDTDNQDYNLDDMIIYFDPT KNSEQVLQLEFFCDSVRIPQFDPEPPYLLNTLIQDYRLRVNVQSLDCQLGEQKRLDDGTC VVCDSTLDQYSVQPGQQCQTRDSISTEAVTSASVKLRPGYWRPYTYSVRIEYCLNMEINC EGGWIPGNPSCFTGHIGALCEQCDVYNIEGNGSWSISGQYKCGSCDSIGDNTIKVALVSA WTIISIMLSVKSTMEMVENMVMGKKLQKFYIENPKTGYGGILIKVLTNYLQIIGVVATFQ LSLPSALSEAFKTVGSPVESMSYSLDCFLINMSKIDILYFRMIWALIMPLIYIFTFCILY IIAIIVRIVIPNRSAITTTLIYLFTFLQPTLLGGFISLLSFRQISGIYWIQGNVSYRYDT STHLGWLITFVLPSALMLGLIIPTYLFLSLYKIRNKLDDENNRKNWGYLYNEYQPKAYFW EIVKVYQKSFIITFLTFYEDLIIIKAALVFIIVFIYSGLTKRFRPYKLPFLNQVDDVSTL VCGTSIVLGMTLYSANLSNNQEIIWPFYILLILINASFIFVILWEILWANLENQQAALDK LRDKLNAKFPNLVNKNWLTKRLFTNRGEQLKRNKRRWKMIRNYLFDIIRKQGLVQTEDND SKLDRKSLPGIPKYYNKIYPENFIDQFGSDIEMSV >CAK92035 pep:novel supercontig:GCA_000165425.1:CT868666:81472:82779:-1 gene:GSPATT00024766001 transcript:CAK92035 MNNPYQPYRPYSRIVNTPPTNPNILSYVDSVLQKSRNLYGHRTSDPQMRFSISSANTGII KTETDVKHIISSPSLVSQKSMVQQQTLKSKLEYSSPKKSEQISFQLEQSPIVGLVPVSNS NKVESQKVKLISGVEKNEMPKYKNFKTIKKLGVGKYSEVFLAIQIQTGFLVALKVIQKAL IIKEMMQGQLAWEIKIQYLLEHPNITRLYTFFQTQSEIVLVLEYCSHGQLNTLQQTKQNK KFTENEAAQLVQQITLALMYIHNQDVIHRDIKPDNILLSFGQIKLADFSFCVYSPDEYRQ TQCGTLIYASPEILEGDMYDKKIDIWGLGVLTYELCFGKPPWKENQQELMKTVLISFMQA CFMIPYTASRDLRDFIENLVKRLSRERYTAQQTYNHGWLKRSMSVVPFYISSNEVLFN >CAK92036 pep:novel supercontig:GCA_000165425.1:CT868666:83066:84305:-1 gene:GSPATT00024767001 transcript:CAK92036 MKRLLSMAMILYIVTCTRIENNQGVMDQYVNTGVQQQIEELTQSKIGHFVVDLAEVSMRV TGAIDSLRDGVKAFGRQIEERLKLENTQWEAVEGEHNAKVISLSSQANQAEFDINREKKV LNSELIPRLHELDDILLGLKAKQTDNTQSFKEAEAARNTQNQSFQLTTTEFTDALRNIDE ALDLLTDLFKSGDVRAAFIQITDEQKHKINKSLKQVKSNTENFGHQYSSFIQALTNLTER FDFKDRQVLNEICDFLNSLRLNIFDALTKAYKDEDSQKYQHSLRTQQLTAEKTVFDQQYA DFYQEREDKTVRISDVQTMISTRQADLKAYQDRLRTQNNNYSANLKIHDDIVASVQQELA VLAKALQLVQTPPFLDFLNARITKA >CAK92037 pep:novel supercontig:GCA_000165425.1:CT868666:84376:88447:-1 gene:GSPATT00024768001 transcript:CAK92037 MQQVLKVEFRTQLADEDYSSKIISDTNFNNFKNNKFLTNLLKDCIFDLEGESFMQQQNDE VDDDDEGVFSFQSMIEEQEEIQDITLIDASKQRNKINKLQEINQIVEKLEKALSKISKVD IQIYDLKEAPLDAKLDSPLTVTLRMQKKYLCNFLKFHFSIIPNSQPVFMNFKNAQIIDDR MQNQEYSIKIGKIPFTLNELTFSYVTGEHLKDKLPDQPINSPINLFLSPYFKFSDLQSQL FKVKAYMMFHCEESKLARKVEFILNILDKFLLKIEVDLVNCQYCHAVTDSFGKKIHFKLL CPPNYSISLSTKRKFELFNMKSDWIRVHNIFSNAYYNKYKNEIERLIIINNTLITAQFTF QQGSKEEMAYKMVIEKLSQYNLMYSDAIDLNLFELEQRKTQWDQLYALIEQSQLSFEQKY NILCVVSQNRITDLDLLKQLILHITQFQRDNHEKILESTFQLFSRYSTDLNFSVRQSELN KKNYLTFKRDLKRCLEDVIIPIDQNEQKRVAMIKRVSLTPSGYIFNLKLPEETSEIIRQY YNQLDNFIRLHFEDENLETIHGHHYITEYYFKSKLSNGLHLLGEQFRLLTWSASQLRGGS CWIFNYNRAQISRQNFIDSIGNFNTLNMDQVAKNAARLGQNFSSSKSIDLRQIIVKTQVP DKVGDNGKLYTDGIGKISRNLIEMIRVEMNNHTISAIQVRYHGAKGVLLLNDDLPDNTIE LRKSMIKFNPTLMNDGNYKTMISLLDYNKFRGGYLNRQIIILLVTLGIQEEVFMQLQREY LHKIANLSAVDSSIYNHFLVDYNGELQGLPSIIDNIRMMINANLNENNNHYIKRVLDRLK RRGLMQLRTKSNILMDQAARVLGVVDDYDILDQGEVVCIVKQSLDVNHKYITGEIIVVRN PCLHPGDIRKVRALSENEILSRYNMKNPFAEYYNCIVFPCKGNSIPADCGGGDLDGDIYF VSWDPKVIPKTTEYPMNYDEEKKPAVVVSKQIQAQGYISAEDFFQENKMIEFLLEYLNFD VLGKIDNSHLAIADGSPDYAKDPKCIRLAELHSAAVDYVKHGNKVEIPNNLVTKRWPDFM EKDSMVYESTSILGKLYREVLQCINQEPQSVLDGYQQEGLPEIDTRFIYKFQQEDELAQV GDQAKQYQDYYQNEYQQYLTDNICRNALKCLNHIFDNFDSLRKMFDLTNEYEIYTGYFTN FTQGEGTRLKKKINVEHVQKRVILSLVQLKQEIYKTLSANEEERLAEISIIHFLMMYSPK SHQDQIAKYTSLKFYHQELEKTINKNEEIYKLFSRLKNKFPQWYRGCSWFFFTLEILSFA QKTNDDSDELI >CAK92038 pep:novel supercontig:GCA_000165425.1:CT868666:88740:89614:-1 gene:GSPATT00024769001 transcript:CAK92038 MTGLIDNYGVLELNETKYFTVESNWNLEVQFFSFQGPIKFARVDQDGNFIELKPLPGTYL FTDLVISNPRIQIKYACYGSEGGATFPQYRFSERHFYGVSSFLITAEKDQHLTSIMTYKN SSVQYRLENEYLILEIPMIVRRNGTFILGESFLVNIGHEKDKELLKLGYYAIEIEDKLQN FKQSRYNTMTFLNYYTTVYIKINPNDNYNYFAVICESSNLPLGGSTFVMNYPASDYKRFV PILITFILVLLITAYRWRLTKSKQNWKQEEGKEKLSQSYQQFN >CAK92039 pep:novel supercontig:GCA_000165425.1:CT868666:89901:94123:1 gene:GSPATT00024770001 transcript:CAK92039 MLLNCVILWTLLQFVQTCILRNQLFQNSYNVQNQPNIIPLGLLFNGSSLQYSIQNNNNSQ GYKIFQSVDLLQTYNTALNAIQVIASSQFPIITNAQVQIQIVFLTQTQSNYQLLKTIWSA SSIDTNYQVLATLTNQQNCNRVAHMTQNGIVILCQNQLVFYNMTSNAIIYSQITWPTFTI SYYWLKAINDGMINNQSQDTVYVSFSDGKSSFTSTSYVNTNGQFVKVDAINYDGIVTGFD AYPDSTYFILRNSILSYINKNAQINCNITFNSQSFMSIFSGLNKLGQAHFYIAVINQTSL TQFIFNTDDSLFSQPFHQSFPAIDLNQQPQLFNDQYYFVIQYGFKYEVFNGYENQQVGIS PLFYQSISSNSLILYISYSDQILIQTVTQATLNQVNQPILVFEKPILNIQQNFVYTIFAV DTLTGIDTCSQNLMQKKISAEFNQVQQYQNCSQINVNLYNPQIKQIDVGYCALGPNITYQ AGTFVNIEGLGIDSQIGQFVIEVDELELFFQELAIYQINEIYTFQSNPREFTYFTYLINP LNSKQYIQLIQFNDFQVEYQICDKWICQNTTVINLDYQVTMSQIGVDISKKEDFILLITN AATSYQLCIYQFQSLQQIDLQVLDVAEDLNIDPTFSIVQIMLSGPYLYLIIDNPNQMVIY DIYNFNLVEFDAPNLQPRKLEQNYASYGDNIFLDNTVDLVIFEMVNGQFTIGAQIEYPTN ADSVAIGLLGDGVYIALSSDTGDSYLYYYSRQLLFQSIINPQYVIQIPLYNAQFIQPMIS SFSSQFFYIQMVMPDTSIQLCIFKSDYYLLYLTYYFKEQFNSISVIQVDTLQNYDLIGFQ SAQEFTILQNYWQVSVILNSEFLVPGIQLLNDTQILLICSNNYLSDYAFSFPVSVNNLYY DILRTNQYYPTVNQTEQSFSIMVDPLQYFQGSIINYNVKSRGKNNAFISQYIIDYYFDFE PTINSAAYSQSRELIFSVNNQQAIQYEIGNNQTVLMYEFNNNQYTTCPLIIVEYYQQTPI SFCTNNTDTYVYLSGSIIYSINSLTGTPMPNLFNGYYLNYILIMGFQQNSLPNCLIINTY HLTWVDSFQMMLMERTENCVYQLFTFTMININTNPSNMKQLQCAGWIGITDDISTFPFHY NCFNLTEPKMQVNVYTPKQLFIQSILSNSIQLIQITQIGLPVIYSNYSEITLLFGSQNNA YSFLVQIFENSVEIGDKLLIFQQFSNQKAVQTVSGYNSQGNLTVISMFLQKVGSQIFQTL CIYQPFNFNFTGKLKVIEFLFCRTLHYSLPYTQKYSPFLYYLINNLVLTNQHLLIISQQL EITVQAFPHTESIVYLNASNFDSFQNLTINIIQTDLDRTYVGWIVFSLLVVLSIAIIWGY QYIKKLRNINTDVENYIEL >CAK92040 pep:novel supercontig:GCA_000165425.1:CT868666:94153:94728:-1 gene:GSPATT00024771001 transcript:CAK92040 MPPKKQKDDGAQNNAAKITMLDFEAKRLQMRIVEEQMRADRSKTQEMQLQQKYLSLEKDF KGEKDKLFNISTDMNRQYKQMQDELLKDINELNSTVKEKDEVIKTKEQQITDYRANYEEK LKKKDQDIAELRKKIDDMSLEFADMLKETLNKMQERIELAQWDNNSDNQVMRNFKEASGF GN >CAK92041 pep:novel supercontig:GCA_000165425.1:CT868666:94844:95903:-1 gene:GSPATT00024772001 transcript:CAK92041 MCEDSRALLDELFGKERNVIASKRKNDAHYWNDDVCKNILVSKCFNDLWKHTKYDHEGEC NKRHDQFFINEFNSDRSLKKQQREKKYIEEAIAYIQDKLKEVDKILTKHEEQVEQSSKLQ VADRPKEIQDRLDNMERQINLLTDQSEKMGEQGKIDESERLIMEADNLKKAREDVLLAYE GTNNPFKTYKICEVCGARQSLYETENKVKTHLDGRIHQGFSTIRVELQKLQVRRLVLEKI LEEEARKQEFKEDIAKDKEQEKEKEKEREKQKDKKEKEKEKERSRSRENSREKSKKKSSK KKKDKEKEKERSKSRKHNKKHHKH >CAK92042 pep:novel supercontig:GCA_000165425.1:CT868666:95991:98092:-1 gene:GSPATT00024773001 transcript:CAK92042 MNPPPGLGDDDDNQIGPPPGIDIPQKKKFHVNKKQSQQFQQTSLESLNLELKVTVQDQQF DQIPISEDYLEPSMNNLIAFNGYLCDTSGADSLAIWDKEMKLKKEYKGLIENMPYQMVAV HDKIYLIFGDILMMIEPETNKKTFLIEQALTAFCVSDHPNPRIIGVQDGELMLWRTSNLG KPNQMEPKYNNFEPKTKNVIELQKVLSGKLDDCDDLLMLQNGLVFGKQKKVGEVFIIDFV NDQLEELQTTYGCAVTCWTPITYDSVAFASNGQIVITKFQTNKQAVVQIILNSSKDDIHH DLCYTNNQLFSISKNAVVTSWNIQSGAKTKTFEVKFCPGVYSLIAMNQEIIIAEHKVKKD FILLNANLEKQFFYKARVKQVLHCCSSPNQQFLAVVQKCGLLYDEEVIFHIEIEIYPFDN KLEFQRRKEIDNLEIKTVMFLNDSTIQCILKISKSVYPLLVKWNFITNEYSESEMLVEKY KSCQLYQNRSVFSYDFHNPPSQQFNLFAPKRVDDQNQSTGISVYDENIKRLLKVQFQQQF NADVFGMPTPDLLYVLNNKNQIEVWDVVTSQQVQKADCRPSIIKQIRFSSQYLFLNGPSE WIVRDLKLAQICKTKGEMINKCQFLDKKCVINQKQNLYEWDLVSNQCKQIPLFKVYDFCI IQDKILAIGESTIKLI >CAK92043 pep:novel supercontig:GCA_000165425.1:CT868666:98163:100095:-1 gene:GSPATT00024774001 transcript:CAK92043 MDSIEKEVDIERGYWSKHSSANGQTYYYNVKTGQSQWDKPECLQDEESEVEEEWQQYLTE DGKPYWYNRITRESKWQKPEEEQYTSGEEEDIIPPNPIDQFTQLLKDNKITSSVKWDSVV KQLQSDSRWKCIVSISHKKKIYNQYLEEMKKQEKEENKTKFSMAKEDFMKMLEEHKILSS DIKLWKVQSYLVTDARWKAIPDEKERENLFQDYLDKLYKQEQEQMKENRKTTTEDFRKRL QRHIEIGVLSHSSTWEECLKLFSQDRLLQQMLPIDALGVFEEVINPLYEQWRQSIISKYR QNRINFRELLQEHLAEGLLTHKTKWGQFVQTIQQDDRFICMLGQPGSQPHELFLDFISHL KQNHQLYKSELKMHLQQKGVKVLTNVSFEEVDAYFFDNQIWTKMPSHEKKYYYRYFQENI KQSSNIQSKRYKKMCKRYIKLLKSLPDYKSYEEMLPIINQKIQENPKLAGLVEEQKKAQY ESFVANLQQLQSQQQQTQSQQIPIVPIVNQQQQQQIQQQQQQQQQSTQIQEEPIQQQQQT SEKDQSERKKKKKKEKKEKKEKKSKEEGSVRQDLSQVEPEKTKNVKQEHEPEPGEIQPNY DFNDQEKSKSKGKKHHKSHYKSKKHHKQ >CAK92044 pep:novel supercontig:GCA_000165425.1:CT868666:100176:101341:1 gene:GSPATT00024775001 transcript:CAK92044 MENSKSEILHWINALLKTNFTKIEQLGNGVAYCKLLNMIDPTCLNPSKIVLKTKTQIDHI SNLKLFQNAMQKLHMKKQFDIDKVSKCYFHENYDLALFLKKQHTLRFAGINKENTSINNS IIYENHPNILEEQQTTNNALLTQIASIMQTTDLAESKVLQTINLLKSHNIINQSTHFIEN NTMYDSTNNIFNMFNNSNQNVFNTDNLFKTDSKNKQSLEQQNNLLCETPEMLLDPHQNNE DIFEHQSSSKLIMKIQSQSKLQLEIKKETPNFCESPFIQQPQQNSLAEFIKSESKQQQQY MQQYSMQKQLQMQNQINNNPPQEYQSPFRDQVIQQQLSQQKEIFNQTTNPQVFSSYKKET PQNNNSMFYSCKDQGAIIEE >CAK92045 pep:novel supercontig:GCA_000165425.1:CT868666:101358:101861:1 gene:GSPATT00024776001 transcript:CAK92045 MILLLLFFLVKSEVETQGNIVLYRKDAQYTYIDLDTCPILYYKQPTYSSATLQLTWPQFC QSIQVADFPEPTTLDVIQQSSEAQKLSTDTRYEKYYCTALKELSDIGTDACCCKPENIDT ELSTQGLTAVIVVPIVFFIGGLIMAKLNSHNSRTAWIHEFEQALSKA >CAK92046 pep:novel supercontig:GCA_000165425.1:CT868666:101893:103134:1 gene:GSPATT00024777001 transcript:CAK92046 MIQSQKQQYPYWSKMLENQLQEKQQNDFQFKQDQELRKQRYKQELQTDMMLHQQKKQVQQ SQNQQLESIMLETLRQRESKKLKEMEERKIKQIQTSLDNLNNLMIKKKMNLERSYNNQQL DKEFIRQEQMREMKTIEEKKQKKEEEAKLLKVTYDDMINNKRQLRQELQQNAPTSIPTLI NEQSKAKIDTKKYQVELQKQLEQKQKQLEELRLKKIEERQKVEQKIEFEKQKMMENKLKN YQAYKQYETENYELLLDRKQQQQSNPSELKLLQNPSQSKLPRIYQDNYYPIERKKQLELL DRDLLKYQLLQQQQNIINHNHTILSPVQDKVQDGTKSESSKQTKQSKQSKQASNTLNQLV PQQQQQQQVQVSTPSQKSRNSKLNPQNPIVHLNQRYVPKKRSFNIVTGIITPN >CAK92047 pep:novel supercontig:GCA_000165425.1:CT868666:103351:104617:1 gene:GSPATT00024778001 transcript:CAK92047 MAYQKIVLIALICVVTCTRIENNQGVMDQYVNTGVQQQIEELTQSKIGHFVVDLAEVSMR VTGAIDSLRDGVKAFGRQIEERLKLENTQWEAVEGEHNAKVISLSSQANQAEFDINREKK VLNSELIPRLHELDDILLGLKAKQTDNTQSFKEAEAARNTQNQSFQLTTTEFTDALRNID EALDLLTDLFKSGDVRAAFIQITDEQKHKINKSLKQVKSNTENFGHQYSSFIQALTNLTE RFDFKDRQVLNEICDFLNSLRLNIFDALTKAYKDEDSQKYQHSLRTQQLTAEKTVFDQQY ADFYQEREDKTVRISDVQTMISTRQADLKAYQDRLRTQNNNYSANLKIHDDIVASVQQEL AVLAKALQLVQTPPFLDFLNARITKA >CAK92048 pep:novel supercontig:GCA_000165425.1:CT868666:104658:107965:-1 gene:GSPATT00024779001 transcript:CAK92048 MRKNEDADNDDMLFEISKEQKRNKSTKRNRSTSRAKKDDDDNHNDNTPEASKEQPSSQHK IEDDKQDKSNRRQKSKGAKQSKQPKKSEQQEQKLHQKEQQPTKRDKEYFKLALQTLPTFL QPENIRDSKGRRPNEPNYNPSTLYISNYEYEKLSPIFKQYWNVKKNNFEFIAFFRCGSWI AVLYNDAIVIAQMFNRYLGFWGKDTPCLTIYDNQLPIYQRALLEKGYKIMMIDQQEFSDK TNKEDGEIIRREITQMITRGTLQELGDTDSYEQRNLLVLVFSNAPANSKGHSYIYGVSIV DCTTNQFSFDQFYDDAQSNHLKSVIYNTKPVEVILCSIPYEIERIIKNICHPTVVISQLP FSDCQFIFHQLKIEYLELHNKQTNHKSKQLDDLALPVQKNQSEQKMNINQISKQEIREEQ CAENYQLSQDYPTLLIELETQFNHEKKSSNQEDNESNFYSYYYVLQSFYILLSYLRQLLI SNSVYRRGKFNFLDSNMIRSAHLYLDAQTLESLEIFDVNLKTKVTNSDSLFSYLDRCSTY PGKRLLTKWVQSPLQNYNSIIERQQCVKELCNFLPQCYEFQKKISSLPDLERAIIRCFNT IHSHKLKAVPSCGKTKLKEIKNVLSNVRQAAEAFKIFDQDNNNFKSQKLQDIFNYKQNTQ ILKQSLNELEKCLNMEDNEPKPIQGASSEYDETLTKITDITDSLQDELEKWKSKLKCPDI IYYHSKIRYQMQIPENQLSNKQKHKELIITSKTPEYERFQTPFIEEQLHQLKLAEHELSQ KLLPFINEYFTKFYSYRKEFLQLISFLSEADCLISLAIVSKEQKMASCFPKINKDSDERE FKLVEAYHPCLLKDTSIEWVPNTIKFSDSIDTLLLTGPNMSGKSTLLRLIGVSIILAQIG CAVPANSFSLTPFDRIFCRLGATDRLLEGKSTFFIELEETKTILDHSTSKSFVIIDELGR GTSTYDGIALASAVLRYLQEKIKPLTIFATHYHILLDEFELFKNINQCVMLYYQNKDQLI FRYKLVEGVAERSFSTNVALKAGIPQEVIQTAKQMETRITKEESNIKKNREILQKFNQIL TELV >CAK92049 pep:novel supercontig:GCA_000165425.1:CT868666:108077:108509:1 gene:GSPATT00024780001 transcript:CAK92049 MNIRRLEIEQVDQSDELEASSEKISEQQSDDPFLNFVASYSLFTNNSEDTQLDLCQVLQQ LNDKISIMKPPSNHLLDFHQQLQIKENQINELADTLKQLPDSKLFSCIQDVEKLYYRLSV DFQEELRTAQKLRIV >CAK92050 pep:novel supercontig:GCA_000165425.1:CT868666:108841:109095:-1 gene:GSPATT00024781001 transcript:CAK92050 MGICQSSINKLNKNQKNKHNEGKYTSNTNKIDKSKTVRIFDYNQNKIVEVPVLVPATQNS LYSKRQSQSPITNPNSRQLIPQSS >CAK92051 pep:novel supercontig:GCA_000165425.1:CT868666:109178:109571:-1 gene:GSPATT00024782001 transcript:CAK92051 MAIETEVLYALYSLYQKNLITYEQKGMIKDMLIQNDFVLRASIANCETQCLLQHRILELL KGFQMVFIHLDQESPRNSTCISPLKRMPSCSTTHSRQRIKSAIQNPNQKKTISRQRSNSL TAI >CAK92052 pep:novel supercontig:GCA_000165425.1:CT868666:109658:111251:1 gene:GSPATT00024783001 transcript:CAK92052 MEGLLNCQIGFSTQKYYFILHDSVLLYCKKKGNRVIGQIHLSIAKVEKRECQINITTGIE KLILLFENNFEKDVWFDCIQKQNQKLHLEDELEKVRSLQYQFESTLKFVNKTIEQNPNLN SYSERLQSYGSTFIDKLSEIVDLLYQSAQPEFQSCQSINSIHTQSLKPAPQIKKSQKLKQ QQYNVWGTVKHQILNNNIYQMKLPIYLHQPSTMLQQVATQMKYISILDRASSIRDPDFKI ALIFGFLISQYSAIPKLLPLPSKINETFEIVDTYKYLSQQINENAIAFFATSPSLDYNGQ IEYKFSFDNLILDINLVKSLFISFKKNLDTYKIYSTNHLISVKNLVTQKPEIVIEGNFII DFKGTKHEIEIRSNQIKAIVGQFSISGTTDKLIANNYYNEELILFQKDEKEFEFNKHNPL LPPSDSRFRPDLISMLEGNYYEAQQEKDKMLFEGEFQQSWFNPVQADQIINSNEKRYDYK GGYFTQSIQ >CAK92053 pep:novel supercontig:GCA_000165425.1:CT868666:112063:112278:1 gene:GSPATT00024784001 transcript:CAK92053 MSRERGLSDQFNNDRDKIRQLESNLTAQYEKLFGLDNNQEINQQQEKPNQDQPLDFLNQL IESQPKRKQSF >CAK92054 pep:novel supercontig:GCA_000165425.1:CT868666:112952:116824:1 gene:GSPATT00024785001 transcript:CAK92054 MNFQDQRLNFEDEILTIKDYRQTCGDKLLFVKIYSLMKIAQEHQLKEEEIEEYEEQTPSE ALFWQFYQFQSDQYGLMKQLILFFWKRLTVIFLIQIVQLSVQLVMPFVIRQVLTYVQKEE KSMMDGLIMIGVILILKVVSLLSATHLKLQMRLVGYDAMSILSLKIMSKCLRISMLSNTQ RTIGEITNLMQVDAQKIITAVNNLMNIIIMPIQTIITLIFIYQQIGISVLVGIAIIILTL VINNYLGRHILTTQKQVLLSKDNRIKQTNEVFQQIKFIKINSYESIFKSKIEQLREVERK CIDKRLECYSLNVFFGWLSPQLILSLSFGLYIYLGNQLTPAKVFPIISLLLMLAANLQLF PISINALLEISLSLKRLSNFFETQEIMDECISQCDDMEFSIQIQNGNFSWNKDQQKILKN VSFNIKKGAFISIIGDVGSGKSSFIQGLLGEMVYDLNEKSPKILISGTLAYVGQRAWIQN GSVRDNITFGRQFNQDSYNQAIYYSCLSQDLDILIDGDLTMIGEKGINLSGGQKARISLA RAIYSGAQILLLDDPLSALDVHVGNFIMKECFLKHLSSKTRVLSTHALNYSQYTDYIYLL QNGEIIDQGNFEKISQSTKFKEIEQNNIIQSNHVKCLQLDAKKNNESKQTIQPILAKRNK AITEDIILKEDRQIGEVDFEVYQKYFMYNGGLKNYSVLILIMILWIISQLISNFWIAKWA SDTNSQDHNSYVYLSVYFLLGVFQSLFAYARAVSVVNSSLKSASRIHNEIIESLLKAPQC EFFERIPIGRIMNRLTKDINSLDIDININISLFSTKLSQIISATLLAIITSTKLIVAPFI IFFYLSLKIKNIYMQASRELQRLELITKSPILSYFVESLQGLTIIRAYQKSNVFLTTFSQ KLDQNRQIIYVSTVANCWFTQVLGFSSLIVNMTAITYCVLYQNNASFIGLILTYVANLDA LIQSTIDTLSTLENNMISFERCLEFTKIPQEKSTYTLEVEPDWPKDGVISFDNLAVKYRP DLPLALKHFSFKIHKNEKIGIVGRTGAGKSTLALSLLRILEAQEGQILIDNINISQISLE KLRNSITSIQQDAIIFNGSIRQNLDPFQQFNDDSIKQVLNDCCLTNLLNQRNGLETMISE SGDNLSAGEKQLICIARAILKRAKIVLIDEATANIDIETEQKIQKVISSSFSNCTVLIIA HRINTIMLCDRIIVIDNGQLVEEGSSQVLLNNPSSIFYNIYQEVIKNEVL >CAK92055 pep:novel supercontig:GCA_000165425.1:CT868666:117705:118277:1 gene:GSPATT00024786001 transcript:CAK92055 MFSILSVQFTIISATYTYIAQNDSNLDGWLIYNSQSPFITNCGGVEMLGGINSFNENTVI KRIFSDLKPHYQLRLDFLIWTQILLFNNRMDSSSMTDIEVQLDQEDYAESQTSQVIEEQN YCGLTNKNESIKLVSFTFGHNIVQGIVFVKFKYHEDNRVINLTTLDLMGNVIDALKIRFV LF >CAK92056 pep:novel supercontig:GCA_000165425.1:CT868666:118391:121153:1 gene:GSPATT00024787001 transcript:CAK92056 MNLVSIMNVKNVSQLSFQIQFKQLQPLQLQGNLKFLSNRQNITYHNSIIIEVYNNGTLII LLIQKYGIAEFNLRLYSRLELELWGQQVLLQYQINYHLDTFFPFIPGCSDYFKKECVQCL QGWKLNIMEQLCYPICGNNKIEGYEECDDGNFSPFDGCFECKYQCIQHCDECIYGICKKC EFRFIYDEERKICLPNCQEGMVIPFTENLCDRYNWLENCRQFCLLCINSDCAQCEKGFDL RNGVCNPICGDKIVLPDLEECDDGNNKIDECHECKLVCERGCDICEKGICKLNCQKTYGI GFYFDDVNCRQICGDSIVTAFEECDDGNDIEYDGCFNCKYSCEQNCLDCQNGQCVKYQSS CGDAKLQGQEVCDDGNNDQLDGCFNCAVEPGWNCIQIKQNTFCNRINPPQFISKFLSIQN NKQLVLFQFSQEIKIIKGCNLTESMVLSILNISNSEYILTYIEKEEPLEEQVQESYYIIQ IEINTQTQSKPILYIDLNATILNNDNENMQQLQYELQLEVSQFLTPKQREYSNSIKGVNS YFIYGQLAIGGFFFLIGQPDILFSMLDILQYFSYLRYITVTFPFNLEIFFQISDQISFSL LYEFIKFEEILNLIIPLNYMSPQFGKFLQYDQNADLLSNFIPQMIQGLIFILFILSKGFV YRFLYYIMINTKLFICLNFLQSIINCKLMLRIYQYLYQVSRSLIKVPKYFNSQQITNLMF INGWDLIFKCFLYLKTFENYSNRNIISIVLASLILAFYGYIIQNSLSTSTIFMKSKKNQY LEQRFEALDLIKQIILLYALIFIDKTEIIQIAILFLNCLISMVIIFNRRRHQHLQNCVSK ILSEGSILLFIFISLVYIKDFPIYISGEHKIIIGWIHIFLLSFILALPIIQLAKDFSLWF YKRLLQIRKTINTRKQIWLF >CAK92057 pep:novel supercontig:GCA_000165425.1:CT868666:126986:129203:1 gene:GSPATT00024788001 transcript:CAK92057 MLSKQQQQLQEIVSQSVLKTQTTKVSQKLSQSFNPNGLIGQSLSPTQKTNQSMGLKKGNN LQQYQQELLKLMANHKQLQSGTKKANLSDMMTQQNGSKRISSGASQPQKSQDSNKTSFVN SAHQIIIIVNHENQQIRFTIDPLKSTGWLEEYLKQEVKKHSIHQYSSTGSQPDERKVTCG TGNEQSDLEIVSFHTVDKNLPIDQPNKSLEILNGQTLNLQPFYGTPQQSKITLKDFIFVK CIGVGGFSRVYMVKKKSNGRFYAMKLIDKEFILQYKKQGIVQNERDIMTVLDHPFIIKLE YAFESKNFIVFVLEFCSGGELFWQLRQVKRMTEEQARFYFAEICLAMFYLHSLSVVYRDI KPENILIDIDGHIRIADFGLSKPNMTEEDYAYSFCGSPEYMAPEMLLKVGHNVQVDHYCM GALLFELVTGLPPYYSRDTDEIYESILNEELTFPDKLNLSPAIKDLLQGLLCKQPSERLG SNKGLTELLTHAWFKDVDLVAILQKQVPPPFKPNQLKFNYDSNDLMKGELETREKLLGKA GLSQEIRIFKAFYFDAQEQKQMKTEQAKILQQHFMMVTQQQLALNQKFKPQRKSTEQKEY QSKPASPQTRNQKQQKFTPEQFQSLQKRIKSQQSSMSNVQQISTIQSPAQSKLQGLKRII SQNNFFADRQNTLPAGQKEANIDYQQLLSNVSTEQMLHKRVSSLKQRKK >CAK92058 pep:novel supercontig:GCA_000165425.1:CT868666:129675:130295:1 gene:GSPATT00024789001 transcript:CAK92058 MVKAQQSQIQKQKKLKKENDLKDLLNEIEKKNQILSEFRNKADKLQSIIKNQIQKIKIYQ RMLNRKGELPVTTQIREVVILLLTKQREQIDLAFQQYEDQLKELYCQIEYFKEQNNNLSE ENNKLQINYQIFQIKEENEEINCMKNLKIKSYQSNFYEGKPTPQIIAQISKYPNTFKIHR WRELSSFQINID >CAK92059 pep:novel supercontig:GCA_000165425.1:CT868666:130486:130902:1 gene:GSPATT00024790001 transcript:CAK92059 MVQRKGQRKFRTLFLNKIHLLQVIKFLNIKIRQQQLGQKEQDETIFELKDELYKTKKQLQ VKNTEVKQLIDQDKAQDDKNIELSKINVLLQQQLIYKESYSQQHELKQQLNEKSIQQENL QLIHLIKFELVIIKLISG >CAK92060 pep:novel supercontig:GCA_000165425.1:CT868666:131504:132431:-1 gene:GSPATT00024791001 transcript:CAK92060 MSEQQSKGESQENSSLLSSQEEQQKKYVKPVELINFSKGSSQSLIINEQTSTREERKMKQ YLQRIQEKERQEEAATLKRKRSKPNPPLKQQDSQEQPTSSENKEEEGKQTISVFIKSIFS YGCVVKSIVSPLIKCTIIRVTIFINKVETEPKIKNESMTFCIYNKKEQHHIYLYLSDCQD RSFDTMQKLQASATQLYSPQKQTKDQTSLNQINQEIFQETSYLIKNIKNQYQQYIEPIQT IGLNDQKEFYNKYFDKKYVESVIRESKLQIHKKSQLEKQTSIGKQIPKKH >CAK92061 pep:novel supercontig:GCA_000165425.1:CT868666:133773:134036:-1 gene:GSPATT00024792001 transcript:CAK92061 MRIVVERYSFLSDSLPITAEQIVILQNYSKSLASKAKMEPITQLLKGMIQVENYTVDKRS SIHLFALCTIIFGVIPVLMYQSLQEKS >CAK92062 pep:novel supercontig:GCA_000165425.1:CT868666:134300:135628:-1 gene:GSPATT00024793001 transcript:CAK92062 MCFKGNLSQPKANNSTILVQSSSFSAYTLQFYLVQQPIQQIKMYQHNYIDSFKYYAQSIF IKFLRILSNEGQIISIKYDTVGIVINTSLLSQYLIDCQETLRIQIFFAYGNFSISLRSIK NIIIYLFTYFLLILNQLKANLLIKIPSTFLKIMILFIPNCYRINNIIIKCKQINYNQNLY YFKITFRTAKCINDSTYYPAKTQITALLQLNLKARCNGVHFSLSNSFWFSYLSKSLSIFW QFNILLQMLKHMMQKILLLWFSSFHILTIVTAKSIINITQSIFCINRLNILYLIYFFSFI QICNQQSQQQQLQFIYQMLIYFISLLSIINCQCIENAYLQIPNSVRKQQSETDVIKRLEG EYIIDMVRISKQMINQKYKYFNPN >CAK92063 pep:novel supercontig:GCA_000165425.1:CT868666:135647:136406:1 gene:GSPATT00024794001 transcript:CAK92063 MNKSQQEAIQKNHYGKLEYWERRYSENDKPFEWYQNYDNLKDIVTQYINHNSRILNIGCG NSNIPEDMYKEGYQWIVNLDFSKAVIEFMKEKFKSYPAHFQFVLADARELPFPNDQFDCV FDKGLLDAVLSGDYSAQNSKKVINHIYRALKKETGVYIIISHGFPEQRLPYLSKSEYNWK VTYSKVYKPDVRTKSLEFDASDLNNYHFIYVCKMDRYQGQTAAQVIGI >CAK92064 pep:novel supercontig:GCA_000165425.1:CT868666:136462:138090:1 gene:GSPATT00024795001 transcript:CAK92064 MIIFILITHISAQCSHPIFCQGDILHTVQTQKIFNDGKTFVDMTMKKTETEILNVWKTID TKNKEQVTAFVADNFIEAGSDLESIRPSDYKEKPDYVDNIKVEELKDWSLEINKIWLELC KEFKKGIEDSTSILYVPNPFFVPGGRFREFYYWDTMWVIDGLIISKMFESAIKMQENFVY TINKLGYIPNGARIYYKGRTQPPVLAQITYNIYQGLIQDGQEERAKQFIINSFGAVEKEF QYFYEHRSIRACLIFQNVYCDVMLYHYDSDIYYPRPESYNEDISIGHANIHMYHEITAGA ESGWDFSTRWFADQKSLESIQTSYILSVDLNTFMIINMKRLASFSKVLGLQAKQTFYDTL SKNTEEILLDKFYNKETYQWNDYNYKDKKFNKNFYASNYFPLNLKSKSDSNLIENLKQMI QQYPGGIPTSIFNTGQQWDLPNSWPPLNQMIIQGLINNDQKELALQLSQNVINNAYCCFQ KSITQYGKGYMFEKYNAASVGTSGGGGEYEVQTGFGWTNGVVIWILNTFGQQLLLPTCPQ QI >CAK92065 pep:novel supercontig:GCA_000165425.1:CT868666:138217:140192:-1 gene:GSPATT00024796001 transcript:CAK92065 MRQNNNTLEHNIIYKLMICLLLSIKILISLNLFFININQLTTPSKYEQWQCVQIKVVFFI GRTDLVDFVPHGSVNQILVDATIIRLFGGFRSFFLFGNRYKSKIVRDDVWVFGDNSHAQL GLGEVSEVQKKPQRLYCNYDLLTCAETYTYAYNWEGLYYWGETNYGCSIFKGLCIDPKQL PQKGVSQLCAQNDRFYYIQHGDLYGSGFTHLMGVQTNVVSTSKPLLIMNKVVKISCSISH SLLLDENGCVYSWGNGKNGELGLQMAGYSFQTYCSTPTQIKHLDRIQDIQCGNHYSLALN QQGVIYEWGNGLQPMTFEQALKIKEVQMPNEYSNIRKIHVAQSQAACVDQLGRLYQWNSK YPKPQLIKSGFRCEEFLCGDGIVLFIGNEQAYQVSEHFKQQVKEKVKKYKDYVELKNNKV RNVFYDENKRTKCLQELNQKALEQQIKPIQPEDFLYSRLQRKQSLYVMQLKRRSTMMISE LQTLGFQSEHKPRFSTIKFELIKEQMKSGKLQLLKTDRDVKDNKNFQGSWEQFKLLSKNV NRTSQIKNRIPLHILNTKLQQAIEIDKVSDLILESERLNPLYIPLDNMAMVIKSQPQTQR ESLHIQESQQKEFIDDPDIMKYEYVKLAHGQSHDERLMFLTHKMEKTQR >CAK92066 pep:novel supercontig:GCA_000165425.1:CT868666:140538:141834:-1 gene:GSPATT00024797001 transcript:CAK92066 MSKHSEKKTKAVEYRYDHPQSYYQTYVPTPYVASTYEQPIVVVPNIQAATQIITTEPTVI RERRVVKGESQFIKEDHMIQEQNCCISSTPYVSCCDKEQKVVTRQVVLKEKQPILQNRNE NYEKKLDEIEKLHIQEIKEMELKMQQQLDQMHNQKLQDEQQRQISDLKQSLFDIKNQLSS RVQQSQPQQQQPQIINTYQMERLQSQLDKKKKKIKELKQIINNIQIELQQKSSLVQDLDL RLRQPLPQSVVHVPMPQENYELINQMRYLEQERSVLLREVDSLKFTNSELSSLSFKDDPE KIRLKQDYSRMINDFRFIEQENIKMATIIGQKDDLIKSLEQRISIELSRQSILLQQQSPQ RVILEQTTTTRPIQTQYVIDSSQSYPPQPIHKQYIIQDQPIQTMQPTLLQQQQQILPNVK IIS >CAK92067 pep:novel supercontig:GCA_000165425.1:CT868666:141868:142536:-1 gene:GSPATT00024798001 transcript:CAK92067 MKNISNLIQDESQINQLRLSGRRKHPSPQIQIYDKLKTSIQQDFQNSYTFKLKTPTHSDK KLFEYKNQKDLELLVSLIMQACLHMENCIHEQLYEKNQQGKTFRQVCEFRVDWTKKIKKV ERYFENQLQLKKVQQIQCQNNDQFKMIILEAINKETALMIDKTKKESQFIEYAKRFAIQQ KQRMNYCESILSQFEDVQNRKKYIQPPSFWSILDCSCNATMS >CAK92068 pep:novel supercontig:GCA_000165425.1:CT868666:142557:143262:1 gene:GSPATT00024799001 transcript:CAK92068 MNKNCYNLALELKQYLLSDYLLAQFPILQLPDYMENTFALHVNILQQRLLNEKNTQSEKD VDHLRFFLKTYVYSELANKYNEKYPADAFSDYFIENYQNRVEIMAGDIKILDNDMQTLQK EEIDENTRKFIRKFIFLNKIGTTNNIVQKYSSYVMAHMNYLHSLSYLEIMQYKIYWGMQE ALKQLKA >CAK92069 pep:novel supercontig:GCA_000165425.1:CT868666:143297:144177:-1 gene:GSPATT00024800001 transcript:CAK92069 MINSHQNIPIQQFDLQEQIQILYHHQKTCEKQGKYLEADLAKTKLNELTKTLDLQQKHEV VDRHSDEKQQIDKAHFDEFNQFNLFWDEKFKQFDFETKSAKDEILSRHELELTSFLEELE NTIPLKPKDSAQLLKLRKTEEQLAKLENYKEAHMIQQRILNLEKEEFDKWNHTRQNKIRN LIQQLRQKQIIELNALQQRILSVQEELSKSKSQELQKMLSKYQHVRKELENQQNQEINRL EKSIKNQSIMSKMNSQMKKPQDENYNLK >CAK92070 pep:novel supercontig:GCA_000165425.1:CT868666:144199:145153:1 gene:GSPATT00024801001 transcript:CAK92070 MIIIDIMKERVSDIQNIYNNTMAIKQIKYREKLRQVQETDLMSSLYQFRKLTQQDLAQFQ EGAKQIFKIIAPGFDTRFSNITLTSTSKVFIELYKHFGFGQKSDALYNELLHNSKQQIFL CLIQHLMDLTKLALQCAVKRFLDMKLLCTGKEIEKSPNPRRVDIIQFASVKRNKINKVMR SESNSLHQSDNKQDLRIAIDKKERLSINDAISNCDKSEIIKKNIRNYEEINADNISTTCN RNIQRHQSFQKHTEQNTPKLQFPSIYKNTSYVLYKALRSRNQSVCQTITTYIKQLQDKGF YEE >CAK92071 pep:novel supercontig:GCA_000165425.1:CT868666:145179:148489:1 gene:GSPATT00024802001 transcript:CAK92071 MNICLLSLSTQFKDFIYLINKILKNHEGITSVSQRLYKPPPIKSDYQKKQLKRPSNVFQT QSHPPKQQIQQQILPPEQILNSIVTIASDDLDPQQQQLEDPHLAFQQIPQTFLQTMSYNL KEQGLINKLKNTLKDQISYLQESLNFYNNIHQKYDIIQTSKTNLEANDKTQRLKAWSYYK ANQQIKLINEKIDLFSIPIGFKIQPDKIYNTTKEQMKTIIHQHIQQLKISQDALNSYKFD NFFDNQNVERIFNFLISFQEAYEKCENTKSLLIIENSLCDALHTLRIQSQTLFDQIKAKY EDWYNKFQEKDGQYQMFLKMLQIRIHHMPFYYLTQTPIYESKHHQDVLKYMDEMLIIQQS LSQYQDQIPFIMKFLKLRNKQLKTLEIIINYVNSDYPYNKSLIIDVFYLKEVKLLDLDLT TFDYLLYLSTSIKELQDTLIQKQRFVSSITLQILDLSKLNTEEKIIEVKEIKKTQKKEVK QHLLDKQKQYLKNLSVKNKTEEQFIQSRLNKEDEKLKIEKENKIERLKAKLLRQTIAQKQ EEKNYEFLLKKYRYQENQSNLIIQKEEKKRKNFDILGQMLYLILDARFKLYKTQQKETYD QIINFYGQHMYQKGIPKKFKLSTIQQLYESIYELSKDFRDHFNQYFLDKQVKNYHNIVQQ QQNQQVNEQSQLFQEAKQVDFINFSLTISLKLLNIISHHKSVYSIQRFIDFDILQMPDLD FYSLKHYLQWIQKNAENTFDYLQKNSDALLRFEWIPFLNGQVKCSEKQQEKLFELIKKIN HCYILEFEVIRYIINSLARELFHENTFKFDLRQLTKDYIEQSTKQQLQDKMKYFPKLNQE LLIQLEPFLLYLEQKVLCNLEAYYIISIIQKETVQNAELEQIIKNNQYFRDSNDVWKFKY QGMRYILEKNEFKNNNLNEMINEQMRRNSNMKSKGSNQGQFGKSSLQDKYQELMQLNNQK NERIQVKKPLSIILEVDYKEDQKFLVRLVNESNQRVSMEIKEEVVSDVVELMCENVKGKD KGKGKWVMKINNEKINFSQIDILSYGIPKWFNLIINGQKRDIRMDQNILQLERYLMVRYN SESEKELFNIYQYK >CAK92072 pep:novel supercontig:GCA_000165425.1:CT868666:148717:151084:1 gene:GSPATT00024803001 transcript:CAK92072 MIKENQNLMAVGVLFGLSIILELIANSRLKNNKLKVILIYLSFCSKYLGIWFLSNSPGAS FLLQGIMCQSLKSKNIFDHIFLYEMIGLFGLILNIFNKERIVIQNAAILAIELVIALIFL IQLYKLIMQHYPYNRQHNFIQNQVIIDLTANHKIKQDYEVTPSQSPRSNFQKLFQSNNFI IIRENLEILESNFDLKIHWLNESSPQNIILVEQFMQLQVISSNFGESEQTYSFKEFMLKF KDNLKTQFISLLISKSQVFDLENVTLNLMPIQNHDSLNFIVSFSYLSQLLVRRMQDDSSN QVLMDISRSLSHELGTNLNSIMVFSSLALHDDEVPESVKQKYISPLKINSEQLGLIVSNI RDYNLISLQQFNLKLEEFNINDEVKYIETLMIDIIKDKQINLVHDYQLINSLIINDRQRF RQVYFQFLHNAVKYTTTGTIRIKIETNRSQCQISIQDSGPGLSEEEMARMQNILIGKNQF VKISPHSVGSGLGIGISNSIIKRLNGRNIPIICDQKDKGTIFTFLIKNHLHEISNYDNKK SIELRSSRSIIRLISGNSYIESPFSNSVKFILPSISQSSDSVNYKNSIVEDDNVLRQPKL LLVQDQGSVPISEDEFLIIQEPRNLSPKFQYEIIECSIQSNCCSRVLIVDDEYFNILSLQ LLMQKHRAKCDYAYNGKEALNKIMQKLEIGCHICQNKYYSLIFIDINMPILNGYQTVKEI KSLIKNKTIRRAWCVANTGFTDLDTKIQSFNSGMDYFLTKPLDAKNLHELIVQMFPLQK >CAK92073 pep:novel supercontig:GCA_000165425.1:CT868666:151517:151930:1 gene:GSPATT00024804001 transcript:CAK92073 MVPWIKLKQHIHQNFHTMVKVVTHSIAMQYDVDSYGSINPVLKNSYYDHHQFKQKQQPKQ IIIITEFKKKYKDIQGYEVIFGQNNQLHSILMKQNLAVKFNIESFYYKTLKFYQVILLRM STYIMNLLSFNVSVNLD >CAK92074 pep:novel supercontig:GCA_000165425.1:CT868666:151959:152473:1 gene:GSPATT00024805001 transcript:CAK92074 MQKLGQRQVNELNEDQKIPKMKRVKKRFRHSQFQLTTNPKEGQIGASTLMQSNASQIDRK LQAEIAYNGQQTYAISLQKLQNKDHQKLDHNYLRKLLYFLLCNEIDKIKAFASCLQEELY IDYSLMAQNTLSVIQKYQIKFQTRIQIWLTIYYQSFIQQCSLNYN >CAK92075 pep:novel supercontig:GCA_000165425.1:CT868666:152530:152805:1 gene:GSPATT00024806001 transcript:CAK92075 MIIVTHNLQQIENYTKIFLYWKPPQIQSLLKYITDQFQSYSDVQLFFKNHMKRLHSEKII FYLSQILQSLASKSGQFIKTFLIEYAQKSVY >CAK92076 pep:novel supercontig:GCA_000165425.1:CT868666:153163:153643:1 gene:GSPATT00024807001 transcript:CAK92076 MQKGVFERCGLDLHVFPHKTILIEQAILQMLEESLKLYNDIKSNPPTQYYLQRSTRQDQS IISLEIFQIVMVYNKFLAEDSLQFQIARQNLIKSQAAYSIISYIQQKKDRHNGNILISDK GHLIHIDFGFIFDISPRGILNLNLSNSN >CAK92077 pep:novel supercontig:GCA_000165425.1:CT868666:154086:154938:1 gene:GSPATT00024808001 transcript:CAK92077 MITGNLFDFVWGSVELALNILLYSRLKLPQKVMSHGLLRMSLVRECNYNFGVDTKIVRYV VASLLTPNMVSHFRKSNNSYHFIYGCFMCFDAMIVADILPMTDTIYAMILILRLFHLLEI IPKVYKATLSIKIHVKKAVKVQFNLLNQLNTTNSNIIISKKLEVSPPNSSQTTNASSLNE FESTQKSKRRPQFPNVYQIKVQTSKTTKINILIDEPDKFDLLFDQQLNMDRRMNLQIKLN LCAQVYQNLKKHYPCEWLLRQIAQYQAELLLLDQ >CAK92078 pep:novel supercontig:GCA_000165425.1:CT868666:154969:156215:1 gene:GSPATT00024809001 transcript:CAK92078 MKLLVLLCIVTLTFADSRIDFFNKLSQSDFGKTILQTIQVQENNVERVLQFIRQLQVDIN AAQTEHTNYLQNRNGQITQYINEADQALAKAKQQKAQDEAQLPLKEEELNDKRAQGETKF AEKQRNLDRIAALTAEREERTEIVGLLAALNQGKKLIQQIKTGSVFTQQEIFADIEHHHK KFIQRFPDRRGFNSLVSLSLAMAQDSTLKSDQSALEKVVQVIEDLADSLFQLQKQEMEAD DAREAAFQQAIARLEIANQSLEGAVAYLHAQILRLEQSLLELQNDIATQAQMVVNKQNEK TDWLNIQRDETKSYGKQTENRKSQLDLLGETENVFSKGPLTPEQQSFLQHLK >CAK92079 pep:novel supercontig:GCA_000165425.1:CT868666:156225:158075:1 gene:GSPATT00024810001 transcript:CAK92079 MKINNNINNDTQNSQAQKNFNQFIKQEADDFKRQFKFIDKEKLFMELQNYKIENNNLKED NTKLRTRIQQTEKELLNYERLCENMTEQTPNKKKANELLLIQLKNQNKDLQKQLKERTIQ LDHLKKNTKVTKFQELECDRKAYFDETVRMRKLIEESQTQFQSMQSKYNQSLQLENEVQK LLKTNENLIAENKALNQKSQQSDEMMRQLQQLYKVNQQKQQTLDKSIQDLKNQIKKLQTD KTNLKQTIKQMQDAQYQNFQQAKPKKEPTPRQTQLPNLVLEELRFKLIYRGITVEQFAEM LEGLKQQAREQNVTVKVDDLRHIFAQEPFSYTDESKVQSILNSLSGHGNQLVENLKSLVG NFKTFMNFPTFDFEQAQTKMKTQLKQNEKKIQDFWNKQKIKGDVVSKSDVRKMLNSLSLN WQEPENLFYFLQLFEKSGEDLSHIPLIDTYDPFTLEDPSQQQQMTGHQNMRISEESDEEL FDKQSQDYSLDENAAKKRKEQDQNQGAGLQEDDQERQKQNEDESFGQEGNDVGKNEQDEY EYDHQEEEQEGHQENEYEDQNQENENNKHEEQQNEYENEEFD >CAK92080 pep:novel supercontig:GCA_000165425.1:CT868666:158209:159854:1 gene:GSPATT00024811001 transcript:CAK92080 MRTPMFTNTNLYIFGQQLIQSMKVQSTTTQQDDHFLIADYDYKSTNIEKNGDKYIAKPQI TNFKFKTDTRIPKVGVMLVGWGGNNGTTLTGGILANKFNITWNSRRGTHQPNFYGSLTQS SVIKIGTCNTEEVFVPFKDVLPMVNPCDIVFGGWDISSLNLADAMGRAQVVDYDLQQKLR PYLEKLVPLPSIYYPDFIAANQGDRADNVIPGNNKLEHLNTIRKNIADFKQQNNLDKVIL LWTANTERFCVEDPNVHGTAEKLMKSIESSHPENQCINNFCMCCLFRRMLIHQWITIKYY CSRKKAGVFVAGDDFKTGQTKFKTCLVEYLVGAGIKPKAIISYNHLGNNDGKNLSQESCF KSKERSKKTCVDDILESNKVLYPTEEELNIDHTIVIKYCPETGDSKKAMDEYIAEIFLGG RQTFAVYNVCEDSLLAAPLIMDLLLLCELFERIQFSKDSSEFQRFDTVLSWLSYLMKAPK SESGITTINALSRQRAMLENLVKVCAGLTVDDNLRLEVRYGASRFQQ >CAK92081 pep:novel supercontig:GCA_000165425.1:CT868666:159937:160900:1 gene:GSPATT00024812001 transcript:CAK92081 MKMLDDIKQQVEKEMKKFDPRLAGKKYLKITQSIKDSFLKTVVCDKQSIKAAANSIGINY SSAKAIWAEFRSKSKIKKNKKTNEQQKTESSEVMKRCQYKILNGCNKIKKLFLEIKSSVA ERLSSTYLISLDGGPRVNQYFQSNKRREDSALKAVRLETNIRTPKMRMQNSADDQFNIHN LQNVPLQLRYSPSLRMKNHRNSLKPNENPKYPETYTKTTRKAMLDSFYHQLGTDEDITPI KNAKQRRLQPIILTPQSQTTNFVKQNILVEDENIEEVHFHFVNMQHQYKSWIQKLEKKNY SK >CAK92082 pep:novel supercontig:GCA_000165425.1:CT868666:160973:161640:1 gene:GSPATT00024813001 transcript:CAK92082 MKLSNRSSSLTISQSDIYSRFLDMHEELTKSSQNINNLIDHSLKQLASTREASRISLSAN SQNQQELNSFEEMAIEEDLDSETQPQKNERELVLENKIKIYESSLIKFEQENLQKDREIL RLKKLLNDQNLQITMQNPDEIYQEQSVIRQKLQVLDGQLDYLQEKKEIRKRMKEWEIILK EQSEIIKSQKLEISRLKHINSYLHKSIEKLQTL >CAK92083 pep:novel supercontig:GCA_000165425.1:CT868666:161668:163112:-1 gene:GSPATT00024814001 transcript:CAK92083 MFSQIFILSPRGDTIINRDFRSDLPKSTPETFFRQAKTYSGDANPLFTVDCIQFVHIKRG GLYIVGTSRFNLQPAMSLELLDRLAKEIKDFCGVINEEVLRKNFILIYEILDESFDFGYP QLMATEQIKPLIVNDPIQPQPDSVMNSLRPKIQTFNIFVPNTIGSQAVQRSVLNKNQANE IFVDIYEKLNVLFNSSAYVINQSIEGCIQMTSFLQGNPPLKLALNDDLQIGRQQGQYSAG VILDDCNFHECVNANELDMNKTLRIQPPDGQFVVMNYRISGDYAAPFRLFPIIEEVSSSK IEVTIKLKACFDAKIIASYANVRIPIPKQTANAYPELVKNAQLETAEYDSNKKIVEWQIK KLCGGQERSLKIKLTLQATQTAHTARKEIGPIAMNFEIPMFNVSRLQIKYLRIEERGNTT NPHRWVRYITQSSSYVCRI >CAK92084 pep:novel supercontig:GCA_000165425.1:CT868666:163122:164018:-1 gene:GSPATT00024815001 transcript:CAK92084 MSGLFKNYSKFVSASVFASANKLIINPARWTFNSANLFYNPSYRYTGLPQGHELTAFSLA QIASALTYEAQQFNAVDALKDEAQLNYQFSAQCAREAIAFIQNNNWGQEVETSAQLLFVL SNNALLKDVNKDILLSNLQKNAKYLSTQNIVDVVVSLQDHKDAGFWSQFFAGIKNKEFVW ELQPIDYHGWATVQFDYLDQVKTSFKLSNTWAVLTAQDSQLKRFVLEGVLFGYTNLWLPI LCREKRIKYVFDDRLVDRSAFKNALKKINEVHNVQEFLARA >CAK92085 pep:novel supercontig:GCA_000165425.1:CT868666:164097:165901:-1 gene:GSPATT00024816001 transcript:CAK92085 MNNQSLAKSAVERLNQSNFQAQQPYEFESKYQQDQKELPNPTKFDLDVMLKFLDEVDSSV KQTHEYKSIIQKYKLSTSKFCLTMENDYLNNLPNEKKIQIYRDISRIYDHQEEAIELKDK VRKIITYKLEDMRRNTRESKWGLTLNLLQLLLNKNKGNKDIFDLFQKKLLPPDVRQLVWK FCLENKQISDDYSRLLGKARMLTMSRFDVQIIKDTEQIVKQMTKPDQFDGNMIFAMKTIL SYYELKQDRILTDYLYLICIPLVYVFGSSKHLLKIPTELIGYFYSLQLIVQFFDPLVDLI VRHDEKYESEMTDLFMNSVNVLDEELALKFQGVLNLKSPTQRQMLVIIIKRFVHSLGFAF LPLDVTLFNIDQMIMKVVKNKLEIFINMGIMCFCIRKDILACKTWDQIVDVFYTKAKLIT LQQYHEYYQDVYKNVKFYVSPYDVDPFKVNRNAEFATDPLAEIIEKQQDKDINAFKKKWF EGKELYEDDDPNMARYQQQQQLPNKDKAIKDMKMQDAMKNVQMQQKPTQKLGMVPVQDSD EEEDLFDGMDDQ >CAK92086 pep:novel supercontig:GCA_000165425.1:CT868666:166366:167319:1 gene:GSPATT00024817001 transcript:CAK92086 MNKQSLQEFKVNFCQNTKCQVRQDHFMPLQQIIQCQYYHNNSDKRRNSNNYKPIYICDQN NEGNDFCNNIIEYLYHPRIYGTLPCKFEKSQNCNVQHCPFKHDENQIKQAFQYKIFTKLN QILESKSKENDLLFNSKKYIQRIEINQFEDLKHEFKQFKEIRMDLIMNYICAFLNSQGGI LYIGITDKGIIQGIKMTRKQKDDFQIEFDNNLRHFDPIVLPEQVELMFVPIYKDELIMIQ DLFVIEIKINVVDKKQLYFTNQNEAYIKRNATINQLKPKEIKDLVLLKLMS >CAK92087 pep:novel supercontig:GCA_000165425.1:CT868666:168064:168395:-1 gene:GSPATT00024818001 transcript:CAK92087 MPQQSQFRFSQAKSISINPPSQTLHLSNIKGKMCEDDTYIKELFKGIGNVQAIKFIHIDK QKHMALVRLSSLEEALNGAALLHGKEVMGRKINVSFTKSKLC >CAK92088 pep:novel supercontig:GCA_000165425.1:CT868666:168543:169470:-1 gene:GSPATT00024819001 transcript:CAK92088 MISENESTRVLLVIVQNPQSIILPHSLFYRYFSNFGEVNKILIFEKGKQWKCFIEMATLQ QARFSQQQLNGCQLYDQTIMNVYYSTLQNVTFLNNNSGGVDYRAMKQRNSTKSMNIYDQE YHPKIYSQPVIWQQQSDITLSIASFGNERTRASFNDKQDLQEQKNEWEPSKIQTSLEFID DGDSCKKSSSFKSIQFDDCEHDPVDEDIMLAFSSEKNTYLNSYMFSIPEVEDKSKSKDID PYTYVSPQFLRENQPSKVVYMRGLINQNITPLNIFNLLSNFGNVLVIIYIKHKTSALGSI >CAK92089 pep:novel supercontig:GCA_000165425.1:CT868666:171216:175512:1 gene:GSPATT00024820001 transcript:CAK92089 MLHRLFILAISTYSQILYSESFTSNSFTTSEEEWVLSGENLIYSDCGSVRLFGGNNAFGH RTSATKLFQLPPHHTVSITLEFWKIDTWDDEKFFIYLDQILGFQDIYGHGGTDICGKSSG EFVVQINIMQPHNFQSLFILMTSNLDQQPNDESWGIRNFKLYIYPCPSGCQICVSTDLRE DCIIWNNIEKSLLSVDFNSFNFDGWTIDQGYKFTSYCLSIPMIGGYLLTGENSYMFKTIN LSAHSQIIIQFRFIFLDSWNAKHAYLYIDNNVIWTETYDFNNRQIQDLCGWIYDDKPFNV QITMPHTGSSITLNFTTNLNQGLQNESFGIRDIQIFIACLFGSSFNQACGTICGNGVIEQ YEECDDGNIYSFDGCFNCQYSCIEGCSNCIDGICFECSIGWSFGPNFNTCTPIANDEKYS IWEECDDLLNFEICKNGKFITPSNCLSYQFGICFKCQVNYELINNKCEAVCQNQAIVNDI ECLDNNLYALDRCHQCAYDLDEGCRIQQNGVCIQCLDGWKFDMVTDICTPICGNHIIEGP EECDININTKSQFGCQQCYFDCQYECTDCQFGICHDCISGWKLKNQQCESICGDNQIQFI DECDDMNKIRFDGCYNCRNDCQRECSYCFKGVCLDCIYGWHLTAYFTCEFECGDSQIALI SQEECDDSNDMQLDGCFGCKIECCRYCTQCIYGICYDCEYTFTLINQECNSVCGDGLVSA EYEQCDDMNRIPYDGCYNCNYQCRQFCKLCIQGVCYDQCEQGYYQVDNVCYPICGDGIIV EEEDCDDQNVDKSDGCFNCFFYCPDHCNVCAQGKCKVCEQGYELNKGLNECFTLCGNGLV SIEEECDDMNQQNGDGCSSLCTIETNYVCKNFLYSFTECIYIRYPKFEASLIRQDYSIQY VSLHFDQQVKILGNKNFSGQIQFNLVGVDFELYNITLMIVQEVQQYCTFVEYMVAIQINT TLLSHPILEVILKEQLYNENEAPLINQIDKVKLNLPKYIVDKKKQSAQVLKNVGTNIMKS IGGMGILMLLIGHSFGGIIEILQQQSYLKFINVVFPLNLFIYFESSNIITVQPLLDFFHF NSVVLNIMNTEFVESKEKFLFYEIDATQIFLSLGVIFMYIGCIFLIQKFISMQNLHYFYF GITVASYFVKVQNACFMIKRKCEKVGLIQFYITNCWDLLFMSFLQISSQSFTTIKSAGSI ILGYLIIYACAIIISNYFFKDTKYTSLSRYNLKKLDLFLIFKKFLFVGILVLFQRNQQIQ SILLAFVSNCSLIYLILFKSRENKVDYFNQIIMEASVFVFCSTIALYWDLMQESFDYDNQ ILLGWFHISMLLLVLVINLGLQLYTFLMKLRKTWIKKMQKPQNQSSDQQKDPIPQYQIKQ IVEFKQ >CAK92090 pep:novel supercontig:GCA_000165425.1:CT868666:175776:176410:-1 gene:GSPATT00024821001 transcript:CAK92090 MASFVTNTVLNESMRQFKSNQNDQKQKIDWNDFNYPPLIKVIHYNIEEVQPEYRLVVRSL WLSSILIVAYTLLNIIDNSIQAGNGIDGIRILYSFMFLFSFNPIQLQHIFHSQSFIFYRG YKGVVSDPYLLVLYKWVQIVLILCWITFSIVAILGFNGFIILQFLFEFLPFCGVLALFED IIMLIIVFLSGFALFRIWNIKE >CAK92091 pep:novel supercontig:GCA_000165425.1:CT868666:177517:178249:1 gene:GSPATT00024822001 transcript:CAK92091 MNQSLIQIRQQRRIHSNHKKYSKPLPQNGSKRNTTLIQDRSVFINERINKNLRIQRNTFG ESSCYCDTCGKRSNIMKKIFQLYQFPQTKYLIIQNKQPMEKAKIVSQIQKTYQLYRVMKR IKRKKKFTIKKVLTKQIVNEQQIKPSTIQSAILELKQIIRVDSTQQSKRVKQKSKDTQYQ YSSLLKGRRNLRSLFFIPQFESPIKQYIIKNSKPNTVHHSPMQSLYDFKIQSSFRGS >CAK92092 pep:novel supercontig:GCA_000165425.1:CT868666:178403:179668:1 gene:GSPATT00024823001 transcript:CAK92092 MQVLQPINDQQQPVKITSDYLFQEAPITRCIYHPQYIRHFCKEPSCLMPLCQDCIQVHPH QHSRYIDSIESTLTQVYKGYASRANEIATHQTGDILQIRQDLHKLIDTIVDDVLNKADKN YHYDLIVQWRNLIKKLERLRDPNNCLKETILYFADQDNQNPLLKYQGVPIQVNYEALEQA KFHLHHLFMVTLQDGEYFFRPGERTGDNPIRRVSRMIYDPVLPTHADYQPYNYSPQRMMI NQPMQPIPQPVIYQTQVLPPVQTQQPSIVQIVPQVQPYTQTPIQPQPIQYALPQPQPQPI VINKTEVPQPLVYYQESPIKMVSSKQDPIIQQSTVPQVVIQQQTPQPQIIQYVQPVVRAP QQVVPTVYTVSNSKPFAPLQSGEYSHPYPLVQQ >CAK92093 pep:novel supercontig:GCA_000165425.1:CT868666:180240:184457:1 gene:GSPATT00024824001 transcript:CAK92093 MMRRLFLFINLYITVELLICRDELGLTLQIDKSFQIPQYNCTLNISNENLMNMTSLGGQL KIVGTQLLLNQTVTLIGFKLVEFQNITIDTLTDSIHILGSVQLQNVILLRNCQINSTYMQ IRGSKMIGMSFQNKAAFQAPIYVDGLLIQDCTFFRSQLSLQMTQLRNVIIDQVSFINSTI SPLTYVNTLTLNNSFVVQSILIATDISQEITITNTYFTLSTGILAKGVLYTTIFNVTLSQ SVLIRLHNQNQIKLSCLNIQRVLSDSKLIIAESQYVSIYNSTISELESKALFAMNTQNLF IQQVTISSLIGPLLISVSNQTNVVLNYFNISNSPARSLFQMNGSLSLSKGNFNQLYGLLI CSKTITELNIDQVDFKQLNKSLFIYLKETSVIQLTNILIEDCASIQMAKLHTVINLIIRN LTIKNCNECNFLTLNESSANLSRINVFEMNNFVSPLIQARESDINIKGMYLNSITHNNKE IITIENCTKLLFNDLQFTNMDCPFCNGIISISQSNEIEISKSLFKNTQTFSAFINIQDSN NFIANNNTFTNISAKQGSAYFLSNAAIQIYGNQFIDLLAPEGGVIYLNQTSGLSHYIDQN LFNNCSMKTNKHIYLLTNQIVEPDILTYITGPVYLDVNNKTFLFDDLIKKKEFIYIDNYK SGQQLELKIAIQDSGQNRICEITNSLAISDKLFQFDQLECHYEVTYIYYQMQPINETVQV LLEFQSLKFYNDTFKLAIFLNLIICDIGEEWENQSCLRCPTGSYSFDNFTPCKQCTTSIE TCPGGSELILKQGYWRANKTTDSIEYCQSSEAQCLGGSDEFTCSQGYSGALCNDCDYYAE HSNNSYTRGFGGSCTICENNVFNIFKIIFSFSWILIALFISIRGSLRLVRAQLSVHYLRM MGIFFATRSTMVIDQTEILIKLFSSYFQLVSIVQVIDFDFPTPIEIVAQAIGNPLSTLGY SIECFLLNSQLEIEIVYLRQLWNLFVSLLFVFAFVFIYFLIKLCRQRQMENSIKTIFITS LIQVQFYFQGDIIEGLLQLMFCIKASGQYYIQAATSYMCYTEEYYLYLRTLIIPTLILVG IVSPLFYIIKLFRNKNKLWTCHLRIPYGYLYVEYKDNYYYWEFVCFFVKSLFYLLETLLI QDIKLMFLFAILILLVYLELLNKHQPYIEKQYNFIDKISTQLAMITLILSYSQDHNPYIS LVYILSILCSVLNLLFCTYIFSKITREYISSLKQQHIEKIVNLIIRYPCFKYCIKKPKNY HNKRKACLLWKKVRVYVMEFIEKLKFQKSNNSLNQDFQLQSSTQRPNTSSTNTSISLLNS MAPQLLKYMQESINILSKHNKNNCRVSPEIESRLSKKNLDSNDFNSVVSAYINPDHRLEV ETPELPKKSTVSTIFSYVVNGQSQL >CAK92094 pep:novel supercontig:GCA_000165425.1:CT868666:184606:185940:1 gene:GSPATT00024825001 transcript:CAK92094 MSFSTTCEIDHPNKTLHRKFKSLHLKKQQDPLLTDKPFETRTHGQLFEQNFSHYLKGDLN VSRLIQALNCPRLTAENQFTQKEGISIKIRQQTEQRKNFLKSKIQKVRNLIKEKVAIKKP HNEEMRQGNAQTLTIVQTILDIANQKENAISYQEKLIPKSKSLKNYRLNYDNVEPITKMQ RDFIDTIPPRQYLKSVLENNAQLYLQELQLRAEHRENNINKLVRLNIQNKRKDFTLFHKA AKTDRRNQDGILTIGDVQLYDYLYNNPKGVMIEKHPKYIQNVIDSGVDFRKNLTRRLLAA RKQKTHYNRDPPQNSDDSCASSLQSACEIKLDNYYGETREWKRKQYLQLPRRIKQLIALE ELNQQLLFYHLGKPSTQQLISYNLNDHIHDNIHFIPLRLNYHFYYHFLFDVIVNLLHQYV QTKSSLTSNFISDNRYTHNKINCY >CAK92095 pep:novel supercontig:GCA_000165425.1:CT868666:185976:186846:1 gene:GSPATT00024826001 transcript:CAK92095 MNRLLITQTGEQLRNEMKQTAYQERDGKQVKKKEQRFPQLVRQPTNLLIDTERDNILYQK TLSEVALSNLNRVASLSLLGQRSKNKLETHIREELNLPNNFSYLNQSLDKQLNKILSDKD LKTKRKRHLLTELKRIDQKRTTSNNDDISHFSSCIEDYDKNQKMTAIINAEGKLAQLTRR YKQKELNDQKLKLNLNISLEKMQERKNMRIDAINPLSPVQMKINSQRQFDEMFYDNSDER FQNRLQEKHVKQVCQVWNQHQFPKQTKRWMQFIQNAQQKKKQ >CAK92096 pep:novel supercontig:GCA_000165425.1:CT868666:187784:188826:1 gene:GSPATT00024827001 transcript:CAK92096 MDSLEWFKKTRIIVGEDLYQVIKSKMQIEQYSVNDVLTKIGDKGNKFYVILQGLVGVFVR NEDQLTMINVCREGEGMGELSIMSNKSRMATLVCLTDCVIATLTAYQYKRYVMQNDVQRI NNLVALSDKVSLFNSLNKQGKIMLMLCMKEVEIVRKQIIYKQAQQAKNLYIIIEGSVKLC LKEGSKQKTLCVISENEIFGDLMGKDLYQETAECESQSCRLLMISRKTLQKVLQSTHSLG ILEEMKQNAHIKSCFRTQFKESNSLFQQEQLSTYEPFESTRTLFYSNGIYLSDKMLIRSN RKVMQSILNRSKVRRPVICVSVVERKSKLDSGLRLSSCHK >CAK92097 pep:novel supercontig:GCA_000165425.1:CT868666:188859:189564:1 gene:GSPATT00024828001 transcript:CAK92097 MIQLSSVERTTMIELFSTFFDRLSSHNSQREQMLKSLYQFKALLYANDPGIEKYLHDLQG QKSPLKRDNSQTKKSSSVRKEQEPIMRHPKRKTLGCGHEIDVVDSSGECLVCTAQSPFDE FKSKCANVFKVLQSLDNDDFFRTLQQLDDPLTVSLSKQRCQSSTGELFKDPMNYSRMALA EQQTTNIQQIIRKCLRCNKDIQNHDSKNISYFCNSCKNF >CAK92098 pep:novel supercontig:GCA_000165425.1:CT868666:190264:190482:1 gene:GSPATT00024829001 transcript:CAK92098 MNQLEELLKKPEFYDNLTLCFLTGVKKLCAQFENRIKIETIRRRKAKNGIRKIKYNMENS KYQTKYQRGRQQ >CAK92099 pep:novel supercontig:GCA_000165425.1:CT868666:190796:191307:-1 gene:GSPATT00024830001 transcript:CAK92099 MQDKRLCLFAPTPQRIKSRLKSLKKYASILCQEEMNNSKWLFSYSQKIAQENFKKFYTKI NYKNALQKSVFLNTQNILDRMIEDNIVDRIPDQPQPPQPLQTVEETQPIETPVQQKPIDM EKRLEAVIQKMDGDSNSRFKFTNGNCPQDYEAIQQLKQCLLK >CAK92100 pep:novel supercontig:GCA_000165425.1:CT868666:191360:191770:-1 gene:GSPATT00024831001 transcript:CAK92100 MQNSDNSDEYYSDDQQESPRFLYNLKEISLQNKTQLAEIRIICKNLIYVIGLAPQHSKGG SIKYFISQKLLKKPEYFGQYGQIQKLIVIQSNTFNPPSHAAYITYRNEQEASMAILVYII FKIYGMRKNFHCMTDM >CAK92101 pep:novel supercontig:GCA_000165425.1:CT868666:191807:192600:-1 gene:GSPATT00024832001 transcript:CAK92101 MSRPYSPTRSRAEKIVGQLSELTLGHKDQTSRIKEAEERVEILETAIKDLRDTLEGKVSK IKEGLEYVQSVLSEQEPKQEDEQQMVEDMKKAEESVNEKLEELSKQREESQQKFNEQVEK DIQELREQISQEKSERNQSIKEINECLESDLPQLQEMLQQNIKERDEMDRNINTKLTEEL QRLSQIIQQEKVSRQQGEQSLFEMLKDVVNRIKKEIDEEKVLREATENDVLSVLEETLNK ILAAQDR >CAK92102 pep:novel supercontig:GCA_000165425.1:CT868666:192766:194426:-1 gene:GSPATT00024833001 transcript:CAK92102 MSQELLHCPQCQMNFDRFTRTPQMLPKCGHSMCLRCLKESSKIICPEDGYSMCQFIRLLQ PADLTLYPINQAVLRIICKSQDNDLTWNPDKIQQCPQHHKQLELICIDDRCRICANCALF GIHKQHNIQNADVPIFIDFQYVDKSIKENLIQTQNLTLTIQDKIKSLKLPLINKKIHDQI RLCIKQQQTLAEEQFKEIINQILLKQQKVLEDIEQKYKQLEDQFFKKETLTINQILDKAD LWILGSNQKIQEFQLAKEKGEIPYTLLLQDSSNKQGNQILEEIDDYLLKFQNNLQDIVSA IPTFNQYEQEYFDEPNILKDLSIIEQSFLKEIDEQPPHNEFLGSSLPESTCQSQPSSNNI SQHTISPQQPHQKRASQQFALVSPKVQSRQQSASPKKPAAKKKLNTKVESILFNCNGDHL DLSQQELGDDGIMILEEQIRNKKIKIIKLMRNRISDVGAMKLMELHCQVLYLQSNVITEK FLDMIMNAILKQIQIHIKTVYLGQNLINQFRVKKKIEDLKKLGISIQM >CAK92103 pep:novel supercontig:GCA_000165425.1:CT868666:194467:194991:1 gene:GSPATT00024834001 transcript:CAK92103 MENIQVAVRIRPDPEPSIWAIHDQHIFLNNQMRKPPNGQGRTTFAFDHCFSQDSKNTDIY SKEVKSLVLGSTNGINGTIFLYGQTGSGKTYTMLGKENDEGVLLQSFKDLFAKIEADLNK TYVLRCSYFEIYNEQIFDLLKPSSKLQETLQVNEDQKKEFFSERTHRIISIIHQ >CAK92104 pep:novel supercontig:GCA_000165425.1:CT868666:195047:196789:1 gene:GSPATT00024835001 transcript:CAK92104 MNHNSSRSHAIFRLYVQSITNNFIRQYRREQSQHKFPTLEQLESENNQLKGAMVTESVLN FVDLAGSEKVSNHFEDAEIQDTNRRVKEGQYINKSLFFLTQVIYLKAEDKGHVPFRNSSL TKILKSSLDGSSRTLIILCINAAALHFDYSISTLRFGMNAKKIETKVLANINYQNDDEAL KIVLSDYEKKLHDYEKIRAEEKEGFEQQLNQLQNENQQLQNRLTTQNAQNFKCIPKLYKD ITWADRFKYDFHCAGAGDILVTNQKVKKPPQHDCQGKLVLSALKVSEQNRKTLISNYELV KNQYLQLNEFYNQSKLRIQQQYDQIQSLNSQYNRLYSYASEMKQQLDFDVKQLENQELHQ MIQFYQNQLLKLQIEWKEREQTSNLDQQQVYNIEQFKLIEQSSQNEIDVQNYQQINLDEI MYTNIVEVEMENQQEKEKDQSIESEEFFKVEFPTYLRHSNNITKPSMNETASQQTVQDLS EINFKTVLQSFISEMDVLQTSTHHKNNSTSCNKKQTGKYKTPDKLKKQSTSQTTIRGKQN IEPTRQSCNNIPKPKIRPRPSGNGNEELSMLLNNAEMSKY >CAK92105 pep:novel supercontig:GCA_000165425.1:CT868666:196815:197073:1 gene:GSPATT00024836001 transcript:CAK92105 MEEARDFSHVGQKILTIDKLCYKKCLKNYERAMNVKEEACLKNCLAKVINGIDFLHSINN KGGLDRAPFKTEGGFWSK >CAK92106 pep:novel supercontig:GCA_000165425.1:CT868666:197101:197789:1 gene:GSPATT00024837001 transcript:CAK92106 MTHQPYLSLSKLKSSHSHHGYHKKLILQLDEDDQQHMPMFHQNTSKSRHLEKGTLSRSKI QNIAQLQDMFKQFKHFKCSLQAQSPVIQMMKSSQISKKPQSQSKSTLDAKEVSFEDKQKM MIQTRKTMYRIVMDNKLRSQSIKDYGFNLRPLFNQVIEKNDSVLEQKIQEQRQEKRKSDL FSRSPFSNAYYATKALSKRSRMNVYERLAQNLPADSRFHYE >CAK92107 pep:novel supercontig:GCA_000165425.1:CT868666:197791:198564:1 gene:GSPATT00024838001 transcript:CAK92107 MTNAQERMQQDYIWIRDQSTGDADVKMRTFGQHYLYYHAPNKRERLEMIWRSMGKAYDWE MEKFRMQKKFIDRGNKRRFFKNFFRLIKNPMGYIYWKTYRIRQPKGRIITTMLGLGVIGT LYKYKLESNQIQKREYYLLTAGKNSEGSGLINTGYNNDKLARQGMPLTQMFYSYLHAKDI VVSRSRDQNYRKYFEMRKKYQIKE >CAK92108 pep:novel supercontig:GCA_000165425.1:CT868666:198741:199119:-1 gene:GSPATT00024839001 transcript:CAK92108 MFRKSYRKAQAQEDLKQELQEKMMTSKELGLFINNQRNVISQLKDKIEQTRKEQVLLGLV STDPNQVSTEEEKLIAEYNKQKDTYKQSFDKYEVIEGRSRENEHIVEAQPRENATGL >CAK92109 pep:novel supercontig:GCA_000165425.1:CT868666:199152:200958:-1 gene:GSPATT00024840001 transcript:CAK92109 MSKSSVIDVFLRVRPTKNPSNLFKLNKDEGTAEFTIPKNPDQGYINNQIEKHTFNFAGVF PTDTTQEEIFDKIAKDVVDSAIEGYNGTIFAYGQTGSGKTYSMTGNPEARSSAGIIPRTL YHIYDYARREQELIIDVTISYLEIYGGSGYDLLVADNRSRNLHDLPKVQAMATANGQTIL QGLSLKKAQTEEDALNLLFIGEQNRVIAETPKNDASTRSHCIFIVILECRKPTSDVKTVS KLCLVDLSGSERIGKTGVEGILQREATGINLSLHFLELVIDCLNRQANGENIHIPYRDSL MTLVLKDSLGGNCKTRMIATMSSEPDDLPESICTCRFAGRVANIKNKVTRNEAVDPFIII EKLKRENQQLKAELAMIKGQSIKDHLESYEIDECKKKVDEFLNADDPAAILVVNDHLKMN ECFFQLKHMLKNLNKKVSASPVVQQPQAITNNNNTVEVQQLNDEVLRLKQLIIQRDNEIM ILLNLIQKGKGSNANQSLVLQGPISEQPEIQQANTSSILQPIEFPKQAEIDRQSQIITEK PGVKKQNFMQGSLDSEPMSQTTKTTTAQIKELNGMCIRTDQYFQ >CAK92110 pep:novel supercontig:GCA_000165425.1:CT868666:201052:202122:1 gene:GSPATT00024841001 transcript:CAK92110 MLYLLLIPALAYVQEQYLMEIYNEMNGIKETISQLEYYTALEKLEWKARQDREFEEEGMT IYLEHFSDVQRLIKQRLSTEKKEKDSQELFLHETYFKVINLLKRLIIDKVSLFYSSVKDK LIETDTRELAKIKHQAREDASKRFDEYNFLLQKIKEVIDSPNIQDIFKTLELLESKIMIG LFKSNPSQELDFFIKGVDRLFKQSNHSNSRNEALQLLSLMHSVIQETLIGMMNEQLESSF DLLDKELFYTQSKNTHQQAKESLTTLLNKLEVTGAKFWNDQLQINGNEDHLVQMFQGFLD QAMLMSNRSIQYHQQLSETLKAMLERFLQR >CAK92111 pep:novel supercontig:GCA_000165425.1:CT868666:202177:203096:-1 gene:GSPATT00024842001 transcript:CAK92111 METIVHTFERFFGPVDWKRLKTDVLCQSLVEEGFSPKEAKELSWLYGHRNIGRNFGFLLG VGVAYCFNGWITRWTYNIPYLRLHRSSLWVSRALVVFGSLQLFDYYSTARRKGGDSGLGH DLMWVNTRYTESKERFIRNFEVLNRKLSDIEKEILTARQIQLTSPIKDRRWVYNPYIHGS DEEAFWSNVRRNFLDKVPPWERYDVQQQIAADNKEKIAEGEQIRLKPYKLTDDVDTSGVK FGFRRNPFVNNWTPLQ >CAK92112 pep:novel supercontig:GCA_000165425.1:CT868666:203687:204923:-1 gene:GSPATT00024843001 transcript:CAK92112 MTEEHPAVVIDNGSGQCKAGIAGDDAPRCCFPAIVGRPKHQGIMVGMDTKEAYVGDEAQA RRGVLTLKYPIDNGIVNNWDDMERIWHHAFFNELRVSPEDHPALLTEAPMNPKVNREKMT QILFETFNVPSFYVQIQAVLSLYASGRTTGVVVDSGDGVTHTVPIFEGYSLPHAIQRIDL AGRACTQYLVNILNELGISFTSSAELEIARDLKEKLCYVALDYDAEMKTYKESAASNRPY ELPDGNVVVIQNQRFRCPELLFKPHFIGLEVPGIHDLTFKSIMKADIDVRKDLYGNVVMS GGTTMFPGIPERLSKELSGLAPSSMKVKVVAPPERKFSVWIGGSILSSLSTFQAMWVTRS EYDEAGPQIVHRKCF >CAK92113 pep:novel supercontig:GCA_000165425.1:CT868666:205781:206943:-1 gene:GSPATT00024844001 transcript:CAK92113 MKATLLMVLTLLVLGNSQQVDIHAKDVYLIVKGVVEGVQVDDHVEVKEIVSCLNDSEELI NNIVKAISNLETQTFEGVKEGIKLIGVAIQQIPDAITACESGSEEMVALSKLLTNMLEQL RSPWTFSYKIGYNLIVNGLDIYKEISTAIKDWKSEIYEDFGKQIGFVLVQLLKETKNIEA VILDDEVVGIVFEGLLDGIVDASGIKAKDIKACLNVAGGIVIDFEKAVRLLEDGSVSSVI QALQSFVEGISEFPKALETCQSSSQDALKLAEKIKVLIEALQNPTSFIYHIGKDLIVNGK DIYQEIFAAVDDWKYGNWNDFGFQLGKAMEQIFVGLQQNKLNYE >CAK92114 pep:novel supercontig:GCA_000165425.1:CT868666:208232:210636:-1 gene:GSPATT00024845001 transcript:CAK92114 MNLKIEVLGSKAQFVQLDSNLLVEEAIDAIIKKLKLKEQNQQLGLYINGIELKRNTRVIQ KNMFANDILQLRKVEQVKQVQGIKLRFNNQTKIAYVYLDDLNIVLYDQVEKQFSLSKDSF NLTFNDQILKKQIPLKLNQIDATSIVEVKTYNQFLQSKQMIELKLKYQMECKNFSFDLYG KVFQLEESAKALFQIKQDICIQYDKQILLPDQSLVEVDINKNNCLLILPKDSYQQSLSYV NIEIEYNGQLFPLQVSTDTLINELINLLKNQLDLTNIDIMKGYQVLPIDQTISQLYIKEN SRLNVIPKQNNTLKLEIQIRNNIEKMEVENETVIQELIEQIESNFQLQNIELKLSNGQKL LLKNQTLKQYNIQNGTLLLVESTQQQQIRQSNGNDFYNNNNTIKVSVLYQQQRFEYQFLN NQLIQDLEKKMREKLNCPNKIQLVDQNKVLNLQNTFLQEGITSGCVLQCNILIDKIRIIE QPIMQKLFLKLIILFQNQQKEQMLDENTQLQAVIQSIKQQFSIDYEIYLKTKDRNLDSSK TLKENQIKDNTQIFVQKAIFKLTIIFKGEMQTLEATYDTLGEELQQQIKNQYQIVDDFDL LINGKKINPKQYLKDQGVKNQQILDVQIKKGQGIKILEQKNLDNPKNQQMQQQSQSQTDQ KFQKVQYQQSQDGKINKTNSQDKPKISSKIIVREIVDMDQNSNFKSEQVFIKLKSNNLKE SFEQIIEMQYETTISEIREYLIGNYQINNEIKLIFKGKELENNQNLANIQIKDHETIFVE PNSYH >CAK92115 pep:novel supercontig:GCA_000165425.1:CT868666:212600:213082:-1 gene:GSPATT00024846001 transcript:CAK92115 MQREKRIPSKAWRYEEYSPPKRTTQTTNQRRRKRELSFYKIHKFSQNSQFTKEEDESILQ YVKELGPKFVKIATYFPSKSYSMVKNRYYKHLRNKLFERKESQELNPNNIDTTQQLKNTL VKPDNEKIEELKQLITSINLFPEITEITKSFIGELQKHLL >CAK92116 pep:novel supercontig:GCA_000165425.1:CT868666:213482:214570:-1 gene:GSPATT00024847001 transcript:CAK92116 MSTSSDFQPPSPSLSPSSQIKCYQEQLEKAHSMIDYLEVMLAEKQALKENFNSFNLPKSK SHMKKQSLCLDQIPQSIQIQQYVTPRETNQSMRFTSANMPSYISELQQKHQEILSSNKEK STVKKLQIQEIQEKVQDLQDEIIICKERQKNTEIAKHQAEDVLEQIDDDILHAKIKLQQV KSQGEQIYESMSKLEDQQHDKKYYMGRTSTLKRKRSEIQPPNQIKNQLNQLKNEIEQLKQ SKKEKEEYYAQKIREIEQQLDQRKTNESIPEDQLNASLSPDRQKVRVYKGKHALLQLTLN SDSCSRSYALRKSQENKLNSAFDFKQFDEHENVSIDSFKNKDKKNRTCDPCTIF >CAK92117 pep:novel supercontig:GCA_000165425.1:CT868666:214798:217541:-1 gene:GSPATT00024848001 transcript:CAK92117 MEPDPKLLSLLEKVNNPIEKLTIHLTIKGVYGFTDDWRITDETNPAIFANVIRYKGEDMF GKIRPREQTEKEKYEEYQKNSKKNKKDSKSPEEEEAMKKAIAQEEEEELQKQQHLETLSE QEKLFFISEDKFKTASIQYEETHSIDITNVRQLEEEILEQRNTIQFIRQPAVTEEELVKL KKGKTKNLNNAELTQIIFKGVFDLADLLEPGCTHTIVRVFLKQEEGSDCPKYNCDKLYMK IEVNTDPALTPLVEDVPSLYIEPVIIQKIPSKFECVWQFKKDIKEAMKSLEEEYSKLYQS DQKAKTQPMISMTHQRWLELTKKKESFLNEMNTSGKYNILQERLRSSIIRVCIDKFAKEG LFVGVNKDEQDRLFAELYVFMMEQMQTTLGEYIQNNKEDDIHEDLVLTFEQNIRERDRVF KRLKESQLEKFQRLAKEYETINQVSQALKYYTNMVLVDKTSASAFAKYCLKIQRFKAAEQ LIQMVRDVEWNKENELLMACLYIRRERFKEATQILKELLQKEPINTLINLLMSFIYRQQG NDNMADKYLRCTQRIFMRTMGLLTKGFAKQQPDPHLLPNFKQQMIEQQEKAKKAPVLTQE QIDSIQLELIEYFASRNLFDLAEKALVSIKDKTTQKINIIKAQIHIFNENYPEALTLIQK LLTQNSRFYDVYLIKGSLCFQTEQFYEAEETFLKALSIQSKRSFDIQLRLGYLYLKRKSW QDAKVIFEKALDQQPNSSLSWLGLGIANLRLCDPIAEECLCQANIYEPFNGEVWGYLALN CLLQKRGQQAKQCLQRMEQTEVYDLDLLLELAEEMSLCFDYESCRSILMRVYESKIAISN MGKLLMNLGQVHSQLGRNEEAKQYYIESLKYLESVNEKEKVNSEIKIL >CAK92118 pep:novel supercontig:GCA_000165425.1:CT868666:217560:219069:1 gene:GSPATT00024849001 transcript:CAK92118 MQQNNSPPSTASFVLEKLKHYRKSHMSFALRNLLSTSSNKSKTTTKPFMKLFSSSNCNSP LPKDISLFERTQDQTVKQLDLSNMHEFEYKMTPQSQLNSFSRDLKLAPFISAKRYNKLDK RYNIILYFEENSIQFQELIRRKDAEKMIHLFQKPMFIAQEQNLIELFSLMIYLLAQFFFD CQEYSKATYFLKDCFTLSNLSRNPKLKVNSLLSMAICAKQQTILYQQIILIQKALMYSWA YYYHDEEIQCYDAMGLAYFYQGNIERAEFYHQKWSSGFLEEPSSYYRVTALEFIQMFERT LPTKAVDFMSSIQGSISVPFVNIATGRYYDDRRFIKYNNCNPLEIIYSITKTKEFMEFNL IHHEQTLVVNQQTKKQPKLPKRILEITEKYHKNKDLYSFNHKIMENPKYKLSLQQQVDFR MTQSFSIQEINSNVKKFISSQREPFMKAQQIYIRANNKQKEFIPAVIMRYQKMLIQILK >CAK92119 pep:novel supercontig:GCA_000165425.1:CT868666:219155:222078:1 gene:GSPATT00024850001 transcript:CAK92119 MKGLKRWSQPLGMFASCPGEFENFTKCFIDASNLQYSQEDIEKFRTDNNITIVRDGEQDN DIIQPFLDWKHFPLGPPEFQQPTAIQSEVIPIVLSGRNALAIAQTGSGKTLAYLLPALVH LEQHAMIMESPQPKLLILVPTRELGVQIYDQLLQLIEFYYGNKKQNEKENSPNLTNLKIV CIYGGNPNKKQQVELIQKGIHVIVATPGRLIELIDEGMVNLNKITMLILDEADRMLDMGF EPQVRDIVSTIREDRQTILLSATWPNEVQQLSKEFCYDPILVKIGKGAPITQKIICTGQK EKLHVLMNVLDDLIYTDKVLIFAETKKRCEDLSQSLTKQGYFCISLHGDKSQDQRDAIMK QFKDSNTRLICATDIASRGLDVKDITVVVNYDFPKSFDDYIHRIGRTGRAGAHGRSFSLL SYEKDEGILADQVITYLNSCNQEVNQELLEFKDKALKVKQERQQRKLQQYIDNSNQTGIP NSIYPPNNFCQTSENKQENSNQWEGRQQYINQGSNQKKPYHKHSRSEYNEEYKGEIQQYQ QHHQRNYNMDFKNKQQQDRQPQQQFQQQQKKNQGDSRQVNVRNRFQDAEQPKILELNQEL DLKNYKLLPQFQEEDKTNSFSNKRFNDQDQNQNQKTYNMDFRSENDNQNRRNNNNTQNHN NNHEAQFYNDKYLNHLKNDKTYNNDRNYNNHQRNYDKNFNNHNDRQNNNNNFDRNNNERI TFDRSNNERNYVDKSNNERNFVDKAHNNNERNFVDKHNNERNYVDKHNNERNFVDRPNNE RNFVDKPNNDRNFVDRSNNERQNERNNNERYNDRNNNERFTNEKNNNERYNNERFNNERN FKVNNNERNFNNNGDKNFSNQNNFDRNNNERNFNNQQSRNFTGGNDKSFNERVFAGNDKY QNNKQINSMQNDRQFNSQKNNGNDQNYENDQKISSKQYQGFSKSDFTKYQNMKGQQK >CAK92120 pep:novel supercontig:GCA_000165425.1:CT868666:222877:223408:1 gene:GSPATT00024851001 transcript:CAK92120 MTQISTQVNVRNHERTTQPSLLKCIATILEEIVQETDKLDSSSTSFHASKTPAITLENYL IRIAKYAKCTDECFVIALIYLDKVQELNPDILLNSHCVHRFLIIAIVLAIKFQDDDYYRN DYYSKIAGISLKELNQLESELLELLNYDLFISKELYNIYIEKLRQYQEQ >CAK92121 pep:novel supercontig:GCA_000165425.1:CT868666:223467:224645:1 gene:GSPATT00024852001 transcript:CAK92121 MPKVGGRRKKTRTHKEATEEDLDLIGQTPKAFILKRGKVSSTIRQLIDDYRDVMYPFTTM NLQESDKTKMKDYIQAAGYYLISHMIIMTQTNKNSYIRFIQNPRGPTFTFRILKYANRNE VLNAQRKFKSFSRVFSPPLLVMNGFQTDVQTDDPKRPTSDHIKLVGNMIQSMFPAINVQN TNPKTQKRVILFSYKNDKIYIRHYYISFNLKGIDKKMKKIIKANKLPNLSKYNSFSDFLQ NNHQMFASDTEQSDLEELEIENKQHKKQQMSIRLHEVGPRLELKLYKIEEGFMQGNVVYN RVVSKTNKAIEKLRKIKRRKMLLKYKRREEQEQNLQKKTKKQDIEEFDNVNKKVKKQ >CAK92122 pep:novel supercontig:GCA_000165425.1:CT868666:225019:226015:1 gene:GSPATT00024853001 transcript:CAK92122 MFQRLSPEQKHEQKVQGLLQMIDSQFESMHYYQSSIENQPNFRTEPDDCFVGSQQTKTVR HFKSQDNISKSALSNLLNLVDTNILRSTKLNHSKSNTNDEDFLPKYKKPLKTDCSVGFQN DSQEDRIKTQMTNVSIQDASVQTKPKKQKKLGFFTYEISYMSPKCKNCGSEKKMLEDKSI QNQRAQSNYVNAFKEYTKNESIQKCFISKFNNKNKSEFLVDQKIEDSLFGQNSIALESKY QPKYQSNGPKDLSFQQPIKKNKSQKNFTQIAQDVKIISPPKQGFFINANIKQQRKVLAQP YKLISQKMKQKTLIS >CAK92123 pep:novel supercontig:GCA_000165425.1:CT868666:226250:227395:-1 gene:GSPATT00024854001 transcript:CAK92123 MKSFIILICAYLVFSNTQIANTHQQEAYLITKGIFDAFGVQNELDINQVFSKIESNQYYE ILQNAVNLQDELTEESILEGVRQIGVALQQIPDSIDSLEEQTQETIIISKIFNNLLEQLR NPLRFHFQDNVEVVINGVNISQDLGNSLLEWESENYEQYGRDLGTVMIRLMLELENLEAV IHDQSVILLIFDGVLDGILDASGIKGQDIRQCIDGVNLMVIDFEESIRLLETGLPHNVVQ SLQIFGDGLQHFPQALDQCKASIKEAAKLAKQLRELIKALQNPASFAFHIGIDLIVNGRD IYREIFTAVDDWKQGNWNDFGYQLGKAMYQIFVGLHGQQS >CAK92124 pep:novel supercontig:GCA_000165425.1:CT868666:227801:228655:-1 gene:GSPATT00024855001 transcript:CAK92124 MNWSNVSFHLLKYESVRQKENQQEKQQQQPSLHNNSFSTPKKFEQLQEKMRCKSEQCDEF GNYSNKYKIFHDLSVEFPQHKKQRLTQIIVQNLKKNPISLQQNSFRNIVVKQQGPTNNVI STFNKRLKDFRHQEQEVNSDSKTGMDLHNKNLQKVVKQEKLSKVEIDPSIETMHTGIFSC SPGESPRVKVLKKTTNKFILKQTLQKTNIIDKIITESKINSKTTCSPRSILHHQHKKTNS SGHSAYSEQNRFLRRIIGNSLSVKSLHKQL >CAK92125 pep:novel supercontig:GCA_000165425.1:CT868666:228847:230003:-1 gene:GSPATT00024856001 transcript:CAK92125 METEFTNLVEDAGVKKRILQEGQGEMPIDGSRCKILYKGTLEDGTVFDSSLDKESPYKYR IGKEELIKGLDIALKSMKVGEKAELKITPSYGYGDEGDSFKNVPKNANLTYEIELINFKQ AKKKKWEMTPEEKHQEAINKRTKGTAAFKQQNFKEAEKIYKNALSYCTLTTDEGNELKAS LQLNLSICCYQLEEYKDSLDYAKKALELKTNQQQKLKALYRKALANIKLAELEEALADLR EAFKMDSTNSAVIEELSRVKQLLKEARMKEKEIYSKLFQQKLYDESEIEPKKIEIKEAKS EGSSCCDPNEKIEEETQPKPTVENKDGQIQEEKPEERDQPKGENQESNNKIVEESATSA >CAK92126 pep:novel supercontig:GCA_000165425.1:CT868666:230128:231474:-1 gene:GSPATT00024857001 transcript:CAK92126 MYLQIIGILNLLTLSNQFEPLCHKILSKQIHDSLPVNQYILSGQLPVKDDSHSYLAFIFY GSQYASNLQVNLCYSWQQQLNNYNTIVWFNGGPGTSSQLGNYFGLGPINFNEKEKLEKNQ YSWNTRFNMLFVDQPIGVGYSYAYTKDEIPNNLDEIAQQFNYALASFIGKCQLQELSKES KWFFAGESYAGKYIPAIVYDLLKQQEPIVNVQGVILGNPWTEPTAIISEMSSYAFNLGLI DLQERQKLDKILLKTIHNIKSEEFSKVSDNLQAYFTELTKMSGGMNYQNIRKFGSYAKQN QKLESYLNSEAVKNLFKFPLAVTFTLTQNEYEKQGDVYLALKDNIGQSDAIQKLEFIVSK FPVFIYNGQNDALCTNSGTQRWINRIHYKERNEFLNQNFNTIQFNNRTIGYKKMVGNLGF AIINDAGHQVPRDKPQELFTIINEFIDV >CAK92127 pep:novel supercontig:GCA_000165425.1:CT868666:231620:233724:1 gene:GSPATT00024858001 transcript:CAK92127 MPPVLSKILDVKQFMLKSTKRKVISGAVIAVILYLLKIRLTKSKTENMKVKRDEKKGKGN VDRMFLKRILKLLKIVIPRLNSPEILDLVLLTASLVARTFLSIYVANVNGQIVRAIIEQN LNMFLQRILKLGVVAIPASFVNSFLDYLNKSLAIRFRKRLTSHFHDIYLNDMIFYQLSNL DSRVANPDQRLTADIEKWANSLSQIYSNFSKPVLDIILFSRKLAELVGYQGPMYVILYYL ISGFLLRFLSPPFGKLTAIEQRLEGDYRACHSDLVYHSEEIAFYRGHAWEKTRITNSFNN LIGHTGQIILKRLYMGVFDSMLVKYGAVMVGYAVVGLPVFGSRRVEYLKSVNNDPSAITR DYVRNSSLLINLAKAIGRLVVSYKEIQQLAGYTTLVAELDEVLKDLINGKYMRTMLQSNE NQPGYFAQKQSLVSMNRGQIVETENTIKFEEVPIISPNNDILAQKMTFEIQPNMNCIVTG PNGCGKSSLFRILGGLWPISSGKLYRPHIDKLFYIPQRPYLPAGTLRDQIIYPHTKLQML RKKVTDDDLTELLRLVHLDYLVVREGGYDKCNDWNDVLSGGEKQRIAMARLFYHKPVFAI LDECTSAVSMDVEATLYQTAKMLGITLFTVSHRPSLFKHHDYMIQFDGEQGWNFKKIEHS SE >CAK92128 pep:novel supercontig:GCA_000165425.1:CT868666:233726:235383:1 gene:GSPATT00024859001 transcript:CAK92128 MSRISDFEILSRLGEGSYSQVYKVIRRSDQQIYAMKKVKLFDLKEKEKENALNEVRILAS FDDPNIINYKDAFIDDNMLYIIMEFATQGDLQNKIKQAGNQLFPETEIWKALLQITKGLK KLHDNKIVHRDLKTANIFISNGNYKLGDLNVSKVTKKGLAYTQTGTPYYASPEVWRNEAY NSMSDIWSLGCVIYEMASLKLPFKAPDLQALCNKIQRGLFECLPKQYSRDLQQIIVQMVQ VHPMKRLSCNQILQSPLLINNLKVVPLIEKQTSKAELLQTIKLQQIQLPKSNYKEEPIKK SSEQPKLNQRAHSALPGQIKDRNLSPINNQANYPSVPRKKGEPPSTPSTRIQSSIPSQRE KEKILQEQLKIEIKKEQQKLIDQRQSIKTSNQPIATEAIRQQIIQSHRKEDQKNGDQLQN QRQKSVDTKKERLIVSAQPKQQIYQTVQAQETQYQTQPSEFIVAGLKNVNPQSIQSILCQ PVLEIGESPFPQRAKVKKQEQINNHQHYFPIQEELSDRKIVKGKTNI >CAK92129 pep:novel supercontig:GCA_000165425.1:CT868666:235863:237983:1 gene:GSPATT00024860001 transcript:CAK92129 MSDRVTRKGNSQPQKEEPKQAKKQKVQKESYDLVQLQDIAHQLRIHSIEMTIASNSGHPT SCASMAEILAVLFFTKAGMHYNPKDPGNFGNDRFVLSKGHAAPILYAAWSMVGYIEGKEL LNLRKIDSLLEGHPVPKLPFVDVATGSLGQGLSVAGGMAYSSKFLDKINNRYWVLMGDGE IAEGSVWEAAHLASHYKLDNLTAIVDVNRLGQSEETSIGHDITVYKKRWEAFGWKTIVVD GHNLNLLTDAFEQCRNVKNQPQVIIAKTFKGKYLEMENKEDWHGKPVPQAQVEFVKKQMK QQGGFTLTPEVPTQIEKPQQSHFTLEINYPVDGKQSTREAYGKALVGLSKTDANQQIVAV DGDTKNSTFSIKYKEAVPTNFVECFIAEQNMVGWAQGFSCRGKVAFASTFAAFFARAFDQ IRMGGISESQVKYVGSHAGVSIGEDGPSQMGLEDIALFRTIPNCVVLYPSDGVSAERAIE LVANHKSPCYVRMSRPSLPILYPNNEVFEIGKSKILRHHDDDKILLIGGGVTTHEIFKAA KQLSEQDKINVTVLDLFSVKPIDHDGILNAANKTALKTILVVEDHYSEGGLFEAVSSSLS LNNEVKIHSIHVDKVAKSGTPAQMLSLYHLDAAGIVARVKSIIQ >CAK92130 pep:novel supercontig:GCA_000165425.1:CT868666:237999:239281:-1 gene:GSPATT00024861001 transcript:CAK92130 MQIHFCTPQYFFNISQKFPFIVYDLREHQHGYLKNSIHVPYVHQVENVEDVQKYFQYPEQ QSEQRKLERLFQTRRRNYNFFVPFDTSDMFSLLLKDRVKGEGSEISDQFSLSLETVTKWW HDITKKLPYKKKHKIKSRAYTMVMQDDDDELVDSDSQQTILHLKKHQSIVSSKKVIELQE ITISNGPKIKQRSASQPKYVGSGQGSLHMNSELFGVTLKIYEIFHKDKVRQLFIILDPIQ IVFGNHSFLNYEITKNRGFLDKTFPNEIIENKLYLGGGDHAKDTEMLIDILGITHVVNAT IEIKNYSDQLKYLNVKIYDEPHIDVKQYFEEVYQFIENALMENGKVFVHCAQGKSRSACF IVMYLMRKFNWGFEKAYEFVKECREVVCINEGFINQLIELN >CAK92131 pep:novel supercontig:GCA_000165425.1:CT868666:239439:240649:-1 gene:GSPATT00024862001 transcript:CAK92131 MICYKKSLIFKETYNVELHSNKIVLQNIKSKITHILRLEQDSVVDWKLDKKNCNVLALGI QIDNKWEYFYMKQQNLTLLKDFLDGKVQYHDIFKLYQIVGFLGKGAFGKVFKCQNISNGR MVACKSLKQSSKYNEKDFVNEVQCMQNLKHPNLVQLKEFCKEEQCFYIFMEYVEGETLKN LIKRDSLDEKEKLIIVQQLLSVANYFHSEGYIYRDFKPENILFIENNVNKLKLIDFGLTI RIDEIVRNKYQVCGTLGFIAPEVFQRTYIYDFKSDIFSLGAVIYELFSGIYLLQNSDSME LQSMSKKFQFNKEMLQNIKNQTIRKLLYGILQEDPVLRIDSRQALEIFRQANTNDGELLT TDAD >CAK92132 pep:novel supercontig:GCA_000165425.1:CT868666:241280:242385:1 gene:GSPATT00024863001 transcript:CAK92132 MIPSNADKEKATMRYCQMTYTVCLISSQVFRFNPYDKNVKNSNCSLTISLKKRKCVINSY LYLIKGSSYFIQIQNFLNITQFSMLNNNRNGFIIYILDKQAGLLKIEWNKLNRTKKWFDT IQNGVALGIHDEHFIYVVQKRQFKYIIAQYQIINSQIQIIGNLKARKSFRKQQYPKIILY ILYEDKIFRILRFGIKEVSIFGNRITGIITFDIFQYTFELIPDYVQYFYHLNEKIAYKLQ YSLIYNEINITIQKKIVKFSVELYTNKYSPMRVVIGFFVSGILITLSLLYTIITLKQKNL LIYMMENNLKRCKGKQGSLFKSLECARSPKIQHSKLQSLDQTSNFDLKRLRN >CAK92133 pep:novel supercontig:GCA_000165425.1:CT868666:242584:243654:1 gene:GSPATT00024864001 transcript:CAK92133 MFQQQLVNHLPYLMALDKSKDLESIGYYLSEHLKVAGGYWTINAIACLNKLDDISEEKKQ QLSKWLKECQNQDGGFGGNTNHDSHITNTHYAILLSFLLNCELDYEAAAKYVAARQRKDG SFEGDQWGEVDARFSYCGLSSLTLLNKRDLIDVKKAASYIKKCRNFDGSFGGIPDAESHG AYVFCCVGTLYLCEDLSFNIDELSMWIHERQTSKGGLNGRPEKLADVCYSWWMYSALCLL KREQWINQQALENYILECQDSDGGIADRPNNQADVFHTFFGLAALSLLNGDKYQLNPIDP AFALPKSILKNIPGASRFIQS >CAK92134 pep:novel supercontig:GCA_000165425.1:CT868666:243682:246052:1 gene:GSPATT00024865001 transcript:CAK92134 MSRGNQRVQLPQIQQKQQVENYNFITSKKKTVQFQQSMPPEDNQEDWKKKCEELQKDVET RNQTIFSIQRNFESLSALLKTEKQENMGIRDEVVRLRELNSQLQQQDKDNQYKISENQRK LKELQQYHDQLQEERKSNQRQNAEINNLKNQITQLEAIINRKENEIRQMSSTITSLQMDL SLLPSLTKDNEKLQQTIETLKEQIVYLEKSNSDKELNLQIAFKNHTQLNSLIENLKLDNI AAQGTIKHLKSEENISLSKIAKQQQDIQELQSQIKQLQDQLNNQNTVLQTRNQQDGQQNQ AILQLQKQLLEQQKQYQIILDENQNLTNFVNENMPIIEQYQLVLSTFGNTDFVQLIKDYQ KLQQDFKQKNEKLEQTLQENQKLNEKLQQSQQEITSVRSQISQLQQQNKELNQKASYLED ELKTTQTIKSSSLNNEQIIKTLQQQLKQKGESEQNILSQMRIMQQELQKYSEFDGLIMTY NQFTKPVEFILSSDLSQLKQNIEQITQSNQLYVEDIEQRNKQIESLTSQLSDKEQALNDQ TQQLASSNAQMLSLKMKLDQALIDLDQLGKESKAQKISIDSLGNELTVANKENRNLSQQV YEQMENLQQLNMKNTNLQKELNQNQDITYKLGLDVKNLTQKLKKAEEELEMLNPDKMRGL QLQLDQKEKEFQSQLQNIALALDAQITSISCNSCMEVLQKTNTCYPCGHSYCEKCFQNQC QECQDQDGWFKNKRLDDLISKINYSKQILNTYKKK >CAK92135 pep:novel supercontig:GCA_000165425.1:CT868666:246626:248232:1 gene:GSPATT00024866001 transcript:CAK92135 MSETNQIQRLLISSELPENPIATKMTLKEDKTCGGRFRWNLVINAIMIAMAAFPFYLPLE ATLGINCFYASLWLLFTCLAVNTLSKIHNTIKKSFPYQIYFDHIPLQRTSRITLKTLQNV SQQRIAKEIILVVCMEEKTPDKESKINAVFEQFTNFFGKLIITVHPYGTPGEIPGKCSNN NYGIRSVYAHLKQSEPNFDPNKYFVTNFDVDNIFHKNFLDIQMMNILKEKDRNNYVWQPV LFYNWGLDKLSVFTRITGLARNMLMMGALIPFNINIMSVYTASLQLYIEGDFCHPTYQME DIICYIRWKTLSKRSLKIKPIYCPTISGPTSGSNMWQEFVEWVRQNKRWSVGSAEVFHYF VIKASRVQFCSAFLWACNYLNYYASFICVQSLLLITTTIRLFAMESDPILQQYFCIPLIM VYICLFFMIFMNKLAVKYLLNDIVIEKIPIWKDFIHWILSLLVMVGYGLTIFYGFWEIFF CGKGVCTHEPSKKKVLDNIVQRKDDNIVQTKDETVIYVLQLQSEP >CAK92136 pep:novel supercontig:GCA_000165425.1:CT868666:249779:251357:1 gene:GSPATT00024868001 transcript:CAK92136 MNKIYSQYNLERLRLKQSDKDSLKLERSDVKRGTGKNAIRKIFGIDEGSKHEYDIYEKQQ LDAFKKEIEEERDLLTDEIILRFLYANHFDFPQAIKHMRNHQQWLSDPNNFKWSLNTEEM IKQGAIYVSGRGQGFKPIIVINADKFDITIYPIDDILRAISIVLMVVKDYMLVPGKVESW FVIVEAKNTTAFSVPFTHLNQIFEMLKLNFPCYLERMFILQPQTSIQITWQIVEQFIPYN SRHKIEFVTNDFSLMFNYIKPKQLEQRHGGRAPNVYDYWPPHVDDFSEVEYLIKEQQETK KIQEQINQLQKVMPFSSSIDVQISSLLRKQYKPKNKIYQEETHLETTNYYMNKSNSKTVF LNAPKVQQVVSTQQRIQDQYEAQQKQRPQEVNASFEQQFNTNYQAYPSQTQFNPNTQLNQ QNYRQPVFQSRFVGSKTKLNETQNSQMLGSQNSNFQSRFVGLKTQHLLIKQDVSIIANRE DLISPQHSQIM >CAK92137 pep:novel supercontig:GCA_000165425.1:CT868666:251392:251970:1 gene:GSPATT00024869001 transcript:CAK92137 MIKKLFYTFSTISNPYKVLGINKGASQQEFKQAYYNQAQHCPPDNNSQKDAAIMFTQVNN AYEIRQDDVIMFLNKRKIYDTCDNSNDQDFKDELRGNSNCYQQKARRKQSKGKYYSKFHL AEYKSIFNEFDQFLHQKHGIKRAYYEKKKIDDIQLEFKLSFPDTVFGGKHKLQQRVQKSC ETYNRCVSGQSA >CAK92138 pep:novel supercontig:GCA_000165425.1:CT868666:252023:252284:1 gene:GSPATT00024870001 transcript:CAK92138 MEIKCTKSDGWGKVVRDPCKICEGSGIVEKEFDIEIELAKGMKNGTIIRQSSYGHANECS GEPEDLLIEVEVQEDDN >CAK92139 pep:novel supercontig:GCA_000165425.1:CT868666:252630:254352:1 gene:GSPATT00024871001 transcript:CAK92139 MKWTLIIVALCMYSLHANSDLDTVKQLLTDLKTNVQLDIEALDAAWELHKKNKQSIIDAL GFSASEQRSECAKKDEDVQSKQRDIKITNDFVAWMQKRQGLNSQRLGVLEVNRCQASNNY VHDIKNYKIALALVKFLREQLDKLDSNATPAQKQEFLQKVHKFVQIYQTGKLLTMVEQIQ MNEDGSYVLPSIEGDEQDLTESALTQTRGKRNANKVLLQQQQTTVISSSDGDDKADSAVV LIPSQCDDSINTVIVVQNDDGTGGDDDLHIKFTNGGGSGTQGGPSKPKPQPKPQPKPEPK PEPKQEEDDTEEEAEGEEDSGEDNGQHTDEPAGEEESNDDSKTDEQPVSPTGEEESHDDG NDNGDNNGDNNGDNSNGGDSKQDNKDNGGQKQTQEEEEDLSDIRKVLDAIEKHSKKSLDL EQEDEVRSSMIYIDFKLHIELENQHFDKQIAGEKENLIKLTNQLTSRVGIARQCNARLKQ IDIAYQVSSDDYNDSYQHYLELRKQKEEELATFDDIYRIYTSQVV >CAK92140 pep:novel supercontig:GCA_000165425.1:CT868666:254651:255632:1 gene:GSPATT00024872001 transcript:CAK92140 MSITVSTCQQICQSPLNLSPSKNLWTFSKSERFGKLANPTFCQQAFYNLPAMIEKRSAGI GKGSKIDFTKVVVPSPSPQQYDLGSDVQNNLKKNKGYKFGVSRDKMASTGILGTLNLKTP APGTYDLGNTLSDIRYTMRPKPQKSSLISNAKVPGPGQYESLPAINEKGRYPISKYNNSC ATLFNPKSSKRFTTDYSTKVPGPGQYGLDKTGIQQDGRYFVSKFHDSNVRSFPKEARRTG SNEKNQTPAPGNYRLPSEFGYYEASKSVGHTEPK >CAK92141 pep:novel supercontig:GCA_000165425.1:CT868666:255810:256345:1 gene:GSPATT00024873001 transcript:CAK92141 MDQIPQEEVDEEQQLENEIKNISALSIQLVWKKYKTLKAIRQTVKSKREPVVAYYSSIAT NQKIRSDQMQLFHMLKVKNIKFYKFDLVDNKMAQGWVKDILNRYQLPFVTINNIFVGGYD EFQILYELELLPRIIKKDYERECILCDEPKEGDECPNCKKPFDFFRKD >CAK92142 pep:novel supercontig:GCA_000165425.1:CT868666:256363:258028:1 gene:GSPATT00024874001 transcript:CAK92142 MNFSLPDALNLIKEGRANEAVDQLNKFIECLQPLSPQTQLLGQQLGIDLEFFRIVKFSDF YDPDPQVQALRFKYYAYKIAKWGYQIIQQKRKVKRRHDLIEKSEQFGIQNEIGRTFGVDN ESKQYAESRIQMMSDKLQKKKQIYQKQLLFQLDVEKRRTDYKLQQDDKIEKVRKALKTDY DNKIQPVKEHLEIVNEKLKSNHKMKKKQEDDLINKRTELLKNASLIDEKIHKLEEMRRNQ IEHMKNARQMKKQRQHSFQEKQNQKYEEYLEKMKLKLEQRRIVDVHFESHKDFGRRQVPD DFEKNLENVINKYSKQQPIINNLLAKQIEQIQTKKSVDSEKFKKVKQNLQQDHPHKIESR DVAEVIVRKEKKLQEETILRKETSQQRVLNFLQNRQQLQRLQSQQIDRYNAFFSRQTTRL KNMRTTNEQMKSTVNFALMQANKIEEQTALKMSRAIQLINDPDLQMQFKPNEKLTTQQKI AKKKQEEMQSLLKSFVTSEVDLLRFSKSVTEVKNDENQQQK >CAK92143 pep:novel supercontig:GCA_000165425.1:CT868666:258153:258590:-1 gene:GSPATT00024875001 transcript:CAK92143 MIIILNLLFLMVNIEGSQGEVISTLGYQLTKNGREFQNNQGKKFSISESCKQIEIGNPDL NSKVDSNSYYFLVKLTCNDIVDDEEFFNQERDVGNSTIEKKGTSDLSPYQCTLNVTLDNS EHCCCPERKKQINIQISGFQLKILN >CAK92144 pep:novel supercontig:GCA_000165425.1:CT868666:258590:260773:-1 gene:GSPATT00024876001 transcript:CAK92144 MIKLASRSINIFMQQSKVPFAHYKVPEAKEDSYVTGLKLANSLKGSELVPFIPINGRQVN FYYCGPTVYSNSHLGHARTYLGIDVIRRTLRDYFHYDLLSVMNITDIDDKIITGANAAKQ DFLEFTKVWEKDFFDAMEALNIELPDVITRVSDYVPEIVTFIQKIISNGYAYESNGSVYF EVQKYIADGHTYPKMRPEVNAELLQEGEGESQVNKQSEKKSPYDFALWKASKPEEPKWQS PWGEGRPGWHIECSVMASAILGNPIDLHAGGIDLLFPHHDNSLAQAEACFNCEQWINYFI HLGHLNIADRKMSKSLKNFMTIEEVLKRYTPRQVRLNFAIHQYDAPQNYSEEQMEQAIAK DKSYQEFFQNTKIYLRQSQVTDAQKWTENDFQLSALFRSTKQIIHQALTNNFDFPTVVDA TDKLINQVNIQIAAKNVRAPLISSVVNYVNFIFGLLGINYQTLFSNQIDIQPLMEQVCSI RDKVKVAARNNDFESITNAVSQKQLEYEKNISNHLVDAINQFQQEVLVASQNKNKQQIFQ LCDKLRDQQLFNLGIKIEDKDKDQASVWKQYDVQELKLEREQQQVLLQQKEQQKKKEEQE KLEKAKLSPKEFFSQQADKYSEFDETGLPTKDKDGNELSKKQKKVVQELWEKQNKLYNSY LEQQKQQQ >CAK92145 pep:novel supercontig:GCA_000165425.1:CT868666:261001:261968:1 gene:GSPATT00024877001 transcript:CAK92145 MIGVELLVIPLDGDTFQHQSQNKSKSLSKFDLAQKEANIVCLCICCYYTFKLIFTLVYHK EIQDKSQEHFIIINFFYDVIAFILYAILHALTDDLKYSEISDYDQQINLFELNHCLTFFT IQELVSLFKPLNGCMIIYTLQQKSFSKYIVVLKLLHYFNTYQALNNCHLLFVRTNPLLQL QIVLLILSWIIQIIIIVVLLLVYFIYSIKKKEFHFHYKGKIIGKMLFMIKEMSYKEKFQN IQDNLVTCPICYQEINENDTIIQLPCHLNHFFHSKCCMQWLLKDPRCPLCRHELDSNISN NLYSNFL >CAK92146 pep:novel supercontig:GCA_000165425.1:CT868666:261994:263490:-1 gene:GSPATT00024878001 transcript:CAK92146 MQEQLNLRCEGYNIQFVSMDNEKLISYKAKLINEYLVNDLMMEGKYFREVVKQIDDQTFL VKHPRGQTLEQFIQMSNSIPEKIIETILVQILEGLATLYKFRIPGRCFSIYNITWDGTNI TMMNFGLYPEICETLIKYNHNLDTLLLGEIAYCLITCSTNVKKNYIDALISPPISQSLKQ LTSRMLSPENERIQLWEVAEDFKNNMSEDAYKFYINKYQNCCKSVDQIIEDRESLACSTI DISDQLKSDQFKIQEDMSYLLYYNKQINKDQIIWDQLHDELYRIYILTNLKQIIDEKYKV QEISIYLDQLKFIVDKTILIVMCQFQRLLSAQFSSESFCQLRKILKDKVYCERQFFNAHD IEAIKGSTKVKKDTGKHFQMEIFEEDFNDIKLAFRSYVLNVYRFYQKNAQNCQDPQEKWE LSKIQFQVLMAIILNQVMNNKEIHFQTVKKVVGTDNIRLNDIEIRFFKYANAEDIQEQIS EIHKIYFSK >CAK92147 pep:novel supercontig:GCA_000165425.1:CT868666:264803:265095:1 gene:GSPATT00024879001 transcript:CAK92147 MEKIKLINRQKIQTQQRVLAIEIHSLFKNYSISSILLQVQLIS >CAK92148 pep:novel supercontig:GCA_000165425.1:CT868666:265648:267782:1 gene:GSPATT00024880001 transcript:CAK92148 MKNLSILLLFFVYCSVSVLGEPMLVSQSFQGNDFTDADGWVVAGGLPHITECSGTKMFGG FGKLGGKAVASKLFELPPHSSINLKVQFWKIDSWDNEEGYIFVDDQLVWSRKFKFNEGDG QKCGQGGEWKEMILNLDLNVKHTGATAVVVFTSNLNEAADNESWGIREFVLSVERCPEGC SACQVDDKRENCEFWQSFSSSWGSLDSNQLGADGWEVAGGQKTATNCGGVALFGGFDKTG ARAVVSKILKVNPHYKLKIKVLWAKIDSWDNQAAQIKVDGKLVWERRFQWHEGYFGKICG CPIFEWKSMFARTEVDVDHTGDQAKVEFTTTLNEAPNNESFGLRDLYIFYAACSENCAEC TGPKESDCKKCENNWALVGGKCQALPNFILLEQSFLEDKFTGINGWVLNKNKGGKQINEC SGKSMVGGFDIMGVGASATKTFDIPPHKRLRLQSTIYKIDSWDGEFMIIKVDGTEVWKTS WNLQTGGANFCGQGVWFDGITGVDQIFNHQAPKAEVIFTSTLNQDAADESWGFRDFKLWY EPKESCAVFYSECDYKGASFEFCSKSPDFSKDNIPPQIRSIKIPPQGRVTLYESTDYNGK KVTYTSDQACISSFDFSLIQKSGNVEGGWIEVEQ >CAK92149 pep:novel supercontig:GCA_000165425.1:CT868666:268254:269047:1 gene:GSPATT00024881001 transcript:CAK92149 MQANPALHTPAKKNCTFEVAGRPDGEGYLSQNNAMFGIIVIQEWWGLNESMVKTTDKIAK MGFQCISPDIYRGKVAQNREEAGHLLGGLDWEGAVKDIEGAAKHLREMGCKKVGVTGFCM GGALAIAALSFSDQINAAAPFYGVCDLNTFKLDNIKGPIYGQFGEKDEMKGFSSPDDAQR LVDAGQKAGKNIKVKIWPGVGHAFMNQDRPEAFNAEVAQQALQEVATWFKQVFSQQL >CAK92150 pep:novel supercontig:GCA_000165425.1:CT868666:269073:269789:1 gene:GSPATT00024882001 transcript:CAK92150 MQRSIFKSRFLYSLVYQKATPTTTIGGKLPVYVTPAPYGLVIIQEWWGLNSSICKTADIM STYGFKCVVPDLYRGKIAKDTEEAGHLLSGLDWKQALIDIEESARYLKEDLHCKKVGILG FCMGGALSIASITTSKIISAAAPFYGVCDLSTFKLSNANGPILAQFGQNDDMVGFSSVQD AKRLESTAVQQGNKSLQVKIWPGVGHAFCNQDRPEVYNQTIAKQALDLAAKFLHENLK >CAK92151 pep:novel supercontig:GCA_000165425.1:CT868666:270197:272247:-1 gene:GSPATT00024883001 transcript:CAK92151 MHNLTTSPRCPFKFPTEHMKQDSKSMTFRDFIQMQQTKEELKSSFQGRQFQQQGSPINSK KQRWEKQLSTLLRQDPQNELSIKHTSQCQIAMKDFINKTKNFRSHEQSPTKTAYHLPMIS DSQVIVLPNTPVHFKFIPIQPKTKASNYIGLRNVQDQLEQLKRPRIHPTHRDLKQQPMTQ TEIISKFFETKFRKIIKTQIKQYGSNELLDQHSETLYSRIMLDRQLKMKFQGKSLKYLKD IYKSILGIGYTQEILLDPFRMRSIHAPLFIRKEQFIRFKYLFINQFMDMETPVELLFKGC YKLENFKPLILNQKSDFEIFGGEFGINEIAKNMYEKIFKDYTLSPYFKAIELEEQAIKFA KLFAQLIDHTESPNYTLEVLRERHVKYKLTHVQLANFKFYLSTTLQKLGIRYKHIRMLLR KMDTYKFAILNKSSLQECINNSPGGYREFIEGFVRLCSSEPILFDLVQKRGKQRFTAHCE NVFHYFFRDNVKSITDSDIESIHKNKTIISEKVFKKFKEKALQAVSKITNDPILLSDFEE DWEEITPILLNKPRKTAIKQLGGQFVVNRIASKLENEIMQRPLLYKVFEDNESPIGQNLR CKLNLILYGLHFYKRTDIEVLHKRLRIREQPYFEFQQAMKIVMQDEPQKLQFVLDVIDDY KKHIVFD >CAK92152 pep:novel supercontig:GCA_000165425.1:CT868666:272953:273910:-1 gene:GSPATT00024884001 transcript:CAK92152 MQDPELYVRNFQEEISQRNKRKQPQQFPDDQRLHERPRVRKIEPPSQIISQSLLKNHSYV ADPLKNFTKPLINYQITSPSGREPQRYNHNFLYLGQDNIFRKEPHNQIQKDYAPQRYSQQ SNEQRVNYQNVGNMLVGGDSQKQLQTQTQVQNQQVTQSQLQNQQTGYGYPSQQQNYQYQQ EQQQQQQQQQQQQQQLQLQQQQLQLQQQQLSQQQVQQQQQQLSQSQLPKQQYQQQHNQQP QQYQTYQIPPQSYQQNQQFSSQYNPYQAGSPNTYKLKSENNQQPFNYYGSRALKNLDSTQ IY >CAK92153 pep:novel supercontig:GCA_000165425.1:CT868666:274062:276533:-1 gene:GSPATT00024885001 transcript:CAK92153 MCNVISKLPYSFLIAKQINMIMRCKCMIIGNSIYCASRNIQYICYSIIDVYIIQAVNYIL KSKMGATDSKPITKLYDHFRQINVYNNDFPECQILQHKRDPHQKLILRTLNITDEVHFKK AVQQYQVRHKINHQNVLNLSNYFYQFEQQLCGQFYKVYLLFEYPTGNLELVPALNETQLV QYLKQAVAGLACMQKNEIQHNSLQLKYLYLMDETIKVTDPMYFQHSTNFMQVLQNPNCLE CIYLSPILVKSIQLNNWQPKHNEYKSDVFTLGMMFLHLALNQPSSDCYSYDQGLLIEDVL NSKLQKLKLRYGHQFCDWIQTMLIIKEDQRPDFLQLEHYINNNQQVSNKQQYIPNVIQRQ IIPIIDPTYQSNNIQTLQQHTIVANTTIQPCLTQVNSVQQIQSRRFQQVQNISPLEYVHQ YTIKTDTSQRSLTPLSKILVPQQQLKVRDNRDSSVPRLRGSRQSSKDPNQTYTYAVPTVP TDLSILSNKSGQVKQIYFPPKPLSNKLSNTRTVTQQQQSRLNYTTQQVQEYQFSSQTSSN IQKQAAPIQSLQPDQCDTLQFKQPSETISKQSQFDENIDPQPEEDVEQTTQRQEYFKFSK ILSDSTNLPQQITATQTKEFSLPYDIPQFKADSVNRPEFVVEHYSNGSRYEGMKSNGMRH GQGKFYYQDGGLYDGGWKENKMHGDGTLYYATGQPAYQGQWSEDQFQGHGTLYNEHPQPL QESFDYRDFDNVEDFWIKYSGNFDQDNKEGQGTLYLTNGERFVGTFQKDFINGPGIFYCM NGKIMDGRWINNKLVY >CAK92154 pep:novel supercontig:GCA_000165425.1:CT868666:276678:277272:-1 gene:GSPATT00024886001 transcript:CAK92154 MNCQEYIRYSDILDGKYEIDQLESIHKTEKYRSTTTVQTNQYKNLIEDLIQAQSKCADNI YQLGYMINSQSSKRLTQSEFSTPKLNMSQVSQFSQYQTPSKSNQNITPSPQLFNQLNNEN LLDQIEKLIKIKKLDQSILLRIIRKHMANCPYFVQLVKQEFRNSINTLQ >CAK92155 pep:novel supercontig:GCA_000165425.1:CT868666:277290:277672:1 gene:GSPATT00024887001 transcript:CAK92155 MDCIRFWTVIFACGFILFLSLFLILKYQIEFYRYPVKNQTNSETTAIVSACVYLALTIGF IVWGKRRAEKHKLDIQQQYNNLPANQFEAAPEIENEKKME >CAK92156 pep:novel supercontig:GCA_000165425.1:CT868666:277675:280085:-1 gene:GSPATT00024888001 transcript:CAK92156 MFFPEQEYKTSTKSEDELKKLTLEEDLSECQRSYQILTKGQQLQKRAVYQNLHRILKEPN AFEILFKVIVEEIQQQEEENQIIAAKSLHKLIKDNQLQVMELLQIYDLTTQILKIWSLPV LNEWIHTMNALLRVISLDIILNPISQLILLLTDASQPTISRQSAAKLIGTLAQLLGNDIK GPLLDRARNLCSDHDKEVRLIMAEDVIVKVCQSISSDLIECYLLEKIMELYYDTDIVVKS SGMKLFYTIANQLSPDEIKNRCTKLFIDQIQSQSEESKVVMSKMCGRVYMLVIILNQQQI KGNLNQNQISLFLTIYASYAKSKNIDVRINFISNFPAILSLSLKKFEFFQEQYMLCCNDT NETLQRSILSSFHEVVLLSENTDILIQVFINFMKSKYLTILQLLIIRFDSIVNSFQKQQQ PQFGQPAIELLNGLIVKNQWDLQIDLLSKFSECQYIFSDISTFLNVMLTTISKGIPKTKQ LCCLNIAKYLSNIGDLRKRKDWIIQLFELYFKSDSYFNRITFIDIVQEFTQFISRKLFKQ YQFYDVLVFSKDPILNVRMRLIKILPILYKKIDSNDAPTLNMFNDALQDCILGGSRSFQY MIQQTKEELLKPSDENYLDQKDLEMLEKEEQIFKNDQKQRQLLLDQERDDVELNKIDLND YLTKYKKKYPLTKIKITNPSVHSQTILIKKPQLQNNTASALLFKKSVDLDCNKSPLFEAS SSLKKPGLKSKTPMTKSSCDIKKQFKLPSIKK >CAK92157 pep:novel supercontig:GCA_000165425.1:CT868666:280135:281114:-1 gene:GSPATT00024889001 transcript:CAK92157 MNVRKKIRVFEINLGTTSKQEMDNYCKRFEDRKQWKNDNLIRLLHFHENSNSMMCADVSQ ATIIGEYYQMTLNSEAEQNFTQSFMFNESRLWLIAYQIVSACAYLEEKGMYHGELKTQTI YLDESENIKLIEHSFLPNHPDAYSKAFILDEFHLLPPEQLEELKQSIPATQKSGVKGDVF TLGLILLELAAQQSSSAYYNWQKKVVDLEQVKKMCDMLLCLGYSSIFQKLILQMIGEYEK RPTFVVIRDGLQKLEKDILGGIQFYKNHIKQERMNEANKKQFQTFGQKM >CAK92158 pep:novel supercontig:GCA_000165425.1:CT868666:281824:282540:1 gene:GSPATT00024890001 transcript:CAK92158 MPIILCRYFQLHINHETRSSKHKSFLAGSEQIERIILKGDEAIFLKETKKIYQKARCKDQ MEAQIKNQFIIIANIKFQFINYPVIHKMVTLAFRIFISYYLGEGISEYAKITCEQICSKN VAYLLKNKFNKVQKQKEYQTFQLIQFMLLKIIWIQKIFNISKIDEYKKSISKDVMKKQLQ LAFVFLQLCKSNKTKEHLQFLQHFCQDILSQVIFKNKELIVIRNVIRHIQNTSKIEKK >CAK92159 pep:novel supercontig:GCA_000165425.1:CT868666:282694:284015:-1 gene:GSPATT00024891001 transcript:CAK92159 MNISVSFARQIKDFRFKNTLFGVSSLVGLCLCGKMFVLDHNSFYQLIYISELIIGFFMML IVLFNQLKDLDSVEYVFTMGYLIKESEGGNVQYTFQELEEMIKARSMGEATTWRLLYTSI KMDFHHFCCESKDFLYLVLSAGVITSYFFESRFQSNSNSWLVFLLFFVTVWDFVFVALGF IIELPRRIRQEYKLRALQRRATRQQILDIIKKVQIASCCWIMNQWKRSRFINHSKYRKRH NIDTAYLEGRNNSNAKYVLNQCWRMKDFNNYNAILRIYSIPNVFKIGFNKMYNFFYFLAI KQFSSQLPYLQSTIKQMKLILTYIMVLMNLIYIYKRTNQIVQHSQTFYINIQWNHSLFDE NDICGNFLFLRRSSIRQLINWKQNKIKGITCIKICSPLLLRSHILKTCISRIIISNIQNS SFNL >CAK92160 pep:novel supercontig:GCA_000165425.1:CT868666:284180:284794:1 gene:GSPATT00024892001 transcript:CAK92160 MNQLVPIDSTLQANLERLSYLMAQKKEKKPDFRDQFQTHSNAKKIFVNLRAFVKQPQPPS PPSQNHKKEHKIPSQTITTQTQTPKTPTYRQQFIRPQSLAQLEPCLKRQEISASNIMSER TNTKACYKNWVGLSVIERNDIFLKKKQSKLQKMREEKDEKELSKCTFTPHFYNQILIERP SSCFQNKSYQDIHKLRKNQNEKLY >CAK92161 pep:novel supercontig:GCA_000165425.1:CT868666:284993:287580:-1 gene:GSPATT00024893001 transcript:CAK92161 MYDFKTPFDNEHCVEKKERSKEMTLTMALSSMKNSNQIQQITKPKKKLTFLESIVFSPDG TLKIIWDFLCMFLIFYEILSIPFRISFDFEISSELSTFITTIFLVDIAVTFNTAVWIKGT INFQYSVIFKQYMKLWFWLDLIASFPYDMIIESILLSDADETDQSSNSAGQSKTLQQSAQ ILRLLKFFRFIKIIRLLRLAKLKVIFDKIEEQLQTYSTINTIASFLKLSFFVLFWSHWLG CIFHFVGMNEDPNHNWLVVAGIYDSPVEVRYVTSIYWAVTTMITVGYGDISPQTTIERLC GIFFLLVACGVLSFTMNSIGNTMQQMSQKKDQQKKNIAEINNYMQKVKIPKHLQQRVRKY LQYIWDSSRLIKLDAITVNLSQELKQLLTVHVNGNILATYTNFCKTFSRVLLLDITQILF EQTAQPDEYIIIEDNPKNSEHLYFIQDGLINIVLPKTRQVAAKLTNKQIFGEINFFGNVG RTASAKSEGFSDLFVLKRESFLQILQKYPKDFEKFYSIQEEVNKRQFSVLSIHCFACELP GHVVRDCPQLHFNVDLYVYNKTKNRCIRQIMKEYVRKDRIHFNAIKHQYLIKKEALSIQT VIPMTGYIVEECNLEDAQNKTILFKNIPQPFKIKSKFKEDRNRRREIQKVMRAQLSQVQM ITQKQNDLFNLTKSISQLIQSSTPSLNLQSSSISHTKINENQSSDEQSDSETIQQKLDEI ILEYQVQKENQNQENLFISNKSQQNYSLIDHFEFGYDFEIYSTHNNLSVVLKEVQRYYNG AQIKPKIDTEYDVNVFEEYIDYYAINMEDINRFKLEVKPERLKQFLPYTTLVEIKQSRQV LINS >CAK92162 pep:novel supercontig:GCA_000165425.1:CT868666:287819:289474:1 gene:GSPATT00024894001 transcript:CAK92162 MRTARIAQSKQQGNTNKLTSIKNTLKFQFDDENDKNVDRTTSPSITIKDLCQEEKAKIGD LIRRLAQEQEENKKLQDQIRQKEEECKKQVTKYKQLSESAKKEQKQTEDKFKESLEVIKK LQQQEQLIQEQMLLARVNKFDSFTQCEIDNKENNSKVSQASITPPIPPQKQQKSEILQLK AEIEEFTNSLKQFQFESNRQERQEISPIRKVIKPETKYERQVVIPRHQTPVNPPKPDQRK HIEVQTMDSGNRVNPHQNSADKQIQTETESFALNSHASNFFKKENEQPQETIKTLGTQKS NQTMRFCDEFKILENKLKQNQNQYYNCNKDLSESSESPQKNQYVPDESSDDEDEYAIAQE LLKRKNQRISQQCQKRSSIGSQRGQQVSDVSLQKQQQFQQNHGYQQQQYAQYPYYQQFQQ QTQSAQQQNLISSNYFKTNTLSFNLTNQEYTPQQSVQKSAEQFGSSVMQTPQQRDMISQQ LQFSQQEEDIDSVITSLNGRSFNKPNVLQQEQYPMIEQQQESYSSESSLPREEYQKLIDK YMKDNQDSDDD >CAK92163 pep:novel supercontig:GCA_000165425.1:CT868666:289532:291303:1 gene:GSPATT00024895001 transcript:CAK92163 MSRRQNANDENLSWILKYPNEFSHEDFESQHMHILKQEQFGQLKESDEILNGQLYTFWNG TLEYSKFILMPNLLIKVTIQNGLLQIPQSQFNQNGATYYLSLSECTITQKMIQHRSQQAF GMLLTNSIGTTYLFFSNFVTFRTWYKQMKQFCKLTGFLDKYKLGDKVLPGFYTCTKKKKK TQYTVQIYKSDDFEQCQELEDAVYNEIQILRSIKHQSLLELKRVYQNNKYLFIVYEYYKG DTLFNLLNSNLQLHEVQIASIIYQILQVVKFLNQHQFYHGSINPQNVLINSQHQMLQITL INLSFKEYKVNDKLDWILNRAVESFLAPEIFEGIAPNISTDIYALGCVLYFMTYYDSKKY EFQIKNEDKDFYNVMDNFEIQNTRIDESEQQLKIGFQQNQSKSKVSSSQLDLLRKMLQTD ASKRLTIKEATKHHWFVNVKSKIKSLKVERKRKKPLPSLRTIIELREMSEMDVRMTIIQQ QQSGLNALHSMNSKLQSTPSNTKRTLIYTQQPSKLSQFVAKNEFDDDYEIPDEELYLEVP VINRKREPKKRPSNIILL >CAK92164 pep:novel supercontig:GCA_000165425.1:CT868666:291904:292732:1 gene:GSPATT00024896001 transcript:CAK92164 MENTNYLKRRFRSSGSLDMRDTMSSSQGFFSTNKLFVNQQGLSSNISSSTLQHTALQYSF PRAHRFNSQSEYNISNLELPSQLGSKTTSQGFGGRMENFQWAWQETNAKEMPSPDRYEVR EIPGKDKLKRSFGGPWELYSKTYLPYNKYQAPEVAKFLPGNYTCLIRGPGEYRVRKDLGQ HRYKFLLKGKGKMMNDNPDNGVPGPEAYSPTTKLTSPSRFKDITQGIGEKKDPFRSTSTT PGPGKYTIPSVFSKKLRVRGGDKRAFI >CAK92165 pep:novel supercontig:GCA_000165425.1:CT868666:293866:295350:1 gene:GSPATT00024897001 transcript:CAK92165 MIKYLLLVCLITAPFAQTPEAVVTHYDLEGNLIQEESSLQGSEDIDSINSMNMNQQELDS SSMDSMVTDSMDYSTPQAESIESINIESSMTQESTVSNMNVDSNSMNVEDSMAMNANIEN SMPEQDSMYSTVDPNDISAIEPVQQNVEDTQVIENTEVSSIAEVNSEVVSEIDNNNMIAE SNEISNIDPNNTEIPQDNQIDVSNILESNVNLPNESIVSNQNEVDPNMAFDVLQNTESLQ SSPEMDNGFVQSEVNADKTQAKKTPDTENNQVVQKEEKVEQQVEQQVEQQVEQKVEQQVE QQVEENQTAVTDNKNIEQQTEQPQATIQENTEAASHENVDSVQQTDTNVVVQEEDAKSEN KVEDQKLQASESQTNQQLVQGNCIIIYSQCNFKGEALEACNSLSEIEEFKAQIRSIYIPE GLGLTVYDGENFTGNMHKFKTSQECLTTPLSFAQLNNSGNQLKGHNLRTRQ >CAK92166 pep:novel supercontig:GCA_000165425.1:CT868666:296100:297061:-1 gene:GSPATT00024898001 transcript:CAK92166 MQSRTFVHRIINLIADQIMRNLLRKFPFEDLLYASFLQLNVLLMMLSKEILEFQIIINPK NVRCPKLQSSVKEVPDQLDDYLFSQFNEGCLEFFNQPYRLKVRLYILRYLNLAALNKTYM LIITWLDSKLYVQIPTKQSLQEKVGMIIKIGINTSLMRIDQLKINQALTFLGCMKLDGKR NCTLKLNECLILLLETFKLTSKTELWVKKSQNNEQPKLQRNIQNRKGGIRIFNEELKTLM RNLRFMENSKPHKKLFGMSLEILPIDKARNIEPSLLKTKMGKETDVRGLYLIL >CAK92167 pep:novel supercontig:GCA_000165425.1:CT868666:297436:299323:1 gene:GSPATT00024899001 transcript:CAK92167 MSKILKKLSDALSKLKNETVHQLDLFGQLPSFTVLNKSRYTSNFGFVLSLIIGCLALYYL NSEISSMTLKLMPSIYQSEIQVVETDPYLLTNSNFTLAVSIASQFSEPTKGVNKYYQLNI SQCTRERKIDEKTDHTIVLLDCKEIPIETCNMSHFSTELQQKYFSTIRFGTVQCINREYL KNNPLILQGQFNALTYKYLLIKFTPCRNTTEYQGCAPQEEINKILEAGQYNVYKSDYLTQ FEQSGNPYQQIITNEFTSFSLTTSKTIVHTYRIMQTQTDQGLILEENQLDTNLQQTEWRE ISDFYNNQYLVCHYIKLDFKQTNIKRTYIKLQTILAKIGGILQILTMIVGIFLKPIIENF MKFEIASQLFSYNDNQQEQFISSGQVLSEREIQSPSSLQKLSTFFQSNENIQSNVKQKQA SCLQIFLIIFGINKVKHKQFCKAKRKIIKNLDIVTILKKLQDLEVIKKILFTQEQLEQLK KRPRPKLWIKKQDSIIEQDRPKPEIGFHQSNLYSSQYSDVTAQTLFKSYITSQFQQYFNH VQQGQQDPREFEQQQQKQQTNTDFLQDKDDNIKSSQMNINNEKDQSPELQVIEKKINLEI KVQQ >CAK92168 pep:novel supercontig:GCA_000165425.1:CT868666:299550:300459:-1 gene:GSPATT00024900001 transcript:CAK92168 MQQLISKEDISTYLKAIGLPVDIKLSVSAETLCLLANKQMIYNYYQNVELHLKQMKPISL EFVDILQRVCVNRKGGLCYEHEFLLYYILKHLGFQVEIIRCQVREVGSPYNPDLSSTHAF LYVQLGDETFLLDPGFGTRSYRFPIKVNFQNLAQTFELFAQEHYRIQENEKHYEFQHHMD GNWVTYYDFEKPLKFCTVQDIHHDYLNLFTSKEFLGIRDSKFVVCKNTEYGRIQYLWFRQ EKLFTAFKKVLKFNEVSKIEFKSYDEFKEDVKKEVEFELPDYELLR >CAK92169 pep:novel supercontig:GCA_000165425.1:CT868666:300946:301820:1 gene:GSPATT00024901001 transcript:CAK92169 MQNSQQQVNMPQSRMIGDPAQSQYLQNSMAQDPQFLSYQNYRNEIQEEGEIVAETAKLRK LYFLMLLQFFIVIVFSYLRLESLEDYFQKNSYWIIILSIGLFLLSLAAYFTNPENTAVNV VLYVLFTIVLYFFFISLTAITNIEQSMMVAFMIFGQIFSQFLSVMQSRIEMYYHQQSLYV LAGGLIIFQLFIIYSIIPFFEMIITLVSGVVFGFLLIYSTQSNISQIKSGALNGSVRVYV DLLGVFFYLNSLMADLFRKEKTLEK >CAK92170 pep:novel supercontig:GCA_000165425.1:CT868666:302545:304797:1 gene:GSPATT00024902001 transcript:CAK92170 MQQSTQQQQRQDTVVLKEREERRFEEFFNKFSRNGTSLNFADILMFALDRSLVTNGNNFS MFLTHFDDALGGDVKGERALNKPQFYFFLKQLAKKIFHNDPLHLEKMLNEILSEKSAVRD HNVEPNRIVVLDETNKRLLAETSIQAISLFERELKNIFTIYMQENLSNNKIMLRWKEIWV QNKKMNMVSLVRFLRDAEIVPHILSIEQLEEILMKIIPPINNKEYDFFQKGHFIQIYEKN LAECNDSDPQILIHELQLLLARISFELGLKEEGGGNQNNRKLDVEKYIRKFFGELMLFRR NENIEGPLPNLNRKLIKNLEKFTKQLLNDLSDFEEKDSSDDEPDGTDELQRIAALQGSLL FEQVSLNIPVDQVIKMLDKELPPIPPLPQQEKQMQKWDPEKRVVIGNPKPESPKNKNAKP KPAKKQQQRRKAGEPEPRKVIFEQKTEPKQSEQYIQELADKLKFEQKLISDVERGSLSDV QVAPVLIPEVLYPPNPPLDVQFLVEAAINSHNEANFVFAIQNYDDARKKWIALTGRDLTD GLELYFEFSKATVFESAGRDDLALVAYLNARQFSTKLPTNNPDKALAYCGLGSVFYNTEE YDWALRAFLKAREYRENSIGVETVDTATVYNNLGCCMYMLERNKEVMDSFLYPQSYGYFK LAHAILESQLGQFHPRTLTAARNINKSKNCWFENKPEFPKLWVEYAQDPFAGGKKKKKKK GKK >CAK92171 pep:novel supercontig:GCA_000165425.1:CT868666:304890:305316:1 gene:GSPATT00024903001 transcript:CAK92171 MALKSAALFEKMDPFIKSQGAELVKKINAVYYFEVSKAKGETPEVWTVDLKNGTGAISKG KVGTADATFTMIDDDMIAMAQGKLNPQQAFMQGKMKIKGNMAAATKFTPDLLPKDAKL >CAK92172 pep:novel supercontig:GCA_000165425.1:CT868666:305917:306512:1 gene:GSPATT00024904001 transcript:CAK92172 MPKGQTTNTQKAQKAAKNARVAKKVVRARKHFQNRFHTEKPLALSRKPRFTRLTRQLAPV SKGLDFQNVLRHPLITEKDMKKMEDENTMVFYVNQKSTKPQIKRAFQKIYEVKVRKVNIL NTFGGKKKAYIRLGGENDALNLANKIGII >CAK92173 pep:novel supercontig:GCA_000165425.1:CT868666:307163:307686:1 gene:GSPATT00024905001 transcript:CAK92173 MNVGTHVSDDCVTEFNKLKLGKQYRYLTFKLNTDTNEIVVEHVGARESTYAEFVGHLQNE SRYAVYDYHAQTDDVPPRQVEKLVFIFWSPDANQPVKQKMSYAAGKEALKKKLNGLSKEI QANDPSEVEEAEMRKLVLN >CAK92174 pep:novel supercontig:GCA_000165425.1:CT868666:308029:309707:-1 gene:GSPATT00024906001 transcript:CAK92174 MKFCSLFSKNLRKLLLSIDLFGSQINLQIKKENEYHTLFGVLMSIGILAFIYYSFLSLVI DMVERKNPNVIQNLQYKSNPEEYKLEQDSFIFYLVLTDMYGTPIPQKTGQQVYTAKMLAC SRITDEKNQINNKCDNYTLKSCSTVQINSQIQKKLNISAAILDASLCFDPQEWNQTTLSL QGTPQTPVFKSLQFKIEKCNNATSGGQCASQKYIDQQLYQGNLGFFISDSVLNQQKAHNP FSLVSKLIKTPISILSYKAQTLEVRKSKFYNKENFFYYFQSEEQYNALLFERSSEQVFNI QKNELIDVFLYLDDREALYYRTYNNILDILGQMGGLLELILFVAGVVVKPINKLSCDLFL ASEIFHFEKSSNQTQVHPQVNALGQSEGLIDQNQLAQLKKYFKLKAQQIKLFIHQYIFTC GQDRKLIQQSIESIYNQIDIIFIINKLIEIDKLKKILLNDDQQILFNYIHKPKIQLGIRE KMKASINQNCYDTKQLSFEEEILQAFNSYNMIKESNHKKQKKSIGQRCQTDIRNK >CAK92175 pep:novel supercontig:GCA_000165425.1:CT868666:309982:311273:1 gene:GSPATT00024907001 transcript:CAK92175 MFRLNQLAGQFKEVKFLADPLEYLRLYDMLEQDEKDICFAVRKFAQEKAAPTINKYVEAA EFPTEIVEALKPLKLIHKTNTYVKTGLAYLELAKVDAGLSTFYLLISSLVPHSIETFGSE EQKQKYLTRIKDMDILGGWALTEKEYGSDASSIQTTVKKVQGGYLLNGNKRWIGNGNKDI LVVWARNAENNNIEGFIVENKWAGVHAEPIKHKLALRIVQNCQITFKDVFVPDENRMPKA KDFQNGVTQALQHSRVGVPWIALGIQAGVYENVVKFTTRRKQFGKHVAGFQLQQERLARI LTTFQASFLMVIQVSRLAQEKKATLGQIAAVKAWVTDKTREVARLGREMMGGDGILIENY CIKALTDAEVVYTYEGSYDINSLIAGREITGLSAFK >CAK92176 pep:novel supercontig:GCA_000165425.1:CT868666:311315:313394:1 gene:GSPATT00024908001 transcript:CAK92176 MNKKSKTPQQSSQPQNQQINQPSPLMQPLSFQSGPSPSQPDTSVLDESGGESVKVALRIR PMNQLEQGRGDEQCIKAISDSNCQLYYKGVAKQFRFNSVLDERCTQQEVFLKCNIQELLD AALDGYSATIFAYGQTGSGKTYTISGVEERLAREKYISDESEGIIPRATRYLWQIMAQRA EQFYVKASFTEIYNEQLRDLLNPASGILHCRWNLQNGFFVEDLMIVECTSFSDIQAVLHE GMRNRKQGSHELNKDSSRSHSILTAYLIGEQNIDGQIVKRYGKFSFVDLAGSERLKESKS QGDMIKETGNINKSLFTLGKVIKSLSDKKNKLPYIPYRDSKLTMLLMDSLGGTAKALMIA CVSPSAAYYEETLSTINYATSTMNIQNKPVISMGEKDQIIYNLTRERDLLKMENQYLREQ LQRYTNGLPIEIPNFNDKNGQKKQLPPLPSRPISHNQALNSQQNGFDQQVNSNNSKIDLP VNKILHEYQMEINKLKQENDELRNARDVSVKNYHIVMNENNALQLKLENLEQIFIGNPIT KGDQEKSKIQEEYMSSALLIENSDLKKKVASLEEKNMELAQIARKNLNGKSSDPNDLHEI VQLKQTNNQLQQRVEFLQARERDLLEQIMRLQRQPKAYAGF >CAK92177 pep:novel supercontig:GCA_000165425.1:CT868666:313709:314417:-1 gene:GSPATT00024909001 transcript:CAK92177 MAIKLNFKVRCETTLSESVCVVGSVKELGLWNPSDGLQLSTNPDIYPFWVGNISVDVNEN QLIEFKAIISKEHQVNWEDNDNRVIQIRYQSQSIIFSFNSQLLQVIRIQSLYDLSDDESI KFEKIKKIKLQNVLNPFDQEGFTSESDQESDRLSNLDSHGLSPIVKSLSIQYQEESFELL VQQ >CAK92178 pep:novel supercontig:GCA_000165425.1:CT868666:316086:324553:-1 gene:GSPATT00024910001 transcript:CAK92178 MKQNFNGCDYPFYSDDSDWNQRLRNLNGDIVIIQPPFHLVIDATQGFHNNIGYCGGVPAS CNNPRQQENQATLQSLINMFSQQDIFQDLKYHIILLQRLIESEGIEQLKKVGQWLNSKEN IYSLSNQGKLNQTQIEIIETAIGNLLLCVLKSPIHQAFNLLQFTQNLFQVLFQQFKIFNC YTMKNLHKFSDNLELIKEKYQHEKGLDVLFEYQLQLMKDAINYQQDGNVKILEKFIYEQN KYQNQNELIFKLFQDANNLLLDNNNKEYDKYYFFQQLKLTIIRMITSLECVDVKQIVKQL QKGYQLCIQNNQNWKVQLGFLQILLELISLENYFTNDVQKDLLIGLFNELSSQLIKFQIQ ENINIWEHYIKTKCTSSIKCYLAFCLTINKNQQEQKDIKLTCIELISLFLNCEQDENARM ILQNEKIQGIQENSQEIQESYQLLKPYKLPLKNLRQKLQEEFIFNQQNQIYINIPLKVSR EINHYQQNSQQNSDKSIYLFKEVASFEAEQVKTYEVNDFLWRENNNSDLLLVQGMAGSGK SSFLKQLQLFLIKHYESISKQKKWVPILIRLANLEESNQVLWSQLLNAGGIFFNDEQIKS FTDDIQNGELNIVILFDGLDEMRYQIYEKYILCTKNHFEKQLGNNVKIITTSSESFISKK QIVQSYNLLTEIQIQIFDDLCIEEYLKQYQREVVRLKLYRIQGQQQQDAYQFSELWAAYF LDEVNALVEKTSNHELIFADELIDSMQPKIQRNFQNILEDHLSELKNDLKTIQSTGELMK KLNNNQNKNLTYTPLTLKLVLQLLSDIDKKVHKKKLEKMFNIALQKLKIEQIKSIDQKEK ENMQDYSEYVNNLMIALREKKYFDNFSFENLSDEFSEVEQSLIKQAANQTQFTQYQFYKL FVTSYHQRQMKQEENQKKLNFSQEFSKNLNKFQMLLAVQMIKKQPPQLDKKEIQNILTIY FTHDPHNYLLIKLSLLNKLDNDCYTFVNQSILEYFVGKYILKLIKDFSKDFKKKLFEKDI ESSQFNQDEFNLSLDQFQQVLINITPELQNQKDIKQTLIKILLLSRNEIFIRAGSNSILL INMLGFELINEDLRGIKLNKTNLSGLNFFGSNLDQSMFKEVSINYCNFNNTSLQRVIWTE IPWNNFVDFKNQNQAPVILTRPLTNNSYLISQDKQSVKLWNLQTQKIHKIINENLNVRIF NFSSDSTKLLVDFLWFYQIYHLNKNDIRLVATIPQPSFYNRANAFLSSFYDREDKLLFRE AGLYGEWYTYNLKNVIQSKPEWIKSTIFDKSTKFSCVKPMSYGETLIGYQNGEIIIWDIR QIMLISKNDHQSAIKSLSEKTLRFKPNNQEYFTIPGFVSLLISTSEEQVIVWLRTQDRYE RVNALSSKKKVNGQLPRFLNFSQGENPNLQQQSNKEDYLVSIRNEYIIFHDISKIIELND DIIMRDVYCMAIQEWTMNQWQQQQIKIHQLFVARGNFIEVYENYPNCKDRIPLQSCQPLS MYFCSNQYMVSYNQDKTIRFWYIQNNIMGMQMVNQMDSFMMENQFNQSHLIRGSINGYVQ NLRQSYKWIVVDQTIKIAVQQNKQQVNIYNVQIQRDGIQYDQGPEQRCLKDQTIGCFALF GNGEQICLGINNLIEIRDLKNNQTITLQEIHTQDIYQVLFNENESLLVSCSYDNSIVIWN TDNLNQNYCIKNLKCLDIVFESTLELVVQTENSIIWYKILYDFTAQIIRFLDSQEINSLT QQMAVVQLFKIVGENQNLNLNQNQKQFQYLAIKQGNNIILKNFQKGDQTKVATFNEQDKN IEFASKHFIVYTIKSSNVLEVADIDDRKVIMSLKFCQFAITERVYPGRVSCIIIVVETIT EIMVTMIPNQQTQVLNKFECIKKKDKGIQTHLALSESGHFLAFNNNQQIAIYLITEELKL IQMSTFSIDIEISNLYILETGKILIRSYDFLYQYSLNLEKTRIFSENWNNQDYYIAGLNH NEKLLAKISDKFIDFISLQNYQNLERLHGSLFTTSLDNKNFVIYKDNQIKCYNWEEQIKF LYKFNIQNNIYNLTILKDPNQILAVTSNNLKIINCKENQTKNIQGSYYPNAYATADQQIV QIERSTIRISQTEYNIKLYYPENFGYMATNPFFTQDGQYIVYQQNNNVIFQSLSNPRSKY NPEQFQKLTPISFLSESNYLVTLKKKQCRITNWDISMLKDEYYLKFWDVTVLSNVKSLFK IPILKEQTKKMFYQQSFISQIEGNVLCMYDIKEFQKQGYDTNLYNQIENVHYAGLFPEEK KFYSVSKLDNSITIYSMKTREKMSQEFKDHQSEILYISLSQDEKYMISRDINTIIVRNMQ NGEKQIIKRSFKNYLYKNVYTLYKCDFVTLDNSMGITCQEQGDLHIYDLKDFKSYELFHT QTVNLIFPTTFTHKVFSNGTKIALFKQYNSKIGTKILIFDVRTKQVINTLNLSAEQIFLY SYDSDEYYVQYLDKRYNVYQVFSRYSYKYSKDNNLLQIYKNHDLIKEDAKPIYEVFIQLH TKLDNTEQTLIQINYVGRKYLTYYFNQQLRVIDLKLYSKQVKLIKLDKSEKFLNYFSKDQ VLFESKIDQYTTWNSYSLIDGSKKELFNHNLNEFIAVFSNNGQYLAQGWKDGLIRIYKIS TEKQNIAWLTHKAIKHLIYTKDDKILISCSENNLVQFWDVQEEKKEKLIQSIQITYDILS IVVSPNKLDVALELKGNLLLILTYNKSNSIYENQNQKFVGCYKTYPQLQQLMTENCRLEN SIIEDQKKQSLIHHFDGIIQKEYESVTIQQDQI >CAK92179 pep:novel supercontig:GCA_000165425.1:CT868666:324969:325708:-1 gene:GSPATT00024911001 transcript:CAK92179 MNQEIQVEQRLSLYDIVKEKREEKQVPISRMVYATYFSESAIQKIDVEQVLTKQIKELSD TQGQITGFMLIRGLFSLHLVETQSHVMNLWMRNLYAEYRKEKNIYSMINIVTVNEDNPTR CFDRWYCENLFQTGPQLSDMDKTEAQCQERVWELYQQVCNAGIKHSSRTQKDKQQNKINN DFLISLDDINMLLHKRFMSIEEYNELYLGDIKIELEQEKVYPQTLPLTRALEYKDLGE >CAK92180 pep:novel supercontig:GCA_000165425.1:CT868666:326733:327185:1 gene:GSPATT00024912001 transcript:CAK92180 MQSYEKALFNVQVIRKHFFKDRVYQLYLFADELVMTDDPTKSPKYILKTNLTTTIKWICE NKRIVAFEFSYNGRPKEVYGPKLNLLKEMLAGKVFFSPVSQFYQFHMEIGSGMTGSVYRC ISIENNEKLFCDKESRQDKNNLK >CAK92181 pep:novel supercontig:GCA_000165425.1:CT868666:327321:328199:1 gene:GSPATT00024913001 transcript:CAK92181 MEYINGKTLYAELQSRHYGLSVNETIKIMKELLEAIIYIHNKGIMHRDINPLNIMKAETV KLIDFGLARKIRNQLIFPTSGTPGYMAPEIINFNKEKAYDEKADIFSLGCVLYKLLTGEN LFTTKQGKQTVYQSNKEGGFELKKQLQHPECNSNKMDQLFILLPHMLEQNPQLRLNAKVC LTVLEEIENNNLQVERLIKKILIRKQLAFNTSEEQQQDKRSEEKLQKCKFRQSIDVQSKK SLDEISVYSKNVMLQNQKRVILAQKKQP >CAK92182 pep:novel supercontig:GCA_000165425.1:CT868666:328227:329095:-1 gene:GSPATT00024914001 transcript:CAK92182 MAEQVVPSRMNLALYKAKIISAKKGHELLKKKCDALKTKFRIVMVALLENKKFMGDEAQE ALLLIAKAQYAAGEFHQNVKDAVKRATIRLEISSENIAGVMLPEVNIREVDDSDSSMSQI GLARGGQSIQRCRDKFKDLLMLLVKIASYQTSFVSLDQVIKVTNRRVNALEYVVIPRFTA TMNYIDMELDEMSKEDFFRLKKVLDNKRKIIEKQNQETAKRQLEVEDMNWQERESYDMLA EEQPDEDVFF >CAK92183 pep:novel supercontig:GCA_000165425.1:CT868666:329325:329858:-1 gene:GSPATT00024915001 transcript:CAK92183 MLRSALYEIAHDYVILGEDSFQNGETENYQPNQIPQSPKQENVVDPFQNFSNLLQAQKQK KQTRRALISIDTNFNTLQGSPEKNQDVVKYSSKHKSTYSSPKNFVFYDRQIRWLNQVRQT IKVREAIQQQRQSVECPFKPKKCPTFQPETTKNQIKKPIKMRKDSYSTIHLLKQKFY >CAK92184 pep:novel supercontig:GCA_000165425.1:CT868666:329885:331694:1 gene:GSPATT00024916001 transcript:CAK92184 MGCLFGKQEQTQNRYQMKEYDKFKVLQLSIINGYYHLSSKQRRDVFNIQSLGIFITKQGA WNHWIYQKCDGKLLLFLSIYKIILFFLRLSAIRSADIITIISNKQTKFQISSNQCKIYIK LSNLPKPLFYQIIQSDEAFLKKNMINKEEVFNEQYSCFDESDIEMEQEPEIDLANQSLDL SGIDNNNNNVSPIKKANFDDDKINHQKLILDYLDHFCPQTEDQYYKWLINEKVIHYLQQS LHINLWFNKLDLEERFVWQQTNTNSFVKRRFQLIENKLLILFASETQDIIELIPLAYSKV QEAPKQQYVNKFGIFIKCDKLKIDVQLFFDTLLELHQLYCPYPQKYEILNTTNKSHIECV NKSLGLKLSIQQFKLDNNSISNLIIHDSLSNFKGVIKSHEIQFENTTNIHQICENIPFTL DEYLQQIKKLSEFQIRLIAKQLLVIFNYIHLMGVIIVCLNPNQIGVIPNKQEPDSIEKIA ICNFCYSSYKFKLYNKQQLSEFAAPEASTGQMFDELVDSYLLYKLLMYIIRGQQLSPNFK DFLSKLEKRIPIEKALQHDVFKDETITTKAIENMVILKN >CAK92185 pep:novel supercontig:GCA_000165425.1:CT868666:332183:333492:1 gene:GSPATT00024917001 transcript:CAK92185 MNNNSYQSYKPYSRVQQQTYNNTQILSYVGQVLQKSRNNYTQYKQTESNMKHNSNQQNIN TDSDCKNNMHSSKITPQEQITAQKYDITPKKNIPLHQSQQNNDRQPLIPLIPLMQNLKEQ YKQKQALLEQTPTKQPEERFKNFKIIKKLGDGKYSEVFLARHLQTGFLVALKVIKKKQMI EEIMEAQLAWEIKIQYLLDHPNITKLYTFFQTQTEIVLVLEYCSHGQLLGLLQKQPNQKF QEKEASNYVHQITFALMYIHNNDVIHRDIKPDNILLSFGQVKIADFSFCVYSPHAYRQTQ CGTIIYASPEILEGDMYDKKSDIWGLGVLTYELCFGKPPWKEHQQELMKTACFLIPSSAS KELREFIESLVKRLSRERLNAKQAYNHSWLQQTQQVLPLFIQENIIIFN >CAK92186 pep:novel supercontig:GCA_000165425.1:CT868666:333527:334145:-1 gene:GSPATT00024918001 transcript:CAK92186 MKKKSKKHHKKKRHSSSSSSSSQSSKSHSRSRSRSDSKSKSKSEKSKSNKFNKEKTIDPP NQDQFTRPYKVNKFALGSMLFENRRTNDRMDKSEVQTANTQLKKIDKSGRDAVLLSAAIN TVQQDDKREEYQVELVNGQYVKIAKPYICGFSNCQMRFVTTEELTKHLREHDRVQTLKNS QRAQKFMENL >CAK92187 pep:novel supercontig:GCA_000165425.1:CT868666:334235:334906:1 gene:GSPATT00024919001 transcript:CAK92187 MKKYKILALPGWENDGFILKKHMGELHDLISKYVEIEYLDPPYDVSKSMFPLPPLLDTQG RKVYRWGNYYLDMSEDIERIKNRILENPNIIGLMGFSQGVFIIGEIGQLAYTDSRLAKQL RFLICFSSNGIKPRRIHYDKKHLITIPTLQTIGSKDFAYLESIIQTTEYLNSQIIWTEST HRVPILSYDQKIELANFISDKLDQPKL >CAK92188 pep:novel supercontig:GCA_000165425.1:CT868666:335042:336313:-1 gene:GSPATT00024920001 transcript:CAK92188 MSQQLSHQWAGIVQKQMVEKVLNENQQKYDQQQRKQRYKEELETDMKLKQQKKIIQHQQD QQLESQMLETLRQRESKKLKEMEQRKIKQIEISLENLNHLLIRKKMNQERSYQSLLLEQD DIKQERLKEIKSLERIKILKDEESKKLRENYDSVIQQKLNQKQQIKSKLLKVQETDPDQL VQAQRGEGQSYQNELNKQLEEKKRKILEIQAKKQEERLRVEQKIAFEKQKILENKLKDYN MHKQYENENYELSNQRKQIGHSSSLESYNIKLNDVSQQKLPHIYSDNYQAQERNKQLHLL DQNLLKYQKLQQQSLQQEQTYNPNQISNQVLKPQQPVQTPLKTPLSQSSKQSKQSKKSKQ LINPLHQEGHGYSQIHQSKTQTPKSKQSKKSLPQPIEITDSSNQQLRPKYKNYNILTGVL HIQ >CAK92189 pep:novel supercontig:GCA_000165425.1:CT868666:336557:337439:1 gene:GSPATT00024921001 transcript:CAK92189 MSHRQKRVKPGRPSKEDQKEGVEIQRSSDDENEYIADDSDCERIFSLLAKQSSYKNITKT GPTKTKKVDEEQLAEASENVKKVLDELQIQDPQFFFLSNQKRKYEFQNDKLIDRTEYKLI SKDGFNPRYEKLINKMNVVNRELDEKFIDVLKEILLQYSALVNQEENSNSIIEKIIQTIK NPENQEKFQYLLTWQRLKSITKETRMNRAIEDLEEKRKEINKKTSDKVKKKHQEFIDGQK KELDEENSMDPLQYLITKLILAFNQIVGNV >CAK92190 pep:novel supercontig:GCA_000165425.1:CT868666:337497:339035:-1 gene:GSPATT00024922001 transcript:CAK92190 MSLSLSEALQAGVDNADIVDKNKLNQLFNINYQGDLQDSIQVFRYSGYLKADAQGTTQFY YLFYPAANDSLKKPIILWLNGGPGCSSIQGAFNENGPFVFKAGTSEFELNKYSWTNFANM IYLESPISVGFSYGPQVQQSDESTAKYNLQALIDFFNKFPEYKTSPLFLAGESFGGVYVP TLTIEIIDYNSKQSAEGRINLQGLAIGNGCTDPTECTHAAWQFQVHVFHQVGRHNFISEE LYEKVRSVEKQCVEVKTDICRQISQEVEEQITGKDQQVKANQYNIYGPCYTYTPEGSKRA SKSHGLMSYTEDADIPACADIQGLYHHLRSNQVRDLLHIKAESAEWEVCSKKFVDYQENP KGSYYLYEEILKHQIKVLIYSGDVDGVVPVTGTMYWLNKLQKELSLLTLNPWRPWFVPGK RDVDGNQNAGYVVDLDGLTFMTIRNAGHMVPLDKREEAEVFMAKFVKHELFP >CAK92191 pep:novel supercontig:GCA_000165425.1:CT868666:340040:346328:-1 gene:GSPATT00024923001 transcript:CAK92191 MNSIQENIQISLLYLIKTDNAQDLQLDKIDFLNKPSYCNIIKEGQLVQIKGYFGSHKEIE IEIEQEINNKVNLFPYLNLSERGILITIAFKNQLLFCLILSETFFITKPINEKAIEIVLF RILQDLTDNIKFCLSDTILNQNWYKGELIPFSTQMLQRNDYNFNDEQNRGVLQYGCQWKL SKDILNRNILKSQTQSPKLFALQYIHFRENEEKEFYLSYDIFAHKLLEQIQTTQNYSIAQ LIKCFQIEYQSNNLYGILQELSNKLEKQMNENIQNIFKKQKLNYALTFIQQFAQNKPLHV GGDLKQTIETYKKKMKSIAEDLKKQEYYKVQKVSLIVKRPNDNQSELVDCIMQNDIQTII LNSGFFQLQAKDEFFIIDIFNTKEKFMIYIIRINNSQTQIFLQMHKAKQPNRLISFDYKD SCRSVYFYDYYRGQLYIFNFKNKFVHQILISETGKLKSSQCICIQQENNNFFIIEQVAYL SDCDKFIVLSKDGVFKQQEQNQGFEKVKCIQQIKNEISQTEFTPSIRPDCSYNQIHTCPS GKYFYLANDYCCDRYDSNCQKIESVEIEGSIKIFADQSDVIILGKLKHEDQNEKQAKIIS NLVIQKKFHKSQNNEQKIIGNPALDIVKGSFIKFGPNSQFLFKEKKRAINLYVNQEYCDK LDTYLDKMSIDGVNLNSSKFQGAELICDKIKNIIYSRVPLQLCTIENSKLIPLIDGFRYE TQTQTKTSVDQKVKQLHLGFLEEHLSDCNKKIFVVGIIGKQSSGKSYLLNRVFGTRFSVS SARCTDGIWGSVAYIEDQIFLILDCEGLFNGARTDKEEIKMLAFLTAICDITILNSDLTL SRHLDDLFKNLVEASKQLNDQDLFKGILYFVLRDVSSNDNSGAEQVLLKNLDRLRQTGSE EIVFLKRLFQNQFSVEKLFNYETKQFDEQLIAVRKYFLDSSVKSNCWNGIKLIQMMKILL CQLEISDNTNASLIELQIRIEKIFDQSKEQWYQFTSDEVKCENLKLDQNKYQFQKCSEIQ HILYNKDLLNLLYEDLEIKDSIQTHNKNLGEVQSQLNTLFEFRKEQIMLRTQQEISSIDN QEVQIMIKNNISMLQSFFMDQMKMYEFCFEKCKYCHLHCKHFKNHIEISTKLNDYIANEI KNIENQQKNLKIKIQDQEKEKLENIQKIAQSISEAQNLLYGLTIQSEINEIKQKKECTKT ELMNYDFYNKEEDCINLSQFEIHNYKFEQPTTITLDEIQTIKVEITQRITKLKIIQTQTI ESFKKKYSDLEYQVKDYSIKLKELSEIEINIQQSKLDSEVSEIQRRQEEFDNKLINIKQK QHINEQNLKVVREQQQQLNQDKQNVSNKNIEIDQQINKLTSQLEQINSFCKHLNQYHILC ESLKEKSTQMQNWKDLQQQKLLETQEEKEIQQVLNKIEQLEKKVNDLKQNKEQLLIEVKS FQQYEIALKLINFKDIQRKLLDSNLFVHYCQRESHKCDQVCQVCPDQICDNKAGHYENQE HLCMKQDHRCKDTCEIPLCSNTCMKSFKHNSKHNCLSDHPCKEKCQYCAKDCKQDNSEGH YTNHNYLDIYCTHNCQLCPRKCCQPHDHSFLKENHLCGNIHFCQELCQEEGICKIEYDIQ QVIWKNQSSEFPYTKYVAKDSGKKKCQQLIPANQKWHAGNHLCKDKTEKQFHYCNQQCPE CNTYCDLQYNHSGPHSSDRHRNKENQIFTIQEGIQVNVLIQDLKDSTIRKYNLGESSAPE TCDQSCKRRGRAHFHLVKCEGPKKIAKHSYEKYVGFEHIKFDEVLCEEFWKLNNWFHPIY NELETIQGCNYYCPICEYEKGPKSFCNQAAWHTQKDDIKSHSFSCMERHVENQIQGINVA FVIDSTGSMQHLIKMCINTIKDIMEQANAKKTVYRDKLEIKFAAVSYKDHKFPYNKDQNI IEVQRFTSDEVILQFLDKLQVDGGGDAPEAVLDGLNASSGLKWDQNYEKLLFLIADAPPH GKAYNNFKDNYPEGCPCGLKQDIILNALSDMKVQLKIVKLNQSVDLMNSEFKKDFSDLTI FSHDKKDEDNSFSIIVDDVCKYLTHTEITSYVCKYLTHTEITYQMEK >CAK84796 pep:novel supercontig:GCA_000165425.1:CT868524:34:1399:1 gene:GSPATT00039704001 transcript:CAK84796 MQQGQRLKEATEGQIVQAGNKIGGPFKIGKPVYQEKRLKLFNEIYQRQKAELEAKEKTPI TITLKDGKKIEGKKWVTTPLMIALGISKKLAENMVAAKVVYDNIFEKNFVDVDHEDQQQQ ASTLNLKPDYLIWDLTRPLEGDCQLELLSFDDNNGKEVFWHSSSHILGSALEEVYGCHLC IGPAIDGGFFYDCYIGDYKVTQNDYVKIEKAASDLAASKQEFQRAVLTKDECLELFGTNP FKRQLITNKIPEGAMTTAYKCGNLIDLCTGPHLPNTSYVKAFQVTKNSAAYWLGKNTNDD LQRVYGVSFPSKKLLDEYVKIQKELALRDHRNVGKKQNLYLFHQLSPGSAFFYPSGAHIY NTLMNFLRRQYYIRGYQEVISPNIFNAQLWKISGHYDKYKENLFFINMGEEGRIWIKTHE LSRTLSYV >CAK84797 pep:novel supercontig:GCA_000165425.1:CT868524:1401:2323:1 gene:GSPATT00039705001 transcript:CAK84797 MIQHSYRDLPVRFADFGVLHRNEVHGALSGLTRVRRFQQDDAHIFCRMDQIQEEIKSCLD FLSYIYSLFGFEFKLYLSTRPEKFLGTKEVWDNAEYQLEQGLKKFGKPFEVNPGDGAFYG PKIDVKLYDAYKREHQCGTIQLDFNLPERFNLQYRASEDVQEVQQDKEQLHNEQVQAAQE IIDVTLIILFLLKILNNNLLKTQFKKKLHKNRMLRNKRNKNNKKNHNNNNKINTKNKNCL KILLNLVQVKDYHNMNYTKSYTVQLHLLYFLEKKYELGYHHLKAGFARPVIVHRAILGS >CAK77986 pep:novel supercontig:GCA_000165425.1:CT868295:2085:2333:1 gene:GSPATT00039264001 transcript:CAK77986 MKKGGLQINSKKIRSLSLITKLSYTTQKRSTRGNFKKGHKVILEIKKGRTSEIKEIKIEG LIKREDKYLQLYQKVISLTQST >CAK76059 pep:novel supercontig:GCA_000165425.1:CT868220:7783:8702:-1 gene:GSPATT00039097001 transcript:CAK76059 MLEKIYTHILTSQAIQLNKISYFLILCQILAYAQSKPIYEDLISDFKDTWIAYLNPNCGN RYFKADCNAYKVLLINECSTYEYHHLIFRTLELQPHYELTLKLTFLMEMHSVYPEFIVYI DGRIEHQKIYDNWGYSNISCNTQSQNYALFPISITIQHSSSSVIIAMIAQKGNWGITQFQ ISIQECSIACDSCNSNGCLNQEMLLQSFNSQHFNVISTEEGWQSQGIAVTQIQECFGINF YATSGDHLVKVFDLDQHYAISFQLKLLIFKFKLNINIYLY >CAK80215 pep:novel supercontig:GCA_000165425.1:CT868353:2993:3277:-1 gene:GSPATT00039372001 transcript:CAK80215 MNKSNQFISGSVDNLIMIWKGDENDSWIYKQKINGHTNKILCLVINDDDDLIVSGSSDKT IKLWQESNEWLCSQTITDHTSIVYGLSFNEQQRI >CAK85774 pep:novel supercontig:GCA_000165425.1:CT868550:1970:2251:-1 gene:GSPATT00039732001 transcript:CAK85774 IIKQQKFHTILFQRMNCAYHIQIIFLMIYMAQFKSQCQRKLYAYCLYDHGVDMQYAILLN LYEQMVKKKLDKYKLNQTSQLSELRKQNLFSCI >CAK81364 pep:novel supercontig:GCA_000165425.1:CT868399:475:2289:-1 gene:GSPATT00039448001 transcript:CAK81364 MYKPQVIESQQNLVCMNKHDLPISQVIWDPKLSFDQRLLCTQCVEDYDSETRTMGYKKVF QLIEEKQRTKLQEYENLLMPHINSVLQIQTAINALKQNITKQLEQLQRISEDWIKNLEST KQELSKYSFFQELDKLIKNEQNHFDENKLKTEIYLIHTEWSNQINDKFELFKEFQEYSDC QEILKKITQVDDTLQLSPPLSPIKLLPERFSIKIPSQSRSSNQLSNLLGNSQIAKGPFTY QLLSQMSHKQTYFCRAISINHDNSIIIVACNSNIKIMEILLDQQQQSSSSTINSTNENET KRTPRLLQLLQGEHSDFVNTLNFFRNTPTMLNSFLSGSKDSTIIIWSPTTYSSQQQPTSW APLFKLNGHSNSIRCLIIHPLSEDLVISGSDDRSIKFWSCAAFFQQKCQWTCQQTISEHL DCVWALSLNMDGNKLISCGEDKLILVMELSNRQQWEVKQKIQVEIQGTRICFINNNQFSF QACSGKALPNLYIYTLNPSSGLFSKSKDIPVQGGGQPCVFYFPQQYIPSKQLLISKNGCY VNLIRFQFSSYDEIQETQLEQSIHFGFECDGEIFGTISEDGEFLITWDSESKDVQIRQYM EREQ >CAK80228 pep:novel supercontig:GCA_000165425.1:CT868363:6928:7211:1 gene:GSPATT00015122001 transcript:CAK80228 MNDDYSRTCTFEELKQRVPEKQPSQRQISVLIDQFDWDSKYGPYSGMTREERYNRAVNNG LYPPPEIKNYLTSKPHPYSQFYKLC >CAK80229 pep:novel supercontig:GCA_000165425.1:CT868363:8637:9413:1 gene:GSPATT00015123001 transcript:CAK80229 MRTSESEKITCTALKHLKNQKLYEILLLKERVALLQKESETIQQRANPLKSKLLKNVEES KRLYEDIKQKEQEYKQFIQSQKEKEYNEKRQKRNFIQFVSNEALKFAREHAQQEKKEQAY QIKLLHQQDKLKIKMNKDQLIHEKQINKLQVINSEKQAQQRIKEFQDNRQQKFQNITSIE KSLIQQELDSKDQELKALEELEMRYLQKLQQSKSQQLQFKNQIKDAMELKVEDYKKKYPK IGK >CAK80230 pep:novel supercontig:GCA_000165425.1:CT868363:9479:10825:1 gene:GSPATT00015124001 transcript:CAK80230 MTETIENLRDFNYYQLSKDVNTSYVLAEYIWIDGTGEQLRSKTKVYQTQIKRLEDLEWWT YDGSSTDQAVTRFSEIYLKPVRVVKDPFRGDPHILVLCETYLPDKKTPARYNFRWIANQI MEKARDHKPWFGIEQEYFLLKRTGTTHLWPLGWPTGGFPYPQGRYYCSIGERNNFGRALA EAHLRACLNAGLKIAGLNAEVAPSQWEFQIGIAEGIEIGDHMWLARYILERIGEEFGIDI NYDPKPILGDWNGSGAHCNYSTVTTRSEGGYRYIVDKLMPILKENHLEMIKLYGQKNELR LTGRHETGKYDQFTWGDGARGCSVRVPIITKEQGQGYFEDRRPAANIDPYLVSAALVDVT CLNSEHLKQLNSIFEDSLKPLGQQIFQQQKEIFQQQKE >CAK80231 pep:novel supercontig:GCA_000165425.1:CT868363:11369:12388:1 gene:GSPATT00015125001 transcript:CAK80231 MNMQCTLNNEILRCQTPSTDETNYDIIEQQYYLTQIIELIFVENYTYKELQKYFTYTFQY IEQYDFFYDQISKSVRIYMEKIVYHIKQFSFITKPIDIKHLKDYVSIIKELEKSFKVTQL KHKELQELVKSFSHKEKKCQSIHCFRLMKFSFTNIVLKNKEVNDFLTSLKQVLDKRFYSQ TLKYSKTKDRLQLFIQLINEKQQDCLSSFEDTKKWRSSFRVNKNNNEDDFVIVESSSGTR KKVQQESNVKLNEYKQFEQNLEKYFQSKADIEKMEINVSQEWVNRLKQKTKKK >CAK80232 pep:novel supercontig:GCA_000165425.1:CT868363:12460:12845:1 gene:GSPATT00015126001 transcript:CAK80232 MARGTPAIGKRHQKTHTFCKRCGRQTFHIQKNRCASCGYPAARLRLYSGWGEKVARRRST GTGRMRYLKSIARRAKNGFRAGTQAQPKVKNTQKK >CAK80233 pep:novel supercontig:GCA_000165425.1:CT868363:12875:13994:-1 gene:GSPATT00015127001 transcript:CAK80233 MNYVIKQTEQFLRALKTCHSIDQNLHCYFSNEGILLLSNYEQFEDVDTKKPSFFCYFNST SFEQVHTNSIHYKMPQTLSPDLQIKLQKLNASLDKLVFELNILQLYTSLKPFKKQIKRIE IQVYYTISQLRVIQKFKMIVFFKDSFFTIECPIIQLDEVQQMELIRKYMENRRHLDVLEV DSEISEYLNDFNQKEAIQLILKRNSIEIHLNSQKQDTTLELNSGFLTQYKFDDFSNVYKN VNTINIEPNVLTPFHGYGFKISISKDINQKPRIFISQDWQDQISMVLKSITSLIKKIKII SILDDDCNEDELLEIIAEYQTDRMQEQECQQIEEQQVRKKIKMNLKS >CAK80234 pep:novel supercontig:GCA_000165425.1:CT868363:14706:15251:1 gene:GSPATT00015128001 transcript:CAK80234 MDIQQFEKELVPKLQFKASSRISIEKVLQNIFKFFDLNNEGYWKKQDFLKAIPKADVTIP DQEFGQQLWINYSVDEEVYYKDFINKVVGKQQMEEQADSRIVQTGSPLEQLRLKLQQKGA LGILNLAICLPNQYMSTSNLINQIKSQYLNQSRQGVVSYVFSTFEL >CAK80235 pep:novel supercontig:GCA_000165425.1:CT868363:15394:17237:1 gene:GSPATT00015129001 transcript:CAK80235 MLTIQDFFDFYSYFGFYVANNEIFKTILAQVLDLNQLQQQFPQYGTPPKSVKQQQLNDSP EQQNLIDNSKNYVIVEFFTFQRYTCKILSITVFTIKGTIICFKIGQISLIQHIINIIYIQ IGQFNSILISRGESGFTSFYRVSKIQDADMMGCQIQQNLQKLLEIIKQKLQILKLLDLQG FKFVLRGEMPQQRTQLIKQLFENYQTNDYVTLSTLRNKFHLQVPPRYKKWQQKRCGNHLQ NINGGFGHENITKEELLEFFSNYSASIPDEKYFEQIIVNVFRLLQDESKNHQAGNHKRSY LQDHHSYVLQRGIVSANAPFGTFTQQEQLAQSRPYVGYNQPASFNIFKPLDDVKKKRLIK MDLKFNKFHFPNLRFHYNLSNNMKIKKKKLDGANILRNKISQRGLRGLINIQYRFQLYDK SHLNALSYQEWKNCFKQWRLEVSDQILDEVFQQFQTNGMMNYRGFVKQMQGTMSLRKFNS VQEAFESLPKATIDIVKQQFNAKDHPDARANRKREDDVLCEFIDTYEQYHIVYTGGDYVK NSNITFEEVIGYHYNLNLLFKDDIQFQQYVQSVWNLRRQF >CAK80236 pep:novel supercontig:GCA_000165425.1:CT868363:17327:18610:-1 gene:GSPATT00015130001 transcript:CAK80236 MVLTARQRDELNQAIHQYLLISYQQSAQLFKTEAAVKDGQIEADLLEKKWNSIVRLSKRV ITLEQQVEQLNEQLAQAQAGKIQFNKSDDEQRLTPIEKFKLEGHRAGVNCVAFHPQYQIL GSASDDGSIKLWDYESGHFEKTLKGHTSNVNCLAFDPTGKYICSASSDLSIKIWELKNHT CVKTLIGHEHSVSTVQFSDHGDFILSASRDKNIKLWEVATGFCKKTFSEHQEWVRCAVFS NDEKQIASCSQDQMIYIWVIDSGQVLHQLSGHEHVVEQVKYIPEHGAKQILTQQQQQNIQ TINLLVSVSRDKEIKIWNTILGTNLFTLSGHDNWVNGVSFHPDGVHMLSVSDDKTIRVWN LKEQKQKKKIENAHDKFILKCEINKFIFATCSVDQTIKLWLLS >CAK80237 pep:novel supercontig:GCA_000165425.1:CT868363:18835:19449:-1 gene:GSPATT00015131001 transcript:CAK80237 MSNNKEQIKAELEENRKKLAEKFGQTKMGSTLARRKHKNVHQTQINDDKKLKQVIKKFGV QQLGNIDEVNFFKDDNTIIHFSKPEVQAAIGSNTFAIFGNPETKKFAELMPEILNHIGPN QMGLLQELMKENQSKEKVEKIAEADQKDEDDIPVLVQGQNFEEASKKE >CAK80238 pep:novel supercontig:GCA_000165425.1:CT868363:19667:20090:1 gene:GSPATT00015132001 transcript:CAK80238 MATGGQILRYNGGTCYAMCQDVFSWYNPSIQICWKGCDYATGRVNDPVLRKEAEDMCKRY TAEAMWTKKGELDHIEDLRIHADMFPENPRNIYRACLAGVRRQKY >CAK80239 pep:novel supercontig:GCA_000165425.1:CT868363:20094:20321:1 gene:GSPATT00015133001 transcript:CAK80239 MFSHQSLNSKDSLDEFLPMFHNKDNNKAILYQQEQNIIKYLKQIGLVMKTDPLKKQQEHF QQYFIILFYQKIENI >CAK80240 pep:novel supercontig:GCA_000165425.1:CT868363:22527:22910:-1 gene:GSPATT00015134001 transcript:CAK80240 MLKLVIFTLFLITISARLDQQDPAVGKKNTSSNKQESDVMKYRRAAAMAKEEFQGIELCL TCLELCGLNGFVWKQTTQVDTQVVSGFNYSIYGKVQKGDQTRTVKVSFYIPAGQDSRIKA TGCSIVA >CAK80241 pep:novel supercontig:GCA_000165425.1:CT868363:22930:24755:1 gene:GSPATT00015135001 transcript:CAK80241 MSQQPLIEIPTLTQNDNNQQQAQKNKPSKVSKQQSLDHLSVHSFKSGLTYIRDSWKSGMA QAILNMPFHLTIGSSSGCNASVGITTAFIAAFTNGFFSGSNHSIYMPSWVVIGLNYQLVK TYGVEVMPWITIIVGIQIYIAGLLKWHHLSDFIPVYVIEGFLLGIASLFFFSYSDYMFGL TDNHSNRGVELYSSYYDMLKSFIERGDINYLIGAWCVFLFLQMGRILFRQFPWIFITTLT GLTLGIVYPTEKCLRSAYGEVTIYFDFIEYDGPKFHPDFQVVSHLITEAIPITLFILIQN QFCARAGQSLSGVKCDYDQEIQCVSTANILSGIFGGLPCCASSRMYILDIKLRKTNQWSS ILNAFSILFFYGVFSKVFMDIPFYIISGQLLYMIINIPPWHYYVNLYRTQRKLILFYIFC IAWLCVNYGAIQSTLIGSMHALIIFAQKMSLPSAEVMTNQKDGVYQINLRDTNLHEFEEE CNDIPPNIEGTYTVYRFNGALNYINIKGHIDQIKELAKTDIFILSFRYVCVIDFQAVDSL AIIIDNMRKNSTQVFVTGLHPGMLEQLSENSIFKEYFINENHMFHQIDKLPHQ >CAK80242 pep:novel supercontig:GCA_000165425.1:CT868363:24889:28164:-1 gene:GSPATT00015136001 transcript:CAK80242 MKYQQQQDIEGRLSLLSVEDLTDLFILNKINDGQSMNKVKSLGDDYGLARKLNSDIKQGL GTEADVQRNRESFGDNIPVEKEPTTLCELIMECLEDTMLRILLLAALVSTVIGIINEGLA TGWTEGATIFFAIFLIISITAGNNYLKEKQFRQLRRRLDDGKCQVIRGNKVTEIATKDLV VGDILLFNLGDLFVVDGLMIQGSAVKMDESAMTGESDEIKKLPYQEMAQQKQQQFESRCC QRSHQSIFNIRNQMLRWNSLNASSSCWLEYNLWTVKKIVDSRQSTNSIIIEIRRIIVSIF TFFALMGHLGYDIYLGQIQFKSLKTLQVIVESFMISVTIIVVAVPEGLPLAVTIALAYSV GKMKDEQNLVKNLSSCEIMGGANNICSDKTGTLTQNIMQVTALYVERNTIQNDVHTIKSK LNKNTVELMCESICYNSNAFPQKDKVTNKWIQIGNKTECALLECADNFNYNFSQYRPSDK ILRQIPFNSKRKKMSTAVYNPKTQFVRVYTKGASEIVLNQCIKMVGANGVEQLLDQNARN QIYNDVIQKFASESLRTIAIAYRDLDPHSQNSSVLGQIPQLTKYTQSIQEDDLDKDLVLV AIAGIKDPIRPDVPNSIKQCHSSGVTVRMVTGDNILTATAIAKECGILQSNKQPGQYEVM EGKFFREFVGGLKTIGNKENFKVVARDMKVMARASPEDKYILVMLLQSLVMVLMMHQPQK KQMQDLLWVSLVLMLPRMAADIILLDDNFSSIITAMKWGRNIYDCIRKFIQFQLTVNLVA LFMSFLGAVVLKESPLNTIEMLWVNLIMDTFASLALATEPPNITVLERQPYKREDKIVSP TMNRTIVGGSVYQIAVLCGILFVLPKYMDLSMPQELEGQKFHKNVVQMSIFFQTFVVMQV FNSITCRQLDYKTINPFTNACNNPLFWGVQTFTLIIQCILIQYGGKFVKVSHLTVQQHIL CIGFGIGSIIFLALVKLVIPENFCQRVELFREDIITEDKMDDTLASRLRRKINYKTKKQK N >CAK80243 pep:novel supercontig:GCA_000165425.1:CT868363:28901:29800:-1 gene:GSPATT00015137001 transcript:CAK80243 MIGKLLISICMIGLTTAALTVDAAKHCECTELTQTDCGAALSYCLWNSSDSECQEFNLEC SDLNTQITCDAFSSCKWKDGACDDWTPSCSDGTTVALCRNINGCYWNKSNQCASFSTCAD YSVEECPENQFCTAQSGSCAAYQFVTCSSFTTSQTCTGFDTQTSQCAWANDNTCKSVKSG SSCADLSNFTNLCNNSGSCTYEGSACRSIKCSDLPIEQACNFVFTSDTSFTLCAWANGAC ADAADTSAYTQTTCYQRTFGNYKWTSDNKCLACDDLVSNDMDSNQLILGAFALLLVLLE >CAK80244 pep:novel supercontig:GCA_000165425.1:CT868363:31000:32110:1 gene:GSPATT00015138001 transcript:CAK80244 MFIIAGILFVSVFGQLGYDGYTEWQSGIDRTINRQSKFVEPVYPLQMFTRVQFPRQFSRR PQVLLMHEEIEWNKNRNINYQTFVSDVTVKGFYINYLINGPAIIPVLRVRWVAFVDSEAE VQYVNYQFEDLIRLRQGFGTRFQDFMVQYYLKYPTPRVVAFIVGAEMEVYDSLTTTIQIQ LGKPTGSATPVRILTRDRCHINTLRIAYFISSDYSTIMGTLGSSDNYFNQFLDNENRMKL RVQRFEREVPSTFIFESHQNVLAQGITGFDVHSRAGNFYVKVGGEYIDKKSSTYHCAYGT RGDTVLQYMEVSYILHPPALPPYQMLVEESATDKQEEETKTEEQQLLNESLIP >CAK80245 pep:novel supercontig:GCA_000165425.1:CT868363:32138:34175:1 gene:GSPATT00015139001 transcript:CAK80245 MQIEQPQIKKQYEKAHVSLHHKQIPYLPSTITALSNNPMQQLLLVGKENGVIEIYSYPTY TQISICCSINSQIRSLFWIDEEHFICAYLSGQISLFNIHDYKPLNNFQLPDNINIYESIP TIDSYFLCACSDGSIRKFDYQNNKIYQIQQSQQLDCQCLSIAINKEYVYASFSNGSIRQL TQKTLLQQQTWQLNISSSKIAKANVIIPWKLCICNNNLFIGSSNGSVIIYETQFNTEIQQ IQTHMSDILTLYPNLNNVYVSGVDSKIVCLSYNGSQYQITQQIRGQSHDVYAFEIIKNNI LISGGLNTDICYYKLDGNGQLLQQNQFTHIFTIPRSEQFICNHQYIAINKYDSIDLLSYD IESDPIYWCEFKLQKPAHFIKLTKNLLCYGQSDQTVAMSEVDKQFKKYKVYPHFKCMEFD HTQKSFYSIDKDDKLFKHKFNGQSQFIAQLIHGQPKLMKFVNKRILIITYPYLQKFISVN VVNNTVEQIDCRSNQNYVTNILIINGKCMKILVTYASHIFAIYNYDFKEQLWQMNSYSKE HMMHSPLNVSLLKDPIRGAITDNQDSKRMLLFTNNHFIRLHQRGVPPKEVKEQEFSKMLQ PEKKDSGQKLDIVDNYALIYHNYVILNCLNVSNQNYILLEWDKQATFSQIQGAFDGKKYK R >CAK80246 pep:novel supercontig:GCA_000165425.1:CT868363:34232:34664:1 gene:GSPATT00015140001 transcript:CAK80246 MFQTKTKQKSFRELHTVQERQAIFKDKISHYPDMIPVILEKHPKSKIQHLNKQLYFLPQN IDIYFRMIQFRDTIKSTLQISSKQSLYFHIGNQVLPEDIKLADIYEKKKDSEDGFLYITF SDLEVFGFNSN >CAK80247 pep:novel supercontig:GCA_000165425.1:CT868363:34960:36684:1 gene:GSPATT00015141001 transcript:CAK80247 MISKLIIWFKNLRMSNQIIILNFFIILFAVFATIATAYVQQAIFFTYIQEFESALSLKQE KAIVNNISRKLSLYIQLKNYQTILNLQHSQQMITRFIQISFKIVSRKRKFNKIILFIIQT SSAKRYKQNNMIVKECYSIKLLHYQLLSLNYLQVEKKIKYHLLMYQIIKYLLYSQKCTNL GKLQLYFRYKNHIAQYQIQPSQDYYYSPIYLSARNFMPYFALTYSLIINQTLFGITTQLQ EVSDKNIQNIPLSIFLVNSNGDIILSTLPTISQINLLITITNQTYTGFNKTDWELIQVNS KQKTSQESSFYLENKLYNRIVFVNAVSFEKENLTLIVFKNVTYEIESGQKIQDQVDNMKI EMIKDLQIYLGISIFLVILTTTLIRYISAPLLNLIKVINIHIRKIGNNLNSELFKMSFKS QKQTDVFSSLTYQFLGLKELQSKRSERKNQICQQIEDIKYSFQYQEIDCSSIKESILLLP SQDLEDFRQNNSLLKPLLLRSFSQKKMWVSTEDQTLYRQILIKQIFQQLFQKNSSLKNF >CAK80248 pep:novel supercontig:GCA_000165425.1:CT868363:37346:37654:-1 gene:GSPATT00015142001 transcript:CAK80248 MDGTAITQLKSQLQKLQEEREELSNDLQEERVVVTQMQQELASLKQKQQIQGGQLRDKEL AIQDFNRMIKESETILKKLIETSNNFLKTLEGETNKLKNQKK >CAK80249 pep:novel supercontig:GCA_000165425.1:CT868363:37694:38672:1 gene:GSPATT00015143001 transcript:CAK80249 MRKSQSSLLFKSSLMSQRQTLPDLIQKIGMRFATQYELTTQNNIQSNPGQLELIRIQEKY KWKCEELDRTRQSIEREHKTLDDRKNQLKRGEKEMRILTNLIEEKLIQLHSQEQQFRERV QSFEQKEKDREQQFILLQQQLEEQSNQLNIEKNKLLMREKTIKEKEYLIELKSKEIEQYL SRLKYYTSNTNQKENYYHQQIDNINLHLQTILEHEMSIKNIEQQMSLQVNSLEKAEQNLF EKLQKCKQSEQIFDQQELKIQVSEDLINRKLEWDQKQIVSAKNSKASQFNFENSRMEISH FVYSALSQNH >CAK80250 pep:novel supercontig:GCA_000165425.1:CT868363:38705:40132:-1 gene:GSPATT00015144001 transcript:CAK80250 MNIIQKKREEFSINIRKQKREEVFKCKRISKTQYLPFSNTTTNLSEIYYIAYHQKQCPND VQLNETIQKIQKISELADTFNESDCDKNYQTSSDVEMIFDIINQGYPVLDRACLQILLAF TLPNHSTCKPILKYIPDLLKLFHDTQYEELKQTVLFIFSNLAADCYQCRDAILIYEIPQA IFKAIYQTKNELMEDLAQIIINISRTQPLMSNFNQIQMIKLCWELFPHLLSNESIVGILQ LIYKICLKDKNLLDLNFINNLCQNKTYSDLYLNEILSLIKIMSYFDRNRYEPIENNVLNF LILKVNQTLITGKQEKYQYKPINKVLSIFTNMIVENPKIIYSILKTNIVQLFQFNDQLQE ANKEIYGSIYYYSFYYQIISGLNQSELKQLIQLFQPIQQLINLLDKNMDNTDIIVDILRI VEGILQKNIEAIPIFIQQNGEQFLAELSQHEDEEIYNLCENIFEIIK >CAK80251 pep:novel supercontig:GCA_000165425.1:CT868363:40217:40711:-1 gene:GSPATT00015145001 transcript:CAK80251 MINQDSADLEIQQEGFDFQKLFEKRYSNQESRKEEVHISHQPQPQQHCQGDTLQALVKNI KIEKQVAGRNKKNKKIQWNEKNTKIFNDLYQQYSGNLDQILLYFQQNNELNTKIDIKQIK NKFKIEKKKKCLTLLTQSQRISKNLNYQLKLDQIN >CAK80252 pep:novel supercontig:GCA_000165425.1:CT868363:40806:42096:-1 gene:GSPATT00015146001 transcript:CAK80252 METSDSLDHLINVLEKLEGRYQDDQKEDDANNRLYQDQCDSDIGSLDKDIANSQYSILQL EAKLEGNLIPARSIQQGVEKAKLAEVKQYKQEIEELDEERKEQKEVYEAKIAEHFEASKI IREAQAIIKDGLQRPSLAEVKRSGKNAIRIAPNILAQVSSSLGGSINKIRQTHRFTKAYA SIFKVLLTIMNKSESTADEGSVEKIIQLCSDLLAKIDDSTNLERFAEDKRVQAYEKHKHL LNRDLQSAESILANTQATLQSLNDSIQQAQNSISTIQQRLDYYQDTRQQRFVECEEAAYD YQQARSARDNNKQLVSDLIGLVNKHLRVLREQLALRVAAGDNI >CAK80253 pep:novel supercontig:GCA_000165425.1:CT868363:42096:43705:-1 gene:GSPATT00015147001 transcript:CAK80253 MLRKDSSYREISLTDSIEQKSFLNLARKPSNGDFKGKTPLGVKGKDNKENSSARIHSDTP TQDFFFKREVIKSEKQLPNEKMAIYNDASRFQSSKTYMECRIEQLEQRKKTQSPQLLSMT PVQNKQISQERYNHLQQPQQQQQSVQSSMNLTRKASVVPSRGLSALTTPTPKEGDFNIYK QQSSIPTSYLDSVVTQRNNKNYTAPEQQQQQQQQQQQQQQYQSQQQYQSQQQYQSQQYQQ QQQQQQQQQQQEYKNPGLKLYYITSLIKAFKMKGPNSAKEHLIHNMQGIIIAKKLKVPPV KKAIMLPATDKKTLLFDLDETLVHCNSNSFIPGDVLLNINHEGEKMEASLNIRPYTSHLL QTLSRNFELIVFTASQSHYANAVIDYLDPQKKWISHRFYREHCIPTDDGHFIKDLRIFTT RKLSDMLLIDNAPHSYLYQIQNGVPIIPYIDNKSDEELKSLLQYLMQFKNVKDVRELNMQ QMKLNRFSEYDDPQELLKCEFNEYQLQSVLL >CAK80254 pep:novel supercontig:GCA_000165425.1:CT868363:44067:45006:-1 gene:GSPATT00015148001 transcript:CAK80254 MGICSGVCQQQAKNIVNESAIITETIKQALQEDIITNKEIYQEERQLHMETGAIYEGDLI NGIRSGKGRQVWPDGSYYEGDFVNDMADGKGLLVNAEGSVYDGEWLEDKASGFGKYQSHD GLYYEGQWLEDKQHGIGKEINSKNECYEGEFFRGVKQGTGKLTINDGEFYEGEFQNGMMH GKGTYTWKNNKYYTGDWKEGKIDGFGIMKWDDGRYYEGNFLNGKQHGEGTFVWKGKKYIG AWINGYQEGEGTYYNKKGESRIGIWKNGKRVEWLDDNSSSNSIEQTF >CAK80255 pep:novel supercontig:GCA_000165425.1:CT868363:45032:46530:1 gene:GSPATT00015149001 transcript:CAK80255 MAHLVEMKILVNQEQLSLYLPKIKEALNLRKEVTVTWRKTQQDIDEETFNNCRPIKDENQ YRILENITRRISPQNSLYNEILLTMMKYDVELKDYQKFQLNIKKINRSSLKENLKLQNQQ VEILKTIKRQLKAVVQIEEKLTLITLLEQENMLSKEELKLLFRQIKPQLSEDRTTFIWFL EKIQSYEKQNKILLGEIYEHYIQEQDFEKAKTYFLQMINQTESELNYEEIEQAYQYIKRD NEQILQEIKEIEEILNNNLDQEDINLFQKLIKLKTNLGTPITEMLINYQILSQKLISGKE FEFAIQTIQTCMDQIKLEVLVNYHSKLIFYESIFYELLGDCYRLQEQPQLAIDAYEDSIS LKKEIKHKTDPKWVIYINLHIAALHFQIGDYQMALEIYLKALSLEEADVKEKNQFQSLGS IQYNIATVYFNLGKRDLAEDFCEQAILNMTSKIEEEDSMVKKAITLKEKIKQIKKSGKSP RKV >CAK80256 pep:novel supercontig:GCA_000165425.1:CT868363:46763:47734:-1 gene:GSPATT00015150001 transcript:CAK80256 MSQIIQEIISKQKIKEHILTEVVGHTLEITFNRPEFHNAFTVGMYVMFQEYVLQADKDPN IYLILVKSNGKSFSSGNDLRNFDAWPGLNDEDRKQASLGLTNMLRDFTSSFLNLTKPIIA CCQGGVIGFIFPLLSLFDQVYVTEDAYFFAPMLLFGQGVEMFSSYTFPRLFGQGKTFSLL FRGQKLSAKEAIHYGYAQEIFKTQDEMIKQARQICQQLEQQDQTAIFHGKKLIKRAVYDQ LKTSNEQELLNLHRIWSGDKLVENVFNYMQRLKAKL >CAK80257 pep:novel supercontig:GCA_000165425.1:CT868363:47922:49251:-1 gene:GSPATT00015151001 transcript:CAK80257 MKHISQNSQNLQLVTKTFNDIPFTISTRYQGIKLLGAGSYGTVLLALDTQTNTKVAIKKL NPLEDIIDAKRMLREIRILRAMAHPNIVAIKAAIYDNVSQESDYFGTVYLVQEYFQADLH RVLKNPKDLSDDHVQFIMYQLTKAVAYLHSASIIHRDIKPSNILAKDDCSISLCDFGLSR QIEEYEEEDNKKAQNFTEYVVTRYYRAPEIMVSSQQYSFPIDIWSLGCTFAEMLNEGKVL FKGKTYVQMVKMIFEILGKPIQEDLDQFIKNKNAMEFVQSLPSVPPQSIKKLIDYSNPLA IDLLDKMLVINPHKRITAQEALNHPYFKEIREKTEEAPYKGHADFTFENDDTISFEQMRI MILEELNKLGEKIDIPGEIDRLRQLREKRRAQKLKK >CAK80258 pep:novel supercontig:GCA_000165425.1:CT868363:49527:50712:1 gene:GSPATT00015152001 transcript:CAK80258 MSETSLNSYSDREIESSLKDLLERILIQLEKYIETKSDLNEIEKELKDYENITQLANIIK VVFTNLILKIDKKVQKLEKNLDPNSSFKSIRTEDEYEKLEQTVIKYEGEIRNHIRLEQQL KLYTESIQTKLDESEATRNELLETTKKVMNNLKRENQKYYEENVKLQSDITYYKQRIQQL ENDQSKKTIEYDQGDQEIQKLHKNSQQIKALIKTKPNSKETNKTSSYSEHKLSSQLQEST DYPTQSQGSLKQNYFNILQYGQNHQQQQLQQQIQQQQHEYQKSFHGKHNSISSINDIIQQ QIIIKDKKGNLISQNASKKNSQNSQVQQKTIQQTILTQPRSRSGSARRNLNQKQKTSILQ >CAK80259 pep:novel supercontig:GCA_000165425.1:CT868363:50881:51520:1 gene:GSPATT00015153001 transcript:CAK80259 MYQYTQRIIQPTIRMPVQTQQSIIIPLDKSNQLQSTRRVSQNFDQQASNVSPIRLIKNKV SVSPNVIKYSQQPQTFTMTPQKIPYNQRQNQTPTKHQAVQSRTLTPNVLSQQRQSVANNQ YIQSNRIHTDIGFSTPLNNNQKEKENNNEFSNDKLATQYQNLQEQLIQSEFKISQLEQKS RKLQEQRKKLQQQFLQKSPKLASQL >CAK80260 pep:novel supercontig:GCA_000165425.1:CT868363:51570:52808:1 gene:GSPATT00015154001 transcript:CAK80260 MRQSVSFQDITTLQLKISTLQQQVNQLTIENSSLQQQLTFLQKQLSLSKQSEEASQQKIK QYEQLLQEQNLQFQEALAKSSEIPKQLQEQLKVVLDEKDSLLNDFKEQTWIYKKTINNYE SLTAQVQTVFSDKQQRKLKVTGLMEQLLSQQYKITNWKLNPQIQYEKQEFEVFEIKIGKH MNKILDKKLFFLANEGLQIDSLGKMQALVSLKPNVVADLQVGYIIEVQTTDDQSLKSKYL LRREPKQETLSQGYQKKQEAIQQSMNNMLCSALLERLRKRQPIYDEFKDYGIQRQFMLKA TNLKLYYYCEMIEEGEFQKFNGGNIEPVINSELEDFINFFTFDSFQDIDEKLVVSHMQRF GCYFHDMIVSTFIGVFSQYDQGKQEVVYTIKNLEKALGFSSQTIK >CAK80261 pep:novel supercontig:GCA_000165425.1:CT868363:52835:53754:-1 gene:GSPATT00015155001 transcript:CAK80261 MQAEKSLPRSRTFDQLTTGRSKKTTYENCLNCCGNFWGTCRAWIPCCFCCPYPYQQISQG YVGLLQEFGRFERQLPPGMHFVNQCSGQISMVDMKTHSGQVNRSVILTKDNITSEIDTVL YYRIVDPIKCIYRLNNLDGAMLEVTQSVMRTVCGEHTLQELLVDRIQISHEIEEYVEAIV NEWGVYVEKLFIKDQRLSEDLRQALALAGTTKKMTEAKIISAQADVEAAKFQRETSDILS SQAAMQIRMLETLQLIAKGPSKKVVMMGL >CAK80262 pep:novel supercontig:GCA_000165425.1:CT868363:54079:55640:-1 gene:GSPATT00015156001 transcript:CAK80262 MNYKPISDQQSVDIVEDDGELDVVNIKQNRRKNTSNSKLIIVWIIILIILFGGILIYVSD QAKEQKKVIPKEETKVNITIPKEQYNTKDESNLDGPKLIEIDEERKKDEGTDHKEDQELQ NEQLHQEEDIPQQNVNKSELNQTIVSESQIKNQTVNGTEPHENKDTHKELQQNRRNDEVL CEKGYYMDKNLKACKQCEEKCSECMHITGTCYRCEEEYYLNIYGKCINICDQNQKKQPNL LISEENKNQTIPFCFGKNHSSSFYMGVQKSKSTHFVLPYLIIHPAKSVSQVYHNDFPIVY YFNKDLFNFEENIKLTDIAQEAQERLLIVIIVGSHTLYDYTLFNSFLFQNAIENIEQIVK AKSTTFRSYFGQEYNGYGVIREYISQYRNNTIDLIISDDPSELLHEEVELNQLVTQERHM TDYNFESLSGAKSDKSVLIVMEYTMKDENHHNNQCDRFKYVSFVHCQIREVQISHLQKMK QNFEFISQFVVQLNLE >CAK80263 pep:novel supercontig:GCA_000165425.1:CT868363:56151:56486:1 gene:GSPATT00015157001 transcript:CAK80263 MKFCVFILLLGLVICDQLHAQSNLRYEYQFAPWRLDCTNKCQSAGGVVCGYETYVCCRSG KCLKQWKSETCDTWDKIHILNCVPGPSSKQ >CAK80264 pep:novel supercontig:GCA_000165425.1:CT868363:56841:57555:-1 gene:GSPATT00015158001 transcript:CAK80264 MSVNKNQLVKNDIVANRLKEQAEAVNKYAVKQKKHVYGIPFTFYLGNSKTDDTTKYGHKT IQDLINFVNKKKAEQPKGELQNQPQSSPNFFAFESSDSQNMLSHSILLKDVRTVYMKDIS SVNMAYIHLFGKKNVCTYYINKTQITVEELIQQFNQDPANKDCMIDTRTDKYGIGSRLEP GMQINDNIMKSLVSNYVEDGEGLIRILPY >CAK80265 pep:novel supercontig:GCA_000165425.1:CT868363:58165:59016:-1 gene:GSPATT00015159001 transcript:CAK80265 MNKEQLIRQISKIIKNKIVSRQGQNIFELEAKMGKFKGIGVQQNDYLESIKGMEQLDNNN LRYQFESKIDLKQYNNAYDYLKQKENQVFVKRIDFIMDKQKRNSFQIINDQVSGIQIEKQ RNQKEHIDIVDNKIQFRISLNQEKILSTDLKELLDQMSTRKKYANFIRLKQTLLVKEDKF EFALSNVGSLKKYSDLEFQKILDQISASQSSNIQKNLEQIFKTQSLRDYELEIELEQVQD LNDEQILNLSKSFINQIYTLWSVINFKQNEDVSQQSEKKTKIE >CAK80266 pep:novel supercontig:GCA_000165425.1:CT868363:59034:59975:-1 gene:GSPATT00015160001 transcript:CAK80266 MLLSTSKLTTSKLSRSQISSPQRSTVNYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLSQEKPKDEQKFKVLKDQVLKLQDHAHNQKSEREAFDDKKEKDF RILSDNVALSFEQEKNARGQAETKLQKQIDERFAQITLTITRNTHQYEDRSQAKIAEVLQ QIQVVKNQLDQERQSREESAESLSEQIDSEINKFSDQLLIEKKVREETQGKIFRMIEDVH GKLQQDINFERREREATTEALLKLLEDACIKIDKNFRSF >CAK80267 pep:novel supercontig:GCA_000165425.1:CT868363:60564:63776:1 gene:GSPATT00015161001 transcript:CAK80267 MLQFKSLEEQIQYQEKNIEYLQQQNFDLQNHISQFSQFQQLGITIEAFQSLQNNSKEQSQ QIKQLQKENGNLLKQIQNNQVKYYDKTLSKLQQENVKLKNEIRQLRQRISSPSKLDKSIV QYSTPQKLLNPSAILKSTKDHPKDVSSLLFIKGSQNFKSPYVDIIKGKYENIKVIKQLKS GLEQSYFDTYHFDVVSQDLQYSLQQLDRQHSIHQGKGLLLSNQLCLIFGASRTNKKHFLI QYLDTLLGQVKQQFEIFQQNEDYQQLIVKIVYEELFNGSAKHPSESEILFNKEQNKRDNE NKFREIELSISKLRLIILNKLKMTIKDNSKKYVKIRKLYIETELQFNKETQLNKFIVFTS NQNLNQKQFQNAEEGLNETLAMKDKGRELTQILRWPQLQISLVLCVHPTIPDYSFTINTF QLCKFIRNLKLVSDSPQGSDKFQQILENNNKSLEQKIKKLRDKIKNLESENYRVKKQSVI LEAENEEAVDKNRKLKQQLINLTGSLQQLQMNFQQQISAKKSLSLSKTKSAIVADSKTIQ TLEKASKSISVLLSQGSKSDLSVSPSKVHKTVPSDLLIPNFQEDPDDEEEYQEEGEDGQE DEDQVDDEDDQNADSQQPSEVDESEGVVDIYDMSDVYSFFEYFNQMKIILLVFLIGATFA RDEGFFAQLRNSDFGKTIIQTLQVQLSQDHPADAVVHLLKQMKDDLNNEQRVEDEGITGQ LKTCQDASSAAAAVLTIAKERKATSEERLPLLQQEQNDKKQQLFDKQGEEQRNLDRISVL QEARNVQRQEFEQRRDELVGLVSALQEAKKILSQGIGALKKNSFAELKNHHQNFLKYFPN KKGFHSMVNVLLTVLQEEGTQENAAQKVVKIIDTLVDSIFQVQKEEMRADDARELDFLTQ KERLLLANRRLSGSVADLNAANERIGQKILEIKNDISIQDSIIFNKSTEQADWDQTCSDT DKAHRQQTEQRNAQLEIIVECIDIFETRFDMETKSYIQRLRF >CAK80268 pep:novel supercontig:GCA_000165425.1:CT868363:63786:65100:1 gene:GSPATT00015162001 transcript:CAK80268 MKFSIVILMLLVVGNMASDFDQQVEELQNSNFGQTILQTILMELQTGDPVISNLINMIQG LETTLENEQERDDKRIARITQNCDIDINTLKDQINQSTVNSATLKSELDSLNPQKVSAVA SLERKNNEINDLKTELNYQTHKRETETLTYQVILDNLEQALFGVNQVKGYFNSYLDVLVK NRKRFDKPEPSFLQENYSFKYDQTEMDDVEDQGVQFTSFSQVASKVNKLKHHIHLEGYRA MIEMMSRLAAKAQDEPSQAEVLTRKVLSILKQIENYIQSERIREDQAEALRQGNFDLLKT LLSDQIVQASQDRTYMEGLVESLSTRIQQASNEKFEVDQKIVTKTKELDNRETDCRLKNN EYETDTANRIKQKRVVAVAVDLISSKLGQLKRKLLEN >CAK80269 pep:novel supercontig:GCA_000165425.1:CT868363:65110:66475:-1 gene:GSPATT00015163001 transcript:CAK80269 MNIQQLIELFTQRYNGLQPQFLVKAPGRVNLIGEHIDYMGYGVLPFALEQSCFMLFAIEG NDIQIQHADDQQFKQFSLSVNPKEEYNEIQNYVKYVLAGYRAGIQYGNANQGIKILISGD VPFSSGLSSSSSLVVASSLMSLQVSGKTQQDIDRHQFVDQIIKFEREAGTACGGMDQTIS VFGQEGSALYIEFDPLRLTKVNLPKGYSFIIANSLTESTKLETLGKHYNKRVVECRIGIK LIELNLNLGTSFRTLKQLQDHLQLSLDSMEELCKFIPKGEISLEKLEYLNLPNLLVDIPY FELVLNQNQSVNPYDRLTHVVKEAQRVIKFKNICDSKMSDDAKAILLGYLMNQSQKSCKE LYECSSDNIDKLTTLCIKNGALGSRLTGAGWGGCTVSLVKESEVKNFKNKIIEFFYNHIE NKEHIFSTQPSQGASIIKL >CAK80270 pep:novel supercontig:GCA_000165425.1:CT868363:66559:67316:-1 gene:GSPATT00015164001 transcript:CAK80270 MKKNILLFGLAFVGGFTYERYFPYVKAFISDKGQKNDDHSFKSMLEQGNYIQPKVIDIMH SSPDKHFLHNFIAQHNEALNVFKIYYPDKLEQKIYSDNLESEDKIHLHFVFNAADHLQGH SSIVHGGLLATLIDNAFGQLSYLASGQIPTATANLNINYRKPVKTNHDYMITAEIDKIEG RKVFLKATIYDQDKTICTEATGLFLTVQWGGQMWKNALDKIKEVTQIDDKIVKIDQKKKE >CAK80271 pep:novel supercontig:GCA_000165425.1:CT868363:67578:68566:1 gene:GSPATT00015165001 transcript:CAK80271 MLQRLLILIVLFVILEYIHYSRSWSLEIICLICLGFAICLIFRRNCKKLLSNSTRIIILI IFSLIFYFVYNLSRTNRTFYFLQELFYQVYQACLIFYFGKKLVQGPSKRQNLNIIRTIFG ILIILDITTFIISIIEAASKKQMCKQISFTVFRSISLITQILFLVIVEKLYKRFLLNLKN LLKNEQQTKRQKSHFFQLKILCYISFFGSLILLIVNFIYMLSSDCRIIPHYGNGEYNFSD ELNALVHTTVKLLTYFIPIILTLVLFTTKNQKEDSNNSAFEVEDLTYQQYFQGLAFDK >CAK80272 pep:novel supercontig:GCA_000165425.1:CT868363:68957:71436:-1 gene:GSPATT00015166001 transcript:CAK80272 MNQEETLNINQIKFNQLLLDAHNQFQLRDLNQAIRLYEEALNCQEAGRGNIKSQLFDIDR MAIILANLGIIYFHNCDYKQATEKLLQAFNMIDRKNDFICALLIKILGNLAIIKLITTEY QECKDYNDQAIQLIIQAQPPLQYQLFKELMYIYYRFQSFEAMGDGNFENLEQKYDGQSLA CFYSSMGLNRELCGDMTLAFRYHQKALKLWQEQKENGFTVITLRRILTIAQNERMDCKDY VQQLQKCMQSPELRRISPEILFKDCEKKLQCAREITTSLQKLEQQLNYQKNQQLLSQPLT VKQQDEFWKLALKLRLKRAIQFCYHQMTQQEAENVPQLSQSIAQLEHQLKLLDQQQPYEN YLQNLPFTKESVNIIKSHLMKFNRICLKIQLEPFLNYLYQLKQEVYQDQQNYQQPQFKQK FQSNQMYNQQIQYSNTLGQQKQFDQMNSQYNSQQSQINYDYQNQQNFQSYHSTNDQQNYM VKGQNPTSQQNNQYQQYNNPLSSNFSQKNQLEQNDFQQQKQQTLFTNNYDQQPFKNTSQF GSQTMGFAGNNQQKTQNQQLSSSQQQQQQQQANLKLTKSQLNQPQTKQGQMMAVSMTKSQ KLKNVSLLNAAYRTVMLGDQLTKCNKSSNGRIERFFILANDGTFRWAQNSKHINDPKSVN SYSVSDIRGLLYGKVTDVLRKSYNNKLEPWLCFSLVMKTRSMDFHTQELQINSWVIAMSE EIKRRNPSAFVITAGRMLWRKMKLILHWYFVNKKKKDKKKRVPPNTFAHLLYLYAKKQCR LPQIK >CAK80273 pep:novel supercontig:GCA_000165425.1:CT868363:71960:74007:1 gene:GSPATT00015167001 transcript:CAK80273 MAFRNIYTGGACTADGTQQMSQNPFKQFMDRMLFGQTQQQQIQQQINVQQDPMVQQKLQE RQRLFESMNQQWDVMAKQYDQANIEQRQMMEKMFVEEQRNYEQAMMQQQMMMDFQWQQAE IQYQADQMAQEYQFQEAYENAEDLQMQSEKSQKYADDLVQALEKDPDPRFQQSKFLRFMK DIQNGNLKLVGNELLHIEGIQTFEEGWDQATKNVEQKQSDLSEVEEKFSKMWDEKLEKYD SELQNEDQFQKKLEDSYQQILKEMKQGTDIDKLFSEAWQAASDLQEFDLYKDSNNTYRFQ ENNKYLTMDFPLQAAFEFSTLRLKIQKQSWLWEAHIPKESSRFQCMENLGAVASFLNAMK QNPNELDTLSALGISCTNILDEVKAMSFLKQWLIKNPNYTVPVDDSIVPGNTNIYDYTLD QIKCMNARMIQVFEAAHQQGPNDVELLNGLAVLYFIERNYQKSVEIFKKALQIEPKNYQI WNKLGATLAHLGEADQAMFCYHRALDLRPNYVRVWVNLAFAYSYKGEYLDAARLYLSALM INPQARHIWGYLQTAFLQLQRPDLISKIQHYDPMLFKDEFSVTNPNDLPEPEILYREANN LYIFKMPEEEWLKTSQKKP >CAK80274 pep:novel supercontig:GCA_000165425.1:CT868363:74012:82464:1 gene:GSPATT00015168001 transcript:CAK80274 MHNSESSMNEIEIPSPMRQSDSQLQSESDDLLMQRDSYPKIQKADSHESLISEHHIQPKK YRKIVQALDIIGMLGLLTYCILIQSYYSTFYLICLLLILNYSYISSYKGVQVKDGKLYTL TEFASQQEQFHNTNQNQEQFENTFITQYWLVLNDFKKPIWQSFVVVSAFSLIVKLVLYPI AKNGGYNMMSIDNFSGLEVYFTVIKGKVTVSSAEQGLAFAPNCIMLALAIVILTFRNVQM KQEFHELKDSPILTKFFILAIDAAQSNCFDLLYQIFDWPYNVGVLINIAVENVLESIIVL MVILVVMYFISTPIKKVILQNNQAASFTLQFTGFQLFGYFSNYEAQAILFIIFSIFVLNM LCIVSEKIKTQSLKDQFNHTIGEISQQYEDFKQFEVLIKSQMDNISVDINNSPKLKKKGG EDELSDFEEEKDISDQNCDEQGKSKDNPKPNRISEFFLDQQANTSIYFHKIEKAWYDSLE NIKNTIDKPLVSLIVLQILSLCIVILQQTYFSILLVCWLILTGFTLNFRIIRIATIFCNI PSLLINFFTFYYSNITGLDRPNNFYQFCPSSEYKALDELPTTVCQKLGFFYQDSSAVNSI LMNCTLCLFFLFIKQIEEQEEKEKQLQFKSNQIEEMPRWFNIFLAFFFRIDFLIIIILLY WVGFNEINIISFIMILLLIIFIVNQSSKCDYRGKRIPFQQKYWIILLVYMSFLTFIKYLY ILFGVQQSYFVVQIIGLNMLNKQLVLNWLLFYLTIIQYYGFNTAIYDQYSNRLIATISQV ESVKGNLPNFRYHFGILETMYGHSLIWAAYVVNICFLLFTPYSYLNNLLLLYIGIVFLYH VKSINSQINYIRLRTLWYIYMYFLLIAFSYRYLFSFFCVPDLDNKSKLFQKFYDNLQLYY QEAGLYAYSTDNLRMEYLADTLNILFGAFALNQLTKFKNLQISQHNKNLGESSSQNVLDL KILDQYQWLKYILIGIAHLNTDLITIYIVISCVLYLRSIAAFILLTLYLSYFYRVHNTIL DMLEQKKSLTKLQTQIYLLKQLYLQFASDISHIDEPKKISRLNDEIKLLRLTTRIKLKRK IWLYSFYFTAFCIFLSYLSQFLSTESFTENIKSPLWVDYTVLSFFIFGTYSRQYVITNSC YCGYESNNNDCQDTDYVQTESNMWQVSWFYLFLLFVNVIDTACTKILEDDLTKSIENLQE TQEMNKIKESRIQNQSYEESKETFDKKYDFVVFVKSHSQYNSFDREVIILNYFTNKSRFV KILLNESLFKIFQRFLILLYLSNTLLVNTIFSLFYLTISVILFQMSATVKNTKMLNSIAI FSILFQYFLYLLNWTPSENDIPEFDNFTLLPQDFNIMAKTNLSDYWLAFLGFESTEIMDC EQLKQSVFSNMKCETNQLLNIVIVFNCLIVSFIYLYFLMLEWFCNQIFKQSIKMKNEFIL CKKVLSNHRDSQIIITYNLWRQKNYSFRHVLIQTITMNYHLILFGFVLLLCEMNRSIFNL LQLLIVIGFLYAAEFHFQWPYAYTERRKIKAFYQAIFTLSAVIIALFTFLKVPTFLEQCV NASQVRVDEGSGLEFYCMEAFNLKIDGQIFLIFFISLYFDLQISSHMRTTQDNYQSKLTL RARMVSRGLAYNYNYIQYKKILQLQEEKNLLNQISDTIEQKMIIWKRRVREIQMKQLVKE KSLTIQQQQQYPQLTASFMTEPDVQIPDHQSFFRKVYIKMIIWMRNDNQSIKFMPFHILM DYILQQNKRLQRHIFITIENHLMNETKTYEDEMRSLEKIYRRFYQEIGKNKDKLNEMILD KTIQKAMEKYKKLYKPFKGQQYNKYINQQQLNYQLSKELRFVHIIDEQERIVLEQTKQKY PINKLISMKTINLFSLFLQDLFKYCIINWDTITNIIIICYYFKNYALFGMLLPITMFVWG LIDVNSKIFWMISYSLYFFIILMIFVDSMYGVVYNKSDQPKQYVPWYYVLDNSNTFGLFY EIFTIWLITFQVFLQKSFGIFDYPFTLIENIFQGYIRLKLNNFNKDMVEEIVQESEIQRS RSKSEIGRMENPEIERIEVENQLQGRSFLEKSGLEVEPESIMLQIGNDLERKIAANPEII SDKYKPGFFEKIMSYEYARPGVDLYLSIASIQVILFLYMLFFFNFMTGESQNIEGYLKYN EVPAQLVLALVFQMLFMMVERYIELRGDQKYINSQERAYVLQVLILTLVFLFVYCYLLQL WNRNINDYKDYDTSIVIYFLLFCAYFYLSALQLRYGYRELKVRNQFLYVYNSLSYYFALV LYSIPFLYDLKVLMDWTCLYTSLDIYQWFILEDIQRQMFFTKISSQKVMKRQLGLEISKI SKLIFFFFVIFILICILGPLILFSALNPAAQNNPIVSGSFTINLVNVDTNLNVQFYESSQ LFGLELPFLTDYSEIKELTKQQKITQQQIDNSGGIQRFQLSDFSNQKWQVSLPLYNQLIR ELNQTITLDEKYHFLFNVTFTFRRRFQFILPSMYKFSYPYNPLINGENGTVGLGLDQLKY IRNAAMACNTSGVMLPNFYIEALRIYQSSATNYNTTPIYGKDIPTAQDVFLNINCSYGNT NPLQGVSNWEFQYAGNSSLFLNSSNLTLPDGLLYFVICDNYSVLTYGLSVITIYTTVILL IAKFIRSTFSSQVFMLEFTQMVQPDDLLSICQAVSVARQLQNYRKENLLYFELIDILRSP ELVKAMTGAWSEKFDSQQNNQKDVNVKVLQNQKQHNEESKLKQD >CAK80275 pep:novel supercontig:GCA_000165425.1:CT868363:82815:84136:1 gene:GSPATT00015169001 transcript:CAK80275 MSQISKYQLQGKVGSGVYGDVYKAINTQTGKIVAIKKIKKQQQDQGISAVALRETSILQT VQCENIVKLIEIEYVQGCIRLVMEFYDVDLDAYLKQNRLEINKIQEILYGILKGMNECHK KKCMHRDLKPQNILISKEGKVKIGDFGMARSFQQVPGSYTYEVITLWYRPPELLLKTTGY TTAIDVWSIGCIFAQMVLRAPLFAGDSEIQQMKLICENISLNQDNAQVLSNSIFQNQLEQ KLDLKFANTCITQDGLDLLKKLLQLNPANRISCHEALRHPFFNNFQEPDEINQNMDILSQ YFRVQQ >CAK80276 pep:novel supercontig:GCA_000165425.1:CT868363:84490:85219:1 gene:GSPATT00015170001 transcript:CAK80276 MAATLDQIKKEIIAQQEAQNGELEDLDIEGIAIGQFDNQSANLIQQHQNLISLSLVECQL KNLEGFPKLNNLENLILESNQLDGTAISYISKNFKKLACLSLADNKIQKFEDVEPLKQLK QLQQLDLADNPITHLPGYFNKIFELIPSLSVLDNKDKDGQEIEISSGEDEGDESDLGGKV GDEDDEDEFDEDEDDEDDESDVKPVKKTKK >CAK80277 pep:novel supercontig:GCA_000165425.1:CT868363:85231:85821:1 gene:GSPATT00015171001 transcript:CAK80277 MIKNQGAVSEGIEQKFNNKIKSLQSQQTDPFIIVNGNVQNIDYNDDIKREKAFYEFALSN LNKFKNSNQNIQFTRIDQQFLFKQIGEVIVNPIVKSAVHVKNVEEKELNQQGKKIQTLLT AKKSNKQKHEKIIEEIRKAMKKKPVDEIDKDEIQEIEQKQKPINNLKKNIKKKKTKRLGK LARLHKRLKINSRKQK >CAK80278 pep:novel supercontig:GCA_000165425.1:CT868363:86525:87059:-1 gene:GSPATT00015172001 transcript:CAK80278 MKGQADQKKEATKTVKKVKTTNKSAPARLWVKAAFTGFRRSKVQQNENQALLKIQHVEDV ASSRFYWGKRVAYIYKAHTLKSNTKFRTIWGRISRSHGSNGVVIAHFGKNLPPRAIGSTL RVFLYPNRA >CAK80279 pep:novel supercontig:GCA_000165425.1:CT868363:87677:88439:-1 gene:GSPATT00015173001 transcript:CAK80279 MNFQASKKRANSQIESQQGQIAELQATSFALQQKVEDLQKEIKELQSQNHKLNHHIKILK DQNDDLKFTQQKEATATFMIEVEHLRTDNQRLITLLGSQNKDLRFLSSLETQNSDLPECL LWVPQKAFEIAHQFREKYKDSDYLGDKQIQLLLFELNKVWAVRQFEQQKLQNSINNLEKQ KLQSKTNVPYSEFQLSQQVERLKKQIKDLKKENLDLYANRPERGTRSIRIASANNTRK >CAK80280 pep:novel supercontig:GCA_000165425.1:CT868363:88472:89613:-1 gene:GSPATT00015174001 transcript:CAK80280 MDKDYSDQLNVKNNMKMNQNYIVDLISKANQTVRSLNLKLKRKQMKDKIDKEYFNVLNGK INFEINDKDYINLITAKATQTCRPLTLKLRMKQMKAKMEEEIIVNLLFDQQISKLKHESN QQQQNNDDYYKNIFFLHKKRRSKLNNKSMYHNSEAQSPLTQRNFTQYSPKRQAQQFNKTM LPSSPIIQTHRMKVQKYQDYLKLNQQIMKHKGQLNEQILNLLEEKVHIAKSFKQSCTKLG VESYEQTLKTIQVLKQNITQKVIKECNTVYEERQNHKLNILEKTYTYFLKVLDVIQQMDD QIDQYMLNYKFKNQLNDKSSILEDINQMRDDAYNEKVRTFSKYHDIDQEKKRDRQKLNKV KAYF >CAK80281 pep:novel supercontig:GCA_000165425.1:CT868363:89685:94377:-1 gene:GSPATT00015175001 transcript:CAK80281 MLKLFEPYYKELIFLLSQFLKFEFTQPQIIQILLIIVNQVQPISFNFYHIYNPEKQFEPT LQSLLILTRPYLFIVNEQSKVIVFSICFTIQQIIILMTIYLIIQSKRQQYHEMHTSNVIL RYICNYYYLVSSTFFCWLIEICMMNITEIYGIILEIIMILEIAFIIIFSDIVFQSGLILR VTTQLPLNNNQVKYFIKPLRIFQIMIFFFLTNSKLGLILQNILIITIQTLHIYQILELKI YAKTKCVLTSLIIASFGIIIPIESLIVQNRIKDNLWLLLSLILICLLHLMHKAQCLSLQT MDLRRLKYLFSYVESLDGNHNMNMLKMTLIICEHQQNCQKCECICKKEMNIKQKILQLIE MKLDMLLEQRTKKIEQLTLDLSQILFRRRQFVKAQQVIFRVAYSKENYQNKYNSYNLSLT THCLLDFLKYQIENDVLIQMMERMILTNPNNKLIADSLKQFSINENSDQYIQMKLKKTIL DKSQFYYYFIEHSNKFQGDINYPIKIIRQLQQFRIELTERYQKFPTSSNQNVLKFYLLEV LNDYIEAFDLNKNKALNDEKYQLFHDSVYNKLFGQNPSYIVAEINKDLDVIIVRQSKKAM KKFQSIYGSKWKNSLDISVNDFIPEYVQRQHRTLIEQFFDEGTNKYYQQQNLAFLKLFDK IMLPIEMMVGLNQSSSQTFSFLIFFYEQMDYRSYLVVNQSFDIINCSENISNQILGNNQY STSFISTKNLGTIFNIIPEFDLLIQSNVKNFFNHNIRLLSSNGKQASQRFLDYFSNINIE KRFTGENLCYMITLDNIRSNNNRNTDSKLDTSIFQKQQTNDLLMIHKLERMKEDIDEDIE KAFPYQEIFDISLIKKGNPLNQSESQHVESKLYITDLMVEQEQTNPIHQQYKMNQKLFSP KESMNEEFITKKQFTFQNETRDFASGSSITMIKKSKFYKKFEIIYSLCKSLKSSSKLMKA NINFILFVVLIQIYIIINLSLISNIRGFIQDIKLLSVRYDVTGPLESFSVSRFTQINYKE MLARKAINATYAAQLQIFPKNYLMQSFDKMKKSMGDVLLRSEIDQISNITYMPIMLYVNT NNTGQEYNISKRSIIIITLNYQYDFKLHLDGQGGGFDNPYYYFTYKDYQIVKSMFDHLNV VILDITLERSNQEKKKWMFGYFPFWGLNFLITLLIILQQIRHSNEKSAIMKYISSIDLNI IEQEKLRLSYLQQIVVQDMDVIKAFVIDIDQVDNQLEKSNIKQKQFFSKKSNTKLTNNKP ILGLALSIGHFLLFTAFSIYLFWTFRIYFLKYEKTAAFYQQLSDLGVDIPAVYAQKEILF RVTLRYPFLNSTDLNQTYAVIFNALNSISRYQQQNLNLSSTDYLFDEYLIDFFTTLNTGN LCEFQSDELKQQTESFCPQVMQGNLVLGFQQSLIYILNSVRVQQSDTLNFTVQPTSTLLE LEGSTILTNIIEIMKEQFYNNLVDSAQNLILNQQLLCIFYLTFEIVLAIFYFAKIDKYNY QQFLYLKQSVYLFPRHTLIFDDSFYRNFRSIVNEENLLD >CAK80282 pep:novel supercontig:GCA_000165425.1:CT868363:95507:96927:1 gene:GSPATT00015176001 transcript:CAK80282 MNQKEGQSISLQKFHRKSAQSTTDDSQMILPELKGRCLKSATTKLLNNKSLQTPLKYYIN ESLQQRKRSENHSEYTLIETYLEALQRCAQEEKKKKQIQTLQINSFPILPGQVIRERIKK YAEIQQREVTKYFVRREQPAKKPVSTALSTISQKSIVNQSSKIDATSISITCGSKLKHKV SSKKWAIFKRNKGETKFQKIYAFQDQQKSEVASITKVMTCYTTLKFLEEKRIDMEAIRIK IPGHAECIDGTSAFLNAGGIMNIKQLLFAMMLPSGNDAALVLSYAIAFLKTCDNIQRYFN GHFIDCELEIEKNKFQLRTQFLQMMNNHAENLKMDKTNYNSVHGLNDALNVSCALDIFKL VEDCIKIKEFMEIVNTRCFKTYAMTEQGKQGTYYKWKNTNKLLKKEGWQGIKTGITSNAG PCFSGYYKTDDFDAIVIVLQSSNMLQRFKDAEILIKLL >CAK80283 pep:novel supercontig:GCA_000165425.1:CT868363:96939:97815:-1 gene:GSPATT00015177001 transcript:CAK80283 MSKASHSPNDLIEFENHNRNSSFGKKLLSTVPNVPAFGFGTSTRYQASQVYKENKLMEKG KHSPGPIYNPIKNFSQQAARAPSARLGTSTRQSLNTNHYDHYKRKDIDFEPQKADHLRKW SAGTVRFGQEQRFLQKELTKTPGPNYDLTERAQGPKYTMGNRRTHSVSFSTPTTLGPGSY KPKKDFSTELQEPPKYSFTKAPKIPQQKNIDKNQTYYVQESVGAQVVSNKPNKPAFSFGK GMREAKLAMYKNDFVKIGVNVRIPHPKF >CAK80284 pep:novel supercontig:GCA_000165425.1:CT868363:97912:98843:1 gene:GSPATT00015178001 transcript:CAK80284 MNKRTQKASKSFIKTLKSILENDEYSSIIQCTPENDGFIILDQKSLENIILPQHYKIKSF DSFRRSLNIYGFQVKKRKDGKKLYYNKNNNFSNETTIKRAPQKLTQENLDLLDQTNLQLY FQLMELKQQQESLFQQTQKVIQLQQLISNQMKNLLIRYSKGAIYEMALVQKFPKFFLMFF QSLQNQTYKKHITKSIQLVTKQFHTAQNPEIKLPNTNNVCQLLSKHLQQFKFNLSFNIQL LFNNLDQPEEIEQSIHENESNFSNYFCLNQKQNNSQI >CAK80285 pep:novel supercontig:GCA_000165425.1:CT868363:98908:99804:-1 gene:GSPATT00015179001 transcript:CAK80285 MLKPVLFPIDAEVIDRGHYYELKDNVINQQQDKKQKQKLILKELLEFILNKQIQPSQREI IIKAYRRVLLENKKEQWLKLCNYSDSFLNQFKLDTKFMGSDDVTINQAFLKLNQIAKHFI PEYLEQQSKELTIDNEFIENNLKTVLSLLIEDLQNYQIYKNDVHHGLEAMKYYQQETYSA TLSLIQSLNNYIKYVLLYDADCQQMSIENSEIMLNTFQQTFLQWYEKSKARLNDKLNILK KQADQALLEKKKYELELEMLKEYEIKLKEDQNLQDVINQNRELRNQIQEIKQQLKKQH >CAK80286 pep:novel supercontig:GCA_000165425.1:CT868363:99859:101793:-1 gene:GSPATT00015180001 transcript:CAK80286 MDTEEECFSGDEIDQFNCPKHLLIYTNYCTTTQQLLCQECLIKCSLKVQNIISIEKALNN ISLQNKQQLQKVQKIIKNYNNLDDQIQKENTQIHKMSQVQIQSLNSVCSQIIEIVQMKFQ ELIEQVEVHKMKHQQTLQDSKCVIDEYKGRGIQLEKNIVNQQNTSGYEQLKKFREYYTIN CKTIKELENEYENLSQAPKIQYQQLQIRNASDIVKSISNILCTLERDSDYRIKRVSSKLF QQPNTTRASPFSKKGFTQHSSPHFSLQSSKQQMRKTEVKQITLDIPTDLSSILDKASPEQ SIINDFFSNKQSLSEHSISQMEIPIQNQTQFYIIGGLLKGQRQNLIELVDIQSKQSTQHD FLVSNRYGFQIAYHGNQILLIGGKQEGIRTALCESYDPNLKQSKISQLILTKGVSGLASK QIGNKLYISGGKTDQGIQDIFQLINLDTYQTKNLQSIPIKCYNHTLVQGNEPNSMYLFDE QGCFKYDMATNLWKRIKSPNYPRRSHISLTLPDGIWIFGGRSGKKYIKQIEKYDETDQQF YQMGELICPTVKSDAIVSDDYQFIYLVGGKTQENIPSSNIWRYSILTNQCVLYSQFTKPR YSHKLIPKKV >CAK80287 pep:novel supercontig:GCA_000165425.1:CT868363:101895:108557:-1 gene:GSPATT00015181001 transcript:CAK80287 MRPYRKSDLERIVDQINLRGFQRGNYLVQGKREQKTQNNYITSLKEIQLTQNQNESYCEG IDEEVNINRSVLNFELIDAIELESRIGRKQEQSKVYRSFEGLINDEQNTSLYHRYNQKSQ ENIRDHQTEYVKSQGQQEILNEYHVRSRRNVYEDNYNLRLINSQGIEAILNNFHNYFDSY PLLKLRKYIVLLLELLSQICEMIISSRVYRYVMLFIITTNIILLITKYYGNFYEQYQEFF DTQQEVFFIIYVLEICIRVSGHNIVNFFCQFWNIFDLINVVILGLVTYGLISFDFSPLRA IRVLIFLSQLSSQLQLTFKALIQSLRYMLEALLIVLIFCVLFANIGLHQFATAFYNKCVY LEEGLILSDQYCGWLDCPIYSTCINVPIIFAPDPITNFNNFINSFISIIRIITMDNWTDL MYPTLYTQSPLTWIYFVFVIFIVGFFAFNLIIAILKTYYSHIVANYSQDQEDVRKEQDDQ IEINLRFIKDLGLYQKLKVLQRAKLHTQQQSQDSSQSQIINNYTMKQPFLHQQGGNSSIL SPQISARIVAKSTAKKIQRFAKSQIEKTQKKKQYAHVLSARQRREMEELNDEKKTNFIGK IKSSCKLRYIILPKRSLILEQTFQFQNSNEEEMDILHQLQTHDVLGWKVSVVYKDYVSNS QSEVLGQKQEYLLKEKKLVTELQKIRSKKIHQFYFDDEILKQYQNTCNKMIRSSILPINK TKRKIQVQSQTFEELRNDEIEILSPKRQSSKSSSVERKFRSQRKTMLTIKKQRSDFFFEF PEYQEGEPFIFLNGVKRNYESVSILINRTLENEQEIPDTEDLNSLFFQYLKYRRLEIKNN VIFKQNISLLDVIKNKKLSLLSIFRSLNSKEIHIWMIGFTGLWKSFQLKIKMWLNNSFIN LVFDFSNLLSFCVLCSIGFLDDELIEQINLYMTFQLIVQISLKLISQGIILFGQEGENIL DSMVIITQIIYIIIISTEIPQKDNYASEIKALRATNAILLYRIIKYNQFVTRIMRIAKIT FKKYLSLMFLMLSIILIFSILGMQFFIENFQENESLSQLHSFKSFAKSWMTIFNISTNDD AVGMLKVVIKFQQPWIGILFYFITVFTINYLIYGLVMAVLLDAFSSELERVVEKQQDQSL ILARKVGIQENIQFDSQNNNITQNEEEQYLIFVIFRVKEQSTQPTKSHSSKHHAKINIRD LPETSQKLFIKKRKRYQLIYYEDTKCADSCFIFSYNNRFRKICYRISKSLPFKLLNDVTI IISVVFQILSDFQLSNNQQLFDSSLNQYFEVILLIQNIVILAIFIVEIIAKGFILNEGTI LRDFWKLVNMTYTTAYFLSFISSAPILKQAHHLIFCRPLMMVNMFGSVQGVKNAMVKSLI QIINIVAVILFVFMVFNVFAMHLYQKKMGYCEDLMNFHISYSECQKQNKQWINHPYNFDN FVNGLFTLTMISSLDAWGEIMQVCYNASNSSTGPSPFKIQWATYLLFVTFIFIGAMFFMG FLTGVLFTEFQKFTKKIENKNLTQDQSQFIQICSIVLNQKPGYSHAPHSTIRKLAYKLVF SKYYKYLYNCILVTNSIALCFLFDIHSQYTRNLANQLYQLHAIIFTFDCIIKIMAYGVTR YMSSRWRLFELIITVISIADFMYDLKKRWFHLFTNGSQDQFIIYIAKVFLICRDIKILLI FQEFKGLQRLMRVLFFTSSLLVKILYIQLTIQATYALVGTRLFDTYIHVQGRAIDEYYLN FRNFINAALVLFKCTTGDDWRALIIDCSRSNMYCRDDVQQCGSGWAYSYFLSYYLLSNIV VMNLFVLALVDQFESFFSTTTNVIQTFVENIDHFCNCWCRYTYDTKGLKMHTRYIGRFLL DLNQPLGAQKGDNIWDAAKDAFNFRIRADKRSYVNFNELLYETLRFAFLDKVFKDGTQAG KAEMKLFDMAMKRKLNEKMKERQKSGQTQGDIKLFDSIDGSFDFKYSYQNFNILQEYLHL LIIFKTWKSYTINIFEKSKRGLQNYTEQSSDERYEYISQFSVHTKDGLQGLNEEKLYASQ AIDEQNKEEIMQNFKESNKYRLTNYNSQNSSTLQGVVYEPAGTNPTSIIRMQSTSLDPQG VSRSILKKKCQTLTLNDVLLYQNKQKQNENKLN >CAK80288 pep:novel supercontig:GCA_000165425.1:CT868363:108940:109277:1 gene:GSPATT00015182001 transcript:CAK80288 MRLLQIELGLLLRKTTVQQANPYLRYRIVADELNDYCQTETFMSYSSKYLILCNSTETRK DYKFTVNENLYSQIIELFNYIKTVPKIANYVSISPLISKLLKQS >CAK80289 pep:novel supercontig:GCA_000165425.1:CT868363:110024:110711:-1 gene:GSPATT00015183001 transcript:CAK80289 MPLIIEHNRYPISCLNQEVQKNLTKIQNSLKKHNQFQIFVKVLLEITVESNFADQGALRD IIVAFNNLRVELVDSLNQITADEAQAVTDFNAQVVQLNQEHAEFQRAVVVKNAEIEANAT KIEQTLDLIDELDADLATLNGQLQAENDDFAFATDVYNSTVAEYNKEINAANQALELLNQ PRFQDYVKSQLKGA >CAK80290 pep:novel supercontig:GCA_000165425.1:CT868363:110712:111292:-1 gene:GSPATT00015184001 transcript:CAK80290 MLKLTLCVLLVLGATAINVQSSIWTSRDQKALAQIHSSGWGKFILNYAELHMSTGGILSE LNSEIEKLVGELEEELAGVHHEYNRRTDVHNREVARLEQEIQDKERELFNAHDFYDNVLI PQRDRFAAQLEQLQENIAQNRRTLQESTVQRENDHETFEAQVRRTQRCYWCHR >CAK80291 pep:novel supercontig:GCA_000165425.1:CT868363:111395:111673:-1 gene:GSPATT00015185001 transcript:CAK80291 MIIAHQAILRCLYAYFHQNEIPEVPTLDIPLHCVIKLTPAAYFCDEKRVLINPQTGEISI KEEYVQEFVRSKSKQKSFIDL >CAK80292 pep:novel supercontig:GCA_000165425.1:CT868363:111693:112851:-1 gene:GSPATT00015186001 transcript:CAK80292 MQGCNRSQKIAICTVGLPARGKTYISRKLNRYFNWLGYKSRVFINGNYRREICGTECNSK FFDPQNEEAQKARNDCAKMALQDLIQFLKNGGDVGILDGTNITRERRHQIESDLKSSFNG IQTLWIESICNDSKVIQNNIRLTKINNPDYCNVNSDEATIDFLNRIKMYEQIYETITDDE DLSYIKTMNVGTDIIVHQVYGFLLSKIVSFIMNLHTYPRPIYLSRHGESQYNVDDRVGGD SDLSELGEMYADKLADFFFQEFPIKEVKNEIIFLTSTMRRAVHTSDVVASKLEIQPLQLK TLDEINVGICDGMTYTEIGQKFPSDFQERKINKLGYRYPRGESYLDLISRIEPVIFEN >CAK80293 pep:novel supercontig:GCA_000165425.1:CT868363:112894:113334:1 gene:GSPATT00015187001 transcript:CAK80293 MFKWFNKDKPQPPTKLNKPYPQLSREQAQEILANFSYRTMADLIYKDDSHPDLNVEYEQK KQIQLKTECHMDEQGNLYFNQEEQLSWVDEDSHYEEDELDKQIYEQMYEEMQEELQQRGQ REHFRPITGPENNDNDEWETDSDEQN >CAK80294 pep:novel supercontig:GCA_000165425.1:CT868363:113360:114060:-1 gene:GSPATT00015188001 transcript:CAK80294 MFPNPIPQSKQRGISQPSRGQPVSVTFKRRRPDKQRERTNYFFKKYLALQRNRETVQQLQ LKQHQIIKPERIFNRRQWMKTKSYSLESISKHRITRCRSCNQNHYLSKEQIPLQVFNNSV QYLPSKKTQELYQSIIEQDGLVYKIKIMIDGQLFKIMATTEEQSYTRVLEMMKSDGILLL KNVFQNDYQKLVGSLQISNKITIKGIELIETHGNFG >CAK80295 pep:novel supercontig:GCA_000165425.1:CT868363:114072:116044:1 gene:GSPATT00015189001 transcript:CAK80295 MNLQAIKEAALQLKDKLTKPPLTDILIEATSNENWNTPTKLLQEISEASFIFTQCDTIMK FIWKRLDSDNREWRRILKTLNLIEYLTKNGAPRCVGEFRDSIFKIRSFSDFILIDQGSDK GLAIRDKSKQLVDLLCDEKQIEEERENAKRIRERLAGNILNQILAAGGIGAIGSNTNYQG YGKDTYKNDSYKGYGNESYKNEATRNNTNEQNTTTTTKSDQQTYQSKYGIWDNSGLEKYK KAETKNNNNNNNSNNNNNNDAIQWDVPKPEVIQPTFKETIQKLAKQNEKWDVPASRPTQQ QPQQVQQAKPQNTQPQQQQTQKQNVIDIFDFEPQQNQYPQVQQIQPLQPPPSQKQNNNDW GSFDNVKPQTSTQQQTQQQVILPLDAFNQQAQQQQIQQQQIQQQQQQQQQQQQQIQLQQQ QQILQQQQMQRQQNNFFGQDLQQNQQQQLQQLYQQQQFSQPQQYYQQQHQNQQSNLNLYQ QNYQQPLQVNYNQQQYNSMNHQQQQQFQVNQQQSNYNQQNVQQTKQDDLVFGDFISAQQQ KQPTNNQTDLLGMLDLKKEKEELESKKQIPLGNQQIQQNQIYPDIDNFAYNYQKKQQTFS NYQYPQGR >CAK80296 pep:novel supercontig:GCA_000165425.1:CT868363:116208:116700:-1 gene:GSPATT00015190001 transcript:CAK80296 MDLDLKVPLVIRYEDCYFKEFTPDQNLEGQFNQDWRMHQEWAVLRCKLNAPPKQAFSDNE EGWAIYNGELRHNSNSTGNKYGKQYKKGDIIGVMLNMIDGQLSFSINGENQGIAFECNEL KEVPLYAAIAPIYKEDGIELLMAVRED >CAK80297 pep:novel supercontig:GCA_000165425.1:CT868363:116853:117602:1 gene:GSPATT00015191001 transcript:CAK80297 MQKPYIVVVGVSLFFITLFLINAIHQYDEIPQQTQEVQYIKATDLLAVQVPLPWEIKQPD EEHLKILDQNETDIIEEKKFTNGITREELGRAGWTLLHMISATLPVDFDEEFTFKINVFL NLFGQFFPCKECAGHFLNMTTNLPYEGTTRVDFMQYLCMLHNEVNERLHKPSFNCSDIHQ RWGGDCGCKSALEESIKKYSKGQN >CAK80298 pep:novel supercontig:GCA_000165425.1:CT868363:118617:119798:1 gene:GSPATT00015192001 transcript:CAK80298 MKTFVVICLVAAVFALDTNKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWERLGAVRTDVEDQVRDGYQWLAWAEARLAEIVRRN NQLQDQRCWANGLFVKSLADHADAIGVVTLLTQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDAIEKFQSLAEVKRDGTTGEQVLQILQDLQVELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLTSEQERRTGLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETVRRAEENAIIDVVIQLFKDQVRSLASQTSLGRK >CAK80299 pep:novel supercontig:GCA_000165425.1:CT868363:120644:121399:1 gene:GSPATT00015193001 transcript:CAK80299 MLTQSILSVESSKVYKPKTSQIGLLSTAISKLGDSNYTTKTRESTNMSPVRMRLFRDSSP KQSKGLQGVVVGEYHALTPAHVVFSSIHKSTSPIRSNRNYNESPQRAKVRLDRFKNWDSK LGLELQIQNTKFTRLNNELSFSSYQPHHLASKSSVFLGNNQSRLGFGGHQSDIRLLSAKL NAIPPTQIGAFTVGHNHELADLQQSLTRILKQSRQY >CAK80300 pep:novel supercontig:GCA_000165425.1:CT868363:121495:121856:1 gene:GSPATT00015194001 transcript:CAK80300 MIYFYDNDGDKIVIIDDKDVEYACQQGNRKFRNRIKFYIYYKLKQPRINNMQNQIWDHKE LTISDGSEQIQKSNNSIINIPSFPLVDSREDKLKQMIENYIDTLLLEQYEI >CAK80301 pep:novel supercontig:GCA_000165425.1:CT868363:121876:122529:-1 gene:GSPATT00015195001 transcript:CAK80301 MLECERQELGLLVFIKLFNYQLKSCKYSKNNLIFLMSAPFYTVKDVPAQDFIRGYAEYLK KNNKIKIPEWASIVKTGLGKEISPIDQDWMYVRAAALARKIYVRGHWGVGNLTHMFGSVN DNGKHESGSGKVIRYLLQQLETIKVLKRDNKSLLKKGSRIVTKEGQQDLNRIATQVALAA RK >CAK80302 pep:novel supercontig:GCA_000165425.1:CT868363:123114:124360:1 gene:GSPATT00015196001 transcript:CAK80302 MQKLAILTLVLALAASKFVDTHASLAQIDSNPFGNVVLSAIKAHLQAQTPANEVNMLLNG VAAGLQQDQNDHDHAFEVDTTTNNRVVEDLEKEILYHQNQISSNTQLRDDTIEALAVSEE DIRVTISDIATNEQTYAREEATRNQQHETFVAKVAAIDDVIEAIDDAAKLIQHLSLGASF VQVKSKYETVHKRLTDNTSHSQLLQPVVVALTELATHGVNQKALTKIAQLLSEIRQQLVS EKATKTDVEDRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHTL ELENSEERLAGQQAWYAVQSEIYETQTAERAAQNEIVDRLQEHISEKLSTTAQFISKRN >CAK80303 pep:novel supercontig:GCA_000165425.1:CT868363:124876:125097:-1 gene:GSPATT00015197001 transcript:CAK80303 MTSKNHSQAFSQAYSEESDDKVTNLTNMEVKWLNLLKRISRDDLELIRKQLEKQRKSLLE IQKKSEHQNIEEK >CAK80304 pep:novel supercontig:GCA_000165425.1:CT868363:126416:127568:1 gene:GSPATT00015198001 transcript:CAK80304 MNWLIILGLIYLCQAEHFLYESETGVTIQNIVNKDIDINIKFKKQFQRTPKIAYSIGLLD MSGSSFMSEILNVNQEGFTIRVRGDHIDQISYNWMATDNPLVHIEYVKSQESEIQLPLFN YDSDTTPLVNSYLVGATFEDKKQFNQDIVELNKDIVKIATKGAKEFKLCLLISNFENSFK TDDLGIIADADDHTWFQSSKDAQKVLVSSYEIPNDIVDFVQLMGISGFTSLSDHVRIEVN EEQENDDNHIKVEFGTWDESTIKSLSFYGFYIGNQEVKYYDQNCAYLYSDCDYQGNEVKI CDNKAKLDYNGQIKSIRLPKDAVFTLYGKEEFEGKRFRIESSKSCMDALYLGK >CAK80305 pep:novel supercontig:GCA_000165425.1:CT868363:127659:128309:1 gene:GSPATT00015199001 transcript:CAK80305 MDEFFDDPAGTIETYVIPEVAAEPLMFNDAARQPFEMPIAPNAGVTLVSAEQQKRRDKLR ELEDERLKQIREKDQEERIQKQQRKEKAQQYLLQFQTQLKSDIQARKDANKQVQELRSQN KSEYKNSWDKIASNIALKDGEYPGEKDVNKMRQAILNKRIDLTK >CAK80306 pep:novel supercontig:GCA_000165425.1:CT868363:128790:129908:1 gene:GSPATT00015200001 transcript:CAK80306 MKLILIALLGLTLTQAVFVKRSNDPSKAVFTQLEAMEEHELGRKLLDTIALQLNNKAPLG DIAKMLQQLRENLILNQQEADQKHAQDEVDCETEIYQYNRRIDFASNEITESTQEIQTLS AKVEQLTQDVENKQVQLDILNEQETQIREQRAEDAESFTRFENETENVIEAVEVIIQKLS SIQPDQEVLAALTQLNKIGASNPILALMQVASTFSAEQLNNVLGKLGEVSNQLAEALEDA RQTEIQAQLDFEALVVEIASQRESLSAAREDSERQLQDNQQALDLQKKRKEDATDELNAA SSGKEQKEAECDSWRTQYAEDSEHRQLEISIIRQVEEILATKLSNVKVYLQERSSA >CAK80307 pep:novel supercontig:GCA_000165425.1:CT868363:130492:132016:-1 gene:GSPATT00015201001 transcript:CAK80307 MQVQRLEHSKLAIIGNVDSGKSTLVGVLTKGILDDGRGGARERVFNYKHEKENGRTSSVA QEIMGFDENLKQVLPERFNQNKNKYWSLVVEKSRKIVTFLDLCGHEKYLKTTIFGLVAMI PDYSLIIVGANMGVSKMTREHLGVSLFLKIPFAIVLTKIDIAPQNVYNETIENIKGLIRS PAIKRTAVVFDEKSEMEEIDKWAALMHGNNVVPIFQVSSVSGNGLQQLTRFISRVPNRDE INKAYQTVNDPFQFDIQENFNVPGVGVVVSGIVRSGKAGLNQHALLGPDKGKTFKPVTIK SIHINRVSVESAQVGEFACFALKPSKAGDKLDRADFRKGMILIDPAVKPEPVIEFEANIH VLHHPTTMSHGYQAVMHCGVIRQAVEMKKIFQHEVLRTGDVDTVRLRFLYAAEYLKTDQI LVIREGRTKIFGYISKLITEKQLEEEKKVQVIPQQQQQQQQQIQQG >CAK80308 pep:novel supercontig:GCA_000165425.1:CT868363:132131:133110:-1 gene:GSPATT00015202001 transcript:CAK80308 MKNIVIQFYDKNEQFETFCDSKTTSMTLASFIQDASYYDQFNMSEIFYFKIKDTDYYFAQ RNTPIYKYISNIDNPTLIFYRGLNGQQNLKSCKKIEDSKNQADNINFQQQKDILKGYGLY NTFYQNQPEQTSQAKSQQSKQGKIIISEIPTNDNSNQSVNQCKNKNSQSVRLNELSLNAA NSAYREAQNSIQLQRVEEKSNSLFVNSIQQYSGKVNQEINVYDQHLRKTFIKESQMPSNQ QKYIQSSNQQKQSPQKFGLLQKQLQDKKKSQKKDKWLISVDASGEQLQSLVNFLKTNNIQ YTCDKQYS >CAK80309 pep:novel supercontig:GCA_000165425.1:CT868363:133129:134734:-1 gene:GSPATT00015203001 transcript:CAK80309 MFKKNKFILYKCTSLIKQQPPNEILQQKKYIQKQYLKHPKPFKIFPGNLEDLYFKFHCYG SSMTFDDKMDILQSMVNQNREFKYLSFYGVQSLISSLTKELKSLNYTDTDEYNISQFINI LHQIKYYDQQSWIAAMDNYHKQGVLNWYQYTEFTHAVYDFSGFLHEIWHLNIVKDISQEA FCDFIGFVENKRNLDLCEKELNRTYQHLRKFKVFATKNQMLVIMQYFMHALKQPPVSLET YQFIFFFCMDHLTIQELDQANRALFLKLVQEFHKELNQFQDINKLISSFDDYILLINMML KYHDEIDEPFRDQFANRILNLYLKGELENVSYDSLKNLNILSEKIQNQKCFKLGHEIIVM INELSLVNLKNIKQKKQDLLSIQQIFEKLEEKDQILSVLAKSNLNLEDVNFNHEDLIVFL KQLDQSDLVYYLTEFLESTLTYVAKNINDFQPDDWCFTFYLLYKYVIKDHEEASKFDSDL NILKQYIKLRYMTSYRQTIPTNSSYYKILSVVEIEVFFADDLLNLY >CAK80310 pep:novel supercontig:GCA_000165425.1:CT868363:134753:136406:1 gene:GSPATT00015204001 transcript:CAK80310 MPKYIDVLTIEQALIKAGNGHQFQRRALIIIGLQYMIAGMLHIAMTELFFHQQQYKCLSE DLNYMNCTEGAFCEQYKTRENKVSLVDHSDLGSLIKYFLMVCGDKIQIFILVTSYYIGGS MGSLYYGEQMEIRQGRYTVMIETLLMMGFVCCISMLSPSAYILSVALFAINFFSRGFFNS SMILFFEISSENLQKFGPALLLTCYGIGEVISPRLIEMFNLNWQYSMLLLFGLPAVLFSV LIKFMQESPRILVIRRKFEEARLAINYIASVNEREMPENWILEDEVRIQELKDKMKNLLL QDEIHEQAKGYNFSSIFRYKSIRIRMFCLLYLYSIVVLGQFQTAKEIERFSRLERHHTYL LLSLVSTAGYLISGYASLNYLRKEVIKAILILGAIFHFLIAALPLLILNKTANDLITFYD KLVYTFIMMVLVMCRLTLSFAYGFINVYALEIFPTSLRHYGFCGLTFLTEFLFIFQDSYV IFCHAFGLNSSIGMFALLLLGLLTLQKLRETQDLPLKENVDEMQDELINNIFSA >CAK80311 pep:novel supercontig:GCA_000165425.1:CT868363:136673:137413:-1 gene:GSPATT00015205001 transcript:CAK80311 MDQSDFQKDLIESEEAFIEQFDRNSANFHHGNPTAVPVGGQRVPESMPTMYPEQDLQNYF NPQEQDFGPEYKQLMQYKEVLDLLKKSLNKISAHHEALLRNQENLKKSENQVQIQKFQGL IDGEKATLKNTIQQLEGHTQFVLQQERFKNKYNELLQILSLAGKSYNSKEELFEFGTLIK NMTSLIFKDNQKLTEDIKLIKKQKK >CAK80312 pep:novel supercontig:GCA_000165425.1:CT868363:137845:138330:-1 gene:GSPATT00015206001 transcript:CAK80312 MNFKNAYDYLLNFQSQTSRNTSRIQSSEDSQFLSGQKSCQKNSEQKYRVDNSKQSSRNLF ESKSEVKINLGENPFDLASSINYQNLQQSKQNYINQQGLQLLQESNRMDDQYEIKIRTNG PVKEDIMSFLQLLGQVEIRKIENTQDRDFNYRQTKSFHQQH >CAK80313 pep:novel supercontig:GCA_000165425.1:CT868363:138515:140510:-1 gene:GSPATT00015207001 transcript:CAK80313 MIKYLIISTLVLSCISSDLDLNTFSNYLEVRINHLHLEWLLDLDNKLVNATAEYQIKVLR NVDHIDLDIYLLDVFNVYLLNGNPLEFQIQVIRNQTLVQGDKLVIKLDRQYKALENLIIR IKYAYTDKARAAGFLTKEQTQSKKVPYMFSQCEAIKCRSLMPLQDTPSVKFTYSSTVLSK DPLIKVFMTGHQVDSLQLIGQYEETRLYQYSFKLDIPIPAYLIGIVAGEVEQRNVGGNCY VISEPHFLEGYAKELEELPFFLEKMSEYIGPYIWGEYKIVVLPQSCPFGGMEHPLLTFAS PMMIVGDKSGVGIAIHEIAHSWMGNTVTGNNWSNLWIMESLCVFLERKTYQFVRPKDYDV IEAINGNIDMIEDIEGFVDPEKITYKSLHPNTAWKNPNDSFSLVPYEKGYQLLYYLEQLI GEENFKFFLRSYLDYFKFQSIDEDDFYKYLLRWVRQNIQDNQQAIINEIILIYKPWVYDL GLPPRQFSQTTPKYQQAISLANAWIQNNEQPQNANEYNDYMPNQQTIFMQQIMSNWEKLT NSKIKALDDFYKFSGAKQGVQIGFKWYRTIVLCKYEDSFWAVRQFLQSIGVRSYIVGIYE VLIQNYLNLAKQWFEEDKYLYHPLVSNKVQDMLNKAGIFE >CAK80314 pep:novel supercontig:GCA_000165425.1:CT868363:140930:142080:1 gene:GSPATT00015208001 transcript:CAK80314 MNLGFSQKSDSMSITLKKVLDEFTQLNETTKEHYAVILIKVMINLITNSKEMTMQGLTHE IKTAGEYLINNAQAGHGRSELVLRSTQTIYQHYISKGLQHSQADGMEALKSSLLEISQEL IDIIIRSKDNIKTQCLKFFNNRFKVLVVGYSNVVIYSLIEAFKAGIVMQIYIPESRPKSE GIETYRQLTEIGYPCKLIFDSAIGQVMENIDMVLTGAEAVVENGGIINRVGTYTTAICAK FQKKPFYVLAESFKFTRLFPLSQKDLSDSIRYSQEEPLFSDKLKLPENLDLINPLCDYTP PDLITLLFTDLGVFTPSAVSEELIQIFNT >CAK80315 pep:novel supercontig:GCA_000165425.1:CT868363:142171:146757:-1 gene:GSPATT00015209001 transcript:CAK80315 MFIKQLQSKIQIRSVSNVSGHSIQRFSGIATPQSNTINAIWDINQIGQELDCHDDPILNE ALQLINNHQINQAILILNQMVFDGKKYLGQQSQVQLVMKVTLLVVSSAMSILENDPSSAL LLLLGCDQMFKGLTPKQQSYLRVQILNGLGCYYRRVGQLEKALNELECALALIKKYGLKE VAVTHLNLSVVLSLVEEHEGALENAKKAVTESHKEYQYYIKNHVSMQAFKFQRCVSSLAI SFYNVGVQEQYFQRYQFALQAYASAFKVAEDNLGIHHYLTLQLKNIYEEFARQLAIAEGQ KIAITSLNHKFQIRANVTSQYAQSVLRSKPSDTVKNVTERLFQSESKMSGHTLRPQSAIM NQTQVPKLKSFDFTRRDAPTFRKQLSATNRTLNQYSEENAKFEVEKLEQTKSLQQCIQNL QTQAQKEQEELKRIQDQKQRELQKLEAFRYINQQIQQQKQQKNSNQTSREMKSSTEQMKQ LFNTDPQIQEALNESEPQPLVTIQEQNRVIKTEEENQLSHQINTNDQNLKQEINKEEKRM SFTTTLEQKGFQDETIQSSTRKLEFEQDSSIKNNYFQQNMSCKQIEDLEEITEEINEVNQ QQQQQNQQNVENQVEEIIQEKVKHLEEDQKLQAVQSLQRCWKNSVRKCNERIQKLQSKYS MVTEQQYSKLIDEKNNLHNGILFLGFYQSDINYIDIVFIDFYTLRKSQRKCSNLNEKLQH ILILTLQSTIPMTKDTLDNIETRLSQFTQINQQQLIIQSNLLDIEIKIIDKHNSLRFDVN QLKIYEFFIKFEESISHLQLPTSIADIQSFNTDSIVVQKSAQVNQELNQQPFQEIPLIEE QVVEEVNFEKQPSEGNTKQEQQSAVEEIQYSEGQQESKNGSDDVDKVNKTGQFNINVLSS QKLQSQQDLDKAVEEIEEIETIEDQKQQQIQTKENNQNLDFTLQYNESSMNNSVQDTLKT NEADNYQHQQGDNSVKNKDKDKPSVNQTIIEEDEDDQKEEQKKSESSAPNSNSDFQVESK QNQDQLHQQQNNQTFSDVKSQSNFLQVPFKEHKNYSKQTSLQLPDLMKENIDSDQEISLT KPYLYEFVGAISGINKTNGALILINILINKEQQLFQAKAEVDDIKVKPAYFKVENVEKTL KKPQKYLKGYLVQWKNEIVLKVYTKAHEKAVLKLQKKFKFDHYRRLINFKLAKTNIDGGL YVGSRKQVIYLAIETKSTQQRNSYTFRNENYSQFVNKISFRVLNNLKYSDDKGIYLLQEP QHKIIAQNLQAKIFKLLKPNNAFEFVGFGLLNGIYDSVHKKVIIASDNRKTQPAQVDVPK SIQGDQIVSFSQNLLNRVLIQGYEQSFIIQSNNENCVIYYEEKDENYVKYIQNAIFQFQT IRTLSIDYYQAYQGQIRKQEIKVELQKKIKRIFCIKLQEKMYMIGKLKDNEIQNLKQNFK KIVSTFLTNENNQIEIDINSLKEFLNQQGQFVKLLRMDLKDFVYQI >CAK80316 pep:novel supercontig:GCA_000165425.1:CT868363:147190:148776:-1 gene:GSPATT00015210001 transcript:CAK80316 MGCAAAKPEKPHSKQNSPCSHSKEAIPSISLMQETEKIDSDYIFHTLDPLITRRNYIKKA VSKSNNASRIVKVYNCLNLTTTEKQEFEKIVNQLLQIKHLNLVSQSSYYYNDNKLYLVSD FCDGGNLLSRLDNFSQLQQHNMFDVFCQIMAGVQFLHNKGIAHGNIQLESIVFTDKNMQN IKLIDYGIPSSFKSTCLQWRPNGGIQEISFKSPEALKQSNLASFKSDIWSCGCLLYFFLT SHMPFQSRDVQALKTAIQRGIVNFEGSEWTNINPDMKQLVSKMLSTNPQVRPTAAEVINH PIFLNRAKIMTKPNKQLSKQMKDFKQQSQMQNAMLNYIAENMLQEQDKKKLMEEFQKFDL NKDGQLTKQELLTVYTTMYSSEQANQEVEAIFSKIDQNGSGRIDYQEFVLATIDQKKYFN REKLLILFQQIDRDHSGQLSKLEVKKLLRDMAVPKEKLDQLSKQLDQDGDGQITQEEFLQ IMLSIA >CAK80317 pep:novel supercontig:GCA_000165425.1:CT868363:149373:152655:1 gene:GSPATT00015211001 transcript:CAK80317 MATLYWNYKQVLPYCTRRMMKGPFYILQYLEMKEGQNIKVVARLRPLNALEMQQGGECCV SYGEKQITVTVGSNDKQDFAFDRIFGPDSEQADVFDEVGRPILDSVMNGYNGTIFAYGQT SSGKTFTMEGPDNPNERTKGLIPRVMTELFDVVHSKSEELIYIVKVSFLEIYNEKIMDLL DTNKTNLKIKEDRLRGIFVQNLTEIKVESPEEMKQVMMTGSNNRTIAATRMNERSSRSHS LFQIQVSEKNLKTDSSKLSKLYFVDLAGSEKISKTNVSGQQLEEAKNINKSLTCLGMVIN ALTSDKKEHIPYRDSKLTRILSESLGGNAKTTLVVACSMCSYNDKETISTLRFGARAKAI KNKPTINAEKSAKELQALLDIAEQKILEQDEIINKLMEKVENGTSVSMDKGNSNSNLGPQ QNAAQSKQHSSLQLLKEHKLVVNLQEELEFQKTEMAALQINYQSRIDELQEKLLKQKFNE EHTKQQLSECLKNNQKFIFENEQLKTQILENDQKLFDFRRALSSTKQDLDFFLANLNLKN QFNQCPSDSESTKDIFMTEQYDKEIEERTFQSIVNFSETLTKLDTILLKDFTQEQVITQI INPQFKKKNVSFQMDSQPPSPKSNKLLDIDNYSFQTDEDELNIKFDENEQTLIKSTQENE EISDVEKLLAMLGDKMEDSHVLLQIQEVFSKLRRQLQVEQEKVQEIYQIMVTIKDQFSMY QIKEREKMQKLKLSYNQKKSEMSQSKHSLQKQLEDLTVEFQKYKHETEKQQQFLTQINQQ LIIISFLFFILQQQESSAFNPLSREYQLECTVKQLMQERNDMHNSLLQTRQQLENAIKLK NSQAEEIIKLQKKIDTIELQLQHKKLQEQDLIRRQFQRRFSRDTKKTASETQGWSFDQII KDDHARNIARLETVLGYSCLETIQTGACVGKADLIINEFQKIYNLGSEQQGNQQEYDDLS PVIGTRTIISKEVGECKGQNPEIFEQYPSQPDQISIALDVIMSVNKHRNFTNNLSMTLER PPLEEFQESYYPE >CAK80318 pep:novel supercontig:GCA_000165425.1:CT868363:152756:153627:-1 gene:GSPATT00015212001 transcript:CAK80318 MNFKKETLELLGYENIQCSIPKTLLDNFTAFFTAQQQNPLTNESPENFENGLIFMSAISQ SNTPQVSAQQLRSVQSKSSKGKIRTFFPQKWPVQKANMSPFSFSKYSHFQSLTSRYWYYL DNSNNVQGPFSCVEMDNWYRKNLLNPDLLISYKSHDLTSFVKIKDILNDSENPKCDKMLR QLYKRASSAKRIKNKNSSTSPMSKASTEISSSNNNSCQKSRQPIWGVDTDYFLGF >CAK80319 pep:novel supercontig:GCA_000165425.1:CT868363:153839:154679:1 gene:GSPATT00015213001 transcript:CAK80319 MLEVSSAEIIFDLKNDTYIPYNLSLKNVTSYYLAYKIKSTKPELFSVKQSRGLISPQQSE IVEFSTTDRAKVHYKYEYRKNLDPKHIVNQKFQIYAINVQEKKSIAEIDNLFQTQTCFSI RLFTSIMKEEFGKKVQILGNNLASSHASVLSNSRSESQATMQSMIQPTPLTNQVQCVQKL KQIELELAEEKRKREQLYNTIEIQKLKKGSSFIKFILPIILGILLSNVYQMSLKYYE >CAK80320 pep:novel supercontig:GCA_000165425.1:CT868363:154764:155472:-1 gene:GSPATT00015214001 transcript:CAK80320 MQDEEKILEQLKELDKAQDKNPVTLASLKNLKSAIYNRDVDLQTVVKLRTLNETTLKSEN IKIYFCSLCGKKAIGANIGLDTLPTRRSDNSIAINLKQIFIRLFLKQEGIKYIKRSNCVE KQYRWCCEECGVHVAYQCISYEEGAELIQGNSDIQLSNKPYLYVLNDAIVLNQQFSKLHS EIAKLKDQMEYEQLK >CAK80321 pep:novel supercontig:GCA_000165425.1:CT868363:155533:156501:1 gene:GSPATT00015215001 transcript:CAK80321 MFKSATATKKHDESDYSNRNVSPSQNQDRINELNRVLQGLSTNVKDDKSRRDLYFQKIKI LEERIQKGEINDNQKFRLLYEQYEKLEETLYSERDQRQLICEKSLKSLTLIEKNFSLSLN EEYSSRKVNEQEIFAEIDDKIQAMKGELKKDNVQRTEYEEKQIENIEKELEELRYYFEQE KQIRDQNAEKLIRGFGEEILRLQEQLSEEKRISEESYETTIRLLQDLDQSIQNDLEQEQF LREKQEKSLLKLLESTCQKIEQSLLS >CAK80322 pep:novel supercontig:GCA_000165425.1:CT868363:156501:159023:1 gene:GSPATT00015216001 transcript:CAK80322 MGNQINVKAKFVNLLKQEDENALAEELKSILLLDISQEDYLNAFYPSDIRDILKQSPKRI SGIIHYLHHFLCDSQTDLASHVQYRQWKNSLRILTSIIPVLYEEQFKEQMKEMLWNTKIP KPNEEIQERDPPLIISLLQRLFQMCFHFGFTIDSLEYNESVVKNDTLLQQFAQYYQNVWN SYKLQVGYIWKGFNPQCKYPNDIAKYFENRYLVLSCIFALVSSTLFSSQLFFEQEIQLLN EDNKQENQEKVNQPPEQEIDEQFQEQQIQEQQNQNNNHNNQPKTQKFLVQTPNAALFILQ KVQFFPELFVSIIGFSIFPTERIKQFLRGLVNLPNQIEDNLQNVCLKLLTLIIGGQGFVQ KEVLPLEKEFEEMIRAKEHFQLFNIPFPQFINLPQQIQEGIIVQLTQKFYSYYKSQQQFI RGTFEKHLSNFESCFIQLSYLSAKSIHNVPNIMVVFLLSYFNQNKYIKKVSLRILKLLSA QPQLNKQLCASQEFTLTFTDAPIIIGSWGDLLITALCDTIQKDFYSIKESKLLEISQIIF NVSAEIGNLNRESCEMICNLIKKLANYDFILKSPNVLISVQNLIGAVSQIVYFFPDDNFN LICNVIKIKDSIKFIHQLQITQKKLQFWWGKYAPHNPIVNQSFIKSQQFQNDVHDIRESQ VRSITQQQQATTQKKQSKQFQQEEIQSLQQLEQIQSDRTQRPSAEQTKYFELWKNFNQDQ LKQFVPLASLAKLLEKLFELCVDPTDEALLKGVCESHDLRSLMIKQLSFKITVDKFKIFK MLTKQIWSNCLRNSDKFPYFEKSQCPCFQLSYVEK >CAK80323 pep:novel supercontig:GCA_000165425.1:CT868363:159101:159508:1 gene:GSPATT00015217001 transcript:CAK80323 MKRQKQFEFSLTEKIIQQRKPLRMSPSFRIKYYSPTKVQKMKFDYQKDIRQYKSHCFDQF IDNYHIPTIHTQRAASQKRTCMYSRQQTPSNSQVNHNNSEIQHNKYLEFSKLTRQKRQIK IIHLLQ >CAK80324 pep:novel supercontig:GCA_000165425.1:CT868363:159650:160469:1 gene:GSPATT00015218001 transcript:CAK80324 MICASEEHIEQVVNLQLINKEKLKDKFLKKMRNRDNVDQSYGERRKKVKLEQQSRPKFED LICPICLEIFQKVTTTQCGHAFCEMCIFDSLMRKAECPVCRVKIKTHSFQYCESFDNRIN DLVNEYGDKTQIEHFKNRQLEMEQWNKSKQVDNLAIDQKVDIMDQQFIWCVATIRQIGKK EIFIHYDGWGKEYDEFIPLQSNRIAPLGLYTKREDIPKYQPEQRQFAEIIQYINQYGELP TQNELND >CAK80325 pep:novel supercontig:GCA_000165425.1:CT868363:160599:161663:-1 gene:GSPATT00015219001 transcript:CAK80325 MRTHQFNQSTYVSKPLSHYFSLQYPSLPDLPKREFPKLIYDSKYEIEDKYYRNQIENQQQ QDFAQSQQEYQKLGKTFNKYNGNQQDPDSMNQTYSNKQNNNQQQEPANSEKYNQITDQDI RFVSYVFQEEIQFRKEFVLINKKLKQLKPNLLQLFFAFTSDNHYKIEKFQFLEVLQSYQD DIKICDLELLFNYLNNWKRSQSITYGQFLQLIVPYVKYEEMPDPADLRCQQDHPTQEQME IFCRLIQMKLQMMSTVEYYRQKIDKSLINLVQIFEFIDQDRDGWIKAFEITKLLCNKLYL SYLEQFGYLVTIEEASLVLQDIDERGQININQFIHYYKPKSLAIYL >CAK80326 pep:novel supercontig:GCA_000165425.1:CT868363:161748:173831:-1 gene:GSPATT00015220001 transcript:CAK80326 MKLVLVFLLMCIYLVQSDTTNISLSSPCSCTQLFEYDCYRNLNCVWNNLQLRCEMKSTTE ETNTNTNTKNNSYCTQFGSDKCWKMDGCAYILNECVQFTSCSSYPFSVQKLCQMVSSKCI TDGEKCVELAECNSYSTPISCVKNKNGKYCYWDQYCMNATDCSKLPVSFKTDVECREQLS YCTVNPRGGCQVSGYNCEDQEFEMQCYYNQKLGQCAWVDGKCMDRSCQTAPKSIRTDAAC SEYFQSCTLDIEGGCRQRTQCNDVILKESCTYNANGSLCFWNDGKCYDKNCENAPQNIAC STFLENCVSKSVGGCMSISDCSKYDLEESCKIDAKDNKCFWTGNTCVLYTCQSAPLDYVT HEQCANYKEDCTGVQRELVIMLLLLQQPFSKLIMIAINTKRVVSQNQVEDANYQMNAVIQ MLKIACVVDKYGRNCFFYNGVCALRICENAPISFTSHEQCQDFNKECTVARTLIGCVKMT CQVLTSQNTCKVDLNGNRCYWTGLCYSKTCANAPFEYNSDLKCKTYLSSCTIANSGRGCI TRPVQCNQLVLEEQFVVGSKEPVMTKLASLPPTHLITILMKQCDMYLSGCTVASEGNGGC IPLDACTTYTAQRSCRFNNSNQLCEWTGNACYDKSCTTAPQDADHDTNEKCDAYLVGCIV APSGQGCVEKPTSCNMMSTSTQCTDTSTNSLGGPCVWVSSCVNRTCANAPVSTNYDTHQE CYTFLASCTVVATGIGGCMTQLGACSSYTTFRSCQLASNGQKCAWQYNYCYNRQCSYAPD TNEFDADTECSSFLNTCTVVRRISNLGCTTRQSSCQDLTEQQCINDSSGTICTWDTTLAT PYCKNRACSLMIGFTYTQQNCKNWLSGCVVDNNSPHTSCMTQKSLCSQYTNVDNCELSTS NGYCTWNGSSCVTRTCSTTQNITDFNHSTCNTWLSSCTAGPPTSCIQKPTNCADYVNYDQ CYKNYSDYPCTWYNDACIEKTCTNHGFSVTVFNQTNCNNWLSSCSVNPGGTECETTRTCA NYTGATFTHSDCNTWLTDCTTDGSQCVYKTCTNYGSNVTTFNNSNCSAWFSECTNDGSTA CKSARTCANYGSKVTIFNHTNCTNWLSSCTNTNTACTARTCTNYGQNILFFTHDSCNAWL SSCTVTWDKLGCETKSCTNTAQQITTFNTSNCQAWLSECQANVNGTACESTNACTSGAFN NATSCGNYLESCTYVSGSYCTNKSCASPSGITTYNHKTCTNFYKLCTSNSASSACEWRNC YNHKGFISYFTHESCENWLFQCTVNSTNTGCTVKTCTNYGSHVTSFTNADCNKWHPSCNG ATSTCQESRICTSAPTGYQYDHSNCEAYSLSCTKNTSTTCMTKNCSKASLVFSTFTHAIC SEWLSTCTSDTNGTQCTSRTCTNYRDQLTTISHATCNGWLSTCTSNVAGTACETKTCYNH GSEVAVIDNTNCNSWKSGCVGGGSTCRDSTNTICGSFSGSITHANCTSYLSTCTNSGTTK CIVRTCQLASQGITTFNHQKCTEWYLECTANSDGTGCQYRTCYNYGDYVTTFTTATCSNW LYGCNVNSTNDGCISERTCSNYGSYIKEFTHENCSNWLSTCTVDSGTTACIDRTCTNYGS NVTVFNYANCNAWLDGCTGTGSVCEARSCSNCSTGISSYTEANCYKWYSYCKVNDSLDNC VSTRTCTNYASNVTTFNHSNCYSWLSDCTVAADGLSCVAKTCTNSNLTAGNFNLNNCDTW LTGCIANFAADNFSNLNTFDHATCSGWKSTCTYNKAGTSDTGTSCIEFTCVNAQLNTFDH TNCNTYKNTCTVNSNGNGCIDFLCSTAANVIASFTDTDCKSHKSTCTVNTDADNCEDITC DNAARVLALGSGSYTHSDCDRWLSSCTVNSAADGCETKTCANAVNSQTVDSSFDCTNSWL STCELDISDGFCIDKTCLTFRGTLSKVNCNNYFTGCTYYGNYDNTSQCTTTVRTCASAVT AHDNGFDVVDQAGCDSWKAGCAHRGSGNYGCEERSCSNYVSANQSNPTSYAQCNAWLSTC TFDSVNSICVEKTCSNFSESSPSFNNCQSLEFFIKIAPTIHMFIRSLMMSIALTGSQIAR LILPKQLVRPVALQLLLQHITFLIVKLGTLDALLKVITIIVKQEVAQTLISQCIQTHSVQ LGYPLDRTCYNYSTNLLLYNSSTCESWLSTCTNYKTQGCMPKTCDNYSGTINDSNCQSYL SYCVANTTNTKCMTRRTCTNHGSAVTTFTHVNCENWNSNCTSNGGGNACIQKTLQQYKLT SYTCTAWKSTCAYINGSCVDKTCSNSGLVGSDVTLANCSDWLPYCKANNAIWPQYCELKT CQNHSLGTINQANCQNWLSYCRVNSAGNTCMTDRTCNNYGINILTFNHINCEAWSSYCTV NTTNNGCMNKTCFNTNLSVFNDQTCGQWLNTCKANSSNTGCEIRTCTNYGNQLTSFTSAT CSYWLYYCTNNGSTACKTSRTCTSYTTQIQTFTLQTCSEYLSQCVPDPTQSSCLARTCSN AQELPSYTHQNCSNWLSSCTVNSSNNGCDDRTCQNASLSFYNYNSCSTWLSTCTVNDTND GCTAKTCSNSTVTQFTQANCTAWLSTCTNGKNACINKTCANYTGTINQTNCVAWLSYCYA DSASQAQCYSLRNCYNHSLSTFTVSTCSSWSPLCTVNTTNDGCIEKNCYNNNLTKFSHAT CSDWLSTCTANIEGTGCEIRSCTNHGSNITIFSNANCSAWWKYCKVTTLGNACEPYTCWK NNVSVFNHTNCYNYLDQCTVADSTSCGSTRTCTNHGSAVTVFNHANCQYWLTKCTVNFGG TACEEMTCTNHGIQVSTFTHASCQNWMFDCTVNATGTDCELKTCSNYGANVVVYTHANCL AWLSTCTANATNSACVNKTCLNTTGISTWTQANCQAWLSVCQLSKPSTCTSNVGNCSSAT YNQCVKDTNSDICVWHQNACKDRACNNFTGTANHANCVAWLSSCTVNTTNNGCVTKPTNC TTGGVTENQCVVSSSNVKCAWIGSTCVNRTCAQYSGTFNHTNCNNWLNTCTVNSDATACS ALQSSCTSYTAENQCNITSSGAVCFWDTTTCVTRTCEHAPQTTDYNDNTKYLEDAQINCQ LVHLTNSNHSVIRVHLELNVIGILQPSICADAICTNALSTITTHADCQSFLNTCTVNTSG GCIPLVACNLFTTQLSCVISNTGDTCEWQSGTCNIKSCATAAQDATRDTYEECQAYLSNC TVVATGLGGCVALNDCSTYTSERQCKVNSSGSLCGWNGLICANRACSTAPPTVTYSNDYA CQDYMDGCTVVETGYGCQSRKSECSDYKVSKQCVKTTSNQLCYWNTELPIPACENRTCFN APSNSLTPELCEQHSNLCYSNMQYCRLEECDDLLYSTDFECKYFNSKCTTNGTNCIVRKK CQDVKNSAGCVTDDSFNECEWYNQECVLKSCSTAPAYKTEVECNLYKEGCTTKLFGGCRQ KTICSQANVEQACTTSNSGEICVWKDGFCRSQRCEDFDGTYDEFCDTQKKGCVSDGIKCI QPRYCSQILLKEQCLKGVDGPCVWYEFGCTLFLSCQSILSNLDRVCKSANSLCTTDGFQC VGLEKCANYRLQEACKTGLDGNCQWIESSKKCLLFAKCTDLPFLTHSECQNASSKCTTDT LNGCYELQQCFNYQQKEQCKISSQPQKVIGNQVLQTGYCAWINGKCRDQLCEDLSGETHE SCQTKLKGCTSDGTNCITMQPCQQYSNLITCNLALGTDGKCFFSNFGCRALECTDIKNGT NHFICQSYNSTCISDGTQCISQTNCQIYPNYLACTFKGLDGQCAWNGSNCNLMKSCEDAV IDMIACQRMGDLCNWNSFANGTTACLPHTCQTKGVLNQCNYIKDFSGKTISTCLWSNEIC QSVDPRYLQSTECNFNTLNTYRWNPVNNTCESCSPQQVNNTNTTVVSYLQILSIVIIYAI LL >CAK80327 pep:novel supercontig:GCA_000165425.1:CT868363:174355:175221:-1 gene:GSPATT00015221001 transcript:CAK80327 MVYFVDVALIWALPAILINKLIFYMVYKIGGNHECIVDVAYSISHLVAGVVYCVFSSIST PARIINIVLVALWSLRLGGFLCVTRVLAGFKDERYDNIFSEYSADKFKKDVMVLVQFMFQ GLIVFVTSIPLYFLFLNDLTWEPDSFNGLNVMNYIALSIIPFSICLEASADIQLERFKKL KQQGLIPKEELIETGLWRKSRHPNLFFDLVTWFCFSMAAIYDAISVCALIGPVALFCVME FLTTPLTEAHMKKKRGEIYEQYVARTNKYLVL >CAK80328 pep:novel supercontig:GCA_000165425.1:CT868363:175829:178428:-1 gene:GSPATT00015222001 transcript:CAK80328 MDQLNSWGYCNPTNWEDKLPKPYKFINKILNTMILNEVYNKVFEIEKYRSDPNYEGQLRT LPPQGVFDIPQLSCVSRDSSSNLVAAGDTQGNLMILDLSKKLRIAKKETNGKRILKVCLS SRDQAIDDYKNVCVQKVITNLGLELFNIVIQPLENKLLLHHTITLSKDKNAIGEYPVDVD ISQYSQYITVTQYNGSVKVFRIPDLKIDQQSNSSQISNNSVGAQSPRHLQSQFKPSPIKG KDQPIVPPVQQQQILASPMDISCSELTDLVYQVKFNGIKKTLDYNGIIAKIKQDLTTPKE PPPEEKVDPKKKAPVPAKKPVEVAPVPVVEEILYDENENSAYPNDDAYPVQKYRAIVEFI VERFSVQNGNKTFNSYKQHECVTGIVVGWTNTTRLELHRFTSAKRSALPEYLSASFGLPT QQLFKQQASVTEVQILYPLSCMAISKSSVYLAAGLQQGSVFVYDLILEQERFYLDKHMYT CTQIQFCDDNRLVSSSYDGGVNIYDVKEGKLLCKRTHQFRKGSKIKMEEQKQGLWRIIGM SVSHTGVAAALDAQQEVRIYDVWHGEKIGKLTPQQVMDDKLRQWVQDKALVACYKNEILI SADVLQVNQYTTLQIFKIFDNLVNLFPGLANIYRKGIEKDKVMNLFEKIPKNELQNPQFD IPNLQGNPNNQLRIPGQENRPSQQRGSQQRGSQQRIGSQHGSHKSGKLPPAAPSSIKGGP VSLINSLHKSNHSDQQVDFNSSFKSYQASSTHRLSKQNSRIAILTKEMLHPEQFLLEKDK TSIPLLIKDDTSMVEHCRSRNSERLVRIEKVNNMILKVGQQLAQEEEKKKLQERHRQLSS AK >CAK80329 pep:novel supercontig:GCA_000165425.1:CT868363:178792:180148:1 gene:GSPATT00015223001 transcript:CAK80329 MVKLINIQSDTQYNRHELFTQQSVKIIVLILICYKQIYCYENSKNGTCSYFAIVHYKLCK YQQTGWRRRTENKKTSWGKIAWNLSQLAFSGDSALQELADVLTSVTEQVKDLKHDHEFAS DKAINVYISKNEKLDFGIDETVTFIARNTDYLDSQMHDYIVNINKRLENLDQNVNENRKQ LEYLTFTRQKQNDKFLDTLSQYEHMVAQVDMCIALLQGIFANEQFIQVDRVKIITRKIFA ATMLIGGIEMKELLETTESANYTDTRVQKYILEAFNNLRKQFVDHKNSDIAYDAEQQKQY EDQKHQLDGELLIFKKDIAELIYNLSVAEEKIRQIKDDIESNKQDQEFYKKEKEFIINGN QIEEKWKAKIIQEYNNQLLSIEKAMNLISQPDFWARNDQIKLNN >CAK80330 pep:novel supercontig:GCA_000165425.1:CT868363:180207:180802:-1 gene:GSPATT00015224001 transcript:CAK80330 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTIAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDEKTRKAQAEQAAREIKDRKQK QIETQKSQRKGVTTTQKAAQKAETKAAQKAAAKGAGKPKGKK >CAK80331 pep:novel supercontig:GCA_000165425.1:CT868363:180831:185803:1 gene:GSPATT00015225001 transcript:CAK80331 MNLIASVQDKLKQQVPTDKQLQMSHFARLVELLNENAKNQQISDLNQIVQALIQFSFPQH TYQMICNSLSLLIAKSGNEQIKQVVEILLQHINKKGSLKSIALTLLVTLIQLTKHEIQSF SYTLTQDISAALMKLLKNSDYRLTLCNSLHFLIESQKNEILKISLKFTLNEKHEAVQQAG MQTLIHLMEQDVVSEQMYLIAYKSDDSPLFYKFFSRYLFQQQNIMALTKKDKLKQQVQLQ PREQAQKIFQLFDENLSKFPSNIVGSIFIAYKKYLIHEFKKLGLSIVRGSFEISCSYLGC MALEDPYLHTVYKKAVNLCLYMVQQVQLNERKDLLEYFFDKISKAKSQKWNVYIYKLLLK GMNFLFDTLGSNVFDDKRSKPSEFIDILTPIFKINDILQHPMSIDKPICISVSRNLFNTF ESLFQENKKWQQSILSALLNYAKITLAELNVKETNSLINQLRIQCALLSKLFSLLDLQLH SISSELINHLWETAKSFLDKSSQLRRIGWITIAELLMVPYFGTTNKVKEVGILIEKNLTS KDEIKDEQQLQSYCSVGLVIISILNSSTLSKQIKDTTRKFLAQQTILMFAKLSQCKFDKQ SPFVISSKYVFYQAIRQIQPKYYSNELNSLVQFCLEDIINGNSLWNQVNVHKNNHYVDEL MGIPRSEFQLVLAASKFLGHIISSQSFTLKNKINFIRYVNGTLQTQVVNIKDVQQKQSKQ QCYLFCLYQIALKIYQANSLVSQEFNEQIFQVINLCQCVDNQIQQLCAYLYCSMLQIKDV DQAEQLNQLLSQKSQANFTYLVLEILKKKLTGVQLQVQNLLMKVLSQMTTDVAKVIQLFQ QIDPSLLKNVWVFLVFAISQSDKPIQICQFKILSEIILNYVRNGGIKDNYFNIIYQECRC RLQSKQFQILQLDFALEQDTEQTVTKAVNLLLKKPSLKIAVFIDQLTDKYTMPSLVQPIL FVLNNLFSNQRVHSTQEQMDLRNLMKNIFIKIYNQPIAELLQLLQPYILDEVIPEQQERI PIHIKTRIFLLKRLQKLFKQCDTSDQVFDFLFKITTSIYEEAKEISFDMFQHFFYKEKDL LIFAAQIDSILCDTIRRENQNSPSVNLTNMKLISKFAKIVKDEFLATKLIQLLSKPLLNE GRKKLVPSQFFSEKSQLNILIQRIVSLGSIYRKLPNHFDQTLVEQLKCYVEELLEDIFVI LCTPRSHVRECQSYNFVYNGKKYSFDQGFLHQSLPKLLRISNLDKPIHFTLIGYIVTQYG AFEQNENMFKKTIENLTKERILQCRTYRIKGIQLLTEVINKNPHNQLLINGVQNLLPIIS AEAPYSLVICYQFYQTLIHHQIDLQLILDPLIQIYQLTVDQNLKLNCLASLLKITQQNPQ ASDQINTIFWDFLLTQAYEFMRCTQLIQFYKDKIYSLKYNGYIQTILQAISGAQKMRQED YYILLNLDGLINNHQDSQGYQQLIDLHFTNLVSIALALIKQIKQNIQPILNCLLPAIYRK ISNKESNSYILIGKFLLLSYLLSNQKQQIFLSTFRIMDLFTEENLDASIIDASKQNLKII LLNTQMNQLNLPDDLSEKVQLIQDDIQREIQEKQQRETKQKQPQQSGQIVLKMFG >CAK80332 pep:novel supercontig:GCA_000165425.1:CT868363:185828:186337:1 gene:GSPATT00015226001 transcript:CAK80332 MSQFSDRCKTDPDEDSEIKRKRKQVQQFIQNSKEEQKKLSDLFKNDLDLQSQKIKERIQK RNFKKPIAKSSSCGVYMSSNPMSESQSLADTEDYQETLYEDALLELDNQRDEKIYRIVQH YNNQINNLRMIDFTEESLQQMLHFEKKKWEEIQNIQEQYEIIKSNQIQQ >CAK80333 pep:novel supercontig:GCA_000165425.1:CT868363:186545:187138:1 gene:GSPATT00015227001 transcript:CAK80333 MNTLDKEKVLFFCCDIQDVFNNEANQKNYNCLQVIECACLMNEFAKVYDIPIIVSEQNPK VFGSTVQQLKNTFTQNTHFYEKFTFTMLSDKGLEILKQYPNRNQVVIYGLETHICVQQTC LDLIKLGYQVYVLTDGTSSSKPLFRSTAYERLKQCNVYLETSQSVMYTLMQTSQNEKFRE VLKLVKKYQFQNIFPSL >CAK80334 pep:novel supercontig:GCA_000165425.1:CT868363:187177:187873:1 gene:GSPATT00015228001 transcript:CAK80334 MKIAQLLRNRVLFFNCDIQAVFNNPKHMYNPGQTIQCAQLMNEAAGILNIPVVYTEQNVK AFGSTIPEVKATIPPNSHYYEKHTFSMYNDDGKKVLSQYPDKNQVVIYGWEAHVCVQQTC LDLVRNGYQVHILTDGTSSNKPLYRSTSYQRLAQQGVVIDNSQSVLYELLQSYKDEKFKP ILSLFKKYKYEEVFTTL >CAK80335 pep:novel supercontig:GCA_000165425.1:CT868363:188161:189140:-1 gene:GSPATT00015229001 transcript:CAK80335 MQFFQIPRMQKEQSKRNSEQLDVFELKELGQQDGEGQLTELTQKAQARIQHFEKVCHDLN EKIIHCGSQKEMDHIQKFVKEAQNDLDQMEKIIQNMGSIQLQQKSQISQKQQTQQKLIKV FQNSKENFKKIKNLIDQLDRSHQKSQAQAKQPIKDRHGDNQINVQLLDDEFDYGYDEKFI QSRNKQIMEIAQIIQQLNEMMQEGARMIKEQGEKIQIISNGIKEAGIKTEKAGEEMKKAA KAQQGSNDRILYFCGIITLLVVIIVLMYSASSRTYPDTNQQQSQN >CAK80336 pep:novel supercontig:GCA_000165425.1:CT868363:190561:190961:1 gene:GSPATT00015230001 transcript:CAK80336 MNFIRKRLGLLPQTTAIMEIYQSEQSNEVIYLYRRILKQISITYERRLERMQKLKEAQWI FRECKDEKDQDQIKYLKNQGYLVLRMLEQNQVLPSFYPNPLDLAEPEVHNQFGKQLYNRA KF >CAK80337 pep:novel supercontig:GCA_000165425.1:CT868363:191299:192222:1 gene:GSPATT00015231001 transcript:CAK80337 MKTKGDQNKGNTKQQPQKQINTYKEIQCYYHPNEKLKYFCKKPDCLQPLCKICLDIHIEE AHQESNDTKEVVSYEKCLAEVTKKMSDNLAYFLDQIGQLNNHIDRIINFQCPQNWMSLIQ SSETQITDLIRSYFSNLKSYLFSISPEVSKLYSAQDRLIVCSKRVDQLRSELENLKSENS VTQLILFYQGDVEKLNNRYLQYLEQTFDELKQINFQSINAPKILINHNKLNDLMELLNQY INYEQEYEEQEKEEEPQIGYEEQRDRMTQNEQSEREEEQPIDIIMAQDKLSEKNEYGLQS NDEDLEY >CAK80338 pep:novel supercontig:GCA_000165425.1:CT868363:192272:193746:1 gene:GSPATT00015232001 transcript:CAK80338 MNTSIPQLPQASIYEPTISIQKIQPHYRFDSNNMPRIQCYYHQDNPNNYIKYFCRQPECL MPLCDKCVQQHLNQYHTQSQSNIVPFETILSEIYQNLAADCNEMCDQINKIQQLSERTTT DKLVGKQSLQTNPIKLIESARDQVIAIVNNYFDTLKTYLLTQIEEPLPDLNVKPAIKDLK LRWEQNIKDLESINNPVASIEKVIEYCEEELRKKNDTILNEADKIIKLLETFTPQYRQYG VDIPQLFVDPTFLPRFLWVLERYAFFNRPPLEPEILPPPRVVEPMPVYDIPPPPRYYDPY PPMEQVVYRDPIVIREPVFRDSLIRENEYPEEYDDAYWQRRNRGRQQQQFDYYPDIENDA YQMKTSVSKEKRRKSKVQESPKPKYKITEQYESQKKKKTNKSKGPKEIVISHATPSYINM TTTQIPQQYQPQQTTTQQVQPTYQALQTANILLPTNTQLDLTQKAPSGMTKSKITNQAYK NL >CAK80339 pep:novel supercontig:GCA_000165425.1:CT868363:193847:200032:-1 gene:GSPATT00015233001 transcript:CAK80339 MWLGQKIKNAAGTVKNVTSNLLQEKQTDSDKKQEQTQTQTQKQQDVNLLELDDQITQEMT IAELIQKFQQNDCVCLQQQFESWKNQENQYQLLKSKILGIRADFNQQLEQYSQDLWDGAQ IVERQKIEFILKVLDQLQISNEFQQIITWNQFNEKLNKLSADIKQLSQIKYAPLVKENKQ LKAKLSSQNQDQKVLELQKIIETLKEEKEYSKKQFQGDYNQLEIQFQEQIQRLNQEMVSQ RDLFALEKQQLNRDIDEYIQQINSMQNNKQKQSALLNIVKQIKDLKTNQIDIEDLRNQFQ NIQSLIETQLINFIQNQKQQDNQKIEQQEYEDELTDEDMKSVQQLIRIYNRKQSESGDKD IIQNKQELLPRLLKYIQHLQNELEVLREQPTWSYQQNHTSSEQNQDVITLELQQQLNQTS NELYKVQAEFAESKAQIEALNIQIEDLTNQNLEKSAKNDEIQKQLQENQNKGNQDEKAFQ NQETQTVQLIEDESQIKQIEDQQDEKELKINSPPQETQVNDDGQQNNLIQEVIKTDNDDE KQFNQNDQSIQTAIEQCDQTTQILLETENQKNQISQVVETTTQFTQHEISQENQDTQINV QTDDKKIQVITEQGTQETQAQIDTDHQTTQYTAAQEDQNTQTQSEIDDEKQINLNDQQQQ TNIDQSDQNTQIQINTENQETQINNQVETNTQFTQHELNHQNQDTQITVETGEKRIQTIN QQETQEIQVQIETEDQNTQYVTSQENQNTQTIEQQNIIETADQNTQMSFDENDEIKKQLI QEDQEIQTVECSQDQDESNQKNQAFILEQLNQEIEKLKDQINQQQQAAQMENEKRLDQMR EIENLHQQVQFISEQKDQQSQLLNNLLMQIDKQQGEDSSQCNQSNMLIEDLQAQLYEQLV RVEDQKKDIITYQDHLLQKDTQNKQIEQKVELLLSQVEDIMQKFQEQMSINQELKQQNNQ QNQIITEFQEKNQSLQNDIEILQSKLSNLSNDKQDIDQIISENQELKFKNQQILSQVEEF ENKFNESEKLQSDYEYLEQIFAQSQIKIKELQNLFAESQELSENTQTENKSQMFNKSTSM NEQDGFKLKLDQQELQQEEIEQVQNNDELQKSVQEEQNEIIEQRDNTEDNEKQIEVDLTS SNITNETEIKKVNITNKSDEFIDLSQKQKEGEIENVQFKSETDEQQNFQDQQEQQSEIDN NKITNLQNTLLNSTHQMIEQKIEEIQQLQDLIQKNKQSEQELREILQEKEARNQNLELQL DDLKSQLSLNQLKEEELSQQINSLLKQNQDQEHNLSDFQNSKSQEINDLNLQIQNLVEAL KNSESQLTKQQQSECLQTGYEEELKQLKDLVQQNEEKNHQHQTALQEAQLELQTTSLELE NSFKKISQLESTIENNQEEKNQLENSIKELSEKDKLLQIQIEQYEKQSQNYTQQIEQLQS TLNQLQNEYQQLTSELNEEQLKNQKIIEINKENEVKVQLIQQDYQHISQTLVKLEQQSSE EIDGLNEQLSQKEKEILNLKQEIQVLQKQVDELNLNNDEIKRNQEILREQDGKLKQEKEE LQSLLQKEEQENQSNADMIVELESKFEKAVYQRNEMEKEYLQIKDKISHLEKNYIQKNEQ YIALYEESNNLNDQVKGKQHQIQILQKQNEDQNAFIKQIEIALTEIYSNLSQESIQSLDV NSLDKIKSNILNNINQIRESFKKEIENLTQQLKQSQKACQEAKQQLGDYQQKLILCGTEY SKKEQQINQELQQTQTKFNEQVEKANQIFNQLQKVEQKKKDLEKQVQDSDKIRKDQLEEI KSKQSEIENLKNSINKKQSEINTLQTQLSSQQQDIQKLNEQNKVIVNKNCEIQQLTKKIQ SYEETIETLNQSIINFQFVNETAQQKFDIKEEEYENKIKEYKENINQLNKQLAERQLDEG VQVQIQELSQKIRKKEEIIVQLQHEKEQILENCNKKFQMISQSQQNLIDKRIIKEALLNY FDNRAPQKIRQSILQQLCCILTMTEQEAAKIGIQIQKLNQQDIQQKQEQQQQSLKDNLLS FLLND >CAK80340 pep:novel supercontig:GCA_000165425.1:CT868363:200159:201329:1 gene:GSPATT00015234001 transcript:CAK80340 MNIKLIQYSIALSLQQLESDGSQLYQLQWKIDNNVINIPLTNDAFNKPLCTQSNLILDQN RVLEEKSTSIIFRVNEDILKEYKINLSKYLNSKLKQIDDILQINETTKLHIQWKFEKLYA IDLGDNQEKQVNFSPTNTQRKSQVITKKSVSIISPHDSKNNEQPIEIEKKTVEETNTTIL KLIAENKKLKAQVIELKRQIDSLSQSKPIFIQAEVEGFLREYQMENEKLRKLLKLQVEKN QSLQEELKILNSTYLQNTKRFQLHIQQLEERFQEIQISCDNYIENINNFEQSTLQDLQKL QDLEDQEVERKKELQKLFKGKIINNISEIQNGQSNNASIYSSQQDNQEKQQANSQTKEIY ELLDND >CAK80341 pep:novel supercontig:GCA_000165425.1:CT868363:201507:202253:1 gene:GSPATT00015235001 transcript:CAK80341 MSKNAQKLINKLEIEETQYIYTTSDKIIVQTKEGWIHVINEDFSRLNQFHLNIFSFCRLC LHQGLLFSINSNLLEARNINSLDIEFYEYQAEIFCTSIKVIDSEFDQFIVIVLDNGIIDV LKNKTSIHRYQLSQNKQNPIFVYDCIPVMQNNELVIAASTNQQSIIILKNQVQQQTLELS FNPTTIFSYSKTDLSPLIAFNKNRFALIANKEICKFNFTDITNYYYEKYCYLSTNEQIIM IDFDYLKK >CAK80342 pep:novel supercontig:GCA_000165425.1:CT868363:202661:203763:-1 gene:GSPATT00015236001 transcript:CAK80342 MQERNTHYCSNCQITIPNDQEYQAHYRSDFHRYNIRRRLMNLESVSFEIFQKKFLESQSN TSCSSSQAQTYSCNTCKKSFFSSGTYSQHLNSAKHKQVLKDNRKNSDSLEKKQVQNLPEQ CLFCDDTFETVDESYNHMNTDHGFFIREKESLVDMKGLIQCLRNVIEKQFYCLSCPSIFS SSEAAKQHMLDKGHCFMPNEHYDELCHFYDFTEKIKKLLQLDEIPELNELSFEEILELDS LKSDSRVSEFEIVEQTPEQQARRAQLMHYLEIINQNKAKVLPNGELQLPSGKILGHRSLK QFYDQSHVVPLPQKNNHLPLQYQTQQAIQSAEK >CAK80343 pep:novel supercontig:GCA_000165425.1:CT868363:204158:205087:-1 gene:GSPATT00015237001 transcript:CAK80343 MATKSDEAVQYNGEDLKSFYFPNKFDHRQSAVPGNPCYNFRFRIFIFFTYIVVTQLGEIF GTPSDNINGVWDWGHLLTTPINQLYQEHRWFSAMMQISSALILDFAFFYVSLYWVLYERN FRLFAVLILFYAIRAVHLNIFKLEYSPNYYWEDPLVPSLVVKYGKYSDFFYSGHVGFLTI CALEMRKVGKRYMALFFFICSIFQAFIVISFAIHYTIDVPGGYIFSHYFFNMVCYWEAKI DFVLKRLANCCSKTNKPISIAYENDTEKQQPTAI >CAK80344 pep:novel supercontig:GCA_000165425.1:CT868363:205117:206664:-1 gene:GSPATT00015238001 transcript:CAK80344 MKNTKTQPGNKFQQNLSKLFENLQYLEARMINSCYIVQAIKLQELLSTIKYFAQLFQSKQ QLIYDIADALQYKFVHQNEIIFKQGDQGDMFYIVLQGTVSIQINIPQEDVFVPKEVATIT EGGSFGELALQSSQARTATSISVTDCHFATIDKTTYKQLIGDYNKNQLDQKIAFLSKYPW FQQWSLREMKMLAYELNEKLYDYGDKIILKNHIGMINNGQVELKRVIEKRGIQDHPMKIS KLMKHQLSVEILDEQKIIGELNFLDEEGNLKFMINKYNKPYVKSAAEPETKEFIQASQKN KGIYEAVCYSNHVSIYFLKVSTIEDRFYDQLTRQLFQIEIKKLLNVRIKKAHNLQQEYDK QFQESLEEQQVKMRISGFQSRKITPVKIGTIIRSQNNEEWVQDSQYQKKFEKNLPHGVQY RYSRIYDLEEKSQYIKVLEDRYNKLKTEENEAQDNLRMTFTQMNCSYYRLKSHFERQKFN KLFFQAYLKKKNEQK >CAK80345 pep:novel supercontig:GCA_000165425.1:CT868363:206851:207108:1 gene:GSPATT00015239001 transcript:CAK80345 MNIQLTDILTAYEAEHYLENLQIFEITDLGCKKWFAQDEILQKLNFQAHINAITRSDEFI MEAFCTFEKSNHQFMIQQ >CAK80346 pep:novel supercontig:GCA_000165425.1:CT868363:207114:208293:1 gene:GSPATT00015240001 transcript:CAK80346 MWKQYVYPYLKSHFTELPSVRSYTVLQHEAIACNLLQICLFHRTSIESSEGYLLEIVDYC SRKLADLLQKPPAKKIEKKSIEYYKNRTKEDEIDEQFQNVEFQIQMMCLSIIRFITDHIK RLPINILHQIIVENDFFFLLVPLIEQKPWLRINPNNEREVLEQSKWVTLNKEDYTQLWIT IYNLFMDPESRRKYELNDFKKSNLLRLRKFMNELLLDQIPQLVDMLRSLEELSLMQVQAQ SKSTIEVQQLPELRLAICKDKNWSSIVQKQKEEYFQINDPSIREDIKRMAELYSNTVFEG IIDGFKCEKCMKEATKRFSRCKQVLYCSKDCQVGDWPKHKVYCRTTTTQKQEDSKQVDKQ SDKQLDQID >CAK80347 pep:novel supercontig:GCA_000165425.1:CT868363:208311:209644:1 gene:GSPATT00015241001 transcript:CAK80347 MNNTQNIENLKNLVAKKIEAGGFLNSLRAQLKVEVYKIIESQDQRLKENVGFQWEHPLLN QIKGMTEGIKSLELILEYLEFFKFDYTAQVLRKEANFNDPIIKESLARKLGLNSSLDSMR PLLMILVSEFSKGGSTINIQSPVNNAAPPETNITRNQQNKKQQEEEQKRKQEEEQKKKDQ EEKKKLEEKQKKEQADSKKATKKEGKDRPQTAPPKPEQPAPNVNKIRGGQTKQNALTSMF GLDLGGNQNQFEEDDIFSNKPKQQQQQQQKPQQNTKVNNQIKNNNAYAYDVGADSQSKNQ KAQNVKGNAGKQVSSDPYAFDLDEQPKQSQKKYDYDPNQTIGKKFNNPYAIGDPQKGQPQ KGQKNDEIEEDIDDFQQSRDDRAKQSNQLFTSDDDYQRATQSLGVDESVDSLALEEYDYY EDVFRKR >CAK80348 pep:novel supercontig:GCA_000165425.1:CT868363:209790:210649:-1 gene:GSPATT00015242001 transcript:CAK80348 MIGIYRSLVNTIPRFNIVYGYVPKRSPFDKTHYIENYIPRQIAFPKSRRPRLPQWDDEQT IWPVIPPSPILPRKKLLQQLENEEFERLQIIKPMTFPDFRVGDVIQVKWIHNMSEPTMNT YQGLCVGKRRSNSLDASFKFIFRYCGVEVFMNVKQNSPYLKEVSILKKGTGNIRNKMSIF KKKLSKQQLITPLMKKGKPVRRRDDPIRKRSSRSRTSISMLKLIEDPLLVEKPVEKKTKI IHKKKQAEQEAIVEPNDNTNKQQ >CAK80349 pep:novel supercontig:GCA_000165425.1:CT868363:210672:211268:1 gene:GSPATT00015243001 transcript:CAK80349 MQKIQNFIENNKAAVAIAAVAAAIGIVGIKVLNQENRGSAKILDASIQKVPLIERLGGEQ AVQPMISLLFERISNNKDLKSLFQNVEKSKASQHFSAVVLHLFGGKSTQSQSDHCKLNIT EAQFEIILNLLDQVLKMLGVDDREINDAREAFLTKKYQIVIPNKNA >CAK80350 pep:novel supercontig:GCA_000165425.1:CT868363:211785:212889:1 gene:GSPATT00015244001 transcript:CAK80350 MKQSNWTKFIEELGESVNEELNEELLSQVVKWPDEKMSFPELQHYLSNEFWVHFDEETTR SKLHDKDKYLQYGVLPIWQKKETQNSMTWYLQSLCLYLRLKRVFLKKVLKIKMAESLKAK IKSTSLVRRRRQNIKSKYPQESWSFIVEQFNNKAGCIRYSKQIRERFNNVIDPNINKNPF SDEEIKFILRKAYELKKKWASIAKLMPGRTDNKIKNCYNSKMNKIKKKMPINKADRKKEQ KILQYIQKYDTFDPDELVNQIELDEKNQSSIKIECANENSNTSNNQNYIPPIIPSYPPIL FMQGPVMQSQYQTQYIGIPMLVLKKIDETSQI >CAK80351 pep:novel supercontig:GCA_000165425.1:CT868363:213428:214630:-1 gene:GSPATT00015245001 transcript:CAK80351 MQNKEPSSKLYVIYHDPCLDGIYSLTGLVLPILVKIRKDNWTIQQYLELLKSELSKISKE IQKPQEYKQEINYQDEPIENQNMGFFYPTIQDLCYMPIRLSEDSNEVQKIIKFLNDDSKS SILIIVDYFGRTWDNLLLLTKKFQYVVVVDHHQTCVNAIPDFKIEKYQVLNKVSGVDNLF MLVSIEKAACLLVQDFHEQIFQTKYTSLLPPNIGTKFTLFTKYISDNDLFVLQYPETEPL QMAIMRRRLQFDVRQNPAIFYKLLEFDFDFLVKEGKQLAIQRNKKVENLVKNRKTVVFGK DAVGYSLYCDDLSIMNPLGNALGILAMRSGDQNLGAVYHDDKSNSTQYKIHLRSAHHDEN GVLLNRFRCDVLAESFGGGGHVGAASLYMKKKEWKKLMFE >CAK80352 pep:novel supercontig:GCA_000165425.1:CT868363:214712:217070:1 gene:GSPATT00015246001 transcript:CAK80352 MESKLNEFEILNKLGEGSFGQVYKVRRKADKQTYVMKQINISKMNARMKNEALNEASILA KLDSSYIVKYYESFIDKQLLCIVMEFCEGGDLHKLLKMQMGRPLPENQVWRFFIQITLGL AFLHKNKVLHRDIKSMNIFLSKDQVRIGDLGVAKLLNDQNNFANTMVGTPYYLSPEMCEE KPYNEKSDIWALGCVIYELCTFKHPFEANSQGALVLKIIRGRYEPIGQMYSQALAQLIDQ CLQKDYRQRPDAFQLLQQSSLIQQAQNLRMQLNLNQPHPNSKQTSSIQPQQTPLISKPKE VQPPLATPVPVSIKSTPQGKPLKTKAPQSSQNLQLEVKGKQEPVRDTPIVQKKIVQVPPP SAPNLVQNQKNDDFYKDWRDKVSELQKQKQDDRIVVTRPELLKAEFQPNKITIISSQSNN NPYNQEQNIFSSQQVQPTKKPIVQVRSKVSNPNIEEVDKKRIRKGPPKEPARQVKEPLRK AENIELTKETPKQNKTKNTKQQICSQEEVDMVLNLPEFVSKDESNIKVIQPEKEIIDIAS FADEIPTTFVESQDDMESNHYVQVQFKSEPNTVNNKNNQNNDENQQDSDKGAYTDPEDCE QENEEQGKDDTADYGDEAPIQNRNIQTIQEEAFEDTNQCNQFIELCVDNKKMDLNKQLLE AEQRKMELEQFLQIKRKECIQDLGQRLFEEVINFLRAKLNSQEELNDRDQEEIDDLIQNK LLNTKNPQIIYVIYKILHFEIEITKSIDKIKDLSEQLINL >CAK80353 pep:novel supercontig:GCA_000165425.1:CT868363:218142:218800:1 gene:GSPATT00015247001 transcript:CAK80353 MRKDIDENLMLIEVIDYVKSNSEISQFFLQFYKIQTYENAIRQQEMQLQSYTKLFVQSIG LTRKYVNVDQLTKNWNMGNLDKQHQQYLISLMLKQKQNKQKQTNFIKLSQFLHVISNYLA YIVNDIENTNSLSIDFITYLVYAQEEIIITADRFEKIKQALCKDRQFITLHMWMDYQCIQ ECNRFESQLRSLIRFQSTYLKNQKVGQKK >CAK80354 pep:novel supercontig:GCA_000165425.1:CT868363:218969:220130:-1 gene:GSPATT00015248001 transcript:CAK80354 MNQGFFTQWIPSKLQQTSEKRSVSIYVDTSYTSQITIDIKQNMLVGDLLQYIHGDDDKQD LHLVLIYSSVQRRLWDYEKVEDVLHNNNSKFVFCNFSKKQQTQGQKYPRYFDDNFKAYGK LLKKNKLGVYVATQVYLSQTYFGFKNEKKEKIKLSSLDNVKLFTWQNSTKFDFQIENERK LYFFQALKEIDFQYWIRSIQESLGEAKYRSVIHDLDVKVQIATRNQINRIEEFAQGSLQQ IKISGDYLKQLKSQITDICDVNIIEFILTKNQDLIVEIIKQIENDTYHLIKPLESQPQVE PLRIFTSRYHSILHKNLDLDQLFEELNEDINQAYLGFLKIQKIRTKIVQQARVQFKEQFP QFNPTFDQFYN >CAK80355 pep:novel supercontig:GCA_000165425.1:CT868363:220519:222887:1 gene:GSPATT00015249001 transcript:CAK80355 MLNQKTIQKIPQNCNMIVKVLSFINNDEEDQSQEEIEKKQKVFEILRTSMQLQEVEDQEN DYESDYIPVPCEEACFSQQSTQKSQQSQYLRSPLQTFTQHFQNQNKGFVGKLFTLTDETE YTVDDRKPTHTRGPSKIQEILQDLQANVADDIMKMANDIIKFTENSSNTNGSAQFIQSDS KLKPHISQYKANQQPRETKANNLRDGFKLFEKQNSQKQLKSDTQLDKQSLKSNCQNEPQK YIQQLPKTNYQTNANNYNSNNTNYNSHYNTNASVQKNQQKSERNSQEYTKNNSNRNSYSQ QIINTRTSQEQINCNSSQNSLQQNSPNQDDQRHQYQFYSKKQNSNNNSNRDSVFSKKKFH INYDSFKINSNNNQISQNFYDTVQKQFNFYQNSDDENQGKQSIENQTPNLNISKKLEKDN LSINNSSTLNLLSDKKSYQEFCEPCQNTQESDKKQNSSIQKKKVKRRKRSRIPKNIKYFL DIIEEQSNDYFTPDKSLLESSECLDTIQSVPNFGESEHDNMSYRSDRKNFTISEGNKIKI RTPNTQEIKEELQSSDSFDRPNSQLINQTLVNFEDIDQILNQVQGYKALRCKNQEEEAIL ASWNRVCNEIIRKQFTSGDFGSREDIKLTGNLTIKLALNYFNHNQKTDQNSFVENSCFIE TDQQHLEKSESEIQGDDNNDAEVGMLNKQFSPNFSDVCKRIQFTGLEEPKILFGKATSSK DLPNVQIYNTKYEQQLLNYQF >CAK80356 pep:novel supercontig:GCA_000165425.1:CT868363:222992:223288:-1 gene:GSPATT00015250001 transcript:CAK80356 MRKKVYTQAYFLIQNNQNYSHSFWSKNEVCWIKYIDWSLCSSQILFQCIKIEISQWRNYF RLIG >CAK80357 pep:novel supercontig:GCA_000165425.1:CT868363:224195:224570:-1 gene:GSPATT00015252001 transcript:CAK80357 MGNSTSQNDFNAQHYQQNSGLSIKEVEGIKNVFDSLDPKDGLIQTGTLRKLYRDSYDAPQ LNNKIGDRETLTFDQFFELMKTDMLEKKKQFPGVDFDDGINENVQCFFCHPQRQQS >CAK80358 pep:novel supercontig:GCA_000165425.1:CT868363:226292:228180:1 gene:GSPATT00015253001 transcript:CAK80358 MEDFNDDDISIFVGKFETENQNSVVDSIMNQKQSQGSSCNNILKNLEIIILQQAIRTKEE LNKQIIPILKYLQNKNLQYGDLEKQNQELIILQEQSKQDIQQLQDQISERNQKNDELMDQ ISQLEDDMEKLKMQQKYLESQQQNKLLQNYQSQGESQWFQQTQIITIQQQLQDKEELLKK IEKDLEEMEKSKKKVDQQNKDIQNQLDLITQRNKNLMKELERYKPEVTDNSKKQTMTRNK TLGAFNTRDIIQKQRLQNDLTSQKKLEQIKNQEIDNANNSDNQEEIDTQSKTTLKQDSEQ AQASVVNSQIIMEKEQKIVELEKIKIELEQKITELECEINGIKSNNKKVTFQITKLEKAV AFEKEQNTKLREEKATMEQDMKAEIEMRKKENIGLKRMSVKINQNDVGASLINADELNLK EKFFQLSRDLQATKQQNEMQIETYEKQITQLKTQIEIYAKNNLEKENQVLELQKNLQLAL EEKENQKSQIEKLADSKNFDGESTLKESCCKKLKQKLKQKTFNYKNNIRINQQKQSTLQK LIIKRTFRLINNYNSRFSWLKS >CAK80359 pep:novel supercontig:GCA_000165425.1:CT868363:231181:232098:1 gene:GSPATT00015254001 transcript:CAK80359 MSEFRDKQSKLILEIENEKSHHLQLKKENEKLTCEFKDQENKMLEQFEQGKSQQMQLQKE NEKLTHEFKEKEIKMLKDVEHQKLQYQQIQNENDRLTNEFKEKETKMLKEIEQEQLQNKE LQKENERLTTEFREREAKMLKEIENEKLSYIQLQKENETLTNEFKDKETKILQEIDHEKI QHLQLQKENEKLTHEFRDKESKLILEIEHEKLQLLHQKKENANQINEFRDRETKMLEEID HEKLQHLQLQKEIEKLTNEFRNKESKFNLEIEHEKLQHLQLKQENETLQNQFKDQENQNV EGNRT >CAK80360 pep:novel supercontig:GCA_000165425.1:CT868363:232146:232919:1 gene:GSPATT00015255001 transcript:CAK80360 MNLKTQEFKMLKDIEHEKLQHLQLQIEFEKLTNEYKDRETKMLKEIEQGKLQYAELQKEN ERLISEFKDKETKLLQDIENQKQQNQQLILGKDMQGNELLQIQQKLNLEIDNLKQQIQNL NDQLKLQQQQFDEKEKQKNKEIEELKLLHVQLEKQNENLTIEFKDKESKLILEIEHEKLH HLKLKKENETLSNEFRDKETKMLQEIEQGKLQHVELLKENEKLTNEFKERENKTLKDIEH EKLQHLKLQKEYEKLKQ >CAK80361 pep:novel supercontig:GCA_000165425.1:CT868363:233734:237221:1 gene:GSPATT00015256001 transcript:CAK80361 MLKEIEHEKLQYIQQQKENEKFINEFKGKEIQMLNEIEQGQQQNLDLQKENERITNEFKD RETKMLKEIEQVKLQYAELQKENERLISEFKDKETKLLQDIENQKQQNQQLILGKDMQGN ELLQIQQKLNLEIDNLKQQIQNLNDQLKLQQQQFDEKEKQKNKEIEELKLLHVQLEKQNE TLTNEFKDNETKMLQEIEHQKLQQLQFQRENEQLMSELKDRENKMLKEIENEKLQNLEIQ KENERLRNEFKDKEIKLLQDIENQKQQNQQLILGKDMQGNELLQIQQKLNLEIDNLKQQI QNLNDQLKLQQQQFDEKEKQKNKEIEELKLLHVQLELQNETLTNEFKDKETKMILDIDHL TLQLQLKDTKGNELFQTQQKLNLELENIQLSLQQQQQQHDVKINDLVQQQDQLNKEITSY KQLTSQKEDQINQLTNNNDELSVQIKGKENEINQLQQNLSVITNDYQKSLKENENLTKEK LEYEAQLLENKNLIQSMQHEKEQLQNEHQLNKDNQLNDLQTQISQLQQTVTSQQSQIATD KDQNLIQQNKLQEQNVQIQQLTVQIQNMEEQRNEFKLNNQIFQNLKIKYVNNKLHNNQYP FKKQIKNYKSIRPIQSSKISEIQALQSAHQDLNIANNKLIEENNSFQINHDQIAIEINNL QEQCRIEKETNQKISEQNQQLSSQIEQLNEEINKLVKERQQLEVTQKQDHNNQQDELNQL NQIQEEKVNLQNYNEKLENTNKHLEEQIFQLQQQSQAQNTLLEEKEQLINSLKMANEQIE SQLLQDQNKQQEKDECINSINLENKKLINEKELEQNSFEEHNKQDKLEFENQLNQDVTLI AQLQEEVNQQNHKIQQYENNEKNYQQQIEELKEHIQNLEDFSQVSENHISEQQEKEQQLI KELEALKLEYEHLSQQNSSFKDQVEQFQLQNEQSTHKQTLLENEIKELQQQQTDLQNNIH QYEEKINLLQIEREQDQTKLMEAEEISKQKTELEKQIKHQNDAIQNLCQQVGLLEDEHPN EYIATQKAKMIQQQKDIENLKNDIKNLQQEIKKYQEKEALIKIQKEKLERKYLEQISELS NHQDEMFEQIKEWRSKALMSDEYLKKIKDLEMTLKFKQDQLVSYSQKIQEQSVQIDQLEL KVQELKQTSEFMFTI >CAK80362 pep:novel supercontig:GCA_000165425.1:CT868363:238055:239679:1 gene:GSPATT00015257001 transcript:CAK80362 MKSLNYRFPHSRQDSGQMNITRSQLQYGQQVIKVLNNRQNEQNCDRSPAPVVHGLQKFAL PSSQQSSANHSKKSSISKQQQPKQIAFVNCLILKDKSQLIEDEEKENINEPIVQKNMFNF QFVIGIGGFGKVWKVEYKKTGQIYAMKEMSKALIIAKKSVNSVMNERHILSNLKHPFLVN IYYAFQERDNLFLVLDYMQGGDLRYHIGKMRRFSEDQTRFFMACIFLGLEYMHSKNSLHR DIKPENLVLDKNGYVRITDLGIARILRPDNQQDTSGTPGYMAPEVMCRQNHSFAVDYFAL GVIGYEFMLGKRPYTGRSRKEIRDQILAKQVQIKRSEIPDNWSLESADFINRLIQRKPAN RLGFNGPHELKQHSWFKNFPWQKLLNKELRSPYIPNQNEDNFDARQISMEDDENNELIQQ HSIMLRRNSIQSQFSGYEMDNFSEKQHTQFNNF >CAK80363 pep:novel supercontig:GCA_000165425.1:CT868363:239794:244737:1 gene:GSPATT00015258001 transcript:CAK80363 MSLNLSDLINQSLDKIKKAITKKQFELKQIIDEAQQVKDYYDANHLIKVYQFCIESKQVK LIELALFDIKNIVDQGFLSGEQIIGEKRAIEITLELVMQTQLEKEETVQIYMIKAIQAIM TNRKHHIYGEAVTRVFSLLINLHSVSKIVAIINASKEACQKIVSTYFARLEDYGILAEEE YQQAIQQQGNQGQLVFGKCKALVNAEQYMKSQLISMVDEVQIYSERRSIYEKQLDDLNKM KVIDLNLHEPNLRNVTIDKNQIQINLVNELNIKNGKFGWCIICRKQASQYCKDTKVPICS KECKYLHLNQMFNFSQSHQHSTFSEQYVKDAYEILEMLCQLSQKEPQNPQLAQMIIKCKV LSLELIYEALAQSDVILQNKPKLISILKEQLLESLLKNSLSTEKQLLILTLNIFIQLIWK VRSHMKKELEALIENVYFKFLESSNSSFDHKQYTLKVFNKILTRPKVVIEVFVNYDCSVG QNNLLKKILDMQCRIIQGRFSKQEFQASISINQEIYLKALCLDNYCGYVRCLKEYSEQYE DSQNVVQIQSIDELDDSVVQQQQLPQDPLEKQKQMKLEMNKAVQKFNFKPEHCIKHLIAC QYMENRDHKLFAQFLWENRDLNKDKLGELFGSSNEFDQKVFSLYVDFMNFKGLQVDEGLR YMLEFFTLPGESQQIDRIMEKFASKYCVDNPGIYQSAQAAYTLSYLLMMLQTDLHNEKNL DKMTLAQFTNLARGINDGENLPQEMLQGFYLRIQKTPLALHAKEQARRALEQANQVDQRR RHVMLAKEAEDALKKWFKEHPNQDAFYYVNTIEHMKSLLQQTWSVIFASISVFLEQTEDQ QQILLCFETIQAFIQLMGRFDLDEEKDTFISFLYRYCTNIPSTYKQILGVQTLIKVSLQS GQYLRKSWKIVLQLISRLEQLHQVVKKIKVDSPYKENYNQEDIISIERLFQQIQYDQIDK IFNSSINLDSNSILEFISALCELSKEEIKYNRVFLLSRVIEVADFNMNRIKIIWSRMWEI MREHFLEVGCLKNVDLAMYAIDQLKQLSCKFLQQPELTNYHFQKEFLLPFEQIFSHTQAQ QMHKIQLREYLLSCMCMITNICFNSIKSGWKIIMSIINQALQDDQQQLVRLCVQITDKIM EDVSNQQVYSEIFMELIQALIKLTKSKDVSIVTNAIKQLKILVDHIVLIKKNDNKYLDQL WIPVLSALSVLYSDDRVVVQQQSVSTLFELLKIHGAQQSNEFWKMILRGVIRPLFDEIQF SKMQFIKQTQTKQQVISAYKMTFNLFTDLVVLYIEQMQPCLNDLIDIYVQLVLQTQDFLS TLCLDSLKTIVQQGGQSFTEENWIVMIEQIQHLLQQCSPNELFEAYNLDEDFQKPLDELL KEEIRPKKFSFKINAYECTSKQSIQQKCLEILEVQAIQYKDSISQQNKDQILTIFNEQYQ KCKKFNTHFYMRYFLEQWAMQWNRVKNGPEELDELSDNQIITNQLSFINQEFISAKVIVQ LTSKPLDFIEQLIQRFTEAYNGFQIPVHKLETLNGSLEQLRYTESQVIISKSQALFMENK IVSKWLIQLLKLGLNAQNIENRDYNKILVNLLEEIINCENNLQ >CAK80364 pep:novel supercontig:GCA_000165425.1:CT868363:245571:247385:-1 gene:GSPATT00015259001 transcript:CAK80364 MQSESVRQKAIRYINELQTEDDDQFLPYKQERDHVGLLLNTNRKSKSTNQLNTRARIKHV YSLASITKQQPSQSTKQNAVLNHKKENSAHNLDLSILVNLQPPSTEEQAKAKQYKEMMEK KALLEMYEKKKDSKVMSPVLEQMIEKLNSSITQDKFIWKMSNVDKGKNLGYLFHESKLRK HNRKIQKLQPSLPNYEPNYQAILPKSISILIKPSEKPSYSQQIQDFIRKNQLDKESSKQP QTTISKKDNTLEKMYSVIQNQITREVNQSLISNPQSMNPLEEFSAKPIEDTYIQQFHLQV KQKMKETNRARKNIQNKIQENTIDIKQDSYQHFYFPYNKVLSIFKFYFLNITFIHIIYQV ECQRKNLIKSLKRDHQRNVIVKTQNAQNSIVNVIKTKYFVIIIVIVIIALIIHPMLNKDK RPCNTHQKKTQVAFQPKISTSDSKPDPLNFGKHNKGCQCKKSGCMKKYCECFQAKVPCSD QCKCIECRNYGFLTKEHFIRATRGDDDDFLYQLLLTKDQDHLQSVLSYKKPLFEQKEIED VSNQIKKIISNNESDPIDPILDVFIKLLKNASD >CAK80365 pep:novel supercontig:GCA_000165425.1:CT868363:248023:248672:-1 gene:GSPATT00015260001 transcript:CAK80365 MKNQISSFILKTYNILENNIYEDIVSWNEDGLSFTVKNTSQFSSIVLPIHFKHQNFSSFI RQLNMYDFHKSRGGIVNEFKNEYFQKGRKDLLHQIKRKQHNELIPIDIPVQNDSTDQLNQ LSQKCDYLHNLCSSLLERNHRVIKDNKSLQKLLISHSKLQFQDELQQSEQLCITNEELTN NVQ >CAK80366 pep:novel supercontig:GCA_000165425.1:CT868363:248691:250062:-1 gene:GSPATT00015261001 transcript:CAK80366 MNNLQCLNHNTANPLDNYIIEQSIGKGTFGKVYRGLHKPTQQFVAIKILEKSKIEQPADF TRIQREIHILRKLRHPNVVQLYEILESETKIYLIMEFVSGGELFQHIVKSKRLPENEAAA LFSQIIEAIEYLHSLKVAHRDLKPENLLLENNTLKVVDFGLSNIYTDLLQTPCGSPCYAA PEMVSGISYSGIKTDIWASGIILYAMLCGYVPFEDSNTRKLYEKIKHSDFQKPSHLSVQV VDLLQGLLNKNPLNRLSIAKIKMHPFVSKHSYSIQIHRTLAINSNIIKQLQAVGIDSEKC KEMIINNKHNPITTTYHLLNKYQQPTQSTQRVRTHQSIKSVVDKPSSSIERKPIPSVYHN KTKSYENNYTTAMIMKRAYDNRISARPKSTERRVCTENDRWERIKTQYETKENSQRKTHM SKPSKQPVMYAEYKLKTERIM >CAK80367 pep:novel supercontig:GCA_000165425.1:CT868363:250380:251053:1 gene:GSPATT00015262001 transcript:CAK80367 MKISFFSTSIIVSDNSCHSQLAQSFIKATELQIVKSLSSSHLDLKLEINQFIHFFADLIL KFYKSTLTIDEVKMLIMDELFGNESFYDFVKQQYDKGLHGKIIAYRTYIQMKRRSRNKQE KAVVILQSIVTKRSPKEKLMVLDMAAQQLTQELKNVDADKFNELVFDLIVQANIPSFVTE IKMIHHFAGEMFTSNSLGRYGYVLTSFMGVLMLILQNQ >CAK80368 pep:novel supercontig:GCA_000165425.1:CT868363:251277:255274:1 gene:GSPATT00015263001 transcript:CAK80368 MKIIMILLLISISYVFAGTLTKTDACICKQILSQNDCTSQNDCQWNSASGLCETRKSTDI ESYCSQSTTCPITGCAFYNKKCKPFSGCTVYIATTHADCQAISPLCTSNGEKCINVSATC EEYLVQIACQINTQQYPCFWDSQNSKCQEIASCDQFPTTYDSHEKCQSMGVLKSIKCTAK ETGGCINIEDDCTKYTEKGCVVNSLGNSCFWDNAACKEKTCVNAPTTYNTQAQCKQYMST CSVNENMAGCIDSPSSCENYTKEGQCVSVNGSICFWFSITCTEGQQNCTASSGCKVWSCE NALSTTNTDLLCSQFKSECTVNATNTGCIKRATTCNLYTTQNQCIKTLDESQRCYWNGTG CVSMSCTNAALTTYNESNCSKFMPTCTLVNDKCGVRTCNTYPTEKLCSIDYQNKQCVWSG SCVLRTCQNAGDEYNTHNECQSWLTSCTVQNDLTGCQTQELTCAKYLKQEQCYMANNNAI QCLWIDSKCVQKTCITASTLISTDEECNFYLGGCMINNNHAGCVARKTKCSDLLQFQCTF TLSGQLCFWDGTQCVDRQCTQAKYNTYRACTTFLSSCTVSYTGTNFDGCTSKQQYCSEYQ FEQNCIESLTEGKCIWNKKGSPNLCETRNCFNSDQTTSDAACNNHLNTCTVNASKTGCIE RYDKCSLFTSQINCVKNLTGGECLWYNNTCTDRTCDKADKTFTTHDGCQTYSSKCTTNGK GCINIDICTSYNLKSGCIIDKNNRNCAFQPSCNVLQCSDAPQSYSTDQDCTNFKSECTTN GSGCVLRTTCTDAYIQEACVTDSSNNKCAWINNRCVNFGCSSAPSKYVTEKECQLYKQGC TVNQSGGCIQKGTCKDAKIQAACTTDKNGNECEFSAAGCRDKVCSDYTFTTHKECQAAKS ICTTDGTKCVNQQNCSLKTKSGCFYGSDGPCLWINNACYAYTSCQSLVFSDHTSCYSFSN ECTTDGFQAASSCYQGTDGRCVYSPDSLNGQSKCKVYDGCKSAFFNTHVQCQLVDATCTT DEASCIDLQDCTLYTKQSTCNIDQKKNKCYYDDKEKKCVELECKYITLTTHQECNDQLST CTSDNTKCISMGKCETYAKDYCNTSLGTDGKCLYDPNVRKCRLALCTELNNSCSQISNCV DSGIGCVVKATCDKYETEIACKQGGSDGLCVWYLNNGEGACKLMTSCSDGSSNKEVCTQK SWNCQWTETSTQTACAQHTCSSKYKETGICQPILDFEQKNYELCALTTVPNVFPWNLHHQ QKVIASRQQHILTPGILLILNAQNVEPLLQTTLIIKVTIPIMIPTRRTPTVNPQYYLHSS L >CAK80369 pep:novel supercontig:GCA_000165425.1:CT868363:255297:256247:-1 gene:GSPATT00015264001 transcript:CAK80369 MGAQCCNNSQYPEDMEPCEDAESKRLDPRQINIKYNYKKKQKGMNSSQTTKTQERTKQLA VTLKSGGVYLGDWMGNKREGFGILKWPDGSEYQGEWKNNKANGQGKFVYPDGDYYEGQWE NDKQNGQGTFQSQNGGKYEGQWKDDLQQGFGIETWEDGSKYEGYFYEGIKQGQGSYIWSD GSQYTGLWINNKRHGQGVQVWKSGKEYQGEWFEDYMCGQGSMKWTNGCQYIGLFNKDAPN GYGIFKWGNGRSYEGSFKQSKPNGKGKVVLENGQTRFGEWQEGKLLKWYDNQQEVQKENF DILNLEDL >CAK80370 pep:novel supercontig:GCA_000165425.1:CT868363:256264:257427:1 gene:GSPATT00015265001 transcript:CAK80370 MNQDGFENFDEDIISSRPLDHFHQQNQAPQLKNFNFLVVQKMNVEGPSIMQKYIVEPLQH AGQTAVEGTKNALFQLPKWFKWGASELINKLSSSFHRAPEETQPEPEQKQQQPENPPPED NIDDIPVVFVVPKEILEGPIADRLRWIDKHRDNCEVFIPLEKPLKLNNFNGIHSSSSSSN SPDDCDFEVRRISQEFKSQYTVSELFPSTQSMKSKLMESNKKFIMSQDDYRLLTQQNTEN QNQVEPQNILLYPFLGDLIPKEQVLEQIKKFQFQQSSFTMIQPDMQQIQTEQIPQQNQLN QNTQNQFVPLQLNGQPFEITQQQQQQQQQQQQQQQQQQQKKQQYIQIVQQDQEEQLEVML EG >CAK80371 pep:novel supercontig:GCA_000165425.1:CT868363:257594:258462:-1 gene:GSPATT00015266001 transcript:CAK80371 MDYVSKITPQIIDTPDEESQAMLSQLENNNPDIYEQMKKNSPFFHQLNNAQQGLLSTDQE LQKLNDEERLTHEMYTRKSALNHKRVEEKQKLVFKKAFKKAEQKFYQNQLKISKKKKQTQ QQIDFYEAYFKELSLKMNKDLKYFIHPQKKMQIGVINYQSGFKSFNQPYIRTILKSKSFR SEIKDYILNHFVREVQEEMSYKLLKFIKFCSRMYEEALKDFQMNSAKNDDEEYFRNFIRL KMEQSILKNSKCKIPWSLQEIIDAQKFALNLIDQEMDMENE >CAK80372 pep:novel supercontig:GCA_000165425.1:CT868363:259461:260082:-1 gene:GSPATT00015267001 transcript:CAK80372 MSDIDQSIEARIDKFESDLNRVNLQQDALLPLLQMIEYIPSIQRTEANVKQVQKKQDVNE QKQGRLERCAERKLREIESMPQKPQLEVQQVDLRIKDLESSINCLYRWYEAKLREGSQQI VRSIDDKLGTDPNAEFNDQLLIVVNQTIKNALDKMSEDFRGQLCEIRQML >CAK80373 pep:novel supercontig:GCA_000165425.1:CT868363:260946:261539:1 gene:GSPATT00015268001 transcript:CAK80373 MEAQIHFLFSFSSFDYLGVQFIKQKLKLSKIFEFTLYKCTLIRFNFFENVKCQGLMQSRN EASQQYKEQLELVMTEDIKGILIEYKNNIKNNKMNDIKKLYCRFVTGMTVELDIKQDTRT VLQLKQQLAEIINLTSDRLYIGFETSLLEDNDYLRDKGITDCDTVHVITSQLTEKICYRN QK >CAK80374 pep:novel supercontig:GCA_000165425.1:CT868363:261702:264360:1 gene:GSPATT00015269001 transcript:CAK80374 MDLKDQNFQSETNLEISTNNLYNYEIFKRITWFLSLKDVLALGATSRILNEFVEKHYQFF AENYYKQFLKDQLEIALEIYYPDIIQRDQIRQTNFEKCFFDWKLQFKQMLQQKKALKIPF LLCESVFPKPILKRETIGLYCESEFQIMLAQRLEWEQKGFESLFEFQMISNELMNQLKQN KQQIIDSMGEKLDKNEVLKSRFLKFRWNLQSEPFEFEQLPLFKVESKNIQTEEQEEEVQF DQICIIDLLEQLYCSVECYLQGLYMYFSTFITINSTNSVDLLSEYVMYWEAYSNSIIELN SIMYPLENIVNELHKKYFPQYPQYPKFSIWRIMSQLWIKYIIRNEQFQQLLLECFVRTLQ AERQSKFLKEFDQGVNQDLGFAPSFQITYEIYDNFLLKQKNSIKDQFQIESSHKFYTEIV DLLRNFNKSIQDLSINEVSVHWIGHLDCCYEEFYEQLSERVQQETSLYYDETKQVFGSNV ASFIEFMRFDKDFVSQFLPEPLIFKIENLQHEHIYTYLYYYLEHSYLQRFIQIHKDQIAV AFSKQKSITPNQERTSIASSNYNENLDTQSDATLNDAQKFFNFALENSNFDIDELLIRKK NQNQEPLFEVIKVALSQRNLEQQIAEHDQFDQFQTQDQFEFYRPTTIQRAYSNNKLNCDS ADVPEEIIKTAKQFLLNDSEFSKLYQIFIDYTKQFDRSWIQVIQKNQDIELLNNEREIPR VLQDYLQYFYYVSKDLTKTILEDNKVVNDEDLDDFEMIPSLSKNSSKFKKNSVCMEVYYS EEEDENQG >CAK80375 pep:novel supercontig:GCA_000165425.1:CT868363:264569:266117:-1 gene:GSPATT00015270001 transcript:CAK80375 MMTLLAVLSLSWINYYVQTEIFSVISSSSLNQILQSQDQDQIGFIAQNIAIIVEFKFVTV LKQIESIKNFYSFFENEKQNIANNQKLNSCVSVQEFLNDKRLIQSQRFCYYAIGVPDYTT LPQNEEEVFILNDFISFLNHYSLVINVPFTGKLQIASISSTKYFAQFPSALLVPAYDITT RFWYKNHIDKTEADSNTGFVFSPLNDAFASQIKEMSITNSLYKDNKMFGIIKEGLLVSNQ LIPTVPYNVLLLDQDGLVVYFNMEQLINKTNYFYIYDQNITGFNQTDWEEMIQFSNQRDK VILVLENKLQKEKVNIYSLEVLKNNFTLVVYTNITTKIDYQSQSQVIRDKSFIHFTYSFF TQIFLGVIAIALQIIVLLVVFKPLKQFISIIKQYTLSQGNNINSEIFKMIHTKSQKQDAL SNLQNKLLSFSQILTESQGRKCEMCKIWESFAYNENDPELEFDEFRNQFNFIQNERSEFG KKMLKQIKLGIC >CAK80376 pep:novel supercontig:GCA_000165425.1:CT868363:267050:268104:-1 gene:GSPATT00015271001 transcript:CAK80376 MNIDLIKVIEKNQQKLDQHSQKLSAQARDIYALTVLYGNSNFKSSSIERSYVSTRKSTKQ NSVVPNKSELSRLIDSSSPISVKQSHIDQIASVSPNRDDLTRIRMENAALKEKMNSKEKQ IDQLDKTINALKKEKDLMIQQYQSTINRITKELEIGKKNAMNVEQALQEQIKFLEQSLEK NQNNDFRLKEMESTMQTLILENEQLKQQKKEDAKWLRELQEQFEIFYTKSQETKNKIEDT KHFIFQLSEITQYLINKQAPPLEFFVHMNKLQKQQKVDSTPLPKGSSMKLIFAQVFSLQI ESLVTLNQILKDTKTQVEKFSNQYISQVGLQLVSL >CAK80377 pep:novel supercontig:GCA_000165425.1:CT868363:268365:270996:1 gene:GSPATT00015272001 transcript:CAK80377 MEQYLQYIQTQNKDVLTKIQYKFLSDEAITSGSDYDLAGKPLEGYRIKERRRFGQNYYKR RLLSTKNAVKRKFSFNWKRIANKFRRLYRKAKSAVTLKQPYTFSPNSSMKMLWDLLCLLL VIYEMITVPLIIGFEIEISQLFSRISSTIFMFDILVNFNTGVFLEGKLNMNRKDILKEYM RFWFWLDFVSAFPYDIIMDESSSLVHSAKLIRLLKFLRFVKVLKLIRLAKLKKIIDKFDE LLSMRPRVAAIINFCKLFFFVLYFAHVLGCIFHYIAYQESSDHSWLGDIYFSDWTVRYVN SLYWGIATMTTVGYGDISPQTPQERFIGILLLLIACGGFAFTMNSIGFALQEIDGQNKLK KEKIKGANKLMKKVGISNHLQNRIRRYIHFVMDSKSLVLQDITNQVQNDLAHNLRKCING KLLGYCNILLKNTSQQFLIEIVMPNMKERIYNPEEVIFDEFDFQQNYDIFIIKFGLVDIF FKKTGVVIDQKRKNQYFGEISFYSNLPRSASARSANFSSVFRIFQSQFQKSLKEFQYSSQ ASTCPNLDLEQYYSIRNKIVFHKDYGSIGVRCYVCSMDDHISRDCEKLHFNVSATHYIKF LKLFDKLHQSAYFRRDRIDFNARKCISQIKQAQKRFETSKLINLKFKRKNYLEDLLNSYN LIEEEMQENQNPEYQICNLKRKSKIKSIRNLNGKLTKKYTRMITYTSISEKYIEGFSQKL NDLLLKARKKEMEEKFKQLQFSSISNFDQIQEFTHFQPEGNFSIVMSQYLIAQEKGFPKL MDVDTLFGIEEFQNYSQHYYQYLEIDTEIKNRKNFVEMNFEQLRLLNMNRREKKKEKVIK EANQQIKKSLIQLKVYQAMNLSFRNLFVN >CAK80378 pep:novel supercontig:GCA_000165425.1:CT868363:271426:272328:-1 gene:GSPATT00015273001 transcript:CAK80378 MLPDEKEAQQSKYREQVIHAETLNMMAKYQLIFLKMEISMRKVALILKLKGNSQKSFAFR KLKQTSSYSVNHFKVLFTQIALKYQQVGLIIQKRQKRNLHHAFLKVRLLFPKYKNDKIRR SFLQVIASKDAEIKNLQIKEQEITENITNQKLKEQEILSKLKQKELILTQLENELRKNSS NKTLDSKVFIEYIKLRNLEVENQDMQDRIMGTEDSVSLFIREMNDMLDSHEISTNLGIDS DENQSYEQPPQVIEYQSQRLNQNSRQQKSKNFYSNQLTRSTKIN >CAK80379 pep:novel supercontig:GCA_000165425.1:CT868363:272809:273252:1 gene:GSPATT00015274001 transcript:CAK80379 MEFKIVICDGKNSQWEIGANRLIQILSQQVIVILTFNSPPIIIHNIRRLFSNNDLTNITE STARKVSIHLKEKLYDSDEDSDQEIESEENSQFYDEETSLVSNEQVQSSPKKESNEPDCQ VNLESRSFDL >CAK80380 pep:novel supercontig:GCA_000165425.1:CT868363:273456:273993:1 gene:GSPATT00015275001 transcript:CAK80380 MPIQVYFKVRCDTHSSQELRIVGDIPALGMWNPYNSLTLHTNREIYPFWVGQIYEDLEQN TLIQFKAVIIESDEIIWEQSENRAIQIRYQSQSVLFTFSGTFTQMVKIQSYLDQPSDSES ESIDIKGARKIYLQTSKHPYDYEFVSSVKNQVQLGLWKRQE >CAK80381 pep:novel supercontig:GCA_000165425.1:CT868363:274219:274591:-1 gene:GSPATT00015276001 transcript:CAK80381 MDQLKGIGMQVFYTILKQHRRKLRPEMRILGDAYVKEEFRQAHQKANQEQYIEFLKRWAI YIEELDKSKQIGRDLTSEEKALLNEDQIDNLAKLKEFSKQQKSE >CAK80382 pep:novel supercontig:GCA_000165425.1:CT868363:274739:275404:1 gene:GSPATT00015277001 transcript:CAK80382 MSTSLESQILLAIWTMYQRNQISMEQKGCIKGNYQIYQDLLIRKDNNLYSTICHCKRQDQ IEERLLDILNCIYHQFGLIRVLQEIWMLKKTHIQFSDQAINLVLLQLPYPNVIILRKGFD LRVQIMKATLLRISLKFDLALIIINEFLFLYNHLKLQLNPIFILCIIQKAILNQSITVFR IINSYSNYYQLFRNHISTLFMFNINNFFYHRIIYLFIISKQ >CAK80383 pep:novel supercontig:GCA_000165425.1:CT868363:276646:277796:1 gene:GSPATT00015278001 transcript:CAK80383 MEVFPKQCAMQIKGCNGAEMSERRTKIMGFLQNQKTILLFIKMNNKIFSFQNTNTLAQAL TNKIHELEYQSKKGTKYPFGNNEDFVGIGQMILEFFFSDYLIQRGYPNKETQIKKSSDLV LLRQRLLSERNLADIALSYKIDNIVQVGNQINLKKNPKILAETLKSIIAAQYYDSGYDLD HLREVVQPILKQVLDKGQAVPLVELKQNPKSAFLEFVNGCTNLKPKVSVEWKKDSSQNGN PINIYQVQLELNNLLLIKREGVNKRNTEQLVYRDALKRLKQIQLNQSYSQGSQNQPTKTQ DKEFAEEITILDELETSIIESSQFFQFSDLRDSDLSLLENQGTLGQKVESLLEQFAL >CAK80384 pep:novel supercontig:GCA_000165425.1:CT868363:278186:280840:-1 gene:GSPATT00015279001 transcript:CAK80384 MSFFRSKKMRYYSLVIPRESAWVVMNELARLGQLHFVDYDPQLPMINRPFANYVKRCDDS LFKLSCLELLLKEFKKDLNYCENVDGLLDHFQEVQYNRMKPGHTYFDELESEIDQKKIQI QEQSANLHNILDRVNVITEQQLVLENAKEILGQSMFQQQTPHNANEYQQLKFGQLIGVID KEEEVRFKRIIFRVTKGNAWVQIKDLNNEQIDNSMRKSFHLNQNNTSQPRCLFVIVYPGA EESGSLRMKLMKVCDSFNRQRIEYPNSMDQLQKKMIDLTQQLQEAKNLIEMTKKQLEQSL DDLVLQKQGCNCSYFEYMRLYVLKEKYLYVNLNYLTMRGSIFTGYFWLPEGLEVMVEEKL RNAMQNNRDHYPTGQIQEIKPQSITPPTYFNLNEVTMPFQEIVNTYGVPRYQEVNPGLFT IITFPFLFGVMFADIAHGFMLFLCGIYLIFWKKQLLKQTDSMFNLMIPFRYLVVLMGFFA LYNGIIYNDYLSISLNLFGSCYTPENGEWKRESKDCVYPFGVDPVWQASGSSLNFMNSYK MKLSVILGVIHMLFGILMKGVNTLYFKNYVDFFCEFIPQLLFMICTFGWMDLIIIVKWLN SYENNTDPSIIETMINQVLKPFDKPVNPVFPNNPEFQLQITQILTLIAVVCIPWMLLPKP LILGGKSDKNKVSMSDSQYQPLVLEKQVSDIDDDNNSQFHNDLQNAANHKSFTEQNHEQH DSGEIWVHQMIETIEFVLGGISNTASYLRLWALSLAHGQLAEVFYDMCLAGKLDTGGIIG GFLGGYFYIIFALLTFGVLMTMDVMECFLHALRLHWVEFQNKFYKADGHLFNGYSYKKLL NDNLKSSNSK >CAK80385 pep:novel supercontig:GCA_000165425.1:CT868363:280845:282048:-1 gene:GSPATT00015280001 transcript:CAK80385 MQQQQVVLNFEYLRPAQYLVDAIVHDPQYIKRKERSKSQRRIWENVPYDAYEKEKMADFM KIIAKNKIQLPADWQDCDTLKMVYCGKFKDKNYLKVLQSHLAWRAVPNNFQPTDINVAFL QKGIVYTFGRDKQQRPIIIMNLELVNLKQFNEEVYINALSYYFGIIKKNCFIPGKIENWV FIMDTKKLGLSKFPFKAIQIATKTMQVNFCGCLDKLYLLNPSSSLSFSWKMVSAVADADT MEKIQMLKPNEYAKIQERIPANQLEEQYGGKVANLTKFWPPINIEIPGGYTEEILKAVES MKTADIVIQAEVPASEEDELKLQEQMAKIQIKKEDDDDD >CAK80386 pep:novel supercontig:GCA_000165425.1:CT868363:283093:283537:-1 gene:GSPATT00015281001 transcript:CAK80386 MRLLVHNLLMCNKCDKNNYPLKIEVNKSVIMELEFKKDAILKLIPKLDFEVLSNTVRELG FKQFPKQIPPNVDQDLVFLKDLHRVLFETHIMDGQLTCPNPSCKRNYPITNGIPNMILTE DEQ >CAK80387 pep:novel supercontig:GCA_000165425.1:CT868363:283832:284203:-1 gene:GSPATT00015282001 transcript:CAK80387 MGVCTSTNPNGHMINTIYQSKNQEQVQDSFEPPCWEEEQIGGESPNSEICLLDTDELHQN SEKATQEQCQREFVNRIVSVHDSPNSKRYYFVYDKLYNVKRKVPKLKSINQSTLIQKRRQ LIL >CAK80388 pep:novel supercontig:GCA_000165425.1:CT868363:284980:286582:-1 gene:GSPATT00015283001 transcript:CAK80388 MDSQQDVECDQCQTVPETYVKLECDHKFCLICLAYNYLQIQQEKQNLEELERVAVCFKCN QLTKLDQDTVEALHMVIKEIIVPLIEQNQINPKLNNDSEVVEVIDDLRDLGVESVGSQHQ SNQKNAMANERLQLYLERLEKSFKSKFEAIKDIQDQKHQFQSKCKQTKDEINSQYQQFIL SLEQKKNQTFNDINSLEMIQLLEIQKQEKDLEQQIQQMAQFQEEINSLIYQNLNKTQEDI SQLLIDIEKALNAPSSSLQIKSSILTTQRQLLKLSTNAQSQLCATVPNLLQDFSQSLKQK DIFMRDRNSDEKPLDPKLNVHFERQDRLSKFSPIKSEKLNELWPKNDKPHLSLEKNTIRE SKDLHEFKDYREKEKDKKNQKVLTQESVNANPKSKFKYEDSDEKSRNNNSKKLFDSQISQ KNNLVSKWKDQLFDVFDKKNLKQSAESPKVPEKPNYASRDVPYQREPSTHCPRENKNSQE KMTTKQQLINRACTIHDVLNMQYQNFMTQKENLIRR >CAK80389 pep:novel supercontig:GCA_000165425.1:CT868363:286949:287800:1 gene:GSPATT00015284001 transcript:CAK80389 MLFPELQSLDDFEYIKNLGSGYSSTVDLYKHIQTNRIVAVKKLSIKNLSQSECRKTYDTE ITILTKLKGCSNVVQLIGFGFYTTIDNSTRRTKTLNNYFLILDYIDAQPLDKNSERIIKQ FYYKLLQTVQKIHELEVVHRDLNLNNVLIQNNEPILIDFAFGAVTNGKQKFAEFFGTKPY VAPEIVDGQSYLPYPSEVYSLGVILLELINNNETQEIAAQMMQQNPYKRPNLEDVIAHPW FQF >CAK80390 pep:novel supercontig:GCA_000165425.1:CT868363:288079:292537:1 gene:GSPATT00015285001 transcript:CAK80390 MKSIYGDPELIRWLNKEIKLQPAILDIEKQFQNWFYFQEVLYKTGNPLKKILTNKFDEYS TQKNIKIVKKHIIKLVGIEPKVEKGQSQIEEYLKAIKSYAKPIAVNSLLSIARKETKDVL NELTKTLKVADKKQVQSLVNNYEEKFINSLPKKLKSIEEGLHRFRDEKLIHEIQAVKLKQ RDEEKERQWLSSLRENELEKIRANHEFIKEQDKKIYEFWRSTEAVKHNRIEKETKFNEMM TGRLVAEINQKKKDDQKAMDNNIYLFLQTCIRQGIEIEKDPSKKPVPEKAKFSAVATMMK IRERTHKTEEARKARQKRRNKMLVEQLKQQELVEAKKKLDELVDRFSEYGKIANQEAAIR LKEKLRGKLQFNERTLMCQASEEQRETFFEQLRSEAKAREQEVRLQRQKEWRILQVQYME QNYNRKVQQRQKHVQQMQPLILTILDLADKCYLKMNESVEQNGKPLTLDKKVWNKFIEEW QSELSQIKPIHDNIAAAITQDFVEYYHAVKDWKSTQNDSQLMANISLAEFLFEITDELYP MQPLKEGINYYLPLKISLIGGKFSGRKTTAKYLNQKYGLEIIDIESIIKESLKLAFPPIE DPKKKKDTKKVVEVPIVENPELKEYGLQINEYKDSVIPDELLLKGILIKLNQTFGQRTPL QVAQEMKEAKEIQLKKDQQPVVEEVKKTSKKPGKKDAPQNTGPTQEDLIKEYMNSKQFYY TKGWVMIGFPENANQAKMLEEQLTGYIPYEERLNQIAEEKKKKFQELLDIPTQEESNKIQ QSGIDIIINLDTPYEQRVQRMQNRRIDPLTETVYNLEENPPPPEIKPDKLLKIDTETEEK LQKDFQQFNENLPKLIDWCEQFGFEEDFKQLHNTKIAGKGFEVAKSIDSLINKVLTHNFE YLNQIAEEVLASELQQEQQSQQIQSQENQDLEKVEEQNPEQQAQILQDKQSLLDAKQSTE RGRSQLASRQKDAQSEFLSQDQLKGILKQWDEISNTYIRESTIYIRRMSHQRKKCQQIFL QTQKSFIEFFESPDNKLKLMHEFQLNYNKFLQENDDLSKQKNAKQQLKDHLQKTYEQLWD ILNQKKNQALDFVKKLKKDRFVAIQIQQFMQHVLMLLQTETNLLTEIQLLGRPWSEHFIL EPPQSQLIDGYKFPQLEVLISYYFDCLDKITQGEESLIIKIQKEIAYKRGQIIRSVAHSK MTEMLLMEDILYSRIDDWIICGIKNLSENDFCFESINYLKQQIENRQPAILTIPEPSPIS EFFKMVYMQQHLIPESEKWRQAIQFYEEVKSLSDSAWIPLEIYESFQRRRSNFLSQFKIN INKIANLGCYQNVRFSYQTLLITIILYECRVPTQEEIQQLVDDIQTVEPDDQRDYWIEYQ FWFDDNFVDQEFNLKIYVKNFLFNVLQSQDLAVSVKENFGYFKQFENQLFSSIFDEEDIK SKQK >CAK80391 pep:novel supercontig:GCA_000165425.1:CT868363:292590:293728:-1 gene:GSPATT00015286001 transcript:CAK80391 MEKKIIEFIQDCQTNIGNCQDLSQMEEIVHQLISIVYFLIEQGQQTFEEEKQKYQTLNQE FLNLQALYKESLQEIEALKVHHKQDVEEFSIIEDRLIKQEYFIEKEKDEQLYNMKSSTNE MMQSLRIQQFQLENVKKQNEDQQMEIKELKKLLIQQKQSNIEPAAVQEESKLQTQLILKG NINSRSSCGSVMVKQQGSCSSIELNQQSNQKENVQTENCSQTILCGSSLKEAGHLLKYTH CHSKNQSINWVKLATIAQTQQSISNSDDEDSVCQKSVRRLSKDLSTGYEPLLFGSRNPYK DYYSLISQSVKLNLNQTKYYVVNVDMLYDQFLAEGVPFHKWYNKIKDYILRQAQ >CAK80392 pep:novel supercontig:GCA_000165425.1:CT868363:294079:295837:1 gene:GSPATT00015287001 transcript:CAK80392 MQKNKIFLEGIKQFDLFAQPLQLLVDKKVYHQTLYGSFLTLLLLALFSNLFYQKLVTLFD KSNPSSLSSEIYHSQPELYTLTPFNFTMTMAFQNSTYNTYIDESVYVVNAYLVKKIVKES NGQKIDVFEKQELPLVECNQNLIQQEELKEYFSHIDLPNNYCIDWNQIPNIQIQGTFDAP KFEFIAMQINTCTEQTQKSKPCKSQKEIEEKLKQNYFSFQISSYTTNLKNPNSPYQPKGQ DLFTTISNNIYKEISIYLEPLTTITDVGLIQTDLEYEKTLRYGRHTEMLDLNQSDLIMSV IIRLDTTEYIDYRTYPKIQEILAELGGLWQVLFCLFYIISKPINKISLLLELINSLYEYQ DVQQQEEDSSLNKNEKPGSPLQRQIEQVQIYNEQIVTNKTLSIKDNICKNQDLKDKSFKT ILQTYVLYIQKTLFRKNKKYKFGYLNAFQALRCIVTKDDENIIKFREAHQEISSQLNVFN ILKRLQDVEKLKHILFNDNQLILFEKSKNILQKAQSFDVKQNSDQQIKQQGMTQDAILHI LSDQNNEINQKLVASIDVTVSNLLRKCKQENLEKSEKN >CAK80393 pep:novel supercontig:GCA_000165425.1:CT868363:295998:297637:-1 gene:GSPATT00015288001 transcript:CAK80393 MKGQQSSSLKRQIHISNQILRFNKISSLADTYDYIKNSKTDSRLLNTPKVISKAINHPQY KQDTFLDLAFMTVTKRDSRYDINKFPNLEKKTDVQRVKWFIKQNKQIDQQQNFLEFLNST KVQSSKNFDQQIVDQLSQVQDKNQQNNCNKYFVVKTPAKPSLIKNKNTSRCNHIYLERTN QYQLNYQWSQEKRIYQKHEFRMYYINISQIEFIEKNNELRRHCQTQQEQQYTQNSILEQL QIQNIQHINLYSSVKRGIKNDVLHNQYKTEIQDLIEVNNECSAQEYDTIQELKKKSIFQN PFSNTHHYEINQFNKQVVEHEPKVIQQNENEQNLIIQKRKNKIQRVLLQITLFLHWMIEH KLKYEDIISQQVFQTKPYQSVNSKQCFQKVKANDIEVVKSFITSNRYLVYDYDNFKLSML HHAVIRDYPDMAILILQNYAEVNSKDIQGRTPLFYAIRGKCNQCVCILLQFKASPWGNSK NNYDKYLDLLDPKVRDLYKKAKTIHLRMQILNASKREHYWSQQKLMFIYK >CAK80394 pep:novel supercontig:GCA_000165425.1:CT868363:297999:298542:1 gene:GSPATT00015289001 transcript:CAK80394 MDQGLDAAQLLAELKKQDEWAKAIIFDEDLNVITHKNCAASKEELAPYLKAYDVRDNTIG AGFVLLGEHYEVHRWHPPLIYGRRGDADVGEGISLARGICKKHNGKRVYLLITYELPIVS ARAVPQQINFYNQFIGELEKFEIKQQ >CAK80395 pep:novel supercontig:GCA_000165425.1:CT868363:298543:299297:-1 gene:GSPATT00015290001 transcript:CAK80395 MAANKFWKKKELSSLEQQVGAALTQIETTITEVKNLKVSSVVDYTAKINAQKQVYLVLIP YPCLSIYNRISSKLLPELEKRVKATILVAAKRTIESKWVKSHRSQTRPNSRTLTSVYDGL LEDLISPSVILGRRTRVRVDGTKFYRIFLDESDQKELEHRLDAIKNVYKVLTTRDLEFEF RRDDTFYQKRGAKKVAKK >CAK80396 pep:novel supercontig:GCA_000165425.1:CT868363:299328:299851:1 gene:GSPATT00015291001 transcript:CAK80396 MQLPQAIYQMRESTSLILEPTNNSGALLLGNITSLTKYKQNQVKAAISICEYSKFEDIQL DNHLIINIDDSEDENIMQYFEQTNKFIEDNLKKGNVLVHCMAGISRSASIVIAYIMWSQK KSYKDSYKYVDEMREIIYPNEGFRNQLKAYELQLKKGQ >CAK80397 pep:novel supercontig:GCA_000165425.1:CT868363:299879:300755:1 gene:GSPATT00015292001 transcript:CAK80397 MFQLVFQIIEFLLSVGLCLFIKVGREETKMSGRVLKFAYRVEKNLLYNYEFGKYTKVFRF CLIPFLLSTLFRKVTKLKSSFNFYQIMLFVVDLLSLTDCINTTESYQRYCLVYAIRIIRT SIMLIDLVRDVAKLVMGHFDQRLQSKQIEIQQQLQQNQQEQAKQQESLSDESDQDEQQKL INTKKQQQQQTMIKRLIFPQMKIPCIALNLIINQQQYYIQIIDPWSFDNSFSTISIREQL LIMIKLNFCCQVYQTLKKTKCNQQALYQYRKLIAEYLSQIIWMN >CAK80398 pep:novel supercontig:GCA_000165425.1:CT868363:300756:302934:-1 gene:GSPATT00015293001 transcript:CAK80398 MSTTQNKEEQFHFNADIQQLMGLIINTFYSNKEIFLRELISNASDALDKIRYKSITDPDS AGLNVEPNFKIKIIPDKNNNTLTIQDTGIGMTRDELINNLGTIAKSGTKAFMEALNSGAD ISMIGQFGVGFYSAYLVADKVVVLSKAVGQQQYKWESQAGGTFFIYDDSENPNQLTRGSA VILHLKQDNLEFLEEKRLKDLVKKHSEFIGFPIELQVEKTHEKEVSDDEEDNKEKKTEEG EVQEEKNKDEKKKKKKIKEVTTEFEQVNKNKPLWMKKPEEVTKEEYANFYKSLTNDWEDH LSVKQFSVEGGLEFKAVLFIPKRAPFDLFETKKKKNNIKLYVRRVFIMDDCEELIPEYLG FIKGVVDSEDLPLNISREFLQHNKILKVIKKNITKKCIEMFQELSENTEDYKKFYEQFSK NLKLGIHEDSANRTKLAEFLRFHTSKSGEEQISLKDYISKMKEGQKDIYFITGESKASVA ASPFVESLKKKDYEVIYMVDPIDEYVIQQLKEFDGKKLKNCSKEGLELDQTEDEKKKFEE LKSQFEGLCKLIKDILGDKIEKVQLGQRLEQSPCVLVTGEYGWSANMERIMKAQALRDPS MSSYMMSKKTLEINASHPIMTELKKKADKDKSDKTVKDLIWLLYETALLTSGFSLDDPAH FASRIHKMIKLGLSIDDAAIEEEDEKLPSLEKKSETANTEATKSKMEEVD >CAK80399 pep:novel supercontig:GCA_000165425.1:CT868363:303156:305444:1 gene:GSPATT00015294001 transcript:CAK80399 MQTSDNAKASRKGLGLLLNQHHRQHFSEDFQRPQQLGTPRSYMQALPKMSTQFNSVSTTN SNTRKLISAERPTITIAEEQDSYGYRQQDQQDEELLRIREMHEILKSRCSTLDLLISVIG GKEFVHNIKNQTTLQKIQELLKSISQTLQQSAKTEIELGFKMREIERKNIKYSSLQMKQL KLSDKNQSLQSVIDVHSQKTNKLLDENSKLQKQLKQERKLNASNSKKITALEKRIEFLLE NDVNSALNPNDKLRSSLNELLKENELIKREFEHKKQELERVQGKLSQYTQLVNRLQKTID NMRKKNQDDMGKDEKSVFRKDDIDLLVNFRIPQTLDFRVVSQRLNNQHLLNDLTDKGVLA TCQHNFTLPVESQKEQFQIIAQQLLSYKEFAEKMNQFFFYMEQFSKCLLFEDIIHQVNRV LPQVFGCEQVRLWLIDGMNGTIFTYLETGNQIRALQHKGQVADVFKLRSAQNISQASQKP LLYRINEQEQPDYARNALLLPLFCETNDAIRGVLEVTNTENEFFSFDEEYFGILASHQLG HLLQRLIDNQSWLITQKYRGMMMDGFTNLMKSQSKQEFSSKVQLSLSQIFGFSQVLFYFF EDSQLVDYSGQDVKKYDVQYGLAGMVAHTKQKLIINDVKNSIHFNQAVDIKSILPIFAQP LLDKNNNTVAVIETCLKCKLKVQVEKDQLLSPSEGVLGMEEPLTKQLADFVDIIVAALCN IRF >CAK80400 pep:novel supercontig:GCA_000165425.1:CT868363:305460:306702:-1 gene:GSPATT00015295001 transcript:CAK80400 MNQLLREEEVLQQQKEENLNKQAEQVEPENEIQQDQQEQDDGEVNEGEQQRIDFQDPPQQ QTEDQPENRQQIVKPNSKRFDSQEEVKTTTQRKEMNKRMETEKQYGQKTSSERTFKTLEQ IYSKRNTQSLLHFRTSEQFDTKIVHDQINRSHSKQQYTFSKAERFSKAKESYCSIQFYDS QIQTQLNKRAAALGYGNKSDFTKKDKYIPGPTDYNVKLALNPGIKFGYGRDETMIKGIHG RPNRNPAPNLYQVKDIVTTFKYSMGERTSAKHIYLQSTTPAPGRYDVGGLTAKGNYFFGR FKSSGAPVISPSTTIAKRLKRIPGPGTYDPPGNIGDLRTYLPSQYSTRSGFRFGFQDRQT QDIKNKQFPGPGTYQLPSEFGDYEYPPLI >CAK80401 pep:novel supercontig:GCA_000165425.1:CT868363:306729:307518:-1 gene:GSPATT00015296001 transcript:CAK80401 MESFVQRLLIYSMFINPVNSMFFCLPYFYSFQLPQPLVKEEASDTEKEQTVENIQEQTNA SGIVRGKGQLWSKEEVDNLVKYYKQYHGDWKKVIKHLKGRNISQCSQKFRKLQDQDKRTK SKWSSLEDKILLEGYEQFGRQWIKIAEKLPGRTSKQVRDRYVNQINPILNHKEWSEGEDQ IIMKEFQQNGPHWAQIAKQLNNRSENQVKNRFYYTIHKKYNGELHPYLKIQN >CAK80402 pep:novel supercontig:GCA_000165425.1:CT868363:308476:309448:1 gene:GSPATT00015297001 transcript:CAK80402 MQKKTPLDRHLILEMFYQPEQGFAQFLLKNYQFSFQQLPTVEEINSILNSVEKAYNSKRG MWTPCQDKFLNLLVLGTCLKTQESPVDLSSYQWEQISRMFRYHNWKGCRNRWLEECHQKV SWTPAEDQVLIQLQQLHPNKWCEIAIEMMKICKTPYIRQGKQCRDRWVNKLDPNIVNLPW TKEEELKLFREIEKRGKRWAEISLKVFKLRRTENTIKNRYYNLLKQEENKIKLGKVTKDE KNEILVKSIIQQLEQSVDCKYPLKNEETQDSQNQEYHLQIFDYNQLQKPLKLVCLVKRKI VKLNEI >CAK80403 pep:novel supercontig:GCA_000165425.1:CT868363:309525:310378:1 gene:GSPATT00015298001 transcript:CAK80403 MNCQICFLDKQMIKPGDCSHQFCKECVIMYLKEAIQSGSVFKITCPACTAKYNALVIKQY CEDLFPKYLELKQKAIITCSVCKEDLKTHKCGTKVCSRCGEIHSGQCSQRCPNCLIPIEK ISGCNHMVCKCKYEFCWICKGQYSKYHYRLWNIFGCPFPGSMMRSIEPLQQPMLLRLLMV LPKIIAFILIFCILLLIYPFFCLIITVKFHYQQFKIKKIRILLVFLFPLTYLIHFFYKGI FVVQHKLHSLS >CAK80404 pep:novel supercontig:GCA_000165425.1:CT868363:310473:312892:1 gene:GSPATT00015299001 transcript:CAK80404 MQQQEIQLNNYDDISFKIQQSQQNKCLLEQQASHYEMNKQNESVFTTFENFECQPELQQK LADAIKQETLQFKQYRKQVSQTLQEAQQIVVDYFQETKKEIKKIINSLKTTEFQYKLTQN SQEKIKQSLIDQPFKQKYQQEKFDDILQMLNILIQYSKSFHQLKFEISKTEQLFNNQVQI TGNHCFGLKHGCHFYKFQDLQFKGGEYKYGVKEGQWKEIIWARSEPIELIYEQGQYINDK RIGEWNTIDLIANQILSYGNYDEFGNKQGKWLEMKIKQDENNNIKIVEWHQNYVNDFPQN ERRLTSIIRNSLILSQANCPQIPITEGYLIRQGKQKQGDNEIFLQLQPQGQMLYLFQSQS FNAQPIEIINLINIHSVFHQKNSKKQDLVEINFLNGKKAQFMAKTERAAKKWAESFNQAL LYNQWITEKQNSSISVSDACEDDNNDKVFIEDNVLQNQNYQYLKEGFDDISSLDIKQFEL QKQLGEGLFGKVFLAKYKNNNIYALKQMQKSFLKKQNFLKYAITEMEILKSVECPFIIKS YAFLENEKYYYIIMEYCPGRDLIHNLIVHGKFNENESRFYMAELIIAIEYLHKKNILYRD LKPENILIDRRGHIKLIDFGLCKTEIKDGERSFSFCGSPYYMSPEVIEQRGATKASDIYG LGAIFYELLTGKSPYFDKNQENVKQNIKDTKLIYPSNISQQARDLIQQMLEVDDSKRITL NAIKSDKFFNGVDWGRMYKQQYVPPIREFEDLSDDD >CAK80405 pep:novel supercontig:GCA_000165425.1:CT868363:312894:313381:-1 gene:GSPATT00015300001 transcript:CAK80405 MSSQKFKVKKLREQKPEDLVKDLEKLKGELIQLRTVKVSAGNAQKLGRIGLVRKRIAKFL TVINEQRRNSVKNAAKSSTKLPVDLRGKKTRAIRQRLTRSERAQKTQRQWKRLNNFPLRK FALKE >CAK80406 pep:novel supercontig:GCA_000165425.1:CT868363:313401:314711:1 gene:GSPATT00015301001 transcript:CAK80406 MGNCFNQDENSKTSIKSLKKVDYMQSQSPKDLDASKITLKDFLSQGQIGRGQFGRVLKVK MKSNNQEYAMKVIKKSDIIQYGLVEHTMLEKSVLIRSQNPFVVKLKYSFQTDQKLYFVME LVTGGQLAKVLGRQREKRFTQFQAQFCAAEIVLALQYIHETLKVIYRDLKPDNVLVTSDG HLKLTDFGLSKQYDSQDMKFFTFVGTPEYIAPEILLKSGHNSSVDWWSLGILLYEMLVGY TPFRDRSNNLRVIENKIIQNELVFPDFISTEAKDFISQLLNKDPYQRLDPQIKQRTIYFF LKLIGRIFIIQKQNHQFYKVLLRYWNSNKQNAKLLPRKYLKLLNPKQDKLIANLKVFQLI VMNFEIYLEFLKFLSCFQAQFLYFEFILIDFMRLSIKKI >CAK80407 pep:novel supercontig:GCA_000165425.1:CT868363:314788:315231:-1 gene:GSPATT00015302001 transcript:CAK80407 MNQYVYFNDLKEMQHYARKNQLSLYAYKKSVYNLTDYAESHPSSAAYVQHYQNQDVTHTQ FNKKILKTHIEIISTLQEYIIGYIQKHDKQIISFKSSKSIIEQTKKRLRETTVPFYEDIP EDCSITRLPILTTNKRFHSQKDIRMPH >CAK80408 pep:novel supercontig:GCA_000165425.1:CT868363:316256:318595:1 gene:GSPATT00015303001 transcript:CAK80408 MDNKENEKQAKLKEFQRRFPNYMNGKKVIFPIMDEIIVQFSQIFPQSNQFGKMREGNVIK TFSPIPLNQQIEITTFINAFPYNQQFAAMSDSQNQFQLLLSPLLKLTGHLIKDYHTNATF SNSYQYSTFDTQEQSDRINFKLAAFIVEDIFKYKMGLLKPIDIAKQRQVKDEIKKKGNKP QKMSLLSIVEKQQEEEKDLNQDLTIQSQKYEFENYLDPTCQFFWKIAYESFNKIIKKSQR TKLQDMDIEQDSDEQPETIQNVNKETLSQDNIEMRRQQIISKYQQLCKLKDQSKKKSKQT KQYSQIKSFKIKDRYTDLEMLRFNNLFKFLVQNWPSFLLQSIKLPYVQSLFTDQELRNIK SIGQNELGYFGLKAKQRADITSNLIEGVRETDSFKVIIEYRQEVTEAVGLVLENVQDELN SINQSLQKKDSQYTQQQQQQYRKVYKQYLQLVEFNRLFINGCLYLGSDIHGFDYHIFSND IDHIYQNNGSEWRVLDENQVQQLFKTLNVCGVKERELQTNIQKLMACELFNDQETKELIT IKNVEQSQVQAGNRSPKQLIVKILLEVVQKYTDILMVRKLRWESYKIREKFQNTIKTLEN PLDMVDFMKILIEQFETAQVLIIDQQKMQNGSQYDQRDLKEFQQRLRIYENKLKGLKEPE KILFYDTQLFQMMESREHIKPNGVKCNTKFWQQSLGLEVKEALMNFANKVDKEHQQYDVV FMASTLLLAVQEYELSSSAQDNEDDELLRQIVKDVKVDNSNFPSKIDNHQKNNQIIELD >CAK80409 pep:novel supercontig:GCA_000165425.1:CT868363:318661:319706:-1 gene:GSPATT00015304001 transcript:CAK80409 MLTHVNENPKFAETLKQLVECGKDGAIRWPEIAKVLENMFHITISKPCLLKPAYQSIISP NVELDKQQLRLLVTTVIKHSAIIKQALPDFQKTSGIQQNCIISAEFSQKSSKAECIAPLK QQQKMESKKRLVRVPGSAISRVLQCKVLPSDDSFTNKLKFMSEQLSLLLMISIDYDGEDI TELYSTVTQKMTRKNFHLLLNCISFMFDLKCISQGQITRDDQLTPDYWLQPTIKENQKLY VYNYFFEDDNCQKYKMYSDAIDVQQEMEYKVFLNINPISQPKQQSFLKRNVKLKTVRGRI TVNDKKYPSERTIIFAEPEEESEEEFYDIKFGRKQNCL >CAK80410 pep:novel supercontig:GCA_000165425.1:CT868363:320490:321536:-1 gene:GSPATT00015306001 transcript:CAK80410 MEFEKQLYRIHRRILFGPLIRKIFTAGAYFFSTLTFLGLFFLFLLQFREQYKSFQDQESI YNFDNETIYIFNITDSELLNQNESYLSMKFTFNKTLLYMSNEFQQKYNFTVETHLVEIQY YTKGFNAFLCLASDLETMFIIDFLDFLGSNYDGDVQLLNQNTNETWSWNKQQLQRKYVVA YDERIYTTLTEFIKCILGIFLQSIIASIYMKMSIICAPILIIYMMNCMQICQNQDIQAQA LIDAFPWVGQYLTILNRNQKLKKELLNSFIQMLILFYLVYFLQFTGYNITQKDWLKTFSR NNNYPSYLDHSYQMNL >CAK80411 pep:novel supercontig:GCA_000165425.1:CT868363:322870:324142:1 gene:GSPATT00015307001 transcript:CAK80411 MQENNEQALDDLSKEVLVSQLKDKIKENKTITKKLDKLSEKYVQTYKEYKLIAKDRETLF QAISSVLNDELYKFESKPLGQFDQNQILDLFKQKDEDKSKALTNLIKETNNEKFQLEEKY RQMIEKQGQNTDQKTQQIIKQLKQQINDLDVNNTRLAKEIIELNDIIQIKNQQLQTLHQL EEDNANLKTQLMVQELQLQQPDRANKINSSDLMNEQLKFKQQIDKLLEEIQKQNIKIQDL ESQLQSKQKAEAVKVIQKSYETPTPDYDEINPFEQDAALKQQDIIINKQISNKQIQTDQT IQNKEESGDSRNVNFEYLRNVVYKYFLYQETRNYKEATILMNAIMTILKMSNEEKRRIDQ ARERGFLKNAKNLISDSFACLKQPQLNDMNLDRPISRVEQMNKHMMN >CAK80412 pep:novel supercontig:GCA_000165425.1:CT868363:324163:325177:1 gene:GSPATT00015308001 transcript:CAK80412 MASQQLMQFLQSHENHRFNEMKLIEQEQYYINLLKEQREKMELLKNEFLKKDQVIVDLQC QVNELWEENERLKEVHQSSIKKKEQDFYRMNKLQLEKDSLIKDMIELKDMIDHLRQENDT LNTFYLKNEDQQRQLQEQLILLGEENSRLKTEILKLDEILFSCEPMRVENEKLQEKLKYY KLEKRKLHQDMKQSKQEINKQIEEFKQVVTKDFKNEMERLQEYKECYQQLNQKVQDLEER CRSLTDENDQLKQEIQSLYQKEESDIKIKSEIDRVRQDLMSVRQQETQKLQELFNGMISL SSLANSREQFY >CAK80413 pep:novel supercontig:GCA_000165425.1:CT868363:325194:326583:1 gene:GSPATT00015309001 transcript:CAK80413 MLFLLALLSNINCSIYDKLKYIENQIELTQNIEYFEARKRFLDGVKIKNIFEMDKDIIDI HIFHLKQLHYPSSQLLVCKSSDNEIMIINQLGTIIAQYNHTNHILMTDILHNVDEFYIGL YDAQRNLTIINMTITDKNIKEQPIDDIRRIDKNGFYHDIELTWASSFELNHEATSILVNS GRNTRVFLVGDENGAISYYFQNGTLKNKNTISTTPILNLLRRHPHIMFQTEKQIGFLNSV SLTIVTPKCDQPAASIVSIYQENIYSQNIYVLLSNQDILIYQIKSGKHHECSISYKIPTL QKLKNIRVINSYLIGYDKTLQIYNTSLYKSLYQDEVLYLTSLDDQRLWYQYYSIRSHKIN AQTSNAYEFYTSNNKTTIRQLELTHYPMPQSSSFSLMESFRFPMILVAIFIVFIFQFWQK KKKTDNESDELSVDMKKKIDDMVKQYKKYDKPE >CAK80414 pep:novel supercontig:GCA_000165425.1:CT868363:326778:327239:-1 gene:GSPATT00015310001 transcript:CAK80414 MARAQKDTRPIADQNTSEAACTYAALILYEDNQEINAAKLAQIIKAANLRVEPIWTKVFE KALKGKKVGDLLHGNSGSAPAAQAAATTTTPAAQQAKAPEPAKEVKKAEEPEEDVDMGGL FD >CAK80415 pep:novel supercontig:GCA_000165425.1:CT868363:327520:328341:-1 gene:GSPATT00015311001 transcript:CAK80415 MHSKITLLSEGSSSNVFLTEDPNYVMKEFKSVHPTSSRLKEAQILELLKGEFVVKLITFT ENYLILERLQSLDLFEVVKSKNLNPQMLKEICKALIRIVNSIHRMQVIHRDIKLENILID KAGRLVLCDFGFAEMLTSCAVKRTVGTQNYMPPELHQESLLGGFNSENINAQILIKSDVF SLGVTVFQIILGFQPFVSTKPSANCKLWKLILQKKWSQYWALVQKLSQLQIDTLTQNFLE QFLQPDFTSRCSLDEIYYHPFLKDVKEDVHLIF >CAK80416 pep:novel supercontig:GCA_000165425.1:CT868363:329204:330710:-1 gene:GSPATT00015312001 transcript:CAK80416 MQQNYSYTKIKCLFKGYAGKVFLIEQRSENDPPNKQTVPLVLKRYYRKASDPENHTNLIE YQLLTSLQHPNIINIVDAFTEQYEYKKYLCLTMEYMAPLHEIIGNLKDYQLYIFKEICQA VSYLHSNNILHRDIKPSNILVTTQGRVKLGDFGISTKIRQSMTPQTCTKNYRAPELFFGL KEYDHSIDIWSLGCTLIELFTGKMLFNGTSEIEIMSQIAELLGSVNEQNWEGVSQLPMFL EFVYDKQPLLDKVIGRLPIQVQSLVIKLLQLNPKGRLKINEILSIQRTIIYCTEYFKRQA NELNLNYYMNFDYPKFEFQTLQKAIFVRTYHKHNSSQSAQGHNVRDAQGSKTSFTKVGQE SLRQWDFFSDPYSLPDKCLYSLQKISKTESSELDFDSLDFMLRKNTERHSSKSFQSLNQE THSEKLLGKKVHFSNSVSVKNDDGSESEDLMRISSRQKTRKSNFSKKFQMSFIQD >CAK80417 pep:novel supercontig:GCA_000165425.1:CT868363:330929:331556:1 gene:GSPATT00015313001 transcript:CAK80417 MVNLRLQKRLASTQLKVGVNRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKVLWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKAQRTKKTQNKFAA E >CAK80418 pep:novel supercontig:GCA_000165425.1:CT868363:331557:332281:-1 gene:GSPATT00015314001 transcript:CAK80418 MSEEEKVNQIGSNTVNELVKNDKYGHLIQLYLKKDPTRYYHTPPYYRIKKYNSIQKGNKI YHVNQDVAVCALNDDIYSAKLIKIYCIKDPSNTFIPIIQVQWYYSKQDLKIDQKLLKCIS DKELFFSTHSEYLPANKIQVGIKVLTFEEYSDLEFEEETIFFSRAAIDLDSMEPRPNIKL WKKSCVCQLPQNPDLQMIQCDECDNWFHLDCVELQDQDITKIDKYLCPRCNK >CAK80419 pep:novel supercontig:GCA_000165425.1:CT868363:332406:333011:1 gene:GSPATT00015315001 transcript:CAK80419 MVTITIKLRLTKKNNPVQQPKGKKGKKTGEETTQQVVATLGPNVAGNELVFGVAHILSTW NDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKL RARGGVDTRQPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK80420 pep:novel supercontig:GCA_000165425.1:CT868363:333011:334052:1 gene:GSPATT00015316001 transcript:CAK80420 MIFDLQPPSPSLSPNSRVRYYQEQLDKAHEIIDQLEILLQEKELQKQQDHPSSSYVPIPP LQFHSKSPSVMSERSKSYVATPQQYSTPRDLRNRMSMGNQNSIKYIEEMKTRHTELVLQF KEDNSQREMKNKEINDHIQELKTELIYCQEKQTMTQKEKKQSQQQLEILQNEIENIQSQL QKSRQQGLQLLQKLQQYKQDTQEIDIEQEQEITYRNPQKNPILETPDPSKKRKFKNSTSH KKLMQTLQQQIELLEAQKKHYYNNSVSNFQEKEIETSRIIDEIIEPKQNQRIDTLDDVRV VTDSGFKFNTQVDHENESVRSFKIKKQKPRSCESCNIF >CAK80421 pep:novel supercontig:GCA_000165425.1:CT868363:334491:334751:1 gene:GSPATT00015317001 transcript:CAK80421 MECPMCFEFYAQDRVARNLLCGHTYCTPCLEILYNVSKRIECPLCRTKHDQNMKPHNLSK NFVAMDLASKHLDEQQAGPFNNQKII >CAK80422 pep:novel supercontig:GCA_000165425.1:CT868363:334813:336629:1 gene:GSPATT00015318001 transcript:CAK80422 MCTECISDHYGHKFFKYEHSGIEQMLKLVSLQLNRVGRIQSKLRSQYETLEKQIKDFDDC KEKLEVDNIKLIQQIDDQFDRIVEKCERRRKELKDNLLKMFNSESEQIESNLVMNQSLLT DMALLLQKLESKYNELKTTKVFKGNDPIKEINDLDESVDRDIAQLKLLKGSELKILPKLY FEQKLIIDIIKYGQFKNELQNPQICYFGEKHKILVYNIEKNDWQYRQMANNTFDYNYYAA AASLPNGDIIMTGGGVSRNVMLISPSKGFSQQALKSMYYPRKEHACVYLDGHVYAIGGYD GTTKQMLSCCEKYSLVADEWKMIDPLQKQKCAFAAATALNKYIYVFGGFDGRERQNTIER FSVKDNQWKVLEFKFKQGFSNAAALSYDDNSILILGGGSNQGFSNSLQVFDTSNQTIRII SMMTEGRDLRNKLILYNNYLYACGGNTSSIEKFSISQQSWTNLKSYDYLVQDNLDSWCSA FTFDTNDSYSASNLMKKCQSKELQQNQQYKEQVMFENDSFNQDGMSDVSDSQFYGNQSQV QQNDWY >CAK80423 pep:novel supercontig:GCA_000165425.1:CT868363:336667:337002:-1 gene:GSPATT00015319001 transcript:CAK80423 MIKIGMLLVMVSLGQCQDLLTSIKNYADGIVSSNITQKILIDFAPLLLPLTILSIILIQV MKICFKRQKFYDKLPQNEQQANVKKVNLPLKHKMQLYFMRYYCQFLRIFTR >CAK80424 pep:novel supercontig:GCA_000165425.1:CT868363:337064:339480:-1 gene:GSPATT00015320001 transcript:CAK80424 MKNRVPLREQKPFAHLQQKQDIKEEKGPANFVVPVQTTNKRKSNLLNNKAFVAAIKKAWQ TGNLALVHYDLQDFPDEIINIDTINLEGEEWWQKCPLTKLDLTNNNIQDIPLYDTLQELQ VLRLGNNKIGRFHFDLTKQFQNLKSLTLQNNQLVEFSCRLDSIVELNLADNKLTGIDNLY FPNLEILDITNNQFAQLPSYLPPLIKKISAIGNKIQAIKQSDLIECKKLEDLQLSKNQIA FIEEGAFYNLIQLRVLDLKENKLKYFTQEQLGDNHECLDSIQLSFNQLDVYNCYEGIQNL SVLLLNNNKIKELHKEIINLKNLKTLDISNNDLGDLPSQLGFLAQLVRIQLEGNPLKCIR SNIRSAGALQLKKYLQQRTDDAAKLEVQADPKLKQQLYQSNNIWEEYLRNFLHKDELAIR QKSINLIDNCVFRLTNLIIIDFSQNNIEMIPDQIQQLRNLKKVNFNENKITQISLYLFNL PDLVELELRQNKIQFLPSREQVYLPNLIHLDLGKNLLQNIPNFVPDLTRLRRLLLAYNQI DSIDSLLQKESILEVLDISNNSIDQLNDEIYFKMPNLQHFNLQNNNMRTFPTILGFMSLK TLQIDGNPTKLISRQIIDKGTVHILDYLAKKHPLNQIPPKIQPIIIEEPVINNQSQMSQQ QQSLKQRQSNQNSKSSASSQMLVEEPRQQRQYEQQQIIQEQPQQQQQRQQQYVDIGQLRV EFQNLEVKIKQLERELNENFSLNKFQIQEKRKVLQGLMLQRSAILQQINSF >CAK80425 pep:novel supercontig:GCA_000165425.1:CT868363:339798:340843:1 gene:GSPATT00015321001 transcript:CAK80425 MLKKCTDNPKIAETLKQLVQERGQKRWPEIAKVLESMYDIKIEIPFTLKAIYQDIMNPRP GLNTEQLKLLVTTAMKYRSVIRLALPEFQKVSGIGISRHKFVSRLYRFYKNTILAGIQIF IRNKMDYRKRLAKFPGQSIGRILQCKELTGEDDFTQRLKLMADQLEILLNCYLSLEDERD YSLLYDILTPRMNKKTFFLLLNCISFMDDLRCIQQNVIKSDEELMPDYWLQPTIKENKEL LVYKRLFLDDMDYKFRMYTDSQDVQTEIDFKFFLNNAYMKPKSVVTQRSVKLKTVRGKIT VNDKKYPSDKTIIFDQPEEESEQEGYEIDFKKHRLLNKD >CAK80426 pep:novel supercontig:GCA_000165425.1:CT868363:341532:342413:1 gene:GSPATT00015322001 transcript:CAK80426 MFGDQKKTLIIGTFGVVALAAYIWQVFDKKQKPKLTQTISDEILIKFIKQLRALSFPHLF LVSDVVKQQRIEYKGDHQEIFIRIKQEIDLLFEKKQQMILFKLELDYNEVQQSLQLSENE EVKNLQAQFLKLYEDSLDGIQPEIKLSEQTLAKFNQAKSLKILGQVLAVSVNLICLATEE LMLRSDCPQVFNLDQPIVMKALIKNGLIDKKLELLSSLQINEYEKEEPCPIELFSKLIQY YKLENPKFKQCVEDIENLYENIIQKILKKEIDSESARSASTKIDIDEIIKKLT >CAK80427 pep:novel supercontig:GCA_000165425.1:CT868363:342417:343489:-1 gene:GSPATT00015323001 transcript:CAK80427 MNQQKMENNVNQQPGLDSFLKTWWQSHLFGTKLILTISSIFGLIDLFTHYAFDILSNSPD STIPFQIQRLFFANFVHYYSIDMILAIICISNRLKDFETKNGTVQFIIIIFIQGFITQSI CLLLQFVFSFIYPPFSQAPAYSLWNFAIFFIIQDCLMIPNGQSKFLIFPMQLKNKYYPIL FIVLFSLIQQSLTFISSSIVAVIYYLYSDNFNLLPATVQKMENGIIFKHFIEQIDFKRIT NYQDQIEFSVDSREIPQIQTPPAKLTIEGSAPFPPSLLLQELQKQLNTKGGKIRERVQEV EEQKQMEQKTEEYDL >CAK80428 pep:novel supercontig:GCA_000165425.1:CT868363:343524:346121:-1 gene:GSPATT00015324001 transcript:CAK80428 MYQTSQLINRRPNMRQLTGRARDIQDDDDDDPGISSFARSKVDDDDEKFKNQNTDPDFLD SLLKKKSRNDSKIDKSNNSSIIPSTPPPQSTSKKGDQEISNTDPKKGQTQTQNNTGNKDT PQQQQQQKQSQQTPAKPNTTQPFQTPDPKQPQSQQKTPAQQQSQTKTPQAQPQPSQQSQT PQQTKTPQQTKTPQQQPSQSQTPVTNKTQQNQKQTPTPAPKDIVDPAKSISNKPSASKED QKNQQPPKLEEPSKVEQPAKVEQPKKNEQPSKNDQSNSKGNQQQQPQQNRIDQDDQEQEK GPQVAENRPSFVRRGKNTQVVKDIAKNIKTMPDDKILALEPKNNIEVSKKLSLKFAPQKE YTDPTFPPNDLQVFQVFGHGQVDFKRYNLGREQDTHQVVDPNDILPGQQSGTLMQVLAAI AEKPQLITRILEDQVINEFGFYYARLCIGGVWKYILVDDLLGYFKGEPVGARTTIDNNKL KSLQKSNPGYQSEIWPFIIEKAFAKEYGSYEDAFKIATVADFLQETTGAPTTVEQINENV LKSLTSNDVALVHMPDGIFTFLNQDGKDVTLRNPWGWISNQPSTAQPEGEFKVPLKSIAG KQITIAKCVPNNYHISYNLKTNNDAYSSFYVEVRQDTVATFRLHQRDERYFRNNISKKYD YCYARLLIFDGQQQLIAQDYGQFKTLNVNVELQKGKYTLVILLDFLNEQLYDSTLTFYGT QSIEISRINYRAQPNLLAQLYTQEAISKGQRKQNGQVEEFTFVSDNKLTVLAWKFNGTQP NKWSKDLSKQPNRNNMKPITFVNAADTQQLKVLTTEQIKQLKLSSWQNVPSFNIEFTQQN NTYAVVFK >CAK80429 pep:novel supercontig:GCA_000165425.1:CT868363:347155:348328:1 gene:GSPATT00015325001 transcript:CAK80429 MGVYISRFCKYFRGKESRVYQSQNSGTLSLHKSKILVQNNSDGYDSEPEQHFHHAESLAK IKWKRGELIGQGSFGRVFKCMDIKTGRILAVKQIELDYVDKESLESFHQEIKILQQLKHK NIVEYYGCDEDRSHLSILLEYVGGGSISHMMRKFKLKLQEPVIQKYVTDILHGLVYLHNK GIIHRDIKGANIIVDTKGVCKLADFGCSIIGVNAYSLKGTPNWMAPEVINSQETGRYSDI WSLGCTIIEMLTGEPPWGRFQSPMQALMTISSKQCSPPIPNNISQNLMDFLNKCLQFDHK KRWKAKQLLQHPFIISIPKKLLKTDQLIFQRKSTDQYNNLDLHVAPQLEDNKKNEEYLDK ESYHMILSKQSSAISDEKHLQY >CAK80430 pep:novel supercontig:GCA_000165425.1:CT868363:348450:350658:-1 gene:GSPATT00015326001 transcript:CAK80430 MQEQQIVAGMSLEDQKRLDDLFNQVICKAENNNMRFHEFLTFLLEREMITAKFNFNFYFN LYQEYHALEEIVQKVAQAPKKGAKEPINIIKDDTPKFSRTKFLRMIDALGKALHQGQKKY LDLILKDIFIDNKKKTQIRMLILDDPNRKLLHEQVIKTIIAYEEDIQALFTMYLPENYYK NELMLTWKEIKLMNLRIPIFSFIQMLQDMNIHPVHYNYENIEDLCMRIIPAITPKENQFY QSNLIQQICETINSGRFKPQHYSGDPKISYLEFQFLLCKMATDITNREVQQDSKKEISPL IIRFFTKMIEIQKNGNRVHEDKSKHLALIKKYYEMQDKTDEFVQEQINPQSEEQDMKQQN YLFLTRKPDQLDIKEIWQFFQQDLPPFPELKSQVDLAQDFVKAIKEKEKREELARKLEED KKNKNKPQPKQQLKKGEQPMKYCIDQLLISQNGSLQYSHQESVLINILLTLQKKLNLNEL STLSHITVAPVMLPECLYPHDPPQQVRTMVEASLMSFTQQNYFLAMQNLNQAQELWQSLT ELHDSEMVFFEYFGGQIYEIAGQDEAALNKFLRVKFHTDKLQAEHPDKALPYCGIGSVLY HMQEYELAVRAFMKARMIRESSIGDENIENAITFNNLGCALHQNGNLFAAENCYMFAITL LEIHLGTMHAMTMNVKRNLQKLKANKYCKQ >CAK80431 pep:novel supercontig:GCA_000165425.1:CT868363:350764:353069:1 gene:GSPATT00015327001 transcript:CAK80431 MRNEFQKDTTYVYEVCADKSKFRDDALLKNPFFQENLLTENSYLQIIKKGEVDTQIATMG TPYALTLNEENFKTQDDLYKFIVRNIDSTFTLQEIRHNSYTISVSFCELLKSFIISSSGQ TIVCQDRNDIQKYLKPHACERVCKVSQQFFDAYQNMNYNLQMSLQKDLIHRSLVGCRNSS NYIEWYSIMEHYQSQRILPPEIVKRFLNHYNLEPAKSIQQQTNLSKFPSLCDSIYNQLID QYHDYFKGKTLYFWKNDKYLGNCYIPSKHFEILREIKTLVFGPQNASPQRRPGKLFSQFT LNQLEYEFYEKCLNFMLGIPYNKRSNFSFMQLSNITFNCVHKNLDYIPQRCDQQITLAQC LSVKPIIVVLIPVGINGMGYEDICQIIYQICEGVKIVSKTYQVNDNSMLYLFDQICSLKR LQSINDELKQLPYDVRTVALYPECSHYYLSKKIARFPFSFKFIMFCLLQVLDHREQVNQI INQLKEFENQNLNHLPCDYQISCHYMPQIKETDDLYSEIVESDFKAAFSHTNDYLIESLS QYRDTMGQIPENHLWDQARRIINEIEEKVKVNIAKKKQKYIRNQTNKMQYGLYINNPNWE EIDNFIFDCLEIILQNYPRDVKINIMYQQLQQQLFQGRNSQFYQKQKQPYMLSKKQDKKQ WSIQVQVVVIAVDGIIILQPQDLGLNLLQDIPIYSNNIEVIKSEKIAKLVRRDVEKLQDK NTKEGTLVKQELELKHQSWSVFIVKWKPINIELVENLVV >CAK80432 pep:novel supercontig:GCA_000165425.1:CT868363:353085:354609:1 gene:GSPATT00015328001 transcript:CAK80432 MGSEKHKKEKKEKKEKKEKKEKKEKKEKKEKKEKKESDAGKKRSRNVSKEKEKKRDEFQK PKAPTKQNAEQSRGFRFDSPPKDPLQNTPFSNFKSKLIDQVSLGEFETILPANPLQNPLA SLEALQAMTPLIQMQRLQQLRAQADVKADRKLYVGNLPPNSQPKELQDFLNQTLLKMGVS SEHAGSICNCWIDSNGHFGFIEFRSPEEATQGFILKDVIFKGHQLKIGRPKSFLTSLAAV NQQMMSEQAFNPLSSMKGNDKDSSSLISFRPLKLMARYVQIPTNILVIKNVLTLEDVTID EEFNDIMDDIKEECSKFGTVKNIIIPRPEFGKIIIGVGKIFVEYEKTQEARTARRYLAGR MYGDKTVECEYLSREKWAKRQFTDLNEDILRDREEQQRMDQLEQERIKKQMQEELSQRN >CAK80433 pep:novel supercontig:GCA_000165425.1:CT868363:354709:356474:-1 gene:GSPATT00015329001 transcript:CAK80433 MNPNIPQFKGSYVNMKTSNPLEEFSKETEQNTSSIYKLQKKLNEQQQQVDALMKVVSNSH QKYYLSQEDIYKYPIESERLNSQLLDEIKHLKKQLKQIESGPKLPQPPFLPPFFPQQQNP LGYHQPYNSIPVASPIRNQNPYYQYYPFQNTPFHQPQKEEKSFSKLLKAYAQKKQEVEKL NQLLSQLLKNQKIDPTVEHKQNSTSRRYLKVKHKQKKEISELDFSKISRFDQESPEPVKN KSNKKQVIKLQDQYSPSQNQNQKIKLEPLKVKSTQERLKKLRKKLKYCAWMVIFYKNKYY QILEKKAIKRFNDEISKYADQFLYSNTILSFVRESQKISLFKKSWVFTENKDVPNRIANL IQAADTFIKQLLIGTQTTKFDQQHLSFIRAFTTNEGYLFSDHSAFVAQRLKLNYKRNLKF TSPDQQKMAFLEYAYIYLLLHQQMFTMKGWQELLKPFAEPLKILVSLLQYLFIEKFKNLQ IISKDTKFNTDKIPILDFTKTKVEDFKCIITNKDPRYQVTDKMPILGLYDETVLKPVIEH PGFATLKNQFKAYVDFIYSKVG >CAK80434 pep:novel supercontig:GCA_000165425.1:CT868363:356591:357270:-1 gene:GSPATT00015330001 transcript:CAK80434 MHGNKSIYQREYVHKSPDQNAHYNQNLFESPKQEGDIGNVSTYKHDFLGSQSNFRFKSTS PQSTLRSGPFCGLSTYNNVFLNWGGGDTVPLLSQKNPTVIRDLPFFGRTNYRECFQGTQV QPAQSTKGFHCNKSPLSPPNMKFNGISVTKSSYQPYRTDKFANSKEQPQFQLNPSYQGQY NSEYFKEFDPKYRQPCPAKQVLEEVSQQC >CAK80435 pep:novel supercontig:GCA_000165425.1:CT868363:357348:357702:-1 gene:GSPATT00015331001 transcript:CAK80435 MNICEVGNFSFLFNSTRQVQSFNLLVPNSQYTYKCPNKKQLLDLEVISKGRNCHLLEVIQ LTLKPKYQLQSKITQLIERLMSRQKNGVNKEEQQFQSYFEHLGGIDIKE >CAK80436 pep:novel supercontig:GCA_000165425.1:CT868363:358388:359183:1 gene:GSPATT00015332001 transcript:CAK80436 MEPFAILTNIIKPEYLNYYKDWIYIASEKEARGLQLIGAIYKHKGRKLFRAKPPTVQLQE LTDQQELDFKKAEEMHRKNQTSTTYGTEFGYLQKLLKPQNNVFKFKKCSELEFAQPLNDL AKLFLDNWIEMNDELEFQELVLNCLRALFSRFKAQLVPKTEMKVKYEYKPDWKLSNPIRV DKAGTDINAYKTNYNAIFKQRLKQEQIKQKIAELDGTDFAKALTIHKSFKIN >CAK80437 pep:novel supercontig:GCA_000165425.1:CT868363:359256:361459:-1 gene:GSPATT00015333001 transcript:CAK80437 MYEYWNGQDLQNRHPNNFDQQGNQFIQQIITNITHILDHFNQQKFINTLTILSEIKVQSL HFNAKKLAQSSDELQDYISQYMEVDGNTLRLNGQFQQGEKQKSAQLLLQMIETAQGTMRD WYKFQHQQLEIEKFEERVDLLRHNFSLKQSQNCCGSQILNLWYVSIFIITKSKISRPASC KSRKSEVDESLFGSNKKNDAKTAKVIQSVRKGDNSNPDVVLIGEAELQRMKNNAIVTTKE EQLYQKKLLEEQKEKQMTAAKAKKQRMISLEEEKKKQIPLTAQQQEDKVVKDSLNARAAE ILNEQLDDVKEMNKMVMYAKCVTIRDKQLQEKQQLKEQFKNQEKRKDLMMEIERLKSVKY YEEKDIQHKHELKQGHGIIVEQIKERELIRLKEKEEQEREGQVMIKQIKQVQVEDQQKNL QRKHMQKKLQDEILEANSKAIVVKEKRRLEEKEEEEKITKYNLEKAQKDAEIVEEQRRIK EEKERDVQRLREMQEKAQDRQAELDLLRAKRAMEQNERAAREKERREAELRQKLNSELYQ ARKVQQNEKQEKLEEQARLERDEFQRVIQKQKQERENELRLQQEKEYLVKKHAEELRKQI STNEEKRKQEERDKLEEGKKIRDKMVNEKKLLENIKDQKLKGLNDNTIPDKYKAELAKKK INIQI >CAK80438 pep:novel supercontig:GCA_000165425.1:CT868363:361562:362538:-1 gene:GSPATT00015334001 transcript:CAK80438 MDQKTEKALLEQQNELLELQLQELKEREDAYKMFNETILNAYNSMQSDVNKQNSLVYKQL QQTLEQYSQELIESKNRNTAVLSQLEKENRYLKNYLEQLELKIQEQAQVQENELTDLEQQ YDALQQQQESTVIAKPKKKKSQQDKENNKPEQGGGGCIVSLKPKNDCGKKKELCISNVLN CKDSNVMEISQLDWLDSSSPTGLFKQFQILQRGRDRSDHSLRMQQQPQLSKRILRDQGKS EDSVSPQCIRNTTPQNHYITPESKQLKYDAQQGFASKAEQNQQKAEKRSLAEFTKQINSV RSTENFQMILRQSSLV >CAK80439 pep:novel supercontig:GCA_000165425.1:CT868363:362995:364656:1 gene:GSPATT00015335001 transcript:CAK80439 MQQQGQVPLQIGHYLLGKTLGVGSFGKVKLARHNITNTQVAIKVINKKRMKNSKMEDKIS REIRYMRHFNHPNVIKLYEVLETAGDVFVVMEYAEKGELFDLIAQRGKLPETEARNLFLQ ILSGVDYCHNNLVAHRDLKPENILISHNNTLKIGDFGLSNKMNDGEYLKTPCGSPNYAAP EVISGRTYCGTEADVWSCGVILFALIAGYLPFDEETSQALYKKIKTADYIIPNSFSPQVR DLINRMLTPDPLKRIKFHEIYLHPYLRSNQIPFYLQIPIKLDEDRREINDEVFKKLMELP SVNVKGISQGYIQKCIRERKDKSIVVMYDLLLRQMGIGSSTPMTINNLTKKDLIFNPHIP QLDGQSFENILLTEIQKPAPYDYGKDLQKDIMAVVYPYQARQIVNAIYTCLEKFNTIIEV KSPQYKLKCYHRNLIKMTKYNSNVELFNEFQKDDEAGSKNDLPSLIQKEENKDKNKKTNQ NKYSPKEIIFYIKIYQMPTNNNDHMIDFQLRRGHPVVFMDYCNKVIALLNQHFNQF >CAK80440 pep:novel supercontig:GCA_000165425.1:CT868363:364795:366005:-1 gene:GSPATT00015336001 transcript:CAK80440 MSQQFVGINGEQTGLGFTNRDQGAKVEEDQGLIEFKIITNDGTHESMRMLIDLKNIFARQ LPKMPKEYIVRLVFDRNHESMCIIKDNTKVIGGICYRKYPTQRFAEIAFLAITATLQVKG YGTRLMNKFKEHIQKQDVEYLLTYADNYAIGYFRKQGFYQEIKMQPDRWKGFIKDYDGGT LMECYVHSTIDYGNISDLIREQKQQMIDIIKRLTLNDRVYPGLDKQNYKVENSNSDKPAV KPESIQGILESGWTIEDYNELKKQKEKTFMISCQQIIDTMKKHKSAWPFLDPVNKDDVPD YYDVITDPIDIKTIEKKLQSNQYTSKDLFIKDVKRIFTNCRNYNQPDTIYFKCANELERS IDDYLKKLKDEQQIPGVSKKIKKTSSK >CAK80441 pep:novel supercontig:GCA_000165425.1:CT868363:367064:375512:1 gene:GSPATT00015337001 transcript:CAK80441 MDQNIQLIQVCSNDEILIQCSDLFLSSDGFYPPFIKMKSQLQIENMIFHIFPVQFDNDDI QKLNQQEYIQYSQDIVIQLAKSNKFICVIVHNDKYILSLTNNIDRTTLFRIQPCYKSQIQ NSNKVSFDDDIFLMYSPENITLQTLEQQSDLFVNVNENVKQTSDFIISTTKQTTFQLKKH LKDNQSTSFCYGSLIKIKQIERDSYLELTTNENIQQNPQNNNLHMTDVQTQYQRIYSKNK TIFWRSGERNQQNIDLLIYDIWRLYHPQKINQQIKQGDQFYLQNLSGNFYLNGDQICQNY QEASLFSLIEEESINNKLFYILWNNQYLSYKIINENNFNQIQDKQLVEYKSKKSKLDLLQ FDLISNVDFVHQNWLDNIVHLIYQINLKYQLHYDDINSFIKNQSINDGKFDELFFELQQL EIVLNDLTIFCVADEEKPKIIDKNAIYYLKMNQYNQDLLRKKVIVQSLIEFIEIVKWSYD YTQIIEQNKIESNNDIPIQEHNIQFLFQLNTILNQTFLFFEAFSSNNDYNSQIIFLAYFK LKQYLGYNVGAFYCLIRLFENNSNLIPVAGIMPFIGESEKEFDLSLIKRSTRINTILTKQ QNQTKSQTLIDEIFERANYCLQILNKRFWGNIMKILSVLCLQNDKACFNNQINIGKHIIK GPKILLQIFIKEDNLIYVQIDDKLYELNEIYVQNSKANKDFMKDIIECKNFLDSQLNLIG LLCQERNYENIQFYRTKLPQKTLINYISYSGNAESLRCRLIIILMNLYVDCYPYQPKQIP KLIFNLNKLYGSVQQSNGFNAEASSLELQEFQKQSFHKQLTRQFTINDTKAIEKLLTITQ ELVKLNLIQQNQINLSLSVCKILQMFYKLYTFNLPQNKEFHEKYFDVNLLIQAYTLLPQS LEQNQPLQEQVQQFQQFQEQKEIFNQMSIILIDFLQLYFKQKETKILTSIIKTSQQFDYL DFSQQYNYSRYEKIKENTFLLLKHKQSQTETQLKAFNLTESLYQPKRNIIKRLQKCLFLE NQEEVLKYQFIKSMILQLKELESADEKQQLYQNCINSLINLNQLLKKAENLLLLQKILRI LNVDFILLDFLESYQQICQVITQKFNKSNNDNRYILEINNEEESILQFCTQSFIILKYQC NGNNYNKQRILSKFNQFDEFLKCIDLGQYDLLQEIYSESYENIESASSRIIYLLLDNLNF GSLKVLESLVIQQNISSSKNLFEIIQQIQNSKKLKQQLGFQDNPLDKILSQNKFQTFQKQ FLIGNMPYTFQIQGIQFVLYLLKYSNPQGCKVLADSFPLQQVIKYCTQLKDIFKPKFEDL NLAILNTILKTQLIKLFNVLLNYKEIIKYDDEIQQTIVLFIDKETKVFESKVVVLDPKMK STNEAQKIYDYIDSNFKFKIQKQQKENQQLFQQIQSGSENDSDDSDSKEDEQLSECQKQM ACFSLQHNFNCLYFNDYAMLLLNVFLNLAQLIQEQIENFEESKSKPGSRASSTLARQMSL SQAFAESIANDMNQSVKLKPFHNLKVKIDLFLKQIEEYLKANLTKWEIIENGHFLIEKSQ QLKILFLRKLEFQNCNLDQIEQQKKDQVVDPYCSPIYCNDNRIHELNLLKNKSENKFIDK QINSFFINEQILAIENFDMYFQIYKQQLFMNEDFEIISENFSKPIVPLINCFSSSMNNLN KNFKSAKKILKFLWHMLDIHTYIRDDYIQTVKVYELNKKGKYHSKSEQILQIKAIKAFQN LLVNQGFFEIFLQFCNSYDKIKIVQQSELFLLNKKKKEKLLYQFLLTSIRLVRGIESANQ FAQQKLMQEILKTKSNYMLKIFTSIFERYQQQDETLKLRSLIQHDINRVYLAYEFNENHR LEQKKNAMKLGILQVQMKLIQLLAEDHYRPFQDFFREQIGFAQNYDIIQHLMNILLNFFN QESFYALSQDDYILILNCFDCLKENIQGPCPQNQEKLCQPQFLRLCGKLLGFDDSQIKFL NLYQRFSKIDQALLNQDKERSRLQTQEQVRKINNLQDKTIIQQQIIQYYIQCPIYCKQLV TSFRKSNSLNYKLFMLSEIKYRCATTLESLTDNEQNESDILKRISMIINEKILIRNITIQ YEKYKILHGSGKNYTNLVFSHLLGEDAKNIEQEKERKKNIQRRKVQIKDSNTYWYQVEQE VTVESAVKDFWDSFIIETGFSLFNLLAQVYQMKDQDVQYENLEKEIQIYSLLQSLQSNIL GFAINKVFKLLLLFRNIRQLQQSAQLSDKIFNPYKLKKASGEQEAQQKKLGYRALRFFAT RTGSIEILLPSKQLKKVMFPLVPHCFALNNVIKEKFASDIDRLSQQRKVESVLSNSSHII QKLKTEYKFQALYKKNFVINLNYGNKYIFLVIVLENLMILFSSDSNLEKIFGDILFGLTI TQIILQFLCFFIFIVKKLPYLRIKSQEIVFEKQINRIRMREIKYKYLDNSQSIVLQEDFV LEKTDSFLLEQNKWRRLYFNITDYLTLYKCILLDYEMIYFLIFTTLAFIGLYVNIVLALL LLDVFWRFPTLTSIVNAIWRPSGSILLVLSLYIIMQYYYSLIVYHYYADQYTPYCENLLQ CFSFILDVTFKTDGGSVGFVSSSDVYADENYRYSILNFWEFVYVFVVISLLYSIITGIII DSFGVLRDEAEELDNDIKGFCLICGIDRGTLEKKAKHKKGFRFHVKYEHCVWNYIFYISY LEDKKKSDYNGIESYVDSDLKRESINWFPINKSLSIPDEEEEQEELSNFKQTIDDRLIEI YNKIDQLKG >CAK80442 pep:novel supercontig:GCA_000165425.1:CT868363:375639:380013:1 gene:GSPATT00015338001 transcript:CAK80442 MQKVKEWLKPFSDYFLNGFSDTFQLLLDNFLGDYLEDPKQLNMGTLLKGVEMLKLNKTFI NQLLGQSLFTLEEAYIKSIKVANLKFEASGVKLVFALVKEINIDELKEYLDKTEEAKQKE ILKLNQLAQLREEVYKHSEFEQSVDLTKSMGPQTGLIQFLGLVDTLLSNSSITIKNIEII LIAEGGKQYEFHIHHTNINFQKQNLNNLLFISFGIDQIELQLEKEQIALIENALKFEMVV ETKNGRVNTKVDGKISSLDIVLNTKQIKNLLSTAQKCLSLTDELNKIMMDLKGETLVEFD DDQEFQQKLEKTEFSFINSQNTVTTNKKNVQPKLVPNFSYMDTLNNNLQQSLAQHPIPQQ KEKQFIEFSQSIFNTSQEQLDFGSRSVKNQAETFKCQFDGIRIAVSENSQTFPKLKGRFF QDLESHFFIEIIKIQGSYHKDNIKFQIQSIGAHRVRADSNNAINIVQSQEIFRSCLENPR YQFYVTPVIMMGLGEQGRVEQDFAIYIFDKLQEECKPCKALEMSIQIFNGKNREKFYMAN MQVSDFIGSLNHELCLNLMQYLPQSEVQKLPDQEIKKPCMFGFDIKLPYVNLNYFINNKP ISLRAKDIKLKQTPVKKFSHKLGAIEAYEGIPKNENPLQFLCISFFSIWIEFSGQNIVTI GQNVVDDLQIYPLIYISFQEKKDVKKNGKSDLRGAVQYRQERSETLIRGSLPLVDVRITQ ELLYWLISLNQYFEKQQNLTNKKKPQRQLKEESLTYIQLNIESSSLNMLDHLNKDLLQID LEKTTLISSKQTIILVQNILVIDNQCPLVKFNRNILQQTIKKYSATQIMLYRTHSNQFSS QIIFAGQKRLYQKPIEVDYDNYIFKLQLGKMMRISIQNICLRIPDFQFTSFKKLNHILQN FKQNTQKQQQHQAQQEKEMEIEIRVHNNLFLDIFPINEVEELSNQGLQTIKEFSNSRALI HIEELVYNKSSTLQQARLYVGRQNGLEFQCPLILENYFEKLNAFQFTSVLTIEQLKFINN TIRVDLIKGNFRFDIIQTILEINEELQPYIPQTVPQDPTKKEYFIQGDFSKPLIEGEQLD NKIEIIIGKLLIHLEEGHTFYQMPLYMENTNSKHKTFGEELQEYVEQSDKIQNKTLDTVS LCFTNVNLQTELYSKGQTGIKFQSHFEIEDNIKDSKFKLLLSPDTETFCSEDKSFPIEIG LILENQAYTASVKISPMRICISGAFLQFVFNFQNSQSWIQKQIYEDQIQIFNSVQKSPQI WLKGLQIIDTCFNLSYDSKGLQMDDLLKGLLRISSFYDLQIPIKYMNSQIRGSPEEVINC VLTQFQQSLGSKLMIAGKALTTLEVFSTVTNLVKGTLNLLLKPLEEGFLKGTKKGVQEFS NSLIIALLNTLKVPSSVIITGGETVGLQSLTLPFRFVNERSNQLLDKISPSMIPKRFFKR Q >CAK80443 pep:novel supercontig:GCA_000165425.1:CT868363:380100:381986:-1 gene:GSPATT00015339001 transcript:CAK80443 MINLPFHKWKLNFYDPNFEAEFEAHQNKIRLISFQMINLLVSVAALIFLITFVIQQQPIQ LIIIMIGVVGGCFFMFIIGKKLEPYLRQIFSFYFVWSQSTNVLIAFSGLNIPTFVFGFNT CCFAVGTLQYSDNRLKLVYTILTPFILLLVFDVYKADQIQYVFQTIACVVCLGIWTYMQE YTARLAFSLNLIANKQKELINEFVSDSMFAISLDPRTRQFILEFQNKKFEESMNIKETEQ MKNFLRQSYLVVKSQESQKRLTDKGIQRGLKLEEFLFKKIKPDQEPIFKDTDNEVEIMYH NQNTDQIMSMKIQIKQMNFGKPILIGIIKSEQVSNLIGEHQKQIKEHQNLMVNFSIQIVS QQENLYKQINKTQFGSPNEQEQIMSLKCLSLSIINYIRNYVLYFQRNKISTQLQVFKKVM IYEYLKSIQQYFHAISQYHNMKFNIFNHVDKNCQINVNIKYLTQILFNIFEQIAKQSCYI NNVSLKIIEEYTQNNQVLETRRKAEDSQSASKQIIDLKLILFQFDVESSESLDLSCFNKL EQNQRTEQDESYIIQEVTTSLLDCLSPINRIQIDQNLKLEDGSFSHKFSFKIYSDQSQLE PSYFKAIDKPLIY >CAK80444 pep:novel supercontig:GCA_000165425.1:CT868363:382734:383113:-1 gene:GSPATT00015340001 transcript:CAK80444 MNLILTLTLLCLIQAQQISHGMHYLSEKFYGKLDTNVFYYYLVQNENPNYCVSNHYQCVF LNHLYLNNYIDHTLDSLKPKFMKKVFEFVEFLDTHKKAYFRDSSRRELEKSFEKHYQI >CAK80445 pep:novel supercontig:GCA_000165425.1:CT868363:383168:384774:-1 gene:GSPATT00015341001 transcript:CAK80445 MLDLSKREFTKLPGEIDTFIKEPDYYNTLTACNCKTQQLLSFMHFKKLQALDLSNNELGS FVKTNTLQGLFLLKVLILKKNGITQINDQFFATAKNIEMLDLSENKITKCGKSFLDLPKL ITLNLNKNQIDDLNAFKIFKTNPLQELQYLGIRQNNIESNYRSKFETTLTIFFPNLQFVL TMFSQQRELNAFHEILFIDHYLDQTEVPLQQNQIECSFHNDSLIANCIVSQNQTSAKKSK RSVNSCDSRFGSFKKRDPETLKRQIEFRKKELEKLDQSIEQITIKRDEIKILTQKYQYFL QDCKIIINLQHFNYKTTRFLVTVFVEIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXKQIFQEQQLLKIEIKIGRDNSLLVTVLQRLGEYCNSIDSLNQ QKGRNLVVELLNSMKCKDSLDIDQTLLKMEAIMKKMQELMN >CAK80446 pep:novel supercontig:GCA_000165425.1:CT868363:385414:386160:1 gene:GSPATT00015342001 transcript:CAK80446 MSNFAEQQVVGNHHHQQCQNDNLVQTVILMEQQENFEDDFYDQAQIQSPEGIAIDVDYIN DEIQEPKNSVKKIQYKKIQKYQHQNNRNRQAL >CAK80447 pep:novel supercontig:GCA_000165425.1:CT868363:386419:387950:-1 gene:GSPATT00015344001 transcript:CAK80447 MGACSSQQRRPAQVQKPGQNSGPQAVAEEFKVGPEIFVTLKQGSISNFYKMDKNLGSGAF GEVRLVIHKSTGCKRAMKQIRKDKIIKDDEDNMFSEVNTLKELDHPNIVKLHELFQDAKN YYLITEYEFLEGGELFQKITDMKHFTEKMAADIMKQILGGVVHCHEKKIVHRDLKPENIL FENRKPNSNLKIIDFGTSKKVESNQNLTKRLGTPYYIAPEVLKRNYNEKCDVWSCGVILY IMLCGYPPFGGQDQEILQNIEIGKYEFDPEDWAKISDDAKNLIKRMLTKDYNQRISAQEA FNDPWIQKNAPNAPIDFKAIKNLSSFFGKNKVRAALMQFISTNLMTNAEKEGLLAEFKKI DKDGNGQISKDELVQVYLKQYDEIKAKQMVDDIFDKVDTNKSGYVDFTEFITSAANEEKL LNKQRLQQAFNMFDTNGDGQISRDELQEIMGRNR >CAK80448 pep:novel supercontig:GCA_000165425.1:CT868363:388264:388703:1 gene:GSPATT00015345001 transcript:CAK80448 MHTKLPSLPNLPNKNKQFYNKWYIPYDLRYVQKPDLQKENFEGILQTNEDDMHFYKNIHS INVQYNPFDEKLPEVLIKNIGYRERTETVKDFLKGQRQIIEFKKSVERENQRVPEFIKQL LESEQGHKKYKKFKQL >CAK80449 pep:novel supercontig:GCA_000165425.1:CT868363:389309:397739:-1 gene:GSPATT00015346001 transcript:CAK80449 MEVNQTRNPISSETDILIKSNEMYLHSDGFYPQSANLEYQPIQPFRSKLILLDTLFNIYS VQCENFDIKVSTDEVIQFNKEIIMKQQKSGKYLQAIYIKDKWTIQLTEELDKTCLFSIQP CFKSQIINQNCVTLYNEVHISLSPNNDAQGDAQDCYLTWDSKQITFTNEVHLASNFIFET INKAISKLSYGSLIKIKLIEKNAYLAMTTKKSALIQTKNLINQSNADIQYNALFSKNLIV TWQEQEETSEFDLWRIYHPQKAFQQINLNDSFYLQNVASNQYISLTNIVNNFKEASLFQF QEINASNHFISGFILHQDKYFTSSQLYQQNSLFYQLEYKSQVTKEDQLLLEVQSSTSQTQ INWLHSAVNSIQNAIHVFTQNQELIQQSIRSNQQNNPKFESIYELCNQLIQILSDLICFC LENGFTLKVIDRNSIFNSRKNRRNQDLLKRYYIIQYLNQLLELISWDDQLTQLYNEVDFL LSEELEQSLRLRNQIQKVLKTIFLFFSAFGAYNEQNGLFILYSYSKFVQLLGCHIGAFDC LIQIFSTNQTLIPTASSLFFNQSVNQIQQNEITLIDLIFQRGQQLYGSKIRSFWSNIPKF LQILCFQNDFPYKNNQKAIGVNLKADSFIFSKLFVHKDDQFFYLNVEDQQIELNQIYQSS FKKNLDMIQDVQQCKRFVDEYLNLVGSLCQNRNVSNIMYFKNIFPKEHLVSYVSDLKNDD YLRCKLIAILINLYIDFHPFYKIQIPKLIFKSYRQQIEEDFDEELLQINNQKINEDDIKF IESIQVIVQNYLKKNFIQQNKVNLCIRNYQMLNMYLQLLSFKLPNQNQQLKRNNNNNNNS FIDPKFFLYFEFLILAFSLLPDSISEKSPNQDLLQQFEQFSEDRNVFNLLTKCFIEFVYY LAEIFEKDIIQKCLNNNDPNLRDKIKISLSIPGQYIDISNTLLKLLSHKQLASQIKLKIF NLIEKLHQPKKAILLRFEQCMILEKQIEIDICKEIEEINYKLLTLLYNENIQQQHQNLIQ FLRNFNQKMDGSEYLLISQRIIRIQNLDQTIFDILISFMQQCRDITLRMQQSVGNYQNTN QDENIIQLCQELFKLLRITCKNNHHNKIKIMKQFDVIEQFFTIVDLGQFNLLITIYDNSF DLIDCISPKTIYLLFENICVGTLRILQCILCQQNMISHKNLVLIFQQISSRSQFNEIIGL GSQNLTKLFILDQPQSLQTLMLFGKAPYILQVQGYGFLINLIQLFISTSQSNQNEIYYNF RVQQQKENNHQSQSNNKLHHSLLQNCFSYVNLFRLIFTLEDIFSIQIRNWQADQSQQLQK KDLNQIFLNTILKHQLLKFLNLLLTQSEFSNYDDEYIEFVKKFISYERKLFTIQIMSIEY STYIDNIQNQNKLKLLSKLYKDHHNIQQSEFQMISEYLIDQYFECFLFNNYSILLFDLSL NLAQKLSEYIDTLEDSSTKSKSNRNSSTLIFKKKSQSVSLIDFGTNESNNLSQKLSPFNE LKVQMDDLIYSIEQHLNDKLTTDEIKENQDFLYLKQQQLKLLYLRQLDFKGFKFEQQQIQ KNNLNQDVYLNPEYFNDSRIHEIIKIQGLLDSKILKNVIEILTNTHQDQAEENFQMYKQI YLRLQLMNQNYEIQIENFPKIILPLVRILKQSLNNLISNYQGVLNILEFLWQLLDIHTYI RADYEQTVSQYIMNQKDIYKSQSEQILQNKAIKQIQNLICNEGFFEIFIQFCDSYQNLRV IPFAELGSINQDLKDQMYYKFLLVSTKLIRGQEQANKLAQEKLISVMKNAQSNSLLKIFC LNFNSFQKQNDTQKMRNETVQNLNRILFSYEFNQGHRLERKNNSQKLGIINIQIRFLQLI AEDHFKPAQDFLRIQEGFSQNYDMIQQLQDILFNHFVQETFINLSFDDYVLIVGCFDCIK ELIQGPCHENQMKICSIQFLKLCYKLLNFDDSHGKFTAMYSNLTKDQQKILNRSREKTQG EFNKLIVSQKKTQIQQEYVEYYKKYPTYCKKILQSFRKSNNINYRMFMLSEIKYRCSTTL ESLTDDQQTSSETLEKINSIISEKLVIKNIAIQYEKFKILHSSGKNYTNTIFSHLFGDQP KLSILNQETISDSDRLWNIEETINVDVAVRDFCDSFIIETGFSLYNILAQIFQHKNMDVL ERIYEQIDSEKTQKKQLKQLLLYKLYQSWFQLQKITEMVTNNVLKGKQKEAINDKIFFKI YNSKIIQQDKYQLKQHDTENNSEKDKEVEKDFQQKKLGYRALRFYATRSGSIEIILPSKQ IKQVIFPLLPHCFSLNNSIKQKFSKDIDRTTQQTKVESILYNSNKTIQKLKTEYKFQLLY KRHFLVNMIAGNQEIWKQIIFYVIVMQNIMILLTNNGRYDHVLDDFLLALNIIQIILQFV CFFIFIIRKLPYLRIKAQEIVIERQINRIRKREIKYKYLDNSQSVVLQEDFILEKTDKFL LEQNKFVRFYQNVTDRLVLFKYIFFDYEMIYFLIFTSIAISGLFTKTLLALLLLDVFWRF PVLTAMINSVWRPIIQILLTLALFFILQYYYSLLIYDFYSEDTNYTPYCQSLLQCFSFIL DVTFKKDSGSAGYVAASNGISSTYANPDFRFSILNFWEFCYYFFVISLTYSIFTGLILDS FGADREEAEELEADIKELCLVCGIDKGIIEKKTKHKKGFRFHVKNEHYVWNYIFFISYLQ GKKKSEYNGIESYIDEELKRESISWFPINRSLSIIEQDDVDQIDQMIDQQILKISQTLDT KLYERGLNKNLNQS >CAK80450 pep:novel supercontig:GCA_000165425.1:CT868363:397806:398783:-1 gene:GSPATT00015347001 transcript:CAK80450 MSLIKDINQSGEPKSSVFYSLRHDQEQSNSFHSLDDDLNEKIDTTSINVEMQIVNNCQSQ DSPISTQASQEAQQSLIQLRLLGREVKDQKPSFKKEIVPINITFLYLEYIKNNPGMKIWL MSNILLDICLRILILVFFCIGTVDEDKLIDPTKDKTVTAYFIIFLQIPRILHLLTTSKLI FMDKYNLQNRSYLPMSYVFRANFIKEVCPRQEDLKPINYKDNILSIRSMMFILLPIELQW IPMYPFRNRENSETTIIISSYILKSIEVMIFEPTLLIIISFKSEKLLLYTRLLFGLILLD IIKFVILNLCLIIFSNVGKNSKVKI >CAK80451 pep:novel supercontig:GCA_000165425.1:CT868363:399107:400893:-1 gene:GSPATT00015348001 transcript:CAK80451 MKVEQTVQFVEQTAEVVQKRILEQEIELTQANQGGEDINSEVRVNLEQSETQQDINTQQE VEPENNQQPQSNEDDDVVSQGETIQTSDTNENLNQNSETQESQTIEENKEEQQENDQCST ERNCQSQTEQNHNTEQEEKQEQVENLQVENDVEVDQIQLDNEQVTSNDKDINDSQLNEDT QEQQQNNEQYEIHNQEYTHEHHEHHDHTHEHQDHHTHEHSHDHAHDHSYDHAHDHSYDHA HDHSHEHAHDHSHHHHTQDHTHYHHYQQEEQTVTSQNQLNGWQVQLSFIPNYQEIQSKLI KFQHQLPELLAKYLPNSKQDQVNYSVLIVSFPSFPIFLLLLITVGIRNRGTAIQYMIAFS FGTMLGDVFFHMLPEILGTHSHSHEGHNHDHENPQMCLVLGGVLLFAFIDLFIIKLKNFR GKRDDTHDHEHNNSVIVFLFGDFLHNFTDGIALAATYSISLASGITTTIAIFMHEIPHEV GDFAYLLKQGKCVFVILFTQIVTSIGCLAGGYVGLHFAQTFQKELLCLTCGSFLYVALVN ILPELKQSFSYRPKLERLIMNLISIGAGLYLMKFVGQLE >CAK80452 pep:novel supercontig:GCA_000165425.1:CT868363:400992:401387:1 gene:GSPATT00015349001 transcript:CAK80452 MRKSQKLPSQQQMKKMIIPTMTSQITYSPELSPTDKSQKQMIRKTSMIELHRVEIEDFQI RPKRVLQMAKDTKQQLFSQQNPRNEKKQKPRVETSEGQNRGLDFTFAQNFSNKQMIMKEF SSNILTKKFFV >CAK80453 pep:novel supercontig:GCA_000165425.1:CT868363:401806:402047:-1 gene:GSPATT00015350001 transcript:CAK80453 MNQKIVMRNEDQEVEVSGTEQPVEIQQETIKLNETHIDLIVKEFDVDRFQATRWLKKNEG DLQKTIKWIISN >CAK80454 pep:novel supercontig:GCA_000165425.1:CT868363:402249:403914:1 gene:GSPATT00015351001 transcript:CAK80454 MVDVIGSGAYSSVYKVQRKSDGKVYALKKVKLMDIGDREKQNALNEVRFIASIHHENVVS YKECFIEDNNLCIIMEYAEGGDLLQKIQRQIKKQQMIPEQEIWQVAIQVLQGLRALHHKK ILHRDLKCANIFLYENDQVKLGDFNVSKLAKNGLVYTQTGTPYYASPEVWQDKPYDHKAD IWSLGCVIYETCALKPPFRAKDMDGLYKSVLRGQYQPIPIIYSQELVQLIKSMMQVHPAN RLDCDKLLQLSILQKKAKEFGIRLITDEIEDDLLKTIKWPITRKGLLVNKSELINLNCQL PGSNYLNQHNSNHIRRNQQSNRIRSQDTNDSIALNQSNEALHQLMKQITHLDQRDIEKNS NLNQNLNKSISKQTIQENVLPNNRRLRVSNSTHEKHERYEPIQQPKCASKDRLDHQIQIN QYYYGKDVIQSLNKQHYSQKLPIINGSQKQDDEGVLRKNSSNERNSSSYLKRSKHESQKK EASMQSSVERPTVLMKIIEEHQQLPKIKKKY >CAK80455 pep:novel supercontig:GCA_000165425.1:CT868363:404058:404336:1 gene:GSPATT00015352001 transcript:CAK80455 MRQSQLKRKMSSIRNSVHGSLHGSGNNSLHNSAQRQSSNTIGQVLPFHKIKEIQQTLLEC NYINDLSVYYVEELVRFASLVMEKVVKVRGHK >CAK80456 pep:novel supercontig:GCA_000165425.1:CT868363:404446:406622:-1 gene:GSPATT00015353001 transcript:CAK80456 MSNSTQNTQSFKFRSKIRYLFQITNPSSFFQETQQPTKIIKHYKEELKNILDYLQDRAPL LPISKPNQTTLYIQTYYKIGYKSTFCDETKDHFENLIEEIKLNIFKKYPFISIIHEKLKQ HTQQHHVHIQFQQLNETPLVLYSKQHSKEQLTPKLISDLIWLSLHKFNLTINLDCTNKYI QSLKEDQNQQNNILEAVKITIRQKELNQTQIEKHSTPKKSTQNIHSIFNSMSKTETHKPQ DTQIRSFYSPIKAQPTNNQNVIRLFSQTQTVNSNNQALFNDLISNINYEVEVTGTQIQSV KQVLNLQQIYQENQDSANVSITLNRSDLIKCIIKAPEDSTVNVLISNHNAKTLSYDYTQQ AYIFYGKQQEEYTVEVNKKDYFEQRRSIFLQGNSDVIIQFDLISQVQTTMKFRVYDLIQQ EENNVIDNCKLEITKINSNDEPLIGITNDQGIFMCQGVMFNKIKVQASKKGFLNISYEFD VNANKAGEFYQIPMIPDYYSLINQYHILIYIPNSNNYQLDFNMICSDGIKLDAKNRVHQN LKSKLDVKKLNQNSMLYNFSLHISCLNPFVNNNQFQFIIGNQVNKRKPTNSPNEATIKEN NAIRYLTDVAAQRIINYGIEESIRIFITYGHLVIDTQVISTNQLLQQEKCFGIIDLDKRH LVKENEIIQQTKKQQNIKKVNTSFDMTTILSQITNQQVNK >CAK80457 pep:novel supercontig:GCA_000165425.1:CT868363:406694:407541:1 gene:GSPATT00015354001 transcript:CAK80457 MIETQKLVHRKLSVSGYPQNEFFVLESLKLKITKKNFPCQLHPGISIDIPKQIQKFYRKR FFLFKKFNEGIQLDEESWYSVIPEEMSIHIANKLKASSPDSDVIDGFCGSGGLAIQLAKC FKTVICIDIDPMQNTIFINSARSITLPIICKCMNPQQPQSQMNFLEYTHTNQDFILIMCP PWGGLNYSHQPYDLNSMKPSLQDLLTKGLQMTTKIVLQLPKNIDIQQLGSIFKDVTDKLR LELKPIEVEMMLINEQINQLIIYYGL >CAK80458 pep:novel supercontig:GCA_000165425.1:CT868363:407635:411014:-1 gene:GSPATT00015355001 transcript:CAK80458 MQAYSKCKREKLKEKIRILQYPEQFKPQVHHNYIVLDEKYLNLGDYVLVFDNPDHEDYEY KENCIQFQDVLRDFDKCFDVDSSFESPDDELLRMAFLNVFNRLKQPPSTFKEIERGFQLS SANKQKLFIVNEHGTQVRIKPDETRQMGQGGFLKYNATTNHWERTGEKVSDVATLFRILL LYKLSMHAGFFIRQFLSLDGTKIYAVLFQELRNLIIEAQRTFLKKRVSIAFCDILSLEPV DEAFRPLRFNKVIRSKLVGQDLPFESHQKEIRKLLKIIDYNKLARECNQYPYEISGEIQQ QRRLTADVLNAYVFYLEQIASDLINVRKKYKENDFAQILTSQELKELVADLKEQNFKSKS TKFYVREQSKGNWKDTNRRKIKVFLKRKMALEIEQILHNAKHQTDKLYGRKLLSIWDRLG MEPQGPFIEYFYPRKKQNYNKFIQQDSIWTYQIINEKGERSLFNKMERAKLNISILKSLV NLEYLSSQDYIRDHLIPNSYFDLDGQKYKDEHYQPLKQAKQQKQDLFFSMKLIQTVKAKI QKFIQKDQNELGEKKKKVLTEGGLNGDNLLNLWGLLDVPVQHICSYYGERIALYFMFLQF FVHELLPISYFGILTFIVQILYDVEALANKVSIIFFTIMIVFWSSTFQALWIRQEMQFQT MFGLEDMELNQVELIGFVGKPKRSIANDKLNDLQYSSKETWFKFFFNMILVAIFLVIEIV IIVALQLLSLYLENNPDVPNIEFIELSVFIPALIWVFIGILLDNIYRPVAFFLTKWENHK YLSQFETSFIIKNLLFSTVNRLGQPFIIAFFYEQTIGCVNDNYCYSQLQIYMRVVFIIEF GKHILFGFIFPIIKQIYQRARYGFVSIVDFGGSLQKKTPYYHFNKSIEAETQKESFTIDQ VDGTIWEYLELNLQFALLANFGSPFPLIFITGLAMNYLELFLDKFKLIWLTKRPTPQTAR TIGPFVYYMNLISYISIFINSGLLSYSNQDVFTEINSFTLFAILMIAFFLFKFVTDIIYG NTDSSAELVRQRHQSIQKRLHVSIRGKNQMSSKLPFPIAKIYGTLLPHQLKKQE >CAK80459 pep:novel supercontig:GCA_000165425.1:CT868363:411326:412717:-1 gene:GSPATT00015356001 transcript:CAK80459 MQNKYKKVQDIFIEMDKKCLLEQNKYETRLGLCEIDGHKKHAVIKIIGNDNQPESLRQIE AIRNMAAKTQMLKGNPYIVECYSRQRTQNTFYFAFKKCKQNILQVTLDFYEILHMLASMI QLLKLFQQKGEYHGNLKPTNIFQQKKLNSTICFSTTDFFPFQYSNRIQDEYIDPEFDKER PSIANDIYSLGKVCNFVIKNRKCPYQILINQMSCSKQDRIKLDQLELQVEQMIEKYEQKS LRMELNQKGITEEVIQKIIMQQVENQKKKQQEQTKWSDFEMNLTVDKDFNLRDRAQFLYL MSQEIRNLQSIDQFLLDCLILSCISESYNSYQECQKITQNKKLKQLVESDTMQMNKYLNI INLQCELYIQDLILKEYDVGFLKQYISTYSQPNNLFKKALSLVMEDIINKNLTKELQLND ELDKSDIKALNYILVSNQMKQDVCYDYKGLQQFIQSQSQVVQL >CAK80460 pep:novel supercontig:GCA_000165425.1:CT868363:412800:413206:-1 gene:GSPATT00015357001 transcript:CAK80460 MLKKPQQQNQEYQIKPKPREKFKSSKCRELIQEILQQKLKTGSYQDVTTQSKDIAENIKN RLKQQYTVTSRYKFIVHVLIGQQRGQGVRVGSKCFWDYDTDMCVSETFINDSLFCLVTVY AVYLY >CAK80461 pep:novel supercontig:GCA_000165425.1:CT868363:413752:414509:1 gene:GSPATT00015358001 transcript:CAK80461 MKNLSLKQSKRQKYNSVCSDDRQKIIKMFLENDYTAIQIAQLTGHNLSTIKAIYRIYKNE GRINKKERRDRQINIQQNVVVLMVDEKTRKMKIIRKQQLKQEFIMKNTEYTYESIQNTIN QTFQNSTADLIKQLDGLSSKQCFNQLIKKIQIEGLDIKDFKEISEITNKFKNRIKISSQI QYFQNLFSQQESNYQEKQPQPTQKLNHSLNHDINDLKRIFEFQIKDYFLQSNDNLK >CAK80462 pep:novel supercontig:GCA_000165425.1:CT868363:415042:415659:-1 gene:GSPATT00015359001 transcript:CAK80462 MPPKVDPNEVRLINIKVFGGEGGPASTLAPKLGPLGLNPKQVGDKIIAESGKWKGIRVMV NLRCQNRNADVTVIPTSSALLIKEIGGYERDRKKTKNVKHNGNLTLEQVIKVARAVEEKS LAKTFTGTVKQVLGTAQSLGATVDGQPVKAIIGKINSGELKVEK >CAK80463 pep:novel supercontig:GCA_000165425.1:CT868363:415980:418215:-1 gene:GSPATT00015360001 transcript:CAK80463 MSKKNPKTFIQLIIQRLLRYHVNLRIPSKIQAIIEVKFDQKVDRTPVEKTLNLHQNQESV TKIKQMLNNLVQTDNKSSTSLFGQGLRDKQIQDTKSTRGDNSRNASTSGYVNKNPLNKTT KTNDTFFKQNIPTPQSTRESNQNNGNLSNLYYMFQNKQSDPQIKHNNLTLSQLAKQQQQQ QQSQQQKQQRNSNNTTPQKSGNNGNNKNISSTEYLNQIQQLKLKIDNSLLRKPAQKTEKL QKSNNSQLIKSISSQILNKQPQDANQGVKKEVIQERVFQLLLEKEQLWNQMNTSLDNINQ NQLNQLCQQIQQSLNSLLDRKNSEYLSKIDMNIIDTFLLESYTILIIALEYLKTQQSFDQ NLRNLIVYVTQSNFYTLQSIFNFVPNVILSLISGYLKIKNRYEINLIKETLKQSQANPVK KQFYNKIDSRINELTTQVTQRYILIQDLIQPQKKILHQIAKEIIQDENHNNLNCKKPSKL DVKTNKMLLPKKPLKNYTLVLDLDETLVHYQELPNGGGQFLVRPYAEEFLEKLSKYYELV IFTAAQPDYANFIIDIIDKQKVVTSRLYREHTCYKDNIYLKDLSILGRSLERVIIVDNMP ENFQLQPENGIYILSWTGDQNDRALKDLMPLLEQIALKKCKDVRDALNQFREQMIQKVQQ GIKNPYQNLSLE >CAK80464 pep:novel supercontig:GCA_000165425.1:CT868363:418385:419047:-1 gene:GSPATT00015361001 transcript:CAK80464 MIMGLFIMKMIDLIKVQGILKQESIYIDVKRQYNSFSKSISKHFIYFQMKNVFRNIYQSR KTTQILIYEFLNVRNVDLLNRISNGRPLIENYQLQIYNNNTFYSNQLTCFNNKSALIYKI KLIVISHDYIVIQIEFRQRRETIIKLVRFTIYSWNVIEIGVLRIIQVKQRFLNLIKLKIK IFQLWHEYLLIAHILILLKIINISNNSDCLFLFQYTIIIM >CAK80465 pep:novel supercontig:GCA_000165425.1:CT868363:419860:421660:1 gene:GSPATT00015362001 transcript:CAK80465 MENQTVQAQELLSKWGLRNATVYRNPSVPLIYELSMSQQQSEDPTVRPDSINNTGALVAY SGKKCGRVPKDKRIIKDEVTERDVWWGDVNIGLSQDSYNEVEKIALEYLNNKEKLFIIDG YAGWDVNYRLRIRVFCTRPYHALFMKNMLIKPTDEELKKDFSGDVDFYIFNAGPQTIQKP IEGIKSEGCVAVNLTERKMVILGTQYAGEMKKGVFGVTHYLFPKQGILTLHSSANEGENG DVTLLFGLSGTGKTTLSADPKRKLIGDDEHAWSDNGIFNIEGGCYAKCVDLTKEKEPEIY NAVRFGAVLENIEYYSEETREVDFTNISITENTRVSYPLNYIDGAKFPAQGNHPKNIFFL TCDAYGVLPPVSMLTPEQAMYHFISGYTAKVAGTEVGVKEPQATFSACFGEAFLALHPTL YANMLAEKIKKYDTKVWLINTGWSGGKYGVGQRMSLKYTRGIIDLIHGGELKDVEFENFP IFNFAIPKQAKNIPTQILNPRNTWKNPEEFDKQVHELAEKFQVNFKKYEDKATQEVINAG PKF >CAK80466 pep:novel supercontig:GCA_000165425.1:CT868363:421716:423783:-1 gene:GSPATT00015363001 transcript:CAK80466 MNDQMDIEFDLFEFQGLLGQGSFGTVLKAFNKNTKQTVAVKVIKKKQMLQYAQLMQEAQI QQELQHPNIVKFFGVYETENKILIEMELISGGSLSSITKCTEEQAKYIMYSIFNALQYMH NNNIAHRDLKPENILVTHDLSFVKVTDFGLSTGYSSLMTKQCGTLIYMAPEQLNNRIYNK TVDVWAAGVIMYQLLVGEHPYYKKGCDIAQSQLTMHENCKKILNDKQFSLFRRLTEINPT KRYSATQAMLHPWFNNGGDEPLTMEEQFQKWKQSQKFQNIISLLMLLSKMGYKKVLHNRE LYQQISKNLNNKKKQDVMNNYEQLFADYQKSLNDAQKTKISTVVPTPSLDQHNDNNEQII FQSSLMKPFKQLSSFNKHDAIRIINTNSQIQTPSNEEDNELDQQIKFSNQSYIIKDRLEK SNIRPQVMIQSQPTKLTSNLSEIDSNIQDIDPQQQHLEKQCQQSTATNTSVSPTKSPLRR KRVKRTQIKQYLNEEIIQSNVQQIQIITQKPKQQPQQQQQQQQQQQQQQQQQQYQSQQSQ LQQQQQQSTTNVIRQQSPSPKNKFKIQLKPLNHQQQPVNQTSQSNGNQSLRLKVQKLSVD KEFFAPPVLDLSNLALECLSPKYRKTRTNSLHYQKRVYANEFQPSRVLGNAYKKNYDSFY MK >CAK80467 pep:novel supercontig:GCA_000165425.1:CT868363:425214:426446:1 gene:GSPATT00015364001 transcript:CAK80467 MNIIDEILTIGNDLSGPQIFAICMKWYEQFQDDLYNCNPNFSKIDNYSLCICQEEQVQIN SILNNVKREFNMQHIKPIIIQQNSLEQILKVDKRNQAHYVSKALWEYLQEQMKGGPCIPL YTMTKQESYLARTMFANLKFMTNNDIDDLMPNLVVPVDMTQIELLIQKEDDSLIYLTKVV PLAFTIEQLITKIITPLFDISNLMCYNYYLKKFLSNNNSTFICELQKKSLWFLKSSMTIS TGGIAFNENLDEAQMREDFQSLKTGSTESTTESRISLSIDNSQSQISPLHKFDNEGNHIN LMLRDLDDFKNEISTVLKQNKNQQLVLLKFEQAMENINQIIQSIEQENCFKKEQQEEGTN DELIEQDI >CAK80468 pep:novel supercontig:GCA_000165425.1:CT868363:426708:437990:1 gene:GSPATT00015365001 transcript:CAK80468 MEKRFKKLKQNDTEDYSWIENTSKPLIERISEFAKCKQLQDPKYLRIISETIDKILEAGV ALFQEQNQNPCIELLGECDSIQIWNLCLLCCDFIRTCGNPKIVDMIFSYEHLVTLIFHNP DYDLSKIYKIVECMQFLLESMNTSKACKFKIEFFDKVLLRFMYINAFFQKSIFNLSDQDQ TELFQLQMDHCILKFTFPSDDMINYSRYLEMNNLRKILKCPPTTREVQFDSTKNPYEQSL QIIKENNLQKDIILFDQVFIRLLCHYSGNELLIQKMFISNYIFHFRCAFQDLQLINNKLM LDITNRLIDQKDYLFLLIRMITINKDESLNDIMVQSLQFIKSKQIQLFEHNTFLEKLWLF ELQGIQDSLMEWKQELQVAEGSFYEIKLSLSSKFQQIFFQQLLDQQFTQLFKKQPNYQQW NSMIVQIIKKSSLEDGSTKLPYYILNPLLTYSVNLIIELPGNNQNQQLEYQLETQIIQGL LDILKNCCGGHQIQLQIRGFQLLNQLIAYYKQEFDQVQIQNAQSEFMMPVPERYHKLLSD IWSNKILEDTLLKSQLNEQLYTYLITLLAMNMGENPGRVREFYENNSLQCLVQKIMELLK ICSRGSHLIVTIINLLIAISTNEQAISLALSSNNEKDQQKQDNLIKQIFDKCYLPSIELL HDPTVSEDFAKKIIMLYSQSLLAKKQIEKVIENTFVSISQLVDLSKDKIEDIVSNQEKLS SFYGYSKSLQALLQLFMQLQPEKKSSSYRQFQGYEELQLEEAQRQKDHLFNSLLKNQKFV EALEKIIMSPILIGSKNKDKYVEHYVGILCKKNKLNPFTSLWKELDLISQNYMIIGDQKI IDIGKLVDYDALSVHMTYKYFFRKIIKHISPQTYRGFYILSRFHLIHYLIKICVSQGGIQ HQDINLLIPQLLQIYFLSSQHAGFHESIMMFMAAKSQISKFQSSFDEDTQIRRRISKDNL IPLQESCLDLINKLQQQATAEQKEFLIKKYGEITQLFFVNFNEKYQQIRESQGLKLISHV TYMQDILKSFKFFLNLFQNLEQQNQPISFTFICYQNKSFYLDIIQMMKYLDGIQKLPQNN TKEQEIVKKILPSFIEALNLHIDNIIKQSIFRKMLLDKIQLSDHCIIDPQQLEQVSNELI LSQTHLLRQMIDILLQSNCEGPLKNLFEQLIQRFYLMKDTQIQDFRKVGGQEQKDQVLAQ KELIQLLQGNEVKEQKKSIFDRPEIKLILDKICEMGINQKLAKKAIQRVEIPEPSMIVDM IFNGRISDDEDDLDDLLFESELKNDNPETQVVNQQIQNEVKNQPEQEQNQKNMTVIESET FLKILNENFPLYDPQLSIQDRISQLINNFQQKLDETINSLLDQQLTNQRPNNTQLEYLFT IAKKQQHIQKILDFIFLSLQAMRQLQQTQDLNQKMNLFYNALQWLALLQQISQQEHEKIY QNVALIDLEPQKDLKFVLSFQEMIKFGFALLQEINDQFTIFSQNEYEQLKLINVKELSSV EICKRAQQITNDLNVFYENKYSTLRLFCKELQEAQKYLTKFVMNLQNKHDQLIERYWNQI EIYVQFLTQLCTVNLHLQEESNNAYNLLTDLDTFIKNDQTLKDQGDTTQNDGHLYQLIQR RFIYDKSVITQLLQCLNNFGLHNQNKLYQILMQKQKQDNQSLLDVLLHFKIQSNNSEHEE SQPVFLENLLYLIALDNRTANDLTLLILKQVMLNEHIDWHAQQIKSLDELNQVQMPQKYI ECPLQYLLQFHPVLVNNPIVYNTIIEQQGLSITDKFCELSRVHAAKQSSLQMTGKLTKEQ EKHLKEKEKEFLQQTQTDIVIRYEVDHVIEPQLNSNIINLINIIAQTIVDRFFEENFVEK VFNIKLTKYKDEKRAYLYGWDQLLRIIEMLIIKIPQLILYLRQLKVQLPIQINTEERQVT FLEFVIKYMTWVGIDKLSNFMHNYLSDINLLSVGYGITLGQEVLQLLLNELKIDHNNILM KYYHILQLLVLFTQTLTLQNCLLILTDQRSQLNIVPKIISTLNSVRECENKQFVKLYQQT IAKVLEPFLQSQVYFEHLKQGRYGDIFRLQQNITENSFQFHYLNCLRNQLISYQLYPGFK STPGSAQHFVSHPLVQQTLKDEHQQEIVWPLYQSRRNFNNQRHNNQKQQDEDLSDSDSDQ DIVQIENTQIKYKANYSNINSWGEYYSYDSDFMNKCSVNREEEKPHKENQQSIFPQKDLN ILFCSLDQKFYNFPLPNFQITTKNIVYQQSDYKTWITSIVGLYFSSNEYFENKKQLISKA YETQLKHIVEYIQAINRLLHTQASKQVEQLQQYLSYYLNGSLSYLQIQSGNSCFYSKFGQ APNQLQQDYQANSLSVNINEPSLQQQRILTQISCNVLQSRVVLNGMPNDLHDQGLLKAKL QSLLHPEENTNLKGKLIDIPKRVLIIPNEQQLQITDNILLEMLMSLLVDQNSFIQFPFNL LRQISKCSRLGFKLLIQLLILYQEQKNNLELTLKILYLVSQKIPSNNLRDLLSEQLQISP ILNKREKANLAKGQQIKEQFIIEEENIDEQSQDDDLENPLVFLINQINIYCEKNISFMTL FHSPESHIPIEKNILLTPLLANQTNLHLSYLIQIIRGSLMITTEEKEKGKKADDENLYKM MRRQAAIYNGGIDESKIFDLFSQLNRNEDIQFHDLIFKSFGDKNQESQDTWDQTSFTFKS LQFRQIDQQSQFRQVSNASIIQMQPPQAPQPRQIIPPFPISSMSILQQHQQLQQFQQLRQ PPQQGQQTNQFKIADEKQQQQQQQQQQQQQQQQQQQQQQQQQQSKNEEIDQNELNSQIPK RERKVSAKISHVEIDIISSLCKNLNNPLLREGNSNEIINILNSYANDKLKIEHAINELTK YIIQQSKIFNFELDKKRKQLREITKQREKIQDYDPEQAQFLNNQENDIIKELNQKIPDPS IIQRCFVAITGFLNLNLTLTDQQNKQVNKPSSTQTRQQIQMDKKKYKLTQQGKSVDASSD FDTSAKKDIRARFINVLQTRQTHHLWLNVVETLLLIFNYKGSRSLEILQKKLYPLLECFI RLYQNVHEELNSQEHSEKTNAETNFFERNLSGSATNSLQFGLLQTQTMHLKRSFSSVRNE NEMQMKIDELFTYLCINGKRIINYLINQRLQQITYDLKQSHSLNPIKLFKEADPLGFVFF KMSQIVSFENKKHFFEMDLETLKINDKPNQRSRGRQSETIEFNVVRETRLQQSLEKVLQV EKNKFRKSEIKIRYQGERGQDEGGIRKEWMTNLVKDILQTDKFELTTKRFYKINSNKKST EDKNHYKLLGRIVAKSIYDGLLLPVYFIPTIFKMILQKKPSFDDLEHYNEGYYDTFIKFM NDETQVQYKDLFEFWIPEEYAQLIEQNKKYIFTDLLQEYIEKNPIEPKQDQLNEQQKLQD QQQQQQQQQQQQQQQQQQQQQQQEEQLQQEKQNQAVPFKQDLMQYFKQKQQEQEEPKQKK QSEDITAEDMENREKKRLIRKNKRKQLSDEALKQIKLQDVFLQLSSNVTVKHKRLICEVI SKKLMIEEIKEQILAIRDGFFDIVPKEYFSYMDWRDLQKLIIGVPSVDVDDLQANTEYVN YDKNNQTVLWFWEVLKTLSDSEKSDFLMFSTGSPLVPFGGFKNLRGPNGPKKFSISKDFN SEHFIKAHACFNRIDLPEYKSKEMVREKLLKSLKESGSGFDLS >CAK80469 pep:novel supercontig:GCA_000165425.1:CT868363:438503:438820:1 gene:GSPATT00015366001 transcript:CAK80469 MELEYISPQCQKMVSTKKFSLSNLIANTKVQSSMIDQDEISLKSVRTVVTRVSLLENNLI PKEKYIIIIMKTMMDTIPPKKVLQQFYLIPFQVIVQPEISNFKIA >CAK80470 pep:novel supercontig:GCA_000165425.1:CT868363:439035:440943:-1 gene:GSPATT00015367001 transcript:CAK80470 MNTIVLKLFRLAKMGQKEEFLSLVQNKGIMNKLQYLNGKELSMIIYGYQKLNLMSQETQQ EFEQLYLNSIKKLNVHDHVMCGWSLKNTQNKAVQNALIQSFHSNWEKIRHMQQTKANIGL IAYLSANEKNPEDPIWKQIEDLFYETMEFQDQKNFMIILYAFSKVQPKSDSIWITLEKYI WGQGFDQFSRRNQVLMVYSFAKVGKGSMQFWEVATKQIQNLKFKYSDDISFCIWAFAKMN ITNLQFWEKMINKQLQIWDKVTLADIENTTWALEKVQLIKSDFWNQILQKQISEHQSISL KYNEFDEVLTIGKRLYNLKMTENPLFLSLQKKITQMLADNKVNFSSLHRLHFLAVFIEKD NSIYNEKLIQQLINSISQNQSYNSNLNLLNSLTLLLQDQTLAKFNLNNKFTDIINKCFTN MQFLDIKSTIDQEKYFWVGSYLLEYQENEILPLLTSEQIYEKVENNISHLCSLIKILSKH DNAAPFFNRLRTYENPKPDVFVSLFYNMVHKYGYLIPQEKYKKLRNMLKSISQTKDKMEI QNKFIDYILIKNDNIIEEINALLKIQIQDYVKQYQNDIEVDLQKILQEQVSKQRLEFQQQ IDLKNHQFIDIVNFDIQGQIEDDTQQ >CAK80471 pep:novel supercontig:GCA_000165425.1:CT868363:440972:442117:-1 gene:GSPATT00015368001 transcript:CAK80471 MFTNTLGAQNGLPQQQQQGLAGQSALVFGNSGVSNNIFGQTQQMTAPIGQAQNQGVFGQQ PNQLNQPLLYGGQPQQPTNLLQQPQGQQMGTLGQQTAQFGQVQQSGFLGQQSTLQGGQMG QMQQQQQLQSQQPQQQQQQQQQQQQQQNKHYTIQQIKNETQKHIDNLTKTIINKEKIEKN QKNLQLIEKNSLRNIQQQQQQQPQVNPSIGYGIPQQQIQRPIQKVDPRNHTAKVEKYYGE WNKTISRISQKQGETINQLNELNILLSQQDTYYDIDEESLIDSIKQLGKELNSLEYKIVD VVKLQEKILGLEKEESEWDQIVGNLSECLTLLEIQTKEVEDQLHQAETHPKVLNK >CAK80472 pep:novel supercontig:GCA_000165425.1:CT868363:442242:444391:-1 gene:GSPATT00015369001 transcript:CAK80472 MNFTFMIDNCLSSCQITYNGLTILDYAKSAIEYFVKKRTKNSENKYDQYHLFSSNSSIST WTNDVCHFLQKLKTIQPQFETNFNLDECFHNLNKFRTLNGYLYIQLNTGTDNLYGGRDVL KIESAIIFVFTNREYVGPAFHQLIRWDYRLLAFLLQPPGIEETNPISISTNWHHLASTVG QGVCFEIQDYNILFQILDDPKLTPPQISLQLNLLDQQNKNDEIQSIFEKTKIEQQSSQPS YLVRSPQKPNQSFASIRLKCQPNMTIFTKVYLPEQFPFLDFYNYPAYPDYYVDFNVSFKA KLPQQLPYQEIFIENALLLKFIQGISTTKGKILQFPIFCYEKREEQKVQYLVGLFLFQQN SVTMELRFYFPNFLNYYELLMQCGNSTDETRRFADQKFLQYLSTIPNYYAPCIRKNQSEL NIQIQRQLIFLIDEQSQQLYMQKVKTHQAKIQKVKKQVDDENYKNRQLHISRKCQCCAAF SENYQIRFQDQMNCEFRQIIQFQKDYNFYRFVPQQEVQSCLPQMPIISPTLRNIYLDSDE MEIRLEPQFCGNPWKLQRKTYRDGVEIKNDQEDIQLEDMMLTKMDSEKRSQTKNRRKGFM RLKDIQNCNHKQVNIEQQEMEIEENQTESQSQGKQGSVKFNPLFQAKFKLIVLTKLNNSV STNN >CAK80473 pep:novel supercontig:GCA_000165425.1:CT868363:444492:444863:1 gene:GSPATT00015370001 transcript:CAK80473 MISSLELWIMSEKTSDQKPPINIIQIQQNPNFVSSLQLSHSQKMDSFIQDQAIIHLINKK PINLFSQKPSLMISNNHKCLKPITKLCQYFIDNLYNLILFLLLKKLLIDQNPDTNQPTEE YYD >CAK80474 pep:novel supercontig:GCA_000165425.1:CT868363:445549:446844:-1 gene:GSPATT00015371001 transcript:CAK80474 MLIFLFHLVHSQFIQDGIRIIEKGMKLSLDNYLVNQNCPASLNIFCNNPFPSLSIQEQET LNFVQLQVQQFLESLEPKQNKHQYYNKIINQLFQEIVLYDQNEEFLSFAFKFSQFYPEKD FQLIQEKYYIDSFNRSAILMDNNNNSMLELNTTLFMNFQDLYTPSSNNILNHILESVNVL YPPDRFNIRTADKKGCIYFTKPIYLSQFYVLSYNANSKIRINYDNNLIKVISIPVKNQWI LVFGPNGYQVNNITIPQQTHIDSLVIQVQKQPYTKQQITVLVVQMIMERYYELNSEDLLN LLNEDEDDWQSNQEQERSFKDQLYQQTIKEFLEFLEKVLAKINQQKIQNQFLSLSSEQII VILQDIEKEISQNEILIFQSLFQNFINEKYSENDIVLMYIKLVQISEELQDINID >CAK80475 pep:novel supercontig:GCA_000165425.1:CT868363:446990:448040:1 gene:GSPATT00015372001 transcript:CAK80475 MSYSIKFKRYPTGLLDLSNEILDYLQIEQHLSDRDFSSHFHTISFLKHYFDEACLIYTVV EKYLESRSKDNYKEVLYKDSIQLIQLRQEKLFIIYALLLVQLQEYVDEESFDIFLEGLQK KLQLNAQIKFASLRVKSKEILEHTLLLIDELRENKLTQKQKHSSRQSIINKSMMTARQQK ESQQQAYLSLQSQWMFTELQNNQVFCRELIPNLRFIIKNYVKSFQKNKDDGPKRYNKNSS IFDLKNRFSVGSQRVRNGLIDLPNLGPMEILMSTQIFPKQKLNDFFDDEQLKHTQIITSQ IDGLLNEELLVNLTGIEMIDMDSKSESTISRATMQQVFKYH >CAK80476 pep:novel supercontig:GCA_000165425.1:CT868363:448054:452280:-1 gene:GSPATT00015373001 transcript:CAK80476 MGAACANAKMNQTVPVIKISNGEDQTEKIQENQQQQQKSTSSKEQNNTKLSTISKQVSNI SSDGVPVRKNTTSRMSIPGEDRDKKPKVQGKNQGQEQLLKQREFILEKFLNDIQLLDKQL MSDVIQMLRFFLSHLEQSNIYWTKVWLINKNDYSIVVGFTNNIGESRKIRILYTKQIEFV ANLLDNYLFFQQFASYKIHDFSFRLNKKHNNFYVFSEQEYCDVSLENIQLQPQDIHPLLE YLLNTIQQMHQNFYVHSGIKPSNVYMTYHKQKVFKLDLSFSAFRFSRRLIKNKIKDFRAN LVNDLEDIAILIINSFYKGPEIRQQLKQKNIDFANIIESLYQKQKNVLECYIKDIILLLY RNRQYLYDLKASDYVQLLNCQSKSELKDLIQDIPNTNNNNPNNNIKNVLFEYSNNKVVFY NLTQTRNQKSYTQYELIDQNGQQINIINGSAYIQDNKLYFAYENMIGMITIKLSSEQLED TLEMLQISLRQYLATKEQQKKERIDSAQKNYQLQLQINQHSPTTYIEDQFKFKDTAQTQK QPNKNFHDRIYFTSQNLQEIFSIIDIEQRNYITTQQFYDFLQQQTDSIDNSLLQQLLFDK IQEIVLFFSDKNLTFYEFVQIFSFRSKQQLPIYKKIIKPLKQAQNVKSFTIINNTVHYYD GQYLYNDENQNEIQYDLKYLINNQNQLLIIGIQDGCLLINTQNSSIQTDVKIKQDFGFSK FNDVYFITQQNELILYSLTQKRSVKLNINGIVSTCNLIYHKYFILYLQNQNIYRIFLSQD KYCITSFNVFEILLEHGRLDQKIKLKQISTKQNSSDELIGSYSINKVHYPITNQTPSLFR ILSVSKEQQNFILIQRIVHVEFESFDECYQVCKYLDPYLLDFFLSMEQYDGKVRLNYIIE NCELIQKEDCNFKLMKRCIKKLNELRSHDIFVQDMHPNNVFITPNSVVFASIIYKTNIPS IYQEKSVPFMSSLEYMEPKSFLAYTQNLTQNQIFINPSKANIYCLGLIFLRMLSNYQIKN TKEQQFYLMEINLALQQFQFPNIKPLLEEMLKENEEQRYDSYQLIQFIRKYQKLNQKELF FTSQPLQLLETQLQQTLFGYQNNTIYQYQQVFKIYTNILSTNLQVLCVQSTEEGQLILLV KSLNQRTFKYLLLYISLSQNQYESHQQHSCLIFEELVNFQKFAEEEQEEIENNQLQIIQQ NGLHVQSPHVVTKEVQVPIFDLQNVDECTYLINGDELYFFGFGITNEIRRVGSRDCFVYM PKRNLFKKIGYRTTYYEAQALDFGEYWLLLEKTSNNNKIRIQQIIKASFLCIERVIKLKN SNQMFYFKYLQNVIAISNNLCWYINIEAFKAFEIQCDNIPKSLILMQNTQNNTILTQDGV STLQFDGNVLKVY >CAK80477 pep:novel supercontig:GCA_000165425.1:CT868363:452316:454100:1 gene:GSPATT00015374001 transcript:CAK80477 MSIEIASFFDNPDLVAKQTSLWQILNQEVYDNDNIIFEQDCHKIGRKSKQLKPITIQLGN EHLYYIKKETMFQLQTTIVMMNLIKHDQHGNIIRLSRNGKYIDFKFEDYDALKNLLNHRC LQSTFHDEFGVAKMIGKGSFAKVYLATKKSTGINYAVKAFNKEFMLEQFKGRESLENEIK VMRRLNQENLVRLHEVYETQNSIYFVLDILKGGELLSRVKSQPLSAPNLQKLMYNLMKAL CHLHSKKCIHRDLKPENLLLKEKDNDTDVVIADFGLACFLNEDILFKRCGTPGFVAPEIL AYKEGDPFYDEKCDVFSAGVIFYILLTGRQPFQGTDYKAILRANKNCQINYNLKQIQTGP LQLVDLLKKMLHPEPKGRVSSEDCLKHPYFKEIFKEQDLIDIQDNLREYEKDFVYGLGKQ QGPSSQVGSMQLQQRQPALNGRIDTMGSFSNVSNNGSVTRLDQKPQPHQSKFSQFSQSMK QQLNSDANSPVAKKSNQSDLRKTALKNSFQQQINQMEKEDDCVDDEASQLEDAISKLNAQ TPKMGLTKASSFKVQKSTME >CAK80478 pep:novel supercontig:GCA_000165425.1:CT868363:454115:454802:-1 gene:GSPATT00015375001 transcript:CAK80478 MNIEYEQTANFFYPPDQQGNKQKFIHSRRLLKPKSANSNPSTQLTISKPGSDNSGLHTPP LIGEIKKGSDILRDCEIKKNNNYRILSSNINQRSSYPQQISFGNKFIFIDEQKLNKFTES PQSKINKLPEIFNYRNSCQGFKQNYRIQSSQSKRETITNFNVECPKQIYIQQCMQRLELK QTIQKQNQNRLPSQPISFLQAKQQKKMISRIFSGKRDS >CAK80479 pep:novel supercontig:GCA_000165425.1:CT868363:455640:455867:-1 gene:GSPATT00015376001 transcript:CAK80479 MDESGIIGQMILESSGRIKKVIHLINIQSSGTLDNPKLIPFITTIVSSTAKILEKQSLNR VTCKFKIYHSKLLRI >CAK80480 pep:novel supercontig:GCA_000165425.1:CT868363:455886:457351:1 gene:GSPATT00015377001 transcript:CAK80480 MNQRIFDYTKSASKRLKSPRIFKTEANYQYHSRDNKNDNQNKAKSFINDRPVQGQRDQSE KQYQITKNRLTVNNYSQQSRQQLNNTHNKYNSFLDSIMKLNKSKEINRIPIRSRKQLWHN YVSKYLTQTKKVNPDSSVPERRVHSQINILKAEEIYNKNRSTASLCSFESQDNLQLNLKY THKTRQGQIANNPTKVNQDIFYCQTNLVENLHLFFVCDGHGQNGQFVSNFIQTNLPNSIR RDKLSQQSHQIKETLQKSIQNISVNVNKQSFDTNFSGSTLNGIILQENGKIHSFNVGDSR TVIGKFIGYGSKFKPYQLSVDHKLTIKKEQYRVISSGGKIDTFYDQNGNPIGPMRVWVNG TQYPGLAMSRSIGDQVAQSIGVSSVPDIVEYQLGSNDKFIIIASDGIWEFLDNQIIVDII GKYYQQNNIEGASEELMRVAYRMWTIDDDSVIDDITFIIIFIQNQ >CAK80481 pep:novel supercontig:GCA_000165425.1:CT868363:457372:458643:-1 gene:GSPATT00015378001 transcript:CAK80481 MKLRKLGLSILLCSAGSYSLYCNEKTKAYIWGNGVYQALPGQGLSFNNFTPKQLTDFDDK NLQKLFLSEQFESGITGNGDGYIWNAHKLSSSEDKNIIDGKRQNIIKINVPKGKIQQIKY TKQFVWTLTNNGEVFQYQVKDGQFINTPKKINSLQNIKQIECGEDHFVALTNDGSILTMG DDTYGQCGLGSNNRSTAPPFYESRIRNPTKIDNLPKIHKIICGSNHTLCISTEGSVYGWG SNSQMQLSHSEEFSRVGEPLIAVYNPLRISALMDSNNQVLDLAAGNEFSVFVTKNKQNSE TEVFACGHNIRGQLGCGFVRHISDIVKLEGLSNFKININGKQENVEVKQIECGYNHCLAL TNVGAILEWGDNEYGQLGNKKRSFTDKPIVVSQFVQNRVASISCGSNSSGVIVQQ >CAK80482 pep:novel supercontig:GCA_000165425.1:CT868363:458821:460117:1 gene:GSPATT00015379001 transcript:CAK80482 MPPNKFHHKASTDIDTINKTTSIATNPYYNQYLKRASNSSHQDKPPSLQYSNSKSNLNPN QSHDYSIQFKVGSKNDKKNDSINETQLSQFVNPTKQFVCMSPAQNRDNSIIFQSPKQIQQ PTVVQSPQPYNLINTPIYYNNNKGSQSQLKSINYNNPNLFNELKQLQDSNNLLQQTIQQR ESEVQRGQSSQFIQELEQKINLLQQLNEKLQLENQSLIEQTDVTRMKENLEKSLQNRKQT SQQLQSIKSEQQQYQLKCDELQNKLDQYDDSQIKDLVNELENKVQNLIAENDRLNQQLSS NSHLQMISDIARLKNENDNLNKQQQQLKRDEEHLKLTYNQVEQVYEQKMADNLYADLYEK IQLLIEENDRLQQVITDSEYTDQDLQDIKARIKVVKQDNIKMEQQLKRKNLNKNY >CAK80483 pep:novel supercontig:GCA_000165425.1:CT868363:460142:461233:-1 gene:GSPATT00015380001 transcript:CAK80483 MNKSKTKSPPPGIQQQSLSAEPKETKKQRTTLDYSFKEIKKLEELKNKEVKPREGEQWIF KEQKKQENLEVKNDTPKEQVLKQQQSLPEETEPVQPQQQQPQKQQDNKKGNAPQPPPAQL IPQTNVATQLITLQNIPLNGKIIGGKLQENKNQSQQSKFKPELCCQSLILCQNKLTSING FYQIMQTVMPNVELLRWIDLSYNQLTTLDYNFKELPHLQSLYLNFNQIKDINELKQLSHL QEFRSLKIYGNPIEQIINFRLYIIDVLPQLKRIDSVLISKKERDNSSMIVKVFDFKPQNE SLSGQREIAITINLNYIIKVEVLTKKEKVIQYILIN >CAK80484 pep:novel supercontig:GCA_000165425.1:CT868363:461658:462903:-1 gene:GSPATT00015381001 transcript:CAK80484 MSFLKKLFIKSAKEKENQQAHHNINQIYNTQPFQKKSNPIYNYNGIQRIEQFEIILEQQI IDLEQLKQLTWRGAPQVYRAKAWKLILKYIPPNHMSQTSVIQKKRQDYLQYIKNYYEQIH DRDDGEEKIIKIISNDVLRTQPDYLLFRDPKIQDLFKRLLFIWSQRHPMSGYVQGINDIA APLIAVLLNEYVRVDFNKFDVPPEFHKLPDDILLDVEADIYWCLCKIIENIQDYFIHNQP GVSLAYEKIKNILNTIDPTILEYFHQQQIDFNHFAFRWVICLLIREFPLYLAIRIMDTYL SEGDNIANLHIYTVSNLILKCGPEIKNKNMGDAVIFLQNLPTKDWTELDIEMLLQEAYVF KEYMTNKQSLNQSMTSNQSNRNSNK >CAK80485 pep:novel supercontig:GCA_000165425.1:CT868363:462919:464365:-1 gene:GSPATT00015382001 transcript:CAK80485 MIEESFLQNENEDFGEQLLEDFIIIKKLGTGAFSKVYMVQYQESIYALKVQFKLLQVVDK QSATGNNIVDKLNQEATILSTLEHPNIVQYYKTIESKRKLYFLIEYIEGKSLQECIDQSL TDSQIRQIIQQIIYAVAYIHKKGIIHRDLKPDNILIDKEWNVKIIDFGLSFKTIKSTTHE TCGTLIYMAPEALMKKEYFKSVDIWSLGIIQYMMFANCHPFCTDDTRETFLKKMKTPQIA CYPENMSKAAISFFEKTAAWEPEARLTAEQALIHPWISGVNSQMPMSSKDIIQTFKCQNQ FHQMIKMLMILKLIQKVSQKRDSLQTQQLRAHSQFQHNLKPLVVTSRNPSSQQKLKTESQ QLQPPLSAHRTTLSRVQSNASFLSCSDQPREDARLKQMKSKQQQFKLPPINTKSANNSPY NSKSQQMFTFSPYKKVQATGELKKSQFKL >CAK80486 pep:novel supercontig:GCA_000165425.1:CT868363:464976:465455:-1 gene:GSPATT00015383001 transcript:CAK80486 MTLTYELNVSQIVISNFLNILLYFNIFRLYFNQGNIIFDSCKQFSESNYFNFKPFFRYKS NFKISYKNLSEIELILQTSNIWRLSIVMIYREYFKERKYIFNVQPQIMIKGKASLKNLIR KQGIHQPFQNVSYIVNQSSQNAFNDISFHFTFHFTEYSV >CAK80487 pep:novel supercontig:GCA_000165425.1:CT868363:465819:466277:1 gene:GSPATT00015384001 transcript:CAK80487 MAKSIAQVFKDIWPKLAIFTVMLVITIFQKHPMTLKALGQSDEEIQQQFNSGEFKTTPFE KDYLAYIQIEKIAFTLVVFIIFYWFRRKNLQYEEEQAKLYLEKEKEKKIAENKRLLQEQK YEFTDDDIKFYREANEKMKQKEQKNKQKYNQE >CAK80488 pep:novel supercontig:GCA_000165425.1:CT868363:467264:476905:1 gene:GSPATT00015385001 transcript:CAK80488 MLYPIFATILYLNSIIQVLGVSEVISSSFQGNSFSNADNWVVRGGQPVFTDCMGTRLFGG YQAFARQISVTKTFLLPPHFQVNLQLQYWKIDSWDAEFANIFVDYQLAWQQQYNYYDGTQ MCGGGWQDLFVNLNLKIKHSGNTAVIVITTNLDETPDNESWGFRDFILSVEKCPDGCLAC QQTDTDLQCAIWKTLQTSWTQLNQNQILSDGWNVLNGIPQATSCGAVTLFGGYNLLAAGA VISKTFLNIPPHHKLKIQFLWAKIDSYDNEAGQMRVDGVLLWERRFQWYEGYYWKICGNL NEQHRTIFVRNELDLSHTNSQVQISFTSTLDEPQGESFGLRDFVIFYGACTSNCAKCTGP SGSECQGCVKGSYNTGVIDSICQLCYPSCYLCEGQNIDDCIDCGDPNIYNKQLVDGQCIC VRKTVEQTQIDGTTICLPCHPRCERCQWPFDNSANQYCSMCIAGQNRIVSDQLNCVCKPG YGEDGISEICFRCHYTCENCNGPLATNCTTCSSSSKRHLTSDNQCLCNKSYNDTGTNDIN CLYICHYTCSDCDLSGEDQCTSCPATRQPDRIGTTFKCLCKDSHYFSDKTELECLECHFT CKTCNGSQNNNCLTCNTTYRQLAMFKCICPDGYYDIGLLQCSPCHYTCLTCFGPALDNCL TCASSNNREFKTNTCSCQNNYLEKQVGDPMCYSCSYRCANCSGTIDNCTSCPLYSYRDLG TNNSCSCPAKTYDQPNNPICIVCHSTCLTCNGAQSNQCTSCYTQIMRQLDSSGSCLCMSK YYDPGKPECIACSAYCLNCVSSADNCISCKPDRYLQGNVCNCKTKSTGATISSYQVQGKV DCCHYSCLECNGSKLNQCTKCMASEMRVLSNSTCPCSPHYFDMGKPMCQQCNYSCETCAG FETTCLTCYQNSFRTLTNQQCLCQKGYFDDGSNSTCQKCHYSCSQCSSISTKCDTCSLTS NRILNLQMFTCNCSDSYYDVGVETCAKCHYSCFTCNQSGYQFCQSCVDKSTSFRVFNQGV CQCLPGYYDDGLSPNCNKCQSSCLTCQNTADYCTSCEATRYLEGNTCPCYSGYFLNNLGK CSKCNQNCVNCTTSSTYCIECDLSLMRILDTTTQTCICKPGTTEINGLCQVCDITCQTCQ NSITNCTSCKILRLLSKNQCKCIDGTYESGNDKQCLFCDQSCFTCINQQNYCTSCSADKN RILLTGNVCICQDGYYEDSVTLSCKPCDKSCLTCKVSPTYCLTCDASYNLSLNNQNRCVC STGFYFNTTTLKCEACNISCTECKTLTQCLQCETITRYFDSDNLKCPCKDGYFEVNQKKC SICDLSCKTCINSATKCLSCEPTYFRLLNNSNQCICSDGYYDVGIEMCQLCSPYCQTCVK TSTKCTSCNQQQHFRVLNVNQCICQNGYYDNGQVICQTCSNQCLTCQGRKDVCTSCDTNQ NRIDQSVINKCPCSSGFYSDENETCQKCHLKCQTCSLSQESCISCSISTNSNRQSISQNC ICKDGYYDDGTQIDCQKCAIRCKLCQNSSSNCLTCFSNLREGPPLCNCKIGFFENSSLSC EPCENQCQTCEKNSTNCLSCKEGRSTKQCVCQDGYYEGGQPLCVQCSFSCKTCKDSQINC LSCKGDRINIPTCSCPDGFYDDYVNESCQVCDGLCKTCNIDGCLTCNGNRVLTSEMTCEQ PPNSVSHPDTPWCSTCQVAVIDIRFSDDLLSIQVKFDFTLNPQFFTTQFSDNVCLLILEK QTYQSLGKNPSCYIDPADDTKLILRVGNSPKILPGDKILFYDSYFGHEECDKKLSVFIFN YVKSPISPVSPIIIYDLPTYLINPCDDNTISLRSKLNDGLRGFIEIKWTYSVDGSNGNGD LDNFVALLTKLQILELVIPLQTLPKQSKITFYLDFQNFVGQKSIQKIKLETHSGQFPTIL WISKSIYFNFETIVLEFLIKKKDCSEQAATTQVDNSEYSVSLVEVYRNESNSRPSRVNYS EITRQSSFNVIIQNYTLTSRISYTFEQTTFDALMNFSIKRNITIDISSGGILCQFNGTKK IQNYRKETYIFISCKDLDTQYGWNEDTGISLNVECADLTMNSLCLDLNKKIIQINKTDSI QVIPKQTIKPYTIQSWSVVATKKQHSYKFKQNIVYLDNDFKLLNVTYSKGYLMRGINNYE NLEFIINIPFEDRQYLLEYQVAIIYNFQLVQILQSEYFQQQIRIFDYFQEFTKGDKINLK FLAQFTNEIIPSQEDLQINVNQPPNCLVSLSEQTVEALKLQKVITICDFSESAPFTYQLR YFLHKQDLIDFQNRTNDYSLILSSYSSSYTIEATFPFIDGILLIQAMDSKGSYLNIEKQL NITKTLLNCSENNIKQYNLKYKISLLLEIILNHYDQQNCITLSNLLYSNIQTYLGADNID DQLLVYQTTKLYKRIIQDHKDSNSSMRLLNENSGSCFENSTQAFYVKFANVNTSLTVTPS SLQAELKQIMSVAQKMIKKSADYNDQILQNEVFLDEKIYQKRVAIIDSLSVIQLLIDDIF LKIPEATINSNSDKESIINTAEGLISLIEKIAIYVNVQVKVNGPQLINNGQILKWQLSKI TKEMLNKQFNIERDLLDGLIDFVQKEQIELNYNYLNLSQQLQAQLQVFFNLTNLEINEKA LKKTNLQNHLYNGRYIDYQSSLKQYIIDMLKISYCQELVPQDKLYSYGCVNIDKDGKFYK CELSNEEIDNKTVQISCKCEQLGSIILIQYPNNSVKQQNISIYTQNEKVIKDNNLMLNEQ PILLFHGIFIAFSYFIYFELVSIEIKQKHTPLNSRIDSEFSMDETHIQGKPKIFHFYPGT VAVFKISFKFIHEILSCFYTQNSILKKSYHFLQLSVKIGMLIPISFLEITLLDETTLFAI FIINCGTFLIFRMILKILQSIYRFGGKLSILIIIIYLLIHFLCYLEFILQLKQCEQDLKI INFEISLFLIGSLFQFYVILDPIMLFLRIIIFKHITFQMRNQIINPLNQLVYFFVQHQKL DELFHYYAIM >CAK70458 pep:novel supercontig:GCA_000165425.1:CT868083:35:2010:1 gene:GSPATT00038562001 transcript:CAK70458 MESYLLLQSIYRNSCCELIPQKSTYDLPINGYTHPIIIDSSECIPIVDTKLNVTIQDNNK LQLVPIQMSISRYRFELIKRTTRKAPEYSYIFQIKATNETLPGIITQILVNNIPISISAI LQYTEQPKATPLKGLYSQTSNTISLSMTCSQPSEILLVIGIDEITDESFEKIKSNSISNE LTGDYDLFKLQPENSTLQQESSYLLKYEILPSNLIMNIYNNRIGNQITYNDYDIVSQVNQ SLIKDNSYKTLMNSIRRMMINRLSLLEKTSQLHLQRIQSKLNYFDTTVTFTLSNMKFNTK YSFGYYCKNLNGNTSEISTNFQYIANETSMNNKSLSKMLSLKFKQKISSYIILINQLLLS AIQRMQIMQIFKNIHYDKINYKQYLQLIQDFVPFENRSATEKQKIFRQFIYNENLFQITI HPQMVKILILHRRLTSQLILTNETILSIINVTDDFSLTFNYESFLYQELKPPKRSNVELA TQLVLLNYEFKTISLDITIKANQDVLGIVGLHKIEPIFENVIKHPTGIMLRRGILSTFSN ISEGVQFAELAKNENTTLTFKDMIDGQKYLIYYSSTDLLNDCLTKQYQETVVQQITFVSQ QQSCESILHINIALILLVILCIK >CAK70459 pep:novel supercontig:GCA_000165425.1:CT868083:2027:2838:-1 gene:GSPATT00038563001 transcript:CAK70459 MNQQVQTKVASQLQFIVPQKAQLIFDVNQAYQAYSLPKIQYRQQLPDVPLKSIRQFDEKQ EQSKQKNDNQIFKEWNVNYQEDILLANNKQLKAQQNTDLLDNLRSISKQPPKKKTRMHKT KFKIVKNEKNHPIVEQTMCQYISQKCLFQHQFSIQTQVPGSANNQDYDASAPFFIPTLEF AEQLNEEKQTFKKTKKYGFDDVTLRKNKLILEEVILLRLQKKEKIRQKNQIINQVKKEND FDQQQKNKINIQY >CAK70460 pep:novel supercontig:GCA_000165425.1:CT868083:3376:4083:-1 gene:GSPATT00038564001 transcript:CAK70460 MEDNYNTNTSSQPNENPYPKFEPENQNEQPQLEQPPQYAQTDDLNQPLIMGEDQHDSGEY IDQFLLYTKYINIGAGTYVAIGGLYFLFTLKIWNSSNFLMSFYTMFLPLFYVFFGVLLIL SEYYKLEVNIYFRFLRSYLGRGIYNIFLGTQCVNLWARSSDFFSWILFTLGLIYLFLHII RSKIDSDVKSKLLD >CAK70461 pep:novel supercontig:GCA_000165425.1:CT868083:4099:6010:1 gene:GSPATT00038565001 transcript:CAK70461 MPPKKQHHKTQSSDISAQAIINFLSNRDSQNGRQSPTKQLDLLKMKTQTHQSPQPVKVTQ QQQTLLQNMMRQSQRKLQQPETTKSRIGLMSPKVLSQIHMKTQPHSHQNSQVNLKESMQQ LMSIPLSATSKVVSKEKKLIEELLAKTKNSKQQSFFPKSPSIEQKFQTHSGSQPLIFLEK FKPTTARKQEMMTIVLQYKNFRQKYAIDISMNKIQWLIDFVKQELGIPIQGIRTTGISIP VDFILSKPDKPLSLLSGFPIQPLQIEPIIMNPNEPKAARVTLKDFEFIRCIGMGGFSKVY MVREMHTGQFYAMKLIEKKPILQQNKQQIIQQERDIMSNLDHPFIVGLQYAFESRKYLVF VLEYCFGGELFYLLRKVKRMNEQEAFFYFAEICLGMKHLHDNNIIYRDIKPENILIDFDG HVRIADFGLSKPGMVDQEVAYSFCGSPEYMAPEMLLKAGHTIQLDLYCLGALLYELVTGL PPFYSRNTDEIYQRILNAKLTFPQNLSASIKDLLNGLLAKNPKKRIESIDTILRHPWMIQ WGDKNLYKDLLSKKIEPPFKPDCFAFNFDEEEFGKGEAEFLQYIKPLQQNVMENYPKDII LKNFYYNCMQESTGGTMQR >CAK70462 pep:novel supercontig:GCA_000165425.1:CT868083:6191:6749:1 gene:GSPATT00038566001 transcript:CAK70462 MLSQWIESICLVKFDIEIGQIVEWTQPLSSITEQEEKNISMLAFPDSNAFSQNEGHLKYV FRVRRSGGKNRFSLGFALFMQRKDEDNPRGYTQKSIVILTNLPLVTFYYNLLEMIYSNIK QGCLKAQLQFAYEQISKLGKTIAQQIIQSLNIQQQNSSGSSTLFKDVKG >CAK70463 pep:novel supercontig:GCA_000165425.1:CT868083:6757:7632:1 gene:GSPATT00038567001 transcript:CAK70463 MNVELQEVLEELTLTNMIQFDDLRDIGQFQEANIFAALPHAMLVHLPKLWELIVTNQQFM VIADSPYQCSEIILGMISLISPMKYSGDYRPYFTIYDKEFQQINQELENSIVRNIIIGVT NPFFLKAFKKFPIIIRCDSQAQQIRLLTQGNKEFCLLDDKQTLKMMIPIKNEETKTINNS LIKKIYRNMSLEFIGLFEMYFASQQNQVKKFDEKEFLEFTKNCKVSFQDLFENKQKFVKL YQTFIRSSNFLTYLNDRKNVYIAKSII >CAK70464 pep:novel supercontig:GCA_000165425.1:CT868083:7665:12499:1 gene:GSPATT00038568001 transcript:CAK70464 MSQQSCFFNEITSIEKIQEESIYQQSQSNTQYYVNQSDKSINFLSKGGNQFFLPLDVEIN QVFPLSEGLLLGWGRDEFNYSIVLNHPLASITTLGTYNSTLGTIEPWIHMQEEIIYAHKI LPILITYNSQLMRHCVYILKVDDKQEVQNYNLTEKTDCQIISELYYEENIKEEKAKQCEI IRSNVDGELLICLLSDHTIRILEMNFQEENKSKNIFNSLKVVQTIQKVSQIQVFNMIDSI CAETISIKKEKLKYQNNQFEYVAYQIINHNNIPSNLLILHTNSTFTLLSGSKILLNYQIN EQINDISQFNCAPVITEPLIQTILHSLKIALDTQQYICLFKDVIINCLQNQNLKLEMSKN NIDNEFMRFTYLMLCYLKLSSNKKDCEDQIQKKIKSNTPSVQQSPMKESSKAFQQLLKSN YHKENSSYDSNSQYCHQQQQQQQSLSFIYHMTMEMFNEIQDKILYVLHLLYQELLLSDEK QANKLLVLLYYYSLNMDNEVAINYSDYYLRINPSLQSMYQNDNFISLLPTIRSLTLLGQP QQQNKQKILPQNPIDLNKWLSSLLMNNPISFPILFKRTKQLCIIMQSILKKQLIHISSIL QCEVQDFQILYQVQKTQLFFQKVLNQKLQIKYIQQKKNKLEMLTKLRGPSLMLYLCSEKR WNRDIINKLPLQFKIVILEIITLLRNNFPNDLMGQITKDSYLLIDRMDIYLNCKLYIQNP VECEFKKYVNSILSGQIKSLQNEDDHDIQYDQHLMQQVKRQFDFTRDIRIKTKMTEHIPE ERLDQDAPSVLLKLLNRKLAMFVGLGSLTYGTSDHFITDQIKFPSLNLSGILQQNSLKLT IDSTREDYTQMTQWPEFHLGVTLSLQLSKKLKGLSQESLRTWIFYQKPQNPSNIHGGFML GLGLLGYLNSFNQIDLYQLLTQNHEATSVGVLLGIGASRIGTCDEPTQKSLTVHIPSLIP SVYDLELPSNVSTSALCSLGFLFMASGNRNFTEVALGLIGRKPHNDKVIDREGYSLAAGI ALGLINLGKGSVWRRELQIVDRLIRFVQGGKVLPPPQSSLSTNFMQNESVSSSIREGNMV NVHVTCPAALMALSLMFMQMNQQNIVDQLNIPNSFSSLESCNPNHIILKSLTRNIIMWDS IPTTQADLHSQIPELISFLFEQPLKKIHQKFYLVYNVEVIDFMSVSMIYTGMISGALLAM GIKYAGTHDQQVKQLMIKQIEFLIKLRISQNEFANDPDNKCAIDQYTYYTNIVNALQGLS LLMAGSFDQEVLTIAKSLIQKMENSQIWHFGFHQGIKMAIGFVFMGKGGYSFKKSKKAIS MLLLSLYPYYPTNPGDNKQYLQALRWSYVLSIRPKIFKIIDVKTHKTANLQIKNEIFSDP NYCQSNFSFTNKAIQTIYVQSKVLSQNNQDLLNPNNTLNHIDTLLLIGDMQTIDSKLDGL YEYKFIKNLKEKQYFLKLLQHDQSNFIQILASLFNTNKYAHIPILGLFYKLYQNKLVLSQ EVIDQLTPTISVTNQELNKYINQDFKNMQFSLVSHKVYSFLSIYNLPYLHDIKQIVKVAK QIPLQMLEFYLYKSFEDIQQQKLKQLAHSILLNQ >CAK70465 pep:novel supercontig:GCA_000165425.1:CT868083:12545:21519:-1 gene:GSPATT00038569001 transcript:CAK70465 MFEKILEKVLIQYFGKFISGLDKNNLKLGVWSGNMIIENVNLKPDIIEMLELPIKLGRSS VGKLTIKIPWKKITSAPVEITIENVFVTLIPLPEWDFDDNTVLIKKVEGLTNYCQKCLKR GIKKKKSDEKDKGYLDKMALKIMDNIQLKIVNIHIRYEAIYNWGITLEMLDVFTTNQNWQ RSYIDRTECQNASMNKLFQLKNLAIYWNIKSEDDIVDYNKFMEERILKQNLEAKEYCNFI IVINAEMKVVQNEENTQEALKNMQLYLDEINLIVQQMQFQQFVHFFEQCSKYSKLLWLQR KKQLSKVSETNYYQQNFVILFPKVQQHGLSNLDQTEKDNFYQIIRCVEYNLLLDWVQISA RQLIKEARITKATTDKIKKETAWWKSDKKQAQDIYLDEDEIVAINRQIDQIFQEERKKVM NRPDESIEYKFSLQLNKGKLKIENKGDGLQIFFSGMQCSSETRVDESYDVIFKLQSLNLD LIIKDDAQPFCYKQNDMNKIDQFLKIHFKKNPKHFEEDASIMIQVEPIECTYNNEIIRKW NNFFDIEGVEENVVQIAAQEKLNKVSNQTAIRFDQVMNSSYRLELFILIASPRIILNNII FDLGNLSIQSEKDSKYYDYYTLSITNLNLKSNQLDILQNLSLQFSLERMKGALEHPEKPR LILSGIIPPVSITLTEKIQKEISTLDKLIYPEKSEEEKLKKLTKEKEQILKNSNYKGELI KKINYLWAKRYSVVSGSYLYSYQKEHDLKWEDRILLKGATLIENQECMVLSNNQTWYLKI DENLTKELTNMLDEFKDDESVQNTLVDQSLMQIQLKLSLFDLKLIKENECLYSLKLEDVF YKSHKQTNRFDNTIILGDLEIIDQVYKFDNPDLQFLIRKDPEYKDAFLTIENTIEHNFSQ TKLNIGQFILNWKPDSYLSIQQLSKLGTKAFGIDAINLEDEEASLVLQGESSDQILQHKL DQLLSQQSQRCYNNKFSEIISKIISKKAVEENISQIQQLFTSFSIESVQIMEVKIEQAKV NLVHRQSHVLLGYIQACDLTHEVEFTQNSKFMSGKLRELRVFDVTNYPFTLQQYHPFEIV NLDEISYQYANYNKDYFQDQNEITTTFNICSINKVVLEYQHQQLNRFVNYFLNQILQLIF NPSQLIINDGKEKPKLVCGTIEQLFNCFMNPQFMIQEYRLKDVIINLRKQYQDADKLTCI INNLVYSNSQILEPERCNMKEINLPIWVDQELTINNQIISKKNTFQLNLKKLLFENEVKE LFSINLRNYYEVKGFMPQLEIELTKKEFQLFITILSFNFLTNDKQDQYFIHDYHIYSKFN PIEIRALIYFENLKLKLVDSGIELQFKQTQFETFLTQNLKKIINMYCQKFITNQYNIFLV GSQDAENIKVKLRQNFYQSYEALTETIFRTEKTEKTQQITGYELKIDYLISEDSKELIID FENTVCFAYSRAFFEILNFIDIDEDNFPQDNQSFKKSVTMINWKGIITYPNENSNDNLVT RGGINYILEKNKDSTSQKVTISNFEVFLCSESELLVHNFSDVVKRHVVLPMFAYVGLTEK ESQQQLDISIENLNIQVSYEDLTPIFATYLFQKQQIESQKPIKLKQQQEEKLLLVNVQVY QADIVLVSFIESPVPLFQFIIKDYQMSVTKRRKYIMNQQTVFQAQFFNLDIGAWEPFIEP LVILSDHSKDSKGNPQNFTSIVVNEASELPMNINFTRENIKQLLELHKRWRQSIKSVEQS IQRKQRSPSRISRNSSIAQSAIEKVVLMSPYIVDNQTGYEIELTIINKTQKKIVTVVVAA YQQFALKYAENMEKRVLSIFIRSPSTIFKEINNLNFSRNKDESRQIEYKIGMLNKSTQIL IRIETLDQQKILTISSDILFINNSSSDIELKLINKITQQQFILVVLQNDKKYIPLGYEQS MILVRYSKDGVWSQGMDIQKLILKNGNYNLFINTPCLVLRVIQPALKTQPCVLKFVCPFA LKNLLLLPIDIYFCIEDYCRIEPNQALKISNSQLSKGLKFKIKLPGFQKSQQIEINDQFK IQDFIIRDFLGLELNLQLIPLQDGEGLITIGVDGGLTIQNSLGLNIYFYTQNQQKQLIYQ PGQPIFQITDQVDDKLREDGCLQIVNFVDNLLITFEQCNQEQIPEKISQPIDTKINGQFI VQIPKELGYMDIIIDVQTILAHNISQKKIIHLNPRIVIVNKLKTNLSIFQDRCNQEIFIM PDAKFPYFWRKIQGSKYLKFKLKDLSSGSISAEMQGTLNLPLRNSKGQLMDYLSINCMEE DKIKYIIVQECSQKPLYKIINKLNYDICFYQTDIQELNENIKTNLPIGGSVDYSLDNPGY SRMITLELYKNGQFSDFVKVELVNSDQTRQYKMADDEIFYLNTQFSLDQRQMIVIISNSE QHSKQYNYDNILNQFSVLIPKLNISIINSHPRDELLAISIQKIEFIQLADILETIQIKIQ DLQIDNNQHFRCTYPVLVTQQNEKPNIPFMNVLLKRAHQINFINYFQTIKLAIQPLSVRI TEGTFCDLESYLKSLDWIEEQKMKQLRIWQKDQSLKQQLCFFDNLQIFPLKLRLSFQKVE KRNNKQYLKSAASQAINCDEVSVTIKGYAMTNCFTTWEQLQNKMIQNYKDAIFSNLYKLA GSIDLLGNPIGLIENVQEGFQDLFEMPYDGFVQGPLEGGLGIVKGVGSLTKGVVSGTFNS VSKITGSVASGISQLSMDDDYLYQRQLQNSKKAKTVVHGLGQGMISLVSGVGYGIAGLVT QPYQGAEKDGVGGFFKGVSKGLAGLVIKPVTGALDLVSKTSEGVKNTANFFDEKPNEIRK RLPRIFYGEEQILRNYMLQDSEIMYILDKLIPGLMLIDTFVFMITKYKFAYVVTTKQFIL LEILKKQVLIAFNNENLKAFESQQKEYKIYLYNSKIIYKERAKVKAFIEQKKECLIIKQQ EEMIHQFLNQKFNQILQFMYDV >CAK70466 pep:novel supercontig:GCA_000165425.1:CT868083:22932:23495:1 gene:GSPATT00038570001 transcript:CAK70466 MFQQAYHGLGKKISHYKNKGISIQNINLSQKSKIEWETTLTVLALEIQTGFSSIKKNQLL FHFIKITYYFQYFQPKWHLLDGQLHYQAQLKVQKLEQLLFFDLSQIPLNQFSASSSAYMF IKWSFFCFGKKVKEEDPLYLTQQSYTKPSISSIMLQERQQQCALINWYFNLKQTRFN >CAK70467 pep:novel supercontig:GCA_000165425.1:CT868083:23847:24358:1 gene:GSPATT00038571001 transcript:CAK70467 MSLSVEKDGELKSYVKGSPEKLRELIKQQSVPSSFYKLLDFYSKLVIRILAYGAKTLSKN QIIMMWNLISLLLGYQSSTTKIIQILQDGCIRTIMVLGDNVLTAISGARQCSIIQPNQSI FLGDIREEKINGKNQIVWKDFDMSDNVLNPENLSPKLFGINYQ >CAK70468 pep:novel supercontig:GCA_000165425.1:CT868083:24415:24878:1 gene:GSPATT00038572001 transcript:CAK70468 MIKNQYLGDLNKKQLILENLDHLIDDQDLWENQRALRYCHLRKTFQLLTKQIDKNPTVRK VFGKFLKRSQIFARMKPEQKSQLNTDLQKISRIALCGMCGDGANDCGALKLQMLAYLYLK LKPLLLLHSHRKFKTFLDLLNY >CAK70469 pep:novel supercontig:GCA_000165425.1:CT868083:24913:25381:1 gene:GSPATT00038573001 transcript:CAK70469 MLQIYGITCALFYLILAKNSDFQLLLQYGQDQSVQTLTQFQPVSSLLSFPVLMSVIGQTI IQLQFQYIFILHYVWYLSNLTIHEGNTNDRYAMMINYENTSLYLYSSFQYIFQCISFSMG KPFRREFIQILDLLVF >CAK70470 pep:novel supercontig:GCA_000165425.1:CT868083:25766:28610:1 gene:GSPATT00038574001 transcript:CAK70470 MPISIIYILFTLFDASNCQWEINESFLSNDEIFTTSGGSSSENFLLPSSQTSANFITCAS PYTSYLTLTNAYPSAWTPNRCTIYDRDWISMDLYFQGTWSSQYVQFTIGTFSYSYTYISP ANYLLTTGFCDATPFQVRTLNFTLAIAVGSTYSQLKFTSSNTNAGLVSIRNIFVSSVKCY PSCYSCTGPKYNQCTICHYGIQTNNICPPCPSNQYYQKEQGCRDICDVFSPLYQNGFCQS YPIDIIEGSYFTDPIYDDIFKWSLIYDPQHVDTTPTAIIIVNHVLGVLKYNSGVYQYFNS LFTYSNLPYLIGLQITIMLFNEIPINCGIQFKINNTYYGSIYKNASGIQTHKLKISELLN YGIESTQMYFTVQYDLILYIDIPKYEFVFQAIGNYTDGTAGWGLRSVYFTSGYCSEYCKL CEVSFKCKTCESGYYFYRDGTCIGSCSYPYQRLSGSYCYDYDDETPYSQYLVQEYMDQTG DPEQYAKYKLISQNGSNFLKGSDIYYSYWQKFRVFGGPMVWAQAKFQRVHNINNPHHSVT IAFYILYGPSFPSNGKFIYTIESNTPVPKSTAGIYLINSDGSRKDQIYEKVLHNTNTFTI TWECFGPNNEPIKAYLWILQLLYCCSQLLTLLLIMLRLIYMYFMEQHLQFQYCQILLSRM LEICKMFNLSIILFNLYIKTRLLQHVNQLIPNLNQDVLAQSINMKNQINVLVVLLSVINA QVLLTVQNALTTNNRQLSQGNCNCIDGYYPIISNPKCQLCHLFCKTCTGPTSDECLTCNA ILNIEKVGSVCRCPGGKSYQYTTKTCSSCHSSCSTCFRTTIDGCLTCNSTLNRLLKGLKC VCAPGYYELSIVCTSCPISENSSLSECYKQCNNNQLIWHTITCSSCDTGFQLVIWRMSTY LWRFINKRI >CAK70471 pep:novel supercontig:GCA_000165425.1:CT868083:28638:28969:-1 gene:GSPATT00038575001 transcript:CAK70471 MFLSSHYSLPIINPSPHFSLHYQGVIYGSIYQPQVAHSKHCPFIHFVHAAHHIKWYYHLH ISSTPVIIPSPQTSGQGKVVDESQVTHQAGHQNQQLQHKS >CAK70472 pep:novel supercontig:GCA_000165425.1:CT868083:28972:30492:1 gene:GSPATT00038576001 transcript:CAK70472 MIQMGAKIVSTSAELVVLLVIMQQRHAQVVHSLGFAPNSYYCQNVCGDGLVAVDPSGFYS EQCDDGNTTENDGCNSSCQFQCQPTTICTSCVSNRCEICATGYQLSSQKICIPICGDSIK VIGEQCEKSLILPYKGCSKLLSDMFIFLPLLQYYRTWLSIIIDYLCYSICGDTIITEDEQ CDDGNLIIGDGCHFCQFSCQDSCLNCLQGICYDCQEGYQLIQSKCYSICGNGLQKNNEQC EINTSLKIYQNCQSCKFFCDLNCFLCQLGICQQCNDGYELSSNKQYCVKSLQYSFMIIEN CRIQIENSCIQCQVYAYFIKAVQKCKLRIAPLSFCQYQLQVSPDLYCGYCFDYCTSCNEN NCVLCQNGYYLDVNYSCISFCGDGTLAHDEKCEIQDQNCLYCMFDTPKFCKLYFEDHCFE CEHGYYLNYYINACESQCGDGIIVYDEDCEDNNYIEFDGCYYCNYSCSQQCINCIKGRWI LLWLTKCN >CAK70473 pep:novel supercontig:GCA_000165425.1:CT868083:30561:33191:1 gene:GSPATT00038577001 transcript:CAK70473 MSVVIAFLDVKKVVYIVIMVNALLCAEQYELYKDRCLLIQQCQIGLYLNQELQICQSSCG DGYVTEWEQCDDQNMEQFDGCYQCKYECDDNCIECIQGECFQCSQEFNIVENKCLSKCED TCLNCVQGGCQLCSSGYFLNEYFICVKIGCEYDFSCTSHCGNGILEDMEQCDDQNLFNDD GCNNYCEQTCDVNCTSCIDGVCFECKKGWKLGLYLCDPICGDSFVFGNEECDDGNQTNFD GCFQCKYQCSQYCENCLNGICQSCQPNYEFDQFSNSCKPIQPLLTINEQPNCKILNNNQC IFCQQGYLDSFKNLCIIDFNMNKCPKNCRKCVLSKCLECEFGYYGNKCMPKCGDGIIVLE EECDDGKEFQLDTCLHCKQQCLQYCKTCVYGVCTNCISGFYLDIVSNSCNSVCNDKIQAM DEVCDDGNDLKYDGCYQCKYQCQMECLDCQFGKCTLCEPPLILTNDICAQLNLCDNLKGL YQDNNGIDCLPQCGDGIVAGNEFCDDKNDVPFDGCYECKFQCSLQCLICNKGECFQCYND YTLQNNQCSFYNNTSSNGQNPEQLSLNEDMVCRGYECVYSKKPRMKLSYKMQSFALQYVE ITFDQEVKFRDQVQKGKNLFNISINDIDSQYYNITINSIQDISFDLQYAQYQVQVELFLQ LSTKPVLLVQLNQEIINGNNQTLFQSNQSISLQTPKIMSEQLKQVSIYAQQSNNAFMIGA ILICIISLVSGESSFVVETLNLLQYQSFLRFINVEYPENLYIYFQAQELLSISSYLQLFQ IDDYLNLITRKEKQIDLNGKFQQYNVEADLFTNILPQLIQCLVLVILLYFAENLYNILFR LSKYLRHLVHEKTLQSKNFIYNDQFNTGLQKLHSNH >CAK70474 pep:novel supercontig:GCA_000165425.1:CT868083:33248:33709:-1 gene:GSPATT00038578001 transcript:CAK70474 MNKSQGYFVLIRKIKLTNIQIQKQTQTRYCQNTFNCQEYNPIHIRALFILVDYITLSYIT QGYQQELQNLLFLEQYQDDMEKESSGNIQSYKFYVQLFYNIFFLWIATQTKRLQQYAYAS KQYQTSRESTQNASQIVHLNIMKLQNHFKYQIP >CAK77690 pep:novel supercontig:GCA_000165425.1:CT868283:5866:6060:1 gene:GSPATT00039243001 transcript:CAK77690 MTNDMILKIVSKLENKLKPQVRPNKLNLKIIQHFNKYIYFSAFNIKFYPNHLQVFHIFKN QILR >CAK77379 pep:novel supercontig:GCA_000165425.1:CT868269:17:1904:1 gene:GSPATT00039206001 transcript:CAK77379 MFLSVCFSPDGTMLAAGSAYNSFYLWDVKTGKQQTKLNNHNNIVYQVCFSPDGYILATGC DDKSIQLWDTKTGQQNINFFGHSSHVESVSFFPDGSTLISKSFDNSICLWDVKSGKLTAK FDSQSTGITPQTLSPDGTTYASGIKDNYIYLWDVKTGQQKTKLKSQHQGVPLVCFFSNGT LASIGKNCFIHLWDIKTRKLKNKFKIQTVNSYCVSPDGNTFAQTDYCNVKGSRYEKLIIK DYNIRFLSFCFSKDGNIFNIWYLRQLHSIMGYQDRKITNQVXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXLKGEFVNQSGNRFNHIVQIKKKVLCQKNIKKIDYYQKVN PAQNIFIFFFLMLSILYILKNEFKKSNRKRLRSQKYIFVHQMYFLKNYELKCFFTLSDLF QYDLYQQWWKAKNFKKASIQSKIAIFMNSQCICKQWLFTSKIGKREFLRI >CAK77380 pep:novel supercontig:GCA_000165425.1:CT868269:3815:4287:-1 gene:GSPATT00039207001 transcript:CAK77380 MMISRSVNFGWIGSNQGSQEYFTSQIENIQSNHIYDLNGLSEIIKLRSKTKSSFLYQWCH LINHKHEFFLTSIQYQLTNTVELTNHLTNDIIQILNLLLMEKTQHQLMDQLFLGPEKQRM IQLFKILFGQTYQKEMSYFGKH >CAK77381 pep:novel supercontig:GCA_000165425.1:CT868269:4664:5212:1 gene:GSPATT00039208001 transcript:CAK77381 MSSFQSVFETFSANYKHNDMVIGDNGKIVDDTSSNGGGYCMCEKVIPKIGEIRFEFEILR IANWCEVGIGFREMIKQNEYKGDGQGKGSYLLGNSGSTYFHLSNNTQGKAFNFQVNDIVI IEVSVEHKYIKWSKSNSQDYLITQIDTSQDLYPCVYMKNSKIKIK >CAK83661 pep:novel supercontig:GCA_000165425.1:CT868479:1784:2179:1 gene:GSPATT00039560001 transcript:CAK83661 MENLILNPFFIGGSSLSLGKLRGIAQEYDVQLDGQEEQVLRIQGIFENEDEGTRTEWEHP NYGFLNKERKKDFKDFYFFIDDKILSESQSELEDFQVVEKPQQKELKATIVDERMSKQEF QELGLIQKSKN >CAK86789 pep:novel supercontig:GCA_000165425.1:CT868588:1214:1486:1 gene:GSPATT00039777001 transcript:CAK86789 MKTKGLYQQKFPSHKHKQYNIQALLQSLPQLVALKTNSIVQEVSLIWIFQNRNQNKMNQE QQQLLKLRLLPFYANCTTYLSITQMHQLFK >CAK63286 pep:novel supercontig:GCA_000165425.1:CT868025:370:2277:-1 gene:GSPATT00033139001 transcript:CAK63286 MKYHQLSNSYVEAKTKEYQNRRFLLQQHLGSGSEGAAYLANAENWGNNPQQVVIKIQKNM KANERDFLIKLIQYQNQYENGNNLQYQPSQLIKIYDFFEWKENQCIIMEVGQENLYEYIG NKMDLSMEQRVKICFQICQPIYFLHSQKLVHRDIKPENYIKVGDIFKLIDFGLIRGSISD NKTQQVGSAIFQAPEILENSSSYTEKVDIWSLGCVFYEILSTQPLFDGQTIQQVLTSIRN HKNQPFITNNKIKNLKISSDVKEILIKMIQYQDHARPTIEWVYLQFQQYYKNNVQNSALN QQQIGTPVPQIQCNPQPSNQILPSSQISIQNSLPNQPPQDNQKITLEQIFSKLNLLENQV KEKDNQIQALSQNLENIVYLQQVEKDNQKQQLQRNLDEQNKQNLNQIDSLKQQVNQEKLN QNIILEEKLKQFEQQITAQQTKIQEIDKRSLSKSDLNFQNQKVVTEQQNLKSKQEDKYKL ELTKAISEQETKYKVELKTVIQEQEDKYKEALKKEISIYEEKYKVELIKVISEQEEKYNV GLKKEISEQEKKYNVELTKAISEQEDKYKDTLKKEISEQISQMQKIFQNQLVEIKIIKQA QLKLNELNYTLDQN >CAK63287 pep:novel supercontig:GCA_000165425.1:CT868025:4259:4909:-1 gene:GSPATT00033140001 transcript:CAK63287 MNSIQIEQLHQKQKVIQLTMIQSDKRLVNYCQLNIKIQVDEQIYKELNFIKHQIENVKNE LKSIDFIYFQLCDSVSSGKNRIDNPLIKSSKNLENMYQKLSHLQTNNSVKHTSKIRNAST QAVEKQQNRSPISQKNHKITNSFIKWKKCSIIKKKGNYQKQYIYQGSSNDCPFCLFSRLI NSSMSKINWQEQNLSKSGGQTQKQFTSKIYMFKIIS >CAK63288 pep:novel supercontig:GCA_000165425.1:CT868025:5487:6740:1 gene:GSPATT00033141001 transcript:CAK63288 MLKVAVCTLLVLSITAIDIQASVWTSHDQKMFAQIKQSGWGNFILNFGELHLSTGGILSE LNTEIAKLIDELDEELAEVHHQYARRTDVHNREVGRLEQEIQDKEREVFNAHDFYDNVLI PQRDRFAAQLEQLQENISQNRRTLNEATVQRANDHADFEAKVSEHNEAISAIDESLGLLS QLSAPSLVQIQKVQKNLSKIQQTLKRHSTFQTFIKTLIEIAVEANFADQGALKDILVAFN NLRVQLVDSLNTITADEAEAQKDFEARVIQLNQEHAEFQRAVVVKTAEIEANATKIEQTL DLIDELHADLDTLNGQLQAEKDDFAFATDVYNATVAEYNKELNAAHQALDLLNQPRFTDY VKSQLKGAF >CAK63289 pep:novel supercontig:GCA_000165425.1:CT868025:6749:7303:-1 gene:GSPATT00033142001 transcript:CAK63289 MVSFQWLIHPKATDKQANFQHYLLSDNSNSLFQINFIMCSNLQLKIYLFFSKISSFPILQ LCIVLVGPQHKCFLLQQSLQKSNFIFLFVFIAYRFLLLCNSLIFINLFITNLQYVVCLKV RVLYFIRIFTFSNPPSFAINYNFLQNVENYLHYSINQNILYCFEVLTLLEKIEVVKVEDA QQCL >CAK63290 pep:novel supercontig:GCA_000165425.1:CT868025:8180:14952:1 gene:GSPATT00033143001 transcript:CAK63290 MLPLGYIALQYLVFSDLNAQNLRNYQGEMNRNRSTRNLWQNDQKSPRANIQQKNERVRKK STFKFENVQDDIIRIQMASDESYEMDINEEIEIDKKAMFRGMQTEISPDRRKTFRAKHWQ IYKTLQQYMDEELIDEEKEDVLEQTPTPLVRQNQKLAPDELIFQKNLQIPDIIDEFTKLY ESDPHNDNYNLQLVHVEGWEEIWLNHQFYKDTYILLWLRKLIVTFFNSISLICYKITTNI VSNFIMLLLVSINMVLYITKFYDDVYITYKSLFDGWSLIFFWIYVVEHGIKIVGLGLVEF LKVFWNCYDLVNLILFGLYIYGSLTFDFSPLRAMRILIQLAKISSQLQKTLKALTESLKY MMEASLVVLIFCIFFANVGVHLFSQLLLNRCFIIEEGIQDIQQNICGWVNCPNNMKCFKS LNNVDTATNFDNFFFAFAQIIRIITMDNWTDVMYYTMYTLSPMTWVYFVLVIFIVGFFAF NLIIAVLKTYYSQIVSNYQEDKEELSNKQSYIEPLNLRFIKNIGLYHQIKTLQKVRKRFS QSNVSQIKSIDNLIFSPKGNQLLQKSKIKTQFTNGFGQINKLQKMVRRAKTVKEKKKQLR LILSARQQRLLQKRENEEMGIINSNEQSIFDKCNLKAILQPQRNFNIEQSKYEQAVQGDV KGKKILMSLKYNDVLAWKLDLKYSQYHSTSKRDVISELKEILEKEKKLKEQYEKVKRQNL KQYYMLDIYDKQKDKVTQEQLKSSDNGPPSIQRLYSIKLEINTRSREQSTASKIKQKFPI KLSRRMFTSELDLDNSKNEMPSNKSTMTRKSYFDHIRKQRLFFQRSQTKMVRGTTDGFME FPEWQEGQSYITINGIKWNHEACSILIHRKINDLDDQQYLEDDQILDLDWMDQNYEHFVK IRKKELEQGYIRRHNISILNILQNVTKNKLIAILKQLNKHQYNIWIQGFYGYWIVLQFKL HQLLEEKTVSIIFDLYTMVNFFILCSDGYLSSDLVDSINSVMTFLLLGEITLKIIGYGIQ DFSKKSSNNLDAFVIVLCLIQYFISITEPFWLVQYSSEIKVLRASKAFMLYRVIKYNKFI VRIMKIAQLTMKSYINITFLMFYIIFMYAIMGLQFFVNKFDETQRLAQLHSFNSIGKSWM TVFNTITNDDAVGMLKVATQYTDTWIGLLFYITMVFGLNYLIYGLVSAVLLDAFSSELEK GNQFEEKRERILQKLGIQENINQNETQLDTLSSYKNEKDENEDILNDIKIQQQQFIKRQT LNSKKSIYQQVPETVKHIFSKQKSLEIQYYKDIECEYSLFIFHFNNKFRKFCFRTTKSFI FKFILNSSICSSVATMIIISFDDSLPSLHTNNLNTFYEYHLLAVNLIIAITYILEIISQG MLLDQGAFCRDIWRFIDILYLISYYLSFMTQSPFLKFSLYLIYLRPLMMINMFQSISGIK NAMSLSLVQILNIIGVILLVFLIFDVIGMHLYQNKMGYCEDLMNFHVNNDLCLQQNKNWI IHPYNFENILNGLLTLFLVASLDGWGEIMQVCFNANDSKYGPTPLKTQWATYLFFILFIF IGAMFFMGFLTGVLFTEFQKYTKKLENKFLTSDQSQFLKISELILEQSPGYSNPPKTPLR RLCYRIVFSQLYHKYFIVVLCVNTIVLCLFYSDASYEYMSNLNKTYQVLTGVFALDTIIK LLAYGPTRYFATNWRVIELSLSFIAVADFIYDSYWGWFTTFLSANQSDQYFTFYRILFCL RDIRILLIIQEFKGLLRLLRVLWFSMPLLLKILYIQVIAQTTYALIGKELFSHITNGSVI DDKYANFKNFINSALLMFKCTTGDDWRALLIDCSTQNYYCKSDHNQCGSSWSLPFFLSYY LFSNIIIMNLFVLALVDQFENFFNASTNAIQTFVENVDHFCNVWCKYTYETKGTKMHTRF IARFLLDLHEPLGALEGDNVWDAAKSASNFRIRANKQGYVYFNELLYETIRFAFKESVFK SGSLIGRSQMKQFDLAMKRKMKENNMKSEQQQDQEYFQDEYSSKHQNFNILSEYLNVLIA LKTWEAYTLKLIEKTKNLDEYTEQTSSSGNDLKLSMNIGASVSRASQNRLTQTIDLDDLR VQRNYGHLNIYKETKSYQYYQHCIILEPISKSSPQDTTVQRSPSSFLENQKQANANPKKT NIKRQSSLFKQNVQSFKDVLEKVF >CAK63291 pep:novel supercontig:GCA_000165425.1:CT868025:14960:15989:-1 gene:GSPATT00033144001 transcript:CAK63291 MQIDEVVWQVINRGHCSFKIKTISQNFCRNEYNVTGLCSKQSCPLANSQYATIKEEKGLC YLYVKTAERAQKPKELWEKILLSKNYEQALAQIDEQLKYWSNFMIHKNKQRLTKLRQMLI RIRKMRLKGFKELIPIKKKAERRDKIREQKALVAANLENAIEQELIDRLKNGVYNEIMNY NTKAFEKFVGQNQVEDEEEREQQEQEEEEEDYSDEELIFDPNDLEEDDEEDQEQDDEEDD KRLFQKQTFNQLRRDDDEVKDVKKKKPRVKLQYEEEEELEDRKKQQIAF >CAK63292 pep:novel supercontig:GCA_000165425.1:CT868025:16043:19881:-1 gene:GSPATT00033145001 transcript:CAK63292 MINEALLDILFAQFEDVNEMNQRDKSSLDLNFAMRHFKDILDCDALFAGFKPQDQNDDTS DDEEEEEGASDVEQKRITQRQNLKINEPNLQNMKLAPDQDQNEYLRSNPQLRTFLRQMEL RSNQSSAQTNNTRQSQNVKYPVYAFSDFYYAASEDVRMIYDKVINIILYKYKKTKSFDAD YYDSLMQFFSVLTDQQIKEHLQQNKDSEIILNSFKKQKCLKSLDKRLSLYTKLQNESKDN YTILCQEEVVENPYGMMQSSYNPLLTPKFYQELNDMINKKHKKIKLEEGINYKREIQERM KNGNEINVQQPEENEEYQQQIQVDQDPKKNQMEIEAQIRQKDSENLKRFFADKFKLFEQQ KDDFKAEVESQKHERIINKESFLYQCPQQDFTSLKCQAQTDEAGMGLLQRIQQQLEDEKK LNEQQNQQNQQPDESYKQVEIDKKEQEFQNALDNYSYYNKEIVEFCMGHKDNFLSRSFQT YNRVEVDLQNRQFFSEKLEVLLHQKKFPEKVGTQQQLANRLAAPSIVSRQKDNRSAVSHV NLLSVEDQQESQDLSQFEDGIQQQQDFNQQFGNEDVGNKELLNLIESKMKAFQTTPFYIH SLVAESFLLNDFSQVLSKHAKYHRNNIVDNLYEEKRELLGLEREQVKYFDPWPTELVPLV NLKEQKKDNREVLLEESTEKQNSHEYYKQIDNLSLSKGNFILMEYIEQRPLLLNNTGMCS KVTRYVYSSRALQQFNQKRIKDEGDNYNNFQENSVQANYRSTYGQMGQITNEEMALWEFK NMLIRFLDKNQAIPLQGQLTDERFIGIAVIENDLYRAPIFKQKLPVTDFLLVRTRVDNCH YKYTLRPIDCIYLVGQIEPKVEIFTPQSRPLGTFLKNCLKTYIKKQFKMGLEVKQDELEL IFQKQNQKQSQKQSHAYIRSALKECKGIQDSSNQKTWRYQKGGNDDIQILKYDITANQVC LYESMLHSSYLLQEFGLRELKNSDKMKKTLQEYLSRNPQDFNSWVIARRVEDELNMTAWS LSQSFLNSANQTGRMLLYGIGDPTSGHGGLNYIKKPLKARGEKGQNAIQEKKPSVMGTEN DLRKLHVEDIQNISSKLGLTTEPNLKRWKMINKLTAFLQQSQNPDDKDSRDAVMFRKNLE LLDEKQRKQLNVIEKFAKNQRQTTKKQKEQYQKELDKQLAQLIQNLTIKVQGKYFENFEE VKKKRTKRDLDKDDKNKDLEYNDEDVKPYLIVDQENYKLRIEAFQKKEKKIWLEKKKKKG LS >CAK63293 pep:novel supercontig:GCA_000165425.1:CT868025:20338:25546:1 gene:GSPATT00033146001 transcript:CAK63293 MNPFFLFTFEIRQEIVSKYVNSIAYVIIHYFIILVNHYNKQSKQNFYYLTRDSNNSNLSA LLNQLDVSNIVFDNSQFTLIVSIIEFALSLLITLLGILLLLQKNNNQAQQIQFRSLGNVL IQFIQIFIRSVRILYWILCYPFLLVSIYNTLLDNSMSQYFSIFTILFVVLFTFFHDFALL NDNFNKQDFLNVAQSWPYVFSSWIKLVQCSYSSYYYVNQNSHMVYLLVSFGLSFINYIQL EYFMVIFGKYDIDYIFHLVNVIYFGQSFGLLIQYFINIDDPYTLITILIIIACVAVHVGF RKWHFEYQFTNSKDVSTRVMLLNWFRELECSNIYYMGMITKHYNHPNNRKCFLRHSAIYY SGKKFAKYTYITTKKHNIEKYKGLFVKFLIKCLLETQLKVQPNSDEIRLLYSEILFYKFN LINQSFKHLSKIKNNINFNQKMRIKQLKLSIHNKLKENNQLSYRSKLPFENVLKCEEQMK EFFQAFQKIAELQVYFWKNQLQQVISIPELLKICQSILQEIQICQKQWKNIHVTNPIDEQ QMLIRFRWKFFYLYFKLYILHKKLKVQEIKELPDQNLKSQQIFQDEKVDERSSSEDEAQT KSYYLTNCIISSNNLFFRVDKFGEIIAASQSTLKILGRSQNEYRGIRVETLMPDVIKENH NDFLKQFYKTGQSENLFKRRSILVKHSKGHCFKAQKYLKYIFNLEQDRFEYLSMLRQVSS RSQYIVLNSRWEIDSSSEYLYQIFGDYKLPFLSLCPKAISYTEYAQFLTNFDMKILSLKI CSYGSRERIQDKKFTFRRQNDQTFSNKSINELTSLVFKRELEEKQKQNVIQLFQQILEED NDIEKTAKLTRLNHIKLNIRVPMYKAEFQEDYNRYDAQMQTIFYDGESRKRLIIRNDNGN LRVDKFEFLQRYRWVKQQRMKFIYQKIKNLFEHFCSEKVLEKVLKVDANIKFYKTLNKTS FHILKINRLELYDENTNSNDKQENEFINTNSFRPYTSALAKEVIIASQSQSYNLKSQSGN MYSDMELITNREYSQKPFLIDYTLREDFLVQGSNAIYSLNEQKNPFIKEEINKLSKKNQK LTLLVVLNRFSFFLQLVFISFTYFFCSFYYNPITLHNSVILFGHLYKIQLLTVSSYNYII DVALMNEQKLSSLLITNPATKFNTTRQFYDFVSLEIGDYNTYVSYIYANQDFVSMVYSGF YGDDENVSGLDILDQFKVNLLQFNRSDKSSIIQNNTILSHFRDYMVPYGQELMQDSVASR INDAIQYQSSSIDNLILFMILQLTLMLINSIFSLLIKFKFRNDINTIYQIVTNLPKDDKI KAMRQYQILFAQLNSLFEEECNFTSIISFRIWIQKIIFAYFEWGYSYVNIIISHRQQDFE INEVEKINQKRDKAKIQKPPKYSFNTVFALMCYLTIITVLFILVYYFILDNQTQVKQFFL DNNFLVQTNYFWLITLLKEKFIYENYLNSSYYPNITNFRFQQFLNDVSLFQPNLYQTSIN EIQRVFDETLCDQSFDETGTYIYYNQFCDVILDGALKRGLKQFNILLSQVVIKALNPDDQ RYESFTLEDLAQYQISFQIIYEIYQNNFQIWASDAENILKSSSDLSLICYITQLVVIFIL YFCLLEYFLFTKLQQDFNFSKKYYRYFMLNETMNQVKTMRVDLVRSGLLSK >CAK63294 pep:novel supercontig:GCA_000165425.1:CT868025:25868:26542:1 gene:GSPATT00033147001 transcript:CAK63294 MDNKSLVIYIREDDELQTKRKTENEDIVPQLNQQSCQIGKKIKRGQLLWKEFYNKELIQN KQKNPYATHNELTSIISKKWKKKKQHKKKVDKLKLKIKQEHITEYTIEGNNPEEMPTNHK QTDDIVEKIRIQTFKLIYLNTIFDLKGEEILEAIKDNMQFICINKSYENYQIGEKDFNKS KATQNNQEVQEKQVVQNQKDPQDEIVIDEYETNIKLLNKIVNYL >CAK63295 pep:novel supercontig:GCA_000165425.1:CT868025:26849:27411:1 gene:GSPATT00033148001 transcript:CAK63295 MDLQESARLIIQAHQDILMNFNHSILENLSYNLKQELLEDIQIRAVMCVEFFTKNIQYST IHKIACRMNFQQFTPREIINQQHCKDKHSYSRNLAKSFKYTFYSKKAILICQMRMMSASK TLIFLKGHQTKNLNDFQSVSDDNYEYNYNITQKGNYLVFEVLMKFLIYYALFYYHLL >CAK63296 pep:novel supercontig:GCA_000165425.1:CT868025:27579:28158:1 gene:GSPATT00033149001 transcript:CAK63296 MFTPPNFAAHCALHKITKIMTRIFQLYDKLRLETTIEIPKSNQKLCKTLKNLYQMNLDDE TLILAFYFVDQITKKLRIFLNLENSTGLLIGAIIIADKVLNDQPRNIEKFKLASGLKKEQ LIYIESYFLETLDYNLFVSQENFEKYFKRVQDY >CAK63297 pep:novel supercontig:GCA_000165425.1:CT868025:28163:28497:1 gene:GSPATT00033150001 transcript:CAK63297 MGCLFGKKQLVNQESEISQDISIKLDSSAFNMQNELKFLGYPYYSTLTKKLNEIVHSKIA EWSQYENELNDHKNKFQKYIQLMDAIMEDQEEN >CAK63298 pep:novel supercontig:GCA_000165425.1:CT868025:29559:33159:1 gene:GSPATT00033151001 transcript:CAK63298 MNQTNSKLEKQVIFPKQQCLLPSLYCDYSARKKQKLKTYFLQEKCSSISPNKQTIKTQRD LTKQMDSERNSELYSFDDEVSRSARFQRKSRLHQRKKILNQPILNKNKPLLTDTQIETDT PYFKDKESSFSQSNDENEEKNENKIDLKLEGETGKALKQISHKINQELNNSFYHFIKKSE KMKDLILNFQQKDNLSPIHYYCLNESIFPKRIELSKQDVKEINLSDLGLTPKYIPLIRNL LSQKRDKNIKSVDISQNKINEWSLKLLIDVFPLKVKTINLSLNQLNRRGAVLLSEHLNKF ENLRNLNLKGNQIGDIGTATILEALKPSLKIKKLNLSDNAISDLICNELKEFILKNQALQ VLSLNWNQLGPIAGLHIAKGLNYNKSIKVVDLSYNKIGQSDNNYDCIKEWCSLLSNTYTE LIHLDLSYNQFNQKQLQLINYSILKNSRLYGLHVEGNKCLAHVDPLGFIQFPQQIKGKQS MQPKHQNIDGVNFIPMLNENENGSDCCWICQGWMEYHFVYEPEPEESQTDSIYLHLDFLD FKPISMTTSKELWKQLSSKFNSTTVLEDLTTGEIIHQLKHTFSAGKIITMTAINDAYTED IKTKDDMKSILSELNSKFFFTSYQMCPPNQKILYFFSNPTGKGIFINPRFPIISLRSAEN IELTMDKEKVFFYPDGSQISLSQVDQVNYFQTKQMFVIDQKNQFKPLVKVIPRCLENKYF LKRFAVDASKQKSNLIYWQKDQSAFKSYQGDTEDVLDECFQFDWNCMGIQHFLKSQQELE GVVEIMRFHYAQIKNIYKYYSSFGYNANKQQNGYQVETFNIPLDLIYDLTQELLPQGIQI EEVQSQVNQIKYNCDSKFIYNPENGFVRYQFMEFLFRIAFLVTKQKWKPRLIVDEVYRVF QVLAERFAAFDNQQQWREERLWTKECGNLIYIKQGFIQRLHDYVACIQNKKWTFKLKWIA LTEFLEFCSLMGFQQFLSETQLKIIYNLAMQTHQDELTQDRHLRMSTVEFTEALARIAEY ISPNEGEMNSATTSRLVLPLHIKLENVLTHIFDTLRTLKVNNFESFSIYFSQNPNLYPIL PRGNQVQSDQYECTTQELRIYNTLSYLKMFKTPYLHPNYKQFLEIPFQFVQAQKQKRNVV ERQMTQKQKLLKLKATLQLL >CAK63299 pep:novel supercontig:GCA_000165425.1:CT868025:33198:36889:1 gene:GSPATT00033152001 transcript:CAK63299 MKNSLERSKCSLPRLKDYSKEKAEKLKSFFRSNRSISENKIIQSKLKTQKLLYNDEFSDD HGVMAYYIKSSEKLIGMRQMIESTTLKPGQGEYKKKNFPLGLLQHSSSLLKQIKTETEAE QFRDQDTKDQNGMESLSFQQELSQNEDQDYDLLSEESSSDEPKRFDGVELQQEIKKIQHK LHQEIDQSYFYFIKRQDRLRDLAINFNKKNVDPINFYCLNDNIFPKRIDLSNCLINNKEM HFSDLGLTEKYFPLIKNLLQSVKSKAVKQLFLCNNQLDDKSLLYLIEGFPIALKEVNLGN NVLGRRGAGIIVSHISKFLNLKSLNLQNNLLGDKGVTLLLSSIQRNMTIIKLNLSENQIT DSCSTVFYQFLLQNNFIEILVLNWNQLGPTTGTMIAKGLQQNRSVKVLDLSYNHLGQNER SNCIQCWCETITNSQFSLVHLDISYNQLSEKQLRQFSQALLKNNSLYGLHIEGNKCSAKV DPYGFIQFISDAEEFKVVQQKKNVIDGVNYIPIQGDSLFGNDCCWICQGWMEYRFQYNPE NDSNQDPIFIHLDFLDYQPIPMTSSYELRQQLIEASKRKSSYLDLTTGEIIHQLKQLVNN EKRITLAAITEAYTEETSKKSEEITKQMIQELSRFYYTTYQMCPPKKKILYFFSNPIREE YFIDPRVMTMPAPLDNLILQGKDPKHSIHIFQDGTKLAFKKIQYVNYIYSRQEYIIDDKD NYKPLIKVFPRSSQKKYVLRRFANCLVRKQAHLIKWNKEDSIFRPFIGDTEELLNECFEY DWNSSKISRFVKSEYERIKLKEYFRSSYQLLKDVYKFYSSLGYQPPNFDVFCIQIPQYMK LINKLAIIDGDLLKSQDVDIDIVSLKNNIDPKYIYNPEKALVRYQFLEMFFRIANDKYVR NDIYKSYADALFRLLKEFKEQYEYFDQIQDWRTQRLWTRECDHLLQIKMPFVKKLYDYVT DIANRKWYFKLKWISIREFKEFCRQFNLHEYLSEKQQVIIYNFSMMTQVDELTLDRNIRM TFIEFVEALGRIAERISAAPITDAADNYTLLQRQLLPLHIKLETLLTYLYYQMKQKDIEF EQFCDLFVYFKPDQSPKISMPKVDKNKKPEKDHDYHNVITSLTLYNAVSYLNQNKQPYLH PKYKQFLKQNLIQTAPVRPFQHILQSDKIRKPRMIIDPQNLELRSNVQQLNKQQSPNMQT TLSQNA >CAK63300 pep:novel supercontig:GCA_000165425.1:CT868025:36938:37669:-1 gene:GSPATT00033153001 transcript:CAK63300 MQQSEDIQQHEQQEDQEQISFSFLEIKLPSAVLSQSQAIIIAQYGPASIYIDQHLQKSQE VGQIVQTTSNPLKLASIKQIDQLLVISFKKDTRYYYFQEYNLLKQLESLGLKQQHIYVLM NVPSSTLARYSDENSQLRQLNHNIESSVKQLEDPEELEGYFGDLFYSLKHLKSIAYILVQ TNYDISLELIQKYDEIRKHLPQIPNSVNKEFIKEELKKYAKSQNRDNVHI >CAK63301 pep:novel supercontig:GCA_000165425.1:CT868025:37832:39260:-1 gene:GSPATT00033154001 transcript:CAK63301 MSETKSKDSYQKQNLIVVGQHYVLKTCIYRGKKHNWYLALNQAQPGNYFIVRLVMQIILQ ILLLVHLPDRQTQTSTVYKRKKNSLRSWQMVSFQLILFSVPYMPTLQISGDVRLQNTNYY YQVFDRYGPSLKLCFQFVNYEFTLPTICMIAIQILNILEKLHNQSIVHCNLRPKKILTQL GKNDLVLIDFQHSTKYKHKNGKFIGYASKFSNLNKLTKYSSLNQHLGLTASPKDDLESLG FILMYFLKKGDLFKVKEHGSKIKKMEEQKLRMIPEKYCKDMPIEILQYFQFIRMTNVQQY PLGDYEFLKKLFRNLLQQLSVNEKDFQYDWIKKMNSQQTSQQQMQQTQKDSTNQNQTQTK IVIHQPQNLEGIQEVQTELERSSIKREFYRQISSLCISDEEDKQFESVSTKMLQLPNMFD LIKLKS >CAK63302 pep:novel supercontig:GCA_000165425.1:CT868025:39275:40123:1 gene:GSPATT00033155001 transcript:CAK63302 MKSLQDIHKKNQQQRATFTLMNQMNNRAQMGWFTPQPSNDLQSPFGNTLSQFSQHGSEHN IIHNTRGTLHSWSQSLERQIQSREMARQVRKQNAQQSARQEKHFFGAINGIPKINSPKTQ ANQTNSMFQPNLIRVDPKIKRQLSEMSKRNFLHFLLVQKVGQKDEKQFYEYLKKTYNFEP PQPIEEQQIKVSKKGIKYLFTKEDDDETIKKTSQQILRMHKLHHGREVPLDKYVQDTYEQ QLRRFPKVREKLESSKKSHLEQ >CAK63303 pep:novel supercontig:GCA_000165425.1:CT868025:40869:41828:-1 gene:GSPATT00033156001 transcript:CAK63303 MLYEYNTTIKLFDLQDLCNKAIIQFMCLINQLLSIQINCLRNLLIYNYTSVMKLYILILI ACLVISQAQEDIKQAETTQQESEIPKDDNASDTPTEGKFKSRTKEEIIKERDTLQTLLKT LNYSCIVLARMQLSRYKSELMKVIESHQTKQEQSIVWKKIYTSYVNQCQKSITYDDSVKI FSQIQSKEFKFDDYRFIYENMTLDDYSSDKVDMHITQAEERIWSYIQDFEKAMDSKDDED DDSQFKRNFDLEPKIFGFSLKSVKSLQYIMFVLFVSFILFLGYIAFKKLPKQYEDQKKKR RN >CAK63304 pep:novel supercontig:GCA_000165425.1:CT868025:41853:43400:1 gene:GSPATT00033157001 transcript:CAK63304 MSHNFDDSSVNILLKEIDALINRMGDQNESHKSDNSFKDQLRQTGRFIVENEQPCEVPKK FSMSIPKKQNNSQQKRVTIVSQKKSSSSSSSSDKEYQVNKRKQKYEQQKQQSKYSPGKPK WAGSQCSYRSKAKSNLRLETQSQLSQVKTQQSQLPVQPVKDVFCLICEEFIPIDIVNFHM NECKQISNGNGEDQKLQLKLENYKKLLSLRFEASTDDNVKQLIELLYNCTQQILEVTDQY QLSQIVEDLYVLKTQLTQNTKAYHVLTVAQKIQEIANRKMQVMVSQQEKHLEVKEPSTIL HQNKISFQQQEQLIERQTVISYATNTTTRKRLFESPSFQNMPKQLVKISNLNTKPISQAQ IQNKSPVKQEVPNYKNGIEFGNNYDSSKKRSYIQLSNSKNLTKVHTEQHRQENIKESEPT VISKIQTYISNVKGKFSNENEQLKKKFFLQACKCKDKFPVNHPSQNVLISEMFNQSQRQQ IPEDEWEVWICNVLENA >CAK63305 pep:novel supercontig:GCA_000165425.1:CT868025:43484:44803:-1 gene:GSPATT00033158001 transcript:CAK63305 MQQYKKTQYKLILVLVGLPARGKTHITYKLNRYLNWIGYKSEIFSIKGAQQNNDQLDQNI DYELEIDPNNPQFAEVRQHLSTTAAQQLVAFLQSDGDIALYNGLTSTKADRQKLKSFFKE TLKLEYQTFWIESICDDPQVILNNINESKLSRFKDKTIEQFMNHIDVLAQNYESLENKEN LSYIKLINIGKLVKVHMLEGYLCSKIVSFLLNLHANNRQIYLVRSCQTEYHLLDKIGGDP ELSATGKQNSQQLGDYFIEELKGNKNVTLFTSQMKRGIQTQQRSIGEKLGIKALKTKNLD EIDYGICDGLTVKEIAAKYPKQIKERKANPLEFKYPRGESFLDVIHRVEPIIYEIERSRD PVLIIAHVAVLKCLYAYYHCNQISEIPNIDIPINCVIKLVPIPYHCLESRVNIK >CAK63306 pep:novel supercontig:GCA_000165425.1:CT868025:45358:47155:1 gene:GSPATT00033159001 transcript:CAK63306 MKDCAKRRMRNKSLQNHLINKQTLMLSRGNQEFIQQPEYRVQQSEMVHTQTESMERQFPK ERERILSKEQRKNNMKMHFQEECDNGEWQMPYKNGSNRQFFVDDTRSQYSLAQTTSYQQV QTLGRSSYNYEIQQKSKNSEVILSKLIKKMSDAHQKAEELFMKSFIPTFVKEFQDHLVNV NRMMKEHFQQKIIEIDIFFANKPYDINSNKHEKSIGFKSITMEDSRSREPVRAPTNVEKF NKEKAEFIKECDLLELFSNILDVTLINRKIVNDKKLFLTKLIDFSENSSKPQYLTDGLNE KMISDYELLFTVFINVLLKCVRYEKQKYNKESSELNLNAWKSIEETLNLNQPSRKELANQ NGQANQNDTQNANQPQKQIEQIRNLIINQKLEQYVSEPQFHYYSDCIVKALTKEKEIKKV KQEYILYTPRDSNSLFCLVSYYLLHLLNVPKQHWQQLQQMEMDQKMIKCLIRMAKDFSYL VANV >CAK63307 pep:novel supercontig:GCA_000165425.1:CT868025:47186:48183:1 gene:GSPATT00033160001 transcript:CAK63307 MYRDISEKFLGGYQSTASFHNHDPLEGMRPQILQKVNQNLIFVIYVVSQLLQLMIGFSLV QQIDIITIATQRCIITIILTLLYIQFFDNSQFQFDQGYIKVFGLMSAAQVLASLMGLLAM QTFVFSEVCTFHQLTAGYILVLQHFLIQPTNNVNLLLSLIQTISTILFSGNILYILICLL QPLSLAFIQTLKYLMTKQIQQFSLQLYTNLIGLPLYILLSLFSGGVQNVFDMTYFIEILI MCILQMFAYLAINELVNQQLSTMYIWALSGCVTILSLIVQLGYHQVPLGFGSLSVILIVF TTIGMHRQA >CAK63308 pep:novel supercontig:GCA_000165425.1:CT868025:48568:50065:-1 gene:GSPATT00033161001 transcript:CAK63308 MNQGCSYKYCINTSNAGAIYSCQYCQNTKYCSQKCRDTDWQIKISLLNRTLSHKNNCRPI SRKSQTELNDTASTLKSIRRQAEDFEIIIKDNKVELGKGSYGCVKLVKDKQNGQMYAMKV MNKKQIFEYCSVENLKREIKIQRKLQHPHITKLFHYFEDKENVFLILELAENGSLFSYIR KRRRLPENEAFVYFFQTCLGIDYLHKRNIIHRDLKPENLLLDKQGNVKVCDFGWSAETTQ NGVRRTFCGTLDYMAPEMLTNQPYSFTLDIWCLGVLLFELIHGFAPFKGKTENEKCNNII KMTPIEYDPSLSLEAKQIIQGILKFNPIDRLSMNQIFEHPWMKKFYKSYGIDLRSYINKQ DKHNDLSNRSISPQNDDIITRSFIKGPTQNSNNDLKPLSKSSNYISQNNSNNGKSTASSN YNQEEEFKARVSRLSQRQQMVQGLKEIQGQPQKQEELGFMDKVFSAFGCLSRDKQSTQSH NY >CAK63309 pep:novel supercontig:GCA_000165425.1:CT868025:50098:51234:-1 gene:GSPATT00033162001 transcript:CAK63309 MILPIKFDDYKEFDVNRQLQDQARDFRLVQQELLKQQLYDQQLEMEKRKRSITTQKQKEE LLYLENLRREKEMQNLMEGQNKQYIKKDLSKWYENSLTNQRIIQEQIRQERQQVEQQIVD TAKQGQTMADFFEKQKKDYYRKVQDQQYGQAQIKKLNELNYKKFDQAVYQEQVHQENTKI QRKLDNYKQYYQNVQNRQQQLQAMYLENYRDPKQGLDDIILKNVVQKQQQDEKQYRYQKE LEDISKEKYNSILSIQLQEQQQKRKQNRYEAKSNGYQHANSVYWQNSDRQYNVSTPARSV ASDQNQLYNPLTNPNPNQTQNPYILKQLGMNLNDLPTPAYTKSKLASMGKFCIN >CAK63310 pep:novel supercontig:GCA_000165425.1:CT868025:52397:52854:-1 gene:GSPATT00033163001 transcript:CAK63310 MQNKPCDWICSECRNLNYSFRKVCNRCQQCTRDAPGTRFIPNKVDQGLQIFQTIKLQEQD LGGSNHSSVESNDDEDVVFSQALFLEDLSKKTENLNKSFSFQKKCSICSSQNYFYQQKCG QCGFSQFI >CAK63311 pep:novel supercontig:GCA_000165425.1:CT868025:53379:55609:-1 gene:GSPATT00033164001 transcript:CAK63311 MKIFKSIFQNKKEEANLELKGEYSSIYDANSQTVKLEDIKGVIQNERKRKLLFTRQTTSI LEELKVLYTIHMMLIADQINDFDQERYRIYAKEDNFWKVLRSAKPKNLLGQSHCNPLNST IAINNYVFEFFRSIIQYDVQFPSQFDDFEKELTKLYYSYLQRAVNNCDIYYSSQRMQYPY QDEYNNRRVQYLWLFKLLNLLNFKLAMIPFIQKIISKQLIISNIVKDITILIYKDCVNEY LFFKKELEEQLEFYSQFSVKDAISFYELFTQLKFVTDRLKLFHNLRQLFIKHEKIREFTW FVLDKQLEKDIETYIQKAKLINTTQFKNSLQVPNQKAAYEHLSKQLQQPKPQKVTQQPTL KGHLLKASIISRKSKEKVAVQTKQLETLLPILITIRISNIFIFYYKTTKNDYFIINHNLR LLITLVFIFYNQILFLSMLYSDQNDYNQFSQVVDISLNSVDQSQSQYEKFQGNAENDLEE QKDVISIQSIRIPFNKVDFIQQPIMAKRIMPAYIKKIDNSYAFYIFDLLLMEATKLGLFN QKFIINSESKTLVGKIKIIDGGKLYVFQDGGMSPKKCTNQAFYRKYLGSICKQNELKCHI PKINQQNNQIYSYSPVYFKKNKEIIQQNNQFFEFYNIFKFSKQNLWSILYKKELTSDHFL IKIQKMDENAFEVLYTAPINHLQAFQISISIIQIIS >CAK63312 pep:novel supercontig:GCA_000165425.1:CT868025:55712:56818:-1 gene:GSPATT00033165001 transcript:CAK63312 MQNKQVRLQDFEILQTLGTGSFGRVRLAKQKSNSKHVALKMLKKCEILRLKQVDHINSEF KILHQIQHPFIIELLGYTQDERYLYFVLEYIQGGELFTYLRNAGTIENDEAQFYSAQIVL MFEYLHSKTIVYRDLKPENLLIQQNGYLKLTDFGFAKVVEDHTYTLCGTPEYLAPEILLN KGHGRPVDWWCLGIIIYEMLAGIDPFNDEDPMAIYQKILKGKVKFPKNFDNDAKELIKNL LVSDVSKRLGNLKNGANDIKQHKWYQTLNWESLIKKQIRPKYLPSVRTDDDTSNFAVYPD STELPDPIKQNDDPFRDW >CAK63313 pep:novel supercontig:GCA_000165425.1:CT868025:56844:57904:1 gene:GSPATT00033166001 transcript:CAK63313 MSLGKRQLSGSKLKFDADYYKNALEQQEKKRPGSGQPLSTITSPLPPRKPVYSGKLRSII PPSENVNQQHRIIKSSNSQQKQQLTPSVTQSQLQGVVSPPKANKPPLQFDKKLIMPKQTT SSQISIKSSIVPSQIQTGEKKVIQKSIEKPGTLTSPGQNDKQFTWKNQIELIVTQSDFEN KRRQISHEKLKQIPKQQVQQLPFDQKRPTQPIIQQTQSHIIISQPRQPLQIQQIQKKQPF NPKQINMTQSQSQSQLQGSQPKCFTDFTMTSGRNDLQQLFSSMQLQLKEASQYAEQHPNL NMSEIQEQPDDVNSSFAQSTLYQCQK >CAK63314 pep:novel supercontig:GCA_000165425.1:CT868025:58061:59331:1 gene:GSPATT00033167001 transcript:CAK63314 MTDSSSYQYSINEGQYEAKIGEKLKNNQYQIIKWLGDGTFSKVWLAKDLLSSVHYALKIQ SSQYSDAAMEEIEILKILNQNENSPQWINIQKNFIGNQPSTHCVKMIDSFVHVADETLYY CVVMEILGPTLLDLIRFYEKKHSSISIQLGKEITKQILIGLIYSHDACQIIHTDIKPENI MIELNEQQLKQLINENESEEQIKKVKLNNINVGDTFVWNENVIINVNTDLKFKLVDFGNA CQTNQQFEEIQTKEYKSPESIIQAKYQTNTDIWSLACVIFEILTNNYLFQPEGDTEEEEM DDLLAMMIELIGIPSQSFLNKGQRSSLYFESDGNLKRIKELQKVNLSSTLIKEYNFDKNE AQKLEDFILFALKWDPLERPSSQIMFFHPWLRQ >CAK63315 pep:novel supercontig:GCA_000165425.1:CT868025:59579:60406:-1 gene:GSPATT00033168001 transcript:CAK63315 MRRTMEVEEQNFEAEEWGIAQQMQRILGEIHYLMQEQILAIEYYSSQRKIYYQVKDNLEK KEDSINTRLENYKQLHQQYIGVKQIYHQILLFTKDKKMLNQRIQKVGYKEQHFSLDNPQN YEIEEVKDPKYLKDKENQIIYLRRIDYKWFFIRIIKNYEFTKYLNATNILDIEAFLCDGS QKMEKQDHQHELEIMKSVNLWFKQLIFNVQNRKVYKKSLTEIIQYIEETIKILFKGLKCS SCNQVFIQTEEGKFVQPCVKLNETDNLHHYSCLFN >CAK63316 pep:novel supercontig:GCA_000165425.1:CT868025:60529:61561:-1 gene:GSPATT00033169001 transcript:CAK63316 MHKMTQRFIIEIPEHEYMDGKVFYLIRTTNIENNCTKEVRKRYSELELIHLRILDWINIF KIQIPLLQFPKKKILFSTNISEESVIKRRLELQIYLNEIFQHQDLYGLGALQEFLPIVKN PNIQESKNAKSNINWQEIEELKKSYLAKHESEVISLTQSKLFNQNKQQYTFKFEDHKIQD HSAIYMISINDQLTGKNWKFSQRYKDLREYHHQLKKLKLQFELPEFPEKKFIKLDDDSDL SDRKRDLENYLNQIFKYPELVQSDVMVFFIAKSQLYCNEIGCRSNDQAKNTLQFSRIKSK SNITSPNVEQESKVPRKITC >CAK63317 pep:novel supercontig:GCA_000165425.1:CT868025:62327:63847:1 gene:GSPATT00033170001 transcript:CAK63317 MYLLILFVICNAFHHKGSLQTNTTLNLALNFTDQAPSIIIKFFLPIARQNQQIVGCFVSF ENLTLYTFELDQLQLKVNSNIDYDVHSIQSNSDTQLVQYPYYSMIYLLCQSDQNIIIDYE LTYLEDYLNPFCINNCKGYNDTRNGNSSYCLNNYCECSLDKLGEFCQLDSSYLINSNWVR VNLDSYQWKYFYYQIRNTNIELQSNLDQSDQNISKNSFINKVYSLTLRQHPWISIPNRKF SYFLTNCSSLSDTLQDLISEETTETILYIGLYNNNSIQVKFNLSVVTTSSDDDDEVQRNK LILIIVGSVVGVLLLLSFFLSIFNNQRMNQNAQHEENIEQNLEIQQIAFKSPSGIRQFYQ GFTKQFIKDYFDPINVQEVMKSYPGLSQFEDCVICLETLKNGISLEMKLCSVTPCFHIFH FQCLSSWLQKQRNCPFCRNEFNRIQIQNIYPWVELNKEKRKSESEQESQYLQRLKHNQNT DLSQDIQLNDSQQDFVHGKNRPTVEG >CAK63318 pep:novel supercontig:GCA_000165425.1:CT868025:64323:68580:-1 gene:GSPATT00033171001 transcript:CAK63318 MKLWVQGGKNTQFFNVKAYHSDTANRIFWQKHRREIFWDQDGSISGVSGGAYIIPYKKHI DGIPGCVNHPEDFWDNSIICAMNQVTIRDVLVNNPTPDQDFSGVDMKLYRLDSTNIALKM DANIDESKYIEGETMKIIKKSKDVKFSFASVFATGFTYNVHFKFGASDPLSMGIFGSPYF NQNDDAVILRFNYSANRETFDIMRNIGGQFPVNYTKLEQIPNTKTCNQGDWFNDRTNKLF FLCLSGKNKKQYEYVEVRGVICREECDSLGDVPQEDGYRYWSDPATWIDNKVPVEGDSPI VQGAYQVILDVDPPKLVNLTILGSLIFDERRASTKLEAERIWVRSGKLLAGNSTNPFPGK INIVLNGEFGDNPLVIDANLDVGNKVLAVTRAMELYSKPPGTVWTRLAAYADAGATTITV AECADWAVGDEIVFGPSGSDPEQREKRSIAAISGCVITLNQALEFDHYGAPSVTIDKPGI GQLDMRAVVGHLTRKIKIEGGPSVHGLGCRVLIYQFEEPEANLGFPRRGYTVLHGVEFNN CGQYDTQRSGLDFRNLNSEIIKTPSEVIGCSFHDTTGMLMTIQNSQYITIKNNIFFTGIK ALVQINNSQYVKFQNNALIYVKKRILNEGGLANWAVFGNFVYMDELSPTQMTRDIVDVSG NVGQGSQDTGFFVMASKCSDAYQSSFYNNHCSGTILACFGVRQDPEGKCTYIQGLYAHHS EVGIMYAVVSEQIQLEKSIVVENDRGIALKPAPNSIQENKMKLNNIFISALARPDCVKCY SASLNPNCQGSMGIQMGSLSSSAFPPISGKPSSAFDTICTVQRVDLKVYLTNVEFHNFRL TYDNIPRCQNNAAFRQHHAAHDMTGQHYLVNSPCTNCEFNALLYKSRNPDAGKLGWFGGC GSFLCTGQINFLIEDQTGHFFGQIGQGIGNNTYFGPNVTYCSRQESWNGYWCPGRQATIL MFMSTAADYNKRLYSPIKLTDGLFFNEINSFAEWNWDGPEPLNLRESKFVSLVSVNTVIN MTNAGMNPTTSEYWLSKRSEAGSPEDWVILKWQFSVPQIIQVMVNNKVVQPGLTTNNKHH DLMTMTNQCGANNYFFENRTIHFVLTGKLDCKIRIALKNTLQVSTRLEITTSEFFGDKFL QYARAQLGGDPYNYFIIGTKKYRRRFLDESTTSSVVIDWGIADSADIGTTDGKQSQSRLA EMASKLESLGVSEELQQLGVVGLKHESSSISSISELNFKSTEQTSEIILVPGGNTNNNNG QGSNSGNNNNNNNNGNNNNNNNNGNGNSSNNDDDIVLVTDEGVNQQDTSNNGNNNSTNPG TIQKSIKRSENSSATVTIVVAVVCSVVGVSLIIAALLYYKKLKLAKLMAARNQKVDNEFF KANITDQQPIEAPQD >CAK63319 pep:novel supercontig:GCA_000165425.1:CT868025:68603:73406:-1 gene:GSPATT00033172001 transcript:CAK63319 MKRHQSLTAYSVTGSQLSLTLAQGAGLSITKTDLDISFEGADCTNIQFSTFTSPYSITCT LEQLNSQNIKEAGDNQVPAVHHKAIGFSKIEAGVTGENIGLVISSILPATGSPDGGTTIT ITGTGFPKNLNRDFTFQIDGQDVHPLSITNTQIIFVTPKKASGGTGAISLSFNEKTVTSS LFSYDDALRIQVQSLEFNSKTPVFKGEMTITGTNFGTVVDDIKVTLVGSKSYNAKVISVT DTSIQVYLRGGMPGDYRVTITRKNYGNSYANSNDNLFKYIIPVTSVTLEDGTSQAKGSEA GGTVIKITGSNFVLGETIVFVGQAINWFCEIDETRFTSEVIYCTVPPKFEYYNQQAQLVV VTVQVTLESTCLDTTNNCLFTYDNTLTPTIENYPASSTQYTGSRILTESDHQKPDYQKLV QRKRFLWTEESKKQGHNHILNLGKSPRRDMLSSADLVLSQQKTYAPGDTETLSGTGLTDT VFIVFKGPVTQKVTATISGSSFTYTIPNLPQGQFKTYVLTKNGYADKIWVTVIGLKITSI DTAVIGGQVLTINGVGFNADQSASVKVGTTDCTELQVVSTVQIKCRLARQSATSAAVTLS QLPSENNSAVPITATFTLTISPSTSSPLFTAITGASYDSTQKAYLATAGNVVLVFAGSRL TGTTIVVTLEHMGHKIAGTSSALSDTSVTTTFSNLAAGVYSINLLVDNKYAYISDVTKQK LIVSAAAPTSTNPQVSYAGGATVTFTGTGFDTVNEQNSVKLCGFDCPITSATYTTLSCET PKLLTSSVLSQYTALSEPARYIKPSEVTLLADSTTSVSKFFDLLQSTYYTSSAASNCYVQ VDFGSSRVLKLHQLRYMPRIDKQAILLKDAVFQYTTDGTNWQTLLTVDQTVHTGWNIYVP QTDISGIKAVRLFDSRGSTGSSCQLAEIELKGWILSNSNNAYSVPTSCNAEISVNGQSLG TVSNAVSYSSSSVPIVNAVSPATGRFTEEAVITITGTGFVNGQTTVTIDGVTCAIQSVST TQIVCKTGVKDLEQTQLNGQLITNNSFMQPNGQISILGGGYEYPGDGDSVIVNAGQTLIV DVKTPKMMQVLVEGTLTFSDEVDTSLDAHFIVIREGKFNIGTELVAHQHNVQITLYGVEE DTQMPAMGNKVLGCHQCQLTIHGKERTPTWTLLSSTASVGATQITVDDAVDWQVGEQIVI TSSEVEHMQSEKRYITAVSADKKTLTLDQPLTYQHYSDVETFETDSFPMKVEVGLLTRNI VIQGEQSELKYGYHLMIHGRAEKGAVGKISYAEFRYGGQPRIIGRYPVHFHLNGEVDESY VVGNAIHDCYARCLTIHGVHYLKVQKNVCYNTFGHAIFFEDGIETNNVVEDNLVASTKQS WIMLQTDITVATFWVTNPQNIVRRNRSGGSEWYGFWYEIKTNPDGPSATSDICPPGLNIL EFKDNFSHSNGRFGLRIFQLAPRKFPCNDPANWSNDQPYLDNPSIQAVFEKFYTWKNNEC GVLGEELGNIYFKDIMIADSKFAGFQAHKGNFSTEGAVLDNAVIIGKSNHNANPDDTYYD GSRAMVVPRTNGFLAKNIRIYNYGSNTCFN >CAK63320 pep:novel supercontig:GCA_000165425.1:CT868025:73502:75881:-1 gene:GSPATT00033173001 transcript:CAK63320 MFIIAFTNMLLLLLSNNVDAMMMDSSTDSTSDSIVILAASTVLGQENSSLQGSVMGGTTI YMKVQGLDQTASNNAVYIGKYPCIISDKGVNGLFMNCKTTKPDPDDNNLSSLQIVVKVLD KPDSICASKCLYTYSQYYTPKLFYVTPRSNYPRTLSYWRAQWAVSSSVVQLLEGQFMGAN RCDRFTVQDQYPDEINFWDDQVVCQVSAEIKAGYYDYTIKSQSGYQTNDVGVKQKRVQSD RTYDSKVLPIITEINTNFASPEGQIIEISGYGFSPIPSENTVKISGQSETIQVLSSTPTT IKAKIPKLTNLPALADATESSVFIQGSGLHYTRWDVSGLNLNCASFRTQIITNKAQLNSR IQFDGIYPEPDVQNQFGEYYGQYFRGFLKAPFTGNYRFYVASDDCAQFFIQTTQVKQPIR PDTATAASGWNPYRNYWYEYLTYSYNIKSISETVALQQGEFYYIEAYHLNGGSTGYLTLS MEVESATRKSNSLNSVYQISTSYTPVKEVIEITLYNSVGNTLLAGKYQLQFTYGTKTKPQ TTLYYSYTTGDIVPNANANAIKNAIQNCGAGYLVTVIATKLDNTGAALDDAATTFAGYKY TITFDSHRGSTNYRALPTFVSPSLNGGTPVKDIVRTQEPLDPISGTFQLTMTINGIDNLF QVSANVYDLPFDASTSLIADNVERITGERPFVWTVGRAQDGWKWFIVLRSNVDQLTDFSV STHVLVSGNGDVTAAVTKPIPDSTNLLFEPIPNEITLYLQLTSLSLS >CAK63321 pep:novel supercontig:GCA_000165425.1:CT868025:77097:78491:-1 gene:GSPATT00033174001 transcript:CAK63321 MFQREQRNTTNSGYRNSSNAYVMAMKALQEKIKVMETQRTDTLLPTKTKSNDEDYLLQQI SELRNQNETLTMQLQRQQNEKENINNYILQIDQLQQERLIHLKEYQDRVTDLIKKIEDGK KDRQDQQNQIEYLQRQLQAYKANERGLMQKVDQQKQEENSKQQEQIMELRQRVESGEQYA KKLEKKYEKLQTEKHQLEAEILDYKERCPVFKLQEYEKQIQIYRSQLEEKEKAYLRMMEE LQMQRQQTEDQLTKRIQELLNKSNEYQSTIHQLTIELKDITLKYQQLKLRLEYEEKNNQQ NKKRMRNISQSDDPEFEMLINNQYQNNNQQPNNNNKVSKLNLDFLSPQITSPRFEQSFVK SSVLKQAIKDCLEDMKQTTPFKSDQHNIIPQEQHHQQQHSKKKLLSPPLTVRNSEIENKL KQLNDKYEQLIRQAQKESDFKQKAQIRKELLDIAEQIKEVNRRQ >CAK63322 pep:novel supercontig:GCA_000165425.1:CT868025:78589:79095:1 gene:GSPATT00033175001 transcript:CAK63322 MEYPVNIQKRINISDQEYNDDYQLLKQNPPGVSLKLNIGTPKIETPSKLPYVAFLLYQYI RQKGRMKFYDDAKKYGFLVLDEDGTDVFVHYDDLQAAGINIEKMKLYKIVHQNNPQYVKF TKNGGPYFEFTLMNYMGKYNKSRKAIDLQLLNQI >CAK63323 pep:novel supercontig:GCA_000165425.1:CT868025:79242:79527:1 gene:GSPATT00033176001 transcript:CAK63323 MGCKVTKNNRQLKDVDHFMNILEKNTTEDETKISEKNKLFQIKKNPIFQRRLSQKKENTL TKQTYSYLERNNQTQSG >CAK63324 pep:novel supercontig:GCA_000165425.1:CT868025:80408:80629:1 gene:GSPATT00033177001 transcript:CAK63324 MGCAQIKQSQKGAAIQYEIYERAEPSAIQAQKRYDIQKNPIVQRRVKSHSQTPDLQNRTK QYSDLLPSSKPQF >CAK63325 pep:novel supercontig:GCA_000165425.1:CT868025:81717:83576:1 gene:GSPATT00033178001 transcript:CAK63325 MDFEFPQLLNPTDNEPYILVTDEKDVLKSKLLTARQFSQGAGGKADLVVIKDFLQYQISV IIQETQQKSPHQLILQMQSLENWLIILIPNIKPTKTRCNPRQTHLSNLIKNCKKDMIMPA TMWFPNQSKVLKVRPKMWKKHLSLYNKFQRVILNRRLQSQNQKKLLIKYYYSNISKISDL RAAPELPEYLFSTTKKLRAWRQAPNELCVWNKTKEQFYRNNLESTLNQELQDRSELALKF WNQQIKSLGDQFLNILSEDSSLQQQNFVSLNIETAEAFALLLNTMITPETRIVLHIGDFN QADSKSIAESISRLTSIVNLSIKWNVTNKAQGLSHIAQAIGQAEQLKVLTFEFSSNVQAN QAKDFFAEFKEVKLPNLEEVNVVAEQSNINSAVQHVAKALKSVGGAQVSRVSLNFSQSQV DNKSAQQLGDALSTYKGVKKLSLNFSGFSLNSNNIKDDGFIGLIQHVPNFSSTLIELNIN VGRNQLTDGSLAALNKQFQSVKWSALKAVNISAHYNKITEEGARKLGKFLHGLPILVNLQ LNLNSTEINQKGLSFIINQLGPQVSASIQAKQTHVTKEEAAELQDKVASLSI >CAK63326 pep:novel supercontig:GCA_000165425.1:CT868025:83625:85279:-1 gene:GSPATT00033179001 transcript:CAK63326 MKSQFEVYLRLKPSNNQSQNAMQYQLYHNKRLQVLLPRHVKFGLVNNSRDNLEFNFTHVF DQKSTQEQIFSTVTIPVINSFLDGYNATIFAYGQTGSGKTYTMSGAETWQLRGVIPRALS YIFDEIDKRTKFQYKIYISFMEIYNENAYDLLEKRHLETPLEQWNKIALYEDDQNNIHLK NLSIHQCNNEQEGIDLLMMGNFIRQVSSTPMNQSSSRSHCIFTVTLEGCDTTSETCFVSK LHLVDLAGSERISKSQVEGNLLNEAKYINLSLTYLEQVIIALNERMKGANRQHIPYRNSL MTTLLKDSLGGNCKTVMISTISSENDNIEETLSTLRFSQRVGQLENEIRRNEKVDLEAVV KRLEQEKLLLIRELEQYQRGGNVNSNNKKLQTQQQMLPLSNGQSLNQKEVSEKVELYLNE RLSQLDIKSVEEAQLCFEAMKDLYNTRMKEYVHELTFISEKLQKYDELLTRKKEQSSFLE QKENSSPEVEVYRRNESPQINLKKKPNQ >CAK63327 pep:novel supercontig:GCA_000165425.1:CT868025:85328:86537:-1 gene:GSPATT00033180001 transcript:CAK63327 MALPLLLTILYELVMLLKCTEGKTQKKFDHLFHILLQIYVITIYYLGLNYYIFCNTFLIL SFIVNIGCNKTSEDQYEHSTIIRLTIIFYRFCLIVSVLCITLKLSSYVNWSWAQTFWWYW MFLSGLVGTTITILLILISKLFSIYNRQEILNYKSEVKTLIWTLYTSILSSLIAGTWIIN TLNILDVDLDLKIGDCIMYILISFNIVMFSAISKYLFKDIVEFALSLNQLESRDSSPNTP CQDQRIKKEPTKTQIFLQKFSSAYFKQIKDPEIVILKCTNQNEIDTERNTNNNKIVLKSQ DPQQQSDCKCIICCERPPNAVLMTCGHGGICYQCAIQMAQKNKECFLCRQNIKEIYEISD KDLSILKRVISKTRISS >CAK63328 pep:novel supercontig:GCA_000165425.1:CT868025:86708:87541:1 gene:GSPATT00033181001 transcript:CAK63328 MNQYVRIKPVFATFYPDQCRNHSKENRVSSGYAQSRMEEKSQSVDKFKNQVIQLQTQQND LNEHMQMQIGKLMERMNNAEQHIARIYESGWMDAINIVQEDMHKLQMQLQSVQQMIVVEE EKSQQFYLEQINQMKYTVLDQINSMDSEINVKMEDLRIKLEEYNQEFKLKLVENNDIDKY QRFNEEMSIYLKNNNNQNLTLSPKYKSRSQKLSLPQINELDDSQQLQSFQFLQSQSLQYQ LDNEGYLLLNGEYIYDSVGNKQQLSNDQIKYLNLIPK >CAK63329 pep:novel supercontig:GCA_000165425.1:CT868025:87558:90873:-1 gene:GSPATT00033182001 transcript:CAK63329 MKHQYSKQQDSQDYEQMRGIEDFKDLVLRVQKWGGDQGLAKQLKSNQQKGIDSEAQVIEN REKYGNNDPIEKESESLCDLILECFGDTMLQILLLAAFVSTIIGMVNEGVATGWTEGATI FFAVFLIVSITAGNNYLKERQFQQLRRRLDEGIVQVVRGGIVEISIKDIVVGDVLQFGIG DMFAVDGLMIQGSSVKVDESAMTGESDEIKKLPFSEMIQQSKLPLDSHHCSPFLISGTKC LDGNGYMLVLQVGQNTVQGQLKLLLNQDNPPTPLQQKLEGVAEDIGKLGTLVAILTFIAL MGHLIYDVFVLHKHDFLTLKTFSFIIDAFMIGVTIIVVAVPEGLPLAVTIALAYSVGKMK DEQNLVKNLASCETMGGANNICSDKTGTLTQNVMSVTTIWSENSFILKDQLTSNNNLLSK QTVEIMAESICYNSNANPTKDKNSNRWIQIGNKTECALIELADLFGFKYANYRQNDKILR QIPFSSKRKKMSTAVLNQKNQTVRIFTKGASEIILAQCFKYVSKGGNEMLLDKAKKDDIL HNVIEQYASQCLRTIAIAYRDFEPQSSSFKGSTVNMKAHIHQIPEDDLDKDLTLIAICGI KDPIRADVPNAIKLCNQSGVVVRMVTGDNIITAQSIAKECGILEQGRAQQEFEVIEGKKF RELVGGLMTVKDDEGKEIKKIKNMQIFSKISREMRVMARASPEDKYLLVTGLIEEGNVVA VTGDGTNDAPALKKADVGFAMGITGSDVAKDAADIILIDDNFNSILTAMIWGRNIYDCIR KFIQFQLTVNLVALFMSFTGAVILKQSPLNAIEMLWVNLIMDTFASLALATEPPSITVLS RLPYRRTDQIVSPTMYRTIVGASVYQITILSFILFLLPNYVDCSMPPELYPSNVVQMSIF FQAFVLMQVFNSISCRQLDYHTKNPFSNFCNNPLFWIVQTITVIVQILLIQYGGRYVKVS HLTSSQHLLCFGFGVFGIVFSLLFKFIPESICQKIHLFREDEIKTDKMDDTLTSRLRRKS TMRLHTSQRSKVEGGSLQKMSSQKI >CAK63330 pep:novel supercontig:GCA_000165425.1:CT868025:90913:92749:1 gene:GSPATT00033183001 transcript:CAK63330 MDLSQKNPPIREVNSNSASKGYSSNKSQFQSTFKYQYPIEKKNEHEQSINSEQSLSSIQE VNPRNDRSQYDEESQMFNYNYDQIVNQNQRLESQNISLQAPINQQQIDLQTIQDQEPIQK GPSPIKQQQQQQQLQPSRSQSQVFQPFQPYQQQQISASKSRIDRSFDGISVFTNVSKQRT TPNSRNKANTFYYDRIGNQVSEIMPPMIDNYLTYVLLQTALPLLIVFILYVINYFLQRAI DQFCYLPEQYCTCNGFGAKLWSWARQLIMWKLGLIVYRKQFLCRLAMGSSNLKHKEPVTE YIFLFLFTIIFDFILVIWIENNQDYVYVILVYCIGVVVLLNTFRVKDWDIVFKKGAGVFL YDFALLILMVFIYYAIPNVVESLQDRQGRISGMQSFLYLYPLFDWIMEMFMDYIYNQSQF AELYIYQLQLIILGMKIGILNIVDIWTFEFWYNILLLGIMRANNSSMFLTVFLRRWVFYL IPDLQFITKMSETKKSLKGKHGAYLEHLFWIVFYYFFYLTNSSVPYVAKKTVFISNCQFD NEIWNFTREYYQPIVIWGLLLIAEIAGIMLNKQKYEMLF >CAK63331 pep:novel supercontig:GCA_000165425.1:CT868025:93693:94806:1 gene:GSPATT00033184001 transcript:CAK63331 MQQQVINSLIFQTQEKCIRQLFIPHCASRSDQKSQSVQDDGSKKTLLRLADQDVPSSIGF VMTNLNRQDSCSQSQLEQQENDFQQQSINKKKERKKHHSLKYLSRQVHSLVGSQRETTYK QMADLLASEISKSSLSSESKNLKRRVYDSINVMVALGVLEKQKKIITKGSMFQQNHMTSR YARDTRDRYEILRQQQFDELKRKKILFQKLTDQAKRINALIDQNKRLQKDESDFLQGQQL KIYQFPIMIFKLPQNAKLNIIPTEGKSQVQILSDHEIKIVGDYDILPLIKRIEEAKDNII PGPMLNL >CAK63332 pep:novel supercontig:GCA_000165425.1:CT868025:95266:96851:1 gene:GSPATT00033185001 transcript:CAK63332 MQKQFEGQNDIEDYFIEIEQVQSDVNFNNKMHQNVNPYDIKCPICLNVYLDPISCDSCMN HFCKRCHQQKQSMKCPLCNRQVETRKAFPLLRQLLSQLKIKCHHFEQGCREITDYDSYDK HVKQCDFSEEICGLMDGKLQCNVVKFKKDIQRHRIYECSYRQCECCHCHKLVIYTYQEFY QFSNFKLQTHELKCDEALTRCPKCHIQIKIKDQSSHLQICDQNIDNCTHCRGEYSLQQLL IHQNECPLRPICCVGCSQSFTLSVYYIHQTKCPKFPQICPNCNKKIIREQFQNHTFNDCL IYIKTSHEKDIQNLIKKQQMLEIELTKNDKIIKFSNRFKDPEIFLNLNKTVAFVKNIATK KRDRFVLFKNPISELRKQWKFKCKQVKSSWYAVGITELSTLHSLKRYQDIGHGSYLVSSN GTMYNNHKDDQNSKESDFSINTNDIIVINVDFEAGLLEIINTTQNLSLEIEADFEGKEFF GCACLRTAGDEIQIIQ >CAK63333 pep:novel supercontig:GCA_000165425.1:CT868025:96941:98020:1 gene:GSPATT00033186001 transcript:CAK63333 MQVQSQQNSRQSRKRIFDSPISESVSLSRNQSTNFTPVQFDENERILIKTSQFFTITPVD QSIQEAQFQQPIQAEIAFTIQNTPQFFKQNKFIRRELGKYINESGQLKIDEGQSEDMQSS EESLNSFPQKFISKFEKNDSIKQQSLVTNHQNQQDYSLKISDLKSEQILKDIQIQENQLD SNNNSQRMTQVIFSIQKSQIDQQSAKSIESVKNENQDSIISNAQLSQQFNQQQSFDQSNI SQWSVEQIEILIQGIQVTKYSRKTLFGKKRRILQVSPDLKLLIFRNLEHKITKTYSINKI TKIEKGANTETFRLYKPKSDILWLCFSIFLDERTVDLSVKTQEQLRQILDCLYGLIQKN >CAK63334 pep:novel supercontig:GCA_000165425.1:CT868025:98584:99411:1 gene:GSPATT00033187001 transcript:CAK63334 MIVGKQQLLNQQNPQFQHSEGTCLCGVCLCGNCLCKAQPIKQTYLNKLKSVYETDFQQRY SVLPKARPIIIEEPKRRRAFSQNSVYDEDFTPKQISQGHADERQHLPQLKIPFAGCNSYA LQYPNKCTDPPQQLRPVNQIQLLPAKMDNISQYQREYKLRQKQREHEFSNFSKIMNKRLH INSKDKLVYSNSSYQEQFKARQSIPLIKLPIQQSSLPFGISNIGINPFVSQSKFEFASKP QEQCEANRRIQEICSKS >CAK63335 pep:novel supercontig:GCA_000165425.1:CT868025:100059:106659:1 gene:GSPATT00033188001 transcript:CAK63335 MFISTLSTMLLMLLTNSSEAMMDATMDSTTDAVVILAVSTVLGKENSTPTGSIVGGTTLY MKVQGLDQTASNNAVYIGKYPCIISDKGVNGLFMNCKTTKPDPDDNNLSSLEIVVKVSGK PDSVCTFSGPQCHFTYSQYYTPKLFYVTPRIKLSKNIKLLEEPKWARDNSWELTDVTDSQ FKIKYPDEINFWDDQVVCQVSAEIKAGYYDYTIKSQSGYQTNDVGVKQKRVQSDRTYDSK VLPIITEINTNFASPEGQIIEISGYGFSPIPSENTVKISGQAETIQVLSSTPTTINAKIP KLTNLPTLADATESSVFIQGSGLHYTRWDVSGLNLNCASFRTQIITNKAQLNSRIQFDGI YPEPDVQNQFGEYYGQYFRGFLKAPFTGNYRFYVASDDCAQFFIQTAQVKQPIRPDTATA ASGWNPYRNYWYEYLTYSYNIKSISETLMISNGASGGYLTLSMEVESATRKSNSLNSVYE ISTSYTPVKEVIEITLYNSVGNTLLAGKYQLQFTYGTKTTPQTTLFYSYTTGDIVPNANA NAIKNAIQNCGAGYLVTVIATKLDNTGVALDDTATTFAGYKYTITFDSHRGTTNYRALPK FVSFSLNGGTPVKDIVRTQEPLDPISGTFQLTMTINGIDNLFQVSANVYDLPFDASTSLI ADNVERITGERPFVWTVGRAQDGWKWFVVLRSNVDQLTDFSVSTNVLVSGNGDVTAAVTK PIPDSTNLLFEPIPNEILFTYSQHPQVQVEVQYQIKENNVVVETEQILAGCEQFEACDFT LSDEKTPTLTAYSVSGSQLSLTLAQGAGLSITKTDLDISFEGADCTNIQFSTFTSPYSIT CTLEQLNSQNIKETGDNQVPAIHHKAIGFSKVEAGVTGENVGLVISSILPATGSPDGGTT ITITGTGFPKNLNRDFTFQIDGQDVHPLSITNTQIIFVTPKKASGGTGAISFSYNQKTVT SSLFSYDDALRIQVQSLEFNSKTPVFKGEMTITGTNFGTVVDDIKVTLVGSKSYNAKVIS RRNALVTIEVTITRKNYGNSYANSNDNLFKYIIPVTSVTLEDGTSQAKGSEAGGTVIKIT GSNFVLGETIVFVGQAVNWICEIDETRFTSEVIYCSVPPKCEYYNQQAQLVVVTVQVTLE STCLDTTNNCLFTYDNTLNPTIENYPESSTQYTGSRILTESDHQKPDYQKLVQRKRFLWT DESKKQGINHILNLGKSPRRDMLSSADLVLSQQKTYAPGDTETLTGTGLTDTVFIVFKGP VTQKVTATISGSSFTYTIPNLPQGQYKTYVLTKNGYADKIWVTVIGLSITSIDTAVIGGQ ILTINGVGFNADQSASVKVGTTDCTELQVVSTVQIKCRLARQSATSAAVTLSQLPSENNS AVPITATFTLTISPSTSSPLFTAITGASYDSTQKAYLATAGNVVLVFAGSRLTGTTLVVT LEHMGHKIAGTSSALSDTSVTATFSNLAAGVYSINLLVDNKYAYISDVTKQKLIVSAAAP TSTNPQVSYAGGATVTFTGTGFDTVNEQNSVKLCGFDCPITSATYTTLSCETPKLLTSSV LSQYTALSEPARYIKPSEVTLLADSTTSVSKFFDLLQSTYYTSSAASNCYVQVDFGSSRI LKLHQLRYMPRIDKQAILLKDAVFQYTTDGTNWQTLLTVDQTVHTGWNIYVPQTDISGIK AVRLFDSRGSTGSSCQLAEIELKGWILSNSNNAYSVPTSCNAEISVNGQSLGTVSNAVSY SSSSVPIVNAVSPATGRFTEEAVITITVTIDGVTCAIQSVSTTQIVCKTGVKDLEQTQLN GVFQVRVNGNLAVNNKQFIYATKWSDINTWGGYEYPGDGDSVIVNAGQTLIVDVKTPKMM QVLVEGTLTFSDEVDTSLDAHFIVIREGKFNIGTELVAHQHNVQITLYGVEEDTQMPAMG NKVLGCHQCQLTIHGKERTPTWTLLSSTASVGATQITVDDAVDWQVGEQIVITSSEVEHM QSEKRYITAVSADKKTLTLDQPLTYKHYSDVETFETDSFPMKVEVGLLTRNIVIQGEQSE LKYGYHLMIHGRAEKGSVGKISYAEFRYGGQPRIIGRYPVHFHLNGEVDESYVVGNAIHD CYARCLTIHGVHYLKVQKNVCYNTFGHAIFL >CAK63336 pep:novel supercontig:GCA_000165425.1:CT868025:106727:111623:1 gene:GSPATT00033189001 transcript:CAK63336 MLQTDITVATYWVTNPQNILRRNRSGGSEWYGFWYEIKTNPDGPSATSDICPPGLNILEF KDNWSHSNGRFGLRIFQMAPRKFPCNDPANWVNDQPYIDNPSLQAVFEKFYTWKNNECGI LGEELGNIYFKDIMIADSKFAGFQAHKGNFSTEGAVLDNAVIIGKSNHNANPDDTYYDGS RAMVVPRTNGFLAKNIRIYNYGSNTALIESCSVCWNVKLWVQGGKNTQFFNVKAYHSDTA NRIFWQKHRREIFWDQDGSISGVSGGAYIIPYKKHIDGIPGCVNHPEDFWDNSIICAMNQ VTIRDVLVNNPTPEQDFTGVDMKLYRLDSTNIALKMDANIDESKFIEGETMKIIKKSHDV KQSFASIFATGFTYNVHFKFGASDPLSMGIFASPYFNQNDDAVILRFNYSANRETFDIMR NIGGKFPVNYTKLEQIPNTKTCNQGDWFNDRTNKLFFLCLSGKNKKQYEYVEVRGVICRE ECDSLGDVPQEDGYRYWSDPATWIDNKVPVEGDSPIVQAAYQVILDVDPPKLVNLTILGS LIFDEKRASTKLEAERIWVRSGKLLAGNSTNPFPGKINIVLNGEFGDNPLVIDANLDVGN KVLAVTRAMELYSKPPGTVWTRLAAYADAGATTITVAECADWAVGDEIVFGPSGSDPEQR EKRSIAAISGCVITLNQALEFDHYGAPSVTIDKPGIGQLDMRAVVGHLTRKIKIEGGPSV HGLGCRVLIYQFEEPEANLGFPRRGYTVLHGVEFNNCGQYDTQRSGLDFRNLNSEIIKTP SEVIGCSFHDTTGMLMTIQNSQYITIKNNIFFTGIKALVQINNSQYVKFQNNALIYVKKR ILNEGGIANWAVFGNFVYMDELVPTQMTRDVVDVSGNVGQGSQDTGFFVMASRCSDAYQS SFYNNHCSGSVLACFGVRQDPEGKCTYIQGLSAYHSGVGIMYAVFAEELRLEKALAAEND VGIVLRGAPQSIQDNQMKFSNSFVSAFVRPECPKCYSATLNPYCSNVYGVKMGTLSSTAF PPISTKPSDNFDSLCTIQRVDLRVYVNNVEFNNFRLSHDSAPRCGKNAVFKTNHKAHDST GQHYLVNSPCTNCEFDSILYQMRDSDWSKLGWFGGCGSFECTGQKNVLVEDQTGHFFGQI GQAITNNTYFGPNVTHCIRKNTWNGYWCPDRKITVLSFMSVAADYNKRLYSPIKLTDGLF FNEINSFFEWNWDGPEPLNLRESKFVALVSANTVINMSNAGMNPTASEYWLSKRSEAGSP EDYVILKWQFSVPQIVQVQVGNKVILPGLTTNSKHHDLLTMTDQCGANNYFFENRTIHFV VNAKLGCKVKISLKNTLQVSTRLEITTDEFFGDKFLQYAKAQLGGDPYNYFIIGTKKSTR RFLDESVSQQVTVDWGIVDSAEIGTEASSNSQQVLSELASKLESFNPPPEIGTVLEQSTT ITAINKLNFASTEVTSLTPTSPSDGTNTNNGSNNNNGNSDNSNNNGNTGSTGGNTNNNST QSDNTEIVLTTDEGVNQQDLSDNIDSNTSGTIQKAIKKTESSSSTVIIVVSVICSVIGVS LLISGLLYYKKVKLAKLMAARAQKVDNEFFKVNITEQQLQHQQQE >CAK63337 pep:novel supercontig:GCA_000165425.1:CT868025:111662:113204:-1 gene:GSPATT00033190001 transcript:CAK63337 MYVDQGNYPLALKIAKSHAPHMVAELNNKYGNVANTYNMTGEDLYQSAQTWEEQRDYLKA IEIYLEVTPQNTQSEDVMTRAWERAIQIAANYDKDKYPRIVQIVCKRLIEIKKLETAAFL YEQVGQYQEAVTTYVQGREFEKAKQVAQMINNKELNTKLMDYITKEQRKYGASTGQANVM IETGDVAAAMEMLAQKNDWGQCLQLADKHGVEYLNKYLMRYVKITMQQGRFSETIQSLAT YGMPIIQQNYPIYENLAIEIFVECDPKELKLLRQALFGFIQGLEAAHEIKSETGKKFLKF GIVAHLLNLRNQYAQDGIVKLHAQTCISLLRYCDLVRIDQLYLDAGRVAKKINQLGLAFV LLNRYLDIYEVIEDPDNNNGLGDGAEFQNSDLPSPYDVPMPEKNLINDKEKEEIRDWLLQ LSVNQNQDPVLPTRQCDCGYQIYDASLRCFKCKQTWEPCIITGMPLLKNQTINCQSCGKG ALKDAWNTYLQAYPTCPWCNKHAK >CAK63338 pep:novel supercontig:GCA_000165425.1:CT868025:113323:116950:-1 gene:GSPATT00033191001 transcript:CAK63338 MQLKYSSNIMPALDGMQKVSGLAWSYNGLKDFGVCTADRKILLFNDQLGKEDNFPTKPSD KTQQKSYVVRAVEFSPDSTKIAVAQSDNIVYVYKIGAKFKEKKTICNKFPASSSITTLIW PAQRENDIIFGLAEGKVKIGFLKNNKSQIAFTTESYVVSMATNRDGDVVVSGHLDGSVYS YNLDTQQSQKLVVHHSIPYALAYGQHVAAAGNDQKVSFYDNYGNLVQRFDYTQDERCKDF SVGACSPNGDIIVLGNFNRFYLYTYNQKRSQWEEIGHWCWKNDSSKLITGSLCGSVDAWD ISMKKIRFKGKYDLNYIGPSQIVIQVLSTNQQAVIRSSQAEISKIDIKKERFVVAKTHET LIVGDLATGKTSEVSWRGSGNEKFDFTNENICMVFNAGELTLIEYGSDRILGTCRTEHMK NSLISAKVSYTGQNKTKVIAFLLDLQTLQIQDLTTKSIIATIAHDTKIDFLELNSHANRL IFRDKRRQLYLYSIKNAFKHTLLSFCNYAQWVPESEVIVAQNRNNLCVWYSIENPDKVTL YTIKGDVEEIERISSTPTQAGSTSVIVSEGQNKVAYKLDQALIEFGFAIEGRDLEKAASI LDKLELTSDTEANWKVLAQLSLEEQNLSVSEHCYAALGDVARASYLRGINRLISKYYEET GKKDGISYYKVQSKLAILDKQFTKAEELLLNHNEVNEAMGMYQDLHKWDEAIKIAEKRGH EQVKVLKDNYYQWLIETGQESKAAELKENEGDFINAINLYLQGGLPAKAANIVFNCNMSF PQDLLEKIASSLAQSGMYEKGGEFYEQMEDDQQALNCYIKGNVFKKAVELAKRKDPKLVK QLEESWANYLVENKETESAINHYVEAGKFQKAIEAAVYSRSWTKAIQLLQNQSAEVSRPY YRQVAKHYEDVRQYDFAEKYYIKANSPIEAFEMYVKASKWDKALSIAREYLPEEIVQLYL NQGKKFEQAGKYKEAEKLYLTVQEYDTAILMYQNLSQYESVIRIASKFTPQKLKDIHLGI AKKLERENVLKRAEQHYIEAGSWHLAMQMYKTHNQWEEAIRCCKMYGSEKETCQQAKLWA ESLGPDAGLKMLQRLNLVDALIEYQSDRHEFEEAFKLANLHAKHKLQDVHFKYACHLEDE RRYKEAEENFIKAGKASEAINMYEHLGDYTSACYKNCSSI >CAK63339 pep:novel supercontig:GCA_000165425.1:CT868025:117345:117674:-1 gene:GSPATT00033192001 transcript:CAK63339 MSLLYANEQQSLREQIFKIKDKLLSLSQDLSNYHADIQESNSTAHFRTQEDEQSPQKVSH ENEEKSFSQLNFVDKNKQVSNQCHQFKKLKPLFKVPDRSIKI >CAK63340 pep:novel supercontig:GCA_000165425.1:CT868025:119339:121216:1 gene:GSPATT00033193001 transcript:CAK63340 MKQKHCVEECCDKGIMIDDKCKCDIGSFGDDCFLNLQDIYIAPYYTFVGIYCVAFFLIFF ITIRQFQTSLKTQRIPSYYTCYQYASALIGSPQNYILVLTMVLSTCKLIWLILDPFEVYK GNTTVIERLLAEIVYTILFYIYGCLLIVWYTMYDEISFNIYQGELKKERKWIFTYYKEAL KMRLFIVLLVQITVSTLNGLRKGVQYPIFLMICYIFLMANFFLFIIEFIIYGSSLQTCIK DQILICRQQFRMQLQGLDQAMPQHVQKDESLSKSPESVQRIVRMSKQVSIEEQKNEIQDE VKQLEEPKLKIGQTKIKSVSFARTFVKGIRGSSFFRQESQKQLKSNKSNKPNQQNQDSNS DQSAKDLDNQFNEEPADAEINSCCLNENQTNSIIWENKEDRQAYQETVKMQIKAVKETKD SADKRREALQQQYHFQRQKERHDQKKLSYLGSGSQLDYRTSIYQQSDEDQEKFNLKSTNL AIDRKILYKIQLLVYFGILLEILFGGLSIAVLITDLIRDPIGVLGYLYASSTLQFFSLIT VLKLFGDIKNQEIKNLIWIQKVGNQKNKINQQYVFSIPQEQKDDAQKLKFEQRINMNTLY >CAK63341 pep:novel supercontig:GCA_000165425.1:CT868025:121387:121883:1 gene:GSPATT00033194001 transcript:CAK63341 MLQKQLERKVDMKEHYNEQISNLNGEMQKVQEEMDLMNQQRELRISRDSVIHSLRQQLQE ANQGEHRTILELPKQNEQQLQLKQQFHFIQEQSNDLKKLSKFELDKKDKQIEQLKQSIYL LNVENDKIKQNRLVKKEITQISLKSNCNVVLRKRINQ >CAK63342 pep:novel supercontig:GCA_000165425.1:CT868025:121896:125084:-1 gene:GSPATT00033195001 transcript:CAK63342 MSEHLEVAQIAIVIFFIFVALTIGGLVSEITKKIMIPYPAAVFVVGILIGSQFNSIENHL LHRTVQAAFDIDANSNMALLLPALVFSSAFNADIYIMKQQIMQILMLSIPTLLISASLIC LGLKLLLDYSDEYYSWGFAFVFGVVVTCTDTLQVVKLLSNAEAPKRFISLVQGESLINNC ASMVLMLIAVNCASGDCDLLTVELSYGLSLLLGGAFVGVMFGLFTVWWIRRVNHNSILTI NLTIVSAYVTYFVAESVDLGFKKNGLVAVISLGLFMSAFSKIRIRTEVEHPLQIFWQYTQ FANETIIFIITGVTCGYRIFDQNSPYIRRQDYINTLLLYLYILLSKFISVLLLLPTINLY GQQVKMSEAILFSYSGTRGAVQLMLALLVVKEPSFSDQWSDIFLFHTTFIVILTMLINGS TIPLYIKFTGLCTTAQYRAKVRLNFLQEMKEQIEFKLTQMKEDYKYKNIDWNKVEYFSGL AETDAQIQQKDDKLSKKQLDEESKHKKEKESSIIGRFQKLLKSKGLHDNLNDDDVDADDI IETRDRFLMALKQTYWDLYSQNQCGGKAYNLLIESVRWDLDTVEGRMCSWDFIYNVFYSP IYMRFLYNLNKFPIIRSFSGDLLFDWVAIGYEVISTYARAHEEMENMIEEFPINAVLKQK LSKESKENRVNAENFIEGYFYVSFPEIIKLIQTKNSAQGCLASQGKYLLRKYELGELDFQ QYQKLKLQLNNFVCNVEDVRPIWPQISIHSKLKVLPLFSEFNDDLLKQLALQSKELLFDK DECIFREGDLARYFYIITRGRVNETSSQSNTYIISKDISQLLSCHHIVLESTLYISSVVA ASLVEVIQIEIELMVSLYKQSLYMQDFVWRDSIFSLSRFYPKELQIFSLVDREIIENILT FVVFKKYQSYTSVSFQAGILLQGRLTDVKQEKKIRMDEDQFDINCNDGIQGPLLFPFINQ TYTYQTESVCSFFLFDETKKEEIIQLIQTERSSERRRTRLQTDLRFSASGVNKQSFGFSY LLRPSKANQDINDSKH >CAK63343 pep:novel supercontig:GCA_000165425.1:CT868025:125132:127323:-1 gene:GSPATT00033196001 transcript:CAK63343 MIILTLLLGVCAQNNVNELLKEWEIHIEQSSSESQYTFKQILNEFKNEIPRQYQSKFFRM YGQYIFYGLEDENSIDFARALYYFKRSSDLGDINSQFYLSLLTYLNLDGSYNLQSNLDPE TKLYRYVKEKYQSISLVDLYFSAIQGFHQSGVAIGFRYWKGVGVNQNCSNSAMFYLSVLE EVANTPIKAKYLSENRQVAKVLYDSNFYMNHELDIFGHAQIFENLNIITHESAIEVKELA YNYYYGLNGLRRNFAKAYQLFYKLYEQLNEKESGIRVAQMHIENLGVEEPNYLLAFQILS KIETKNQDYLGRIQNALGYMYYKGLGVQKDIIKAQDCFRKAADLQNNDGLFNLGSLYMLP STIQRERNQQKGVQLIEQAAVTGHAMAQYSLALILLDGVDLFYSCDLAATLLHASSSKGP WADTLKTANYLFQQEQYLDIVDLMWLYVNANQYFLENAAVVMEQNDAFYYDTLHFSEVQS RLDKDPVYQIRGVANKDAFEYLYSDYLQFVSYSTLYDLLQLDSFQLNGALQYRFLTQCAK EREAICHMKLGDYYYIGKYEDVDFIKSFRHYKKALDGQLLDAMKGHVYFNLGLMYALGQG VNMNRTRSREIFEMSINTYSLIPIVPAIVQVQIIDFWVNVYRQIDQNGIEQFLDVNYWEF ANSMIQGIEMWVMENCKGIANVAAGSLIVILFGWRLKLINQQN >CAK63344 pep:novel supercontig:GCA_000165425.1:CT868025:127611:130162:-1 gene:GSPATT00033197001 transcript:CAK63344 MYQIDLNKIVPDPHICGFDKSLTIDKQSFTIQVNSPMIESIQQEIGIFGIVGFYRFYGKL NLLYIAEANEVCKINGQIVYEIITVSNILQNQFSSMEQQSIKNIETYFSKCTYFSLQYDL TIPLSQQHQPEQSERSMRFWWNFHGYKTFLANSIPKCWCIKIIQGYVGLGLCEIKTQQKQ KLTYILISRRETLRGGTRYNHRGLNAEGAAANTVETEQLVEYQEKLYCHLQIRGSVPVFW EQVGIRAISQITSNTEQTKMAITKHLMNLKKYFGSLLLIDLMAVDKPNEAIISQTYKEMI NMLNLPQIQHQQIDFKQYCKNYKFEKLNPLIIQNKEILNSQSYTKFQNGKLLNIQNGVVR TNCLDCLDRTNVFQTKIAFFITNLILNDLSINIPKEFKCETILDLSDQEKVHDLIKLIKI LWGESGDNISRIYAGTNSSTTKIQKTGGSSWTGMIEHGLKGFQRFYNQNVTDEEKQGIYS FIVGENQQQNKQYLGIVEQMQQQSPIIHKNLLLMVIGYDARGQTIKDFFHLIDSRQIPQF IIVMFQNIVKQCQPCNYIKDQMQSTIRSQLGQYECAFYSQNEKQYSFIFTKQCLQNADFK DYILKSEDREIGIVQNFSYQDQRFQVVNLNVNCQELLMYEKKIIEEMKNKNANANIQILG GICNSQILIEESIEDFCSKNKKDPIPQLFLKDQLCQCLQTSQILKSYQEQNISFLPRNGS KSWPNRILYGPQDSQVGFNILAYEESISNGIRLLMAIDFEILGLTGEKIINNEEDDDDMR YSQQFYQVTDENDDFEIIT >CAK63345 pep:novel supercontig:GCA_000165425.1:CT868025:130465:131338:-1 gene:GSPATT00033198001 transcript:CAK63345 MSQIPLSKYHLLTKIGQGLYGDVYKANVLGTDQYVAVKKIRNYDISAGVPVTALRETSLL QRIQHKNVVKILDVEKIHGQIRVIMEYLKLDLQQYLNENKDRLSLGLLKKFMHDILQGVG ACHRLNCIHRDIQPKNILIQEDGTLKIGDFGSARVFQKCPQFFTSNVCALWYRAPELLLG SNYYSTAIDMWAIGCVFVELLLKQPLFQGENEIHQFKLIIPNAQSFQTVVSIISKFRILA ILIYKYNLTAAQQINYKT >CAK63346 pep:novel supercontig:GCA_000165425.1:CT868025:131440:132991:1 gene:GSPATT00033199001 transcript:CAK63346 MLEDHLAEALHLLKKQPQHRCKMEIDLLVHLTQELPFFKQYYKQENGLLIHRECCKHMFV ERFLATDIVFHVDSIGTKFYVILDGQVEVLIKRRGYDELESVRIMRQGESFGELALIHRQ PRLATIRCVTDSCFAVLDKQQFQSILHYEQTKKIEQNVDYFSQISIFNQLNRAQLTQIYL NSFLYEYEKNQTVLQEGDKSDSFLIVKTGLFQVRKFMNKSHPLILFEIGELQVFGFYHLF NKIPYEYSIICLSPKGQIYKIHRTSLIEKIFEGHTQDLDKHMQEINSYVHFKVHQEQNTH LKYPRYFQIKTSCEQDKEISEEYVKTIRNKESKNDNRKSRNQLIKQKMDTMIEQSKRKFG QKSSIPRFNSLFEIFLKSHKGLTTENQRQNSPPLFETPTRQIGTISHLIHHDEQNSPFIT FRSSSPVMMNKGNQFPILKLSNSQRTIVKSSHHYNSKFNFQEYCNLKQMQTQKNCISLSP DIMKLKVHKY >CAK63347 pep:novel supercontig:GCA_000165425.1:CT868025:133983:138725:1 gene:GSPATT00033200001 transcript:CAK63347 MIKKDHKSQFARQPSLGKTEFNSGSKQNDEQVKYILAQQALESHPQTMLQSSLLDFVKAY NGPSDDDVLSENNSDEDSPSNNIDFAQVYSHEMIMHEPNIPRSQPSEQIEEQDQENQYII SKDTTYLKQENQTILKHQPQTTQLTSIEQTQRTEQGLLEIFSKSANRKVVPESITKTQTS TPQQFYQSTIYQNFSTLSQILLLHIFSFMRKVQQLISEQRIKLGIFHLPVQDHEDTIQPN YQKAKKLFNLKISEQITSLSLFRIFFFGDNKWLTFKIVMLAMSETYSRFVMAILTQTLIA AVKDGNQNSAFYQALALGILSLVALLSKHYQLYTINNFSTKMRMILTNFVFDRILELKAY EIKEFSVGNIMNLVSGDINAIEFQLNFVYAIAIIPGSIIFASVILWLRFNGPIGLIAILI CIIIYPLQVLIQKSVQKVLTQVKNKQDNRISFQNQLIEGIRLIKMYVWEKAFQDKIQAIR RQEVLNYFKIHFLNLIDRSFNYSVHIWGSYLFLLIIYFNDIYIDVSSIVGTIQLMSMIKY YCVFQVSYAFQAFMNLNVIFKRVVSIMTSNGSSSEKKRHLLTNIETPNQVIRKSNTINVN GKANSSKQIKKQSCVIKRVPYVTMVEVNLRWKPRSFPVLSDLNLEVFPGQLIGLIGRVGS GKTTLLQSILEELPQIDGEFYVKKKLKMSFVEQDPFIYTGTVRENILFGKEFEHELYRKV MQVSCLDQDVLSFQDGDKTQIGEKGANLSGGQRARLSLARALYALPDLYLFDDPLSAVDA KVARKIFTYAIKEFIFKFQPQYLQEKNTKFHQQYMPQIPAVILSTHQIQFALECDYVIIL DKGTIANQGTYKQVKETLALFNSDIVEPVKKQLVRKQTRLATLLNKQQEKVEKKEIVQLF SKEEQNQTDAGWTTYKRYFSYWSPIFLIFIIIGQNVATEIINNYYYREMALYDETDRESN NQLFLNAGLLVLAAYCNNIVKYFLNIIGVLISNNKIHNEMLSRLIRAPISYFDTNPSGRL INRFSTDLSLADNQIQQIITDIFEQGSQFLVSLVTIAILQPFFTFPLVFTISMTIIIFSI SRQVVSQLKICDLIQRSPLFDQFKVCLYGITQIRINKNQDWIREQFYQLCNQSMQANLIF SYSQRCFGFYIDIFGQFTNIAGIFLIIAMIEDPTLFSQALLLLSTFNTQAGTLRQFMAFD SIMNSVNRMFEICDIELEAQQTQQIDDELSVIGWPETGTIQFQKVEMQYRPDMPFILKGM SFKIEGGEKVGVIGRTGAGKSSIIQALLRMAEITPDGNIFIDNYDIREIGLAKLRSEIAI IPQVPFLFRATIRENLDPLYLFDDSKIWKVLKDTGLQDYVLDLPKQLQSEVQPDLFSIGQ KQLICLSRVLLNKKKILILDEATANVDMATDAFIQSTIKEKFSDCTIITIAHRLNTIADY DKILVLEEGKVLEQGHPFELLVTNPRTSSGIDKESVFAMMAIQTGVKNGQAIFELARKAY LQKILRKEYNNVKTIIQSAKQRKSELLSQTSLVHEQKNLTPYKKSKE >CAK63348 pep:novel supercontig:GCA_000165425.1:CT868025:138758:144107:-1 gene:GSPATT00033201001 transcript:CAK63348 MHMKKKKAEKEKVNYKTLYASFVIQIKIFMDDEMVHINQSFQYIVIILSSFQQLSFAFEN YFFQNQEHSGFKNFFLNISTFTRPYVMLPKNFGDFSFIIPLTLLLAYEAIIFYIFICFAR SKKQKRMSEILQQQNRLFQIILKVYSYKQALLYYLILIPQLELITSSIQYYSQEENLNLV ALPISIISFILIILNLIFYLFCIQQSSHIKVRNLNMLSQSFNHFLNPIFSILILCLNQFE NNSKSLRFYLIVTILLVKSAFNIYSIFATFQNLYKRCIIELFSECFQFSMSLILVFIQLS QFKSEYKDYETALILIIIPIATLIPIILYNNLHYSIILNNNQMMASQLMLYKIGSIIDEF GDVKHSYLHDNRKIIQIIYFKQIHLQHCQTPKCLCTQQQLTFEQIINLYLKDQVNYFNLT INKLKQQQIKQLYFLHYLSLIHYLGLSTRAFQQSNIVVYQESENFSSQFSKVLVGKPGSQ KAGSSNSLDQQNSSAQKSKVDSKPDEMIHIKIQNIGFIYKQRLVLMQELIKKTMSTGLGK QSNQILSDNLEHAVKLFLRSEDSNKKLKNKIIKMINKKKDFFVNLTLLNSSFNLFNSAKQ MILKLTELEDELLRLYFQFPSRKMQALNTFYQSEVMNNYFQAYKLATVTSISDEKLFKMQ SQISFDMFSSKLDYIIVGFDEKSHKLQIRQCSNQIHDFFGYNQEKFKLIKTIDHLLPNNF DSIHQKFVQHFLQSGHSNFYRQINQNYCRYQQKYIKSIDFFFDINVSNLEDLTFACFFQN KDNQNAFMFCCNNNKIQSISKNFISKIGYPIKLIATLMEALNKQPLSKIFPKLQTVMEMN ATKFSYENEDYEQDVMDQSEYQIHMILPEIDSLLKNQKYNWDVETNCQQFVVDAVFHYRK LKQDTSSNYVIIEIREAKRYMKSFSTPQQTENVTPTPGASQTYYQDNLEDFEDLEFFEQD EFYANIPRALEFDQTQNQNQLMNFEQDQSESDAQFGVRAFRNQKNQSQSFYPNVSLVSPK DAGQKLIDAKSNEGGLYESNSRRNHDARQQFFSAVDQKDVSSNAEDQSINDNEKEIKHQN QIDKLQLEDIDQELQENIKMQMQLEEQDQNVIQIDDIGSQVSSVAAFKKSKYSKKYDLIQ KLVTSEKFSQHYYLARIFLTILFVLFIVFSIVQMIFSSDDLNRFLQELDMVQIKSNIVAP IDNYIVAQNAVMNYAILSMIGLMNASTAAIKLEYSKNDISYNYEELKASYIKQLSNQYLN PFFQDKNFTIMQSEQTTTSDRNVSAREAIYLSLEAAYQFVLLDYLNIFTSLDPTSGFFVY LFGNYQTFYDQLTIVNQDMLSYSVQRSKTVKDKWMSLMIPTLIIGFLLLLIVVKFHGFYL QQYDQFIQLFSMLELVWVQRDIDRYKGISSLVIKDSDVLFKYQFDIDLKEKFLAAEDIRK EKIAQNQKAHKSKQKATNLSFDQKSTKLPSLVVYSTIYALCFGLCFVSTSLGESYFAKYP DTTNFFNTLCDVSIASTGVFSLRQIIYVASPKDQQAFFFIKDTAYFIKTFFEHIDTINEF LAQMSEFDPSTLITSDAFINKIESLMQNDVCEFLPEFKKESAQIHCDAVYDGVVRRGFQL SLNTVRNELLNEYKNSQNFSVQQYSLNEQLEIGLISYDAVSNIKAQFQDELVRFTNNLID SILIINICAIIFYLLSIVMMYHLVTQMYYQEFKLVLKFILLMPQTSLFLDNQLDRTLKQI IIKHNLT >CAK63349 pep:novel supercontig:GCA_000165425.1:CT868025:144398:145706:1 gene:GSPATT00033202001 transcript:CAK63349 MKQHHKQRGYPIDEPVLQAVMSVAQLQNLYPKMADFNKAINLNSISKKAIFLILRSASLD NIHKGMKYGVWTSTPKSNARIDELFKESEDVYLIYSVVGTKAFQACAKLLGPFDPTASFL YWDEPLRWFGSFQIKCLFLNELKQKTLDEKQPAHLGSIVLTEQTDCTEITNGLGIFVLQC FKDQQEDETNVNVLLQQFQNMDRREEQIKQQRDLDQNFLTQQSHEQQLFEKSPFEYKNYH KRQNQQRWQSKQADQMMYMRAYYSANQQYAGSQYQGQNQQMYKNDNKQQYNNYDYQYYYP QQQQQYQQNNNQFKYGYDQQQYNQNPNYQRQPQKYQKKYYNNYQQNNYHQNNYQQNNYQQ NNNYQGNNQKYQKHNDEQTVNNQDQQF >CAK63350 pep:novel supercontig:GCA_000165425.1:CT868025:145900:147389:-1 gene:GSPATT00033203001 transcript:CAK63350 MFFGYNSAPNSNISQTQSILHESQVLSLQRESENYIRKVEQDKKHHYNIEETWVTTKKEW QQKKEQIKKIQHDQTLPAAKQQLNKIKNLQNALEQSILKYNESQTNNLELKKQINMLRKE RHQYINIHQDLEEELLKIDDETEANEAYRNSNEIQAQKKKETIAEMKKKNDYEKEKYVEQ FDRLKKEVMEEKKKHDLDNLGLKKEKAANIDTASTLKLRLKKLISNNKEKVKLIDTYWKN MKVIEDAFNQIKEASGIQDIEEIMNTFIKSEEQNYSLYNYVDILSQQIDQLQDQNQDLKK KIDSQRVENESKKRLLMATPQAERHRKKNDLIIKKRQEEINTLRKQMEEIAPTLKDALIE LSQTQLASDPTAHLDYKLSFNLNESSLEKYLQDLERFIDLAVAKEKIAQNQSIAQSTLLL DEIPLKEFKGSNKQFNDDELLKQESQQQQYNKLLTQKALRDMAVESLKKKK >CAK63351 pep:novel supercontig:GCA_000165425.1:CT868025:147843:148171:1 gene:GSPATT00033204001 transcript:CAK63351 MQIQLNVLIGIDGLIIGSFSGTSIILESDGRGFEMTLQLFPRFCEAVYNHFHKKFPKLKM KNFELILFSLLIGLLHYCYQHNSLVIKSTYLALFKQFWGKN >CAK63352 pep:novel supercontig:GCA_000165425.1:CT868025:148202:150149:-1 gene:GSPATT00033205001 transcript:CAK63352 MDPSKTQMLKLSQSTKERVEAAKQYIEKKYQKLLYEQKEKREKWEQLIQKLTNLNYTPIE QQIIKQDLLHKEAEILRLQRQKLSIKDFEPIEIIGRGAFGEVRLCRNKLSNDIVAVKKMK KSEMLYKNQVCHVRAERDLLAASDNAWIVQLKCSFQDEKYLYLVMEYLAGGDLMTLLMKK DIFTEKESQFYMAESIMAVDSVHKLKYIHRDLKPDNILLQPDGHIKLSDFGLCKYVESRG TRLDERISVHKPEDKGGNTTNFKRNRIKAYSTVGTPDYIAPEVFGKSGYSETADWWSLGA ILFEMLVGYPPFFSDDPSSTCQKIINWKKTLVIPQEAKLSPAATDLILRLMTDAQNRLGV NGVNEIKAHPFFAGIDWKNLRSKVSPYIPEIKSELDTRNFDKFEEQEPWVPQDSGKSVRK DVNFIGYTFNREVEVQRSYLLQALLDLDSLQTQKTVPTESTQSKSQEKKDTFFMSNLPNS ISLDPELQSKLLKTQKLLQNAKSKPLLNQKESTNTQKQQQQLSLSPTHQNFNQQNYLKQL ISPQNKKNAISPQSKPFSEHQNPTFAQLYKQFEVQKQVSNTQRAQPKSQIQMPINSNINK K >CAK63353 pep:novel supercontig:GCA_000165425.1:CT868025:151302:151487:-1 gene:GSPATT00033206001 transcript:CAK63353 MDQENPNDDGINQKLNALAQKLQEKKIELSYLFQDKWVSKEVNKVTVTVNSKILCFYLNW F >CAK63354 pep:novel supercontig:GCA_000165425.1:CT868025:151913:154199:1 gene:GSPATT00033207001 transcript:CAK63354 MYQQNKKSSQRNNQISRANSKFPLNEKSTSPSMQSRQQITPKRKQQFSQPKFDTTNNYLQ QPVTREHMLKFLEQIAVAVENVQCILSQPESVQDKLPDNIAQQKHKFKIAQEQQTDHSFI QQQKQNKFQFANKKTQTPKRTQSYYQDSELKGFKATKLKTEFSEESDTTEKQSFKLPNIQ QQSSTVKQKISKILPDGTKNRIQSQVIQYQNFKNQRDNHKIQSQHIKGYNQESYSDVSNK PNESSQQIRIKTDQSESRQSVITPKQSKINQDGETQKKQNNILKKQQSQIHQTKKVKDDP QNMQQEQQSQSILSKTSNELVNQDKKQDYIVDPQTSQVKNTNSQPVSIQKEDSQNIEIKQ KTKKRPIHIKQTNQVNNDALQIDNQELSINSKQNDNSLSKSDKLNGTSNFEEQIPSNQQR YSQNNEEQIQNNKDDENMNQNKLQDAQAEMDQNKIQDGQITEQQNSKINSNTQSQNQNKT LENKEFYYEPEEETKVISKLQPNTNLQDQQQLMNKTSSTVKSILVQKKEEIVEQGILDQK ILNQNDTQQLLQIENTKNQLSKMSSINEAHDQDQKSDKRNKQITMRNDLVKSLSLENQQG ELGDKPKSLQQSQIYANNDLNKQQGLNLTDQIKENESKKIQKISQINQIKGNQNVEQNHQ VDLKQSENNVNEDQQKGLVHEYNNKINIPQDQLKEIKSSENMVVQVQENNHDQLQKDQSQ NNSQGLSNRSMIQSNKINYPKEAQETDPNLKII >CAK63355 pep:novel supercontig:GCA_000165425.1:CT868025:154500:155117:-1 gene:GSPATT00033208001 transcript:CAK63355 MDIGSKKKSFKFHVKSASTSNVLGSPQSTNTPVTGRSLAQFVHVPFLTFKRCDMKKYIQT EEREYLKKKQIIESGYLTQRGMSKFLKPNRNYNILYLGLKWQKNDLKLHDTNLKTKLNIA DIILNAKGGQSRRELLIEKIQNQIEVPKQIISQRSIQSEHTVQLFMDRLKFQSMPQKIKG DAQSPLKTIQSQKFIFNSSTTYQTE >CAK63356 pep:novel supercontig:GCA_000165425.1:CT868025:155208:155771:1 gene:GSPATT00033209001 transcript:CAK63356 MQNAIIITLARSLNASHYFVFSEVPRHRVSQASIVKTEGKEIKRRLLFQHKTVLIDNLVK NTNNQQKKNKNFKEKMYEMDYSRQRRLSCSCNECGNRSAKMNKFHNVCENYEQPSKEFWS SLIIQQQQQSQVTISTKSLKSTATLLSIPDISLKKQQSKIQSPMSKRYKRDQKLISPPPL NLRPYLQ >CAK63357 pep:novel supercontig:GCA_000165425.1:CT868025:156351:160825:1 gene:GSPATT00033210001 transcript:CAK63357 MKQNQKSQETQTKNYKAKIQNYLNQQKKDSRIKDMTNEKILTQIFLDALSFSDDQQYNST IFDAIKESVWSYQVKIQILNKIINKNDKILSMVSSMLLILDGYFNFNSKKINLIYVKVPY VMKYQSFFLLQSNDNFNILFEVGLHKKYNDTKQQICLFDIVQKITFIDIFFYQKGQEITD AIQEYQINISKDFYRTELDYAECISVPLTGINAKQQSYLFQVQNKSWAESSYLQQSQNLI QWIPLKFNQLKEKKDDLLFKKGQLIKKSFYEKLSNQFHYEDIGNQNKYKLIQFVDFESVG QILDLLQKSQAFKIKLSDQEKQIVITKNNALVIGRSGTGKTTSTVLRIFATEMLVKVRSK FLGQKRIQFLQDYQRKEVEIHSLFSTANRFLVQEVEKYYHKLERQAQEAINKHRDQNTFN LDESFVSINESVISNYETDLLDFVLQEEQIQNPQIRQNVQSHQNEKQSQTIKFPSFLSSE QLLILLDKKSNNPFFSIEKINKFRQKHSKKIGFQDFNGINLDEWFPQANNIQSQYQYSSS SDIQLKQDIIVPEVDFMLFYLYFWPQVCGRGRNNFDNTALPSLIWTQIYSYIKGSQYSYA YPNRCLPFQLYKERVGNYLSEAQMVEIYDCFLKYEIWKEKEQYIDQLDLINSLLVNIEQN QLIRFPIHHSYIDEVQDLPQAMIELFCKITEQGIIFCGDSAQSILKGVGFRFSDLQTIFK TSKKIGANQLEKFQVFQLTKNFRSHNNILQLANCIIIILELLFPNSLDHLQKEISNLKGP KPLIISQVDPSLILYHLNQQCDAEEQCEIEFGYNQVIIVKNEEAKQTLPQQFKTARVLTT YQTKGLEFDDVILYNCFSSDPIPEQQWAFLSCIDVEESYVDKQVFEQSITKFDEQNNKYD VELTEDGQQVVQKKLKLNQRYDKKKMNDYSSLCNELKMLYVCVTRAKKRVIIYDDNPQQR YPLERILKQYNVCDFTGKQNQIIQIPQKARQVINVPQDNQQEIQQEQRQRQPKIWDDEEI QIWEQQGQLMFQKKLYDEAKKCFKRCKNERMTVLSKAFLNATKGAQKLANYKSQKIQFKL NGTLELKKKMDELEQELQKDFRKAAKQFLQIEDVQQAANCYYSGMMYEDSVIQFLNSQLL PQAAKSAVKLKQFQLAILIYYHLGYYLDALKLLSEINQIKGFQYGFFKYDFLKECLNENI LQKAEVKYLLLNQFQDLNNNVFYLLVQKYKNKDNTQFDVDKFTETQISQNILDKVPIKDI FENEINKILNSQIYIDDDLEEMIYEQFKMFWEIYYEIIDSQMIINPHSKQQISAIFQSNQ QIYQDRQLKSFLIEVFEQLNQHNVLITLYPENRLLYKFFHQQQQIQEFKIDDKEEYNICQ SLLCNQLSIIQLLFLDDIETLRKTMKHQNQQQKQLYQELNDLIYQRGRIIPDQELLYYKL LFGNVLQKQQK >CAK63358 pep:novel supercontig:GCA_000165425.1:CT868025:161969:162946:1 gene:GSPATT00033211001 transcript:CAK63358 MEKETNYIQKNVFKKQQIKQIEKQQEYKNEQQQEQQNQQQQEENNEFNKDINFIIFDEQK LLLEHLIYIYNCDDNQINVDFNFDSNNLDTLLLQIYQILSNYFEKAQNKELQTFIYQHLI LLSQNLLLLESKQYQYQKIIEEIIKIGINQCESEISSESSSEDSVQGQNPEQQQKQQSQT EKNEQKDEQKDIYIVAESKEQQKEEEQSTKQQQQDEQSTKQQQQEEQSTKQKQQDEQSTE QQKEEQSNQIPQSDLTIQSTSEQNTQPQILEKEENQKSEKIAQNDEGPKEIKVKKKKIYI QLPI >CAK63359 pep:novel supercontig:GCA_000165425.1:CT868025:163116:163621:1 gene:GSPATT00033212001 transcript:CAK63359 MVEGLVGANPNNFNQRNYYCSQQDRINQLKHNFTIDDQSPMTYVNFRSKISSFPIGNKII NENLINIDKLKKILQKCITNSKCQEVPSASYSIFKEFVFLLQKVSDLEYEPENKQMYVNQ QLKEIENKLKGYKTHKQKNDQMTNQLSKLLSGL >CAK63360 pep:novel supercontig:GCA_000165425.1:CT868025:163813:164070:-1 gene:GSPATT00033213001 transcript:CAK63360 MQSKQLSDTSQSITQQKLKQMRFSFQNKLIQMRKQFENMYKQYLTLICKLEDNKLSNDLM KLESIINKIVIDMQDLVDDVEELMG >CAK63361 pep:novel supercontig:GCA_000165425.1:CT868025:164152:164715:-1 gene:GSPATT00033214001 transcript:CAK63361 MRIRLIKKELQTVQAQINWNNNQIDDKELSEINAAFIDLDKSNDEQYKQRIDALQKEIQE YIQLNKKQLHKINLQAQEIDKQSLENRQINRNYNMAWHFYQFQLYYNNQPINNQKVDKRL NLNNNKSIYLLKKQQINQLIIIIGLAENNRIINTTRKYQMQRRNLRSQENYIKKRRRNQG TQQLNFN >CAK63362 pep:novel supercontig:GCA_000165425.1:CT868025:164768:165118:-1 gene:GSPATT00033215001 transcript:CAK63362 MIKNLIENAKLTAQKVMTKEQQHKQQQNESFPTFDQLAYKNYNIPRENLWQYSIEDYSNI LHQMEDYINRLQRGYDDVCQSFLPMFSKFEMLEKERREWPKNCKKKRIIVKNQNKQ >CAK63363 pep:novel supercontig:GCA_000165425.1:CT868025:165118:166213:1 gene:GSPATT00033216001 transcript:CAK63363 MNAKTTDDDKNEIMKDINRTYQEMGLFHLESNKNIMCNVLLLWCSHSLSYRQGMNEIVGV IFFTYVQSFSDCQEQNPTKIENDIYWVFKTIMDDGDHKQNFNYSSHMPIDRIPLIRYIKD LTMEKLKYIDEQLFQIFEQNQISTEIFLMKWIKVSREYEIEQIQVIWDKMFEKFQTSKLK FLDAMILTMMIQLKPQLLKIEFINNFEVLLLFQNYPAKENYQQMIGQAEKIEKQLRALDG ISWLEIIKRFNLGYSLSLYFQKN >CAK63364 pep:novel supercontig:GCA_000165425.1:CT868025:166247:167590:1 gene:GSPATT00033217001 transcript:CAK63364 MEKVLSYDQIVSHFVDFCHKNQDQEISQIEATKMLNQMSVQNGHQEFNYEIAVELFERVA SYNTSLTIQTLAHVIYEANDIIINKYNQAMNREMYMEEQKAYLKQIKPYSNTTNLHISYV RIQEAYVQRPFIVVIIGEFIHESEIQTKKEGWFEWTLDVQIPVKSLVADLKVELLEDHNI IASLQLPCEALPMNEMKEAELQMQNANCHTLIQLQCMLSVGNNYKELIDEKMQFLENQTQ FCQEELFLLQSQLHELSRPFFRDANIQPKYTNYSNKSQLNTEIFQNIPNKNTLQPPSLQA TAFRNTSSAMGFKKIVIEPERQLYSYPIMARIDLLATFIAVLSNYELQVISMMINFITPD ISVIYIMIIVFSIMLKHKHTLIYILIVVSFGIIIEIFTLSHLDLSVFSILLIVLNILLNI GLLVLTGLLVIDVENVTLLKSKLLLPL >CAK63365 pep:novel supercontig:GCA_000165425.1:CT868025:168135:169098:1 gene:GSPATT00033218001 transcript:CAK63365 MDNLNDEEIFNDRERIEPFVKAITRNKHLFKDKIVLDLNAGMGLLSVLASQSGAKQVFAM KANCYAAEIMKQNNIKNVTLKKESIKDVELNCKVDIIISAWMGNMLFYGGCIQEVIQARD KYLNKDGFIMPDKGQLYLQSIEDSQYRNQKMNFWNSVYGVNMKWMKQWVAREPLIESIKD DQLNSDEQLIYEVDLQTCKLEDLSFSNSYQVKIKRQDYATGVIVWMKYSFTYTHLPIHVV MGPTKSPFWKPVILYFREELPVNKGDKLQGSLAVKFESDELLQIKLSVHMQQYNYVSYFK LN >CAK63366 pep:novel supercontig:GCA_000165425.1:CT868025:169114:171220:-1 gene:GSPATT00033219001 transcript:CAK63366 MNEQLRIIKLEESIEQLSNTFLPHINQISKMQQKLSALEVFITNNSRVDLNGDTIQILNS QLNVQERLSSIEQQQSKFSSHYDEILTRHSVEIKQIQPCINQMHNILEEAKQSKEMNNQM RLNLKEMNQKYKEYTGILLQNDRLTQNYKNRLDQFEQLLASKIDHSEMTKAQDLMRRDFV TSIELDNIKNQIHQRVDRLQGEMCSKQQLGIAQDNIQIIKDKQMQVLSELVDKKVEDLAN TKLKVYATKNDQRVYEDRVNQICKILSEKILMMQKEITEEYKLMQEQLKDENQKVNDLKS QLNVVNSKFQKVVMKEELQIAMQKTSSLQRDSEYLNEKLESITAAIFEQKVSFQQFNKQK TLEIENLQHQLIQKDGESVTYGESPKRVEISQEEMKSTQPSPQRMYRKSMNFQREGSFIN SDVIQKIYQRSDIRIQELQNQIDDIRQKLPKVNKYQENNIQVSDTTNIRMEYFNQMIIQL QEESKKFRTQFDQLNQQIIVINNKPQIKEDKLTDIDQKLKFIQELESKLDQKCDSSLVIP ILDSKASAQDYMQTKLLTKQLKQCVLDTINSLRTYFTADQNDQIKVFLINQLNRQLSYFT RSAEIQKQPQSDSQQNTQEIKCLQSRYTAQRMKSYSSCSKRRDTECDVRGRTVNRNITET VLYNSLRSSPLAFRLVNQNKQSAKIVKRMKVQ >CAK63367 pep:novel supercontig:GCA_000165425.1:CT868025:171796:176477:-1 gene:GSPATT00033220001 transcript:CAK63367 MLNSSTHKQRKQFQENSSRYLHQPQPDESVSSNTELEAQEPDIRRRLERPSKKKVSVKIR NLDIDSDIIKEEDSEDYISEKQSQQQINPQQSNAVLNYVLEEEVESPFKSRNHYNQEILW SENNQDPSHPVEAQPFNTPKQHQVVDSPQIESAIKSYKNLNTMKSLYSPMRASQNHKIND ETIYQHYNFLQRLFLYHIFCYMKQLKQIIESSIQKINVTHLPKQSYQDSIQYNLKKIQEQ IKNNDFFYFNNSALFKLIFWSDNKYVTMIIVILAMFETYSRFVMAILTETLISAVTENDM SSAYLQATALALLSLLALMSKHQQQQNQQLFRYLISNFATKIRMIFINLIYDRVIELNST QIQMLNVGKIMNLVSSDLNVIEYQLTFIYQVAVIPGSLLFTSIILWLRFDGPLGLVAIIF CAILYPLQILIQNINKRLLLQTRKLQDQRIQQTNTVIEGIKYIKMYVWEKLFEDKINVIR RKEFFYYLNIHALNLLDRSFNFSVHIWGSFCFILILYANDTHLTISNIMGTIQLMSMIKY YCIFQVSYAFQALMNFSVIFSRVSEILKQQSNNITTIEQFRTTFTTYDVQNQPMQQLLQD RSRGLNRKGSLSLQSTSLVSISQYYGKWSKDGPSVISQINLEIKSGEIMGIIGKVGAGKT TLLTAILQELPYYEGSVTYQKKLKLAYVEQDPFIYTGSIKENILFGKDYDQVLYLKVLEV SCLDQDILSFRQGDKTEIGEKGANLSGGQKARLSLARALYSQADLYLFDDPLSAVDSKVA GKIFENAIKEFIFKFQPNYRPSLIKTHQAFIQQTPSVILATHQISYALECDYIVIIDGGM ITHQGQKNKMKKHVLEISSAHTSNSNVDNPIRSLKIKRPSKLIGKIVSQIQKSSKDETLS LYTNEDQNQSDATLETYRRYFSYWKPFFLIFIILCQNVASEIINNYYYKEMASFDEDKQQ DNDQIFYNAAILVLAAYFNNIIKYFLNIFGVLTSNNSIHNKMLQKLILSPILYFDTNPSG RLINRFSTDLSLADTQIQQIITDIFEQGSQFLVSLVTIAILQPYFTFAALFTIISTIVIF RITRSVVSQLKICDLIQRSPLFDQFKITINGVTQIRINENQQWIREKFTKLSNQSMQANL IFLYSQRCFGFYIDLFGQFANISGIFLIIGMVSDPTIFSQALLLLSTFNTQAGTLRQFMA FDSMMNSVNRMFEICDLEIEKEEAEGELKIRNWPKLGSIVYSNVKMQYRENTPLVLKGMN FEIKDREKVGIVGRTGAGKSSVIASLFRLSSIQNSGIISIDDQDIRKINLYKLRKEISII PQVPFLFKGTLRENLDPFQNFDDKTLLNVLSDTGLEGFIQQLPNGLDHQIEPEFFSIGQK QLICLSRVLLNKKKILILDEATANVDMITDCLIQQIIKDKFNDCTIFTIAHRLNTIAEYD KVLVLEDGKVLEEGHPYELLVQNPKVSTYINSDSAFSKMVLQTGYKNSSQIYAMARKSYQ QKHVIQSKSSCNSNIQDVSLSSISEFNV >CAK63368 pep:novel supercontig:GCA_000165425.1:CT868025:176612:177806:-1 gene:GSPATT00033221001 transcript:CAK63368 MMNVQQYQNYFPYVNEAQVQFQGDIEQAKLSQASNYNEFNLFQQNFYPQTFPPYQFEFVQ EHEIPSQIKNKKNKKHKQLNLISLKRNNDSKGLLPKTLESDKQFVEDQQQIIIQPDQIIK QPYPVFHYTQGSPLPKLQSDIVSNPHQDGQGSQEGSQQTQKEKGQHSQIKKPKKENINTG HWSTDEHSTYISFLQQYEDIMTSSMMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPYAL RGENGKRLPRAERSRAGRKKKNPQTDLPKAGKIQYYILKEEANVIANYEAHDPYYYMMLE QQKYYYSNIQQEFWNPQHEMEHHPSIKKEEDIEFMNNPHLQQLQQEYEECLKYNLPYMQR NGIQSEYNFDARNQTINDLLI >CAK63369 pep:novel supercontig:GCA_000165425.1:CT868025:177873:183165:-1 gene:GSPATT00033222001 transcript:CAK63369 MKQGKKKQKQKSSLDERFIEFYIQVRILCEQHFVKFNSAIINIILIFSTLQELSFLLLNY QDEFYKKGINFFTFIREISLASRLRLSLNEQFNELSYLIPLCITILYQILLIYLFIMSLG KNKKQHRLREFLKSQNRLIQVLLRILSYFHSLMYYITIIPLTELALSSIFQNTKNSSINM INLSFSIITLILVLGNFLIQLFCNLESISVTPQNLQLLQVTFSNYWKSLSNLIILVIDQM DSSPLTKFYLIFSIILVKQVSNFWALIWSYPNLHNRQKIEVQCNFFQITLAIILFINQVS KQNQNTYDVLLILLISPILNGIIQSYQIKIHESLMVTNSSKITADMLQYKIGNIIHDYGY LQSNYLQNKKKMTYIHLFKQTHQDECEDSKCICKRQILSFEDTIILFLKDQVKYFDIMIS RTKDESARQSFFLHYLSLINYLGLNTKAFQQSNFLAQIDSEQIQFSSSATNLVNQKFYRQ QSEKKDVNNSQEVKSHSLQKSKVGGDKNTQSTTHIRLNNLGFIIKQKLNLVQEQIKQSMS IGFSKQSAQLSENLEHAVKLFLQSEENNKKLRKKVVKMINRKREFYNNLNQLSNDIIIFQ AGKKLLIQMNDLEDQLYKLYDQFPSQKMQALNTFYQSEIMNNYFSAYKIATIASISDEKL LKMQSSTNFDLFSNKIDYLIIGFDQKSHKLAIRSGSNMIHQFFGLSQDSFKMISQINAIL PQGFETVHEKLVANFLQHGVSKYFRQINLNFCQYQQSFIKTIDFFYDINFMNLDDLTFAC FLQNVETQSVYLFSLSNHKVSGISKNFINKLNYSNKLNKELAQAFQKVSLSKVFPKISSI LEVNITRASDINQDIVDTTQVSEYSLQMYIPQALLLQSNQKLNWDNQDHLVNYQVDCVLH IRNFKTELNYIIIEIKEMKKQSRTEATMPDPQMTLGYNSNQDFESLDVMEIEQEDIEFAV NMPKAIELYGLQDQPENLDNLDHFDQFEYNVKKLRANDKSMNQSYQQPYQNQSMMSPKDS GLRLIENKNPDFNVTSIQNKQYLKKQQFFKSDEQNEQEDYSSSFQQSSEIKKNQIIENQI DKLQYDDIDQELQENIRMQMQMDSKEQRIVHIDDIGSQVSSIAGLKKSKYSKKYDLIEKL INSTKFSKNFKIMFVFLTIMLSLFLVYSGIMLYYSNDDLVRFLEELDLIQIKSNIIGPVN KYIDAQNSLTTYIVLMLLYPNITITTQIQKMQYSIKDINYTYIELKDSFTKQLSNPYLNP FFEDKYFNIMVGKYPNTTNYTISARESMYLYLEACYNFVNIDYYNIVTLDLTQGFLVFLY GNYQTFVEQLQILNEEMVNYSITRSGTVSDKWNYLLIPIILVCLLLLFITFSFYKAYLNQ YNNFLQLFSFIDAVWLQRDIDRYRGIASLLIKDSDVLFKYQFDIDIKEKFLAAEDIRKEK IAQNQKNNKSKQKGQIANLNQRMSMLPSILTFTLLFGICFIFCFITNSLGRNYFYKYPDT TTFFNSLSDLCIAVSGIFSMRDVTYYQKFPLTNLFYFFPDKNATFFVQNFFNQIEIINNF LSLISELDTNKYITSEDFVSQMNLMMSSDVCQFLPDNKIELAQSHCDAVYQGVLRKGFQA AGTDIKNSLLNEYAQTNGFALKVYTTQQELEAGLILYDTISVLKSKFQNQLKKSTDELIS QILIINLMFIILVVLVIVLVYTKILSYFKWEFNLMKRFLLLLPQQSLFLDNQLDRIIRQM VVKDDLT >CAK63370 pep:novel supercontig:GCA_000165425.1:CT868025:184420:185569:-1 gene:GSPATT00033223001 transcript:CAK63370 MFDKRIDKYIINVNNRIGQGYNAEVYRGINEKTGEKVTIKMLSKSVIDSQQFIHQDRDDY LREGLFQEVKIMYQLQSPNIVAVLEVMKTNNNYYIVQEYCDGGNFYELLKKRKLLSEKDT IKLLIDILNGFTQLIKNGVTHRNLKPENILIDKQTYKLANFGFAKFVSNYNKSMMDSIVG TPLYMSPQLLDRQMYNSKTDVWSLGIILYEALFGKTPWTARSPPELIKNIKTQPLQFPND KNQISQKTKDLIIGCLQVDENKRFSFEEIYKHPAVSQYFIAQDVNNSKTRLDKRARSVID DIKCIIQKEKIDLQWLIAELKMEKDKTLDANVFSKSGN >CAK63371 pep:novel supercontig:GCA_000165425.1:CT868025:185769:191339:1 gene:GSPATT00033224001 transcript:CAK63371 MQWIKDYMNLIIQTNLSPSLNSVKFITFEILIYYLQLTHFIMQKYDENVFRLIKSISEIC VLTPLFQDIYAINVLTGVLLTMLNCLPYLIILHRKFTIEHSNVSVISKSLSQSATKLVNC YFLYFNWFFYLPQMHYIGWNFLSQSDLLLVVLSVIILCISTGSLLISNIYFINFEFNEQS LRKHFTYYNCFAQLLIIPMAILNQSADSLYQMAGRIFHSIILFVLIYEAYVELPFGFSKY STIYNRLLIMHILLFIFTSILYSNPDQAYSSLALMLILQPVSQYLFQTLIQHKRLKTYHN TLNQYYELLVIEDFFDLIQAAQKSKKRIIDLHLNRCQSLKCQCKKIGAGGVLKWEDAVIL TSCLFRIGFEKHRNDSKNLEIYSLKFLTFINKYRNNAPKSYQELKILFQKKREYSFYFIQ ISLLLQFILQAQMQKDEDYNINKDTRVSNVKLQVSKSERSIVQTLYQMEQIKQNLLPLLM QLSQFKIQFWKQYLSGKFQNFSEIEIEVKQLQLLKNQILNQIKIYQPIFYTHGRTFNVQF LKYSALIDLLLFNNVRRYFELERERREILQLEKSMNSFETTNINFFKGEAISLKVCIAQG PNIGKILNEVISPLIPRFFGFHHFDNPLEAFLDFTKGNINTLMPSWLEPVHDEIMQNYIR RGGTARIGKYFQTFAKIYDKTLIRCQVYLAHNFSQNLSDDFTMIGCLKSLEVEQPKIKPG KEPKKIKDLAFKGAQHILFDVNGNILGITQGLFKMIDRLQRTKSAPHFESMKHNSHEKSK SENSSFESESFDVYQQQWSNQLLKIDEFYQKALIWMFLPFLSREVESTGIEYLMDGETPP KNRYPNLVDLETSNSIVSNKETYLFIPEDINLFVSQYEKVLQKIMDDVRVQSNNFSSGSQ YKGMKSDYQESESFSAQQSVTIYNEKLCSFFFDQHLKSHQALQFGTTRQSEMQKTSVKPS SQSQVSLQSEDNSEQLKTRAEKVYQDKYTKYIEKISLQDFNPVPVFYSVNYEEYKYKKND IEQKQQFFVVELVINEQQLLNTMGYKRQVRETIKQACLNFQSKRLLIEQQIQTEDSNSLQ GNISEQRSDHDGEIVNYMFPTHPSHYYEDLYFHSPNKDQVPNQFNQNDNKYLFSSRSDEN EHQNKQSVNTQESIMKGSLHLKFPERNLALKTMNKFMEKRKQQDFFNETDSKLAIDSRAS QQQIQEEYQVKYSENLKIFDQNYKSIHSKLQEFNNPTSFRIQKYLLYFVLFLIIGYIILL TIAVFQQYNFNDCFDLIELMLSTQQSYSQITHGLYHLELADQFNHFDKNLKEFYSSQIDS NLQNLINLQSEQLIDINQVNFSVNQFYNIEYLAILNPTLQETIQMSLAQFYKIKNHTSNN SQVTLISTANLKEIGQLPKLAYDNCYDEKVQNEEQVQSLLTIYMLIIFFLVMLLQFLQVP LIQKLKNDHRRLYKIVIRLQVYEVQDEIETYEHILSIFKRSLYEWMLIDFVQETRMFENS IDNIQMQTQQLTEQSQNLLLNTSNKKNKYKLLEKLKKRHINQFKYIIILMIGLIVILAYF LIIFFVIFILSQQLFANANFLFKFKLIQSSFINIINNIDLVCYNTYDQYRFINLMGQEEF NNYSSSLKSDQQDQYIEFNNNFASIVSDEYLKYNLQQINENNICLDQIGIACNETSAYLF NPNIFSSYQHGMKYLITQIDKLIQSQPHFFYDNKNNNTFQQVQDFLSSNDHIIYIDYGSE VLTKAYAQLVDTAHQEFDQALKIYKQTLMIFILSVGMLGLLIILMLGKLLLNMQQDSIRT CQSSLLLISPKRYLNQNIAQLTQKKR >CAK63372 pep:novel supercontig:GCA_000165425.1:CT868025:191493:192307:1 gene:GSPATT00033225001 transcript:CAK63372 MNPTFKQSQQLENHSNIMGSSAGFNRRIKLSSQRPGSRDQKLKTGEIFPQFQDPLATNPY NLLSLNLYQDENTFITTMKAEILQIQEEINKLDIDGRIRNNDPRQEYRLQQFKKWVAQNS TSKFTFDKLNNIEISQLLIVLDVRSLEDAVVKLYKFLSILDSVIMKITEYEAVHLIKFDE LFSQLCPNYQENVQQMSVLKFPVSIANLNRMTELYQVKFDRNQPQKITNDNTER >CAK63373 pep:novel supercontig:GCA_000165425.1:CT868025:192807:193206:1 gene:GSPATT00033226001 transcript:CAK63373 MYTIQDLIKLRNELLEKQTIIEGLQREIQQWKETNDRLNQVKDEIYQQFQKRYTTEEQFS NSYEAALKLEFDTMKKAFEQKIQKYQQDLESQRRESGKQLNEIRIQLEREKETKKLLLNK LNLYS >CAK63374 pep:novel supercontig:GCA_000165425.1:CT868025:193655:194122:-1 gene:GSPATT00033227001 transcript:CAK63374 MNQFESIEARILFLIYTLYQNNTISLDQKGLLKDNLVSKENGRFMNAITQFEKSKDIDQL QQFLIQFIEMENSISDYISVNSYKTIKSTKPCNLCKETTQSSNTNSNPSIMFSTITVQKK EGDAQFISRKDKKYLTQIS >CAK63375 pep:novel supercontig:GCA_000165425.1:CT868025:194304:196608:-1 gene:GSPATT00033228001 transcript:CAK63375 MFCSKLNSKASDYLNILDVSSCSNKSRGSFKENQPDNRNFTPKNPSNRPQTARLDYSNPQ KVKQFLNNLVQDEVNKATTQLFGQNVLKKKENQICDNKGDGQFSSRTSYLVKQNTPKNNE TFLKSTFIAPEQNRELDHRYFQNLYYQYNKKNDQSSSSSNSKGNLKSCQNTLNQLTKQNN QKHSTPIRQNTINNENINTINMLLNSNQKNAPQNLNTKNQNSSSKKTYPQMINKSYLESF NSLKQKLDNTIQSKQSSSKKNMEKVINISPLNSPKLKGDSSNNYSQCNDQTFQQSIIKLL LEKEQLWNDIFHLIESSTYSNLIQKSDQLLNLITQINERQNSEYLFKIEPKILETLLYES QANFILISESQDQDRNEDHNVKNLIQYILNSNLYVFQVLQGTTLNHQVQNSIKQRIELRC QNSRKQSTKGSQGLQKNNFIIKSILGLMIDEIVRPEIQLNISNIANTLDQFLAQQRKLCS LIEKLIPQKMGKVGNGNQKEYTLVLDLDETLVHYQEFPKGGGQFLVRPFAEEFLEALSKY YDIVIFTAALPDYANFIIDIIDKNEVVQQRLYRDQTVFKDEVYIKDLSILNKNLSKVIIV DNMPENFQLQPENGIYIQSWFGDVKDRALKDLQPLLECILIILINRNCYKKVQRCKSGFE LIQRTDDRKSLIGNQKSILMSLIELKYYQFCYWNQNILFLAIKFMLLFVEISLIS >CAK63376 pep:novel supercontig:GCA_000165425.1:CT868025:196906:197313:-1 gene:GSPATT00033229001 transcript:CAK63376 MQTVKIHKTFAEYQIEVNEQGKIWIMEIRYSTLWQFYQKLKKLFGIQLTFPSKHIFGNLC PDTLKKRAIGIQQFLQELSSNYKLINSDECSQFLTKQKHYGTHIIDLTEIEEFSLDT >CAK63377 pep:novel supercontig:GCA_000165425.1:CT868025:198144:199052:1 gene:GSPATT00033230001 transcript:CAK63377 MGGACSGKKPQPKQKQQQQELNIVEQPYLQVQSMSQPQSRPPEQRSETGQSVKELLYQYK KFTDFLGLVGNLDHLPAHTREQLNNCIIQRSNINILIQNSIKRIMREQKIIKRVEESDYY LIHNDEKFAITFVQLMQRISNIILTELQSDSNFQEAFPMLIVSFVDLATQITKEIENFLN FKTHLIKKQNTKNQISQDNYQASTNK >CAK63378 pep:novel supercontig:GCA_000165425.1:CT868025:199284:200516:1 gene:GSPATT00033231001 transcript:CAK63378 MDETPTSVNSFTTTDKELENNLKDLLERILIYAEKHIETKEELNQIESELKDYESLSYLV GIIKVIFTKLMLKIEKKLLKLDKCNDQNKSQFYKDDEEYDKLEQNLIKYEQEIRNHISVE QQLKLFAESIQNKLDESESIRQELLETTKNNISKLKRENYELNEKEKQLQQEVNNLKSTI QNLEKENKRKSIDSNQRDYLQTLINKQANQQQNLTKNGLSQESRHLNSHSEHQSNPRQFL KEQQDLIIPTQSSQKLNYYNFINNANTKQKSEVIRSMQQKDFNNIYNQIMRSKHNSLSSI NELINNVTQQDKKRTDQLQSISKNSSQNNSMIQKNRDYKQIEPLELQQRSKSSKRADDII KYQTLESLIKLK >CAK63379 pep:novel supercontig:GCA_000165425.1:CT868025:200717:204018:-1 gene:GSPATT00033232001 transcript:CAK63379 MWIYQKRLTIQKRRLSVAIAFIGNSKLIYLDEPTSGMDTSARRYIWEMLKNYKEDRIIVL TTHFMDEADFLGDRIGIMGEGKLQCSGSSVFLKNQFGNGYNLTIVKESTLTDSEPIIDVI MKSCPEAILISKVSAEILMQLPLNAIIIVKRLYIFTSYGISITTLEEVFLKVAQIGAGHN QVNDYMELEDKNKQAIKIDDFDINQIRVTNPTLLFFNHTLALLLKRVRFFKRDMMSLCCE ILLPCLVVLVGLILMTINFITEPDIIILSPPSECYGNGIQYLWGGVNDQSLFSQIDLEIY NSSLQVFGDDSLSNLQKIDQSYFDTFDLTSNIGWYYLTSNSNDQYEYYMFVNTIFREAPL VLQNQMNQAILRKATNNNNYEIRVTNSPLRKTYDELNESKAIAGFLSALVFSMGMAFIPA SIISYIVKEREINIKHQQLVSGVSVKAYWFSNWLMDLGKHVIPAVVCCLLILAFDIAALR QGENYGFSWLIFFLYGWAIIPFCYFFSFAFKQQGNAMLLNFFIHLLVGSIVSLIIYILRL IESTRDVATVLQWIFRLIPSFSFAYGILNACSKDTYMIIEGWSEMKSTYDMAVSGADILM LVVMGVVYTISIFIVEYFEDNGQLQKLGSSEASIPYIPKPIDDDVAKEKQLCETFKPEEK AILVKELRKVFMLGEGKHKVAVDQVSFAIDQGEVFGLLGVNGAGKTTTFKILSGELKPTS GEAFIAGKSVITDLEAARVNIGYCPQFDALLDNLTVREHIELFSDIKGIPYYKKEELVEK KLNEMDLKRFENIQSGQLSGGNKRKLSVAIAMIGNPPIVFLDEPSTGMDPEARRFMWNVI SRIATQRKQSTIILTTHSMEEAEALSTKIAIQVSGNLRCLGSVQHIKNKFGKGYEIEVKL EKPQTNEIQELIQQMQLQGSTRLDQSMTFEILKKINQNHLEQEITMKGSGSHIYNDLRKP NLLAIETLAEYIIVEKMGDLLQKFIQQSFGQFEIIEHFQTFYRFRLLGQITVSKLFEGFE QNKKQYRISQYSIKQASIEQIFNTFALQDSQQQQVDGNVQGNQIAVQIQQDS >CAK63380 pep:novel supercontig:GCA_000165425.1:CT868025:204025:206146:-1 gene:GSPATT00033233001 transcript:CAK63380 MGHLSSLLKKNWILWKRNFFCSTCEIILPLLLIVALGGIRRIIHKTNIDETGFLQPKLDW LSSDPNVPVMLSPFIDERQIENNIMAIDKWNPRLNLVENLKSLDTETRLSVLPKMKNCID NYNYDEDSYWLNGHVVLGPSQDHKIVKELKYIFETYYDYKTLIFKNNDDLDTYTSDSDYG KGGVPSVCFAVMFNETVGNNIYDYQLRFNTSGLFDYEIPPTNEIDVDPVKYQDYDKANAY WKSGMLTVQTFVDNVILRIETNSQVSITPKFSYVHQQDGVKDDFAEFLRGQFGVYLILPL IIIYLRMTYAMIYEKEKKLREGMKMMGLNNTSFYLSWIIQYLIIYTIISILATILLSGIV FTHTDGFVLFLNYWLFCIVLIFQSMFISVFFTRALFGLIVAIVWYLLMYMVISLVGSGEQ IVPESTYWGASISSHAGMSFAFDVMVLFEAQGRGVSMSSISTKVDNYAVNIALIMHLLNI FFYLILSIYLDLVFPNEWGKKLHPLFCIPYFNRSNNSGQSKQLRKKSSQVHQERYEEVEQ ALKDQESRSEVLKISNLSKIYPSGKQAVSNVSLTMYVGQIYALLGHNGAGKTTTISMLTG LLDMTSGEATAFGYDIETQIEEIRQFMGVCPQHDILFDNLTVKEHLEMFATFKRNESKTI FLQLLEE >CAK63381 pep:novel supercontig:GCA_000165425.1:CT868025:206751:207411:-1 gene:GSPATT00033234001 transcript:CAK63381 MKSEQVLNLFCCEKKQMNTLQNCYNTARIGTNNRKTSIQLKDLSGGKKDSILIQKKQVAK PKAILQTCQSSKTLTNQKSDEHLKIKQSGVQRKSVKEIDGQQNLKQGDTYKSISPKVVIN NDKINVYFVKEVSKYHINTETKSPKEETISTENTLILQEIQQQRVYLKTTQQQTTAPGNN QIFSNIPKSMLSNHKSFINQSTKKLQDNKIHK >CAK63382 pep:novel supercontig:GCA_000165425.1:CT868025:208378:209464:-1 gene:GSPATT00033235001 transcript:CAK63382 MQDSSDNFQDSQSQSSEQRQKGSVPSFLVRLFDIMENEDLKEIIGWNTEGNAFIVRNQQL LADKILPKYFKHKNYPSFLRQLNMYNFKKSKADEINQKFEHKWFRRDGRALLNNIKRRNQ EENDDKDEIPQIVDEIEQFKKAQKELKNEIQAIADSQKQLQIALQQIMQQNETLFQESQQ LTQELSNMQSKNQQRFANYSNILTEIVQRLQQEQEEELRNRDNNQPQIAGEQKKASVIQI KQEQPSQQQQQFFSQQQNNLSANSLQFLMNMSANKNAFNFQMFSQLKQQQGNMQMFQNGS AQQPQNDVRKNEIKKESEQ >CAK63383 pep:novel supercontig:GCA_000165425.1:CT868025:209971:211319:1 gene:GSPATT00033236001 transcript:CAK63383 MNLRKFSQLSYFQAKSQKQQNQQTKAVQQKNMKPIYEFEKKNLKEQFEQNQSNQQTLINQ ETNEITVSDFEASTHVEKKCKIYIIKVISNPRAKRKHFSPIFRTNNITVDQNSTRFQLSL SREKLLSHDAISLWDSNQRGNKQSSHSVQIEDKQTFISKGSKKKLEKGIKIQQELDEFLK FRHQNIQTQFDCTKLKKFEKRKLKTKISIEYQIDSRIDKQNNEKECQFNGLNNQNQDLYT KLNNSQEQKEKSKNSSSIKSNPLIPIHIRYQYEKEYKDAKQKSNEKLNEQQQYLQRKKVS KDQIEEFFKEQQKFMQKRADFFEKEFEKKLWEASQHESEFLYKPVLNEQSSRILDKKLKG KKFDQRIEQFQQNRQNKIEEQIQNMKPSFTPKISKMSRKLMENPKQKNDNGVQSYNYKAK TQEGQ >CAK63384 pep:novel supercontig:GCA_000165425.1:CT868025:211367:212666:-1 gene:GSPATT00033237001 transcript:CAK63384 MQRQFDITLELKTDAINIYDLNSIKLQADVILKNIENQINKCSQWKSSKEQPLSLNTTQN TRIQQQDRQWSTSINKKIQQPRPFSALSGVGTRIKRFEDAKDKQKIESQQQIIDKCPLPQ KPPKSALALNQLCNQELIMGNFKLETILGSGSFAMVRLGIDKNSKEKYAIKIYEKIKLND SQKMNNVKREISILKRIEHQNIIKLYWAIEDKKSINLVMEYISSQSLASYIKSKPNRILP EKECLSLFYQIAMAIKYLHDLNICHRDIKLDNVLMMKTNVVKLIDFGFAICMPLNQKTNV FCGTPHYMSPEIIAKVPHHPASSDIWSLGILLSRMITGEYPFKGQNDKDLYRQIQCQKVK TNQDKKINENVMRVINGCLEKNVQNRWSIDQVINDPVFHKDILIPSVKVF >CAK63385 pep:novel supercontig:GCA_000165425.1:CT868025:212867:213520:-1 gene:GSPATT00033238001 transcript:CAK63385 MNFFEQSFGKEDPFQKTAQFFNPEVTKTKQQMLRRRRENSLPQQNLAAIKNMLLKTKLLQ KNPVSRKSTMHSIKDSNKENLGNQQSTKQSTKQSTQQSAQDVSDVCYNIFNYLDQNIKQS PNSFIQQQYESCKPVKKSNIFQYNSQDTSQIENNSKNYKMSSQEKKRTIKVTDPYGIFTK QLISVPRKYSSKSFVNQKAQIKLVDRIFSSRSDSLQE >CAK63386 pep:novel supercontig:GCA_000165425.1:CT868025:214418:215680:1 gene:GSPATT00033239001 transcript:CAK63386 METVSQQIKQLNQKNQELSVLENRIVQLKQQLNLQQANNNILKTKVDKIVHSKKQKFYDQ MKLNQILQDNAVNNDQAQYMIQRNKVLLNEELRQTKQDMLEEKYLQTQQMKQKLDLDMLI NQKKRDQQLLEKQQQYAKISEWEFNLKLDLKQRQIDKIDRIRSEEKEFKEQLAKRILEQH QLCEQLTNQEQRLLSKLKHSQQFGTSLKNDLTTAMHLSIKEYKNNMSVSMPTHVKSYSSS KLPKEQQRSPYAQLPTMLMMKINGQINKSQNENSFFRENIMKGYQFSLPSLCKPSFTEQQ QQVERLYQSKTVKNSKSDLQQILNYCDSTGKIEQKQKHRMNQSEILKNQASTYSIKENKQ NQQEQEQSKQQQSEEMKINKDEEISNQNQQEEQQ >CAK63387 pep:novel supercontig:GCA_000165425.1:CT868025:216309:216828:-1 gene:GSPATT00033240001 transcript:CAK63387 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHRPVVNIDKLWSLVTDETREKYAKSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK63388 pep:novel supercontig:GCA_000165425.1:CT868025:217006:217997:1 gene:GSPATT00033241001 transcript:CAK63388 MQNDAIDYLKIFTSLTIFKAFCSGVHRYKVNQMASSHYETESTLAVLMKIQMQFNFLLLH STLFFKQWDNVSEMGQFNLFLMMSTSLFAMTLLLTDYRWLLKIYRYENHILREDYPIFEF LGIQIFMMFGCALLITIQWFTIPILSDLMHQLLCIYKIPQIIKCHQNNQMPNITYFCFLE DYCILIFFSYYRGCPSNIFKLQENLGICISVTVFICIQQCILLYQFHIKPQLYYNKSQVK DFAKQIREQNIYSTFDDLVMNTNVECAICLQGIEITNSSQIQLDSQDSIVLTLCSHKFHE SCLISWLQVKKQCPVCRHQF >CAK63389 pep:novel supercontig:GCA_000165425.1:CT868025:218102:218472:-1 gene:GSPATT00033242001 transcript:CAK63389 MPLKKEGFQSVGEFLSFSLSTDDQASSDQIKTALFFVRQIVSVLLGLAAGFLHLQGILTI LGFLFLSMGFSYYYVFKYKQVDEDKIENTEIYTEGLGASVGEFLLTWTLIHTVA >CAK63390 pep:novel supercontig:GCA_000165425.1:CT868025:218507:218918:1 gene:GSPATT00033243001 transcript:CAK63390 MGKIIIEYLEGKKIYACKNCNVHLSNQDYLTSKQFTGKSGTAFLFEKGVNYLTGIPEDKR LRTGLHTTQDIMCIKCQTYLGWKYLHAYEQSEKYKEGKIILEKLFLTKIKWN >CAK63391 pep:novel supercontig:GCA_000165425.1:CT868025:219164:222435:-1 gene:GSPATT00033244001 transcript:CAK63391 MLLKLTLLPILILQVASFEVVKSETDIDVTLTYNYENVNSYNQIDLKIAFQGAYLKKITK LIAITLSEFDMSDSGFSVDCQYPLQIQPPKVIYTSEDRQIYFFMAFFNKIKNPPTPGEKI IKITIINGTKTINMFLVLEIKDDQLKCQADGNGITFERSNLQFSFQYDFYQIEHKPIMFQ DLQFQLEFPVFTSHFISCTNFTGLSNGQDCQSKENSIYVFNRNVEFLYNESQHSFIINNF TYPSNLEVYTATIKIFNSGNKLSEGQFNFGAYAPSEIERIVKVSSNSYATQYTTLQILLD SQKYILANSDMQFTLSILLVIDKLIINDIEKSFVQNDKVISIIGFNDQLLEQFRLKVEFQ FQNLEYKQDIKFSFLINKISASLFGDLIYSIEPNRYKSFIVSRDTEVVDEETNLYINIEL QNPIVNGVIYIQFPKELNLVQWESQIVSGSSFSFTYWIKNPSTICQTSRFESQAYQNDQF REQLLSDVVLIQKPNQLIKNLQISLSSLLSVDKTSLSVSLESQYIVQLRFDDTININEIK CQNCKRINFSVIELSKNKDVIFNIETPRLAKESKLLISTYAKECQQSQIEYQLVFKPNQF QIVPQITTRELNALNQWQFSIELPCDINEQDKLKIHYPTNLIIKQKLQCKFLNFQQNNFD CNTEINDLPLMKKHQVLRLLISQPLNPSKPQLLEWQFILVDKNGDQIAIFNSKFQDWEYE KIQLSNIQLMDNKFIQMKEALLRIALQFQVDVIKYEGNIIIYCDGCKQEQNQIVYINMEG NGLLEIPFRFFTIPNQYVYLFTYKLTTYYKGDIQQQGYFKQSISLSCSNDCAECESYDKC SICLVGYLYNSTCVDECPVNYYALNGQCYPCSIGKCTACAFLEKEICLECEGDLDLYLNQ CVTQDYYTDYNNTNQTNNNQNQNNNQIIDEQSFLVIYNFPGLLLIIFLICLYTIIIKILN YSSISKTICFSYFSLLENGISIFLIIKFIIQGLYDLAFLSFSLLAFQIMLQLNSLLQLKT YIMIDTKYQQFKKEYWSIKNGFLDIMWIQLCLLHYPDIQIEQTSKVGQQMAIQE >CAK63392 pep:novel supercontig:GCA_000165425.1:CT868025:222727:223011:1 gene:GSPATT00033245001 transcript:CAK63392 MQSKFQKEILQFYRSMLKWANLKPEPARSTIKLYVQNEYRKNQNIPKKKLDRIEFLFRQG KNKYEIWKDAKIDQIQIK >CAK63393 pep:novel supercontig:GCA_000165425.1:CT868025:223037:223572:-1 gene:GSPATT00033246001 transcript:CAK63393 MFQNSESSECHSKSSGLDNKQGDHKIQENSSQSENDSIEKKVKLNDERGQIKISRKMSDD LIKIFERLPNDQISKLLDVIKVKNSRITLTQFYFIADLPVQVNLDDLMSKEDDFVLDSQS DSQNGKLRKRRESKEKECKCCKQLVKRHHCTHTECEKPCQFLEKIKKGKP >CAK63394 pep:novel supercontig:GCA_000165425.1:CT868025:224196:225217:1 gene:GSPATT00033247001 transcript:CAK63394 MIIENQRCFGKEIANSTLHQSKEIGIIVEKHKKPFSIIPKVFAMSLDDKENKLFRRESEK FQIEIETEKSKDVKNPQNVELYSNEILQHLLIEENKYTINQYMTPEQQPDINIKMRAILV DWLIDVHAKFKLKDETLYITISLIDRYLALAQVTRMRLQLVGVAALFIACKYEEIYPPAL KDFVYITDNAYVKSDVLEMEGLMLQALNFNICNPTAYQFLQKYSTNLDPKDKALAQYILE LALVEYKFIIYKPSQIVQSVIFLVNKIRTPTYKTSNENQLKPCAKELCTLLQTADLSSLQ AVRKKFNASKFFEVSRIKVEKTNK >CAK63395 pep:novel supercontig:GCA_000165425.1:CT868025:225756:226888:-1 gene:GSPATT00033248001 transcript:CAK63395 MKLAIALVLLVTFASATSTKDQILALLQTGTKASDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKNLNQKLFQQSIENRAQFEQELHDTKNYLAWNEQRQDEIAR EIQVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQVHSVADKLK QYSSTFQEQELKSFLQLANKQEDGSVSRGATLAEKVLSVLESLEANLQASLEALEVNEIN ASWELAGWVSLSEAEVANLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDALDQ AQSDLENKRADYAEAKAKRDEENAIIEQVIIIFKKQVASWSGR >CAK63396 pep:novel supercontig:GCA_000165425.1:CT868025:227407:230046:1 gene:GSPATT00033249001 transcript:CAK63396 MNQIQQEITMIPTKTFKNALWISKSLSVNKRKSPNYPCKRWGHTAVLHDKYMYVFSGCGK SDNPRQWEQIYRMDCVTFQWERLNSPSLKHPPGRDSHCCVCLQNKLYFFGGSSNELILGD FWSFDIETSEWTEIQVPKDMEGREGHSMVALSSRLIYIYGGWDQVQNIMTESHWLYDIKT NKFQQVTHFTGDEMIKLESHTANKIGDSVYIFGGQGQQSNKQLVFHKDLYKLDFENMNDL HSKFDQLESGDDKGQNIDNNTVIKIEKIKANGSQQPTPRASHSAVAYGERFLFIIGGEGY QYDQQKDNEEEAIEQDQDDDQNIDEEEKPIFPKNDIWIFETFMRTWSKLQPKSKTPVFQP RFSHSCIVYKDQFIIFGGLRSMGEVLEDIMVLHLKDSDNNVQKYSRDEMKNVCKYCQLIY GIQQEEEISYHKATESSAVKLPKLSLTFVDEVSKLIQCPMSCFGLFLDNVKLSEAAEVNF EYVFLQRRKKYSNTIDEIRDKIPVLIIFEKDPKELDDLNDFLFNFDISKRKNKVINQDLD LKNESEQNQVLEEQQLLNKKQYALNFKIASLRLGDSVLICHKSQNNYYVGLISMNNQLNP NDETLTFYNYTMTIANGTERKIDSTESKYVLLNAITHLISEEDFILNCNFNYTKIFIFDL TRIHALQKIFELSLFNDDIISNQSLAFELKKDDASKFPDFSLKEYLKYYSLEHLPFRVTV QNQLINMNVNVISRIENKHKVTVTNEKVVAKLNEWAESYQLGRLTQNNFGVLLYYQGRLI NRHRKQLGIYPGVLEQSGYVNISKIVKPNMTFEGFKNSYLASILLQTLEALEEECEPERR KEIKI >CAK63397 pep:novel supercontig:GCA_000165425.1:CT868025:230297:232086:1 gene:GSPATT00033250001 transcript:CAK63397 MNSREQQFINDDVVLSSDDEEQLSMIIEHDPTGRFCKYNEEIGKGAYKNVYRGYDNESGC EVAWNVFQLTNVSENERRRAKQEIAILKSLQHQNIIRFIHSWQSKSKKEIVFITEIVNGG SLKSYIRRIKRPKLKVIRYWCKQILEGIEFMHSQNVIHRDLKCENIFIDTNNNELKIGDL GLSIQMQSQNTSSVLGTPEFMAPEIYQGNYNTKVDIYAFGLCVLEMVTGLKPFSECKGGT GQIIKKVMESQKPQSIEAILNEKIKTIILECLNPPDQRPTATQLLNQYFQSTFQDDDNLP VSINESLLVQITNDSKNSSVLKYIPSKNVSEQKGTNNQVLANNQSYKVNSNNILQEINPN SMKQSGVKFNKLSIDTIDDYSVEQQFTKQYREYYVRIHVMKLTQNDETLQDLEQRQEKEL QLLKNIHKQQKTELSNKLSLTNRVPVIPQGSGFLSPKSFFTLFEYNSNDFQHQQISQQSV VCPLKVFQRADTLSQDEDGVKKNEFKSDTISTNQSQQQCKFNTDVALQSKSQSIRPIFQS >CAK63398 pep:novel supercontig:GCA_000165425.1:CT868025:232223:233912:-1 gene:GSPATT00033251001 transcript:CAK63398 MMDDFFTKFKDFFDKVKDITNVRFTYMKELYNLGLEQFPNNYEILNIQKNNQASIQQGAS KFKKNWTDDDKKVLIWLVGKYLALHKRDFKQISESDWINISSMMQRREPFHCKQKWLQML RLPLQQAPWTRQEDDALLLIIQEYQKLNKGNKWSQIAMALNKRTDSSVHRNGKQCRERWN NHLNPTINRKPWQLSEDLELMKLAIQNGKKWAQISKQLKLQRSENNVKNRFNCLMRKEKN NKVQTQSNQESNNEAQDSFLSEPSVEELSLEEQRCINAIIKKIEWRMKQDGDDPYDVKQE DFDQQSKKITSTRQPKHIKQSQQLEEPLKNNDEILIQNKDNHSQSDENVDNNKPQDNANN KQQQNTLPLQIMDFTQISSEESLQLQTCLVNKEKNKIYFVNSEQVNQFFKKMQLANLNKD AAVNQGLYGLLSNTSLPSYNNLLSNMLLNQNLEDPLQQQNQISLPENQPSIGSYFPFFPF NNYQSQYQGASQSVNPRSVLNFPPNLNTHNYQQVPNYLPGYNYHLACYPQQSFNQHLQNS DKTQG >CAK63399 pep:novel supercontig:GCA_000165425.1:CT868025:234005:235728:1 gene:GSPATT00033252001 transcript:CAK63399 MKQGYWIQGWSDLVANLKVTQNCMDLIDVQGNGQYNLVVADQNQRLVSYRNTNIAWETRL TDKPVCMTYFYGDTSGQPCISICHGNTIYNYKIFKAFYKCQIPNIQLKQEEADIWKQYST DKNLQNLIRDLKNLQQQHSNLSYKSLELLCFQDLQKQQELAEKYQDSPYIEDSVTCVSVL KKNVDEDKSQGQLIVGTELKFIYIYNQEITQIYKKYEIPGVPISICIFSEEQKDGRIAVL VRENCVYFIGNDIEPYTIDLQSKPITMIRQQRCLYIATMDRVVQNYQHKQKQYQLQMQHN ILGIETVTTGKGQETKGLLIALENKTIQFYKDTLLMSIINLESNVSVMRFGFFGVSQDGF LITINIKGALETRTLSREFERKKQAILEKEIIKPIEFPPITNLFIEQFQREREQGSEMLR TYQYDLLRMRFKTAQTYLNILSNKEQAPQLNQNNPLRLSAIAEGLGPKFKLCCVVENISN QPIKDIYINYVYNSDIYQPFQLINQLPFLIPKYQKNFECYFTNIHQNGISDTIKIILYRE SKQLIQTSIQMPMSELE >CAK63400 pep:novel supercontig:GCA_000165425.1:CT868025:236018:238982:-1 gene:GSPATT00033253001 transcript:CAK63400 MQLFAEGLDSQNFATRICCGRHLFMILRCIPPQLLNQLVDEKTAYDMLNHTVGLFKNTKL QKTGKTQKGLAVKLGSYLQHIAFEERKQNKLKQAIVALKYEMVRTICEDDKKELRLDALT NTELNDSTLPFIIIRIRDTDVDVRLQVFKKLMKNHFSISQLTTLDLYQVIYDGLKNSSQK VVEQCELFLQQSFGKIIQDDQIMQEDSRQKSARKSMNVEQVNHIHEAVKRFLNLFQIDKT LIFPQLYSTILDGLKAILKSVHQDELSTYFRDALRNLSNQTSGPEMSFIKVLCQMSQNQE QKAQLQQQMNLIVDHNFPEGTQFAEIFNQDLDLLQYYELLQLAQLLINQEEIGRTRLLDR MVEFISKYKKLDCQRLHNQKFNEIYEDRSDQELESRWFAQHHFEMPIIKSYYELMIPSIK VIKLLVSSQDQMAEQVFKVIQDLQKQIIVENIQQLEQSLQVRKEERENLEQEKEALVKKK KQNVQSLQLNEQLLEQNQKAIQQTLNELDKMKQHNKNIDIHCLYLLDALFNQFDIAKIED RIFIRLKTIITTISEKYKSGDDCLAKKLSFRCLGYIPLFGIDVGGSTIATLARILDQSIP TQKNNDNPMTITALRSILDALLMYDDDQAWVIDSETYGKQKILQIIMKFAFKSEGVIQAI ALEGLCKLLIHDKILKPQVWIAQFLFLWFDVRLRSQQLPLQIVTSFLKLYITSSLQFLRI FEKGLELYFSICCYLHYVKDQILNPDLFDTQQWQENSLIQAASAGIKMMSYKITGQKSNP SLSEFKDILESRSLESDFIGPQERFIMYLCKLVSDHNNIILQKVITQVTKQADFLEMYDI KDDDNNKLKPKLSFYQSLIISLEQCIERLEKANADVKNENNFLKVLQEEVGLQAQDEGQV LRDAIMKDFELQREDANNLVKELKKQGIIIQGQKQKEQVQAEENYQGESDSDEGVKKKRK QSSDSEIPTRKGGNKKRR >CAK63401 pep:novel supercontig:GCA_000165425.1:CT868025:239861:241307:1 gene:GSPATT00033254001 transcript:CAK63401 MELVDFVVQALIKRILIIPFLLYVETEKSNDQQQINIISIDIPFEELKILFLNEDSNLES TSEQIALKSVQFQEIRIQFINIRNQCKFSFHNESLKLVNVLVFNFIKFVHIIQQFTLKTM RYMILFALIMLVVSEDIKCPADMDTTVSCTKEYSPVCGYSSEDYQQGTFENSCFGCRAKN VVYYKPEPCLVYSAPPPGTSTSTNTNSNSSTSSSTSSIHKCDTPKIENAICPEQFKQTCG LFNSKIQCIKAPCGQVFTNECFACANENVDTYFFGNCDELPKEDTNQTPETDYIKCSDPR PEMCDMLYTDTCAFTEFPCYSDSCMKLTGSGCQACSDKTVVGFMKQACSKYSQIFESTAV DGQKDGTDDLADQEVQYQCSLNRPTSCDDNVNEVCASQKCNDTICKKEYSNECQACLDSD TTSYSKGKCDAASSGVLLGVAFIIHLFI >CAK63402 pep:novel supercontig:GCA_000165425.1:CT868025:241482:241697:1 gene:GSPATT00033255001 transcript:CAK63402 MQQTVSLIAGMTKVFNSANITQQYSDVSKSEKENSKGAKQNKNHIQELTNQNSFKENDKE NVLIQNQISRK >CAK63403 pep:novel supercontig:GCA_000165425.1:CT868025:242202:245725:1 gene:GSPATT00033256001 transcript:CAK63403 MSSKKEYGIEEHIYETLLDLYKLECSEAFRFPVDIHLFKCPDYYQIITDPMDLSTLTTKY KDGAYRTFNEIKEQIDKIIKNCRKFNTDPNHTILKTCSKFEQCFNTRWNKLNEIRIQLGI PDDYQLKVEMNKLDSIEQRKNKKKEPASLKEDQVGRKPRLPKNEIKTYNNNNQFTFLKPQ NDFVVNKFKIKSSSIQDDDQPYIDSNTSLKNESQNFQENQFESKIQKKELIEPPPPTSFK VSISRMTSNDISQSQEKIKETPPAQFNTFDTLQRQDSTKSTLSFKIPIPKLNPPQQDTIK ETPDFQTPITAKPISTQQQQIPKQVPMPQINQSIITDTQNEQQQPKPQISTQQLPPQLPQ QSINQQSNSYLQSQPQVQQQPQLGSIQKQLEQPQLQQQQQTQQQQYTQQQSSQLQQPQQQ QQQNQVQIQKQQQSSIQPQINDDYKTIPKKPEIKKEQSEIGQQNSYAQLEPQQQEVLPKI SINFNNSNKITQPESKPQPYETKITIPKQQPQQLINISSSQASQQKIEKSIPIIKGMTQK IETPNTPKGKRKIIDSDDSQDSSKIEQIPIIKKPSEKKVEFNETPLQHSISGPSSEKKMQ NLGSHPFSLNDQDNKVQPKENQPIKLAPTTPPAIAIQPPQKVEAPPSKYVFKIDVNAIQK SESDHNQKKIEKNKKYAQKIMSVLKKHIMARQPNIEILNRCLDVPSKTTLNMILMWLKQE FDKIPFQEYITIAKFVELAYQSIQKFKCEEEPQNEQLLQQYFNREKEQEQNRQKKIEQEI KVQQEPIILEKIDIELLSKSLRIRSKKKKVNDKEKKEKDNDKQKDGDKQQQRPVLHQQQE ISSFALENPKKMKLDQKQPYIEDIDDYFEEQTQGQDWWKILEQKQNECKAIEEEKKEKED QEQEEAFFIRTLDQVRVNYTLNLGEKNGVGLYPTIVVEKMFFFLLNQQSTYFRQNKNSLT NFFKKLKENQIEKKNDFYQQFSKYNYYVDENHTQLMDLYQIDNYAEIQVKLTLKDDGDFD PFQLENKLIINLGINNLLSCTTKHNFINYSSINKQFQLKLAQYPQSISETILLSKLYEMN EVFVESLETTTMNDNGGCGVFLWNQKKQALNQEYQYSCHLQIQVPFTCIQDLCLSLNDTV ILNGQQL >CAK63404 pep:novel supercontig:GCA_000165425.1:CT868025:245942:247380:1 gene:GSPATT00033257001 transcript:CAK63404 MKFSRLIGRILVAFIFVNAGLSQLNNTDPFVQFVNVRYPLFFDYVQKLTGHSLDCAEFLK PTNFIKHTPCLIQTIGVAQILLGVGVGIGIQGAGCLLALLSIIITAFVHNPIIYSNFVNA QAEYLNIIFNVGIIGALFLVGKSRKNKGSCGVGSCVAKPKVAQAEVKKEEVKKEVKKEEN KKKVSILNISINIIYGLMKKYKNLKNGNQTSLSIQQGVDAYKKTRVQRNVGRKVTSTNLY LKLLIKLYKFLARRTDSQFNATVLRRLQSTRTARYPISVSRLVKQINTAKDKTRTLVVVG TVTDDARLLTVPKLNVCALRFTETARKRILAAGGKTLTFDQLAQQNPTGTGTILLRGPKV REALKHFGRAAGLPGSHAKPYVSHTARRGKGAR >CAK63405 pep:novel supercontig:GCA_000165425.1:CT868025:247390:249052:1 gene:GSPATT00033258001 transcript:CAK63405 MTDKRIDKYIFNVKDRIGQGSYAEVYRGTNEKTGEKVAIKMLSKSVINSDDYLREGLIQE IKIMQKLKSPNIVTLLDVMETNNNYYIVQEYCDGGDFDELLKKRKLLSEKDAIKFLVDVL NGFTQLIKNGIIHRDLKPANILIDKQSYKLADFGFAKCVDNFKKTMMASMVGTPLYMSPQ ILDHKRYNSKTDVWSIGFIFYEALFGKTPWTARSPAELLKNIRTQPLKFPTDKNQVSQET QDLIIGCLQADENKRLSWEEIYKHPAVSQYFTDFIKGNSKLEDRAQYLINDIRQMICKEK IDIHKLFADLDMSKDKALDVNELGKFLMKVDKDITREEIEYIFNKFDDDGNNQIEFEEFK KWLEDNQIITNGNAQQQQRNGGSHKKLSILPHQLKSQGSIEERANYVIEKLKLSIQKYKI NLLDLFKKFDKSADQRLDKNEMGQLLKRIEPNITQEEIIACFDFFDENGDGEITFQEFQT SLSEEVGKKRQSIDNH >CAK63406 pep:novel supercontig:GCA_000165425.1:CT868025:249612:251391:-1 gene:GSPATT00033259001 transcript:CAK63406 MSAVDLNLERDQYKDLLKCIKDESQQNALRYQSNSQYKYEKRRKEKNESDHKVQLVLPSI ESSSLEDISQHFHSIRRIQAGAQHQLLANKSQQKFIQQSFMELEQSKMSQTVRDITITEK KYQCNQRKVPHREPLTLKYLEKQLGICSTPEKQLLPQIQNKHQSKRKITEDEVQQDQSIL TFFEETLNSYSYAIPSRRESTQIGIVRDRMYVYGGMSGAGITNEIWWFDLKRQEWFNFCS DIQLFITNHSMVVWKNFLVLFGGSGYYDHKMKIREVFSKIASFNTLTNQWTISLESVEPR REHKASVLFGKLMVVTGGIDSAEKLLNDTLVYSLESKRWTGQKILFEEGIAQHAQCTAYD YKRNIETVYIYGGQTAKLGSFPLMRMLFFGPHPIGWEKVQTVGQAPDSRYNHTMESVGEY LILLGGRSQEKKEYQNDIFILNLQTSVWSIAQRQGLQTKRWSHASCVNGTNILCFGGIGE TTYLPPHIYQIETDTLKIKGKIVVVKPLSVINEEHTNNNHHMIITREHDFDMKKPCKLEK FRKARKMYETIVTYLPLPQLKTPKMRYDILIHFVKTILRQIGYI >CAK63407 pep:novel supercontig:GCA_000165425.1:CT868025:251624:253954:-1 gene:GSPATT00033260001 transcript:CAK63407 MSYIISKSSAFQSGYINQQEDNKTQIVIISPERMNVERDIYLKLQQNKCLNIVKILRIYE NQIEIEDVPMTLLQFMKKRQELSLELSFQEIKLIMAQIINGYQYLRSLGIIHRNLTPLTI LVQQIKGRIIIKISDFSVSSLLKNNQLGTSRAGTPAYWAPEMMFQPQNGYDDKCDIFSLG IILHQLCFQTQMPSEFNDQFELQQFMQNLQRQNFKCQRVTQNIEIVDLIERMIVYEPRKR VSWDELAKIPFFQSPYQLLNNRYLVDFSQQLGSGMQGVIFLIYDLMQSIELCAKVVETNS YEGGLELSIYKKLILKSNARGRENIIKVFELIDDQYKTYVIMEKCDENIQQYFQKQKTIS NEEILDFLKQIIIGYKYLQDLSIIHRDLKPENLLIKYEGGRKIVKIIDFGVSKIQYPQSL AKTVAGTPIYSAPEVLEPTGKGYTNKCDIYSLGTMLHQFVFGSLYYNAFTLQELKDYQKK LKIKPFQCPGKSIFSNLIEKMLIYEPEQRITWEELLAEVEKLQSQQNFMERESILYDTIS ASILRYLDCIQIFSSKLEYELIQFIQKQPEKIKQKAFQLFYFLLFFSQATLLDCQQIFEK QHIYIGGYTLKQETDFQKINEQKLQGYIETLQVSIEAISIDQDWNFKKVQDEMMSELENK KLTCCDIHEYFNRIYKPQIQIYSEASWKLRYYLTKMSNIFIDYPIININLNKILYPQLAE KIKDENVQKQYLELKWNS >CAK63408 pep:novel supercontig:GCA_000165425.1:CT868025:254746:255504:1 gene:GSPATT00033261001 transcript:CAK63408 MKEKIVIIIFILMSIIFGWTVDTYQQCQCEELSLQSECSLANCNWTTLNTCQSNNCTQFD QFSCQSHSQQCAYNSKTKSCTTFESCKTLKGTSPQNCSSQNPSCSWVSGNSCTSTQDCYK FSVKNCPTTCYSNGMQCESVTQCSQLNQTICNTQDLCTWNVYCEPVQCSSYQSADSCLFS QVSGSSIQPCLWKSGACVNALSEEVFNSSTCFTHTGQSYHWTLKEHSDSAYFCKSCHQFL FTISIVIIVLFL >CAK63409 pep:novel supercontig:GCA_000165425.1:CT868025:256373:256896:1 gene:GSPATT00033262001 transcript:CAK63409 MGHSLQMMSWQDISSLQKRQQRYILVDIFYNPNKEKIHFQNRGGLSSYLTIILKQVYLLG LMIPQQIPQINFVIGVTSNYLGFRAQNFKSQKIYGYNYFEFDICLLRVLYTEEKKFTKLV KLLHQVGKNLNKLISLRNIIHYGYVYEATFQHVSAMIDFSIK >CAK63410 pep:novel supercontig:GCA_000165425.1:CT868025:256940:257585:-1 gene:GSPATT00033263001 transcript:CAK63410 MNQLFVKHSGSNNQKQQCFIILLFTSISLRFIEMYFSDYIESYQIQNQRNSICKPCHPNQ QNRDKNSKILKQFLVKTYLEMFTQFLICLLTTQNQELFFRFKQQLKCWIYFQRGNLRWQR SYCKNETKISQFENQFLMALKLREKLDKKIQNNQRITQNLGNINKFKIQNNGKRNYYKMN QVINRNVDEVINKLEKTQIYILL >CAK63411 pep:novel supercontig:GCA_000165425.1:CT868025:257656:261553:-1 gene:GSPATT00033264001 transcript:CAK63411 MKYVIDIFIGSSYSMMILSSFKKINQSKFIDNVKFIYKLLNYIRFIFSQISRTKPQKGCR YFFLKIIKSNNYFIYFFQSKKQSQFTINIFNYCMKLFMILIVLVFSTPSSVIYEFNANSQ INDGWVIRDNDCFFYNCGGIFYFGQPLNDDNPIWISRLFSNLQDHSHILVEAKVLRMYTS DPFVIELDYITAQQSLTTSSSTSSVCSGSQVFTITTALFKHNRRTLWITAHFFNAGGLLS LKISMINCYYDCPGCIENYKIFCLSWKLHQYSFGEKLITDSDGWIFEPTFSSSFNCGGCK FVYFFETSYSTQLPIHQDLLIRLYKGNTMIIIDYVKGKKTYSYDVQLVEILIENHLDPIL QLNIKSQPSTDRGQIRDFELYYTKPKITINKPNEGCLEQIDTRCLICQDGWIYDQFLENC HPICDDKGLLCQTRNFEVSSTQTKIEINKFNEGCLEQIDNRCLICKEGWIQDKFLENCRP ICGDGVIQGQEKCDDANLISNDSCYQCEYSCINFCKTCEFGICFECVFGYDLNADFNCVP LCGDGNVIPYSNEQCDLTDDGEWNNCQDCLYKLIVGCKHQLLSMCLQCELGFQLSENACF PQCGDKFILQQYEECDDGNLQPYDGCFQCKFQCPEDCNLCDKGQCILKCEDGYKFVNNNC LSVCGDQIVTKEEDCDDGNTIQFDGCFNCMYSYSCPENCYDCYQGTCLECNDQYQLLISN QCKLQINCGDGYLQKYEECDDGNYEALDGCRDCLIEQNWICVTIIKDSPSQCSFSKPPNL VVTYLNMTSNKQYIRIQFSQQVKIYTTSPLSEGINFKLSNVNKKNWNSNLYIIQDVGSFV SFGEYVIEINVLQLLEFRPILTILVNQTVANIDDVVMDELTKSITLQYPQYLDETQKDYS QGLKSLNAYLIYGLSGFACGNLLFGSGDLFIEILAILQFQQYLKYINLQFPENLEIYFSI YDLVTIEPLLDFIDFSQIFQFIQLQQNDQPYQEGKFNIYKYDPSLIINLSSQILQSLIFL FLIILCQLIKKTIYKRIFCSKNFYYASQLSLYINPKLIAKFQAFFYNFCLDLINFKKFIS FKGMQKALLLNGWDMIFKTLLYTRNFQTDNSLDIVQLIMASIILLLYFTLFLGIFKDQQQ KMQQQERFEMQSFGRQFFFLLFLINIQGSQFFQLGLLLLTNYYLIIEKFKLKNKYIVQMV IEISVLTFIFSSYLYMQDFDEYFNEEKKLILGWLHITILSLGLIVELIVNIKDAYEKWKE IYESQKPEFAKIQLFI >CAK75754 pep:novel supercontig:GCA_000165425.1:CT868218:1:5942:1 gene:GSPATT00039092001 transcript:CAK75754 ERKQENNYVNQQVIRELLLQSIFLLMALHQHLVVMISLSVYGMLRQDGEKPNQMVIQIQL CQSISLLMALHQHLVVMISLSVYGMLRQDNKKPNQMVIQIQLCQSISLLMVLHQHLVVMI SLSVYGMLRQDNKKPNQMVIQIQLCQSISLLMALHQHLVVMITLSVYGMLRQDNKKPNQM VIQEQFIQSISLLMVLHQHLVVQIGLSVYGMLRQDNKKSNQMVIHQQFIQSISLLMALHQ HLVVKTILSGYGMLRQDNKKPNQMVILTQYGQSISLLMVLHQHLVVKISLSVYGMLRQGN KKPNQMVIHQQFIQSISLLMSICLWDVKTGQQKAKLDGHSSEVYSVNFSPDGTTLASGSL DKSIHLWDVKTGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXEGMEMSKSSCLYQFLKSLYLSQSKLEQVIPETPK >CAK75755 pep:novel supercontig:GCA_000165425.1:CT868218:6027:6695:1 gene:GSPATT00039093001 transcript:CAK75755 MKQPKFQKKVDATNRYLDINLTEIERVARNTVKPRLSYQPGSLEVKQSLLKRLINRQCCY KIQSNINNQNQRLLLCKINHQNICPALLQFITHDAQKMQLINSYSLDKKSTSLLAAKVIN ITILRSRQLCGYKQVEVYVLQQLINAEYTAGRQNQHSRDNQMKQAQAKVTKLQLVLSKST QMKMAVAQCYKLRLELISITEASQQ >CAK75756 pep:novel supercontig:GCA_000165425.1:CT868218:6785:7207:-1 gene:GSPATT00039094001 transcript:CAK75756 MSCLQRGYVFIFINKFCSFRRSNIFCPIIQYFSVINVYMLQLFQKVSYFFLYQMQPILFH QIQYLRQILIIQSKGCLLKHNFGVVLHNLIYEQLSSASLHFSCFYDIFYLDLQLCGHSIH CSKIDMFIDWMIQLNYSTNV >CAK75757 pep:novel supercontig:GCA_000165425.1:CT868218:7263:7801:1 gene:GSPATT00039095001 transcript:CAK75757 MGTLVDQQSHLVLILTYNLKKQFQQISNKNKLQNLKFDFHIYYVDADFHLMDSPHSQCYF QIFVQVQNVNILTANSHTNVKREITNTIKLLKPHIFIQSHLTVINRMDNKLIKLLAHIKQ QHFLPQYNLLFIHVSQLILTRRYNNQFVDMHIVKFLSQKDYQFSLNCITEL >CAK75758 pep:novel supercontig:GCA_000165425.1:CT868218:7889:8571:1 gene:GSPATT00039096001 transcript:CAK75758 MKETNKHTKQICGQNIFYLLFYYEFLQERTGLKKYSYKQLDRTCLQQQQLYLYTQKVSIM MFKPYYQHHMDILQNNTYYFMIDNQEDKLIYRIEQFMCLCGWFKQRFNNFSNNSCKEYNT YEFLLKKLVLLIRDTMYTFKSQQERGLYENIRHPQFFSVCSIFYLVSKMVYIKLVLYLYR IKYSKFKLKDFSKLTLNFNSKNFSITLKNLYRCMQYYQRDN >CAK94321 pep:novel supercontig:GCA_000165425.1:CT868677:3412:3642:-1 gene:GSPATT00026645001 transcript:CAK94321 MIFYMLQNKQSINLNLGSLDMPHFMTFLQEFTTVFNKFNAQQDDIAYSFIINCIFQRDNY VFAQLCSDQQSKNKAL >CAK94322 pep:novel supercontig:GCA_000165425.1:CT868677:4435:7447:1 gene:GSPATT00026646001 transcript:CAK94322 MKQDDLHSPSLCGYLNFFHILGEMESQNLISLQEKKIVKQKLTVKDPALLVFLSKQHDDN QMKEMLLSYISQLQMPISKSQTQELSPSKKYEGQILVMHNFILDSNCKNDRLVNQIVEQY VPKLKLTGIQNFTNQNQMNEEFRDKIQKLRRLLYQIDQQEEIDITINQQLQQIYQLIKQR YSRYMNSLLLLMDEKVEPKDIQEFLKTFLNQLIGCTSYGYIIIKEEVVSLHRDEKYEQLD LDEQCQRELQNLTNITEIQQLESLRKYFRNGEYILKLSQTQYFTMQLDQSFTQFVMLASK YKYLDSIIDLAQFVIYTEQQIKVQYFSILSIGDTVLEIGLEIVRCSKYLLIEQILSIING QYQIQQINEYQPSVVTFKFKDSQSQYLFSTNMDLKKPQDLIIYNQVNQLYQRYQQFIKQC YERMSFYKYFLRSKSLFMIDFDKQGRLRFLSKALPQKIKQQFNIDKTQIDQTYKQIFNSN QSMIQTIETYISNSKWKLNQQEDESNKIFEIFIRKEEKQFKGFTLIVSENDWVRRKAQPP NSGSLVKQQLLQTETMDYIKKLEEFNPDIRNSVVAMYMPQTQEFNSVQQQSQKTPTLVFR KIKKDQVVGKNSFLFKQREEEKKSMKVNPNKFNESHLSIKEQDIILDSLDFNIHQINNMI EKQRLVWSILARGNFIQMFGIPSEKLVTFLNELETQYNMNNNPYHNYDHGIAVMQSVNCF VKQLLQHLGEHLFNNITRFCLLLSGLCHDVAHTGKTNAYEANSLSSLAIRYHDRVVLEQH HAATTVKILRDSSTNILCNFSDQDFRTFRKQLISNILATDMQEHFKMLKEFESRIEQYGN DPEDLSLLCGMITHTADFNGTARKWPQSQLWSEKINQEYRAQYEDEGKKGYPQQPFMKDL DKLHVMSKNEMGFIKVIVRPLYHQMNIFGKGAFQICVNNLDETILEWDKLYQKGIQELEQ QEQQQKQESK >CAK94323 pep:novel supercontig:GCA_000165425.1:CT868677:8492:9240:-1 gene:GSPATT00026647001 transcript:CAK94323 MTDDFFNLDFEDNCYQSCQYQFTSSRDSGMSSDDTHQKNVQIKKKNIAKKYEPIYENNIV YRYEDNPEQYKKIRKKLQNRESANRVRGRQKNYVQDMEQELIDMKQENQHLQMLNAKLQA ENVVLKQQVEFMQNLIMQSQPHQSDNTLQRDTTKNSHLATLTAFSVILGFAFLNGGELES KESIMGAKELTNDPIISAPITVTHSIKPFLFGSAIALWLVYALYVVYKVFLKSKLKKKKV I >CAK94324 pep:novel supercontig:GCA_000165425.1:CT868677:9338:10492:1 gene:GSPATT00026648001 transcript:CAK94324 MENNLIWLEKYRPKTLDEVHGNSDIVDKLRAIAKMGNLPNIILVGPPGIGKTSSVLCLAR QILGDSIKESVLELNASDDRGIETVREQIKGFAQKKVNLQEGQHKIVILDEADSLTEGAQ QALRMIISDYSTSTRFVLSCNDSTKLIDAIQSRCCILRFNRLGEKEIRDRLLEIISQESV TYTKDGLDALTFTAEGDMRQAINNLQATFTGFGLVNRENVFKVCDVPNVDDLKKILDHML KGEFQPAQSLMKSIFDNGYMAYDITNTFNKVIQNHNGDRDLQFEFLRQIAFLKARILEGI ADVLIDVWIHCQMLQYIQKLSQQMIIQMLNSNYLISYQQKFGCQSCNNSKSLKTSKQVNN PSIQNSQSCSIIQSILSSFALHKC >CAK94325 pep:novel supercontig:GCA_000165425.1:CT868677:10527:11713:1 gene:GSPATT00026649001 transcript:CAK94325 MEMANKPVAPTKQLTHQQTPNASEYKIQFKVGGGKQMQKEDANQFNSQAAPFIPMSPQQR HENAQILQSPQNRQPYLLSPQHQSPQLQFQSPNTKDLKPQQFFSQMQTNQQPKQIAQQSS HVSPTLMSQNSQKVVNYTNTFALQEELKCLQQQSIKMTQEIQKLESEAERVQSVQLIKEL EDKIKLLNQMNKQLLLDNTELMKVPNLLALRDLIVKYQNDRKVAYNQLAVLKNEIQNYRM RCEELEGKIKSDNSQELGDLVQELENKVQTLILENDRINLQLKNGSNLASSIQKQKHEND LVKEKLIKSRKEEEALKQSCQKDEIKLKLYEECNDKLKLLQDENKRLQQILNDSELNQND LNSLQEKIQVIRSDNDRMMRQLKNKQ >CAK94326 pep:novel supercontig:GCA_000165425.1:CT868677:12081:13346:1 gene:GSPATT00026650001 transcript:CAK94326 MEQERKRSWQNGWNTMPGIKKGQDYSKQQRYPDFIIPHFATQSGKNSNYYYAIYRILIKL REALNIKQKVELLEEKLRRYFQYWLEICSRQLEQQIINGAETIYDKVILVFEGIDNFREI LDMHREANVNFWLPKYFPTNIKVIVTAERQSSSMRLLKPDCQVIPIVSDKAYMKQMVNNH LGKNLFIQEFDHILDIFIQLNYKVRNQPLFVRSYFSVFVPYPTDGVVEENEIDISFIQKI LNSLTLDHFKKMKVVEDLFQFQLEIFSKAELLDKEKFKNVLLVLALTQKGLTYQEIESVC DITQQEWKRFLVFFKVYIMKHRDLWLIHNEIFKKVVINQYYTDVHSILELHDKIAASIDK ITPNSIRKLEEQNISIIQCKELLRPKRSYKYNRKLLTLVQSFQQI >CAK94327 pep:novel supercontig:GCA_000165425.1:CT868677:13379:14611:1 gene:GSPATT00026651001 transcript:CAK94327 MDLYPVLEYNKAVEGFQIHYHPNAEDMFRIIIQISRFLKEFGDFETKNTPVFRHPPIIGM LNDLYDIGLLYEILKLDLYYDKAPELKQFDPAKHLKRVAKPLTAPVLSKQESLNVEIAQN RAEIRTHYLKQLSKPAEPTDNEEEQPQSVDQLAEEIDQKLRKTLQSKEENLSEDLLQSSE RESTDYYYKRWIWIQFPWACMSISKRCDYSTVIKQCFSSATDYMSVEDENAFTESALKIA LEAKRKKKEMYEQKHHEELRLQIIPQISVLQQKNMDEVMRKQKREQLELSSISSARFDRS TISVLPPLSTRSSQAKKISSPENFYPHLMFITSDFTDSNHLNEESKTIKLTQSSVPNDGK QTMQLLDDMKSKKERIKQFSTSSVQHLSYKVTEIFPIIKGSIQNHSNSNN >CAK94328 pep:novel supercontig:GCA_000165425.1:CT868677:14639:15891:1 gene:GSPATT00026652001 transcript:CAK94328 MKGKLDQISYENLQLAKTYKLLKIMDFNKGYLVREMNSLDGLKQAHEVLKKQTDIAEKDL KRSYKMKTRVKKILKICRDNKQQNEEYIRNLNYLTRNFIKLIKFEEQEIKKSQLNIKFAK RQFDEFLKVYREKRNHQRNLIFQIKDSLQQKKYLDKLFQDGDKKIADSANASIKKLRKKL AKKEDDSKNKKNKEAQEKEEKHLSEKLQLLTASYDKIKGGVFDIKIENYNQTNQFIDFMA QKDRKSEYEIKLSERMQKYTEVKEQEKKLNEQLNTYQNAYLSLNIPQQQETKTEDPNNDK IQSTNLQKQEQYLKKLMLLQAKLASSLEQINDKCKIKKDDSIIEELKKRQQLIIKNEGEA SYNNLIKEKYDIDSLLKKSAPFYFPKRYTVHGSRQPSEE >CAK94329 pep:novel supercontig:GCA_000165425.1:CT868677:16750:17213:-1 gene:GSPATT00026653001 transcript:CAK94329 MGCCQDRINVTLKANEVKPLAKAPIIFHPHKGQSMEPMKISIIGDMDKMDIFDDFPSAMP SITQIDQKTTSKLILSREFKETKELKSTSISETVIIDLRSASCLQSPLKPITERRSSKNL NQKFIGML >CAK94330 pep:novel supercontig:GCA_000165425.1:CT868677:17767:18904:1 gene:GSPATT00026654001 transcript:CAK94330 MSTNMFNVLNISDDEDSKTQQAQQKTQQQQAKKNKQKREQEQLRKKALNIKIQHQNKRVL TADPHPKDRHSGTGIGKELRKEGGGRRNWGNYKDDLKQEKYQGAIEGKEAQQEQNTEQQA EEQQPQENVPQPPAEKTLADYYQARGANVEDILKKQEQKPQPVVKIDEEALKKEKLQVMR TREDEKREKEQKAAKKSSGQQQGYRSEMEYRRIIIFSDLQMIQKEMTEMTEMIEMIEMTE MTEMKGKIRITKDKRNNNNQIMEFNSMIRISHHYEVIIPNTQKSEKFGIYSTYINYNFTL SSLVIRLHLIYQRQAIQGSFLTYCVQQLLVSQYFMLI >CAK94331 pep:novel supercontig:GCA_000165425.1:CT868677:18910:19421:-1 gene:GSPATT00026655001 transcript:CAK94331 MSDLCQNCKHRQLKSKLTGKKFSMHILNQIRQLRSPRNIETPFINVIDYLQRIHRKKEDD QYEEQDSEKLQQYSHIPTHISKQKTDAQVEDATTTTSRQIQFPVSMFISRGASATKVKSS AFYKMRKTSQSSRDNKRKRNIEIGPMFNQTINCEELQFKNG >CAK94332 pep:novel supercontig:GCA_000165425.1:CT868677:19452:23651:-1 gene:GSPATT00026656001 transcript:CAK94332 MGNSLIDHKGRLNQMKSFETHNTEQDIGVRQKRLSDNKLFKTMHYKMHHQNLIVKVHLIL KQLTHFELYTHYQNFQYLIEKLEPYPNLMAFRGLINFGKLEKPSPQEMQNECNKDYLAVS RQIDCKSNYKLPKCLLNFYTLQLLSAVKTLHQQNLYHGHIRSSNILLTSLDYLVLTDFAS YKPYYSNKLEIIRNVYESSIQKCTLAPEKYAETQDIQYIPNLTKQQINDLQKMDMFSVGC VIYEIYTGENLFTFNQLLQYLKPDQEPLISVEDLVGDLICNLIEKDPNKRFTAEQAFNQF QDQICADEIFELLQKVCYAINFKGEFMHPDIRIQLFRQIISLTQSKQWNYVMPIPLQFVP LDAFNEIEVESISPNKRTKIQFNTPPSSEILAGQIENCENLIAKDQNNNQNSEDQLKSKG NLRGSPNVSELICWKSKQFDRKSLLESVKTNNNQRQNEYIIFILWIFNSMRNCRFLQTRL CGLELVEYLLGFIDDSSMYKLIIPNLGQFSEDYEGQTQYYAFSILLDLLKRLNYPHNCQT EALIFKLYIWEQIKTRMQDMNQNPMLALKIGDIAEVLHIFNRAEEKNLFIQIIQKKLQDE NQEQCILLIQNIKNVLQYYDQFQTIEIMKLLIAQLNKIHLKIPLLEVGFDLLQYYAQTDM KKTLLTCLQLNTRHELALFRTLRIITKASENQLLELDKIKQFIKEISPLVLHHNRWVREE AQKFIIIQLRNFNSLENYLHLLPYIKKYLKQDVPHLNEEVFSFLVQKPASQSLFMSKNQE FSNFQPSSEREQYNYNNYQQEYILKSKPNQEISAQKQNNKQVLPITMIKRRFDEFFDAAC RIKLLKQGSFHIFQDFIANERALEDQSNFSNGNFGIYLKKFEQYENFKKKHLVQQSFKSS TQCINYLNFHIIFNSFIQKSYQLHKPELKFQRSIQPKIVRQFKIRNQLVTTISEHDDIVT SLQKHSDQRRFISGSHDGTIRFFDMNKIQEDFTSGSILQIPIKNEQRQIEKVTALRFLEG TESFIVGTNSGQVSQYRADLRVTTFPQADSGIRRIVDYDNAFCYVTEKGSLVLEDIRSRS SQYLGVNKKCGLATSLIHDNYKTLLSTLNGYLILYDNRCMMLMNIYQLVSKNDQPLPIFN MCEMNRNSLLEGINSNSDKLVGIGYQSLHNEVAFFDIKMNRNSINPSLFLHCSQNKNVQM EMPKLKSVLNVEQIVNEVNRIATYTQSNDQTIQKFNLNFSSLFVKEQLLQNMWKNYLDCS FQDTTNVTSMLCLSGRGYNQKLENTIITASKDRSVQSWTLNSDVNNSELEFQTNVICSPY NSNRKYKQYMKGDVLIIEDHEIRNAPNISHFIEHQDSITDMIYLDSENSYLLTASKDNTI KIWK >CAK94333 pep:novel supercontig:GCA_000165425.1:CT868677:23722:25998:-1 gene:GSPATT00026657001 transcript:CAK94333 MYSPNYTTKRRNFHNHSNSYDNQQHNKPIKPTTHFYSEYSPSHGNINVFLSHYQLIIVLS KYLEEDDKFVANPLKSILLDCQEFTLHNKFECCITLKQKEKLFRQVINATQQSLFRDKHL LVRKLCLLHSYFNQEYQSVIDDFLQQPRVQLKYYHQAFRFLADFLDQFEEGINLYKKYLR ENPDSIEGYDCLCDLIPSLQVELWNQFIIDNPNNRTGYLRLLNIQNEASVLKLFIKNNPN DLYGFEQLCLLDRDENQFDQYLLKFPDSLEAHNKKCQIAFQLKGKFNPHILSGYLDSLDI KEICKIIYLDIDNCNFQLIFVDKSQNQLIKGYDLEKLKYLLSSYICNQPIVEKLNLLNTI EQKKKLIVQNEIFNEIMNIIIPKIYDINQMEQLILQNPNDIKFYIDKANYYYKLNQLDLA LKVFDDYINLYPCQFKGYDEKAQFMKYKCKPIQIKQHWEKFIQACPNEEQNILLRKAEIL TTVLKQHDKAISLLDQHIKFYHGDENGYLAKIKLLHNQGRQKELLVTIDEYFQLNPQNEE IQLLKVQMLVKLYSNDIALQFIDSLPYQHSLKLVEMKAKILGEKKKEDGLKVLEEYQKCN SNCSKANKLRIQFIRDFFGNSYIQCLRELIKNDPDSIKGYKLFYKLTLDEHTLYDEARDW IDFYIETHPDQKENHKILLNMLTKMRCDNLNQG >CAK94334 pep:novel supercontig:GCA_000165425.1:CT868677:26070:27434:-1 gene:GSPATT00026658001 transcript:CAK94334 MSRNNSEEENIIQFDDDDNQQEKNHKISDQQQMKIRKDFQDEEIIHEPGMQQINQQEQDL NNLRLNRPKTSAQQMKIQESQLQQQEQQNQFQQIQYKNSNNFQHQSEESSNNSEQDQHNQ SLVNFQSEMGEQVEQEDINQQVQPNPLQLQRIEDNDQQSQFHESQQSQSNIQPPLSSSNQ SQVQVNPFPPKLDYQYVREQMESQDKGKAFLTSPLIQDKTLQCSIKRDKSGLARLYPVYH VYTSEGDVYLFSAKKVVMNTTSNYVISMDKREFSTRKSCFLGKVRSNFLGTEFILWDEGK NLKKCKDTSLFRTQLGIVYYESHIMQNKGPRKMKVLIPRVGDRNIPKVFQPLHNNEGIQY DYQNNKREFIEEFINKPPRWDAKMKAHVLNFFGRVDKPSVKNFQLVKPNNHQFIHLQFGR VDDLLFNLDFCYPLSPLQAFQICVTSFDFKFACE >CAK94335 pep:novel supercontig:GCA_000165425.1:CT868677:28835:29810:-1 gene:GSPATT00026659001 transcript:CAK94335 MELDQLLQEIGPHIGDLMVDPYANYMFGSLSQSCAPHQRLYILQTISNRLVDIACDKKGT HAIQSLVSLISSKQEEEMVENSIKNHIIFLTLDSQGTHLIKKIIARFSEDRLNLIFCKLL ERFIQVVNHQFGLCVLKDLITKLKNNQEKCHFILIKMRDQLDDIVQDPFGNYGVQHVIDV YGDQKCTPIIDKILQKLVQLSIHKYSSNVVGEMHSRNLCKNIKTIHQTIVTRWHLFGIDE KQIWNLCSTESSLRS >CAK94336 pep:novel supercontig:GCA_000165425.1:CT868677:29919:30931:-1 gene:GSPATT00026660001 transcript:CAK94336 MTEDIYPLFGWDDPVPNEFKEIFSKCANESDSRKCSSTLEESLIVDEDYEIIGRSQNENS ICPLCYQTVQLGHTQLYSNLLSQYFDVHTQCALTCKHKTAQLALLTDSIQHAQIMERVPV DYDLLDLNVKVFVEFRIFHTVAQQPYLNLTHSTTLKQKKNTSILIDYANLREYFGKRQKH LVPLLQGRIQIDVHIMDSNLMQEAKNVHTYEFYYNASKVTSDYICIGV >CAK94337 pep:novel supercontig:GCA_000165425.1:CT868677:31430:33571:1 gene:GSPATT00026661001 transcript:CAK94337 MSQEQELLAKMKSLEEDIQNRHRQIVSLTDKVEELQRSIQNNSEVQNNFTFQQVVELNEK VRMLESQNIKLIEKNQNDVVEWRSKERDFNNQIQSLQRKLGEAESELNETKELNKQLITK SSESSDQQSQLQQITSHFEHLLSEKDSHIKERDLKITEQDLIINNLQKELSLASEREQTS RTIQEQLNEQLKILEKRHSEFTQQSEKLAILTNELNTINQLKEELHNENTSLKSQLSEWA SKNSELQVKVKEFEDQTTREQTQIQNLQVALKDSQKEYKEEMTKIMQILEKMKEKHAHEQ EQYKQRMLERDDILKEAKKQFEQTTKQFEKENNILITQIQTSAKTQSDLQKKISDLEFKL RELEFKLQESNRQYIKQLEHSKALDIELKKAIQTQQKLQEQMIIKDEEMQKTKQFQLKLL QENQDLKTKLDHYLQVNTKSKSNELQNLKNQLLEKDQELELHRQQQKSLATQLKTSQGYV TRFKARVKQLEMDNTELLKAKNNLETLFQEILEQTKQKQNIKNLSAISNNPTKYQMSLLS QRKNYMQENTSTHSQENRSSSQKSNNTTPNKVANSGEVSFKRPIQVSGHKKSTHSGSQEN ISIKQQNELNEIKELDESQQQQTKGQPQDNSSQEIKQADSKEDTQKLIETKEHHEEQILN EQENNNEEVEDFLEDQNDLD >CAK94338 pep:novel supercontig:GCA_000165425.1:CT868677:33668:35822:1 gene:GSPATT00026662001 transcript:CAK94338 MFENDSTFKCLKHNSPFTIVVTEQNFRSEDRLQCANCWNSNQTGLHTIPFLTFVERIKEQ QNSFCQNLNMIILKNLSIIDQLKVSINSIKTQLNQKLTNCEEKISLWNAQLIELGDKNSK YSIHSEVDRFIQNESKMDYLLELTRTIKELNYDYSNKIQLQLNHLMDSTNYLNCQQSLLD VNQMDSVDIIESCPLIQSNIQNDARKKPFCQVHNQEIIYVDSDKDVDIELRTSCLKCNRR NGDNLDDFLRKWEHYSQEGKRQLRDQFQKLKFQVKRQNNELISIRTEICQIIDQKIKQNN EMLKTSYDKQFQSIQEFNYQLNHQRLKESALKLSKQESVVNCVQNQNEDYCILTSKINHI LHKLDQAQKMTLQDFKAIKLEEISSDPQNNNSKEDADQSCFAVAFNKSDQIMISGSGSSI KAWLFNEGQLVEIEKFEAHDDNISCLVFSQHSNSFISGSMDFTIKIWKEKSQFKWESSQA YLEHTDFISCLILSQNEDFLFSGSYDRTIRIWKLDFGLKSLQQVQCLEKHTNLVFGLSIN SSQSQLVSCGQDQQILLWEKGNGNQWNFKQKVQQSIQDFGFRIQFISDTQFVWSSKGIKG CLCFFELENGLFIEKQEKQINLGEDDCEDKDFFPIYYNTQRNVVAIKHKRSIYTFKKEQD NNITLLTNIQIFENPEFYGQLTNDGNYMVAISKVQNKNVSQFIIKKLHYY >CAK94339 pep:novel supercontig:GCA_000165425.1:CT868677:36749:37439:-1 gene:GSPATT00026663001 transcript:CAK94339 MATTMFKQNEKNFKNKVEEFNNLYKQYALTQSKQLVFEKMKLVFVQAEHQFKFMEIEFGS FTEMHQKDYVQSMKANKLTYVECQKKLRDLQFDLEKLPFSNSSSNSKKLNPSPIGGLNSD LQVQTKQLETLDSRLLEAEDTQLTILDNVRNQKEKLLKAIEDTKEIQMGVQMTQRHATMI KNRQSYNKGILLSLICILTIGNILILYYKFIS >CAK94340 pep:novel supercontig:GCA_000165425.1:CT868677:37574:37960:1 gene:GSPATT00026664001 transcript:CAK94340 MDQLDQKQLEQLKQQQAQKEQMEEIKKSILTQILTPEAKQRLANIKLVKPEKAELIEVQL CQWAKQGKITNQLSEEELIKLLEAAEGQKKQDTKVTFKRRKLSSDDEDDI >CAK94341 pep:novel supercontig:GCA_000165425.1:CT868677:37999:38944:-1 gene:GSPATT00026665001 transcript:CAK94341 MNYPETKQYRRLTFWKCMAVTTFSYAVSKTATAPLERVKLILQVSPILKCEDKIDRTWSG IKQIYKTQGLYSFWRGNGLNVIKTIPNAAIRFTVYDKFKQYVSEYRQKENYTRQVTNRLV AGFCTGLLNQLIHYPLEVLRVKLTVDMSHFNKARLYNGIFDCLKKTLKTQGFSALYQGFI LSSCGTVPYLGISFLVHDQLKDLVPIENKSEQIWMQLAQFIGIGSISTITATALTYPIDT IRRRIQINGSLGAQRAYVSFSDCVKKMRKEGLLSYYRGFWITLVRVVPAATIQFGCFDYL RELST >CAK94342 pep:novel supercontig:GCA_000165425.1:CT868677:38998:40722:-1 gene:GSPATT00026666001 transcript:CAK94342 MKKSIIRPVNQLYSPSISHYRSQTSGSGVFDQSRILEETSEKFQRSWMMLESVEEMKSLR YALKQTATEPNLHPECQLGGTLVSGKVYKVTPMEFYYCNIPTKGQKSPLNCTVYCDGDFQ IMISFNSPFPTKFNCDQVIRNRTWTVRHNGEEKLNLAFVARKQTDIKFMIYYGQTDSFKK LIRISSNHERVQMSDEIPRMPQFIVNQNKIQAVKKVNKYEVEVSRSDRFLQVLKTRNLQK KAIIEANQQKNLDFQAKEWAHDEYKLITLTLRNRERRREQTFLWGELLHFLKLVDNLNSI LQQRRRQLKKNKMMVISIQLRMRKFREDYKLNREDLGHRTVGQTMMTLTMFCKQSKRRII HNSLALVLPLLKWRASLYMFKKKALITSGKLQLIRVNLNQFVKNVREYKAKMIQKWDQYT MKIHQYQSMHKADKRFLTWVKFLYEKGYQLYFQNVFITLLMRERYRSHIKEQREILKYRQ EMKSAKLQLKFARETVDILTLRQRIFKLSNDIYAMQLKNQFFIHSDVERFVSSIIEQSSF IFMDEDNNQMGQEKSSRLRVSLKRQKTLRISRKS >CAK94343 pep:novel supercontig:GCA_000165425.1:CT868677:41519:42697:1 gene:GSPATT00026667001 transcript:CAK94343 MFKQQILESSIFQTIHHHLEEQLSVKSNKFIKLETEIEMIDMSLKQLQEQQSELLIKCLK QWIISEKYFKVIKVLDNVKHDNSRLLELLKLYDVFIIHQSENSVQFLYSNKFSQVIQKKE DFFVNQSQITYIFKFSSLGNINQQPDLFVNVMKLINCVYPLSTLTFKWIPYKHLNLLLDS QSQSYDINEVIMKLLDLFDIIQWKQIDYLELLSTVIPESDMLMYRSPQEFFDCALGKQNV YELYLDGKCVSFAKGQFQFNCICNQEFKQFLVLGKTRSRLLNVKDQMRIAELISNHCKSS RSMMVFVESEDETFDLEQFEQVCHQNPKLFRSQHFQVESQQNAILIDKITMLYLSMQDDL SNMFSQLSTEQHEVIYNSFRSALLNKFVIDKL >CAK94344 pep:novel supercontig:GCA_000165425.1:CT868677:42737:43424:1 gene:GSPATT00026668001 transcript:CAK94344 MQSSGQKSQIIKRTTFLNSSSAFSTNSRTNSIVQDNNQKKELRRYPTEWAEQIDNKSDNR FHHTIINKSKDEHNPSLASPSKIFGIQEGNNPSRFHTTNEDLLSSFRKKNQSLGNLGSWQ FTSPQPQSSLISKSNTSKTISRRVDLAVPLSELLEISRQLDCSQQEEIRQLSRGYVNQLF QLSQTIERVLRNIKR >CAK94345 pep:novel supercontig:GCA_000165425.1:CT868677:43425:44445:-1 gene:GSPATT00026669001 transcript:CAK94345 MFDGYCRQIMQPKRVAYNKSDLECGYPRQDFQLQGLQCSLYKCNKYTQSCVLYLHGYNGS RLEAVQYASFVCKSDFDFCSFDFQAAGQSEGDFVTFGLKEEENVALVIRHLKEKYFHIIL WGRSMGATTALMYTQKNQNIKCLVLDSPFLVLEDVVINLIKLKLHTPDIINKGLYELIRR SIAKLFQFQISKVQLPLHLNITCPMILLASKQDHLIPQYHFDSIYKGYVGNKRIVALQNN HNEQRSTDIIKTIIGFCQSMTPQSRFSPTKYPDRLLGDLDEQKYIATGIKIKQKIMRNQS ASTHTKNSAQIQKDKALMQLSILK >CAK94346 pep:novel supercontig:GCA_000165425.1:CT868677:45104:48343:1 gene:GSPATT00026670001 transcript:CAK94346 MKRVKKIRMHTLTDERLSPLKFPSIQSINKTIQGEDGTVFEMQQLMSRANMCTSNKTVRT LQKITNEQSERKDLQLLQQWTDSMVQQIQQQNFYSITEFYDKIELIYSGSIGQLCQQLSV KCNDYSQLIDKIWTQFTGIVKEIIEKQSRTNRKLEKESLTNTIKIHERYQTQMSEKLQRL NEAEKQLKRNAEYAEKMNKENRYLRKKTCKLSTELSSHNNDIELLKLQLQDLSKENETLK LFQQVRHQNDHFTADFEEELYKAKKEIFDDFKLVFEEQTKKFEEAYLNKIQDLERGSDDK AQKDENLIEEYEEILFKDKCVGNTVEFSEIQVDTSDLVLKAEMFTQTVNQKRRTYDCANQ TMPIPSNSQACEANYALIKRECIPRNQQEQTYLEMSKYPIKTFTDDYYQLYIERVEVEKK TFSSVLESILDQLKSRSLQIFSIMQMKEELFQEDFREADKYFQNSYSVFLFLVNDFQDII KKLKEELITRKIQIFETQIDCKQSNRQKIQTQKRFDLLASKYQQQVKNFNFISKQLKAVS RFLPQYQQDQIRRKAIRHKINFEFPKGISPTPLLISTNNLNPPIPQNFNISSASLLPPQQ QVQPALSINPKNSLGYLPPMTPLEPFQDSSIPQRTSMAELSCDSPDGPEAFSPSKPIIAL NFFPDNKFEDSQSSSDEEVDLSECLNPVKNLLSIEKKLFVSRCGSKNTQLATQTLLQEIQ QFRRDKIENIVTQHTLIKILANFVQWCLKFNKFTYPLHVQLYEYFSSENQSQPQNVWLGK VARVIKSLIYYKRRSDTARLFHSMLIGDLSFQIYLQILSNLQSATFTETGIQVLQSQLAE YIKGMQKQPQYVDYDAEISHHLGPMQDIKIQELLLKYSTILQIFAEDGERLTQNQFRLLL LELENKREEQQIISMFNNECDIEQQQVQYISFQRFAIICEELQLLQGLDEYLTRNDVQYF KDMDLWRVREVELKLMLIRSHNYDASERDLFMRMYKLHQPQQRIILGRYLERRAKELLLQ RYTFECLAPFMQLFEQQ >CAK94347 pep:novel supercontig:GCA_000165425.1:CT868677:48450:48902:1 gene:GSPATT00026671001 transcript:CAK94347 MMFKQTKVNDGFKLPRIEKPSAYIIQTNRDSLDFLLGKNRNPFDSNKVPQLRQEPKQITE MPTNRFARCGSQLLDPSSQVFKPVQQTQRKISQMDCKYDIQNFFTLEPQLEAKKPIVINK RNRVPKHYRLQTFSDWVKKLYGQDWFNDQI >CAK94348 pep:novel supercontig:GCA_000165425.1:CT868677:48961:50382:-1 gene:GSPATT00026672001 transcript:CAK94348 MDYDLKYEIVRTAPKIKLGCPFFNLQNAEREDQVYVQNVERLGDPIKLLLFIDEQDKFEM MAHQLIITRWGQFLGKRINQFGFHHLYRVYKQIGRGNFASVYYAQRVDDGQNMAIKAFSK KIAFAEENGKEALINEINLMRQMNHVNIIKLYEVNETTNSLYVCLELLEGGSLHDYVRKK IQFKNKEIQTIFKGLLEGLRHIHAKDIMHRDIKLENILFKQQNALDTVCLADFGLATYVH EKVYLYTRCGTPGYVAPEVINITDLSTKYDKVCDIYSLGLVFHLILTGRFAFPSRSYTTL VHQNQEAKINWNSPIFESIPKTAYDLLRRMLEVDPKKRITAEEALQHQYFESSNEELFED EFFDIIDSCQQDQQLQKIDDLKVDLNMMRINQLASTPIKSPNFRAKLIPSMKEKTYSQKQ IEMKSSVITGRIHNKDGILLQQIARRTIIKERGVSI >CAK94349 pep:novel supercontig:GCA_000165425.1:CT868677:51032:52765:-1 gene:GSPATT00026673001 transcript:CAK94349 MNNQIHSFFDKKDHQSFKIWNKFCKTQYKNDSIEYAGQCCRVSKTNKQLKSFSIQIGHET LYAFKNNLPLGMLQLTVVVLLFKKYDDKEILRLKKNGQYVDIYHDKHKQLREILISKCIL TTFHNDYKVIKMIGKGTFASVYLAVRNFNGVQHAVKAFSKQYINQQFRGREGLENEIRVM RRLNEKSILHLHEVHETQHSIYFILDLLEGGELFNRFQTTIYSAQRIQKLMHNMLKALFH MHSKQCMHRDLKPENLLLKSKDNDTDIVIADLGLAHIMDQQPLYKRCGTPGFVAPEILKY NDQSPFYNEKCDIFSAGVIFYFMVTGFHPFSGQNYKEILKSNQECKINFDHKSLKKGPYN LRKLLKKMLKADPANRYTADECLKHQYFQQIFNQEDLTDKVVLEQDTEFQTPLQIQKSED NQEGSMQLMTRQSQWNGETQTVGSLSNCSNKSSANSPNKSDRQQSNPSKFSQFCTYMKQE GLLFNNPNSQKNKQNHDLHKQALRNSYYQKQKSQDDEYAIEDEQINIIKQLSQLNAQKPK MGFIKKSQSLDVD >CAK94350 pep:novel supercontig:GCA_000165425.1:CT868677:53908:54162:-1 gene:GSPATT00026674001 transcript:CAK94350 MQYPQLHLLPHPPPRQIKTDIDSEADRYLQPQNIKIDRRCSHSIRRNQCEYNFNRSALNY SFQKNKKDQACQTYFLDEFLSVEI >CAK94351 pep:novel supercontig:GCA_000165425.1:CT868677:54677:56011:-1 gene:GSPATT00026675001 transcript:CAK94351 MADLGIAIERMEQLKESIDIGYLCICAAFVLLMQVGFAFLEGGCLRYKDMQSIMLKIFMN TCVTIVIWWLVGYAIAFGNDSKEFVGSSVYIGFDMKNSKHLAEFAFQTAVASAATSIVSG GAAGRMTYIGYFILSVVFSSVIYPFCAHWGFGNGWLMKLGYHDYAGSGTIHASAGMGALM TTMLLKPRNQRFNPKYSEHFEPNNPIYITLACLSLWVCWCFFNGGSSLGIANGASVFMSR AIMNTFIAGASGALTVFFIFYLMNIDQGNKYSLVMICNGNLAGLVAITGPCDNVDAWAAF VIGILGGMMMVFANRVLFNLQIDDPVDAISIHFACGMLGANLVSWFDMDTGIVYGKGGYQ FAVQMLGSFIYIGWSAIIHLITLLFIEKIGYLRVSTEEEHEGLDPATMGCCAYEMVELQQ >CAK94352 pep:novel supercontig:GCA_000165425.1:CT868677:56046:57460:-1 gene:GSPATT00026676001 transcript:CAK94352 MNTIYIDTKFLYSMVPYSFEWQNQIIKKIKKLVKMKLTQKSAFLLINSRMIVKEAIIKKW RYRIAKNNEINTNQLKQSSISCQLIIMQGLLQPSQQTLLVRSPTEGSMQSYSRYESKGKK RIVYKNNKGEIIDPHDKLAKSQHSRPSQPTHSVKSRTTTPTPSDSVKTQNTSILDLVPID DPIWLELIPTEIQQDPNFNLERLIMDNQEYFVNLLGLYMQERQQNRIQEIKVSLPQKKQT TYDQVYKKPNAIDHSNFNIKMYDQLPMAQSLQLQTQYETSFRTPKITPQDNFKPSYIKDN AEMLTLTSYKQNYVNWKTCHTERMGPQRGQSTGTLPFIGKTSYQENYRANNWEPTESAKK KSLGPFASGSSMIFKEALSKIHYPNYQIEEAPPRQENSNHHQGNRSYDGQFKTTFKNSFV YKVPEPILQDRYWKQKLIQKILEKKQN >CAK94353 pep:novel supercontig:GCA_000165425.1:CT868677:58129:59468:1 gene:GSPATT00026677001 transcript:CAK94353 MNQQKQFKFILQYLEFSSKSDQQQYELTISLKRSTAVQQVIAKLSIKNPERTMTICRLPI DQVVEFQQRMVKKDGIYADSNIKFLFYLAGNQLLGDCVINLAYFLDGGAPQDQKQKKPLT STTDKRAALLFKVIKDSMIDTLDYPIADVPAPVIGHTPQTARTSSTRKIESRTTRARSQS PPKEFKSNIMVSKANEQQVQPTISQSQFQQQTQQDNLTQKFVQLGEKFQQQKQQQITLPP QQPPPQEYDNFKEITDLLSQQEAELEKQIEQLDIHIKSDGKFQKIFDDSTFNNFDVSSQD SEIQQWKQKCAELEDKFNKVQDENQHLTIIIRQQSEQLKKMNQRSQSGYKQMNDNALNQS TTSNQEQYEKTIEKKNQVIKELSNQLLELEKNANINVIDQLKNQIGRLEQQMQEKEDQWL AQERIYKDKIIQLLQNAE >CAK94354 pep:novel supercontig:GCA_000165425.1:CT868677:59474:60514:-1 gene:GSPATT00026678001 transcript:CAK94354 MQQIVDIKEIQKSPPDQFSFLLRIGNKCESTSLTNVFTGDPAIPVIGKYSRNQYHFLTVD VLIQMDLNLSEGNYYSKGSKVNLPANVLKILHDIPEQIIHNKPPPKENNKKGGKKQNKKQ GKQKQQQEQQQQQQMQQIEVEPQLVQQNENENEHEEDFKIMQFHPLQFHQSPNIKFSWII SSLSNKKILEPMKQEPCLSYVNHNWVFEVINFEHTKKLIQHLPICVVDPKGVFEYPDPYK GLSFTGLRSEYMSVHLGPQGSLCFSPNNMKMMVDMKSPLISFWTVILQEDGMHQVNLHWV ILHELFSEMSHVIAKENQTIQQLLINYGEFNGEYKAECEQINKVFG >CAK94355 pep:novel supercontig:GCA_000165425.1:CT868677:60544:61280:-1 gene:GSPATT00026679001 transcript:CAK94355 MQEDYTYQILKKCNGKVIIQIDERLLDVINTHFTIKPQQQQREVPYSVKKQHNFQRRIVP FFMNQFMHWAEEMGYKQVDGYLRAIHKKKTSKQQKFELGDLKKLFGAINPRTKIIQMETQ TKWIEFLGTQAEICVLVNNKIKDQSTKQMYIQAIEHLKAELKKEQPYDKFLSLPKKEESN DSLMEESKEQSIKEEYSSGEFDEQAPTYKEDPYSYLSCAYSNYE >CAK94356 pep:novel supercontig:GCA_000165425.1:CT868677:61391:63022:-1 gene:GSPATT00026680001 transcript:CAK94356 MAKRSKSIERTIKEAEQYLSRFPNSIEHQRQNKINQKHSDQVPQKQGRMIQLQASKKEIK QDKQNVKSLQTTQKTLKEFFKNTNPRDDNIQSKVLDNHKLLKVAKDQQEQQEQVDVSQIL GGDQQSIKVIIQNDKNKEQQQDANNKKASQDWWKSIFYQGNRQGQSSIKSNSSTSFLKPR TVNQNINTQQKEKQKQEEPNKKIKQQEAIVTSNSAQGKKTLLSLTDLFVTQKSKMNSITK YPKSNEELNSIQVKDHNMNNKDEYIKKDASPQVTGNKEDSKLLLQIQNKEDGQSEKEHAK LQSKMPNEQKVIQSQSIMQSQVPECEFGMVDCVFVVDTTGSMDPYLERTTEAVEMIVNKI KQQSLEEQVSVKFGLVCYRDHPPQELTYVTEVHNLCSRSEIISIIKQADCYGGGDGAEAA LDGLNEAVKKISWRDSSTLPSLRYIFHICDQPPHGKEFGGYSELWDEGCPCGLKPEEVVN RINRNEIHYRLIMANGPMLQRFAQYLRGKIHNYDETTLDVAIGMEIRISDMVIRELCPYT QQE >CAK94357 pep:novel supercontig:GCA_000165425.1:CT868677:63045:64220:-1 gene:GSPATT00026681001 transcript:CAK94357 MNHIIQQPKTVQKQKKPSNVVSKHPEIQSNKTLDDLRNKEWSVAIQKEIDLFEQTIHEVE ALFKEASTSQHLVSLGTFKLVQDEYEFSAQKLQHLRETLAKYSDDRSKYHQNKQKLKALT NKQEKSAEDNEAISKITKDQQNFKYTIMNQRDRIQFQLGVASRVLTLIQAYIKLKDQYQN QQELQRHRDYILSKIDKIKKTEEKHTKKIERHYNRIQVEDPDAPEEIEVEEEESEEEQVG EESNTAREDLLKLCDSVVSYLQKFEKKEGNQFIQHDLTTFQYFDQIKVAAPFYLNQIDVA IDLIKSKRSFYENAPETEFTKKEPQQVAQQQQQKVDVTNEQEFPKLS >CAK94358 pep:novel supercontig:GCA_000165425.1:CT868677:64743:68902:1 gene:GSPATT00026682001 transcript:CAK94358 MFCYFFILYLIQICAYKIMENEISLYPTVGEYYEYDLFQIMYQDAYIVYEKGEQYYEQQN MNKRVYVNYEQRWMQRPKIGNVDIVNQFQEINSISGNQYKSLSSNNTHFGTLSMENEVII YEWHNQILQQIGSSVQIDTSFICFNIHLSPFFDILVDCYQDDEFKLLIVQDQQYNTVCTS QSSIPIKTKLKSMINDNNDTYIIYAQYYQDYCMLSLFSKQYSNLSQWNNNFIDFDIPIRI NPYIYILTQQQILQCTISSNQTFQLQYNFTQPNISNFLTINLYYTISTYSQCDQILLQYP SDSGEEFLITSFWGCTNQIIIKNIDNQYQFNEAIQTIVQNNQYIIFQQKQIIHLYQIGKP VVFQYNNKIETNSLLYFNFDNELFSFNEMIIAYKIQIPSLKINLTNVQVQGNNSHFSIVC FNQKKPQNQNILKINLTILPKNDTNIYVMFNQSIPQYQYAIMNNINTNFFSFSGPFLKFQ QNNDTDYFSFSSTTFTEIGSLSYYYLVSQLSIMQNISETLLYFIGYNKSQLQIFYCSKYP QQSKLSYQNLNVIQISVNATSLQTAYSIYPEILIIGLSDNQTIYLYQQQYEVKGAYSNSN QTFEQEILNFLVTQNNIVILFSNYEISIMTLDFENILDLNQEQINQLFKTKTIQFNPQQI VMNTQLQSSFLFINNIYDVIIISLQQNSKPIPIQLIQVNFAIKQINLVNQQLILSYICNN NQSICFQVWNVQNLPKYYYEKNLCEVDNGNNVTIQSDNLFFYVTFTNHTVYIYNPSLPYH MSLYFKTILSSINSIAFQNQNSLLFNQSIIFGQNTFYSLTQTQGMMISYMQSNQYNFTYP QTIYNYSVTSALNPLAIQRTPNQSITYLTNFTVIKNKTISINLTLDQQDNRNNHFSYPIN IIVDRQFNSCQLFYNISNLNSIDILQVQQLIAKGCVITEYKYTYNNLINFSQDLAHSLII TSINNQFVSLLNNTVIIILNNNLKNTSQTNINFTQCLVSTSYNYTSYSICQNETSQYLVN FTLNSEGEIGNLMYLPLPQAFSNIFKMRISKGQIFILGEFVNQNQYLYWFNQSNNSFIQL TQEFSILDDNQHNCQDFSVDQFSYNGYEQQNLILILQTIRNQLYYQIIEIKGENIIINPL IYVIIHYCNFQYSCVDLNQFNYVLIIKIIDGKVAVLVTDTYSVSYLVNILINPGKAQQSN YLAAVIRTIPNYFYHLYTGNSFYFGGVLIQQYQLKGGYVMGIYYLDGYENKSIVEPILMQ GSFGTSVSKQYAVILNQKYPSGIALCLDNPFIYPFSTQNITCNLERGLQQRIVYLGCRNA FYHGIYNITFNLQIKEHNSRGQIYALLSLIVLMLFYFIYKIISNFRSLKYLNIEVEL >CAK94359 pep:novel supercontig:GCA_000165425.1:CT868677:69668:70328:1 gene:GSPATT00026683001 transcript:CAK94359 MNLGRRNISKILIYSQQCIFEQHIHVSLHQLLDVQILLLSSINQFKHGQFQIRRLLYLPC TNHRRGISIHTLLFIWTIQSMHTAFQCVLGLALHLQQSVWVLDRHQKMCMAKLTLPLITR WLNLLVYVESLYKHYIIVMNIAWMKIRNELSYLLKFIKYYSTKNILRITTRIGNCNTYII YQWWYMSQVLVYFLVMIVICGSSF >CAK94360 pep:novel supercontig:GCA_000165425.1:CT868677:70815:72991:1 gene:GSPATT00026684001 transcript:CAK94360 MDDKTNQEGAEQLVIFEEKITTVNGQVAIKKYQRGKFLGKGGFAKCYEATNLETKRVLAA KIIVKNSLTKNRARQKLISEIKIHKSLQNTNIVQFEHVFEDHENVYILLELCSNQTLNEL IKRRKRLTEIEVQCYVGQIINALKYLHAQKVIHRDLKLGNLFLNKSMELKLGDFGLATKL EFEGEKKRTICGTPNYIAPEVLDGRVGHSFEVDIWSLGVIMYAMLIGKPPFETPDVKTTY RKIKLNQYSFPEHVLISDPAKSLITRILNLDPTQRPTLDEIMAHPFMNTGGTIPKTLPLS TLACPPSASYNKQFQPSTNTSNLKMSTNVLPQRLTETTPNNPKNVQKQTNGSSDRFPLQK PSSSGNIMDDNFGSSGLNNAQNVGYGGTQRPQSQKPNDIRNSQSQKTLSQPFGGTGMQGA QSVNNLGVKQNQAKSEVYVKKWVDYSSRYGLGYLLSNGAIGVFFNDSTKIVLDTKTFKFD YMERKGQDRQDICETYNLNTYNLDIYPQDLRKKVTLLQHFRDYLEPEPQTAASEENETKS LVYVKKWMKTRHAIMFRLSNKIVQVNFTDKTEIILSSEHKMVTYVNKNGDRSHYPLATAL DSQNTEMSKRLKYTKEILTHMLNSGQNAGETNSNSNNQRSNPSWQNP >CAK94361 pep:novel supercontig:GCA_000165425.1:CT868677:73094:75132:-1 gene:GSPATT00026685001 transcript:CAK94361 MGAQESKQEGQIQDSRYGKATLIRKYNQICAMRKVIIQDESQHDGFVKRAKVEHPNLVKI LESGIQKEQELCTSYHIMYVVSEYYSTSLHKEIANRKGRGAFWTEQELWNQLLGLVNLLM TMQEAHLVHQNIRPLTISYVNEHTLKLCDNYFQQSAFQMAQQNPENQKLFELSPKLLEAI NQNNQYPRHNMYKSDVWSLGMCMLQASLLDHCRDSFDFEGGHVDVSRKLALLRKNYSIKY EQVIVAMLSMDEESRPDFNQVMNLMKGNPDAGVSKSLENSLINSSAICMDSKPPMNLKED ILPETLNQLKEQIESRPSKIMVELEQLRIKPKIVIKKTKKKELGFYKYQEQMVIRDPSKS AIQQQKLTSYPSVDYSFNKQLVNSSLQFTNSSQQKQFTDKEKIEQLIGNGLQSYSIGPFV VPTVSSKPRISLVESQKPFYSDTTKTTQQSNPQVITIDTHPSCNSIPLSYTEIPISYVAK KDINYRQEMEQMIELNRSRCFKTEQYQDGSSYVGEIYNQLRDGFGRYQFVEGGYYEGQWK QNKMHGYGTLFYGVGQKAYEGQFENDQFSGFGTLYNKEPTKVDTPFDCSNFDLIGNYWVK YVGNFKNDLKDGQGALYLSNNECYKGEFNQDYVDGHGTFGSIIGVWSKNKLIK >CAK94362 pep:novel supercontig:GCA_000165425.1:CT868677:75733:76289:-1 gene:GSPATT00026686001 transcript:CAK94362 MYISNKLELNRFTMDANLHDGEMASKVNYLNSIILARNPIERLENQKLFSDPTILGRYKN YRGASSEIATYIKNLDPVVRAVFVVDKSPPSARFRTIRNPRAQENLKQMIDKMNNFQKDE SGKKYFNQHRRSCSPRLKLPAVQRYYRNPPKFGAYPYESRTIDIDSTLSHSVHYH >CAK94363 pep:novel supercontig:GCA_000165425.1:CT868677:76665:77213:1 gene:GSPATT00026687001 transcript:CAK94363 MDQNRYIYFRNLQDKVDEDFIGKQIQFYGTFLDPGISGIQMQIQHGQKYCSIQFRNIKES ETAQYIDPINSQVKPIKLKVKDYEINSGIEGERGSTQRFKIKEQIKISITFLETQNTFLT VLPTRYQKLISNWKQNVESQEKIDQLITLLYWEEVSSFVVINNAVRIKNIKNDQEYRSVV LF >CAK94364 pep:novel supercontig:GCA_000165425.1:CT868677:77445:78209:1 gene:GSPATT00026688001 transcript:CAK94364 MLSNLLIHKFIIHLHLSQGNSHCDYKVNYHINCILSKRTMTNRKQHCLQAKGCKALTVNI YTSKFIDEFYKNIVYFPKISYIQNDTSDNLINYQCIVINLLMSYKNNQRVKKSIPKDFYE STSKREGYNQEITFYTKNMILINKHTQMSEFNFDIYYEQNLNSKSTKFCSSYISKETKCI LEQSAILSVSQNQVCVISEDQIKQSTRTIADGHRNKNAFSNFQLNKCRDRENSKGLFQLI QLYVCMRCHFGNLC >CAK94365 pep:novel supercontig:GCA_000165425.1:CT868677:78433:78741:1 gene:GSPATT00026689001 transcript:CAK94365 MKKFLNFDLQRSSYANPVLKEFAQLSLLKKIESRKLLEVSELGQRCYSIEKKDNRWLEQI SDCFEHYKVELKRVGDMLKQAEFEMMQIEVKQLHSSKYRMNL >CAK94366 pep:novel supercontig:GCA_000165425.1:CT868677:79020:79763:1 gene:GSPATT00026690001 transcript:CAK94366 MFRSQPIVEKPKIRCETIDVVMRSKDSIPEETYSQRLKIINQRVCVVNTFNRIINVDRVG HRLQRGQLLRHLREFYSQIIVEQINRVLKVPDTLTLQMYEQLVISLQQLDRKQYLNLCFQ IYDLSGQGVITSTNLLSILSVEKNTSIIENDILTMIQLRSSLLKSQLDKSESSRLPKLRT IVINHKRTSKGKRMMISQVEDEVDQIVIHPINRLKLIDKNQFFKIWNDQIPGIIQDLFKN LNDYYYQ >CAK94367 pep:novel supercontig:GCA_000165425.1:CT868677:79857:80157:1 gene:GSPATT00026691001 transcript:CAK94367 MHSSKAQNKKSMSIGECSYFGIAGLLSMSTIQSSVFSAILAWLTFVPLAVLHLCIYDKFQ NLNNHKDTLWLAYYGLQFVWFLGIIIDFYS >CAK94368 pep:novel supercontig:GCA_000165425.1:CT868677:80196:81166:-1 gene:GSPATT00026692001 transcript:CAK94368 MGDSLESPSQNLMKSAQVHKSSVHFQDSNQINKKDKSKLIQNEADKFKYLFQIPNIISDP KLVQRRKTFETFTKNSNLDIYYMHLKKASGIARSISQKADYQEVMQDQFVHKLHKNKGYI LTNELWSNKLLSQVSGQSRLRELSSMLQLQKIKMNSEEQLKNYEQYFKQFVQVKCQDKGD MSKALNDIDDQITLDQSNKESRVQSRNHSVPMKQTDQSFFSREIMTAQMDKKRAIHSLHS ITKIAELLKQSNEEVQSTQEVVSKLRRFTKEEAEIQNKPKQLRIRRLFAEQLNNVIKNSK KII >CAK94369 pep:novel supercontig:GCA_000165425.1:CT868677:81443:82582:-1 gene:GSPATT00026693001 transcript:CAK94369 MKQNNFLPSLITALLIICANCVTKDDLISQITEKLDIMDNKMSIIIQGADGSIYLKEHLS TSGTQPYDDDTTIHIASGCKWIAMATIMKLVELQQLTLDTKVTDFYPEFKDSNPTLTLKN LMTHTSGYELADDWVFDLQITLQQSISGIADGGQYPASTVYFPGGTKVGYSDIGMQIAGG IAEKVSGKTFHELFNEYIATPLGMKNAVFTAFDGEKGNNIAIADGLLIRMIDYANFMRML LNQGAFEGKTILLASTVELMLQDYTGDLPVSEEVMKVDSDTQVEDSYSFAIGAWVYDTGS DDKPSVYSSPGIHGFENWIDVENNYLCIFYIRTDTDNEPDVSELSESMRPDILDFYLSES GNYSNLIALGILFVFGIMF >CAK94370 pep:novel supercontig:GCA_000165425.1:CT868677:82906:84036:1 gene:GSPATT00026694001 transcript:CAK94370 MIQQDASKFARVGIALLIQTACKTGKFLWHSKKIYQIKIKDLIFYEDNLWIRKKEIVDTI SQLELESLTIKLNQFNLFDKILVNQKQLKKLEIQMPINNSELQLLTQLPQLEELHLRVLK KTEENLKLGQFQNLSAISLQWQGMKPQEVLKMVQNSYETLKELEIDSEEFNHDEMLQILN CLNKDKIEKLYIQYFYDFSDLHILKLSEFYKLKKLSIFRAQNIEENSMLQLFQGKQFQKI NLNQCDGVTDRVLFQIIKNCQQLKYINLSWSIDIYNHWVSVLFEEALQLEEVYLIGCKQL TDECIPKNLYSGLFKKLRHLSFESCNAVSDEKLMMLKSIFRYVKIVGYYGVEIDTI >CAK94371 pep:novel supercontig:GCA_000165425.1:CT868677:84651:85411:-1 gene:GSPATT00026695001 transcript:CAK94371 MHILCMKKENNIMNKRVYVNYEQRWMQRPKIGNVDIVNQFQEINSISGNQYKSLSSNNTH FGTLSMENEVIIYEWHNQILQQIGSSVQIDTSFICFNIHLSPFFDILVDCYQDDEFKLLI VQDQQYNTVYTSQSSIPIKTKLKSMINDNNDTYIIYAQYYQDYCMLSLFSKQYSNLSQWN NNFIDFDIPIQIKSLHLYTHLTTDPIMYYFFKLNFLTSIQFHITQHLQFSYYQSLLHHFD LFLM >CAK94372 pep:novel supercontig:GCA_000165425.1:CT868677:85663:87327:-1 gene:GSPATT00026696001 transcript:CAK94372 MLRIVINNIKKPKEIPEQQNSISEIPPDTQIDKNEKQQFVQTNSILESDILKVTNTLEIK QKIELRKNEIKELVNNDIAIKIQEIENLQKLLKQLKKIVLQEVDQIIEEAEQWSRRLNSL RFDQISRCQNSKGVKDSKIADEQYLKWQIDKINQKNRYMKERIIKRLQRFKNFKGNEECL TRLNNIQIEDNNNEYILIEEDEEVTSNDLISVSEEKKQVDQVQEMVEMKLIENTNNKQDG RCETIVFDKTGSIMVSNDMESIKIWRFEKGELTLLNQLQMHSLHVKCLVYSKFKNNFISG SADKKIICWKQANGNKWHSNIYQYHNDTVNCLILNQREDELISGSDDKQIIIWSVDFVNN YLTFKQSLKQHSEYVLSLSYNQSETSFVSCGFDLYIIWKKKCDNGNQQILNTTKSSESQY YLDQLKYLPKGNRVIFINDQQFLWVTQNQGILVFEEKWQIFQRCKSKTIHIKNNDCEDQY YFPIIYNKNRNFLLIKHKYHIYLIRELDNGKFIILSSVDCGTQHNYGAMTNDGQYVVFWD QKLKKYSTYQVTKV >CAK94373 pep:novel supercontig:GCA_000165425.1:CT868677:87505:88412:-1 gene:GSPATT00026697001 transcript:CAK94373 MSAPIKIVMLGEGRVGKTCLTLKYCKDQFDENQQSSIDATFFEKSVDLGGGKNITLAIWD TAGQEIFHALTTVYYRDAYGAVLVYDVTYKESFLKVEKWVEELRSFGTKDISIVVAGNKS DMKNQMQIDKNEVEEYCKKIGAKHFFTSAKSGVGIQEMFKTLGESISIKVQAQESKGKKK KGLQIKDVKETKKKDQKNEVCC >CAK94374 pep:novel supercontig:GCA_000165425.1:CT868677:88607:89287:1 gene:GSPATT00026698001 transcript:CAK94374 MYLSNQNYNALSRLNTGSSFLNQKISVKQQKAQEGSASFNATMSPLKQQRESYQPIIEDY FNLQIETQEDRICFECLNGFIWNMTQGMEINKKVVIDNLLGLRNLIDFILNSQQEHSEIY QENSGLQSVSSFQIGQAINNQQNIPEIQLLEQKRFLNGVNLLSEEQHQSVQSNLMLHESQ QSEGSQVNHMEPQNESVDISNQSYFSEYKRQAQNIEMDLQSFLKQK >CAK94375 pep:novel supercontig:GCA_000165425.1:CT868677:89313:89978:-1 gene:GSPATT00026699001 transcript:CAK94375 MKTQQGYLSNLDIDMYLKTSSRCYKKVNENRNSLLVSLAQPRRNKNTSFIPELQEMPTKQ RRKSYQEGISGQKMNRLNCLYPQLICMQHAMQKPKIDNKATLRKAVKRASIMMSTVKYWK DHSQKKIEVPVMIKSIKTKDSCLYDRNALTTRSVKRSELPPVLLSQFKIRNNQHLIKYSR DRTADKTKSVSHHHFSHLQTPTIQAFSSRYSIQHANIRIKE >CAK94376 pep:novel supercontig:GCA_000165425.1:CT868677:90281:91302:-1 gene:GSPATT00026700001 transcript:CAK94376 MSEQGEEEQQQQDEQQQLEQQTFQLDTQIPPEDPNVFMTQQKLEQNQAWKCFDFLFPAGK LAKLIKVNYNQPKTFPMTEILTKLKLDDGLPVVNFIGCRHNFDNPNSNRGKFYAGIARAC HNTDAVIIDNGITTGIEKFSLRRNCTLIGVAPEQAVSYPKLNPTKIEKNELSNGHSHIFL MGGDNVDIGSEALFKINLCKAIATGKISKTQGRPRCKIVNILFADSNDCFDEIREAIINK LPIIVVRGSHLCNQFIDQEKILDAEFEDIMTDKDGFFFPLKKLDSEVIAQMVHYLLTFTP SKPKQQ >CAK94377 pep:novel supercontig:GCA_000165425.1:CT868677:91319:94155:1 gene:GSPATT00026701001 transcript:CAK94377 MFMILLLTLISIACGNFLGKVNTVETDYLRVFLFPHSHDDVGWVRTLMEYYKDSRGVKQI ISSYMEQLIHDKSKHFSQVEIAFFSIWWNEQNDTMKEQVKELVKNEQLEFLSGGWCMNDE ATSYYEDIIDQMTLGHKFLLQHFNYIPSVGWQVDPFGHSNTQALFSNMMGFNAWFFGRID QEDRSIREKKKELEFVIHPDCDPGHSILTHVNYYGYYSSPRGFDFDVTNPNRQQVTDSNL QSKTEELARYFKQQQNSYKGKILAHTLGMDFQWSDAASYFGQMDRVMNKVNSDTEKYKMV IQYGTPKQYIQALYEQNITYPSQKEDFFPYADYPNQYWAGYFTSRSAFKGYVRRIGRYFQ QVKLFYSFIKINNQCKSLCDEKNLKDLAEALGTAQHHDAITGTAKQYVNNDYVKMIKTAY QNMNKQISALLNTLSNTKSVAHSTCNFNGSNVCHSLHDPLIKNQTVVLTVIDVKVNNDGI KEYLKILVPDKLYIKATDEKGTLLNGEILCINEQCILYIPRIVDNSKLIHYYKLQTVASQ DNTNIITIEPETIDVDKDTKLFDKFKLNYNVYASSSGAYVFRPDGQSRPYGNYVKAYKFT GSLVKQYYIEKSQIKAWVTAFDDDENTFYVDTFVDSVNMSDYRGKEVVLQVLTDINNNKV FYTDSNGLTLQRRQVNHRDSWQMTVLEPVSGNYFPVNGAIMIQNENGNQACAVLNDRAQG GTSLSNGVLELMIQRRLSNDDNKGVFERLDEQEEVDNKKVGMRQMMSHTIVFYNPKSESN NLRQLQYQQDLKPLMYFSTQDQIEYQKLENKFENFIEKQSDYNLCKFYIEPWLTENQYLV RVHNLREEGIQKLKFPSGLQFKETTLTGNQELKTWEQNRYKWTDTYVKETQETYDEDQVG PMKIRTWIVTI >CAK94378 pep:novel supercontig:GCA_000165425.1:CT868677:94683:96092:1 gene:GSPATT00026702001 transcript:CAK94378 MSNLNQKNCVHHNRELTYFCESCEEPICKLCTTLGPHNYTLHRINSLQEAFKMRVEHIKQ EILHNILPKRDEIFAQINRIEYRIQEIKYVKNIIERDCRAEMNGIEDRLNQAEQMKQTIL QHQISVIQSDLDEMNDLAIQFFNLTKKNDYLEFLFDSQSLLDRIEFLIAKPYNKGIDEIP DDLPRELTDLRLLLGKMEGQQQMLEILNEIIWRMINERKQEEELSQQILRRHSENEIKEW QKLVEFFTEQLKESEMICYFCNEPLNIKTINKSCKKNKDHSPENFVGYTVEKPTDQQIGT KRHFYGHAVLQAKMPQLQEEKKKLPSAVIIEGIMSKIKVRIQDKQIKLLEIFQEHDNDKN GFVNEIIFNYIMQEHLQLIDEEIENIVMFMDCKSDINYNILFNMLKSSNLIQKMEGDLVQ KYRPPKSKKRTPSQN >CAK94379 pep:novel supercontig:GCA_000165425.1:CT868677:96410:97693:1 gene:GSPATT00026703001 transcript:CAK94379 MQSQSMKSNGSVLLDYFKSLGEGDWNIDLTQYQKSVDFKLSQKVITFGEVQEFYTFEDQK TFFQMHSQTQWTDQEWKILIWIVIQYCTMHKMNLLKMNDWEMVEKLIKFKSSLNCQYKWL CHVIGREDRLQWCQEEEQMLKVEVENSKNLKWHEIQFQIFTKSNGRYFKKAKQCRERWNN YLDPRINRSYWKPEEDLCLMKLAQSEGLKWSKISYKMKNRTENQVKNRFKSLINKESKGS QMQLDMDQLINNIIQKLVPQQCNASSDQMQEQKPQKVICLDRNINYIQQQQFLIYQYQYY LAQQQYQMCYNYYQGEQSIKSEDCHSEKISRSNSFCGLLDKLSLDSKSLTQELNKQYVQE IEVPINNNITKSQQQIAIPDFLSQNQGKVKSNKQRFKFSSGDQ >CAK94380 pep:novel supercontig:GCA_000165425.1:CT868677:98899:100402:1 gene:GSPATT00026704001 transcript:CAK94380 MYELRRPLSEKNLGRYIPEQTRPSSANQMKQLTQMKSSTQLSKQNFQYKDQKENMEIRSN LQETANKKPNTKEIFEYRKLQDKLSYLEQKIINIKTHIDNSQRQTKQGLASRFFNTKTND QQQQQQENVNKPKVSKNDLDLNSMQNKLKSSTLVNDPRIISNDNLNKKPSLSTFVTQQKT SCEASIQKIIQIHPESAKGMAQKIAFSNNQRERSTRSETQFLYYISSVIRCYMTPEITKP IQQVREHLVQSISAASFSKMKNSQQYEEKKVNLPPISLKKTIVFDLDETLIHCNESLKVP GDVILPIRFPTGDVIEASINIRPYAQQVLQTLSRHFELIVFTASHSCYANVVIDYLDPTK QWISHRFFRESCVQTEEGAYVKDLRVIGNRQLSDLVLIDNAAYSFCMQQLNGIPILNFYD NKSDQELLYLQNYLMAMKYAKDVRQFNQQYLKLDRFGEFKDPIQLLETLFKEYIP >CAK94381 pep:novel supercontig:GCA_000165425.1:CT868677:100439:101563:1 gene:GSPATT00026705001 transcript:CAK94381 MQRQPLSQIDYNQDNRGATPKNAQFKTNTLHSDYKSNQNYNVQFKVKPQIQEQQNSIIMS PAPLRRNTIQQLVTSPLRKNYSQKHIEIHSPGYRGISQQPRTNHLLTEVQFIKDQNQKLQ QDIFKLESQIQRGNSTQFIRELENKIIMLQTLNDKLNQDNSQLLRISDIKGLRKEKEQQQ TELLQMQEEYDGLLQKLEQFNCENLQGLDQFNEYTKLQSLVQDLESKVTGLILENEQLNT IYSEFSDLDQEVQQLQLELQSQQIQYQKSKHDEVQYRYKYDSAMHEEKMQKQKLNSQRNT HLDKLNDKIKQLEGENKKLKNLVTDIDYDDEIKELEDRISIIGQNNRKLEHQLLQQE >CAK94382 pep:novel supercontig:GCA_000165425.1:CT868677:102080:102565:1 gene:GSPATT00026706001 transcript:CAK94382 MQESYLQQQLRLNQGDRQKQIYRKPCKQLQFNQIITRLMQKNIASYFKSSKKGEDQNDQV KEQQFIEENLKKEKLIAELKVPSTKSKQLNPEVKGAVLFMDMAELYEQVGEIKGQNSKDA IKELVAKLFIRIMKENREEFHFEIGT >CAK94383 pep:novel supercontig:GCA_000165425.1:CT868677:102568:104287:1 gene:GSPATT00026707001 transcript:CAK94383 MNHGNQGLDKGIIVKSISAVSGKSEKQVRDLMNTLGDLGLAIEKSKSTQTQLNKFFVSQT VKEEVKLTMIQVFNTLQQLQKQEGTGSSLEKERILTNLLRMAKPIEAKYIIRFIEKNLKI GAAEKTMQAALARAFQLYHKGNVEGDYESIINQALCECPNYKKIIDTLFSIQSLKEVPEV CHIIPGVPCKPMLAKPMKSIQMIFQRFENMKFTCEYKYDGLRGQIHFENGQVSIFSRNLE NMTQTYPDIVTYIHTHYKHLDGFIIDSEIVAYDVANNRILPFQTLTSRAKKNVDQNQIEI QVCLYIFDLLYLNRQSFLKETLEKRRQTLRETFKEEEGKLKFATSRDSENFEEIEEFLLN SIKMGCEGLMIKTLEVNSQYEPAKRSFNWLKLKKDYLDNGLSDTFDLVPIGACYGTGKRK GFYGSYLLACYNEDMDQYETTCKIGTGFSDENLEKFFQFFQEYLIPHPLSEYKCDGVNMD VWFSPVVVWEIKSADIQISPIYSACSTILQLNNKGVGLRFPRLIKVREDKKPNEATSSQF LYDVYKQQAVVASNNTFEQDDDFY >CAK94384 pep:novel supercontig:GCA_000165425.1:CT868677:104304:106796:-1 gene:GSPATT00026708001 transcript:CAK94384 MGCLGSRPQNEEKASSDSLYLLLDQLTEGQFVETIIIYTSVYNYCYIKQRKEWVLQKRRI IKSADKWMQSNLESRNYMHSFLDSHPQSIILLRPLRNFKPAITQATIHTTLMKNDFFPQQ IFVKFVDVKQSQLINFSNNGKYTIFLQLQLYKLQSLKQFYKFQQIITIKLSKIFKFAQYE FYGLINWTSTHKYVDLQKFINKYGWHMKFIDINENVPEHHQYFNSNQVFHIEEQTKEDIF IIIDPQGKVIRAETPEFYVPKKPDQICEEFDDVSSQKSKAIKYEKKLLKELHIHYSSYIS KNKPQTPKHTLTKQQILQQQIYQSSETISYVQYKDFKQLFKSGRLPQILSKYHPGEPFQF ELIKQIYFNYDFDEQKFKEVTKTYLLPSIYPAASIQKQKILTETCRELKESIGLRWKDPD LNVYSIPHTYTFNKIDLMDFLQDFTQQFHFKLKLFLIKRRNIEWQTDQKSESKIMRILEQ DKLEWTNQMRDSFVFLDMYELHNKSIAIKNLDELKLLKQQQEQHIIQFKSIKTQNLCGNG MNLIKKYIYHGIDYQISINEKLMDFDESIKITINTLLFVLYDDFEKQILIILNKLASIED EFQEPTNIIIITQLENQSNIINEFITQRIQKLQIQFCHFNQVWNQSTGLSFKSDIIEKYF NVRRDYKTFYIDKYGYLMAIQSNDEFIDGIWVNNQNQIIVDWFIQQFGMTHKNDDSEWKQ IKQECCQFNQKYEEIVSRYEIPKQMIVTMKSIKCLLWDSHNYSFLEYSNCPTITHNLNVK QIALLNIFIPNIIKLII >CAK94385 pep:novel supercontig:GCA_000165425.1:CT868677:107554:113718:1 gene:GSPATT00026709001 transcript:CAK94385 MLEQTIKEFNNKYNIVTDPFELKFEERYLQYKYNTLLPEFQDSILHDIKAATLTAQKGYI SRKVLKYHLMNPCPDIFTLYKVDDNQIQESLRPRLQNHFYFSGIGSGIRVDNISWPFQEG IGFCIWFNVENVNYDQFNENNFGVQKILSIHAQGTTNGGGIECFIMRGSVYYRIIGNEYK EPQMGQGTLFLGQLKDGQNFLGISHEPQKTFSSSHLTILFNNEQQSSKLFEFPRLNQNAR VARFNICQNFFGTSTCIIVMNQSSTKIKTIQSVYGQQQMDYNKLKTLQACLDRYFERIMS IYIPEFASGNRIIDIMGNQEGILQNRSGFVVADKNKFNQFGGFKLFYALLHLAANYPDHI ATMFEIVNIILKNNNQLQSEAYHSKFIPTIASIIMSFQRPLINHKCVELLNETKSVITDN RLMEHFFIYILWNSKLHQLCTSEKPFINYLQLSCINYTQNQFTSIISPRDLLDILIYSYA DDQCCHLHYQNPKPSFQLKFFEKILYLLTQQSDTTENLILFESYFLYKMSPCLLLQILTI LKSLLVDNIDDGIKTVHILQVWNQNNIVHQLLFLFKTTPQNDVRAIIIYFLNLISQQQFN PYQSQQTEEAIEFINYTIILNKNDQIESKTTLTPIYNQLMGWMINKLKIGANESVMIEEG DQIHLSSIINIIMKILKYSQDQNLKAQILQDFLCLCKSHQNNCKIMLDEDQFQSSLLRTI SIQAENNLIYEIGNRLHSTLLLNVMKHEINGAEYFYDLLKFEGQNEKQIQALFELLYDQF KNYSPSYDSQIWKNIMAILMYLFELKERYENYLQKFNILINLLYKEYVFKGQIDEQLVQI SNFYNGPIREFSQRMFQFLNTNLNQVQVFEILLNVSDEDNLLQLLLVHSLCQEICKSEIF YPLQLILGVTLKKVPRSRIREVITQMCLMISITLQKNNNSILYRFFLELYEDFEQLFDAL VTTNFTNEKLFEELINKLKLDQEYMDIKIVHPQRWSKESGVSLNTLLYEVQSFGEARLIQ IEERKAQWLYLESNKERIGRHQYYKSIRQIFLEGEWLTNKKGFYQRDSIIQDFDIEFQMD DIQSVKVSRMLTKYLVRPYLKTVVRIPEQFRNYPVIHTPLEAPLLLYSQSKQKSFGNLQA IQAATQIYNMSKAIGSNIKNAVMTIATQQPFAQQLNVKQFADNTFKGKRILVIDGLYNYH AFFTLSNSQLLVQYEKLVEKVSHVSLCDFQLRDDQLLLKKYPIYNLVQIIKKKYLQKKNA LEIFFIDGKSIFFSVPDPNDLEEISIKILSFRKSSNAPFFNQSKTTDPVKLIDKLGYYQK WVKYTFQFYIRSQMSNFKYLLMINNLSSRSYNDLTQYPIFPWVLQENDQSGQIQIHPMKI YPEGRFRPLNKTMGAMGNESRIQSYIDRFEQSEQGSEIPPFHYGSHYSSPAITSQYLIRL EPFTSASKAIQGDKFDMADRLFYSFNESYRCAIEDIADVRELIPEFFCLPEMFLNIANLN FGKTQNGKQVNNVLLPKWCEGNPWLFVSGYRNALESEEVSKHLPEWIDLIYGYKQRGREA QKSLNVFFYLTYDSPLTMQKMEENKLGIESQIVNFGITPTQLFTRQHSEQITYNEFQFVS TSSRLTQFRPSQKKNIHNQLKPIVDFQSLNSQAIVMIKLISNNRLLCLRKDGKINYFKYA ASDPQQNQAPFTFAAEKEKQMSFAKPQGETFMLLDPIVYLSSHPVLILNQGKLFLCGGYF LGKLIAIGENNQISDVYQFHTSTITKLITDKKESMVISGDKTGHVILWKIDKSTYKLQVQ RMYFQHQNPINCINVSNSMKLFATGCAGGSINVYNLYTGSLLRTFTHPNKLAINSILLSS RPLFCIVFFSAQDHKIYSYSINGYFLEVQTETCFSIIDCQIIRNNLFQDIMVLYHIREKI YGTENREIVRRQLPYLQPNQHKIKLEVVPLSIVPSKDKRFLFCGCSDGDLTIMTEIQLNR >CAK94386 pep:novel supercontig:GCA_000165425.1:CT868677:113770:114207:-1 gene:GSPATT00026710001 transcript:CAK94386 MRHKSNELVNLLIPDQQPFAFKPRFSKHKNFYAKQPILQLHYVTPTQKQAKLILDKICHI DKKAIVNDRPESSFQKRKHFSVDLCFSSRPSFLQKKQIRIKSIQKPYFQSNVQPNQQDPI STDLQANLSTPLQISEKDWLAFVLE >CAK94387 pep:novel supercontig:GCA_000165425.1:CT868677:114271:116364:1 gene:GSPATT00026711001 transcript:CAK94387 MDHLDQEHDSEDEGMEDYKIGGYHPVHIGEVLLNRYVVIQKLGWGHFSTVWLAKDFKYDT HVALKIQKSASHYLEAAYDEVEILQKVAQNVQNPVWIQSLKEYYAEEGRTHFNRDDTHTV QLLNSFVYKGPYGHHFCMVFEILGVNLLEIIKRYNYKGCPIDIVRRMAKQILIGLDYLHR ICGVIHTDLKPENVLLCLSDEEIKDIVENGQLTSNQLFSDRIHIYRKMLGIVKDEQKKEE EEDHEDEEETQMSKTQRRKQQRKKKKKQGPVKQEEEEMPKSIKDLFKQDNQKKISFKTQK ALPGNFRVKIADLGNACWIHHHFSTLIQTRQYRSPEVLLGIKYNPTADIWSFACMIFEML TGDYLFEPRQGPNFSKNEDHLAQIQELLGKFPYEYGTRGAKAKRYFSQSGVMKRIQQLHF WNLYNVLTEKYRFKLHEALSFCSFMLPMLHQMPEYRTTAQETLKRELCFNASSEYALKRY IDMVFQFQNFRECIPPSGWFKQRTDEKIGHKMSEEEYKKFTAHKKINSEQEELEQGQICE GIQPTLLINYRRASTRSYEGSTSLTPEVEVVPKKQGKYVDHRLIDRSFTDLGYIGYGEGI NLEQLDSTGNWQFS >CAK94388 pep:novel supercontig:GCA_000165425.1:CT868677:116367:117413:1 gene:GSPATT00026712001 transcript:CAK94388 MSCFSVQKHVNYGEVILIVGDCHKIQWNVQNGIQMQWNQDDIWTVTLDSCCLPMNYRYVV VKQDSRQIVQWEDGINRVFNSHQDVQDVWGHLRITLKLMNYPDSNITLNLYTQTGRHKIP LNRIEGECTQTFQIPNKSIHQLAYKYQSNEKWERGGVRMIQSHPIINNVMHVTDSSVDFN LNYNRVFENLYVGSFIYIDEIRILQDLGVNAILNLQTVEDLINKDLPEDYFDQLHCQSQS LGIIYLQCPIKDCNKRSYLQNGGDAYQILRKLLDQGKCVYVHCTDGIQRSVQTIILYMVQ DLNYTLEQAIELVQVIRPRSKPIREVLQKVLNL >CAK94389 pep:novel supercontig:GCA_000165425.1:CT868677:117415:117766:-1 gene:GSPATT00026713001 transcript:CAK94389 MLRTFKFCTEFTTTQFLKLSNLQLSTLNDKIENFENVETSFSDGVLNVHLPNGKSFVINR QTPNKQLWYSSPISGPQRFNYVNGKWVNSKQIEIENQLLNEINVLIK >CAK94390 pep:novel supercontig:GCA_000165425.1:CT868677:117973:119370:1 gene:GSPATT00026714001 transcript:CAK94390 MYLHSQLNPVSVSSENSKQRSCSMQSNRPTITRQLSHNQSIIDTVNKKMTSETKENKFVS INQHEQVSDFNQKNSKEQQTLINLQNKVTILIEENQKLILINEQLMQELEKAKIAYETNN QTVLLQSLQRETQSLQQTVMQYKKINNQQSQQVLELQQILNEREELNIEQKSITNQQLIE SQQTNKIFKEQFLQLAQKYLLKCFEIERLQTVEKVWSDKITIVLKENENLNLMVQQRLNE QIQLQQQYKQQNELILKLEQEIVSLQQQHKDQNYIINKKYQDQIQMIADLNKQTEQRNEE NKKLNELLQQQEYKWRQSCIEFEKEMKQSYSNKLNIEIKHQVQVIHDDYQKSLTNYAQTI AVLQGQMQILQKQNEQLHKANSQNSNLKEWKHEKQLYFEIIQELQQKVTELTSQLSTILN YNTNYVSDYNSLGIQEQLNKLEDCQKYNQKMYKKCQQIFNQKFYV >CAK94391 pep:novel supercontig:GCA_000165425.1:CT868677:119417:120728:-1 gene:GSPATT00026715001 transcript:CAK94391 MPAYKNNLEVLIKQDTKQGMGLIDHEQTDTFHMWKFRGGSTTIYEENSVYFESNDTKSNK KILLKVNKQTNQYEIILSVTQPTFIVVKDIKSSSGSEYPIVVNSIIRLGRVEYRVFEERN IQMEILHAPIKHQDCQQINDINKIYQCRFCFMEGNQSRDQLFLTNICKCAGNSQAVHLEC MRYWVDSNITREETQFGLSLKWKKQHECTICKESLPIRVQFENEYFDLFTLERPELQYIL VENVNREKNVCCEIYLIHALLQDNIKLGRGFQCDIKAQDITVSRHHATIKLSKSNFVIED NKSRFGTLVSINQKCEIDCAACGFQIGKLLINLNQQDQILKGAPSTQHKLTQLPQISKQL EEDEPQMDDDSFQLENK >CAK94392 pep:novel supercontig:GCA_000165425.1:CT868677:120945:121461:1 gene:GSPATT00026716001 transcript:CAK94392 MNQSQYQVAFKNYWCHLCQKESHYRLEGELINSEQFCQNCGCVLEQIVTKQYHPKQFQVY QQPVRLQRVYYHIIFYQNNDDEHQNNGATEDQIKNMKRQTHEGEQEQTCYICQDDFKNGE QIAMMNCNHGFHEDCIAKWLRMNNSCPVCRCKQN >CAK94393 pep:novel supercontig:GCA_000165425.1:CT868677:121472:122116:-1 gene:GSPATT00026717001 transcript:CAK94393 MFYKTVSDKKCTPSPLRKCSQYHIPNTYFSTLKQPLERTFEPIEKQKTLTDEQQEIQKLH QLIEQLKDENNKLRSALQQQQLQFQYETQQLNQQIANMQQEQQSLKQALIDRTYQNNKMI QYIEELSSQHKNLQFQYQDAYLLLQQAEIFSNQIMLLQKELKGKEDEIEILKNELLIPTK TNTEQSENQLIYDFLKNVKQQYTDDECRSLHLYE >CAK94394 pep:novel supercontig:GCA_000165425.1:CT868677:122123:127092:1 gene:GSPATT00026718001 transcript:CAK94394 MYIILILLQFVKSKEIINLEIDKSYKYYGEVPNSWLHYPVYHYKLEVPQDREVFVFLATL NDARPQYQLYMSQKEIKQVFIQYLRQVHNAQECKFENLIVCQIKSQQHISKITYYFVVLC LFNCHYELKIMTMNTFVEGVMIMKQEKEKPFKLNLANIQYDRLCLKLIHFLKFISFNIYI NMNETIPTTSEFDIEQLFLKEGLVCLQRNYTYPQSVMTAFINQEGYFLILLNPFQKFHEI SLNQQWNDQILRGNVNYYKLIIKDFKEHMLMFYVNCKTHSVFIYVQPCIEDRCKRDYLDF DWQYQFDKEQYNYYTIPTYKMIYTEVYLISINTKDEMVEYELEVKISDNPRQMLIHSKVN AGVLEKNQVALYLFKSIDQLEIVNTRVIANFPKGHGVLLSKQCFKDSEEMAIIDDIQEYL QKADPDNYYNCSITPDQASSFPSDDLQISNFVGIQYDTTAGNKNVTQFWFEKISFNFVYV LAIYSYVDFMRFSLTTQQVINNPILQFDKFTINYKYIKQQTNDHYQIQTFSLKKPDLQNY FVFAAYEGESNIIFVIANTIKSIHYETKLTTSEIEFISLDEEVGHSYTIQIQATTNLKYS VIEILKSTVTPEIKYIPLHFGVQFKTIDLDLYFSLEITENANFYINLKSLWVITSQGANL PMNLIQPQQAFSKLQLLKIQNSFITCMLKLPLVKQVNHIKQCIINRVPFLQLFLGDTFYG LLEDQKTLYFFYQSIQNSKQIQIIVTYQSEYNNNNNLQIYISSINKYPDQFNYEYLIKPN SNHITIPNIIYDSILQIGVYSRGSNKYTLLIQDSDSAILLKDNIVQTRPIQNQQHFYFYY LIPKNIINPIKIQAITKFNLIELLCNIVDYDSNNIEKENYPTFSKHDIKELFSASPSNKL LFINETNLMKCQNQGCILLINAYVLGHFDYFNVMVSSKYTVIRNLEMIIGYASQNSMSYY YFEISEVIMDIQITVRALQECKCDIYVQKSKGQDNILYPSIDKYTYKFTSDTLTISEKDN IGQYMIGVTSQNCIFEIMLHLGGFQLHYIHNGQFVETSINDNVQYYYLNTHQEPFRIMIY NMRNIIASIRIENNNETMELANNSDLFGGIMQIDKDVCQSCTYILSLHPIRPTALSILLI YNKIPFSIPYGRIYYDQCLTTCEFELTPGELNLFVYSKSITLTFNFKIKRFIKMDLTYSN HLIPINETCILQIGKDAYYSINLSNRENPIILQLGRVFNSKNTISRNQSLFNFKIDRIDQ EYVIQVSSQSDVIIEVIFNDEQQNKLKIIPKSELIFNQSKKKFIYQFQQIEQPYQITLQC SDNYYILVDAYNAKNKFKYINFNNHYIEIIMETQQYNIQATYEQEMQFEKFDCLGKTQLQ KLSQGNQRETYFKIQVIERSDPYPFNIVSLVPHLQYSHDQWHQKNTRFEIIKLSNDYLEV TVNAMKRKKTGSMNLNKLIYIMHFSNQENYMRPLGCEIDIEFLGRYTNDSSIFYNTSILE VKEKQEAIQFIVLINREIIYGQLVFKAFYEGYDIPYTYFYNVALIYNETNDMKMKMKEEV KIEEIKIDYILIVIGISLAVGIGLILLWIYIPKQKQKRKKLNEEQQYELSQLKQGDQVNT T >CAK94395 pep:novel supercontig:GCA_000165425.1:CT868677:127142:128894:-1 gene:GSPATT00026719001 transcript:CAK94395 MMETENQQIGNQIKPKTTILCSICDLAFTPSEQKTLICTNCIINQTDITVGITKEGIVNY CRFCHRYLRPPWTLCERESKELLSICLKRLRGLNKVKIIDAAFVYTEPSSKRIKVKLTIQ KEVLNNTNMQQTFICEFVEHYQQCEDCKKEFTPHTWGAAVQVRQRVDHKKTFFYLEQLLL KYNANDKVLKIEQVDDGLDFFYKSRSHANRLVEYLSTILPIRVKQSKQLVSHDASSNLFN YKYVYAVDLPKVCKDDLVILPQKLCKELGGVGRVQLCYKLTTIIYPLKLFLCMKMKLKSI TLKTHQHEFTVVDVERIHTRNLNESYISITIIRESNNYVPVTVKSHLGDILKTGQVAIGY DLTQLTIESLEDISNYPECILVKRQINKEARKLRIFKLKRLEAQNVMEEEGGERKRNQQK KQNDQEEQFEEFLDDVEKDKEMRKNINLYRDEDKIKKLSKEDLKKKQKKQVQQQKKDNDD EWQDDDEDDVKLEDLMKDLNLEDKPELAEPVDEAQDEINQFITKLEKVTIEK >CAK94396 pep:novel supercontig:GCA_000165425.1:CT868677:128988:129587:1 gene:GSPATT00026720001 transcript:CAK94396 MTERRVEFCRSLGKLAFLNTLIIYNQKEYIIYFQPMESKGRCKIQVTNGFDFWVEDFDLE KFEQKRKKSGLEGTYNSYFDMMHRSISQRNFEVCVDQTQNFVLTLYFQLNKGVSLKGDFE LGRPLHFDDIKEFHCVYRLFLFDIFKAKDQERMKAEVSRKGRRMEERESKKSISQPRQDE EDEQDEDESAAQRRKKVQL >CAK94397 pep:novel supercontig:GCA_000165425.1:CT868677:130966:132118:-1 gene:GSPATT00026721001 transcript:CAK94397 MKDISRRIFEKENQAEVSNNPRQLNKSLTQLVSLTMINNTRKAEFKGIDIKNTQVSVSQR PLVFCKPEQRVKTLKTIPSPQKQSQERSNESQSKELCNLIQNYSEPILDYYTKLDTETPK NSLQNHSINANLRAKMIDWIVEVLSSYKCKDQTFHLSIRLMDLYLSKTTQKHLPQDLHLV GVSSMFIACKYEEIYPVKLQVVYEKIAHKKLSKEEIKDKEANILQVLDFNLNGTTILDII TMVLSILNLHQQLYEAANFLAKLALFDYEFVNQYSYLQLACAVLIVSTKTIEQIDQNLSS EVTIPLIISTLKLDYQDSIACANRLLQLAKGFDKQFPNFENLKKFSKFQISDIMQTQC >CAK94398 pep:novel supercontig:GCA_000165425.1:CT868677:132162:132738:-1 gene:GSPATT00026722001 transcript:CAK94398 MAQQKGKNKINHRQRQGDWICSNCSNMNFAFRDSCNRCHTIKIMKNNESKGFKSALFLTE SNGDIPPISDRSNKSSGEKTDIGTNKFSFDKLPSMEPILKQITKETCKINQNMKNQNMIS LSLNGNANNVKRLINTIKFIVSNAVLKGIENLRFDLLQQNVDFVILFKLFCIEGRSHLGF NEII >CAK94399 pep:novel supercontig:GCA_000165425.1:CT868677:133566:143185:-1 gene:GSPATT00026723001 transcript:CAK94399 MGLWILLLVEISLSLSVLKSDQCLECSKLIRKKDCEYSNCIWSTNNDSTEGICINNGSDG NPGNSDGYGNFCKSVANPAQNCSKVKGCAYYNSSCTFFTGCSAYFFHTTLDCQQISFYCI SEGDGCIDAKKCEEYLTQDICETSGSLSGSGQCKWDSENKKCRDELCSEAGKDLATDQEC SKFRIGCITKGQGCTESPLKECKTYESSGQDCMKLIGSDGTCEFLSGSQFCQQKKCETAP ITFTKDEQCDQYLKGCLSTGKGCVQKLWPCNTYQKDCKNYVGSDGICEYEEQSENCRSRI CENGQFNSNEDCNHYKIGCVTNGKSCVTTLQSCSSYKGNKTSCLGFIGFEGLCKGIEDNE QSCLVQDCVVDSDNSFVTDEQCQKIQQICKTNGMGCVYKLKLCNEYDGTEEICFQLIGSD GKCKGGTDGKCQRRSCSDAPSTYNTDYECKKFQSDCVTNSNGCINQTSCQLTLKEISCVG TVGCRWNSSCIDSTKCSNFNTQVICENNSAINYSSADGITTYYYTKCTWQNNTCQNLSCS DLTGDKYDSDSVCQQVMSNCVYSGYGCIDKQSDCTHFKGNQNRCALFTNKCWNDSNATET SPCRSRLCSDNTIFTTDEECAYFQKGCRTNGKGCIEDTKVCSDYQGTQNQCNKFTGYISN SSDRQQCYNTIGATSTTSCIIKTCDMAVGLTNNTDCGKFLKGCLWNGLNSCVKETSDCNA FYGVQSQCNTFIGNKLKCYGLSSNSSNSQCKVKECVDNTQSGLTDKQCNDFLEGCVSNGV GCTLKDTTCDLFNGTSDTCSKFVGNGQKCTRMSNCTTRLCSDYTNPNKHEDCYNYHSKCR FVNGLTSCIDQTICSNYVAQGNNNTEKNAYCRALKDNYNFICSYQSGDANCSNYLCDQIL TKTECESYTTTKMCYYINNLCISSNDCTKITIDNSSSNKPTTDSSKLTWCNQYKDINNKQ CSYHPTLSSTKCSNFILCEQVVLATNSADCNRILYQDPNTNNKGCLYYNSQCYTIKPQCS EYVAIGSNNTEKSAFCQAMKLNTSIGNDPTKVIQCQFVNGNPNCSSATCESISVANSQID CDTQALNCVYFQSKCYTNQNACTSYSTTSVSSNKPLFCSYMKNSSGEHCGYIDGMNNCIN SSSDCKLYRWASYNSNPAPSPVPSTNANKRTYCQQRRSSSGRLCGHVFGDSYCSLETNYC ELIQSPTSQLTCDLSATGCTFIQSLSLCATTSRLTTCNDITFDVATLTTDADKLNYCQKV KNYYGYCTWMSGSGYCDDLTSTCTSISISSISNDDDKKSYCLSRVSKAGSCAWRKGDSSS ACRSFSCFDIANPLNQATCDSVMSGCTYYQKQCITQQSNCNSYYANGADDDQKLYYCSGL TQTTSNLKCTYIKGSSFCTVQTSTCASYTVSTLSNADKLTWCYLLKDVSSNICAYSNGDS ACKQITCELIISPQSQSDCNAYLSGCQFYTGYCFTKPSNCASYSIPSTITDKVAFCTNLQ NTSNQYCGYVSGSTCGAQSSCTSITATGSTTLLKQSYCQARKSQDGYICTYVSGTYCSLV DASLSCTYITGTITSQESCQYFSSNCSYLDSTKTCELNSNLNTCDKYLFSSTIDENTKLS YCRSVLSGSCNYQFGDIKCSNQVTSCGQFVITSVNDKKNYCAARRSSTSNCSYDEQNDSY CSQIKCSDIELPTQQMDCNKRMSGCTYYRNSCLSTQNNCELYKTYGTDDQTKKNYCEGLK SSDNTLCTFIPGVGVCFNLQTSCSSYNVSALLDKVTWCEQLIQSDGSVCTNINDASTCSI KSYTCETIVANSQSDCDKILSNQCVYYNTKCYTKQSSCSAYVIPLGTTNKQLFCKNMFDS SQKYCVGGSTNCGLPTTDCTDILASGSDDSQKRVYCRDYKSSDGQMCGYSSGLNCEIEQN YCSVFTSVTSQEYCNQRMNNCVYIQKSGKCIEKYLVQDCTTMLFDSGLTNANKLSYCQSY NPGGSTCTWIAASDHCELDTKQCTDFDASGQSDKRAFCQSKLGYNCSWRNGDASTICRKY KCQDVDLAQSQSDCDSKIFGCSFYINSCFTLQNNCDNYYALGTDNQLKQLFCNGIPTISN QKCTYLIDDNLCRVRDQCTTYNVNGISDKINACLGMYNSAGIQCIYVKGTTCNSVDKCET YSGLSNMSDCTTLFDSNQRHCLQGNTTCLTFTCDNISNPASLSDCDAQLKGLCFFNTTNS KCINISDCSTYKKSDWPTNADPINHCSTLIDKNGHNCTADNANSTQCRERLCSDKLFYLN SECKEWKSSCKSDGSKCIDSSKLCSQFTGNSDSCNKYLDSDNINYCKVINGSEQGVGPCL SLSCYQNTTATTDSDCKAYQNGCLTRGVGCIPNTAKCDEYRGTRDQCIKFNGYLTPTTTE ICSGDFTNTDQSKCRSRICSDNLIDNSDTLCSYFKTGCVTNGQGCIDINASCSSYKGTQQ QCSKFMGNNKTVYCWNNIDAPSNYNCIDKLCSHVLGTTDQQCEQAFPKIMINGNLTLLCV SNGINCIKNPAKCSDFTGNNITCQTYTAIVDGPCKGNLDNSMGYCQPRQCYEAPKSYDTD AQCYDYHPSCLTTGQGCMKFQSYDNQGQPSLNCNSLVSNDVCSLKLGCTLASQCLASVSS CSLLTSQAVCQTSVLSNGKSCVYDLSINKCREFQCSDYVGINDNNTCQKFSSDCTTNGNG CEIMKSCGQYYEKLTCQYAYSTDPINRCTWIDSGCRQRICQDFLGTTSSSCKSFMSGCIT NGANCVGPNYLCQDLLQKTCQTDYLGNPCIFYKNTCYSYSKCEDMKFTTHEECQTFSELC TSNQINCIPLNKCQYYTQQESCNIGIDGMCGWNNSKCNLFKQCSDVLGTSTPICQQYSHV CVSDGVKCVEQNICSFYYSQFLCEHNHGLDGVCIWIDNACRLKQCEDLTTNTDTFNKCFN QLTPLHCSSNGTKCISLSSCQYYNEKSCILGTDGPCIYKLPLDKSSSQISCRLKQCQDVT GKTLDVCMSAFDTTYKKCVSNGSICVDFNYCSQYTTKVACASGGMDGQCAFTPIASQSND GICKLFTQCSDANSDKDTCLANSKYCQWISSNVTKQCIPHTCLTYNSKKDCVPVPSFDQK SFLLCAKINNACQDVQPTTLTMDTCYINSAQTYLWNTSTSKCAQCKAKTDSNVTPNTQVS TKSSVMLFTIAIILSLYF >CAK94400 pep:novel supercontig:GCA_000165425.1:CT868677:148509:149173:-1 gene:GSPATT00026724001 transcript:CAK94400 MNNKVISLQENQMQIKYQSSHKQFSDNDTSNDSEEQFEVQLLCKKFQKRPYHNTFQKEGK TYEKNKVLTPSMNDTNIQTKLNIVNWNISFLKNEYRIYNTHLTMLVKILKDLEAQYQDYY YPQNNQPYIFQYLVQNTIAFQKQILNRIVRQQTDHILTNQKMKMKIQSNWQKSDHCLIEI KLEIKKKQKQRRIDTKIIYIDQQKALTDF >CAK94401 pep:novel supercontig:GCA_000165425.1:CT868677:149184:149685:-1 gene:GSPATT00026725001 transcript:CAK94401 MCIESERSMSQSDYLNSIDYIFDLLPQVDLQSEKAFEQEKQSQKNITIDNVVKIQKIQEL ENYETQESNSHEQKCRNRRKGTQGWYRSSKFITSMKDKFRPVQNAQRKENIIKLSYTQQV KNFKSSQLFITIIRVNMSNKPYLENKQS >CAK94402 pep:novel supercontig:GCA_000165425.1:CT868677:151897:153531:1 gene:GSPATT00026726001 transcript:CAK94402 MSCGCFEKPLSQELRDFLQQQYTEQQCPNKIIFTSNPESFKNKYNINKKICQKQKIYTID QLASKQNVQKMIMSELEAEQFQLAYNYRNIQKDQFSALAYGNSGYTFRLELQETLNNGSN VLVIGEKGSGKSTLINAVGNSLLIDYADRYRYHIAEYDFNGYLQECDMWVENVKFKFLEV LGYGENLLQNQQIMLQTYEFLKEKNLQIDCILICRKFGYQGLQTKEKQIICHLAEVFGQQ YIKKCFLVNTNYDHGDEKQILNQLQGDLNRINTIFDQMPDPKILFVNSQITPFEGNAGAH RFETVKVAKNAITNLINTNAYRLAIINSDAFRHRRSKENSLKQTKDQIKTSLIQLLPCLE NYFQICEINENDDLFYANSVFWSTLKNDERVKESKVLSVILEEIILPDSDLLLIEKEFEK LELLNSLTLDFERQKRRKNEATYKKQFNRQKEKLTVEKDRWLQNIIELADYYINEQQQFL QETYVYQQESREQLITNNMNNQLKLYLQQDQGFDNVQLKQEFEVIRQRLFLPNTNLFATQ IIAL >CAK94403 pep:novel supercontig:GCA_000165425.1:CT868677:153661:154083:1 gene:GSPATT00026727001 transcript:CAK94403 MALWNVFQKAGQLSTVSAQAECQYKVKIQQQVMTQHHGWMLRYDILEQNPNKWRQTSITM GLEVYKKLKNSCVYKMHIIFDRVLVIYFVYMQNKGLIGQIITIPNLNKRLMVIQKKEVMM IENQ >CAK94404 pep:novel supercontig:GCA_000165425.1:CT868677:154152:156095:1 gene:GSPATT00026728001 transcript:CAK94404 MNPPPSNKIINCPGKRVSDYLFVKEIGKGAFGQVFQAKNLLTNEVVAIKCIARSKLTDHG GIVGQLIQSEVEVLKQINNQHVVRLVQYLESANQCYIVLEYCNSGDFEQLWQSRNKRIPE NEATNYMKQVLAGMQALHEKNILHRDLKLANILIHNSTLKIADLGFCKQLSDPNQQEKLS LGSLGNMAPEVVEQQPYGMAADMFSIGSMFYQLIFGQFPFTNQSQQKFLDDINNNKPNFR RNGLVISLELEQLLERMLMKDPNRRLKWSELYSHPLMQQKDLRYAQLSLNAIQADLINTK EMEQFYKDKDQNKMVEKPQDILSRLNINKQQEFQINRVVSQQLIEDEGTPGQLEDNIKQV EQLQQNMEQQIQEDKLVEYYIDKYMRLRDEIVYVSRTLNEAYENLGLDQCCLLCLVLAKK IYILNERLTKTLLEKDNIFQINDRILIKIYAHQHFEKFIQQIVEETTFAKDYVTYFAESL QHQPKLLQNKQGWHSEINKEISPQFNQIFKEVLNDFVFKLMEKTHDNNMKKEYDDEKKKS DNKHLFQLQIHLIDCSKYDKKYNQHGVIQKLFNYQKVNIYEQFDGLANKPVFEQQQLAQQ RFDEMFD >CAK94405 pep:novel supercontig:GCA_000165425.1:CT868677:156144:156464:1 gene:GSPATT00026729001 transcript:CAK94405 MADENLEQIIDMPEQSDMINDARTQAKYAVEHFKVESQISNYIKKFFDEKYGPNWHCVVG KHFNSYSSYESKRYMFFYEGQMAILLYKMG >CAK94406 pep:novel supercontig:GCA_000165425.1:CT868677:157878:158299:1 gene:GSPATT00026730001 transcript:CAK94406 MNELTNFLKKSEIFVYKQLEIPDKQQSDEIEQVKDNLYKKKWQQKSIQIKKLILRKIKDS QQEAFQQILNLNFRQVLKMIGLLQRQFQNQSLLNIQQIMCLFFSQDISCEYQQYYSPFKN EICMCVLY >CAK94407 pep:novel supercontig:GCA_000165425.1:CT868677:159749:161375:1 gene:GSPATT00026731001 transcript:CAK94407 MQSLRNANIQQLERPRGGSVNAKSFLKNAQNSNKGESQSFFLQANNSKKTFESQMPNKNQ TSQHDPHLSYLNNLQSSEKQIKNQKLSTLTSKFSTQNLKYLSPSNINTTKVDTPSDNKQF FIQTARVNSLLQEISDIKLQNEKVKQFYQSQIHNLQNNQDQLKIENLSLSNAMKGLTQQL SDARQIMQRIYQQTDLEELNNSFDQIDFNNNPNIQQAFYQFQSEIFQFIYEYQSQTNQKI QQKQQQLNKIIIKLNQIIQKQKGIDHKSIIYDLQQTNTSLLNQLKSQEVQLKLVHKKTTA PISNELEEQINEFKKHHQYIINNLEQEKEIAVEQEAQLKKEFQIRIQKLEQELSLLLDEN QRKEQLISELNDLNQSKVLQMQKQEELQLLTDKLQESINIQVNLNDQIVILKQNCNSLLN DFEKQLFEIQKLQKEKQYFQTQFENQQNENMELLNKQQLQDENHQIQIDSLKNEWQQQNY SLSEKLKINESKLNKLQDNNNTLQKQKQELQNEIKLFQNETNKFKDQIDQIQQF >CAK94408 pep:novel supercontig:GCA_000165425.1:CT868677:161455:163506:1 gene:GSPATT00026732001 transcript:CAK94408 MQLRREVLEKQIVELKQNSQQVSQNKQHEQPRDSKNEDKQSEIKTLLINNCGLQNQIKQE QLNQQQLKTQIANLQNDIILYQQQKTQIEQQLGQQQKYNQELLMQMEELTQNNLDQQEQQ ANEWQSQYSMLTQKQNQSDQNLNQALQMNKELGVRVLELEQKLEEEIDRNQQLVEESKKY SIDSKQKEVEIGQFKSQIQMLAQQLNNLEKEKSDLKAIQQNGYVQEIQEYQNQTRHLEQE NQVLQEKLRLHSNTCIQEIQKYQLKIQQYENESKENQNNQRQLNDRLQETLKNLEQIQQQ LHEEQFKSQQLMKQLSSLSENKSSSQPNQDFMDLFDVQQSPRGQQIGTVQLPETSQSEEQ ISVLSQQLHLLQLQIKQQNWSLEEKDIIINEINQQKSQIENSWNKSKEEIKKLEGEIFDL KQELQSLDQLIKDINHYQQKISNQQQIIQSLQKQIEDLQKESQEQEYKLTIQQQSEKQLV NKELLQQKDEEILKLQEEMKNIYQKVEQRMNKSVISNGSKRSQNIVKFEQFEDLDENDVS VESMDEEQILEKFQLQKVLKENNDKIILNQQELKQKEQEILDLKEEVSRLKGQQYNQFLN RQGAGHKNEENNKEMMQKQQNEILDLQKEVEIQNQMIQQLLDEKKYQFIFQPRLLEEIIQ TQQNNNQSIKEHSQFNEINDWFN >CAK94409 pep:novel supercontig:GCA_000165425.1:CT868677:163547:165725:-1 gene:GSPATT00026733001 transcript:CAK94409 MSRRKTNANTKEVQIDIVDEIQSKELMYAPVIQMYTDNQSLTEMQVDSITGVMQNIEIFK SWCPETSTLFEFTRLISQNLQYESFQKQNAVFDLGDQGDKFYIILTGTAAVYIKRQPQQI ETEEQEIQPKIEQYLEKMQLNSISEIESQSRFSFYEKLIKKQTKPLKQIESELLLLNTGN FDMYFTNYGICKFQQISQLHSGQYFGDMALTTDKPRAASIITISDLQVLSLNKSNFKKIF EKQIKSQQEKIEYFLRMFPTMTKFKISKLIMYFSQYKYPQNYKIWKQNDLADGFFLLKEG EIQLQKTIDFHPFLKQEQNQLPIEPKKDKIVQIDLVTLANLTDGCFIGETDIYLQNERRD YTVKTVSQCIVYVLNHDNYLIVKRQFPEFINPLQLLSQKNANLYNKRLEEIIQTKILNLN LHKREESNIVERRYLNDIEMNQQRKLIKSPQISSPILRSSIHPKMTKQQMVEQNQSIALQ HSKSDKVSNKQEEFNMLKMAGDNFQKCLLIRVEKQFEQFQPTKPKGKTPCFSKHQKDSKD LLDQIKRNLIPKENNQQEEPEYQQNSLPFLTMSKRQFRITSPQIQQKMEILKQCLSNPMS SHSKSVSQSVDQFYKIKAQQGFVLCDSLHPIPDHGSTRSRFDKIFTNVKITSRNQKSSKQ IWNSQKISMDVIDTNFFSSQPTVQYI >CAK94410 pep:novel supercontig:GCA_000165425.1:CT868677:165752:166446:1 gene:GSPATT00026734001 transcript:CAK94410 MSEGTVNIKLTIQTILWVLISLILVVIQHLYSRRKIPSQPQQEIIQEQIKDIDSPMRPRK VAALMDEQLTLSKEDSCAGMGESLQLKTIQSQQDFDEISITSSLRKRSNSIASEGLQRKV SFDESQNKIHTYKKNNKLEIRNFESSFQSIKQEKKKKNELVKQKRKEENFKKMNINDINK KGRKRKSSLDENLSQSSY >CAK94411 pep:novel supercontig:GCA_000165425.1:CT868677:166471:170390:1 gene:GSPATT00026735001 transcript:CAK94411 MFLELYQFLLLNIYLSILQFKSKIIFKVNNVKHALSKKWQSQYFLNKLIEYQFMDPSLVL YILILRTQRKRDVQGSYRAKFEQIKIQVVDDKNVLSTSIILQPQYDKMTMVMIRLEDYYL IRAQQIEDYHINTIQNAIHQKRFNPTLISKVAGKSINVPIFQILKSGSSIWESNNQSQQQ QNIFNTASSSMNDNRQQNNFFSSMNNQNNQQQQSKQYGNIFSTAPINNNTTNSANIFSNS RQNQQQLNIFNQNVFNNTNPQSEQNNYQTKDQNVPWMQSQQQQQIGNIFQQNKPQLQIQI PNQQQSNSNNDAIQFNNNQTINSIFSQPQQQQQMNNNLQSPFFQQQQQTSQQQQIFQQQQ SQIQQQQQMIPIQMPSQPFQPFQNMNNMNMLPNDLQMIQYQNQMNPIQFIKQSVDMINTF TTTLNNSIQEMEKMYKLEEEKYLEQEYLINQLEQKKRIERQKKQQEINLLPKKKNDSHTL FRMMHSSNHLNISNSQKNSRISTQQSQVNCGNNVSRISSKQTKTKKEYIIEIYFEESENF ITFNEEFKDIKSKNDFKEQILQKIDQMQVFQNDKAAYFAKSELIIDENNNEDNHKTLSFR IFQTYRPILTKNGYYTLPDISQLTINQLKNVEDFTIFNEYGSLRWDSPTNLLYLNLDRIV DIQDSKVEVYDLDQIHEYLKPKVNKKLNKSCLITLKVPVEINQENYDKEHKFLSQKCHEQ NIELVEIDQIKQQFVVRVNHFSIYTFVHDEHEEQQQQQQQQQQQQSIFSENTNNQQSQEQ IDSKQNQDKQMKQNEFDEKHYLFLQQVNDICSLTKQSTNQKISKINQTKRQNIELVEDFQ ICVSNKEMNEIDYQICHYFMQDFEIQKEIPIQNQFCIKLLKCLFAIRNDDRSQLAPNEKK LLGQRLQFFNILFGNSLIQLEDYIQFLELYPEQYDFSDLEQYQRPNQFQQGLSLILYLES IQACRLKDETEKIKNKNLLNQTQKQQQMLSFLSAQPSKVIDLPFQADDWISMILKWKVQQ NAVQYSQEWWIGLLNLLEENEIQSTELASLMYFLSSKQNRPLEKIYNYLNKNHNQMSIFL EILIYNQDKANVKIHKRIITNKLTFLIENKENSYFIEQILQQFQELFTVSESEKYKEYIS KMQSTLFYQENFLIIDEEIRLQKLIDQQDYINLGIYILKLNSPRFENYVKFLFEYTIPIL IIFGEIESLTNITQELKAKQGIYLFKNRYQQILDMSEVFCGTLMYESRIFEDFKSKNVFE YQMLQVLDEYYFT >CAK94412 pep:novel supercontig:GCA_000165425.1:CT868677:170424:172214:-1 gene:GSPATT00026736001 transcript:CAK94412 MDQPLKLLEANTTPIPDKFSTNMRQLISSLLQVDPNRRPNIHEVLKMPIIVNRIRSVLSE SIRNVEFSHTILHKQNFVNHNLIVPYDTELRSVCSQPNLGKIQQQPLQQFQQQQQPSNYL LAFNARGQPQNNLLKQKQLYQQHLPQNYDYISKPSQQNYNPYMNYPKYQYNKQQQQQQQQ QQQQQIQPLQVNNRPNISPLNQQRNEKSPYYEDKSPLSKSPFNKEGRDKSPFEIQREQVR QQIKRNEEKFQQLQENKLKYLQPDKYELPKLSREPYSQPQIQQKYQKQQSDPMSVKDPIR LEPINNNQKTEQQQQKIDLQQKIEQQLQSQYPSSSRDQKEQRDSVYQAPQTERVQVAQYI SQPPPSQQQADPIQKTESVKPSRQQLKESQLILQEIREIKNDTKDDREKMKQLMEMKRQR NSNTQSEIQEVIKEASKNSEEQENEASQLLAELEDIVIAAEGGDVKHSQVINVGDGDLLN IQQSVRESVDREDDDSLEQKSNASNENGERDSFQDDEDIICETPKELLYQLLLRQIGQEQ LTKALNKIQNTYSQEYINLMQNGLEELQQYKALIFMYECM >CAK94413 pep:novel supercontig:GCA_000165425.1:CT868677:172223:172859:-1 gene:GSPATT00026737001 transcript:CAK94413 MAQNENEIYRRIKLLGEGSFGKAYLVECIQDGTLCVIKQVDLNQMKEDERRETIKEARIL EALRHPNIVKIQRSRLCIVMDYADGGDLSNKIKQTGSCLFSEVQILDWFTQICLAIKHVH DRKIIHRDLKTQNIFLTQDGIIKLGDFGIARVLNHTREKCKTIVGTPYYLSPEIIESRDY SFKTDIWSLGIILYELCTPQTSIQC >CAK94414 pep:novel supercontig:GCA_000165425.1:CT868677:172913:173321:-1 gene:GSPATT00026738001 transcript:CAK94414 MNYKNLLPQLEDKIHQLTPFEEKYAIVQPKYEQELNRSDELQKKIRNQEQQLQELEKVKK IVQDQTVMLTVLFGECEGLRQQCTDKDGEIQDLRFNVAQLSDQ >CAK94415 pep:novel supercontig:GCA_000165425.1:CT868677:173421:176259:-1 gene:GSPATT00026739001 transcript:CAK94415 MSYTSRYSSARPQTVKSQVETKPTTTPTVQVTKPADQSPQPVQRSDVRRSSQLRASGQPT VINVPPPTTERKWLGKNPEVVCFLLALENDRMMRENNAQFNRIKELEGVNEQQEIRIREL QTKLDSQTTEISNYKLKSEIEKHIIRIKQLESELNEYKSKLGSVDQSLAGRLKEAERKLQ DSDKELTNWKNKYNTDKTNWDNEIRRLNDLLAQKQKELDDYKNNQGKNYEQLQQQLRQYE TKIREYESQISTYKSDIDRLNKLLLERNSEIDRLKTELKTRLQELDDWRSKFTNLQNQFN TMKSQYESKINDLTNTLQNRDRDLNDWKNKYAKLEGQFNNLGSLQSGSESKITDLQNRLS QLQSDFDRQATQLRDRDAQIQALKEKLQRLEQDNFTLNNQLQDLQSRYNNLLKENEKLQN ELRNRKNELDFMKQQLQQANDQLKRYEDQINQLNEQIMECKDQIMALQNSKEMLERQLQD LYAKSNDNVGELKSANDQLEGKDKDIADLRDQLNQFQVDRQIMESMISSLNNQLANKNDE IQRLLAQNKLRLQQLLELQSRYAQLDYLLSDLKGVELQNKQLIARINELTQLVDDLKRKL AQQELQLLQSKSNEFKIQELESIIKELAKEIQRLNEFLNQKLTENDKLNMQIISMENEIN KLKNLEPLLRELEKKLQTHLDDITRLKQLLDSRTNDLNEWRLKYSKLEPVIDEKRQLEEK NRLFENRIQGLQQDIERLTKQLRQKQLDIDQLNWILNQTEGLLRNRDDSINSLETRLNNL QRSYDNERQKTLNFEQIQSQLQQALDKINQLETQIKGLQNDLNRAKKEVTYQQNENDQMR QQIAKEEITILDLKQNEARFKEVENRLNQSQKEVEKLTRQLNDKLQAYEELKQNNGLLDT KYKNLQQQYQGNYYGEININQNKINNLILNS >CAK94416 pep:novel supercontig:GCA_000165425.1:CT868677:176259:176959:-1 gene:GSPATT00026740001 transcript:CAK94416 MQEQIFKIVIIGNSAVGKSSLLIRYCDDTFRDIYLSTIGVDFRFKTIKLDGQGIKLQIWD TAGQERFRNITNSYYKGAQGIVIVYDVTNAKSFEDVTKYWMAELSHYADQNVKLMLLGNK IDMATEETRQVSQEMAEQLSKEFNMQHHLVSAKTAEEVENAFLNLARSIYNEKSLREMVH PQQQQLTSNKNKDEKKKVCC >CAK94417 pep:novel supercontig:GCA_000165425.1:CT868677:178049:178800:1 gene:GSPATT00026741001 transcript:CAK94417 MLYHEFKYKVELENYDKLNLIQYTMEQQGMNLEEILDRQQLNKVMMTVKKLIFSQCPDLN REQKYLNFLAQLIIIRFFILMLNSPFRFNILEYFQESCDAELLNILLPIILSMFLEFILL YCLVFVLVIALPLLCCLSIYRKGKHLYSIRRLKKYLDSIPPHKYTGSDDTWMKEDKTCCI CMQEYVQHENILQLPCSGQHQFHELCIRNWFNVSTSCPICRQQLG >CAK94418 pep:novel supercontig:GCA_000165425.1:CT868677:178908:180780:1 gene:GSPATT00026742001 transcript:CAK94418 MDQFKRIKKIGKGNYGDVLLVQRKSDDKLFAIKRVDLSFRESYVVDPLNEVKLLRSLDHP NIISHYDSFTHNNKLCIVMEYAENADLSMMTNQAKQTQTYIDENTVQYLIYAILQILGWF SQISIAIQYLHQLKIIHRDIKLQNIFLCNNGIVKLGDFGISRTLDSTLDLAQTSIGTPFY LSPEICQNQQYNHKIDIWMLGCTLYELCSLQKPFKGESISEIAFKIINEPHPKIHRNYSD FISQLIDEMLEKNPEKRPDISTILQYPQIQSELYRLQGHYKTQFNYIIPVSPIQSNKSSQ KKMHKNSIHFLVEQSKQLQQQQQQQQQQQSPQVCNQKRRKVSLQQLIQDTSNQNSPTFKQ LATADNANKNKPLSFNLHTLPDVINESIQQNQAVMAQKQLRYQKSISINTQIDDSTKRGT NEYENENQPTLLKNPKTFSFAGQFLNPNAPTSPQRSILLTDFLKRKIGEERFQQMKTLLE SSNNPIKMLDQEKELVSEILGEENMECIKIFKVLISSSITPQAQHTRTKSSQSFQQYHHN DQSLIDLIKDSDLIQNTEHSQNSVFEISFKNLQNCHDAFKQS >CAK94419 pep:novel supercontig:GCA_000165425.1:CT868677:181071:181459:1 gene:GSPATT00026743001 transcript:CAK94419 MNSSRYGVGNRSSVSQSQNQQKPAKGLWGKLIRFFQTGWLNPRSLYRQSRRLLWVGTTGL IFLIAPFAFSHFLEVSEELSQISRMGAQEMSKI >CAK94420 pep:novel supercontig:GCA_000165425.1:CT868677:181462:182156:-1 gene:GSPATT00026744001 transcript:CAK94420 MGEIVSNQLFVAGYSRSKVQDEKDVREIFRKYGSVKEVAYKGSYSFITFSNEDEAKEALT EMNGATYNGQKLKVDVVDNRKGRRNGPNESDECFKCGKGGHWARDCPKGRSPRRSRRYSN SRSRHHKRRSGSRSRSSYSSSRSRRRNRDHRNRRRYSNSPRRGEEQKKGNYNKRRSPSDS QHHSTS >CAK94421 pep:novel supercontig:GCA_000165425.1:CT868677:182212:184863:1 gene:GSPATT00026745001 transcript:CAK94421 MSVQRLPLEKQATPRHNSSKPIQQVSSTARTITKPPLVQQEMNPDNTILSFMKSLDGKQA GILNRSIRDGEKLHKPLIKPRTYIAQQKTIPTSNVERQILNNLETRIQKLPQYEQELVLQ ILASIENGQPKHEELISFFKSKRIHLKIHSNYGNKTQVGLTSIELLNNNRKYVKINCIYS DDDSHNTINNLINGHNLTIYSEQMWITNFRQFPITITVCYLLQEESEFLTSVKIWNFNKN RKELDKCVCELEILQNDYVLWQGQIARGVANTFSEYAQTIELLPQPQQVVNTIKENETRI KNQNDSTQPFNQSSQSINSKKSDENKEINLSKASSQQKHAKPKLIFDPFDDDKKFKKFEQ KQEPSSVKTKQTIHQQRFFKKSLNLFSQKQLTEEDSTSPQLNFKRGVAHIAAGTTSTRTK NISIPECPFGSKLIIKFLKNWGDLYSIGLTGIEIFDYNGKKVKINSVSGFFRNAAVLFDD NYLTQDDKNMCIERIDKNMEITIKFNDTKLSMIRIWNYNKGRTYRAKCVRNIEIVLDTDS IFSGEIKQANGTCGIDNAEYIMFTNNPQIMEKIQGQDWLNTLHESQIQQQKHILENTVKL SRPDTATFNKPKGEITAQKFANNNMNSQLNKDYQHQKSDSIPQIIRQESESKLQQFPKRL FSSQNQINVKSLPTVTVKVLTLYIIRNWGDKFVGLDKIDIHDKFGQSMKIKKYKVITSQS ETVVNSDERWQLNNGKIKIQFVFIQPTQISRVSIWNYNKEDELEKGVQMINIKGDNQILN TIQGIYLRKGHGLSDVNEPQLIELPYQKRIDTVMVNYQFERNIYQDYETTQLPQGTKLVI KLLSTWGVFAVILD >CAK94422 pep:novel supercontig:GCA_000165425.1:CT868677:184950:185207:1 gene:GSPATT00026746001 transcript:CAK94422 MEMDVRTPDKLLNNVNITLDGKQMWLSPFVNSYTDRLKTNINSSVFDQISYQVNKLILLF DTPQQISAISFYNYSKTPVRGAKGM >CAK94423 pep:novel supercontig:GCA_000165425.1:CT868677:185230:185555:1 gene:GSPATT00026747001 transcript:CAK94423 MYQVNRFIYFKGYLNLSTLTQTVRGIMGNNKTTVLFTRDERLIDQIGTVEIRESIIGSET LLINENQKLKYNSIKNKEVRLQQQGLYKELDRPTTTQVY >CAK94424 pep:novel supercontig:GCA_000165425.1:CT868677:185998:187474:-1 gene:GSPATT00026748001 transcript:CAK94424 MKVRKNSQSGKVSERSSRRQLENEQLVKKPDFLKAATLGQIFVVCTQQLGGLEDGNPNQY SQGKITKLQFRKFLMTEQICFVFNLMGLGLSVMQYDLEFEEENEDISNCLLWIIFISTLV LLVLTVLRYQQHMNWLKSRKQISQNDRIWQTEQWYPMLIELIIYCVVPFPFTIGMRVYFY NSFQDATAYYHVNEILALFMITRTVFIFRTILAQTFWYSNRTQRVCNLYACEGNYMFVAK SLMRTSPYTSQFIALVSLIGIFGYGVRICENPLARNDPANNNLGRYANALWNIIITITTV GYGDFYTRTDLGRFVIFVVCILGIFVISVMVVTLINSLVISTLESHAITVLERIQLRQNL VSSASWVVFYSLKIYVALKKGNLSKVQLKILLIKLRKNLNDFKISRRKYRNKQDVGNMNE EITNQFSLQKSDFSEVIEKQKGLLQQNQDIMNKLGVEAKPYISSPQL >CAK94425 pep:novel supercontig:GCA_000165425.1:CT868677:187576:188025:1 gene:GSPATT00026749001 transcript:CAK94425 MNKYLCFMFQELLIIFIKTINFGISHFYRDEKSQTLRFNAYILKGLQYNHMEEIMRIKLI NLNNLIIKNNSIKVISKVYIEM >CAK94426 pep:novel supercontig:GCA_000165425.1:CT868677:188126:190232:1 gene:GSPATT00026750001 transcript:CAK94426 MSDAPRKLFFRNTSNQPQQPPVVRQVNEQPNQETNVQPINQTKDLNQIGLDVVQPGEVSL QQVNVVCFNGVQQFSGLLVATNYQVVFSPQRFPKDFRKDYFQIPYTFMIKVDRSVDKKQN DSIILEISSKDGRQLRYRFYREQSDDCACLFNLINKNAYTLQKTTLFAFTFYKEMQNLEN EFQGWKIYNIEKDFERMGVLIQQESQQQQMNVLYKYVNNEGGQICATYYNRLIVPARVDM DCIQKTAKFRSKERIPILSYAFQVNGKIVSLWRSAQCRIGIGQIRSLEDELYLKQMSQQM VDEPENQQEEIQLKIFDARSDVNSIGQQTSGKGFENPMYYKNCSIEFLEIQNIHKMRESQ TKLLKNSFSETISFISQLEISQWYEHIVSLIQGAVRISLSLTKEKLNVLVHCNDGWDKTP QLVSLVQIMIDGYYRTFDGFMMLIQKEWINNGHQFCQRSAIGNRQHSDDCRSPIFFQFLD CVYQMLQLYPLSFEFNVKLLLDLAYHHMSSLFGSFLCDSFLEIQKQKVMESTVSIWSWVM KYKEKYRNAYYLNPGSIEQEVIIPEQFTGKSVKFWQEYFLNYSSEFNDVYGIHPSSQFTR QSISIWSRDNLQEMYKALAKENQVLRLAQMDQEKLAHLNQQKFNQLFQIIQETQNEKIIE KLESIQLT >CAK94427 pep:novel supercontig:GCA_000165425.1:CT868677:190292:193342:1 gene:GSPATT00026751001 transcript:CAK94427 MQQIHSHCPLCNQLQYCQTISTILIENSNSSSTFLEYVLNPIISVYQLTIDDQKFMMKQK SIQNLQFHHYDHENKAFPVDFDVLYGFDFFIQGCQFVKENLLSEANEHFEIFDKINLFTQ PIFKRQIPIYSRFSNTYFNDVCDGLLNILKYCYQKVETNGNPNIKQFILQKCSQILQSIE NFQTQSQIFNENSLQLKQERKEKLHRSQVQLACLSGNFTEDAVFTPTYYRFLQDHSHINQ IGGILFTGLTHSAKLWEIFFAKLNGEQRLSHITSLVIFLNKQYNESYLICLLKLAKGLKR FKEYWLSLVIFSFFRKVTILFQKQQNFNPYLPFVYDQMAKICLYLGQHNEAYQYYLKAYE KSKNCSDLYIESKDKTKFSYKLKYKLMILALFLQHSQAAVEIVKELQSDRISAPYHINVF TNYLKEYLDDISIFNNTQKYRKCEQFLKHLSKVVRSNLNSIQIPQDDIKESSNLIELKDK GIPKVTLKQKIEYQFKFNSFFQILQFYLILVCHMKNDQLSSKHFFEQQEILDQFLQYIDC KLYTTLHNVIEQFSYPQDCYENLLMCHFYQYLSMYDQSKKRLLLYEKIKQETKSKYNNQE SLSFNRIIENYRSSIQQNREETFLEFILPSSDTVEAQFQIGVRHLQDGRYKEAVQYLQKV GQNPAYRDLCKSHLLKLVQQSRENLAIVNSSLSKEDIHEIIKEIDFDRLTLIQTRRNTQY LERSRQSYQSEVKMSYNYLIESKSLSQISRNGSVVNDYYCQNSTVHELKRYLNDQEITEL IDIIEDDFKNIQQFDSNSFQSRYPKHESFNEANLIMFSNENEKIVMKIKEVSEIQLDKLK SNLKDILVEILAQIVVNKLNYRGFAQLITLYYQFNISQIYASNLKFYLIFPYYEPLPKMN IKQLKDLTLSIKILNHNQFIHRDLKPQNILMKDGNPVIIDFDCSYFLVPKLQKWLRGKGL TNKYYPKNDVEQDKVDIYSLGIIGREIDYCPEEFYQGATAEYDNRYSLLKLLEMLN >CAK94428 pep:novel supercontig:GCA_000165425.1:CT868677:193563:195396:-1 gene:GSPATT00026752001 transcript:CAK94428 MRIIISKVDFFHQFQVENIDYEAYDYCLKHLTFETFQKNTVIFEKGEQPHNMYVVIRGQV SLYSNDHLIDQTTTRKHSVSVDYGRESPYANRIRFSDFKVKSLVRLTEFKDWKTFGEQAI LDQRLRTNIAVCDIDSALAILTRENYTTAVQILEKKKEQHRMNNFKLNPSFQGLNKKLIN LMLFAYQSSDYKFRDIIYKQGQSDSDTIYIIKQGEFLMYQEQKMNEQQQTKVRKQIAIMT TGEMFGDYEAFERVPRQFNVQCNSHTASLIIIPLQSLYQKLSQFNEQHYVQQLRALCLKK HKWYHDFKSSIEQTQEKYQHFLTIQDQKQSHLNNSFNKLDSPTKILNDSKQMTDTILCVS PIAELKNTLNYLTSIDDTQGQMNEQLVELNTSINNSKTKNRNTIYLPSPDKLQPLPKIKL VSNRQQERNQLSTPDRFNQVIRNKMTILKRMHNLSPEDSVNINTLQQKTIYVQLPKQVKL EQNIEKQWPIKATLYLNDLDDRMRYHSNNSKLQNCNSSRDSSVVYEQLSLRMDGSEQYSQ RTSRMGILNNILQHSVTKRGKNKKKRALTLKYREYLESQMQQKTQNSLQYS >CAK94429 pep:novel supercontig:GCA_000165425.1:CT868677:195570:196202:1 gene:GSPATT00026753001 transcript:CAK94429 MDINLGEIFIPNDYTLTDFKYQDIEIQLYSLNSGSTDFDLTGQIIWPASIELTKFIIDNN QLFKDKNVLELGAGAGLCGFVAAKYAKNVIITDGNQIVQDLITKNIEHLKLNNVQGSLFQ WGYENSKAFKDIDIIIGADIIFWPQSIVPLYETVKYFNEQNENIQMFVSGIKRYQQTEDE IDNLLTSIKRPRVLIKQINDYKQIVYIYKL >CAK94430 pep:novel supercontig:GCA_000165425.1:CT868677:196616:198359:-1 gene:GSPATT00026754001 transcript:CAK94430 MFMSDQLYCVDERCNLSEKLITNWTNFLQHQELEHKMLTIKQFKSYLIEKKSTNATQQND ERKFQYISLAKEKLDNLFDSIESRIQKLVKQELEEIFELCLVDLETQIQNCIVNENIQLD HFETYFQFEGYQTKCLNFYNNNIYNEIQDIINQFCKLKDIQFEGIFGSLTQSFSHQKYMI QQIEKSTTLNQKKIKENQVDLPSSSGHKQGEQTQQEYYQFKEQNSQSKPIHPTTLQGYNQ VEKSQLDYFQNQDYAQESKTEALLPLKGYKQVEKVQQDYYQFNEYTQDSKPERPINQQRF NYADNSQVDCIQNKISYEQTPESYPPLKGYKQAEQKQNEYFSYKERQQDAKQEPSLNFQG FNQVEKIQQNYYQNKEISQESKIESKVKKNPVITQEVKPQANDQKQPKKLNLNQKKKEVT QVQQIQNKISEHVKDAKNPEFNELNGKLAFFTKQFMIGQVELLDNFKTAKSKLGFVLFDG FFNKTANIKLEVLIQEYDKQSVQSQQKYFRKQKQDQLIGIIFNYLEKQENHRKESIVLTV KEVNKNSKYKVLLKMENKKANKNIRIFLEHILI >CAK94431 pep:novel supercontig:GCA_000165425.1:CT868677:198514:198933:-1 gene:GSPATT00026755001 transcript:CAK94431 MNKFLITLARIPKCQPRFGFADVLKDKDKGDEKVYFTKEDQKLMSKLLKKMKENNEKPKS SGSNDKEDKEDLQKIFSRYKINYTDALIEEVLNWKRGD >CAK94432 pep:novel supercontig:GCA_000165425.1:CT868677:199022:200813:-1 gene:GSPATT00026756001 transcript:CAK94432 MDDQLSSLILCQKHIQELFTLNQRLEKKLELQDNKISQIQLLVAQRNEDLQLAIEKYTPL KIFREETTYLRNFLEQKTKAFQNQFDQLQQQQTRQMQILKEENQQHHQTAVEKVSRTTNT VLFQMEQIEKKIHSETVIMVEELTRKSAEMEQNIMKLFQQKQKLLEKLAISQESSNAKIN LFENTLNQQLSTISKIQQTAQKQTPNHSVRKLTFDNAEVPIEYLKRQEDALNYMTASIKN IEKKLAETEQKPKLKRELSFDQFQVQWSQQKPNHQQHSSLPASSIKPILIKEEQSSELAQ NKSSRSEYEKSSRSELAQNVAEPLTSEMKSPSTQQNRDISKMPLLIKTSPNPITASPIPN FYDDVRMKQEYEKKMQQIKSQEEQQRQSRMKQQQEYERQLQNLKKSQSQLSNDSSMLKNN DSYFFKQEQSLNRNDSQEIIKSSGGLRSLMQKSKERDQQPQTSQSKSNLNLSNQQKKYSQ QQSKEQLGPIKNQNVATGGRKSRTSQMRNLAQQQRHHQDQSAEEIVYQLDEDGYLMDENG NYLLDEKGNYIQISDKDLEELKKQNLVIEQE >CAK94433 pep:novel supercontig:GCA_000165425.1:CT868677:200847:202969:-1 gene:GSPATT00026757001 transcript:CAK94433 MKQVFHQLAKQHNITNNKSFTLPTKQSLEQSYQDVKFQFVMQFSKATTQEQLEQIVNILF NHGFQSYKTRNLQNDKILLFICIWDPKIILEQAELMQLYKLVIDRSHTQMHEVYRKIAEK INVKKILDERLVMALNQIDKRIVELENYQKFKYHLKSDFCLHNEDSEVIKIFSPSETLLI IYNYLHTIKIGDLDMIHFLKTEEYLLQVTPIHDEIVSLNTVSDIERYFGGNLAVYFEFMN FYQSMLKYLALAAIITLIVDHQSSLFKITNVASFYAVLNMVWSTFFIIAWRRKENELSIE WGVFGQQHIKRLDLNPEYKGTPKMNYITGMVKNGYPTSSRIFYYMVSLFEAIPILIIAGL IKIVVFNINGLIRNESSIFYIKVAAQLNQEGGLLYYKYTTNILDIFTILLIFYINTLYTK VCINSTKRENHRTNLRFYNSLILKRFLFELINRFFHLFYIAFIEFDIPTLRSLLIKLFVM DQIRRVLLESLLPMLMKQQYEKQKEQRKTLMKRLLIELYAELELWEYDDFDDYIEVIFQY GYIVLFAAIFPLAAALTYIFNFIEIWSDKFKLANKLYQRNLPKKAYSIGEWRSVLMTLSV LSIYTNTAFIALAYFNVFDTCQKTGCDWENILILLFIIEHFSLGLKYIVQQTINCKPKWV RIVLRRMRRKRRNFNY >CAK94434 pep:novel supercontig:GCA_000165425.1:CT868677:203005:204940:1 gene:GSPATT00026758001 transcript:CAK94434 MNQLDEVVKFALYQCRARNNPITETLASYVAQTILNKRTNKFYLEEKLNESELNELKNET LNKLSNQNAPDLKTIQLQIQYDSAYVEMELQRQEKIKKQSNETGKYIDDVVTLEIKNAKD FEGLTTLYTKMFHYLIYKNKELIEDPINFDAQAQFNMDKEVGAALESVIPRAALGPFVSL NPSEKVTQLVELANLVIGIRLFNKKIGKGGVSLSSLEDLTKYNARELSDQIKQIALETIE QCEIYTTFFINQDKFQMNNEDIEKYKDELAFLRQYLSYILSLQEDIEQSEAMIEQNRIRF IKEMEDLKKLLEHKSSAPKEQVYPKFALLAQSHIGLFEDKQISIERVELFRLLVDLKKMM KLSMPLAIQKQCKQMESTQPDNLALINYQPESGVQRLLPQNTPDFMQTQLDYFGFCIWSV VKKNGLLIPGKPSLGVFRYRDKNCVFSNEIAINEFLSEPQRYLTGVIDICRQKPHLIRLF RVEENFKNLNLKLTFESGSLLSNKLMVDKDVQTPVHFIEKNLDPNYCWNEWELRRKAIQM ANIRKRQTKASQTILSNFKVDSEAQVYEMKDQSTSTGQNKGLNPLRPRNYIVGLRDKTYN QQ >CAK94435 pep:novel supercontig:GCA_000165425.1:CT868677:205079:205575:1 gene:GSPATT00026759001 transcript:CAK94435 MSHTEQSEMHPGMQMMDMRMYMIINWDYKVRFVFNTWKSTTQGEFVGGLFVTMFFCAFLC LLPVLKGFAERQKSLFLKIVWQLLAASQSSITMFLLMTVNGWVFLAMALGIGFGSFIFYP QMPISEKHQREDDQQVLERVN >CAK94436 pep:novel supercontig:GCA_000165425.1:CT868677:206243:206829:-1 gene:GSPATT00026760001 transcript:CAK94436 MEELGMRPETSKCSRMEKRRLVSQSHQRQESSQAPRINGITLQQYFRGNNFRVLEINEDQ WDNPQVAQPRAPPLQNNNFRIKTDKKIKNNKLLEKSPAQNLTMSKDFNQHKVIRPQMTQN EFFQNDDELRKTKMEFKYGRMREDMINRFGNPDQDLINKYAEKFNINREPPKLTLAPREL LTKIK >CAK94437 pep:novel supercontig:GCA_000165425.1:CT868677:207951:209087:1 gene:GSPATT00026761001 transcript:CAK94437 MSTPESLNPAPLTSLGDHSYKKVLEDDYSTGKLNLKFKGNTKNLGTANYKGWLDIAKQQS KQETKFQFPYKNQFVQIATREDGAKVHVDFGQVAKVGDKARINLFANAKFGSSNFGSAIL RFGGVTQWNQLTHHLRFEYNPTNTTVNALSRTFWKNQDWVIASAEDFQVLSGFGIRRFDF LVGRLSKNYDFFFRYLTQEKTIAKELNQLTTGRVLVDVVFRQNKQTFGLEAEYNLAKSSL NALVGVSTKVEKVDVKARINLTQQKLGLSGKGKLNDRFNWTLSTEVPLNGTVPQKLGYFP LPVGFTLDASL >CAK94438 pep:novel supercontig:GCA_000165425.1:CT868677:209104:210726:-1 gene:GSPATT00026762001 transcript:CAK94438 MIKFTINNTDQYYIFINYEYACSCVKQSQNTIFFVNLKLVDFDIIKPLGKDAYSEVFLVK NKLTGHESALKIVDKNFLLKEKKKHHAYIEREVLSKLRHQGIIKLFNSFEEHDKLFYCLE VLTDGNLLEYMNRHILNGSIIMFYAAELIDWCTEMIIIQNLQILEQYLFMIIMKLISEIN QKILGLNIKNKDLLLQTILRNPKLSIAVILVLWLIDYNVIGPQADLWALGCFIYQLYTNK TPFYSENEFELFNNISQCSWSQDQSIPNDALDLIKILLDKDPIKRFLGEFDDSQYHYERF KQHQFFRGISFKQMWLQDVPVINNIDQHKRKTSRIQTTFIESNRALRDKYDNQGISQIIM QGQLDKEHGVLFMTQFSLRYASIVCQDEKVLFVYINPQLNNKKTVIPLNQCTSCKLLGKG KFIVADRNKKKYIFKQRENNVPAQQWVNLINKYIKSNHY >CAK94439 pep:novel supercontig:GCA_000165425.1:CT868677:211533:212499:1 gene:GSPATT00026763001 transcript:CAK94439 MSNLNKPPLVIIHPLVLISVVDHYNRIISKTQQPRVVGALLGERKADGVIDITNSYALPF EEDPKDQNIWYLDHIYNETLFELHRKININEKIVGWYSTGSRFKPNDIQINQIFYKYTST PIFVIIDVHQFDPLSLPTEAYTSVDEISKSGEIVQNFVHIPSTVQAFEPEEIGVEQLLRE INNVDTQSLSAKAEQKINGVKGMNKKIAQIQQYLTLIQQGKVKPNQLIINNLQEILNYLP NLGSQDVVQAFTTKNNDNMLTIYLASLMRSIIAYHNLINNQAQQEKKVK >CAK94440 pep:novel supercontig:GCA_000165425.1:CT868677:212517:212962:-1 gene:GSPATT00026764001 transcript:CAK94440 MGMVNDNEFEYKKAYTNEQRLEKYEKVIKHTGEERILVVLERHRKAKIQKQNDKLSQFQL FAINKNKTLVELMQYVKQNAGIDVSTSIFLYCNNQLLMMKSDITVGALYDTYQNKEDKHL YLKYADFETFG >CAK94441 pep:novel supercontig:GCA_000165425.1:CT868677:213046:214782:-1 gene:GSPATT00026765001 transcript:CAK94441 MFFTEPKFNNIPEIRKLIALVQGYELKYRPESPLTQKAMESLGQDRYHFRRKNKFEMRFN FDSQKQSYSESDLQKTYQNYLVELVSDFQNLYAERKRIKVELQRRQREEDELRGYKWPEP VLKKKQFRNQALTYDQKLQQIEEERNKEQKIQDCFAKEAIRLELERRLSIQESHLKEEKS LASRKKIFQELRKKAAVENNKVSQKLKNYRNNMSDQLEEGLRKSKSFQEKLEARDILIQK QRAKQVSEIANRNRKLEEKISHVKDDFENRMVEELFKRQSEMDQSFDFRQMTAEQMEKNK IEKQQIFEQKLNQINQKIKQHQEEKEFELFNRVGQRMEKAEKQQEEHDKQLSRFQFHKRK QLEQRFSRHRKRYQESEERQQEKVAEWMQKVSSIQERIEKFRSKKNEDQKIWKEQRSQSF KDHLENMQFNRKSQEKDSMKYFEKHQYVQSKLKKSKEEQEQLRAYLNISRSKFEKNTLQQ FGELSNLMYSSSGYLLKYYNNIFSKLKELEDDDKFAEMSMKFSNVMRITDKKNME >CAK94442 pep:novel supercontig:GCA_000165425.1:CT868677:214828:215415:1 gene:GSPATT00026766001 transcript:CAK94442 MFSCDIVSMPNKYPRYLGSSASQPDQPSSSRIKKPNSKFKLLSLHTIKKDEIKNFYHHSS IYNIKEYKDLIKSPVFFFESNTTKLTDLQTRVLITDFQRKVAAYLLNKKLSNSKNMKKYY AELKAACSQKEYESQQIILHTQPEDNHSKAECNTVMHKQNKKLALKLC >CAK94443 pep:novel supercontig:GCA_000165425.1:CT868677:215487:216843:1 gene:GSPATT00026767001 transcript:CAK94443 MDKYLDDDQIDYETKIHFMTQQYSEIVKKIKTKAFKIKVPENYTQQMIDEYAQISDKKKR EQFDQQFDQLADQIIQTIQQSSETLSSQKVSQTDLQNLDEDIQINIKTQVLTEQLQQSVE YQEDLDEELDKNHRKFKKIIKFDNSNKKSIKKQSIRIQNQSDTDLISSFLDQRSSNPQFQ AQDLSDLSQELKRSKIKYIGQQDNNKKKSNFDYQYTDSDNLVNESNQKQTNNERYKFKNI SRNQPGQVEQTDLIEFDYSKTKNDYQKDNKIPRKLSQIDNSLNRQSVRNYSNNYSHQQNS SLNIYSNQSLDKFENSKSNKNLIQDDISKRIEQQLYQVQSVIQRKKFGVIDKLLDAQSVF DVEICLADFPLNKSISNNGQEDKQIFQQLQLFIQMQICLIIPILNQLNRKSMDNESIFET KSGSSDDNN >CAK94444 pep:novel supercontig:GCA_000165425.1:CT868677:217538:219344:1 gene:GSPATT00026768001 transcript:CAK94444 MNQESIIFNLLQCQEYLLDEQQIKYTKEQQLQKVKDLVANLIVKYKSFVNQIEIEDQVYL ITIPMEVHNQICTIQFELLLNFKCNENDYRVILTDSSGRIIVKEKNIRISLSRYMLRLNT GLRIGSFYIHPLNGYIGFKLQCQSEQGLLFYQTESDYYKFINYLDTLIETALYSVRFHFL RIMILINRIDIKQIQIYEKYMMMKRYPEIEKIEWRKFPQDIIPLLQRIKNTSQTNLNETY NNEQYKKYGPIISKSMITENKIINQIKVDSPSDLQVEEKHEINSGGFSIIYGKDITYQLD KNQEKNIMKRHFAIKLDKSPETNKIKKEIKILQTLAQEFEQDEQKGQMQNQEFFKFTGKC PYIAQFYYVPENTDILLMERYYYSSLDNFSRRQEEFLSMSTKIFLAHSVAMGLRYCHNYN IIHMDIKPANILISKALVAKISDFGEAISTKGNQQNQKVGRSMPYCAPEMLNPNWKENFT PAYDIFSFGVLLFELLFERHPIDFRYQNIKILEDKYQRQTYSVRVNIEEDKRKGPQKIMK YLLNLCLACLQPDPKCRPNIDKIVLILKDSLSYMDKMY >CAK94445 pep:novel supercontig:GCA_000165425.1:CT868677:219425:221819:1 gene:GSPATT00026769001 transcript:CAK94445 MNSEVHNQIRDKFSEVFKRGSNINQAHPFEAFAILFLLVVWPFMETQKHQEVHLTIRVLL LSSLMGILKKSKKQIQINGNVVMCLGIIIQILSAILYIYHKQSNEHCQNYLVTVGFLLFI CNRFSLTNVRIISYSISLLSLIIQVLFQTQVIIILKYSALAVIGIEGSIWKMNYWNKEQN KKLHDFGRELEFLKDEYIEQINKINEKVESPIQEQISSRANDLLRKLRLLKYQQLLIKSN NVQKGITRKPNKLNTDIHKNSFSARQLPDQHDDDRSSIDESLIEDAGNQNNNHNDSNNNG INQNPPIFIRKSQRMMTSATDQIQYRNNENSHSASFQEKNQEEVQITIEDIDDLLNLLSS KKDTIWLPKFLRRRFHYRCKIVRIVIYDYRFLLSHFTQRQPSFQFIDVIEDSDSEEVFDE IDFNMDYLQFWKLQDNTQTRVFIETSINLFKRFRIAQTLKITKQDMIGDFALKLFTQYHN NLYHNSLHALDVANSTAFFLNNGLDAQLDEFEFACLIVSSLAHDLGHPGLNNGFMTTNRC KLALLYNDQSVLENYHSFLLFQILQQEQFNLIQNLGLPDQKGFRKYCLNLILDTDLTKHF QLMNRFQNYLDLSESQVTDKSLVMSICIKCADVGHGAKQLKMHKLWSRRIIEEFFLQGDL EDYLKVPISPMCDRKQSVVKSQEGFLKAIVLPMFNAFAKLLQNEKVNSICLSQIHQNLQY WQQQINDEEFMKETYVESSGVENLKKFLNQPLQLNL >CAK94446 pep:novel supercontig:GCA_000165425.1:CT868677:221882:223588:-1 gene:GSPATT00026770001 transcript:CAK94446 MGNTCCSNQPIETEKQIEERPEDLGKKTDQEPNNVEEPIKQIISYPTLSVQPSLFIQMKR ETIYSTYQVGKLLGEGAYGQVSIVTHRVTGMQRAMKAIRKDCLFEEEQAKLFSEMTILKN LNHPHIVNLFELFEDEKFYYLITEYLRGGELFDRIQKAKSFSEADAARYMKQVISAVAYC HSNNIVHRDLKPENIIFASEDQYSTLKVIDFGTSRKFDKNQNMSKRLGTPYYIAPEVLQK KYNEKCDVWSCGVILYILLAGYPPFYGRNETEIFDRILKGKIPFHTTEWNKISKEAKNLI TNMLCQDVEKRYSAQQVLDDPWMQQGQEQNLVDDNFLKNLTEFSAKSKLKQALLTFMASQ MIQPKEVEQIQELFKQLDKNNDGKLSKEELVAAFQQKVQSKDRLIENMETKINKIVTEID VNLSGYIDYTEFIMACLKYEKLLTIEKIKQTFRIFDLDGDQYISKEELSQIMEGVDDDIW KQFLAECDQDNDGKISEEEFINLLQDKF >CAK94447 pep:novel supercontig:GCA_000165425.1:CT868677:223606:225093:1 gene:GSPATT00026771001 transcript:CAK94447 MHRNLFIQTPLIQSPPSMFVPNHSQMRNLSPANSYLFAQGSPTSPLFYDRYSSQNPRDSN IYCRYLNFTLLVKSNEPAHSSYFPEQSNMVQQKNYFLNPNKNLKHSKSPPAGQDISYTHK IVYHDGSTYYGETKDQMRHGKGTLYSSDNHLIYIGEWKEDKYHGLGILNKGNLRYKGYFE EGFGQWRSNRGKQNIQILWFLQEGQAKWPRVFVQQESSHARLLEGQPYLRYLLKSIIIAF SYNFYLYNFMKHIECPIKLASASVQFPSSQFFNTSKARNQILCRIYGHFIFGFTQQSPKD YYSKYSIQVEGRLLDKIQEWAEYMRYQNLPKVILNKKDENDNSVIQYFFPDDDNIGWIYN DENIHLNILSTNLISGVCIDRSKNLEKKNYSMFQEKTTFTYKFSAKHIPEAPPSQSFMQH QIEFISNRFPQLEGNPEEGYRRHILQIETLAGRKWFEKIGDYYITDVDNCLEGNPHLIQ >CAK94448 pep:novel supercontig:GCA_000165425.1:CT868677:225106:225576:1 gene:GSPATT00026772001 transcript:CAK94448 MTKDINHSLHYGAQISPNLRLNRYQRSITNNPGVGYYSPNLQSIYSNPKITRLRSVTKIP TLHEFSNDKINIQKSHKKNSQKYTILEMLLKEVDEEVDQDMRDNPKCVKTPLQLQPLNDN FIEQLKHIKANLQKVLRKKL >CAK94449 pep:novel supercontig:GCA_000165425.1:CT868677:225646:226762:-1 gene:GSPATT00026773001 transcript:CAK94449 MSEKKQKRKQNDKEDGEWKCLECCKSYLSYPAFYTHCKTKHDSKWPKQYSTPRPLEEIKR DKTKPRTNMDEQKQHEKEDQIFEFLGKLGQSNEEEDSSNNCIRHYLKQPIDPIKSLNEDL FQGTNYLTIYNKVLKELEELNKKVIDQEAIWDFKDPLTLTNELEEFTFSNLKGIFHQLDG QLNVIYVISIFMGWLSRHLKKNAYQDISIISIITAYFFQNYQSLKSQEVNTEGKLDLMSL DQNEAQQDDQRKKQQEYCKMDKQNDTDLKKEVLSQMNSYLKKEYHKFQNQVSYIRTPDIA QAFFCLLIDWIEAYSDLEDYIEKGKELQQEKQQLQKKDEKGE >CAK94450 pep:novel supercontig:GCA_000165425.1:CT868677:227526:228366:1 gene:GSPATT00026774001 transcript:CAK94450 MVFWKEKYGFLTSQIIKHLPSDDKKKRAIEKVDKIVNNPNKNIFKPKDLYFTKADYLEFL EAIEEKQLIPDTLDSIRKRIGEYTIKEDDSPNQDLIKNILQRSQAILMKGPLQCKYNELK QIRIEIDGLYKLLQGKKKLVHKSKVRSGSKGLNEDDKQEEKPFNLTDQEAIEQEEEGQQM SWNFYEQKYRQTQQVDYMNDSNNILGLHQTDEMINDEDKIIFQANDYNLGQHQTDEMIND GVRIIFYDNDNNLWLN >CAK94451 pep:novel supercontig:GCA_000165425.1:CT868677:228607:229358:1 gene:GSPATT00026775001 transcript:CAK94451 MSNNLNTSEIYNNAAALQMLLHALCKSVSHTDEEFNLKYDRLMRTYNRWGYGAQMTTFGS LTYYCLKANGAKSKLTLGFLYIYWINHFFTVGSFIGVTISLPWAMRHLQQADQKSNLYQQ LAQIQNLIRNRKDESDSELNNMFYALRVINNEKVQEQKRTQLVENDFFDKHPEYVPQVMQ ENLRLPYLDYFLVRFAYFTFTRWITRVGQFAGFIQ >CAK94452 pep:novel supercontig:GCA_000165425.1:CT868677:229419:231853:1 gene:GSPATT00026776001 transcript:CAK94452 MGCQEIIRKTLKDIKQSRSKILIEDALSAICEKEFIGYAYISRTVQSGCNLFIGGWRDQL TEYLMRRESNETIDYIVKKVYQYQQMSTKSLEEEIKSLESKIGRMSEDIEGLDKYYADKS HQLFHLNTQIEGLSKSLKQRKELGTDKIKEQLEQEQVVLKKSQEQTDVKLRKTFQNFFTQ QKTRMYLLANKSNQKMDVNSVAVFLLENPHKFVLTRWNEDETQDFNDGFAVTYRCVTFLI KKTTTLQQIFEWSVQYWELSRSEYTMVDKNCNCMDLLMNYSAENFFSAHKEQVQFACLYV YRKQLATNFLLDEQKINISLPNRNQQASQDDENIKQIRKELDEFVDVQPGLKLYENQNQE ENIESTDISIGWKIFLLILSATLLILIYLNSKNIMDYETTSQVRLTLHNYLLEVKYGLNT VSTIDDLYKKINLITIVDKFNVTQTDGSVQEEIKIQFQFIQMGPKFKVFQVQSSNYSCNE IELASGYNECYYSIYSDTNALKSQITAPDGNTYPWGEYKTEQESGVFPFSGILSNYEGGG FDESYDSYEYVDDKVQTHKSQGMFNIYTTKMVLFNIVIYDDSREQFYVIYYFFEIAPSYE IVQQLHIQSFKCLKPDESANFWLIEYVMLTISGLLNSIMIFVAVKETLNQIQKKYQNFQL RRDFTAFIQVGIMYQLTIFILQLVSFLMFSSIPSDSLDILQHSAESSIMYYANQFNNGLI IRGVLIILVGFNTLKLFQISESVAFVQAMAAKGAENIIMIIIFAITILASYAFIFYQVIL LKI >CAK94453 pep:novel supercontig:GCA_000165425.1:CT868677:231897:232195:1 gene:GSPATT00026777001 transcript:CAK94453 MFFLGNYKIWFKIVDYEVQTMIIITSLVFVTYTYGATVLVNAVLQEVYRTISYRTDHLQT IKEFYAKIDEIKKKIHVAISQLIDKLRKKNQ >CAK94454 pep:novel supercontig:GCA_000165425.1:CT868677:232215:233041:1 gene:GSPATT00026778001 transcript:CAK94454 MKSQCYLHGYPLECQNQLQDLFNKIREGEEFITLERIFKLLRTWQYDVPFSNLCKLLRKS NQACHQNAKNHLNEQAFHQLLHNPDIQMIYKPKKDETVNTPPTLDGLFKRIGEQIERKRL QEKMNDENITNKENCCKQGSPRNDRESSVVIEKVKSVRKFKDEEFKLFIKPKKPKRKGES IQEPHQVNAEAKFTKLPNATLHFPTSRIRKLHDHMMQQMDEIRMDPNVQKLSNIIHKSQG NLSIRLPQIQYI >CAK94455 pep:novel supercontig:GCA_000165425.1:CT868677:233041:234360:1 gene:GSPATT00026779001 transcript:CAK94455 MDFNLSKSYLPQKIQVNRDSSAKKLSNSEVNAAMQKYFQLLKKKEGKVQHPIITPTQSSK ILSQLVTKVSPQLDIHLPVKPPTPTGTQSYRPSTEKKPHHRPQQSVGQNKDLILKKLQSA LFQKPKTQSQQVTPKSAGKGSLSVNKYFESTKKLSTDQQQYYITKVRNAFSKPIHDDYFS RMYREHFLQTYQGIYVASYLQPADPKDLKIKQVRLKQKDIYKNKISIVFDLDETLVHCNE SLAIPSDVILTIQVSPQETIKAGINIRPGAVKLLELLVNDFELIIFTASHPCYAQKVIEY LDPNKTLISHSLYRDNCVMTTGGMYTKDLRIFDRPLSQLVLIDNASYSYAWQLDNGIPII PFYDNKEDKELESLLKYLRGMQGCKDVRDYNKENLKLYNFQDPSGPGAVFEKLFQQKMEI Q >CAK94456 pep:novel supercontig:GCA_000165425.1:CT868677:234374:238383:1 gene:GSPATT00026780001 transcript:CAK94456 MNNTLLPNLDDKPYYHIYEPSLKETLNAIHVESQTESLSPSDEKSIYIQNLIMRMFPEIQ LRQHSILICQHLYTKTYTIFFMNSKQVPYSYCCNRPLQVRCYPIGFGLVLCSGERCPKPE LKAFKFSDNTPKLEEKLNNKLSKLISMGKHKHVNLIQQKQRLGLEEFKKHVTETPDTVVV KPRSQSYYKGSSNFLKRPYKLQKMDPQLSHYTQFFIVVTNLCKTNQITSEQKTLLKTSLT HKEDKICRVLIQNSGPGKEMQLRQAILDYLDEQNKALQRRRQHKSKTVHFMKDVTDETKQ QNANQFEQSIPQPLVGVGAMIDKLTELLNKHIDKHNLLVPEDKEKLLQLCNLILQLTAEQ DDPNGQHALNTRDNNKLTEISEKDGDSCEEDVYDKMKRQINEIYKELKNIITGNLHTHLL LMQEDISYENLYQVLKFLLKILVDADEFTFFIHRDKDWEVYTSANDSIKDITTEEAQKVT DELAYIRPCYIHRVDQKQNQYPQIQETCKSNTYAQTSLVKFQLNIKNYEVLFCFHWTDKD KKNIKRNFINYANIYGFNTDVTHLAQFLVETIITAKVQFFNPLRFADQVQDIGITFMRVS RFLLFEGIKKALSQKYDVEKAQQNQSEGVVKKDKDLPSLKIELKDSNQLTLRITNMDLKN EQDQNLYSLLIQILERYDGYVKLCYEKSAFYKYFLRTTDSLLFDFNKQGELIFLSRPISK SLKQKHNITFDPKAILYNKITYQDIFAQNSIISNIEVNIQNIHENRQNQYLSNLEIPQFE IFLKVIDNDFKGFTVIFHENEARRLKHYFMTLKMDSMTNDVQKEAEVNKSFEEDIQRQIL IQYNKHQTFKFLNQLDETQDVANSMIALFIPENELQQIRHRKPDVKGSESQTKDMLNGQW VIPPQKKKKISSSVYIKCQQQLEQVDVNQFKILERDSQLDLFEFNILALDSSQEKHRLVY SILEKNGFIAQYQMNNLCLAQFLSVLQKKYNKKNNSFHNYDHGISVMQSAHFMLQCGKAK QFIDDFRRMATIISGLCHDVSHTGRTNMFMINSQSKLATRYHDASPLEQHHAATTIFMLK DQSLNFLSNLTKEQHQQFRRILIDNILYTDIKVHFTLLKDFESRIKEDVAKPFGTGDDDL KLLTGMIIHTADFNGGAKVFEISRIWSERVNKEFSAQYEEEGRLGIPQTPFLKDLDKIYI MAKSEMGFFKVIVRPLWFTLNAFFDGHLHQSITNLDNTIISWEKIYHANLPKEERLQQS >CAK94457 pep:novel supercontig:GCA_000165425.1:CT868677:238805:242178:-1 gene:GSPATT00026781001 transcript:CAK94457 MEFCTENGEMDPSRHIDLIEFPDVLRNKGFDIGAPLGENLAFILECFGELEVDNRIVQKI KEEKDAKKKDANLKKVGDDAPKPVDVLQKMTSYSEFIGAIQKLKRYDQMMCRLQLVRSNI LQNEMNLNKINNLEKSKNVERNQTQFDEHVSQIQSIDQLPEVPKAAQNAVVINLFCIDER FESRSLDFVERAFELFPDREYLILTQPYTVQETTLLSHFLQVSRKKHSTFEHVLYIFHRN SLDSHLIELRKFNQSEWNQCQFLVENLLGKDGIEKDVRNVNDNETFIVYCKDEIIGLYCM KKYVNLQYLKSHFCVQDHILIKEHPKHLHTRLLHGILNPLFAKQTRFILREICRLMDKTC IMLEIHDRTLLPDVFHEFNFVRSRAFPHFLKQKWDWTLDDDQKERMGTILDDRDPYDQTQ SPFSLAMLTKKQLSNVKISNNSRIVVVGASDTGLSFIESLLTIKDINFTNIILLAPGGLI TMHVKHEFEMLKAMSTNYTLEELRALMLDARVQVVDAKMVKLDKKGNRIKIDKNAFIPFD YLIITVGLIDTELQSREKISFGLSKSPYYKNSQFINGVYSIDDPYLYSHFKRTGFKGSNI DLLTRKKKPQNITIYGNTLTTITFMNGLLNRGVHPSRIHYVMPPKTFQKQTKFENNKQRL EQDDKMIFDPDQFENEEVKNKVFAIMLELGIQIHQGFTLYELKVGKEGFGINSEDVLQEV IFRKQADNYEELKIEIQRKEQELQELKDNSENNMSKDMYGEQEEGENQLELLAREIEQLK ASEYDYLQLDSRFFITSGLIDIDKEIFNIIHENGLVYNGRLIVKSNFQTTQENIFACGKI CEFSQRYKHHSVGKSLRLDKYNGRELGQKLSKCILEQLNLSYLTSQTYSVDELPQLYMPI GQGGIVPYKLYYYYIKKNDFSKPRQLQQQPSKPIVSDNFKDSQGHFLQFDVDPNGLIESL TYFGSEAVHIPSLIHFIELSVRYLNKLDQRGKLINNVSEFLSENWAIALYHEWFSEFRHI TKSEMLKNELIDQVLEKSQEYARDGRYMDDNFFEEIKKLITRDIVENIQEGTIEFIRQNQ NHLPMYFVPKQKLN >CAK94458 pep:novel supercontig:GCA_000165425.1:CT868677:242312:243830:-1 gene:GSPATT00026782001 transcript:CAK94458 MSFSEQQGQELQIRKADLDDYDEIMQLMQEEGEEDLQQLYAYPKILTLFERSYLSVTVLD SQNNIIGGAVFDDCPQGVTGQVDFKHENLWEQWIHDGWDIGFHVSSFNCLWMTFFFLDLA KKRFQLTEDQQLQITKQIFQKVYDYLNVVNGIFFLRRSEAIDATQQELDIALENLFEILP KRQDFKLKFMQGVNQNCEIYYSASSMVTELLEIRMAREEDHDDLAAIFNRQSDVHTEEFG EFFIADLIATQNQTRRQARNYRSDGKAIVGQVGDKAVGLMSISSDIEQENSLNVSIQKCL TISTNQNIWKPLEIDWINWHLRKQINKQIITYKKHIELTKEAMKCHIVGQRLYLQQYCFD RDQEIKQKIDDYGQEDLAKTLTQQVVTNMINGWLKDYQVFKPSDLFLEYPDSFKDLECIT IKPIQVLLEALEFFGLPKGYMNGEGHWKDWAKKKEEEQKALSLKSPKRQQKKTNKKSKEG GQG >CAK94459 pep:novel supercontig:GCA_000165425.1:CT868677:243846:244294:-1 gene:GSPATT00026783001 transcript:CAK94459 MKCELCRSNFKRKLQLNGQTVDLVELSKRQFQSYAVLEMKKPLLKSKERLTYILNLEKLE QFKIGRANDNHIRLCDISVSRFHCKLTLHNKEFYIQDNNSKFGTLLKLKQSMPLLKEFQN VQVQVGRTLFEFENVSNGYQ >CAK94460 pep:novel supercontig:GCA_000165425.1:CT868677:244505:245707:-1 gene:GSPATT00026784001 transcript:CAK94460 MSKKIKISQPLPKVNWKDTDMEEELTIKIPHGDLINKIIDPRSSPQEFFVPLRFPAQQHM KDADGFFIPLPKEDPKKILQQQQQFQNERYQIQLQDLISIDDLGQGSSGRVIKALHRPTN LLVALKTIQIVNDEKFTKQINLELETLVSCNHSNIIRCYGAFLEGAQVAIALEYMNLGTL QDVIKKSGKIPEGMLGLIAYQLLKGLDYLHRTKKIIHRDIKPSNLLINSQGEVKISDFGV SGQLLNTQDQRCTWVGTVTYMSPERFLCEPYSSNTDVWSLGLSLLECAWGVFPYPHPGTN DTTHSLGFWEIKEYIVSRPAPPSPPDFSQIGADFIASCLQKDPRQRRSAAELLEHPFIKQ FEDVSLQYLEGWLNINQ >CAK94461 pep:novel supercontig:GCA_000165425.1:CT868677:245783:247316:-1 gene:GSPATT00026785001 transcript:CAK94461 MNDNVNQISLIGAILTLGDSQKCTWKNCIVEVVMSLTQMRSKQRSQLEVLQVSALMQRFQ YCRDMITKEGFEKYNKFCEFLYTIYCRTGERVIRKGQQGVRFYMILQGQVSLVSYKPKSR KAQIMMDQEKETIPNYEDDTLFSAFSFKDLNPGDHFGDMSLLDNGQVSCTIICKTFCQFV CMDKQQFVKFIGKSVPVLQKLKILEEQSIFNSWTDGELRALSYEFKNRTYHQEEFLYQEG QRNVQVFLIMDGQVEMTSTRDNQTYHLSSLDVGALFGDDADYHTCNAKCVSARAEVLVIG RQVLSRMLDWHPESKQLYRQQLQQKANWRQDRLNNLIECHEEKTKCKRTQSIKMQLLDLL IKPKYQKIRLSPCVKRVTNIQDTMDFEEKKLKHKEILEKQNLVVSQRKIEFFKLGLTFTE KRRKKQKQQQEEMKLKKQCESVIISEPPLEKLNRASSTIMTKTSQTTFKLSPAKKLRKVF SIEGTSINIHYKQ >CAK94462 pep:novel supercontig:GCA_000165425.1:CT868677:247484:247708:1 gene:GSPATT00026786001 transcript:CAK94462 MLRLAFKQLSRQSLLQKKKIYELFFERGLKFFYQSIKQNYYTLDQHRHAIDQFEICMSVF AEAKQPQTMAGHQI >CAK94463 pep:novel supercontig:GCA_000165425.1:CT868677:247755:248974:1 gene:GSPATT00026787001 transcript:CAK94463 MHFQLSLLLRPLEKFIRIDGVNVITYCMNKKPAPKMCDYWQQLNPLCAGAFILRAQSRFL SEQVTQIDCQMICKDLQFAQQLDPLNESIAKVYDMVQAQLSKFEEDDSDKEQYVQQDTSQ YSVQEEEDLPQDFMAQFAYQDNDQELSYEQDPKKPIPMEVQELGRFIESRGMDMVKTFLQ NGQLKEAVDLKDKLQKALIAKKQLEKISQLDFNRPKKKLQLYAQQFGIDLLDPQVQNEFK RIQEQNLEDIRQWLKQNQWSYVDKATQIQQQEKARQELAKLQFKRKMVPQKHAKHKVVKK AQIGLSQNSPSPSSSNTNISTKEFQQSSSVLNNETQIEAEQTCDLNCIINFSILLLATIT IISTIYYSFLG >CAK94464 pep:novel supercontig:GCA_000165425.1:CT868677:249556:251697:1 gene:GSPATT00026788001 transcript:CAK94464 MEFYEIVKSIGSGSFGQVYLARNKREDRLYVIKRIKIRDMNQKDRENTENEVRLLQKLRH PNIVAYKDSYLDREQYLNIVMIHCEGGDIYQKIRNKKSFPESQILDWFAQMTLALCYLHE QKILHRDLKTQNIFLKNGRVRLGDFGIAKVLDSTRDLANTCIGTPYYMSPELFKYKPYSY KSDVWALGCCLYEMCNLRHAFDAQSMNGLALKILKGSYSSISPTYSKALRDLINKMLNTN PKARPTIQEIVHKPIIKLRIIYYMLEVFSEPQSADLDDMYVDTLYEQAEQIGVLPLIQHY QKQIAQGATIADIKNELEKGGRAEQRMLIQIQEQEALKLKQLKKEQVEKKKLEEEIKRLE QKKQQKVPIQNTKQTETNSNSKTTTENITNKSENTQKTDTQQRPDYLRVNQRKVSLERDK RPNEIRQKQKERSLSLEKDRLRDDSTISAKERVIQKKQRRDEEKTIQEEKERQQIYKESY QNRKIAQERKQAQYRQSKDSSLKVQEEYDDSEDSDGVMAIQEIENEEEYEEEGDVEQRLD LMKTKLKDKTLKIQEISQSLQQNRQVQNMQIKALETGQVIPLVEEELENEDLEDDEQGDY DEVDQDETKPINSIVARIQDRIKLLRHRCEGGLGNNLVEKSLQLMKTKCMIQILSLQNSL LKTQGNNSYSYQEKKTLAIGSSLIKSYIWRNFSQNID >CAK94465 pep:novel supercontig:GCA_000165425.1:CT868677:251747:252520:-1 gene:GSPATT00026789001 transcript:CAK94465 MNPQILRSRLKQKQTIFNNNEHSIELLKKKLINRQFPNDSSLPNQNQLVYQLFQLQQKVR NSEKQFVKKELYLQEEILKAQKNFEKTMNLMKFKYQMQIEDLNSELKIKDEEICSLNLQL QQNNLNKLGKRQFSSQEQQRNYIINEYPNSISSRKHGQLKMPTRSQNSSRKESANKYQKE LCRIRIQTSEFAQKVESEKSQILSDIAILKSQKQILKSYLNLHSDRTQYNSPIKSINTEI NRQNSSSFGVKEYFSRK >CAK94466 pep:novel supercontig:GCA_000165425.1:CT868677:252918:253786:1 gene:GSPATT00026790001 transcript:CAK94466 MSKKIILCFIFLSAFQALSTKNRCDCTLFGGQTECENVPYCSWSNNACGLKNCSNIILER CETFFRCSINPAGTCETTGDCSKYTATTQIDCMFKRGNCAAEATQTNGVYKCKSYTAVTC ASQTAENCKNSFENENSFCWLNSQGKCQSYDPNTCSGIPLEICSNLGCDASGSECKAYTC ASNLTVNTCILANDGYFGAKTLCKWNTTSQKCEERADITELTQNQCSLLTDGGYYWLDNK CTVCPVEDDTDDTSNAILLSSLLIIHLLVQ >CAK94467 pep:novel supercontig:GCA_000165425.1:CT868677:254365:255681:1 gene:GSPATT00026791001 transcript:CAK94467 MVQTISGSQLSQTVATIEVVRRPLQKPFESSKVNQNGYEVINKIKELFSEAFFHHYAIIL TTKENESMILHYLKEGFTSSIIQNQERQCYTVIERYESQQFKKLFKVKELYDLRQLNNQD SLKYDLLKNSCIHYVNHVKEYINQYLYDINEHAIQKIELPSLISSIKLLIQKIYQNIKKL SKKELWPEDLKKFTQENFKNPELYYQILYEAIRCGKNQSKMQMLGNSILIAVFHLINSIP IIGGILTLIAIPFSLYFIWIQSDEGIFQKCYKTLALFFIVCLGMLPETKILKYPFDIVSD LIKKYGIIKVERREIVIVGSCTFAGMIGGAAVAKFATPIIATACERVDIKSCILVITGLL SGGEPAAISSGAFLLEVGSGSAKAVIASASDAVISTSISVRSVIAKIGSINIGIAIGCVV GVAIGIGICKLLRWMKSG >CAK94468 pep:novel supercontig:GCA_000165425.1:CT868677:256443:257339:1 gene:GSPATT00026792001 transcript:CAK94468 MQNHRFILNEYMNLILNKRVSVNQDVNNQHILNQTLNSKSNEVSLCISIYLEHTLSHRKI LAEQWILQFKSENNRNLPCANQCTFEKKSLCCMFTKSSLYQNFLNKQECYQNYLLQIVIE HNGKNQNLELYKCYHLNMEQDVSIIARFHQHLNLTQEVGKPRSLSFQEQTMIPKQNNRLR FNSEQVRTPERKVLMYSVDEEVTFLIDEDQIERVMSHNSDSDYMIQTIEEETDVSEWQVI DHKHLLTRDQKKLLNSLQEMRNLFNSKSKHSHQIKQALELFF >CAK94469 pep:novel supercontig:GCA_000165425.1:CT868677:257669:258843:1 gene:GSPATT00026793001 transcript:CAK94469 MKSIILIALIAVAFTARVQERNMAKVQADLAKSTYGKALLHLVELHSMAGGPVQELIDAI EELINDLEEELEDLEFNFKVRTNEHNALVVGLEQDIQDAVIDVNNTQDTLDNLLYPRRAQ IQSKIDSVIGYQEDNRKNYDEAILVREQEHEAFEAQVAELNDATASVDDALALLASLTNP SLLQIRRFQNTLKNIENKIRSRSRMAPMIKALINLASNQNFSNQDVLKNIVDALNEFRNA VPKKLKTLLITKLTLNQLDEEYSEFQRQINRATVDLTATNEKIDSLTEFRDQRAADQKQY TAELELENNTYAEETDIYTNTKNEFTRELGVSEQALALVQSVDFSNIKV >CAK94470 pep:novel supercontig:GCA_000165425.1:CT868677:259312:259655:-1 gene:GSPATT00026794001 transcript:CAK94470 MFHYILISRCLFPLITGLNLKVFSKMLFFFEELIQINLQSRSTQTFLRINISQICSDRYD LDDLTQKRCEKKTINYSIKFLSIQVTPMEKGYVGIKQCKLEESPI >CAK94471 pep:novel supercontig:GCA_000165425.1:CT868677:259966:261020:1 gene:GSPATT00026795001 transcript:CAK94471 MLTFQIQYPLAFGEAMYMCGNFEQLGNWNPVQAKRMQWCEGDNWVLTVDIGVAAAEEQDF EYKYFFGEFDRPTNIEWEMGPNRIVRTTENTIITDIWNHRKILFQCYNPKKYAMFISGSS YDLGMFKRRISMKQKEDISYLRILVNVLEEKEIRYQFHLINKRHYTSPLQQLTLLTNTNG YYKNYLVVFCEGLEQIKRSIYQLDNHICYGYVPANQNDFNSLKKANLNTIIEFQNSQGLS SNSIQKDDFTYFTVNICRNQDSNYLQRLYSFIQLLIQKYNIIYICNNSLSHLRKYLQAYQ KIIISQ >CAK94472 pep:novel supercontig:GCA_000165425.1:CT868677:261790:262008:-1 gene:GSPATT00026796001 transcript:CAK94472 MHYYVFYSTRTSRKKLQQNTYDKRSNSPLGFTFCNVDEEDEEKEIQSQPMTRSQKRLPKE FQIVPNKRRFFI >CAK94473 pep:novel supercontig:GCA_000165425.1:CT868677:262012:262457:-1 gene:GSPATT00026797001 transcript:CAK94473 MKSQNSKKPNLKITLNKKSMAQLVNSDKPPSSKCSQKTASSNGKVRNVVPRLHPSSCVSN SRTTSQLPIHQQFPRGTRNVSYYKQSQALEPDQSSLIQLLIQEKFGIAKAKLRQGHHYFQ THHKQKTSHFIKSKYFEYPEDS >CAK94474 pep:novel supercontig:GCA_000165425.1:CT868677:263871:264986:1 gene:GSPATT00026798001 transcript:CAK94474 MGVPNFYSWVNEKRVDYFYLDMNQIIYKCATDPSVLFKDQLRERDFDDVWVSIINYLDMI INLVNPQQLLFLAFDGVAPRAKMNQQRQRRFQSQKRYKYLSAHLQQIGLFQKNETYKNNQ FSPGTEFMTQLNQQIKFYIERKYSEDPKFKNLKIIFSGCDVPGEGEHKLLSFIRNMQFDP NSVHMIYGADADLIMLGLLTQLKNVLIIREDLKFKQTASAAANRVIKIPEFLVINISIVR EYLDLEFAVLKDKMKVAYDIHRIIDDFILLCFFVGNDFLPRIYCFDIRIGTIEPLVDLFK KHLTNANDYIVQRGDINYKELLNLLELLQGFEKLCINDRQQEMVNYLNTRI >CAK94475 pep:novel supercontig:GCA_000165425.1:CT868677:264997:267809:1 gene:GSPATT00026799001 transcript:CAK94475 MITKEKELIDNILKYFSLQEQTEGRKLYYKAKCQITQGEELDLQKLEDMCINYMEGIYFV LQYYFKGVPSWEWYYHYYYAPLCGDIVGVVSQIVQQLSDQEQPIIFTKDKPYPPFKQLLP SILTPENAMLLPEPYGKLLTDKENSILRTPIDYYPESFETDSYGTMYEHQHITKIPFLDC NLVEQAYNSIPDTHDSRNEQALSIFYQYDSTQQQIYESTLPKLFSNFKSCCKKQFIDIED KEQFQIAYGQQQILEQQEDSFQIPSLKVVSIRSSKLINIQQHEDDIKKFENQFLLIELQQ TKFDFDQFIQDVIKNKNFAHCGFPIQQQCEVMAILRPDHLHLLDGVKLQSIQMLKDYSKK DHNKIYKEIRNKTLNQYEKCMKLDCSTFLIVKQYKHYLRDNNNQLSYPQEQYRELVYPFE FVFPDQKVKFNVPNFEDFQIDRSVVIFHEKKNGATGIIKQIDKKLTVQITAYPTLLGYDY IHSDIYYSLQIVSEKLQCSVKTLLNLLGSVVVNMEDKESKIADQLDIGLNIINRTNNQLV PELVRLPQADQYATGSSNSLKNASLITMQIQKSMLKSLNQRNCPINAKDLFPNSTDPNID LLKIYIWILQLPESQYLLQGSSSKVAQIKIHKKPILNNNINTTKKVDPGFAVQQTTQTFL PPFFIKHPTIHKIGDRVVNLNYPFGIYGTVVGLLEQKEIMVQVLWDQKHIGFTNLGGRYD LLSCSTCKFTEIFNLSNEDWRMNLAKRGCHQGEYWDLWTKVYKPDFKSRAIEFNDQLKEQ NPFKQLVELPVEVKPKVMVKEKEAPQGFELIQKLAQEQPNLITNQPLQGIKEELIEQNED EAQKEIKKLFQLYQQKDLQSQGEQQKQSQKQNDEDGSKVQPQQVEENDDKQQPQSQIKQQ VQPQQIKKVLTKKKDQQLQQ >CAK94476 pep:novel supercontig:GCA_000165425.1:CT868677:267822:268575:-1 gene:GSPATT00026800001 transcript:CAK94476 MKSVHFQLPDPPLPFPFGYSYNTRVDTIPYLPDSLANQREQTQTSKNDYTFQNLPNEQEI IDKKQTIEDPKRISHVRYLLGDSSLVQVISGENQQMKSTTGQKIDFGSIQPNLRKQIEIA KTISNPSGKQFQELLEKLLSIISSQQFKGISQLILDPEFNGIIFQIRALSNLDILYKIQF EEIINCIFKQEEKLQFQELVREMTKDAYMYWTEPLYYEVQQRQIPQAKWKQFIQSQITLY NM >CAK94477 pep:novel supercontig:GCA_000165425.1:CT868677:268591:270727:-1 gene:GSPATT00026801001 transcript:CAK94477 MQQSIAFKVFKNFDIFGQNIQLNFNGDDSYQTAMGGIFSMTIIAVIAFFFQQNIIDFMNK NYVNLNVQTLFDANPDDIVFNDDNYMFALAIEQKQFNTNPYFNITLKQRIYTRLSNGTII KQDEFIDLIPCTIDRFQNIFSTQNFSDQFNSLGLSEWLCPQYNYSIQLQGGYSSEVFQFT KITVTECSNNSQTNQILTWKPQCASIQDRDNHLQNDRSFRLKMYMTNTIINPLQPRNISQ VFLDDELFFSFLLLTGTETDVFYQKYNVTTNNNIFPYIEDNQEQLFNIKQQGDFRVSNVQ NSGSQYSAIYMRRSPYTYQVKRDFQDLADLLSYLGGFANIVVMVFGFLITFYNKSQFMIE LANQVYDFPIQNANTIDTQQRKEIKKTIARAKSRTIIQKPNNRPSQLLSPKYNQNQQLIM NDTTKQDEQLTTKQNEQRIFQEEQEKIISQLGITDRRSYLTQQIEKILNRSCPIFFNCRY ILYQLFCQRFFYDRNSILLQKAIKNINKDVDLCVIIDKVKEINLLKDLLLTEDQLVLFDF APKEVINLEAEKQVTVRNMARNTLRNFNSKQNSISSKDEQNKSNQTISTYYKLFKAYDRI HQSLQQNDKINEKLIGKLGQEVRDIFEVSQFIQWEGNNNKQINSEALDDEFQCDSVNSDP PCITTLDQFKVNLKLNI >CAK94478 pep:novel supercontig:GCA_000165425.1:CT868677:270884:272847:1 gene:GSPATT00026802001 transcript:CAK94478 MSNSVNGDDFSEREDCDIEDDDQVPQFNMEQVYTSMTSEHNGIFAQSFLISKQIASNLIK QSLKIAEEQFIQMQIPISSNAQVMTIMDLIVASYYNEDGLPINGCNMTEDGEPNVIHYDR WRRNRVNIEEMNQSFSDGEEEEYVENELPSQHNSQKNNKLRFSVLEKKKTPIVAPPPKSS HSEIRLIEFQVAEDEDEYIDKLRQKKLYDIKKKIEIEQKMKEDIIQNQKKEQSSNKYTYD YDGKILLSRGVKYDKLQPTVTKLKVEMKDLPKTTNQQSIQQSNSKKGSKQTIQTQQPVLI PPKASADIPNKNIIDRKDVAKDATGDKGLRIDRGAQLPYDTFSMTNGVKLIYDSRYKERN QQLQYRLSGQNFLPGDDISKQMQQIRLTRAEYKLLTDNGNPFQQQTKSQFIPQETVETKK TLENTKLEKTVQFNESHLVMNKFQPNKPQLQKIETQSEKILTKNAKLLENLLVQPNKPDT PSQPIKQSEPQIKLVIFILIRNPIDTFNQSLLNSKEWGKANAKTGYFPPVRVSQHRGHNG SLEQLYKLPRDRINVQQQRTQSEFYKLLPKRSAHQSMSEGMLQTFYTTHSKFDEKLRQF >CAK94479 pep:novel supercontig:GCA_000165425.1:CT868677:272853:277383:1 gene:GSPATT00026803001 transcript:CAK94479 MNYVFKVFVAKFLNLYIEGFGGSQVQLYQGALNLQKVQLKLQTLNKLLKELLIPFTILKA DIEMLKIDIPWASLTTQPIKTHISGLNVIIQYNEQEDIENLIQDLSKKQNTESKISIQDE IRKHKEIDEQVLKRFVKKLLLNLSFEIKDIQVELLIKQQYRLQVVCQQFSTSSQENLRIN PEDVDQFHRELKVETFFIKLIRFKDDDISNQQLLALDQCNSYLERSKDSIYCHVEFNNFN IILDKDIVLLANDAMDKHTQLEQLVQNKLNEFKIQPIHENFIFRDITTVSKGIHLSIFSN NLFIQSQQLTLFIQQCNICAKSFLQQMLYKLSFEEIHIKYNGLKILQLTKQDSNEFSIWP ELHNQDADKQQIILEIAQNQSDRMKLREQKLFLQIEFIHLEVDIQHLLQNSQAILNHIQS YQCFIPPQQKQLSSQKSSQTHIKSHQKKLTFKMNIMKNKLTLNVSGITQFQLLFQSQTHI GMYQKKEKIKNIELKHNQLQFHLFDQNQHLLVSLNGFNAIFDYFKQIELNIHLSQVQIRI QKNTFAQLIALQKLIETEKFVQNDSIQNKQVQQDQLNKKNIKFTALMERCSIDFGQCVKI NNYVSFITLNIKQLNLQILLIENTAHHLELKSNFNIWTTHPLQKKSCKITNTIKCKLLWN KIIDPNQQDPQNILQIQNAVEIKLSQLTISNLLNTVNLLSGLDVVSVIRNKTKKKVLLKI ISVQTKNIQSFTLEPEDSTLLSSLYDNLQDPKDYPTYQIQLGLEINESLQFWSQAYPFHL SKQYFNKFVLIDEIDQQEHDIFLKALNNEFILTQQDRQNTDLQTVAEPTIENQSDYLLTF KTGSVECFQIEGNSKKAFHTKLTEQNTIALVLMTENQQEIKFNLKEVIQQKTVLSFNFVK IDNNFYNVLLSFELIKDPKGQYFQKVIIKPFLIIANLLPWNFSIGNAQFINLPISVDVNM NNTLINNFDQQLDLFYANLLNNRKHASNQSQQIIINGQVTNLYYLNFLKEGKYAIEINKR HHMIMIFRNNVLVQNQMCFVICRNIYQQQIKYLLLKNKTQFNFILMKNKSRIHIYPFSDN CLEYNIQKGDKYRIFVTNQDYELMQLLDSETMTKHNLKVNKIQIQNIHIIEIIINEEKII GKEFKISIPNVKIDIQVCDKQEINIFFDLNLRFFSDTHLDILIQNFILQFENINVINITD CFSIIEMSKSNDLNLIMINNIFFKIKDIKLNIQQQFLNSIKLLISLLRLDPLFLRQEQQQ QIQSTKCQWESLIQPTFIILNMIIDPIALEINIQTNMINMNNNLLLPQQQIKMRKFKPNQ LKSEILSFYLAFLITKIPTIQLLIQNLPNLLQLLQSKKNEPDGVKLPYFFIHSPIQTRIN QTEYVYLNKNCKLAVSNEKLVRCQIVLSNDKLEIYVQDEKQTIFLDSISQIRLGKQNFSI YVKKNLKLRFYSKQSNLIVNLIKNLLY >CAK94480 pep:novel supercontig:GCA_000165425.1:CT868677:277385:279110:-1 gene:GSPATT00026804001 transcript:CAK94480 MLPFISSLIRKKEFQDFAGVCRGIYRVVDGVNQPQLIKDNLIDQFKQEKQTTPINEKRET IKKPEQEQQQPTPQIQNKINEQIKDTHQRKGKEQDQQSNDQQSQIPSPPPINKQFTENKV PQTAIGRVYEFGALGVSLATNAMKTMVTQQNVSFRQALVSEENASLLAKGLCKMRGAPLK LAQALSIQEDEVIPKHIRQAFEQARQNADIMPQKQLEKMLKQELGSDWTSKFKEFELKPF AAASIGQVHEAITTQGRRVAVKIQYPGVKEAIDSDLNNLKRLMEYTNLFPKTMFLDKLIA NTRKELHEECDYKIEAAKQINYRKLFGNQSEFAIPEVLSDLSTTRILTAEYLYGDTIDFA AENYPQHLRNEIGRRVMSLTLQELFKFRTMQTDPNPSNFYFDRHKNKLILLDFGAVHEYT KPFMDNYIGVIYAATILDRKECLQRSVDLGFLTGEESIRMKEAHVDSIICVGEPFRHQGE FDFGEQQMTKKIYELMPVMLKYRMRPPPPEIYSLHRKLSGAYLMNMRLKTKVNCRDIFMN LYEQYIKLQ >CAK94481 pep:novel supercontig:GCA_000165425.1:CT868677:279532:282429:1 gene:GSPATT00026805001 transcript:CAK94481 MYSLNHQINRSFDDNDETQTLEEEIMDDYSPTKQEIREYAIHLGMKLPEDNQYLDIAKAG LKAKLPEEWKICSKKVNGNEQVFYKNRLTDEIVNDHPCDMIYAKKYEEAKQIDFQNQKKK KSTGINKFKKDIEKYREPNYLDGNEEAESFMVDSNVYYENRQNQGYVVQNPIQASATQNL LSQVDQEFAKQAQEYEEEKKKDVNKQKEENKQKLNKMELILMNEADPELFELKEEYERQK ESQSKSEEEKKRKAKRKAEEDMEQNIINQKNVLQKQLERNLENYEYEQEKTIKNKLRTLE QELQGQQKDIVKRINQRQKELSDSDSKWMKQIQEKKKNVENLLNKYKKELSQEIYQKIQL VDQENIKRLRTEQEAIKKKLLNEFDKVKREYKFEIVDLQEENQRMMRSIKEEMKSQFDPK FSQIQQVFSDQLQNIELSESQMLQSQIYDYRNLDIEQRKSENVKKITQQKEKLFQQLQNQ LANLERQTNEKYQEMKRKLEETQRLKLEKIQKDFEKKTGRVLGNGKEIDLCMDINQTRSL THELREEIKQFKRKKTDAEEKLASMNIVVSKYDLQNELKQKDVIKFELDRLQYLVNQYKQ DDKIADKELELLEQQYQQMIRKHQEFQQKQQTMQNMIQSVNELREQLAANQSSDNSKFQS NLFRQVGNKNYGTEINIQNNLSMNQSVVIEENAVQMRKWKLILLDERVALNREQQLYKTD KEKIKQMRRKLQIDSEQLKSQIEMAQSFDQNNMKKDLIKKLKQEIKEQQVKISDDTEKSN NWRQILKQKSKTLDQMEKNINDANPQPELLHSLEQLYMLYSKIGELELNDDMVEQQISNN NESYFNQIKVISEEPSLQQDSQQQHYDIQMPYEAPRVTHFEQPENLSKYRMQLIQQKEWL QNYKYRVLATNPYSIDSRERFQRYQQFLHCKV >CAK94482 pep:novel supercontig:GCA_000165425.1:CT868677:282480:288557:1 gene:GSPATT00026806001 transcript:CAK94482 MFFIVGLGLISASLIRMSNSDELSLEPAYYKPQKKQQEMLKQQVQNTKYYESKDGEPSYS FEMEDKLWESSDEYGYGYWVRFSENENQDPLKYYFLSRLTTNQEHGDLTYYGDRTLSVFL YKNVFVFATYDLDENLKSKERPVKLQENINGNWYFVCYSKKKSEIVGFLVKFGANYILRF EQYGRHKPSKYYKVIFGGSEFDYLSLPGQFANIYFDFDEPAFINTNEDVILLIQTHCNMP QELLKRWTIKLIEETKDFSANNKEDSIEMEPFENDYIITQYSVKGWFRWVDNILVEELNQ FQIFNLRSNKDKSDKTGDRALEIHQIVGGGSESQVYFSTYSIIEEQNRITQQLKSNQLVW TYAQFSYDIGSLKAFGYLIYPGYAQSLEFNEVQHKQVTKLFLTVGADNGISSFNGKIAYV SLNVGPKSFSDQMQMDDEGALNIYLRSKLVSEEEDIRPSRQNSIQPVFSQLYDDDKVNGQ SEYSFGMWSRWIRTYPLYLQKRAEIHSIAHLKRQYKQVLLEIQLAKSSYLFQSLEEIQHI EYQELEGVWVYLYFGYSRLQNRALGVVNTLGKDLKSIEFHDQHDLILDAIEFYVGKHHTP LFNGEFARISFQFGPHSFRQESELAEIDTQGLPYILPMSQQTIQLIGAAQQSPIDEKFEF EQFQGITEYSVSGWVKFNGKEKSGEQYHIITLTQRTLEESNDQATLQILKNDVNYVFVTY TCEIECDKYLKQESAFGEYWDQWTYIYFGYKNYQTYTYIEYKFTSNSFIIKEVNHYYMSV FSVLLGQERKEFLQYTGSLKQWVLNVGTGAYREGSYESEQLSIKVHFGYLAGTDHLTQAQ QMKEHPEILDCQTQQIKLQQSEDLQLDGLYEYGYGLWIQFKHYASNISLIKPKLMGIARL SLQSIEHLLSVVMNVGNYQFITLEGSEQIDYTAYHESEWIFVYYAYQRQQQDIGETVGFV VDYNGIRQIKQNVLHPLIRDHLNFQIGRASKNYIQFNGLITGIRLYLGSGALINEEQLKQ MITKLNRKPAQIYIDNVITIVEDRTDTYSGDNPIHIEGSANQYSMQLWFKMSQDQFIYRV TQNEHLSDRKYLGDRVFHLSTQIENVEYSTYSLLNMDEVDTLISQQCKIELYHQNVWTFS YQSYSKKEQKMITYLKIDDYICQQHQDNIIHAISDQIWLYLMKDFNDQHSNTMISHVKLT LGQGSFTDDNFLKLSSFIAGNRQMPKIIKELENVEDSQSFTFNEVDSMSEYAVSFWCKFS STYPERRYRKPEQFQIFRMTSNVDLTEGKIELRDRLLSAYGLTNSFSFNTYDINDNAPNE YSIIEIERLENRWYFIHAGYKRKLQIAKFYVYDGNDEKHNSNENLLQDPLTDFVKVVFGS EDHMVGFNGQILQIAIMIGSGSFGQSGSYLESPLLDYITQYQIPEESTLEYLYKQKNGII ELDHEFDEHDFHDVGSYSVCGWFKLNYSPLSKFEQETSCQTLIRLYENEKLNDKLIQGDR TLLIQVCDQQFIKFQTYTLNEISNINEHKLIENSIDMDSPVIWHYVYMSYDDMEQSVQIL LKQFQSDEVRVLNNIWHMETNYLGILFGTDVDNINLDGVQQQWQFLYGYNAIVDLSQASY QDKLPNYYNIKNNQRELWFQSEDTTTAEFHNAVNQYAIGVWTRWEAEFYESKQIQFHNIF RFTSRKQYEDKAQFGDRVLFSYLTNKNYEFSSYDKKQKLTAINTQIPYDFIEGEWNYIYF AYNDGSLYAAVIHKQSQKAEHTIIKDIEHDILGYAQLIINKPEFGFQAFKGQIYDLRVFL GEGSFLDDTQKVAAMINKLHLRLPDVELKVEEFKWEGCDTQIDEDGSLIQEFPYSEQTVY SISGWIWLYQKSEGTLDSLQGVMRLSTDLNFNTLLVLAKSNQVTFGSYSFGKKSLPIWQS CDIEMEQWLFVYVSHQEDVTRFYIKSEKGVQEFSNVSRHVVPRNFKLSLVKFDSFDKFDG KLKGVHVRWHTPLDDPEEESAAPVLSHQ >CAK94483 pep:novel supercontig:GCA_000165425.1:CT868677:288696:289524:-1 gene:GSPATT00026807001 transcript:CAK94483 MQDKSNTNSSVQESYSPKKLAEGGEKKKRSRTRKYSDAQREHKCECGKSYLSYPALYTHM KQKHNGQSLKNLDEQRLESTFSESQTQDIFATLANALGKEEDKPQASLNNMDIYDLINWE VLLLIMETDNKDIRLDYYHELMKSPQPTDVTTPQDAFIKFIHEVKGVLSFEQMKLIFLFV YSFRKLLLDYPECPTSGLVEKANLLICFQLDKVLSSIQKLSNRNYIVHLSRVPNLRETMI QIVLGFCNWLYARHLTDDKLLLKSDSD >CAK94484 pep:novel supercontig:GCA_000165425.1:CT868677:289631:290331:-1 gene:GSPATT00026808001 transcript:CAK94484 MSRQGNSQDYDWLVKVIVIGDSGVGKTNVLSQFCDQKFSITHMATLGVDFKIKTIEAEGK KLKLQIWDTAGQERFRTITKTYYKGAQGVILTYSVIDRQSFQNVDGWLKSIQENTNSSDV QLVLLGNKADMSAERKVTLEEGMKLSQQFNIPFFETSAKSNMNINEAFQELSQRIIQTLS KMQANDENRNLNIKPNGGEDKHKTGPCC >CAK94485 pep:novel supercontig:GCA_000165425.1:CT868677:290753:291447:-1 gene:GSPATT00026809001 transcript:CAK94485 MSSEYPIITWKELIKHFKRSSLWVVVEGMVYDVTTYLDKHPGGEEILRKCGAKDATEQFL EYNHSNYARSILASRIVGQLTDEPPPHNYAQLLKQRKQRVKNPYQAVTWEELALHNTSDD AWIVIDDDVYDVTDFLAQHPGGMKLLLDKAGDDASTHFHRINHSQQAHQIMSELQVGVII GIKPKKKQKQAPTNYVLIMFIIVVLFIFIYLFLF >CAK94486 pep:novel supercontig:GCA_000165425.1:CT868677:291623:293433:1 gene:GSPATT00026810001 transcript:CAK94486 MIQNSATQKTLSINSPKHFGTIFKRNLTLEDQRLKSIYLKDKETMFEEIQQLKQEKNNLI LSLRKQQSQLQYFKKEVTQFQRDEASPTYNYTRLKQSYLERLASIQVFIGESIQEENQKL KQELGQTQNYLKQLKNPVEQQNIDIYCMQLSDDNVHLTKILEQFEIKNDELQQPQKMNNK LTIKLNAQNMQCQKLRGLNQQLLLEIDILRRKNISYDSKPSQRDIQKVDEKVLIELEQAK IDIRNYQQKVKNWENKYNSLLVEDQEKIAYLEKKNKELQKQVSSLELQIEQEKQQYQQMQ QQQQQRFLRRTVVLKNPQFFDEQEQKNNENEIQIKKNILVDKNDVLPIAKKVRLRLIGLK MSLNDVEKYLLTSDNLTFNQLEENLHQSIFGLEDSNEIHMLAAYLADIEDENESTTSSRV RSIFKTLMENYIILSHQQLDTINFTIMNKKQEIQEVLMKKYPETFTNGFMKIEIYLDILS QLDIHFSKIEIDHLQALITKQNRSPRILLQQIYAPFYIPEIQLNSNPNIDDDELANPLIG ENPMLSENPLFSENQMSVSVEDHEQMSLLDIKMCNSQELKKKSQSELPQV >CAK94487 pep:novel supercontig:GCA_000165425.1:CT868677:294056:294945:1 gene:GSPATT00026811001 transcript:CAK94487 MIDIKLINFILFLKQDFQTNYLHFFQIKINQQTKITLPFLMYSNSHFYKPPYPPYPYQHF QYPTMYNHFYFPYHNQIQQFRPTVFHQAPLPVQQQTYITISDDEESMPKKEVQQQSSSQP IQSLQTQKQIVRMLDLDYLESQGKLYDCESSSPPPIPKRIIQKPQKPIYRQQKKRKQKSK RQPAKKAFQYIKQVQISKTRQLLDFPQSSVKVRLIKVYEKNEDQFLKLYEMLRLNFPQAN DEDVAVILNICDKNYSKAELLIQESGCFISQMQFYSPKSSIQGSTNS >CAK94488 pep:novel supercontig:GCA_000165425.1:CT868677:295720:296729:1 gene:GSPATT00026812001 transcript:CAK94488 MFISTLNLLLELRKGTLELDIIKQHLQQHSLMNEIEINALMDLTKQIKESITDDEQRVGI LNEIDAILLNILQEKRETNSNTDNFLNYVQQSNQNNIGIAILNNYGQFILTDQLTRNILE LNILKLEAVNFFSLISKVSQNMLREKFNDCCLLQKGNAKQTFQLAMYSKRNKKKSIQYLK QFAKEKEKRKLKYSLAKNTDAEPIQQELILMAKYLKSLQVTISKTNLQLHDDFIESFRDS NDILLHNLSSLIPNQLNQVAVCEIYELDQHLNFSVEALLSDPFIKKNEVKWSKLVRKLGG QEPTYEDFII >CAK94489 pep:novel supercontig:GCA_000165425.1:CT868677:296803:297108:1 gene:GSPATT00026813001 transcript:CAK94489 MPKSQLKIEGRGNGIKTNIINLHEIAKALNFHDECLDIYLTSSP >CAK94490 pep:novel supercontig:GCA_000165425.1:CT868677:297675:297958:1 gene:GSPATT00026814001 transcript:CAK94490 MINISKQSQKDSMITLMSMNNVCFIGLIILRSGKMEQWRISYLMKKSIIITDRQLSEPMI NWMKSQAGQEEEYYEKEAEGRRGR >CAK94491 pep:novel supercontig:GCA_000165425.1:CT868677:298402:299307:-1 gene:GSPATT00026815001 transcript:CAK94491 MNENDKKLSLPPLRMSELQDLLRQSSEQHKKEVIYSYRDTKKKRQKEIADLDKYKTIERK AFNSQNKLQGRPFGKNLDIFLCKEPLIDDFLKRHIIAPQRNLEKGLKDIKTGRNQFLDGK CFGEVPESKLSQKEKQFKEYWKEYNKQLSEQRYKMLLDSQTGLQKKINQQKRLKALKIFV PNKYSPGYFDDHPSQILSFTEMHKHSQPQIWSPNSFYGDSFEENLKQFQSKSNFKLSNTV LKDQSKSSIKVD >CAK94492 pep:novel supercontig:GCA_000165425.1:CT868677:299361:301157:1 gene:GSPATT00026816001 transcript:CAK94492 MNSDLLQQFRPSQVINWDDNTKRLILLGSLNDQCGILILQKKPFEKEVQQLAFDQAVQYF HNDIYTKYNCQMLSDIDCELICPANQVHIDKYSKSDSVIIEETYDMYKQSQIIQMPLDWV YNILEKKKEVENIVFENQTFLILKDYVFVNSQSLDDLHLLALPFQRDIKSLRDLNQDHVA MLEEMYTEGLKIISEKYQLESKFVKVFVHYLPSFYHFHVHFTHSSQMGQAFRDIPLLQVI QNIKLKSNYYQSQELIRPDLTYEFYKLNHNIQKIFILMQNKFVQKGISEIQKYAKALLGN KNYVQKKLAQDPDYFTKLAKGQNPKYLLIGCSDSRAPPNELTETDPGEIFIHRNIANVVN MTDLNLNCVIQYAVEHLKVHNIIIMGHTYCGGVKAAMQQDSVGGLLDLWLNNIKHVYEKN QHLVNQFENENDRVACLSSLNVREQVLNMWKNPIVQKSWEVGHPVMVHGWLFRVETGYIE ELQLDENIPESLSDVFRLNFKSAQQTAQGQQSKQNDDQLALPSLNSQKFQQMQSKLVTEF RKYSNHSQDCNHQMVNQISGILQNDPHFKKENK >CAK94493 pep:novel supercontig:GCA_000165425.1:CT868677:301557:303463:-1 gene:GSPATT00026817001 transcript:CAK94493 MAEEWITKQIDKYIIVNQKLGSGAFGTVYRGFKKNDETKQVAVKAISIASIKDSAKMVEH IKREISILQQANNPHIVKLYDVARTPHYLYLFLEYCHDGDLKKYLSTKYGRRLSEVEAVI FLKHLVEGFRTLHQLKIIHRDIKPANILLHKGVAKITDFGFARVIDTGMNGKISMGSPLY MAPQILEGQPFSSKCDVWSMGVMLYEMLYGKPPWDGDNQYNLLQNIKKTALLIPEAPVRS DKIKQLLKHMLVVQEKDRYSWEQIFNHEIIQIQEAQIKNNLEQLMKEKDELSRSESLNKL YLEMNLVVGYLDQPEQILQEPSTPQLTQGNEEKQSVDDINHEKGLKIINQYETEQKRRKA MLKYNTYFLFERNIAFFFNYVIQKIIKMSHQGILKLTQELYYSTIFCISKNQNVHLKRMY DQLMSTNPDKFDRDTWGRYLISQEYKKILTVTKNDIKHTEDFYLEIYKKEKQIIDKELTQ PDNKRASKIKQVLDVNFDQNTFFQQLYQSVVQESLDVIKSSIKQTKDSDPVYKDLLQLGW FLVICLNPYLEFKDINMDFNQFYEEMQTLTEAQFLEKIGKRLDL >CAK94494 pep:novel supercontig:GCA_000165425.1:CT868677:304941:307675:1 gene:GSPATT00026818001 transcript:CAK94494 MCLKLKGLGLNFLIFSIKNYANNEIEINPENYPDLEVDEIQGLFHLPVEAQKNMFCQVFK SLELEDDSKILGELHVKGETLGIWRTKKLELNLTHKKLIVPRKEGNIEMSLSKYGIMWVG QKKSKSLHYCFILIAHNQAIYDHYKQLILGSDEEDYAKKWFNLLSGVCDSKPIQPRRMSV EFSKQTVSTPPLNKSDQLDLSKSDNYNESWLFSKPSNKDMQRISEQPESPDPLKKTQQET FTCFNQEEKQQFQAFTPLSTGELGPKVSNLPTYLNDMTVDKFKQGEFYRVGNNVYKSVSK KGLYQVQVVLEHDIEVVKHFLYEGFGFNKFIVNEWTMFDYQDYKVVDQSRVKLIYGFQRQ SQLYIMRYKASDCVIEKQLNSENPIYSIAKFFRKSIQKYSFSGIQRRNQNYSTDSMPQQT IIQIICQLEDGAYYDASNIAFMEQYHCLGDHIDYVINFRDLHFEQQQQQSTMSLSNHSED FITEKFDQSLDQKIPNNLMDLLEFVQQNKHWDVCLNNEFQLEPIDVEPKYLKSTSEGHFL LKNYWKVDQNQGGLLYVDQVGLKEQKKVFSYLLSQIGTNLASGKSIMSISLPVYVFEKQS NLQRYANSLTYLQYLDEAVSKDYLFQFKNFLPYGYGTIILYLNMWKPFNPILGETYSGFI NGCPIYAEQVSHHPPISNLLIYGKGYRVNHRLCTIASISANSVSGINQGYTKVYFYESKN ELIFLPCSGLYTGTLYGDKLFQMVGKFHVINLKHRLVAEIKLNPYPGSVWKKRREHLDDY YEGCIYEVSEEFVRRFQRDGYLKFKELRQNEIIREISKINGVWHKNMFIDNQEIWNINTE PYVLEDEDHPLPSDSNFREDLIAWKTGNFDLAMKMKTKLEEAQRADAKLRKKH >CAK94495 pep:novel supercontig:GCA_000165425.1:CT868677:307703:308985:-1 gene:GSPATT00026819001 transcript:CAK94495 MSLSRNGSKFSRHDRIANSQIIDLKKSKMYPSSSKNSDQPGGFFLSLANMKAPDYGQAQL LNNDALKSKIKEKRNQKSIDNDTMLNKFVTRVETKLIQSPLKKKVMAIDPKIDMPAQIKP YSLTPQSRLQSFRIASPSSKPRSQSQNKRNEIYYLSKLQRAFDTNGSDYFSRMYREHFHQ TYQGLNCRFFPQNNNDYNRSHKLPKKHQRQLTLFFDLDETLVHCNETPSIPCDVVLEINV SKHQIVKAGINVRPYAKEMLKNLSNHFEIIVFTASHSCYAEKVCNHLDPDSTIISHRLFR ESCTQTNTSLYTKDLRVFCDNTNRSLSQVALIDNASYSYAWQLENGIPILPFYDNKDDRE LIELEKYLKNMIGVSDIREYNRNNLKLHLFVDQRGPYKVLENLFGKPQQLL >CAK94496 pep:novel supercontig:GCA_000165425.1:CT868677:309348:310379:1 gene:GSPATT00026820001 transcript:CAK94496 MGCVSSKDQPHQQFNIKLPDSINQTTLQTDLDLSDSQDQYLQIGMNISSLGELHPKVQQV HQELGDLFQNLQGQYMLMPDQSIFYGQTLNGKRNGQGKQHWQKEGNFLEGTWIDNQLNGR ARMIYPNGDYFDGNFVNNVANGIGKFVNSRKEVRGFWLNNKLIGEGTEIRKNGTTYVGQF QDGKIHGQGKFEFANGCVYKGTVHKGKMHGNGELIFNDNTRYVGEFRNNCIQGSGIYEAN IPIQGWFHSKYEHQTMFLYFFRQETPVLIDNQDCALIQKQLSTFFD >CAK94497 pep:novel supercontig:GCA_000165425.1:CT868677:311122:319676:1 gene:GSPATT00026821001 transcript:CAK94497 MNPANQYQRKLVQLTENKYNTDSCLSYGLWSKYNPLSTIAQIGMYGLLDNHCFHLHNAID QYSQSLNLIYYDCLDSVSRKIQKTILFINNMDEQNRFTLEIDSFDYENTWYYLQLIVQPS QDIFKLIFIKRQVVQFEAINQMKYPFKDENLILSFGGNFIVRNSKILDVKDGEIFSYFPG TIILQDFSIQSLAIDFDFINIANQAYEQFEQCVCQSNKIFLIGDKNLKEQDQDIYISDNI NCDSFILVGWIKIKEIINPSGLLSYQLIKVSTNLQNPIFQNQNLSPFQLLYHITPLQNEI EITTYSYNFPDVSIDFSDNPFLMKKTLLIKNKIMLWHHLKVELQEDKLNVVITFYEGPEI FQYDVKFEVDQFHNCQFKIEFGNCQQTQINYLNTQMRNLQFFNCQKELTTQNCHQSCYEC DGPTNQDCLSCSIESQRIYIPEHKVCVCPFNTIDNQNKCQTYKDSNLRLIEDKNLNVNQN CKYGFFELDGESFSPSMIKKDFLSCVECLNNPKSWFKYPICEKSLVIKLNTDYYDASLQF GDVQYYYDGIQLNPIHYTNSFRYETDIQNITNIFKEFQLSQNYFRQFCQQKDFAETDQFI CYECFLQYCQYCQVTPTNFICVKCYGNYELIDGECIFSTEIKARSKPVCLPPYYYSFENY CKICEIKNCIYCFEYSTDNLQFCSLVDLLNMNLKFQRNVRTGCALCEQNFIFDFTLELCL HQIPQIKNCQRSYINLQNKEECVSSTNDDFQIAPEISNCQDYIDNCQTCSLNVDLQIKCV VCQDKFMIENDQCYQNEEFDSQKISIYNQTNKIQSFILQFVPKLKQYVYNKFLNQSKPII CDPNCIICDQTTTYCKQCPLNYYKKYIITLPSKLCLQCHPLCQVCLQRSNKDIERDFPYL IVDDKSQNYTNKCIKPYNDPSFSFDPYLQSVRYCLTKDCKDHFFLDLPYQSCDFSRFNRF YESKINTDYCNQIGMEILTIELVLNVLDQQCFLILPFIIQTELKQKVFSLKRVNFKFSSQ QYLEITSFTSNPFKNYDQVEISNLGFVLDADQHFIFYNSNKKIDLILKNFTITQSILQNI DSLFQTEVFGNITLNNFTIIDTTLINSSLFNFHSYQMLGSITITNLQIKNCTFIESALFK LSQIESLLSYQYLQMQQCNLTKSSIFSFESNYQNQRTLTGLNTMILNNKFYNSQLINSTL LIEVSLYNFKLSLNLMENSIMISVNYNITMSLISIDQNVFVFSQFLSINQIQLRNHIQCN ITNFEANENQFQGSSLILVFSTLSTNFLLIEFYQFKIINNSKYSIHNENNPLFCINSQEI RISNFIIFDNDDLMIFQLSENNKISISNVIFQNTIQNFKIPLSQSCLITNKKNKLLYVSG FTTIYIQNLHISKIVSVDEPLIQINPSYQNLSYVMSYVEMINITFTHNILIQSTLVNQIS LLIIESEYRESILLENIKFEENFLHSYSSSAVMATVSLLYIQTSLSQTKVENFLSKNNAL TNSSNSFITVMSAHVMLSNFSIQNHNFLSQQLWVKYYELIFNENLHQDNLNQIIFQILQI KNIGGAGSFLVQNISCINCSFSKILATQSLIFEITTTEAGCIDLQNITIDQVENNPQSTE KGSGCFSIYSSNSKLNLRLVNAFLSNIFNRMASLIFTITPSKSQNIILFQDTNITNCISL LNQIINIQFSSLIANKNIVTIKNIRITQNLQVWTEYFTKVRDISIQEITETINNQNSLIS LQNCITLIEDFVIEGIIVNSIFYFANLPKLKLNNVKLDKISVLYSFNLIQVMQFSQIKSM IVIENLSIKNIQLYQNNLTPYSTYSQSMYNIRGCKIVQQFYETYSQDYFYSYVNSIQSND KQEEGSFIYIQSISNSNGIYFQDVTFEHNNCQQCQNGLVFFELSDIQFIKLDNFNCNYNQ INKFGCLHFSSNTYLRQKVLIKNSNFLFNNGTQGIGIYSQKVSLSIKLCKLINNTALDFG GGLYIDIESSDFIVNRSIIIGNIAKTGGGIYLEGNCNLNKNNFVLSQLLFNNALEYANNV IEIPNHLAFYINKLENPSQSVTNNNQSINMLNLKPYRMIEQGSQINMKDLLIPSNQVIKT FQIFDIRQSKYLPLIKDISLAYKNSRNEVMHNFINSTCIVKDKIITKDKMEITDRKIQKT LFFDIQTNSFDFGSLSFSLDPYKQDYDYLQIDISCQLKEQKDTLKYRIYARSLKCQLGEF YVDNGCQICQSNQGYYSVTYNATKCSIFDKGKYSDITSNMIQLLPGFWRPNNFSDYVEYC FKNKFFCIGGWQVGDYTCSFGHIGALCEECDRYGIRGQGNFYKNQWDQNCRECRFDWTSI FPTTIICLWYFDCYQLQDIYINLDVFKKYLQIQLTIFITHNCIKIQQNIIQTKLRQINQQ MLDQESIQLKMLINYLWIYSVIFTFNIRFSFSLLFIEQSSDTSYFIAKDLDCYISSIQNI PIVQLKIFTMLILMIILFNLIIAGQFLHTLITKQKHDISILSNSALYLYVFNYAGLIKMF SSVLSKREVSNQNYIQGDVSLKYGSPVHLQWVYYFIIPGIFIIGIFVPILIFILLKLNRQ KLDKIKLRKHLCYLLNEYKQERYYWELIKLFKKSVIIFIMTNFETEIVLKASLLGLCLLI YEILAIFHQPFTIQKYNSLDLQTAQICSISMFLALTKSICEQKNYIFPSILIQILIIGCF IKLCYPFIFGIARSYVKKYQFLYLNKLHFFLNSKVPNIYFALILGKFLEREKLKQQKLKS NFTKLKNHLIFLSKQQLRTSKQILTNITCGQVISPQSSRILSTKSSRIGVEKAFFSNVEE YENNKK >CAK94498 pep:novel supercontig:GCA_000165425.1:CT868677:320486:322782:-1 gene:GSPATT00026822001 transcript:CAK94498 MSFQKQIKFKIHLIGHPRVGKTAIITQFIDSNIDKLPVSIGMEIKEKNLIFNNLIVTLQF WDGPGHEKFQRGEVYRGLDCLIIVYDSNGEDPIKTLDYWNKLQLDLSNSKDREKFPIFVI KVNKNNIVENNEILESIAVLQWCKQNRIKQFFKVSYQDNLIINETFIEITKILLGQKSKQ LNIGHLAISLFDDSSKDASSKNTILKSQLKCNMQDRFESIQCQHQHDSPPIIVLLDNNLK GVKRLVCSQCINDIRGPFNGINIDEAIKIIEEQKNNLLEIVSDFSQKNISLLNCLIEQIL QQKALILQSMDQMINQVNNWIDEIKQLEIKQCSYSFIDEIDQLNKSIEIKRNIQVKEVSQ LIDNINLSYETKIQNTNQLLQIHLQRTQNILRSISSSASYQELNIIRKNNIEKIKEYNQL DYQLVSEVRQQEQCHALAFNHNQSIMAASLNNNIVLWSFQKGQLLDKKASLYGHQRQIKC IIFSKKKNWLFSGSEDNTIRSWKEQETWFSNSKWESRKADKTHTNWVNELLLNDQENELI SCSVDQTVKIWKIQYDSNSIKFVQSLEKHQKSVLSISLNQSQQQLISWGEDKQVVLWEKN YIQKWQFKNIVLLYNQIQGINIGVRFLMDHQIICKTSNGQIEIYKQTQDQYESHQVLDFD VQNSDENSQETQINYNIQTQLIVMQYKKCFYFFRNSYDDNKLQQVCQPLIYESEVIFFNI TNDGKYFVVFQHPLFKFRIYELKYIHIQ >CAK94499 pep:novel supercontig:GCA_000165425.1:CT868677:323022:324531:1 gene:GSPATT00026823001 transcript:CAK94499 MQNLTMIENENDLVCSLHKQPAVMVNLDSQLDLNYRLLCNQCIDFVEPGSKVVGFKKAVQ MMEEKRMIIQQFLENMIDVDFKHVEQLYNQIMALKQQLVLSLDQRKQQTDQNEKINLQNQ IKKINNAWSTKFKLYLGQFQQYPQYQKCQEILNEINQSDDSIQQKGLGPTKLVRIDESTE QQEKCKAIAFDPTGKIMISTSDNDIKVWNFEQGKITLINTLQGHQKHIYCLIYSKVQNCF VSGASDDTIRLWKGQNNNQWESSQEYQEHKDPIYCLILTEKEDQLISGSIDQSIKVWMVD FNENKLQCLYSLVEHTAFVVSLSLNESEQDLVSCGFDGTIIIWRKGQNNQWKFQQVVTNS LQEQGRHVKFLNESSFIWLAYKSNYVCVFESSEGVYQENIEKRVEFKKDNQAQINWPYFP VVYNKDRNLLCLRHIFHICILKLERNGRLSIIEELDYQNQGSYGTITKNGEYLVFWGGKE NKYETYEIKYE >CAK94500 pep:novel supercontig:GCA_000165425.1:CT868677:324591:327622:-1 gene:GSPATT00026824001 transcript:CAK94500 MNIQETYHLYLEDYFVGEGLSYSLNDNNEFFQINRPQNQGGIQGEPISLMPKPKYVPQQK EFLLLLKINEGDQDTYEIHSLNKFSKIRRFSASELIVKFNSDRCNQMNSFDNFIIITCLD YEEEQLNYLIFDSDYQLIKTFKFDIKYAQYESILISKSAGKYLCTLINNGQQKDNEYISN YSNLIIFQLETQNLADSKTTINIVQLNQIQTSADFISDFDLVKEGYLFISYFNLGVQIHQ LNEQKLYQLDISIKKRILGIRAQKISYGFHEYNIVYWNEEHISNFLYEPIKNKIISWEKK IQTNQINLSLNFVTSVFINDKFIVVSNTNGISIYPTIINDVNEGMQLYYYPSKSALSYFI NIIDYLISIEEGSLILYMINDPLIKITASELQKIPQSFKIRAISNQFDKPQVECPILNLY FQVQIDYNPTKIQYIYFDQPFQVWFTQIQRGVYQDVGSPYQQIKIKIDQDKIQEVKWNQL IIKNGLQLQGYVSNSNQVKVEFDKVLTEHKTFQNQYDLGDVAYMKQQLLYQYQTEFKFRE KFYMITQSYFKLDLQECGVNDPQNCELILSQNLEIRNTIHMIRFNIHNDYAYLAIDQQLI YEAFENLQLQNIKNSKITIFRFKMNEKNKSVKVYKISHLLHQTIQIDIIDDRLYMLYKSQ VQNYIFTSKITEINPTLDILQLSHKVNKCQNFAFNIELYGYFLYYFTVEIIEQDDVTRNF ELLNVINIEDGKFELVNAIKYKEGLPLIKFKYSYVLYLTKGGVYLSQFTDIVVTYLTKSN FLTFAFTQYKDKDYERFRKKNLIVFPGQEVHQETNPRIKRSSSEIFFYLEPVYITADSNI VIYNMRSTILNCQHFSLADTFLDIERNYVTTLKKDIFAIHKSPGKTWVMNSLLIQFITHE PKIKINYQANTKENPLKIEFTVLSNQLGPEESPQFQLIIESEQQNQENSQQEASQQQNNQ QQQNQQQINELNLEEYFRRLFDQLQQTNGIQFGTI >CAK94501 pep:novel supercontig:GCA_000165425.1:CT868677:328054:329286:1 gene:GSPATT00026825001 transcript:CAK94501 MIMLLKYLSYFNIQQRTLQMLSKQISKISKTVKKKKFTFTKTTKNIKDQKKESEYVLLPK WSIKQLETCYAIAINNINTLCIVAMCSIIKIIQLPYIQSKSNYELLENKPECIQLQILEG IHTNIVSTLNFMQNTENYDKFISGSFDGRIVIWSPHKLVESQIQQKQWSAQFILLGHASY VNCLILQNDESFISGSSDKTIKVWKYHKMKNEWSCTQTICEHTDIVLGLSISEDNKQLIS CGGEKKIIIMKSTSNGKFQLCQKIQVDVGGSRIIFITNELFMFLQESSTHLHIYKATRKG IYERYQEFPVSGGEQNCDYYFPALYIKPQNLLVLKNGRCVNLINISINLNNLGQLIQCNL EQVIMFGKLEVLGTYGTMSNNGKLLVTWNSTSNQIQFRILKYWNEKNQNI >CAK77681 pep:novel supercontig:GCA_000165425.1:CT868279:4032:4163:-1 gene:GSPATT00039234001 transcript:CAK77681 MKSDISVQELRQNLSEEIYEKQVLLIYNVKIKIYFQEKKERLS >CAK76755 pep:novel supercontig:GCA_000165425.1:CT868248:120:1101:1 gene:GSPATT00039170001 transcript:CAK76755 MLTDQIRVEEQIDSSYQNDIKVEQINQLTQEDKNQLNFEEAERLFNKGVALRKLNKYQEA IECYDKAISINPNYNLAWNNKGFTLNNLKKYQEAIECYDKAISINPNYDLAWNNKGLALN NLKKYQEAIECYDKAISINPNNDNAWNNKGFTLNNLKKYQEAIECYDKAISINPKYDAAW NNKGFSLHSLKKYLDAITCYDQALSICINPVRLKNKGISFKFNFYLADSLFELQLKQEAK KFYLDALRQGSNDKEYINRQLAKL >CAK73540 pep:novel supercontig:GCA_000165425.1:CT868154:2:5584:-1 gene:GSPATT00038890001 transcript:CAK73540 MLKIMSGKFELLIVKCLWLIKIIYCEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXERDFGQKIRINGRMPTFKILMVAVIIAKLGMIGNAPQKIILVLASYAIRPKITLSKLT KTDTSYQEFKLSFSELVRFNEKGISEEQFLQLIFIEIINAKDNEYDIEIKSIISISTELA DVAYKIIINFKTTVRNPVLKVTVNNDNIINSQGNTLFSKESKLEFRSPQKMSADQMSLIS RTSMLSKIVLYSILVISGISFLFGNLEILWNLLDLLQQLSYMKFHNVEFPQNLEGYFEIF SVGSFTPIFDKIQVDQNLQEMFNFQPPIILAKWKFEYYQVNCYFLQNFETLLIMLMLGFT YFIISYSFQKFLSFIKYYNWASIHQNDSFFKLTKLIFFIQRVARKYYQYFIYSGLIRIIT SNFYELTYASILQLVNFNLETPLNTLIFYLALITLICNLFLPCKFFFYLSQNSAVTKKLS VLVEGIKDQKNQGAKQYFTIVLIKKTLFIVNLVAMQGLAEAQCLITAFIGPPKQHAAKGK I >CAK73541 pep:novel supercontig:GCA_000165425.1:CT868154:5618:6875:-1 gene:GSPATT00038891001 transcript:CAK73541 MTIFKLYILLCLFLLTESQEISKKCICGHVRDQTQCQNSGFCIWENGVCVLRSAHTYIQE NQEQITCKNFAEEDCRLQKQCGFYLGHCVNFVNCLVFNKDECQKSSYRCVSDGGMCVEIL ECNNYKTETGCANQNQNGRYCFWVQEKEKKCRDVILCEELPKYLLSHIICKQALDGCTVN ELGYGCMGQKDQCTQYQKEFQCFESKSKSQNCFWDSKNDKCVEKMCENLNFSQDYECKSY LSQCTTNGIHCVQRKQCSDAENKYGCVTDAQGNKCEYHNNQCKIKSCDTALDSLQNYQQC QDYDNKLDCVSSENGGCKQRPQTCEGYANQIDCYSIEIQDCVWYNDKCEKRTFKQIVRNM EIVWVNLVVVVKRHHNLVMTFYKKNFVNLIIINKDAFGYKGCAHILNVIN >CAK73542 pep:novel supercontig:GCA_000165425.1:CT868154:10310:11591:-1 gene:GSPATT00038892001 transcript:CAK73542 ELYPSSLTRERLRVWSTGYFDGGKYHLYDLASEQVVGQGQITEDVKYFYQIYPQTLSFLD PKDMKVKLLDLQTHQINESFVFAASNIVTQNSDQLQKEILADKFRIMMLERKQNNVRYLI TQDLEKQYSNNSVCHFIIRILPLKYTHETQFDLNPVFEYHYPNITNNDELFNWQVDEETL VIYGQDFEVSQIEILLIKPHLVQKAFYIIHFKIDDAVTLINVTNWIHPHLIALWVNNNDS QMRPTILTVDIRSYYNGKEWLPEPECNSTKVMDTRLEKEQVTFMDIYQFNLSQTYVCQFR LIDINPMLSFINYANVHQLELNDEVKVDDFFHEFPNNRAYLLTYNDLEVKNGIVEFSVIY FSDIRLQQLQIMEKLHLIDQYGINVIEEVVDFQI >CAK81928 pep:novel supercontig:GCA_000165425.1:CT868428:2:913:1 gene:GSPATT00039490001 transcript:CAK81928 RKYCCAIPINQDCSILLAGCGNQIKVFEFNKGIIKITQILRKHKDRVFTLNFMKKSYQFI SGSCDNSIIIWQQNQNNQWISQQILNGHTSYIFCLILNNNEDLIVSGSWDNTIKFWVKKG QWSCYQTIEDHTSDVYGLSFNQQQNRIVSCGSDYLILIMEQEGEYKKWIIIQKITVEQYG YRVCFIDNNMFALSLCDKEQISIFEMNSINQQFTKTTDINVKCESDGNCLFPSQYIISKC FLLSKNGQCVNVIRKKLNGEFVTEQSINYNTPSLFGVMNDDGEYLITWDANSKQIQIRRY QEY >CAK86293 pep:novel supercontig:GCA_000165425.1:CT868565:3:1725:-1 gene:GSPATT00039749001 transcript:CAK86293 MNCKKQQVEDLYGLLAQSKAIDEVDFLILIKMLEREKTQDCLNFFSQYQNIQQIEKERQE VTIQSILDKEQILNFGKNNVKRIFEVLKKIEDHDFNRYNYSGEEYKEIKQDLIAKISQDK KIIELLKFLVNLTALDERFIQCGSNSLHLLVQIKVDLKGYSFENIRIRNTSLLGANLMRC DLSGSEFENVIVSGMNLNEAKLFNCKWMNLRIEELRILDGHHSTVNQVYFSFNGQQLISC SDDKSIRFWDVKTGKIKCVIKGNREVNSVCFSPKNTISASCSGEFVYLWNLNTGKQVLKF IGHTDCIRSICFSPYGTTLASGSDDKSIHLWDIKTGQKKAKLAGHSSTVTSVCFSPDGTK LASGSGDKSVRLWDIKTGKQKAKFVRHSIGISSVCFAPDGRTIASGSGDKSILLWDIETG YQNGKLDGHSSTVTSVYFSPDGTTLASGSGDNSIRLWDIKTGQQKAKLDGHSGIVKSVCF SSNVEIILFGYGILRQDNKKSNQMVIHIGFHQSVSLLMVLHWHLVVEISLSVYGMLRQDN NMPNQMVIKIMFIQSVSLLTVLHQHLV >CAK85071 pep:novel supercontig:GCA_000165425.1:CT868540:698:2160:1 gene:GSPATT00002470001 transcript:CAK85071 MRSSRVQINKEPKEKDFIKVAQQLTYIPIMLPSISQESLLSSARNTSNQSSKPLTRRRMN NHSQSRLSLHTKKSDLTPLTIRSHSPVSQFQQQYFDAIKNDDCEQAMAILINMMILTVDQ DNLCQLIKTFRVASLTLLHFREYGKSIIYAKNTIFLSEFARDFETIQWALLHLGIICKQL QKYEIGKIFIKKSIEFTWFMQNQDEELRCYEELGKLCYFNRELELAKQLHDMSMVGNQRS DSILTISKKGIQQLINNYPPQQISIDQNILSKIVDFPFVIRATKEDRDTQNRYLRQQKLE CVYIDRMYPQSVEEILNKVLQNHNFFFEIPIPIDSSYKVVKPKSRKDRIKSTQMITWIKL AIEKQKNPEYKFQPKPPVEKVEKRKLTLDQAVTERVKDKIRSSMEIIQEIKCMKPKNGDV IRLTHEKDHKRIHEHSKKELLKYAESSFLNKNVKVSFSLLIQ >CAK85072 pep:novel supercontig:GCA_000165425.1:CT868540:3279:5430:1 gene:GSPATT00002471001 transcript:CAK85072 MYNSQIEQSQFSEAIQKLELFISCRQLDDLDTVSVSDPYVIMYQKNNNYWTKIGQTELIW NNLNPNFATSIQLEYHFEVQQHLKMEVHHYISPTQSKIIGIAETTVAEIAGSKDQIYMGD LVNISGKKSGKIIVKADQVKQCNDEIILTLSGQSLPETRFWFWHGTCPFLRFYRLRKDDN NPVLVYETEFAKDTTSPLWKQISCKAQKLCNGDYQMPIKVELWDYRSSGKHNYLGETTFC VEELKEHSTQNKILKKEFKNKMKKNESSGVLSFNKFQLRTRYTFLDYCAGGQQLNLIVAI DFTASNGNPNDPKSLHYMTQNGAPSQYLQAIISVVEILINYDHDKKVPVYGFGCKPRMNL INTNQTLHLFPLNDNPEDPEVYGLDGIVQCYRSSLHHLSFDGPTYLHPILKNAMDMAQQC KDQGSENYLILMILTDGQTNDMQASIDDIIASSNLPLSVIIIGIGDANFKNMSILDNDDK SMVDSKGNKAVRDLVQFVPFNEFKNDPALLSKEVLAELPDQLVEYMELMGIPPKPPKNIQ ANQYQIPINNAPQQNIGVPQNPQYNVYPKNQQFQTDQESQQYNSQQQIYQQYQQQQYPQQ PQQFMPPQQYPPQQQQYSQQQVYPPQQIYPPQQYPSQQNFQYQQQQAPQTGIATKSLGQG LAQQGFLKGFVQQANQQFNYPSQ >CAK85073 pep:novel supercontig:GCA_000165425.1:CT868540:5736:6780:1 gene:GSPATT00002472001 transcript:CAK85073 MSNQNKKASYIKPFLFGGISGCTAVAVIMPLDTWKVRLQIYGENKGIKVAKEMYKVEGLK GFYQGMGSAMLRQLTYATARLGIYKVIADSVKINQKRDLRFLEKVAASSFSGLCGALIGN PTDICLVRFQADATLPIAERRNYKNAFDALYRITKEEGLPTLWRGSTPTVLRAIAITVGQ LTTYDEIKEWCMKIFLRKTETMSDRIMASVGAGVVTSVLSLPFDNIKTKLQKMKVKANGK YPYSGIADCFVKTIQREQLTGLWVGLPVYFARVAPQSIIILLVQDLLHRVFETH >CAK85074 pep:novel supercontig:GCA_000165425.1:CT868540:6934:9276:-1 gene:GSPATT00002473001 transcript:CAK85074 MNKEQNSINSSTDSVEMTQYGDQQMKHINNGAQLQTAKQKAMTISLIRNKTQVEDIYEYY KSNEIQPEEKLRLLQKVYNDDVAEHSADSKEVKQVEMNLPGFYKKFHEAIPIFYPNTPGL LFWKGWVGLIIIFFFYEIPIYISFDKEFVPEWAKILIAVLALVTFSFDMCLEFHMAYYSH GNLVVDRAKIAHRYLQSRFLFDVIATLALLIRSIISTYNERWLYLFFYLKYPSLTRISFQ FGEIVMLHRRVRTIFQIGKVLAVLQFVFIVYACIWYLACLYATNNGYNSWLTHEGNFGAI SELTQIQKFFYSYYFSVGTTTTTMGYGDMQPLNTFEVLVGMGGILFAVLIFAVMVNIIFK ILEEYAIYDIKRYQQRLVINRYMMVKDVPQQLRERVRQYLNEHWYQEGNRDIQGEQEIIG ELAPELKAELQLASCGKILLQIPLFCTNFSRGFLRELSSTIQEMNYAEYEMIFLGEDPQF LDDQSIYFINVGQIAIFPNNFNKQLVLKKLGKGEYFGEFAFLTGFERKASAQALQYSQLY KIRREDFLGTLAKFNEDYEKYWMIKDKIEFQKDFSAIGQCYTCQSTAHYSTECEVTHLVV DANKLIVFNQDKQGRTKFKRKEKKKWQTFVRIECFKREEVDNQQIAVKSDMEQKLKEVEQ VQEHLDDDEFKKFQNILKTNFDTYTNQYEVKEGLQGMDQAFQFTKYFTENNYANQSEFIN TQVQIKQPARLSIIRSKQVSQQRSRQSSVQQ >CAK85075 pep:novel supercontig:GCA_000165425.1:CT868540:9361:10286:-1 gene:GSPATT00002474001 transcript:CAK85075 MANSKFEYVKQFEQMQNLLPNTYIVVRIDGKGFHKFTKCYDFEKPNDEQGLKLMSFSACV VMETFPDIQIAYGQSDEFSFVLKKDSELYSRRSDKIATCVCSTFTSVYTLNFEKFMKKPL QFPYIPIFDARCVCYPDLQNIRDYLSWRQADCHINNLYNTCFWGLVQKGMNKQESEKTLA GTNAGDKNELLFSKLGKNYNNELEMFKKGTTIIRQPTQERVNLKVELDVDSKEQQKLNKK QKKKQKYKDLNQQELNQLPNQQKEDLQVKEFYVDIIKDNFWIENKAYILL >CAK85076 pep:novel supercontig:GCA_000165425.1:CT868540:10387:11951:-1 gene:GSPATT00002475001 transcript:CAK85076 MRSKRNARFNTNDNSKDNIIDSNRKPSPPKIYMNTSNTNQLISESVYQRKGLSIPKDCLG SGNSFQLKRSLPKNQTPRMLSKSGRNNYDQESPNLIIQPKQEQSMEIECLSPTQQYLDIK LPFFSSQTTSKKEQTTRRVIKDASLNNSQFTSKESQMKSSDKSKINKIRKTNYHQQQEIN PQFQVYEFKQELKALRVKRTESFEWLQEDSQGQEESSFNQFKQINLGTYYMDNLRKYLKG LNLEGLYAQLYINHFVQQFHSLQLSKQFLQPDIKEIERRSIQIQQIIKQKTLVLDLDETL IHCNEQPQMKYDFKVPIQMPNGQIHEAGISVRPFAQQFLQECSKHFEVMIFTASHPLYAD KIIDKLDPTKKWVTCRLYREHCIQTQQGIYVKDLRILNRNLKDVVLIDNAAYSFAYQIDN GIPIIPYIDNPKDNELIGVIDYLKVLLQIDDAREINAKTFILKQIQQCQSLDEAMKLLLV I >CAK85077 pep:novel supercontig:GCA_000165425.1:CT868540:12181:12351:-1 gene:GSPATT00002476001 transcript:CAK85077 MGILGQRQSWSKFQQFVFSQNEKLEQIMKYRRRYVSIRLQNFIASYKDKYRQQYYK >CAK85078 pep:novel supercontig:GCA_000165425.1:CT868540:12597:17816:1 gene:GSPATT00002477001 transcript:CAK85078 MNQANAQNESQLTPIEDDQNILLEQNKVPQIEKSFFINQIEYKYQGPDHPQIAELLELLD EKQRKPLSNQTLESFRQKILYYEQQFNKNCSFLDNMFQISFYHILTIIVYMSIYFTYLAS VISLKSDDMIVKNSTKLNIVQQLPEFGIMITSFTLAIIIIKNQIILLLLFIFGCLFPGFY LFYVYLDVFNVNNSAVDYDMVLFLSAFIISILNGIVVSVLQQLVESMYNQLMGAIFSSGW CLFIIYLLGFLIFTYVIGLDVITAEKVINYIINSLYIPIFVSISFSYFMINTRLFDWPAG IIKTALDRVKVKILKQQIQKEEQQQSQKIVQISAFISRLVIYSIGAVYITMEIIFYILLA SELKQSQVDYTAIITLSLELIIIPLYLFLGVFISVSDRKPQYTYLVVPLVIGAPIIFGFL KLSDYLEYEKDITNFTEILYYCPLFVNILWLSLTLMGLDKRRLFINSLGFVCFFIAIPIG VFLPLYNLYQYSSLQIIAYVFIVIGMIVLLLVLLYFFFQSLKALKRAKELADQIIPTFDE FKYYNLTDLAFCINSVCFLLSYFIISYFFWYIFDQNNTATVTEGATMFAIMVITPLLFVL INIALGHRSLELTYEFAQDIKELQKLEQQKNRKRIQKRFYQNTAVCCGILVPLFLFIPVS LLISDERASILFEALSIGVPVCFLIYKFLVTIKNQSISFEDFFQPFVSSLLWCCVIFPFG VIVPTMAVFFNNADSTFQYFAQGAVGLGLFVCMIGVTGTSLFLSLLLNREEFERKKREIL KKVLEMLKENHVLSDLSVVGILYMKYLNKLQIIYTKLNAIKNKGQKKKQKQEDFRELKQI LTENLIQGEPINVIKYDGPELEYDHKLVSKNVYQEYLTLLEQELLDKQKNIVKEQELTGC KKYLMDCLCCRCGLEEMNQLENQKNVEIIKLRDILNMDDDAGQIMNDLIPQFSSFYRIAD EGDTLLRKIECQPEDKQILYKQKYKPVQEIKSEKTDSLNQIQIKKLNDKALGNIKSSDQY FSLQSSDQMIAEFMHEVFMEFANNEQGLEPSICFADLQQFCRLTELHFDLIDYEKYAKTI WINQTYSLNEVQFAGLIKGMIKDYDGDQFDNLKQLVLDHIYPQLITSMKSLMINFKYSNK KVLQTNKLRINPFERQNFISNVVQAEFSKFDAIKIKQAYQDEISNQSRIYQNNTESKVAK LSKKQKEQQYNQNKYQIEQDYAIKLPCYKRCCYSCSDWFRVNLIEKYFPNLAAAQPKPKG DKTAILDQKRQRMPDWKLVAKLTIDTLFEATEEYENNLANQQQKSIEFKLTSSNILALIF KLYDMYSLASVAYNPQVGWFGSTSISGSDTISGSAFYDSYDFFFYFSLGIAILYGLLGSL SLNAVQRNTFGQDENGNLARFPHIQFILPRVISILSGFFMTVMRTFMDAYICDYGDLPYK FARNTSIECLSTNHYIYMGFGLIGVGIYYPLSTYLQPTFQFSDHSLDLKYKSTYIIIYVQ AKLLIMGLSSFFNTFDSSFEYQMLFSIGILVIVLGFHFKMEPCFVKWYNLIEQMLILIII FIYTGAVIIMMTGNNIIGIIFTVVAILIGFLAIAFYIKISVYRTLNTSRVTPQDNLQMIE TRPIPEESRLNIYDLIAESQFAQQSQKKTKKKKTNQTPDKEQYPNFDMTAGGNNQSSNSQ ELIKIKEEINMEPISVNKSILSKLRL >CAK85079 pep:novel supercontig:GCA_000165425.1:CT868540:17958:19254:-1 gene:GSPATT00002478001 transcript:CAK85079 MGSCCTSSQGQEKNCQIILQTQIQNTIISEYDLKYHKQIQFIQGRIASYSLACARGFLVR KRYQSLIQKLRKQKMVKKEQNHYSNELSFKDPSHFQDTKFTPMPKNQHQQVYRVKKLPKV PEYLSNRVNKILQLYTTFQYDSEEDNKFNFPIYQLDDGCIYHGQWKNGQANYLFSHRHGC GKQYWLNGTFYEGYWAFNMFDGRGRLVHSDGNIYEGEFKNDKASGEGIYYSVDGLKYVGQ WENNVQNGHGMEIWHDGTSFDGEYKNGLKNGQGVFKWSDGSVYTGQLIDGNLEGIGQLKW EDGRVYEGCWKNNCMHGHGQFKWPDGRKYEGQYNNGIKEGMGQFEWPDGRLYKGEWLNNK QHGIGIYLGYNGIEKEGEWSDGKLVRWNKGKARMSII >CAK85080 pep:novel supercontig:GCA_000165425.1:CT868540:19424:20208:1 gene:GSPATT00002479001 transcript:CAK85080 MKKQLPKSTSQTAIPKPEKSQTIKTPERHGIPRNVNYYSHFLGEPYITEKDIEFVLQLRN IDHAEMTAKLARIPNQTFSRGDQKIESQKDKHLSRDVKYNGNSTPVLHLLKGRIGPTPHL SQAEFETGLRSYAKTDQSLLDKERNWTTVPKTQRKDAFPEFLPNYKEEIQKKKSMSMSGG KLAKLTYNAFDSDSNYPSYQMKFHEKNLQNVKHMFVPAIKMSTVQWQEGLRPLEPIKKEK KQKK >CAK85081 pep:novel supercontig:GCA_000165425.1:CT868540:21072:22327:1 gene:GSPATT00002480001 transcript:CAK85081 MGNCCPKGDAHSEKSSIANIISEEAELTKLKATQPMQSSQNLVTSSNALTVPKSKGQINR EDFVKIDKLGYGAFGIVFKVRQKTTNKIYAMKQIEKEKIFKNKLQNNTVLERNVLKQSKH PFIVRLKYAFQTNSHIYFVMENIAGGEFYKILSQVKTGLPENVVKFVGAEVVLALEYLNT KLKVIYRDLKPENLLLTTTGHVKLTDFGLATMRRENGEKSYTVAGTAEYLAPEIVNKSGH SYEVDIWTLGILIYEMINGFTPFRDSNNDFKVISQKIIENQPIYPEKMSPQSVDLIKQIL QTNPSERLGVKGDGYAELKRHSFFNGIIWDQMSNLKVTSPLKTFAERNTQKMNLISKQPQ NFQNTPCNPQSPKLKIDGITFDGEGTLSSHF >CAK85082 pep:novel supercontig:GCA_000165425.1:CT868540:22335:22793:-1 gene:GSPATT00002481001 transcript:CAK85082 MDKLEFSIVWGVPSKVIYQALLDPFEIMQYTRAPAVVEPKEGGLYKIMEGRIEGVFNKLV ENQEIQMTWKFNNWKHHSNVTLRLIEREDSCELKIIQTNFPNDVDKVKLEDGWKNQIFVP MSKIRGYPIEDDD >CAK85083 pep:novel supercontig:GCA_000165425.1:CT868540:23060:24864:1 gene:GSPATT00002482001 transcript:CAK85083 MLSQKLWKSQRIELYFLPPQINKTKEYPNSIEFFVPHSNIWIINCVYTNKLQIHNYCRII NGNLDYHQFYVSFLNNLNDLFFTQNDHNVCLYQSQDIFIIYLFILIFCCISPQCLLTSIP NNFKILLQLFDYSNLSTLNHLNFNNLDVFVFTPYTVYLELKLYQIAFHILQYQIDKKTLP QFKHTDSYNNIILQRMSLLLNEFLLLHSIFINLFFLSFNFSNNDYTKKDTQLSITSNSQN QSIKHFRTTQFNQNQQKFFHLTQQKITIVVIILLGPKIWKSHFSIKYSRIFTMIKQDDAE STDIRINIQSEILAIILSYLIKHDLTVSLLYSYKFRFIKTITTHHQMWMKCIQQNEIPCQ KLQQVQFSLDQITSNIIHNHIDTTVLRIQLLEYLRLKQNQQTFTIYSKIIINKILSLLLT PNLISYHLSTNSETYTLVLDMDETLIYYDGDKVHQRPFLLTFLKQMSRLYQLILFTAGLE SYANRILSQITIKKYFTHLLFRQHTNIYQDFYGKDLRKLGRLLSRTIIIDNTPECFSLQP ENGIQIQTWKGEQSDSILLNLIPILKALRFSNKDVRMKLREYKNCPGLIFPK >CAK85084 pep:novel supercontig:GCA_000165425.1:CT868540:25387:26426:1 gene:GSPATT00002483001 transcript:CAK85084 MITIVPNIIKSVFHEGQLFFTAKDVFDKKSNELAQLICQVGQSLEQVEYFNNIQEDLYTN EQKQLIMQCNDHLHQSESLLQSLPSHLTLINGYFYSKSNNYKELVQSIEELVRINNQLHE LELQSLEILKTVVIPNFSRKQSFQCTQFSLSLESIYEIQIVLKLKAPKLVKEIFDQYPRH LKSEYKFKIQMEDAESHKNVFHFQRMQFLKLQQMMKEVKIYTLSKNKHCSLEIRNSLQYS HSTKNTFIPDTKFISSEIISMENLQQDQENKSNLLKLFLVQNGQNGTTVIRNQRTPMHVG IGQECELFEKDQIVILQDSNQDPLISYVVQLIMIKL >CAK85085 pep:novel supercontig:GCA_000165425.1:CT868540:26461:27228:-1 gene:GSPATT00002484001 transcript:CAK85085 MALINITNIVFDQDTALFNSPIQMQITFDVMRQLDEEIEWKLIYIGSPNSDKYDQVLEQF SMPPLQQGTMQFTLMSSGPNFELIPSKDDLFGASAIILSVKYRKQEFFRVGYYVYNTYLE PELIENDPPQVLIDRVYRQINTSAPRVTRINIDWEGQMVQLYVNPQQNNQSFMFQQQPIF NDITNTPDYLQQTVTDKIQQPQQQQQQYSGGLNNNQYTDLQSIF >CAK85086 pep:novel supercontig:GCA_000165425.1:CT868540:27902:28655:1 gene:GSPATT00002485001 transcript:CAK85086 MQDEEDYDILMKIIIVGDSGVGKTNLVNRFAQQKFLDDSKPTVGVEFFFRHIDIMGKTIK AQVWDTAGQEKFRAITYGYYRGALGAMICYDITKEQSFLNVERWIEELREHGDSNLVMML IGTKSDLESKRVVRNEDGTQKALQHNMAFLETSALKAANVGKAFSMLLEKIYVGIDNQGK EYQKRASIKLTSEPITIKNAQNTKPDTTKSKKQCC >CAK85087 pep:novel supercontig:GCA_000165425.1:CT868540:28678:30250:1 gene:GSPATT00002486001 transcript:CAK85087 MSTFYLFEAAAGLALFQCDTVDETNVKSKQIQKQFSDFAQFAEVCHLKAFQPFISAEIAL NNALAIHTGTVTQELVDFLSTNLPPVGKKSNFQIAISDKGLAAGLQQYLNLKSKMNEATA QVFRGIRTHFVDFLRNEDFKERDYIQAQLGLAHQVSRNKVKLDINREDKHVTQAISIIEQ MDKDLNTLSMRIKEWYSWHFPELAKIVTDNRVFTRIVDTYGDKKNINDEALEAIEELTTD ADLAKQIVEAAKISMGQDISEIDLSTLKDLCIRVLNQFEFRDNIQEYLKNKMIAIAPNLT ALIGENVAAKLIAHAGSLINLAKYPASTIQILGAEKALFRALKTRGNTPKYGLLYHSTYI GRANGTDKGKISRNLANKCAIASRLDHFLIQPTEKFGVKLKDQMEQRLKFLTAGGELNKN TDIMDEVLTELKGEGLYFESEKQLTKKDKKSKKAKSKKVVEEVQEEEIEQPQEAPKKKRK ASKQQ >CAK85088 pep:novel supercontig:GCA_000165425.1:CT868540:30399:30815:-1 gene:GSPATT00002487001 transcript:CAK85088 MIEIPHSENKQIPLWIFKSLQVLELFKMLREEVNSFDGQLSLTSKPKGNDNKILDDFIEQ CFDNASNDINSPLSSFLEQIDQDHYTTKRGNGCSFQNGLKVFKCKECQADFSTPQKLGTH TSKMHLSVERKKKQIKKI >CAK85089 pep:novel supercontig:GCA_000165425.1:CT868540:30960:31552:-1 gene:GSPATT00002488001 transcript:CAK85089 MADQRNRTPEDLIYYFQQNYLHQMRRKTHQTILSFEIHKNKINKSHSTHIQQPISSAQNL FDAYIKERQQKRELNQTLIKPQSKSQKQLNQIFINNRQVIKRNRIASIQPQPDKSLEQLI SRKKSIHFTINDSNQNQKRTIEKCDWLIRPGKVHVDRKQIKQIRHETIFRETHHHLNGWQ IDNDHDTEF >CAK85090 pep:novel supercontig:GCA_000165425.1:CT868540:31958:32759:1 gene:GSPATT00002489001 transcript:CAK85090 MQRIIKIGGLQLLNQYNVEFNSSRLIKNTNVIPILIVQSLKGLSKYFKKDKCTQINRSCT SPTLKQNIARKNSNQLLNFVNSNENRPVPNLFENVQRQNINAPVCQNATKGRILLIVMNI LSQEDSKSKYLQLKETLNQRITSLELEFEKSYAIEKNAKNIVDQTDNYNHIGIEQERLKQ IQNSRISKQKNQQLITINSRQQQIMKKNKLIDLRRQYLKSQKQPFITSAMKQIIHKQIIK FIHHSVKIYRQFRHRWWK >CAK85091 pep:novel supercontig:GCA_000165425.1:CT868540:32815:33840:-1 gene:GSPATT00002490001 transcript:CAK85091 MSEKEATLKDISKKAIADTEKLATVQRFGLFSSPVPLGLGDNSYDNKPRPARNEQGKPIT SPPNMKATAPQSGKIKSSYFSQLGFTTIGDKYIDPERKIRIQELEDNKKYASDDKKNFVP PSGYKELVGGVYPHQNDYEIPKGPNNHKGGDGRVKIGPKNVTTNPASKNLGHFPKHEKDE YNRYRQFERERIFKEQKMLKDKQPFKSTIYTTDNFSTDKQVFGETNLPKPTQVKEFKPNI MKHEAPFKPSNPTKSGETGCLSKYPQYKGDPLKPTQRIDFVKGKDPFKPNHLMEMVRPTP SISCFPHNLKRELNNRMF >CAK85092 pep:novel supercontig:GCA_000165425.1:CT868540:33871:34796:1 gene:GSPATT00002491001 transcript:CAK85092 MDSKENYYNIKQMTESFRNVKKYSQHSNTIVDMFVEITQKLDKSSKDYLSKVIPIDDEKS TLNQVDTIIIMNYFKQIVVYLIKTKVELEEKTQQIEPQTQYETLIQKLEADVRQHIRIEQ QLRIYTETLQQKIDDFISEREQQIQLIKEYEQQIKQKNKDIVIYQSELRKAVNQNQQKHT KLVTEPIHEEYDTTYHKNKLNQTNYTHQRKPSQLNEEKSVPNKSQINSYKNSPERIPIRK ISVTNYAETKAIKQPVFIRQPCPMSYSHLKTETQISYRSNSQESKYLQKNQVK >CAK85093 pep:novel supercontig:GCA_000165425.1:CT868540:34917:35411:1 gene:GSPATT00002492001 transcript:CAK85093 MSSQINDVLRNETIYFRKSHLLRKATNQQNRLFRQRLIQDSLNEPTHPINCLIKNEEVFV PYIHERNSLGSLIKKPNLSSNREIHNKQLVKSSLKLSRNKQFCAFLQCEPPNSNRSYGQK NKTQSTQDSFYSYFTFNKSNQNFLLKSKNSEPFSFRKSFYQKQL >CAK85094 pep:novel supercontig:GCA_000165425.1:CT868540:35428:38769:-1 gene:GSPATT00002493001 transcript:CAK85094 MSGFIHYKIETTNQGMDNYSNQRNVTYQQSTILTEDYKLKSNPITQEYIQLSDQTSFQKI IETKDREIANLRESVNSQNNQISDLMKELDQWKNKYKQLQAEKGTSRITTVYQGNDIEIN QYKDEINKLRQENSEYKFRLEQNVNVASNDYEVNSLKMQIKQMEEQLKKTTYVTSIPNSE ITQLKDQIKQLIAEKELNQQQQQQLQQQQQQQSQISIQQSKMQIQQGSSKITFGSDLDQF RNERDDYKRKIKLLEDKIQEQQQEIKRLNFNCESYMQEVQQFSIRSTNKNQNFEIQGYLQ RISALQHEVLVWQDRYKAVSTQEQVLTFEQKIREKDIQITQLQERLKQFEVQVGQLKLEV DKSKKEINGYKISFEGKKTEIQEVEILKNKIIEMEQDILILIQDLNERDQRISSTQNEYQ EEIKIIRNQQQTEYQLQLNQLREEKEKYQQDYERLSNEFMIFQRQSEQQKGSSFEIDSLR QRIVELEYQLKNSNQQYQILQTQFQQQQSSSQSQYELTSIKEEIIQSEIQSYKDLIKKYQ SDQEELYQENLYLKSQKSQIEKNTHQFTSIQSEYQVIQERCNKYQNEIQLLNEQIRKYRN DYESLNQNYLLIQRESEMKYSTQNNKENEVLKQRINQYEQRIYQYESQIQQLRTQLQNQE SQFEISFKERLRVEMQIVEQRVNSQGLLELSQQQQKYRQLQSQYDQLQIKYQDSTSELRQ IKEQFTKQSQFESQNLRDQLQQLRQINLQLNSEISTLSEQSLKYKNEYELINQRFVSVQR ESEVYKNSSLQIDRLSSRLREVDYELQTKNQQINQLQLEMRNLEVQIRDELEKQFIQRLT IERKNLEDRLQQQGNQELQQLSYQLQQTQMELNQYRSKYQQIEQLFNSTKIEITQIRSQQ SNISNEQVKEFKLRITELEHEINTLNIEIERQKNIRQEQENKIQILITNITEYESRLHIL EQENARLEGKVKKQIVYEKQNDQYIVSQLGGQESSKKNVQIVTRQSEINQVSQIPISNNQ QIDRFQSSNDVQYSQISQRIVSPRSQVQIYLSPDYNSQTQKVMTTKNVKQTIMSSAYQG >CAK85095 pep:novel supercontig:GCA_000165425.1:CT868540:38820:39583:-1 gene:GSPATT00002494001 transcript:CAK85095 MQGARFVETNLVQKMLRNQSYDFHKKKLDQIQTEQLSYCQDLAKSQDLLSKICQYQVKHN NQKHNPLDFRNLNKESGLMNKINIQLHISQKFKKAKHLNSDQYGQYKIQERKHMQVELFS FKADTSLWSENALGKRLHSPLRRKQQQKIDEENYELQKRLELTKQILIEKQQEQIHRYEK LRDHMTRIKPKGQSSPMMKTHFPCVKSKSTTQLINKQYQSDSLMHNSKMNDLLKSEINLS KISKLE >CAK85096 pep:novel supercontig:GCA_000165425.1:CT868540:39715:41984:-1 gene:GSPATT00002495001 transcript:CAK85096 MNQNKTLPFQLCFTGCKRCCYHCNLGCQSCLISMKQCLVPCCQTICDLMKTLCIKLNIKC SLCQNCYQQCCGEMCNCQFRCTNPFLIQDQIPQELFEILQNFDYLGEQQMFCYGNVHVLK ENTLHPSSELHNPIMFAEKIIDHSNKSMAKQLAAEWIPRLKQRFPHILQLQLLLQRQVDG FFSKQYRTYLFYDYILDSFQQEFQYRMKMENYFSQKELLAYFLAILSGINYLQTQGINPS FIDLNEVYLTQTGTIKLLDPSFYPRRSPMQQVLEDIAQSKKIYSKIIRRSNNIKYYLAPE QLQEINTGNDQARLNSCLFTLAIQMIQYSIMKSMDDCYVNNSINFELLSERKTQASQFLS IDFMMLLNQCLEVDPDSRISTSLAYQQFIRLPDVQMLILEMPKEFGLAYNSLILQQDFQI QIPQTIKVEPQYNEIREYQQQQQQQQQQIKSQKNAFEELLKQKNYQIGQKQESVFSKILR EKNYQFGFKPKPNVREQLELYSPQDSFIDKLDMYRQQPLILYQQQSPMEKEIQLFPQQQE LENQEYKFIRETYPNGCIYEGYKLNNQREGQGKYIHPTGAFYEGQWHNNKMEGMGKFYNN DFLIYDGEWKEDEYHGQGREYNDDPENLGIVNYRNLSNIEQIWMTYQGTFQNDKREGQGN MEFVNGAVFHGEFRNGLPHGKGKYSDKDQRIIGQWNEGRFLDDM >CAK85097 pep:novel supercontig:GCA_000165425.1:CT868540:42014:42316:-1 gene:GSPATT00002496001 transcript:CAK85097 MQSQFFQTYFRGLIERCVSVTIELKNGLQIQGQITYVDDNLNFNLVDPEVSDPVKQPQLI TLKNSFIRGSTVKYVHIPQEELQEEVLISACKNEVKKQKQ >CAK85098 pep:novel supercontig:GCA_000165425.1:CT868540:42443:42757:-1 gene:GSPATT00002497001 transcript:CAK85098 MIAQSPNFKLNPMTPLSVHHKMAIVEDEPDDLELEQSKISFNENLIVCTYKPNQNVTNIQ KTILKLKGQPNVGWVNPILKDERNRSTSILKCSQSPTRIFSICI >CAK85099 pep:novel supercontig:GCA_000165425.1:CT868540:43366:43851:1 gene:GSPATT00002498001 transcript:CAK85099 MNNGLEDDDQEILLLQLQQKGRQLEQENFKLRKELANIRGALKFDCILLFYQQVIRMEVI KKVPDNNQDKIQIKYVLTHSNQIAKREAQVIFQEQPKQFILYGSGYNSDSQHVNEFQIFS YNVQLNDELQENPNIIATDQKIEIIFEKRNQKNKQFIQLNK >CAK85100 pep:novel supercontig:GCA_000165425.1:CT868540:43962:45467:1 gene:GSPATT00002499001 transcript:CAK85100 MISPRVQGSSFTPINTSNVFTSTINEDAQNTSFSSYHVTPRQGATPRQLSRIQENNECED SKITDTDPQTYREVIKELSTQLQMAILENRQLKDKINVLEEEHNESFQIVNIQNQALQDS NFTLQEKINNLFSEIQQMQLQLEQKDQKLKEARENNLFQNQSSDKMTHLIDENQKLIKII ETQQQEINIQKDVIKQFEKAFQDAKGKAEQDATEKLNHKSKEVEQFKHEIEGLTQQQQQY KEQIMLWSQRYQSLDQNYQIQYQDLFQKFNISQNQLAELQNIYQTYADNSQRKISQLVQE LDNAKVGTIKKQQTLKIKALEDRIDELEEQLQIKKNQILKLKEELMEYQNIQKLTKQQRD TDIIADKRVVEDQIQEKYEQRIRQLEDKLVQQEQQILITQQQQQQQQSLQQQQQPLLQQQ YQSLPQQQSIALAQQQQQQLYEHNYYARTNENKKLQLFSERKDNLRMFYSFAKENQQIEN KYPRTALNYGNNY >CAK85101 pep:novel supercontig:GCA_000165425.1:CT868540:47746:50225:1 gene:GSPATT00002500001 transcript:CAK85101 MIERGQTVFTNFYQIQINPKSHIYRYQIIFQPTITPEQQISKWRDIFKAAKTGLQENLKV FITNNQILYSPVRAQTMGLILGIYEEEGTSYTISIEEKAVLKPGEPEYTGLIGRFFKMLL KQQKLLQIGRKYFNTKNLINFDQFGLKVLPGVTCSLIKQEELGKYYINIDSSFKMLRCTT MYEELRNSRDYSLIEGAIIMTVYNYKFYRVNKINREMNPKSEFENQNGSKMSYMQYYSEK YKINIKDTTQPLIEVLEKSRKKQEEKIIYLIPELCVLTGLSNEMRNNFQTMKQLSTVTKP RGVDRVKQADQFIQCFHNKESEELIKKWNIQLESKCLQIQSSKVKPGNIIMGNNTQINIE TGNLDRDTQTAMLRGVGLENWAILYGDRDSRQAEDFMSCLRESIEYCKFQCKAPRTFTIH SSRIEDWIKQIDFIVQQSQGPQKVTLLLLILNGPKKNAPLYTDLKRYLINDCPIASQVIL SSTLNQPKGKVKTICNKLLVQICAKVGGTPWGISDLPFTDQPTMICGMDVYHSTAKGRKS MLSFVSTEDEFFSKYMTQSIEMDSGVEFSFSLCPILVKSLESFTSDNRTPLPSRIIIFRD GVSNSQAKTVIETEVAQFRQAIEQVKKAKNHNKPIKLVVLSVNKKVGAKFYAGERNLDNP SQGTLIDSEICNGKDGFYLIAQKTTQGTVQPTYYHVLVNDLIDEPNITNKLQALAYKLCY MYYNFSGAIKIPAPIQYAHVCSNFIGDRFDPRKPQTLIRPNQILNQRRSLFFI >CAK85102 pep:novel supercontig:GCA_000165425.1:CT868540:50242:50568:1 gene:GSPATT00002501001 transcript:CAK85102 MKNLIKSNHQKNEIAQSEKFLRDLELYQKIFLTTKYENKKQQSISIRRLLPNKQYSQHQQ QSQQLFSNPSLQCFNTQLDQETNYSRHQNQVCIQREVRKEEEKRLLSR >CAK85103 pep:novel supercontig:GCA_000165425.1:CT868540:50725:52382:-1 gene:GSPATT00002502001 transcript:CAK85103 MNLLNEKTLQAFISLKNQGQLNIDLRINTRPLTPTKKIIKLPKRLLKSITQVEGLKKQKS YHKQRTIIKQHSFKPEIEEVKIESINEMPMSKKKEIRVTDGTEELILRQQEIQRQTKKKN INEEINDLKKLEQQLLLTYTGRYQNCEEEEEQIETVLNERREQIYRKIYENVKAIQGIDQ KTELQKKRDQDLLKYIKYQQDSNKNKKYIQEKLLEAQKQVEQLQKISRPGTSQRMSSTSS LNQYPNNNNNNEQFDIKINQLFIKNPSKQQQQQGSPLKGQLQQNSTRKLSQKISLVGSNH TSQQNIIENTSGQQLLLFNQTHKKIDEELLHILLTRWKNINSNKKRIKQKKVFMKLDDEQ IQEKKKQRRQYLCQKLLSWLQKMNRCKISLQEMSNFQFPSQPFQIDGSFIFLRYIKYNNM VEIKNLIKFNRNLLFEFNHLGQTCLHIAASKGNSTLLQYLLQCGADYEAKDFMNRNPLWY AVEAQSEESFKMLLKIGATPWNIQTSNAWFADILQQAKKIHTLISISPHTVKSLILEHEF ERIL >CAK85104 pep:novel supercontig:GCA_000165425.1:CT868540:53289:53740:1 gene:GSPATT00002503001 transcript:CAK85104 MNYINIFPKNITRQLYDTGQYLIQKYSFYFSSPLPNSFEFFDYLIDLCLQESKFSYYYQI SIQQLIIIQRKCLYRPKIQFRSNNLTLYCLEQISVSKMQFAVIREQVMVVSDFVIEGEKD LSLSLLSAVILLTKKNHQEQYQ >CAK85105 pep:novel supercontig:GCA_000165425.1:CT868540:54638:55145:1 gene:GSPATT00002504001 transcript:CAK85105 MPIQQEILNELLLLINQKLSSIKKMSLNNLSWEKLENPSKAKLKEFYLNKYRIKLGLFTN HKEQLPKSKPKQRNISIKELDHLVKPEIVKARMITDNYKVLTAQLINKITQKQQEQENFC IFPQIKQKKTKKRSKTEQHQNNQYNKQQKALARTAYLIVQ >CAK85106 pep:novel supercontig:GCA_000165425.1:CT868540:55282:55927:-1 gene:GSPATT00002505001 transcript:CAK85106 MLSLKLQLSELQKIKEKDIGQLRQKIEDQSKNSIELNGQLEKLGQKQQELQQLLQEKIQQ MEQLRNQKQLLDQQNENNQFEINNLNKQVQSLKDQLSKPKNTKQITDTILQLEKTDKDIQ KNLSCTFCNKFIKQPVTIIPCGHSYCFECKKGYNKECFKCGPKLKIEAMYRNELLDDIIA MVKLIEQSINCMKQVTQK >CAK85107 pep:novel supercontig:GCA_000165425.1:CT868540:55975:56834:-1 gene:GSPATT00002506001 transcript:CAK85107 MKSTIKPLPKINNRPSLHEEDSYPGRLHLIQRKLKFGSNTYIMSCNNGVSDSLNKKQQQI PVSESQLLKQIDTKLEMHKKDIEQRNDMIISIEKGFESMKQELVKEKIQNEEKSKQLQEL QASYQAIQLRIMQAVDSSKQNLQAEKDREKINALQNSIKQTQTKEQELLKQIESLNLQIT QFAEQLKSKEESNEEFKRLKDQLYKAEDLQSKMEHQINDIQKNIEKEVNEKNNIKKQYES IKTDRQIKQQNLNKEISTVKCYRQNNQSRKRLQFWKI >CAK85108 pep:novel supercontig:GCA_000165425.1:CT868540:56933:57572:-1 gene:GSPATT00002507001 transcript:CAK85108 MVRTSFSYFNPVTFLFPELLSNGKIDLTKTVDYVAIVIHILFKIIIVALFILELKNFFFE QLMLMIIILTSLNFWVVKNYTGKKLIGIVWWNCREEQDVWYFEKVNKLYPLNKYCQYYFW YCLYVHEIFLFFLLIFLAVSQKWKQFIIILIPTFENFSQTLIYSHAIKDNEIFRYLQDLT EYRLRRSIKCQFTFNLKQLPFKLQF >CAK85109 pep:novel supercontig:GCA_000165425.1:CT868540:57859:58455:1 gene:GSPATT00002508001 transcript:CAK85109 MKQYQVNQNNDPNFDLLPIQPTYKQELDKEVQKLEQQSLFADSIDVDIPCLKKNREEKRL RKMAKMSQLTEGWFGMKSQDQDETMKQEFLILKLKKYLDPKQPVKSSDFKTMPKYYQVGT YIDGMDNYNIKKKKKNQSDLIDQFLEQDQEKQTTKNSFQKIQQRQQRISKVNSKLRKLKR MAKSLGRKNK >CAK85110 pep:novel supercontig:GCA_000165425.1:CT868540:58662:58913:1 gene:GSPATT00002509001 transcript:CAK85110 MTEELNSSLDSLELEIYHRPNPKIQSILNYLRKIDLKFCTNPKKKQEEYTQRNTETSKEG FSLQNNKFFNRKQTNKNIDCAVL >CAK85111 pep:novel supercontig:GCA_000165425.1:CT868540:60564:61007:1 gene:GSPATT00002510001 transcript:CAK85111 MRNQGVQTIETGAYNHFLLPPITELLKSGKSQIILCKNLQQKFKLRSIDSKPTISFKQEQ IKENRKNKELVLHQLHLPLIKPELQIAKSKIKEQIFSTNRLIDNIQLISCVSRARNLSYN DQINNTERLHQFSIRGTQSQIQSRILA >CAK85112 pep:novel supercontig:GCA_000165425.1:CT868540:61274:62227:1 gene:GSPATT00002511001 transcript:CAK85112 MIQYNNQELTPQLSPVGSFTEIKKQIDKRHDGSLTLQELKSNQTNLIVTRFRFNYFYVRI YDRESPLQAYVRGENSKLQTFKMNISTVAQFPTTIQSKYFKFADQQQRDTFSMKNLYISI YSEEDCLITINFLFGHYFKKKIKMIKDEFDSEKSKQKLYLFYSPRLDPSQIDKITNNVNA KQYQENYKDKLKAVKQRILQAVERKKEIQEDKRMNIIRKLKANESLKEIRNVQKQIEIQQ KLKFRNQISWIGFIKIAIYATRMKEKMDQMK >CAK85113 pep:novel supercontig:GCA_000165425.1:CT868540:62685:62891:1 gene:GSPATT00002512001 transcript:CAK85113 MDDFIKQKKQKCSQYAQNYIQEKNKKKKVLDFTLSLMQIFSAPTNEDLIEIYKIYAIQKI SQINDSIN >CAK85114 pep:novel supercontig:GCA_000165425.1:CT868540:62987:63386:-1 gene:GSPATT00002513001 transcript:CAK85114 MGRTGIAVGLNRGFITSSLTKKQLRRRPSQRKGTLGKRVLQVRKIIQEVAGLSPYEKRII ELFKTNQPKDLKKAGKLAAKRLGTNRRGKRKIELVQNLYRAMRKQQQKH >CAK85115 pep:novel supercontig:GCA_000165425.1:CT868540:65311:66080:-1 gene:GSPATT00002515001 transcript:CAK85115 MSKADAKNLKEEIQKLYDPLLFYQHGDNPAYKRYVEIMQENAQEGKQIDPRTYFVNKLQR IHVARNIQDFVERDLKCFNFVRIFPVWICTTGFFLQAALTQRQMFLPIGQRGITSIKQTS FFYNFGYVGLAGYGLYLFGASYLWWQVTKMTAVKFYRHCLLGERQWSYERERQNNTYGNY YFKDVPLSCEENFPDLARGEIAKKQRPKPEW >CAK85116 pep:novel supercontig:GCA_000165425.1:CT868540:67153:67478:1 gene:GSPATT00002516001 transcript:CAK85116 MFSGQSEFDLRVFLSSYSDALHCLKQSADKSTLRKNYENIANRLTIYVKQKKMFGEVNIS KNEERDILAFQYTQQNIKDVQKQLYIFKIFTGFRQFQGEL >CAK85117 pep:novel supercontig:GCA_000165425.1:CT868540:67602:68150:-1 gene:GSPATT00002517001 transcript:CAK85117 MFIVDKTPNTHTQSQPDLLEYQNSFNQEDPRRNQSVTLYDRLKKVNSNKDQKKQLSQQLS NLSLTKTTYNSFKTLYQFTQKTITQIKSKQELTLSQNFVSSMKESNQFMYKVAEKMSSFI KTNKLAVVPPQKIIGNWVYQLKTKCLKKKTPQNSQYPNHNTGFRDEDDDLIII >CAK85118 pep:novel supercontig:GCA_000165425.1:CT868540:68173:70474:1 gene:GSPATT00002518001 transcript:CAK85118 MQQQLIKPQSKEHAMQILQSGFQLYQHQGHMSFNENAIVIQQPERMSFNDQKMKSHPKVH NVFENQKRTRINQHQIEQSIVGNKFEIPVLPSGKYLKFNVLSNWGDKQQVGLNGIEVFDS QGGNITRYIKMPMQDEYIEKLKLIDGYQAVKDDKHIWKTKNLKPEIIIDLTANIKVSLIR IWNYNKSRIRSYKGVRLLKITLDERLIFYGDIQKATGDLKKFQDNCEYILFTQDSKIISR IAELDWLNKRAEDYSIQILQQTMSMKQIRPNTGTKLQSQKPRQQQQQNDQSPSSKLYSSR IYQQSNKEQEQAAIQQRSQPQQKEQEIYKPSNKQEKVQMQYPEYISVFDILLEFNNGSKR FLGLNGILLIDYNNKQIHQEEIQDSNLTEYEIQRLFYSNNPTQIDSSNMIWIKQKRIYIK FKQQTKLSMIKFYNCNQIVSESTFTGPEIVKITYNGRFKIFNLRPAPCEEINFVQTIQLT QPNNNSYSGNLVANYELFHSNYVIAYPPCGMIVTIYLINTWGDNNYVGLNGLEIYDHQGS ALLQRKLIPYTIHSVPQLEDDPRVVQNLVIPPYDINCQPQRSFLAPFINTPMEKLKNKNA IIIQKARDLEKQDINKIYVLFDKLTCFSGIDFYNYTKDWQRGVKEVHIYVNNRLIYQGNI NQTFQDIKKNIYNKTTILFSDSNQIKQQLNPHFYQFPDEQVSLIDENKQLTQREYQQYYS QQLIRPKTSIQS >CAK85119 pep:novel supercontig:GCA_000165425.1:CT868540:70950:71243:1 gene:GSPATT00002519001 transcript:CAK85119 MQQYLKRVKKRIQEQWIKYIFILIILVIAMFRNYANQKISDEEPVKLTEEQIAKTEQYKQ ETIMQYGIMCAVFIGILVFIQTLNNKYEKPKEKEKEQ >CAK85120 pep:novel supercontig:GCA_000165425.1:CT868540:71570:72676:-1 gene:GSPATT00002520001 transcript:CAK85120 MQNNPSFSQNLIPIVCLDIGGTLTKLTFATKKGVSLKCQTKKELNIINETEDYEIHFISH PNSLDKLIENLEGIGFIVDGKSYIQNFYITGGGSFKYYDQISNYGKIIRINEFDALKFGF KLLDSIKCKNTYFTFNNGIEYLQLTASIYPFILVNIGSGVSILKFDNEDQFARISGTSLG GGMFLGLSHLFTGINDFDELLKMTKQGSNAHTDLLMDEVHLGFQSPTRNKNEKHVAVSMG KLDQNININKSDLVKSLLYMTTYNISQIAFLHSKLHNIERVFFSGHFIRNHEETLQCINE AFSYFSKLDQQNRHPYFIKHDGFIGSLGSFWNGIQSFKQGND >CAK85121 pep:novel supercontig:GCA_000165425.1:CT868540:72987:74010:1 gene:GSPATT00002521001 transcript:CAK85121 MLSTQVFNSFAQRQSNGIIKLLACGVFFGGAYGCAWHQWKGGQQKQLYEKVENEITEWKP ISINGLNASRYPWAQNIRNWEYKLVKLYGYFRDERFFVRREREGRDGFLVFAPFVTALQF NDTEQDPEQTTKSQVMVNLGWVPKDNISDIQMGQEPIGTTTYDNVPHNEDDDQLTGFNRN IANMEEDYQMPFVEFVGMVRRGEEEDILKGRRNWPREGVYNYIDLWFMSRLYRSFNLTDS STAYIERLVQEYDEESANLYPIPATKDNFDKPLPTPQTHQAYSLFFGLSSIMSLALLAIR R >CAK85122 pep:novel supercontig:GCA_000165425.1:CT868540:74268:75715:-1 gene:GSPATT00002522001 transcript:CAK85122 MNQEISKEILALSIHVAAAASSITIQTQQRMTLVTNSVLETVGQTMKILNCLMMCSQKQS HLEAVTEEQEDEFQKDSKTKECAVEQFKKNIQANCFHVEVMENQKSKENSPKNLILKSVN GLREQSILKSHNILSEEYPKCYVNAGEDGTPKQIRKPSLLQQQLSQIKVDKQHEEAESKI ERELMKLIESVDPEELIPKLKSIEIYDEQKQSSSHKDVLVYSDKQQIVIYEQDEEQQLMD SPNKSEWYESSSVEYRATSYNTNESSNLDHHSYKWRSSSFRDDNIIQDCGFQFQSSVFDF PFPEDFCANSQNIHTNIQNIENKNQHTPKKKRLYCNNKKVPHWAENLEKVSQHQFQQQNL SQNQIFGRMKQRVLDIAKQFSQNRFNRRGSSAHWHISNEKYEQMQKQMMKLQQIQDENIV KLKQHQQDSVKKNQGIYAKTQYFLTSIKKKILNSFEKESKYKKV >CAK85123 pep:novel supercontig:GCA_000165425.1:CT868540:75767:76225:1 gene:GSPATT00002523001 transcript:CAK85123 MNKVNMKSRQQVEDEFDPFQSDNSYQSDEDNQPVIMNFLEDIKGHLEGDISFETNEHDIY DPAFSQTISHRIKDHQDTAFQKQKFKSNVQSIKSCNSINIICSLEIFQQQLEFKHTQSLP DQQNLQKLSNSKQQQLNFLWGKIRQNNKDKEK >CAK85124 pep:novel supercontig:GCA_000165425.1:CT868540:76326:78130:-1 gene:GSPATT00002524001 transcript:CAK85124 MAQPYVPQEFNKEEEELKCHPSQSGSTATPTLFENTNATKAFSAAFFEDQLLDKEGYYHF YVLIDDLFDEINQQLDQVLINDSQRPSLVSAFQADMSSYLSHEKLTKNSRKMQLEYQNAN LKEKEYVFNTFVKAEIENISLDKYQHFLLEKILEVGLLQHKNIILDRLFQSINKLIKDLY ACKVIQKGLEVMIQNPQDYPYQLDNYLNFIHSDNSQMRRLYVDKIANQIIQKSLEVLEGN HLLKLLQVLSKYILNNNHEKFELSIDQYGCLIVNKIIDIYPKQFDIQTKTLCNDIIIRAI HNSSGLTRRQYANYIIQSILEKGQEIHKRLLMDQYLIKDFMPMSMDKYGSNVAEKVIVYG GSQWRTRLWEEVSISESSFKKLVNDQFANYPIQRLFEYLDQTLRQEYLALLNRLSDNNQL NNHGQIVLKFALANQNVKKYTQKIIQNDKNQQNKNKQKKQNPQSQSSRQNISNNNIQKQQ LFENGLKQAQQQQMQQQFQVAMMQQMMYYQQQQQLLLQQMPQLYYQDQTYLNYGTMTQEQ QMQMFYWQQQQQQQQQQQIFNPNMKNGQ >CAK85125 pep:novel supercontig:GCA_000165425.1:CT868540:78520:79110:1 gene:GSPATT00002525001 transcript:CAK85125 MRNLTPDKAFRIKKQSIFELNFSEEPKSTKIHSRQINQKPVETQFQAYTKNKVIKLKDTP HTHSKIQSLKSKLVRIFKDNKEQSSLEILTEQKGKSFLEELKEPPMLNLQGLQRMANSNE NNPFFLNRPRNPKQFRKCYFRYPTDAIEKLDRSTIVTPQPLLRRYTALLKPRVRISEQWN ELNGWQTKDESITNLF >CAK85126 pep:novel supercontig:GCA_000165425.1:CT868540:80615:80857:-1 gene:GSPATT00002526001 transcript:CAK85126 MGNANSDRFHENSTWQNANTRSSIMNYSSNSDESNDSKSKERPMYSITKNPIVQRRKLKQ HKLQSNKLNLLTYEAFGAYY >CAK85127 pep:novel supercontig:GCA_000165425.1:CT868540:80937:82523:-1 gene:GSPATT00002527001 transcript:CAK85127 MKNKANSQIPFPIAYSKMNHFQLPLLGKKKRKAHTKNPFKTKIYYIPDDTVMRVKCLDGF LMINVTNNDNPHEIIRANLSRLRIQSSKPEDFQYFLNLQDLDVSENQLLLEDLTPFPALK NLKICANNIRNIEISHKNTFESLQHLDLSFNYLNKEAISSLKHITELKELYLVRNELLEL PTDMAEFTKLELLDISDNAFQSNQTASVLWEVLGQIPELKTLNISKNFLRGIHTERLVVG YFNKLETLDFSYNIAENQHNLICARNFTNLKKIIITGNPFGITRDHQGLEMEIHARTGGI LINEEIEKSYLKKPKVKKPPCKFEQLKRVENDILKLPVIEQSKDGFLLQNEEEQSQIDEN QEDNMFVTENQQIKQQQQKIQQQYQLLNNNQKPQSYEEFKKLAQEILGDSKEYDQNQNSS GRPVLDLTKAYQQLKSVINKPEVVDYEDYKEPNYMKPTASIPRYKYQYLCIIEVDDPEDE SIQKRTDNIINSEENEDFNLELLQQGQNQAHIQQ >CAK85128 pep:novel supercontig:GCA_000165425.1:CT868540:82689:83365:1 gene:GSPATT00002528001 transcript:CAK85128 MEETRDTIQKVGILNQEIEKLKTSKDVGPKAQFNEQIVQGKLDQHCRFKSEDIEENLQIM ETDDCDNDLEYKIKQNAKMCLGISLKKVTPQLRNYQHQMLRQLQRERPSQNLKVDFEQEY IETLILDRNDRIKQLGEKLKKMNELFKEMNRLVIEQGTLLDRIDFNIDQTFTRIKKGKDQ LVQASTKQQISDRAQKCIFILVGLNMFIAFLFVIKYTLL >CAK85129 pep:novel supercontig:GCA_000165425.1:CT868540:83805:85059:-1 gene:GSPATT00002529001 transcript:CAK85129 MKITEPSNLEQSQTDSKPSTRPISVSETSQLKIRENNIEILEKKYNKILTIDIDDIKLMN WIKHEDFYEVTFNYKQKFQDKFLFDLHSGRLGHQALMTKVGQDNFNYNYQKLETIKKIQN GEIIKCRKRDNNEIFAAKIQKINHFLIERELQINLHIAQNPHENVASMVEYYQENDLIIF IYPYFSGGTLNQLVNSNSKDLSKIKVKTIMKKILSGLNHIHGLGIIHRDIKMDNIMLEEI NDHKSVRIIDFGFSAFKDNLNYLCEKCGTIGYFAPEIINGQFYNQKCDIYSLAQVFHMIL TGNPMFDRNLNRQQIIRLSKNNLFAIDYRNVKESKAQSLLFKMLDFQENRYDVIQCLDHP FFYKSENLESKIKSVETCKFKSPF >CAK85130 pep:novel supercontig:GCA_000165425.1:CT868540:85806:87619:-1 gene:GSPATT00002530001 transcript:CAK85130 MILISIKIEKSENLHQRIKKDQDDSFQELKLIKIDCVAIPVNKYNFKQSLPSFIKLESLY TVLEDIVNLAQNDKEHFLQSVRTNVATLDCNYVLLVFCPNYDKTVGRQIQLANCFRDILL YSLKCLKLYTCCLCLDDIYNNLIEDQNDLPKDTPINAFKEAINKYPDSLNWSEINFNNKN WIVVKKDPLKFKLHGISVSIYKQDITEIKGIDAIVNAANNLLQKGGGVCGSIFRAAGEYQ LEQEIDQIFQKLGRNQIETSEVVVTNSYKLKSFQGPNYIFHAASPTYNQQEPQKSVEQLY KCILNVLQKCQEMKLSSIAIPMISAGIYGFPLFKCAEVFYQAILNFNFQTPLSIHIVDIL DENVEILQIIFKGERLREIIQSKLDSAPPTDCLVGPIDLKSYNSGVVKMLFELAGPSFKN ELEYKIKYYQTKDDIEYGQSFLIQGYKLKFNNIYQVLLISTPQNLNGNKSCLLYQVFLKI LVETFLKRRLSSITILIYGILQQCLTNEEELKTYDGTKAINIFLEVIKDYQQKYNLECGK ITILSNVPQFCTECELIFK >CAK85131 pep:novel supercontig:GCA_000165425.1:CT868540:87664:89550:-1 gene:GSPATT00002531001 transcript:CAK85131 MFSIIQGNIFDFQAQAIALPSDQSLQDSPGLRQQAYDLFGRNNIQQPCNNYLLKQKSSSI QCSQAITLPINHKVFKYIIHSIVPKYDDKQHQQSLNLLRQLFHNIFKEIYENKIKSILIP TLGCGIADYSPDESFMVFKETFRNCRDKIKDVQIKLVLYEEALYDFAKDYFQDLFQTQLL EQKKLLIDSGLQKNQSAINYQQNQQQKMQFEEFDDDFQNVQNHQNQQQFNNQNQPLKNYK NNNINQNVPKEQEIRYYNNDLLQKPLIYQNKNNNYQKEYQQKIPFNKQQGISTQNQPNSL TQSQPPQIVQEYQPSSQQPLFKSLPPQQQSKIFISLFIDITDKIQEQISNRINLLKFMMD VCQDVYHLHQKLLKNEKNLEQINKQFNYKLSYKISENLKEIQPFCPPEMLESQYVSETHD SFAIGMIFKIILNNFQKQKESQNKELQLHIEKQISETTSERSEIIQLFHYLSKQEEQLSN TEEYLKIEKAMKQFYIQPNIMQRIQEQKKKIMGIASKNKLDSKLILGSLLEPFDKKGEGL SQDIKSESNDSYSHIYPALAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXTF >CAK85132 pep:novel supercontig:GCA_000165425.1:CT868540:89588:90304:1 gene:GSPATT00002532001 transcript:CAK85132 MITISNEEMIPCRLYLLKTDKLIIKNKQEEGLTFTFIQQKSKPLKIDEQCKFDCKVGNHY LICEKLNLKAEVYVFKNQYDREKYQTEEEEFKATKSEQQYDSDDISNENDEIMSEEIGIL PQRKKKSLKWSTAETSSTYYSKKENQSTTSDMSEQLLPEKRGKRKGSDPVIQKTELIVKP KIYRGTNQINNLLQIQQNAKITQTKQITISEEQLLSLKLNWNAQRMINEYLINQYSYQ >CAK85133 pep:novel supercontig:GCA_000165425.1:CT868540:90556:91140:1 gene:GSPATT00002533001 transcript:CAK85133 MNSQERIYIKALVRKTKKIIENKSKPKPISDEDNYGLTDFEINSEEYYQEFQALSEEFSG KCILQTFDQSDEESLNLKKNRSGDNQFSPRNQIIQSETVPSDNKIFEKQQNQEMEGDNLS TGTLSESNKCYDFNIDPLQVAQEGEIDYLEGLSNLLRTVATKQKKQQCQKKKNQVKQSSR LPQIFKQILKSSRT >CAK85134 pep:novel supercontig:GCA_000165425.1:CT868540:91205:93592:1 gene:GSPATT00002534001 transcript:CAK85134 MSKKYFINNLDSPLGQEFYSQLVKEDTDEGVHMATYTIDDPNKMPPKGFKKILKRYKPKL SRKKMLEECDVYVYDVASATQQDLDYVCDIFKNSKTTLEEQKVLILVSTVLTWSAMPDKV KPKVEKKADEEQEGEQQEQQEQQQKKEEAEENQEPKEDENQEQEQEQQQVQQQPINEVPE VIEYVPWEESDYKLRSASSQYQQLKEWEDMVLSLQKDNLKVIVVCAGLIYGKGEILFQKY FKKAWLQQPYKLSYQPDGNNKVPTVHITDLVKMIVKVSESIPESNYIFAVDNTKDRSQKA IIQGIATGVGSGWIEENDDFFKDFTPEEMDCFRLHLDCKPSQMFIGNEETPSDFEWHCEK GIAVNAKKILQEFTSIHKLRPIKIIMESKAENMRFQDILNMIGDHYRIPVINQEQIFKDA QDPNYVFPPEMQEDFDELWPAIQEYINSQTPAQLSEQLKIYYKVIKWRLSQNDCQNRGFI LQNFPNYFEEADFIFYPNKQKLKMKKKPKKKPVVSEEAKQQSQEPAVDENGDPIEQEQAE EQQLDEQQQEEPQEEEQQEEEQQEEEQEGGPKPEDFFPESYIVIKPLGKTDQFDYNRKLI NFFSERNLDAYFIDPRKKTNHDTFEDLRIYIERNGRPYNYLQNEQELIIQYFNLQRIKDL TIKYTRKQAIQIWKEITKVKKDNRQGLVTKYTKYIQEQEQELQQVKQFPFRNYLMDFVVP VLNEGLVEVAHILPDDPVEFLAEYLYKRSFNVDNE >CAK85135 pep:novel supercontig:GCA_000165425.1:CT868540:93626:94717:1 gene:GSPATT00002535001 transcript:CAK85135 MEVEKVNIWLDCDVGNDDAMAIILALYHPKSNLLGISTCFGNTCLENCTNNTIRLLSSVG RSEVPVFKGAACSLKSVTATTKMHGNQGLYAAEKLIKNFEPVQNIPLYDLIKKTAGDQKF VIVITGPQTNIAILLRDHPEIIPQIQEIVFMGGTSGFGNVTPNSEYNVYSDPEAAQFVID TCKQHQLKLVMIALDLTYTCQLVESLQQRIKAINTKFSDWCLEMLQEFQAAYKAQEFDYP PIHDPVAVFYPLHPELYITKPLFVAVDCESKLCYGRTVVDKQGVLGLEPTLEFGRKVVVE EFWNQMIEAIRQAAKNSKLE >CAK85136 pep:novel supercontig:GCA_000165425.1:CT868540:95126:96802:-1 gene:GSPATT00002536001 transcript:CAK85136 MFKLQTVLRGFEENGLKQETLYKADEILAKLDMMGRKEFDRDIAEQLFQQCKPTQLNMKV VFRLADIGQTILDAIQLLEEKTQNAEQQLKQIQQNKAICENQLAETSVYSNTRYIFLTLQ CIKNVPQQLQYTTCHIQLTLGVINQIAKPLQQYDKANPEFNQDFEFSVPPLLSSLQIQFY IRTDNSLPTLWGQAQLNIQQLDDLAIKQVELKLLDPVGRVLGSAVTLEAQVVLNKHQYLQ AQLQKFEQKMVNLDSDLIEYKNNIEVIERPFKVKQQSKEQNNKQLSSNIFNQQLQSSQNQ QEADHNINEIDQQQNQINSPQEQIWSILQSDKQVEIQEQTPEAPEILQRGVIIFTIYGLI TLFVCSAKPSFLDVYYIFIYEYRCQSVMDQCQQFQWIDLSQFISNQQEQDYLLQYYTIFC GXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLNAINLLRFVLIFTYMQFIY KVFYLNYQQFVVCYYLFQFHRESIDPTKRYVFTIWKIQYKVGNSRESISWQ >CAK85137 pep:novel supercontig:GCA_000165425.1:CT868540:96997:97602:1 gene:GSPATT00002537001 transcript:CAK85137 MNSNTKITLTIEQLTELLMNPQLPNIQSSLQSLSEFELRNNNVDLMKIVSFVDQDEFQAI STSTSSKNSQTQQMIEKINELEVENNYLKNQLEQQIEKENHLREQMNQYLKESSKLKSEN ERLSKQMDELEALINQNKVISNRLDPVSKSVHLDMKKALIVKPLTITQPLRKFSGASGIV AQHPFKNSKDLIRIKTERSYQ >CAK85138 pep:novel supercontig:GCA_000165425.1:CT868540:97800:99455:1 gene:GSPATT00002538001 transcript:CAK85138 MLSQIILATLLGITLCGWTRFPQIKIDKFNKTEQAISISTEDFKHLKYPNAFDLELYYQE GLTDEKDISKYQKIEDTYLQQQGCSYSERKIKVEDQMQIQLPDEGKYSFTDMIVVEKSAF VIRNDHKVFSVQLDFNGNELKKLSVSSQHLDFSNQIAINLKKKPQFLVAGQQVFVVTEKG TIGFNFTEWSNGSLPKINFHNLEEVNNIYYVHYDEKFRRMFIVAGIQGVLVYEIKQQELN HLYTININLNIIKVQTKDDQLFLLDDKKGIHFYTITESEYKDSEFLIPLENPISFVYNKN SFLVVAQTGQSSDKIIFGIEILFHFKNQEFYYNKFYLEDMQLKDVQSCGDFQFLIGYDVH KIIQTNVYRGFVDENFDYDTDFMIPLLQKIEEIEGTDYEKANYQYHHSLALTPRHLYGLT IKDRNPEIVCSSQKQIEQSYAILINSTQCEKAETDPFIVCHEEHFINLVVNEVLLDSQSQ WYAEVFLIVVFVIFILLLICGYKMCTKWRIIMKNVEATLQSKKGNKQYADLPLGQ >CAK85139 pep:novel supercontig:GCA_000165425.1:CT868540:99780:101979:1 gene:GSPATT00002539001 transcript:CAK85139 MIFYKCKRGIQFSFALKLLITASFSNDIYLIVISSVNIFTILLILNKKYTPIWKYFRIIL LLELCFNDQPFGPAIVASIKYNIKYKIIILSYYLLRASLFFFHTFKIIDWIYLGILFVGF NTYFYMHDLKQTDSKINSQSQRKLTQFDIPSQNDSNEVIDFLNYIPLGICQLDSNYNLVN SNHKTKKYCSNIKEDTLQQQLFLMIQRAWIQQQSMPSALRFERRSLFNSSEDYGSDFQLK RMQSCSQSRKKAISFTSCRQYNNPVDIVQIINKYKSKGISGSINLNSLKYRDQTTFKTYE IKIYEVSKGLLIMIKNITKKEKQIDMKERYHFQQMLINSFSHELRTPLNCSLSLLQTLEQ QIKSELNDTYLKPAIISNKKLLHQINDILDFANFEVQTFKLRPTIFKLSNLIQTIEDYFK AECEQKKIDFVIQSCEDAFIRSDYDRILQILVNLLNNSVKYTKQEGIIQLNVKRVGSLYQ FEVFDTGCGISNEKLFLINKILQNQEVDIARRGEEDYIQYVGLGLKVSSQIARQLCDRGE LNITSQLNQFTNNRFVVKDLQSYDEALIIPTDECIQEPYKSKVKTKCNCLNVLIVDDIPF NHLAFITVLKYFNVKSDSAYDGQMAIEMVKNRFKNCKCTYKLIFMDIDMPGIDGYQTTQE IQQFLEQNDMSSTIIMCSAFDSKDNIDIAYKSGMKDILPKPIETSRLKKILYKYYF >CAK85140 pep:novel supercontig:GCA_000165425.1:CT868540:102144:104793:1 gene:GSPATT00002540001 transcript:CAK85140 MSIIIMTLIIQSTIVSIYNGVTQTEQSYFLLLDILLFLGQYGQNLKLILLIGSICLSNEL LIADEDVNFILMQIKCYYMSMRFVLSANVLLEKQKSIYFLSCLFYLLFRSLLYLQPLSYL TIFGYLMILIQCKVLNNAKVFLKIQVMENLRITRHNKFLSFSKQVSLFLFVQFKIINFYL KLIKITPQIIRLISSEKNKDSITNYISNKRANTNKSFTYEARKRLNNCNVLQNDSQAEFY CNVKNYFPVDIEVAEIIWEEQPATMLVFQTQEQRQDKNQINDLKQKDQYKDEMLASVSHD FKTPINGIVAIVQFLESIVVDQNELNYLKILKKWAQLLLFMISDILDFSRIQNNTLRLTN TTFYIQVIVQEIIDLISLQANQKGIIVEKKITFGDRMMYSDPNRIKQILLNLLSNSLKFT EKGKINILVDYKYTESDSQYKIITISVSDTGVGIPDNIKPKLFQMYGTFDFTNNGSNKHG IGLGLVICKKLVGLLGPSDKIELKSQVGIGSTFSFDVYIDIDQRNTISKQYQKEMTNIYK QDTKQSLCDHQNTNLLITSQFTIFNQTIHQPTINNNLSGMAKKLNKKSISTLQEINDKKS HKAQQFRTLNPKKPKKKSLLQRENSINNVSLILEDSDYSKTRNIEYYLEFHNDITYATQL FKNQRQIEMNNSPINTPFYQNESSESPAMHIRQRKPLQFTESIEDMMRILFPEPCTILVV DDSPVNVFAFRLIMQKYDFITIEEAYNGEQALQKIRMNIQNDRKYQFIFMDLTMPIMNGY EATEQIRIMKDNLIEKSFIIALTGYDDLKEKEKCQQKGFDAFVSKPIKIIDIISVVNEVK NIKDPQI >CAK85141 pep:novel supercontig:GCA_000165425.1:CT868540:104983:105198:1 gene:GSPATT00002541001 transcript:CAK85141 MSSTPRQIKSLNKELYLTSIPYNSKFQRTFTNEEMSSVSKKLDFDQFDNQVSKSNLLKMN SLPLKGISHIR >CAK85142 pep:novel supercontig:GCA_000165425.1:CT868540:105480:107216:1 gene:GSPATT00002542001 transcript:CAK85142 MDQQSLKQILSSSNTYSGTKKYLEAIQQQQKDIIQMCSAVVISILQDQQGSHLSKFYSLK FINELLELQEYDWIDMTQKKILPILEEYAEFKKESQDDERGKYIFIQNTSQKKKYEQVKE LEYAGSIFFRYLLESIWVWSKWFPLDTVAGKLSLFKIAQERLSLQKVKFPQITYFNKNQV INHMQIQRPPKEMLEECRLLIKEHLEENSNSDLQSFQKTLRKIYFTDKKHQLQSLKSYPQ VWKETVDLMRQGKMGQDVQIKLRTAQVSIMQKKFFQATQNLKKSKVALQQQVFNFSTVLS EKEKLLTEINNHILEKDLLKNQISQLQKQNDENLKENEDLRMQLSQLKLKFDTTEVQQEA PTLSNTFNDQFQRSKDLERQLQKKDIEIKRLSEQVQHYKGFISQMNGNLDQLQKLQEQTE MERFQLHQENLKSNLKQEELQNQIGLLKQSNNRLNEIIKQLQTQNNQQYEEYLQNLEKNS IYIQKLISDQSESPNLKQKQTEQIKELEHQIQRQRQEIENLKQQLDDNQKSGMQYNNQTK QCMIFNKTRILQPKK >CAK85143 pep:novel supercontig:GCA_000165425.1:CT868540:107397:108284:-1 gene:GSPATT00002543001 transcript:CAK85143 MSSSSSSRSRSPRKNKKRVYVTGYSLKEDQHDIKKLFKKFGKIEEFAWKGKYCFIEFKDP EDAEKAVKKMNKEEVKGSVLQVEMARGNKPSKNNGLCYSCGHSGHLIRYILYLFIYLFFS AKNCRRRSSSSSSSSSSSSESRKKHKKKNKKRKSSSSSDSSSSSSSRDKKKKQNKKRKSS KKKSKSSSRGSSKDSHNSESSGSVEGEKQESNNNKEDKKPKDEENQGKGGDGKQ >CAK85144 pep:novel supercontig:GCA_000165425.1:CT868540:109014:110906:1 gene:GSPATT00002544001 transcript:CAK85144 MSTKNINKLKLNALMSPASSNIRISKNTAQQLSNNGVSPKMLSKSPNYSQYMQHQLSQIY TPPKEKLSSIINISQSERSVYSFRIPTLRMKEKCFIKKQQQYKLGQSSFEISLTTNTSSI FIFKGSIQEMSLFKVIQMTRAELQAKSSSIYQARQNLLLKIQQNLNVPKSYTQLSLGNYE AIQFMEEIPLNCNVLLLSKTVNKDFWNIILNYHNFQFTPQKFAKILTIISKINEINDLLK FILDKDVFYTYMELKEVFLNEDLLTMKRNPLAMKDFYKSLNVYDQEKQQFIDEPITQKQM EADSLKVEHDKEMKKDFTLLKLNPNPNDFQLILEKEGLLSPSNKRPSSRYLKLPNFRTQY GEILKQQTIQQKHKNKYTNIPDHIARNILHMTHYNFPQLMKETGFDRQEIYEVFSRYKAL LSYECSQIPGLTKEMIPNGISREAFNAGLEELSMAPPGVVDQIFKFFAKQNSLGFEGFLK AINLIRAKGNDNKIELILKLIDENENGLLSYEEIKNRCSFMMEEMLKDSKGELSVNNMIE YVTRSIFDAVKMNYNEEIPIDKIRKLIESKTHEAQVLIMMCCGDVDYLK >CAK85145 pep:novel supercontig:GCA_000165425.1:CT868540:111165:111374:-1 gene:GSPATT00002545001 transcript:CAK85145 MNEKGLTFSRKDKQIGQRMEVLFDYLLQYHPKLKSQNFQPNPLFVSFISVAANGEYRKSK IDINYNYQI >CAK85146 pep:novel supercontig:GCA_000165425.1:CT868540:111617:112139:-1 gene:GSPATT00002546001 transcript:CAK85146 MLNKCKYQFICVAIQNNKQQYRNAVDQNGFKVLFLKIDPYQLQYTIQVMKQKEREGIQLG KTVQAILHVVDEIKRWIQHLTTVPPKHDTADKLDILLVEVRGTLGDLESTCNYETVQIMI NEEGKDNLGLITIPYIIILNNKQKIKPAQNGINGLRYTGWIISSCY >CAK85147 pep:novel supercontig:GCA_000165425.1:CT868540:112151:113553:1 gene:GSPATT00002547001 transcript:CAK85147 MDQVFNHLIKRATPQPIVKVGTPWQCIPIKNDKQARDTTEVHMANQGFNELAQFDKFMNL EVVWLNENQLTSIKGVEQNFRIKHLYLQKNKISTLEGLQNLKHLETLSLFNNELRDLEKN LLILKEFPGLTTLDLFNNPVAEEPYYRNRVISALPQLQLLDRSIITVQEKIKVEAWYKDF KAEVKIKKKGQKKQKLLPSQIFSAGEKILYKEVDEIKAKREQEKADEIQRERTRIKLKEK TFDPNKFPTNELKEQLRQRLHDNPMSLVNEWEKGKLKKIFKSYDKEKKGSITQDKLQGLY GDLMNDIANIGKVPAVSFEKFKETMSEDPLPWDSFCFQLNHVDFQRAPEDLLQKKIDEKY KEFNRRLNAGKKAEAKEFMIEAVRLEAARDKDEHKLPEIVPEDNTKVRSDCFDFSRYKYK QNFTDTAKYLLQ >CAK85148 pep:novel supercontig:GCA_000165425.1:CT868540:113689:115715:1 gene:GSPATT00002548001 transcript:CAK85148 MLTFKVILPDQSEGSYQISKTSMVKEFVQIIKEQNSDKDWHKYELELYLFDQMLNQEGSV DKIICSPSTDYLTLNVRKGERIKRLQTFFSAALVKIQKKTEDDMSGLQRALKKSSTIQEL PKQTEKKNIKRFLSFFTSATLKIAEISRQKTQNFTEKVQQTEKGRQDIYSQDPSRINQEE DPLKNNNDTVLGLLENLDKPNNQNFHHKHNDTQTKPKSLSPEAKKYLERKKKAIENLNDP IQSAKAKQLVTDLKRQRKEIENRKNKQLHNESDQLEESIEKYKMELEQQKEFLHQEKRMK IKERLLEMQKQQELQQQRNQEQKHLLNELKNKEKEKKKQLEEREELLKKQYYEDAKLKLQ QRKKLAIPIRLEEINKHEEDYLNKKQLLKLEKDQKKLEREIVIQQETKQIYKAKQILQKV MDLDSKFKNKDIVDKQQKAERLMRKQKYAEIVKEYHKPNIKVQTDIQFSRAVHNRSDNSL QDEYVEYVIKTIHSFLKEGDAKSSATKLDSIRNKFYKQAQSGSERNVHKIQNVLNVQKVS KTKVTESLQKGFVPSSSVYYQPKPMKSEFQEEQQKKKPINYLKELEQERMNHQGKLVSSS VPRLQQDYRSIMERGKRYEKEAQRKEHQAYYLNDERLKEEADDLFLKSVQEKIRFLEKI >CAK85149 pep:novel supercontig:GCA_000165425.1:CT868540:115973:125444:1 gene:GSPATT00002549001 transcript:CAK85149 MDLPSIPIQKIQSQLGVDNLVSQQHIFNPNTQNQESAKQPKRNFNLKVGDIVYFKSYLTD DFKGVISGDGIASNKLECIQILGKNQQLTDQSKKSLQQKVGSLTFQKSLFQVITGKKYQY QNFLVQERSKSIDDIREQAISEEIDLEQCQLDYERRLKELEDKANEEIIENKRFYDLAYG SNIVYGQEIQLKHIYSSCILSFNCAILAKENCCRELSLEEIANANSNFRILSMNQVKNPG EPILYGDQIIIQNSSSNKWYLNIQKQTQKYDKKDGLEVNCSQVGFPIKIASYIDNKTEQD LKQQSLKQKSLMSGDVVKIKNRYLGGYLSIKRQLRITEGLDKKEVFIKNYDTNISYSKDQ FYFNVELVRSEKIEYMYQLCVDSSDDAEDNLNNLWQIQHVDSLTFSKANYDSVFLIRHVC TGLFLEISHHAANLTYDGLRQECQFHLRSKKSSNDSILFSEAYKLQSVMNVQIDNYVTQE NLVLVCLDDRVTVQRKSQKQNIERVTFLLKLATPEQAKTAFRINSLQEYLIQFYIFLQDW GIIKTSTQGLEDIRTYDYFEAFNNQKVLYDEILQLFQTLENLKMYLTNEGLAQTNEQQQF KQKALMDNDIINLLFAIQRLCNFMIYGSLINSNSQIIDKTPQKIAKMKLDPAPSQSSKMN CIQEIYDVLSLCVQRNSDTSNYILTLMMNKESVLDFLLQQLKYQRKHISNLIKESVRYTD MSESKDNIKKWVNQLENLSEENIEDQTLYIEILCLIMIDPYENPNQLCQSACRRLLFGTK NKQEQQYPFHKALIALDIQEENNNYYPIVQFFPKRDKGMQMQLANEFGQNNQTFCQLYLR FIDKQARLTQRFQSKRPTLIDVVIDFFTLETLKENQLVKQEDVKLVVPIFQKYENYLMNV MSLYSSLCKGRNQKNIKCLMKNCFLNQKFLQICLKRQQNVKSELRFEKTLIELFCNLFLD IDPLIKISQNYDPFYANNQTNTIKCYLSDDIEQFNIQNPSGLYFYENNASKSLKRSDEYQ SFLHQKETKAEHQQLKLYAAKMLQRSQVNDVRLYYLEMFTKEEYPEHLLQEASYDIFQSK KASLNKQKYDYSRLAYLQLHYFLGLLTIVKNSINLGYNQLDENQRIFSVFPNIFVALIIQ QIPDLRIHKFSENEPFQQNLNNLIQSVNDNIWVVTFLPTAEQLSEKKKSKHKDFKRMDLN SRLYNQFQRNWILYMLIWTHQHCNDEILKTQVYLESLAILKIFEQLKLNLQILEFLFSSS QQNESNPSSPSQRDLDQFVYDEVINQEVEIKKQKTPASKSIYSVFKEPDGNSICGLLFTC LLVSGKRTKLNEELLQRIIQSFHQPKYSIKEIKQVEIIDNPQELRYFSIINGNSNSVQLI SPKEAIQLSKRALKFITSQKENNVIHKRAVSFDQLKAYSSKIIEQFEMFFKPNSQDLQYL KSFQNILRNASVHLVFLKFLVDPETINCSNDIVLFYKRLVLILEYFIIDNQINISILGDN EYLLKILDVIQIQQPQAQDKFYIPIKVTKLAIKLISSIPENQHDNLINKIFDRIQKIGEQ LMQSQEFYLKAYSIRDEEEEIKYVQRSTHINAIAYYTLIQYFKILRSLTKSFDKPAKQAP LNKHLILSKILKNKFLRIVLDPINYYKAILVPELDSGEEEVQDPLLYHRMKLHSELIVLL TECCQFYRLGIQEMQRILLYEQLKTILLAPTGEYIVKRAYLQCLFELYINQVKEGQYAND TVESDEVRDILSRVIIPELDQKQICKYLEGLSKLANQDRNMKVIQKDLRDSIKRQKLTYF EKKLSFDSEFVKELGILRDVSEYWKYLRKNGIIHFLVYTYDEMKDRTDLENPENSNLSDE FAIIKQNVQKVKEIFNVLERDFRVKKEDLDLDDYRELITSIEEIIPQRKITKFGQNFRIG FQQDKTANKLVFDQFDTLKDDQTGEKKLQEQENVQRIENPFRRNFKVYLIRYRLNINEFN EYIERNSTEQERSQTILLTCNNYKNYIQQSDIDEIYRVNVEQIKRQEQVNNRNKPLQDWD LFKQAMRDLFEIKTVQVVINQQVQTYQRQQEGLENLKEEFQITCCRQIGKQYKKFEGQLE GNQDLLSDDIQIELLIKTLEKQNTNLLNEDNVQEFLQKCIGIFLNREFYLIKLCKIFLFL KRPTQDDIDSLTEAKDEKSKELIRQKQQIFIKYQNAIVDSDLDILALEMLNDSVDDVQKI EALQLLIYLLDYGNDYVQKKFYNILKQDQVIKQKFFVFLRGFFLTDINSRILELELCEGN NTEYKILCLKVLKLLQAMCENVNVDFQKFLVRQYDDDSYQANINIVNEVASLLADLLEKG QSVFDKLQEIYRQALESLVEFSTGFEENKKELCKNTRLFTLLNQILQKDDLVSFRQLHQE NRNIIKENLLKSQNQEEDLIIDLNKNQADEERKYNSYQTLQSFIKLLLLITQGKFDRQCL KFVLETVNITILMRIARSIYQERIKPKQRNIILDNICDESKTGQHQYCTNNLCHFGLRTD EDNLLIQTGFNIFIICLKLSEHFPTDSQLEIFRFDEEDEDQEDFIDLSDPQQEEQQKKNT QHQQKVVPIFIKSKNSGQEEENALLSNNLRQTQQNEFKLIYDDIQNDENYKFTRKQPFFK FYRQFTGRIEIQNEQSQLEKIFFQKPFACNFVTPNIKQHLIYEINRETDEDRMQGLIDQA DFYHVQMRHSQQINNSFIMHFGAVYWRLLKDLSFILCLVIVILLIFMHDIVVNSKIGSNQ EAPESETKSQGQNFVSYLNNIITIIQLVLNLIIVFFCAIERYPISITYNRGETNAKRVQI LKKEAGFSIAWLTMKYYTIIGYFESEFQGQKVNESTLKKLILVTFFDFDNFYNICIFGLT VYAFFNPYIYAVLLLDIIKRSEDLQNIIKSITSNGRNLAIFSFLGFIGLLIYAIIAFSNF DWMFNDEDGVYGQTFILAVTSTINFGLRSGLGDSMKTYPEPYEDPTLYWGRYFFDFSFFI IFNILFIQIIFGIILDTFGELRDERQALVKEIEGKCFVCSLDKNDIDTNGTRGWHYHIYL EHSVYHMLYYIIYIKNKDPNDCNALEKYVNKCIEGKETAFFPFGRALQIEDQNNEEEETD EQSES >CAK85150 pep:novel supercontig:GCA_000165425.1:CT868540:125707:127093:1 gene:GSPATT00002550001 transcript:CAK85150 MNSPSIPNKIINNQFVLKKKLSAGSYGVVFEAEDLLKKQLVAVKIEKKEKNSTLDREIHI LTRLLGTQGVPKLIWSGIDNNSNILVQQLLTKDIGAYLKEYRKFSLKTVLIITDYLLQGI RRIHNKSVVHRDLKPENIMYHQKQIYIVDYGISKLYRDNNLKHVPFKESRSFVGTTRYAS IAAHKGQELGRKDDLESLIYIDILLLKGILPWQNMLGQNNKERQKQVGEKKMKMTPAEIC VDLPIEFSKALEYIRSLKYQSDPDYDYLIQLFRKLAQSRKIEYDDVYDWTSQSNQSSSNN QKQETTIKQISSLQQFERSPITMQNKWQFFLLYYSQSLKVPSTLDVLQDRKRSLQNTSYL QQVQDELDKSIQNTYMLSQVANDYDSKQDYVSEDDINDTLFLRYNQLKSQILQIHHTKKN LESKLV >CAK85151 pep:novel supercontig:GCA_000165425.1:CT868540:127409:129401:1 gene:GSPATT00002551001 transcript:CAK85151 MFRNENNQKRLNFIVSIIYFLIFHNGLIYKLKYVTLTMLMTQNYIQKPIIYLDLNDYSST VIHKLYSTLNSISFISLLCSDFTYQYFIKITLVLQDNTNVKQVNPQLQVRDNRIRIQRKP IIKNKRRVQIIHYIMFGINNMLMKLYFPFYVLTYFQSQYFNLASVLIIFIITGVVVLFKL IIDNFPNWINIILPILQILFALIWSADNLQSEQYITKYSWFYGFQSFYFHYAIMQQGYQI LPQTLSLLGLYVYFLILFANDTLEFIALSLTQISVIIGLIFLKYTNLKLKRSQFIDHRER NKWIKIIEQIVDYQLIVVQFDKRQDQIIMKQINDETKEKFQIKDNQDLRKILRDVQIFLQ LQMQIITSGTSQSKPRKESLEKAIRDQFCHNYQQLVPSYDVISNILKQEFRVKLIQYILQ EVQCVILAFDCQSRQEIKSTLLNQKLSENIFLQYSSNVLSSICNYHNKLGILQQIVNLQF YRIWVDNKRLITQKTQIKLPSLISQLQNTLQRKIKLKQSFEFNDSFSLNFNALQMVLVGL TQFVDHNFQVAIKLNNQILFNHLTFKIETKKTLVPIQTCSLIQRMLDFDDNNWIKNKQKI TECIKILSDKKQSNQDVFLFPFIMCSYFLNSSFNQSKLRFSRKKDQRQKVTFEIII >CAK85152 pep:novel supercontig:GCA_000165425.1:CT868540:129985:130515:-1 gene:GSPATT00002552001 transcript:CAK85152 MGLCSSMHHGTDLSASLRLKAVQIFQKIDKENKGVIDKKTTQQFWQSNFAKINTDALFKA VDFDNSGDITIQEWLTFWKIVKKTGYTEQEINEELDELMQGKAWVQFRVVDQFIQVDKNR RRSQIPQIVMEEQLLTLRKTKTAEIK >CAK85153 pep:novel supercontig:GCA_000165425.1:CT868540:130860:131996:-1 gene:GSPATT00002553001 transcript:CAK85153 MGICPQKEDGTLVEDSKTDCSNRLPLDARQIKLNDQLNMVCLEDFIFTKVVGRGSFGKVM LVQHKMTKRYYAMKILRKDVIQQKGQQVHTMNERQILEVAQHPFIVQLHFAFQTPEKLYL VTDFLAGGELFYHLRKSKKFPEERMKLYAAELILALDYLHQKGIIYRDLKPENILIGADG HLKLTDFGLSRTNLKEGERTYTFCGTPEYLAPEILLGQGHDQSADWWSLGALMYEMIAGA PPFYSNDKGMMFRNRLEKQIDMKPWFSQEASNLLAELLINEPTKRITIQQIKAHPFFNSL SWEDVYHKKVKPQFIPKLKDELDLQNIDPVFTEEEITDTPIDTLKADTFEKFTYANSQIF KQ >CAK85154 pep:novel supercontig:GCA_000165425.1:CT868540:132011:133339:-1 gene:GSPATT00002554001 transcript:CAK85154 MNGDSFLTTKCTSFSFTQNKLRSRSIQYKQLDISQTNPIQREVSEKQKEQRKSIQITSNL HQNVNIILLFTKPIIEQLTWRQSELYMNQFKIQLSYIVDSGNLQQLGAIVCMTADILLKI KDYNNACYYYNQYRIFNTLTKNHAEKAKALIGLANCATEVKLNSEALILLKKALQYAWLS KEYELQIYEKFALNYYYLGQSEEQYYFHERGLLGILESDESPIKQFSCESLRDQMKKNNV DIKTLCPQLLNYMNLPVLSRNELLSSNPNSIRGVQVKKQVVYTVTEQVEILLQKNDEFQH QINTPKHQKLVKSQNGKRADGFRVVSSSLSSYSKERRNYDLTDNTIYKLPYEVQVSNRLR YPYIPEDINAKLREQLRNTNRTQKFGLRNKVILNHQHREDMHYRKNGACMQRQLYAIFQH YSHLFI >CAK85155 pep:novel supercontig:GCA_000165425.1:CT868540:133620:134676:1 gene:GSPATT00002555001 transcript:CAK85155 MNQYDRIRPIVFQKASEVNYIRSNSSAVRSSPLRQKLDQSFDLQADSTKNQTNTTLKDIS NYKKLYVSESCQNNSKENQLSGKNFSFRLEETSFLKQRIKQLETLNTNHISENKKLAHVL DQQIQQHQQLQEQYQQKNQIIRKIEDVQKANKYQLTSNTELKQLNEQLVMSKQVISNLEE KMQLILQDNQKLSQENDRFQFQDQQLKIQLDKYKSRCSILETKLKQTQDESQCLELQRKI KKQNEDIELLQRENNAMKEQLNQNNNIIQLQEKDKQPSQNVDKYRETIQELDCENKYLLK VIEIDQQKMKNLEEKLNLLTKENQRLTDIVKNRHKQ >CAK85156 pep:novel supercontig:GCA_000165425.1:CT868540:134687:135409:-1 gene:GSPATT00002556001 transcript:CAK85156 MYSYAPLGVSYASPLATSIARPLPVGYAAPVSYAAPVSYAAPISYAAPVSYAAPQYSPIR GESRVEYVPYQKPVVELEEEVRTVQVPRQKWVTDYYPVEYQKEYVPQVSYEKQIDYVPVE KNVPRVDYLEYEREVRRAPPAPVSYASPLSYSYVAPVAPVRTSVVAPTYGYGYGYAPTYS YAAPTFGYGSVYRY >CAK85157 pep:novel supercontig:GCA_000165425.1:CT868540:135714:137646:1 gene:GSPATT00002557001 transcript:CAK85157 MSEYFRKYIKKEHYPNHYSNLKFKTSFRNCILEALKRRQWKEVEGDDWDIMWAEKEWIHE VMDHTHLQPNQKINHFRNHYELTRKDLMIKNLKRYKKNLEREGKAEEANNYNFFPQTFHL PSEYPIFCEEFKRQSQNGESKTPWIMKPIGKSQGKGIFIFNKIQSISQWKNTLRFNQEAQ QAEAYIVQKYIADPLLIGGKKFDMRIYLLCTSYQPLTLYLYRTGFARFTHHRYDNEDISN TYVHLTNVAIQKTSDNYDEKLGGKWNLQTLKLFLMTKFGQEKVAETFYNIQMLMIRSLQA VQKIIINDKHCFELYGFDILLDATLKPWLLEVNASPSMTSNTPIDFELKCGLLDDVFTII DLEKVLTGNEEQIGGFDLVCRGTPIKLPINSTFTTYLGSFNNRQQQLKKIAKSTAIRLAQ IYQETQTKAIDNGQKSQKEKDDKEKQQRSTSKGPQTIQNGINNKLGMQPKQNSMIKRNGT NLPALGAVQNKNTVKRQPLQNAVQLQPTQVQPLNSNKKINQSEEQILTVNQKLQQLTQDK QLSTFQPYKQQSQYNDVMGMSNRNIQRDEE >CAK85158 pep:novel supercontig:GCA_000165425.1:CT868540:137848:138291:1 gene:GSPATT00002558001 transcript:CAK85158 MFPKLQNPIYDIFNLFYLIFLPIRDFGNDYLDLTKKNKYQKDHMFLDLKGRNIQRLAQPP FRQQITDKNQQKVDTSILKLKHERFYHSSVPSLPPLYIIRPIRKETKDRDYIKAQFIKNQ FENYEKLFQTEPNQLKLNSDFYFVSEK >CAK85159 pep:novel supercontig:GCA_000165425.1:CT868540:138293:139240:-1 gene:GSPATT00002559001 transcript:CAK85159 MENQQLSIENSRLKERLLNVDIPDKGFSNKFFDDHEIKDKEFIIQKLEQEIIDKDNQILK FKREIESINRRSKLKEEELHRQYQAKLEDLQILYKNDSSDNQQEKFQLTKKLADMEQIVT YRTEENQLLINELNLKNEQIVELNNHNKLLVELKNKEFKDSQINYQISIQQQLKQMEEAL AQYKRSQESNLSEIEQLLQFKQSMTLKINDLSSTNQHLIIENESLKSTLLLFQKQKDQDD YMYEDQKKKETLHIKRYYETLLEQVEKENRSLRLLLEQKSRELDDLCKRY >CAK85160 pep:novel supercontig:GCA_000165425.1:CT868540:139748:140830:-1 gene:GSPATT00002560001 transcript:CAK85160 MEIITKRCIQQSQLFQPQLSKLAQQLNRNRTQSNITISKQVKITNQSISRIKLESIKSDS NNDTSYQNDEKSKQKVIAASRSGQFTRKVNLNNQVYIKKVYQGNYFFDKIKEILKSGLKD NTSLKKMSRDALNQIHMIMTYQEIIPEVSLLYYGKSQKQIKIVFDLDETLVHSEEVQKDK VYDFQNNEFGLFVRPYCCHVLKELSQLADLFVYTSANQKYAKTIINLIDPENTFFKGHFY RNNCVSLQSKMQIKHLGILSNNYSKIVIIDNSPIFYMGQPYNGIPIAPFIDDPQDNELPK LLSFLIEKILQSDDVRVTIRRFFQYDMFRQFSDGVSAFNILYN >CAK85161 pep:novel supercontig:GCA_000165425.1:CT868540:142056:143158:1 gene:GSPATT00002561001 transcript:CAK85161 MRRVQSAAPNLEFSMEDMFRIATKQTSTFGIEGYEVTKKYADPLKQMEDRKFSAQKKGQK NLGYVTKRGHYLEDQKKIYEKLPAPNKYDIVKPWVTEAQSARPRTAPPKRQFQRFINLEQ HLQIKYLREAKLRGVPGSGKYNVEKPLDEVLKQVEEQKKKKIEAGERPTYLNEIQHLAVI NPGPGNYNPRPISKKLKKNETKPADFIAKHGEQAKKRGKSAMPDVGSYNPEPVSFTTFNK LQGNSKKKERVDKHTFGTDVRFKDPKKSKSKQGLLPGPGQYPMIVQWQGKEQDKSKAKIK NYMQSTTKGIQRSIYY >CAK85162 pep:novel supercontig:GCA_000165425.1:CT868540:143259:144567:-1 gene:GSPATT00002562001 transcript:CAK85162 MSDSDIKLKIKEVIEATILSIVQEQSEKIERLEKLVEQQQERIQLLESKLFGSELQKTVR KDNKHHTTNPIFHTSQQNQIQAQKPDATIIKTQLKKLEQEREKTQDHKQLSQSKPKFQQQ NSQQNITSSAIQRNNQIKHQEAIKALPDPKKLKTQVLESQKQFSNTQPIKLNNQPKSHSS SSRELIGLKKSPSQSLSLVNEQQVKTASSPKNCQKSQFQSQKQDAISPQNSLNNAEDNQS ENQQSIQASPIKEQHQVSLQHLDESDAYSQYQESQTQQLENNQEIQEQEEEIVLPIEFKT MTIDSFEIIEMNFVSDLLQQEEIPESVKQVIFVFLVIVEDFNAYEIEDQHFWDKASKFLQ DNEKQFPLFLQTTKEKAFKKSHLNSISQLINKNPDVIDPDKFEDIDALTCTLAYFIKDFH SALKKLQV >CAK85163 pep:novel supercontig:GCA_000165425.1:CT868540:144719:145171:-1 gene:GSPATT00002563001 transcript:CAK85163 MSEIQQQMPHSAKLDQESATNAKNHLLLVNQKNVTELSNELLQSYKHEIDQLKQELHLMK QRITNNNEEIKNTTQPTLDAMLRDLRQAINTQKDENSKLQSQITELKKEKSQIQQLIIAG TQKVAELEHQVGNYTSA >CAK85164 pep:novel supercontig:GCA_000165425.1:CT868540:145313:145855:-1 gene:GSPATT00002564001 transcript:CAK85164 MKYIPLTQHFQTVAMLYEKCADLSSQIDSLQSQIQNNDGQMENEEIEKQPQIIQEPTPKA PEKKYPQSQTEKDPQQNAQIEEEKQNQEKSILKIGKSIEKSIKMKVSLTCDHCNKTYQTE KQYKKHRYGIKYRGTKSKSKSKSQVDQQSKDQSEQKEAKEKKDKKEQSSKKSLETFQILL >CAK85165 pep:novel supercontig:GCA_000165425.1:CT868540:146078:147069:-1 gene:GSPATT00002565001 transcript:CAK85165 MKQNMAHTYQIMDRIGFIKNMISTHQKKLITEPKRSSSYLETSQTEVVRRSRDSFHKQQS HLNETSTSDYFSIQENTNLRLLREELKITKSLLDKRSIELNQLLQDNQGIKSDMIRLKEE NEELKYQLKKVVREKEDYQEELTFKLKKIDSLNEEIQRLEKSQNQMICDLKEAREAMQNI RQYENEVKLKEKQLLNDWQKLEKDKLLLKERQSQLLILQEQLQIEVENIQSLKNRITQQE KKIVNVQQEKQNQLKEKEQQLQMKEKIISFKELKVEKEEKLKDEVDQKLLILEMNEDLWK KRVQAEFTKIKEVQQKLKIIK >CAK85166 pep:novel supercontig:GCA_000165425.1:CT868540:147135:147833:1 gene:GSPATT00002566001 transcript:CAK85166 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSDGNFSWASEGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKQFYLKKYN IDLGAKKTQKKDQPAPQEVKKSNSLKKKLEARLKDRVIDNLVAEQFQNQRLLVRITSRPG QSGRADGYILEGKELEFYVKKVEQKKK >CAK85167 pep:novel supercontig:GCA_000165425.1:CT868540:148553:149245:-1 gene:GSPATT00002567001 transcript:CAK85167 MQSILTQCATLDEEFANLVPIIENMVQELSVVSREFVTSKLEFLKKYSISLKSSQIDARI HRNQQIRNLIEYIKMHRQSKTKSVSQICSTQKKKQKFHTDSGCYPIQKSYSISCSTLQTT EFNTISHQQNNKYITTKILKQLITKQKKHQQRASEQIFKLINKCMSLISENSHQFPQYSI LLEEYKALKKNNFCLEQKLNLEQKSQQVKDNIKKLLQSQESFKSYLNSII >CAK85168 pep:novel supercontig:GCA_000165425.1:CT868540:149309:150032:1 gene:GSPATT00002568001 transcript:CAK85168 MKILGLALLLTIALSQSRLSVDVYTESLCPDCMEFLLNSLTEAVDTPDIDQMVNIRVIPY GNVKRVFNKTTGKWQFTCQHGSVECYGNYVQLCGQSLLASKYPTDNEILQVQWAICIDRR IFKPYTNTRFDEAANLCAADFGISGSAVKECANGDQGYKLHLAAAEETDALSPAHQYVPW ITTNKKHSVTDENLILEDLVQWACDNYKGQKIDACSTRSE >CAK85169 pep:novel supercontig:GCA_000165425.1:CT868540:150099:150914:1 gene:GSPATT00002569001 transcript:CAK85169 MIHLEQQFINLLIVFFLNKMGIYKDKSQKLQLTQTLRDNNVFLFHNQQFQVYEFQNTIEL NITLLRDLQNMQVFISSLIFYPSFQITQVSTFQHFYLANSFRAFSSFSLIKIISIAIFTI SSQLNCLQPIQTLMIYHVESQIKILDLRISQIYFCLICIYSYFFNGYRLNCIMKSYIKVF CLQQSKLEMLNYQRQFNGSPLYAINIIVQSLFVFIYYQPLLICLRSHKLLNVMYIFNILF LLECYKCETIVQCSNFIISKQQVFQLENQII >CAK85170 pep:novel supercontig:GCA_000165425.1:CT868540:150932:155513:-1 gene:GSPATT00002570001 transcript:CAK85170 MGKAKQKVESSMEEGAKDYKKTGFVVDSDEGSGKEAQKNNDESYNDELSDDDLILIEENR RMQRQGRLKKIAKIDNEPEMEDVHEDLFQEPQSEEPIDENEQIELINKLFDPSQREVAFL NQNDEDIIKQDVPESIQNTYMMMTNGQIMQSAREGASVEQLKWIGEKMCSQFDIKNLSDV LVGIKLILEFYRKENLDIMYIYTYKRYLITSALQLEHYWKIRELDYEWEHFYNFKSRISK LIDSLPGKYQIQKDTANMYLQKAKEVEDLQNLKDCIDYIILKNNCRRIVYLEQELERVNQ EKQLLGERMNQDQTLEQEQASFNTDNIVKELQNLSLNAKKKPHTKEKAMQEFFKQRVDEA ALKFCYTSDELFSKLQGKSVQVNRKFIQDLEELWSHQANTTEFKSQDSSAEYVKLLQKYL MNELYHHPFIRSFMSQIYRDHLLISTEPTQLGNKEITAVSYFYPVKRIRHRQYKYMEDQT WMQCLRAEQLGYINISFTVSKDKDHKKEKIPRDDIMVLLYDKFVKIDDQQKEQESIMRFR DLILRGILQELWYPILEKQIKQKLQTLSTKHIVRMCQQKFKSLINKQPHISELANNQLRQ QLITNPDVKIMSLVIGQEQSDGKLLKTYSQDCSIQKKCIGMAIIDYKGEQQSLQIFNYLT QDERRTEMLEQIRKEQVLLDSFFEKYKPDLVVISANHSDCLKLRADLRKKYKQTSSVQIT LADSQISRIYSMSEKSNLQSPDTPQILKEAISLARYKLNPMAEVLNLWSDAIDKNGCLHL NLHPLQSMVSQQVLLDALKQTATEIVNQVGVDLVDCTLHKHLADQMQFVNGLGRRQAKHL ITMVKGLLSKSLFNNNYEDERLIYSKLEQDQKEYIQIERQDILKTQILKKVVFKNVQGFI KIQRGIQPLDITRMQIKMYSDAVHIARCALEVGGRDDKQNTVVRQLMSQPHKMEDLQLED WAKQRELRDGSEKFTLVAQFMKEELANPFLYKFDTSNKDMSNSEIFYAITQESPYTFRKN SVVQGLITKKLESRDRNKDGDRLLIKLSNNGLCGTLRKEDMLEQEFGIGQIVKAYVKRIP ATENYNKNESLNISTLENNNKTDNFHILFIELSLKPDWQRWDQDYLKPPKYDPWPYTNIY YDDYCNKVLQTFDQSKFKEFDQPTIPQDQMPNRQRQQQKLILRNINHPKFKQVLLNGALE YLESQPVGEYIIRPNQKLKDHLNITWKFHQGVIVHLYVQELKSAQSYKPQLVLNGKTYES FNEIFENYITPCNLHMEAAINNKKFYNMKMEQVEQKLKEDKEKDEEIIPYGFCVTEKAPQ HIVLLYMMQKNKVEKEYIKVKPEGLSFHSVMQNNLQELTLWFKQNFRTQEYNDYVKKNKP PILETNDKSNELTTQWEVKKEQTKEEKVKYEESSYNVICSRCNKRGHNANDCRQMRDKGR CGAGDSRMSCHNCGQNGHFKKNCPKLNNLRRERSHSRDREKMSTKKNQFQNKVEYTHQFG W >CAK85171 pep:novel supercontig:GCA_000165425.1:CT868540:155597:156735:1 gene:GSPATT00002571001 transcript:CAK85171 MQNERLQQLLRSKGSSYIPQNTQAVSPLNKQSQNSINQSNKYITNYAVQKIQNQSTHIAI TSSSDRRTTPTPSRSSMNVMPQHSSSVSPIRLVQLSTERVQKPQEIHEVHHFERPIQVVN AEDMEYKYKFKIQQLEYMVYQLQVENQRIKINGYIEQPAPVESPSKVNELEKINKNLRIL EDKQRTDIKQLKEELQQWKDRYGELQKQQSNQIGFNEELRQLKKSILQFEDENKDLQMHL RDKDQEILKLRSILNEKDDIIDQLNDQIQELQVFQENYSRVETTLVSLQGEVDVWRKKFK EKNEEASELSEKLIMAETSLEAMKKRQTTQVKEVNISKSNNHNIGITMTQSIDMPGSTHN SNKQQS >CAK85172 pep:novel supercontig:GCA_000165425.1:CT868540:156817:159736:1 gene:GSPATT00002572001 transcript:CAK85172 MIPNNNTKQSFQDLTKKIILTTNILVNKIEIKAHEYQTFWELLNEGQDNASIYVLELLKI IDQTEYKRKKEPYSLESLLATLLTISMFKNVENVKKQRDYKEVKQNWDHHIVNAHKKQQL MNPYSISIVCNLHFIFESQQLEDNNFTGFLIGAIAFMPDLFKVAKPENIELMYDSLKQHY QLVEQYGKTVRVKNDSFYNLLLDERFDLQETGEFMFCYEYLIKQIRTKYKNSQSRVFYDS LLKYFKMIPFIAQDFPEQAEKSALSLLHMTKQLITFYGCEIVDLLKSLLNQIEIFRRWPF PVGVMANELVQILYQEQKSRGNAFRMKIREEIPQIDFFDDDQSQDVLFQSVQEQQIPISG MGQQKQEQQILSVYVFYENRQNNANNVLQYAQFVLRNKNPNYAQLYTPNSLRCYICAQIL QLQQKKNQGKSPQLSAFKEVDIFNLYCKLLTIVEKCDNLDSIADIELIYENAFDEITKEL MKHTQEPNNVQKEVQAVMSNQLSIPDFPLFYFHNVIQKSFTFTAPKQSFLKFEQGQFMIP NQLIKDFVQRAYDNYMPLHTEPLRLLVVGSDAEIFEVCMLLGEIYQKNPLLLRTLDIRVF IVPTKDCALAQFLAVKDPWYQRYLYLPFMEDILIPKLESIKEDNNKYVQEVNKVQGQLPL EQKAWCLNTYLREGNRAYNVRVYKLTIYQKKLSYGGIAMAEQKSGLNPKSKSDEVLKSTL YFCSYVMFGAIAEFELQKERSKDEKLQKLSFYDFKEKNLCKFQYLNIQMITEQTDMLGNI INSPNKEEKVEKIQVQLHNCKINNVYTDFFEGNMPMPHTDWLELSYITYENSKLFDSGLR QKNIFKKHSQESIQNAFKSLFTNISITKINVEEQTKKPFSIIVDGNIYSDIVSFKICSTH MKRKKKNNQMQKKEGSKDEEDDLSKQKHLSSIIHLNLPVMSFLPFKV >CAK85173 pep:novel supercontig:GCA_000165425.1:CT868540:159778:160658:1 gene:GSPATT00002573001 transcript:CAK85173 MKLPSINKPTSAQSANRQLPPRQSNNAISNLEKNLFNKLNEYDDLNKIDTKKAQVNEVKS NKKIDNSHDDIDSLAKRANTLKNYSQTEKIKDLKSSQLKQQKQQQDTVFLTHNQQVKSEE SNNDQDEDDSNSDSSIEDLLQRSRALDKQKKVMLNFEDDSEVGQLNKMLFQTDIDLDEQF QSMCILKKTISQMQDSMKSLGYGINEKLMDGLNQQYEEMIKLQRESQAIEEYQMKESNSK NKMPALRSNSVNQSKKLKK >CAK85174 pep:novel supercontig:GCA_000165425.1:CT868540:160679:162470:1 gene:GSPATT00002574001 transcript:CAK85174 MQNQNLPQFQFDITIHKDFLKHKIIQLFETLPGNKQLILSQRVLQLLKLSIENKVLLENG VKKTLQIETLYQIESDITQIFIIIPPKQDLIKKISKLIKTANGPNNIYQLVFWPQRNLIA KELLEQEGVLSSVEIMDFSFDLIPLDQDVLSLEMPDAFRDVVVEQDFSIYTHVADSINRI QLLMGNIPNIYCKGDGAKMVYDIIKVESSELDQDQDSQEVESLIIYDRSIDLITPMLTQL VYEGLVDEQFGINGNLVSLQKKIFGKEGQEGEQYQTVQMSLDKDPLIYNVRGLQPDKFQR QLQIQIKANSGQEAARIKAEQDSNLRHLNISGKIADNIRTISFYKMLSLEQGIINGDKSD KALDYIEAMIQSEIDLNKVVRLLTLRTLVDGGLKQKPYDYMRREIIHVYGFKTIAFLNNL QKAGMVYKSDPKQLFFKLQESFKLLNLNVRSDETDPKDPAYTYGGHYPLIARLTEKIFEK ESWKPFKAQMQLINGFQLEPDRPARLNVPGKKPIVIVYMLGGVTYGEIAALRLLGKQFNK EIIICTTSLTNGTKLIQSLRERI >CAK85175 pep:novel supercontig:GCA_000165425.1:CT868540:162526:164326:1 gene:GSPATT00002575001 transcript:CAK85175 MQIEQLFFDSSNQNDKNQWVNLDSIKRSISTIRLFEGTLFIKCKKQDAFKPKFFKLFSDR LNIYKNGREQKETAALFLTNVYLDLKLVVESEKDKYPIILINGNRKLIFIARSSESQTKW IEQFKKTCIMNNYKDIYTNIKVLGKGTFAKVIQFANKVFLAHKMIDKSKFAVKTFQKSAL MDKKNTQRQGLINEINLLRSCDHPNIIKLYEIYESGDYIYLVMELLEGGELFDLILETPF FVESKIAQIMFKIFDALEYLHTKNIMHRDIKLENIILKDKSENFDLKIADFGLASYTESE LLIKRCGTPGYVAPEILQDQKYNEKVDVFSAGIILYILLTGQAPFYGNSLDDVIEKNREC QINLQGLKVSQDALDLLQKTLEPNPQNRISSLEALSHPFISRQYKRQVNSMEDFPLDELP NDNYSAFENMKKFCQNDMRFKMSKLKKNELVQQTPFMGTREYDIVNATSDSWLNEKSGEM DSKQILNFPCIQQQSSDSDTSILNTPEVKKDTQKFVQLNALQQIGYYKLSPNQSLYSPPN NQQKQQIQMNFKKASQVRDQLKKLE >CAK85176 pep:novel supercontig:GCA_000165425.1:CT868540:164396:165763:-1 gene:GSPATT00002576001 transcript:CAK85176 MMLRSLWRSPVYSFSYYSNLDLSCPYPSFQARDLTTTHTMHYITKPPCDPKIMTFGAFHT DHLLEIDWSEKMGWSRPQIVPFKSFSIHPFSACLHYAIECFEGAKAYKGANNSIRTFRLN CNMYRMKQSAKRLSLPDFDGTELQRCIEQLLKVDRDWIPDRPGFSCYIRPTLMATEEALG VRASSRAKLFVALCPVGPYFPSGLKPVRVFCNTSTIRSAPGGVGGFKVAGNYAPTVLPLK EVQKIGFHQNLWMLPDGLVQEMGVCNLFFFWKNKEGERELVTPILDGTILPGILRDSILE LTRGMGKFKVTEKKLYIQEVVEAIEAGRMIEMFGSGTAVSIQPIEAIGFNDKIYEVQYDP KLNAGELSHELFDILTNIQTGGGEHKWVRQI >CAK85177 pep:novel supercontig:GCA_000165425.1:CT868540:166481:167356:-1 gene:GSPATT00002577001 transcript:CAK85177 MSRRRRCAFDSDNLEEYIQLATIGQNQTNLFYDDKKLKWVNGLKQVADTKYTPKQIEGFE HGHSQNDYIDTSELPPDIHLKSSDEVINHHIMILGDINECLKQSLTMFCMQSRKNSNEDF NEELISPLKNKLCLDLAIKRIQERDGVHMIKFLMHDTSISDYAPIINGKLQFYFYVVYFL QTSIYILAFDVDSKDFEPQKEQDMISYVKERAVNVKNIYSVYFTDQDISDQRQNKYFCNR WSIGVILSQIIEQVITQ >CAK85178 pep:novel supercontig:GCA_000165425.1:CT868540:168465:168996:-1 gene:GSPATT00002578001 transcript:CAK85178 MPPKKGKGKKNHDSDDDESEEVYEPKATKKIQKQPQKKQQGSAKKQKEDDSGESSQSSEE SNQKQSKNKKAQSQKQNKPTNNTKRKQIKEDDDDENSESVEKDKDNFKLYQKKETPPEED PCRIYYESLYRERPESILAIKYCLDYGLIDEANIQKAHKFYEKHKSKQR >CAK85179 pep:novel supercontig:GCA_000165425.1:CT868540:169255:170308:1 gene:GSPATT00002579001 transcript:CAK85179 MSQEVQKEEEKENQTTAAYKVYENVIIFKDLQINMDETLKKYQEEQIGPDILKYNVISLP DNEKIQDDSKLKIFCEERDFNGFLQNKDKQVQLNRFSMKSPKPELSQIKKLLLSMHVGEE SWFKISNFQTQNELLNENDKQTTVIRYFRIKVVEQQLIEKPLDLTTLENRFLQFEKFRKD GNKLFNNSLFENAIKKYQKGINFMEAWPRIHEQDQQVQQKKKYYYQLFCSNMAQSYILLK EYEKAIMILEDIIPQCRGNEFEIKSYYRIIVCYMKTRDFDKAERYLREVKHVCQLDVGQS QLFNWLENECKPLKKVKI >CAK85180 pep:novel supercontig:GCA_000165425.1:CT868540:170308:172229:1 gene:GSPATT00002580001 transcript:CAK85180 MKQSNEKLKQAELLAKQQQQNETLLAQALNYFTNPSVQTSELIESLMHIQFLKNNCPEGM QLHDFVMQAAKYVKFETFSFGQIIFNYGEFGDKMYIILKGQAGVYVPKSKDDIEDELNLL NSKKSKKLLFQDDALFENRNNQNYYQNGIYLYHKVFQYFSGQCFGDVALTSDKPRSASLL VISEVLHCISINRQQYKQMCEKAIQEQNKTMELYQRILHGISQFSISKFIQNLRPISFVS QSILWEKGQEPQYLLIIMRGRVELYVNLDDSQVIQNKTASRAFTIKLKRVVLSQITNGHF VGQEELLEDIPQRKYNCQCVDNTEAYIMEAETFKKIRKNFPDIMNLLKNITTQNKNYVDQ RLASVVKSIQSYQDKINKEPMNRTEKRTFYECLSLQQMSQEQTKVLRSGNKKLLTLSQSI KRKVETFQQKYKDKSPKDLIITNPELQQTIQERQDRLYYINNKKQQNNRPCHQEGFSLSN EIKNFKLNFSKSSQRFQQSDQNQIDSNFVQHSSQCISEAALSPLSKTSLQFQITQFSDIL KKKQHLLLKMQQTMRQSNKSYLSIRNMKSLHSQNNIGKMIKTDVSFMNEQCVNKYERSLQ SLPKLLLQENEEN >CAK85181 pep:novel supercontig:GCA_000165425.1:CT868540:172277:172990:-1 gene:GSPATT00002581001 transcript:CAK85181 MNHIKAFGLAKWATGEKLELIEMEGEVGLNSDLSDTLMILKTKTISQFQKIDSLPSKKGR WYYMPFNKEIIQIVLATPDCNQYNIEMLISAIQSKILNGNAILNDNQRDNILKLLIYFER QYNKDPKQIKQIMQTLDNTKQSVQQNIEKLINNKEQLSQIESISYLILVKSLELEDTSKV FYDTATAVHRKNRLNRLKRRLIIGGIAFVSLSFICYWIFY >CAK85182 pep:novel supercontig:GCA_000165425.1:CT868540:172999:175014:1 gene:GSPATT00002582001 transcript:CAK85182 MLKLQVRIKEEAFDFEISKNATIAELKALIVEQQKITASFDLYIFDQLLLEEGTVNKIIY NCKADNLEVRFKEKLQAPKFQHFYNQAKSLVMLKTIVSTIRFLDNLVELKKQRTQDSDKV SKVLSSFENQPKLIKHNSSNLLTNFPDRLKEQPMLSHIKMQEDDQVRNQNNDTVLNILNN MINEDKRENLHKMQIKSNKQKYQALKFSPQKEEYLIRKKKALSSLQDPNQCQKAKLLIQE LKKQKEQLQLKRQEHLDNSAEKRGLSLEHQKEERELLKQQINQEKRNRIVERLNENQKNH ELLTQFNKQSQQLINELKNRMKKHQDSLKSKDEQLKQIYFEDAKLKLQEKRKQAQPIRLL ELNQHEEHYLVNREMLKLQRDQKKLEWEIKIKSETKLLYKDQKVLQEVMKSDQQLKNKDI IDLKKKQEFKVRKQKYSEIIQEFHRPSNFSKIEGQQSMNDNSINESRDFQLRQAIRYRNK SQLLDSLVNTRVQAKMKKIQSALSQQHITPKTKITESLQQGKVPEPSTYFHLRVHTPPQK VIIQEESQIKKQQPDYLSEFKRERKSMSQSALTSHSSINKKLSVQQEMRLLQKLEEEAKK KEQLAQISQNDDLQIEATDLYLKSVQAKLQYLDKKLSNF >CAK85183 pep:novel supercontig:GCA_000165425.1:CT868540:175348:176329:-1 gene:GSPATT00002583001 transcript:CAK85183 MNTLIRFVKSLVKFSANLIASVGLVIVCCGLFVLYNKVGIEYQELYHTNADWLKTLQEYV FIILGVLIFLIGCAGLYGSRKNPKCQKTCLMFYQIGALAFFVLCTGLATFTLLYSDDVFG IECQGTTQFKEIDYQIHEAEKLLCSSICQCYITQSTYEDNKELFKGKNYTTNEDLEVKIK QIQKCPSYQPDQYAAAVSMMQVAESLLHCSGWCNPTKYYLFSDINDINSFIGTSCFTETK DFVQSTGKFMGYVLLGLGVLFGMNLIMVILICCTKEKDRRNEHLIYGY >CAK85184 pep:novel supercontig:GCA_000165425.1:CT868540:176518:178907:1 gene:GSPATT00002584001 transcript:CAK85184 MQQPQPQAQQQQIQPLDFYQEEYKDAVRFSWNTLPATKLQSTRAIVPMGCLYSPMKDLES LVLVQYSPLYCKCGAILNPYNQIDFRNKQWTCVFCSSKNAFPKHYADHITETQLPAELKK TSTTMEYILSNQQAAPTTFLYIIDTCIPQEDLQAIRDSIQQSLSIIPPDSQVGLITFGRN VFVHELGFQECPKCYSFKGSKDYTTQQILEMLQVSTKPEILKRFLVPLNECEFSFNSILD DLQCDPWPSQPGEREVRANGSALKIGSTLVESATQFSKILFFVGGPCTIGPGQVVGLKLE ETIRSYLDIQKDNPNTQYLQKAKKFFNEIAQRAIKANMTVDIFAFTLDQFGLLEMKQLAE KTGGVVVMQEKFDSDMFKETYKKLFDKDASGFLKMGFGSKIDMFISKDLKVQGGVGPCIS LKKGGPMVSEVSLGEGGTTSWYSGGLDRNSTILFMFDLSPTKDTSFSQCAYIQFVTMYRH SSRLQRLRVTTIQRRFADHNNIMDMIRGFDQEAACVTMARVGILKAESEESIEVLKWLDR SLIRVVARFGSYRKDDVSSFRFPQEMMMYPQFMYHLRRSHFITTFGASPDETTFYRASLS RECVQNALVMIQPALLQYTIDDPVANAVNLDIQSMKPDVVLLLDTYFNVVVWYGEHVQKW IEEGYYDNPEYEYIKELIDSPNSDVQYILEDRFPVPKLIKTYFGHGQERYLKSRVNPSVN TVQNENVESGNYITDDASLKVFTDHLIRLAVQTVQ >CAK85185 pep:novel supercontig:GCA_000165425.1:CT868540:178909:180420:1 gene:GSPATT00002585001 transcript:CAK85185 MIQPQLMRNRYEDFYETKNQLLGQGAFAQVYLGVKKSNKQKVAVKISNRNLAISQQEKMV LISLSQYRHQNVVQLLDIFDQPEALFIVQEYCSGGTLYEMMSNKTFTEEQIVNIALQVAH GLAFLHSKNIVHRDIKPENILRQIDEQGMEIYKITDFGLSSVKLDRMTTTKVGTAYYVAP EILDKQQYDKSVDVWALGLIIDELLHKTPFYNGLSEEEVFFKIKTTNYIIRDQQYAQATI FDHKKNVIKSLLLNCIQKDPKLRKDLNWIIQTISEYYLQSPSSFEIQQNPNNDRYVFADI QLVQQSPLCEQTQNFQLPTQSNDSQVEECPFHHQPIRYRMPGINDNAVTNACQKCLQIYG IFDQQEFQSYLSQTNFELNSFSVDTYFSQNEIIIEALSYLNQFKFFQEKEKLVQELRSIV IKHHRNLRQEYIQYQDDVKSMNTNAKWINEALKLNLTNPQDMPNKIIHYLISKCNEKKEI SVQECRQFIKEQLKLLQQYIDSI >CAK85186 pep:novel supercontig:GCA_000165425.1:CT868540:180535:181330:1 gene:GSPATT00002586001 transcript:CAK85186 MKQKKQQLGEISLNKQCNESQLKEVIQKLLANHEFYRIEIAKLKEQNNETIQKYHKALRQ IGEMEQTQKKDQLYIMQIDCFLQEAKKRYDQNKKQQTDHYVDALLEMTKQRDECLKRNIQ LEIIVYELNEQIEKLKRQTTIIPSASQQQFSNPLTANEEVVQIGSEINHRKKSSIYSMNT QDSIINDEDRDKEDQLMAFLQQLDEQQANQLYSLFSCLNADLNSKVNKTQQQGSFNFSQK WNNKEDPYF >CAK85187 pep:novel supercontig:GCA_000165425.1:CT868540:181392:181854:-1 gene:GSPATT00002587001 transcript:CAK85187 MKLLLAILLVSSVLAAIPTKVTNCIANPSIVFTEATFSVQPQKGVDETITLYGTANAHAE LTNVQLKAKWNEVEVMTEDFPEDEIYDKDDKVVYTQTKNFPTFTPSGKITTQFYFQNAKG TNFACAEISFVL >CAK85188 pep:novel supercontig:GCA_000165425.1:CT868540:181997:182743:1 gene:GSPATT00002588001 transcript:CAK85188 MQFTLLLALALTTLSQSTDFALLIKSSNSQAWERIGDIKIPRSNFQNAQIVLNQNKQQII DRKKINENNYALLKLQNQQDEKSYIAHIDGKQLSLFNQKSNYQEVITFVLSNNDLLSFDY ALKPIRKNAETYIQVNIKELEVAPSGLVPQFEDKTPEEEEQQKPQGIFGLILQYKWYIII GFIVFFLTGQADPQKLNETVQQAQEQAQASKRRNK >CAK85189 pep:novel supercontig:GCA_000165425.1:CT868540:183004:184345:1 gene:GSPATT00002589001 transcript:CAK85189 MKFNCTENDQQILLLQVFRKHFFSDQTYYLKLTRNQILMSQESQFVKTKYNLALNSETQF EWVVRNQQLVGFLFPYQNKMKEFNGDSQYLNQLKQALGKLIIFKSLTVHYKWMQYIDSGT FGQISLQQNYITGKLFAIKTLNNTNKSMSYLIENEIKILRTLNHPNILSFQEVFLTNLTY SIITEFVEGKNLKTQITEISEFSESYILKLLKQLFEGLTYIHHMGIMHRDIKPANIMITN NGNLKIIDFGLSCFFSNQFQEHPKCGTSGFCAPEILQNFDHKISYDYKVDVFSAGCVLYK MLTSKGLFDADTSAEVLRKNRNCLYFIKEQGRLFDLARTFLQQNPLERLSSDQALQLITS MIDNDTLDVNLWYRKSFNSNQLTVSNLSPTPKQSAHTKSYQKTQSSPNYSRNFFPSSLKT DR >CAK85190 pep:novel supercontig:GCA_000165425.1:CT868540:184639:184927:-1 gene:GSPATT00002590001 transcript:CAK85190 MSQENKEEIINHILKATTFCSKKCDTLKVDQFKGKETECLIQCGYGFAASIHYQGQFMKY LMEQTEGMEE >CAK85191 pep:novel supercontig:GCA_000165425.1:CT868540:184948:185193:1 gene:GSPATT00002591001 transcript:CAK85191 MENLQNHKLLYNNSQKQSNSQNHQQESMESITEEYLSIMNKFSQEIFQTNHNNKEKNEKK SEKLKNIPTFTNLLQRTQLDR >CAK85192 pep:novel supercontig:GCA_000165425.1:CT868540:186323:186848:1 gene:GSPATT00002592001 transcript:CAK85192 MNFYSIDFEDQYQQELVEEPLAESSQQQMYFDYDQSIQHEPEQLITFDGYLQEEPVLLFN FAEEAPKKKSKLINRKSKKVKKADSETDVSQGKRSKPRITNSDFLKLQQCQRLKTIITQM ESLLKQTRTQILNQYIKNHSQ >CAK85193 pep:novel supercontig:GCA_000165425.1:CT868540:187347:188880:1 gene:GSPATT00002593001 transcript:CAK85193 MSEKIDTNILKKYEIMNKIGKGAYGIVWKARDIKSQRIVALKKVFDAFSNPTDAQRTYRE VSFLKQLNHPNIIQLIDTFPAENQNDIYLIFEYMETDLHVAIRAKILQPLHRRYIIYQLF KALKYIHSSGMIHRDLKPANILLDSECKIKLADFGLARMVCTLESDILTDYVATRWFRAP EILLGSKSYSFGVDMWSIGCMIGEMILGKALFSGISTINQLEKIIEVLGIPTPEEIQSLG GQNQLFDKYPRNYKSNLKVILNCDDDELDLIKKLLVYDPNKRICVQDALKHPYLKEFYNP KEEITFKGQLMLKLQDDKQYPVSQYRDLLYKQNLDLNKILTLVNKQKSLIQNLQSNRSDA LKKQQSQQQFLNQQFAKSAFMKSNSTVNIEKSVNKTATATLHNSNSKQPQNSNTNSSTQI KNKLKQICLQSADKCEIVVQKKSPNSKILPQPKLIQSNSKQQILNNVTNTSISPSQSQQR LQIIRQQHQQIQK >CAK85194 pep:novel supercontig:GCA_000165425.1:CT868540:188931:198383:-1 gene:GSPATT00002594001 transcript:CAK85194 MATEIERLHKILLSKKDYYEKKMQDLIDRGIPNQYLLEIQDKEQIAKQAQTITELNQQIL QKNALITQILQEKSQQEMNLLISQQLFVINEQYKNAEIYIQELQKENQILKQQFEDAPSL RQKLYNLEQWYAKLIHEKNQEIQLLKTQQPHIVQHAPQLNIINQLLEQKERELLQWQYSS QQVNVYHENQRLNQELKFLNEKLNMLLFDNEHLKKRLADIQSQPSNQTTQPQYAPLKGDS QCTAPILHDLQNTKTPRRYQQSIIPTDFTNTSLLSYRILRRPQSVGSGSSIHLQVPQILY PQFQFSPRYSRKSVQNHSNQFNDILLQVQFIQNQPQLTVQNIETQIQLLNTLLQIKSQEL PVHLTPPKQIYNILKTQGNDRTQSSGYSPPQQIIMKQPINILQQRIQPNQSVRLLPQNQL QIPNNIVKFRSFSAETKRELSPNNFQCQQQLMPLTSQFFNQPQQAHQIQYKCSPIQRIVT QQHLQNTIPRQIQRSISPQPVQFLQYQQLSPQAPQTVRYQDVNQFHQSKHPLKLYQDPQQ YYYIQQQQPVNYIQFQQQSQQFLPTQFGVNSQNPSDQNKSPINVLAYPYEYSPQAPEDLN KTRQPQQPIPQNQNTLFMTPSSVRKPVISSSNSSQPFSQVKNPIKREEQQPLNKQKQREP KHQSNKSLPAQILQQLPIDNSQVEPFELCSAPQEPDEQQKEQQTPEQISKPQNPAEQTKP KQEQPKQEEPQKNPKDQVKNPQNPENLQKQPQKPQNVPQNPSVVNKVSQNPQFQNKQPKN LENLKQLVQQPESLRRSQPTSVRNSQDKKQIALAQDAEELRKQKQELDRLKNLLEQAQQD NEKLKELYQQNDLNWQKQFQQKYTAALQQLQLFENKLSLQNKEYNQNIEKQKVNFNQLQQ KFNDQKVTIDKQREEINQLKQQNQDDKNKKPPQNEEIKDDLQKQLNELQKQNAELLAKLK TQKDTQMQYMSKLSQSSENLTNYEKQLQQQTQKYAELNQEYIQFKQKYDQLQKESTQSKS AQSKEINQYQQKITQLQQQMNETSKQLKEKVNQLQSQLLLEQEQCQILKKQQENFQRDFC MQKTQNGEIQNQTNTLRQQLIEKEQQLKEVQTILNTKIQVIQQLENQVKDVQVQNASTSK QVQSLNQIQVDNLKKQLQQDQLRINELNDQISNLQQKIFTLEQQLQAEKQNNIKITKEIQ STKILIQNDYQQQTHQLEQENIDLQQKLKQLEQQLQKERENNIQIQQQFITIENNYQVNQ VKSTQENEKIVNNLKFQIEQLKLQNEDLSSQIIKVRSNYEIQMSTMNTMTSQNVINLEKQ LLFEKEQKENSSMRILQLEKQVADFQHIIKSDQTEEYKIQLNQLENQNLKLSKERDILLL SKQRGDEEIKILNVRISELSNQNTQNELELMNLRSKQGNQMEQISKMTTLTQQTVILQQE RQSQNNEMILMRQRMEKNIQDHEQQIAELRISFSRDLENQNMLNRKLQDEILNAQNLLQS ERREREFLQKSQSHSEQVIQQLNQDKQTLHDQILKLNSQIQQLQMEINKLGFNQQNSKDE HNLFQQQITSYTTQIDHLNINTQKLNKEIDRLNLQIQQMNVMQGDLECQNQNLKQQLSLL TSQNQTIQQQSEINVIEIRSAAYDSEDQIQDLTQKLEQQIKETEKYQRAAFNNDQLVQSL QKKISDENLQNQKIKQQYEIQIEELIRKINEKEKFDSSTPPKAQIFPQIQNTHLIQENEE LLLKIDQYEKMIRALQEEYYKQQGELQKLTKEYHNVTNQSEQTVVTITQYQQQQDNIKKK EDLTKQLQQQIQDLQKKFETERSRYQDEINRLTTKNQELSNQLQNNLNKQIIEENFNLKN QIQLLEQELQNTPIKETIIYQSASPLKKNEEEITKYKQQANQLQLRMNQMASDYNLLEQQ TELEKVQLEKQIKQLQDLEQKLLNENNQQQRQIEALQRQLQQLQMNRQNDMSQELEFELK KLKSQFEITQLQYKQIQEELIQAKSQAFQYRDELVDEQNQKNELNLRIIHYEQILSELKQ QNNPESTMIKETTIIKEQVQDPALIKQVNQLIDDNNSLRKQLQNLEADYIQKFRLQQLQI QDLNNKINQNDEENYQKTTITEETTINTVSNDQSAEIRKLKDEKLFLQQELQKQQQLYKK QIEQITNDYEFRIQQYKLTIQQLKESKEIQTNDDQNLLKENQMLAEQIKHLQNELTISRA SFQDQLQQNNQNSTEANKLKSLQKRNEDLDQQIEQQNKIIAQFQQQLQNIQIIYEEKEKE LQNLKTNYQNLNSQLNKAPQLSEPENQSRIHNEQLINLQESVDTLNLQIKQYREENNNLK KIIEDQEKEIQDLKLIIENLKHQITQLNLQNDELIQKLKGQEQVQIQLKENYQLSSSLDQ GKLEQQLINKQFELEQINAENKKLNNKLIDNQNLIRKLQQELMTYGNEKQKLQLQYQSEI SALQNQNKSMKQQLLDQQEIKRQSEQIPQKIEINQAHQTVNSPKPKQASKSLNRSDISFH STDDLRGKYYNCSLYTKNQNGQNYFTPLRAVKSKADLLTTPEISQTNTQDELKKQVSLWQ SKYEELLKDKYSKAYMYSPNLNKQVEETTVQIDVVNWKSKYEELQEQISNSNIKQGQNEK LIEQLRINNELLSTQLYQIQTDYQRIQTEITFSQKSDLNQNNLNSERVIEQLNLKIKNQE NEIEQLRQKLKQYVESKKNQDQKSNNKQNEYLKQLETENFDYQQEIQSLRIEIKRLQEKN NLIKQSINDQEINLQSGQVDNEVNIEEYQQQIEILQNEINIQQNQIYQLQNQLKQSKIKE LELEQKLKYAVEDLEHAQELQQQQQQQQQYQQKQQQQQQQQQQQQQQQQQPQQQQTQIVQ TQYEQNNQFDDQYRQILYELSLIKSQNSSLQTQLQESTKTQVRLMQEIKELQIQNERLNI SNVRLHNEQQKTTDQDNQVNRVNDLYKEVQIENQKLRSEISYLQQEKQQLISSFESKLQQ YSASANSKIRQQQIEDLKRELAQLKRATLKSSGVDIEAERLTYSTQITQLKKQLEYEQIK NKELLQKLQSSSQYQSDFGLVERLNKELQDKIVELHQVKSEYKNAIQMIHSLEEQVIERM EKEQYS >CAK85195 pep:novel supercontig:GCA_000165425.1:CT868540:198571:200145:-1 gene:GSPATT00002595001 transcript:CAK85195 MDLSQYNLVDENSDYIILQHKSNSQLYVYKEYEIPKFIGKKQILPNSQLIEILENRRQLD SSNLLQLTDYVITSRLCLLYEQYLKNFEEELSKRRNTNQYWSEEELYNTFQACLSAMKSF HDFGLSHTCIGPNSIVFSQDSQVKLADHFAVTQQFKRNYSHVPDNEEYGYWSPEIINEFQ GWKQKYETIEADIWALGIVFLEAMTLKSGYDFYFIDDNNKLYIDYQLLQDNFDAAKEYYS QELITLVQIMLTIDPQERTWESIIEQNIRIQDMQQQQIHPQIQPIPEESEEESIVQENET VCDKNKLLNMLESCLIKSRQLIKENECRKSKRPSQNKISSSQSTPPKEKEDVSSGLLMLL KGKHSRKNSIDSSGSILREIPQNKQKQRQKSCQDIFEKIVLQNPIVQDENIMDPNDLSNL MQIYKSYTGEGKNKMMHGKGHLVFQNGAVLQGSFKDGKVNGYGILLINGQIIVGHWENNI LVQIM >CAK85196 pep:novel supercontig:GCA_000165425.1:CT868540:200536:202602:-1 gene:GSPATT00002596001 transcript:CAK85196 MELLCLCCECCFPDHADGFKCGGIACFGCCYCCAEGYSNNISGNKSRVYRFINIVLISIF TAVAVVLTSIIQSQTSLSNQQITNIFDNWQLGALTDIQIFDFCPEDFELLSSYSIPGTQD GCICMDDRELSTTDCTDWQLLQGCYNIEIKDPIDLFKWAQPANNSFYAVEICGKRSALPF YDLLKNKITTAEDCKANGYKVCQTESTTNFYCVLQDEACPLREIIISSYQLSEYDIQQNN YSLIYDKGFYTYVGLSKETTPLMNFAIVKGKGVCFDNSKYSLNPKLEEDYILFDPAPEDC VLDDSYFQISTTTEKQLFQLNNILSLVQSERPLYNISSKIQYQLLAQNQIYFKQDCRSNN FEQLIDLDSRFKSQSTLIICQLVFSCVSFVVILIFMTNELHRCFYKDCGKCYAGFQFLIK ELIVYLLGITVIVGYSFMIDLVITLDDFTKKNCFPQSGQDRMNQVHDGLVNTSLSLSYCL LVNVGLMMVINFFMSTFTCFKNYKLRLKMPSFFTRQKSYNYDPYRYNPYDNRKKEDPNQN NNQNPPDNYQNPPYNNQNQNQFQYHSQNPNPYYNQNPNPYQNQNPYDQRYGNHHVVGHNN NPDRYMGYGNNNNPLQNQQYYPHQLQQNPPPQYYEQQEFHNRPPQQNYVQNQEDPPVIEG ENEKK >CAK85197 pep:novel supercontig:GCA_000165425.1:CT868540:203179:207286:-1 gene:GSPATT00002597001 transcript:CAK85197 MPELFIPVYFGSFDFQNHFITAWADDIDKKRIATGSINGDIIIWEFDNFNLRPLIKSTPH LIYRVGAVTALQIMRRPFAEFQDSNMNCAVAIHQDKRIRILSMLDGKQILISDHDQFPYS KQITQIYPVGDRTSRYISCFGEGIAIYIYDLWKMCCSKIFLIQDELNQPRFTIFTSIGTD FAVIDSKCRIYKIDASAYKKPEKQNYLKYIEKKAQDKKKHAQKKSLIKMSSSISIKGLPS NKIINTIYNTKSDIMYIVYSKSIYVVNCPFKETDQQREMFSIKSSTSIIKFRNIHLFKGH NEAIIIIEQLNGSTSFIYEKDIQNLIGLISKSYNQCITLDFNGFSFSKQSQSYQGQLLLL LNQQLTPIQVSKYFFQQTIFAINNLLFRQNGCIIEIYRPDSINYDDYCICNLQQDKLFDV TLQSEGFTLYLYSQSRFINESKQLEITDIPKTLVDLQIFEQNDILKSLNQNLPENIQGQL NQIQNISKYTIGLYNKTDLFAIFGTEQGKIFLIPFFYEKNKRWNLYINNDFKKSIIFLKF IKNSIFAVDQTLKLKVFSLSSIFGRFDNQSVDFVSTYQTVLPSNIKQVIQIRQLFQESNN STFSNNDALVVKRKLVKHFVALLLEDDSIVIYHTILNVKKFSFQNQFKLNQGQVKLYYVP HLLLFLFCKGVEVSVWSMESGKLERTINIQQLQEYLNIETLLPLKLSQMINSYDFKSFKT DQVSQFDLLEYSNRSYQNQYNYSQELKQQSPQYFYDFLGTLNKIQQNTLEFDEILHILSY EDPAISYRDKSIIIFEPQEMGDMFLLYKIYLKQFKSTLQFDRVNKLYKQQKQPNKPDSSS SYYLIWFDCKKNIELVKYSMENTATMKIPFTYVHFYHSLNQTPIFKCQFGLQGMAEGFSR LIESNNHQISGLMSTQYSIAAALCLKQIKSRFDISEVNNQTSLLSLSRYSLDNSKDIIEI SCQLISQQIKKMDSLCNEIVVLQKTSKEFLQLFERSNSNVYFSLEIMCLIIQTYLMQQMM SNSEMIHYVFNRIMSGFTHQLCLKKPNIVFVFIKLLEDGMQNFHKCIQQPKVCLQTLLSI YYHIYTAYKHGLALKEIISSPLNKKSKEKDKYENLRQYQLMSQLDLQRIKQRLRILIMVL SQYFFQEFKATIQEIINQTENYPIIACSILDLLLCLSKSEIGPNETLFILDLSIKTIDQN NQQLRKYAYKSFVKLVQFLDKRFEYVSFSKKILKVAFALEGLVHIYDLRYGVKLQTLDRY QCKYIVKQLAQITSIAFDVKGKQMASFSQRDSNLKIFQLKPTSSFIQEYENVYIKLTHQF NFLQPLYYKLEWDEDCEYIYLQHEDESKVFKID >CAK85198 pep:novel supercontig:GCA_000165425.1:CT868540:207821:209594:1 gene:GSPATT00002598001 transcript:CAK85198 MSKDLGEEQGLSGQSSRSFSPQQPRRKKQFQIDFFIEWKYIEQDQLKPYFRGCESTIISI IQSQYDVKSIQLNRNNQIPGLEGTAFSIIESDDSKLNLQLEAVNKILSLIEQKKNPTFEM MMLIPEGMEILQTLGTVSYLIGTSGKQIKNIQLETKTDVVVNNAINKFSLRSVKIAGQSD CIYNAIKLITNKLHQRGITEDDYIKRAEPLDPGKVVTKVQLVFTEIIIDYILKNKDLEKK YQIKMKAKSINEVPIKNKLKKDEEILQLVGTLKNVQEAIKSIIRKISSQFKRLEFDVRVV MPSNFASKLIGAKGCQIKELANKAKGAQIKVMSDKDDSDIGQYCLVQVIGSVEHKLEATV LILEQIECFKNGGPILESGKYINENFAQQYKNSVSIQDIKQKRQKSSSSRSSRRLRSRSD SNKRHKKQKKSRSTSSNQRKTKQHPFQAKIVVPINLIEQMKKKLNRIGKEQGVNIQADNK EFRDEFVLKLRGEMKNCLNAIQHILSEQCKLQRR >CAK85199 pep:novel supercontig:GCA_000165425.1:CT868540:209667:210079:1 gene:GSPATT00002599001 transcript:CAK85199 MKQTLNFQNTRSFEERKNESDNCKQLYPNDVLVVVEQSSKSKLPKQNFIKFKMPNTMTIM NVLQYIKSKIKLSQYDSINLYCGKTLLRIDQTLKELYQSFRDQDGFLYINYIEMNSFGTQ F >CAK85200 pep:novel supercontig:GCA_000165425.1:CT868540:210103:210555:-1 gene:GSPATT00002600001 transcript:CAK85200 MNQIKIFIGNDHAALDYKNTLLEYSKKKGYDIEDLGTHTNQSCDYNDYALNVCKEVIKSN AIGILICGSGVGISIMANKVKGIRCGQVNDYDSTLKALEIGCNVISFGARTVGIEIAKQI VDTFLSNQNRAKNHEVEKEIQSIEELTYGK >CAK85201 pep:novel supercontig:GCA_000165425.1:CT868540:210718:212004:1 gene:GSPATT00002601001 transcript:CAK85201 MSDFIQWTYENRYQISIDLAAGSISGIANCISSHPLDTVKVRMQMSEDGVVTTLRKIINN EGVKGFYKGMSFPILSIPITNAVVFSVYEFWRKFFIGNSNKQLTYFQTAFCGSIAGSSAA LFSCPIELTKCKLQMQETEKIYKNPIDCVFQIYKKEGLKYIFRGMHATQQREILGYSAQF AVYEFIKDILCDLSQKAEPSTANLLISGGLAGVSCWTIGYPQDTIKTILQYVMMEGFWIV SVLFGKDTQFVSFGHFTLMQSGFTRTNWPKNNQPHSINFDVNILNVYISFKFCTYYLIIQ IVIKHCNRYFILSFGKYQQDIQINIAPISYGQQSSNMTYQLSYICNLLLSLPDVNIINYT VRYLIKGKNVYKL >CAK85202 pep:novel supercontig:GCA_000165425.1:CT868540:212039:212266:-1 gene:GSPATT00002602001 transcript:CAK85202 MGCAQTKQRSMISKKIPVSILKKKGDEKFIQTFTNTQEIEQIGKKIYDCENSQYDNYNIS QNPILRRRRASMSQN >CAK85203 pep:novel supercontig:GCA_000165425.1:CT868540:212378:213742:-1 gene:GSPATT00002603001 transcript:CAK85203 MDLDFIFFPAPKEISNDHEHGQILWIPKYPKLYPTFQNYVPRTNKKRGVFFKQSEIDFDE DHFLIDEPTESQLQPIPNFEWKPITNRQRKRQTTDINSPTFDSSEGSPDVQQKLQKLNMQ SVQIITKKPQLCRMSSNLYPIKRTRVNQSICFSQPLIKLPQELIDLNQQKNQNECDDEQP PPRSNSRDSHQINGYIPCMYVDSKKHSPNILIYFHANCEDITQSYNFLVHLRDNLQVSAI AVEYPGYGKYKNEQPSAESILNDAEYVFNYLTKRLGYAENRIIVFGRSIGSGPATYIANR YKPACLALMSPFTSLKAAVRDYIGSWAQFLIRQRFDNLEQIQKVKVPIFILHGLADNIIP YSQAQQLYKSCKTDKCILHLADDMDHISYRLYKDLINPFTEFLLQIKYYQNCSQGPKMPT VLFHDPTLE >CAK85204 pep:novel supercontig:GCA_000165425.1:CT868540:214346:217878:-1 gene:GSPATT00002604001 transcript:CAK85204 MKLWFARVFVVEDCIKFSRIQGPQYSYNCGDRCLNKFTCTECDVELCPCAELCKNRRFQK HEDACVYPLKCGGKGMGLYAGDRIQKGQFIMQYIGEIFQINSALGRRRVQEYSKSTCTYL MKLNNQEVIDPTTKGNLARFINHSCEPNCITEKWNVLGEVCIGIFASRDINEDEELTFDY QFDVFHTPLTKCLCGAAKCKGYLGLKPTDVTQEEWEEHLENMVCKICQTKTPQDDEQLLL CDKCNCGFHLLCLVPPLESVPKDAWYCQECQDEKRILEEQEKEKTNLERIQQRKVKSYNN EKKQKKKREELSSSSCSDSDSEYDNRYKIMKSLEKQTVREYLSLKQPQQYLSTRQDEELK KEEDNNTSELQVPSVPLTGKSYYQSDQFNKSSIEVQPQDSGKLQQMQSIVDVAQQKFEEQ YKEKLELHEQIEAIQQQNILNIDMIKECFKINILEQKVVKKNAQLIYKIGNKISFEQIDQ QVADFFKKENNLTVIGSIQQINIFRSILNMVEQIIKELKKELGIIEGQIKVPIIYLKRLI NKFNSLDNKQEVNIIYNKSLAHSEEIFPMDKATPIKIKGSKQNIEQTATEIRNTLKTLCV QRLYISRSETKSVQQNMFQLKQNAEIRISRDSVYNARGEQTQRDINHPFFYIQYREKEVC LIGTLQQIQETSTAIKKLLEQEANNEKEMTYFTVLISPQYKESCKQVKQIIERSSSSTKV LLFEASHPRKNMTILVLCLRNQIIQTKQNFSDLIYQQCQLKLDQFQDQMSMQMCRYVFKY LQNTMMTNDMAFMKNWDLITPYFYQFNIMKYRESKFENWFVKRCYSSLLRDYETQLYIQY CLGKEIQKNLTDHEQFLRKKNLIQLTKRILYAILGFKRYPTDQATLDSGQQQQQDTIKRF NNQQEEDNLKVPNLSLISLQAQYPQINKKSDTKKETSERSPSDSSKAQKKQSNSESDKSR DFSTRQRHKQHHHHHKYYNYNQKSPTEKYVRHKYDKTDYYDKSKSRHRYQSQKQDKSRDG NKRYYEDDLSRNRFHNKKRSSSEESDKSQEQRRKRQESVDSDKYKNNKYYSGFQYQRRHT KTSKYDYEKSSKNFENKDRSRYQSKDRSRSKQRNKSESSDSYKRRSTHKSKKYSRKY >CAK85205 pep:novel supercontig:GCA_000165425.1:CT868540:217954:218103:-1 gene:GSPATT00002605001 transcript:CAK85205 MQMDESNKTKKNDQPILKETSKNRNVLISYISQQIINKLQGNPLLDCKV >CAK85206 pep:novel supercontig:GCA_000165425.1:CT868540:218856:222838:1 gene:GSPATT00002606001 transcript:CAK85206 MNNTNSFPKIQKAQSTAKLLIRTEWDQSINSSIPITIRAEMSQNRMKTDITNKHFQTNSD VSYLKNKHKNFQSIESSIYKDPELSQTKYDKSLQSFYSTMYRKSQNRLQFKNKIEKTTIK SEWLDFEDLLKDKNLMENGLQKYFFDILKHEIKQSPNLSVIPPNRQQALDLKEWLFFMLQ RTKDKSKSLTAIQIAEEIQLIYTSCFKEIIKQVKAECVERGELLQIIWDFYIQLIDQVIK SSNQQMQIVEQEVKNKMIDQQNFYNEQLHYQELIYNESKNQIKQIQFDYDRALDVDQQQK EEILQLTSEYREALEVIEQHRKQIDELQLQIVMLKTEQINENNPENEKINIKVQQGQSIV SHLMNFQAKIDNSQKYRIKSPFKSQGSKSPGRSPKKNSVIFDRWEQLRNQENQLKSQIIS LKYLDFDKDQQPSQNKIIQISGTTDQYVQTDEDQIWNQHTNLQFQSDSTLEKAKKVSNDL EQKISMALKMLAEQELDENKKLLLCRTLSESKEVCEQNKSLSMRLITMNRLDSIKKMEIL EKEELCQELEMKLQQTVQDFISIISNNKKKVHRLATKNTQLIGTLKQIESKYEIKINDVN AIEEEEFQNNNDMDQDGDYNEFSPGKEFQNLDDDSHPQEETIRMVDSINLKKKVVQKSQK NQNADSETYNNDQLEQIENGFNNQTYQKDIRYLQDMDNSQKQSSHMEFNDEEMLKDSRDQ IPSDLLTSSHQKIKNKELDENTPLKNLELKSPTANKNSIKGSLTNQKSIQRISQQGDSKT INQQQYHLRNSKNQLDQNSMKLGKQNSKHNISNSPIREKDEISQMSLSQRSQAESQDDEN NRAQRIKDVISQYKDKQFSKYSFVHKTLNYNLLQETKQSKRFRRVYSQNTDVANNLLKQV MNSKNLTKTLSFLQFNKIINQILTEVSKQSEAYKIPFHVCIYDFIKNKYGFKQVAEKKIK QIYEFIIIEKDKNTKVQLISKYCNLKNEIDEVAQKLLIEAFVFFNNKIESNKTEFLVTYE SASEFLNEKSQLWLQANQIQQLQTQYKVLVQQYGSNKYTINFDQFIMKILDFYVFNKKDY QNILETLFKAADLDGNKLIEYQEFKTLYRAIHTQQVLNESLLQVFLKNADFADDQGDKYL TLPRFTEMAIELAIFPKESVIRYGEGCEKIKEHWETEKNTIKLRFLEAKQYSKVKHTFIE LDNLINNNKKEIQNILWMSYKLLNEQSLRVYLKYQTQQCLSELLPLEILEIQQRYQQLDT IE >CAK85207 pep:novel supercontig:GCA_000165425.1:CT868540:222980:223463:1 gene:GSPATT00002607001 transcript:CAK85207 MGNTQLTDQQILLYKNETQFTPNQIRRIHKKFQQLDTNNKGFVSAADFIKIIQQHKYSPL LIQQLIGRKQQEIDFISLVRLLNDIQFGDKNALLTKIMDQDRDGLIGTEDIQKTITVLNP SCFKNSYELSQQIVNDNEKLTQNRMIKLLIDN >CAK85208 pep:novel supercontig:GCA_000165425.1:CT868540:223684:224355:1 gene:GSPATT00002608001 transcript:CAK85208 MGNICLSQNQTVSQKGKLLRICGYTNLKQPNKQILHPQIQNLAQNLDTFEEDFFKNEFLF DITDENITNNCSSKIKLERVDLVDIQPNIMQERPSLNFLGEQQIQYKINLNRIQDEPLNC AFIKEKSKKDERSFKKSQKSHNKPVQKKNQPRSILKQKKQNHPSWSNFSDKSRQHSVSFN LLPSKVNDIRPRSMTPLILTCNSGQVQNIPTKCTIFMQQFPYL >CAK85209 pep:novel supercontig:GCA_000165425.1:CT868540:224558:225049:1 gene:GSPATT00002609001 transcript:CAK85209 MHTYDITAEILTPRFLEACRREGFHENELIRKTKAQVVQMVKSKDPSLTDDFICEIEKHL EEKRKQKIDLVRAQRQKILQENGPRSKSTHNQSQLNFSSISYAEKQSKILETIKRRQQIE IDKMIEQEQLKEQQEKDMQQKLLKQAIQRATILRGIKKETGVQ >CAK85210 pep:novel supercontig:GCA_000165425.1:CT868540:225051:226187:1 gene:GSPATT00002610001 transcript:CAK85210 MKKQQLEKEKLKKEQREKELQEQKLKENEIKEQKRKQRELESIQRAKLEAEFKEKDRKQR QDQILREQEQFQLEQQKLQEQKKQLIEEKERKRLQLIEFKNEERKKFAELERKEFELKLE KVRKYNEEMMERKRQDYLKREAQVEAKRKDFEQFLDYVKQQKQKQNLDKQQQINKIMQMN ITKEEEKRKKYDAKQLEYLKKKELMDEFQKQQMEEKIKKYEEKEKKIKLILFNNEFMQEQ QRNDLEQKLNKTQQKLSSLNNKKEFENKYRITQQIIKELDTEDNVRRMEQLSGYRRLKLE QKMTKDEEKCQQMRKDREQISKLADQIRKEAEEKRKMFMLDYEKKKRMQSDGFRKMNSSL GQ >CAK85211 pep:novel supercontig:GCA_000165425.1:CT868540:226865:227650:-1 gene:GSPATT00002611001 transcript:CAK85211 MSKRKPQFDIGKNEDDEEVLPSVGVINTPSEIQERKIMKIKGPKQKENNQNTKIVISAPP PSFEQAGSDQKLSTPPKKFQPTNIFNSQQEKLFFNTQPLNSLQLPQQQKNNIGLVSPIIE FKSIRKSDKSIASPNQETNKTSEILHNKLKKIKIGDKEHENKDITFTIEKLGEDHKIKIE PNIFEGLIEKEQTKKENNIVRLQCKKEADLHDLQLEFVNEKDYESFNL >CAK85212 pep:novel supercontig:GCA_000165425.1:CT868540:228349:229290:-1 gene:GSPATT00002612001 transcript:CAK85212 MNHHTWDAYSRSYLNIQKNPASFQLSLMNMLRFEEAEEILDAGCAGGQLHQYILQQKKNN CKLAACDFSIEMVKLAAARMLKFLNNPLHGGISEISPEEIENADLSTFDISSLDCPLLEQ NNYKIFQGDVQSLPQLNNNQFDIYISNMVIHLVENKDQAIQEAFRVLKPGGKIGIVIPVA SGNVMMKTLTKCFIEAGLIKEIPFKPTNIETREDIINFMSKHGFQDIICWNQTIPFDLFE INDNYIFPQFKEILDKAPKEQVDQFYALYKQEISNRLTQNLPFTVESLSLIAKKPL >CAK85213 pep:novel supercontig:GCA_000165425.1:CT868540:229704:231712:-1 gene:GSPATT00002613001 transcript:CAK85213 MLKHALRVMQVFRPKLLHCTPLQTLSIRGPLFTNIPVSYFSTSLPKHKKLEMPALSPTME TGNIQKYLKKIGDPITAGDVLCEVETDKATVGFEMQDEGFLAQILVPEGSKGVKVGQLVA VIVPKQSDVAAFANFKDSPNKQPEQSQAASKPASPPQQTPPPQQAASRPTGGALPKHSKL GLPALSPTMEKGNLMKWLVKEGDQISPGDVICEIETDKATVGFEVQEEGYIAKLMVPAGS KDIKLGTILAISTPKKDNVSSFANYTLDGAAAPAKTTQAQPAQEQQQSTNSDTPIQTVSQ SGQRIFVSPLAKEFAKKNNVALEYVKGTGIEGSIVKKDVERFLQSGSKPEVQQQAAISSE QPIQQTTPPAEAKQQTKPATPSKPVAIEGNPYIDTELTNMRLTIAARLLESKTTIPHYYL TMTVTMDKVLKVREELNKLQKVKISVNDFIIKASALALKDIPQANSQWHGTYIRKFANAD ISIAVATDAGLITPIVFNAGSKGLGTIASTVKELADKAKANKLKPQEFIGGTFTISNLGM FGIDQFIAVINPPQAAILAVGKTSKRFVPDENGQPKVENQMDVTLSCDHRVVDGAVGAQW LQRFKYYIEDPNTLLL >CAK85214 pep:novel supercontig:GCA_000165425.1:CT868540:232005:233984:-1 gene:GSPATT00002614001 transcript:CAK85214 MIRRARFLSPQERVKSPPTQVNLHLPRKQSLLMSVRTNINQTGPYVFDCSQIIGKGQYSV VYKGYEQNKPNKVVAVKVITIQPNNPIQSYMIENEINTLKLLDSPHILKLLYHNQNNKTA FLVTEFCNKGDLLQKLQRSLISEEEALQYTLQIAKALQNIHQHNIMHGDIKSANILLSDR CVLADFGFAQKIDQAQQTFIIGTPLYMAPEVLFDNEINCQADMWSLGCVLYEMVYGLSPF YSDNNDILKNTLLKFKEHGKLAFPNTGVSKKIQALIQYLIRIDPNQRFTAGDTVSLLEPR NVDEQIKLNENQIKIKQELLNQDEKETIVQIPQQQQQQQQQQQQQQTNNNDSNINSNSQS NQTPIQDIQSTPDNQKNTRDNNSQDEHRRSTKNSNSSAKRKKLLQQLNNINQRNITSQVN YIPSQQNQQQNTQNSDVKKFPLKTPQYPSQINLINNNNNNNNINTNNNNKNNTNNNPNPN NTSSNNKTISLLDLVNYFYKVYQLILNGKEIKLAFIYLKLVNIYYLAYLEEQSKQQKKVI FVSCQNDYFELEREIKNKYPILLKEEEFQKIFHSKFTLSQDFLRYSLNYLNKAVVDQQQD EKITEKIKKTLQIIQILVSDERSSTLQLDQQIIRLHRLNSKV >CAK85215 pep:novel supercontig:GCA_000165425.1:CT868540:234325:235270:1 gene:GSPATT00002615001 transcript:CAK85215 MNQPQVWDAYSKSYHNIEKNPAGFQFSLLNMLRLNEADEQTILQQTRILDAGCAGGHLHQ YILQQRKQKCRLTAFDFSLEMTKIAAARMIKYFNNPLHGQIEDISPQEIENADISIFETH HIDCPLLEKNNYKIFQGDVQSLPQLNENQFDIYISNLVLQLVGNKDQAVQEAFRIIKPGG KIGIVIPVVQGNAPMLSLQQCFIKAGLIPNNAYKPTELENREGLINFMNKHGFKDIICWN QTIPFDLLDIDNNYIFPQFREILEKAPKEQVDQFYALFKQEIQNRLTQNLPFTVEALSLI AKKPL >CAK85216 pep:novel supercontig:GCA_000165425.1:CT868540:235611:236043:-1 gene:GSPATT00002616001 transcript:CAK85216 MKKIISIKEDNEFIKFDLTTKYNYFTQDVIKQIISQIKNHQSLVNYSITSKFCSIDNKRL MCLFSKPEFVDKIPKIKISFNGLPNFLIFPQTLNIQTMNKNVFQVYSNIANTRFQETNSL SWYIALIQIKWSYF >CAK85217 pep:novel supercontig:GCA_000165425.1:CT868540:237865:241070:1 gene:GSPATT00002617001 transcript:CAK85217 MRKDLIQQISKDKKIIEFLKFLVHLTSLDDRLIQCGSNSLNLLVEMKVDLRGICFENIKI KDTSLINANFVNCNLSGSQFENIDASGMNLNRTKLFNCKWKNIKMPELNQFEGLSNYANS ACISPDSTTIVTGYQNGSIRLWDIKTGQQKAKLNSHASGISSFCFSPYGTLLASSSQYEC IRVWCMKTRKIVLKLQGYNPLGISICFCENGTLLGSGGDTSILLWSAKTGRLRAKLNGHT SRVNSVCFSPDNITLASGSTDHSIRLWDVTTGQQKAKLDGHNDSVYSICFSPHGSTFASG SGDCSIRLWDVKTVSLIATINGHSNQVLSVCFSPDGITLASGSADHFICLWNIKTGQQNA KLDGHTSGVSSVCFSHDGTILASGSSDESIRLWDVKTCQQAAKQDGHSDSVNSICFSPDG STFASGSSDSSICLWDIDTGKQKAKLSGHTNCVNSVCFSPDGSTLASGSNDDFISLWDIK TGQQKAKLIGHTNFIKSVCFSPDGTIIASGSGDCSIRLWDVKTGCQKAKLDGHIMCVNSL YFSPYGFKLVSGSADGSIRLWDVKTECQKVILENVGICVHSVCYSPQGTTFASGSEDSFI RLWNAKTGQLNAKLYGHRMSVYTVYFSLDGFVLVSGSADYSIRLWNVGTQSLIARLDGHS NCVNSVCFSPYVNIFATCSKDNSIRLYQYRIKKLKKILTQNDETIRSVCLSPDGITLAFG SLDCSIRLCDITGKQKAQFNGHTWIVASLCFSPNGTTLASGSWDKTIRLWDLLQGLEKAK LDGHSDYVSSVCFSQDGNTLASGSYDKSIRLWNVKARQQKAILFGHQDAVQSVCFLSDGI TLVSGSTDHTIRLWDVKTGQQNKQLNGHDDSVQSVCLSPDGSILASGGGDYTICLWDVQR GQQKAKLNGHNNCVNQVCFSPDANTLASCSYDATIRLWDVKTGQQKAKLNCYFHCVYSVC FLSDGFKLASGGNKDNIYILDIKTAILDSKYKNTLKQIKKSLLKNQQLNKPLFKNALLVI SKNPCLEAQGALIFKGEFFNHQGVDLRSLFKYKGSLNFDN >CAK85218 pep:novel supercontig:GCA_000165425.1:CT868540:242412:244056:-1 gene:GSPATT00002618001 transcript:CAK85218 MGCCGAKSENKQKEMSKEQKSLAINKFQVGPDIFINLKQGDISDNYIINKILGEGSYGQV RLVQHKKSGQQRAMKQILKKKILKDQEEAMFSEVNLLKNMDYPSIVKLYELYQDKQNYYL VTEYLNGGELLDKLTKLQTFNERMAAEYMKQVLSALAYCHAQNIIHRQLAYNQFSDMKPS NIMLVSSDPQSKIKVIDFGTAKRYVTGETQTQVIGTPLYIAPEVIDKNYTEKCDIWSCGV ILYQILTGKFPFDVKVSSLQQLFNNIKSGKYNFTSKEFTSLSYEAQELMKSMLQFDPSKR PSAQKILDDPWIKEKAREEKISKDVMNELGKFRNESYMRAAIMQLIAGSVMSNDEKEQLT STFQSMDKNKDGQLSKEELIQAYTQVFNDELKAKHLVNEIFDQIDQNKSGKISYTEFLVA SAKQNIILSKTKIDQAFKMFDKDGNGVITKAEIQDIMCGIDIDNAEWSQIISQCDKNNDG NIQYEEFVSMLLTVVNK >CAK85219 pep:novel supercontig:GCA_000165425.1:CT868540:245206:245959:-1 gene:GSPATT00002619001 transcript:CAK85219 MQFYINKNQQEKNSVAQQSFKRLTNDLLDEKLQDLNDNTCQILINQNCDKLYLQITPKIG SFIYNNYQFQLDFRINYPYNPPLIHVESNIPHPNIDLKNHQLYVKVLDPNVWKPVYGLYD IIQAIKQTILYIDYTYIPNDIQCIKSAQRMGQQDHSTDEEESEDKGFELSENFKRNFELP TLSRISVENEQSDDTEEENFDDPSERSSQEPAIINLTKNTRHSLDDNNTLPKPKK >CAK85220 pep:novel supercontig:GCA_000165425.1:CT868540:246974:247762:-1 gene:GSPATT00002620001 transcript:CAK85220 MLLQQSRKSVAQPQQQTASSHTIKMISEKWKRISSEVDNQKASRLQQLQEKMKQIEKQAL AVNNNEIKQLQDSTNTLNQNVQDHLTQEYNHKSQKTYENTKNSLEKSLQQQNQQSQDMEK RLTHLLDWNHLQDQLSRNESQYDFGLQNKLQMIADSVNQIEDILEGEREQRLQSFEQIES ELEQNLFQLKQYISQESSLRRQKENKIFDMINDVHQNLSLMLQDEKKERQIMTNNLMQLM DDACLRIDRSLSSF >CAK85221 pep:novel supercontig:GCA_000165425.1:CT868540:247958:251769:1 gene:GSPATT00002621001 transcript:CAK85221 MDNSGEKLDLEDQQIKPIQDSQDDDTLNTNKSEFVDNHQEINHDQQNLIASLQEDYQSQL DQAKKENESLVQQIQSLKDQCHQQGEMIEVNNMEYQTIIKSHENVNMELTQKYEKECLEF QQEIQRLQNRESDLQIEIQKLKDQIEQITQDHLKAQDKIQVQNELIDQTKQENLINQNAL EENSNLKKEIETLNQKVQEQLLQIDKLNNNLIIEKQQEKELFLLEKQQLQTQHETYQSEL LNEIEALAQLLKKSELEHQQIDAQQEQSRKEMEIVTEKNLELENLNNELTKQLEALNIMV QELNQQFQDQVRQHSILKEQSIQDNEMKNKELEILNQQIQNELQQKEQLVSNNLSVTEKV QELENLIVQLRNQSKISEEKSQLEIEVMQLSLEDAQEKEKQSIEQENLLKVKFEELSIKY EALEKQFSETETLKHQEISQLKKELEIQQNLSEELQNNLASLNENHKTELEQLKIAMDEQ NKFNHEQERLNIMQQLQLESQQQSEVLQQQQGLQTIEVEELKQQIQQLEIQNLEQKKLLL EQLEKKEKEYQQEVDLLTRQRMEQDEIIQSLQKSQTLLKEKDSQIDQLNLQLCANQEDQY NMEQKYENRLLLQKQKHESQIDDLHRLIQELKQQLETVESEGKMMQNSLNDMEVLDFKCK ELKTENDDLKQKIQEKQAQIDELKLKVEVNNDQDEQEQDKDKDTQIEELNAYILKQEEDY SEQFEQLGTQIEKLKNQIKQLELENQEQQKVIVQQQTQIQTITQQQQSQLQSILQQQQLQ QQQQQQQQQQQQYQQQQQQYQQQQQVKQNTDQGNINPYLSNNQQAHAQEKTVTIPVKELQ NWDKKSKDQLNQIKLLQTEISQLKQLQEEQAKVLNTKQQKTNLSMESLVQKCQALQQIID DSSVINSKMSAELGLYKQQNSQLKEDLKLCNSELRDLRIISQNKFKLESELQQALNTLSE YQDQQNLIKQLERENERKKEELDNNLKQLKQNEKQRIKLQEKYDEVCEELGKTQRQLQNT QSELDQKSIKLKDLEKILSTQFQEFSILEQKYNDQNLVNDDLRNQLKLLQKKYAQETEQL TQDLMLKQKQLMQLEEQNHNMSKDLQLMRDMQFRQKPVVDAPLTKKEKIVVESLANRVQE LEIQNKTIRDQYNGKITALTNQLDEKIAYIQSLAYNLTKAYPNLSEADLIDKEDIKLIQS LRKKQNVQDILEKTMIENLQLRDQIKLLGAELNKRR >CAK85222 pep:novel supercontig:GCA_000165425.1:CT868540:251815:253131:-1 gene:GSPATT00002622001 transcript:CAK85222 MQQEESNQQSHHKKKFVLPKGHFLFTSESVSSGHPDKLCDFISDSVLDACLEQDPNSKVA CESACKNSLVMVFGEITTHAQVPYETIVREAIKQVGYDNISKGLDYRNASVIVSLDQQSQ EINQAVVGSKHEDEIGAGDQGLMIGYASDETPELMPLTHHLCNRLISRLQECRESEICPW MRPDAKVQVTVEYKREDSSFYPVRIHNVLISQQHDEKITHSEIEAELHKHVLKHVLPQKY VDEQTQYHLNPSKAFTVGGPYGDAGLTGRKIIVDTYGGWGGHGGGAFSGKDPTKVDRSAA YAARWVAKSLVASKLCKRVMIQVAYGIGISEPLSICVNSYGTQAEGLDDDDLSEIVQNHF DLRPGVIIRELKLRRPIYAKTASGGHFGRNEPEFTWEQPKNINLAAWKAHKAEQQQQNQ >CAK85223 pep:novel supercontig:GCA_000165425.1:CT868540:253541:255090:1 gene:GSPATT00002623001 transcript:CAK85223 MKALRFKHLYGEEIKSKFEQVSPTSITCDSTMVKANALYTALLWQTGGGGLVCVVKSDKP TKLPFDTPMIKGHQGTILDLDWYPFDDEYLATSSQDQNICVWKINDLTSDITEPLTTLSG HDKKVNLISWNPTSAWVLGSASHDQTVKVWDVQGGVARNTINANALPYSIDWNLNGSLIG SSWNDKKLKIIDPRQQTISLEVNAHQGTKPQRFAWLGSTGYFVSVGFNKTQGREFSLWNT SNPSEPVSTTQIDTGSGVLYPYWDEQLKVLYLTGKGDSSIKYFEFLDGQLHFLNQYTSNK PGKSYAFFPKRAVDVNACEVNRVAKIEENALSYVQLIAPRKANTFQEDLFPPCPGTQPAQ TAAQFFQGQNSNPVLVSLKPDQQQQQQQQQQNVVFTQQQPKPQPQQQLTQPDNQKIAQLE LIVQNQQAQIRELQAEVEKFKHENDVLKEQLNQNEQQKY >CAK85224 pep:novel supercontig:GCA_000165425.1:CT868540:255849:260524:-1 gene:GSPATT00002624001 transcript:CAK85224 MSILQERVENNYPQGSEYQSIVQIISQPSVANWRRYTFTIKEKQYVYQGPLNDETEELIQ VMQSYENAENAQAKNGIESLSKKCLDYEKKLYKEWSCFNQWVKFDTNQIIITIVYWSAYF SVLSESIELTNNTIVQKDQIRANISQYMPLYAFLFTLFSQMYQIILIFNRCYQIKNIYIW ILMFISLIIIPLFVLLGYHLNAFQNYNGPSYDYIGIGFCILSFLIFLNSLLNKGFNSIIT SFSSQLMATLFNCSFCILVIYFILFKIIAFFLLLQTNSTEYIVENLSDLIAPQIFIMMLI YSIFLIKALFTLSPENIESDLKVLSLKDQPDPYIQKEYYSYKLNQKVINLLKKLKLTTVA PILITISYFTLEIYCYSGWLYFKSNQNYELTVTYGFLFVAIPIFMSFGICLATSNVNPSN LYLYSSLLLGSGFSILTIKVWNLTYDIQYFQSISRLFGICPLIITLIWLTIAYFRSWRRN QKMFALIISCLFFAFPIGILITLSDAYNDSGIYNGSIVLIVIGCLPIIALICYYFVVVMI YLLKLPQQALNLNFVAFQYINLTNLATWFNSICYIVGFYFTCYFVWNEPATATGTKKGAM QGLLVIQTVLFILTRKALQVKIQDNQNDQKEEYVKYLERIDNLIRGGIFYPFVILLPIGL TQDSETTKNALIANAVGLPVTFIYFNFLIYLKKELCQYQDFFQPLLIILMWIFVIGPIGI IFPLLADIYENSSHQFAVFAQLAVAYTILITIIINTCISNVYSVLLNKEQLEMKKKEVLK VVMQLLANHKVSSTEEICALIFLRFIQQNNPVKLKTDLKLGDPVNVYDYPGVDKGRIFDE QLVTKTEYENKKKLEQISKKKKGGVIRSLQDDDTELFKKSTQNNEDDQSEGMNHKIIDLI FECVFCRCGFEEMEQININKQQLDVELLELENWKEREAKKIQGIIQPSEADMKAAADIDF YTALRTKYRDRTERFWAAIYSKFRKNLNVVLEYLELERNDEILKQYKSFKASEDGDFTMS QNDFALFLYDNFQRDDQTNYYNLIWEMAVKKYHLFVYNHNDIRQILKLLQNNTNTPFHQQ NFKLPKQIGEKRQRLEKKKSVQGNEQIDLQYQQKKQLIEREFQDKVPKNLKVQFWQFCSK VNDFLWIKPRLYSQQIMNQLINSLFQKITPPEPELNKIVQNVKWDQLAELVGDCLVDQCN KFDKKMRDQEFILKFTSTNILAIILRIYDLYGLATLAFDSQVGWFGRSQTISPITFVDYS AIWSEYNLFFFLALFMSLIYIILGFEAANQIANNTFGFDENGIIATYKSRRYWLSKTIQL VSGQFIFVMKSYIDAFICDYSSYPYTLVRQPSVECMSDLHFMYVTLAIFGCIIYYPLSSY LQPTFQYMDHSLDLKYKSNYVVLYIQAKLLILGMSSVFSNLQDQAYEYQMLFSSIVMLIL IYFHFRIKPCYVKWFNTIEFCILLLLLYMYIGAFLILATGFMIVGWIILACLGGLTLIIT AIVLVRAYNQEQQFQLTKVQPVHQATDQSYTLKQ >CAK85225 pep:novel supercontig:GCA_000165425.1:CT868540:260875:262183:-1 gene:GSPATT00002625001 transcript:CAK85225 MNINQLDISVNILEENDDLKFIQYQVHLNGNIYNLEDIVFVNNFDQVKTYQEKLQKNEFL GFILNRCKIIPINLFKICVSNLIVNNEKYNGLKIIDEQQQNIHDLILKASQESIQECYQI LNTFQSHLQRIVYLHQIVMVDSFTKVEQRIQFIQDCIDYLSKYKYEEGSHISQYIKEKKL FALNDLFRLQSSLLRKDLCQTTLFQLQQQSDILQNLNIQTRIIRLQLDFNQAEYIYTLNK KVQINMQNISEILQTLKLMDIYDINKKLHQIPVIQQNNTEVIYQINDYLIKIMQIIFGSL QLQENSLLGELLQQYKQYFSMVCNLQIRYTYHICLSLTLSKQEALENSLKAFQLAIEIND INDIIEIFINTQLLYIETDQEAEGLKFSQQFLDNFGSQKIKLSHKAEYKQIEDNMTYMMV KLRMNE >CAK85226 pep:novel supercontig:GCA_000165425.1:CT868540:263239:265071:-1 gene:GSPATT00002626001 transcript:CAK85226 MNHQDNAIKGVLKQQLIVDSESGPYKTIQEAIDKAEPNTVIKIAAGLYSSNILINKPGLR LEPKDKNGDIIIVVSSKPTILVDLQKDERCTLIGLKMSHSGTSEEVEELEKLIEGQEIAK HLFGGHEDGAAGIESNPDEEFVNKVPIDTGMNCVVLLNGGKLFMEDCLIALNFIVKSFKG ILPGIAINNGAEALFIRCEIKGTSSKNQDAKTIGILLRLGDLIIKDSKVHNHTYGGILIQ QAITNKSVRIMNSKIIQNKKVGIHVVGADAIPQIELCRIENNEGPGIKVGIGNKAKIFGN EIKTNIVGIEVLSADPQIFNNKIDKNFTDGILTKVFEQLRCDGKIKSNQTISGNKENGIH CTGQNNYTRIESNTFIGYNKKAGIKADTESRISIFKNKISKNLGQGVLLVETSSAVIEKN EITDNIKANIALGGANSVDTFIVENKILGGRCEGIFLIECGKCWIFRNTIAENNDGIVCI TAVPVIKLNNIQKNKSNGIMILKDSRPEIIENNINDNDGIGLFIRDKSHGKIQNNVIKSN EIELVVERRNPSLESIVQENKVSGDIRIPQNYDCTIQ >CAK85227 pep:novel supercontig:GCA_000165425.1:CT868540:265411:266271:1 gene:GSPATT00002627001 transcript:CAK85227 MLVNQSSKVNKENQNPLLIVNQQEQLKLNGVLPKNQFNQGITNRFQSTVSCYQQSLTKCT SSRSLQEQIERIAKKLKQKQEKEIVQQPIDLNKYSIDEMVDILKNDKLLHIRSLQLQPSS SKNKQKSPKNIFPPLQLKQNFQSFETTKSSENETINHKLDMKSHYTQLSKPKTIQKTESR DSIRPTEDSRKKRTVSQVIEPPTQTLTKKERIQKALQNSQRIVQLCNQFNKNNISNEELH ELIKTEGFKLQFECLVQFSDNSLDCRTQLQNFMNQQIKKYTELRKC >CAK85228 pep:novel supercontig:GCA_000165425.1:CT868540:267121:267417:-1 gene:GSPATT00002628001 transcript:CAK85228 MNDTRENGTYEQAFNQVESGILKYLSDELKLEQLTDPQLKQFIYEDLKKKQIFSLRSLDS DNEAQDNLSCSTSNRCSFSFIRSPLILKRLSVSITEQI >CAK85229 pep:novel supercontig:GCA_000165425.1:CT868540:268498:272450:1 gene:GSPATT00002629001 transcript:CAK85229 MNLNININSPLIQEFKSILPQRDPIYAHLDYEQIQIITNEKYSLIIVTINSRESQDQRIE MAEQLVNHQRMINQRLRNLGLNGILVDIIKHEIIKQDDQVYIICKTMRLSKQKGQVNLGL ANCIEFYTGLELKGVRPQIVMHIEDLGLNKIIPFTFMESILNEDNYEYPAQLEQNGQLDQ NANGIHSQNQSAIIIIYNDQLVQFKKLSEMKDIHILKYLELTSGVKISDVLKKSSTSIVN DKIHYLNQEGETFGIGDVGERGNVELNIKIKQQCYVFRAEWNHMKELINQRIDGQSFEQL PNNLFTPIDNAILSHFNHYEFDQRGSLIFLNCSHKGDVKNKVIIINKQIKRQIWFIALKT LLTILQINIQNAIYQDQKLYLRTLCKLNQKIPILQVEIQADLKEEDFNLILDQCNLNPHL ESFILDGSAFKDAILSGLIQGNNLKSIKELSFKGCQNITDEGISLLQNFGVQKLDLSFTQ IYLSVPQHLQILNISYSRFKEETLLQLLRDKSLVNLISINISGLASDKLIQVIFSCHYKE LRVLIANECKLSLSVFHHIHDSKHVKQLDLLSFQGSITDVEESIFKKNGYALLGASKTKI NKLDLANCYINDKLIQSMVEAGKLLKNLRVISLSGCRYISDKSIYLLCDAHYTSTSQLKS LDISNLPQITESSLEYLTNKPLKNLSDLNLAYSTQIQAEHLKKAFDTGKFNQLKYLGISG TSESGGQADIFKFSIPELCTYYIRNHLSEIQLVEIYTSTKNIQNISTAVLNLLQTQILTK IVTTDQIILEPETYDLFKSSLGCERLLLNNKPLQLDNQDKSGQLDQLTANQFYEKLLKQH QNVIINNTEIKFIRLMYNFQTIKKICFKKTEILDKDIFGICQNLTLQEINIKSCKKLTFK SLIYLQKLSDTLINIDFRGTIFENLYGTLKQLQYFPQLLYLNGGKLNIEIININQTNHVI QELKHLPSLWMQLEQIKSFKLTIRAHYIQTFKFIFKTLSLLLNKNQDLITQMSLQLYQFK VIQDKSLQLFHNSLLNLRFLKHFHLGFQSMKNISLLTLKNMIISLSSLDSLKSLSISLDD CELQFVDEDLFLASTIFEGIRNMKLQNFSFSSNDTVTYDQSIFSSLFKYMSENDTLNQVC LSFQRHAISRENLSELFQTLKELNLQSLSLNFEQSEVKYTRQIWAELLEVLRMNYSLLHL ELNFNHTFELTDMDILNIIDELYCMKLTTSCVSLIGCYHNEKSIKEFTNEDVKNYQFFI >CAK85230 pep:novel supercontig:GCA_000165425.1:CT868540:272592:273303:-1 gene:GSPATT00002630001 transcript:CAK85230 MKVRKQAPQFTASAYFNKQFKRISLSDYKNRYVVLFFYPLDFTFVCPTEIIQFSDRVEEF KANGCDILGVSVDSQFSHMKYCKQTRNNGGLGEMQFPLISDLSQEISKKYGVIIDDSEDP DFGVAFRGTFIIDGKGILRHYSINDLPVGRNVDEVLRLVQAFKFTDEHGEVCPAQWKPGQ PTLVTNHSDPKTQKYWNEEHIKQAK >CAK85231 pep:novel supercontig:GCA_000165425.1:CT868540:273934:275435:1 gene:GSPATT00002631001 transcript:CAK85231 MEGILFEYASKIIWPEYNYINVLLEFSVLYILYSLYKVSIKKFIKHQAYAKITRVEKISN EENVKKPKQSTQEKQEKSQKQGKKKNSEKEQQQQKDAQKQQNKQESQQQKQENHSNISYK ELLKQEKHNKSLQNKEKQKSTGKITHPLYLSSVKGFSDYITDFAYNNRYLIATGFDNSIK LFEMEQFFKNPDQPKYLFHTLNDTHATAICISKKEEIAYFSANNQLFNLEFYPKAEDKQY FKVIPKTKNCHKSDINSLHVDENDKILISTSSDTHIKVWNMKGELLKEINTSYGEHYSSC FMKGFLVVSSWSVDTSIYEIKFNKDHTFRTLEKEEVLRGEKTSVLDASLNEHGTLATLCN KSQCRVYRLNTERKLKEDAKLLTKVELQNISAAAVNENILVVAADGNVHVYDRNFQQIDQ IDNAANGAYIKRVRIHELHSSLKNKNFYVCFVWGQEEERINVYNFTKYHE >CAK85232 pep:novel supercontig:GCA_000165425.1:CT868540:275499:276530:1 gene:GSPATT00002632001 transcript:CAK85232 MKASIVCILILTVAAADFESFQQALEFDPQTLSGDNCGNDDQFTQQYEAFLPWIQLLEEG EIEMQEDHSVLTQARDKIKLVREYIQDLDEELEKDQTNNNNNAVVEQPTQQPTQQPTGQS EPQPVQPAQPAQEVQEDDEEEENDDPFGWGAGEIPQHLLVDSKKKHNKNLKGHAKVLLEV MNQSKSLKYKSKIKRIIAMATSLDTDMGTQDLQERKNRKLELCDLILDELINMIEFLEKV MNDYQYQQEAYDIFKKKQEEIVEVANGCGARVISLQDTYSTSFVQTQPLKRNKKQNKEQK RKRKH >CAK85233 pep:novel supercontig:GCA_000165425.1:CT868540:276533:277794:-1 gene:GSPATT00002633001 transcript:CAK85233 MNQQSDKAKQYAQENVVFLLQRLMEDILAQQPKNVIDWSIAWLDKKGREIVKSKQKPSGK SSSSDEEIIELPKRPAAARKQRASISAEAYGQYNRKESFQPRVIVKSQQQKEIISKRLSQ SFMFASLDSREKDIVIDAMEERSYNVDDWVIKQGDNGDNLYVVDQGELNCYKRFTKDGEN KFLKVYYPGESFGELALLYNAPRAASIQSKTNSVLFALDRQTFNHIVKDAAMRKREKYVN VLKQIELLSMMDPYERSHVADAIKSASFQKGEYVIKEGEQGDIFYMIEEGNLIATKTLVQ GQDSVKVFQYKEGDYFGELALLKDIPRQANVIAETEVKLIYLDRHSFKRMLGPLEDILRR NTDKYQKYEQYWITQGK >CAK85234 pep:novel supercontig:GCA_000165425.1:CT868540:277898:279092:1 gene:GSPATT00002634001 transcript:CAK85234 MQLKQAELLSPKYQNISDRFQIGKFLGKGKFSDVFQAQEKTSKVLIALKVIQKTAISKYK IEDQLAHEIKIQSYLSHPNILKHYGVFQEQTKIVLILEYAPDGELYKLLKNSQIVDLLKI KLEITLLKLDIKPEKILISLQFLKIADFGLATYSPESKPRQSFCGTIDYMCPEIASGQDY DHSVDLWSIGILAYELTIGTTPFYQSSKEDTMRKIIEGRVDFPKYVSNELQDFTKSCLRK ILNKELDQSKWLYTNGYK >CAK85235 pep:novel supercontig:GCA_000165425.1:CT868540:280255:283111:-1 gene:GSPATT00002635001 transcript:CAK85235 MAEVPDEIRDLQSNVALSMLTDLFKQGKINQEQCDSYKQKFHKLHDTVVQTFKNHHFLWE KSKKLKTQLATEKQNLETAVNDQANAQEKAQNLNASLKKTEAEYEMMEQLIDAKQFQCEE VEHEKSIILTRISEQEKLAKSKALPEMLQKDAEIERERDLLLEYKQKIESEQKSIDEKTE KIEQLKIENDEKLNKISKLNDEYIKIKDDPNRFSKNAEMLKSANKIMLKDLQGYKDDIGK KNKQIDDLNMEFKKLQTKLLEYEAQIDEEKKATETNQRDQAIQNDLSAKLKEEISDLSSQ KVANDIEIRNCQLEIRRHRDTVSSLKKAIDLDKKELKKQQTQMQQINDTLHEQKMILENI KKEIVNLKYEIEKQNEIGENIAEEYTMLEGRVRKVKDKAEEKIQEQTKVDTEIKKFEKQM IELQNFEAEGLKRVKALTATRESMARKASSALAEVRETREELKIKELLIMDLQKKAQETE AKEHNYKSLYEEVKQARNKYVNMIQNSSQDLAELKERIKILQNELEILKNESQEKERTLL EYRHCLQVEVHKKDRSHAKLNKLEYQRKAKKEIIDQNINEIQKLNMIISSLEKDMLNLRK QYEQVCESRNHTGIVLIDRNDELCIMYEKCNIQDNILKSGELEIKRLEDDIRMIKIEIQE QKRKIDVARKDILQIPQLSSKVIQLKDELELEKKKESQLSEELENPNNQQRFRELGGEDP DQEALDAKIHVLEERLNNKKEQLLEKELILDEITNLSEKLRKQALDGRLSTLELSEKVNE FQAKLKDLTRKMMATIAELSMYQATAIKLQQEKDELENVVEDAKQRLEKSLPPLPEHEDE YLRQQKRQVNCLFIARRQRLEKEQQEKMFEPFSCKTMAKQRVQQYIPDDNIGAPLPKNYG KQAPFYPPESNAQLRFYKKPKVKEIEI >CAK85236 pep:novel supercontig:GCA_000165425.1:CT868540:283146:283701:1 gene:GSPATT00002636001 transcript:CAK85236 MKASKYQVENRDYNIITCVDFNRQPQSLPPLRKLGQQQDHPFPRKAKFEMAQEQVQMQQT VSSKANNSQQDIKQVQPGSMMNVSSVKFDFIAFTQGKQQPFHDLKQELKILHKKKGGMGE IADLGGLCYPNFNREYQDKFKENQTIFRKQKGDYPAVTDPKFSYGPFMKPFKKFKF >CAK85237 pep:novel supercontig:GCA_000165425.1:CT868540:283737:286204:1 gene:GSPATT00002637001 transcript:CAK85237 METQILRQFLSSNETYSKTEEIKLKILYSDIQFIKQVQLSIVTIIKDPFESPNTKLMALK LNKELVETFNSSYIYTLQKTLLPLLEEIAKYKYTSDDPDRGVTYFLNGENPNSDNRDLQN TGEQFVRVVLECIRVWSRWFPLDYQSYYLSSFKITYEKLVKLGVKFPKIIYFDSIEQNIP HQLIPLSMIIQLRELLKNQLNLSTKQIRNYLAMNPAHFFYQHKYHTFGDFFHQIKNKNPI QRKKVLRKATFLLEKEFENLETLKKDSSYNPISQETLQIENLCLQNLYNAFSIKVPDLQA QVIQLQNKVASLEKQLLDREQKINQLQNELQKLQKQFSTNINQFLTSNNLTNSELFETDV TKLKLHYGIYDQSYEIEKLKTHLYKSEFKIEELTEELDQTIRKKNQLFDENKALSQTVLV LERRLLQNNKQPLKSLSTQQCLSEYRQNTMTNISQPSTIVQKKQKSSEFQNSLFLSLRQQ ILGQQLYIKTLQSQLIKFDKFLLQSGHTNSILSYHTASTQYKVPMFSPLSVSQPKSRGSK EIASPFVDYDGKIFAIIPDAFTVKFRQSCLLKKTILHSDENLQIGLQTSLQSNNQLLINL VFHNRTQELLHNLCVSYQKSQNLSYQIYPLNIQQSMKGNDQVSQKIFISFEQLPYQLLEF SIKYTKQQQEHNLKACLPCTINKFFTFLDVPQETPKSYFYNSKIFQTSFQNQIGQLLPEF QINQVNEQFVEALARIQIEKSVFLISISSKNNQMQIKLNGNYQDKLVECIVSTYQGLFMK R >CAK85238 pep:novel supercontig:GCA_000165425.1:CT868540:286720:287370:1 gene:GSPATT00002638001 transcript:CAK85238 MAQETNYGDIILLCGDLHVGTRMQKIHEKIVAALGVNKLQHVLCTGNVGNKETFDWLKQI SPNFHCVRGQYDDENNEIHNDQKVIQIGIWKILLIHGHQFVPWNDEETISIFLKENSCDI AVFGNSHQSLISKFERKYFINPGTMSGAYGSIKQDAVILPEFVILECLGDEMGVYKYKLV NGELIIEKCTITK >CAK85239 pep:novel supercontig:GCA_000165425.1:CT868540:287623:288376:1 gene:GSPATT00002639001 transcript:CAK85239 MKQSEQVVLASQQPGLIDTSTKRLISKSSSQFQSPSFQQPVVTRRIHRKLVPMDGEAEET VVQPIQPPPQPIVHPVQQMIWPVAVQFNEDDALAKIREEKMLALLERQSEMLTNLLQKYK RLEEEQTNKIQRRIKELERENEEIWQRRRQNYLIYNVSQSVDQKLKEFNEFQMRPFLDQG QPVLLKPIHQDDRLFFDKKKKKPKPKKVVEVDDGLVQDPDTGELWDPKTGEVVQQSLPQL PY >CAK85240 pep:novel supercontig:GCA_000165425.1:CT868540:289143:289506:-1 gene:GSPATT00002640001 transcript:CAK85240 MLQQQFYYDVFKIVQQMSANQIITTNEKENLKNIIINHDSKFVQTLDTIYKSEENEKFEK IVAEIKIYIKSIRQRKPKYLKNKQMRVITDETEKYIYDDTIAEVSSDSDSIS >CAK85241 pep:novel supercontig:GCA_000165425.1:CT868540:290591:291743:1 gene:GSPATT00002641001 transcript:CAK85241 MIGKQIFRAFSSTTAPYVWVNKHTKVICQGITGNQGTFQTEQALNYFTKMVGGVSPKKAG STHLGLPVFKDCQEAKSATGCDASVIYVPPPTAASAIIEAIEAQIGLVVVITDGIPQHDM IKVKHALRSQSVTRVIGPNCPGIIKPNECKIGIMPGYIHQNGKIGIVSRSGTLTYEAVDQ TTRAGLGQSTVVGIGGDPFNGTNFIDVLERFMVDPETEGIVMIGEIGGENEEMAADWIKK NNPKNKPVVGFIAGITAPPERRMGHAGAIVSKGKGSAPEKIRALEQAGIRVVKSPAQIGK TMLEVMKEKGLA >CAK85242 pep:novel supercontig:GCA_000165425.1:CT868540:292083:294465:-1 gene:GSPATT00002642001 transcript:CAK85242 MKQNNVQTPNNENLRVVIRVRPPMAREIRDGKFISTVQVAPDNLQLCIFDYHAIELVPDE DLEQYVSNPSNYTLHQFTFDYVYDQDSTQEQVYNTTAALSVDSTLQGYNSTIIAYGQTGT GKTYTMHGFSFNPNSDQLGIIPRSLHNIFNHIQMKSNSSTTFMVRASYLQIYNEIISDLL RDSASLNIREDKKRGVFVENLSEWAVRGPAEIYQLMRKGNAKRVTASTRMNDTSSRSHAV FIITVEQIEEKAEGKSAKVGKLNLVDLAGSERVRVTGATGQRLEESKKINYSLSALGNVI AALTENKGSKPHIPYRDSKITRLLEDSLGGNCKTTFMAMISPAIDAFGESLSTLKFANRA KTIKNTPIVNQDGDQGALLRKYQLEIQKLKSELEERSKQPLENLVNELEKEKQKALEDKQ EAQSAYEQRSKDLFKETELRKLLEEKISALNSQMLVGGQKIEETPQFQSALEKQQRLIRQ QYQEKLTELEKERQSIEEDKAQTDKYKQLLLKQRDIMIALTNRLNDRDETILQLQEELDV YEKLQKENEDQLHSKQIRLEQFEDLLLNNKVEIPLVLQNQNQFNKEKVVDIQNDLQIQKL ESIPVQTLHTNQQVQSDALISYELKNQIEINKQIQFDLKLTRTELDKSKNELEQMKKKQN TEQSTLIENARKSVDRIFEQLTSQQSEQSLGNVAKELNQLQKMLKDGLQPTRSHIKGQYS FSKSTSDSPNKIILINKQNDPMAKSTGQLVKLMQTKPQPKQNQSPVQSSKLKQKQKSVED LWSQI >CAK85243 pep:novel supercontig:GCA_000165425.1:CT868540:294607:295255:1 gene:GSPATT00002643001 transcript:CAK85243 MLYNEDTQQINKSMLSSNNDLRRKMMNQERVKRSLNQDVLEDKENVPQHKGLPTKLYTLP QELQNITLKPKFDHTIQENKNLQKFYTEEIFFYLKEQEKKSTPQEFLKNHSIPSNLRAKM IDWMVEVLCSYKCTDQTFFVAVRTLDFYFAKSEKHIRSV >CAK85244 pep:novel supercontig:GCA_000165425.1:CT868540:295281:295847:1 gene:GSPATT00002644001 transcript:CAK85244 MFIAAKYEEIHPMKLSVVYEKIAHKKLTTDQIKKKESDILQTIGFDLVGGTLFDILLEKN YKYLKKLCLYLSKMVLFDYEICGKYNYTLLAAALIFVAFKIVEQLDTTFNADSQIKDVAQ IIQVDQDQLVEVAAKILNLAKNFEKHFPNLENLKKFNGFQLEDDEQ >CAK85245 pep:novel supercontig:GCA_000165425.1:CT868540:295888:296427:-1 gene:GSPATT00002645001 transcript:CAK85245 MKTHVEVSSQRRKGRRAQLGAPSNLRYKLMSAHLSKDLRKKYNVRALPVRKDDEVTVVRG THKGTKGKVSSVYRKRWTIQIEKLTRTKANGMPYQIPIRASQCIITKPYLNEDRKQLLAR KASAKVSTKGKGEKHTTESTKKAD >CAK85246 pep:novel supercontig:GCA_000165425.1:CT868540:297199:297558:-1 gene:GSPATT00002646001 transcript:CAK85246 MAGKSGKGIGKVSAKRHARKPNKASIEGITKPAIRRLARRGGVKRISSFLYDDSRNVLKS FLENVVRDAITYTEHARRKTVTVMDVVYSLKRQGRTLYGFGA >CAK85247 pep:novel supercontig:GCA_000165425.1:CT868540:297849:298856:-1 gene:GSPATT00002647001 transcript:CAK85247 MSHRRLSNNYSEKLDTTGDVGLPKTQEISELFLNYLKAQSISESDCLSRSQGFLKKLQTA IILFSCYEAITFFGCLTVLIISLEFKLVFIAIGLFFKLLTCIYLILQAKKQIKCIGSKST ITRKIFLEILKSDKTIDPENRVEIRLVDFNVATKLTIKKFIQEFTIFHRKSFITVLIITI VIYVLYFNLIIQESKVNQYLIFSEFALITLPLTLTIITMTLLLLFLFINCFINLIDMIVR LFINMFTSLPRLIRFLLMIFKIKKENRSVLMKYVHTQHTSKDICSICLCSFQSQCILLPC QHLFHIDCIEKWFFGNNSCPICRAKINNNDDNQQK >CAK85248 pep:novel supercontig:GCA_000165425.1:CT868540:298929:300007:-1 gene:GSPATT00002648001 transcript:CAK85248 MIRPLSEYESCYKDIKIIGRGQFGIVYLVQQKSTQKEFAAKKIKGECSNTELDILFRLKH PNIINVYDCFRDENQIILIMDYCQKGDLWNMIQYRILEGKNRGYIQKVVEQWLVQLLMGL AYIHDNNVIHRDLKSSNILIKEDGQLKIADFGVAKILGGEKMAKTIAGSPFYLSPEISQG QDYTFSSDLWSLGCILFEMCTLKRAFEGDQFDKEILIQDQIEPTLTDIRTYSSELVNLIQ SLLDVDAQKRPSAKELLRSSYIAKIMLQSFEKKSVKQQDMQKIGQVTMMQKKAHEKYQKQ MSYVKQLLGNSQDSINAQSQVL >CAK85249 pep:novel supercontig:GCA_000165425.1:CT868540:300113:300541:1 gene:GSPATT00002649001 transcript:CAK85249 MTETVRNKGCQFDRFCKIIKFQCNLKARTLIHDFQIFSKQIIYRPLIFSFQILQNFQQKL SCILVELILSIIQKYYQFICFSSQIYNYLQLDIQIKRIGEEVDKTSEIFENVLNRIQKQN ENIKLSKQQNIQSLYYFFVNYF >CAK85250 pep:novel supercontig:GCA_000165425.1:CT868540:300679:302221:1 gene:GSPATT00002650001 transcript:CAK85250 MFLYLFYFFVTGLGIKEIYINQDYFYQGKFYNLEDSEYSVVQEVEQGTLLFQITKPDCQK YLQIELIFTTPTDGDVNSQFTINQNSPPSVLGNKSLNATYTDMNGDYLHKSYQNIVIPPL SYKQGDKFYITNLIREEGKTYSYKIRISQSNDVPCPNKCTSDSLGSCQFGSCSCKLNRVD LDCSKMATPILVDNKMENVTISGTQYFYFQQKTQLETIQLDLEFKNVLFSQHSSIFVYIM FENFVYGVATSQFNNYTYSLSQDSTTLTISDIIPVKQLNHNQDLQRFNRLLLTFVVPGTS DLNINISLPSPDAGANINQILIYLLVSLAAILVLTWIIITLIRCRRNNRTRIFPFPQQAP LEIQKQQINLEQFDYYMVKIPWSQLDHHPFIIEKKIDYNQFEGCSICLSEYGKDSVCRVT PCIHVFHADCLLEWLKNQKINPSCPMCRDEFTEQKLEEFAQQMNDYKKAQQQKKKSIVRY QNENSLLMIQDGIQPLSQ >CAK85251 pep:novel supercontig:GCA_000165425.1:CT868540:302711:304653:1 gene:GSPATT00002651001 transcript:CAK85251 MRPISSALKLKTNDSQEKRLRSAVQFKLVDSQKLKNVLIKQSQNSQQSLSQQSRLQSGTT YNTTQRTGYSTHRMNEAEYDSGSSSAFRGQIKMRSTFHSSRNNLIRRVDSQFSLDNKHDS SPQETNRTAIPAPKNRLFQQGSRSQQKQLIVTQENIDSNEVKRRMKFDPQVKQQVIYFKI LNISNIGLKNYLFYLYKQQKHLMDLVKSKAETQLIDFSQQILTFSIGTLSPIALIETLTF AASMLELTSQLDWAIFYHNQSRIMANYAKQTMDKYKMKSLIGLGNCSIKMQQYEIGIKFF KKCLQYSWLNNDLDYENEVYTKLGVCYYYLGDIEKVQLLFIKSKYYHERAITYDYEIETS PLRQMSSDTLKVFLNKNFNRNYAESINTPLLNRLNLPLPQDILSISQQEIQNESLTMINP RIFNESKASSTRRSSIFETNPHIKLFSTMQVDGLRIQQEILSTQEFEVEVYTPKHSFKSE SKCFDFIRNKKIHPQDIFHDIKYKTNPFILQDLGVIKGQNDENPIENPQIYKLPLDQQID IRLKKKIYVDIQTKLKKLMDYKYHTKTQMRNKILITHKNVENEKRHHAADQVKVLENVSK NFVNLIENLINLQ >CAK85252 pep:novel supercontig:GCA_000165425.1:CT868540:304720:306351:1 gene:GSPATT00002652001 transcript:CAK85252 MYPRQIKKQDKPKQSSTISDFILNKLIREFSTKPIDTELIQCYVHDYSTKYTSFSESCVR ALKAEIKEAVKKSQLEKEAIIKSLKQPSNTQQLQNKEDNQNNENNSKQNTKAITQGEEQQ KCQSKKNPVEIPRLDSLTSENKQKSVYQLEDDELDEWAAIIKHDSALYQKEQEEKKRREQ QLRHKLKDDLDRQLKEKEQIKKEEALKEAQFNYQHQQRKQEFEQYEKQKKEQMKLKQIEE RKLRDEQVQQEKHKRRESQKQSKLQDDEMLQQLKNEISRESQELNKKRQEQKDKFQQILK ENEQLRHKAQEELKLQKEIDTKLQQQQLQKMIQDDERREQQKRDRDDKIKQFMSNYSHQV LSKQKENEENEGKYMLEQLKKQEEQDKQQQQYKKLKEKEKMELVKQQLQLQIQQKQQKKL SEEEEQKLLLLKQQLDLMNHSQKEKEKQLVTYCSLFQSIKNNYKQNQEDIKKQIEESKNK SAHEKMSNMELLHNKSLLKNIAQEQAVKTKFRKVNVSMK >CAK85253 pep:novel supercontig:GCA_000165425.1:CT868540:306441:307034:1 gene:GSPATT00002653001 transcript:CAK85253 MNQKSNKVSPSQKSIGFRFNCAINLELLKKSTRMALLKTKYQFPEESDRMKMKKRTIIIG KNQLDSEKKLSPFKIKFLDIIKTRCSSITDDNNQMANKMVFQKYSKQLDNINYGNPQIFQ SIPSQKDSCDNLIFPFQKSIYPQRKLVRKHRAETQYTINSSINQPSPNLEKIKVKSLIAI QERFGATGWEVEPSDDF >CAK85254 pep:novel supercontig:GCA_000165425.1:CT868540:307380:308072:1 gene:GSPATT00002654001 transcript:CAK85254 MNIAQYLSRETTINSEDNTITADQNVHLQNQTYYDGQNLYTSNQQTDDSFEMQILSNQHP ENEWIKKEQNIVSYLKQLGLKMKTDPLEKQEHFYQKPMRRPNKSSTTQLTSTSQVDQSNL EDDKGNAILQKISIEMNSIKQRRTPNTTPQSSPLIINNLEQQEPIKKQRMSIFQKMQNQP QDVTPDEEEIENNIIRNQKCIEDQNNDLDKSDSSSSKSDTEQNYFQNLCG >CAK85255 pep:novel supercontig:GCA_000165425.1:CT868540:308089:308726:-1 gene:GSPATT00002655001 transcript:CAK85255 MKSKEMDAPPLSEDELNEIYNWVDTVPLSRPKKHIGRDFADGVLIAEIIQHYVPSIIDIH NYSMAHSVQQKQYNWNTLNAKVFRKMGFQITQKDIDAVIQVIPEAIERILKVIQVKLDMF LEHQEQNQVQKYDKPNEVQKNQNQVNNPKPGVNNKQNDKDLVIQDQKETIEILELKIQKL EQLVKLKDSKIQQLTQKLQQAGIK >CAK85256 pep:novel supercontig:GCA_000165425.1:CT868540:309605:310860:-1 gene:GSPATT00002656001 transcript:CAK85256 MSSTLRSDQIIYFGTSNTPQIPCRFHEDYTIQNFCKKQDCSLPMCPECIKIHSEEHEQER VTSDIDLFSNCLTEQYNKSLEYLNLWAIDVQESSNFQEEVLKAQEFQLSRFQEAKKQFYK VIDDYFRILESTITAETQKSNQDLIKLVRSRHRIEYLEWKTLHENLQKLNSDKCLPPLIQ IYSDPRQTPQAIYQRNHQEHVEQLNKLKLNIRQVVVNDNLNQILTLLKQYIYITAPVQDS QIQQIQLTNTVQPILQTQQIVQAQPMVQTQAIVQTQPVVNQAINQNKYQSRVIQPFSAIR EYTPLQPVLYQQPPLVPIEPLQIYPQQFYHPHPVLQEPMVRPGLPGQQSTPPQIIRSPVK NLQPVPEAVPLNGQLRETMAQRYYDQMLKNEIK >CAK85257 pep:novel supercontig:GCA_000165425.1:CT868540:311532:311714:1 gene:GSPATT00002657001 transcript:CAK85257 MNFDAQTVLATQIGKKRILELIPFEDNLITVLNYKKTLALRIFEQQLSKQKDFGNSDQKF >CAK85258 pep:novel supercontig:GCA_000165425.1:CT868540:312259:313697:-1 gene:GSPATT00002658001 transcript:CAK85258 MQQQSISDFDNLEQEHQLIDQVNQKSKSAKFKQYKQGQYYGDIQNNVRHGYGLMTYQDRY YIGYWKNDKKQGFGKEVLDKGDSYEGQFDDGKPNGEGTLETANGIYSGQWVYGIKQGFGK WRGNNNEVYSGEWKLNKANGYGRYDYQDGGWYEGEFKDYLKYGKGKESYANGDFYDGDFV NDKPEGFGVYRWSDGSSYHGTLSSGVRHGKGYWMKNDDPQNHESYDGQYVNDLKSGHGVY RWSSGNVYQGEFFNDHRHGFGEMRWADGSYYKGQFYNGQMCGEGELKRNNKPVIYGYFES NKLVKEQKQKSIPKTINYSANHSYDERKNYYTLQQSSFNQQQNTQQLQKFHFKSESIEPI SKQVKKNCNSSINDNQNQFHQNRFKISIDFEDHQGISAHQKFKTISATNRPFQSQQEGLL QMTNQGQSIKKVVIDSVKFKRSQNSFRLQSLNQSTRQTSNSLPKQSIN >CAK85259 pep:novel supercontig:GCA_000165425.1:CT868540:314205:314954:1 gene:GSPATT00002659001 transcript:CAK85259 MQKSVTFVCISDTHGFLNPSSIKPTINLPQGDVLIHCGDFTNCGELDGIKKFKQWFIQQP FKYKIMIAGNHDLTLDNIKYPKNLKDPELQAEIKDLQNQCIYLLNSSCVVEGYKIWGSPY SLEFCNWGFELFPKDAQKFWSQIEEGSDIVVTHGPSYGHGDYVNNAGHVGDKELLNRIKQ IKAKYHLFGHIHEGYGITEEDGIKFANCSLLNEYYKMTNDPIVFQLPQKLQQEN >CAK85260 pep:novel supercontig:GCA_000165425.1:CT868540:315159:316212:1 gene:GSPATT00002660001 transcript:CAK85260 MNSAFLKQIEEENKILEQELQQLLRQEAVRFSFNQIQPKEENENDNDNENVNPNFRNEKG ILTKIEEETEEEQPNRIEPHRLLESPYKPGPYKFENNQESIIDNLQDSINNYMDKRSSSI ADIQSNRYSQKNNENTTKQIKSLEMRLSGTREALKSMEKEIKEKNVIIQNLQIDLAKKQK QIDLFSKQQNFTSTPSKTEFNNESFQEIKKQCKEWEKRYQECETCLKENKKYTQRLQELN QQLLYKLKQYEQEKELQSSELDQQKNINSQIYQTNTNILSKYEQIIKSQQEQLQQEKESF RLLESKYDELKANSEQFLLNSRDLKSQYEHMLNTLQSIANSY >CAK85261 pep:novel supercontig:GCA_000165425.1:CT868540:317258:318139:1 gene:GSPATT00002661001 transcript:CAK85261 MNNHYEPYVRNKRDLKVPYISCQDYTNLEQASKLIPALKQINQANRNLADTEAYAYILRS NNDDDIHKSIKYGIWTSSKENNEKLNAKFLEAQEQGKSVYLFFSVVRSGQFVGVAKLTSG YKDESFQYWWEIKKWKGHFNVQWLYVKDVPNKHFEHLKNSDNVEVTRSRDGVFLNWDTGK EMMKIFEEFADKKSILNDFTVIDEREQALRQYKYQMQQQRNQQQYYPYYQYQYYDYQQYQ QYPQYQQYQNYQWQQPQK >CAK85262 pep:novel supercontig:GCA_000165425.1:CT868540:318162:320233:1 gene:GSPATT00002662001 transcript:CAK85262 MKMERSFYLNGKPLVQPPLSIDQQLNERLARTGYKIEDINRLNERPVLSIIDLDGLTSGY LNNNQIFQMLKQEIMTWNERVTLHRAQQIELKDPFVYENLYRQLKNSLLEVVSTRNRQQQ LEFLNKVSTWFFRQLPKSQKQQLTQSLIEKNDNTLQYMPTAYNESMYASGIEDYKSRNRS MHPDIDPPEDRVKSYHRKNLLSDTSTRPGTTPGIMGFSQYTRPQTSQTTYKMIDQVDKFS RPMTNQQYSVTKQQSSWMYDTNFPVFETFEEQQKSTRRQIPKEESPLKADKPTDRDQMEG IEQEQDEDEEQSPDKVDASQKNIQSQVDLSPKKKKKKKERADKYVSIAPDRKTYKTDKDT ELAYQIGQNQRLGELQGIFHNYDPTNLEDKMAAARLNQYYQEQRIKELKEQREDIEMVEN MKVWATNKGRIDEIILQSEYLQSMGSQFSNVGIKVSDIYESKKMDLAEEKAYANYLNKTS YHKGRTISSSLPITKVIENTVLPTQVDDEAEDFQSKNAYLEQRINSQRLYFLKRSRGSWL PGGVPNHPSAEKIQNNRSLSSSCFRSVQPSKQFSTVFKSHSIKSDIDQQISEINVLKNRL ASEKRFVPITILQKSLVIPQGHLNPQKVPLPKPGILLANIPDTGKKTRGKKKKSKK >CAK85263 pep:novel supercontig:GCA_000165425.1:CT868540:320890:321390:1 gene:GSPATT00002663001 transcript:CAK85263 MSITSSTKTISSKQKKIFMNNLDESYTSITKPYRFYLNNEQQIINVFIELPPGTELINAI SRVLQVASLNNPLDQNPENYELYIAKKNGQPQTDFPSFQLDLKLEDTERQNFSLVHMTFN EKKTKRKSTFDYTNSYSQSPFKQQKQSKDIDDIKQEKNCILKFLGC >CAK85264 pep:novel supercontig:GCA_000165425.1:CT868540:321944:322832:1 gene:GSPATT00002664001 transcript:CAK85264 MIYFNLNVENSLIFCIDKAEKGISKCIFASMQIQIPEVEVIHIINKASYMRILWREECKV IFCILENDLKFKKKGQIFALDIKIATFDCLLSFSLVFKSYLFPNWLKYFFYKLKSACPLW VKLGLWLASRRYFWIQNYQGIRMIKRRYFTSFDRKYQLIIQINAFEELEKNYCFSYNSSS AQSQIKERISCYQTQTSKYQRQFSDGYKNFIKGCQFFSKTLKIKQRRMAIALEEFKKALF NYTNLTFKKRNLKVFYKFKNNPNVVFLRPMKKMYQQIFQLKHMKMQYL >CAK85265 pep:novel supercontig:GCA_000165425.1:CT868540:323500:325073:-1 gene:GSPATT00002665001 transcript:CAK85265 MNSTNAVLEKTSRTQMQTNDVNSWLRRRHFNKVKQQYLLHPDQIKQEQVIKKIFINFDRD KSKNIDISEMYDMFQKYGFGVTEKQLKDFFRVVDKDKDNALNWDEFKNSVIDADASKIFY NIIKEVRQNSNVESSSNFGYIPFQFNDMISYLNYLISRNELQESIKQNSLTTYERFQQYL QLIQLNQPQNQNYNYQQHNRINEEMKEQTQDELEFTKINSNKFTYSKTSFYNTNDLPLLL KLKSNKKQNVQKDHNMRTSIDSQQTYLSNQFSLQTNPKSDRYISQKMVNNHILILNTSSQ HKMPPSDKYNKSQNIDSNVKSTPYLQLNINKINSSYREHFQTEPNNNNKQDSKNKIDHLP ITLINELQKFQILSGNHKRRHTNFIKTSQNKQGDVNPKKITSLLKTSADFNPHSPSNSKL CTPRFLRDEKCITYRKTTNRPIFQTYSQYNKSEKPLCVTLKGKSLQIK >CAK85266 pep:novel supercontig:GCA_000165425.1:CT868540:325338:326937:-1 gene:GSPATT00002666001 transcript:CAK85266 MSLEDKQQFLVDEIINKGYDSEDFTKYMDKKKENGGQDLDIWQMDELRQAVNDYQKMKNA MMQIVDDDIGFKRKIDCQKLIGTEIGNTNNVQITIDYFDKKDTGFFSLSKSYVNYRITTQ PFQWAVTRRYSDFEWLREILTKQYPGVFVPPIANKTPTRQFSDAYLVKRMKFLEKFLNHL LNSTILKNDKYFCEFLRMQDEKEFKSLQTASEKVQKTTKLDKVVSETGQIEVAFNPQTDN YIKAAGNLMTSLNLDFDVIMKQSKKLLQDFEIISATMFQMGESFEVLTNHINQFNAAVQE PEKVLKFEAVTITLNNMMMIWGRNFQNYMIYIQDNFRNFFKYHDKEIGQLKEHLLLRQQS QAEYQKYKERLDLKKEKFYQLKEFNKWEVSKEVLDELKSNIDNKKYCLSVMLPKETSQQN DLRDTYAYYNLSTYNEIKRVFDQNIDIYAKHFIKFADNQANNLTKMHVTWADIQGNLQGL DLITQHDQKVQIMQQPKPKV >CAK85267 pep:novel supercontig:GCA_000165425.1:CT868540:326977:328200:-1 gene:GSPATT00002667001 transcript:CAK85267 MNKIFLTFVLVSVCLCQEETLIQLENGVSLTNLNKLMTLDYSQLDCQANLLQVSHQLKMW AELFQDEKVIHHEIRLLSTAHKILNQQKFNRQRNSNTVQRAKRVLAALRHNTHKRQHTIY QQWRANEIDQLKHSIQLLQTATNQDESKQCCDRIEQLINKFLDERKHIAKQCGNADVTIN IINDNEGKIQVINKKCHESDPDVKINRVDSFDKVIVGQAQQQKKQVVEEVHEEHHEEHKE EVHEEHKEEVHEEHKEEVHHEEHKEEVHEEHKEEVHHEEHKEEEHHEEHHEQTETVTKET VEQEEEEEEETEEIVIEETVTKTTTHKTEEIPDDDDDVVEGELVSAQGVGASGEGGRT >CAK85268 pep:novel supercontig:GCA_000165425.1:CT868540:328488:329253:1 gene:GSPATT00002668001 transcript:CAK85268 MELFLSKIYTCRYIPYVLTCERPIVYIQLIKHKLNNIIFKILLYKMQQCCCISTQDENEN LSSGLSCDQEECENKEKIENKSKNKISFIVKVKTEICKYWAIEDYCPYGQQCAFAHGQHE IRQKTHVPHNYKTQVCKNYITIGYCCYGERCQFKHPEKKGNQLPCITYQNLLNNMGNTFF KQKLIKNQKRSKGLPYKL >CAK85269 pep:novel supercontig:GCA_000165425.1:CT868540:329257:331599:-1 gene:GSPATT00002669001 transcript:CAK85269 MLSEQQILQIVLAVDGIVLHFQFYITNEKLYLILGLSSVVFHAIIFLIEQMITKQLLKIG LNILKLAQILIVSQMLYQSWMIYAGIQIACIFKFNQKLTRLIIFALFCIHSTSLTFEDMN YLATGIVRNCLCYTLLLSLFKRQSYTIDQLEGIFKQLSSDILIILDDNFIPENEQENFQN IMNDYILRSSDEKSDRIITNREQITVGIQPKLIDERNTLQFKEIFNHLKTTLNNWSDQSY ETQSNHIIAVKKVFINESIISTNHFRCLAMQQDYFFILQKKVKPNFKRLEPQIDNAKENL KILSKVSHDMRTPLNAIINMQLCLKDQIDKALSERYLKPSLNSCRLLLNLVNDILDQAQL QNRKIRLVFKKFNLKKLIDKTISIFDIQKEKKELTIILRYEDNVPQFINSDKNRIRQIIM NLLSNAVKYSMAKGQIVITCEYVKKTQTFKILVTDTGLGIKPENVDKLFQEFARVEDQQN QEKNPNGIGLGLLISNELSKLLSSNNQGISVKSQYEKGATFSFQVLNQKVPDEDLSDSLV SDGAAAQDVSHLPESHFIQQQSCSLKSKEFVMPIVKQPSNESYTGIPTNNNQSIKKYNLR VNSSNLLSSNRLLPFNVECEKSYQRKSTTQSNQIIEQTNSWLDQTSKQPPVLIVDDNEFN IIVLQYILEQLYLTCDSAISGLIALKKCKERALSQYRLIFLDIEMPEMDGLETAKKLLQF DGSLMIIACTGNRQTKEQLETFKQVGMFGAIEKPVTKANLKELLININAQRNEPQFTHYF >CAK85270 pep:novel supercontig:GCA_000165425.1:CT868540:331810:333217:1 gene:GSPATT00002670001 transcript:CAK85270 MQDGQKLQKLSTLPKNFSDWQVGDDYEIIKQIGSGSYGQVVEALQKSTNKKVAIKRLTGI FDDEIDCKRILREITILRELKHQNLIQIIEILDPTDPKFDTIYVVMEYAQSDLKKLFKSP IHLQFLHIQTIIYNICVGLKYLHSAKVLHRDLKPANVLLNEDCTVKICDFGLARSVQGID SSDQALEEEIARQQEEPKKKDEKKGPRMLQKQNKLNAKAVKRELTGHVVTRWYRAPEVIL LEKDYTAAIDVWSVGCIFAELLNMMKENAPTFLDRAPLFPGTSCFPLSPERSAIAKKGGF PYSNTDQLTVIFSVLGTPGEKDMDFVTDKKAIEYLKSFPKKPKVAFADIFPGAPPEALDF LDKCLQFSPKLRITLDLAIEHPMLQKVRDKKKEIVAPGPIILDFEQEGELQIPRLRELFL REISKYKR >CAK85271 pep:novel supercontig:GCA_000165425.1:CT868540:333235:334970:-1 gene:GSPATT00002671001 transcript:CAK85271 MSIKQKLYQTWHRFLQTNFNSYLRSAPTPGKQRLYKHVDIQNEFDKYEKERRKQRLQLIE YKNKLKKRVLEKEIALIKQFESSQIDFVSTNASLENNNISKDVTMDEQRRQSLLKELEDI NNQLTQIDKEKEELKILENDIAVLEPNMVILRGIEKKTVLTMINYIEFQHRHVSGLVLNP ETMKNIETVHYHVMNNLPIQREKLYEVVPELFQEEIGELDEHKQRLIQENRPTLDVQETS VIQIIEKSRIPESDDPIVTLLNEQREMMMFVYNKCVIFCNKYDDWIEMKLKQGFPDIDAI IENLLLRKDLSGIDLEVDEGYKELEKINDDYHNLLELNKIKIRKEQNKLEMPPFVESHEL LRRVWTEKWEAHVKNSLDFSESILIPHNTYESKLDKVLADYKKQDIQDLIEQSNQALKEK LGGDQPVQYYDRKEKDLNLVKQIQILGDVMHQIPSHEEELKELQKKAEQFDKLQIDDKTQ IYKSEEGRILLTELPKVLHLNNKDPKQYNLLFWAEHFNIEPQKLRNIFNFIHFPILDQQN KEEKSKILKFIYQ >CAK85272 pep:novel supercontig:GCA_000165425.1:CT868540:334981:337831:1 gene:GSPATT00002672001 transcript:CAK85272 MKLITALLIISIAFLIPLLDKNNYTYFLYGTLYTIYIEFLVFIWILRYRMLKRINNSKLT IGFKQIASMINHSTNFQSYIPDDSDKLNDSSLSLSAYEPSQVDIIEQVEQTDDLPAAQKS RIHQQADLYLNQIKNYFGSQKLPLIKLDRKGLLQKYQCVTNLTDSLLTYYSNLEKSFELQ NQASLLSKLAKKESLSILDQIDTSQQHKILFQELNNEQQLLLNSFTQIQGRNIIVPHWNT IKQQIKQLNYIFQQQRYQILKVAQPQIQSIQKTYLDEYKNIKKLKNQTQSQYDDVFRKNQ NYEGQKQLLEKDLENNNTQYRELKKNKTNPEKLIKMEMSIKLSTQQLSTIQHKLQSSKYE LKELQVAITKTNQQLNEFKDNWDRQFIVMYQTVIRSLLQTHYDNYEQYLKSFKSILKLQH IQTDSHLSQSQTNAPTQILYRQQRTVSLDETDPPRLMRNEKEELQELIKKFKFYEDQIHL FEKYDGECLDFFVEIGEVIKKITSDEIKVQLVQLKDIWITDLFTKSFPKDKSFYLNLMAQ VKLITNNFVEDRTKLYKEYKETQVSVKERINNITYLFNCILKDSEKFNPIKVDGFTDYQR MKQQFKQNYCQYQQEADQLKKKLSQYENKYKHYIDQHFEIISKTKFQFILSFATLSKCVV NHTMKMIDEFDQMYQSQDQIVKSLLDNQANISFIPKDIQINQTSNLLHNNTTTIPKTSSR SNSQITKQPNDTVNSLKETFVLKQLIRVLFLQWTKSIYFRQKFMTELYRSLNKKRPSQLD EIYVTFIDIGGEPPEVLQFIPQKNTDSCIFDLELSFRGFFYMELETFVTISWAKKHLPVN IKVKLTSLNGRLRLCYTPNFIGRSWVSFVGEPSMNISIEPTISKYNISVANDIIKEFLIY KIKMLTYPNRETITVPLAEFETEIPQSALDKIKQFVDKMKN >CAK85273 pep:novel supercontig:GCA_000165425.1:CT868540:337833:341707:-1 gene:GSPATT00002673001 transcript:CAK85273 MYRQYDFTYSPSKSSNTIDDMEQRLNAVINANEKLTLLLKEKIQENDQLKENVDILYNNN QELSEINIELCDQIKKCKNQRCASLHQKNPIEMEKELQKLKEIIVQKDRELINYQHHFEE ELQLEITSKDYIDKIKGLEDQNQQLIHELDLVTKKLIESQRGSLTNHENNQLALQYESII EDLQQKIEQMNKFLCQKGDDSSQNHNKQFLIQMEQLQVEKITQSLQLEEQKKKINKLIQE LEEKNIMIDQLKKNYSLNTSKNEIMQKQNMLQNKQKYIKIEENVLELSDDIETTIKRKYI SSGKGFMEQKSPRSQISDQDSYIYLYEKLQQDMQIKEKQYNELLVKKQIADNEILKLMNL MKDLEYSNQEKQILIEQYDNEIKSHHRNIRKKESEHKKNIIRQQDDIQIYEDKLNALENL KNEELKIYEQQISQTQNQLKQKELELKKLQDLVKDKNKLQNNLQSLIEEKKEIQQNLEQK DQKEEDLKAKIILLQQQLKTKEIENKNLRDKGQNQNKIYDLESQIIQLKLELEQNLINAE VQAEIIQKNEQQMKMKEFHINKLSDQLKDASNKQKELITERELENQRNYQQIDEQNDLLR KQEYEIKRLQNLISSKPLEKTLNIQSENLVLKEQIDELINQQAKLEQQVMILETQLKGKD QEIIITSQKQILSNAELQTNMRKQEADLNGKLIEKEQIIQGLLKQAQTLKQENEQLNQRI STSSHTIQNLESKYAQLEQKEQQQLESHRQEIQKKIEQIPLSDDGTTLSELNSYRMDELK TFANQLESQNKQDLIQQILDQKIELIQKNDQFNNQVQTIEELKQQIKANEEEIENLGDLL EVKEKQLVELKSQKQQMESLENQLKVKEQNLKKAQEENKELQQQKQLAVQQKKQNETQQQ ESKKLQDTIINQEQLMKAKDENLKKLQDQLRELNKKNEQFSRESNQNKTLKEEVEKLKTA LNQKEEEQKNLQNQIGNQKKQEEQIKKLQQQIEKETKTKKEEIEKLQIELNQSNQELKQT QQLNQNYKKLEDQVKKLQQQLDSQSEKSKKQQYDSEKKEQDLKKQLKETNEQLSEWEQND LSKDQQIEKLNKQIEELKKKDTILQKQGKIVKELQEQLKQSEKVNIELQKEKENKEAEIN CQKENLEQEIKELKEQITKLQKLNGELFIYENIIQVDVRKMQELQKKIECLENCYSQQGS KQNIDTKSQGVTPRKQTRGNSAMRQTEDQISQMSFEQLQLHAKKLESIIKDAGLSNKIN >CAK85274 pep:novel supercontig:GCA_000165425.1:CT868540:341777:342168:-1 gene:GSPATT00002674001 transcript:CAK85274 MNFMNTHSQFNFSRKSLPRPLISRQLTREKSQPSVNQKAPSAKFRISNANYQMNREKIQK ANGNYFSQHDDTGGSTKMSKAHIQQLLKKNEIEFKQSLFDQEDKEEELQFVLLCQTIEKL L >CAK85275 pep:novel supercontig:GCA_000165425.1:CT868540:342288:343388:-1 gene:GSPATT00002675001 transcript:CAK85275 MRATSILLILLISSVLGTRSIYEISQNEYGKTVLQAIQLTLNEEPASFGKTIDLLQELKK GIQNDQDEDSGLLTDRLETCETHISQNSQTISDSQTKLAQAQEQVSPLEQKLLDKRHQAE DKEGEEQRNNDRINKLILSRQESRQEFESKRDELTSIVGALSEAKKIISSIKTDPTALIQ LKNHKENILNQIQKSSMFYTLIHSTLSLIQQGTSQEKVIKIIDDLIDEVYQVQKLEMIAD DQREADFIKTKIRFEFANTRLSTKIAELRADVQQLQQQLLELNNNISIYQDLVSLKTKEN ADWQQSCNDAQNSHNGLTDYRNQQIAIIDECISLLQGHDPEVTSFIQRFV >CAK85276 pep:novel supercontig:GCA_000165425.1:CT868540:343428:343918:1 gene:GSPATT00002676001 transcript:CAK85276 MKILNINKLQNEQKNITQKHLQEFAQESSITFTGLIKQTYDDRKGLKQIIMQFEPIVKQI YYQYQSKIYAEVLGIQMQQIKDSLDDPQVNAREQVITLMIYIEEVLQEIEKHYQIPYNKQ YFEDAFYEITIPEIVQEDAGAINNDGWCQKCTIS >CAK85277 pep:novel supercontig:GCA_000165425.1:CT868540:345142:345601:1 gene:GSPATT00002677001 transcript:CAK85277 MRKKIEKTKKKPWTDYEDSQVMQLVELYGPHKWTFIASKLPGRIGKQCRERQWHNHLNPL IKKSPWDLDEEWILFLYHKAISNKWAEIAKHLEGRTDNAIKNHWNSGMKKRISEFIEKLQ NIKQQFILKGLYLIIL >CAK85278 pep:novel supercontig:GCA_000165425.1:CT868540:345682:346155:1 gene:GSPATT00002678001 transcript:CAK85278 MLVCQQIQRKKLKEPKKLPVLQSRESTALRKQHYNDSRIRTIMKHPRRNRMHKKYLLFKK QQKDSVNSNKQHILQWTQTPQKYENDDYYYTPAAFNKQRRLSHSSLKYSQHQSYLNESFM EREELKQNLFL >CAK85279 pep:novel supercontig:GCA_000165425.1:CT868540:346224:348685:1 gene:GSPATT00002679001 transcript:CAK85279 MFKKVIKFLYSQKIDLHSDILSLKEIQSAIKSVVETHEENFIQQIKVGQFNPQLWLKIKR HYIEPNDAIRIIKALGEQDQAQRNQLLNKTQTTQELIKNDIYNYFIKYFSKKYEKLTFSQ IAIVLEQYAYVKRFDQDFFRIMENEIYDRLSDKNEIIKYQDVEKILWAYQYCHYGSAILY GQLASVIKIAQHEINPLKLAYYSYLYSKCPDNQKGGFGIYAVTEKQVQQRIRDYNFADFI KLAQYIFTPNICSNAFQLVIEEQLAEQFPSNKNLLPHDLVKLCRATMNYYFKYNDRSLQH KIEGACIDLIDKVNDKQFCSIFWSFLKSRKGNAELYNKFSDQLIIRQNLLSIRQLTLLYL NASNNSETFDISKLLNEIIVKKLNADQQQQIKPTYLAYITIASMQSKLQIPIQLTSEHLK QISTPLKVAILQTLQMSLPHLDQIKLSILLIQNLQEIELPYEDQVILHYCEKYFYKFCQQ KQIQIKQTIHKSLFHQALYLDPQNENLHNQLIVLNQQYKDEMRFFFKQDSWVVIAWCLLQ EHLLTKERVIKKELIQEACMGIKELDINTIFHVDKYVESLWMVILIEKLNLDVGIEKISQ FIKVSHYAQTSVLLNNFKDSQLLELKDVLKESLQKQCKDISLKQEFNQSYINMFDQGIGM MLHVTHLIKTKTQNLKFGLIVLDPSDFEIQLNEEKNIQTRLGEQDYNLLKHLRRERQIQR LVYEQLFDWNVEYIYLEEFQSYSREQQLEYLGNVFGLNPLEEEDVEKVSEQKSKKGLNFQ DKLNSLKQQKNRNRYEKTETNDLIENDLNFDQ >CAK85280 pep:novel supercontig:GCA_000165425.1:CT868540:348714:349931:1 gene:GSPATT00002680001 transcript:CAK85280 MHNNQQNPIQPICNTGNPQQFHNPQINFVNNNQLPTFIQQPQNPHFNQLVFQQGLHHIGQ NINQQQLIHQKIHFPLFLKDIEKQDLLTISGIEYKKLKLLGKGSYGSVYMIQNKQTLEFY ALKIQTSIQKEEVEILSRLKQKTFKNLVNTIEFQKHPETNEYFLLMEYCENSLQNIIDQK PIDHKEARYIIKQIANGIKELHEEKIIHRDLKPENILVFVKKDQNSNMTQNIYKICDFGL SSTKDLAKTLQCGTAHYMPPEQIKHQNNLLGYDQSVDIWAFGTVIYELFTQKVMFMKSNR QEVYEEILKITQKQIDEKIQTDLKMLDQQYVKLVQKMILIEPSQRITIDQVLIELKQQST NIATYNVNKENIIKAKKTMQKTEKHFRRKQGEKLELTASTEQFSY >CAK85281 pep:novel supercontig:GCA_000165425.1:CT868540:350637:354163:1 gene:GSPATT00002681001 transcript:CAK85281 MYQQRSTVGQSIFQNDIPTQNINPNDVMMQNMMKMFQSQMISMMQSHSSGFDLEKFYMHK VNDMNQFYNQVKPDECQLQQTSQFINAYNPNKYYEETYTNTNRQLNFDDIPVSNTNNNEF QDYNLQQNEYFNQQKNNNQDQKPRGSQKQVERGYYNQFDDDQNSIRQSSHNFENEDYNRH QSRQFMNSNINQKQSNNNNDQTNNKYNNQRNQNNENNYQKNINRSQYNNEDNQYRKQSNP FDEMPIKPMQQNQFEDNMINHKQLEDISNTIKNEDYNRKNSEIFQEIQRKNSQMEEQPKK KLNYQNSYDAFDEMPIKPTKINVFDEMPIKPTKQNTFDEIPIRPAKQGTLEEIPIRPAKQ NSFDDIPIRPAKQNSFDDIPIKPTKQNVFEEIPIKTAKQNAFDDIAIKPTKKNSFDEIPI KSNYQNQIEDQTNGYSKQNNQVNERAKQNQNAKQQPKYQVEDNQMKTYKNYEEISIKSTK HQNLDTLPERNPFQRQQNENLEQNHEGQVNVKKKPFLKKGTRQFLSNAQQRSDIAKKEHE DIMKENNAIGNPIMQNQNFQAKPQKSSIPSRFEKQEQREVQNKTKRSETPKEQPKPKSQN QQQKQKEHQKQQESQYSPHLSSKEYQFDNNEDWNKEQTTQNQKITSSYFGLKKEVKVEKS NHSESEEDSTKNQVQDKISNLNMEIAKFKSENDKLKKTQGKYEELLKQLQRERDEWEKQK ENEKLQLDEWKEEEKKKMLREKRVFERQAKSNQSIPNRKEKEEIEQLKQQVQKLQEEQKL KDQKNKLAYDRIRKQYEEVNQKNQDLQSELKALELRLLEQKKPSYVQSQTSIQPNKQQQI QIKQNQSVISQKQEVPPQKLRNQSPQSVPDDQSFKEKKSIQDRKNYYLDEDEDDFENENE NENDDYQKNDEEEDDDDNQSDALSEKYEDIMEKSMTQKSKTNISNQQKQKKYDLVSMQDQ DIPFTIKNINNLISEQEFIYDQNRFYLMYKRNKELPSKIVNQNVGSDGKVSRQYANGKKE VVFHNGVKREVFPDGYVIVHFTNKDVKQTLPNGTIIYFFADAHTTQITVHSGPNIYRFSN QQIEIHFADGRKEIRFGDGTEKYISTNGEEQTFFSDGIIQRIDSKKIKQIEYPNGNIDII YPDGQKQRQIKK >CAK85282 pep:novel supercontig:GCA_000165425.1:CT868540:354275:356511:-1 gene:GSPATT00002682001 transcript:CAK85282 MNDLDSGDVANKFSDFYEFEEFLGSGGFGKVVQAKNIKGESVAVKLVPKRECRRSYSEIL AEASILSQMKHPNIVQFKRVHETDTRLLIEMALVKYGQLKRLFTDKIELSYEKKRQFMQT LFKTVAFIHERGVVHRDIKPENLLLEDPNDMTTLKIVDFGLSTRSQLLISGQCGTLIYMA PEFFTSKHYSKPVDIWSCGIILYMVCTNGKHPLYVQGQTAEEYREKLYDHIQNPQWNFPD GFDQLAKNLFLKCVAEDPMHRYNAHQILHHPWITGKVNDPIPLSLPEMYKSFSMRERVTR IIKALMVIRMMSKDYWRCVNTKKIDSSYLEQCNKISQVHQQQQQQQSLLDKSYVKEMMAE HAQIKSRQHNHNHRVETPKYQSILKRLIDPSRNQHDSYLDQPNNSQIADENILNQYNSNN NHQQTEGSQRSVSQQSIQDAQECAESNSGKLIIIKRSNQNKKKTKKIVQFINTKKQNNSS VDLQQRSRSTTANNPKQLMNTLVENKQFESPKKIQLASLTQFQQQQQSQPHLIQPQQSIP FLNTASQLNVLKPLPSNQSPVHRLSSHNGGTTPSLHNILNQNIEQQQQQQNHSQAYGYIR KTSVISQDPKIVEPPRKRSNTSTRKLSVVELDCHDQQKYEQQEKIVHNQFLPRGWCKTLP FQKFLAPKQMQVHPQFIELNALRQQIKIPLPIQLQVPKKK >CAK85283 pep:novel supercontig:GCA_000165425.1:CT868540:356546:358338:-1 gene:GSPATT00002683001 transcript:CAK85283 MRRTTQTKQLSLFDSILSGQAQKEEEEKQMKYSVKNQNDQGIQNTKSLHNLNDIFYSNIM DYKDFKQSLRYNNKNLKKIALENIKNPHPKIIKNLIKFDLSGLVELKLVSIDITDSKFEM FLKKGGLSNCFKKLTILTLNCQSMTSSSLEYLFQGNQIIKYLDLSHTKIDNQGIFYISKL QWPYLETFIIQWCNKINNLNDLGQNAENFPNLKILDSRFVYANNEAYSKVVNSQLSITLN NLRLFGTDVDLEKIQWKQSFLLNLFMEKIRGLNTQEFIIQNNPTCDRTTDNYKQMRVMTK IYKDKDQLMSINLSWKIDPSTVQLQFIETVETKDQQFSNFGKLFEFLSKEWQLRKSLKKM NLNFDKIQCDELNFDILLKTIVDFKEMKQLQLIVQNQMMLKPVSYYFVFNQISELQKLIQ LQLDLQNDRLVINDILLNKIYRSLQQLTNLNVLELNIRNNKIVNVLDLYTFNYQITTSIF NPVLNHITLLETTDDNLNYLFSDAVSLLYSNPFKIININNFQNFILQEDQNNTKERLFEK ITREYQKQKRKLLYSAVIADNSERN >CAK85284 pep:novel supercontig:GCA_000165425.1:CT868540:358484:359349:1 gene:GSPATT00002684001 transcript:CAK85284 MLSILILDKMEEFQINSMFTPQQLGVQFCTQFGLNLTNLHLVTENLIRFIQSASVLPQYS NIAQCYEKYFNVRQKITPFKSSYQLSEFLVENKIKFEKSHSQNNLHSVQKENLQQFQRNQ FINQQPFKQIADNCQTFREKNRFENLYLKGKIKQMERNKSRQKHIDKLDVLDENCTFRPN TRVLQKQNQQSLQIKQEKYYQQIFDCLDNDKDGVISKNCIDISKLNVNDVNNILGVLLKI ENESLELNYNQFIQLIFL >CAK85285 pep:novel supercontig:GCA_000165425.1:CT868540:359495:362952:1 gene:GSPATT00002685001 transcript:CAK85285 MRQGSMEVTEFILVVKEHLLSWQLEISNRDIKLIRQLVNLFEEIDLNGNGKLEWEEFTNY IIEKATVLNNIKSKQDEIKLYTKSNMKPFKKFTQIITRVIYIKEIDKIAFFEEGSDEIQF MTPDGGYGLKPLKIVPISDKVVTTQAKKDKDKQNFIIVKKEDTIEKKTKILTMLYIDDPK YQVLLTSTHDGYVRGWRYQSSGFVLANQPDNDEEMIEHHFNNDIYSMTWDGINEILYCGQ KEGQINIWNLKTDTETQLQSEGGHTDVVMDMIAMPKLQFMASASLDGNLILWNTLYNKRE RTYKEHTRGIVSLAFNESLILLFSAGFDHNLCVWNPYIESLIFKIQGHSSPVIGVVVIEG TSQIVSLDQEGSVKVWDTKKFNCVQQFSVETQDEKHKFNPSSLCYIPKPLKLIFGGRSIY SYEYDKNYNPNSVDDYVAVCCKFIENQMAFFTPAGTKIKIWNALTGDVKKIYSDITTTEI TAFKLDNLDKRFIIGDSSGTVALFNVINGAKIKNLPKHSGEVVAIVHASDIGAFFTGSMD NNVFMTLDNEFGESELLRTFIIQDGQLTYLSYDPAMKHLLAGTNSGQIRFYETDTNKLHG VANEFKQGEEITSINLIKGIPFLFVTNSQGRVSIMSMPPVLHRFVKVYTFTNIDPEIPSQ LLGISNAVFSQEKKVLFLSDDKGFIKCFEVDWLVDFLINVVNEKDKEDAATKRMKGIKTG STQNARQMLALPKVPQKEVKMKWITRAHYEVIKSLEYVEKENFLITTAFDKKVKLWDAET GKFIDSFQQNYDRKEPRPIALKRSGTEEIYNTDLNERVDLKYTQLIQQLLSEEQNGQLPQ IQVLEDKISDPLGLTKSPQEEFNPFYYLEKIDQSKLTTIKSNPEWKLEIGFKEYYEKYEQ KIKTLFDQVKIKEKEVHEKQVKQGIHNRHFKVQNYSTDDAKQFDSNHKPILKEDEGNNNH QQQASLQFNQKLKPEQVLQKHKVQQKDQHQITQGGNQQINFDSITNQGEIVKELKQQQQD LQENLKHGIKVSISTPQIKSIQVPSLGKAATSKKNKKVDPQLFEKLYRQNLKSKVLFNSD PEVFLSEAECNAAYKLAAALANYDITDERSLKFAEIKCRSKIKLPRLQ >CAK85286 pep:novel supercontig:GCA_000165425.1:CT868540:363124:366408:1 gene:GSPATT00002686001 transcript:CAK85286 MIFLIDSKSILDCRTYSIIRPHQFDVVAILEYYKQQLQSAEFKEESKPILFDKLKTLANV LFNSNKSQILRLLQVFTQNSSENQQLFQTFQTSTCSQWFIEQLYIDLVLIIFQQYLLNDQ QFIGVLLKKIMNLYELNLQVQSGQYKSPRFQEHHQSNMNKRTQGKNGKDQIIDQFKVQID DCIRENNYMQVLNDVQYETQYVETNTHPTKTTQKKQSKKQNQGKQKKQNLNQEPNLIQSN PACFNQQGQGSSGLEIQKQQNQINDLICNIISKKSIDSENLTEEYPQQKEQKKKQDTSLT QEDPKKKEEINQVKNDVKKEIQDQNNNLDINTMQIYQLPENEQENIVIQQYTTLEGVYEL LEDQYSFDIWWPVFKAQCVQCKNKDEFFKVLQQKAPILMEKWSKTTENSDSEIIERFEEI DLKKQDNEQQNDCLILKEQDRNNILEKSANLENNNKNICTNIVSQQHENIDKLKINQQIQ SQGNQTESNHLQSSQQFINQEFIQENRFSQDFSDGGLFNLNQQQNFENQNFSDLFVLSPS KKSSANYCSDEENFVLNKGNEEENNSNLSQSDSVILDEKQTKKSLITNLEQFYKSKLVEN QPQHIFPELEYMGDTQKMVEKLLSYLDLENLDIQIEDFLAQPPISPSKNLQEFVLATYNI IKHITIHKYSTIIEVKQVEKEYLGSVIICQVNNNNKEIKKYKGPCLDIVNIITRLIAIKK LNNKFFSEGLESTSLFQSVMSIIKRLVPGKGVFKEIKEKYKKIKSPHDKHLDKIVLIKAQ KSIPLVSTNQQNSQPDKSENKKYDLNKQPAAKRRNYDKRGQRDGQLQNRNTETNFVKNKK AKYNQTQNQNESNTIFQQHQNNYEKQDYSFDQSPYSYNQQNQSQYQSYLQNQYQQPSLQK KSYNYQNQLCQQGYQGTSKNQQQEYNFYQQSAQNQYAYNTPYHPNHLQQFQYQAQYQYDN QNDMHMQAYPYFLKKSQTMQKGNFKLEKQSRQQLQTQQSYSHNRQSNQYEQFHQNQQMTQ SYEGYAKQKQRRYDYQHQSASQSPVYQNQGQFNQENQYLNQPSYYQMQPQNNQRPIDCQI IQKMVTKLMGDRMH >CAK85287 pep:novel supercontig:GCA_000165425.1:CT868540:366447:367936:-1 gene:GSPATT00002687001 transcript:CAK85287 MNKILRGFSTVKSMKYIKDKQPILKISELPSGIKIFTEQTAFPFASDIGICFKAGLRNEL PSETGSLFSLNQHMYHISENDCLENLQIYQLFSEQTLRTGCMLDQTYDSELSYWKCQFIQ EDFDMIVDVLLKLALTTKRIAKETRDQFSQMNIPQERMTVDEVIKRAAFGTHPLTNAKTS AQILPKQEDFSRFQEQMLTSQNMIIGYAGVWSHEQFREYIEKKLVNHQQFFQRQLRKQTS PEFHSQVFALNDEKNDTLDIALLFKGSKWSDKNMPTQHILNQILGSSSSWSTGGPGKGMR SRTTLNLMQSIQSVEEAAGVSQVFSDAGIFGLRVQGPPSSKQELYECLIDEFRQLGQPMS DEEFQRGKNIAITLINLNLERQADRLEEQIKTTFLMGQNAVPLYESLIENVTKEQLQDYV KNFINNSEPTLMYYGKNVKDIPTMQQIKKNLRK >CAK85288 pep:novel supercontig:GCA_000165425.1:CT868540:367975:371351:-1 gene:GSPATT00002688001 transcript:CAK85288 MSSFRPQSSQIISVRSPSRVVPPVVNMGTSYVIPAAQNLPTTVIVKEKNPGSYNYDKEVD ESARDELEQWKKKYFSLEARLAKYEIDNEIKDELQSRILGSQEDVKKFQIDFTKAQADLD NQKSEMNKLIIENQKLLNQQVEVERLKKLVAEREDTISQLLQKCKEYQEQFQELEAFQQA LQDMHNQNQGKELMIETWKQKYIENDNKKQKEIDELKSKLAEFNPAEVQNLKDQFQRERQ ESQNQLKKQQSDLAAALKQLDQWKIKYNNLDLQHQQLSSAQKESIARVETLTQEQNKLST QIHQLNEDKQKLQIELEQTKGQLKLHQGLIGELDRIKKLLSDKSAELQQMLQQSQQKDID LNNAELTISELNQKIKSLSLLQQENQRLQHEIDESHRENEKLAQENSQLLKDIDKFKLLE QEKQQLESKVSMLASEIERLKVQLKQKNEKILEQQEDLKNLQEQLGEIEQLENQNQQLLK ELEQKDKIIEELEQKLQELNVLEQKLADANNKIYDLENKVAMLSAESQRLRYLNDQKTEQ LKNAEEQLSDLNILKEKLSQLQNKYDAQQQVNQNYQDELEKLRGQSNQANTNIAELKRQL EEQKAQDIIHKQSNSESVIAELQQQLSSLQQSYKKVSESNLANEEDPTLDLQNRLTLLKQ ENQRLNQTIQQKNQEVLNHQQQNQNLQQELLELDSLKVQIKELHESNTVLQEQLQNEAKG RQELEQRIQQTESEKYDLQSKCAMLSTHIEGMKYKLDKMENVDDLKKRIQELEGQLAEMQ ALELEIESLKDRIAELEKELKLWKQKHESLDQSYQQLQMTKEQMENKLAMLSSEIERLKV LNKKKQDEIDQQNQELIKLDQEMNDLHNQLEDINELKTQLGSLENQLQQQIDDNQDKLNE ITHLKQQVAEIEGLLVNQEDLQNQIKKLETESQSKDEIIDQFKQKLTQLESKIAELEDIK YKYEDKMALLSSEVKRYEFKAKKLEDKSNELTTQVDHLTADLNEADQKVIELEKDLGQWK FEYSKLNSLQYKIDEYSFLLVVSFAEIEALRSQVTTLNEQLDGHQKTKVALALAQ >CAK85289 pep:novel supercontig:GCA_000165425.1:CT868540:371402:372957:-1 gene:GSPATT00002689001 transcript:CAK85289 MRKNKPTQQIVVNHDNSPFKTNLDYDETEQLPVIKSKSVRKPSKKNFEIKKIRVKVVTSE KKLVINIKQSKLNPLQKEESPPQSETRQSQSQVDLKPKEDNNRSVSIIKRSTHQKIEIVE NLEDNLDSYVKLYYSIINLIDAMRKDVSELVDSINRFQEVIDGVHFQALENLLIDNSKKF RQILILIKTGIIVVVNCFFDQHVFSTNNVNFRNLLIYNLQNYLFLGEFIIQKTQKQELVT IIMQNKKYFGSKKIESSTQIRQNLELLQGLYKTLTKQSKDLYQFLNSYFRLIPNLKIVES NQMLQNFTSLYFTIQQGYHGLLGIPLISVAHKPYLPNEATHDFTLILDMDETLIHYVEQT KSFLVRPFAEQFLQEMSKYYEIAVFTAGLPDYANWVLDQAAFNKYIQFRLYRQHAMQYQK HFVKDLSRLGRKMAKCIIIDNIEANYQHQEENGIQIKTWYNDPDDKELLKLSVFLRKIAE DNCDDVRDALRQYKNAQVN >CAK85290 pep:novel supercontig:GCA_000165425.1:CT868540:372983:374312:-1 gene:GSPATT00002690001 transcript:CAK85290 MSSFDTKLNFLQQEIKILTEENKELRQLIQMNKEILKIYRGQTSTNTLPNGPLITLEVCK TESYEGTDTQNMLSHLIEENCKLFSFNEDLIKQRDDLRAQNLLLQQIGMENSQRILDIQS EKQIKLIDLQNQLLVKDNQIVELNEQLQGLLQKKRMRTKIPISIQNEYLSFQNQLEAMYK MLIKYYEENRIQKQENKKLQMIIDIVKNDKTEDNNKQLNEQSPQLNESSFVDFLPPQIST TQNHQIPIPKLNLGKAQKIQQLTVEKQLEQEEQQIQLDKVLQMDQKLFITSKSSTPQNKN GYYINPNKLLIQLTSFADQNKTLQKELNQCKTKLQDELLLNKALEIQLEDMNRYVSELES TNELLIKSQIALENNFQKLKQFIIQGKKEQQLIKHKYNVSLQNFSQIIQQTKKRCNSEY >CAK85291 pep:novel supercontig:GCA_000165425.1:CT868540:374598:375873:1 gene:GSPATT00002691001 transcript:CAK85291 METQEKYFYYTWRDKPKQSQLCQNDKKVNVLCQISESLLQSQQTQSDQKHLAFWQLKRKN SIVEQNSQSQINTTRIQTRRNSVVTGQESQIKTSRKTHQTNKSQIENELTKKKKIRGSYI DEQSRRRLSRFLSNYEICNILHKRSLSRQDNQIYVEDIDKEMVTAQIKSIQNQQIRFQFH QSNKQIIQEKKYDNQINDMINMKQSTFKSVFQQIQRLTNEQRKKRVPVTQHKMLKSRTKL INCSHKIRERFQKILKQVLFVAKYKCRVDFWFEYPIKYNNQFYESIIEGNQDGIILILSK DPYLIHSRNKDGQTPLHIACICNNALLVKLFIKNGSNIEALDNKQLSPLYYSFKSNSNDC FKILLNSKAKPWSPPGGKLDQYQMNVVQKNLLMHARLIYIFTIWKIKK >CAK85292 pep:novel supercontig:GCA_000165425.1:CT868540:375887:378058:1 gene:GSPATT00002692001 transcript:CAK85292 MNFIKGIFNKVVGTQQPLVEQEIFDFVILGTLFKEDEAKYTKLSSNTEFVFDKLSNDEFD HILIVRLQRASKPYNNAISSKEGDYYFNISFFKSICHSSLSDQVEEFSLDLVDIQGIKWN IRMHFLKNTKSDNLKIQNFKLFLSKLIWIQKNKKALPKNSAELNDVIPAKQSSKLEMHNL VQQKQMKKTLKKVDRSILSQPTTRTRQEKESIGDVINYFLQQDIDSIKQIYTGKLYVLYP ELAEIRLINPLVVMALNIISKTECRMELYNQDRQLLLIQSLKDFISFEVDKDENYLSWVY FDGYNSIVIYFKFDVLDGATSMAFILQRSRINMKQKKNLQLDTSCSSISTATTININETQ VQQIQQKKQKKYLYKDNLNTIKEGLKITKTKKIIHSENDNLIILEDKTISFIKNNKHIQI NQQIKFDKSCLGHNSQKLILYQQFSQELQLINLGTETQEIVKLNFQIVDACSYEKQFILL SNSQVYVLNEDKTIQKINSIDQNLKDNYAIVRSSINGSIVIGSQQGFVFVFDNIKTMKQV NTFEGLGDPISDILLSADERFIIINNSQYIQFQQVITNLQNGYQQRLELKPFPIRVQLNP EDLILMGIFDYPNFQHVRIDNNNKVIAAQIENLQVIWNIEQIINQSHNSYQIFILPEETF DQQFRDEDLLILSESNIYVRECD >CAK85293 pep:novel supercontig:GCA_000165425.1:CT868540:378124:380139:-1 gene:GSPATT00002693001 transcript:CAK85293 MLSVLPDKIGKAFGNSSLSIYSSRNNKLAELINSKYTEDLVEAIRILYCQYLQNKDLTLY MSRIINLLPREDLELKRLCQILISEFAAQPNARTSKSQGDVLILATAPIFKDLTNQNTSI KLNALKTLSSLRIQELTPMLFTAIRKIQADKNGLVRRSVALSILKMHDMCSEDVQPDDYN DVINFLLKDTHQTRLQAIYVIFKITGDLSILHKYYFQLIKDLLNFNSFDLERALQLLYQY SVMYFKEGDKDLIKLLDACEILLKLPYPGIVQANQELRNLSEPLLNFQIQDLNPNLQSFK CYINLHSHIHNMQKTHYRALTILQIEKLYCKLLKLEILSLLIDENNFNNILEELLYQARS NQPQLVAVAVKLLSKTTIKFPKFIKRTIKSLLDILKTGSSDTKNHVIASFCNLISTQESK LPLLAICLQNIDDISDIRYKATVIKLLRQEIDQIPTIAAESLRKLSLTFSQQHENVKYEI LLLSHQIQAIHQEQHIELLHQYIMTLAKFDSSLLVRDLFRTLSKSIEFKETLVLEEECVA PTLELHKLPIGSLSHLVGIHLPGLKFPTYLNEDTSEKRKVEIIVPPQKVEQPPQQQQQKQ QQIQKQQSQPSQVESKKEVLKRVEEDVKKHYQNLDQVLNDFFTD >CAK85294 pep:novel supercontig:GCA_000165425.1:CT868540:380734:381399:-1 gene:GSPATT00002694001 transcript:CAK85294 MNSKHPVELLKKKIEPEAIMYKFRWSNNSVSIEPMTQLTPEMLVLVHDYELKQLGHDPQE PKPQKHIKQDTQIINQNNNGKKLEEQKKEKRSNDKVDKVEKTEKIIEKPQEKISDKPKQQ QIQKDVDNVLNNNTGNGPHKPRKSKPCQVKQVRHDNGRVDFLVCFEDSTDQKWISLDEMK EKAPVAVCEFLLGKVKYGGTMNKK >CAK85295 pep:novel supercontig:GCA_000165425.1:CT868540:381653:382585:1 gene:GSPATT00002695001 transcript:CAK85295 MISKIIIRTWGGNGRQQMISIFGGMDADPRGENFFDMLKKSFCPRLKLISFTTIVSALII ILYITMLGVGGINKHDRFLSVYEKTLNDFGGNDPDDVKSNYEIFRWVTSLLLVGDFYNLI LAIFMILICYSILEATQGLNLTLIVFFGAGACGALFGDLCNICKYRTYSETFSCIYACVG FLIGYIILYWKKLDSLGEMKCAVVCFVAIVVIFVMIFTFGSGQNNFYDNFGELGGFLGGL FIAMSLVQVPQSGEFEGICRKVGYGFLGVQVGLSIILLYSLSCS >CAK85296 pep:novel supercontig:GCA_000165425.1:CT868540:382596:384648:1 gene:GSPATT00002696001 transcript:CAK85296 MNNLPFNKWTLGFINKQYEDLYETEMNKYRQSYFRIIEVIILILCILFFIFSLLEGRQII ISVILAVSSIAIFLALIYGQRFASKVRYYYFLMYVTSLATSLYVASQTIQKSPFLYGYSS ATIAIIQFLFSHFKLRLFTLLIVPIVQLYILDVLTLEDFGYIVLVFMTQLLIVLYSHYNE FMFRLAFSYMLYNLKLKDISEEYIPHSFLALSLNVRNNQFQLEFQNQIGRTNLNVEDSKT LIEMMRNTYVVPKGQIPTSVDLQNINIQRSGDLSMRRLTKKQTLEEFAFYKIKQNLSVDE QQSKDEAEEMEGVFYNQVKEKNVIVSIDIKNLSFGKNYLLLVVKEEKQPQMMSKSEEQIR FLSKIIQFASNQLSASHQHLYKEITGLKLGQIDNNKIWQIKCINLSIMSHYQNFYFFVNY TQINNFLAAYNQINLKYFLINLQQHFSYMCMKMKKQFLYELKLDDFMIKVNSKFLSQIVF NIFEQCLKQSDSNSTINLSVKSELNLNPLQNNIVEKKCLQELDFIEKVAEESQESPTKIE FQKLVKFEFTFLSSTQVELQQETSLILNPQTYEDYHFNNNQEFQLNHPITNFLLKKIGPY NSIQYSQNVYCDHQCQQAVIVFPSMMDLIQQQTLYQNKISFYIYSDQTQLTQSFIKYAQQ KSFLQP >CAK85297 pep:novel supercontig:GCA_000165425.1:CT868540:384649:388107:-1 gene:GSPATT00002697001 transcript:CAK85297 MASQLEENLLVGSTFSDFGMTAKELSILFTPENVRDGSSLVQLGRHGKIEGLMMKLRTDP KKGLDSSNINDMELRVKNFGDNKPEIKEPKALLEYILENFEDPMLRILCLAAAVNLIIGV WTEGWKEGWMDGMAIFIAVIIIVSVTAGNNYVKDQQFRKLNAIAENRNVNVKRGGKIVST NIYELVVGDIMIVDTGEKLPVDGVVIESSDLKADESSITGETNPIKKNVPVIYEQQDKAN PFLISGSSIIEGTGEILILAVGENSQWGISKKLMTQQTKDDKTPLQEKLGILADQIGEYG LKAAVITFIAMTLHLLYDAVFNEYPLFSAHAVKEILNFFIVSVTIIVVAVPEGLPLAVTI ALAYSVGKMKDEKNLVRFLSACETMGGANNICSDKTGTLTENKMTVTNLYVEDTDFSKLD PKAIKNSTLELLCEGICLNSMAHPQIDESGKFEHIGNKTECALLEMCYKFGYDFRQIRQN MGEKIKKKFPFSSEKKKMTIILDPKGDKTQFKIYTKGAPDMLLDKCSHYINAEGKATVIT NDYKQKINSIIKNYASQSLRSILLLYRETMIQGRPQKPEEFNNVEDLIDKSYTIIGVTGL QDPLKEGIIKAVQQCKEAGVTVRMVTGDNFDTAVAISKKAGILPPNYEHHEDSLAVMEGK TFRQMVEGLEYEKDEKGNEIPKVKNLQNFTTIAQELKVLARSSPEDKFLLVTGLKQLENV VAVTGDGTNDAPALKKADVGFAMGIQGTEVAKEAAGIILLDDNFASIVTAMKWGRNIFDC IRKFLVFQVTVNVVAVTMAFLGGVFLKESPLTSIQMLWVNLIMDTLASLALATEPPTDEL LTRKPYGRKEHMITPGMWRSIICQAAFQLFVLLIILFRGDSIFGIESSRGHRLDEEYNPV YQEHYTIFFHIFVFLQVFNEINARKLKKTELNVFDGFFNNYLFIGVIVGTIVVQILIVQL GGKAIKVTPLDFGHHVACIIIGMCSLGVGYCIKQIPDQYFQSIELFKEQVAPEANPETIQ GKMKRPSTFLRKKRAIENKQPLKGSQEIELKAGTSGFKQ >CAK85298 pep:novel supercontig:GCA_000165425.1:CT868540:389328:391872:1 gene:GSPATT00002698001 transcript:CAK85298 MGCIGSTSRNLQQPTFSAILTEIFELVPREESLKEFNKKSEIMKYFNEFDWAKIQPQFKL EVKTLIDHIESYKIRLIDSALYVESKIKLSQNPIIIKMCMHILQELILNLDKFIQLKEIP VQNQLLEESKIEISQRSIQIEHIQTLTQVSDNFLKFELAFSNIISQLINDKMTYAMQEQH NFLRVWWSQFLETLESFSNKKGNKQFQSENIQCILTFLEYIIGTKLGYSDQFEWVTKTNE EMSAVQELLDLISDPFIVMSVYRNAFLRIPQERIFVTKSQKALFNILMKDHKKFATQPIW HKLTETFLGRALNQQLYEELFQMLFNNFDEDYWKNPSDIFDMTFIVLEVAKEINQLSNTE LFIFTKLVDYLDTPNDKIIFDSPSGPPLLKKTSEYKNFHVTSNVKNSIIKLLISLFNSIE TNQFILFQQTQTIKAVLNNLRSNPELETFTDTKLCLIALLKQISSDTNSVQSFIQQILTF TYNYNLNLINNTAINVDILINLLMILQECILKIEAFNSTIIITEEMIVMLIEIAEISHQI SLKCAQILTTLFLQTGKINSNENNEINSYLVPNSNIIGLSAFFLSNSVLLSYDEPTDART LINQFFDFIPGRLTNQQIVSIIAYLIDDTQIKQGSNKLSKSNRKVNFDQYKDKELRLCMI IKLISFMDEEVSKEYNELLYSFLGAQFQVYKKKGFGAYQDLQNLLKKSNINTEIQEILSK CTSISPIDFEKIKKQFKENLSNQNNQNGIINLDDIQVKEDIERGSILNQSFQAPSHIDVQ LDESFEQQLQTIKKQKMKQEKLEHLTTEQRELMEY >CAK85299 pep:novel supercontig:GCA_000165425.1:CT868540:392602:394328:-1 gene:GSPATT00002699001 transcript:CAK85299 MNSLATLKFVNIENNDLQSHFPIDPNADIILVLNGKETFQLQIRLLKKRSQYFETQLHNS DSKFKVIPGKHDGVVFQKILNCFYGYQYHIYKAEEFYSAYKISHFLQINNFITTLQDSLY ENFQRIDIHIVLTLAELYEIESLREKYIDYLDENPQLWKIILPIQKLEFYRQNYYSICCT KQNDDIPINVLHLKEDILIKLLSFHNEQKNKKSFPEKFLTPDDILKIIQFQTQEERQENT IKIVNSIYKVEDIYSDNDARRLQTFMGKSYSSSVNSSSCSDRSSKLIIEQQRDIEELKMK LQCSIKENNELQLKSKMLEERIENLQQLIENQKKTSINASNLNNSNSQDIESSQFVLQQV AESIPLESSSIDQSDNTFFKSQLHFNSTPQTVFTLSELSYLGEILNAKIYQQIKFKLLYR GTENNFYLASEEQLTSIKKATSILILIRSVDNDSVFGIFNQNSRIQIINFQSKKRVDYKK ELKLAINSNYLLKLGNDIEIFVDCCNKKNNICNNINGLGCLAGKTEFQVDELEIYECSIH NEIV >CAK85300 pep:novel supercontig:GCA_000165425.1:CT868540:394342:399764:-1 gene:GSPATT00002700001 transcript:CAK85300 MSLVLFDDAIKHLLRISRLIKQPRSNALLVGVGGSGKQSLTRLAADILKNVCYQIILTKN FSEKDLKEEIKKLFDWAGHLGKQVTFILTDSEVKKEEFLEYINMILSTGEVAGLLAKDEK EVWLADVRNDYVKEKQLGNIDPPQSDLYAYLVDRIRDNLHIILCFSPVGQKFRDRSRKFP ALFNECTIDWFLPWPEAALVSVAETFIKNFKELDTTNDIKEELMKHMGNVHIMVNSVCEL YFQKMRRQVYVTPKSYLSYLNSYKELYLKKYAELDLQENSYKIGLNKINEAAKQIEKMGV SLREEEAQLKEASEKTEKLLEDLEKESKKANQKNDEVEATTAQCMKQAQMIASEKQAAEK DLAAAMPALIRAQEAVDSLDKKDIDEMKAMKTLMDIMKAIIDSIVVYFMGKLLPVQSFTV KISKVDYQFLKDSFDEGGKSVLFDMNFLNKLKGFEKDGINEETIELLQPYMSQEWFSEEK AQSASKAAQGLLKWVKAIYEYHEKSKIVKPKKVYLQIQEGRLEVARKELAKAERDLNEIK EYLAKLKETFNKQMEEKSFLEQKSNKTKKRITTARQLIESLSDEKARWGQGATEIADQKR KLIGNCSLATSFISYCGPFNAEYRQLLAGDYFVNDQKRRGVPVTPGLNLTQFLVDDATVG EWNLQGLPKDELSIQNGIMVTNSTRYPLLIDPQGQGNYWIRQKFADKIEPFRCITTLNHP KFKDNFLKPCMQDGLCLIIENIENEVDPMLDPVLEKQIQVKAKKNKYIEVGGTQMDFDDG FKLFMFCRLANPTFSPELSAKTTIIDFTVTQGGLEQQLLGKVISKEQKALEDSLNQLLAD VNQNKKDLQRLDKNLLERLTQSSGNLLEDEGLIEVLGSTKTQAKEVSVKLLDAEVKTREI NEKREQYRPVAIRGSALYFTILEVSLINWMYNSSLEQFLKLFNDSIDQSERNTLPSKRVD NIIKYLTFYVYKYVNRGLFEKDKISFILMMCFKVKQTDKKINAGDVSQFLKSGAALDAKA EKQKPFQFLDEKQWLNILALSRHHFNGDQMAFFRDLPESISRNEQQWRQWNDRNDPENSP IPDFAERIAADKEIGPFISLCLVRSLKEDRTLVAATHFINATLGKEFTAPISYPIDSIWA ESSKTDPVLFLLSAGADPTSSIDDLSRKKRKVFCEKVSMGEGQEEAARRVIKNGFETGMW VILQNCHLGLKFMEEIETIVNPEATINDDFRLWITCEQHPRFPLGLLQKTIKVTNEPPKG LKAGLYKTFTTIITQEFLEKVEHPNWRSLIYTICFLHSIVIERRKFGPLGWCVPYEFNNP DLEASLAFIEKYLNSFLSGPPSQSPNLNLNMNVIRYMICEVQYGGRITDDLDRELFNAYG EDYLKEAIFGNEYVIAEAPFDAGGGTKPTKFQYKIPATTQMPELIKYHDVIKQLPDIDNP EVFGLHVNADITFRKKESTEMIITIMDTRPKDSGGGGGKTREEIVQDKARELLAKLPSDY VDLEVREQVRKLAGPKNLPDKGLTVPLNIFLYQEIQRMQIVIAICRKTLSDVIDAIDGQI IMTPDILDAINSMYDAKVPLTWVYDATGVEISWILPTLGAWFSSLIDRNKQLNDWLKSNR PNHFWLGGFFNPQGFLTAVKQEVTRMHKGKPGDKDAWSLDDVVQSTQVKEREYEQIRDIQ SEGVYVSGLSLEGCKWSRNGLDESEPKKMFAPLPILYVTAINKKKGADAEKNSSTYSCPV YKYPRRTDKYLICRVGLPCEGTGAHKWKLRGVALLCSTE >CAK85301 pep:novel supercontig:GCA_000165425.1:CT868540:399850:405893:-1 gene:GSPATT00002701001 transcript:CAK85301 MISLKIELKTIQKTLVKYCCKPLTLDQFVNLQEEYIKTKTDYLMSKNLEVERAVDDLLSV IREYKLDPHVDYADPTETIRIKRYYFWYLYQALLNSTQNSLNAMKYRVCGKKGPGQSSTQ NLKPFFEVDVQLFNNQVRLNPSLDEIQKAINRAATAVLRCSKDLYSWNLQQKESKGSFYE MIAQDKEIVKVILLLTGSIQGTRNKVHDFLSSFKKFSWLWTENIQDNINSFSKKNPTLQD YEDALKKFTSIEEEIDKIEASHKIGAMELKTGNLCSGLKVHAKDWKNFYCQDLHKRARQL LDQLTDLTNQYSTKLSKEVKDIDSLGYVMECLEDIRKIQAEIDLKFNPVQDMYALLDIYL PGGISDKDEMDQRSMLRRNWDQLISQAEIKGKELQQKQKNYLKQLKKSIKEFIQEVNDFR KDYELNGPMVENITPKDAMERLRRFEDEFSVKQKFYQINKKGEDLFGLQNQSYPALKKTQ DELTNLKKLYSLFSEVIETINRWKEESWADVSVESLNQMEENFTKYTAQCGTLPRDLREW QAYKELKLELDNLNKILPIIKELKKPSIKPRHWQKIVETTGKQLNYENPDNFFISDIINC ELLAHEDDIVDITDSADKQIKIETSLKDIVDRWSTQEFKFSIWGKRDVPCMLNGLSVQEI TETLEEDQMTLSSLNAQRYVAPFKVEVEIKIREFSDVSETLDMWIKVQKLWTALEPVFTG GDIARQMPLQAKQFAAIDKNWMKIMEKAFESKKVIISCQNDMLKDFLPDLQKKLEDCQKM LEAYLEGKRKKFPRFYFVANQDLLKILSQGSDPKSIQEDFEKLFDAITKVTFGKNTEKKG STELVIQQIMQSAGKDEEIVDLNQNVKCEGNIEGWLKTLELQMQSTLRDITRTACSACFT MGLKDFCKAYCSQIALLGIQVIWTQKITEALEKTGRQENKNIMETKRSEIRDMMAVLSAM CLEGYKSNLERTRVETLVTIHVHQRDIAQELKCKDINDFDWQKQTRLYWKQDQDTCVISI TDWDQGYAYEFLGSKERLCITPLTDRCYITLAQAMSMYYGGAPAGPAGTGKTETVKDLGR TLGVFVVVTNCSDQHRYRDMAKIFKGLCQSGLWGCFDEFNRIDLEVLSVVAMQVEAITTA KKQHLKEFMFPEEVAPIELQQQVGYFITMNPGYAGRQELPENLKALFRGVSMMVPDREII IKVKLASVGYNSIDALAKKFNVLYRLCEEQLSKQRHYDFGLRNILSVLRTAGNTKREELK SDEEMLLMRSLRDMNLSKLVADDIALFNGLLQDIFPKQSDIKKKEYPDVEKMIPQVIQRK GGLINNDKFQLKIIQLYETALVRHGFMLVGPSGSGKTTIMAVLTDSLTDLGLPHRITRLN PKAITAQEMYGVKSEISDDWIPGIFSTIWQKSNSRNNKHTTWITCDGPVDAIWIENLNTV LDDNKILTLANGQRIPMTENCKLVFEVENLNNASPATVSRCGQVYISPTDLGYEPVWKGW ILQRKLDARVDESEKLNVLLNKYFNTHLILETIDKTCKNPVMDISYVLRVYQTLNLLNGI LRPLVNANKTLTEQDYEKVVVFALTWGVGGIYEVQDRILFHEFFAQKGAPIPYKAKDGET VFDYYIYLDERGTCEWKLISPEEWKAPDKFQFSQLLMPTVDSFRAEMILSYILNQPKASP QPPVSCSYVILVGGSGTAKTSSVLMYANKFNKEKMLFKRINFSSATLPSHFQAAIEAECD FKIGKDFAPPQNKNMTVFIDDLSMPFVNKWGDQVTLEIVRQLIDQGGFYMLDKAQRGNFR GIKNLTYIGAMQHPGGGRNDIPNRLKRQAVIFNMILPLSVEGIYGPIIKHQFKAKYFSAD VNRAIDTLTGATIQIWNKVKATMLPTPAKFHYVFNMRDLSRVFKGILSVRKETINTAAQV GPMKSDVFLVGLWMHECERVFVDKMTNQKDKDQVCNYIKDIALDLYNHLDSEIQDKYSKE KLFLMCDFLKEDIKNEDGLVEVEAEKI >CAK85302 pep:novel supercontig:GCA_000165425.1:CT868540:405956:408451:-1 gene:GSPATT00002702001 transcript:CAK85302 MYQIPQIPEYLQPDVFEDLIFQYFIQLLFDISYMLNSKRARWIFQTIADSFRLKDGQAVQ DLLKDKGKLEKFLEGTGPSKIIIYYQIQDNQQADDMKENQNEPSLFIQFGDQEKIKDKAI WFLRMQVFIFICHSFIILIFKNQIFMNFIQDSRGKERFIWGEVTPSSISQLHTVMENVYY PLIINMMDEKEWGQCDEESLKEFHTHTKKFSQEVSEAVKLMRPGQELFKFDPEEVQKYVN SNNEQERNQFYERKFAQWIAIINNKLAEDQNPKKDSPDAGPEVELNYWKTRMQEITNWSE QLKGKDFVTVKTTLQRSKQHDKSSNRGEENINKLIMEYSRLDLLLTDKLNEAKDNVKYLS TLEKFLDPLYKGTPQQIIDTLPALMNAIKMIHTIARFYNTNDKMTGLFVKITNQMIKNCK ERILNGGKNQEIWNRDPSELNEVLRQCIQLNREYKDSYKETKEKVADMPKGKTFDFPETQ IFGKFDTFVRRLVKLIDIFQNIQQFQALAKHNLEGMEQLTAKFNQIIKDFKAKNHDLLDT NSNKFDRDWVEFNVEISHLDMQLQSFIDNNFNRLRTIEYSLKLLKKFEQTIKRDQLKNSL VSKYNTILHNYATELDKIQRIFTDQKSSPPIVRNIPPEAGKIIWARHLFLKITGPITKFP ENAIDQGELKKYYGGYNTLGKQLTIYEMWYYQNWSNEIERSKAALQATLIVRHDENKKLY VNFDIEIMQLIREAKVLDRQGIEIPESARIILLQEDKFKMYYNELLYVLKEYERIISKIK PICKTLIGPHVEDLELKFETWYGYIDLDINEY >CAK85303 pep:novel supercontig:GCA_000165425.1:CT868540:409836:410768:1 gene:GSPATT00002703001 transcript:CAK85303 MDIVRWGLYGNIYSETYEHFSDGGPKCYQDMTNTFRISISTISAIICILVMKKCWAKIKV SQELTRAMGKKPSIFEYLVGLACWGTFAVQTGYKLYTGRGVFMNNPCHIVLLMQGYVLLT KKHKLSALIFICQLRWLYGVFAALKFPVVTGLELPLEVEFFWIEHLLGAFAGPLALTLSG RFFFFEWKTFFVHQMFGWDSHVLYQRIYMLPMSLMTWANLNFMLCRPDHDPFVPYIGNWY YVFSEIYLNLVSIIAVVIVFTLTWVLRKIIRYKEKQD >CAK85304 pep:novel supercontig:GCA_000165425.1:CT868540:410800:413955:-1 gene:GSPATT00002704001 transcript:CAK85304 MEECYKLIERQNYAEALKHLTQILKREINNPQLETIFLIQHILSQSQRYKNLQIKILEIV KQEEIEEDIDDDKYFNLVLDLINLYIDQNNIQQAKNWSKQLKKYAQGIKYNEALLYLIDN LDSMLACKQKSIDLKEQLETYERLSELEKKTKYFEHDGMPAYLIPTRWFNKWKSYVTDTP IELPNENLNMEKEVEALENLFNVSSDLDIEEQINAPGPINGFSLIQAQSALELDPFGPKS YTNYILKENIREGADFILVGHKIFEYFKNIYGGFEIKRVIVEHSQTHQKYVDLVPRMIQF IILPNEEKHRSRLITANSSDLVLDLCKKVSRVLKLNNEVRWWKFNDFQSQEDFIKKLQKR ELYKQVSAKVLEKTMMIDEINFTSSDLFICEVRYGRDWQISEIDKKKLSSFKRKMNVSDV PKIMNDSRKGVTGLQNLGNTCFMNAALQCLSNTYELTEYMVSNEFYQHLNCDNPLGTKGV LATAYAELMKIMWYGNNSAVSAYDLKRVIGKFAPQFYGYGQQDSHEFLSYLLDGLHEDLN RVLNKPFVSEVEITDETDFEASRIFWNNYILRNQSKIQQLMVGQYKSTLVCPYCHRVSKT FDPYMSLSLPIPSYTLIQLSLYFIYQNGKIPLKIQLNLTSDQDANFIGQELSKALDIEQD QMNFILLKDHLIKERIKKKQNVKWIQEHEGQLFVYQIEKEFHQLSDDQIHVDFYYCNKMD RNYNEQILTFPRQFVMDKKDKIIDIYFKIYQQFKHHLILIIQELSEQLLNEGVNIEEFCQ GVPETIEQFIEEIKQFKFDLFKLLYRGKPMDHTNQDPIENFNTKVLSVNVILNPIFGRQD LNNLKFQRCKDFNIEQNRQLRKGEYTLEDCLRSFAKEEILGKGNEWYCNKCKQHVQATKQ MEIYRAPQLLIVHLKRFRSGNNRISNYGGFFYSGGSQKITTMVHFPKNLNLNPFVLSKVN DNDRIDYNYELYGVDNHFGGLGGGHYTACAYNSLINKWVDYNDSSARLTSANVESEAAYV LFYRRTDSQKCSLGR >CAK85305 pep:novel supercontig:GCA_000165425.1:CT868540:414261:415868:1 gene:GSPATT00002705001 transcript:CAK85305 MHSLSIFNGRYIAQKKLSSGSFGVVLLGRDKENNIDVAIKIEKEENQEVKSLEREVQVLQ RLANTEGVPNLYWHGEQDDYNIIILQLLGKDLAYYMKQKRRFSVKTAIQLGIQIVKVLER IHQKGVVHRDLKPENILFGLDNESSKIYVVDFGISKIYLDKMERIIPFRDGTSFIGTTRY ASIAAHKGYELSRKDDLESLMYVLLYFIKGQLPWQNMQNVTDEERTNKVGEMKMTIDPRE LCKDVPIEFPIILEYLKTLQYRSEPDYNYIYNLFQKSAKSLGIVLDFAYDWEMPSQRKIS ANEMVKSQEMKRSTTLNQALLPPNQQEMKKSLEKGNTNLMRQSSNNNFLTPPQNNQLQPP LLRRSDSQQPSVASNQGSAMLSTYNSRRPKYQKSIVALDQFEDVRKSIDSIQLESGNVDK LQTDEQKFNKILNESLQKPQISNIQQSHYYSETVGWKDSIFEENYLDDPLSKKYGLLKNN GVDIGKKLSLKNNKIKLPKKKN >CAK85306 pep:novel supercontig:GCA_000165425.1:CT868540:416137:416766:-1 gene:GSPATT00002706001 transcript:CAK85306 MNKASESEEILDPQNYSKSFNRKSNRPQHYQKVDANLRSQIIDQACNKGQPLRQVFNHNN MIQVAQNLGIKYSTAKAIVQVYQSEGRIGKKRTRDKRIYQEIETYILIVNKQTGKIEKLK HKSECNDLKTNFLNAKLNLEEAHYSQIAQYFGKYNLSLPQEHLEQQNESIINLMKILNEQ YKQFQESDENKQ >CAK85307 pep:novel supercontig:GCA_000165425.1:CT868540:417394:418261:1 gene:GSPATT00002707001 transcript:CAK85307 MNPNTPFNERFAKISEKLNSIQLQHDSSKAHRIDTVCGRITGVEERIQDTITSYNRKLHT LKDEIVRLQKQIEEENNAFETQFEQRVREVAAFESRITTKLEQEIALRKDGNLKLQGYLD EKVVYLKSDIQTEGKIRQEQVENITTSLENDLPKLYDMVKTEGQDREDNDNGTLRRAGDE IKRLNEGLGNQKKLREESEAAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDACN KIYRAAKD >CAK85308 pep:novel supercontig:GCA_000165425.1:CT868540:418801:419089:1 gene:GSPATT00002708001 transcript:CAK85308 MKKSPSENKLVQTQKAYIMIQPKLVETFDENKTKKTELHFENSISQLKTLEIIQGKGQDN KESPITTERKQNRKPSMKQSLKMITQL >CAK85309 pep:novel supercontig:GCA_000165425.1:CT868540:419181:419919:1 gene:GSPATT00002709001 transcript:CAK85309 MKHNQQLPNAHLRKHWTRFVKTFYNQPAAKRRRQQRRQANALRASPRPVELLRPVVRGQT IKYNGVQKLGRGFSLIELKEAGLNAQFARTVGIAVDHRRRNNSQEELAANVKRIKAYLSK LVLFPRVAGKPKNGVVKDSANDVVAQPVTQNTNPEVITFQRTPKREKATVISKELRAKSV YRRLRQEWYNAKFVGVKEKRKQAKETKK >CAK85310 pep:novel supercontig:GCA_000165425.1:CT868540:420155:420660:-1 gene:GSPATT00002710001 transcript:CAK85310 MGLLSKEVTQFYVGTIILCLEYLHSNVIVHRDLKPENFIINPEERLVLADFGFAKQIGQS QGQRAFTLIGTSHYMAPEIIGGKGYSYNVDFGIQMFAFMNFNEEYHMAKNQITLLRYMSS SQITNQYFLVSQKKINKLQHAQNSYYLNNLKLDQEYQLWI >CAK85311 pep:novel supercontig:GCA_000165425.1:CT868540:420724:422377:-1 gene:GSPATT00002711001 transcript:CAK85311 MNIPESLIQNNSLQPGQQVIGDGQDQNIQTDCHRQNQASKNIFNNEDEANFKILVTLLSQ IRQFQVRYLYYYIENDIQMIEKSLKPFFILQFIAITHRFPNKIIRLVYILQGDQATSYFI IEKGQVEVIINEKQIILERDCFIVQYNQKCINQNITDCKFWMLDGITFRKAVESMMKVGY EENRKFINKIEQFSFMTPQQKDSIAHSLITTKFEPEGSIVNEGDQADSFLQLRQEHLEFT QGINKLILWVPQTVLVNKLYIIKDKEEHQQKLLQKSNAYLWVEIIQLRYWGITFSCLSTK ILSDGLLFGKSDILNQLKKLHQGKITQEAQIQNYNKGSVILKKDTKFEQLVIVLEGSLQS DDDKIGKGNYFGYQFPPKKRNNDIIKSQQRFNLLKYLQYLEAILKQQSKENLKYNNNTFL LKQTILIFRQRILSLLRNYLQFNLRCFIQLSIKLKQLLCTEMHKYGQNFVGKIAKTTFIR EDNSRNQQFSIYFGIYQNIQR >CAK85312 pep:novel supercontig:GCA_000165425.1:CT868540:422593:423943:-1 gene:GSPATT00002712001 transcript:CAK85312 MEINQDTLNFLFKELCAMAFLQQKSQNELNELYQYYTMQEARLINQFYHYQTINEQELKL VNRFMARISEAFQLMMAKRQIIRCKESKFQPSIYNCLTQTFEGKNSLICQLIQAIYGECR LQIEVLTNKNQKIEVKRIHSQDNNNDEEKLQNQFYSVQVTNKKNIQNDWQVNMKNNKKLN NYYLKVFPKLYDVISSVDKSQDEQILYFQNRDQNMDRIFNQLLQQNQHIKQSLNWSVNLD QEVYNNKIEQKLQKIKLQQLIPSHQLSTQSSPTKPSQPSNKSIKQNAPTSPFKNNGINNS HNPGKMPSINVKFRSISQNVPMSETNFKSQNNFLNPQQFQAQQNQQQQQYYSSEIEKSAL FSKIIENYKQNQFQLNKIKIEFKPKRQHCSVLKSKLMIDLIPLHNSKLKPKATRHLLQQV PSIIN >CAK85313 pep:novel supercontig:GCA_000165425.1:CT868540:424197:425547:-1 gene:GSPATT00002713001 transcript:CAK85313 MGNQTLCAGEGNEVQVQAEIKNKQRKVTYVQQFAKLDNEQPNKQSLIATHSSEKIQTNSL NDWLETLHESVLISQIDHQCYEASTKYFSEIDDSEDTDDPSTSTIDQKDLNEYQKNYPYC NSILDMIIINFYVLQKYEFKMKLIGGPPKQRMRWSCWQLMAYIPKCTIQSDHLSFVDEDK KQVFKIKKDTHRTVSTKDIKYFESGQGKQDLEKVLIKLSKLFPKLGYCQGMNFLAGFTLI INNKNVTQSLQFLAQMMINPKFLIYYLFSEEIPLLKLLEFICQQEIKFKIPDLHQHIYHK LEVSNAFWLTKIIMTMFLYNFHLNNVCRFWDFILATNIFQISTIICSFLEIYRNQIFQMD DLNAFIIWFDKHQNEEIPDSQMNYLISKSCKQIIKKEKINSYANLYCIGHTNNHQLQIEI ANDYQKMTGVRNFIKKDIFDQ >CAK85314 pep:novel supercontig:GCA_000165425.1:CT868540:425749:426592:-1 gene:GSPATT00002714001 transcript:CAK85314 MGYKIQVCLLHEFSPIFNSVVPVIKLEIDPQVSQTEFEGRNLTDTDIQKWKKLKLKIKSC IKVDISFNFSGSTYDSPHSGFMTTNLVKQWMKEYPVIQQLVLILKSMIKKLGLSESYTGG LSSYSLIIMVYSYLRENRVAQNQIGEYFIDLLKYYVNEFNSTTTGIGLLADMKNPSSSYF FKLQDYCLPQLPITIFSPLNRKLLTNSCIHIGKIFDFFQATLSQLEQKREFYCNYVILGK KKQQKLNKTLGNFITNLLESIK >CAK85315 pep:novel supercontig:GCA_000165425.1:CT868540:426651:428847:-1 gene:GSPATT00002715001 transcript:CAK85315 MNFLQPYGCCFYPYRPQIYYNWQAENIQEQNSAATTYKQEVVQWLFTLEQSELYKLFQIK GQIRTFPIMKMYIHDKQFQPSNYALLQKATIKLESKIDDNQFIIKTRVSHKKTDELYEKL MIFDDENFLDTIIVSEPHLENLSSFLELLDETSDKYFLSTSPKSNLEKAEDPLWFHQNSF HSCSAWIIKEFEKNINFYYNIQRDKKKKYRFQTQMITRNNSKDLQEYFEKNLAHNKEKLS YYFEQIYTDIKTHPNELDNIFYENIFSGTAIKLIKSQVELSSNFQQKCHSDANIINSMLI FSMSDLLDQKTYFLKKFYQIVIQLFQEHLENELFNSELQIKKKKDKKKLKKKKSEKIEYK KTLDPIELNQRLSSKNLQDSNILRFSRSYSQNNFAYSYVTPPNTPSAWENSDDQNEVNAQ SCQSQKQQKNERQKNVLESQSIIELGQANISQAFVENSQYPTNDDFVEYTRTITANILEI VFGSLADEYAYKDEVIKEKKKIKKKQKTVWRSIPESRDEQMQLQSPESEITRFSVETQAQ TIRSLCSNRTASTSEEEKKQRLKTRKSAIRFEQQETESISSSNQIQDGSLKEQIENVQQR AQQKLIEQISYDIVEFTDNIMNDYEEMLPFRLLAFDRIKLVIQKVFYGIPDDMIQQEYNQ YQDCLVLVLLDWHQLIRIQILALVDFNL >CAK85316 pep:novel supercontig:GCA_000165425.1:CT868540:429265:432891:-1 gene:GSPATT00002716001 transcript:CAK85316 MQIQIEKKKNSLFTQGVSYVVWKTIVNIFSLYAIVQVSLALFDEQSYKFQNKGTIFLLIR LLIIIVLFADIFIKFNVQQIIDKNVVINKNNEFSKKYLLFIFCFDFLPAFFVLFQTLFYL PNYIAVLIFLKVISLIDADTYTQQQLLYHASIYFVYKVLRLIVILLFVFNCFGCLFYLMG LIGLNSDELSWLDFSKSYSGIIVKDQTRNTKYIISTYWAVETLTSIGFGDITPLNTYEIF ITDLALFGQMFVIVYTVSYIVSLQDQRNPYDKNMYAIKKFMRIKNISRDVVNQVSIFLEQ YFYDFKRRDQQSEQDVMRKLTKHLQSKLLYQAYQNQLLKIEWLFNRFSLPCLQDIACKIK ELCLGADQIIEQDIYDPKLYIVVNGQINLTLNGVLIKSIEKGGSFGNYEFVTGIRQRSKV AKTETYAILYYISRLDMLECLQNSFEDYQKFQEIYDQVLYEKKLETIGLYCLLCKSTSHL TQQCQQINIKEMIQDFEQVKIQQRQKFKRSTKRHDTQNAPKDFLQYKFDLKVDYQNLNID QKCKAYRKMQFIPFVMRMEKTKVDPLRIIRDVIEEANQKKEFKILRALPIINCSSSFVDI WNYFIFINTLILFTLVPLIIFFYIDYDSFKLNPFFKGMRYYYMAILFLDVILKFNINYYF FGALITSRKQIGLRYLSSYFVFDTIPVLILLYFDFISTYTIVYLLILFKAVSFFKIEKQI QERLKLYPSKYNSYLIFKMFIEVLYLQHFWACMYFAGGEYMYYNYPGTKTWLNDPKSHFG SIITLDYSKQYLVSYYWAVNVITTVGYGDIYPLNNMEWFINDLALISAIMIAAINITNIA FIRASSNQKEQIKNKVAISEFMRQNLISKQTRNFILKYLLNSEIFYDYRNTELEFKTFGM LPKSLKSKLMDQAYSSVYSNLWLNVFDTEIIAQIAQETKEAFFSAHENIFLDNVIDSDCS LYFIEKGCIQLFFNLPISNKEETLIAKLKAKESFGHYSFVTGMKRQASARSYKHALLIYL ERSNFLKALQNNLKQLALFEQIKYEVLFLNKLQHFKIKCYTCQSSEHMSISCPLTQIKQN IMLTFKPKEKMNFIQQREYLKRNKRIINQRFNWEIDKQFIKNSNKRPFKEVFQQVNFIID ESNSM >CAK85317 pep:novel supercontig:GCA_000165425.1:CT868540:432967:435324:-1 gene:GSPATT00002717001 transcript:CAK85317 MQYYCQLHQSIFTQVCLQQDCIKKGPLCDMCVDNHKIHTIKNLEAYLDDYTKYLNMEYQA QILQKLEQMKQYFHDKISSLQIDVNFIDEKRKKEIIKQLKQEKMSHQQYQSKIIELVQRD QLKDREYLEQFFEQIVEIEKDIKSQFLQLPQKLVQAQYRHQPSQQSQQIINTKSILSSEV RELSPQLSNRSNKSKEPIFQQKQRSQSPLSKQLLQRDLMPVGSFNNSKLKNYFTEQDSQL SLQNSFKITKPFDIDKSSSKQSRIEGDRQKSKSQNASFDQIKQLEPFRNTQKSQNIEVSA FQFEKPEQSDLLYQKQSYIRFVPPEQQQQQVVGYFTNQQTSPQKENSQLHQKQPSNIKPQ YSMKDEKQVQQSSIPYQNETYFELQQSQINQPQNFDVSQQFQFTQFVGTQQVQTPQQSIL IREQETNNFQNGMKQSTQSAISGIYNENKSPQDMSFISSKSIVTPQIKVTQNLPNPFKIE KDLTLKIHDKSVKDLCFMDDDKIITCSKDMTIKIWDKRTKQLKIELKDHTDQILCIAFSE KRIIASGSVDKTVRIWKPTPSWKIAFVCQGHSERIRCVEFVGNFIASGSDDTTLKLWDLD GQLQHSFKTNGRISAMAIDKNLIIVGSGKNILVLDSKTKNKQQEIIGHKNLIMCLLLSNQ NQQSKLISGSKDNFIKIWSYPKMQEIRSLEDDYSIQSLFFDPISSYLYAGLMGFEQEGKI SIWKLDDQPKKQQEIISNPYGCNKVLYDGIYLYSAHENRRMEIYVINEL >CAK85318 pep:novel supercontig:GCA_000165425.1:CT868540:435560:436211:1 gene:GSPATT00002718001 transcript:CAK85318 MYSERKVKLTLHLPQLEQGVKFESEAQTTTSQSSIFFVLRKGILSTNKGHQTEKRLHKHT GTQINSPNQLHLYTPKQESLRAKRLKNIPYELQYFIPNLPNKNFKSSHLMNRKLLEIPKC TLYNKDKWQLNAHKTRMNNAFSLGQSRRMENYNLKTEQQEEHNQIRRQNINYVKKEKIQR LNKQRIDTQKLIDQFASQFNSSVKIDHLV >CAK85319 pep:novel supercontig:GCA_000165425.1:CT868540:437448:438770:1 gene:GSPATT00002719001 transcript:CAK85319 MKQVYFISILVLSTYCASFLEEQPGMQNKIYSIKLERTQSQARKSFFDFITTGQHFRSKS DIMDDIALTQTTQKESIKLYNFKNTQYTGEISFGGQENKFKVIFDTGSANIWLNSARCHD YGCKNHKQYDGQKSLTYEHLGYDLDVEFGTGELMGEINADTAYVGNVKIRKQEFAEIVRE NGDVFAQSDFDGIVGLAYPTMAAYHFNPLFDNIMQQKLLDRNVFSFYFSRQENSRTSELT LGGWNQDHFEGELHFHNVANKYYWLLEANNILVNGKDVGLCKGGCSVIADTGTSLITGPS DDLYDLIDTLNIDDNCKNLKELPTLTFVLDGIHYDLDAHDYVMKIDSYGNEIAYGSFAST DSFLEMGAGCECIGTFMPLDIPFPQGPAWILGDTFLSKYYSVYDRDNDRVGFARSK >CAK85320 pep:novel supercontig:GCA_000165425.1:CT868540:439320:440426:1 gene:GSPATT00002720001 transcript:CAK85320 MDKKGNFERLRNKLKVNLFRNENQQQQNDQEIINQCPQILEYVHSSSQSEFKENNPKIID YLSNKKQFEQMKQSFKNIYQIGKILGEGAHAVVRQCWQITNPDETYAVKISRNADPEITE IMKQTFLNTVALNHPYICKTNMLYIDPNMECSYLVMEYLPYPSLQHILKERQTLDLNEAC LIVRQLFEAVQYIHKVGLCHRDIKPDNIVFDNDTNTIKLIDFGVSKRFLVAEKNFKDIKN NLMWTVTGTMPYQAPELWVGAGYSQKIDVWAIGVVCYQMLCGKLPIDQENQMESFSTQSE YISHFQQPEFLKLPPLVIDFIKRILKWNPDQRLTSACNHILQKIRGFTSSLALSTQNFLS GSKNEIIR >CAK85321 pep:novel supercontig:GCA_000165425.1:CT868540:440476:440907:1 gene:GSPATT00002721001 transcript:CAK85321 MQANMKILQQSVSNSNEQFIDKRDLTKTHGQIIIAYKETSQQIRDKSIHFQQSIHSINLT KCTTKLGTDEVKDLFDVINFCDSNSSFEALEEKQQLSAQQQKSAQQQQQQKLCFSQRLGV IKEEPETPQNNQLKKLNDEIDEI >CAK85322 pep:novel supercontig:GCA_000165425.1:CT868540:441351:443237:-1 gene:GSPATT00002722001 transcript:CAK85322 MIYTLTPSQAQISIKIDKPAYYPGEIIKGVIYMKIKTNDIDAGLMYLKVRMLIELIQLCC QEKVNQLDSYNQFFSFKQFIYKKLKKITDFGKKMPMIACKKYFELEVPSLYPSFTINYYK TVQCRVLYFLTINLQNQDGQLQYKVPKKHRVVVHVLEKLPIINQIPIEIERISKAHKRLC FSTEKTKIKIQLQKIQNIFGDNIPLILSVDNMQSNIGIQKFEIRISSQLVVLYKEQKRKL SNYFEIFKLEINEQIKSHQNKQISLNLILPIGNEANQTSFFPQSTIKTKRISYQYILTVN VIFDKFLFYKVDNLVISAPLILIQNKKREKTNIIQSMENLSRIGQMGEPSSRLNMSQLNN FIYGDHNFAGYGEQDGYKGPERKYNPVESLDELALKDIKGALEELMGKKEDEEDSEIDEN FLEEIVGASKNTISMNQISLFEQQKQRTIFKQNQKYNSDFSNLNNEEFKQDYCNQINLHT IKEEQDSSGTQYNLNNAKYNSQIRKHDQINEYQRDLKDNSKIEQLESFNNQQDKINFDYI SNNQNFPTDPQNNKRQKNNNKNPITSKETDQKPLSKFKGQFNDQTQISSPRNKMREKISL QNQKN >CAK85323 pep:novel supercontig:GCA_000165425.1:CT868540:443976:445486:-1 gene:GSPATT00002723001 transcript:CAK85323 MTQKEQNEFDKNSIDDETDFVLDKFADGYRLVEIKGIVKNYIEARYQQTFIAISNNDYKV SYYIYKKLEKVLIKALKNFNQEPDLLTEYSKVMLYLLDINQTVSSNEKLMILKLPTEVQV YPKVEDIDFYYYLLKYTIQTLNNIGVILMRRKKIREAECYLMRALAARSYVLETNVSQFQ MGVLLENLGFVLLNKGDKQDAKQFITKALLIFEQLSSPQYETVEVCINYKFMLNLVEILF NQNEEQLLSQPREIQKERMIHLLAITYNYYGEVFQSMSMQDQQKFCQEQFQFLCNLMQKL NPRIEARKKKNENPQPQIKPEVDKQAFEIAKHKINQVQVKVEKADLKQNQSSNENYQKEK DQLPSHVRLDQVLYQTYILIRAQRFKVIILQFDFFIQIIIFSKPLNLEKSLYIVEYDLTE YQKKNYPDSQNSVDQLCEFFKKLIKLLYFSDNQLHIKDIRHFQSIEEFSKFI >CAK85324 pep:novel supercontig:GCA_000165425.1:CT868540:446014:446955:-1 gene:GSPATT00002724001 transcript:CAK85324 MIKNILYKFSTFKSLYGQAGTVSFKLLNGQHKVTLQTHWEDNCEYYCEPETGFLFDEEKD QSQISNDDNGKYQIKINMPEYYNYNGIINGDLQHISAGIDAKLYGNIDIDLTGDFLSQKI KSEICRIKANSLQVNSALETQFGELFIKNNVTIKKLLISKVANLHQENGECKIQAGYVQN LQKNYPIIQKFQFLENIEQNNHFSIQSQAKNFNIGTFSGRLKCSLKAESFFIKSLSGLFY INQSKNVELGITNQQNQGFIKADNIILNVYDKLKFNIFNCGKSVYEFNESYYSIYTECDN LEVRLINNPFGIV >CAK85325 pep:novel supercontig:GCA_000165425.1:CT868540:447410:448708:-1 gene:GSPATT00002725001 transcript:CAK85325 MQLGVALGTVSGYLVTSLSLHFSQNWVYPYYLQILLLIPCFLCYSFCDEAKLSTQDQEQT NVKKENFKTIFMQNLKTFLSNPIFVFSMLSLSSLFFVVTGVQFWITDYLRNVFLMPHEVV FKSFLIISLTAPLSGVYLGGLILHNFGGYDGQMAIQIAAFEALLASVSGAFIPILNNGAF VLLFLWFLLFFGGSAVPAIMGIMITSIPREQRSSGNSLSHLFQELLGYLPAPVLYGFVQQ QTGGSTSRWGMILLSIVGFCGLFYIVLATYWKSKQVKQLDNSINESELRDRVPQLNSDQN DQIQKSNFAQIRMQTSVQSGKSITIPIQQDQINNEMQFDNQTPLINRTRTFKTRLAYQRQ ASVSQALSNFSSLVGRGSLDNDLFSIKNDQDMKRIEKYTNEIELQVAGGYYLVSEGRYVK FGEH >CAK85326 pep:novel supercontig:GCA_000165425.1:CT868540:448737:449162:-1 gene:GSPATT00002726001 transcript:CAK85326 MEKNNLSLYLLNFVTNALINFDHGIIPACTTDMKLDLGIDDVQLGMMGSSVYGGLVIGSF IGTGIFDKFKTKHIVTISVLCCILSLSLFILTKNLFLLFLSRFLTGFFQVCNINLFGVFS CFFPCLDRLIR >CAK85327 pep:novel supercontig:GCA_000165425.1:CT868540:449240:449740:-1 gene:GSPATT00002727001 transcript:CAK85327 MNSQQLDITKNQSVKDYKAQHKLEDRKKRVQQYREKYPEMTPMVVQKHPKAKIMSLTRPQ FLVNQTVKFSDFKNQIRAKLSLSPQQTLFFYCGNNIISDDITLQELYNKYKDKEDEFLYL NYSDCEVFGK >CAK85328 pep:novel supercontig:GCA_000165425.1:CT868540:450535:451056:1 gene:GSPATT00002728001 transcript:CAK85328 MENFQNITKIQGTNYQLFVRKAQVRQINDIQRGYPIYGCKMNIQQSPRMNYPIKFKISFD KQQKTKSNSVNHEQNHTIGQLTNGCHIYQQYINEIINKKKNTTLSTIEQSIIQNKQNKQD TQFQQDRSFIMPKLVQQRKYKRKILQKQIVTECSLIDDLKPWESYHNSLALFQ >CAK85329 pep:novel supercontig:GCA_000165425.1:CT868540:451066:452386:-1 gene:GSPATT00002729001 transcript:CAK85329 MKILVALVIALCLLTHPIVCKKHSNDEEHSAFEKNHKKLTLQDDPSYSKLEEIQDHPLGS KILQTIALQLRGNESLSAVSKLLNDLKGDLEGKQIDADNERAQIGSQCKKDLQNYSQRIS LSINEIKDAEFKAKRLNEAIAVYQAEINEKARQIKVFQAKDDTLRDIRRQDNLDFSTRTT QMKEMVQAFEVILPKLHQVWDVAAQHKAGSFIEEEAINEALVQLAKIGEENPITAMVALT STLEPTAVQTLIEKMEAIRDSIKESIKAEEDAEAKNARDTDTILAAIFNAIESLTREKSS DEEALQETIRNRDIQDKRSRDAHAEFNAAKNGNQQRNQQCQEYELQYQQNTIERDKQIAI IRDVQNIIATKIEVVTCFVEENNLF >CAK85330 pep:novel supercontig:GCA_000165425.1:CT868540:452488:453539:-1 gene:GSPATT00002730001 transcript:CAK85330 MSIRQESDLSCELLRSTLQDINYRLNNLQHVVPNPHSWKDKIQKEAPIPKLNLRKINSVY KENCIPLKKVTASFGDKIIDQVENINDKSFDDLQKKPQIKMMAEQLPQKQRSHSINCSQQ VLRNQNSHSIQFKVSNASRLQNSSDFQQYQSLHQSFEKPLKSSFIGSQQIQVPQRDQSLE YVQPTLIQKPIIIEQQQQNVQTRNNSLLSTPIKQVANVSQGQTPLKRGNSSTTQKVIQTN LSQNQSYQCFQVQQQKQQLQNQDLIKILQDHSNKYQQQQVQYHSIQNTLASSQYTQNIAQ FKVSQNRNASTSIRVPMGQILYGNSMIRL >CAK85331 pep:novel supercontig:GCA_000165425.1:CT868540:454451:455090:-1 gene:GSPATT00002731001 transcript:CAK85331 MNFPEVEKGDKQIKQANLQTNQIKKRKPIDSDTKANLVYSVVKDRLPIYQAAIIHKVKYS SAKHILRNYYSDTTNYLTAQKKRRKKIIWDGATILVNTNTGNIIMYSQQNQTIQCLNNDV NAQLKQIVLDNLSLCIKQEHSGIKTQNLQTKQNFKTIKQDIKTEKIEDKLLSLLRTLKNQ HQEMIS >CAK85332 pep:novel supercontig:GCA_000165425.1:CT868540:455322:456823:-1 gene:GSPATT00002732001 transcript:CAK85332 MRGANSNSGQSSLPDSNEINEYIKEYLRYSNYSNTLECFDAEIKSKQVSNKMLNKQQVVK QTGEDVPRIFQLLKSDNNKTKREINLEKEQKQFNKKYQQILQAGRQIFSVSINLLQLLHS LKETAKNENLSETLENYKIQLGKYHKVIINEGKPEGTELITEQVMHEHKTKLLKNFQDKH VDGMIEVLLSLRVNALQIAPELRKNLVYELIRNDVFNIEATDKFDFVVHLLDINNQSLRH AITSLISVISSTLRGVEYLTYNGNMIIVEKIIKILKEQENGSVTQRFCLAILQKASIKDT VIPTYVHNELIQWIINLIQKSVNAKIHVFCLDFASATLANIIHTPYTLQYLEHQPRFAHQ VMEQLLKFIKDQIQVSVLMHVLICLSYLSKENFAKQMEECRFVDRISQFVEYYSVINTEN EAAEIDKKTVLDLCAHMFHPKDTSLDNSETLELNELKTEDRIREYENEQGELIFECFQDE VS >CAK85333 pep:novel supercontig:GCA_000165425.1:CT868540:456921:458658:1 gene:GSPATT00002733001 transcript:CAK85333 MLSFHRQATRQLLLSARTCFSSKGDKKPSHPKFVFGVPKETFPHENRVAATPESIKNLVK DGHQVLVETGAGQKANFADNVYQEVGAKVVDSKSIYDQSDVILKIRPPENTKALKENQTL TSFIYPAQNKELLNQLQEKKITTFAMECVPRITRAQTYDALSSMANIAGYKAVVLAANEF GRFFAGQMTAAGKVPPAKVMVIGAGVAGLSAIVTAKNMGAIVRAFDTRLATKEQVKSCGA EFLEVKINGEVVDGAGIGGYAKEMGKEYLEAELALFLKQAKEVDIIITTALIPGRPAPKL LSKELVENMKQGSIIVDLAAETGGNCELCKPGELYNHEGKVKIIGYTDLPSRLPTQSSTL YANNIGKLMQYIVGTIKDPNGNMTQVDLNDDVVRQSLVTLNGELKWPPPPIITSKSELQQ ADTTKAATGHKKQEVKVDPYKQAWKNHLQWLMMALGYQSPNMEFLKMLNTFALAGIVGYQ VVWGVTPALHSPLMSVTNAVSGIIIVGGMELMDGRVFTRIL >CAK85334 pep:novel supercontig:GCA_000165425.1:CT868540:458677:459753:1 gene:GSPATT00002734001 transcript:CAK85334 MALAGATGVLGYYGGLYYGIPKEPLTNLSYLASSIACILAIGGLAQQQTARIGNSFGCLG VGLGVAATLGYKGFSPELLAQWASMVMLGATIGGTVATRVAITDLPQLVACFHSFVGLAA VLTSIGNYLHVFPHLAEDPAALVAQIINLLWYFHRWYHIHRITHCLCQIVKSLALKSNSY SLFTIKQTLHWPALSAVLLFGMTALLTATAASKVLGVTLTNAIGGADMPVDGHCVLEGFM LDNPLLTIVGALVGSSGAILSYIMCKAMNRNLTSVIFGGFDVNPAAIQATKVEGTHTEIN VEQAVEMMVNSKSSYYCSWIWFGCCQSLISSC >CAK85335 pep:novel supercontig:GCA_000165425.1:CT868540:459753:460230:1 gene:GSPATT00002735001 transcript:CAK85335 MDQGIKVRFGIHPVAGRMPGQLNVLLAEAGIPYDIVFEMDEINDDFPDTDLAVVIGANDT VNSAAEENPNSPIAGMPVLRVWAAKQSIVMKRSMGVGYAAIDNPVFYKPNNNMLLGDAKK TCDELTSKIKDHFK >CAK85336 pep:novel supercontig:GCA_000165425.1:CT868540:460232:461272:-1 gene:GSPATT00002736001 transcript:CAK85336 MKLVMRSSRLEQLMKFKGKHDISCQEFKQLILDTRQQFPQLNGEQLNCVVDLMKQDQLVD DDNTDYLLTAIKNVEDQQIRYQLLDKIILPTSNLSKEQVKEIEQIIESCQQYAMGLSIMG KMVAFYDYQNIQIMNKFVNEFDVTKTNAEQLGAACIGLALGQYKDKQLWDDIASHCLKLE KDFHSYMRIATGMGLTNNGNVEFWNDFIEQFRKQLKTINEDLFTQSLQVITQQNVASLEL CEAEIEYYIINSHIRIENMISIYVSMARKQLGSQQLYSKLEQDIYEMQLSGDDLILYVIE LMQVKDRLPNQTLNVLVAKAYSESQNQELIEKLTNLIK >CAK85337 pep:novel supercontig:GCA_000165425.1:CT868540:461544:464380:1 gene:GSPATT00002737001 transcript:CAK85337 MSSQEQHQQAINENEMAYDSNQATSKKALKQKKLDIKQEPKKPIDGKQQFGDKSICRLCQ VSTKSTLTIICIRCHFKYHQECIRKQNKEPQFEDGIKWHSKRKLKESKPSKKKQCNQIQD FFQKTVQPQEDKIKKLTEFQLLYPTYIQQGRVIFPILDEYLTAYQGLFNIEPKKKPALHV DPAIPQEIFEDVLKIWDAYNNMNKIVSDILQEQQIKCLAQSQSNNIGTLIHFQDNHTLYN QKSRIEIYDTLQHNPQEIILFFCYFYLKQIIEDLDIEQMQKQQYKIPYWQLMGYMWYTNR QRYYQLLRDDVKSLPTNIFKQGILYLPDYLIDFGDYKNVNKLAKLVIALADGIESLKRTQ FLYQYRTENILSNNRMKTQLGMQIKEQRSKQMETNKEIIESEGNYQMAKSKLLEDGLSRA ETQKFIKQKESAQKQCQKLKQQFQKLEKEIDNLTERYHEQEKELAIIQISSLVLNPSVFL GYDSRNSSYYFFLWESDKIFACMRNSIIDDEVSQWGYYDLKDVNVLMEVLCQKGVKENSL RNNILELQRAKLLIVEQKEEEEEEKEDKLKNSENNSEQDVAQNNSSSAKDQKVNSLENLD IDMLIQEFLDIENMLTEYLNQRNSRWCSSEIRNSFLKSFQNVIQKRIDKNYNEYDLAPFC KAIEYFVDNTMMQEKLELRPDEEINGDEKLQLNNEDESYQQQNRRRKVVEDDSSPEQPIQ TAIQQLEQLDIGKVRVRKLPMKLFGTYYETLRLNLIEQLKQDCNLVKLKICLEVLGQIVQ DYINRKITQQIVTQIPEKKKVEEVHISKQVQIEPAVVEPEIKVQNLRQRVKTQSQSIYVE SKWEDRCNKCGQGGKVICCDTCPKVFHTKCLGLKEVPKGKWNCLVCLSNFERQVKTRATI KKLENN >CAK85338 pep:novel supercontig:GCA_000165425.1:CT868540:464407:464619:1 gene:GSPATT00002738001 transcript:CAK85338 MICDIIDIPLLTPSQKVRISYSNTTASPASSSDSSFESKQIPNLQIPRPLLSQDEKFEAS FCSFGIVFYI >CAK85339 pep:novel supercontig:GCA_000165425.1:CT868540:464714:465110:1 gene:GSPATT00002739001 transcript:CAK85339 MKDKFIESYNPFITVQKFMRKMQFCCSLLQILSDDRTTLMLKNLPKYMRPSDLKNLLDID FKYQFDFLYLPSDNNQEGNLGYAFVNFLYPQTVLQFFKKYNNNKWSINDKVDKDIYYKSK SAY >CAK85340 pep:novel supercontig:GCA_000165425.1:CT868540:465300:466823:1 gene:GSPATT00002740001 transcript:CAK85340 MNRMKKLIQQKGFLPAKPSQNDLLNEARQAFLKKQRTQKEQEQIELFMLSLSFVQKLKVQ YGPLIVADLCKNISYLRIPAGNQIIQINKENRTFYVILSGKVSISIYVQKGLRRTSMKIN QNNNITQQTSVQQIQQSSTPGAIHQQPAIKGDEDQFSLQEIKTLEQGDSFGELALIKDNL KATATVTTKTDCEFGCLTRKQYIDILGKIIQNLHHEKLVFLQTIPPLKLWNDEDLKQLSY YLVLKHYVRNQISIKEGADNSQIFVVKYGVFHVVKQYQGQQLILSELQESEIFYQHPSEL KYQFSLRCASDGSSLYEFSHYDFKKYAENNNLEDFNSLEKQKQIWRMKKFEERVFDVRDV HHQEIELIETKIEFKKTIKLYEDLKIRCKSAKRRKTPVQTEENAFQKTQRQAQMALKKNQ ILRFGDDSSENSFTLPAIRSTSRKKIYVDLIDTEKSFETLDQYKIGDSEKYQLYFDHSHP WRNINQKKQIYN >CAK85341 pep:novel supercontig:GCA_000165425.1:CT868540:467379:471655:1 gene:GSPATT00002741001 transcript:CAK85341 MNLIDSLLNDFQQLLNDQKKNQVQKPLIQRIIDDIKKHKSLQNLPTQEIIEIFAKLPESK TNQTSGIALNIIIKLFNAVLLFKADIHPLLYYFYLLKEETNENVQLKLVQCSVHLINNEI VNINHPEDIEKLMCIFFTLVMAKSPIIQTTAITGLMEILDYLCRQYSDQPNKNGVTIFAQ LIQGMKGQKVSFIIQNEFSRGICRDMLSQIITNLGSFINKDEQVSKVIQEFSAIIYEEMS LDSADVQFNARRIRDSFGIIVTLQKDISLIKHISLLFTRSPSYGYVRYWILEGILTILQD PKLVMLLSQGSVQEENQQQQSTLLQHLIFIIKLSTEQEPQYTINQTNQQQAYAKFKNLYQ QNLLNLTEIPCYNKALFIKKSVECIFHFTDSILKIQKLKGILLFCQNYKQFQSKIIIDEN CKELNSITEQAQQLILKSIQNIIVNLNDDTQYQTLLNSLQSWIELSSSLGQIKTREAFIK YLSSLCIGKQNAALTKCQLQSAKFLFKIAQNENFLDTKSWYIIMKTMQYFEEQIQKSQAQ NQISQELHPEVIQQEITLLNNICEGLFASSNLYEDSQLLQMIEAINQVTLSLMEQYNNVQ NLVNCKSISFGLQKIHQITKQNWFRIHQFWDFITAHFICIANYKQRAFRETALEIFSQLV QQGFIYFLRPDQSRCWEGDTWQSHLLSPIQQMIKIPYADVKETLLNIIFKLIQNNGHELN ILGFNTIIEILLISCDETEPAGYVNIGFHILELLIGQFMHLLDPKTTRRLLPLIKQFRQR TTEQNISYVSVGLIWQLADNLNKICPSQTSQTEVEELWTVVLQSLKDLSLDNTPDVRQSA LHIIIQIILINCGSFKVNFQIDLLKNLIFKILDDLIGRVAQLQQLEGIFNSKMPNYIKEE DYPKFTKFSEKDLLTSTVNQNEVIAAWEETTKIMIQNLTKFLKKISQLEDQEFKQQAQQL YNEIFIRLIIAFQINNQDLKWEIVRLIKESTEIMIEKNLVTSFDWAKEFIICIQEFIGQK VQDNRDVKTLINKILPEVCELYVLFLKAHKKDQNSFSKELMDNLYESYQEIIDLPINIEN TTNIKIWIDEKQLHDYAEDFYVYLNSQQDKSKLYAFLLLNLKKEGGMTKLQDLLIYKYTQ LLNKYIQEEKLTDPLLIKQYFEICLIQLSTRQNQQKVNNVKSYNKTNKPIWVQVLPQIID TIYISQEEEYVQLLSEQLTFTQSWDKIISIDGLRSCIDVELQICEWLLQQDILNREIVNY WELLSRNINLYQLEDLFYETRQKLLNIVFKKSKNLYTLNQICQSLVTQFMKDEYMSGSMP LSRKRVQEIIQLLLELQSLKDLNLEPHPLLQVFECLVELITTREIDIKLPLQNLFKQVAL KIRSQK >CAK85342 pep:novel supercontig:GCA_000165425.1:CT868540:473081:473787:-1 gene:GSPATT00002742001 transcript:CAK85342 MQHPKFTQEKRFQISGEDFIKLEQVKVRLFYYSRTNYQKLKYEDQIYHVGQNLCIKGDNR SEYVAKLIKIVKLYDDEDNCIPLIKVQWFYRKNELYGIPKEQMDCISENEVFKTNEFDYI EVESIVGLAIILSYEEYDQIEELNDNVYFTRASFIDRKLYPSVEQWKQVCICHKPANPDL KYIFCDVCQKWCHLKCVGLTQDQADKLNKYVCPDCKN >CAK85343 pep:novel supercontig:GCA_000165425.1:CT868540:474692:477104:1 gene:GSPATT00002743001 transcript:CAK85343 MGQTNSQNLQQSKMDVPKISQLQFWKQSTDIRYGEIRIFKTQDQHAVAVKDHIFQDDEQW NQFKITQEKQMGNKQSTPFLIQMMDLQHVTEKELCSQLTQAHSVYEYFDEYLERVINELS ETKQYFDEIEIVAMLHCTLTALQRLNDQQKQHGDIRPLTISLTSYAQRSQFRQNHPFSVF KLTDIQEMTDMTAYRRCIAKQQGQYNLSPEQLSSLKHKVLRPNIDQNKSDVFCIGLTALQ MATLSFVQDIYDLQSYTFNMEKLDDYLFFLKSQYSSDLYDIVESLLIMAPDNRPNPKQAH ELLYKYRFQLEDYFRSSTIGEFIPNYSIDFREQNNGIHKQRVVNHQSHSLQNQDPNNQLY HQVENLDQRAKVALKRSQDAQIRFQKSPNKQFKVPIPNWEPTKSLKEYSSLQPITLQEIL PLQNKQMNIQSDQIIIQDPPSNRQSFFKNLTNQQQYQQQNFSEHEQVIHNDDEYAYNHQE IDEQPKQSLVEQVELTNSNLKTLQQKPITNQYDNNVFQQQSLFQSINTDQKKFPQQQQQQ QLQQQTYSQIQQQSYQYSNQVQSKQLQNIEQQSQARSSAYNYPQNQINESINDHQRSPYA LQQRQSLALSQDKVITQQLTGQQVEQTLQNLQNRQSIRQDSFGVGANRPQQPLVVPKSPE IEPKNIFPQLDQEKQSQQNQRSPIQPLERMDDDDQEHHYNPQLTESNDSQQAISVQSKPQ SIRGTMVGSQSQLKVPPSVASRQQRPTVQKQQTTTTTSQKNIYNLSQKKSSKIIKK >CAK85344 pep:novel supercontig:GCA_000165425.1:CT868540:477329:478676:1 gene:GSPATT00002744001 transcript:CAK85344 MDKLYSFKAKSQVGHIKQVINQEQKLQFYSEEEGETTNSQDNTPKTNVKTKVKSPTQQNG YQTDDSDSGTPKNQKPRTKQPQAAKMSRFSNLVNTKKIDGDVEIEPEFSDNDKKQQPAQI QQQEGNYNIEEQAQRIQQKLTQQPQNPKLNKTKQAVSMQNLNANSDNEQSKKNSQNSGKD KLSNHPFRHLIFGPNVTEQTFKKHLILTQRGLIYARKCLKGPSDKFIQSKKVQLSEAYPK KERALILDLDETLIHSCTQRENPQVYVTAVGDFGEEAKIGINIRPYTSLFLQQLSQYYTI YIYTASSSAYAQAIIQYLDPTKQYISGIMTRNNCMETKNGFFIKDLRLISNKELKDMLIV DNLAHSFGFQIENGIPILEWHNDQNDQELKYLIDYLIEATHYQDLREYNTKKLRLDDLLE FHIEE >CAK85345 pep:novel supercontig:GCA_000165425.1:CT868540:479239:479850:-1 gene:GSPATT00002745001 transcript:CAK85345 MKDQLRKQTISPEPEKQKSQNFHRRFTSSQIESAGKNQQSQKSLTNKIQIYGLQQLLKMG PHNKVLQSKVYSQMLIHESFSQQYLKDMSAAYTPNPQVTIQQNFFKKATLSQNSTMSGSI KPRMRSANKETIKKKSQIETTQLLSNREKDRQTITQLQEIIQRTNSLLHQYQNEISRHIK EKEDLVNIIQQLQQL >CAK85346 pep:novel supercontig:GCA_000165425.1:CT868540:479901:480855:1 gene:GSPATT00002746001 transcript:CAK85346 MKKGAPKYQNKIAFKMRFHDHYTEKIQNASLDRLCELCLAQIEWKIKYGKYKTPHDLSRC YKCEKKNIFKAYRRLCDGCSDELKQCSKCTEPIEINDDSSSGEEMDETLKCLRERSKRTV LRKIEKGEITWDRHNKKFIDSESGEQVIVQYKKDYIDEEDEQLWEDNDDESDDDEDDESE EESQEEDNKKQNKKTVQFQKQQKSQKQQTELSKKTKAKKVESSDDESLSEDSDEENQFKQ IEKINQKEPSIYSDGSNEEEKTIRMEKNFQFYFDGKYQFEKDE >CAK85347 pep:novel supercontig:GCA_000165425.1:CT868540:482264:483080:-1 gene:GSPATT00002747001 transcript:CAK85347 MQRKLPYAFHGLDYLYEPYLATVYNLIPSYKTQKLGEGFLNQTSQVYTSLLQSIAEQDKE FLKQILEPKLYHATSHCMDLLEEKQLKLQYVEQDQQQNGEKQEEDEEEQEGQQPQQQFSF FQKILGKNETYHIFQENDYKCNVDIKGVFGVKINRNENENTPFVEIPALPTTPRNYHLNL KNPFSLYENQILVANILIYTSKKLVAVDQEGILVHGDYDNNQFYYHKLRLESYIPNFNWI ITDIDDYLKGNPYY >CAK85348 pep:novel supercontig:GCA_000165425.1:CT868540:483347:484083:-1 gene:GSPATT00002748001 transcript:CAK85348 MGVTQTCIKEKHPTSQIEYSVPMIFRRKPQGKKPHKQNILIPPLQFLGESEETLEQLEST LQEPNINFSIHKTATFNSSANRQSLKIDQSLNHSLNTTTPNSVLQQMLNNSSFQESNKNK EEPIIHKKQQYKESQFKPNGETQNERRDRFARSLSNNSRAQRAQNLNDESSISQRKDSET NTKKKTNQLKRGISLKQQQMPINFDDTQSQKTSKSQKRVKFDPKIFRPKNTHFLQ >CAK85349 pep:novel supercontig:GCA_000165425.1:CT868540:484259:484712:1 gene:GSPATT00002749001 transcript:CAK85349 MINNSLLSEEIDLTKSSIRNDQEIVLMQSAMRYKTIKNNLQLVMILNFICLIGTTFAMAF YQWFLIVLPTTKTRIWLNLLYVYDKQVEKYMSYSTYENSEYNFCLYVKDLTQKDCLHELR ILESIEQQESFVFAAF >CAK85350 pep:novel supercontig:GCA_000165425.1:CT868540:485507:485941:1 gene:GSPATT00002750001 transcript:CAK85350 MIVRTPRDVQNLQFSNNKENKNNNQTNNQIEKTIQECIDRSSLQIDVLEQIRARSKDRKS SQKTTGRTTRRYEEQNQNQLIQNQLTKPIKLDFQLEDQENILVTHEQIQGKQSEFQLSLK DLDQMFEETITKSRQITQKFNFIR >CAK85351 pep:novel supercontig:GCA_000165425.1:CT868540:485973:486455:-1 gene:GSPATT00002751001 transcript:CAK85351 MQNNQQATTEEQSKNQEVKQETKLCPLCQTFFGSAKTNFYCSKCYKTISQKDNEQQPQLQ QQQQIPEEKAQQNQQANVQQDPSKCYVCKRKLGISGIQCKCKIVFCNKHRLPEDHNCTFD HAEQAKQQLIKNNPLVDTKKLEQL >CAK85352 pep:novel supercontig:GCA_000165425.1:CT868540:486525:487724:-1 gene:GSPATT00002752001 transcript:CAK85352 MGYCGSKQYRKDKQINKNNISDSQFQQYQLQNSMSQSTNFNCSMQQQKVYKKRDYKKSEL IGKGSLFEVYQALDNKTGKLLAIKTVKIQGTKEQNNQLINQLKAEIKLLKQLKHKNIIQY YFTEISPDFTYVDIALEYISQGSLRRVLNKIHLEESNIRIYGKQILEGIQYLHKKNIVHS YIKTSTILVDSEGTIKLSNFKKFISIDQDFDINQKQKSPATEEEQKRNKEKFAIDILSLG AVIIEMFGGCAVNVNDLIKNSSEVLKPPYPQHASALSKNLLDIIFVPNHQQYSADKLLQH PFFNFQQGKIEFQLDDPNSDPSIIGSSFEMKKPFIQEINLDPGIEQGALLQNNKQRQQEL EQKLQSVLRKKNLQK >CAK85353 pep:novel supercontig:GCA_000165425.1:CT868540:487888:489122:-1 gene:GSPATT00002753001 transcript:CAK85353 MMLEIPMLKKLNTKIEPNFDCSSSKLYDKGENIYIPLDGKLTTEQFVFLPNQAFTQMGLS QQTFYALNKESYNHRVLIIKVINIWPHLDYEIKEDLKYLQLITQNKLLNKYSTLPTFLFQ YLDRIIVMHRQLMASCFRMEDKSFIILKERPQQSQNADYMAQKNQDIQYLTLFGECDVKL TLHNPELMLKSAVLQFDSPKYAQQSVIKDIKTIKELIKGEDYQQLRQKLNEVFGKKISDQ QQQFTIQQIQQQQQQLQQNSVRQRTSSNQDDSNQLKKQVFRRIYFAREIDKTQKEQPSLG EIKKINYTPLRNRQSRVKMNNSNIRQKTIENQQQNQQSAVQQQCLPIIKSFVNMEEYQQQ QQQMKKKKDQQRKRHIQNKFIEFDKILNQLIK >CAK85354 pep:novel supercontig:GCA_000165425.1:CT868540:489294:490650:1 gene:GSPATT00002754001 transcript:CAK85354 MSNFTQSFHGASSFGIGQRLNIFTQKQSSPSPHQYTIQSTLSSSASKFGKSLRTQLINDT PSVGKYELEQENVSTSFNVLQNRKLKITIKGKRKQEKVSSIVGPGSYTIEPPKSNKKYGF GDRFLMTYETEVPGPNQYHLSSNGLKSSINKKNGFMLSSSKQDSIYPRSLTPAPGQYDSQ FTQYSTNQTFSKAPREPQYLDTPGPGSYHYEQKEAKGFSFLAKYKPHDPSNYPGPGTYDV QDKLKGSGTIKFNSILRQTIFGQIREESPGPGTYNYDSLTQKSKGTKFKKSPKLYDYSNK IPGPGAYESKISTLQTQGGVMGKLYRSKRICTTPGPGQYDLDQSFDDKLLKINRWSKSKR FQDERNDYIGPGKYEIDRSLMKTGVSFTKQKHNRDKIQKQLFESPGPGHYLIDSELGYIP EYLIKQK >CAK85355 pep:novel supercontig:GCA_000165425.1:CT868540:490936:491202:1 gene:GSPATT00002755001 transcript:CAK85355 MDQSKIVHGQSLKFAIICLLSTKMRDDNSNSLQSMNSTGQILISVYQYQIQDYSSNIYCK TKNLDQKLSNFILIYSSKVDKRFSKKIG >CAK85356 pep:novel supercontig:GCA_000165425.1:CT868540:491243:491986:-1 gene:GSPATT00002756001 transcript:CAK85356 MQNILTQQTQFFELLDKQVDAYKTLLDQLNTSQDKFNNLLQDYLLKFQQINNKLLQQGRP ELSCSQIQIKRNDELFTNSQLNISFNLRSLLEKSYKYKLTLADPLETPLYRDRIFQLRVE LKNKNGELVRNPNKIELVVAIYSQEQYPKEIKVNNKGEQILKGHLCVPLIKGTAFFTRVQ IREVSSHYQNGGIILAILPQFKPNDEPINSRDIQPLIVENIKVKAKKFSERHIPVYVNQ >CAK85357 pep:novel supercontig:GCA_000165425.1:CT868540:492661:494161:-1 gene:GSPATT00002757001 transcript:CAK85357 MGHLFRHQYANGEPPAIANIPAPQATTIDYDWILISGFIIVLTQVGFAFIEAGSVRYKNS QSIVIKVMLGLFLTILIWWLFGYGFSFGYDFQTNFMGGTKLGGYNWEANQYGNDYTYFVF RASGASIGVSVLSGAAAERMTFLAWSILTIVYAGFIYAGLAHWTLAQGWLKIMGFKDFSG AGVIFFAAGVAGLVLTVLLKPRRFRFDPNTNLQFSRHCPIYIAFGSILVFSGWQFYNGGV VAQGANSKYTQGLVAVNTMVAGATGGFFAFIIRYFQYETTSLIALSKGIISALVAVSAAT DDIKSWTAFFYGLMAAGVYSVLAKAVPKHHIDDPVEVIPVFLGNGFLGIFLSAFFDSKAG FFYGYGGKLLGMQLFGLLIIFVWVAFFILITLLILKGFGVLRIDSETENIGIDKAYCQSE AITFVNQEDEVCLIKQTQLAQYSNYQIESRARLGFL >CAK85358 pep:novel supercontig:GCA_000165425.1:CT868540:494616:495723:1 gene:GSPATT00002758001 transcript:CAK85358 MSSDFKQDGTPFTKLFQRLENIHQSPVFNADCLQHQDDMYIKDQSPEQVCKKLEFTPYLK SPNQFQPYSPLNHQTTKKANIFVISPARQINFDHTKTEQRLVNISSPLSSMKIPDDLLCE EEEILSEDQDNRSQFRSPPKSPHRKLERATKLKFINFVTLKKPLKFTSDQITNRKQNPKQ SKNLKQSYAIVRNPNVLNYIVIALLQAQHVAKIAIAVLVIITMIIQKKEKLLSNKSWKGI HRHFRPKVESKSNSEDEQDHKPRHFKGCNCKKSNCLKKYCECYQMGVKCSELCKCDECKN CEMPVKKDSRKRIKHVHQHFKHTEIY >CAK85359 pep:novel supercontig:GCA_000165425.1:CT868540:495910:496703:-1 gene:GSPATT00002759001 transcript:CAK85359 MWIRGPKKAKVEAVATSDDLINIWKERKDPQIKEIDEYPLWLLELAVPLDSIDVASIQIA ANDKAYHPPASHVRSIVRSIKRQRIILMNKYTALNRDGDVIGDDDLNDQEDQLEHIQQEK LAEEAAAEEAQRLKELEEDDEDTPKARKPAIRKEEDDDDKPAAGKGGDKDKAAAGAKGGA GATGAAGKAGDTAKGGKKK >CAK85360 pep:novel supercontig:GCA_000165425.1:CT868540:496763:498909:-1 gene:GSPATT00002760001 transcript:CAK85360 MQQFPTQIEFQEDFDFKNLQMLGQGTFGKVYKGKALKSLPAGYYALKIIETSNEQAYELA IQELNLYQVITYHQNVIKVHKVYSWMEQMPAKKYVLVFAMELAQNSLKADIDERRKAQLE FGDLMLIDIMNQCLKAFYYLAKNKHLFHRDIKPENILVTCRQPYTVKLADFGAGKENFNG QAMLNTLVGTPLFLSPKLYIAYTTNQPGKVKHDLEKSDIFSLGITFLQMILLLSDKDLSK LNDPIIYEENTGNAIDSSEDPMKKKDCQGWKKLQNQVSRIQNPQIKACIQGMTEFSERNR FTWLQALKALNPDYEDDEKDQSVHPAHINEQTKAIKFYSNQNTTLRYLGTLNDLCNHKKI ISAGSQILAYSNEGVRLISLNGVSECIFTQNVSFLSYLQTLNICVGITQSGEIFGVNLKS KTFQCEKIQNFQSDKITVMKYMRKDLCILGAETGEIMILAFDTQPPSIIKKYKDVDRSVV DLIYDDETKQIISSHENLIIYGKYLVYEQSAKFQFDAQIHNLQLISSEHFAGISKNLPQI PIFHIKDGVITIVQKCQINGNNIPLALTLSDNYLVWVCEKYIGSIQISAQLNQLVENKGN ELMVNASKPAKLMCFLQVDKMIIASDGLQLHKYQIGKKSQDKTVCQNCEIF >CAK85361 pep:novel supercontig:GCA_000165425.1:CT868540:499123:500043:-1 gene:GSPATT00002761001 transcript:CAK85361 MYQPKKSQLAQVSKDWQTIWKLRETDFISHFLVSKSGNLLAFVIEGKKLYVISLITKKNI FEMTFVKFELYDLQFTSDEKKICIAQEESKSLRIIELSTRSEICYKLPSIFLPLDSYILV EQEKKIYLYQNDALNLIDLNQENLELLLQFDGEYRVLQKASLDFIINIAFNNELQVMYLK NNFCKQKYKYVESNKIIHFTESNKLLSVITFDNNYKLLLKNLQSKKLIRKLSDNVLQNIC DLKYSKNIKYLFLNTGNCLIRWNITTSKLSKLLVQNNVFEKIWIEGKNEIFILNSNNHIQ KASCDF >CAK85362 pep:novel supercontig:GCA_000165425.1:CT868540:500228:501961:-1 gene:GSPATT00002762001 transcript:CAK85362 MFPESCKNRSIGSINQSCHESHRPRHHLVNSIGFLSKHESRHSYYDKEDQQDQLLKMKQE LNQLRLENIQLKSQNIYFQKDVESFHQQLSDDDIQVKTVRNNQKFKHLLKLLQNKEVEIE GLKKKLKMDEIVNYQKKIAELQNMIELQKEKLLGNQRNMLTPQFAILEELEQDNIKLVQI VSGLEEKLKDYDQMKKHIVTQQVKIQQQKQLINELQKEIRQYKERDLLFAVKHNQKSKQD QQIKKLLSDIHKLSSKRQEDEIYIEQLIERHKQEIKIYDRRIIEKDDEMQLLQERCESLC KALQEEHIQKAKLKKNEKSNSIIKPSMFSQNQIVGRGSGDFSLITSQRSLSGIGKSKYSK INKQDLCQIVKIIKFSMIINKVAFDELDAYLFKNQQETTLEELKDSLQQQIFSLTKEQAC TLANYLFDQEDEINLDKPQNNSRIRSILKTLLDNFRLPNKQVFDEIQTNLNANNLEMICN QIQSKFQSPRNKHNVNDLFELFQENGIKFSKASLDYIESQFYKLNRQLSVFEIDQLQQLI DSINN >CAK85363 pep:novel supercontig:GCA_000165425.1:CT868540:502426:502962:1 gene:GSPATT00002763001 transcript:CAK85363 MGSACDSRIITPSKDFDLEEINFGKTYIYGPPSILKPQPIQILKFSDDEINYQQEKEFQS HPQKDYSEESAVVFIPSGNLRPFEKKLSVDEALILKEIPEQQEQNSISRNMKNSNQDIVQ YKSILKHKSTNTLNTSYPQSPQHHFKESQTSSSQNSIKKVTFEKKQRVVYSSFRRIKN >CAK85364 pep:novel supercontig:GCA_000165425.1:CT868540:503275:503955:1 gene:GSPATT00002764001 transcript:CAK85364 MKLLIVVLLILATTAISINSKCTAEVTKLTPRLSKLYGNVNERKIVSSVKELVGILKQLV YVKKSCSGSEGVDLSTFTKKIGVCLKGGFDLATNAYKSYNEFEEDGFSDILVDSLVEMSH LVEPVITNCWGEEVKSALGFVLPDQCITVLDNVAQVSLQIIEQKERPLMVTKGLINLQKI FKQSKKACPFVESLIPKKEEKEEDSEDSDFSDDDDNDMESLFELFQ >CAK85365 pep:novel supercontig:GCA_000165425.1:CT868540:504067:505526:1 gene:GSPATT00002765001 transcript:CAK85365 MSSLFVKCMVCQQRPATIKCNQCQLGQTYRLCYSCDSQVHNKNNPSEEQHKTEIIPYQEM YQKAGSASALKDSSLKSKPEQQQQKQQPQGIAKPQSSASSKQFSNNFKSVKPDMPQKEEI LNNQKPVKEPVQLVQQQSLPNKFTNNYIYPQSQTKQKVEPKQEEIQRQNNSASYMSNQNN KLKEQIQEEQDQNNDLRREIQQTKDQIKNINSEIDKKIQQNSRDFEKKINDLKKESTEEK KKITNLTDEVKKLTEQVKSVDQQTQKKLDNQKKQYEKQIKDMESVINEKQQQIEEIAEEF QNYNLEDIQAKMDEMANEIDEKDQIIEELKNQLEQGGGEEKREDNDELLQQLEQKDEEIK KLENLIENFKQLYQQMQDEKQAMMEENEKLQTENNQFRELFSQNLHLFGIDPNQLEEEDG EGEQEGEGEQYEQDEEEN >CAK85366 pep:novel supercontig:GCA_000165425.1:CT868540:506452:509096:1 gene:GSPATT00002766001 transcript:CAK85366 MEDLGFSEQDRDALEREFQDIINELANEPALERFRQEYTKLHQAFRNAYDQERRLLRKLR DINSDILNNAGKVTNALKFSQEDSERIKLLKTELDNTYKVIEQAQMREDKLKQKIENFQA EIKHLQDLVEQGNALQSQTNKITELQTQKEDFIKERDSLQAELIKNRSETGNLIEKLKLL ENEKLILDQSSQQLKKNLQEMQEKVDKDEQRRNNINEELEQLRQNYIKTKKEYEKQKEDL SKVQVELKKQTETLQKKDIDKEIEENELKNLRDQVTDVKKIYKEIMTKKEENEDIRESNE AEIIKLKNEKNNARTHLNQIEKKNNNLNKKLLRFIETKRQNYKRQGDRHVTDTKKFNEQD QQLLEELRKAHINFANHKAKSEEYNSKLNEKINLIDKEIESYEKEILQLQEEVDEREKQF VINTKKKEKFGKDAALINAKFLHSQEEIKLKDNLISEFQKKNIETDNKLKQQQHLYEEVR SDRNLYSKNLLETQDEIAVIKRRHKIVQHQIAQLKEEIDAKEVALAKEHFEHKKKDKTIE ECCRILEKNRKEIEEKEETIKNYVGEISKLHFVLKDSEIKRQKLKEEYETVVSERDILGM MNQHYCMRKLRCNKKLWHMENMTIELLKFKISDLQRELGQAPILKEKINNLQKDLVEEKL RVKALSEELENPLNPQRCKKLGGSDPDVYEMKQKIKTLQRRLIYKTEQVVEKEVLIQQKE KQILELRDIMRKQPGLEEATQISKLQEQMKAKTRQMKSLAAELNMYQAQINEYKYDIERL NKELQETNRKYLEQRRREQIQQEQQQLMEQNQQNQQPE >CAK85367 pep:novel supercontig:GCA_000165425.1:CT868540:509175:509915:1 gene:GSPATT00002767001 transcript:CAK85367 MSMKQEEAAQVRKSSRQKKMTENFKEMLQEIKEQKPSAKKSDQKQDKCQQKKVQEQKKVY EYGHMTDWLDCIYPHDNGQTFDLEIQFCVLKPKKPTIKKPKKEQSEEGIMSLIQNLLTNL NQNPEQMRRQSEQQIQKVQTTNDGIVVYHPYFKKIEYQSKKPQQIDQIEPSIELDSLKQK FETIKKTFRPLILI >CAK85368 pep:novel supercontig:GCA_000165425.1:CT868540:510958:511885:1 gene:GSPATT00002768001 transcript:CAK85368 MYRNSNFSFQEPKPKEIDFQAVDRLLEYTKQTHQNSQRQIKQPDYEEKEKYRNIRPNSLT QRISLYETNQQQSRRERLSFQDIDFCKIVMFLMAAEIERLREQLEENINQEQIRKNFEGM QSSINQWRLKFERKEEECDQLREELMNLKQINKENYEKNAQALYLQIEKLNNEIQESQIN LKSKQDEINSLYQQLQQKKGQLDQADITIKQLKNEVQSLQNKNDIKYDQFIDELNNEIEN LQQNYRKLYNDYQQYKLGQSALFENSKLIIIHSPGELRNKYAELDDIITVK >CAK85369 pep:novel supercontig:GCA_000165425.1:CT868540:512067:512443:1 gene:GSPATT00002769001 transcript:CAK85369 MSFVSKKPLQARKIVCQYYPPALGLVYKQPDNKTKMYKIQFNEMVQINDAEKITSFIFDQ HSLYFNEQNVPYQQILENVQLILSNQKLMKSSSLSFKKKQSPFTYDQPFSDEDIDLT >CAK85370 pep:novel supercontig:GCA_000165425.1:CT868540:512586:513042:-1 gene:GSPATT00002770001 transcript:CAK85370 MSNKNPIMQDNAQTNNSLIKENDSKVLNNKSQAQQLTEEQKQLQMDQFKKFLQQTGISEA FQVIFAEIIDKRLKEEDSYKYTADRLREIGKGLSTEQMKAKAEMQKKELAEKMKKK >CAK85371 pep:novel supercontig:GCA_000165425.1:CT868540:513383:514867:-1 gene:GSPATT00002771001 transcript:CAK85371 MKSEPDQIMEKSPKGRFIRFNEQIGKGTYKTVYRGYDEESGCEIAWNVIHLDQLPQQEER KRISEELSILNNIKHPNIISFINAWVSKNKSEVIFITEIVHGGSLKKHLRKIQRPRLKIL KHWCREILKGLEYLHSIVPYPVIHRDIKCDNIFINTHNNQVRIGDFGLAIKLKQSDFTQS VLGTPEFMAPEIYEEKYGPSVDIYAFGMTCLEMATQKRPYEECTAPNQIYQKVMNRIKPK SFDLIQNQDLKDFILKCLEDQEKRPTATELLNDKFLQEQEDDHQHVVILEEEQSEQNQQD ETLKYNPVFREQLDFNNSILVQLNDGDEMHIKITFVDQSAYNQEFQEFTKLIVQKYSDLQ NNKQFVQFQEQIIKFGGVEKVFWVKPSEESKKIMKTQFMQSLESFQQTISPLLQDVDINE WELIEQQLLVQFQQQRKQIKSKQAI >CAK85372 pep:novel supercontig:GCA_000165425.1:CT868540:516333:516962:-1 gene:GSPATT00002772001 transcript:CAK85372 MNINSTYSEQSKNDYTLKNAKFLDSKLSQKNQAVEIDEEFYQKKEKRNRKFFLKRSISLL QICKQSGKIELQIGSDFLHIEIMQGGHYPHNQYNFKDIRSEVYLDDTEFQSSNITCSLEN ASKCIVKKCRNLQSEQNCKSFTQIQQQFKEVNSFCKNIQKQDNTKNCQLDSQLLDSIFIK QNNQIDQQIQETKQILIEQHLAIIKSLQS >CAK85373 pep:novel supercontig:GCA_000165425.1:CT868540:517395:517962:-1 gene:GSPATT00002773001 transcript:CAK85373 MVKAKIIEPETPLQKKKKRNFIDPQFKLGLINSVVIDRLPIYQAAILHKIKYSSAKHIVR NYLSDKDNFFSKQKKKCRSIIENNSRIIVDVNSGKIKIINSSHTILQTNQQQCNNQTIVN DVLSDLSHQLLKEIMKSQNSYLKYQQCFKLQLPQIYLSFDQQLSKFKTILSTQHQLMNLT >CAK85374 pep:novel supercontig:GCA_000165425.1:CT868540:518235:520318:1 gene:GSPATT00002774001 transcript:CAK85374 MNNKSYRQDESLVEPDLTQVQQIDQDPFLQEEILPSENQEIDVLEETQNITFNFTNIKTF MIEIPDFAKLFSLQRYYYQELKQFLTSLELNFQIVKEGLKIQTEVEDEHHEGIQNEINKY LKIIYTKKLALDYDSSRTFFRSNYIQKECQQQQYFIVKQKNYDQKIEIHLVSKNESIGVV ASNLEQKLKGLRIFFQDVVNHMTTPKVNIDHKTLLGTLRQVYFQKIISDDLEKQLPNIEF VDVIDKKKTFSSAILGLHFPFDLQGKSLLCQEIFQYIKKRIESLLVIFISFEIQVDYLNQ ARKIENQINNFKQETRFLCVCQVDQSLKVIAILGTPESIKIIFNEQLKQREKEFSKEFFK TFKVNILQKNFDFNSTQQMGTFQSQIVEFVKKKKEIEILSQEQLYSLKFITKVSFESYCT YFNQLGNLITFICDKKIGQATEKALSEDLKKINSKIKDFNLNGKFFTQLEKIPDRIKNMN IAVQQNQEQARNQNQNQKQQQKVIEKPIPQQNLPQVQNPIIQQNQKQQQIIKITDSKDEQ QEQLVDLFVGKHQHYENVKEEKIVFKDFIVQEILDGNGKIKISEEYDKHFKKLTNNNQNV EVGHISITEKHLMSLKAFDKEIKIYPKVNYIQRQLDEIILLVWVNGNADKNKDAENMEIF GQINKQDEFYMVNQDNQILPIHKIQK >CAK85375 pep:novel supercontig:GCA_000165425.1:CT868540:520809:521468:-1 gene:GSPATT00002775001 transcript:CAK85375 MDPQQTNQQQNKNNAQNEKPEVQKQQEQVKLIQKEKEKCLEIKNKAGTFFTEQKFEEASD LYKEAIDYCPLDDLQMLCILNSNIAICYMKQSDYDIAIDYCTKALTFNPEFVKALINRAE SYEKLNKLEDALEDYKKLKVLQPQDNVIIKKFIDLDLKVQELDERRNFEAVKGLKGMKNM GNSVLGKLGLNSENFKLEQNENGTYNISYKS >CAK85376 pep:novel supercontig:GCA_000165425.1:CT868540:521508:523146:-1 gene:GSPATT00002776001 transcript:CAK85376 MNQEDIFLKKQWFIKSCEGKIEQFYDINLKKVIGSGTYGSVVKAALKGTKQQRAVKVIPK SKVKNPERFKREIDILRALDHPNIIKLYETFEDQRNVYLVMELCEGGELFDRIMDKGYFN EAEAHIIFLQIMQALNYCHSNGICHRDLKPENFLFLTKAEDSPLKVIDFGLSILFEEGPQ KPGTQKVSMKTKAGTPYYISPEVLKGNYDELCDIWSAGVILYILLSGVPPFYGDTDPEIL EAVQKGQYTTDIPEFKFVSDSAKDLIANMIISPDKRYKAQQVLSHKWMKEKNKPNKELKL NYGALKNFVGSNKLKKVALTFIASQLNEQEITHLGKLFKQLDKNGDGVLTIEEIREGLIG MSDDQSKELANVIKSIDTDGNGNINYTEFLAATMEKQLYMKEEKLYQAFKMLDVDGSGKI DKKELQQVLGKSDKIIDEKYWDDMIKEADKNGDGEIDYNEFIEMMDRFSIMN >CAK85377 pep:novel supercontig:GCA_000165425.1:CT868540:523512:524740:-1 gene:GSPATT00002777001 transcript:CAK85377 MIFRNLKNPLLSKTFRSQIPSFGVLNHEKFQKKVEGKGKVTKFLYEFWEILYQGTWDQCV DAKLMCKYTITQKKTAFMLSEITRIRKDLIKFVPFSFFLVVPGAELLLPPYLYLFPNAFP TTYLFDDQLAKRYITRDRKQMNSYRYLFQLMKQRIPSIENIRNNQDYNAKGLQELVLKHS HLFMTKLDYREFNSEQLVHVYKFMRVQLSKFLGMEFFRGTYTIMKLTKLFVNLPVYFTNL FYWLTRNPERRPLKSVLKNWNSLLPFPIEDLKKALLLMQIKSHLKKMERQDKAFRLNGFG DNNFDSIRQFARERGIPALVMQKENPEQHFEYSKKQFKRDYIDFIYKEQVFENLKIWYAV LYYERYHNRIVEEYEERVRKHLLH >CAK85378 pep:novel supercontig:GCA_000165425.1:CT868540:524791:525634:1 gene:GSPATT00002778001 transcript:CAK85378 MQIRQERDFDCESIKNALRTINQRLNYLQKIIPPEINVEKDPKIPKLNLKKIGIIQEENK QIQKQMPANFGTNHQKSTEQQTKVPFKMRSQSFNNNIGQTLPEQNPKNLFPSNVQNPYPL NEKIQFNNEFDIQKRSQEILNKANNNKENIQIQYHYLMQPAKISLHQEHQQPIIQNNQSK VNVTPAKIISKKSQPNPQINHNQYQHPIIHQQLSFQQASQQYVKVIQNYESSNGPILQCR PSQHHNSRSSSFHNLENYQIEKKI >CAK85379 pep:novel supercontig:GCA_000165425.1:CT868540:525660:526286:-1 gene:GSPATT00002779001 transcript:CAK85379 MNDKFLQLRNNYCYQYNKRIIKNYEQPQYSQRLKTETPPILKQNKYTYHSPRNIIQTTFF DQLKKFPTPKPTAYQTYLKSLLENKTEIQSKQKFKPSFTMHDIRGQKINTQRQLKDTLKN QQKHHYQNKTEFKMPQKIKQHNSTQFNQPQKLSQEQMKQLSIKKINKINTKINTCRAANG FQALGSDGMQNYEDNFDDIQPWNTSELK >CAK85380 pep:novel supercontig:GCA_000165425.1:CT868540:526298:526846:1 gene:GSPATT00002780001 transcript:CAK85380 MYTKNSSMSKSQQILNLYSSFVHPQTQHKQNKSDNEVHKRLGAILKKNCSPYQKPFQHKS NSKQGSKQSEILRHLTSSPIQSLRFKRISSKQQLQLDSYEEPKLQSKENMVEQQYNSMKR STQKNLLTLIESTKRLLDEYKNRLDKAEGEKQQLEQEVQYWKSKSGY >CAK85381 pep:novel supercontig:GCA_000165425.1:CT868540:526875:528161:1 gene:GSPATT00002781001 transcript:CAK85381 MAVNDERYVFIVEWFDTSASLIRSYNLTYFMSDKTIEMFDLKNKRIFLKRCEYPSVQLKD LYVGSIVTVFSRQLKIVDYADVFTRSKFEVQRGKTFGMIKPDAYTHIGKIITAVERSGFV IGNLKMTRMQIGDAQQFYGEHRGKPFFDELTQFICSDFIVGLELIADNSVKKWRDLIGPT KCQVARVEAPNSMRALYGTEGVRNACHGSDAPGSAQRELDFFFSDKSNLKSTAVFNNCTC AIIKPHIVLEGRAGQIIDIILSEGFEISAMQMFYLDRATSEEFFEVYKGVLPEFQAMSEH LTSGPCIAMEIRQENAVKAFRDICGPHDPEIAKTLRPQTIRAKFGTDRVKNAIHCTDLPE DGILEVEYFFNILQQK >CAK85382 pep:novel supercontig:GCA_000165425.1:CT868540:528677:529901:1 gene:GSPATT00002782001 transcript:CAK85382 MYYPQPYYPQAYPQYRYQPYGVRPQVPQQWRPPVYNAPQYPYPGLRIPPPTYPYNPYNPY TSSIVPPTYQPQPMLSQIMASPQRQFQSPDRLKGAQSPNRNMLMQSQQVPKSPAPQLQSP NRQYLTYEQVQERIRKQQPQSPQYHKQYTPQPKPSQQKQQPEASKQKQQPTQPPKKEDDL DKRYQNALKSTEEIIKKYNIDDSGSKGRQTNQENQEAEAEDGEIQELALQYEDGFIYRGQ GYPPQTRSGFGILTDSDGQQIYAGYWKDNLYDGQGRLTNLQTEELTEPIDWNNMTTIGNG WASYEGNFYQGKMHGQGTLILTNNEQYFGEFEDGMVHGDGEFTNLDGQVIKGKWDQGYLI QLSEQE >CAK85383 pep:novel supercontig:GCA_000165425.1:CT868540:530528:531417:-1 gene:GSPATT00002783001 transcript:CAK85383 MKKKAKDSEAVKRASHAQETLESKSLQIFQEMGREINLQNMQKLKLLNANQQMKIYFKGF KFDRAADCDKVEKEQNLLFTSPKQDQVTYHYYKGRILIFHSKFFYKNVQEHKKAYHVLDK SQNIQFLLICFWEDIQKKKLIEQFKEYDLLCTSVRQGNIAGLQEAIDKYRQIWIKRSLLI LMDQLKVLCYRNLCRKVWLINQKSNKILLNQFQKAFRISHPQNNNPTISEVCCIIANLIY LQLIQGQIYLNNVKDVYAITLSPDPFKNRPNEINWQN >CAK85384 pep:novel supercontig:GCA_000165425.1:CT868540:531489:531752:-1 gene:GSPATT00002784001 transcript:CAK85384 MSCLKRIFSGKEQSLLGLDLEQTLKQLRLENDSETCKRLNDNARQSHPGWVELLCSLLQY YVERERGSANVIDHFENLFKNTKLASR >CAK85385 pep:novel supercontig:GCA_000165425.1:CT868540:531844:533328:1 gene:GSPATT00002785001 transcript:CAK85385 MADKIRYTLEKFIPDLLALQKKQVFSKDEVKDILHKREEFEYMLQRRTHNLSNYMQLLDY EYGLERLRRQRNKERQVKKVTTRDYAIVKRIIYIWDRIMNKYRYNIDLWKQYLSFCYIIE SKKHFFKVITKALNFNPFNTDLWLAGALFELEKAHNPIKARKIFYQALRINNKNVDLWGS YLDFELNQLQIGQEQKDESTNVDGFLPLNTVEPITQIKSKKLDGIKQIIQLAFENCDNSE IRKLFKQILLRHNQNELAEEVQQTTIQLDDSEKIIQFLQENKDDPQVFEKLNDSNVEYKN ELLSLFRDKANCLDSFWLLAFSQPDLRQNLLDEIKNKSIKFKIQLVEKGYLKIEQINLQE IQKQKNKKELLDILLSLVHLYKKEFSFDLAKQNLLGQTDLFSTFLDEYYPLLNNEEQQQL LNWLEKQKQFCPLKYHKLRNLDFVQLIKLTEYHPIVDTWKFVLEETKQRFPEKITQIMTS IQLLDPKIRIQLLK >CAK85386 pep:novel supercontig:GCA_000165425.1:CT868540:533408:533985:-1 gene:GSPATT00002786001 transcript:CAK85386 MDQQKSEQEDTVLFRQFQEYLENQNELQIYHNTHADRFYKAMPCTIKPRKIKNNPIIMAQ MLVRNSQSISPKKQCIKKKFRSLKLIVRKTPTRNDERQQQLRSLLVSRREKQRCHSINSE VFKEVNLQLNHNILDQPKKLRTPRSTRAELYFSNQLRDSLRTPRCGITIPQYLAGKIKSI K >CAK85387 pep:novel supercontig:GCA_000165425.1:CT868540:534915:535406:-1 gene:GSPATT00002787001 transcript:CAK85387 MSNSSNVIGIQYNCFHKRPETKEIDLSFNFVSNNSFDNDQITRTYFSRIMSRRKGTSRFD TQESSMNIKKQIRFPTLQSKQAIQKTEIGKSMGFQIQPIQIQLKIFNAKPSESFTTEELQ EQRRAYCLKQYRKYQQQRLFQQMILKNLSIKETIQTWVQSQKK >CAK85388 pep:novel supercontig:GCA_000165425.1:CT868540:535919:536476:1 gene:GSPATT00002788001 transcript:CAK85388 MRQFSGSRNRRGGNRRGRDFRGGNQNFRNSRGNDSRPNNQQRNFKNKKFRGQSNQNQNQN QGFDRQRNQNQQRRNQTQRGGGNNQGFQQQGAQRRRRLGFKQKNQNGEKRDNQRFIKTGR RKNQLNSEVLKIAKDIQRKNKMEAQLDKYWKKAEQNNNEEKPAQEINIDK >CAK85389 pep:novel supercontig:GCA_000165425.1:CT868540:537469:538905:1 gene:GSPATT00002789001 transcript:CAK85389 MGACTSTKQKRYEIVRTLMPYKVHVMAIIWPKNQHNLFDFESSSYCVKEIEVDFSAQLAT VDKELVALTKSEWARNSIEIANITLNEQQAFINSISQQQDHKLWAVLKSETNQFQSIDVR VVLSIKHWDLKIGDIIKLGRVRMHLLEYAFMTPCDEATQYQDDQEDDIQTISDVASCRIC FSSKASEINPLISPCKCEGSVKYIHLECLQKWIGIQLKIKQGDHFIQYLCKRLDCEICKF TFRNTYTFQDKSYSVLKLPKPKSSYITFKVTNDDKSKEAMIYVVEIGEKTELKIGRIPDC DIKLRDISVSRSHAMLKLIPTSKHTTDNPDYTIRVQDCKSKFGTLVLAQDQDLLIIPEDG KSPILFQTGRVLLQCVQKKKVNQKPAYKHPDNVVLIQIETKPQLDQQEQMQDSFVTHDEL TAGDIIISNSQNPHKPQPNMDVKEDSICDER >CAK85390 pep:novel supercontig:GCA_000165425.1:CT868540:539891:541039:-1 gene:GSPATT00002790001 transcript:CAK85390 MSKKSEKKKQEKIIEDRTFGLKNKNKSKQVQNFCKGVAQQVKHSGVSLSKLQSEEYERKK IERQLEEEDRLMQSLYKTVEKVKEEESEEEVDPKSILCEYYKQGLCQKGKKCMYSHDMSL EQKTAMLDLYTDQREQMGDEWDSCQNWDEKTLKDIVEANEKTYKSQIPSAKVCDYFLDAL EKGKYGWRWVCPNGMTCHYKHCLPQGYVFRKKEESKQKQEGDLEEEIDEQISQLQKGGTK ITKEVFEKWKQERAEKKKLEAEKQKIEEQKKKGAKQTGGNSQMTGRALFVYDPSLFIDDD EAEKDYERVEQIDENDEEDEQENKQQLYEGEDNQQQDEDVDQQFKQQQQ >CAK85391 pep:novel supercontig:GCA_000165425.1:CT868540:541260:541526:1 gene:GSPATT00002791001 transcript:CAK85391 MNKEQELIENSLYFQDILEENIESNDFYLYETTQVVQQPVENKNFTLINILGQMNINENV EMLIEEPNY >CAK85392 pep:novel supercontig:GCA_000165425.1:CT868540:541529:543125:-1 gene:GSPATT00002792001 transcript:CAK85392 MDQEKSLIDLKQALAYRNRSKDIIDVIAKGVQDLKFFREKQQLFDPALYCQMLKKIQLKE LQKGEVVFHYGSNNVEQFYVILKGQVAILCPNDKNITHQQVIEEYQKGIDSNPTQQRRSS AVCSPRLSAFNNRALKDIIMAQAYGNFLGISNYSIIQLIFVDIQKVDQESLIEEYTNNPN RISVDKWLDNLVKRLFPSLHIVNMCGSGDQFGEIALIGNVTRTATVLCTQDTAMITLANP DFRAILQQYHDQVKNEKISLLRNFELFSKLNDKRLRGILEYIVVQKPTMFSIIYMENSNP EYIYFIKQGEIELLKVFPKNRTVSITLLQVGCVFGHEEVQQSIPREYRAVSKSSQCELYL LPVETIQQFEKKDAQSLEKRFHHSRLQRLLNDKKIQKPSSKKTQTSIELYKTVFGEDYSN LFNHELLQKRYNLRLLRMISPRHRKIMTETFTPLFDMDKKYFTSKKQLTERKTISTTTTR QNKENSARQISTQSTINIHRHLFSPRISKRQLDTIMPFRKIAQQ >CAK85393 pep:novel supercontig:GCA_000165425.1:CT868540:543146:545090:-1 gene:GSPATT00002793001 transcript:CAK85393 MRILIVNGYGKSETGKQQFERYCGQIRKVNLLQYKQLFLNQKELLDTETEFFSRDANDLD EFLYDQDSGYSKQEAANQFNFIDFVFIEIDANLRPWSKHLKKVMVLIRMCLRTNKVLLAT AGAMQALVFFVATNLETPVEVVNGPQGGPLGDMSKLQKSLDQITRNEYFLDSITGDLYGI YFDKIESANCWIPIANCGIHSRRAVEEFNTVGKYMLQAPVYKPQKIKEIYGLYTSTQDEE ICMIKKNFVQNYLFKDVKQEFLVHSKHAWDIHSFNVLNPKKTFVILADSSKGPQVITVNE NIVGLQFDINPKYPETQSILRNFIIHYLIQIRSCVNKPLTIQQANNDLRKNKLDLENMTK QQAESQQKGERTVFNFEVLTKFKHVGFTGKKAKKLEIVMNNAINDQGQLQEAMKTMRQKQ SPRTKQSTEETDLEKSKFAKFSTKLNHEHRDTTEKFNKTAAEIRQMLHPNIDEQLLPENE PLWVPGTMNTSMLASTGQRIRQRPQSTTQSRTFKLTRVQTAKSRVSKGTTKEDYDLCREH PIVRCSSPYITNLEKDRLDQKQKDKQIIGPRSIRTSVVSTIKGGTPFILYDNPYSNPADF KHRDENKTRWVGGQNFRVC >CAK85394 pep:novel supercontig:GCA_000165425.1:CT868540:545369:546795:-1 gene:GSPATT00002794001 transcript:CAK85394 MSITVEDLAANIETVLASLGDEKMVNWEVVEQTEHNLMKHFDQLFERLYTLKDSLQRVFL INIKNLRQYDAKDLVQKVKDKKLEPIVLKSLTQYAFKNDKDELLLNPSLIKGQVNSIVLP WVGNNLSVTDKIKETNEWLDSKLAELEVFKPKETQESNKTLDVQPKNEGNDKNNYTFKSD KLNEIASQIQTMHIPNTNNMISIKTYTQIVEGSVELAEPEFIRITLENRKARREVLYSDG AKYVQLLLDYVNDIENLLMKAQEEICKKIDISQQTLEQSEMLLMERGLGQHVFMLQASAR QRIKDKLPKQKQVQMNATKEIIRYQIKLLNEKQDFLKNMIDKLPTTYESGQLVPMVLNLV MGDMIFEEHSYEEEDYISNLENPQLFQDPDMMELLKSIETGVVQLLGKTAFAQPPGGMMG NIPPQMLQKQQQQQ >CAK85395 pep:novel supercontig:GCA_000165425.1:CT868540:547015:549746:1 gene:GSPATT00002795001 transcript:CAK85395 MSAYDFTLIFPSIPLSFGISSDLAAGWSKLVYEQVLMNKTQQKIEVEIQGLTEKEAFVVE QCVKLLFGFKIDFCIQDVWQALKVCDIIGITQSPQIEGVTVQEQLLSFALQHIQQINLIE GLDYAQQLLEVGGEGLLVHRTDIRLLSQQLVDKIREIIILSKFEILTNYAHNQDQSIDSQ NINNNNKFLLKDLKREHYIQLRTLFYNFVNQQNTELSANQNIVKILFEELDQQFELDSYI NKYFEEVTSKMQAIDISVNKMDKKYEAQLTKLNEFINQEFESLKKENKMLKNQLYFAHRR IEQLQNPMIISQYQMKLFCFSNGVIKYKNSGIIHTENMDSYIINCIVILKDSTIAVGMQG GDILLYNKQLKIITTLKLSSVIQIKNAYHVICMQEQVISSNQGEKIFLISSYSNNMYCVW NEQKSIFHEQLESQVSVIFINPLLGKFILFGCVDGTIRFIYLNESKSNYQADHIKTLKNS NTEVLKLEIIPQNNDLLVSAHTDQLICIWNWESENVMKVLKTESQIHDIQVYLDLKDQKI LRVLLQNGYLNEYNAEQQIELMESIYIDNKAFQLARGKCLTYDGKIKKIKNGPLNQCNYH LQHQSTYFHHQDNCVYGLLLINYPYVASSADDKTIVIFNLKSQEKLVLRGHLSYIKAIGL LQENKHIISGSYDTTIKIWEISTGICQNTLNGHTKPVLCLQVLQHTQQMVASGGEDGVMR VWNWKTAICIWKVENKYEIWSLLAIKDHCTILCGNKDGTIKVIGTGQSGEKSQDIKEWKI HKQYVNCIIHLKASIIASGSSDTTIQITDLYSGKILKTLIGHSNIVWSIQMIDDDTIASS STEGKIKIWNWKESHCLNSQVVGKGSIYTLLKLPFTNKMIGGTAQGEILQWKICKDEQKL V >CAK85396 pep:novel supercontig:GCA_000165425.1:CT868540:549780:550546:1 gene:GSPATT00002796001 transcript:CAK85396 MSNYSLMFKFIIIGDTGVGKSSILLQLIENEIREQHDATIGVEFGSKIIKVNGMNIKLQI WDTAGQENFRSIIRSYYRSAIGALLVYDITNKNSFHNLQRWMEEIKNNGNANMVIVLCGN KIDLESERAVTYEEGWQYAQSQSLIFLEISAKQGINVQSAFYQSTQRILQEIDDQKIMLG QDPGIKIGGQYKKKDKEIKVINTYEEAVQLKTIDTPNGNNCC >CAK85397 pep:novel supercontig:GCA_000165425.1:CT868540:550605:551278:1 gene:GSPATT00002797001 transcript:CAK85397 MKRILGLYKFGSGGHGHHHIKLNTVQNWISNESGKLVCITGFTDLHDHPHHDNTNPYQHL VDAPFFSFERFYWSDPYFHADETDPLANQPHGYLVMDDPLTQQNNVELPLYELIFVFAGA LGLIVLYGHSNFLYQSRGEQFLQTHITRELLEEEMRKIRDETFELEQRKLKLQEKLGQNS >CAK85398 pep:novel supercontig:GCA_000165425.1:CT868540:551631:552309:-1 gene:GSPATT00002798001 transcript:CAK85398 MDSIFGLQGGDFVIIACDGSIAHSILKIKETEDKILKLDDHKIFGISGEGADRNSFGEIV HKNHCLIRYKTGGYMNLQETAHFVRSMYAQAIRSRDGPHQCNGLLGGVDSDGTPSLYWLD YLGSLQKITRGAQGYANYFLLGLLDNQYKPNLIKEEGIEIIKKCIKELQTRFLIAQNKFI VKIVTKQGIEVITI >CAK85399 pep:novel supercontig:GCA_000165425.1:CT868540:552401:553538:1 gene:GSPATT00002799001 transcript:CAK85399 MEKLTIDHFTLLKVIGKGSYAKVVLVKKNDNKEIYAMKILKKKNIEKRKQEDHVLGERNI LVEVKHPFIIKMFYAFKNDVKLYFVLEYCSGGELFNLLQKRKVFTEDQARFYAAQIVLAL EHLHNHDIIYRDLKPENVLIDAQGYIRITDFGLSKRNVKGTKDAQSVCGTPEYLAPEILL KSGHGKPVDWWTLGAIIYEMLSGFPPFYTQNREELFESIKFAQLKYPVSLTPACKSLLEG LFSKNADKRLGSKGAQEIKDHPWFLNVNWDTLLKKQYKPPFIPLVKSEVDVSNFDPEFTE QPLESHDPNSLSIGGESIGQKYQDFTYDAKLE >CAK85400 pep:novel supercontig:GCA_000165425.1:CT868540:553569:554759:1 gene:GSPATT00002800001 transcript:CAK85400 MIQNVQNKPKLLNQIPEYILNNQALNNAISQLPKHYNFEIHKTISRIIETQERLQRQNIL INLQFPEGLLLYSCMISDILTTFTGCETFISGDVTYGACCIDDLTADEMQADYIIHYGHS CLVPINECAVKTLYVFVEINIDTKHLFETIQKNFTDKNIKYYLMGTIQFNTYIHLLKKQL EEDGYKIAIPQEKPRSSGEVLGCTSPKLEVSQDSRNIVIFVCDGRFHMESTMIQNPQFEF FQYDPYTYRLTIEKYDNPKMMNLRYQQIVHCQKIQPQLVCIIMSTLGRQGSSQILQRIEE LLKKHQIKYFTLLISEINFEQLNQLNEVDVFIQIACPRLSIDWGVQFQKPLLTPYETYVM LGEADWKQIYPMDYYSNNGGAWTNYYHKQKVKLNYE >CAK85401 pep:novel supercontig:GCA_000165425.1:CT868540:554780:557426:1 gene:GSPATT00002801001 transcript:CAK85401 MNIQLAQKFVNQWKRFVERRKEVRGILIEQYKQSYIRVLNSYKDLKRRRFQQYIINNASN LIYKALKINLWKVKHLRQFLNQNQVKRFYILKSQEQIFKNAFNLHAKEVNIKTIRLHAQN NCQHKNLIPLLFQRIKSAVILFNFHSLKKSFGETNINRDVIKYEFLYFFIKYVKVKLSQK QFELTAQELLRHQQMIKRIDKFQQEEYNLIKYIELDNLKVLKKIYQYNCQNRDRQLHIFF DFQLREIAAVCRIQKYFRSKQNIAQKGMKYVAIMKFINQSRAIYVIQRWFRRIRWYHRNN FFKEISFYVSQIPTPNLYLDMQTYQKIEEILGNQKHSLKFLEQYHTIMADNEIVRLQFKS QISDDSIQSTYAQSVAQLDHLNIAVIPKWLIQEIQKVHINEEKIIDYRIIKQDQNSTKWN CRNRDYLGKHKESLKELKYYQQADIYGLLHFGASISFEYINNRDYIKFTYVSTSEAKYRV IALALITYRFKLNGTKILMFSDHIWESPIQQKTIFLYKYYQKIQNVYKLEVTAQDTSQLC DRKQNQVILCLNNSQADKFKYDQQTIQLQFFNENSRLIENFIWMCPTKSNKLKPSKNKTS LQINQNSLKNSSRMQQVNSSFSDIQQEQSVIKNSHQQRSIDISPQSGIIDGSSKSTQLPV KFSIMCIENPEIQFQPPKFKKRTEQSYQEFAMSSRFRTQNSSLKNSKIKEQIESLNNSVI QPKKKQFLLEDIHENIEYSSFKQKYLEMSLNEKKAKIQRIKQKNQDEMSFIREFHNFSNL IQRQEQKIINRQLKTARAKTLEKSSKTNSVKQTMKSQSITKREQFQDVSIVFPKIEPKSS KKQTLNSRLSNYHRYAVFAEELYAISSNNETLKL >CAK85402 pep:novel supercontig:GCA_000165425.1:CT868540:557580:559276:-1 gene:GSPATT00002802001 transcript:CAK85402 MRRDLSTQQSSQTVESISQLQPTFRFEQAIQVLSSILLSNSFYVIAVLLFSFELNFDGNL LSVIYCIESKLLLQLIHQLYFYRIFLQFSIIDKHILISLLSTLVEGSYLLILNFYQISRR RDLLLYSNILPLVNILLWMILKINEGNLYFKESISQINMYHVFRNITLLITSIFLCLKLE GYFQTEWLYTLWMFWMLFGISALIVIYCIFVILGLGIQIILEQSSRQKNLGLRFAFINSY RQFLDFIFYDVKCSHAFFNPNNNTKFLQSRIILIVNQIIFSYFTVRFKPDIVLSLQSYLS LSDSVNPLNTLPTQQGEEQLQQSKKQDLMDSQVIERPIQIPKVVKRISKTYFGFDDLFAD KKSEVQLHSKKPTHHKALSSQIQRPQQNEYENIKLSSLINIGMSEGQQSNAELENNLKEF EQANQPGSVSLSSINACCICFDNEPNSLFMQCGHGGVCYNCAIDLWKNKAECYLCRNKID RVLKIKMIEQQKNIYQVIAATEMNQKLKIQQKNFTQNQQQ >CAK85403 pep:novel supercontig:GCA_000165425.1:CT868540:559346:560543:-1 gene:GSPATT00002803001 transcript:CAK85403 MEEDQSIYIYNVYQTQSHYHYSMMNKIRNCQKEFQARVKKFSKLSIQTSIDYSQYAFSKQ LIKYKIYNRKEKSLEELSKKFVSCLIDYDEKIICLDQITEELGVERRRIYDIINILESLQ VVKRKCKNKYCWSGFKTIYQTIEQYANKQSDLDLTTHKREKSLEVLSAGFIKLFMQQKSI WTLEEAAKYLGNEVDQNKLKTKVRRLYDIANVLKSIGLIKKTHLTSSKKPAFQWVGKEGL KLFYQNLKQREMKNQTQQIKENIAIIPTPQIQNVNKPDSFNSYNQYVGKCIDMLIQQQLN DRKQQTEQKIKEQNQNQVSVITPKLRKTYTIIPPRVTTPKKVLSNTPKKNQSNSHTPNNN KTVVGSLIGKFNQNL >CAK85404 pep:novel supercontig:GCA_000165425.1:CT868540:560738:560920:-1 gene:GSPATT00002804001 transcript:CAK85404 MNSNIQQHLPYQEINIKDQKRDKKSKDQSLIDELHKAQTKNLTKKKANKNITKFIKTYDI >CAK85405 pep:novel supercontig:GCA_000165425.1:CT868540:562000:563917:1 gene:GSPATT00002805001 transcript:CAK85405 MKSTQKEKPIQVVKYTKETQKFEITQEAREFFQQLDGDIGFVVVCGKYRTGKSFLLNKLL DAGGEGFKVDAATDSCTQGIWMYTKPIINPKTNLQIYFLDTEGSESIERTTNHDAKIFAL AILMSSIFVFNSRGCIDETSISQLQLTTTLAKNIQVQDQNKESVNESQIKYFTPKFVWIL RDYVLEMRDQQQRELTPKEYMENCLTDESQYIKQNEQSKKIRKSLLNFFKERHCFPLITP VDDTQLKNVDQLALNQLRPEFQKTLMKLKEYLINNCSPKQIHSENVNGRMFCAMLDNYIS VINRGGVPNIDTAWDSILKNECAQGYEQAKKNYSNNYTNFFIQNKNTRKLEETFQVLSQI RSECFDTFHLIAGVREMNKHYEDYKQKLIDLMNKTESEILNQNDEIHQSKNQEIIAQETK KVQDDVEKENYTYDDLHFFSSDFVGFILNYDKQASGVRKASTLYDYLKLTHSNLLKKLEK SIRQKQNKKGGTQTNINQELAQEIRDYDSQYKLLEQNITQQDTQLKKLEVEKQKLDEEKK KLKDELEKLQISNKQQGPSQINNAEKQKLDDDIKKQEKDKQELSLRLKNLTKKKKGCCG >CAK85406 pep:novel supercontig:GCA_000165425.1:CT868540:563955:564740:1 gene:GSPATT00002806001 transcript:CAK85406 MEFEVQENQANNSIQGVINLQPQVNPVQAQHQEIPIKQTDLQVSSTLEESVAVTLLRDLR QIGVKLRCVLVPTMSTNNARELRNWDLWGPLVFCLMLALTLSMSGNNDDGPGIFATIFVL IWVGSFVVTLNAQLLGGKVSFFQSVCVLGYCVFPINLGALFTLFFSFFYLKMFLVIGCFL WSTYSAVGFMKLLIPEQKRILALYPVILFYLFLSWFALIV >CAK85407 pep:novel supercontig:GCA_000165425.1:CT868540:564778:566409:1 gene:GSPATT00002807001 transcript:CAK85407 MGSCQCRKFCESEQVNSNTHLQEIATNDDYRVNNQEGTVKDLFIKIKTWQKESFSLFDYE NQSHLKEQNFQISKGGYLIKNNNELQWIDDDVDWNKSVIKQEQILFRIDKNEGIFSIINK RGECKQNYEEDKQEQTTKYHGDNKDFQQSENLDQLEGDEFHQPNDLIKKYSHHQKISMSQ RTNTKLMEKGSKLWLVVRSMQSMMSNNGIKLKEGDVIKMGRVKFKIREIQLNQRQLMQSS LFIERQDSDSAKSSQSDAITCRVCCSSAYSRSNPLVNPCKCTGSIKYIHLNCLKKWLKSK FQTKQSDHCIIYMWKNLECELCKFNYPPIFKSDEGIFDLIELSKPTEYPYILMEITQKRY EEMQENNMSEDTQWNQCNGVYIISFDNNSSEAENIRINELKIGRANETEIRVNDISVSRN HGTLKLIEGQIYLTDNKSKFGTLILIQQTVIPLISELNGIEMQVGRSVLQFTLGNNNANQ QSQQQQYLESEIFDKIIGRNFEDDELDYLQ >CAK85408 pep:novel supercontig:GCA_000165425.1:CT868540:566557:567473:1 gene:GSPATT00002808001 transcript:CAK85408 MSETYLSLNDFYEFKHVRTLKNRLSNLSQKKKLTLFYLNKAMLAKEYVKTNLSCDCTEFG ICNQFIRRIKKQYPFNGTRYLINKIQRWQRRKKVLKRFKAAINAILFILSYKVETIKKFR KRMHILKAMRNLTTIKKSQITVFNHQQHKPIISIPQSRQSLRIKTTPDDETFFHHTANSA REPRKSQVSLYMDKMLKDVIPKFVAHLKPLSYLNQKLIKQNSAKSITQASFSQFNNLQVQ SNLQRFPTVHVESIANNQYKMNNKDLLKLIDSLKVRHRIIRCKK >CAK85409 pep:novel supercontig:GCA_000165425.1:CT868540:567500:569263:1 gene:GSPATT00002809001 transcript:CAK85409 MDLLKDPVNDRQVKTLKPPPHRPLSRNLMFPDKLKNKPDWKLLRDHLQKEGRIAKEDLFK LVADCNKLLKNEGNVLYLQDPLTVVGDIHGQYYDLLKLLEPKVGGNPENTKYLFLGDFVD RGSYSIEVIVLMYAIKLNFQNSVYFLRGNHECRQLTAFFNFKEECLYKYDQETYEMLMDS FDLFPLACIINSKFIAIHGGISPDLKSIEDIKKIERYHEPPRQGLFCDLLWSDPVDQEQG YLDTAWKSNEVRGCSWFFGGDSANKFLQRNNLISIIRAHEAQLDGYKMHRWNGGQDFPVV ITIFSAPNYCDVYNNRGAVIKFENNTLNIQQYQYTPHPYLLPNFMDIFTWSIPFVSEKIT EMLYHILQTDIQGDDDEQISESDIQQFKQLTKQTGLQKQSTTGSSQGAQKNTEKLKNKIK FVSTMMKMQRTLREERESIIQLKGACPDKRLPRGILMKGKDAINDQLADFTTAKSVDLIN EKMPYAQIPQESIQIKKPSTQRKK >CAK85410 pep:novel supercontig:GCA_000165425.1:CT868540:569484:572509:1 gene:GSPATT00002810001 transcript:CAK85410 MIQISLLNIFKHSKEWVDGCFKAIEEYAQIPNQGQWKICCLGFLMETVLTEISEPDFDID DKCYAFIIFLQHAENEKLVRAVMKKVGQIQQQLGHRMKSANQLNQLIEETVLKLYQEEVQ KNSDEFHYQNVAIELQEKGSQARKGSKPKMSLMAMNILQSQTLSSKMSSKQQLMNDHVNN SNSDDSIKGGDVNIDEILIQHKPRIDSEQSEYLADIDALQNLFSYITNPVATEPGLIFAT DTLANDIQQPCDDNQYKQHVIKPDKLTTLRSYKAMLFLTDYHNHQMIMQINQHTMQIAFR SISGFFQNSQSSGNLTHIIGLLQFYLKYELKETVYNFLYFQFHQQFLDYLYNSHYLEFLL RFMDPNYSKIPQDLRNYIWQFLNRQGYVSLLVSKITNNEKEGVYHKRRDISKEAYQFLKH INDYKLQLIQDDDQAAITYSISSNIDQYLGPLIPGKTLIQNKQLLERKMLLSSNLRRSSD LSSLARQINKVIIQEYIDLSELNQSGRDIDRLQDVVQFYREISTPAETPTLYNVYRQASE GMKNMQFGQRSQRSQVTEPPLNIIQLQNSIKLPSLNIQPPNIITELTATKNTQRKQKSMT FSENNPTQSASKRSDQPQYMSLVGTNDFSTARQINLTQTPTSQAKLFVYYPNQDNSVVDT IMKEEYAKYETQNDETTSLRNSNLLKIIVQSMQNFEYHYAFDELLDDQYILLEKLFNHYS LTQTALFQSSYEENSSNNCQIILIHLIQRINKKPMNEKLTKLYLTYLPRICRNLTNMHKM QNEKNSREECFSNQNHIGSIRIFSTQIIDLILRNFKQRNHRIYLKLNDTSTFNLNEQFHQ LPNPFYQQIFYSIMKQLCLFSQEKQVQALLFKANLLEGISQAFHKNVVNKVIVKQGNVEA YLLHLALLSQLIILVYELRDDLQSYKTSLLRLQCFQNLKEVVEMFKNNKLIQYQSLDIQK QLQKKK >CAK85411 pep:novel supercontig:GCA_000165425.1:CT868540:572982:573893:1 gene:GSPATT00002811001 transcript:CAK85411 MIHSTHSSIPSNRNELSSFRRVNSPGLPNSSLRTSFKSDNKKLEIGLITDRKPSQSPELN KAISPEKQKISKRNDSIFETSEPQAQPFQMVEFLNKNLKMIEKIESDKLCLNIYISENDK NQIVFTGMSKEKAASEENKKEILQNLQQLFKSGMERQNEYIRLESLEQQFNLENHKYYGI NTKNVASSNKRIATQINDNKSIFDRSLSISSIKDLKSYSSNKIQKDIEIIEQGIMKYKMQ QKTKSKQQQPFGQHNCTNTLKSKSSVCKSPLLRTAASPSCKSQRKTDSRVTNKAKINTKS MHA >CAK85412 pep:novel supercontig:GCA_000165425.1:CT868540:573973:574690:1 gene:GSPATT00002812001 transcript:CAK85412 MFLFTSDCSSMGCEQQQPTFDTNLSLGFPEHSNSKTRNFQAISEHFGCLGLKEMSKTVCE IIKNQKKTNLQLILSEIKKLHPQSTNTVNQSRRVYDCLNVMMAMGIIKKSDKAYEFIENS NNLQSQEIRRQIDNLSMVKFLIEQNLQEKKKVFSHLTKRQAKLDKLVNRNQNLDVEEQEQ IFQFPLLVLKTQIQDNIQVGYNEQEVLVQSQNIINIQQDLDVIIELNFDD >CAK85413 pep:novel supercontig:GCA_000165425.1:CT868540:574712:575749:-1 gene:GSPATT00002813001 transcript:CAK85413 MQTRLTFTIGQMNKEIGNRHIIPAYQVEEEQSKEESPLPQQGESPRKKPVNLVKQAQVLA PVYQTISERFQICKFLGKGKFSDVYQAQDKQSKIIVALKVIPKATISKYGMEKQLANEIK IQGYLDHPNILKLFGYFQEWSKVVLILEYATDGELFKLLKKQPKKRFSEQTASGYIRQII EGIGYMHSKNIIHRDIKPENILITHSLLKIADMGLSTYNPANQVRQSFCGTVDYMSPEIA AGRDYDHAVDLWAIGILTFELCTGETPFYEKKKEDTMNKIIYSGFEFPNFISEECKTFVR ALVQKDPKKRLNIFQIMQHSWIQKYEKESTIFNRELLNSMVKLLK >CAK85414 pep:novel supercontig:GCA_000165425.1:CT868540:575865:576848:1 gene:GSPATT00002814001 transcript:CAK85414 MEAYSKFDFDGNESFQQYLLNVYPLPPNLDKIKRKWYKKFIDPEFDINTESQNPNSNPQS QTQENQKPQKQENNQQSQQSQQQQQQQQQQQQQQQQQQQQQQQQQNNYRQQLPSIPILTV IEGILKVIYFPALLLLISPQLHKFLNFGSILICLLAIYRLQGIPKNNYKEYIFKIMQLEF TSNIFFVVSLFTIDSFAFQMPIALHFLVGAAEFWIQINREQGMSLKMAQYIQYHRNEIIL TKQKVEIYLFLYCLFGIFLKKTSIFQTFVIFQNILLKTKFNKNMRNAQAYIRVWYADKLS ENRSIPEGLRKILSLIWKGYEKLLNLF >CAK85415 pep:novel supercontig:GCA_000165425.1:CT868540:577707:578848:1 gene:GSPATT00002815001 transcript:CAK85415 MLIFFFQQLQCCFQLQLQFVQDERAKCLDGTLGSYYFQQGFESGQNKFIIYFEGGEFILG NTEEQFLMNAVEKTKTQQGSSLNRASAFEFDGVFSKDKIKNYYFHNWNLIHINYCDGVGF QGYKSDQVIYQSNVLYFRGELIIRSIFDHFITKFQKAEIVILSGCSVGGVAALQWEQYFS SLIPEKISILCVADSSILYDMQSMNGFNLLQQSLKIMNYIANNETQVPQKNCASDFPNQI WKCFYFQNLMHYIQQPVFIIQPFYDISFLYKYLEIKCIQDLTLNNCQKNEMDFIDHVFQT FRQVIKESLTNNSNTGSFAPSCIADWYFQRQNQLIYKLVIIFPQLDNP >CAK85416 pep:novel supercontig:GCA_000165425.1:CT868540:579182:580540:-1 gene:GSPATT00002816001 transcript:CAK85416 MANYREQPLSKSDYHNRANANNYQKTNKPVYNDEPRDLENYVQIPKRKQAYLENEVQDTN NRYCYKGNSKNEDPFSNNPYYNRAALDQVCSRSIITYLDQPNKLQDNCQNNEQQRDNQNQ NQQKQNEPISQYYNNEQRDRYQEVKNYYYNQNHQFKSILSPKLYSRDQFDQQEQQQLGQN KQSQNQIQKYQKANQSLYENPSFGLIQEQQQIYQQSQNQPKQQQFPYSQHFTQEPVSQNP QKYVSNSTRQQYNDPNESHSQREFQQSKQCASYQSQSTQRLHQDYPVQKQQQYQQRLQYL DELKSRLPNDDIFQQQVDIRIPQTEQYYRQNQNERSSKINPIAAVSRMQRPNEINQDKYQ YGQDQNYNLQNNRNYQHQKGQDYYDTQSYHKNNQKDFRENSHNQLQQRKNQQQQKTRTPN RYREDSYEREKQWFMQQTSNLHQNSQAINKRR >CAK85417 pep:novel supercontig:GCA_000165425.1:CT868540:580807:581662:-1 gene:GSPATT00002817001 transcript:CAK85417 MFFNKGEFNLDNFSCPLDECRRKLTEKTASLFIEDFQTQYDQYLKQGVIFGQNQNERMIS CFNIDCNSNFIIWKDADTFVCPNCKLQYCLKCKLKKHDGLKCMQALRLNQLSKTRILFLD TVKQSKMQQICPHCLIVVEKTGGCNFMTCKSKTCKSKKYFCFRCGEPLKQCESASHFYNG NSFDGQCNLKLNGKWVEVDKLPENAIPCPICLNVNPLKSKIEGNLLICNSEKCSDKIYCH CCKIQLNNKNILDHLEPHNKIIKTSIWNPIKKFFSK >CAK85418 pep:novel supercontig:GCA_000165425.1:CT868540:582453:583601:1 gene:GSPATT00002818001 transcript:CAK85418 MDHFQSFENNNLPEGNPITLEQNKIQLGQLSKSSRRAWAQQEDEQLRQAIKLHGTNWLVV ASALTNRNPSQCAQRWKRIKPNNLFSKRQSWTQKEDNLLLTLVELHNKNWVQIAKKIPNR TSKQVRERFINNLNPEINQEPFTDAEDKMIIDGFKSFGSQWCKISKLLQGRPENVIKNRF YSYLRKQYLKIDNPYYVIPKQNQDISHSIISNQRCKQSKKKKSNKKFSQQIENIEDNNEQ KRIKKQCINKHNNISKKSYKKIDKRFSQASKVEQYQEYNSDHKNQRITRGFLNESFEIVK EEEQQENYYYHPILHQDNFEQLPANYYSSSCTLNAVQPYEQYIATHLSLEHLIFHHML >CAK85419 pep:novel supercontig:GCA_000165425.1:CT868540:584452:586379:1 gene:GSPATT00002819001 transcript:CAK85419 MDNYINNINYQKQIVEHNLSTNLLHSLNNSEQQNSYDTESNAMLFQYQEDILKIEYAQSQ FNITKFEFRLNYEKYEIGQIQLFSNNKEIKQNQFQQEDFKFKFKNRTLIIGIMENDLIYD VYLGNCTFPNISLYRLTETQQSSKISFVQKSTRIEYQQIEIHPSIQNMLITLSMQSTGQQ FSIYQLQDSSILNLFSLKSENIILCEAINFNIDYFNHSNIYFTNIFGHLFQINFTEILES KQRQDSAIINQQYLYKKLHIFHYKNLQFQVYTQTINEKKGGDCIYFQKLPTNEDIFLFFF QTQEVIELVLIRFNLSKTITLFNKQITAIQELDEKLQKCKEKSQISDLDVYTLQHQKSLQ RQLIVPIQKYLQNQIEIDYLYFYCKQILIQIKITEEISVRTMSFDDKDVDLDSVVFSINQ AIPLDFCYFHFLILKINIEDYSKSDFSNKQSQYILFKYQTLEEPLLYERTMENRYQYDTY KKNKRIVQYLREMIEKYKQEIAQIKSVEKLNAIEGEIYTQVSKELLPIEEVLGGKRVIGS KKKTNELIFQIYQNNNEIIRILNKFDFQANVRKFELSQIKITLLFDQYQIQQNQYKKIKE QNEQRKNRDEANLRKQIINKILSLQYQQNQDSFN >CAK85420 pep:novel supercontig:GCA_000165425.1:CT868540:586454:587777:-1 gene:GSPATT00002820001 transcript:CAK85420 MMSTSYLLCLVVYISQTMNIESHQKFLEPDHNKVYHIRLQEKETKVHDTQSFYKLVALNQ NKLKDPSFAQISKQGPQYMMMHNYKNIQYTADIGIGSPSNTFKVVLDTGSANLWIDSDRC QEEGCIRHKQYKHEDSQSFLPLNQELNVEFGSGDLKGIVNADTIFFGEVTLPKQNLAEIT NENGAIFRDLDFDGILGLAYPKMAPQDFNPVFDNMMEQGVLERNQFAFYFAKDANDISHS EFTLGGYNPAHVDGDIHYHKVIDKYYWMIKADNILVNNKDIGLCNRSCRLIVDTGSSIMS APFDDLGTLLRELNVRSHCHEINTLPIITFQIDSVDYTLEPNEYIKPTNFDGAQLAELNE GDDLQALIEVNNWDCIAAFIPLDIQQPQGPAWILGDIFLRKYYSIFDRENDSVGFAKAKK >CAK85421 pep:novel supercontig:GCA_000165425.1:CT868540:587815:589024:1 gene:GSPATT00002821001 transcript:CAK85421 MKALILVGGYGTRLRPLTFKCPKPLVEFANKPILMHQIEALVKVGVQEIILAINYQPDTM KEQINKLQDLYKVKIICSQETEPLGTAGPIRLAKDHIIKDNPDGLFFVLNSDIICEFPLD KLLQFHKQHNHEGTIFVNEVDDPSKYGVILADETGRIKDFIEKPQEFISNKINSGLYLFN VSMIDRIPLKPTSIEREIFPIMAKEGQLYQYILPGFWKDVGQPKDYLAGTVLILESYRTH TPDVLAKGNNIVGNVLIDASAQIDPNAVIGPNVIIGPDCKVKEGVRLKNCVLLKGVVINA NSWINESIIGWSSTVGKWVRIEGVSVCGEDVQVKDEVYINQSFILPHRGITSNIYNKNTV IM >CAK85422 pep:novel supercontig:GCA_000165425.1:CT868540:589088:590468:-1 gene:GSPATT00002822001 transcript:CAK85422 MQQKEKQELNDDEQKEIQNEQLQQIVTKKIVQYSQSLIDQLNKPHLFWSSQPMPNINQLD KLEPGPIQKGVLAEVRQDPYMLINNFEWFNVDLKNDEQAQLVYTFLNQNYVEDDDNMFRF DYSIETLRWALLPPGQQDNWVVAVINNQQIVAFISGIPVTLNIENEQNKVKMTNINFLCV HKNLRMNRLTPVLIKEITRRVHTQNMWQAVYTAGTVLPTPISQASYYHRSLNPRKLVEAG FSYLSARWTMAREQKYYKLPEEPKTPGLRPMKQNDVAQITKLLNEYLKNFKLYFEYTEEK VNHWFLPRKDVITTYVVENEQGLITDFLSYFNLPSSVLQRPQHTHVKAAYSYYNVATQTP LVQLMQDALILAKNEGYDVFNALDIMDNQKFLKELLFKQGNGELSYYLYNWKLESNMLQP EEIGIVLI >CAK85423 pep:novel supercontig:GCA_000165425.1:CT868540:591263:593643:1 gene:GSPATT00002823001 transcript:CAK85423 MSKSINMSKTSYQFESSQQNYQSQNNQGKIEEGFQQMEGYFHSEGGKIQTQQHQSSGGEI KQKFINSSKNDHETIQFGSSQEQNSQSALINGKISSMKQQHVNEDHGWSDGGMQVQSKFE SNPQTSSSTQQTSYQTQTIVTKTITTSSHQISKSNDQEQFENAGFELMSGQGNLEGEANG IVSESLYKYGGYNLKADHAQLGGELKLNKYNFSDASLKDKQFKSSAGKIQMACDVAMAAA LRKQEEQASTGGAIANWELQMNSMAELKAQYQISAKVAISQRSHLLYGYGFHGKDGKPQQ CEEGDQEKKKEPLNMAVCDAECIEKPKNKLEELASAPQDPEEFTKSKYVPIIQSPDTYFK PRSEDFIRIIPKSQQQIVYPVNSVRSIIKPQFVTCEQVQVAKQSIRTKCSSVRQPVIIQE ETAPTILQRTYGAPNSVRHQESFFTVQSVQQQLVAVQPQVIQQQAIIQVQPQPIIQQQVV QVQEIQPLQQVIMVQPTQNAETYSQQHIQIQQPHLIGQTVQLIGQQTQFVNTQAVQMTTQ QHQLVVAQPQQVVSVAQQGVLRQGIQQEIIPQVAVQQQQIVSHTQLIQQDATSPIRQTQI IQQPQVVLQPSSQQQIMLVSTQPLVQQQTAQIQQSVYQIQPQQTIQQIQSFQQVTSPLRQ QHLVLQSQIPQSYTTQPIEILQPSQSLNTIPISQQQFGFQYILQKV >CAK85424 pep:novel supercontig:GCA_000165425.1:CT868540:594433:595920:1 gene:GSPATT00002824001 transcript:CAK85424 MNYNSRDTPSFLNKYLQKDTNPKRPFESQNKSYQKYEASPLIKQPVKKTLELNFSSLEEQ SFKKQTVLPNYEPTKCSSGRNGIIRAYAANTNQGIVRDYNEDRVSIILNIVKPQNRASEN WPKCSFFGVYDGHGGAACADFLRDTLHQFVIKEPEFPWNPVGAIRKGFEAAENHFLAFAL DQYSKGIQERSGSCAIVCLIVGDICYVANVGDSRAVLSSQKGKRVTNLSIDHKPETEAER IQRGGGKIYQTQGINEEGTQVTGPVRVMPGRLSVSRTFGDIEAKFEQFGGNSKVVISEPD VKIFKINQDHDFIVLGCDGIFDKMSSGDVVNIIWQDIQNNTKSNLHSVLSTAVDSVLKEA IYKKSSDNVTLLIVAFQINAQKEEQKEIKCSYSNSVERIEETYHVNSRPRISQQIPRRND ENFSQFSSLNYCNQNTSIHNNIKSALVQNFQHRILKQQQNKKSCQDEQTNKVKSSYMI >CAK85425 pep:novel supercontig:GCA_000165425.1:CT868540:596426:596863:-1 gene:GSPATT00002825001 transcript:CAK85425 MSLSLICIKLSLSFTFYNKYIHKYFSVQIQVLQNIIITSQKSIRQAFKVLNMFRTNLIIF IVIMKKIMPKFYLKGNMIIFDIRNTQISIIQIYQYSNLFFIKIHFGNFQTLKLFELIQRN LITFEYVYSFWFYLLTISLRNLIIQ >CAK85426 pep:novel supercontig:GCA_000165425.1:CT868540:597296:597520:1 gene:GSPATT00002826001 transcript:CAK85426 MENSNMNDKYQIAQNGYSENFEANQLFIKDNLLYYKVSLNDLEVGILSTDLAIKLGDNIN ESNTFKWDNNVYQL >CAK85427 pep:novel supercontig:GCA_000165425.1:CT868540:597690:598793:-1 gene:GSPATT00002827001 transcript:CAK85427 MDNQEPKQYQKFDWEEFNKKRAIEKEQYERISKEIQETQERSKQTLDSLEVTFKNLDEAK AQARQTVDNIDKFKKQFSDAMDKLLDNIGRSFGANIIKSDEQNPKTAQEVTVEQAQKLIT KNVLILCGAGLSHASGVPTFRGKDGYWTKGKDTFECQKVLTKEFLTSNPDLCWEWHKDFQ KMLINKKPNAGHIAIANYKKKNPNTLIVSQNIDNILTSILPQKQIKHGHYESIYEIHGNI KYMRCSKECTLEKDQLAILYDMPDLTKNLRPKCPKCGEDARPHILFFDESYTNENCRIQE LQEKYETYDTIIVVGTMLETGCAKSTVCKFIKKKAIIIEINPEPIIEVGNTYQIKGKSEE ILPKLLK >CAK85428 pep:novel supercontig:GCA_000165425.1:CT868540:599291:600102:1 gene:GSPATT00002828001 transcript:CAK85428 MQEDWDLKQGGKICNRSLRSMGRQRILIYEAHMPSLNLKLQMRLSRQYHKWTGEGQVVIV SLLNKEMIDLQVQEDQQPEMCVSIVDAKAIGQMNARKAICEKHATGVTRKAISKRNVLCQ ELLVMLKDKEEIGKEEDHPLHPNLLLLHQNLGEEVEISKKTDKIEDNPGNHQVKVESQTH HKHLEVQVKIHDKLHQLYNYIYVKNYSAIIIHYQSQLVNCWH >CAK85429 pep:novel supercontig:GCA_000165425.1:CT868540:600453:601705:1 gene:GSPATT00002829001 transcript:CAK85429 MYLIFQRILTGLFKLLSVIRCLRSTIVQYVAFNPPEVGYLIRNRNEVIKTITLSPMQEER SRTLDEPQSPLIQVKQPIRQSHQLTKEHLSIISPRIKYYNTLQSAEYKQQLRNPKNQDQY PSQEFNFISNELKAMEIDNNPGLPISSYVLESKSGNLIASIYIEFQNSEQIILYSHGNST DIGLMFDTYVDIVMECKINLFSYDYSGYGQSTGYPTDINLLYDIESAYIFLVDQLQFEPR NIIIYGYSIGSGPSTNLASRHDVGGLIIHSGLSSGLRVIDPTIDHTSYNDIFPNLDYIVD VTAPVYLLHGGADSMINVVHAEQLAQNAHHLFSVWLVENGGHGDIDSQWKELYFKRLQRF LQYCQTAYVVRPQQKQNKRTTKHIYDECNFDKEIFQISLLEM >CAK85430 pep:novel supercontig:GCA_000165425.1:CT868540:601981:603477:-1 gene:GSPATT00002830001 transcript:CAK85430 MSSLFVKCLQCNQRPATIKCQQCKIGQVVRLCYSCDSQVHNRQGPIGQQHKTEIIPYQEM YQKAPQSAGQTNLGNKFENTQNAQKKQQPQQSQQSQGQFKFAATTKQEPPQFAQKPVTNA AKPLQQPGAREINFSGTRSNNKPRYDQKEEEQYNSSQKNSDQKASLNNQLKEEQDTCQQL KKELKQAQDQLNSINKEVEKKIKASQQDLEKKLNDLKKEGAEEKKKTQQLTEDVKKLKDQ LKNAEVQTQKKLDQQKKQYEKQMQEMEQTINEKQQQIDEIAQEFQNYNLEDIQAKMEEMA HDINMKDQIIEQLQTQLQKGEGHQNGDGQDGDKEEIIAQIDQKDQEIKKLEDLIENFKQL YQHMLDEKQVIMEENEKLANENNQFREIFSQNLHLFGIDPNQLEEEGEGEGEDGEGYQQE EDN >CAK85431 pep:novel supercontig:GCA_000165425.1:CT868540:603960:605013:-1 gene:GSPATT00002831001 transcript:CAK85431 MGQQCCNLKSGYIPDQIPINIYTPEQVDYQGLQTAKYVLEEVSQGFNDDQSNRNIIEDEH LPSDAMKLNEIPKQDNQIAESIRQQLGSIKLNYDSEYTIYQPIQIVNYKPNGDIHSIVIY EGQWYKQKRNGAGIQYYPDGSIYEGHWCHNKHDGFGRIIYADGEYYIGEWRLGQTHGEGT LVTKELTYIGKWEDDLQNGQGYEKKANGTTYEGQFKNGRKHGSGLVKYSDNSQYKGNFVE DRYEGIGEYRWSDGRTYGGEWINNYMNGKGEMRYPNGDKYIGHFKNDKKHGLGKFIQANG RSIEGEWEEGKLNGEAKITEPNGESFFANFKNDQII >CAK85432 pep:novel supercontig:GCA_000165425.1:CT868540:605056:605531:1 gene:GSPATT00002832001 transcript:CAK85432 MQKLRNIYKNFYENVVKGGQKIDAPPKYNFKGQKQDFKDFQRGPQLTREQLAQNQKLDEE LKPQTRELRLKNAFYFCIYMGWFAGVILFVMYRLGSDEIGDMERSAKERIRLRETLKKEN QR >CAK85433 pep:novel supercontig:GCA_000165425.1:CT868540:605825:607559:1 gene:GSPATT00002833001 transcript:CAK85433 MNNISEIVYDNASFQMVCQRKNTRKEITYFLYVIDNSLILTQELNSQQPKYVLEINLQLK VYWNQERQKITQFGFESKDEVKYFDGNCEDFKQLKRLLRNRVMYRDVSDFYLPIKQLGRG GSSRVYLVMDKCEKYEFASKNVEKRYLREDGGFEALFNEINLMAALDHENIVKMEEVYEG ETTFYLILEYLKGNSLHDLISKGIIQLDWDEIRSIMFAILTAVAHMHSLNIMHRDLKPEN IMFKKLNDIHGVRIVDFGLATWQTAATYPFPKCGTPGYVAPEIANLKDLTFKYDKICDMF SVGCIFYKLITSKDLFPGNDYHEILKLNKKCIINLDTLSLYSTPQSAIDLISQMLQAVPH QRISAQQALDHPFFTGTFIDRKMKFQSSKKQLNNNSKPWQTSTFKTEKSDRLQLPEIKQR SRQKDDDNVDEETPKMRVPTLNSPRLAQHAKKKNLALNDNSPTEFPKKSAFKKFSTQDFD PLTPDTQSPDSGRMNFSNSPRLIQQSVIKRKFTYSKFNQQRQQAIYEVDDEQKH >CAK85434 pep:novel supercontig:GCA_000165425.1:CT868540:608075:608620:1 gene:GSPATT00002834001 transcript:CAK85434 MGTSICVKESKQAQEEIKTRSQCLSKIVGPPHLFKPTPVISILITDEQVEKEESPKNELS QSQNGRRSEQLLFYTAQQSEEIDSQQQNPWIKKNFAIEAINISKFKNDDMNNSKNGNPND NLNNSFEQKNSMAEPSKQKPALRKRSGQESSNISNSAGSQRSIKKVSFDKKQQVVYTKYK N >CAK85435 pep:novel supercontig:GCA_000165425.1:CT868540:608684:609275:-1 gene:GSPATT00002835001 transcript:CAK85435 MSDEFLTCAKIIEFFWLLLTFVFFFFAAYAKFRLKKDIHLDIENLNSNHTSVKYQVPSQQ GDAQEIHMVDQNVSNSGQLQSTAQQNKEPPTKLEEFYKKSLSITSRTFPQLAVAIICILN GASYAFAVACVILYLVSIILFNILQIQDCLGSQAMKNMFKLTNNIWLVCLFINYLASSLF >CAK85436 pep:novel supercontig:GCA_000165425.1:CT868540:610223:611189:1 gene:GSPATT00002836001 transcript:CAK85436 MLNLKESQDWKKGKKFDSATLIQIFCFFLLLVNQNLNGMTQHSEASIFQADQFRIPEEHS ITDEYFNFGDTNNFAFSDLDFERNKFLPIEFSYIDNMDMEDQFSTGQQQPQQMKPTSMQQ QYDNLFEFKSSIKSKIIGGSDFFSKVLDYANKLQMNKFKSSNFVLFTSVPKINDKTQKKN NHSARIKGEKQHKFMISKLESWDVHLKSIRTHFMQKIFNKLWKVPTQQILKTHCFSGNNS QQNKIHIIVYLQNEMEQVEIQYSDFQLKQEIYQNIIQRMIFCLDLAIQLNNQADDLIFDV LFKGFIAELFHY >CAK85437 pep:novel supercontig:GCA_000165425.1:CT868540:611767:612159:-1 gene:GSPATT00002837001 transcript:CAK85437 MKDQQRNQASAFDYLYITEEISKYHISSFRQVPIIKPKKISDNPFYALTMHKRKTTPKIV QTVEQNEQITKAFPKREQRHKLRSRTITDNSSVELPKIIEKKWEPKKAHMYYNLNYLIQQ TKRGLKTIHY >CAK85438 pep:novel supercontig:GCA_000165425.1:CT868540:612367:614237:-1 gene:GSPATT00002838001 transcript:CAK85438 MSSKSYDRQKILKVEASFGLTKEQYEKKYLFHRLKERFLHSSDLSLETITPEGHENIYIP MDIQTPTQADGLSYEDEQALRMHGAQICFQACSHLKLPLTTAITSLVIYHRFFAKNSFVD YDYREISMASIYLAGKVEETVLKTWYIASTFSSVFQKQKQTPLDIIIKQEKLILKELGFE LFRVSDHPHKFIESFYHFIKVDKQVAQKAWCYLNDSYMTDLCVHFPPQVIAAGALYLALR ICNHPMPSQPWWILLEATLDQIEQVAATIYNIYEFEKIDFRQARRILAKANRVAYVIQHS EIYGIPEKIEKPLEAIKSTPQQQQQEQQQNTKVEQQKSPVDKKSMKKRSRSNEKKSKDKK NKSEKKKKKDKDKKKEKKDKKKKSRSRSKSNEKKQSKKDKKKNKNKKDQEGQEKSKNKES ELVIQQDVNQIETKMDIETPNDCNNNNKSNNNNISQSSTGNELKKDDQLCQIPITDQTNK DPYPSAQHVINDVQQPQQLHDEKEQLKVQLPLQSKQDLIASIKAILKTQQLQKENSKDQD KEKEKEKEKEKEKELEKNEEQSPDEQDSEEDEQLQALKLKMLAKKRNDL >CAK85439 pep:novel supercontig:GCA_000165425.1:CT868540:614449:615679:-1 gene:GSPATT00002839001 transcript:CAK85439 MKSQPLQQINLNTKHQKKKSINGRNIYEIGGTQFVVDERYECHKQIGHGAYGVVCSGVDL VKNKKVAIKKIQNAFEDLIDAKRIVREIKLLQFFQHENVISLFDILKPESRTGYNDIYII TELMETDLHRVIYSRQELTDEHIQYFMYQTLRGLLYIHSANVMHRDLKPSNILVNKNCDL KICDLGLARGFEIEDENKTEYVVTRWYRAPEVILQASEYTKAIDIWSVGCIFAELLGRTP LFPGKDYLEQIQRIIAVLGTPSNDEMKYITNEGAIKYIKSLPKRTKQNFSTLFQKVNPTC LDLLSKMLTFSPFQRYTVEQCLNHPYFDGLHSKDDEPICDSVFDWSWDKMELKKEILQSA VYDEATQWQQKHKGNSKKF >CAK85440 pep:novel supercontig:GCA_000165425.1:CT868540:616051:617841:1 gene:GSPATT00002841001 transcript:CAK85440 MYLSQKTQLRLANLITIIAEGEKKTEIFRQVLAEQKMFEPYTTFKRLDQLRTGELTVSDL VDFLMDNKITVSKAQADYLFQKLDQNRDGKITYPDFVKAILPKEDSRLRQIATLRDSYAL EINMLLPTEVEWGLSKVFYQEVQNYKYFHSFEISSIATAQEILTGSADFTSLDAFRCIDQ TLLGYITIETLSEFLKQNGVRLSFDDLLAFFRVVDKDSDGKINYSELLEAIIFTSDYFQQ ERQIVSELRKSRERIIQLEKEKEENDVLKRSRERIEELKKSREYLEQLEELKRSREKIEQ LEELRQSRERLQDLKKSREVQEALKNSGEKIQDLQKSRERLEELKKSRERLNQLEELRKS RQQMEELEELKKSRERQAQIDELKKSRERLEDLRKSRETLQQLEQERKNHEKIDEQKKSR ERIEELQKQRKRIEELRTYQEALEFQKKEREELDLKRSQERLSELKKSRERLDQIEALRK SREQEKTLSDMKQSREKIEQLRESELRIQQLENQRRSIERSRELEKIERDLEYERQRSQE RIQRLELEAEIERKQRERVLDDEINFVQSRIYDTPKRRY >CAK85441 pep:novel supercontig:GCA_000165425.1:CT868540:617969:619012:-1 gene:GSPATT00002842001 transcript:CAK85441 MGGSCCKDENQETNKEIRNAPYKPIYSHAENMPPRSPQTKSNQEIRTHLLQPTMSIQNQQ VQIPVIHNGLVKEMLGKLGEYQIPQSITNEGLEVTPPIQFDDGSVYVGGLKNDFFQGYGE IYWDDGTHYCGLFNKGSKSGHGRLIYTDGDAYEGEWLDDRQHGRGKYWYSDGGIYDGRFL NDLKHGFGKEILANGETYEGDFYNGTRHGKGKLIMADVVFEGQFENGLMVDGEYRWNDGR RYKGQIKGTKIHGHGEFWFPDGRYYIGNWVEDQKEGQGEFHYSDGSIYVGTWKENKQNGY GKFTNKNGDVINGIWVDGTIAK >CAK85442 pep:novel supercontig:GCA_000165425.1:CT868540:619042:619816:1 gene:GSPATT00002843001 transcript:CAK85442 MINIQPRTRQEREALRDKDRIEKSRIDLRVGFEARGLGVGTLIHQAPPQSTLYVPGMNRL ILENERFDKDFAVADKKQREHEVWQREKIIERKRWEGLDRETKKWDYQEKVETKDQVKLM SHTQQLTQGKRNSNGLAYNPITLKYDNSEQGNILRQFDEKAKVRQFVRAHNLDTRGNTGF NILTGEQRGGVDQIVPNHLRTNYQQRLREADDQQNIKHYAIQQQLLNQYE >CAK85443 pep:novel supercontig:GCA_000165425.1:CT868540:619855:620434:-1 gene:GSPATT00002844001 transcript:CAK85443 MSAKKDKNQFEQDSEEEQIVKKVKKNEEQKKSNDQNDDEFQIDSEQEGDEEIEDDGIQTS SHSSIDIQAYLQQRDQIPDNDDDGDDNQQKMKKLTQQKQQQQKVPKQQEEDGSDDDDEDE DEIEDEDEEDEDDEDDENDDEDDEDEDDKQPQKKFKVQQQQQQPKKQR >CAK85444 pep:novel supercontig:GCA_000165425.1:CT868540:620504:620884:-1 gene:GSPATT00002845001 transcript:CAK85444 MGQEQSNLPSNNLVVQLHMQNYEKLTKLIEQKLSDQTFTIDKRINQMDDTLLHYAAFKRD KKLVQFLLSKGASIHCKNSRNLTPGDVTDDQEIKLMLESK >CAK85445 pep:novel supercontig:GCA_000165425.1:CT868540:621570:623270:-1 gene:GSPATT00002846001 transcript:CAK85445 MRQKLQLKGDTSLSQSAIQRRNSNQRQKSPVQGRRLFLSHVIQTPQSQQYGQTQQQQLQQ PQQQQLIQGRSHSQSKVVFQEEIPQKWPIIQLPFLKNTEPTIFFQYPPVCEDKRPLVNAR PMTEEEIKKYSLTCKVLGSAPYKCVLTSFDWAGFKRIDEDDEDEEWNVQWGIGNKTTLRN MNRYQKINHFPGCWNMGRKDLLWINLSKFKRKYPKEYNFIPNTYLLQYDYDRFIMAQESA QKDTLWIKKPCADSRGRGITMISKKSKVKRDKNFLIMDYIYNPHLINGFKYDLRVYVLIS CFDPLRVYMYKDGLVRFATQKYSTNSKDLTKRYIHLTNFAVNKLSPNFVKNQNSQKDDEG SKWSHHAYRKKLEELGVDSRELFNRIKDVVLKTCIATEPFMLDSNAKSQEHRNGYFELYG FDVLIDENLKPWVLEVNVSPSLNSSSPLDKKIKTFLISDVLHLVGVPLWDKSNQKVASPP KYNQRNIKEIPNINTSNCLSKLSQNDFNMLIHTVEEVKRRGKNFSCIFPNPKTIHQYMDL FEFPRYNNKLIERFYQDRCNWLSRYN >CAK85446 pep:novel supercontig:GCA_000165425.1:CT868540:623290:626425:-1 gene:GSPATT00002847001 transcript:CAK85446 MSNMLSEQLKTQYKSKSVYWRQEKEKLLQTFQPRQNNSQSQQRSRTSERKSIIRNLVDSL YHQGTPEKNKSIYYHFQSQITQQQQQQQYQSSAKKQHTDELSLSTIINKYQKQVENCNQK QVVYDPIAEQIRESFQELKKRSVKKQEIQKCQSEQKIIENSAHKQNIFSRINQIREFIDQ QLGTNADESNKDIKYESQYQSDVDQSSGNLRNKSVEFKQFLDQRVSVGRDSTSNRLNHRI NKLRSIRSCSTLDVGIQVSLQEDNLVQINSQRQKQQQESYQQFNDQHNSQNYQQQNLDSS DNSRRKLEEQYEVQDTIQQTSPQQSQNPYYQVEQSVTSEYQLPFQQMLQQQYLNEDSLQK TKNSGRMSRRSEFLQKARYSTNVNDENKDKFQVNIEEIKKERLSENERFSVTSNEIGGKD GILSNLDFNKERFSVRSNEQYIQNKERNSFKYSGEEEYKDKLILKLNQPEIVSPVYFKST LNNKENLENQFGFKLKYNNQEQENKQGEGFENKLNTIEIPKIIQALNFDNIELSKPCTGT QISVDTNRFQLEIQQIIERQNETQDVQLKQNEAITIQQDFALTSNRSQLSVPITEPTQIQ QNNQINTNFIRVEEEIEAGQPDIRIIENATGITECNLQYSEDTANLRIPTQISNNEETKF KPDSYVTCEIQFQQIKQDYQQLNQLQSTQSNQMNQSSFEQWLSQTDQNKRIFNFNCQSQY FQKEKCDHSTQINKSDSDSDEEIQFIKKKQQKCLFNQGVTLLVRKITSILKIRQIKSFYE MKEYSLHQSQQQQQQLQQFKSSLHTYHSPIESQYSSQFYSQQQSLTSLPIPSSQIQQSQP DFVPQATKEQAAQEKISNLLKTIMEETGSDTLRMLKNKSNLSPIAKNSEKKRLNSSTNSK LRISKKCSAASILASMQSPVQEINDRIKVNFIQEMGLESPTQKHTEHAELHDCSPIAEPM EFQDVSVLIKQRREMKQIADSNNGSNIINNSYNDQRHCQILSHHIAIQKAKIAKKFQQIH YKTAGQQQFRKENYQI >CAK85447 pep:novel supercontig:GCA_000165425.1:CT868540:626469:627256:1 gene:GSPATT00002848001 transcript:CAK85447 MQQQEINHALKNPFQPILKKVLKVDEELERLSSETFYNPFDVLYLGMEATDEDIKKMFNS FSKLLHPDKCQDPRAKDCWQIVDQAYKTLMESEKRKVYIRIMREAREKTEFERLRENKRR EKTGVAPLPPDTFESDFQKQCKNLFSEIEDRKQHLMRLESSQKRYKLDEYERRKMLEQYK VLTEEEWEKTRDDRVNKWREFNNKKTAIGTKQSNKGIRPPTENIEARPFEVPTKKGDFKN IKLD >CAK85448 pep:novel supercontig:GCA_000165425.1:CT868540:627331:627986:-1 gene:GSPATT00002849001 transcript:CAK85448 MYENDNTDTADSHHKNEELIEELQKYWRLVISHMGQDYQHLLKLSRDSDALELVQSLTIL EDIRFNDQKVPQNHDLENEILQFKQQISNQKNELESKTKLIHELLKKQTQIQEQNQQMLL QLIKKEEENSSQIVQLQKEIKDNNKNQSRMPLQLQSARQPIQQYSQSTKSSPQPREFRQL SNYYQTKLSKLIKVQGTHNNAIKNNSNIVFQ >CAK85449 pep:novel supercontig:GCA_000165425.1:CT868540:628150:629919:-1 gene:GSPATT00002850001 transcript:CAK85449 MLGNTQYFKKIHDAVFKLLYGQPKKLIPRTLEGPIVWPFFQRSLEIEKLKHFISYEENIF KQQVNSKNTVFVVGAKGIGKSWFLRNTCKNSIVIDNSHINFQSFFDQMRMEIIKYVSEQK LVSNQEILQIALRKYLPQYLDIYFNQYIRDQLTENVKQELKVNVSQGNQLNKLDWDTGEL EFWEEDAKQIQMNSYNSLNVENSFFQLAKLIYKDDECFGCLNLMMDLIQQIEIDKIDNPI KINATIAAQQQTDFLFDILNYASGFDKSSNKKKEVSLVLLNIDKLLEYYKYDAETIDFFD HLILRTYNPYGIRNHFPLVIESSNSKFFNRDMMEMMNIELSTVLHIDISDINKEQIKIKL RNQFREEIDQIYERLGGSLQAWHQLTKQAVTNDEFELERWFESFSKLEYNKFNYLMNMTT INNEISNQLDNNHVDANIYRMMKALHYFKGNMFTGTYYADMILMENPIIHALQQENVLYH PKYVSHLHFTQKYYNQFCREYLQRRKKQIKYWPEEEKDADLFVSAFKSERKKRGEYLVQV TDEPRRHIYDDIRKEDRPYHEYYL >CAK85450 pep:novel supercontig:GCA_000165425.1:CT868540:630391:630946:-1 gene:GSPATT00002851001 transcript:CAK85450 MNNQERTFIMVKPDGVQRRLVGKIIQRFEDRGFKLVALKFVAPDQGLLTQHYADLKERPF FPSLLKYVSSGPVAAMVWEGKDAVKTGRVMLGETNPLASKPGTIRGDFAIDVGRNVIHGS DSVDSANREISLWFQPQELVNWNTNDHGWVYE >CAK85451 pep:novel supercontig:GCA_000165425.1:CT868540:630977:631700:-1 gene:GSPATT00002852001 transcript:CAK85451 MQKSQLIRVLSDSNKCPTPFQMSRQLSSETPTGFAQCQSNDRETLRLTKQVNQLKKENET LQIKIKQLEQQDFKTKIASLEQKNKQLEQQLDAYKKLPIDQITSLIDDNERLTNTVENQS NKIKQLLTQIDELKETSFQNEGKNDLIIAMIQELENQRLYVQDEYQKQKALNKEKQILYT ELQLAKQQIKELNQAIEKIKLQNLESSEPCDVLHQDYLKSHDAVLNFLKQQY >CAK85452 pep:novel supercontig:GCA_000165425.1:CT868540:631968:635967:-1 gene:GSPATT00002853001 transcript:CAK85452 MAEARFSDIDNQFAGGLDFQKIAKLDKLLSKVDHDFKQKQSKDLTKPKLMELMKTMHEIY QIVLYEISEMLEVAHFSELRQTLNKIYNGQNLIVHYILKINTNFEVQPDKMLESLQKNMM QTRRKSVDYPEERETNKMAHSIVQLQPVVKKMVKKVFEEKGTDTGEDMKNARNQDHLMKK QKELMDIQDDLDEESQKISEFLKNRKLDLMAVGESKAQANLKEVLKDLGKKKRITNEIDD EAAEIEEQQQKEREQIFNLENTLMDSIRGVQKQAQEKAIQDIKAGRALMKNGQLVYMEGV ASQTDKMKIEIEFQEKMQQHRKEGAERESKILQLERRVKDLGIEKEAYIQQLSNINNQLT KANLESRKLQEQLKSKEKASSEQQAVINKTDSEKIRKLVEDNIKMKFRIKNLIDSVAGLE KEYNRSLERFRLFIQNSNISEDQKKLILNSLDNLLRIDFNEKLNLQEMKELMVVDDLLKD PLFKQICGSDISAITRQVKKDELTRKQKLAKVPFYDPLFDPEFLNPGEKIITRKVMKLVK RQNSQGEWVEEETEVEEEVVVNAQGEELRARDKPVMVQQSKQTQQFLEQFGGFAVGGAKI KIPAKPITKDGRQVQLNEWFEDSSGKKVRKVQAKDGEETYEVQEEYIDENGKKQIRIKQM KVKKDKNGNDYIEEEFVDEKGRKQKVAKRVTKDKDGNEIIEEITIDEQGNKTIKKQKVYK DKDGNEVVEEELIDQFGNATTVKRKIVKDANGNQVIIEEKTDANGNKSIATIKKNQFGQD IIQEQIIDKSGKIQQVEKKMVVENGIAITEEVTLDEHGNKIIKRTKIKKDENGNDIIEEE TINADGSITIKTSKKKQNADGTFTIETEIMDQNGKVTIVKQRQYKDKNGNIITEEESVDP QTGAKIITKTLKDQNGKEIVQKQIVGADGKIINTQKQVQIDKDGHPIEVEIVRGENGQQQ TVQRKVQKDRNGNEIIEEIITDEQGNKRLIKTRVIKDRDGNNIIEEVTTNADGSKMTVKK KQYKDKDGLEITEIETIDADGNKVVIRTKKGLNGEQIIEETRIGKDGKVEKTIKKFNKDK NGNIIVEETQISSNGTKTVKLIKLGTEDREIQTEGIFDFSDKSQSLLDQILEQLGSMGLE RSKQEQIKNWVMLKQQLQVKRTTKQQQQLQQQDSDEEVLKPRPSSAQSSKSLDSLDEDQA EEDQSDKLYQRLVQSKNGQMGEVLRQIRNQLGKQVVIGNEQISVEEFREYMKKMRQIHAR CGDNCPHLRRFYQKIGFATRKYKRRFLKMNLTKIDAKTKLPQLQNLSTIK >CAK85453 pep:novel supercontig:GCA_000165425.1:CT868540:636008:636592:1 gene:GSPATT00002854001 transcript:CAK85453 MSKLFKYKKVGNSMTIITKDSIELMEQLRTEHSHLCKSISELEMKRQLIEDKMRELSIKL NSTSQPSDQPDIPKLIYKFPCDSQQDQRTLDEEEVIFQTNDYQEFIPDFQASEMKTKKEL FNYFSYKADVKDLFNDLNDANNLKKLAEEFGIKSNNNNKMKQQVQILQNYLSNQSFPSKW NNIYNTELFDKLEI >CAK85454 pep:novel supercontig:GCA_000165425.1:CT868540:636630:639437:-1 gene:GSPATT00002855001 transcript:CAK85454 MNRQFSVSKDMGNFSMQSPDKQIYCPKTQSQAKIQQYGPSPYMQSPQFDAKQVSQNNVII QPSPPQKLENSYQYKPSIKNGSINDIFKPHNQQEVIKSQNEIKPHYETYKYEYNKTFAGT FQSDANREQITDRKSNTSKKDGTSLIDSKENYQQFQAKSLLTDNHPTVGNSRLSSQNINL IQSKPKLQTEIFRISGALRELMSSIQKMMGDGRLPDQINDKMIEILANFRKLEEIANNEP VDSDSKISSMAEDYNKLKNILEQLQTKMTALVQENQKLNKNLFEQEQKVTEEIKKKQLAE DKANQANKEFNRVFECLQINQKENEELKNKLIQSESFKNLSCQTSNRVQNDEINNYKMKN QQLQNELEQLEVKYKQLLTEKNNQKEIPKSPLIIKSIHSSTSKQSEQLELKLLQLQIEND NLKAEMAHNQVDSKVIDSKNDMIQKLEEKIKSILKEKSISEEQSIQICHNLEIMNEQLKN SLQNKEIDLSNLSKQKNELEREYRNQINNLNSILKGTEDKVQMAKQDYQKLLDEFKQIDL RKGKLQEDLRNTEKKLDSLNQELAFTRGELKKSTDRNHQLQTQYDQMNQQLQQQTSLQQQ LLQQIDGLKQNELQVRMEYEKLEKQMQAVKSEMKYQVTELNEMISLQARKTQEKERQLNE LIEEMGGMRDQYEVQKKVCQENVNDLERRLKNLRLQQEELLQVKEQEILDLKQTMDQQIK MLDKRNVLSSFDYDQREQQLQAELLSKNDQIEALKSEVEKVRSNNFNVQEELLRQGMLLN QHQATIANYKNELSQSRQEQQHLSEMLKKRKEETDQLHQNLEEMRKELQSKKISDDSRRS ALRQQDLQIALENLSRENLSLQQKVNGLSNEMNRKSRELSERNEEYQILKRKYDETVANL ERLEKRWGEKIDLHRKN >CAK85455 pep:novel supercontig:GCA_000165425.1:CT868540:639682:640755:1 gene:GSPATT00002856001 transcript:CAK85455 MINEEYTPKSFEIFLESSIKINQEISISDTLKALGSVISLANLKGNLLPFGSFCNGFHGN NSDLDCVLITDSELSTTTILRNLRKAVQEYKYTYQTPQLQFDQLILYAKVNSITYSKVPI IKITDITNDIAIDLSINNINGVLNSKLLKEYSQIHPKIQQLGQLLKLWGKNQRLIVTGQL TSYAILLTLIHFLQCKYDVPYLSDFELTQEQQSALEYFGVQPFFKQGLKPNLHRLSNTTL QQLLFEYFQYYEPYGEFEQKQICVSLHSIQRSNKEIQKRTLKIVDPIDPRIDPSKNIKKQ CYRIQQHFLRAKELISKDQKSFLYQCQAVKTGNNRVKRIQPKGETKYKKKNNFRKRN >CAK85456 pep:novel supercontig:GCA_000165425.1:CT868540:640867:641896:1 gene:GSPATT00002857001 transcript:CAK85456 MQYNYNQDFEDQLLNLINQEIPNTLLLSLEALAKALKMTKLKGALYPFGSYCNGFGSEIK DLDCVFLTPCDDKSSSLLRQVHAGIRDYNHQNLQPTLQVQAHITHAKVPIIKLVDTTNNV EIDLSVNNINGIANSKLLYEYSQLHPKIKQMGLLLKLWGKRNRLIKTGSLTSYSIIIFMI HFLQVKYKVPYLSDFQLSEQQLKDLEHLENNPFFSIGLKVDLPELTQTSLQQLLYEFFLY YQPKGEFELKNICISIHRVPIQNVHPNFTLKIQDPINMRQDPSKRFEHQCCEFQNAFLKA KILIQQHDIRAFDKNDIQKNKGSQGNKGHQQVQQF >CAK85457 pep:novel supercontig:GCA_000165425.1:CT868540:641960:644443:-1 gene:GSPATT00002858001 transcript:CAK85457 MQITDEKKKIMAVIGVIILLLGILYLVDWLIVLQFGFLGHFIFFVGLYVFIKNTIRLFVF PGSYWLWRRNVENRYAKALAKRANKRMEDLQNLIRKLLQIPDTKEIKVEDLIPIKKTLQS FLNTFSALNYRKELNEQQQNLFGLCQQLEQNLKDVLIESKSLYDHLDNPSDLINYSKQTV QVDSELCSNILVILEKLTHQLQEMYMPKNFILEAERWIFNKTLGTVDQMRVELENTFRTR RLKVQGYDGKLIDCLYICANEDDMENDKAVIFCQPNAGYYEYMYYESEWIDYYLRRGISM FLWNYRGYCESQGLPNTKDIMKDAESICDYVTSQLKVNQLIFHGESLGGMVACHLGRVRQ CNLLFADRTFSSISKIAQVGFSKYASFLFKLLTPWDYDSAKSYVECQSYKILALDQKDEV IPFLSSLKVDVTRQIFLREFGSQYQTDENFKQSDHSDTLCQKVLRYIPWISKSGSTAFYD IWYTQLLNKQEMLTFFDANKRIQSIMKQLETERIKKTLDDSSSIYLNESQEIEGQMSQSQ NSTVDIDEVTQLAGPYTLSEQEKSNENLLHFIKKISECFESFETASMTLNDVFSIFKESQ QYEVFLDYLLSIFFWGSYLPLKNIPKKKMNTVVCQKLAFARFTSNINKIETLIKNEKSNL DSPNGTRLIQDLELIIQRLKLIRDNFKKTMRNQLQQPSHQSIQIEMKETEEKKSKASKIN ESLKNQLGSLIPLGCGHNGNLNQTEVEVLDFHMLQAGVVKY >CAK85458 pep:novel supercontig:GCA_000165425.1:CT868540:644814:645940:1 gene:GSPATT00002859001 transcript:CAK85458 MFLKDFIIDDINKQQQLNGQSQVDDNQNQKTKGDVIIRNRTYTKNLGTNQAQYDLQEVDF NSILFDNENENKDQLRFQLQTINSTNSMNQPEIVDFEQCRASIEEGCQERDQQQQLKNQE VLEQEIPNQNTISESEQEQVQNEEIAFKSEDQNFEVLNFTEIQIPEQNKVTPSKPYSEII RSLDQTDYMGEFLVTPLRQPGMLQCIIRRESSGLNSFKPKYKLYWQEKKQFLISARKELN KHKYKLSQDPDFSSKFDAALIGSVEQSKQSKADYFLFDNGVKTNKEKHSIINTKPRVQLG GVFFETNQSGLKQPRKMMVLLKQNGNENQITTFENRQPVLKKQFDCTQINNNRNHLHS >CAK85459 pep:novel supercontig:GCA_000165425.1:CT868540:646152:646736:1 gene:GSPATT00002860001 transcript:CAK85459 MQKVYFPGELIKHKNELQSGIGTYVKDDKIFASMKGSLTMNEQLISIFPSHQQDPELKIG AIIIGQVNSIREDRVFVRILKINGVKVNNYIEGVLRKQNIRSHEIDLLEMNKCYLPGDII KARMISYGDSLKLYLSTAEDELGVLFAKHQETQKLMIPLSWDEMLCVESGLKEKRKVAKP NFNQLV >CAK85460 pep:novel supercontig:GCA_000165425.1:CT868540:646742:647926:-1 gene:GSPATT00002861001 transcript:CAK85460 MISRTLKLYKFSTKIPTGVFDFANVQQGLTAPSSIMVEDLITRFSAYTPTNMYNSLDEYS RELLLYLANDLSWGMGWGILAISMGIKIAFTPLMFSAQLNACRMKLIEPESKNFQNMIQR AMRAQDFKASRAAQKQFKLFKRKHNINMLIPGLSILQMPFLFTWFLSLRYVCSLPDKYEG LKSQGFLWFQDLSEYDPYGILPIMSSIFTFWNISLNPNMQSQSTVPFAKYYRYVRFLPFF SIPVVIFFPAGVNLYWCSSALCHLIITALARQEQIRKIFGIPKYLPGTILERQNNMNIQN IVKAVVQDRADLNNDVATTTTTTPPQKSVQTDAQHIMHEAKSGEQKVKIFSSKPKSKK >CAK85461 pep:novel supercontig:GCA_000165425.1:CT868540:648379:651838:1 gene:GSPATT00002862001 transcript:CAK85461 MQYRSSKPPQSVKNKPGSFFPKQPYSPAKSHIEHFVSENPTPHYRKQQQAYPTRQNSFNM SSGESLEQFHRKRQNSNFSQDKKAKNQLYNILFNNTNRNGSFCQDTMKKQPRQASFNSQG QNWYLPSKQKLSQTMQKKLSKNNNSMDGQQTFDISFENNVIKGYQDYSGFQSDTTKPSEE YNPRSIKLFEKGKQLLREKQIDEAIDLLKKCVEVDTRLLEGHYFLGIGYLGTQMYQKAIE EFQHVIQQDAVHRKNIYLLLAISHKKMNELDQAIQILNKVIGMFPRYYDAYIYRGKLFIK LNQIDKAEQDFNVAIQLSPQKGLGYLGKADCLRMQSQYKEAIKSYQKAINCEQAVGNAAM LKKAITLFESQQYEECAKDLTKLLETDPTNSEAYYFKGLSRLKIKNATEALLCFEQAIKH NNSKKAVTKSLYEIAKMKIEQRDFYAAYHTLNRSSMLDTEKSYLEKFRLFTEAVIFLMKR KFQESMLNFQEIQNNHQLNDFLKPLFYAYRAYGYFCLSKHQKALDDYKYLLEIQPAESSI HYNKFLCEGILKVQSGLFTAGMEFFQRAQKIFQKKMEPTFYLAVTYINQSFKKKSDQYKD VIKGLELLDKANSMNDQNANLYYIRSIVKCFLGQVNEALMDIESAIQKSEDNIAKYFYFR GMVFGLLKQYKHSLNDFSICLTLDETFADAYLNRAKCHFLSGDSNSAFQDLQQCIQILQD DPRMHVWAGNFLFANAAIEDAIKAYSNNKDYKNNPKLLELRSECYMVLGDLNQCQENINR LYKLTKEKTAEFDKDMLQALRLVFKDDQQIYFNEESENEQIEFKSNLNKAISGLKLCRGN GKLFQQFHVYIFRGVFLFHLEKYEEALRDFQMASSQKELSITEKRLKQRRSESDIFNEEE FHELTEDEEDADLLEILEIQFNELICLVILKQFKKAKEKCKQLIENINEAKQEGLQILLM HIEQILMKDQQNIDYQRVICLYDEPKENIICFQVPIVFVNGLKIRLSFSLPKLSPPSLSI TFDRKLVSDIGPLSVENKPEAPWIRREQQDDMIIFTENVQLVDDIRLETEKDDEQPQQQQ QMLEITQIKNNLMLDKDIEEKLQRFFEKKNKQK >CAK85462 pep:novel supercontig:GCA_000165425.1:CT868540:652270:652909:1 gene:GSPATT00002863001 transcript:CAK85462 MSLVLEKADSFRHIIRILNTNVDGKQRLAYGIRSIKGIGRRFAIQICKVLRLDLTKRAGE LTDDEAHKITEVIKSPEAYNIPRWFLNRQRDFKDGKNYQVTTNELETKLREDLERMKKIK CNRGLRHHWGLRVRGQHTKTTGRGGQTLGVERKKK >CAK85463 pep:novel supercontig:GCA_000165425.1:CT868540:653855:654286:1 gene:GSPATT00002864001 transcript:CAK85463 MTDTVTQSIPRREKTWAELAEEEDEYLEELQNQNKNESSQLAGKQLTNFQKRMIERIRKC DEPIEVGLQQVRNSISQEEIYTAFKQYHIRDCYFKYDNCFFYLPKEQSIKLVEESNLRIT IKGRSILIVILPLKI >CAK85464 pep:novel supercontig:GCA_000165425.1:CT868540:654322:656353:-1 gene:GSPATT00002865001 transcript:CAK85464 MFQTRPHLPSYATSQSAYRTHFHNKAGSETRKAIDHYSYAINEEIGRGFSSRVYKGRDEN TTENVAVKVIDMKMVKQSIHSQLLKNEINALKAFNHKNIMKLYDVFQTQNNTYIITEFCD SGDLNNYIKKKGRIDESEAIRILQAVVSALNELNKKGYIHRDIKPANILLNDNQPKLADF GFAVPAYEARIQGRNFNVGTPLYMSPQALRQQGHTEKGDVWAIGIVFFEMLYGRTPYNAS SEAALISNIQHQQLVLPSSPMVSDESKDFIRKCLQIDEFKRWRVKDMTEHTIMQSRNLSP IEKREPLKQIQNITLPTENSYVKKIKRSQSQNIKDNAIRDFKPQQIEEKRKLHEENQKKL EAQIQRSSSQNQLLLPQKIVKTNAFEEQVQPTPPLMKQKSITLEFKQNNEILFCQINFCR FLYKFSQHLATLKVFPTEIRDKLLFLMGKNMAIKINKLSTILDKENKADNIFYLNDFENY RKSESYKRFCQAISEYQQKYLRHFEKTLKLANKNNFQKDLIIGVLCNNHLLESDSFYKTA LQYLRLSITEIKQNFQFIKFDKQEQLLPEDLQMHAFIFQGLMGYQDLIQKVLDYPVDYKQ FQKVSQPELYIERKPGSISYSQLEQLM >CAK85465 pep:novel supercontig:GCA_000165425.1:CT868540:656483:657364:-1 gene:GSPATT00002866001 transcript:CAK85465 MSERKKWCEVCKMLIPYTRAAIQDHENTAKHKKNKEYHLREQNKKARIEHKSQNKAYDSI PQTSKGFKNQNQKKEEHNYHQAVENEYTGENQQQQTKVADQLGFFAEGKVWILEKEDDTG RLRFRNTITGTINYEKPMGLMLEDYEEEAWEEYQQNPVENVDKELVVKVGQWEVVKTEDT FLKKFKVETSESEEGEPELNHEEQLAQKIEQDIKEGTIKNQQELVKEVLIFGQIGKDKNR ILQQKLIELADKDIELKDILPQMQQKNNKNEEINTSGLFNKKSFKKTKVDYFQ >CAK85466 pep:novel supercontig:GCA_000165425.1:CT868540:657424:660683:1 gene:GSPATT00002867001 transcript:CAK85466 MPQLFYQIQLSFVVLILLAGLPTFAQQQNTIQFGETKTQTNNYNFIELENEKHQGAIIKP LIDPKSYKYIELENKLKVLLIHDPNSEIASAAMDVSVGSWNEPSEYPGLAHFCEHMLFVG SAKYPRPDYFDELLAKGSGSSNAYTDATNTNYYFEITSQYLDKALDTFAHFFIDPLFSED LVEREKNAVNSEYEIDVSSEDWKIQNLFTLFADPKHPASRFSLGNNEVLKKKGIENALQS FFEQYYSSNIMSLVIQSRISLQEMERLIKPFNRIKNQNLQPPQFQAFPYQFGILCKYKTE KEQLTLNWQLKGREKFTHQKPIEFLDYIIQNGNLIDYLKEQNLIISLSSEVFMEESSFTN YMMEIVLTEKSKENEEAVAEITKIIFNYIQKLEEWLSDDEYINQVFKEQSKISKLNFNYL TQQLDTSTMAKVLNRQKSKEVLSSEFIMETLDKDLILDYIGQLKNTQNLIILIGDHQYSY TNEKEDDNKSNKQFLQDKLLYEKNNLYRLVYSKQKFDEHFINFISKQDEKLQDMFEKPQQ NDFIPENVELISLCESSESKLPKIVHSDKLKTLDQQSKLNLFLMAGQDLNQYSDEQCSLE EHKYQKQNHYPILLNKEQQEWWKSQTSYKVPFIFGALQMKYQKTLSLRQFTSLRLYNFMA DEVISKELKLPLSSGYSYELDMNKKTQIKVYGFSEHVRNLFKKLCSCLNPFRDKSKSFLE LTESKRFEIAKQSLLLSIKNMYQDNLFEQAIQIYLPQLLQKDSHDPAKVLEQIDQITQQE MLKDVRDIMNSVQYSSLFIGNLDQSEATKISDEVQSCTKNKEQSSVSDNIQDKVAIINLK GKNLIDSRFIESGNDDDINGVTLNYYQIGQRKQMNQAFIKLLEPILNQQAYNYLRTDLQL GYVVAVEFKTTACVDGALILVQGSTEIPMKVNQIIDDFLDKFSVYLEQMNNREFQHLKHG VITDLKENPQSLSEEGDRLWKYISAGTIEFEDRQVAIEKIKKISKQELLEFYRNSFINNK SKLSLQLYGQGMVTQMMNLETQSEFNEFVSNTKPKDTELFDPLQASYYQCEFEATQI >CAK85467 pep:novel supercontig:GCA_000165425.1:CT868540:660903:662234:1 gene:GSPATT00002868001 transcript:CAK85467 MDQLLNIKYSARSLQFRSSPCSKQSFQSEDDMDTTLSFDFTPKPKPKPLQGLSSMASPFD NEDSDKSSQKGESVPKTFIPKLSRFSTQRVITMNEGDKDEEPEVIEKEIKQSSNIQSQQP DEQNKTMEEQLRKIEEKIKVNPKLKQMKSKPMSMKSLKQVEQSKEDKLMEHPFRHLIFSP KVDELVFEKHLIVTQRGLIYSRKCLKGPSEQFVEKKKVTLTKINPNKVNTIFLDLDETLI HACHARETPSVKLKQQNEDGSETDSMQVGINVRPYTGYFLQELAQYYTIYIYTASSQQYA QTIVNYLDPLKQYISGILSRSNCMETKNGFFIKDLRIIKDLDLDRTLIVDNLVHSFGLQV ENGIPILEWHDNQGDSELKYLLEYLIEASEQPNLKDFNITHLQLDNLIDYVIKQ >CAK85468 pep:novel supercontig:GCA_000165425.1:CT868540:662248:662572:-1 gene:GSPATT00002869001 transcript:CAK85468 MKNMLLIPFYRMTVVTPKRYFLLQINQSTNLQTQSLIDQGHLIFAAKKDQGMMYIFNTKD EVIPYDFATQDSSIKDFKIEEIELRTQGESKQLSHLYKFR >CAK85469 pep:novel supercontig:GCA_000165425.1:CT868540:662664:663557:1 gene:GSPATT00002870001 transcript:CAK85469 MGRRPARCYRVSNGKPFPKSRYNRGVPDAKIRIYDVGRKKANVDEFPAAIHIVSDEKEQI SSEALEACRVAINKYMIKKVGKDAFHLRCRVHPWHVLRINKMLSCAGADRLQTGMRGAFG KPNGLCARVKIGSILYSLRCKDASINHAMEALRRGKNKFPGRQKIVVSAKWGFTNYFRSD YLKYKANDELQYYGTHVQKLRQHGKLDKLKIFQALRK >CAK85470 pep:novel supercontig:GCA_000165425.1:CT868540:663568:665184:-1 gene:GSPATT00002871001 transcript:CAK85470 MLLLLLASLTYAQHIIFQDDFNAGQINAELWEKYDVAKIVPCPGTTNDYCVNIFKQSFGS GLKSKPFGIEMEQDVTIEFKYLTKSTPNRGVHSGLFVGYTDNTEDKKSGYCWFASGYSYY SDFFNQTSQKTDAAYLCSKDESVLIQDLLNDWKTIKYTIPSATLKNKNQSLLSLVFQDFV NENSEGAYYITKILVYYNKNCTAKCEKCTTFYDCTSCQDPYRWLEGKCYKGECGTSLEQS IPYQKDTLLESSTSTTYSATIKIPGYDFQACWPAQWVTLGYEDGKFTELKFSYETASTAK LILDQQSMTNANCKQHENSNIFTCSFHFNVHFNEQIMYERNWTATIDYSKSSITSLQVPP KTLNPPALVITIEYKLDYCENVNDCQWTTDKITLVLNQRLKILRNGKMEQGYVKLWETGT LVNLPILETVIGEGEIIYTVRLAIKGEKGNGLAVTAKIINPNSAGSGRRRVLEENTNSQL AVGNLNGNLITYKSRQLVIENDPEFSQLILMPLLLIAILGF >CAK85471 pep:novel supercontig:GCA_000165425.1:CT868540:665953:668583:1 gene:GSPATT00002872001 transcript:CAK85471 MSLSSYEIELPVNKESPYQQLYRGRHDNDLIYMLHIFPKQTLTPQMQQQIFERAEEFNFI FEKMDFEDFILISYKDSTLWTNLEQKVKTESLKSFYLKLYQQYSKLKGFIDFDQDYVFVQ EDEVFIIDYGINQHVMKKHKDLEYTTKTKSYLFGQLIFNLLNNRDISDRLLQCTDQYQID TIIHQAAENQKFNNEILECLLKLLKLDPNQRPTFEEVGQWKLFYSKKDFSKVNSSVLDFS NKKIGTQTSRQNQTQRSARPQSYDQKVMNSAHNQSVKAAIQKQRRQAPSTNKVCSSYVFA SQIITNNIKNKKFQSNIANLATQQSFFQQTGQHLYTSEMNQSQYPKTQNHFFAKQPDQYQ QTSQKGFKEQSNTNNSQQQQQRIEKKSPFLFDGSQPPIISITKPQNPQPLIGQNRNQNQE ANFFNTSSSIPSNYTSPKQNMYQNQNTQQQQQLQQQYQQQQQQQQQQQQQQQYQQQQQQQ QQQQQQQQQQFITHIPQNQTQFQQQQQNQVLDDNQSFHFKSLPSEESSQNQKLQKDEKQQ PKLKTPFQLAQNTNEDDVDDIKLHQTNNLNSNQNKDYNQWNEKDKKQEFFKKPQQENKLT SNSTFSKKEEVLTNNIKNQKAQSINDLEEPPIIRPNKSLQQDVQKQQKPSVESIQSPQVN QVDLNQTFNEYVQKYYSYLGIIQYLAITVADLNEKLSSQGPIWIVPLCIVFKRAYEIRKL IEKDIEKQKNIFELKDFVKFRSSPQYTQLFENIVNQNKVMEKEFLEMLEVAQKNMAAKKE MDKNSQQKMAALLNLDIKKSIKEQAEKYLYTQIYPRVTLSIGKLRAKTLPNNKFSELDWI QTRLLSLIIHI >CAK85472 pep:novel supercontig:GCA_000165425.1:CT868540:668727:669500:-1 gene:GSPATT00002873001 transcript:CAK85472 MGNNCSYKQQTSDKTQFDYLDLKSNLKAMSKPKSDSLISTSQLTQMEIPNAMLQSPTKPF IPKQNEIRSLVRVPSEESLFLQQFNSEKVNEQENNSIYQQSKQSKPLMVLKSSLKRKTKQ NQAQSPNFQSPSPQVQQQIKCRSHSPYYQSSKVEIKTKKSMEFTKKGNQQQDLKPKRKYS DAPIQKERSQVMRRKISDICDDHNYIIGVENYSPTKLRTYTPTSILKRKDSDIETNSPMK KQVRFKEQGLNRKLHYN >CAK85473 pep:novel supercontig:GCA_000165425.1:CT868540:670271:672156:1 gene:GSPATT00002874001 transcript:CAK85473 MPKIENTTGMPINLIIDELKSDDIRKRIHSVKHLDLIASTIGPERTKSELIPFIQGMLKY HLELLDDDDEVLIELVESLSRNFIELVGGQAQVLLPTFEALCRVEDASVREKAANQLKKC FALLPDQKKIEELSMGIIKRLNDSDYYLAKNAVVILVPAILNQVSQNNQNDLINIILKIS QDQIPQVRKFSSMYFQVFRYIIAGFINETFIQNTLNSFIKDEQDFIRMYIVDALIASSKT AFFQKQQNFVLNMFKQLAEDQSWRVRYYFCDKLAEIGESVGKDSYRKNFQNYHLKFLQDS EPEMKSIAALKIEKLSSLMDAEEIMNKLIPLLKSIQSDSNSFVRNSLASSVLSLSPIIGK KNTSEQILPIFLTLLKDQDSDVRITLFKKLSLLTSVLGVDSLSQSVIPALTELAQDKNWR IRASTIEVLSFFARAIGPEFLSDKVLKLLLDWLGDKVYSVRQTAIQQTAQLIQILGIAWA DRNLLTKIWGFQSIQNYLQRLTVLFTITQIASSLNNDYILKTILPLLQQMSKDSVANVRS NVCKTAILLAKEKGGNVVEPLKKVLQSLCDDQDAEVKYQAKSALESL >CAK85474 pep:novel supercontig:GCA_000165425.1:CT868540:672255:673728:-1 gene:GSPATT00002875001 transcript:CAK85474 MLNQQRLDQQETIRNEYQQTRPISQQFVYTSGVQQVPFVGQQVQQEEFVFDGRNQAFNQQ GVQFPNNFVTAQVTNYPSINPCNPCQASPLHMQIVVVNREEIEAPWRLECEYLQSVITEL EARQLETKIVEKVIEKEKIVKDTESISQLENELNRLRLSNQQEVSDLRRQISELQMQNAN LNNQLKQKDQELLLIKTSSSSSESRYKQLENELQNWKNQCRSKDQELAMLRDQVQDLQDA NSKLQMELSSLRLIIQQKDNELEKYRVTSLELRSAANESRDAQSRIRELEMEVNNLKGLL YQRTTECEDLRNQLALNDKEYSSKINQYRFQISDLEQEILRLKTEIEKLRQLLANRDHEI DDLHLRIQYLEEQGTTVVQEKVTFLSSEIEVWKQKFIKINHDYNECQEQLMMAQAELEAL QKQQKKEVVVEKRTVTRSTVGSSSIQKQY >CAK85475 pep:novel supercontig:GCA_000165425.1:CT868540:674084:674701:-1 gene:GSPATT00002876001 transcript:CAK85475 MNSLLKAEQPYLDQQRKSTISPEIRNNIQSTLHRRINHSQVDLITPITVKQNHKKQAITQ GLQQLLKSSPYSKLVQQKSQQQLQILDSFSQQYLNGYAPTPNQLSSQILFKPDIRTPSLN STTSSSIKPRIRNNTKELLQKKSFDSITATSNREKERQTISQLQNIIQRSSIILKSYKDE LQRHINEKQDLIKQIQLLEQIKIKQ >CAK85476 pep:novel supercontig:GCA_000165425.1:CT868540:674993:676628:1 gene:GSPATT00002877001 transcript:CAK85476 MPRSSKVSNRSFSHGKQGQLNEPIIITLQREIDNYTRKVEIERRKLFNLEQQHRQLQEEV KNKVQSVKKLKMDSIKKVLNKDQAESKSLQFQIDQFDKKLCKSVANIEIMKSNIDIIRKE RNQLLQAMKEIESNHEQIHSRLISTQSQAQRKVHDAQITQQQMFDLKTKNEIDKSEFQEK FDKLKAELKEKQEKQNEKDKISKNNRLKATNYSTFDTGTLLKRRLQRIIANNKEKVKMID TYQRNMRIVDEAFNQIKEATGLTDIEEIKNNFIKSEEQNYSLWTYVDVLNQEIDALEDTN QELKEKCEIQEKENQERDRILKATPDGERKRKYIQRIIDQKQNEIDQFSNKLSQIQPIIE SILNKMIKTKFNQDHTRVYTFQGGFQLNESNIDQYLAELEGYINMLVLYKSNKIRRDGLN QNKFITGLLLEEIPTKEFKSKQQLNQSSVLPSQGNEEGQDFQKFLNYTEFHEMAKLALKD VEGQNFASTKKNKK >CAK85477 pep:novel supercontig:GCA_000165425.1:CT868540:676629:678391:-1 gene:GSPATT00002878001 transcript:CAK85477 MFNSSPLSQKAQYSIAQSKITQDVSSKCQQPTAFPNQSQLTLSQQQTQTLQVQSTASQQG IVAQQSFQSIRSVRVNQVSIRSTDHQVESQACVGNIIAPLPQESQQQSTQIRMEGSKSLR NYVTSSPQAPQSYKSNNSFPLSKPSFVEYYPPVYVPHLEPRYAQTIITNPIQVVDVIKFE EIWSKRMEVLEQLLEQRSQVIVENQNYELRASNSDDRQTITDLQTELFSVKTQLEDREAV ITLLKQQLQNATEQAEFANKQHRSTSNILTQEMQIKITTLQQTIDKLQLNLISVQQMVET YKQENLLLQCELKRQQATVNEKEEIIIKLQQQLKLTLDQYNLDAQSNKNVSQQKISEFQI KLTSLEQTIASLQSNLNSKDNQLEKLRIQINQLQIEKQQIHELCNQKDETISNLQTELNE LYQQVDQMSEEITTTQSIKTFEEEAKMWKQKFKELNDTYHACQEKLMVRDAEYESLVKQQ SQQKIVTLSTVIKQNSSRSVLHNSDYRSSSMIQNEVDKTQTIQKSLRM >CAK85478 pep:novel supercontig:GCA_000165425.1:CT868540:678818:679385:1 gene:GSPATT00002879001 transcript:CAK85478 MAQPTPRIIKETQNLAKDKVQGIEVTPNPANFKHFFVIISGPPNTPYEGGVFDVELLLPD DYPMSPPKCVFNTKIYHPNIDNLGRICLDVLKDKWSPALQIRSILLSIQVLLSSPNPDDP LNNEAANLWKANEAQALMKARDFTLKYAKKH >CAK85479 pep:novel supercontig:GCA_000165425.1:CT868540:679557:681090:1 gene:GSPATT00002880001 transcript:CAK85479 MAAINERVNSDQVVTILQSLNYTQSQINSIVSQITSEIGISINQIDINQFQQLLQPIEQE IPTQKLTSEQIYAIYAEFRQALHFQNIEKVRQILTTYQKDIDLVNRIDPQTRQISTFIAI VGSDEDISLQLLKYLCELGANINYKDNLKQSILFYICRDGRTKLFDFLVSQGINISDTDS YGQTPLFYASRENRIDIISRFIKLGGDIAHLDTLSCQTALFYAASKGHYEACKLLIEAGC PVNHQDNKKKTALYFAKQSQKKEVIDLITASMQKQKEDVHQKKEESVKGGEQKQNKKKQK EVPKQQYKILHTDDKGQQRELTNEDFQQFSIQYPEIASLILNADDFIDENMINQCKEDET WEKQAKKIIAQIWKAKGAYFFHKPVDQKEFHITDYFEIVKKPMDFGTIKNKLNVNAYKNF REFHADMLLVFDNCVLYNGNQSAIGQIGVSIKSEYLSQVEQNGLNKYL >CAK85480 pep:novel supercontig:GCA_000165425.1:CT868540:681159:681854:-1 gene:GSPATT00002881001 transcript:CAK85480 MNTAKFHNRSLSYSKLKSTNRIINGQFSEPNQLSSSILQQTHEQHSFPKAKRFPSVSRES TPKMLLLSDTKTKRSTSFGFGTKLIKPLDLERRDRLNPAPGDYQVKVLQSTKSFSFGNKL AKIDRQEVPGPGQYQFKLLSKTPQFSIYGKIIEKQVLPKQQASFVTYNPKEALTLNQRFN KISFGIGERPKQTFNEQIPGPGSYQCKSLFDLICERRNQKGSP >CAK85481 pep:novel supercontig:GCA_000165425.1:CT868540:681931:682482:-1 gene:GSPATT00002882001 transcript:CAK85481 MLDIEAFTFLNRALESTLAPIVILATNRGYSQIRGTDINSPHGLPVDLLDRLLIIRTTPY NLEDIIKILAIRAQTEGLKITEEALQDLSSIGNQSSLRFAILLLTPANILAQTSGREEIA IQDIQEVHELFLHAKQSAKVLEQQADKYIS >CAK85482 pep:novel supercontig:GCA_000165425.1:CT868540:682494:683473:-1 gene:GSPATT00002883001 transcript:CAK85482 MMKIEEVKSTTKTQRIAHHSHIKGLGLQEDGTALENASGMVGQQIAREAAGIFVDLVKSK KLAGRALLMAGPPGTGKTAIALAVAQELGSKVPFYPMVGSEVYSAEVKKTEVLMENFRRA IGLRIKETKEVWEGEVIELKTEEKDDQTGYGKVVSAVVITLKTSKGSKTLKLDPSIHENL TREKVTIGDVIYIEANSGNVKRVGRCDVYNSEYDLEAEEYVPLPKGDVHKKKEIVQDVTL HDLDVANAKNPKEVMISFLL >CAK85483 pep:novel supercontig:GCA_000165425.1:CT868540:683505:684961:-1 gene:GSPATT00002884001 transcript:CAK85483 MIAIMQTPSTVPSTRQSKTPGEYDHFRRILKTRQRFPSSADCKFRKSVSSPLKKQSANQP TEKRALLTLQDRPKTQTDLPERPPEYYNLFNLPYKNYKYQKPPGQINVAEYLEGTYKLGK TIGIWDKNTLHKKLNVNNLQIKRSNYTSDHLIKARKPQGPSSCLETIYIAKLQLDNEQTQ EGLIKMKAMEDNLKQDIQRYLVEGSSTQLQIKFPSQSYILNRWTRQSIGQEPPQCSKCEL IPKFSFQKNQVSNSVESLRRSMIQFQSQETSSQITELPQSMKFYKFDPKINSFWHLYKTK GMTIESLKDGFQILKKPIIGDRLLLSFDLTPINNEERVSYKQFCYFVRVFIYKKASLKER IDSVLNFYDPERQQRVTNREFCNINRILCEQFMEKHPTVKPIYQELIQNFKLSKVISDEN DAYFDQKAFKHVFISNQMHIQDIIELISDE >CAK85484 pep:novel supercontig:GCA_000165425.1:CT868540:685024:685322:1 gene:GSPATT00002885001 transcript:CAK85484 MNIVKTIRQVFQFSRVRYFVQQKDPRINAEYPDSKETKIPNVCANPEKLSEQGEFCKVTV QGKDVTSSEKLRIKAKVKNCKEINGIIAYI >CAK85485 pep:novel supercontig:GCA_000165425.1:CT868540:685746:688307:1 gene:GSPATT00002886001 transcript:CAK85485 MQINSNGLNAGIGGTQNGNMPTSQVLKQIAQNKQQTQQNKQAQEQDLAIIAQQTDHLRKR ISNIFNMPIKLKKTQNFMIEDIGLKVDSLFKKSHLNQSNVDYLSDHIKRLGDRINQEMKK KQEQYHSSIQIDNFRNFSSIQQEFQKNKQIYNSFYKTELKTTARQKEKLIDILTSSNRTE KLVSHEIQLNQYSEVILSKLFTENHLYQALLNFIKNKNDLSIYEKLFRTLELFETTHNVE MRLLADQFKNISNTLNQQQKCERIIRNTVTHLENDMLKQIGHLDLQNLNRKFKEFYLPYL QTTELYQIGQFFPTGILFILMRCGKINEAQKILSGLISSDQRIAQQFLSFFDLQKQEILN QNDVEEKSRQQYCDILLQQCYWLLLDVSDAYDVFEQTQFQKDRDFYIWFTLKTTHCSDEF VVYERSDQESKKAFFHWKLIDLHENIPQSTVSLRINIILQRYDYILSELYKYQNQCLSQV EYFILDTVLTALKMKIQKNATAEEQKKNIIDNLVNYTQNKLPLVSCLLMSVSTYNVEAIA NLLQKTNHVTQVLMDKNFRENLEVIFGKEGLLNITNEMVHLFFEKSLHNSLKEFQQQLEK SSTMNQINDCHTVLILKLDEMSIYLKQILNQYTETLLETAIYLYHETQLVYILSCVIVNQ YCVIKLGSQIEKRQLQVRNLETLHSRIKSCAKDCRQFTYFYRFYLIEIFQQTQQSMRQEQ VNLNEINQALSNLNIKELTKNLIENKQVHLIKMVFWQILQLIDLKKCQQKSYLIEKIQEL NEALLKFPESQGIKICREDVQFSQIVVDILNSYSKVLKMY >CAK85486 pep:novel supercontig:GCA_000165425.1:CT868540:688421:688753:1 gene:GSPATT00002887001 transcript:CAK85486 MLSKRKVSSQNQEESSNSIEQLCNKIRKVIVSEVGEKYIQNHSEKGIKQKRTKHKAKRED EQYIIEIIQNDPVEVEEQLSSIKAISESQSWLIEELIEEINKFNTHQEIE >CAK85487 pep:novel supercontig:GCA_000165425.1:CT868540:689337:689581:1 gene:GSPATT00002888001 transcript:CAK85487 MSSEEEKMKQLQALPIRNYLDQTVVPLLLQAMTEVAKVRLDFFYLLRPQNPIEFIANFLL QNNPEKAQARQQ >CAK85488 pep:novel supercontig:GCA_000165425.1:CT868540:690000:690621:1 gene:GSPATT00002889001 transcript:CAK85488 MLPNEKYGIVVKTGTTLNGNILIRHYEQVPNIMEKHLVPRPIQIVYIESIEEPWRQLALD LERKYVALTLEIQNRKLNGYQKTENGQITQNEVNQQCDEIESEIEKLEQQLKQMDEDYND KMKLFQQLQEQETRIESTSYDQEHQLEEMKLKFKKLNGLNSDLNEKIVMLEAENEAIKKM QTRKSIVTTTTTKNQIHVL >CAK85489 pep:novel supercontig:GCA_000165425.1:CT868540:690770:691698:1 gene:GSPATT00002890001 transcript:CAK85489 MDFVQSEERYQKLEKIGEGTYGLVYKAKDNQTGEIVALKKIRMDHEDEGVPSTAIREISL LKEVQHPNIVPLKDVVYDESRLYLIFDFVDLDLKKYMESVPQLDRVQVKKFIHQMLQALN YCHQNRVIHRDLKPQNILVDIKQQNTQIADFGLARAFGLPLKTYTHEVITLWYRAPEILL GQRQYSTPVDIWSLGCIFAEMAQKRPLFCGDSEIDQLFKIFKIMGTPKESTWPGVSTLPD FKSTFPRWPTPTNPAATLGRDITNLCPLGLDLLAKMIVYDPYARITAEEALKHAYFDDLN N >CAK85490 pep:novel supercontig:GCA_000165425.1:CT868540:691725:693222:1 gene:GSPATT00002891001 transcript:CAK85490 MSKLSQVLKSHTDVNGVTLFDHINNFINEVLQSNHKDMNSIELLSDFTKKNRFLFKKLSS ESEVNNLKDKIPEFADWVKRVSQLLKGQTVAQMPTILQDFVSSARLLEAAGYGFGEEETY QIQLSIKQLSIETNATEMTFFGKILCRNSDYYVARGVVPKLPTDYLAPNAEPRGDGVNYY TFWVTNDILTDWNELPLVTPDQVKVAREIKYVCTGNLNQELKTYPPFPGKEKHYLKAQLV RILHANLIAPKGLYQQKDDNPKELEFVEDFKIPEFAELQNPDSWVHVPAQILKQGRITLY TDGLSDDAKNALLEANPDLDSETTRLKGIAEDRPLEPFYQTNWLIKTFGDQMIYPPKDEN SGNNVYATVILKNYTWSGAVTVANQNQWAFFYVGYGFKDTQAAYVPIQNNDLQLEPEDKS EFPEPNPKNPPKPPEPEKVEGQDGQDGQDQQADPDDQ >CAK85491 pep:novel supercontig:GCA_000165425.1:CT868540:693246:693560:-1 gene:GSPATT00002892001 transcript:CAK85491 MNIRQYMFQKLLIIQLTNYIKQRNSVISFQTVELREKGAYFINIKQTSIPNAMINLNEKT TLADLQLSPLEIEIIKKKFEDNLEVEKRKEWKYENTVNELAGLY >CAK85492 pep:novel supercontig:GCA_000165425.1:CT868540:693634:694653:-1 gene:GSPATT00002893001 transcript:CAK85492 MTERTQWIYKSKEVLKPILETQLIGVSRAIVGLPMDHFFDRFKTLIQASQNNNFKQLFLE SYYRNGIFRGIFAGFSSQISIQLFKQYYRWPMMILIPKLYMQILPQKVKESAPALHKGLA GITIALFESFVTCPFERVKCQMMTQQQSKSVLKYMWEHDGGIKGFTRDLFTGMEVMILKQ VVSWTNYLYWDHRVRYYFKGEPSHKLTIQEIVLCSILTAIPNIIIVQPFDMIKTQFQMEN NDQIKNLSIWSAFKKVYEEKGIHGFYAGWQMRFCQFMFQALLTTPVMDYLERLHGIPQKQ Q >CAK85493 pep:novel supercontig:GCA_000165425.1:CT868540:695342:695748:1 gene:GSPATT00002894001 transcript:CAK85493 MSQQQDPPSARKRIRRCTKHDETPRPYKCGCGRGYYSYPALYTHLKIKHEGQPPAGTQIP SDKHYGSRGRPREKNFKCEEESKHQVFIDEDKREDNQKDEKITINQ >CAK85494 pep:novel supercontig:GCA_000165425.1:CT868540:695765:696434:1 gene:GSPATT00002895001 transcript:CAK85494 MNWKQVYASSEHRSKRILSGNAMSKDPKSNIHSPRNSQHSHKGTMIGLQRISKEIVESLT KALTPNCKVRQNSPKPILQEQEQKQIQKPIKEPEFLRQPLEQLENVKPPKELENIEYINF TKFARKISQQPVKEIRIPKNEKKISRQRSQDFHSKQEEWLRKKNEKVLKQRIEQQCQQQR KENYVGFLEKQQTDRFQ >CAK85495 pep:novel supercontig:GCA_000165425.1:CT868540:696516:696929:-1 gene:GSPATT00002896001 transcript:CAK85495 MGVRVTYRRRTSYNTRSNKIRKVKTPGGNVVVQYPNKKTSASTCADSNLNVVLNGLKRIR PTKLKQLARRQRTVSRPYGGVLSAGALKNRIIRAFLVEEVKIVKQIKK >CAK85496 pep:novel supercontig:GCA_000165425.1:CT868540:697713:698896:-1 gene:GSPATT00002897001 transcript:CAK85496 MNKAQFRRLLSLIPDRQQSPINTKLFEIDLTIQQPKIKPKQLFIEELPLFLNQQHIQILP FVPQRMKTEVYKKMQQNFSKPFKSEDERYNTIDVVRSKSRKPTEVRKVSCTNSYQIQQQQ NKMRKLRYSYESRRRQNSINKFNFEAHFYIFVNANPSLNINKIRINKQIIFQKLVDKYRI GISQKIMNFFEIPQYIDFQFWKSLTQKLLTINQEQIIVFIYRLIHNHRHLSSTDLFQLGL TTQSEAIQKDIQTILTYIQQSLNTETIDNEEIEYLRKSSIFKDNLTLTNLLTWTEIYQID VIYIVYDFSNQKQLNKQKDQTIENHIYMTEDKEDSINYNSIISKYPNKYEQNEPFSINFP IFRRIFQNQKIHLWNDIYSFFTGQNC >CAK85497 pep:novel supercontig:GCA_000165425.1:CT868540:698911:700414:1 gene:GSPATT00002898001 transcript:CAK85497 MGPKQSKDKKQEPQKQQPQKPKAQQKNVKQKPVEVTDDIWMYDLILQYLASPIWRNPISD FLDENCIIFDDEEENKIVYQEIHKKFKGMIEAMIEQLMVDIGVEDEEQLRKVIEIGLKNK KHRKYFEQLLIVENFLVFKKLMLKRNKELEYEALKELQKIDGGKNPEMDIKVKQAELEKE RMEIEHAVAMSIAVENEKAKIQIQEDEDFIEAIRQSQIAYEKQQEEILLSQAPIQNQQPQ PQPDQKAESILIFDEEVPSKIIQPQIKPQEKQEPAPIKQREPLPQIVQQKVEVMSLAQER EALLAQGNQLKENLKEIEETFKQNIKNQQDQETLEQRKQRLQQQRELIKQKKIKERQDEL KKYQDGEQQEQKPEQQEKGPLVVDMTEFVADQKRAQELELKKQELKKRAELLKKIKQDAL QEQG >CAK85498 pep:novel supercontig:GCA_000165425.1:CT868540:700447:701209:-1 gene:GSPATT00002899001 transcript:CAK85498 MHANNTSINDHTPTFAENQDFLNVEQCLNGISDFLLYTSTLKLDQSNHLTNYFLEDKQAS KNFSSFVNDIEFSELIRSYRLHRYYNKLNGLNNSVIIESHHQKVQSSNRQSQPQVVIKII GTSPPKIENKKYSQIESRYSPKNLKFYLKQEQQSTDSQISQSRRISSSIQQSRSSSLTMS SITSNIKFQQVYQNQKRQKQDPKKNYQFCLLSQKHQELTKQKQKNEPNKILNLSLNSLSS KIEKNK >CAK85499 pep:novel supercontig:GCA_000165425.1:CT868540:701329:702528:-1 gene:GSPATT00002900001 transcript:CAK85499 MNQPQETIQEYMNKYVKPIFDKLISQILKIRPDDIIGWSINWLQKQQSQINKQFQSYQRE EEEGKNNKQTIKSDVAIQGEFNKNERFKPNFIEKTKDQIEKIKKKILNSFLFQVLDEQNL ETVICATEEKKFQTGDIVIHQGDDGNELYVVDEGELECTKKFPNQHQETKLKTYLPGECF GELALLYNTPRAATIKAIKPVVAFTLDRKTFELFVKVKAIRKREEMEQILNTIELLKSLD SYEKLQFCDILEEKKYSKGEKVINQGEQGDTIYLIVEGELEAYKDEYQDKVYSYQSGDYF GELALLQNSPRQATIIAITDCTLYYCDFKSFTKLMGPLEQILRKNVGRYGHQVQ >CAK85500 pep:novel supercontig:GCA_000165425.1:CT868540:702625:704690:-1 gene:GSPATT00002901001 transcript:CAK85500 MQSLIKEKVNFQEYVRWAFEQNKDTMKNQEDQDIQFHSYHELDGEEMGQVIWLFRKCGSI NKIKKLNGLFLGQLFILNHIINFLLTIIHYSKPAKESISRLMKSIGIIQTDEELQLVKQE NDELLSIVYEKEVVQMLETVTKEDFKILFQVIFDLKDISTEEIKKISELGMLFDQNQSQQ EYSPSILKQNFGIIMAQIIQIEKIEIDQNTLLQSSIKLEQKKKEKAQAKKIQELSQHKQQ IIKFSKVIYHQQPQRKLLLYDGTIITGNIWDSYQDQYCVPISLSIDTNVVYFSFRIEHLN DEQLFRKDQVFTYKGPVNENFDPNVKKAQYDLGIKGQLSQQQNTYRGCFSDGLFDGIGSL YIGNQLYFDGEFKLGIQYGFGRELQNNEDYLEGNFENGKKNGLFQFIKLHEGKKYLDTSK PQKCFQNGKEVLYLNQGYQLQKKKSNLNPTRYLLNFGDYGVNNYQLQSLQPGRWLNSGII DLVISQIQTYREQISQSYKQDQRTVFINCSQSQDIFGSLISKNDEIQTKIFRQIIDYSKK QQPSRFVFYLNLDRSHFLSVVYENQTLYLIDSMKDKRADLLYQMVKLLNQSNFAVKNSWQ VCEVSQQYNQYDCGMFTIYYISQIMKNIDLSIPQMFEKQCFNVQQSRINYARYLLANRFF QLGIEILEL >CAK85501 pep:novel supercontig:GCA_000165425.1:CT868540:704765:705302:-1 gene:GSPATT00002902001 transcript:CAK85501 MKGFLQKLMGQIKQEPNLTQAGQFFKKVSGTSSQKQNANNPHLIDRIDQLNRIIIQGYQR DFLMLRIRQVITEAQLGHNISPKVQDACEVVHYALLNNIPVLISKVKLVHPEFDLSDQII VDEKEFEKRGEDANPELKSDIQVDTFANKDQSEYYDNQRNKWKEQQRKKR >CAK85502 pep:novel supercontig:GCA_000165425.1:CT868540:705509:707016:-1 gene:GSPATT00002903001 transcript:CAK85502 MLQNKIYQLNTPRRGISRSESIKKKLFKLNLDSLKTHETETQMNSDLLTTSRAHHYKSIS STLFQRRNSKYPAILQQLQQQYSTAFKNKELDKAIDAVVDVMILHVVRDNILGLLNTYIL VAETYLKFTYYSSAIQTYTQLLHLCDICNDVEEVQKSFRLKVLLKLSIIAHNLKMHETAV KILKKLLQYSWHFKDKYLEVVCFDKLGQEAYLQANLEKAQFYHCRAIWGRSEPENSNHYQ ISTQNIGQYLKKLPKGIQHIDQNLVSKATFLPFTFISQTQNQQNNNNSSQQYCGIEVTNY YLKNMNVIKQPHRFLSHVTPEACFQKLFITSQFEFEISTPRQAKQDSHSNDLLRINPQDL FISVLQNSNDREEILYMNKVKQQNKMGGFIPPSSYRIQKKDQPKIDKKLLKQPLIKMINE RLTSQPNFTEIIEERYRAARMNHSRVPLKDQVRISHLSPNRDLLNYGECLQATADPDQMF ISNVLF >CAK85503 pep:novel supercontig:GCA_000165425.1:CT868540:707069:708412:-1 gene:GSPATT00002904001 transcript:CAK85503 MKFEKLHSHNPSPKTLDFASTTKSTFVPPPLGLEIVTMPNNKIFDLFLKGSISAKQMLQM DNNDIEKSPRTPPRRQQTRHKSIKKNQADQIFNLVERFQHKRKQSIIIPQNPQPKNPPKL ERKETLMQLTSSTKELLQPIAQSRFRAANRKFTQRSMSGSKEEQLIRRCEKLSGERLKEE EKELLLKKEITDEDAWRMMNVFQKKKWFFDYVHRNLDQQKQQSSQQEIKQQVQPISLFQK LRNTVLKQAEVKRKPEKQCQEYYNHIQNIEDQIKYHVFNRNMPEKIRNQQKQNVKMFRSE SEVSKLNIRNRFLEVADKFSINQSNPQILKEFFENGSQTSKSQPKIQKGKFTNRRVQRPQ LSRENDISHESQGDSYKSITEFRLNELYHESKQRQKQYKQKYDTPFIDNGDQLQRKVKNL VRLGNLQNTILNVVQFKLQQ >CAK85504 pep:novel supercontig:GCA_000165425.1:CT868540:708455:710039:-1 gene:GSPATT00002905001 transcript:CAK85504 MYILMTPTMQKLNSLLDSYKAYRVKSEQELGERYNLFQQAINEKHSEQLFLLNSKYNGLY EKICRECKQSNKTFKISFLIKDQDFKLFIYINEYDAPRTIEFQPLNSLRKITKTIYKVVH IENQFDLPKVNLPKDEQNKQGNFDYFGESLLLLPNQNILKGRFSETGIPIQIPTKVIYNG NNCFELNFAIEKYIPNINTLMMQSQYKGEINDRLAPVGEGTFSNPNYKISAVFRDGFYQG QVKIVTLPENTIKCVTRDNEKTVLPICYQADNSIDYYVFQTIFEGRWINQKVIDYYLYYA SSEIIRLHECDNIGDSKYQNYVINSCDSADIFSSDIFEQQYYSKEFWMSYQKKYNIDINN NKNRYIFALNIGRSHFVVLVLEQNQKEEGTIYLLDSIPNHFTEHQQEVAIKNINDLFPRI KITDKRKLNRIKDIEQQRNGYDCGIHCIYNSLLVLKEWKKDMNQIDFEIRKEEIKKEALE IKVDQKKNDDYKDKKKISKLRRHIYFTMVNNQAHWLHY >CAK85505 pep:novel supercontig:GCA_000165425.1:CT868540:710071:710902:1 gene:GSPATT00002906001 transcript:CAK85505 MSLSQRITVTQKGRELQEQLREQNRQPQKPVQVKESQDSLAHFFDKELKAQDQLDNYASL MQNRLKSPEQIIEFLETKFQKQPLFVQKEMQKVGQRIYHYGNRDIHSQAVLPQLDFRQKQ YMKNKYANYSENISSRQSKHRVEEGLTKKINFMLFQEGKIERMITKYEKFNEKAKLIPQY RKDDKKGEDFMKQLLQRMDAGQETRVESLEEIKKQHKAELSLLEYQNLQKERLRQTMSDL HKSRYGKYWNKLKLSSTTDK >CAK85506 pep:novel supercontig:GCA_000165425.1:CT868540:710911:712079:-1 gene:GSPATT00002907001 transcript:CAK85506 MQEVILTNDAKAKVLHDYLSQTYVPQLSDHLFKTDEYLKKEAEDFEKIINQSDELTEELV EKKTVISRTVKQLIDKIQSEQEKLKKSETYKKGKKDELAAIQKAIDSISSAMKETCSKID SKELNKIGQLPQGMDQFMSKLFHIIYNEDQGKFNWADFKKKVFDQDKGADFQSRLANFQF KGVSQEKENLLLEMKADPQFQKVFQDPQYTKAFLDIADWIHFSVSGIENQKKKDQSAVEF ERIDKEFAGRKVELESYQRTHDFWVSQLKYVEDQQVLLHQLQPNIKQVAQEISGSKGLLE QHIGKFIQGAEKINELMIPNI >CAK85507 pep:novel supercontig:GCA_000165425.1:CT868540:712115:713275:1 gene:GSPATT00002908001 transcript:CAK85507 MGNQQQSESQSEFLEKKLDPNYGEISIYKNKANNLIFAEIKQVFLSDTSVESIKASLVKR LQLNHKCLVKILSFDSGNMDDFCSSYVVLTITVEYLNDTLHNDILLRKIHKTTYSEQELC YIIYEISNLCHYMKTMNNEIIDIYPSRILIDDQRQIKYFDQFLENSRLSNYYSVLFNQRD LEYVAPEQLILLTTRDKNDTTDQELVNIFCLGLMMVSLISGQRCVEFYNQEKLLFKKDYV DQLINKYCLRYQYSDFFKSTIQSMLKLHNDGRPNYQSLLQILHPHQDSFATFLNPIGKQR EMLESQLHSFHTQGSPSKSRISGFDPVDFSEIDKRIKTARQKAQNTLDEIGLDLRLNNFA TTQINIIEASE >CAK85508 pep:novel supercontig:GCA_000165425.1:CT868540:713316:715654:1 gene:GSPATT00002909001 transcript:CAK85508 MQRQDQQKNQEMIVKFLNFCATLIQKNVKGYIQRQRHKIIMMHIRRFKAILNGFIQGYRT RQIMKVPDVAKLRQNIIETDDGTYGNPQIRQKKITLIRMIDNYLQNGQFYKKLKRVKSSQ KQFVRNQWMDNEFVNQDQNYQQNYYKKPSKQQPKQNQVEQQYYDQLIQNEYTEQPQAYYQ EYMQQQSQIRDKSHSNSNLSGQYNQSQRYDYYQDERPIKSKNQNNYQPQERITPERNSYD DDRPICGKGKYQYQEDDRPINGKNNNTQNFYEDERPINAKNNNNYYEDERPITAKTKNTY NQYEDERPIKSGKDKYQLDQEQFPNDDRPIASTGKYQQYEDERPLGGGKGQYNLPVDDMP ISGKGTYGEFEEEAEAPPKRQKQPPKKKKDQKKENKQMDVPQKDIYDNQNENEPPFGRVQ NEDQNDQEKPKPKKKDPKLLENLKKRTKYDPRKAIQEAKKKQEEQQQLESVDVEEVIEEK EVIPQQQQQIPSKKQVLSQKNLQEKQSLSSPKSSKNNLSATPEKQTPRKEQIEEGSPKDD GSDQKPKNFLKRKSKQIPLKNPKVDPKTVKSKVKNCWNAGNTIEDPELDGTEDIPQSPKA YINNKSPSRRFEQKGSDLPLNYQQQLLQQQQLIQQQQQIQQNKSQGPLHVQVQLVQENQS QFQINPSQQANQQQKRQSIQLDELERAYYSKYTQKLDTTKMNLKVLDQERLENPRGVPII TTKSRFFTSFRVNDFERLLAQLEEQYNKLQIQK >CAK85509 pep:novel supercontig:GCA_000165425.1:CT868540:715694:718628:1 gene:GSPATT00002910001 transcript:CAK85509 MEQEIIDWANTFENTKSIDDLKTGVALCQIISKELFQDKRQCELKIRVINCTKQDYQSCI RNLTFALSLLEESQQISVKHLTGQMLYQNPLKIFQILQQFYRSKSVSSASSKPPKAPQKQ DDPLFYVDENLVQPNQSIQITSNEEIVANKYKMIKPNSPYQEINNYQQRSQTHQTEYAET SNRSKPQNQSSDSISNLTPIKSNNKESYNQKLKQELQVLENQIVKEQQNLQQKKIRDNKS KHSQESLSQHELVNQDFNQQQQQYQQQQQQQQYQQQQQQQQQQQQNSITSEQKQQLIDWL KQIRLIKSNAQGLENKLPKICKNGVIFFDLINRLTGRDEVLKGALRNPKSVREIRHNYRR VLEYLKQLERMSYKYLNSEQQLVDGDEEAFWGLMHDIKVYYANCGNVAIANHSQSVDTSL RQTQKQQPVQQNTQSFQQHSQSKLQQQITLSQKKQQHKHSFVDTQLEITIDQPQQSKSVK PSTANRSPVGPYSRIHNMKQEYDSVNRSLNSLMKKSNIGSQNGTPVNQSLQHSRNFSSSK QQKARSTSMSKNCVTREMELYVQTYFKQKGLYNNDNLFTDPIRNGNYLLQLLEQPITHNN FKSILEVEFNVDTALQATKAIVDAGNLPAWIGKLNKSSVMQLDKSAMGLYWWLLKQQTKK IEPITNSFTLPYRQEEIDQLKLMTLHFAREYTSTIQNFNDLIFQCQTGILLCQVETEVFQ QKITPIYTKPIGEKQCIANIRKALDYLKGKPVGQRFVWSEKLIYEGDQLIILGLFEDLRR YFDGLPQRQGDSYFEDGPYLKKSIVQSKGGSKIGNLIQQEPFSLIQKESSFTQPQQVNKK LIFDDPPQFEWLNNFGYKINWNNEILEEFKDGLIICFIVQKLENIQFKGLQPKPNTNAGC LVNIRKAFSVLKDKLDMPLELVIEQEKLLKGDKEYIIKLLTAFKNIYKNKLKSK >CAK85510 pep:novel supercontig:GCA_000165425.1:CT868540:718685:719299:1 gene:GSPATT00002911001 transcript:CAK85510 MDINKVLELENENVLLRSELQSLYEELDQYRINFTFRKSTDQKLIEENNYLRQEILQLNQ RLQQSEQQKQVITLKLIDQQELNIKLSKTVMNLQSNINEAQPDGYQNVNQCTLQNNQNNN YVQQLQVLRQRYQNKNEFDSQYKDQEIQKLYVQLSELQKLNNNNRYTKPLNKENLTKSQY RPRGVSENKFINFETNLAESRIYR >CAK85511 pep:novel supercontig:GCA_000165425.1:CT868540:719348:725241:-1 gene:GSPATT00002912001 transcript:CAK85511 MKKNPSLFKRVLISGERGKYKACQICQKKFGTEHQCKRCKRAVCDKCSAFKGRVFTESGQ EEKALHRQCRICKEESESIKKFVDSNRIQFLQDTKALEWLNQFGVTKEQTKTEYLQAQSK ISQSDQSEVRKLKNNLNIAFAEIPGVFNYSLREFIYYVIKENEYETLKQVTGRVLETFLS NYHEVGFSPDLIMLTVFFLCFGSEAAAFSLLNIFFAEMVQANLYPANIMSYDNGEDIDRV LLVLSSSFKMSQQDKPLITNFLKSRLIKYQQCFTINMLQFESTYYVIDSLYSLHQQAQDE FIKFIASALSQNIADVKANQLNIEEIEVILLRQVKYSMIQDGYFKTKSVQFSPYVKTMSI SQITSRFSSVIQDTTSSNMDFDRVSILNKIVENQKEEIAKLNSQLNNGPELNRKKTVQMK QKDQTNIQGDLKQVEDEDLVFSNLRRKTMHLLNQEEVDEDVAVLTDYINKLEEVLILKHR VVKDQNLKILEMQEKNEKIFEEIQKLKKEVQLSEVKFIDQTKITHQFEKEVNEKSRIIDE YKKKNKELQENLVSAQTENNKYKIQLLEVKALEGGSANGNGQKSNSYQREVERIQDENAR LQKKIAELENQVLEQNSKSSDQNESRKLQIQITQLEQSKFLINAQLSQREATITQLQRQI QGLLDENNMKSEGDQVIQERRKNAELQLQLSNYQTSEKVWKSKLSDIEHKLKEQTLINQE LKTKVNSSNPSITITKDENDRNYERIREQLSLELEAKTSLTKQLFQSIDELTIKLNQERS KSQNLQIEYDQLLEQTNFRQNRSQTIQQQNQQKDQRIQDFQAQIEQLQLELSKAKSQQSQ LASMHQNLQENFKAAEMKIVELEHQKRMYDRTIQEKVEQVTSHETKIKELNTQKNQLDEQ LTESLKKQSEQRVLLESLQFQVGNKGKEYENLKKKIEEQELIILGQNEKIHKVKESNEAE QNEKSILGTEQENLKKQLYMKEEQIRSRQEQINSLNDQIRNLNEKVSSLEQQRTLQNKQV KHLEDQINSREREYMTEHSKVSSERDLQKQRVIEAEAQILKLQQSDKKYKTEISNLNEEL QQQLLTLEHLNKQIKDYEIQQNQSRESNLENSKVQSIRLEKLEQQNQNMNIKIEAQSQEI KQYTAKIEEQLTTITELKYKVQEEESLRKAKEKQVNDKTQQIGQLENQLIKIQEKYNQSN RSSQDIQNQYDDLKKKFDVELNNMQSLQSRSIEYNSLQSRYMEQGQKLSSLEKQLLDYNE ISDQYQKQKKELQSLTDLLDSKLATIVSHETKIIDLTNQLKESNSQLQQVRKENHEIMQK RAQDMQAMAQLKQIEVENGDLKQQVYSHEATIQNQEALLSVLKGNQLNLEQSQMKLKIDY QHLDEKYNEKLIDLDEKSKTLFNLQNKFDSLSFRAQQSEENLRLVEDQRDDYQSRYELAL QELDIYKGKDKEINLMAANKIELQEQMHSVQKKNKVLERELQVSQNALQSKESDILQLQH TIQKKEQQITTLEGTIVKLKADLTNSKNSYEQLQLELTEMNSEQTSSGELFSQVKKLTND NLNKNTQIDQLKLQINELQDKNRNLEKQYNKLRSDALVGNQGNSESFELRSRVDELEGQF KQSQNSIRQKDQQIQELKQLLDNQSYQSNQSNQREKEREREKEKEKQTYNKPINNSNDHE NMKIQEYQFIIEQQTEEIENMKRNSQGLGEELTELLTLVVNVFLKKKIKKDQLNIATVLD QIKLFQKENKTLTIREEDDEQLVLQKLQQYRGDYINFRFRVEQNLTRITVLKQQMLQIIS EAKIQGKKKDVDKNMDVENLVMYFKLLLDSQAVQYSNQLQLCQQYEAELRSYQNNTSPQG QIIQQVKELLSKAPFLTQQQIYQFTEPKVSIKLRKDQDQEDARLLLEARNQQIQWLKNLI NEKQDQLAVMNTYLTNLKQLMDKYHLK >CAK85512 pep:novel supercontig:GCA_000165425.1:CT868540:725286:728721:-1 gene:GSPATT00002913001 transcript:CAK85512 MLSQMRQEGGLKSVKYVKKSLPSFIRNINAKGSYYNLNIRCRRAVCQNCANFHGPVLGDA GYSKQTHRICNTCKEESESIKKFIEQYKLSIGKDTFSLEWLKSSGLTIDLAKKEYDFAQK ENDRAKDKGEFLKMKQELQQVMVDFWINLNYSLREFLFNVMKENELEQLQQQVCKVLGAV LLQYPEIGYSPDQVLITIFLLCFCSEASTYTILTILYSDIIPSYLYPLNLRKTPYDYQHE TDQVLQVLEQGFKIKQQEVQIIKPFVRSRIVRYVMTLGINFYMFQTSFFMMSLILGFPKS GYDNFIKSLAVSFYQQFEDIKTFVNAQDDAEAQILRNVKSTQCEKDFTMTKVIIFLPQKR VTQSVVIQRSSDKKLSNQIQPEHNRDIRVEISIKGNQNLSENAVSSEKNIQDQRKKSIEV KANPEADEEKANLKQYIEKIEETLMTKHKLVTELQNRIKELQNQPPQIANNADVDKKLLE ANEQLRKRISEYDTQISQLEEQNKYSNKLQEDAQEMVTSIQKSNKELEQQLETSLRTNKD LYLQIKDLKNQSSQQLQPPSPLTPSREKNYQINIALIQKKNEEKLQSQIDEQQQKIVQLE LSMNQLNKELLGLQQLNQDKLKIIGNLEVRLIELDSTKRENEKLILEIAALFKKIEHHEL VIIQQQEELDNLKAKYDQLFKQHEIQSEELDQVRIEKRQNLEDYERKENELLLIIEDLKN RLAEISKQYQTTSDQLNQSLDHNNQMQNLVKEKDETIKLLETGIAKGVKKIAEIEANHQK QREDDKKTIDELKQIIDQNNKKIKDLEDSLAEKDAKLEEHKKEFKALKVIFVALEEKWTK LDNNHKDLSGKHEKLIAECEEWKKKFNDLDGQHKGLNEKYRLIVIEYEKVKGNTNDKLNE IKSQNEDLQRKLKALEDKHQLLQNQHQALMDRLEKDTQNHIKKVGELDLIIIGLEKKAHE FKTLSENQKLQIDNLQAIIKELEGKLAQADKNQEQRHQQLLVQITVHLEKLTKLENRNSK LELDVLELEKRIQELILYNQDYKNEIIKQDEKITILIKEGQTKESEILKLIQVIEKQKLD LIDYEKIIRELKQRIQELELIIVEKDKKIVEQEKSIKKLQTLLVQFKTLSEEMASIN >CAK85513 pep:novel supercontig:GCA_000165425.1:CT868540:728899:732162:-1 gene:GSPATT00002914001 transcript:CAK85513 MKKGPKFIKYVVNSGDRGKAKSCQICNQSFSALSAKEHQCKRCKRAVCEKCSPYKAFTII DGVPSKTAHRQCYLCKEESESLKKFINQYKIVFMEDTFSKEWLKSFGSSVEQANLDFDQS QREESNLRNKEESVKMKSELPQVFQEIVHFKNYSLSEFFYLVIKDFNITLILDSINRVLG TFLKIYPEVGYAPDQVFTTLFLLCFCSEASAYQILVFMYSLIIPHYTYPLSLRKEKCEFF TEIDKTMQVLTQAYKISSQDSPYVKTFLRYRLVRYIQSFTINFFLFETTFFIINQLFLQP QTGYDDLTKYLATAYNGYIQIFRQQSQDEIELILLRNVRSGKIQDGYFLTKQVDFSKVIP VVQKSSSNISARQPSFLQDSESGTGIKQQDQIIILRTEIERQQKIIQEQQSKIDKQKLEI ENLELKLQQTQQVISLYKSPGKDINLDQYISQQDEELQNLRRVTQQQKQNNFDLEKQQMQ AYIKKLESQVFIEYKANIQLKEQLEQSQLSKSQASTASQDQYEYEILEKNLQKYKRKYEK LKAKQSSQDQNQQRSKKDEIAIAELEAKLQLKEKQISELELKLNKNKFDTTAKIVELESS IEQLEKQNKLYKKSIQDIETRQSSLYKQDNGLVQQLQRQITDSQNESNLQLRQVQERFNQ QIQQLQQQLQKEQKARFLAESQLKDLTIKLEIQEKQQKQVTTSIQNSYQDISNGGELQKE IQRLQAQLKEQEERYQQLKSKHYAHLQMMIQVEKDKLINPEIQLSLINSQEKELTVLQEK LEKKKTKIKQLKQKEKAWMKINQDNVVKINQTTDGKHIGELLTKTIVLLNLDGKVSTNHI NDQAIALIVEKISHLIEQQTTFSEEFSNIFEDGQFVQKLNELMDEVEDSFVFDYNSLKSL IEKVNSVFSRINSTKQKILQIFRQTFDQNDLNQNPNLDIVILQLQQKLQQFQIDKEELES RIQQLQLSKNKYLEVQEESKREKKILQGVRDIIIDLPIFSPSEVFSFSDQRFKNKQVDQE DAKLILEVRNQQITTLKVILTEKQTQLETLNNGMIKLKDLMSNYFVQIMK >CAK85514 pep:novel supercontig:GCA_000165425.1:CT868540:732167:733663:-1 gene:GSPATT00002915001 transcript:CAK85514 MISETILEKLKPSNSQIVKPTEKTLTKLTKSQNSLRRYDKDEKHSDDFVKFKETLLSINA SQKSEINTSREPKYGKRVLLKSLKGGPLIPMPKNGTNRHETPPLISQSLPQLKLKTLGNL QQRQISSLTEKNQNLSKSLLNNPQMIQETEQSLQSDNRSQLYKKEPYQIVQTKQPQESKN EQIVEPLELQSEIAQNKQKPIQQEEHQEEEQQSQQVSEQEHIQCLVEQHLSEQEEFVKHL AGKCICVQCPCGRCKCKFQYKPFATNLCWNSNYRSSYKENPIKEQDLKVDLEQFKKFKSV ESCEYKTTMGSDFKSYQIIPPEQRIAKTYKPSLGTTPMTSYKNFYMNYGDLHYEQFKQSH YKTVIPELPFNPNTTYKQSFKIQQLQDNGYTKPPNGKPFPTIDLFLGQSQNKQAYQPKQV EKAKAIDQHGEITQVPAYQGQYTSVTHNDYVKKEILCEKYK >CAK85515 pep:novel supercontig:GCA_000165425.1:CT868540:733712:734224:-1 gene:GSPATT00002916001 transcript:CAK85515 MSIYGGFATRQLESQYNQLIQLLLVTLSKRLLKFYNNEECNEVGFKKAISSTVGGMKQLE LSKYLEPKFSESIKPLEDYLYKPKEYLKQTQLILKNNFSQGSDISMIRQNEQIITPISST KLRKRIHSISLTKRKEIEFRQQLLQLEEEQHPTNYFIRTRKQL >CAK85516 pep:novel supercontig:GCA_000165425.1:CT868540:734239:734955:-1 gene:GSPATT00002917001 transcript:CAK85516 MQQLISQGAEAKIYKIQFLGDQAILKERLSKQYRLKQLDDRITSERVKTESRLLCKARQL GVNVPHLYYVDNNQIIMMFIDGVKLKDFLNALEKEQRANEIQQILNLVAQDIAKLHNGGI IHGDLTTSNILVQNSKPYFIDFGLSYTKVGFVEDYAVDLYVLEKAFLSTHPTLEDAFQIL LEEYKKLSTKGQQVIDKLREVRQRGRKKVAFG >CAK85517 pep:novel supercontig:GCA_000165425.1:CT868540:735049:737590:-1 gene:GSPATT00002918001 transcript:CAK85517 MIQLYLAFLFLGVTQSAYIIDSAVDQVFWCGGSIIVTSDDDVVEQKNEAKQHRKILFVLS NKGIAYRSVDYGQKWENVTQSLIDQQKLDSNYKFKDIIQSPADSFTMYFIGYNQSFISQD CGRTYRTFNDNKLYGFRFNKLNKDQILAFQEKKCNKTDKSCKEQYKRELWVTNNGGQIWQ PVLDHVRDAAWDKLIHYEMVPDMRIIVSHVDNQGELKVSYSDDFFKTIKQIEKDCFGFYQ TPTYLFLLVRPDQYSVGYDLKMSPHLGETYFPQEIVLPIDDQSKHTFTVLDVTDSIVYMS VAHAEELSKVTNIYMSDGNEFTVSLLGNVRSQDTGHCDFEKIKSMKGVYIANIFDYDEIE KTKSRRKRINSEREMKLQSSDRLDQYKKSVITFDLGAEWHSLKAPKYSYSGQPLNCNGDC SLHLKGRTETTSLIYSSEQAVGIIVGTGNTGLYLDGQETNTYLSRDGGHNWYEILNGTYI YEIGDHGGLIIFAESESYTNVAKFTQDEGMTFQDIKLNISLDIDNIVTEPSNEEQKFLIY GRIKQSDNPQEDFWNKYESIIGVLIPIDLSNVYQRVCKGSENPEDPDSDYEYWSPQNYQQ QKCLFGQKVKYQRKKREAKCKNPEIVKKLLVENCPCTAEDWECDLGFMRKIDGGECVPMT YGFSSQPPPIKCTGTYMKSQGYRKIPGDECEGGVYLGPIEQECPTEKTNIQVNQIITDKP IVKNEQKKQDNLDSQSPNYQSQTGIKSKPFNILDYAEYIILSIMILTLFYLRNMIWGVVK QIFSASQVNKNRKKRSYYPPSEEELQEKKQATKKRLFGIQSTKDEDEEAGL >CAK85518 pep:novel supercontig:GCA_000165425.1:CT868540:738562:738906:1 gene:GSPATT00002919001 transcript:CAK85518 MSLNYDQFVKKYFPIRPETNQKGSYASISKSKQGQMIKELKFTENEWQSWREHFVQEIEL QNILNECKPSYFLKIQDWVEEFQKKQINIIFQILRIGIEQQRRKIFHLNITQIT >CAK85519 pep:novel supercontig:GCA_000165425.1:CT868540:739655:740404:1 gene:GSPATT00002920001 transcript:CAK85519 MRLDPLNGNIERESTGQMITVTNNYYYVSVAEIKMKEKEQLDQQMNSNNLLTTTAPNNSK KSIDDEQLDKLQFLDSAENLIDNQFTFFLKDQSNINILNQNQVKEMNLNEKKNIFKKFSM QIPQNTPNFLQKKPVLGNFYVTIIHKLKHCSRFIYNNKANQLNLSIIIKLFWDEEFMEND VLKQFVQDSQIHIDYELKFNHSSSHLINYKSQILKISNKQFIYKGYQRIISHMESESNIL DQKNWKLIV >CAK85520 pep:novel supercontig:GCA_000165425.1:CT868540:741538:741774:1 gene:GSPATT00002921001 transcript:CAK85520 MSVYDEVEIEDMDFDPSTKIFYYPCPCGDRFQITIEQIKNKYEIAQCPSCSLQIRVIFDQ NSYEIYSKIE >CAK85521 pep:novel supercontig:GCA_000165425.1:CT868540:741812:745664:-1 gene:GSPATT00002922001 transcript:CAK85521 MQFLLILPFVSSFSIKMDDALSGAITKYTLDVQLSIDTYNSILMIFPLSYELNELMQIYC WCNGNKFQAKIKDNQILIFGNFIPSYTLNITLENIENGYYPFNQDKEYILEFYQDENLVE RQTCLYTLAYQQQLSIQGRYENYSTFVKTTLNFEINFLFRVRAGTIFDIIFPIINIGATK IVSMLTQDTFPLFQNISLDFEINLAEQKLTIYNLFQKQYLPGNSILLSIHNIYTNNQQLD GDSFWILYKTTYKGLNIAEGQFQENEIKSVSPSKEFTLESSNQFVSQYTQLFFSFVPRLA YRQGSQIYIKFLNLQQQSQISQTIFLPKQNINPNYEFYIENEGIVIKNFNQFYESMKFQF CLQDIMNPTGLSTFNIGFYVLTPERNQVEYQELQIEILPQPISYITIEATNTTVFAITDI VIKFELKNVQTKSTTLTVKIPRQIQITRDSVLIQPDLQQDIQINGQEIIFKNYFNNHQIM NQVEITFSGKLQGTAGITDNFLIFTNDYSGALISLLQKPAFLTIQPSKYLLISLNPQIYV TDYLTNYLIKFQIPQIQEQSVLTVELPIYFKQQLSFCSAQIETNQQICEIGNNKNITVTL FVGGILEIIIYNIKTERSIQQYSYQVIGDIKYQQQIQSTQQNQLGSYKILFPQTFYDYSL TSSNSFYGELTTLQFSFNLLSTIQSNDLLQIVFPINIEKFLSCSNIVEKQIGQEIYLKQL QNGTNTFLCELFNPIDDVQIYPFQFNIFTDGGDIIAVYQDAFGIRDELRANKIDFSIKQQ SFFLNEVTNITLNFHTNLGVQKYGKIKAIFQLSQIIVLDFKCILQINQTQELKYDEVLCE SYLENENLIVISNFVNSIQSNFYQLIFTGLTFVGHISDYETNITLQNINQFGKIVEESQR IWKFSIICSENCKICDKKYNQCIKCKEGFIQFQNICIEKCPPNMILTSQGCEKCLTDANC LQCDYQNITQCIKCINEFQLKDGYCLKFNTSSNSNSNNHTNQNSSNNPNQNDHSISNLHS RQSEIYDGAPIFVIIMVGMLLAVIVNKIIKQKDALIIKTYYIYISLLDIPIAITRFIRFT LLQFPGYYILSLSCLAVTFFVQILNSSQLQLLKKTNLTFFKIISTSKLKEQLATLFQWRI ILSILPNQIETKVLNEIKKLFYNQTISQILPIIVQISFMCNQNKFYFFSLDDIVYNIIMI ALSSTNNLWLILKIKNLNQIHPELRDQSICVQGQEQAFREEDQVNNDDIIYYRNQPKINE QKENEQEFK >CAK85522 pep:novel supercontig:GCA_000165425.1:CT868540:746582:747913:1 gene:GSPATT00002923001 transcript:CAK85522 MIILKITSAYIYSDTGVFNGFATASDSTFICNFGFSRTATISYSNQFERIPQVFFVHEYL EQEKADMGFRLSITTITQTDFTLEISCHKQRQYTLRIRWFAIDDQLTSIWMFQMTRHFQL KIQMPKLVLWQSQVCVIQKQSIFYYLQLLVIYSLISEIKTNSVTVSITKIAGKFTNLKQI GYFVVVGIQDAFINLGLKTATGAFSSGTIPIEPNRWFAIALQGLAFPNTKNLRIRATYSN TATTISYTWGTWYEIETPNSHSQIWIAYQFTTIFKPLECFSIRISRKQVLDLLTLPTLYL ELLQTNQIYTAIGNYEYLVDKTITPLKMNIQIKCQNGMKVQADFNKCNACNTKKTHSFTY NCFNQMNYIGFFPLFQQAFPQYNSLKIKLQSTTLEISQVVYDQSLTESTIVKIQILNQ >CAK85523 pep:novel supercontig:GCA_000165425.1:CT868540:749045:749810:1 gene:GSPATT00002924001 transcript:CAK85523 MNFGIQLGYSQPQNNLNTSSFQEIQNKAPPEIKQFEPQLELNQYQEQVHSYNYIIAFSQK LVILKQKILYFKSIIAHSDKINNNSDNILVLLHYFFYTQCLSQLKHKSFRTSVYCPDYTH QVFILLSCSYCLDYIYNCTILGAFCYIMCLYFYGKRVRYNGILHYDVAIYLQFFLGDSCL TLYLSRKIGHLIQKGFGNIRNGSFFLQFNNVGGRG >CAK85524 pep:novel supercontig:GCA_000165425.1:CT868540:750926:752273:-1 gene:GSPATT00002925001 transcript:CAK85524 TNQMLYSTNLQFFKKSLAKYYSNQIKVNLNVQLDHEGILIKILLNYLWIFSSIFTFNIKF SSSIFFIEQSSNSFYFMVNNFDCYLSNLQIHLIYAQIIFIVLLMLLQFYFILIISFIYFQ LSSQQMERSIISNTLLCLYVFNYGGLIKLLCSKLSVRSISNIYYIQGDVSLLFDSQEHYI WIYFLIIPLLVIFCCIIPLSLFLLMFRNRFRLDMLKFRKHICYLFNEYNEKSYFWEQIKL IQKTCIILVITNFENNVLLKTTFLGICVEIYQILAINNKPYIISKFNNLDLKSGQICLIA IFLAASQYEIQALQNNFFSMFLQVILILLLFRLSFPFIKDILSIYSKKYSLPILTKIHPL LKQSNITLFKNIGKYLDQEFEKRKKLQINIKKMKSSLKFENGKEVKTFTKRPTLKSNANS RASFIEIKNQQQF >CAK81924 pep:novel supercontig:GCA_000165425.1:CT868424:1082:2408:-1 gene:GSPATT00039486001 transcript:CAK81924 MFYIIYQVFSVLANHKYEYGFVNHFSYSSNPNLNLYLSLDPFRQHEYYISFSKQFTQVPD VYLNIAKLDLEYTFPQGYSLDIFNISTLGFTIRIVCESPSRFYRVEFNWFAFNEENIQVI SNLNITNPKSSYIHSYNKNNKINIAKSNFVSYYASDSQFNNLTGLTLTQDTVTISFQLSN IKQIGYQILLSSSDIFFVGPVITSLQSDGSSQVINFPTRWGYQHCYFNLLGFKHDETDKN IRLYTQVTYQSQITVGIVGGDSIIQSIQNNHFCINDPYFEIAIFKGVSQSRFFHQENQID SLIEIKEINYSQNQNLIEQITIAKEIESIKIIFYWKCMNKEFLKLTIFSLEEWCSQSTII KCDIVKIKTVRIQAKFLLKQISEQYLNIAKTSGSLTASQVLKWENPFEQVLVKLEIL >CAK89464 pep:novel supercontig:GCA_000165425.1:CT868655:7343:8092:1 gene:GSPATT00022636001 transcript:CAK89464 MVQRFDKLNILADSLRILGQYNEATIWVDKALQVDPRHCNSLYSKGSVKFNVLADILRLV GQYHEAILWADRALLEDPNHFNSISTKADSLRILGQYDEAVIWADKALQLNPKLRDSLCT KAESLRGLGQYDEAIIWADKALQVDQKHCSSLYIKGNSLRLLKKYKEAMKVIEQSLKINP NHFDSLKIKGACLHDQSQYKEALIFMKKLQRLTQITNG >CAK89465 pep:novel supercontig:GCA_000165425.1:CT868655:8676:10142:-1 gene:GSPATT00022637001 transcript:CAK89465 MEIRCTQANHQNLQIIGACIDPECQYQRPYCHYCQISHSQHFVNTIPLEFLSNWMQEKYE AFQVMQYSFQECKSSLQTIIDLFIPLININAENLGLSELSNKIKCFSQIQELEILFQSEL KQSMDFIILIADQIRQNMKKVATEKQPINALVQTRNLQNTIQIQTQQYQKKISFELIEQN SIQQTEGCRAIAFNKDDSIVLAACDQLIKVYKHDKGKLNYNQTLNEHKENVVTLNFMMKS DRFVSGSFDSTIIIWQQVQFKWVKQQQLTGHSNCILCLIVNNKEDLIISSSKDCTIKFWG KQYDWILQQTVNEHNKSIHSISLNEQQNQLISCSLDKSLYVMQLRELDQKWIVKQFIEVN QYGYRISFINNNQFVYQPYCSEFMQVYDLDQSTLQYKKTIDIPVKCGSQHDTSYFQLQYL KSKGILINKNGKFINILRKLDDNNQFVVEQLIQFDDHINFGQLSQDGCYLINWDIVKRKL QIRKYKEL >CAK89466 pep:novel supercontig:GCA_000165425.1:CT868655:10494:12471:1 gene:GSPATT00022638001 transcript:CAK89466 MIQQFTPSLQEIEEQESNYHTILSIDGPLITVENMPNAEIYEVVRIGQEKLLGEIIKLKE SATFIQCFEDTSGLSVGDPVIRTRSPFSIELGPGIFTQVFDGIQRRLQINQDGSFFYGQG QMNISALDHDRIWEFKPSSNFKEGKLIYGGDIYGSVFENNLFDEHKIMVNPLVQGRVTYI APEGNYTLKDNILEVEIEGIINKYGMSHFWPVRQKRPIVEKLEGNTPLLTGIRVLDGLYP SVQGGTCCASVSSIGGKIFISQSISKNSNSNCNIYVGCGENEFDIFRVIDEFYDQKIPIK DHQESIAQKTCLISNSSKMVTPTTEISVFTGITIGEYFRDMGLNVSFIVDSTNQWSQAVN QIQEKLGEILPSETQSEVLTAKIGQFYNRAGRVRCLGSPERIGSITMVGIATQSEGDWAN SITNCTINNSQVFWLLDKRLSQRNHFPTFDRISSNSKYEQLLDPYYSSINPEFNRLKEKL QYILSKELELIKIIQLGEKPLLDEQQLSLEINQIIIEQFIYQNTFNDYDDNCPLPKTIGM MKCIVTFYECAQKAIQDEQFTWNLIKQKAKDQIYKLNGMKFYKIKKSELENHFNNFANEI QFLFKNLQEG >CAK89467 pep:novel supercontig:GCA_000165425.1:CT868655:13033:13536:-1 gene:GSPATT00022639001 transcript:CAK89467 MKNYQNQPTYELENSFSEEHFTIMAFHQINKILITSSLNYAKAFQFKQGSLNTIKLFQTY RRYITNIIFSRRIINFLLDEQILLSQSGLLISYPYQNTNRNQKVIHTPYSVQQYALMMKI SFYRRCKLHSNGLASRQLKRRLDGYTHYGQIKVEINQYVVLQIHPSL >CAK89468 pep:novel supercontig:GCA_000165425.1:CT868655:14138:16457:1 gene:GSPATT00022640001 transcript:CAK89468 MDDFDFLCNYDGHDEEEIIGFCLNKSCQQVTQFCLKCCWEKHGDHEDDCKTFKQIQKLLQ NNKQQQIGQSDDVNNKLKSIQQICEQLSQSKNIGYEKLDEIEKYLKQKEYKSCLGNIKFL KNIQDANQSNQCKLILVYQLELILEQLDNVLQTLNTLGQQQIPNQITSQSENTKNIQKAE TKDQEIQVNIIKQEDEINKKQTQESLQPKEKLVPVSFGNQQINLCNNDQMPRANSYQSTL QEFYSDQTSQLVNPCQTLNNQNPIQQNSKSQQPSLQVPATSVPQIPTNDICLNFYVLFSS IITIEQSMQQGQIQQKSNSSQSQQKKQKFEEQLNREISKNPEYQNMPQPLIKLTDQEWEK YKTVIQSAQHSNKNFVLEAEVATYNDDELEKVLEQCDKFLEYDPLNFEKHLLKGQTLIKA KKYIEALEQSEFIMDMNPNNYQPYILKGLALNQLKRYEEALHNYCISIQIEPIFEAYFLQ GISLMAINQLDDAIASFENSIRMRPDIELGYYHMGCLALMRKEKYDQAIKYFEIALRIAP KYDQALKQKNLCLRELEERQKAIQKSDASIQRAESEEVIYFKKGYSQLITVGLELFKEQK YNAALPQFEKAIQINNQMHEAHVYKGETLNQLGRLIEAVKSFDEGLKIQPRPQYMIKKAD TLKEMNNNEEAQMLYQKAQSIISKEQQNPLWK >CAK89469 pep:novel supercontig:GCA_000165425.1:CT868655:16949:19450:1 gene:GSPATT00022641001 transcript:CAK89469 MQFNYDYTVQKEKSTQKLILFLGFQHDTFDQFYSHFIDKKCLQDNAFFKIILISKKQNQQ SCFLINSPYSLKKCTDFDQDLDQKIMLFSSKINLEQILDNFHEYKVHLVVNGKELLGNDQ QEILQNFIDKQLLQFGKIQLKKQYISIIFQEKVNTFSLIIVKDLKSLNLIDLQFLSIELI LNTIFKNVSFFEFKISQNPIEVNYGEMSCHMMRQQEKLLKIVNNKIEKLWIQLLNLQQIP KIDECYGLQLSLGKYLEQLNGILNLYLKPKLSVLWKIIYKINQITMTFGNAINKELVKLN QSPQLEQFLKIKNIEFKDLSIKTQLFDINQLKDVNEFFTKYHKYEYDNVILVSIQNFAII VDFWNKYFKNNLVHKLFQLNQIGKNVQTLPQQDYETGVFLFGKSRVGKSTLINLIQNPQS LTIKKEINEQCYVLKEGIKTQFKIDHGCMSETQAISGMEIDGVWYFDCPGFDDNLSEYNR IAHRINLYNYLKKAKKVIGFLVVDGSIKDAQIIKDTINPLYELMEDKNQLLQEHEKWLSL ILVKIKKNARENCIKYWEQAYRGQLDGNYSIFRQMYENNNQCVEFYKAKKKFLNDGEQFE KLKNNTRQKIIDIVQRQLQNKEFKVQFQLMMENKLFYLVQDGIAMLIIKVQHIMLIFKNQ FNHYILENQSDVSQKQKIIKQLEPILKEDINQNNLDVTLLKLQRWCQQLIGHEISFFFLD QLISDATSYLKILFYTKNSNIAPFNINTEIIRKNLEIAIDIINQIETSDQNLIRIGMISL IHVVSSVQQLLFESVLINQGQMSVEQRVDKFKNRVLKLKYELHLESQARNERI >CAK89470 pep:novel supercontig:GCA_000165425.1:CT868655:20070:21212:-1 gene:GSPATT00022642001 transcript:CAK89470 MRDQMKNNISEQLLQLGKQQKSILPSHHNTDTKQTQQRMAKKRNSIRSKFERKETDQGDT IRHRQNQYKRLFTERDDNVEKRPKFQAFNNQLIASQQYKKSSLGPLPIKRKQSIQDYIIH NEKAQEIQYNKSNKLDELSFDLENSKSQRSIKNSNIKPNSDYNQKDQEINQNQDNIKKQL ANKMYNKIFDKDNSEDENNLTNQYLEEEILRNNQRFTDKQVYHFPQQEFKPIEQSMMIQF EKIKQKEELILQQRDRQQTVLTNTKESKELQAQNIQRALVIKVTSDDSSDEDINGQKLIR GQYLELFKDFEIIKEFKCYYPHNNISQVMLQVLFYNRLQLSKNELYLKHNFMKKKKIKKQ DTIMNLLKQTQHLMRKSQQQ >CAK89471 pep:novel supercontig:GCA_000165425.1:CT868655:21349:23686:-1 gene:GSPATT00022643001 transcript:CAK89471 MNISQQYEEQQNSERIDTQFQKQSQNLFKRQFSQNQLNNTWKLNGFNIIIIVLKFIQAIT KQTFTTSFKLLNRQFFKLLKDNTSDYNYYLHRGYFKQVKAQSFLIKFDQKVNFLQYYRRN YLKRIMQFILLEPDDTIIIIWNIYLLCVVTINVFYVSLRLSFVEIVEMDWVLKDFIFEQL PSYSFLLEIIIKFNTCIYSKGVLIKNRKRLIKRYLKREFLIDLVLIIPFFIGRQFSFIYL DLVIILKVFQISKLTNSLFNRLELTQEQTTVFELIKLIFFILLCAHFSACIWHKLGVWGD WGDKNTVTWLIKEQLYNSMWVDRYVVSFYWSIVTMTTIGYGDIIPVNLTERLFCIIMTLI STATFAYSVNSIGQIFQDMSKQSVQFKTNMNSLNKFLKNQKVSASLQTKFRRYFEYFWSK PSQEVIQFQEQIPQQLKDQMIVDINIKLLKQLDLFKQFSNSLLNTLCLKFKEIQLQPDEY LFKSNQRAEKLYILVNGKIDLRVIVNKKKRILEKLKTPCLVGQLNFILNTEYKYEAIATK NTKVLAIDREHLIENIKQNQIDYETFKNFEEDIRIQKQYGKISITCSICKKSKHFVLDCP LLFGGISRTKVLYQLRHNLPQYRNFTLRNNEDRRISTKKHHFLVMESRSAILRELKNVNI IYNLELQVAIKQQQQYDEKISNNLSNQFTKQIPNIPTSVNCISHESLRNIQNHNTVQDHG LTQNQEWPTEEVSQVGILNY >CAK89472 pep:novel supercontig:GCA_000165425.1:CT868655:23715:26056:-1 gene:GSPATT00022644001 transcript:CAK89472 MNSSQYGAFGMSGNNFNQGKPPVNNYNFENVRAQQPAPGGPQPSRGKPIGTAARQPQAEA RPMTSNRGANFGQKKDPFNSTQNQLNLNKPKLETNPEEQFKSIEKEINNLIEQSAMAKLR GNLSECLEKAKEAFNKEKKLRQSKEAQNLAESINTDLSYCAALTQACALHANGLHQDALT KYQEIIKCKQYPQAGRLRVNMGNIYFEQKKYSYCNQNVQNGVRFNTCYFQRDEIQSQAQV RIGKDKINEAITTYEQILKSSPDFPTGFNLMICLYLSGNKTKMKDYFVTLLTIEIPGENE EENNENKGTTITDKLREDTKERRREAIYYIVTSAKLIAPLIEDDIIIWIRMDFRVVKEFN ISQKLKLKLKFKNIEKSIETLKGFEKKDKQIMARIATNISFLYFLENDYKQAEKYAEIAI TYDRYNAKALVNRGNCLYVKNEFLRAKEQYLEAIGVEADCIEALYNLAYVNRKLNMFVES LQALDKLQTIVCIPEVLYQMATLYEMTGNSKQAMKWYLEVMNKVPNDPNILARLGSLFAR EDDEPQALHYFQESYRILPTNIETISWLGVYYVKQEMYEKASLYFERAAQVQSRDVKWKL MVASCYRRMGHFQKALGNYQKIYSDYPDNIECLRFLVQLCREMGQPYEEYAGQLRKLERE MEMMDGYQGQDINLINNEEEQVRLPQGDDNPVSFTNNTRRGNKQPPPKTNVRQNIDDEQF QDGVEDNFLP >CAK89473 pep:novel supercontig:GCA_000165425.1:CT868655:26183:28406:-1 gene:GSPATT00022645001 transcript:CAK89473 MNVHKKILEKNGRLDNPQQQQQQSQAKEEEYIQNTLNSDLLQFNSSYNNIRCTSNVFPQS KQSYLDAKIPFAVMIQPYGQSVQDGFVSVNNGGYPIIRCQKCRAYLNPFMKILDGFDHFK CNLCGLITQIGLNSMPKENVNQRTELTMGSYDIKAGQEYQARPPMAPAYFFMIDVSTKSE ALLGIIGQVMNELIISESFNERTLFGFLTFDTSIQLYNFNSKLKQTQMYVLTDDNELPMP GEYLFNLQDSKDIIVGFLNSLGQLFPKPLLRSTQFIEALKISQKLIKENGAKLIILTSSP IKELSIVENGKQQQLYLNKSKSVLTQITESMYFQFICPSIFVIPNNYMNIYTINQLATYL NGDVFYYDDPTVYTTKFRNDLKEVLSRDYAWESVFRIRTSAGWKIKHVYGNYVVKSSDLL NITNCDDQKVLIYELELTEPNLSYDHLYIQTALLYTSSKSERRIRVHNYCIPLSNSVKII YSHIDQSALAMTIYKMALHQMNSVKNLIPVKEFITSTIRSIFYNCKLYNVSLDQMHAYSL GIMKSMVLNYSYEQQSIMTDYVNYFRIIFQYIHFDELVTYLVPQLYDVTNLHENECVYDD QGQFVYPQQLSLVSSELATGGLYLMDCGFCLILYICKIHDPTQLVALFGEKYHSKPLYED DLQLNNAISALISQLRYNKYTKYAPIVHSQIRLQQFMGGCISQKSNL >CAK89474 pep:novel supercontig:GCA_000165425.1:CT868655:28973:29827:1 gene:GSPATT00022646001 transcript:CAK89474 MNLLDLIQTEVTCSIIQVTNYFPKLMNKLTELGQETASIIQPENSFKKIQFIFAILDDVL KYRTNITILFQSIVRFESIVCIIGGITVELRRIMQRSYQGIEEKINKQTEQRLKLYCENV QELYDQQVSIEKEHKCQIHVLKSHNIYQNQQKEITQLKKELNDSQNLRKDKNHLENSKQT IVLENKYRSSIFQNGFQNQTFALKLINRKNRSITEAQEVLTKSKQSMDERQYQLSLDKTQ DMITHNPRPKSRMNIKQKSMTLQLTSIQKGNTIKKP >CAK89475 pep:novel supercontig:GCA_000165425.1:CT868655:30015:30404:-1 gene:GSPATT00022647001 transcript:CAK89475 MKAIKGIGVDIVNNQRMLNIIKAQYAERFLVKVLHPSEIEQFKGKSTIQLQQQFLASRWA VKEALVKASSFKELVFPEVEICRKHDKPNIVLHNTNLEYFKKNFGNDYEIQVSISHEDSY SIGFVILQI >CAK89476 pep:novel supercontig:GCA_000165425.1:CT868655:30485:32015:-1 gene:GSPATT00022648001 transcript:CAK89476 MNKFCDYKSCQFNIKQPTIEQRSKLTKVMCPLCLASNYCSIRCRDLDWPIYHKGVCKKSQ SERAKSCNDTMDSSSAVSVRRKPEEFEIIIIGSKQELGRGSYGSVKLVKDKQNGQLYAMK IMNKRQVFEYCSVENLKREIKIQRKLFHSNICKLYHYFEDKENVYLVLEYAENGSLFHYI KKRTKLPEDEAFVYFFQTCLGIDYLHKNKVIHRDLKPENLLLDNEGNVKICDFGWSAESL TEKRMTFCGTYEYMAPEMLNKQPHDFSLDVWSLGILLYELLHGNAPFRGRNNEELCNKIK SGQPINFAPTLSHEVITLIKGILKYIPSERFTMDQIFDHPWMVRHAAALKIDIWTYVYKP QYPTRQIPLINFKSTSKPMDIQKKELQVRPMSQDYTKFKTQEQQSNYKNYSQNDQIKQDD LNKHRITRVSNRNEILLHQYQLQQQQQEQKLGFMDKVFLAFGCLNRDKK >CAK89477 pep:novel supercontig:GCA_000165425.1:CT868655:32045:33866:-1 gene:GSPATT00022649001 transcript:CAK89477 MLFQNQNNNNNKPPVPPRFYPGNPALNKNADGLLCSINTAQNNIQISRAETRVEYYRNQK QPSQSMAQGGFQQQNQQGTLFGGVQQPQQQQSTLFGQQAPAQPTLFGQSQPGLGLGGGLG TGMGTGLGTGLGGGLTGLGQQQQTQPNQTGLGAGSQASPFGGGLGTGFGGGLGTGLGTGL GTGLGTGLGTGLGSGLGTGLGTGLGTGLGTGLGQNPATQGTGLGLGFGQQQQQYQPGLLG LGQPQQQQGLLGAAPQQGGLQLQQPMQQQPQGLLGQMPQQGLGQQNWDNNPSGAMNQAAP NQQAFYNPAYPVYQQQQLDMNIDFDIKNEQEYLREVEDEFKKKFPTFSEYAQQNDVTFSV GNVNLRFKNPSLEIKIKSKNNQKQKEEIKPWYLSLKQDKKDQKQYKQSLNKQYYSKSNKK EMDDYAQGSLVQIKSEEQQSEKKINPRAPICTNYVLTPNIQALQEMSDNQLTRVRDFKIS NQYGSIQFLEQVDLKDMNIDQIVKLKQDSVEFYPEDLFGQVPKYGNGLNVRCKVVLTNFA CENRGMCEMRLNNKKEFEKVVKSWTEKNNMKFISAGDDVVFELDQL >CAK89478 pep:novel supercontig:GCA_000165425.1:CT868655:33967:36149:-1 gene:GSPATT00022650001 transcript:CAK89478 MSQQQQNLSSFLEHNLDPITSRYIMGKYLMMDGGEQSLDLRHMCDNVKLEMQLIEKEAAY DYLKVEKEMYELDGEIEKSDAILGELENVLLNFKDYLNDIKSEMTQLQERSVKMNTSLTN RKALSQILSSFVDQAVLDPSLIDNICSKEINDQYVEYIRILCSKLEYLKNTELTDANAVK NLGPELIKLKNTACKRVREFMIDKLNQLKKPKINIQQYQIQELVKYKIFTEFMKDHYLDI YVELCNLYTDAMSKLYLSNMKIYVAEIHKLTIDVYLRNDLIVPDSQQNYRPQLNLRNVVG LTIENRSIFQLMNRDQILQFMDEEPLLHTQLNQKNQKILIEQYFKTINKVLIDTVLHEDR FSQDFFSLKPDQNRLIFSGVFKNTIQFVLDHLKQTVSIFDIYAFLLIILLNERFQKHMHS KNSHVLDFYFEQVNMILWPKFEQVFDTHIQSIQSTNVRLYRSLEKYYGFRSFVMRYIDLT LSLYKLYAYFEDNKMIVSRINQLRLRYFDLIKRTGAEFEIEVDRITYTLSVYEMIVTAYN TAQMTTYKKEFSEETLFLEKETNKFSEKLIEIYLKELFGNLVDFIQKYAKEESELELNLY DNKQQSEIRVVEKVQNVNQVDNKKLIENISQDVNLSWNKRVDVFRLECEKHFQGTNLMKS LLKKFLQTFMAYYNAFYKYAKANHPMYVANLTQVTTIMKEIKAIQTKYNM >CAK89479 pep:novel supercontig:GCA_000165425.1:CT868655:36262:36972:1 gene:GSPATT00022651001 transcript:CAK89479 MNNSSIFNQSYCKTEGDDYYGSRALDILKEKMKIFDLEKIPQLSQQQRARARSKERSKTP KLQIHSNSKQPTNYSQYRISNKEQTFKSTNEMFSRQTIESIKSRLSIQDAKLLDVPIIKI SRERPPNNNNDSFNTMSLILMEKYYQSTIKYQQKQAKQLEKQAQNPNTSINSISQIIRNN QAVKNYKRKLRHSRRDLIKELPQQYAALNNSRQFSSDKSNQEYSRTTQLVSKYSSL >CAK89480 pep:novel supercontig:GCA_000165425.1:CT868655:37047:39304:1 gene:GSPATT00022652001 transcript:CAK89480 MIINSVLKPQFRTTNGVLVEIEGKQYENIRLFGSQGTQTNNQIGNLLGNLKDEIIIKEYY DIKQEEIEVLEQIKRLQSEKQSKCKNIIKILGIKDNRSNQQSKYEPKIYVALEKGKGDVL ALIKQNTQLPYMTKLNLFLQMVQGVQELHDLGYFHRDVKPENFVYFINQNQEYQIKLIDF GIVKRTDTINQTPQVGTFNYMAPEVVLSEGNYDKTADIWSLGITFYYILTLEEFLQAKNA TALRKYLVDLNQKQIDQILDNSTGIEQLDKQLLSCMLQQNKSFRIGLQELINKINFRIKT FQYENTPNKDQKKSQQNIQFQKFQQNVKPNFCLFQNNKINESQFSIKTKQQVDDDPKKLP NLQVIIQTQSNQQDCQQQMQLLTLYTKLQPIFMQFQKDIRSMKIECESNKFFSQMKEQTV QLQETLSEQKKTLQFDFHTFCENQNLFKERIMAIENKKWGYKNQIKDLLVQQQKVSQKAG GELELLINSVNEKSKEIKFTPMDTKLMETYENLQKQDLELQEEIIQINSMMTNYQLNPSQ LNPSQLNQLKVQIQKVICKLDDLMKKLPSDYEIQEFNKQFKSNLDSYYIQYALVNYIKQF HITKYYERIRVYHKKQKKQNMNQSDYQRKFNQKQQQESEFNAIKEKEAQDLLQRYKNILF KDQTRMKIIEMEKDQQQMDKIVVELERYIKQIFSVKLKSTIKNQQTIKMIISEEGIYKIK QFAFNLIFKIMLTSQQ >CAK89481 pep:novel supercontig:GCA_000165425.1:CT868655:40126:40449:-1 gene:GSPATT00022653001 transcript:CAK89481 MFNDNIKQSRQIKIDNDANIKNTIFKKASKATSNYFMPYNYKQMENPSESARQYQMNLEY KRLTRREFRNIFNRKYGRGNDSWKTQKVELIMDYDFPIQ >CAK89482 pep:novel supercontig:GCA_000165425.1:CT868655:40683:41270:-1 gene:GSPATT00022654001 transcript:CAK89482 MIQKENQTFKYPSINYCNPNSSFRRYVKDETYTQCIIELKVEDYQRSSISTEGSFDYSQS LKINKKTKIHKNKDKQLPQKSETKNIPKNFGVLLKKYLCTLYTILLGSKNVENQAIKAFL KNGENKKNFSRQDFTRLFNDPYAADLSREYFSGFQIIHDLMISEKIQDVKNHLKYISKFY NSTYNKQELDELKLQ >CAK89483 pep:novel supercontig:GCA_000165425.1:CT868655:41530:43419:1 gene:GSPATT00022655001 transcript:CAK89483 MTHQLSNIEKIKQQLHLQHQVKEILAKEYVDLQDKEHFQVIVGVLEKPKKLRNANDLQLL AMAFSSIKYFQEMSKTTSQDEMLNLFRELQYIEVPARRTLFRLGDIGKNFYIILSGSVWV LVARSGLQSGTFTKKDENNKDKIEIDEGTFQDEFEFIELDDETMLTQKYPTLMKVGQIAA GGSFGEIALTNFIPRQATIVCKENSQFITLSREAFNKFLSEYYNRIQQKNFEFLKSIQIF KAWNDAELSQMQYHLQPLEYCYDTLIYKEGEIVKGVYFVLEGMQKTNIILINNIVENCRD LIVMYAFSIQGQKNIQLKLNRCGYGQLFGYLEIVANQEFRLSKAVCLTEKSKMLFLPADR FKLYCCSGQTLSELNKMIDKLDENKRISKEIFLGNSYQHSGGFDFLTQSPNYLIKTQDEE DKFTQINQSQQNHQTSHKKKHPQKNTEGKSQKVVQEMLGKIQHQPSNIQNYYEFISSHSK ERKLELTFECPLIEIQQSRKTKILQQQLQHQQSIQHSKTPSQNLDSRVCLIQSLKLPKLF KKKKNNEDVQLQVYKFVRQQNQSVQLY >CAK89484 pep:novel supercontig:GCA_000165425.1:CT868655:43467:43893:1 gene:GSPATT00022656001 transcript:CAK89484 MLLRKLALLYEFCQQSARQCFQFLQQDPIEQSGQISTQYQKANKDEQQLKKQSLQQDYGD LEDQDGDHHLIEQENPFEKLKPTLNFQNTIPQQNNHTSRIEKEQIKYDEEMVDMHQNSNW NNHELDQENEHIEL >CAK89485 pep:novel supercontig:GCA_000165425.1:CT868655:43894:45025:-1 gene:GSPATT00022657001 transcript:CAK89485 MNSNTQMREEGIMECSGNIEDENSINYDEPHYSADDEQQCGEFENEVEVPVYTPQQLFSY KKPCPVFQDEFILDEDPKKKVCIEECTTTEQSEDHPAMGDLEMLAAMLLKENQKKFSYPK DLPVPTNVEQHLEELKMRFNPSQKQEDFISTYVKGDDLLRIKVGLCEEILNDEETQKFED WVEQLCTSTNHESLKEMARKQKVKRYLEKKHSRTYEKKVHYHIRQKVAEERLRVKGRFVT WTQALKMLNEQQDSKKSWTYNDYFKIKNLLNEKFGAIKSERSLKI >CAK89486 pep:novel supercontig:GCA_000165425.1:CT868655:45111:45734:1 gene:GSPATT00022658001 transcript:CAK89486 MQNYSSDEEISSIPEDEYLDYDRQDFEAIQFANIKQNISEDEQENEDDDEEEVEQEKDNK LQKIGIKFKLPQRNQVENKKSLSNLNNQKVLTEATNQLKNISKIENRPSTSQSYKPIYTT SIHQSQQMQKKYNYKQKSFNTLPKRSDPVARYQQMSSQWKKTKFLQNTNGLKEGRKLNLD TRIEQQKQELKESGMFHRYIAKSQLFL >CAK89487 pep:novel supercontig:GCA_000165425.1:CT868655:45895:46278:-1 gene:GSPATT00022659001 transcript:CAK89487 MNDNNLDAQQQQTRSGQSQATYKSIKSQNIELQINTNTLIQQESSYPNVPQTRQSEKLLC SKCNVPVDSDISYEMGKGSYVVIIILLALIITSFLAFLPCLLNNCKDVQHRCSKCTKLLG TKQFLFG >CAK89488 pep:novel supercontig:GCA_000165425.1:CT868655:46397:48046:-1 gene:GSPATT00022660001 transcript:CAK89488 MQVLYQMNCVRKHILKSKTYYLQVLEDSMILSEHKNMVNPKYIIQFNLETRVMWKVSEQD ELSSFGIYYVNRIKWFDSDHNQLNLLKIHLSPKVFLEISPFFMILVPQSDLEHLQKFVWL KQRRVFLNLQPNAFLKSISCKKSHLIAWYQFSYSLLEQIIKIDHPHFVKLHEIYQGENSY YLVTDYLAGDTLYNYIKTFPDDQIPPHQIREAIKIILTALKYLEINNIIHRDIKLENILL QKQNDITSLKIIDFGLAIYALPEQKVSICGTPGYIAPEILKNSNVDDYFTPKCDIFSAGV IFYKLLTKKTLFRAQNTMEIMEQNKLCQVNYQDIQYKLQKEAVSLLKSMLDPNPKTRFSA AQCLEHPYFSCKLENINILQEILDKATGFSGLSQIKEYKDTHSIGQECQAAQIKKCNPTS YRVRAEQRKRTKSPRKYAEFQFYCPSFCQMNSFESLSSKGSSSYSNKVSRIENLINDRLD SVIEDDEKFQKNVKQVFQT >CAK89489 pep:novel supercontig:GCA_000165425.1:CT868655:48126:50528:1 gene:GSPATT00022661001 transcript:CAK89489 MQTQDLVEYLEQDVKSFNQLFTFLPYQPSFYNYITKYQLKSIQIELKSEEFLPSIFVNDK LQHKIKYHQQFKQAYGLTNSFEFDNTISIDIISYDIEETYKEKLENDNMYPILLNFAVAN SNWNADSLAQFFNQKACSYNTINIDLLYLNLFRFALYFQKCNQITTFLHYPSIKFRLQEI RHTNKFVETPLTKMITKSRSSQKKEKVQYKFGFLSLDQSNRIFPLMIQDPLIFQLPLIGT WLYSNDAYDEPLNNRQYIWTMLTEYICSPYITRRICKDQYDQFLFIQFQKNQSPQFFEVS IQEDPQYKIVRSQERILNLDHHQIDLASAQPFNQIEKVADLYNPINHTNQIPNKISVNTQ NINKQKLQSAEPIQTIEQSFQQESTVKQPPVPQPLDSNQSYQSANFPQNQHLTERLVIMQ SEQLKLMQTQIIDLQRALLHQMQKPQIQQPMSPPRNEQTFHPSNKRMGLPVQKIDFLSEQ LKLKTQSKKKEQEIEEIAQQILCDKIESMQNSTRNSINKLISQNNSNNSDTSNQFKISTN KKQSLGDLIISMKDSDIKRQIKRNQAMIQDINGNTQIENIHQQHSQSLSPFSLQNQQSDK NHYLQIQSPTFNQQKDVSQFNYMTNNTFQQESPIKYVRIDQFTQQLYQQQQQQQLQQQQL TIITGNNKYLQFQQQQQQQQQQQQQQQQSLKKSQQQLQSSNLKQSGLISSIQKSYNKENI MTNNQLEQSAGSKAMPKIKFNLKDYDDSDSDEEVKKLQMKYLKKR >CAK89490 pep:novel supercontig:GCA_000165425.1:CT868655:51010:52103:1 gene:GSPATT00022662001 transcript:CAK89490 MLSLLPILLGQVLCQLCEQPIRYESYQYSINIIDPKSAQIWNYDDLTRVNTNCPPLTSDL KDIESSAIQLLDLQIILDIPENLTITRNRTAGPTVQYQTDGIKMRSQGVNNYVSYQSQFF QFTYAEHSLDFQTQTPDGELQIFMIQDQLYSLCDIEPIYSVLSFPIYKLSEQQQLKFRGQ FNINTDTISDFNFKQNILGTVKSDISSYITYKAPINIPPCSTAYWYVSTNPLFFQQDQLD QLLQQNQYNKMKRAELQNTVIQYNKGKILFYGDTEFGEYDDTVEWAATWIASIIPCLIFA LIACVYGQYEISNIGRYRRQEVKHVEPEIEMLNQEEKQIQ >CAK89491 pep:novel supercontig:GCA_000165425.1:CT868655:52145:52525:1 gene:GSPATT00022663001 transcript:CAK89491 MQQCPPNMNQNKLIPPGSEKFTFLLTKTAEPYVALFISKHFLLYFQQVDIHALGSAINIA VKCACTLKRTGIATITKIDTPSQTIEDRVEPKIIISLRVTQRGLELLKEESEIRKQI >CAK89492 pep:novel supercontig:GCA_000165425.1:CT868655:52574:55619:-1 gene:GSPATT00022664001 transcript:CAK89492 MQVPLNRSQVFLLNGQQIEILEKQNERGNIKTYSGIQRPLEQNVLIIEINQNVSLQGQLL VYDQVHYEYYKEKLQFTFLKTKNDCLIQMCGNQLIFWQEYFQKQEFKTLPLQQKHKIFYK VFINVENQYCRKNIKQKTYDLYLFLQDHLGNIQIQGIDFRLFRDNDIIGQIDVQINQGQL NIQQIRNFGNLYFQILTDIDSRLFNQITEDQRFHIITHSPLYEWQKQEIINFLNPAFAQE LTIEQFLKLLKKDQFYIGTQQSNQQTEIICQFSLQQQQIQSQLNYLYHHTKDMEHNQDIY QEYQYLNKINTEFQKSIQDNFSFGIVSSKVEELFNQQLVELNSLSYQFYFNLRQVLCDNL MMKHKTLELKLNTLYQPTQYIGQYGSLQINLYSKLQHQNKMLIQNIEQQIHVKLSNDVPS ILQFNQSLNKQNQVSQNIKAEYQAYKKSLITELMKIKALLEQNVKRIQQQFQHINVKYLM MIANQDESDQASFVTIILEKQQFLKNIIQNLINEIPHLIFKQNRKSIDILSTIQSYQELE CRIGSLNEILEEKNYELHQFEQVDNMQRSNLANKLCLKNQQQLKELKQEFTSQFQSIKQF LIQLSKNKNFISDQELIQIENGIELIVQKQFYRFSIIEELLQQIQTNKQYCKSISKKIEE EKNIIQISINQQLKVFDELFKNNIDKRDDLQEQEILDFELTILIKQYHNVKCELEFEILN IQQKFSSLETAFQEQLLLSRKIEILCQENQELIIQFKYRNHKIKNIVRKINDKFEENIKR FLQFFGFFKEVKASIDLQQDQERLFLQTQQQLILIQKQFEIIQTQNINKSRDEKRMEYQK LRSQLELIQLDVILKGKQMGFNSQGYQQQLTLIIMSKFYHMSRFHQRLMEAKALNSNQIS TEDLELLNLQKDDYEYIESQVDFFRKVVIENGAQAFQIENLQEKLEDLEKQIELKRNYLL SVIECTIQQQLQKSIKQAETIDKISKFTNYLEFSQFLPIQLLNLVR >CAK89493 pep:novel supercontig:GCA_000165425.1:CT868655:56172:59218:1 gene:GSPATT00022665001 transcript:CAK89493 MQQIDQITLQGYEIEKYGQYLSSDQFKVYGAKIVSTGELVALKEQSQITMLEENLLRLQQ LIKQQHIIEIKIFEIRNSKVFVIIEKVKKLFKDYILENEFKQKQQIEKCLLFLQIVQAIK EFHRFGIFHRNLKPINFVVCEDPNKSITVKLLDFGLIQFITGDDQNDLLQQGSIEYLAPE ILELEQYYDKSVDVWSLGVIWYQMLTGFVLFQTNRNQLTQELINQSIEQNGQQIDMNIKN FIKQMLVIKSQERISLEVLVSQLQEVIQNKQSVMPQNQDLEQVDQLNHFKNNLKEQLDNQ FNEKLEQAIQRKENYMKKVKIEIIEDEIYQTSNHLLNFQQQEINNYQLHATSERFPQQSL LDKWQSRDQQFMQFQEKYDAQIRQMMQKQEENIVKRWNEKSDQINRDIKLQVKQQLLLDY NLQMSIKENQIRGEQVPVPDGLIIIQNNNKQLDLLTKYLDNSCIKIQKTEKYDRIREKLN QIQQQLDVSRDTPSYQIAERNRNFQKELINIKIEIDSIEKEIELEYLANKLQRDKLNLQN KSQNLFRTYKGIVDTQILKLQQLSNSSTETNYNIYFNDKIEKLGRQKQNLEERLQSLLQN FQNQQIETLLPSFQKLKLFNENLNNIISQEEQSTLQLNSQQMVYQSQSLPILQQEIQDKI NQQLDLLDSIHSQLQSTQICCTNINIIRNQQESKSELLQTLQLQNQELLECQKKLQENNL VIEENRERFSQQKYFNSLQIFSETNYEELTFKLKEIINLLELVKDRFRYFEDITFYEDII DKWNKIKSYEQNLKNMIQETTESMQSYNNHQLEAQIDRMGVLIIQIKQGLQTIPTLIQFQ RFNQEYEQNENNYLELFTLIIYIKQYHCFRYINRLLSLQNKTLSRKQNQTLSKAQIIQQN NLMQEIREYNQKRTRVHNLMQEYLTCLKRQREHFTIQQHQENNAFISNLNQELENKIKQK ITVKLRSRINDQALVNAIVNKIQLFEFSPLLLYQQMATSFVIQCQQD >CAK89494 pep:novel supercontig:GCA_000165425.1:CT868655:59277:61004:-1 gene:GSPATT00022666001 transcript:CAK89494 MYNHFRNLQERLSPKNQQINCNFPSDRFHNSKSPLTNHKLIQNPIKHNSQDRTLFNSINF NDEAQMQKTPCFLSIDSFRSRSKSPMLISIQKSPKQQGLPIKKNLANEFYLNWNKLSSEK TLKQKQLININMNDIAQALQVPQSNISKQNLKNFENIFQQLLLKYGSTQQLQKTTIKKQQ QDDYENDEELKVDTQVCVNKSNFAYHFIIGKGGFGKVWKVELKKNRTLYAMKEMSKAKII AKRSVNSVLNERNLLTQFKHPFLINMVYSFQDRENLYLVMDLLTGGDLRQHLGRQRRFNE LQTKFFVSCVILALEYLHNQNVIHRDVKPENIVLDSKGYARLTDLGIARIWRPENSSDNS GTPGYMAPEVMCRQNHTIAVDYFALGVMTYEFMLGYRPYNGISRQEIKDQIISKQVQVKR TQIPNDWSLEAADFINKLIQRKQTKRLGFNGPDEIKKHIWLQGVQWNKLLNKEIQSPFIP SPIQENLEYNISIDTESQDDLIENKLLLKKNSIQNLFIGYNYDQNTKIQLKLTKSTSSTL NLN >CAK89495 pep:novel supercontig:GCA_000165425.1:CT868655:61116:62196:-1 gene:GSPATT00022667001 transcript:CAK89495 MAEQSISDVLSGAFAGVCFRLFGHPFDTIKVRMIMGNKKKSIFRTGLNIYKKEGFKAYYK GMLSPILAEVPCNAVMFAVYEAVYRELCPYPYSNQAQFLPWLIAGGVSGVAYAMVVCPAE MIKCLLQMQIKNIDHELRSPLRCVMTLLQREGVRGLFKGLVATIIRDVPQNAAFFTTYEY TKYLFKQRNHSDDISFCQALVCGGLSGIACCLASYPLDVVKTQLQCEAALYKSQRKFRPV LLDGGVIMCTTHILQKNGIMGFFNGIQSCLIYYLIGCSAQFTGYYYAQQLFKQALPIY >CAK89496 pep:novel supercontig:GCA_000165425.1:CT868655:62413:63957:1 gene:GSPATT00022668001 transcript:CAK89496 MLNQNNVHSDRLIQVFSCDMLDGSIQQDRWKKGKLFIMEKSLHFSSLFNYSTTSFGFNQQ ITKLQYSQIKEFDENDENDTLIITTHKQNQLYFRNFYAQRPQIMEILRTINLKNEDFEPI LENDSFDWDTYNNLSQQILPQLLKLKDGNQYNPPTTFEIEGLDIHEVFALLYDDIPYQPS QYFNLAIKEVDKTKQYQNVLHFFFEQILGDQQIKCDPYVPQVPEYLRTGNQSKGPSHQQQ QIENLPTRTINYVHPTKLPFFGTINPKVKMIQRLFLINQNEFIVQVQSETSGLPKSDCYK MMSHEKAIRIGHKKFRIECTFTIEWSQRIIFVSNQVDNAGAIESKRVLETYYIPYARARL AESLKLKEQQQQQQQQQQQQQQQQQQQQQDQLNSSQIIADQPSMVHVRRQVKSESQIFQQ KFQISPQKNQYQNYERIQELDSKQQKLENQVKQLQLQLVLQEKQIKKLSDEQQALILISR TLGIVILFILLTNWLMK >CAK89497 pep:novel supercontig:GCA_000165425.1:CT868655:64836:65936:-1 gene:GSPATT00022669001 transcript:CAK89497 MSEYFSKLVLISSQLLVQSIQSQGQHASFLKYVNNQLYELGKQIPKDFAQQILDNDIILV LSEYTNDDALRNLINLYMVIYFHIYIQLGVEHFKEIQDQSYKYFQIQLNKLILNPVVTQP SVPSQQKPQESPKIQKKNLPPPKIDVNIHQNDQENQDQQYSKTAVVVRDTKASTFHFNED SITQTINQKENTKHNINNTSSVDFDNEQFQNDVHNRVQSQQDERKENMTLDLKQQLFLFD ENKEASDLIDQYKSDQQKIEKIKNDQISKQTTAFLMKLSQRNNKKKMNTQIVTNINRYNS QPALQNQNGYNSEQRPIEDQIIFEDKNESSSKITSSDKNTKKFQFDELQDS >CAK89498 pep:novel supercontig:GCA_000165425.1:CT868655:66087:66910:-1 gene:GSPATT00022670001 transcript:CAK89498 MQSNPFSYSTSPFQFQPNFAMNPQVYYSNYNPYYPQVSTYMAPQPYLQNESYPLNYFQQS NYINWSTEQTQMKLPKIPNPPYIKLEDNLIKQTDIPAQNLFEKKNEEDNSDKLLQKVETV RQVKKSNSQIIQNRRGHWTNEEHYKYLKFVRQNKELFQTSVQKKLNRVFKMMSIEIPTRT ACQCRSHYSKFNPLDHIGKVRRKLVNDEQVYERMFNNTQQND >CAK89499 pep:novel supercontig:GCA_000165425.1:CT868655:67791:69892:1 gene:GSPATT00022671001 transcript:CAK89499 MKKLSLLNIGQLLNQNQKTSITHHSQEQSKKFSSFDLITKSPYKNSINKQHLRHHAKDYF PITTRQHEFQSIKSPSQTTKASKQFSITNALSQLYKDEVKQPGSSRRTSKKNYTYQLKNI LVKDKLSPFDIGQRIATQVTQPNIPQSPKTNLKTSPDVRSIYGDNNKQKLSSKQKSQNTT ERMLQLETTRQKIIALQLKIQGNIQQRSQRNVNKNNLFDEKKQQLSQSIQQTIQQQLQKP QLPQNAVNVLKHYLDQLSDYEKKEIIDYETIYYLPPKNIRLLNQDPNEDQQYNNGFDNSN GDYKFVKQDQIAYRYEMQEKLGHGSFGYVFKVHDHKHKQNTALKIIKNKEKFYNQALIEI EILKVINKADPTCCLIKMLNYFEFRGHICLVFELLSCNLYEFIAINEFSGFDLDLIRRFA IQILQALLFMKEQNIIHCDLKPENVLLKDFNRSGIKVIDFGSSCFANQKLYTYIQSRFYR APEIVLGLPYSTQIDMWSFGCIVAELFTGQSLFQSKSEKELLYLQIKVIGHPDKQLLDHS TRKSKFFDEQLQLNYIINELDLIQQIKPLSQLLDKSSEQFQDFVKKCLMWDPKQRMTPEE ALTHPWIIDGLPSSIKKQHLSQMKHYRQLQTEGNLDYLKQSQGQGEQLE >CAK89500 pep:novel supercontig:GCA_000165425.1:CT868655:69971:73918:-1 gene:GSPATT00022672001 transcript:CAK89500 MASNLVFQNQYQQHENENIILINGIKYEKIYPPIGSGSEGIIYKGINVQTKQKVAIKESH RCDQRMINVLQTIFQKNCSHIIGIYGFQQTQNQGVIVVMEQAHGEFYEFMKYDEFKKMTY AEKNSLFIQMVIGVQQLHQLGLFHRDLKPENFVYIKGENNKITIKLIDFGFAKQIQNQLR NTYKVGTPYYMAPEVMGTQGILYSKSVDIWSLGAIWYEVLVGQVFFKCNFQQNIQYFILN QKQEDIDLQIEQNQSIQNKEKQFIKQMLRKDHLTRLNLHDILTAYNSNGQQKFQIFKPLI NRQEEQKIQEEARNQLSKSITNQKYQEQLDEMKRDLGRKIEQELQAKYDKLQDDYKIRLE NQIKEKQNEIKEQQEKAQKYEQNIQEITQMKIQQEIQFQIQMDQFRQQKDDEYNDQIKKL KQEASQEKEQKIQEQVKQLEESLRLQYENKYLLEYNQKVTQMEQKQELKYQQQLQAKRQN LLNLVQFQQDTINSFINQLQLQLQNLTDLNVQNNQEDQLRSQINKEIDQNNDQIIMILQA QQEIEQLQTLEMLQEQEDKLVSEQKNYVQQQFIVINKISVQINAIEKQLRSTIQIEKQEQ EREQQLNKLKKIYQTQLESSQQFFSSITPDIKKIQEKMQIYEEIQFHFQEKSCIQDVLQS YQKITLDLEMLRYKQNDICQFEQLQQIIQYQSLICKLEELQQPISQLKKSTQNTIQSIKT IDEEFINKQQKLIEDLQDQVNDYIEKLQYLSQNQKYRQEIKKQLNQLEDSQSKSEYLNQI LNHGIFQNYQEFNQVYNSLWQQLQNSQKYHNDISEQIYHDEQIQLRNAQRIKVFETAQTK LKNSIDKFQNLQKDFDNLFSNQGCRVASNKSVINKKFETINQKISEYENLYQKCQQFDKQ DSCEISKININELEQVQEKLKQQVDDETEHLTKLHLLMKEQQSWEQNSQQERELYQLNTQ LQSQYMQFQKVKKSIKIECESNEFLRKMNDKKDYLKKTLEDQQNALNKNFHDFNENEKLF KEKFQEIENKQGRFKNQTKDLLVQLQKICQKAGQELELLINAVNQRKQINFITMDIELVE TYENLQKQDLELLEEIQINSKMSNQSLNPSELNQLKVQIQKVISKLNELTKKLPSNCEIQ EFNNKFKSNFESYSILYALVNYIKQFHITKYYERIKEYANRQKKKQMNQSNYIKKFYEKQ SQELEQNTIKEKKSQDLLQRYNNILFKDQIKMMIEDMEEQQQQMDQTIQELELYIKQTSM VKLKGTIKNQETIKQLFNDECYKKRRFIQILEFNIIFPKN >CAK89501 pep:novel supercontig:GCA_000165425.1:CT868655:74056:77245:-1 gene:GSPATT00022673001 transcript:CAK89501 MISEEVQKYKEMEEKFLQEFNSRSGSFFLISSQWLNNWKKKVGYDGEPNTSISLGNINSD IGHKPKQVLKYDPIELNPWNTQLKPNLVEGVDYYVIDSNMWQSLKGQQQMCEFRNNKYRS DFTIERESFLDNEGKRQIQAYLQCIKFVPIFPSAMSKLQASMIEGEQYISPIAQISEVVT ILSKTLKTVTSFKFVSKDNVRLYKLGSSWNLDNLKAFLLQAQKEKKQFMEFTDIEFLDPT QNKQIKDYNFEKDQILLMDTKEIHSTFLISNNIFGQEEKCTNCSQYYNLTFNCACKKVKY CSEACLQQHSYQHTQVCEKQDDTDEILVNLLPTPQSKLGLTGFRNLGNTCYMNSALQCLS NTTELSRYFLDNSFKFDINTQNALGTKGEFASLFSYLLKRLWFDKQTTISPFQFKRLVGK LSPNFAGNAQHDAQEFITFTLDLLNEDLNRVKVKPYVEIPDNNNRPDDEVSQEQWECFKK RNDSLIVDQLYGQYRSKLQCPNCNKISITFDPYLMVNVTIPQEKKKVLEFYIIDPENFWL SQPVTHYYEPELRLKEVLQFKSQEWLNCEWDQIILTLSSTFSIEEVFLDQRLEQLKKQLK QEKKLQLRKLTEQEQLIQNDQQVHVLIHNQILTMNNWKKDIVPTMYFILSQNYKYKEIHM FFFNCFKNVLKNFADFDQSWLNSENLEETYQQHILDKYWKIMFKSNQRFQVNCSYCYKAY CNDCPLEFTDKIFGDCFQREDKLLQPEIQIIWLKPCREKIDDMYTEYQKIFDPNYKAPVV NINWDNNQKSNKYKQTYTLEHCLEYSTKPEQLQVDNSWYCSGCKDHVQAYKTLQIYKTSK ILIFHLKRFKDSYKLFKSKLQTNIIYPEKLDMTNFVLHQDNNNLYELYAICNHIGESGSG HYTAFCKNNGDWYKFDDSIVTKETNNIVTPNAYVLFYRRIEQNYVEYDLEAKLKQNIDLL LADEVFKQHLQKFQKENDESVDTQKQEQPAPPKQQEENNQQLVKLDINLVNNQSSSDTND GDEENEDEH >CAK89502 pep:novel supercontig:GCA_000165425.1:CT868655:77638:79407:-1 gene:GSPATT00022674001 transcript:CAK89502 MLNFQSAHLPKIDKRNNKTSIEPTVIDEILIIKSVKDYNAENKITMGDQIMLNSLRVMSL SFKNIWKIENLQGLERLEKLQLDNNIIQKIENLDHLVNLHWLDLSFNLIKEIEGLDKLVN LKDLSMFNNQLTSVGGLDNCKSLNVLSIGNNKIPSFEIVTQYFSKGKGMKFKNLQVLNVA GNPFTKEPDYKNHIINSLPNLRYLDYSFIDEAQRNQIRESDEKFRTDAITQEDFLKQMQN QEQEEKNNNDELFKRKSARMDLVEKLSDELIQDEELEKVKTMKGVEEEINKFQEKIKETV ENLQKNVIQKNTAKLQSIEKFEQAVRAREIKSENETILTIKRFEHQKKLAFRAKERNEEG WKQKLEDLEKETLKLKDNLLSTELQLMVDIEAALGDFDGKLGFITKDMSDYVSGDHGFKK IGDFIREFGTKLTDIAKLEYDRYQVFVQQGSDLSEWDEDLQTLFENKETLLGTVSNIKDN LQSKANTKDTNITTSIERDIKLLVTSYKEKQYERNRKNVLQINKQIEDYTDQIKNQQTPS DDESDGN >CAK89503 pep:novel supercontig:GCA_000165425.1:CT868655:80239:81569:1 gene:GSPATT00022675001 transcript:CAK89503 MIKYLFVLILLYLTQAKRIKQSYVIKQLKDWHYISKFGAETGEVFYSLTFRIINAEENDE RTIPISYELYLDEEWQNAIEKSECDRNGVARRKETIWVSVQNGFEFKGSLTAKVRAHLWY FAFSDCEKQLRNSFPVENKVKLEVELHITNVGGTEFTLEQFGILQIIGVIALIDVIMLIY NGIQILEKQRKYEEFSLPLFLLVITLLLETFSYCFLTIHLWIYSENGAGSLLLQVLSVIF QVASQFSLTMILVMLSWGWQINFSKFDNFELFLPISILIGFFQITIVGVSFIDYDSYFKD HSYEGWVGWLAALIYIGELIYFTNGLVDTYNKQNTKIQQFILLLGLYGGVYFISFPVLQT VNLFTARYVRYKVMEIGTMLFRTSAILLLTRLFTSKKSIFAQISIENKSFLDRNKDE >CAK89504 pep:novel supercontig:GCA_000165425.1:CT868655:81617:83624:1 gene:GSPATT00022676001 transcript:CAK89504 MSKEKKIEASMLTFFVQELNQNFWEQTQTTELDSQEFLIEHEFVREAMKEVKVKHTLLVL GEDEFPQIYCISIRSQNYQEDHILQLNSENQLQGFKLTYASKILQVFTSNSVVYLQWQAI LKRKCLLSSFHETYHVEKMIGKGSFAKVYLATSNGQSYAIKAFSKQFINEQPKGRESLLN EMQVMRAIKHPNIVQLVEVHETQNSIYFVLDLVVGGELLQRVKEKGLLKSDDLIKIAFNL LSALDHLHQKKIFHRDLKPENLLFKSKDDNYNIMIADFGLAAFSDQELIFKRCGTPGFVA PEILLYIDGGPIYDTKCDIFSAGVILYILITGKQPFPGQDQKAILKANKACQVDFGLPAF LKTPIEFQDLVKKMLSSKISDRPTAQDCLKHKFFAKISQQLKQDQQDLEEQQQYVMNNLA NYDIEYTIGLKNNTQNSEDLVGSQQLQWRKPAYNGNVQTVETLTNCSTVSIKQIDAQSSS QFQQQKQPQQSKFSIFSAKLSRQNSFDGGTDMFKDCASPGRSKISKEKDLHKIALKNSFR FCQKPQLQVEDNQINQEQSEVSELIKRHNSSELIKMNRTDHEIQSPKKIE >CAK89505 pep:novel supercontig:GCA_000165425.1:CT868655:83626:85069:-1 gene:GSPATT00022677001 transcript:CAK89505 MQEGYDDDDGNFQDDQDQNEEDYDQFNQDDQMESNQFDNSQKEKYQQDKNYGNYQPDQQK QIQDKISEDLEEKMKMLDEYAKDKSEEQILNIRMHQLALAKILVFTHGKGQFKLVKAHTN IGEAYLNYKCYEQAIDHLTLALKKNAKLYNEEQESKSFNSIILTLLGKCYLEINSYEESL DLLKKAYETQCQIYGDETEHSIQTLTLMSNCHTKMKDYDASEECITKVFAITEAKYGYKS EQSAISYIEKAKIFACQENWKDAIQCQTSAIDMLVEINYHKTEYVAELYQQLSTYFEKLK QADEQIACLQKVKQIYIELYTAQDKKVIKIKRQIAIIQLQEQRHQEALGELYETEDLEVK VYGEGSVQVAKTQKIIGTILFIVQEFRDALSYFQKSLKTFEENGMKKAVAEVKQRIKTAK EMKEKGKGQINLKDRQNIMQDY >CAK89506 pep:novel supercontig:GCA_000165425.1:CT868655:85388:85732:1 gene:GSPATT00022678001 transcript:CAK89506 MMQNFRNIINVPSQIVEITKISKTKGDVEEMISPESDQEVLSCSTPQSNYMQGEHDSKTK RKKKHIRFSFQNQVCSCQLNSNRNSPTDSPRLNSPSIQSILKPQSLSMFCQKKK >CAK89507 pep:novel supercontig:GCA_000165425.1:CT868655:86796:90805:-1 gene:GSPATT00022679001 transcript:CAK89507 MLAVLQVGLLGFVSIPTFQDSLLEFHINRHLNGLQIEMNIIQQEIYIQYQAYFNQIFGVS AHLRHYCFGEFQNYSSWNLNTKQIPPYSFTSGQQTEEYYTVAWSHSNYAQLESLHKTDPM LSFFINKITQSLILSDIIINNTRSSSQDQYLTSQESENESKLNPLTYTSLMVHCTDSLVV RMPQFTKKQQNLLKHFNTADWLPTQRFKLDSTLNFSMSYADKDEFSENSQLTITQTISQD SLKQCFLTAKISNSYLEELTIRHQQQHSNQMEVEHQLFTAIINDQFTTLAPQDLSNMAIS ESIVKYIVKTKDFSQSSYNSLIENMAPLILDKSKELVKDATLNQTSLTYSFGSNKFYLLF FKINIQSTKKVSVITAPENGRRYVYIEPNENNFTLPSFILVHYFEEQTLIQELYQGTSLN NVRMLEIIVLIVIIALSVVIFLFVWFTAARIGQSFEQPINVLTEFMNGIDIQNMDQEEVL INYQDYFNSFEIKSLFQTMNIFVTTIKYSNQKYSNFKHSDALALMELSRAKDFYKKEIGN MSAVGICANNIGILHMKGGRVFEAINEMEEAIYIAKLELIEIKELKKWCLSMQAALQEPN LYQNFKLRLKGLAQRFKEQMKINWISVQVKKGDSKNKRVNSQLKQNSQSLVNKPKGQHIR NQSTTLLLQKTNLPHVALFNTGTQNKITQIQTNSIQTPIYKKRISFAESRQLMLPINSVE FENSPHLSAQLSPNVSINRARNQGSHSYNQSSIISQRLDLKESIIQQDERYKDEEYRQDQ EERVRGDSRFSTGGMTELQMIEEKITELMKKKKLAKAKLLNRQFQLAQFMFTICMQNEIF IPETLKLFNEFEAIAEKEQQFTQSNVVRLLNLHVKKALCYIQIGDLSNFKISQKKALDYY SKLNEDFALEQNQAEQDYNFVDMFKNVPKEVLLSKITQLQAIYELIEGNFKTGAEILTQI IEMGEYYDPEVRDFCLTALEKIVNLFNISPLPIQQFRNQISINIYEVVFMIDYSKEMKIE QINLSHSICNKIFHILQPQDLIGMYGFNNSLHEAFPLQPKGTYKDLLTKQLFMAITAPGG KSKISEALKYAVRNFFEHKITITDSDYEKKKSKHFSEKQKKQLPTVAEEDERQSLIEENI DDKIKNENFNEDCQYDLNSESDSEPEDDDLKFIRNEIDHCNITDKEQPIQTNDFRTRYKY ICIFTEINVKMTEKQFLKLKQVLEKNKVDLMVFNIANQNANMLELKKLSNITPRSIFVNA TANLEQLFGKSRQHQLQKKMYLEFF >CAK89508 pep:novel supercontig:GCA_000165425.1:CT868655:91126:93228:-1 gene:GSPATT00022680001 transcript:CAK89508 MDFEINDSIQAEKRKYLLLTLNGQPDANIIRFRFFQRQICMADIKTKAENYYQMKIQCLR LFTQQGIEIFQEDLPYIKEGTLLYISDSSDFDAYSQISVYQIMKVLGEGGFGKVMLGKHK VTGEQVAIKLIDSGKLWNAEDIDLVFREAEVMKNLRHNNIIKILNCYTLPNMQVVLIMEF LQGGDLVEYIQEKGGLSEEEARVIFRQIAEAIRYCHDKRLIHRDLKLENVLLTSKVEKMI KIIDFGIATVSTNFTIDKVDRGSLSYMPPEVVGGQATEIRPAIDIWALGVILYALVCANL PFTSRSDEQTIDNILKCNYTFPSHLILSKEYKELVANMLNPDQSERYSAYQILGHPWMQK VLTQSPTKMLNPRTLANKKNKKPLIQMGIKKEITMAGPSRHCQQPQLRAGATMLKTTSNQ GFVQVVYDLQARNFLKQEDQSSPRSSLNFLGDLVNKSNQEPDEIINTEKPKTKTPLTRKY SDFNQKLIDKIFLEDSKREKIKQWRKNQVKKQGNHFKSQSQGSIINCAQNNYVSARSANT SPLQTASRSGLQSPNLVYSVRGTAKSKRKPQSQLEIQTILNLSQKIEWKQLFASPTYSSR DTPLKIQKTNQHKAEQLYKIQNQFKQRKRNSDNLLQKQLNLNNKEITIQKLCSIIFSSRV RASNK >CAK89509 pep:novel supercontig:GCA_000165425.1:CT868655:93543:94595:-1 gene:GSPATT00022681001 transcript:CAK89509 MKLNLVGITKKSISNGPIAFQENDRKSWPQEKVLEEFDRSLPKEEPYINKQPLTTKSQAK PSLLSRDKIQRMIPYLPDFIQKDMEILVQNTLQNLDSFNAIHNFKQILDQEDAMLYQDTN DNLHMKFIYYSKIKPLRIYHEHVMPSTSRLIQQIKSFQVYPTKNGCSLVYAELQLGETSC NILQNQDLPIHMINNEIIRIVFTQKGFEKDGIYCIFRKYSQSEQYYIQLDIYHDQIDHSL AEQLDQYNKQDYKSLLIEDIYFECKDRDAGEKMIKVFQCISHRVIEIQSKFKNKSNIQMK TQSLRIMRVLMHFKFQLNLEDNI >CAK89510 pep:novel supercontig:GCA_000165425.1:CT868655:95072:96941:1 gene:GSPATT00022682001 transcript:CAK89510 MFSNTNSQFQNPPASQAYNQTDPIFNQSPLGKPVYQPQQPLPMEAEMKLYRGYTQEFDLK VPTPQPIKQASSQIVMQNQPQQSKGSQKLIDWIMYFLPIAFIIITLIIMSCMIGAKTKHL NPEGAHNTLSTLKENLSKNPIEDIVVGGEGASSHMTMFEYYSHKDVGCPDGYEAADIGKW DGVKSGCLCENGETSHSATCFYKSTCKRVKSHDAVDLQIWQSKSFCIKTYDGWQPLNGVD CDDGYKKCGNLCVPVSRKCPLSSLVKDNSRFNDEHSIKIGNDNFIKKFEDSESVVDMQLV PGLGQSESSPCYNNELNPSFQSQKYYPFAKRAEKGCDQYLDLQNHRVTLNTFETHKVYEQ NDLNDLLHELPFYQSYLSNEDTYAFEIIKRIRINPIKECQQLKPNQVEKISSSSKSIYTA EKYLSFIILLLAAITLFLVPILYLIRNRVFQWKDMTEFSQPRFFCVLAFIIAALCIILGS FYIYHVDGNNGLKEHNRMFSQYLEKNCFSDQGLILAVDQVNTFAKNVYHSTYSYVIFTFY GSVGFLVILILLLIYQFFEHKSIFENPWQYRIQKQYYEFH >CAK89511 pep:novel supercontig:GCA_000165425.1:CT868655:97382:98384:1 gene:GSPATT00022683001 transcript:CAK89511 MQQSQTILNYLNGNYLLTSSTENQIVTLEFDSQYYNPCFYFLHYQLFNHVNSTRPLLIID LEYNFRSQWKNVYSNIECSEQNGFKKYVNNVYFISKEESLVNVLKQIVADNIKSLEVLIS GLELAEQSIYNTVREILKQPFRSQISLLMPKDFKHRDVKTIQSISNCRMIIEQLLESDEK LQKNEREFKIYNLLVMMVLKKSNGHSFLKNYIIDGKELLKTKLKINKIKKESQKDEQLDV TKKIGATFNLNLSQEQKLTKSEIDNQINPYKEIISQENAPLIYQNEGDEKRIILDDEQED FEEVEEYEG >CAK89512 pep:novel supercontig:GCA_000165425.1:CT868655:98497:100313:1 gene:GSPATT00022684001 transcript:CAK89512 MQKTTLNYSCADLTHKQAQQENAKFGIVIDKENSYAVLQKKKQLQNRENSSQSQQKADFR SNSGLQKTSSLQQFYRASSTNDKNSYQNQKNTYAYLKDMKNSSAIQQLQQSGYQQRHISS KQLKEQNGKLTEQASNNQLKTNTLEGYEDKKLSLKFMKSCQDKQKNLKSKDQPEYNNQQI LDNKADKLRYKQQGLLAKYFPIQANEQANDKNTDDKKQTRDVKQIYNIYTQIKDIKNYYS NSLKQTQEKKGLQLQDFVTQLTSNNIKTSSKQLVNNYTHLKTDRQQYNEVKQTHLYKSSE TQQSEPFLYYISTILQSLRRQESNRHDDKIRDHFSQTYQGLLFANQLDLTFDEDKIVHLP RSNNLKTIVFDLDETLIHCNEHAQIPGDVILPITFPNGETVQASINIRPHAQKVLQTLSK HFEIIIFTASHSSYANIVIDYLDPKRQWISHRFYRENCLQTPEGAYVKDLRVLGNRKLSN VLLIDNAAYSFSQQIENGVPIISFYDNYDDQELLLLQNYLLSFRYEKDVRDLNQRISKGT TVKFICHVHMIGVIEPVDNCE >CAK89513 pep:novel supercontig:GCA_000165425.1:CT868655:100413:101533:1 gene:GSPATT00022685001 transcript:CAK89513 MMNVSKCSKCLNVPDDILMLTCSHDLCLLCAAKSFSQQPSKRSKKVKHPLIIEQFFVCDI CSSNTELDANSVYELEKLHLTTALQDRSNRKPASQSKSNITSEKQLKPRSQNRQENKENA KEKPIKYTAQTMEARVSVHQSQRNMSKQQSENSFIQNSRGMCIDHPEEEVSYYCFDCNSK CICPECIIHGIHKNHEVKTIKKSYPIVRKQLEDQLEQNNQCIIQVENQKQELEKKQIQQQ AIQDHLRLQIAQEFQALHQLLNSKQSELLEKVDNQPTIMEQQDGYINRLNEVEFKLSNFN EKINDVIDSKDECGLLNYYGSIYGQPTPQIPPLPNIKEVQLSNQIFTQINDVKKLIGGLD IDCNQ >CAK89514 pep:novel supercontig:GCA_000165425.1:CT868655:102614:109083:1 gene:GSPATT00022686001 transcript:CAK89514 MKQKMHQSFGQTMSVEIAKIANYKNMFNIEALIVILINFMSLMSFTIEPENNSGYLYFIQ FLSVFTRPPDILFVPISMLLLILYLFVYGCLLLMSYSQYSSQNKMIISNKQFKQLRFMLS LTAECIVQLTCPILSINMGLFSKYNSAWITVPILNIVLHSLILFCYFYFYRSYQFNQLGL YRNFSLISYCYYIITIFVVAIDWNTSLSIQPYILLLMSILMLVDLILFIPYKLTFENQIY LFGCTGLFILGMLGSLKQLLDYITKDNVFETFVISYPLFFYLFQLIQNMKWKQMLLYMRT GSMKQMDIRKLCFSLEALVWYVEQARTSQYVFMQAILILKHHTQNCTDQNCECKEDLTKL YTQNLESMTHRSSFQSKTALLSNGDKNSNQQNLSQNLDVSQFQKTIKDVSRRNDSYVGIP GIVEIHQKITKPLIFQPMKFLQNDLINEELISQYIKRQFHQTIQQLSFSLNLDDVEYLSL KYISFMFLFKQNSSSSLTKLRQIQSRTAKFSYFFCNITKVTEEQFIEALKMRHFEEKSTY DDIVKMNVTEIWRLEMVKDEIVSNIVCVIKKKIKMWENLCSGEISNMDRLLIDIIKISKQ IEDSRNQVDQILDDFAGQVQKNYAYYLKYQCFRSLFFDSDYKKAVFYQRKVEDSWQLSRV AHKQGQIGNFQWLSGDLVTLEVSVGVQSGQIIKGFSNRLVDLLGYVSYEDMIQNLRIRGL QSSSSTSNSDKIGISSIMPPYLTASHNYFIQRFIHRGYTYYYDKPISSFGCDALGFVFPI NVNLSFNFQNMNDFTLLGSILKIKDEDEYLIFDEWGRILGVSMRTFDKLILKGALDEFGM VQYKSIHQKLDKLGNLKNNLMNKIAKDDHAKLFKKTLTVHQKQSTTRSQVRRTYQKPSDI LLQFGSVQHFLPQIGKQITEILSKDIFKKLQRESQEQFNKLMDNQNNQQQNVMEKQQSNL FQRQLSQFIDRQQSINNQGLSSAMLDKDQYRNFILNTFVDDKGLMKKNMSILQDEKLVLY VPRNYNELIEYFNDAGERFVESKNDFETKSIRRQQLQNKYSYIKQQQNCYHLFDAEFQDF IDNKIRKYYSKALLKEALESQEAKLLQQVRYKCVSTIDVGLGGISDKDQFLYFVCRLSSL NLQTAKRKDVLKIHMKKEKNQQFDGGEELRKSLIQQFSSMDSVDKQKPAWGQSLSIQQQQ ESSVPQPQIKFKGEIDKPIIVTLAIPDSRTYVSSLDREEGPNMKVNFEAQNQKLNESSFD IDGSMRESLIQSGNHSMKQSLNLDVPTLSRQNSLEKMKQSLGQSKELNKELSRSLSDKKE EPPKKKLKLSFLKKMEKALVPKDPPAGSPTTPIASPIPVQHQHQQPEKQPSNLSVPEEKR SSLLSPTGLFSKLFTRLNLRRVSNVEIKNDQFEAPEQGFREQSENSDKEEIKKCGQSTPS GTNSMSSNNITSQAEGKIEDDDLKNDDELVNIFEVMSQASNHQSIKSISAISMATHISLR CLKYLPRQAKSLQVFKVVLILLNISSIISLVSTTQNYFDKLSVDHLSLKINNQYRYSAIV LQSANSIDQLSIIQFQQPVNATLMNELITYCSNLSLEISQSYFDVVDQILSAVSFPLPKP DVLSIPDEFWIVSLSQFLTQQKIEIDAIQLINNYNRSDATFHQLYNNSIDYLLSRLIFTQ SQINNMSQQLRDYKNNNILNQAFIVTYLMASFGGLHLIVIFLIVPFIRQINNIYFRVLCI LSRVTVEEAEDEIKKLTLSQHLLEAQDDSWVTQNQVKLVFYNKSQDIDLNQKYQNIVKAK GKESSYFQSKLSDTSLSIWKDMGLYIMISSISIGYLIFSIIIIQTQIDTFTPFMENFDQT VSTSIYSSALISKLSITPERYVNLNSSSIPTQYTLYGYQQNQQISYFLQTITSDTSKIKT FITDMTTFLEQLNLDYNQNTSNTNTTITNEQYQNRIQYLEQLSILSEINHILKDNICYYS QQNLCRFDIQFDFFNTGLIGALDMLQKMQFNYDEFLTEYHSMSQSDISIDDAQLVNQYYN SQYYQLLVMYGQELFFKTFDRLIYLLEAFLNNEKKSKETLISNLFIGIGIPIMILTIFLA GLEMYMLKNKVRRVMLSLTYLPTFKYQDKIILSLIKSILKI >CAK89515 pep:novel supercontig:GCA_000165425.1:CT868655:109460:110416:1 gene:GSPATT00022687001 transcript:CAK89515 MSSNGSALKGSATPDLAKQVLVQKLAQQIVNQIVSDDVIDDKIEEEENQNKDPTCCQMFC APFTLKYYQPFFNDLTSKVIAQKLWASFFPFKATFFEIQDGKPDLYGPVWIYATLVFAVA AAGNISGYLATPSNIAFHYNFDFIPTASSLLFGIALLVPFAIYMVMKMLGGRHLHLTSFI CIYAYAQTCIIPVCIVCSIPNPQLQWGALIYGMINSSLFLIVNFWGELEKNIQTKKHIVI WLIAGCQVVLLLLFKMYFFLYVYTNPYTGSRFDQLTKIVHTDHLFLHHHQQH >CAK89516 pep:novel supercontig:GCA_000165425.1:CT868655:110454:111808:1 gene:GSPATT00022688001 transcript:CAK89516 MSSKDKQSVKSDKSKDKKKKSTKLDKKESKILPPPDNDQQQLIARVIGRIGDKESKSLAN CEDDPQMQTFNTNNNYQATDFSMQNNQFENYHTANKNNNQFRTNKFMTDQTTYQQQQQDQ YYQKSPQQYQDQFYSTSYSQPYNLNPSFTQQAAFDYRHERIKDQVQQSLLPKRQEILEQV QKIDNRIDEIKYQSQKIENITREECEIIIDKLKSVEAQKLQMLYHDKNELLRDVDQIEAL QQRVSQLQDFNSQKNLDLFENIERLSRKRIKKQIETYPDDLPQDLIQFRQLQQQNQMLQK LMDFKNEVIWKLYNDSQIESKKIREELERQANQEFQEWSNIVDQYQSELNRYKLQCTFCG INFDYQLINSDCNLNQQGAKIQFDCEQVPPEQFFGTRRHFFCKSLQMQQSQIQAEDSKV >CAK89517 pep:novel supercontig:GCA_000165425.1:CT868655:111946:113386:1 gene:GSPATT00022689001 transcript:CAK89517 MEVEKNIDTSFIFEKLKSHSEKKSQHQRIGLEIKQSTKFYLVPRDKCIKTQYCNIYSARY LNLVKRFQIDSEDPQFIELIQKIQDQKGTIKAIGTLFKEMKLKPYYFSQNQNKALQGRSY IHIQDYVSNEDVCYLEDGSGRIKLQISNAILCLPNKKEKIVNVSDLVTGITLMIEGQIVA NNIIKVEKFYLPSLPETPMLKQLNSNSYLCLISGLNYNALESTTKYRHMIDYLQGNLYSG EGGDIPYNISQVIFTGNLYCKLEETLDQQSLQQDFKGVFSKIQLNIKGVDELISQLASVT PVAVMPGENEPVSQMLPQTPLHRSHFPETFEKEHQLILLSNPTEFTLGDLKILGTSGQNI SDIKKCSQVKNQEDVDLLEMTMFYGNIAPTAPDTLISFPQKDQDPFVLQELPNIYFVGNM QKFGTKMVADNVRIVSVPAFSETGTICLINLSTLECFPVIIQ >CAK89518 pep:novel supercontig:GCA_000165425.1:CT868655:113403:115681:-1 gene:GSPATT00022690001 transcript:CAK89518 MNDSVDSGYSFTPKQQKKQSSIPFLVSEEVKKIISFNMTPFKENNHQEQIKTPITEGYDR KLQDAIKQLEIEELITDPIDFLCRNTATNIICSLNLNTIQRSILFQLLSILVDGLVVIIS YNYVLLKEKLRRIQDIVSWAAINPEVPNHQIEFIVKNISSRNIKLLIVHPEQANLIDLSN LDIKLLLLDQSNYYLSFTEIGKVQTDLTKQLAKANAEFIHILLPITQSVFIQDLRQSQPF NRTYQTYRESFSPKTQITCSKDESPIKSLISLLRSQRLYRKTGIAVFCHNISAVESVQFT LQQNGFKSNSIHQKKPESQRQASYYDFASQNIDIIVLPSGFQLPEGLKNFVYLSVHLYLP LNIETFILDISELNREANSHIFLCDEYYFTQRTELSSNFIQIENLAIFMQDCVYTNDLIQ KDENDISYWLTKKWLHLQNSEQSEVKIKKILLNETSLNYDFSKKQIQLFLQELEKEKWLE IQVSVPVELILSQLPEDEQIAQNVQIYGKKSGSLNGYKCAVDDLLKGSVMTPIQLINKLK QQKVKFEISEEAQIIKLTHFPSQSELQAKVLDIYSDIKRQNIIRINNLDQMYTMARTGAF RSLEYMWKQIQLQKNSTQLTDFIQQYLDQTIYSDLTGHNNLPFIKLETQREKSTLLQDVK CIINHYNLVDFGLSWIQKENCSTKILVILQGLKTSKKELKSLHQWNKYRQYNYLKIHEMI HEQLEQLETKLMCQSKKKIKI >CAK89519 pep:novel supercontig:GCA_000165425.1:CT868655:115941:116273:1 gene:GSPATT00022691001 transcript:CAK89519 MLLIYQLQRIRRYIVISLNFSKQYKKQTADVQFNIIRLVEKQLSSSGSESAAFLIIIFRR VWLKKLTKISLLYDIRESDENKVSIDLMSLYQFMNIEFQNIIESGTQLTV >CAK89520 pep:novel supercontig:GCA_000165425.1:CT868655:116295:117851:1 gene:GSPATT00022692001 transcript:CAK89520 MDNYKKYLFDEKFQEPLPRNTYSFQAQESQEDQPNESNFDDEYKKDFLQNQIKNIREKLI MMGFPSLGDLYSNSNSEVDLTVKVLIAVIKQRREDMDFKNTYHEKINKIEAEKQQLSQNF ERASTTKKILEQENSTLQAKIKSTEKVQKEQLNKLMQEREDLQKQLSKLQSRSTQFEHEM KQRDLEIQKLKDHIKKQSASKTFKNSLEMTQNLEQGGPSIFAANGEYEFSQLVMKKWEEI NNKLLRENEQLRENLVKIHNELGEILQIRRDVYIKRRKIDFGDENIPPELDNPQQNMHQF KSDLYKSPLETNGKQAIQMLQENLQTFKELMKKFDQQYELQLNEDEIEIEAEGGKVKACK NLTELFKNYNYIFEAQDKMISQIINKSQNLKKIDELNFNLNRFNRVLDDKQIDDVKKYLQ DQKKYLDESKNEMDLIKKQFQHQLKKREEEKQIIQMKRLMLEETNEKFKENIRMLENASR QALQQLNQDIN >CAK89521 pep:novel supercontig:GCA_000165425.1:CT868655:118131:120114:-1 gene:GSPATT00022693001 transcript:CAK89521 MYSKEQITKIRQLINNYFDKGEVFDKLKKKIESEKIQIDDLDNEKLTKLLRETNLIDNLI LDIKHLDEIDEFNKQNKQLTFVDPDRINYRSVSLRIYKGRAFLDFIEPGNSKLQLYLSFC GQRFASATVDATVEPEFNELFLFDLRTEAQKANVDLLTLSKLDHPIELCVIQIQNGQRKL FSQKLIEWRFLLCYGNISLNIELPTTYNTKMQNERKNHVGILQIHLELLPKTGLVLLPEH IVVSQLSKEKSVINQISDRFHTYGNAWWNDFKELKLSVRPIKLYSESQDGIWRPSCTFIR ELQSVRGIMSPNHAARFVSLIPMKTSDRGPSGDRLEVWHRFPTFIALKGGDFEDHCLLLC SFFLGFNLDAFVVFGSTADRPHGWVMTRLQKPKKPNQKQAEYAYNFWEPLTGHKFELNDP KVPQLYKRIGCIFNHKYFYANIQENDSVLKTDLNIEDYTKWKAVDPNEISLLKPQNFQLT LLRQTIYADELEPKLELQLREAIGSYRKIINLATRYDEKLGSIMQIALANYETEKITGLQ FAQFEFQSAIRSYIPEGHTFMSFPICFNHMNIQKMLEDIKTSPVGGEVLTARGDQTYHAV RVKMEIYPEDVYAVWVSVSVRFHKIV >CAK89522 pep:novel supercontig:GCA_000165425.1:CT868655:120133:120371:1 gene:GSPATT00022694001 transcript:CAK89522 MDIQDASRVVYICGKCGKDVQLEAKDIVRCQCGYRILYKKRKADPKNPPQYEAI >CAK89523 pep:novel supercontig:GCA_000165425.1:CT868655:120570:122002:1 gene:GSPATT00022695001 transcript:CAK89523 MQQAIQAFLLVPKDSDFTIKNIPFGVASKANGNPFPATIIGDSVINLAKLEDLGYFNGPL FSTLGSKVFDSGNLNKFVSLSRPYWKEVRSQIQTLFSCGSQLENNQEALAEILTPVQDTK NHLPITIGEYTDFYSSKNHAFNMGSIIRGPDNAMQPNWYYLPVGYHGRRSSIVVDGTDIR RPWGQVKAPTAEKPSFTKCKRLDYELEIGAVIGGAPNNLGEPVKVNQAEDRVFGFVILND WSARDVQVWEYVPLGPFGAKNFASTISPWIVSIEALEPFRIQLPEQDPEPFVYLKEKNHT SFDINLEVLIGTEKSPELEHFTTSNFKYMYWSVNQQIAHHSITGCNIQPGDLFGSGTISG TTKDGRGCLMEYTWNGKEPLVLKSGEQRLFLEDGDVLEMRGYAGEGENRVGFGRCKGKIL PALDEAYFQS >CAK89524 pep:novel supercontig:GCA_000165425.1:CT868655:122002:122209:1 gene:GSPATT00022696001 transcript:CAK89524 MGKKTTLLFKLVSSAGTGFYYYGEKSTKKVGSKLILRKYDPLVNQYVIFTEAKLASGKKK >CAK89525 pep:novel supercontig:GCA_000165425.1:CT868655:123139:125326:-1 gene:GSPATT00022697001 transcript:CAK89525 MKAQQAATLLLEETLFQVDLRAEQLKSYLEKVTNTVNGHSSYLNNLQIQLNQVLKLQDYE AYLQRTAKSMSLRDPEFEQQISFQLEKPLAENGSDQIENAICMVINKERVFSQGMAYLFK RSKDWEAKLNKLEADLAKRALKDDLEKGLKEQKTKLSDQIEDLNKKLTKRFQDQERSATQ NQNQLQQSINELEKKTLWKISDCEKLLQQRINDKFVESSCQGVYDRVMRDIAKQKDEGIK GIQNEMAELKAKFQYNDERNQDNFKTLRIQLKEMNDTISQKYTPLEKFEQTKQILSDRTV ELQNKVGELSRKMDAILQLEKLIPQIKSMDDKIADCQYRGEQNRKDIEELKIKTENFDGQ AKGGKSDIDPHKVCSLEADIKRLKSDYTDQENKLRLLENELKRKIDVNEQRFLQQLNLLK QAPQQQQLSKEDIISIIDKEISQPMSLLRARILQIVGQACGENMSFEMFIRNLLNDIADM KKKMEGLQDLDKKMRKYMKQMTSNDNTDLQRQLAEKANEEDTKAKFTALEEKYKTMAENY GILAKGMKELEEFSNYLQTLLYVNQQETVSILTGNHRVVTQRCLVCSGKTKLTKTVDKSQ DLNQAKLVRGDLRPKDLVYENSEVYELNPNQVNYTSDNQKYVGTTVGFKYPMLNQNKASN QDDPSSSSGRIARPQSAQQKKY >CAK89526 pep:novel supercontig:GCA_000165425.1:CT868655:126068:128751:-1 gene:GSPATT00022698001 transcript:CAK89526 MKQKNNGMEAKLFSEQSESNLLSSKIKSSRSIFPKSSPFYADQYLLPTHNKKQMKEEKKN DYIRKFVDNMLDQVQKVKKVKDFHLSLIGDLGSSDEFFFKRKVSTFSHLNQKQLRILQNL QGVLQSKLNKIPLIHPGQFIKVIWDIVAVVARLYFLYIIPIDLAWVNQQFIFQDFKYISI LFLLILIFDMLLSLNTVYFLNGEAVTSRSKIVKHSLYNTYGLQWLSVFQLLVYFIIDRYG EISLDINNNVLNIGLLIFLVHHKTIINYATNYEEGLNISKKTSSVFALFKLIAFLFYVIH LFSCFWYWIGRYSIENYEGKSWLITTNMTEQQWNTQYLQSFYYTAVTIFTVGYGDVTPQS NIEKIVTIILIMISSIQLPFSVNTVGNIITEMSAFSEERKRKLRIINSYMNKSFMSSGLQ MQIRQYLTYYWENQVISQSEEEKEIIDSLSEFLRQQLINEAHQKIFDQCTLFKIPFTQLF KRQLIKEVEEVLLTPEQDLKSANDILLYYIEDGSIQISLQQGRKINLGIVNKGASIGIKN FIMGTESQETYKSVGFTKAMILKRKNFLKILQDHPDDQELFFAIRDKMILYQDDQYFTVS CFSCGKDSHKLIECPLIKFVPDKEFIIKKHMYPQQQPRKPHDRTKKKNTTLIQDKKRLEQ SALLIQKEEPALFQLYKFDHLFNIDEEQQQKRNVKAMTQFQQINNKAPQNESEKFFSIFI NNNSKSIKKKFQYLVNKLIQINKIYPYFLIQTHLLYEKQNEQRQISMILQILEQRCKNIS QINNKQFDKYLSDMSLIIQKLSIKQYIDDQDFESPQAYKFYFRKDNFSGLKYRNILFNLN VLKRFIKYVQYPGDLIKQFNLTSVGFYQLINRRKPGICASADQLWK >CAK89527 pep:novel supercontig:GCA_000165425.1:CT868655:129165:130330:-1 gene:GSPATT00022699001 transcript:CAK89527 MIQTNITSLIQYRPNQMFSLNLLKILAMLSISMSHYGILIGQNDRQSIINSNFMIIMINS LYAVDIFFLISGFILGKKLLTNPNLLLSPIQSMLMILDRIMRIYPLYWILLIIYIILPKL FTNVGYTENCMDNIAYVSTLTHNIFNKFGCFEWSWYIAVEIQTLIFLCLSIPTIHLIRSC FLKSKGTSDTNKNSIQDLSNYIFFLIITVLLYSMAICIQNNYKISFYAMTDKQFYYNFYQ KPQYKMSSYLIGLLTGLKYKRQCFANTRYKIIAILAFFFSLLGWILIKEYQLYVADLIQN IYQSISRPILCLGILFSGILDMKSAKLIEQLFQIFSKLLFSIYLSHYFLYQILISQSFIQ FGLVPNFICNQCCNTQYSL >CAK89528 pep:novel supercontig:GCA_000165425.1:CT868655:130459:132866:1 gene:GSPATT00022700001 transcript:CAK89528 MIKNYGDRYHLIDKKALEDKKLDKDQLVEFVRTSGISDIGKNYNIVSIIGSQSTGKSTLL NQLFGTKFDVQNRQQSVGQTTVGIWLSKDVQNNVVVLDVEGSDSVERKSGENMVENQTAL MALAMSHCFIINVFLNALGQHTSCQLSIIKIIMQQNLKLFQQDTVKHIIFVVRDWDEDAN YEEASRRLNGYLLNIWNEIPKPDHYKETDFHQLFSVQVVTLVYYKMKKEFIEQTNDLHAK LSNQQDPNFIFKDFDYEKNVRWSDMPQYLSNIWEVISNNKDLNLPNEKILISNMRCQQIK LEALDGVKQLNEDLQNRVRTKLVDNFAQECQTIMNLAFKLYDKDARDYHIEVYKEKEKEL KDELVNRFYTYFQKQTEQLKQHYMNTLTENLETLKRESIYNLPDKLNELDLFKLQFEEQL AKSVIQKGLWQEEDHIRYFRQQFDNQLKAFVEAQLATFKQQLDNIIKSECDKIVSSQVLN ISSKFWQQIESDYYAMISEKYQKYEVLLTGLRVQQKQIEDYLNKFEEDSFHNLKQVIAVA SGRFKDQLFQQFKAQFVRAPDGQPRNWQKLTEEEIFHCYTDARDKVFQLLDSLRIRKIKF IRQQVVLKKKAQTLIISSSQKVQYQISSDADSDDVVLNDVFYTQVKMQLAEDIDVQYQDA IQKHKQDFLQNIPKPFWFLLLFFMYDDVLRWMGNPLFLYPILIILCFVGFCIAIGLHSLP KLAFQWVFRTLNQAVIPIIFGGISKLKGS >CAK89529 pep:novel supercontig:GCA_000165425.1:CT868655:132902:133350:1 gene:GSPATT00022701001 transcript:CAK89529 MLTKSAKGDQLHQTITTINYKSIITSEKRSGEIPQYMNSKCKIKLRKEKLYLPYLHTREP SLPTLKSPANKQQQLNSMSWISLIQVQNKPRDRSISIANETYFQRTRQVSFLENLLKQLN KNKRQS >CAK89530 pep:novel supercontig:GCA_000165425.1:CT868655:133503:134345:-1 gene:GSPATT00022702001 transcript:CAK89530 MDNNVNSLQVVEQQASQKIHQSNIKSVQIDYNSLLKYTTSCDLQKNEIDQLMKMFKRMDY NKVGFLSYHDMMDLLKEFGFEINDNCKEKIFKEMEDRNTTKLDFQTLLYITRVCKDLVCK EKENEEQIQYSEFIDAFVALGGEPDASGYVHKNKIIEILSVEFELNFDIDELLEQLEVSN ESLDFDTFRNLFRAENKKSIRRNSSLLSVSILYHIVQLLSHRSMTQRSTSSISTVKIRMK DFERFLEKLQQEDNDNVSSPSPKK >CAK89531 pep:novel supercontig:GCA_000165425.1:CT868655:134578:135666:1 gene:GSPATT00022703001 transcript:CAK89531 MPKVNQLNGNSQHNSKNSMNNQNAVSLNNKLPHSYEIECDRKDCMMVKLNGIQMQHQLFL LKKDLDKYKALYVNERKKISDTYKEVEEIMDALSKKEKEIKIKEMQLLEFEQTLLKKNMQ NDSVSESLQNLNKKLIDKEQQLAEKENQINLEAKNQYRLIDDLNNLKTNLESSVKFLEQK ESQLFATLQQLNQQEQETLQRVDHINQFISNSDQHLSMMESIEQLFKQKTQNIDQIKQSV TQKQEELQMFEQQLQLKSVCASLNEATFMKKVAQTERIVLKTPTQDTNKKGQPKSNKCNT FSNQKVYKIQQNKLFSTISSRENSPISNRESFKIINKPYFQSQKPMQEINNFNIKYDTII QN >CAK89532 pep:novel supercontig:GCA_000165425.1:CT868655:137087:141140:1 gene:GSPATT00022704001 transcript:CAK89532 MISRDTGFQDLESGIQSNNLSSGQLVDLEQNSPINGQQLDPTLEFSQLQQLEALRMKRDI FDYSHKQALNLGNKSDINEEDCLMSNLSGKRSEPQGSISSISCNIKKQKLTKPPKQRSNK TIQFQQKLDQDDFVIGSAKNQSGTNILGIKSDAKIVKYQTFVQQLEEEQEQNEKKKIAQI HRDTIKQQSLVLRGKSREMKTGLNEVWSQKALIIIRLVSRFIQQLKTKTERIKFRLITQR IFEVICDNSANFEYMLINRLIKQKPSLRLIIFHQFQSRALTMLNTLESVNDFLSKYIKVI KPDSLFKIVWDIVLLLFIVVNIFYIPIYISFDVRSSGLFEWIFDLLPSWVFIAEILLNFN TAYYDKGLMHEDRKQIMKHYVKGNFFWDIIVVIPFLISYLDIPFVRYTLLLRLTRLSPLM TSIEEVLNLEDSLQIFLDLLKLIFFLLLTGHFCGCAWHWVAIIEYQNYGQEITWLTHYEP NAMNYEWFDRYIISLYWSVITTVTVGYGDIVPVTTVERVFVIVVTLLICGVFGYCLSNIG NIFKQITDKKAIYKQRIREINQHIRKRGLSYNLQLKVKKYFEYFLKVKQEEDQHAEQFIE QLTKHLREEVLTDIYRKTLKQSRFLRENFSEEILNRLCQIVKETKLYPEQVLFQRNDSPK ALWFILSGAVEYVADHQNEDEHYYTETFLKKLTQGTVIGEREFISQTPYEYNARATKFTQ LLVVDYQQFYLILQENNDEFEKYCLAKDNLLFNSNYKAFGQICEICGWTHRFIQCPFVFL QPNKNKIASSFVSTKTNKRLAFPYRTLPKTNWRNNVPEVQEAALGYIVLNNIIPEKYLLY HHSREINDNYLVNLGFELNEREDELSKIVPNKKNSVSKDPKILSQYDNTSLQQPIQQPSI QTVLQDQKSQNQTAMFHKDGQKINLTNESIIDWDQGSQLRKSMNRIKFQGLDRGRTLQSI KGKHHQNNGGGVDILEESMIQEEVQIQNTNVGSQKFIHNKLKMVKSKHNNQNAIGIRKVS WLEFDSGPNQYQDGKVGQQLFNKQISKGSDTNFDQPPPVNQCENEIKQRIEARENSIISK KTNTKQQITSFLSIDINRRKKRRKTTQLLQFFQGIDGGDNKSTKKVDKSLLGNDVYSSSL YTNGGPNNNTGLMDSNNKDNNNADNNSVHVAHSFDGIQKRIQDIVHVHFEMELDRYKSSQ YYFPDYNVEIVLEKIAVYYEKVNDKKFDMREMKRTKTNLTLFDRIRQAKNPTIRGTFVDK SLQEND >CAK89533 pep:novel supercontig:GCA_000165425.1:CT868655:141456:143689:-1 gene:GSPATT00022705001 transcript:CAK89533 MLDTRPSYFNVQGNKASINMNFKMFQSIKAKGQLIKSKSKEPIRGQLKTLKKLDDNIEEP QLQQRALTTQKKLAPLIEEPQQKNEDFNPLRYNLIQLLVLMGKKDYYFDKIAKDDLKLIR VSIQSKENAEEHLQKIKKFLSNKVRYKYRSTFKMLLQIQLELLKYTPKKKSVAIKNSQRE FEQDEEDDTPKKKKISARGIQDYPTWKKKNNVAPNQKVFIITSGYHALRDALLERNWAEN DDAYSPFFDLKWTCSLRSDDFMNLMEFQQINHFDCNQCLCSKYGLARNIRCINNWESFFP RCYDLGDLVDFSDFIEDFKISKIQSILLEFEQSLQTQTQNQIISEPNEEIDDQNNTLRPE FYQSNNNKLEDQNNTLRIKEEKQQPLNINQLTFRQRFRIRLCLVVMNRVNKSLSNMVKSI LKDEYPFIHPGEWIVLLKDPEKPREILIQDYIDQQLKQNGYEDYEGVQFLQKYVPQIKEL LKQLSKNPQNSLQGTRNIWIVKPEYSSRGRGIRCLDDLNQILDNVNKETMNYVAMKYIEN PLIIKNRKFDIRQWILVTELVPLKIYFYNECYVRFSAEEFDIDQFHNRFAHLTNNAIAKY SQKFHKSEIKGNMWTQDDFQQYLIEEFGWDVFGERIQPKFKEIVINSLRCCSDQLKNRKR SFEVYGYDFMIDDQFNSWLIEVNMSPSSDTTTPVTAQIIPKMLEDIVKVVVDNQNKTKKK IGGFQLIYNSDTKLL >CAK89534 pep:novel supercontig:GCA_000165425.1:CT868655:143725:144313:-1 gene:GSPATT00022706001 transcript:CAK89534 MYNSLVTSKGFYNHRFCSNNQKQKPIKVDFSFEESKPISPSPLIRNIQHRQIWPSANQPK IKLNNFNEKGKQFYMETPKMNDVQRSHSAHGCRPNSSEFRATYSRWVCSPLQQASNTVEP MKGFYTLKYMGEHNQQIGVLSKKLSMIASSKKDNKRRRSYTPSIVINNQYIVNKYLISRK LGEHN >CAK89535 pep:novel supercontig:GCA_000165425.1:CT868655:144380:146840:-1 gene:GSPATT00022707001 transcript:CAK89535 MEEDQEKYLEDARKVVKEQAYFMRASLEKAQLKDALRYSSAMLSELKTSLLSPRNYYILF MQVFDEMRILENYFKEEYRRGRKMPDLYESVQHATYVIPRLYLLITVGSVFIQTHEIGAK VILLDLLECIKAIQHPLRGLFIRYYFLKLCKDRLPDTGSEYEGTGGNIDDAIEIIIRNLS EMNKLWIRMQGSKDKSKRERERLDLKVTIGENVTRLSNLEGVSLDTYKTKVLPKIIDIIT SSKDAISQTYLMDCTIQAFPDEYHLQTLQELLKVCTTQLEPTVDIKNIFINLMGRLADFA LNNDMGTFNSEVDIYSMFKQNIDKMLDSNSQIEFKNLLDLQVAFLNFTLRCYPNNSEYVN DILKSCCRLCERQNETDFTEECQKNIVKFLAMPLDTMSLSILTMNEYPNLMKHLPFQKRR QVAIKICQAVVNLNQVIDDLKITGELLKFIQPLLITQNDYVEIPENEFEEEQQLVARTVH LVQNGDLAIHNTILQQFIAKFQQGEITRQKYTYPAAIFALFKLIQLIATQGGPQTQETQY KVLFEQIRGLIDVLQGHFPELALKLNLNFLLCINIVDQAQEFDEFSYDVATQIITIFQDE IGDSNVKVVLLNQIMSTFAKLNCISGENFDTLAGNATQQAAKLLKKNEQAIGVLNAAHMF YNDHIKNAQRVQECFKKAIKIANQSIGNNPKFVYVFIQILNKYFYFFEQVEFKDAEIQEV IKMINEKLPKALSDNDEQSKKIKILWTATQELVRERKRRSIQAYQQINI >CAK89536 pep:novel supercontig:GCA_000165425.1:CT868655:147260:148152:1 gene:GSPATT00022708001 transcript:CAK89536 MSSQCHFVQKYNKVSGKQTNLIQGQLKNDFLRNFPSSALISTPFSGKSLSVIYSPETQKL NERIQEELSQLDEVPIYHFPQMYNREEDKMQYQVQTMQFFEKSQMLEAILNYKRKRWDMI LPINEFISEQNCQGFWILKIITKKFIELNNYDNSKELMNFCLKLLNRNLNINHDDIVQQL DGCIRINPYCLLKKSSIKPSISNLLSNLLFEHKISNHLEIFHTLFNDFENKDLDSLKQHI NLDNQFKYLKEILINKLLRISK >CAK89537 pep:novel supercontig:GCA_000165425.1:CT868655:148944:150152:-1 gene:GSPATT00022709001 transcript:CAK89537 MYSQTYGKQQATKTAKLKYFCQMCRKQCYDENGFRCHLNSGHHMKMMRLYNEDPDFYIEQ FSQEFETAFMEILKEKYKDQKIGSNKVYEEMIRKVDHVHLNGTKWTKLTDFIQYLIANNK IGFDHSPGDIMIWNLNLNPEKIKYDKVETKKVKLVEKQNALIDKSLEKQIIKGQQLNEQE NNNQNQLENQNLPGQETNSNQVQQQSQDQFISFGINFSQGSKKDSQVVLDVETQGNKQWI EESLLKQQGSKENMEKLYQNIKYKNNQNAVNQPQQAQAQQQVADDSPWIKENIVVKIIDQ QLNNGKYYGKKGVVKRVIDQFGGLIEIQNSTKEKVIIDQKFLETVIPKIGNQVMILKEGE HCGKVGKLESIHQDNYSGSIYLENEDVLLEIQFDLFSKINSD >CAK89538 pep:novel supercontig:GCA_000165425.1:CT868655:150180:150850:1 gene:GSPATT00022710001 transcript:CAK89538 MRLVFWIIVFLGLVQAIPKKQISIHSKYNTPINAQDVKLSEVDLALYQNDMQQIGQKNHL KSEITNWMQAKTSLLEQLSTFYNCFEIFLSKQQQIGILLVCIICFAFYLMVKSEDRNMKQ KKQNTKKKQKNCQQDVIVQILTDSLIAKEQSPLPQVSTINGSLHLEERVNKSADQMKNNG QFSLFKRSNSQPIIQPNLPDYSAEEINSLLKDIS >CAK89539 pep:novel supercontig:GCA_000165425.1:CT868655:150982:151387:1 gene:GSPATT00022711001 transcript:CAK89539 MVNVPKNRKTYCRKCGSHQSCKVSQYKKSKESPFAQGRRRYDMKQSGYGGQTKPIFRKKA KTTKKVALKLECVKCKLKWLKVIKRCKTIVFVDANQLKKQQEAKKNAK >CAK89540 pep:novel supercontig:GCA_000165425.1:CT868655:151487:152380:-1 gene:GSPATT00022712001 transcript:CAK89540 MSGTLIIKPLSAKLTHDTETFGKMDPFCLVRVGGQTQKTRQHKDAGKYPSWTDTLSFRRT GELIADIEIWDKDDVSKDDLIGQGSLALQTYFTKPNGTEWVNLTYKGKQAGQVLIEIQFF PDGGAKAPVMPGQQPYFPNQPYQQQPMYPPQPGYPPQQPYPPQQPGYPTQPGYPPQPGYP AQPYPQQPGYPPQQPGYPPQQPGYPPQQPGYPPQQPGYPPQPGYPPQQPGYPPQQPGYPP QQPGYPPQQPGYPPQQPGYPAQQYPPQQGYPPQPGYPGYPQQGYQKPF >CAK89541 pep:novel supercontig:GCA_000165425.1:CT868655:152406:152936:-1 gene:GSPATT00022713001 transcript:CAK89541 MEMTGLNVFQDQILEIACVLTDFKLETIIKGPHLVIHADNQVLEGMDQWCTKTHKASGLY EESLKSTLNVQQAEEQILNFLNQNNIPPKVLPLAGNSVYMDRLFVMKNMPKLDQFLHYRI VDVSSVQLLCRRLNPYVCNHQPQKKLNHRALDDILESIEELRYYQKKFLICQQLPI >CAK89542 pep:novel supercontig:GCA_000165425.1:CT868655:153087:154479:-1 gene:GSPATT00022714001 transcript:CAK89542 MILQQKQVLNDNHLHSLIYKGETDMHILDTLSIANYHSPDPQIREYLLKTAKQLYKSDSE LPKLLQRFELTLNIIETQQFNAKQYLSLYATIMRTKIKEFYTNQRFQLFCNVYVPTKFAR TIYVFCYNPSLTGYIALIKSVQMTSLIAMEEMLSQIDNKEKEQFYTFDDLFEDVLISIHA QKTVPIINMTPEKEQQKPFVRRHKKSLSEHQIFDFQREQKQSQIFSQLQSFQQDQPFYFT QTKPQSFLQDDYQFPSLDQINSEKNNLASSDEQKRGQMLINQLDQQLTYNLYQQKLEEVL PDPDDFDVFPTLEMNSAIGFTPINKLQQHSDQKKQMANPIYTGRLKFFDEQKNYGFIVMD EDKSDIFVHLDDLQKAGVTKEVLKTAKLGSQIRFQFNCMVYVGKYKRSRKAVELKLLSNQ QANNLKGYQ >CAK89543 pep:novel supercontig:GCA_000165425.1:CT868655:154508:155949:-1 gene:GSPATT00022715001 transcript:CAK89543 MYLTAKSKDNFYPQSSEEQRELNQQLRYASEDNQMLKAKIRSLEQDIEVIKENEIKNIKH YEKREDDFMKLIKQEQERNKKLYEELEYLTRELRNRDDQVNQLEENIRTLNTVVEDQQNR IEEEKNRNKEIQDQVQVKVKKNDDILKDLAQLENKYSKFRTKLETENAHLKQELEKLQNK YKNKLQQYKKDIKQQQQDNNQLKLDKLKLEADNDQLYNLSKQFESQLGKILPEMPAMEKQ NSNVSNELERMKMNNIQLNEQLQQADFEISQLQQQLQAAIQHLDRTNQDLQYFQQENQRL KQLIDDSKVEQKQNEYMIEKMVELSEKQMDDLEQKFNKVQAQVNSLQHEKRSLLTECNNL KMTVDQLENNEVDWQKKVNRLKKENKELTRQLSQLDQNMREMIVEKHSELKKQNNNISLR QSRFSQKLNSQNQSYRSIRTNNILMSGTKDLEDDDDDDDDLEEM >CAK89544 pep:novel supercontig:GCA_000165425.1:CT868655:155949:156679:-1 gene:GSPATT00022716001 transcript:CAK89544 MNTNHIFSKSINIIRDHRQEYKPSQQSGQKQQNRKESEEENGNVHSTEILRKPISKKVIM IQNTGQSGRVQPQQMNKKKGILNITKKDEQQVKQNGSYSIFIRNLKENTNPKDLRELIDD DSNILGVSINNKQATITFSNQASAENAIELINNNKDRNLEMRAVPNFKQNDKVIVIGQYS DKSRDGRLRMDAKESIFDRIQIKK >CAK89545 pep:novel supercontig:GCA_000165425.1:CT868655:156779:158375:-1 gene:GSPATT00022717001 transcript:CAK89545 MDQNEWNELLLSKQIDDVDLISSQGSQHLVHVSMNQTNQSIFNELQTNERLDAKQFPLEK LTHVEINSSKTFKNITKKVNKLKKKVKRAFISKNNITKMNVANQAEGKSKEIDTFTQYDV ILDHHQNKMSNCQPHRLTYKYKRTNKLRKQNMNLSDNLNFGPELKDSKEHKNLNENLKLQ NKPNSNSDLDASQTEKFISYHSTETYITEDLELSDQKYFQQKQYYMYNLVGHFEGQLEDT FQSQMYIRHFLQIYENLQKSKNIKISLTFSKSQKIKALSKKQKTLVIDLDETLVHCNEYP QLKSDFYIPVQINNITYQAGISVRPYAQEFLRSMAEYYEIIIFTASNEDYANQIIDYLDP TGTLVSGRLFREDCIRVESGCHVKDLRILNRDLKDVVLIDNSAFSYAFQIDNGIPIIPYL DNKKDNVNLLILSEQELQHLESYLKTLIQYDDFRKVNNKLFNLEAIQNCNSIQQAIRCIT SNSNSQNLWIIA >CAK89546 pep:novel supercontig:GCA_000165425.1:CT868655:162109:163745:1 gene:GSPATT00022718001 transcript:CAK89546 MSNRNRSLAERRNSKSKCKNAISQRKNSDSEDYNFYQEFQIFYPQAQEIKFTKFDYMKEF FNLGITEFPSDKEIHRIQQEILTTSGWNSNLKKNWTLNEKKVLIWLVGKLSIMRNEDIRD LSSELFEEISKMICRRDKDQCKQKWSQMQKIALQSQPFQPEEDKILYEIILKYQSVDMGQ KWSQIAQELNQHSIIYRSSKQCRERWLNHLNPKISKQPWNDEEDIWLLNLVKEQGRKWAE ISKIMDGKRSENNLKNRFNSLIKREKDLPVIQTQSGSATNLDDLLSGCTGPEITDLQKLA IDALLTKLKWRSAESQNKNIRKKSIELIDNVDNQVKRQSQQRTQITVQYTIGNIESESNI MDLTPCLVNVSKNIIYFCTQDLLIQYLGHHQQQQQQFKDQFDKIKNELCAFDVGFQNFRS TLSMIEEIEEPLKSQSHFQPNDLDGFFNLETPEIISKPIYVNSLDMITNSAIKYLQRWKT ESQFHDQRRSSIPIPRSLPNLIQIQQ >CAK89547 pep:novel supercontig:GCA_000165425.1:CT868655:164109:165158:1 gene:GSPATT00022719001 transcript:CAK89547 MCILYVCLKEEISLETITFINKELYSSTIKNFQVYGSVVYPTKEWELLGNFYAEDSNEWQ IFNLEQRFLRYLKIHILDFHSAEFHCTLTQIRVFGQTVIGDLIDSHKRDQKVTKPESKTA NSTQEQQEINLKEVSEEEDRSKIDTCSVVDYFYHTQPTKRVETQYINVLPYESRQSLFKV TAQNILILSHNVELFKNEINQIKQQDLQHLQEQQEIRTFQQQLMTSIQEQKQQNIKLQDE LDFLNKKLSIILFIIFMFILLLGVAIILFLMNCCNQNKEQKLEQPRASAKTHSIIVKSYP ELLTHQLIENDATRKHISQVKTSNGKTKKSN >CAK89548 pep:novel supercontig:GCA_000165425.1:CT868655:165199:165853:-1 gene:GSPATT00022720001 transcript:CAK89548 MFTNLHDANNTSNLLLHFFYGSRKKSFGILHGRYNKQNNEGEFIFKKENTQLLILSTIIT FISFFTLTILFLSSQNTKLYKSYFDESNTSYMVLFMSLFVIGLGILEEYYWRNFLIKTLP SGWMAALFVGLHFAFLVMMISSPIVEWQFCVLLGVISVVWHMAMVYARKLLKFSSVLVYG IAARFGIYTAFYILFLNIKLG >CAK89549 pep:novel supercontig:GCA_000165425.1:CT868655:166134:166546:1 gene:GSPATT00022721001 transcript:CAK89549 MQLKTKSIKYFLIQLQKTINMSLHSSFGYDSLRSVIFAKAIKKCEQIQNEYYSSESCSDV KSSISSDSEKHIFDREFASESAEPKVVFNNQQSPLSQFNTQQ >CAK89550 pep:novel supercontig:GCA_000165425.1:CT868655:167285:168870:-1 gene:GSPATT00022722001 transcript:CAK89550 MQSWQTCALSGELIETPVISKVSGHIYEKRLIEKHIESTGTCPITGRPLNLEDLIEVKVA KIQKPRPVTATSIPSLLSLLQNEWDALLLEQFQLKQHLEQVRHELTHALYQHDAACRVIA KLIKERDLARIELAQLQNKLNHKVEVETNNVPEKLSANYLADIEQTALKLTSQRKLLRKQ QSYFEQFPNSQILSNYEIKQQHTQTQGGTSLDIQANYVIVGGQTGLISLYRFEALLYQNQ QQNQLINAIRFFTIDEHLRFVSSTSDGDLVIYKFNTETNEGVITQTVKVGQNITGLAIHP LGYIAVIVTSEGLLLFYDLRSGVQISKVTDFEGQCKFTSVAIHPDGLLLAIGQENSQIKI WKITSGQLVAQFEGQEGSINQVAFSENGVNLASVSETQVFQWDLRNPGLFQNLFQSQKIS SISYDTSGAYLAVGENKNIHLFDIKKQQEFFKFESHRDTVTAIRFAEFNKNIYSCSLDKQ LNIYGN >CAK89551 pep:novel supercontig:GCA_000165425.1:CT868655:168870:169312:-1 gene:GSPATT00022723001 transcript:CAK89551 MGKKEKGKPNPLGEVSRDYTINLHKAVHKETFKRKAPRAVSHIVRFAQKNMLTDDVRVDP QLNEAIWARGIRNLPRRIRVRLQRKKKEEDDGKGKYYTLAQYVPVDSFDNLKTEITKQ >CAK89552 pep:novel supercontig:GCA_000165425.1:CT868655:169664:170228:-1 gene:GSPATT00022724001 transcript:CAK89552 MSYLFFELDDPLNPSTLNNLEEKSLFEVCDQQEREKGYSSLDSIQNQNQQNKSHQEAKNI PKNMGVLIKNYFINNYKDLARQNITIKKFISKVNNKKNYTRKDLKVLFSNEQARFICKEY FSSFQIIKDILKSTKISDSEVVLKYVKKLFIGTQDPQSLSSLKYSNYDF >CAK89553 pep:novel supercontig:GCA_000165425.1:CT868655:170484:171318:1 gene:GSPATT00022725001 transcript:CAK89553 MVYKIRNKSFFWTRAGWKNNWHPKNFNAPRPSSSEFTIGIRCRYDHNSFLRGNEINLINQ HTIHTERSQDTAKELFQMGLRTFFIVPHIAECQVTQIKHGGERRMVDQIDRDFELVSYNS HPYQLFTYTVWNQYLANQQEAYEQRKNGGQAIEDQVIDHISELVKDEKAKLGAGKQLSIE KTAEIVMNVMRQLRAAQQRPHLNNRRADGEFDDFLEQRRPFTAPNNQSATH >CAK89554 pep:novel supercontig:GCA_000165425.1:CT868655:171321:173241:-1 gene:GSPATT00022726001 transcript:CAK89554 MGDNPIDVFKEDMENEEVYLKVNAMHRARIIATLLGTDKIRSVLLPYFETLMKKEDDEVL FAMAEELGYIAQIIPQQSICLLPILEQLAGFDETVVREQAVKSIAIVCNFLQDNEIANTI VPMILKLASNEANFTCRVSAVSLMCPMYSRSGNQKEKLRQKFTELCSEETPMVRRAVATK IGEIAQYMDKNHVIEVLIAVLKQLCQDEQDQVRLLCMESIMNIAKILNINENKTNILPLI ISSAEDKSWRVRLALSKIFAELAEAVGKEIADSSLIQIFSNLLKDPESDVRVIAVKSLAK FIKFVSPEKLNLIIPLLQLLAKDAFAQVKQNACLVIGQIATILPKEISQSKLQSYLIELM SDDNQDVRKNAAQSVGIFAGALGPDALGQFIPHLKKSMEDPKWRVRKETMMTVFHLALTI KNTDIFLKHLEPVYVLFLKDRAAEVRTIGLSRLNDLIQTYKIDWALGSFLSKCLETLNKD TGFLYRMNALYAIQQIGVVADGPMIQDKLWPIVQKCMKDNVPNIRFVSIRVAKQLSKKID HQGTLNQIKQAINELTDDNDRDVKFYAQEALQY >CAK89555 pep:novel supercontig:GCA_000165425.1:CT868655:173258:175153:-1 gene:GSPATT00022727001 transcript:CAK89555 MSIQKKTSKIIRPSSEPQKYSNMYYEVQLPYFNPSSNSIFASQLLNNPSFMNIYQLGISS YLQGQYKQAIFFAEKLLCLNQDQNTQAYLVFMLGICHFANAEYSGVYNLFLKHKLTQGDF AVLAARALYANKQYELGIEILQEEPTSQSDWIRGQCYEALENKQLAVSNYYECLQKTPTN VRVFQQLVDSYLISSDEKENLIQQIQLNSDEAWLKDYYVSKTINCDIGNQKIADHLQEEK RKIAQQLQIVDKVETQQMKPSPIRSPYIRKEEIPIQNDLVYLTLDKKNNIDILNVKAKKA YYSYDIASAYDWSLKAIKQDPLYFDVIPTYVSCLLELDQIAELYFCAHNLIENYSSNALS WFVVGVYYFSTRKYEVARKQFQKSIQLDQHLIYSWIGLAHSYAIQDESDQAMSIYRSITR QFPGCYQAHVYIGMEYLRTNNLQTAILSLQQAKDINPTDPMIQNELGVIAYKQKKYNEAK DYFLNALVFCQNSNHKIRESALQNLGHTFRKQRDYKNAIQIFEKCIQLNSVSPQIFFGLA FSYHLSELPNSLSKAIHYYHKSLSLKSDQTFVQDMLSKALQEAADLGLSEYVN >CAK89556 pep:novel supercontig:GCA_000165425.1:CT868655:175252:175669:1 gene:GSPATT00022728001 transcript:CAK89556 MANLNQPQLHCLDIQTDNKLYDIKVSFLGSVGIDISMFWQSQQQSFIETINNYQPMDTVK LRFRNDANRNENCFYVVGYQISRGLTHDTEFQYIIKLEEVLIDDLNWTYLSQYLFVIVVP LIVVQFIKQ >CAK89557 pep:novel supercontig:GCA_000165425.1:CT868655:176603:176998:1 gene:GSPATT00022729001 transcript:CAK89557 MNNNLLSRLEQNKSAQESKLNTLRKYYELSSEQRKDLEYFTLIKQFINKQEEYHDTDSSN GFSISETSNTVYIEGSEQQQICIKMFDIIKQMKRAVKQSQKLCSTKIKKLNKIIEKRHKE INKFKISLGLL >CAK89558 pep:novel supercontig:GCA_000165425.1:CT868655:179062:179973:1 gene:GSPATT00022730001 transcript:CAK89558 MYSLPGSKQRILLPQKHKTICFKPEIKETQRLRHAKALHPFHQKFKPISTKCLEYALSSR QDCQSIISSSESEGECENNKSCHCRDCGHQSKKMKHMKKKYRRFSPVMHGRIRERRNKLK QKFKNAVYVIMWMLKLVIQRKKKPMIIKKIIRMKTKFFEPSPEPPQQNQQIIYLEPIQPV QVQMIDFKHKQRQSIVNYLNNKLQDSERHADNYQLSLPKVRQQNNLSSSHSKLLLKPLKT QNDEGLTPQRNNLRSIIHKANPSLQSSSSPYLKQPPLTTRIRSLQNRLYLSGRY >CAK89559 pep:novel supercontig:GCA_000165425.1:CT868655:180609:180827:1 gene:GSPATT00022731001 transcript:CAK89559 MSESEIAMLINVHQLRERKYQKQKYIDQTEMKSERARQSLFYQTTYYNPLWLKQRQLRNV EYHNQEFHSFLL >CAK89560 pep:novel supercontig:GCA_000165425.1:CT868655:181133:182256:1 gene:GSPATT00022732001 transcript:CAK89560 MKIVLLLCMMVAVSFGISSKLSHAKKLEELHGSKLGRTILNLVNLHSSVQGPISELIEAI EELIADINTNIDEVEYKFQVRTNEHNSYVAQVGQQLQDAEQDIARMIDVIDNLLIPRRDQ IRNKIESLIEYDEFNRKNVDETTLLREQEHEAYLQQIEEDNDALDAVDDAINLVSSLSNP SLLQIKNIKFTLKRLSSKQWNRVHQGPMVQALLQIALNQNFSDQGILKQIVDALNEFRNQ VVDAMNALTKQEQVNIEEFEERLEQLDEEHREFQRQINQSQVELDATNQKIEDCNQFLVQ RQADRQQYKSQLDLENETYAVDTDIYTQTANELQRELPIAEQGLSLIKSADFSDIKI >CAK89561 pep:novel supercontig:GCA_000165425.1:CT868655:182283:184025:1 gene:GSPATT00022733001 transcript:CAK89561 MKSKIKRQPLQFAIKLTTLNQHFDCKNLKVLNLQGIKLSQLEVKVESLSTLILDYNNLRH LNIISQFPNLITLSIANNLIDEFFVPPTLRILNISSNLLKTIHLKQLEQLDASSNQLQFL QQDTQNNLIQLKLDWLGIINKNDIWEHLTIKQFTDQSQITFRQFLDKAIQQLLQYGFKKE DNYFNQRIVHTCILKNDKYYFDLILPYYYENRNKFDNSETPLCLAIKKLKMNFIGDLMNC IQIKYEIDAFHESIKQGQISLVKQFLELGIDSNGYNKKGLTPLTNAVLNITQVNMEMIIH LLLQSQANPNKLNQNGQSLIQMCIIKSNLTALRFIANFNKIKLTNLKFKMNIKNINGDYP LHLAVNSISILNFLIRNQIGNPLQINYYNLTAKQMSYTQSRTLIYKLLQKEERIQILKQL TKNSMRFQKMNKGQAKQKDYNSDSSCPELSDDEMPNKPKINKKYITEHFNLSEDIRQLSE DDECQHNYSSESEIEYPKYNLQSLKLEQLNYQNNQLFKQNQDVRQKNQIPFNLIIIQGKD KISKIKLTLQLFQDKNLIFQDI >CAK89562 pep:novel supercontig:GCA_000165425.1:CT868655:184201:184572:1 gene:GSPATT00022734001 transcript:CAK89562 MQSIQVSLADKYPDRVPILLEITDKSKIRFSDGSQCKKYLVSKSDHFYHFFQILRNSLKL SKKEAIYLFVNNSGLIKPESQVGEIYSKHRSSDGFLRIILSEYATFG >CAK89563 pep:novel supercontig:GCA_000165425.1:CT868655:184929:186443:-1 gene:GSPATT00022735001 transcript:CAK89563 MQKKPRKNLLIQRLNYIRDKQNDIYQKILNDEMKVDIQEALKMSIKDENNQLKPFRRDQL QIKAKSQNKPELVIEQINTHLKYLYTKECYCLEIKKTVKKLIKTGDFCDLNINWVLLELS GARVIKLQNKGVFQQLLEQKDKYPPELFEPIYLDSVRTLNHLDSIKKKQIEKQIETVLIA YSIRNPEIGYCQGQNFIVNYLINTLKFDLEDAFWTFTQILETIMPIDYYTNILSALTDQQ ILDYYIQEYIPELKTHFHKINLQSDFFTVQWYLCIFTNQVKPQLTNFIMLMLYIDGIKAL TISALILLILLKNELLKFNQFSKLFRFIYQLMQSIIYSNMQTYIAISKYLNITISKSKLK NKPLILPEKKLELNQFVIFKVISQEKHQNAITIKQQIEIEKIPPCPKDQQVCNQVLLSNQ FLRKSSSFYVYKHKDPPIMIKNYWDGNIFPEIQKSHELLILRQEHICMRKNSF >CAK89564 pep:novel supercontig:GCA_000165425.1:CT868655:186631:189339:1 gene:GSPATT00022736001 transcript:CAK89564 MENQHQFIVLLLGDCNTGKSTIVGRYLENKLVDPQPTVGVVKRETQIDIGNGNQKAQIYD TSGSEKFRAQVLGEFKNASAVVLVYDITDYQSFQNVQTLQKGIQQIYCELEVIRQNPNVD YWIVVGNKLDLKEQRKVHIDEGKKFASQIGARYIECSAQQPSNINLIFLTIQQWTQINIQ PKYVPEQTQRLLEKLNNDEQQKQLQTSSSKNNQTKFKNKNTSFSLIQNNTNNVVSSSFDD QQSSFNGDSMQDYFAQLLKKTDMQTQKLADVIVEEAQDNVISSLRQQTLAISNSKPLYIL DDANAQLQEKNEDKISMMKKKHKQLDKLRKEIAQNLLLVQEQRKKLTTDQVIENTQTQKL EKELQKRLLSVERNMDFLKTDILLTQKTQKFSTNKNNFMSNDQSQILKQHINQIHNPEHI KEQNEQIAKIKNERKKLEEQRNHRYKQYIDNLEALQKQEKEMRLKNEQDLIQKRKEKAMQ NIASMDKIRQERSQQMIKQNEQVKQIIGATPLHVKLENIFQEKNNNSQLEEHKLKLKQIR DLHQPIRMNDLKQWEENYDKRRKSQEEIRNQKIQQNNQVSYQPSYESSIYRQVKEQQCKQ LKEKELSHKEYLSKQQAKQEFLNKLKDYLPSISPEKQQELEQIINKAHHKINFYEFIEMT KKIKLKGVFFDKDGKILERNVDEEYYEKIKENERNEEESAKTIGNKYLNEVRRFIKKPSQ HESVDKLQSQSNPQQGYQQAVRNPKQNKLNEIKSHWKLKPKVVFEETDLEKIIDGNEVAG FSNHHVMQEANKLASEAVRKIQIIEAKGEGKECFKDIESADKLLINSIKFQAKLSLMDKE VKSENRGIEISSNKKRGRSNTKQRL >CAK89565 pep:novel supercontig:GCA_000165425.1:CT868655:189786:191251:-1 gene:GSPATT00022737001 transcript:CAK89565 MLSPRRHRSKGNETFNFMLRVVNSSFVFSLLTLPFYFQMIGIIFGVFGCLFFFILSFFQS LLLLDLKYYARSKKKQGTLTKLFELFQMPKYSADIYRGIMIFNAYLYIVLLMSVFQSSIQ SLIYMMANNNIKDQWAQSLASQQFIQFIGSLLMIPFFVKWKYPVYIVAIARILGVFSIFF IVIASFDLTHSINNTLYPSSQKVVQSFISTPTILMAFAYHSQFFTGRASERGFENDDDIE KKLKQGALFGSLFLLCVELTFSILAAIAFSSVNVDLQSLYGNLIRMVYQSKNFTPPLGNS YFYIFYILIAVSAQLQLLQYIPYVTVLVLNIWDKKPLIPKEKNVEFMLITDGDFHKEDEK DNKKSQTMNHKFRQSFAIHEEAKLKQNQQFSFYVTIIVYILAFLFAMAKPPILLLSCLMG STSQNFVTFLIPSILYLDKQFKIDADIYKKCFAWFTFIFSIFFAIISISFGLYGLYTDQI >CAK89566 pep:novel supercontig:GCA_000165425.1:CT868655:191276:191886:1 gene:GSPATT00022738001 transcript:CAK89566 MPYYLIIYSSISDELEFFFFIQLKLIMKRTKETIFRQHPEWQQRQGISGLKEFDGEDLQY DARNKYNKEQQKQWIQEQIQEKKRKQEQEKAEEMAYAQQTLEINRFRGMLQDNFAARKTD IGVAAKQTNLQFAKEKKDKEERDKQEKLQYEKNERDLLLERGRKQPYNG >CAK89567 pep:novel supercontig:GCA_000165425.1:CT868655:192439:193530:1 gene:GSPATT00022739001 transcript:CAK89567 MQVKRAVPNPIDNFHTEPRSSQQSCHVTKSKPIIPTTPLQQSKPNNLIQSLNGLCKYFNQ KEESKQITYYAPKSMQRSSASLSPREIMAANIQDNSPLLVKQLLYGKKDQKENFNPKVGM SIMEVKQMPHLSINQDQKLVKVNDSSHKKSMGKFARNQKSRNASVQYHEDWKLKYEELQQ SLCERIQQLENELESMEKNRKTDQMHSDQQTNQYIEQLQYIISEKDQVIYEQELKNEKLQ NIVDMQQKELQKHKEGKYKVNDTNEIQRLQQIDQKFQQIKGHLPALNITLKNIQSIFDAA SSEQQINNLSLSSVSMVELINEFNQGVEENTQKSIKSNTLMTSNLLEQKPINLSEILNKK RNL >CAK89568 pep:novel supercontig:GCA_000165425.1:CT868655:193645:194630:1 gene:GSPATT00022740001 transcript:CAK89568 MDSHEYKENYYDANIMKRRRAQDKVMVAPILEVFMDICKKLDYTSKEYLQMVIPINESRE ALQNTDQIIILNYLKQIAIYLIKSKLELEDKLCSLGDSKQFEIELQKMEADIRQHIRVQQ QLQILLEQAQQKIDEFESEKLKSEQFITELKKSLDNKERELISIKNELQDTKHKGNQKAP FQATKTEPIQSSMDHLDNLQLFTLVENSPNKNNFNQVQAAIRKGRNQQLSKEPQKPKGDN TPQLSKCCSIIKESQTQDSPDKIARKYSQPNYMEINALRQLIKKPCPLNQRNEPQSFRSI SQEPSRVPKKC >CAK89569 pep:novel supercontig:GCA_000165425.1:CT868655:194664:195794:1 gene:GSPATT00022741001 transcript:CAK89569 MNSTKSEILFAGFNQDSSMFCVGTDTGFRVCNALNSTEKFQRDLKGGIGHVEMLYRSNIL ALVGGGLQPKYPDNKVIIWDDHLVKCIGEMSFRTKIKNVRLKNDRVVVVLEKKIFVYNFT DLKLLDQIETCPNPRGICTINTEGDHTILATLEKSVGKVFVNNYDANKAYCIEAHVSPIS YLQLNSSGTKLATSSEKGTVIRIYDTNTGQISQELRRGNDYATITSLAFDFRSQWLGCAS DQGTIHIFAVNQEGQQQEQQTQNQISHNPKSKFEFLKGFIPILGSEWSFAQFRVLDTKCK VSFVPDEHQLIVISYEGKYYKAQFDPQKGGECIKVEEKQLISDKV >CAK89570 pep:novel supercontig:GCA_000165425.1:CT868655:195801:196350:1 gene:GSPATT00022742001 transcript:CAK89570 MLNNSQTNQILISKIFVAQCHQDYEQATALLEQLTGNLVENLNMFNKHPKIHKILQDNFE IFPENYLVQPQQMDEGIEQYHKYLEQICNQTSKEEKKTKNKNPWTEEERRLFKQAIKEGS SKDWKAMAAIIKTRSPPQVRSYYQKYMKKKRQKLKSENKNE >CAK89571 pep:novel supercontig:GCA_000165425.1:CT868655:196352:197230:-1 gene:GSPATT00022743001 transcript:CAK89571 MLPLLFWVLYFLYTSIAKKCQCNDIYFEDLCRDARGCYFETKSGKCEETNCLERTRDDCS YFAGNLRCFFNITGGYCKELTGCEQLQEIVEVDSNEENCSEIECRWDYQQKICVSEADQR LCQDYDVEFCVGAIQVVGIKTSECVLNGENADSCIALENCEDITYNHSCNQLYCKWEDNE CKTKKCSDYSLHECPSVNKLTQQQCYPSHLGCVEFFCSEFAVELYCQNHPRCFWSQHLNS CHQQTCDKGTYATQCLSFSYVVDNAECRWDGASCHQCYQITLVLYLIIYIFY >CAK89572 pep:novel supercontig:GCA_000165425.1:CT868655:197944:199578:-1 gene:GSPATT00022744001 transcript:CAK89572 MIEYQDQCEEHPQFPISMVCLNPKIFPQRKICCQCLISFDKKNQLKENELISIQEFYKRL EQLVIKINDAQKVEQAIFESLNKMLKECKAILDQQNLNLIQLEIKKLISGGIRPLSYSEL KFYSNVLVHDFQQKQQIIEELKKSLDSASNNIQNAFLTYQKNNSIKIQVNIKRYDQGQIN VTLPIHQKIQDLKLEFGQKDDQISLNCEEIKNTNTTLFENNIWSGDTISIQKQIRVTLID KQYQTLNFLLLASDNILKIKKLVQQKEGIDPSQQKIIYYGRVLQDFEKLNDFKSSLGLDF LLDINKTTINLFNLDEKPQCIKLNPNFTFENIIHQIQYHFDIPYNLISSLLINNKPLLNN QQIKNLVDIQTETINLEIKLSRPVILAKTLSGKIIIVNPQENNTILHIKQQIENKYNILI SHQHIYHKMSLLDNLKTLQEIGIKQLDILNIKCDLDIEFRQEFIILIQRFPSDSGNCQLK IKPQLSIINQISEQDINLEAQLSSIFYYVKGFRIKQGQCCSDFNLKENDLINTGCKFRAC SKWE >CAK89573 pep:novel supercontig:GCA_000165425.1:CT868655:199992:202878:1 gene:GSPATT00022745001 transcript:CAK89573 MKQSQVNKFLANMTNRQYLQSVASPTTTAPSKHKKNGSSTIITGNKYLVYAKHSSRLFKD SSSKLPLLTTVMKTESQDTPKTAIMRTRKTSANLGDFRITQLHHRNKTETILLQNNFTIS KQEELKDNFEEVQKEEQPQIPQVQKSIEPIQQQSLVTNHIHDSIKILPKVHLTEVLGESY HFPQINYNSSKIAKIQIKSQINEIESLNEKSCHLTRKLTQLLNTHREKQNLVDVEIKKKK EIPNFTDHHVFTQTLSNMPDERSPKINESKFNQKLSTIAKKQSKINYNYSVQETESLTPL KTIVEALKDKKNDRKDNKEKNNSELQQTTQQNSSKKLKITVIYEENEQQIEFHLPSYVNE AQSYQEQIEVKETKQSNIYSLVSKSMLQNKFALRLVKKFGDRVIQTQEDNDAIENFNSFK ASQFTRYYLQNHIQKCLETKYDTKIENDGIQINRYEIFDYPVCQETYYEMLSKSSGQVGV DYYNIQIKKSKTKRLSEIQNTFYQVSQKYFDLHPIDNSILSPSGSQLDLSDLEMQNFFKI NYIYYILNLVSVSDLKQAQLRLIVNTIDDETKNKFLHEELMKFPEIINVITQNQEDLDIQ CIIVFYKEKTKLALKDQNIIKNQFTNKFCSLQVGLFEDYFSHQVSALEKIYIDDCRTMRD LDKLNIKDRIKTEQPSNLNIPSISRSRLPSPTGSSESKTLQKELNPMITKGLLLKKHKRQ KNLLTTQKFATSTRVIQQGSSSNLQTLLHANTNTNTNTIFNQQKSQKFQTQQKDTEPQVN NNETQRNIQMKQHFNQQSSMDPSSLLFRNMLLQESSQNDKSNIERVFSLIEDHRLIDLKD LLHNDQNININTQDYNGNTFLIWAARTGARDVIQFLLRQGADISIKNNSGMNAIQMAINN YHYQAADEINRFGRSNSYIAN >CAK89574 pep:novel supercontig:GCA_000165425.1:CT868655:203343:203843:-1 gene:GSPATT00022746001 transcript:CAK89574 MFKPTVPYCEIQKRQKTIFGMHLFEIPTRNPYYTIVGSRKNEKQKTTSSSQNNKLEFLTS RHMTTLPVTPTSKSPSFLESSRLLVLKQVNLNPRIKQLKPKAQLQIRQQIKTENINKKCN QSFRVNQTLPIPRQNNMIISTRIQQIDSQPSECQDFIDYVCIEKLI >CAK89575 pep:novel supercontig:GCA_000165425.1:CT868655:205066:206764:1 gene:GSPATT00022747001 transcript:CAK89575 MHLNILGSRKPSQVNSPTSLKKPAISQLLINFRQPINVPNSSQVSPQNSEQNLQKALHSH KGSQIDVANLTKLIRQSKSPNLEMNDAQINQNQSSQQLQALFAKAREITQSKSKPPIIKQ QVQSIQTQSINQLKELFNDNLYCRNTFHFHFVVGIGGFGKVWKVEHKKTGQIYAMKEMSK ALIITKKSVNSVMNERKLLSELKHPFLVNMNYAYQDRETLYLIMDYMSGGDLRYHIGKMR RFNEEQTRFFVACIFLSLEYVHANNIIHRDIKPENLVLDNKGYVHLTDFGIARIMKPENS NDTSGTPGYMGTNPTNILAPEVMYRQNHTFAVDFYALGVIAYEFMLGRRPYVGRSRQEIR DSIMAKQVQIKKSDIPENWSLEGADFINRLLQRKPEKRLGFNGSQEIKQHSWFKNFPWQN LENFELVPPFQPNRTEDNFDQKQILIEDEENNELIQQNLLVLKDPSSVELFQGYEFNANQ SINKNSSTTDQSSSSSSKHSRNFSQNIEKQQFFEHAHK >CAK89576 pep:novel supercontig:GCA_000165425.1:CT868655:206928:207200:1 gene:GSPATT00022748001 transcript:CAK89576 MKLSKKVAQDQLDNQKTKKIKKNNKNISKEKMMQILKLVMLLADLYKDLDRLDEVYLSKF DECQKQGAKQKLKHLNLRTKSKSNLKEELK >CAK89577 pep:novel supercontig:GCA_000165425.1:CT868655:207752:208248:1 gene:GSPATT00022749001 transcript:CAK89577 MKRERFISQSNYIKIVTCQQSYYQKKMIIKEDLKQFDTQSQIISNKELYLQATDILILKF KRTQTYIQDLKINIIFDECRSQLQMQLLEKQQNQQQDCDIPINHILKRSKEEKKQSQNLS SSIFSKCSVCQNKILSEAGLGDNLKKKRKI >CAK89578 pep:novel supercontig:GCA_000165425.1:CT868655:208315:209879:-1 gene:GSPATT00022750001 transcript:CAK89578 MNKTLERNQNGTVIMNLKNLKIICEREGLYQNPENNDTLYLHFKGFDKIENLEPYFNLVA LWLNNNALQKIEGLCQLKKLISLFLNHNLIDKIENVSALQDLVTLNLSHNSIKKIENIAS LTKLQNLNLSHNQLTNYESLMEIQDCPSIQNLDLSNNHISYEEPIISIFQSTNIGCLYLK SNSFVRECPNYRKTIVVAIKTLQFLDDKPVTPGERKISEAWFIGGKEAEQQERVSQIEIK REQDHQIYLQTLERQQRGETRNQLLNQQQQLCKEIRQLQIQYFDGEEVDELLEQKENELK NVELELEKYQVDNIAPHQCFLTTKDDEGNVVILNKTEDEAKQIRDRYFNQEMQQINQKFD DNNVEQKLINQQLEPEEEVEEEVEQVYQQPNKKSKFIINLDSAPQIPKIDKKASQLREWK QKREGWTIDHDELLENLLMYHQFNFDAVSDEFKRIMAYEDQDLKYIESDDLRIIWTFIEL SKYRNKIPQKLEQIE >CAK89579 pep:novel supercontig:GCA_000165425.1:CT868655:209897:211840:-1 gene:GSPATT00022751001 transcript:CAK89579 MEQAQFSLKEVCRQRMRNLFRQLRIDNQPNLSTSILVVDQKTLKIVSAYMKMSELLEQGI NAVENLNLKRKPFNLEAIYFITPTQESVALLMEDFANAQFPQYKCAHVIFNNKMTQGIAQ KMQSEQNLVKKLSTCKVFNLDFNCTNEQLFTFDMIFGLEVYKGRNVILQEMAEKICTVLV SFEKFYTFELIFRQDNWKICQQLAQFTQGRLREILEALKRSNSSQYDQKDKTCGKIRLVI VDRAIDVLSPLLHDFYYQPMFYDLLEIENDIYQYDMQQGDKKVSKKQLINDQDELFKKYK FKHIADVLEEVSSDFQTFMQTNTAAKVAKDKDQNLTLKQMTDIVKTMPQYQDLVAKYTMH MEIVEKCLDLYRQKDLQEVGELEQTLATGCDKKGSSVAGEKIIQRIFQVLKNPKLNEFDF ARLILSAIIQIDVSEKDRRQLTDLLSVEMQSAVHNLKLLGIQTQNSGSKSHKRVNEQVRK YAKNKMANETLELCRNTPIIEQQIEDLILKDFQTSGNFEKIVLNEQTNAQGQGKSLRQKG QIKLMQDDVGFDEQDKLLVFVVGGIGYNEARSLMNNKVINKNLVIGSTFILRPNDYVKEL VKLQKL >CAK89580 pep:novel supercontig:GCA_000165425.1:CT868655:212518:213331:1 gene:GSPATT00022752001 transcript:CAK89580 METRAKSKNCFVIQTHSTQQLLTIRSPKIRSQRYFTKLSINKDQKQGFIKLISPNDMKSN IEILKRSCSSESTLEGTLNKRCQYLFLTFTQQKTKYQRHQFCIRKWRKFILCVQAILFLF KVALVQYKIRSISPPKMSICHQLTHHHPYPESPTNRKKKKSYSIETKNRNCSFGQRIQTL ILHQKMKFEAQKNSTYIRQFKNSDIRLTRLISQKAFSTHSLHTTIDNSKQLPAINRLEYT ERPCLISSQSKKISLKLKKIH >CAK89581 pep:novel supercontig:GCA_000165425.1:CT868655:213337:214042:-1 gene:GSPATT00022753001 transcript:CAK89581 MFEKEIVIDGKGHLLGRLASYIAKELQRGQRIVVVRTELIQQSGSLFRNRVIFEEYLNKR MAFNPRRGYKHYRTPSRCFWKVVRGMLQYKSKRGAAALERLKIFEGVPPPYDTRKRQVIP DAIKLIRLKNHRPFCSLGDLCASVGWNSQAVVNRLEEKRKQRGTAYYKRKVQRENLRRKA IGAKELTSINAELEKLGY >CAK89582 pep:novel supercontig:GCA_000165425.1:CT868655:214793:215528:1 gene:GSPATT00022754001 transcript:CAK89582 MKAPKYIQQDVIKQVCQAEQFQQHKFNLVNTRSDPYLSRRHVLQDNDKYQQIRANKFYTK LQVDLQKEQQLLKENKKILNRIVDIGNQKTYSSLPKRSLTRQNSANSIKSLNLSYRKKEA IKIVGENEKLMQRLQRTPSTFRNKETFLKDYKKQAINSIYTRTEELKNRISKYSQQNQQK LGKIVQRLTKTTTNQKPPKSSQNKSSAPSYKNSVLSQLRIEPERQQQKFQFPRIK >CAK89583 pep:novel supercontig:GCA_000165425.1:CT868655:215561:218610:-1 gene:GSPATT00022755001 transcript:CAK89583 MSSGSHLSKELHDLIKSIGETRSKQEEDKIIIKEVQQLKTKLNEKNMPPKKVKEMLIRAI YIEMLGHDASFVHINAIHLTQSKNLALKRLGYLCCSLFLDNDSELLILLVATLQKDLAST NVHIVVNALTAVGKLISKTFVNALTEPVLKLLTHNTDIVRKKALMVMQIIRQLNQDCITE QDYDDRIRRGIQDKEPSVMGAAFNLYHEELKRGAVNKYKPLTGTFVSMLKQIIEHKLHKD YDYHRFPAPWLQIKLLQILTLLGANDLKVSEQIYEVLGSTLRRADDTTINIGYAVTYQCV KCISGIYPQQSLLEQAANSVSRFLKSDNNNLKYLGINALTQIVSISQKYVLEHQMTIVDC LESNDDTLKKETLELLFKMTNEQNCEVIIQKLIHFLKTSSDANFKKDLFVKISLLNEKHA PTQEWFIKTANTLFEFGSEFIDNDVRNNFFKLLIDNFNDIGTEFGEFITEIYSDLLKNEL QDNILKIVCWVIGEIGSQIYDQDPNKLNELAQLVITKLDSQLESETTISWILTCLAKLQS ARAFQMFDQTRTIFQKYMQSKNLDCQQRAIDFFTLAKFNAALKGSKFVTVDPKMSFLDAY VQQERQRGAQPYNPSLQVKAAALGSATEAPSLNFGPYSDNKYGGGAKTGTFGTEENKTTN QNPSAPTIAGPWDDTGYNQKQPAAPKPVIATPMAIGSTTGMGSNGSGQTTTTKTAMQAMG SGQMGVNTNQPQNTYIPPVRPLPPKEDPNLKEKQKLANQLFPSISQTQQQPQQLYLFIHQ INLEKRNFCSVYSKTYECKSKSNLSTSNLLDIDDPLSAQQPVLGVPQVYVQQPYIITQQP VVPQQQLQPQQQWSQPPPQQTIPQQQIKSYMPANINVDQYEQLWDRYQNVKEVTLQSKIK SEQDFRKMIQAININIIEVIEQEIICAGANAENKSCVLLYGCYNLNGSLDLKINSTDPKD GEYILALIKRQFC >CAK89584 pep:novel supercontig:GCA_000165425.1:CT868655:218668:219737:-1 gene:GSPATT00022756001 transcript:CAK89584 MHIFLFQWQNKSNNRHLLQNNNKRQPQLRRKDFGRGGRGQRERREGGAPRQNRGPRRFGG EQEWVPLTKLGRLVKGGKIKSLETIFQFSIPIKEYQIVDHFLKTLKEEPLAIGPVQKQTC AGQKTRFKAYVVVGDSHQHIGLGWKSAKEVQGAIQELCNLNQCQTQFDSSQKRILGKQDR LTTHSPMQSDRKGRISQSQIGSSTQRYWYSCSHHIQEGLTNGWYPRLLHSIQRKYKNQIK LLESNLPCPQRNLQFPNPRSLGTHQIRINTILRTQRILGCLKMRQYCNQYIIMDVYYFSI FYHMKMLFSLGLQLEISLEGIEEQNTQ >CAK89585 pep:novel supercontig:GCA_000165425.1:CT868655:219764:221617:1 gene:GSPATT00022757001 transcript:CAK89585 MIGFLLIILPQAFANYFEQKLSRHVYEYKDVQKEDIVTSIKPPKCSDEFLNYYHNDTFVT QICFTKNVFYNVEVILGDHIDNKSIFSLALDLTSPWTWYKSNSCVSCKNLNSLKFDNNKK CKNSKKVGKCIDSNFEKLFNATKWNDINVHGQIYSPESLIQGTFQTDTIKLLAYNSSKKM TISQFLSYEWGNSTPPALVNITGLQMLQADYINTELPILADGVIGFGFGYTETDEEKAQS NTDFVEKLVQEQTQLHLTRQQFALYTYQSSLNFSEMVVQVGGFDYKYVHRQQQNVKWIDR IEKSGYYWMIQVDKIQFINGQGNDLINANLPINKALLTLNSQFIELPYNILISLIQALDN AHVGTECNLVDDEMYLLYCKNYHFTTATDYRLTFKFGEHTIPIDNYHLIYRKCESVSENQ MIQNCLFNIKVSESDYIILGEPFIKNHYIVFENQPGNNVRRIGIMPAAVHMFYPDNPDYY EWALMKLVGFIFIFGLVSICSVTFVRSVCKDLYRAFKYRRALNPEDKLSLKQTKEVDEPD YTTEEDIQIQQQNEDEKKVDEWTQQQEQFKFGEKFTNQYEQNAL >CAK89586 pep:novel supercontig:GCA_000165425.1:CT868655:221670:222080:-1 gene:GSPATT00022758001 transcript:CAK89586 MINGNPPFHDPQRRVEMITKQILLNDPNYPKTMSPAACDLIQKLLKNDPKERIGVKGGYD EIKNHPFFNSISWDVIHLQVSPLKTFAEKSNLKNSTRVVNQPPQTLEDTPCNPQMPNGKI DGITYLGEGETFNSKI >CAK89587 pep:novel supercontig:GCA_000165425.1:CT868655:222089:222223:-1 gene:GSPATT00022759001 transcript:CAK89587 MRNLTSQLALLSTWLQKSLPDKVILTKLIYGPLEF >CAK89588 pep:novel supercontig:GCA_000165425.1:CT868655:222281:222888:-1 gene:GSPATT00022760001 transcript:CAK89588 MGNCCPNHDLKTSFISSISDDKTKLVTNSASKQPVLVVIPPPPLKQQISQDDFIKIGMLG QGAFGKVYQVRKKDTNKIYAMKQIQIKKIRECNLETNTVLERNVLKQSKHPFIVKLKFAF QNPKYIFFVMECINGGQFYNVLKCKRSGLPENVVRFVAAEVVLALEYLNTKLKVIYRDLK PEITFY >CAK89589 pep:novel supercontig:GCA_000165425.1:CT868655:222962:223254:1 gene:GSPATT00022761001 transcript:CAK89589 MYLPTFYKLGYEAWSAKGKRFLGIMPAVGCWAVWALYPNLYNTVYTDFIPPPKGVQRRVQ EV >CAK89590 pep:novel supercontig:GCA_000165425.1:CT868655:223398:224998:-1 gene:GSPATT00022762001 transcript:CAK89590 MNYQQAIQSCSPTKQKQEEISSPITISLQSEFEQLTLDTSPKLDPLTNQHSGILDFIDEI DQEEKFKKHQSLSLQKDLIRRNNKNKTNEGQSSPNSNQSLNDQKFKYIKELWLQESPIQE MNKQVLSQRVISINEEQYFKDLQSDNVIGMGVYHSNEASPLMYFEPDIEADIYISSLIDS LWGNQIISRKLQKLIESGTPEQQLLIVQKLERISPQIEKDVFGNYVVQKIFDSSGDVKLK SRMFNKLKTHFYDLSKNPFGCRVMQKLIEYSQGKEEVQNSILSQLVQNMRSLIYDSNGNY VIFKMLESYDKSKMEFLIPIVEESFHYMAQQIYGCKIIHKIIQQYAPNYIANLVKQSIAN YSVLSQTEFGNYILQHILQFWIVSPEKTRLIQLVIQQFYQLSINKYARQGLISISISNTV ERALETLSKPELITVLNWLLFRNQAQQQFSYVASNFVQLANHQFANYVIKKFLILIDQQM QQSILNFLIKNQQEYQALKSTLHGNVFA >CAK89591 pep:novel supercontig:GCA_000165425.1:CT868655:225050:225872:-1 gene:GSPATT00022763001 transcript:CAK89591 MSQYGKAEYWEERYTRQSEDPEPFDWYQRFAGVKDLVSVCFTPESKLLNVGAGNSRLSEE MFDEGYQNITNIDISHVVTKAMQEKYKDKGPNFKYLHMDARAMDFEEGAFDGAIDKGTLD AILCGESSSSNAQKVIQEVHRVLGPKGVFFIISYGLPEHRLQYLEKPEYDWYVGLLFQCR NVVVKQVHKPTISTSIAITNEDKDAPNVHYIYICTKGQPKGGKQ >CAK89592 pep:novel supercontig:GCA_000165425.1:CT868655:226941:228567:1 gene:GSPATT00022764001 transcript:CAK89592 MEQFVFLLQLIVMLLITALLFLGTAKHFTVELKSRHTQEHLIEIVNLLQHSHAELLEENN YQKIGKGVEGALHFETVMLEKNIQKKNLKHQNHHNKKQEHHHKKRKNTNMVVNESLMDVI PLTAEEKGLFEESGALAPVDIHIEEQMEHQSQKLLTTNRNQDGLYIADVPLTNIANTMFI GELQVGSAQGKNSFDVIFDTGSALTCVASEQCKDIGCQKSKRYNRAESQSFNEIGKSVEI VFGSGTLKGLINRERIRVDGLDLKDALFIEVTQQIGDAFHEGEFDGIVGLGYPHMTGVPT LFDYMIQQHKLHSNVFTFHLNRATGNSGSQLIFGGSDDSQIKGEWVYHNVHEQFYWSIMA EQIKVGNKDTGICTHQHKCKMVVDTGTTLLTGPTKDVRALLSMIRVEPKCQNYPTMPDIT FVIDGHDYVLSPKDYILTITQSGVEAPYHHSSMDQIVGCAGTIFPLDLPPKQGPLWILGD VFITKYSAKFDRDKNRVGLALNKNLDENHKQTYRIINHSFVLKYY >CAK89593 pep:novel supercontig:GCA_000165425.1:CT868655:228596:229421:-1 gene:GSPATT00022765001 transcript:CAK89593 MDIELQQLDKVKWNSQEDDLLLKLQKHFQNDFQQISSQMNKIFFYSKMTPALCEYRWRYY IDSNINRSEFNQAEEHSMFQQMKKNDLYTNQFWFELSDQFQKRPPSVLKVCTMKFIIKYL IGLSESYVQNKAVKKRYLARIKQFNIDIMITFFRLGKEKQKRTGPPTLLGQGIDQCTQLL LYLEQMISTRGQMSKYDDQSEQASIKFHSMMEQYFIRSPLIIKENRLREIRWKLCCHLRS LLLVYMMTEVTRIKHSNNLIKRMSD >CAK89594 pep:novel supercontig:GCA_000165425.1:CT868655:229548:231068:-1 gene:GSPATT00022766001 transcript:CAK89594 MFTLQSQPWKLPQLFLILCYYCVYSVQKKQGETLINFRLLIKDVFYILYVSRYINFALLL TYYVYSYIAYAIFQEISPKFFRNIFKSMLSMFQVLTLDSWNLQVNQSVSKYYGQAWSIFF LFFILFMFFYFINLLLGAFVSYYSNPTLRRNFEYDFQHDDVLNQDLNLEYRHSIEIRDAL KLLDMDQTPYQLNRKSWGLNESEVSIYLYISLQILLSMAQLFSSLRQINYALIVIENIFG IYFCILCYQKIMQFRQFITEQKSQFEEKFHDETQIRNEEYSVRLYVVIILLIAGPAATIV DIISLSIYQDIRFGPMLRVLIVLAILYVQKLLFKFVEVLPGVSHIIIFVGGLLFTMALIG HQFIKVDVGYPLFDSLTQSYLFMLQVVTFDSWGDVARIVIRHQAIYVFYFLFLTIFIGFF LMNMLVGVMATMTQPKTQELFEKDHILRQRVNELQVRQLSEHIQQVSYTIAILLVQRTGV DLAQLIKVDIGRVQFFKEHTTSICDN >CAK89595 pep:novel supercontig:GCA_000165425.1:CT868655:231150:231317:1 gene:GSPATT00022767001 transcript:CAK89595 MSVQIQFVYEFIFNEKIDCYQKAEDKVDDSESHIDFILFSTEKNEIHKNHYANAR >CAK89596 pep:novel supercontig:GCA_000165425.1:CT868655:231646:233082:1 gene:GSPATT00022768001 transcript:CAK89596 MLINKLSDHPNSQSGSFVHLSPQAYEALQTLEQIKKNNMLQQNSQIVRRVSSQYVQQPQN NYQHSSHEALASPIHPRKESQTNSSLSFIKGALQSNRTFKQETEGSHKPSSQTERQISQQ QTLGKSDEPTPFFRDTLSSDRNKCNQTSLSQYLAKHQFQQQFKYINEELQAFKQQVENDV LIETVITKFESPKDISENSQLLVDQSQKENQSPTTKLMMKKKLILNQILSLDNQIKIMED EETKIALDPQKSRKKQNTSQSSQNQQIVESLYERAQKKQSNFKKLFEETQVHKNQEEMKE CTFIPKINKQNISGKFMERLDDWVKRKNQKITQQQEQSQERIMKECTFSPLNKQLSKTNL NHTAVYYRNQEWQNRLNIKKQKLKAQNSLIQMSAKKEQNTPKKINKRSSSSQYQIDLAKI LQSTNSQNTTKRVKHQSPFHTEIQSGILNASNKKIENIDMKYLQLCEIANRIKAKSKC >CAK89597 pep:novel supercontig:GCA_000165425.1:CT868655:233258:234008:-1 gene:GSPATT00022769001 transcript:CAK89597 MEQINKLDLFGVVKNGGLKKAFFFYSFGFFGTLFMTISFLMILSNALNEQNSIIMIIILV KIMKSLSELSSTNLGTFQDQMYVKTKNTIHQLQLIKTNEKFNQFSYEIQAFSVEMPQPEH QILHSKFLELQWPHLINSISNKETFCGSNCISVPYRKLIIGECLEDCYLQLSSVCLVFDK NEILSGCYENQQLAQYSKERTNQIQIYLRHVKDPLVQVNHKCTNKFSKQKLPCQIIMILQ I >CAK89598 pep:novel supercontig:GCA_000165425.1:CT868655:234117:242805:-1 gene:GSPATT00022770001 transcript:CAK89598 MSEEIQAMKQLVSQVDKLKTAKMEQQYEPILQALQRVEQYMEETVTADGRQYLPELINIC VNFIINHKGYPDRVIFNIEAFIKCVLKYISNNLQDEKVSQIFRTIMDPSRMIYEHNAHRT EWHDQFIKYSYSLNPQYKQFIDQLQEGTYVDCLRLCRSTARWNWSRGVVEEHSEDDIKVR FLNEQSVKQIYNIRSGHLMPFRTRSQRLDQMFNLQIGQVILATQSDKWVLSTIQQRIEDK DIEPIVNYNIAFRFYTEDGNSIDDESQKKFIGYNRHYDEQITACHPRLAFCDDHIVRKFN SNEQYIDDSYDILYQQDYQEEKFYAILRPKQSKSFLLIQFINHFGYFGGFEKILNIQSVD ILANYMSAIGNIHAQLFRSFCQEYVPKLQGLRQTLLHSDEQTLRNMGREKMNLIIQAFKV LLDRIMNEEQRREWLQKLSLEFVQVCFNCNFLDKKIMGLKLLTDMLKQASVDKSPQTVLQ WIESENIMETLFHHNTHLQLLERTKELIRIYLNIENAFTAKHMSMIWDLMQQNDNELRPI IFKLINEIAFAMREYHVIFFMEQIKQLKEDSILIEHVQLLYEMNRYQIKNQELIILSIDT LWRIIEYAGQSQKQSLEDKSRSYLCDLIKNLEIKEIKVQMVQKLISNIQQMKVESSSIKT LSHFICSFPSKQQSQPQQPDNNAESQPSQVMTYPFVQNNPNLMQQQKIKEEIEQSTQTES KVEEITQDEFVKDLIQQSNIHQILRQNIENLRIQNKGINAETLQESARYMNKLQERILLI KTLIKINGTAANIINQQFLDLIWNELILKPICKQECDMASNWLRDLVEKDQQFSLTELDA FYLRLLNSDSHLTENAFNCFQAILYYINQKEYRLHRQQSNLNQNCDQYGNIISMTNTNVN ENDQQLELTLLVDVNQIIGIEYLWHIVFNSQLDKAVQLLVKLNLSQQEQFIDRILDKMDC TNEQTILKCLDVLRNLLNETETKGVGSLLSLDGLVKGDGFSINIVNDMGDGAPSNRTTIK VYSRMTMFELRRVIAKELYTNWEQVKLVRNGVTVQDTDNGKTLKQMNVRKSEVINVYRRK TKPIPQLPLVEDNKLTPKFIKVLHKLFELYSTDGKMSSEQLSSFGVKAANDESFKDGKKI KEVWNLYGEQKGYLTVDNFISFYEDCCIRQKISSIVWKNLHSFGYKNDLTLIENDEVDLD EQKLPRYQLAHNERFYRLMFHFINQSDQIAQECWKLLCSLPVFEAAKQRILNFEDFTKDR SYQLIYSIKIIEHLLYTEEYCRNFVKYGGFKQLQFILNNLEQENIKIVKLAQCLILNILC RHISAQFQPIFNNIYQIQQHVKQPIQATFDTIAQLIDGTYPSSSDNKPLLRVKETEEFLE LVKFMNGLDIQLDYVDLLKCIISMQLDITEDRFIIEFILILITTIVGYNLGNCLDYFVSS IQTIKQRYEQLLYAPKQLLIRKFAGNSLYLIFKCQNQLGKILINILIELFPKNENKESQS YFDLLAKLIQESKYSDKQFAENIIAQLKEYEPTESRIGLTSDKTLTGLLSILESLSIVDQ SILTQQLIMYLYQVHLFCFHLNTFQLTPQKQYTPDYVKSKSPESRKIVYRIIILYLKQNY NPEMLDLNTIIYQIIIFSGFDIKLNPKTYHGFVGIRNLGCICYMNAMMQQFFMTPEFRYC MLRAEDGVENQVVQYKDNQGLEYQIDDNSIHQFQRLLAYLELSERPDVNPQFFCYSFKDY DNQPVNVSLQQDAQEFLNQFFDRLDNQLKGKGWQQFIESIYGGQTCSQMICNGCKNMREK FDLFYTLSVKVKGVKSIHESFESMINGEVIKDYYCEQCKQKNDLIKRQCLQTLPNVLIVH IQRIVFNLDIFMNEKLSTRLEFPHNLNLQQYTREGLLNSDIKQQSYYQYKLKGVVVHKGT AQYGHYYSLINTKDEKWLKFNDSVIEDFDVKRLPHECYGGKDTEDSQDFQESGSSTNAYI LVYERIQKEQVELKFADLQQKQEIMQKFDSVIQEEDNQDLTYKLDYKTMTQQHIPDSLYQ EIWHDNHRFMMERHVYSEEFFRFVKEIGDAFPYPEDYALITNPEQVPQYFGIYNNYQDIQ GQEKIYQLLSNMTYITIELIARSSDHSTIKNYVLIIMNLINIIPTNAFQVFQNMIVNRQQ RVFNVLLCAPDYNIRQAIQQILLHFINVITYIHALQLNTELLAVLQPESSTVSCDQIVMR FLIDLIQKLQNDVAKNPTKFIYYFTFWRDFVKSSVINVKFASQIELVSIFADFFMEKQSP QGLQKTQFYEFISEKKITMASKMVVPFYSQLFQCIQYLLQDQNYVLSKNDTLCLQTQIFY KRALTECQDFETVKLIIQRMVFNNEPLSRSVIEVLLEEINKANYESVGQYLGLAYNLLNI YDAYQQERIEWLLGFPEPNYQDKYTTGCSTNLSYQSILYQSHIGSQNDNISILNLLFENQ KRFQNVAIQMMKMTLAAANANKQVFSYLVNLPPPCYLYSKYTDWFEGFLNEFLEDCKKYP VIATTIFFNKQKEVEETLQFWQQFKTNYSQITEIGLYEPIQPIYIIGNIIQTEQISSVVQ VDGVILECYESTVYFAESKPTLTTNNVFPMTILYDNIYLQSAKVDPSSNVHHLIQPQGSF TIPKPPPLPKKKDVIDGIDFGTDINKTSEQSKAEQESMTQLSQFSMELEHISDANKQGDV PTAIPLVQFGETPQQLIHCDIEIQMAPMLKRFMLNNTTNQTLHITYQITLDPDFMVNFRQ QLLMNDRHPAKICKVVPPHSSVYLTTIMKTIPSMDWGLFIETMDINFNEQGNSAPQQQPK KQVRIDEEVQVFMPNLKPETGSKNDDNMQCPVCTYLQSNSNHFCEMCTFEFK >CAK89599 pep:novel supercontig:GCA_000165425.1:CT868655:243748:246905:-1 gene:GSPATT00022771001 transcript:CAK89599 MNQEESIACLYKRFQKFQQVHPQEISENVIEKVESLYQDLAFDKDVSRLKVSRETKEKIF RQTILIYMSQPKKTGSIDIKMKDESTWKTRKLTIDIDLCELIIVSDKEIILNLRFFEIQN PISDNKRFKFLLLHKSLSQNFWFADDDKNYVNQWFNDIKQCVYQGRRGRRYETMTVEPMA KDINLDLLKQMDRERPSINQGLFYSQDLNQQKHYSINEFDNQQAFNQGESKNQNQIDKER IIFLQQPLGESAILNQNLPIQNDTPIEQPELTLIFKDLKYKLSYDYLMDESDFNLYLFED SIRIMQNKNDCYNFRIFLPITDATVNSLILALYDTKTQTKWNKQIKSIEQLETVGSTTIK IKETREPFGYFYKPRTFNYVRQFYCNYNEIYVIDKSDDKGNNNIHWNICAVFISQHTPKL FVVDTKTINGGYMTQYQDLQLTIQYLKEYVHLIQYVMELKLQKQAPQFILNLINIYESRP VKSKNIQQLVIPENVQNTTTNNYMHKSQPQIEQEKDYELTRQDSISYLQIKQKYQSKFQN YSDSKQTSSQQISDEDLILIRKLNEERIIKKDTTIEQKQMLNFSIPVHDQIIDDQQNQSQ KTGTIEDNKQTEDIEEKKQIKIDDESEDEIEIDEAFMHEDIRPEWEKSQLIQNQDEFYKE LLDFVLRCEMWSSQLHPYMVTFKDDAQHERINEINGLHFFYRDEWQFDTKKGYLKFFNTK KLEAQKKVFKYILSKMGSNILKGKSILSVSLPVYVFEKRTNLQRFGASFAYAPHFLENVP NDPVQQMGAVIAFSLSFTIIYLNLEKPFNPILGETCQLWIKGCPVYLEQISHHPPIAAFI MYGRGYKIYGNLESTASIGANTITGGNEGRIVIEFSDAKIEYSYCKGYVTGITYGDRYFY TEGQQLYIDHKNQILSELYFNPQKKGKTQCDYFEGKIYKVNQTILQKYKKDGKLKMSNQQ AQVLAEVEGNWQQEEVMKFNGKTMFNLMKDFPFQCKPERFPLASDSQYREDLIGWQLDDF DLSMKYKEELEERQRKDRKLRK >CAK89600 pep:novel supercontig:GCA_000165425.1:CT868655:246920:248069:-1 gene:GSPATT00022772001 transcript:CAK89600 MNQLQIEELQRKLQKLQLERMNEDSSDEEPTFQSVQGKLNDKQLFQNLSIDSFEVYTTLG TGTFGRVKQVRIKRDPSRQVYALKIMKKHDIIKLKQVDHIKSEKNILNEIQHPFLVQLKG SFQDAKCIYMLFEFVSGGELFSRLRKDGRFSQDITLFYVSEILLAIQHLHRKDIVYRDLK PENLLIDREGHIKIADFGFAKKIKNNHTQTLCGTPEYLAPELIQGAKTGYGKSIDWWALG VLIFEMLAGHPPFYDIEPTNIYKKILNGVIEFPKFLHVRAKDVIRKLLNSDVNKRLGVED EGAALMNHKFFRGVPWQKVYEKKIQPPWIPFLRNETDSQWFDKYPEERDDIQPIDDEKQH MFDDF >CAK89601 pep:novel supercontig:GCA_000165425.1:CT868655:248337:250073:-1 gene:GSPATT00022773001 transcript:CAK89601 MKHAIISIIQSQLSKFKLNSNQSDHSFDVKLLQSQEASTEKNFNEARKKVKALQDQSEVK LIQSSKDQITEISKSSILVLQSQLMKRDTELLNMREEAIQLRYFTEIKKVDNDENKKADL NKWLKKELEDLQITRHYIELSKKKEASAMKKIQRDLMIASSFDENNSKLISLKQKVDERF SNLKKSKQSQSEVKILNNIYLQSENELEKLEETQSEDLDQENQIDQRQFLKEVIIQPNEE MNMKDKLDLIANDLISDIIDNLTEELLNQQNQFGLVIQQLTPTMSQIPTSIIEIRYYLHN LFDYILPLYGEEIVQKINIPYGYSPQKRLELFYGNYSQQNMDRNYVNFVMLDQYFFEYEF HRLQENDFEKLNHVSKALKELEHIHNRAIFDACNEVLNTFRPYYYSIITNLIIDNGEPYP WEARIPQQAIKCEDFCDFLNKMESRIIQFANYLCGFLPIEEEYYVPREKQVILEAKTTEM MIQQMNMQQNPELYDTDLQYYYDPIIQIREQRVHKMLIADMQDSEFRWNLASDDKLELLM EIGDMVFEQCIEEFIQDAILL >CAK89602 pep:novel supercontig:GCA_000165425.1:CT868655:250151:251669:-1 gene:GSPATT00022774001 transcript:CAK89602 MNTQNSFDTQFYESNLQEPNSINNNKRQRNSSRIKSPEQKLDQNHKLKSQSLQIKNTNKK RASKKKQGKVVSLVNTTKKSFYPTQNKNHKQQVQSLTTTSRKLNFKNYDISLSETVLKKQ HSKIENIQDNINKLQIKQQNLQKDQNKQIYIKEESYKELKNHGNQKQNDLYLTLKKTQNS IFAKNYEIQILEEQKKKIENQVKLEQLKQKIQLKNKTLDQKIKSPKKAKKKVTKKKQKHK EHQTDVHSLANQSKQEFFQQNSLKSADLQSDSSKKRSSSSARQDQKQIKSPQQLNNHSEL ILDNEISKLFKKYPPTTYLQKMIKKLILLFQDSQSISATISDFNFKVLIYQGIQKAVIKI QKAWKQHCIRMKQKNQKINQQEMKRLIQKHYKSLFNDQTQNKSHPIQINKVLKQKAQTQI VPNNKQIKLENQNKIIVNNNKNQLNSQIIEEQKVINKIPLVVENQTDFQLCLSNQFSVSQ NASNLEKAKMVDEIYQMN >CAK89603 pep:novel supercontig:GCA_000165425.1:CT868655:252670:254650:1 gene:GSPATT00022775001 transcript:CAK89603 MKKNEIEFQDNSISIKRQPLLDRKKSQDKDQGLRKSNVYEGGLSQLKKSILETSQFQRIE KHPSLQEFSDSMSLMSIQQKCHQLVKSVQEQEVQEIFFILDHFNTLTSKPKLKDIYDQKQ QTILHISCFKNLPTAVERILMYEKDNSTAEEFNIWMNQKNKDSFTAVHFAAYVGSLETLE ILKKYACDLKIKNEQGQNALSIAAQGDQVSAMVWLYLQGLSYTEQDEKGGTPLHWATYYG SEFAVQFLLSWLQKSKEGKKIINQQDTEGMTPLHLAAMTGNQRIVKKLLYKGSSKTIRDN RNQTPAQTALENEYEIIYKILETNNCLFEFLNIRTSYKPASISWNQICSFFFIYFYCMIG SVIFVYPFFHADAWLQYLSIASFLIGLVFYFLTMFISPGYVERNDDSRKLFSLLTQYEPW ELCPECQIHKPLRSRHCEFCSRCVIVYDHHCPWLHNCIGAKNYPYFICFILTIFVNLIHL IILNAIFLIDDYPNPLHNPHYPWFNNVSNLKALDISKKFVQLTILILCLLFLFPLAYLIY VQMDNLFRNITTFEKYRQEEQSNVQGSKDKKSQQTIQQISFQFQIYFIYQIISITQFIVF KLLRNVLQQFKKYFISNMTHLNIYNNLNFILSNFI >CAK89604 pep:novel supercontig:GCA_000165425.1:CT868655:255640:256159:-1 gene:GSPATT00022776001 transcript:CAK89604 MLNPEANPLYRQVLYDGEQKTYIRTLQNHKNQKAHTEQYQWYDKQDCLKNTDKITTINNL STLSNVSYQRFKKPEDNKIFTPLELSKQTDIKVREPCFLRHHPRVTESSAKIIGRFILNE GSGHYNLPPLQPPSETYRNRSQVFFG >CAK89605 pep:novel supercontig:GCA_000165425.1:CT868655:256169:256923:-1 gene:GSPATT00022777001 transcript:CAK89605 MTLKSHEIQELPIVQDNDAQPPSIFKYLQGRMTNPKNYLPTLIVQHSNTSKQTLEALNSQ CNRNQSHKPNQPSQNQYQPNKKKDVSPQRSTSPYRKFQQIPQQNQVKTQQRTKSPLVKNT VAQKLTPRTNQRTTPSASPFRQAKENNVSTINQRNLRTDRSQTKLVQVKVKETHNQNQSH VIVDLSKCPTQRVLIKQDSNRPKMRVELSLKSLIQNGI >CAK89606 pep:novel supercontig:GCA_000165425.1:CT868655:256931:257209:1 gene:GSPATT00022778001 transcript:CAK89606 MSGIYRCLLVSVQTVENISSDQVVEVDSLLMDLYLWSDPCAEKQTGFQVGLIALSKVFIQ QLNKIYQQIILSNDKLSMFTNNKGLFQETQEL >CAK89607 pep:novel supercontig:GCA_000165425.1:CT868655:257286:257817:1 gene:GSPATT00022779001 transcript:CAK89607 MYKSNFVESQSFFNKYMQQAMKNKKKANNEDAAAVRCQRCLKYGHLTYECKNENVYLYRP SRTMQFKEKQLQYELNSEKPPEVPDAFDGDWKRNTKKKVPLEVSSDSSSDEEVQVKKEGT TINVKKQKSSSSESSSEESSSSDSDSDSSGSSQKRRKNRSRDKKQRK >CAK89608 pep:novel supercontig:GCA_000165425.1:CT868655:257849:259411:1 gene:GSPATT00022780001 transcript:CAK89608 MSSKKKNISKNDDGYDDVGCFCGWIKKKSSKNVNIEQSRISLHKQQRNSLYKSALDVSNH NGTSQLNQLNSTNSETQTKNSDMSPFVSSFAIPIFENNYPSNQLFQLKNNQSGDSIFAKI TSTKIDVPKSLGFNQDICNDPKISDQISKYIATRIKDQINSLAEFGCGDGGNTVQFAKYL DFVIAIDKSTEACLKTRKNCDQDTLNKDFPNPKVEIINSDIFKLKRRLPFDSIFINPTIN TENAQICKDLLKDCQPNLQQLLMMISDQIENLIIQFPAQIDYSQLPLLLNINQQYQNNTQ HKYQSLVSQHKQQQSFIAHCSLEIEKIYVNGIHIQNIIYYGKIANITREELRSILITQLQ NQEVKPEAIQNLITKLKDKIGSLEVVYELLNAQSFKYNIDKFLEVVCDKYKLEYGDYAYI LYQKLSKEFSQNTFNYHHNLKESTHEVQESEYAYSPKFSYNLNGLQMDDTNSIDENEDME ALGLSQDDKKSKLK >CAK89609 pep:novel supercontig:GCA_000165425.1:CT868655:259414:261886:-1 gene:GSPATT00022781001 transcript:CAK89609 MNIWIALAINLVIVTDATLNLLDPLSNINYIMSGIRCAIAILSILFQFAIHKRMKFQQFT ILLQILYQMQLASEIRLTFTSLNSYNLYKYLLLLYQEHSTKQLKYLQFATLLQCMIEIQF LNTQIHQYTITALIFVIIILIQTNQNSQLLSHKHSSSNDVFHSYSTVPAEIASSNRKIDL ITRDELIYILNHSRQGIIYFGQNLEIQYINEKTQKLFSTKSYDITMIQLQKIIIQAFEQD QNLNSQHKEIKFRASQKHLLQKADCKMIESLIASAEQNLKRFKSMSEKLLDSQFLTKKQK KNQFTIPEFRQLLLSLFADCQLSNLLDQRTFVKANKLKVNMTTNNGEKIIELQFCKIANV LNQTGYLLFIYDITENERLQQYIQKQKFQTLHFNSFSHELRTPLNCSLTLLQALKTQSIS TQLIQNYLNPAIVSNKLLMHQINDILDYASFGLSTFQLNIKDFLIKDVYKQLEEYYKEIC STKGIKLIFSIFDSLENQMIYNDPERILQLLVNLINNSLKFTRENDVICVTAKNKVKSSG IVKDQFIKFIVHDTGRGIAKTELDAINNIIHTSIDNDIYHQLKNFTTKYVGLGFTIGSRM SNELSYSKKSYFKIRSKEGLFTKVSFRINNQINIFGIRPKDPSIKFDQIISPNQIDVDNG FEEEHQIPIWTQTFFSNRLIQSETFSQILICDDVAFNLISLNLLIKNLGFESDIVYDGYQ AINQIKKRKLQQQAQYKMIFMDIEMPGLNGYQTSSQLLQIDDKLNIIMCSAYDTEDNLSK ALESGMKDFIIKPVRIEQLKVLIKKYIK >CAK89610 pep:novel supercontig:GCA_000165425.1:CT868655:261929:262498:1 gene:GSPATT00022782001 transcript:CAK89610 METKTWILILRWVNIVAAVVIGAMGIYQLVTFQMFSDFHFYEIWSIFTPVYSLIFCGLLL AIEFKKDFIADQFQFMMSCFGRGIFYIFLGSVVSYQPTGGSSTQVAGWIWGLTLWLVGIF YVVIHFVGPKSATAGLKDVIVFLLFQAVSAEYGTA >CAK89611 pep:novel supercontig:GCA_000165425.1:CT868655:262541:263860:1 gene:GSPATT00022783001 transcript:CAK89611 MQTLLKSYSQLWVNQIKYGFRHVSIRSKTNSRHQYYATKPQQYQKFYEMKKKYDFKNDDL TFPINIPLKQRYAYRPQRQFNKATPQNDYLNTEVMSGNEILLYFEQLDNLRINEILNGLE RLHKYNKGQFNLAEHPWVKAALDKVFEEHNHLTKIQFIQLLNIYSNYGIETPEVWAKFQE RMIKLLPNIPAKLFGECVRLFMEKSERSTDEFKKDLSLVIPVHLTKMSPQAIATAFEMVY KHNLMTEYLFFDHLHLILRNRFKWFIKGKACPLMLRLLREANFETCEFLWPEVYKQLEAE LDRIPNDQCAPIRNELVKIGEAFPSHQQYNNIIIAKKIGARATWEATLGGQARKLSLVEI VKNDILYYKEKQKLQRGQSQQSV >CAK89612 pep:novel supercontig:GCA_000165425.1:CT868655:264233:270843:1 gene:GSPATT00022784001 transcript:CAK89612 MQDISILENLLLKPQEEAFKHLVKGSDEYYYFFFLKLFHEKGANLSKEEKEQLREFISRD TELSEKIKKRKIFAQLDLYSNDVTKRNKLLGLLREYVFKIEYNNDVEPQPFYFSDVSREE EQEQELEQEKIIEREDIIETEDVNQKQEDQQSDEKDNQREIDNRKLSDSEQEVVQQEQEI QQDDQLQIGGSSQHAESDDQDKSENLVVEQKEEEEQQDYEKYYPSQFDQSIISLNKFIEN FYIYSQANLSWLQPQYYHLLDIDRILKLNNEKTIETYLENAQKIDENPEVLQLFKYLLSQ KQESRKLNQFLPKLSQAQLTELSEFKPKLLDDRQFVNIFLQIKFERQKNQIKDDKQALYN LKEAQTEFLFKLSPKFQDMKISELNSLIEFGANNKISIKQEWIIEWLKNYQPIFNPLVDD SQFYQNNNKIVYLVGNNFQFKILKKYLETYLNDNENLSFLSQYISIKILEQMEAEIKLYQ GKQLEHISKILPQDRLERMNNEKILAILDPQQKSFTHGDQVSLQCKLKNIQQLKIKIFQI NCENYCLLNEKNANINISLEGIIPNEEIEFTYQQPPIEIFYKEFTFETITNSRYGAFVIE FLGSGLYAKAFIVKGRLSLENTITSAGHSLKIFNENKELCKGEGTGVWLEKKFYQVNERN EIMIPFSVNPKDINCIIVHENYAEVSSIRIEVEKYELNCLFFLDQEQFISETEASITIFP ELTVANKKIDIGLLKNTKIRVQSTSKSNVMSYNQTEEAKFKNEQPYSFQMRNLSNLTKTN IVVFGQIMSMTENKLLDLQFDFNISYSYESRLCKQYVKYSEENGYEIAVIGRNGEIYENL LFEFSFYTMYSTQVTPTKLKTNEKGKILLGQLEGVIKFVSRCLSSSSQQTPPDLYYTIQK TEQQQNSISIQHLKTTYNELLQNIEFKVEQTEEKYLVMVIASQFIHPSNQHIEFAQDLQR KLFTSNEKNDSSFLIQNEFQSNQNVQFEIAYVQNRRTKPAYIGNTLEKPSVLLNRQFVQE TNNAEEQEQDEDDNKECELDEDDELCSERDESERGSMVECKEEAYRGGRLKKKKKKMSRR REKAGNQCTKGKKQIDVTNHLNFLKSSAQILSVKQHQSNQYELTFPDQIQQIQIIVINQK SIQNKVIGLKSKDPYKKDITHKSKLEIGKFYSPYRESLIISKGQEYLLTDCASTQFKIID SYEEACSIMKNISQNSDTSLIEIYSTWNGKNLEEKLKLYNNSQSDEFNLFLHFKDPAFFE IYILGYIRNKIEKSFIDQFLLKNVSYLSQRVSSGRFTQLNALEQTLLFIFFNEIQGNKVY SNRIKNCLQSVIKNYEINLAERKQLFDTILGGEGEEKGLEQESEEECYEGGAGGGGGDLN YCCADDNYYNCEQECNEEMQCRMSRHSRGSFQQDEYDEQQLYDAYTVQREMSIQPFKNIK QTCEYGELHYRDGKTLQNPNLTIYNDFYHDLVEHSISQGVLENPFVSSKFIYNNLENTHN LIITAALLGLPYKNLAQVEQVYVETDLFIKAQTPCILLKKQLKELPKQINKQILVIQKFY DENNRYIKKDGENIELQPLEFEIKKIYQCEIVITNCSSKKLNVFLLQEIPNGSLPYDQNN YSSINTVSLKPYQSRTYHYSFYFPNIGSFSVYPAVVSTEKAVIAVAEEYQFIVVGSSQIL NKENLMDIIQKGSKDDILEYLRNNSQVDLSQIKKYFKDRTFYFKCTEILREKLELREEIL QYGFIHNDLEGIKDYLALENIQETLKNQFVYLKSNFIEISSVRFYEYYPLLAKRVHKLAT IEQGILNVQLKQKYKEFLNYLVIKQDLSIADKIIFCYYLLLQSRINDAIQIYQSINTQFN EDDPVLQYDYLSAYLDFYIGYPNFDRARSICEKYLSYPVIEWRNLFYEVMNLLAEFDGDE DKISERQTDENKQKNQDQANKEEQLEFVVENDQIKITYANIHSISIEHYLIDLEVIFSKN PFLSDQNYNNYTYTKPIHSSKHPLKFTQTQQQLTILFPDNLKHKNQIIQIKGDTKIVSHH YIETKLQINITENSGQLRISNQEGKYLEKIYIKTFVKKNDGQNQFYKDGYTDLRGRYDYA TLDTQSLEKVSKFAVLIVSNDLGSMIKEINPPSQVGTYGREVKLQSKLWTGKAQKEQEKQ EIIYKSKSQCKK >CAK89613 pep:novel supercontig:GCA_000165425.1:CT868655:271203:271782:1 gene:GSPATT00022785001 transcript:CAK89613 MQQLHTKLRASSVQEQGEQRSKLPNILTPTFADWKIPSDARAHPKISRRNPLFKFGLIPP KKATTPSSQTHQNPHDFRGLKSEEQSQRIKEIIVEDRVSTTETNNLHQFESEKKYFQLRN RSISGPKHSNQLLIECKQLFDNQKKAFEEILKQNSLYNKYSKVIDKEQNITNQKIMQIFN LYK >CAK89614 pep:novel supercontig:GCA_000165425.1:CT868655:272522:273174:-1 gene:GSPATT00022786001 transcript:CAK89614 MFPVKLIDLQLSPSRSECKDFSTNISENDLDYDTFKMDQVHKPPQIKKSSSYPRNYQKQQ LIEESNIDGDLIIQECNRFIQDSQVSVTQLLQELALDQPKKNRSAGFLKFFDENKNYGFI VMDSDGSDIFVYADDLAKTGISKEYLRTAKFGNCIRFTFTCMEYYGKYNKSRKAIDLEYQ KPGMFPQSLY >CAK89615 pep:novel supercontig:GCA_000165425.1:CT868655:273533:275090:-1 gene:GSPATT00022787001 transcript:CAK89615 MFEDSLYEVQCSIHGNNLKIYLDADKTETFDSNALNNSQFFQVAELRAVLPYTCPLKCIN SFNKFVQHLILPFVAFNDNQIKLSHAPTGIFSEQNKFWIFEEECQITVVAIEQFILRLII TGQQQQGWIDMQFDQASLDLFFDSYFNEEYEKESIQQIMRVINQTQQMQPTSKKVTHQVP SYVIKNKIRFIQFLESLKQNLENELANIFQIDDLGGFFNLLNGQQIQMPNNALANLKMCC IKITEMATYQRIIIIADNSQDNCFEILLQNCYDTYAPRSAKVKALGQDQFDYYDVNRTFG LLISVMDYADIQLLCYLLTQMFDLNTFAKELDLDDYDRYKENSIMKKEVNSECCYRFFIS MSVLLIEASKYRIPVTISYLGINDKPIGVRLQVFDEVNLSENGMFFTITAGVWDKQKKET INGSQKKRKPPKYIQCNKMTTDYHLNFILQSIGWQVIFESVSINQDNLQASRILNDNKTT LVDRLENILNIIIKN >CAK89616 pep:novel supercontig:GCA_000165425.1:CT868655:275169:276654:-1 gene:GSPATT00022788001 transcript:CAK89616 MNLIEFMNDYVYNKSQLDISIMKQYINFRNIQQINLEEFGLALTQMISFLSEEGNSFFIS LVGDIQDLIHMSIHMAKHSPNVILITQALNTLTVLLFNSNLIDLSKRLAKFNLKHYLNEQ PQLFLITHLNLARIHYCQFNYNKCFRLVERALIKYEPYIFKEMKTNTPKLQKNPSDIILL LNGYLFYGKCVQQLQKQQEMLDIDYDKFFHNGQKICRKYLNSNHYLVSYFTQQRSVSISR KSIMIKQKGKSIKVADAKRISASCNILMRQIKLQTQNSIKEQQQIKAQSESAKIDMGESG TKSSERMIKQFRVHSPRQQPIDIQNIIQTKFDHFVQTFSNKKTEPKINALEKRISELQKE NLKMQQQRLEKEQEINELKQKIEELGNESNQIKLKYEEQQQQNVIHDRVSFGNVIPQQFE QPQQNLQFLPPPKQINRQSTTEIRSLIQTLSQGQIEDTVKVLKIPDINLSSSFNDDMEIT IIDCNS >CAK89617 pep:novel supercontig:GCA_000165425.1:CT868655:276725:278878:1 gene:GSPATT00022789001 transcript:CAK89617 MQNPLQQFLTILATREEVIFMIRILTHQSLEDGRNLREYQYEIMRGERKREELETQVQHK CLKCLLVIQLQPNLHIEKYSSPKSFRNSTKSKPIIPTKPKQSTLNLSDLLPQTRIINRIG EHRLAQSKECHNQHATGEFGFHPQILEQRVEDEDETRTQSGIAMLYSREERCNRNEKFFS EPLLMGNFGMNYINKLHQKYYLLASYRNWKNVYDVLMLDDTTKQLYLQGAGFKSVIGSIN KQKKSNDAPQVYVPIETTQEIITTRYEKLLKDLMDMNHHVSKSIMKYNALHQRRKKNKES QNRIKITTTRHSQQDKILNLSDFGKFSAPSSRYSRSRQLKTQLSCLQSPSEPHIKQYESF DQQMHQLKFMKYFKEASFSLKQQFGYTPEEQALKLFDQAYHYDSSILPINLLDQIAELSF QMEHYPKIINQIVLQLSTIGISSNVILKTLIITDYLLKYGCSGIIDDLKVRIYMFRNYQD FKIDFQEPIYITIRQKAGNIANQLSNRKLLYKEKEIARQMKLKIQINKQKTQSLSHQDYK QTTTTMDDILENYIFKYMDKFNDKLDGWGDQINDKLDQIIDNIKINTTYERDENGYYFPE SCMDEITITNTPIEIQESKNQEQKITNNHKKDLLEFENNDIQIQQQPKQEINLLD >CAK89618 pep:novel supercontig:GCA_000165425.1:CT868655:279376:279840:-1 gene:GSPATT00022790001 transcript:CAK89618 MGICITKPNTKNPQSLIRLIKVENENQNSKPTTLPELYDKILEIWMMLEKKLNKLVNCQR TENILELKKQIRNILNQQKSNAAASVSEVTIGIIVNHMEKIAFEIEELIREDLLNEDNSN KFKQFYTQILELSLEYYNWKNIQNADVTQVQSFI >CAK89619 pep:novel supercontig:GCA_000165425.1:CT868655:279967:281861:-1 gene:GSPATT00022791001 transcript:CAK89619 MNRTIRLNQRVPYSLNQSMTNGLPVLNQDNSNFCTSQFYRRRISQKYQDSPSNQILTGRV QLPFQKDVEQLKEEIMSLKKENQKLKHQNQQLCFQIKLDEKEQIEKRTTQEVSDDQINSN QSQRLAEKLKQARLLLQEKQIEIDNLKKSTRYMRLQEMENELCTTKLKFEFLNKQFNEIL RTESDVNKFQKLTVQTIELEEQLRQFQNSHQKQKKKILGLRQELLTCQAMKEKYKKSYEQ AQADFEKFKKDHEQEIQKKNKYKETIENLNQQLADKQAIIQSLQNDLDKQRMLVSQKQRQ FKDLEDQYQKKKSWLTNKREVFTNFQEILDDKVNKNNIVITDDEMISSVKLGSDSKIVID DDIKEKSQIIPNRISVESAKEEYKPSQVISSIQETEQVKQKLPRVNFCDVENIGKILKYQ LLSEKIPIEEIHTFFDQKQEITIAELVDILSAYPFNIQDNKQALLLARYLIEDNSQQFVD YRPSQVNDVCVIKSVLKNVIGKYTLMNEEQQQQIMQSIAQQIWKFRQMVVEQIKIIIQKK TNSQFCEFCDENDFKGGLQSSNIILDEKQKEFLGQYIFKEFNGNKLFDYKGLIDKFGFAL QLNSPSKVEIKQPQ >CAK89620 pep:novel supercontig:GCA_000165425.1:CT868655:282063:284850:-1 gene:GSPATT00022792001 transcript:CAK89620 MKIGLQQRFTICNLIASILFLIFGLTQHINTLDSGLFIVVDLIFSLTFLLLDCKQKFICL TGDYYLALTQLVMQIVQVEMTIITQQKGIFIFIVLKLLALTKVLRVQMLQKLIYFIVLTY SIIRFNEYSYPISYLGLIFTPILILQEQSDESDLLRLFKEILPLPLLVIDKSSRKPIYHT KALENEYKYNTTHSEEFIECLNHFFSDNKLTLQNLFDNSKDSLYNPQNRFRSIFTEIMDN CQYLASSPLFQEFRNIGEVNNDIEDQMEEQKKQEFDQHPEIMIETPKYQQFNRIPIQLSE ESPKNKKSKIMEELLIQSQSLKHKCVSELKKKRLKLFFNHCFWNKNEAFLLIFQNKEIEK SLTQIQQQLSEQKQICENKDLILASVFHDFKTPINGIVTILETLESKQDLNPEEKYFLSI IRKNVYLMLYMIYDIQDYARIEKNQLRLCPSDFYINELIDEVIETIAISAEQKGVEIKTC YDIPFYQVHSDPNRIKQIIMNIISNSLKFTEKGSITITVQSLNTDKSLNIKRNNSSKNIS FQNSNQSISQIRKSLQGRHPSIAFNKLVYTISIEDTGCGIADSVKPKLFNLFATFSSQKI ENKNGTGIGLMVCKKLVSLLGPSDTIDLQSEVNVGTKMTFQIYAKLQDNPNRTQNYVSCF KQENSSQHLSNFQNEESPYSKDKPYQQSLFVRSSYVRLYTKPLEKAETEMYEPSLEDADH TKSKNILQLQSLSQKTSLQRCLQSQDPLEVQDPKVLIQQLVQSQKFGILIVDDQTFNVIA FKMLLQNLIPQADIIEAFNGQQAILKLQEHQKSLNIKYVFMDLQMPILNGWQAAEKIRKM INNKEIASIKLIALSGFDDESQQEKCEKLGFDAFLSKPIRIEMISEVFYQLEKSFD >CAK89621 pep:novel supercontig:GCA_000165425.1:CT868655:285793:285972:-1 gene:GSPATT00022793001 transcript:CAK89621 MVEQLFSNFLGFYKPNFKMFTSNTKFREKQMIQQPKKRQHQRNQISIRLNKMAENFTQK >CAK89622 pep:novel supercontig:GCA_000165425.1:CT868655:286934:287921:1 gene:GSPATT00022794001 transcript:CAK89622 MQNFSKEEKLGEGTYGIVTRAFDKKRGKVVAIKKLKLDNCDDEGVPSTTIREIAILQKLK HANIINLLEVKYFMQEKKILLIFESMQCDLRKYLDKNPTLSLNTIKLIVYQILLGLSFCH SRRVLHRDLKPQNILLNETMTLKLADFGLSRVFPFPMPKFTKEIATLWYRAPELMLGDDN YGTGVDIWAVGCIMAECLIGRPLLTGDSQVDMLFKMMELLGTPTDNSYVGLSKLPHFKVT FPKFQGKDLMEVIPVLEHDRKALEILQSMLQFNPGKRPQAKELLKHNWFDDIRNNY >CAK89623 pep:novel supercontig:GCA_000165425.1:CT868655:287947:289068:-1 gene:GSPATT00022795001 transcript:CAK89623 MKIAILVILSLSLVFATKFSSKYHTTAELNEELESLSRSCSFLSLSNASDSPLIKEVNIN SNQNKKYRAYILFGEHPRELISPESGIHFLNDLCFEKTDPKNKQILDDFELRLILNANPL SRQKVEGGEYCLRENENGVDINRNYDAHWEKVQDDVRQVTSGPNPFSEPETRAVRDSLKS FNPDIFLTVHSGTLGMFTPHAYSTDAAEQNEEKMMDILNDISGKYCPSCDVGVASQAIGY LAPGSCVDYAYDELKIRYSFAFEIYHGSINLEEQLKSRTHSSFLQLTGQQQQSAKNKKFE HNKNHSCFLQTSSKYDMSKEECFDYFNPDSSQYDWYVQNWTEAYQEMLLKLVQEEK >CAK89624 pep:novel supercontig:GCA_000165425.1:CT868655:289099:290143:-1 gene:GSPATT00022796001 transcript:CAK89624 MKTTIQQLEEEIQGLKGQIKMWEEKYEEQERIHNREIVQLKCNLDNSIFEDQHCDGNELQ VIQEETEFYQKSLGQSLLAPSKIETNNLLKILDEIDMDDTIDLQCDQETFIVQKVTKLVE MIKKMELELDDWKRNYWILEKKLNQILLQQQDKLLKQGSEEIEIEFDFDKKKIITKNQGN KTSKHNDEMQVLLEEIKRLKQLNAELIQQQKSMTKQMLEQLIIKQMKSDPKNRCLTQVSE PGVKQQPVIRNNNSQHYKQLNKSPHVEPRNHYFSQYFQAQQHHQQLSMKVQPQTNNLNPY QSNHSYEQPKTSTPTMYATPVMMKYTNGSPFNQANKILQF >CAK89625 pep:novel supercontig:GCA_000165425.1:CT868655:290682:291155:-1 gene:GSPATT00022797001 transcript:CAK89625 MSITRQNHRCMTSFKTMGFRSDYRQFSLSDPRRIEMIVKQFSLPYQPKGASLSKIKKKVE IQKYCNNDLRKRSITLEAVQSDRVQRQEEQSSNNESACHDKGTYMLMPQVQTQRQIKPSL QSQFLTKILNESNHKKTQQPKFILKKNQVNVLTIQNK >CAK89626 pep:novel supercontig:GCA_000165425.1:CT868655:291179:293040:-1 gene:GSPATT00022798001 transcript:CAK89626 MKFKVQVEEFVFYINVGQGLNDFAWFALAAAKLYSNKKNPDSNYLPCYLQFRVPGTDMFL TPPPRAKIFDYLKEDEEGKETLVQVEIRKGQGWIMTDQQESIINTTILEWYDEAFGKMRN QFTATFQLAPLNQKVVNRQEPTYLIQYEYKMFPEVMHEFDTKNYPSKDKIIMKEIKAGDS FKKYFAEITLPLGQFTFQFYGQTITEKEGQEPQQSIFALDMKNFDHTARLNPEIQKKIME YALQKEKELQQLQIQKREDSQKNKQSAAEECPYKFEKLWTIIQKNAEGNLELKDLEENFY NKIAAYMDDKLPLLYEVFRQYAILYNTDHAKKDEISISFQDVMHFLKFYGLVQDSQELFS FVETYDKQGTKSKDVRKSLELSVKFQEFFVIIVELAQFKKTNNLSEVESQDQLVMKIVDK IIEINSDEKEFNNFQQHMKYNEILVNFIREIQDQMQNIFIKRFSQGNDDNSDIKFHIRQE EIKQLIQDSGYKGDNLDGIIKTAYKELFHDEKFNGFEGLFYYEFIQVMQWLALVLIQNDE RNQQDEAEEVTTLDQLQEQLRYFLQCIEK >CAK89627 pep:novel supercontig:GCA_000165425.1:CT868655:293058:293814:1 gene:GSPATT00022799001 transcript:CAK89627 MGICTNKQYAQASEPPQNHRQNRLYLNYQQLANINHPLNVNHKYNNYFQIIPDTTAGKGI KMTNQYTSRLSREEWLEKRKEFWESRVEGEKVYWQSIQKAIEEHDEVNAQAILNACDLKL VNNSIQLLYDNSMHKYDVPVFMINEPQSFPSTKLCDAGLIQDFQETELKIKVRSNKLPQD FEVLTHTNQKHSLN >CAK89628 pep:novel supercontig:GCA_000165425.1:CT868655:293910:295168:1 gene:GSPATT00022800001 transcript:CAK89628 MALIHNQNDIQNMFSKAGKITSEKYIVFQRKDEIINNRPRYFKTKSQSVASPEEVNLQPE KKVPDLANKKQVQITEAELIQHKNQLKLSQPFSKQLSRQVGHYTKLSNDSKPSCGQYHVK YSELDKAVHSIHDYDKSLKLTSPRLVPGKLGQPESIDKPIVDRPRYSRTCFTDLTRQTQR PDIFFGRPTPHPDRFTLLSVTDSWSKIPRTPNVQLDKQLSREQMIIYKKKQFAPDYHPNF EFGRKQLGSCGAAFDKLEKRKDIMTKIPPYNNEAYFEFDVYSKEPQSQLFRNPTAPNFEK MLERECDGKSLLPSFMQKYTNTRMGIAHLNQKMLEVNNFRDGRFQTVTSSFMPSKLKRKQ KLDESSEEIEQLEDQQ >CAK89629 pep:novel supercontig:GCA_000165425.1:CT868655:295832:297031:1 gene:GSPATT00022801001 transcript:CAK89629 MLEYTGQTKANSEGVHTVVDSDLESCLRRPKSASEQDYRKLFEITKHCFNQKTPQATCDE IPEFDSQDDSFLLRPSLCTNKSSRQSYEIVKEEKQSEKELITPLNEKDKHLIVKSDQGLC KLQGIKMKSGGFYKGYWYRRKPHHMGEYLFADQSRYLGDWNNGYASGRGEYFDADGGHYQ GEFYQNCMQGTGVYKYADGTIYNGMVYPYHQGQWMNDKYHGVGIETKNDSQYKGKFQNGL KHGQGTMVFFNQEKYQGSFVNGLFEGKGVLIWPDGRRYEGDWQKGVMHGQGMLQWPDGRL YIGQYENDKRQGFGTFQYPDGRKYAGYWMNGLQHGSGEFTEYHGQIMKGVWREGKLFSHY >CAK89630 pep:novel supercontig:GCA_000165425.1:CT868655:297037:298461:-1 gene:GSPATT00022802001 transcript:CAK89630 MSQLRFDGKVVVITGAGNGLGKEYALFFGKRGAKVVVNDLGGSMKGTGASSSAADKVVDE IKAAGGVAVANYDSVEFGEKVIDTAIKAFGKIDILINNAGILRDVSFEKMKDEDWDLIYR VHLKGTYSCTKAAWPYMREQKYGRIINTSSASGVYGVFGQTNYCAAKMGIHGLTLALARE GLKRNILVNSICPVAASRLTETVMSKELLANLKPEFVVPLVGVLSHDDSKETGAIYELGA GYISKLRWQRNQGYFFDTPFTPEDVRDKWEQVAGFGDNVCYPQTSSEIFEIFFNKEDFIK QQKEGKTTSAQTQQTTQAPSKRIFGLMKAFLDRGEGKDLIPKIQGVFNFEIITQKGGPVI KSWVIDLKNGQGAIKEGKEQADATFTMIDDDFEKVCLGKLQANEAFLKGQMKIKGNMKKA TLFTPSLFPAPTPDNFAKYSQPKL >CAK89631 pep:novel supercontig:GCA_000165425.1:CT868655:299018:299804:1 gene:GSPATT00022803001 transcript:CAK89631 MSYDTALTVFSPDGQLFQVEYAMEAVKRGLCCVGVRGKDVIVLGVEKKATSKLQNVKTIK KVYQLDNNLCMTFSGLNADARILANQTRLQCQQYKIYYEDDPSVDYIAKFTSQQQQKFTQ RGGARPYGISTLIAGFDNQNKPKLFQTDPSGACSEWKATSLGKSAKQVKDFLEKHWREGL HEKDALLLTTKALMDVVESGNKNIELCVIRKNTCWFLSETEVEELTKITAQIQ >CAK89632 pep:novel supercontig:GCA_000165425.1:CT868655:299951:301548:1 gene:GSPATT00022804001 transcript:CAK89632 MKQELWDDLHLANEKSITKILEAGEQVLFSSLLYKFNEVNKRQERTLLITTHNLYNLSKL TVKRKIPIKRVYGITIGLIGTEFVVHVPGEYDYRYSSSERRDQAVLSIIKAYCLQHRGTA LPVFYKDELTLTAYTTTKVDKRKGINRLPTTGSELMNEEQFRNRIDSQTEERLQTRAKTS TLYAKQKGEAVTIDEFDLIKVLGRGAYGKVMLVEKKSDKQYYAMKSIRKEDVADPEQLEH TKTERLVLEHVNHPFLVNLHWAFQTPEKLFFVTQFMKGGELFQHLKHVKRFDESRTRFYV SEIVLALEHLHQKNIIYRDLKPENVLLDEIGHICLTDFGMAKMLKKNELAKSFCGTPEYL SPEILLEIGHSQSADWWALGILTYEMLYALPPFYNKNQDMMFKQIQTKEITFPTTPPLSN EAKDFIYKLTIKDPKQRLGSGKIDEVKNHPWFKGINWEKLLKKEIETPFKPQIQGEAWID NFDKQFTIEEAINSYAPENNLVNQDEFREFDYYQK >CAK89633 pep:novel supercontig:GCA_000165425.1:CT868655:301578:303157:-1 gene:GSPATT00022805001 transcript:CAK89633 MKNEAFYQNTFIGGIQMKMNSILKRGDIQTKSKPKIYNNTGLPPLLSPRIKIDPSMTTKI TQTFRSPSTLKKLSIEKKEDNRPVTDNTQKQKSYSSVKKSKVQGMIIRKLQNHQVKFIKS NTESQEMIENKFQSPAIRMIEFPINDFQDDTIIKEETVSQIESFMENRFTAMKNQSFSSS QKQAIESSSSNKIVNDSSTYSQFNFSTQNPSFTEGDMIGAGSFGQVYIAQENKTGKIYAV KKINLKGDFEQEDLQGLKSEIDLLKRIKHKNIIRYAWSQQNEEYWLLYLEYMSQGTLTQL TEKFGPLNINTVRTYSEQLLSAIAYLHDNNIIHRDIKGANVLLGVNGEVKLGDFGCSKIK EKTISRSKQGGDILHSLKGSIPYMAPEVASQDENCRASDIWSFGCTVLEMATGKKPWHEH NFDNPLSALLLIISENALPRIPEDLDEVLSQFIRLCLQRDHLLRPTAQELLQHQFIIKK >CAK89634 pep:novel supercontig:GCA_000165425.1:CT868655:303963:304607:1 gene:GSPATT00022806001 transcript:CAK89634 MGTCAQCNSKIEIVESDPLISKQSVFQKLKELNQNTKSTIFLTMRSNKKIDNENQGDQSF LVSEVNDEQSDVKNIHGSVKIRQRKYSFQEQQIQQVHFHINDQNDSAKVRCFRFTDPNIP RNLSNSSSSNSQFKEFNAHFKKSDKQIIESDESKSIKSILKKEPRQKSETKSVRFAKNIS TSQTRIKSKSCHHKQHKKKKKIQNQIQRYEHYQF >CAK89635 pep:novel supercontig:GCA_000165425.1:CT868655:305242:305665:1 gene:GSPATT00022807001 transcript:CAK89635 MFVCCGKKKKDRKHLSLGNLNQLNLICQDGLYFDRNLKIITEYNKTNVSEIGNKECKYYC PICFKYYDCMLRSTCCSNYVCHICAVQSLTNKMYNCHYCRNEHCKYVDVDPNQQLKVYTD SHYKL >CAK89636 pep:novel supercontig:GCA_000165425.1:CT868655:305693:307672:-1 gene:GSPATT00022808001 transcript:CAK89636 MERVRRVDINEENVGNKIFHIMLNIKLIMAYCVNKLIKMNNCHIKFHHIDVVYQLTLSLI CSKIKKIFMLTQTISRAFSQVVLKANKNQARELGEYAIEFMKNGNPSKKVLERCRLFHTD SVFCGVSALALKTNAPTILAQESQNNWQPRLEQPQKPKPGFAKVFGSNLWVPATNAIVAN SAAVREWDSNGTVFGYDASSPARRAGEFGHNDYYPVVIAAAQQNETIDGKTALKAMVLSD EIRGRLAEVFSLKTYKIDHVVHGAIASICTYGALLGATPAQIESAIGMFVAHYIPFRAIR AGHQLSDSKGASAAISTEAAIKCLQRSMNGFVGPADIFRNPEAIFRLFQKTKGDSPFDIT LSLSGDDYAVMGMHFKLGLYEHQSAGALEGLQKLILDTKFVQNYSIDSIEKIKVTAYEPA FGIIGDPAKRDPHTRQSADHSMVYILGTMLRKAFTEKSFHQLLSSTNDLNEIWKALFLSP FDYSHAAVRNQDTRKLMALTEFEHGGKEYDDKYPEGIPTRVQILLKDGKNLDSQFVMFPS GHARNANCDLTGILQNKFKQLGRIAIKDSKSQDQFYQQLNSIDTLSNKELQTIYNCEINY ASKSIDE >CAK89637 pep:novel supercontig:GCA_000165425.1:CT868655:308665:310221:1 gene:GSPATT00022809001 transcript:CAK89637 MYQNDEQVLDSFSQFGIILGSNITYESATDQPCYIINQKLKNKQSEEIVLVPQFKEDVFS NFYNDGQLNGDINFIVQIVQISTGAIVLTNQGELIYLKLVENRFKLVESKMLSINKEQYL KPVYMQYIQKSNELLIILNGETLKLKMEFESESVFGEVLKIYDVFLTEQIKSVAFIEDLL FVASGEQGLHVYLVSKRVFEQIQCTIEFRNITDLRVFQENSVYFIFALDYETGVKVITFN AKTSLFYENKKLTNIPFRGEIIDLYQDVLMVVEEQENESIIHELQISYANSTWKLVHEHQ AQKYIQDIEMTENYAIIIGRNGHEIIYHSLPSYDIKIQDKIIIPGLQKLFFLNQTESNNI QMFGVTRHKFFTTRLQLFPQFISCFYSNEEDEIRFTYHQNSTKCPGSSQSRLCHFKQNYT IQFVHPVLTSEQSIYVYITCLGIIAIFVMVLGLLIKEFKRYQYFVRLHNSKDVYHQQSGS QAQPTHDIYALNSFDSPLKVNKHIYVPSIPVQNDQKIE >CAK89638 pep:novel supercontig:GCA_000165425.1:CT868655:310250:311991:1 gene:GSPATT00022810001 transcript:CAK89638 MLIILQLLVYQIYSESIYSESIQILTKQEAILDVFSSSEVILEQHLNDQKLCQIVPQQLV AHDIDDNSITLIDSYKDEIFSQNFEIGSFIGSIGRIIRFVQITNGVLVLLDDAELKYLSY RNNSFQVKSSFKIEIEKFALEGKVFLEYFYYQQQVLLIANTQTIALDLIYDDDDLYIKQY RIYEQWQISHINSIATVGNIMIVAMDTGIKMFELKDHMLNEILIAIDQINRVSDIKIFNQ KNNEIYYIYLLDKIQGINQYIFNTVTQELQRNLNLGIIPYPGEILDIHGDILMVVKDYNL FEIHVDYYLSQFTLFKQHQLETEIVDIELTEQFAIVIGRNGHQIVFHSIPPIYSQFEWMN QLVIPNLKQLSILSFNKDTQLKEAISKNIIIGITQHKFFYSKVQLEQSFIQCYSDEATSK IELHYTHKATRCKTNLKKKECNLDKSYQIQFVEPKEFGGNKQTYFLIVLLYLAILLLFIL FIASLIYLYKKYHVEPQPKFHQKIPETTAQNLGTDHPNNYQLATQNKFVPHSRDDQTPIS EQRDQMNQKLHNNRPVTQENCDQSPGPFEIG >CAK89639 pep:novel supercontig:GCA_000165425.1:CT868655:312063:317317:1 gene:GSPATT00022811001 transcript:CAK89639 MSTTLKALGVEVLSFTKSRQEEAVHTQSSLRITPFLRIDSETFDWSTIIAKPELISIKGK KHESYVNAETMEEDLLQGEAQWPYAENSLYLFSSLSPFRLSLLQTLSSQKLVNFMRLITI INVAAVCAYDYQSRIESEDYERNRICTTLEIVCNTLFGLECLGQIIVQGFVFGKNTYLKS WWNIANFATFISTWAILGHINSSNQLVHILRVIRIFRALRLIQDSKTLKKQLDAFIGSFQ RLGPILVPLLFVVLYYSIIGLHLFMGVTEYRCRETPEPEDGKWIAVEDIKHLCGIWDCPE DTYCGSLADHGLPRDLQENRYEQFGFGFIRFDDFFYSLFVVFTFLTIIGWSGTTFMFWRA MTTYVTAFYFVSLIFILAYLLSNLLLASFYESFMVLSSIKQNKNSDNEQEALENEIKKKK QQQMMTRLQQLNDQKNNQKRVKKRKYEVSLYYEEEEQENDILGEKFKKFQHFSNLIVKSN LFNYLSCSMILISTIVIISDHHSISDEIYENLLIVDFICIIYFIVELIITIWGIGMEQFK EIIDIFDTLVILSQFILIVVLFFLDENVIINYNNYVNFVKALKMLRLMKFLYIARIFYSI SVLARCLVQTLLKIKDLIFLFVFLIIITSLFGQELLAYKVRFEELPNGELEISHSNEGIS APINYEGFGNALMAATNVFYNEEWHITMFIHAQKIWVSILYHIISILMGQVLFVRLFLAV FLNEFCQQLKKIEQEIKPINFLLHGKKVLNFLVQFIKKRRRSKIHSQAQLQQQINPQPRS SANPARVSMFHNLNGIKLQRLPESTHNELLDQKVQTPSNIFCTLIGLQLANKESMIDPLK KEDKPTEKNTDKQNHPEEDHIQDHNIDDHQHEEDVDHDSSQEDHLKSPDEPSHPVQGKNI NKRTQQKSIRKTNSERTLFIFAPESDFRQLVTTLVTNIYFRIFNFTLILLTCIRIALLSP LEDPNSDLSYVLQIGYIFLTVFYILVILLNCIAFGLYKTEKSFFRQSVYNIFSFAITIVD LITLIFDIQHPLSKFITSLRILQFIQIGAVFSQNIAYAQASLLNAFTQMIQLAIFCIIIL SIYGIFALKILKGTMYYCDAYDAENKEHIKTSTDCYDYGGSWINQILTFDTIFSSILTLF CVATSENWIPLQIQAWNAVGINRQPISSNNRTFSVYFQIFFFLGFLCLLNMFIGLIVNAY QEAKMKAQNLHLLDETQREWFQIKMQIYTMKPLVKSQKPENALRKLLFFMVKQKYFKIFW LVIIFRADENYKNALDVINDIFVSIFAFEILCRFFAKEKHLYFKDISNIVDIIAIWWAIA NLFIKNKDDYNFYFKRISNAISVAYQLQRNYRIVKRFNNLEKLFSSIFSVIPNALSMLFI MFIFLFIYTTLGIDMFAFIRTQTSLNGWDQHFRKFSTAMFALIKVASSESWWTIMIDTLH EQSPNYACNYMSTYEDFLVYGFNGCGTPYAYLYFISFHIIFSLMILNLLIASVLVAYEEH VKSEESAVSKYQLNDVLSLWRNYDPEGKGFINYKDFWKLSSEIAIIFGVAQDDLLDVNNK KNFLKVLNIPIYESKESNMLCYKFHDVILSLTKISVTLKYGVTNLEPTDKIIQQKLLAQL GDLQRKDLMNQFTPTSFNSGDMVAIIYIQKKFRLWKKRVQVLREGGDVKAIYNEMSDLKN MIKKQLELEEQQEKQN >CAK89640 pep:novel supercontig:GCA_000165425.1:CT868655:317408:317569:-1 gene:GSPATT00022812001 transcript:CAK89640 MTVSLIDFKRCKGLAEQSIFLIATNNISMNSNTLLVFLSIAFQMTPPETFLIF >CAK89641 pep:novel supercontig:GCA_000165425.1:CT868655:317602:318538:1 gene:GSPATT00022813001 transcript:CAK89641 MKVLQVINKGNDQYTIYDFVDMDLISLIKVKILQTKHIQIILCNIVTALYFIHSGGLVHL SLTPSKILVDSECQIKLIGFGFSQLLPIKDPKYTCLHYLPPEILLQGKVNTSADIWSLGC IFGEMLCHHPLFVGNSTFNQIEKIVELIGKPNDTNLSELAPYVLQGIQPDRKKAFTSISD DSTAIDLLKRMLVFEPQERITLIDILKHPYLSEFANKWELKKAIAPFQIEENSFKEFQNV LRERGKKVEQPSINNSFNQQLDTSRKKSFRESTPISALKQKTSTKIKVNSPIQSPKYIEV ILN >CAK89642 pep:novel supercontig:GCA_000165425.1:CT868655:318561:319136:-1 gene:GSPATT00022814001 transcript:CAK89642 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK89643 pep:novel supercontig:GCA_000165425.1:CT868655:320034:321071:1 gene:GSPATT00022815001 transcript:CAK89643 MEKAILTLGLALLLGTLYNLNQSPSVDLKLKYNEYKLTYNKQYTAAEDQYRFQVYLKTLE EVALKNARLGRQVYGETQFADITDEEFREQYLTLKYSPEEYANVPRESFSHITADPKEVD WRNLGAVTPVKNQLKCGSCWTFSTTGVLEGFFKVTTGDLPSLSEQQLIDCSTTVDLNFGC NGGMPQRALNFVKRNGLTTGDKYPYQGVQGEQCLVKGGLYKVNGSKVLDISEWALYQALQ VQPVSIGVDAGTWKNYKPAEREVFNFDECGDNLNHAVLAVGFTPTATIVKNSWANTWGSS GYIYLERGKNTCGLWNTMVVPI >CAK89644 pep:novel supercontig:GCA_000165425.1:CT868655:321118:321682:1 gene:GSPATT00022816001 transcript:CAK89644 MNQINPDQILSSEEIKAAKDAFEAYDKMGYGTLEVEELQKILEEFGHKPSKEELQQMIIQ VDVKNKGFIDFEDFKRAIAIYKIIEEDNEEDDTLDAFVAMGGNADKSGTVDATKLIQVRI IIIQIIKSDFKMTIDIERLINEMDRDKSGQISYQEFKNLLSD >CAK89645 pep:novel supercontig:GCA_000165425.1:CT868655:321789:322759:1 gene:GSPATT00022817001 transcript:CAK89645 MSKWLDLGLTCTQISFKTQQSVSYSPMNCVFELDGRKLYLGNINAANDSSYLRKHDVGAV LSVIDTSDIKLEKSVIHLWIAAEDCEKVQLIRYFDQASNFIQDNLRHTNVLVHCYAGISR SSSLIIAYLLKCQGYSLKEALTKLKCQRPQVDPNDGFLEQLKQYEEKLKQSKTRPNSSLN KSDSKYLSLSSSVEKQSSLPKTRQSISNFNIYSNLNSQVTKTNIRNLQLTTTAIPKAKSS FLEKSCSPSNINTQNNSGKTFFSNSKLEDPWKQYQKIKNQETTWNKSILGMKNIYSNHSR QHSLNH >CAK89646 pep:novel supercontig:GCA_000165425.1:CT868655:323752:324070:-1 gene:GSPATT00022818001 transcript:CAK89646 MSGDNSPVEEEHSPLMLPKIKQSRQTPHLNKANDKRKLRKGSIQEITDELLQWKYFLDHK FQHHD >CAK89647 pep:novel supercontig:GCA_000165425.1:CT868655:324628:325494:-1 gene:GSPATT00022819001 transcript:CAK89647 MSNFTTFRQESTVQERKQVTLPQTQYTTTYLKSERPDAYQFWHKKGKQNSYQSKVLSTHY QMQTAFNPGQSIQLGGCGSNIQCGSNAQSCCPPQQQAVVRLGVVSREEIEAPWRDEVLYL QKLIAELEKKKTVEVVKQMDNTRVHELEDENERLRLLIQQTQSETVTQRITEVNNEAEVW KRKFQEINHDYNETQEKLMNAEIELEALKKQKIVTSSTTVTKSVVKTSGSTVRQSVSGIK PPV >CAK89648 pep:novel supercontig:GCA_000165425.1:CT868655:326391:327741:-1 gene:GSPATT00022820001 transcript:CAK89648 MDAKIKVDNPVVEMDGDEMTRVIWAWIKEYLITPFLDIPIKYYDLGMENRDQTDDKVTVE CAKAIQECKVGIKCATITPDEARVKEFNLKYMWKSPNGTIRNILNGTVFREPILIKNIPR LVPGWTKPIIIGRHAFGDQYKATDLRFQKEGKFEVLFTPKDGSEPQRVEVFDFQGTGGVA MAMYNTDESITAFAHACFQHAIELGYPLYLSTKNTILKVYDGRFKDIFQHLYDTKYKADF DAKKIWYEHRLIDDMVAYMIKSEGGFVWACKNYDGDVQSDTLAQGFGSLGLMTSVLVSPD GCVEAEAAHGTVTRHYRLHQQGKETSTNSIASIYAWTRGLLHRAKLDNNKELERFCNTLE ASIIEAVEKGFMTKDLAICVHNTMNVPRDQYLNTLEFIQKVAEVLKTNLAK >CAK89649 pep:novel supercontig:GCA_000165425.1:CT868655:328270:329057:1 gene:GSPATT00022821001 transcript:CAK89649 MLNSKFTVKREPLKERFLQITNKLNNLDIKQGTSKAHRIDKLNERSYKIDERIRAIKENY NKQVLQLKEQAQELSDQIDQERQEFKQQHNQYSQKLSNLEKSSYKQLQIEQSERVDGINQ LQSYINEKITFIKSDLTIESKLREEQIDELQRGLENQLPKINQQIKSETEDREIFDKQIL KKSSVEMNKLSNQLTDISRQTLEQENEIIGMLQDLIIRTKGDLKQLSEERQEKEEEIVNL IEKTCDRMVQASLI >CAK89650 pep:novel supercontig:GCA_000165425.1:CT868655:329444:332719:-1 gene:GSPATT00022822001 transcript:CAK89650 MNISLSEFEDEEFNDLYENFSESAQQLTTAPDEIMYIDDLVLGVHITYDDVLKLTNDGKM NFKSMIYFINYLQKRSKTVHQYYLAYQRQMLDLVEQLNPLLRKNQQKGDPINYILIFYWN DRWVTGIYNLKTTILDIVDLQKNKTKDDLKKAYEQLLKKTGLAKVSFKTVNYVYQEDKTT DGGMYIINYLYQTIVKGQSFVKLQPNDKVKLKSQLLWLFFRGEKSQHVDYPLLPALVQWN ENEIKQYQNTNLEPFLTGYWTGIEVQQADFELLQKSGKSKPHMIAFWLSYLYYFDLQSKT PLIPFFVVANGDEICEEFEVIFKPRRRQGAENQRLIILQYIKNTRWVFAIYHMIQKTLDI IDLQNKNVTKDELKNSFDKVISSLVTYSNPTPNYILQSYTDSTDGTEYVINWLWQYTQAI LPDKIKFGFSEKRQLRQKLVWALIKMQQTVVSSQKSIQIASKRKITIPQIAQTPQAQSQQ NEVQNTPSQGGANRFGRFRNNTNTNNDTPQQKSNKNIEEQTPQQKKTFVSSFRNRSQIAQ QQSPMNSPQKSVASDQKSEQQEPISKKSSLFSKSKPQSDQSPKSQTSETSIQLAKPTSRT FTGKKTVLQSGTKVGTIKNSSALHKQEPIIETQRSEEYDEDEFSDLFNKKKKSIDNPQQY MDNQDRLAKIQEELNEYYHELINDDVDKDLEIQKLQEVFRLNGKIKKYLEQRKKMTIDEV LDTVQSKSTNTQSVVYSSNLQNYSTILHDDQSILRMINDMIQGEENEESLQDTLLRRQDY VQKQMEQMDVSTPNVDYEFPKLKEESTLKNQSINLPTIRRQTSEFFIKSNIAAKRYGMKL EVPMMQQFYRDGRVNNLIFNFLLKFFEEKSYHQRKNNNVFHHYSTNVKLFPTQFYQTLCF NLIHSTFRINYFEANQFTFEYTGQDETIFDIFDWLVVPIVEYPTEYSIVFVNLKRKTCYC YLLSSLSLRIKDPQYINPEKNPYMKNVISFLQYEFEIKLKKPREELGRFSYQFGVVNDAN SFGQSLNYDYSGLYALYIILHLVKHGHNSEIVVDQYQIQVLKKIFHDLIVKIGYNEDDNL FHLLEENQFPF >CAK89651 pep:novel supercontig:GCA_000165425.1:CT868655:333368:333685:1 gene:GSPATT00022823001 transcript:CAK89651 MISEFDFNYYPLQLYDLQIPLTSQLQFENFNENDVSARKLRVSSQCLILKKYEILLQTSR KIRRKQKRPSLLKIDETARNVKIYNLGPSQTNLECTNDEQKQIND >CAK89652 pep:novel supercontig:GCA_000165425.1:CT868655:333829:335687:1 gene:GSPATT00022824001 transcript:CAK89652 MNQQNIIEFFPHLHEHFQSILFNLYRNETLQKYHSIVQQIKTKFPLPEQMPQFIYPNVEQ LTEFFLQNYQPIILDLASLYQKPNVKIKLFKNAVYFGEIYQEMRQGQGVLIKENNQIYEG YFANDKKDGIGFEILKGDTFYHGHYVQGFPHGEGVYKSLSHSYIGQWQHGKKSGTGWCVG NKNDYFLGTWENGKFYGFGLHIHDSIYFGEVINDLKYGYGEEYFPEGDIYKGQYKNGLPN GKGKYLWKNGNSYSGEFQNGLRWGEGFWEQKTEKGIQFYKGQYVNDKKNGHGHFHYSNGT EYIGEFNDDQRQGFGEIIWPEKATYKGYWKQGLMEGEGIYSHENNKLQGIWKQNQLISQE KVRVSLNQFPLVNQLKDIVEDEEIQSQIAEEPDHEKIGDIFKKITLQSKTSLCISDTSKL PQLASIQKQQDIIICGQPSSYPTQRTNQTSSIGIQTESNQTKKKLLTELPYISTKRKHAL SSYRIDSKTKITKKNLSESVTNTNSPNTRESEFKNNVIHQSQEKKSRKVKQRLSLLSKVE EKVLKIRLEKQKLSPYKFEKLWSKKVVNQARSLIYPPVWVPPSLHPQICRKI >CAK89653 pep:novel supercontig:GCA_000165425.1:CT868655:335701:336811:-1 gene:GSPATT00022825001 transcript:CAK89653 MIKKYLNKFFIYFICFSIVSLDRFDWKFELQNSLLASIYNTNSFIGVELIQNYFPNIGDF SLNDPEQKKRKFCLVCHIFKPERCHHCSACNRCVLNMDHHCPWINNCVGFQNRKFFMQML FYVILDSYCAVIGLGYGIYVEFENIMLFVKSEGDLHFIDGLLLLCAFGISCLASCLITMF FKFHLELVLSNRTTIENLEKKRNEETGQQNDDFNQYDLKPYYNWVQVFGMSKLSWFLPIQ MEGGRPVGDGILWPKNHHNESLLLKDHASIPIYNGNGDNIQMQQYNNQLLHSNNQQFRSS STQHQ >CAK89654 pep:novel supercontig:GCA_000165425.1:CT868655:337005:337431:1 gene:GSPATT00022826001 transcript:CAK89654 MNYKVESADEYLRRNRIMELFEDLCTKASYIQPENLEEFFIEDLRIKQKQGYQQKYNDWA EIQNIFELFDLKRDGYITQENCRKALLTIASSQKQQEIIEATQVIDEKVDINRFQRLVEQ FLG >CAK89655 pep:novel supercontig:GCA_000165425.1:CT868655:338506:340881:1 gene:GSPATT00022827001 transcript:CAK89655 MQFLNPKKQKFQQIQKQEFNFQLLDENRVFMTGTFTAFTDEVKQYIKQNNGSFQNLDGEK GWIIPIGNYQNLITNLKSLQSEVMIKQLPQLLQKLQNLNMKEIKYFDNGQLVTLKYNNQI SYEQIDKTLEDTLYEYQKDCVKQGLKFNGRILIADDMGVGKTVQSLALASMYKQNWPLLI MCPSPLRLNWQDEIIHWLKIHKTDIQLINCGREGIRMNAKIVVVSYDICAKIKDNLMNRK FQICIADECHYLKSPSSIRSQVCVPILRQCMQTILLTGTPALSKPKDLFNLLNIIRPDIF GNFKEFGYRYCDPKLSRFTKGIDFDGASNLKELYFLLRNYIMIRRQKKDVLSQLPEKRRV KVRIPGETSQVKQIGALLNQLGNIDIQQLINKDTIFQEQKDQSEQLLTINSILQKCYMLT GQAKIKAIKDYICTLFENEIKFLFFAHHQDVLDAVQEYCVQNEIQYMRIDGNVGVEQRHL NVQMFQNNDEIRIAILSVTSANYGITLTAASTIVFGEMHWTPAIMLQAEDRAHRIGQVQC VDCHYLIGDGTLDDHIFNKIENKMNTVSNFIDGQKQNLGALEFSAEEALVKGTSKPTLVS DKGESILQDGNIQPSNQALTEDDMQEIYQLLESRSKEKQDQLDLEQAESQQKKNNIKKKQ VEISSQVKNQCSTLKQQLLDKYLSSNNAKKEVIEDKKEFNKIQKVIEENKQLTYGKIPQK LSYQYLQSRLNADSKSTESGQKKLALHFTKQQPSPNSQEEIIDQKVN >CAK89656 pep:novel supercontig:GCA_000165425.1:CT868655:340948:342346:-1 gene:GSPATT00022828001 transcript:CAK89656 MLDEKQYNAGIQSQNIGSNEFGSNNILDEKQLGPAPQIEINLEYKPITLDQIQQQKVAPK KQSSYKGATLTLFKTFVGSGILALPYSFAKGGYVLSTIVFVLLSLLINYQQVNFIMMADK YRQPNQLMDYSKFIEITLGARYRSFSKLIVGTMQWGCCISYVIFFMEFFEIAFYGNSTSS FQHQLYYLLIALLILLPMTLISNMAVFTKVSAIANGLIVFPLVMIMVSAIQAIINESYPE KQSLIDFSGLSTMIGVSIYSFEAVGVLLNIQSSMQKKEKFQRLLQLTTIAVVILFIIFSL VCGIGYGTDINQIVLFNLQDNPFMAVVQISYAIGLLLSFPVQLLPAFQILETNQKIQKSQ DSANRKRIIIRMVQVVLLSLIAMFIPQFAVFLSLVGGFSGSALQFYFPLIIYKKNFFDNQ SVRQRTTYCCLMIIGIIVGSFAAINSLILLIKG >CAK89657 pep:novel supercontig:GCA_000165425.1:CT868655:342474:343433:-1 gene:GSPATT00022829001 transcript:CAK89657 MIFTGSPEKEAMMGQVKDIYNLPPKEFGPQDENVIECEDVSKEFNLIGRDEKIYALKEIN LKQHDEFYPIKRGEFVIIRGPSGGGKTTFLNQVGTIDTPTSGTIRLLGREVNKLSKDSYL SELRLTTIGFVFQTFNLIATMTAYENVELPMRILAKLSEKEIKKRVRELLKSVGLQDRMD HLPSELSGGEQQRVAIARSLANSPQILLLDEPTGDLDSKSTVEVMDILLKLNNFGYDESN PTPCTMVMVTHNPDLECYAHRVIYIKDGKIEKQAINERQSPLRYEQYLHYLNSQN >CAK89658 pep:novel supercontig:GCA_000165425.1:CT868655:345219:345449:1 gene:GSPATT00022830001 transcript:CAK89658 MNCFLKCWAIAFDYHTKFLITGSESNIITMHFKSGALKRIQIIQKHESWITTLNFFRQMQ LFISGSSCIKIWSQNQ >CAK89659 pep:novel supercontig:GCA_000165425.1:CT868655:345495:346197:1 gene:GSPATT00022831001 transcript:CAK89659 MFSTLQFKPIGYNQWFQRQESKILVLIGIAVDLQINNCGAFKYKGNTLISCGEDDVINIL KFQDDHQWKVVQKLEGQGVRLSFISKDIFVFQPWQGSKLELYTYSTQSGLYYKYQELPIQ SCRQLCANLFPCFFVSSKNIVLSKNGYNLNFIKFKTNSSQFEGKLEQAIDFNYPNNWLGN IFGTMSEGGDFLITWDSKTKQIQIREYKEFQQQNKTSSQKNCQIF >CAK89660 pep:novel supercontig:GCA_000165425.1:CT868655:346774:347289:-1 gene:GSPATT00022832001 transcript:CAK89660 MQFIYYLKSCTFYTKIICCSKFQISIRNVSQLNNYFETKNNGESQKFFESEKSQTHLRHT TVSVKFIIQRYKNHFRGQNFKDLIFITFFHIYHSKQPNIFIFTSKQIKAELINQSPYLFR DFDIHVTQNNLFCEFLQIGVQFQTNISKQCSIQFNLNSLLQNQIDKQRNKI >CAK89661 pep:novel supercontig:GCA_000165425.1:CT868655:347580:348606:1 gene:GSPATT00022833001 transcript:CAK89661 MTLLYITNEPVVYSFNVDFQQKDSIFQSYLCIRLVKQGKILGLWIYQIFWIFRVSQQTIF LDLESQSHYRIDAEILSIDGYKEPNFYVEKYWQTKISLQKKIIFVEICLQNIFIQYLQFV PISERTLYVLLNLAFGGITSLQLSTIKCQSGSVNNGTIQLFFRQKKSIYISEGWTFTYTT DPQCGNYKFLLFNSISYYGQLPPHQHQLIRFYKRTMFNQLQHIYTQLIDNYQQIQLKQIW QISLSFQAQNTSTEILIRNHPDPILRLDIKTLNALDNCYIRDFELFILQLNSTLSNECKI IIIIHFICNNFE >CAK89662 pep:novel supercontig:GCA_000165425.1:CT868655:350163:350761:1 gene:GSPATT00022834001 transcript:CAK89662 MNISEKSEQIRQTQSLLDIITKAEIKDASEWYSIFIEIVKKFQKFFKLKRIQIILNRFYN FVPNFRIKLKITLKLFFLLKALKSNSKTLGEYRLNGQKSKGKNVKGIPNCNFFILKGIII VNFYYFEPLVKKCQARDQYYVQMELQKKVKRQEDHYYHLENELREIEIRNQIKDFLNFLW LMNTIFDF >CAK89663 pep:novel supercontig:GCA_000165425.1:CT868655:351755:352171:-1 gene:GSPATT00022835001 transcript:CAK89663 MQLLFIWILALFFQVNPIYDVVRIKELTCWLALKAQRQLQINYIKLEMYSYSYPRSDQQC FDLANTEKCCLDSLNHLLVSQFQMHIPNSLRMFVKFSSSFIKTIDAAVGGSLIPETQSLS PYICDYYNQNIYWSLSYL >CAK89664 pep:novel supercontig:GCA_000165425.1:CT868655:352450:352857:-1 gene:GSPATT00022836001 transcript:CAK89664 MFRVIQSYSTFKYNRITDLSSSCKLWQLTKNNMTVELTLKVAIGIVKPKNAKLIYINLTD KVRQFRMKPICVKSKPRIKFKILLTVLFQVERLAQFLLNHVRLSQLLCDGKMQAQLWLLV RKSMNQ >CAK89665 pep:novel supercontig:GCA_000165425.1:CT868655:355123:363622:1 gene:GSPATT00022837001 transcript:CAK89665 MSQMEFILRGGGCGTSKIYSLESEISKTDNQDLYNLFNKFNFYVEIICTKAAVAANQLEN QEISIALQWFNFQEEKIYKLNKNAESVAKTYDLILEGIRKLLKSCLIYIRTDSFKCLYIL QTTASLSKVIFSFHMLNKERYIKCDLQQEFLDISDELRKHMEIEKNDLIQNQMELYLFLT KTSFQISPNNNDERKEILKGFLSGIIGSIISMKPNEELLESLFLGACHLYNLYIVDKNRK QFEVYYSIDMLQWEIIGYFKNDTLQDLDEIILRVEVIHDKIVKNSNEWKYHYLWVQMVGK ILQYNPLITKHKLSQLIRTFNLGLKLNQIWKEYQRKGLLFQVSNDQAVIQLDQLQNSSLN ENDRIMLETCFKGWEIFLSLKDFLINENIPFTFGSYLKSKLEIEGKGLQKHEYLLAINNI KRYLGFQIPNKLLTLIKQNDKKLEETIKICRNFIKDKQYDQGLIIKVSNQQITKIMQKLE HKIYRLNQIKKKDISQNNNFEKLNNLLWLQESLELYLILLERNEAQNNNGKDSKNELQDW ITLIKEDLIAKDFQASYLSFLDLPATQQIRKKILQEVEKFVDIYEKEICKLNINELLQYF QNLVNNLQEEYENQSNEHQKQILEFNLHLKRVMIIKYQMETVTQIVELEKIKKDIADMHL LKFLENLKYKNLKLKLKLVASKKSFSFILEKTKPEELRKFSEVINLQEFLLSIVEDFLKR ILKENANFEDSLRIELKNIEITKQEDFDYRLSKQKGIIKFLVFKQGLDENQIEQDEKDLE LIEKEFGDLYNKETPSSSIVTIKQIFENLQVDETLKQVMDEKLDSSQLKLEKEKYYIFLS QLKQIEDFRKCLLIKNWNQLILQTETVIQTFENFGCPDKEVIKMLINEELIQLKTLIKIN QGELQSPKDQIRQEKVDITDIEKCDQIGQIQFHDQQVGKSENGILTNQNSQRTYLSFIMK IVKLKKIRLKEEMVLLQKFLEEVSFFSDTIKQIEKYEKEIQSNFYQRFQSSIKEFIQKFE QLQCSQVNLQQKDVENIHLYLEFQETKFVEQVNNKGIIQIPINTFDFLNYNTRSFSNIQV EQDYLIQQIKKIYLEDEDEEESKEQFGLSDNMTQRFKDFTNNEQWKIKQGLVFTIIQILS NCFSGSLTSFCQKVLIQLWIQEKDLKVRNLLKNEKLMRIQMQILQKDWQIQHDRIAGEMQ KMLSRIDDLQEQISHEANLNKRDIQLKELDETTEQLDQQIENISEMGQQLKLITDFVNHI RKGLIRVEGKINEMKEQLKSMGNNIKFLRGKSVEQLFEIRKWKVLKEAADKNAKSIYLPL DAKDINHKGEKNEDKLSVLINLEQINDAKGEVNKFLLEEKETVLLIHGVAGSGKSTTAKK IEEFIWKQNNNNIKIRNQILIPVYISLPSLKNPVFQAVEEALHQDEYGFDELQLKECKEM LEKKEVRLLLIMDSYDEMKLENISKNLYMNNKVKQNWSDPLVIFTTRSEIFTSSNYAFWF APNNKENLKEVQIQKFNPQQIMEYLKKFTIQSVKMLIFELYEQSTQISNLVGFDINDFEK VWEILQGQYMSLTKFNGEALLNQKQIDNILTFLKNNQLFTFKSNEVLRSLIVKLQNLWSV EKYEKMMKKINLYRLIETPYMMEIIVQVLPKMMVKAXXXXXXXXXXXXXXXXXXXXXXXX XXXXLHQYYKSNYLIQMYNWQKKQYIKHDNNEKEELDNNEKEYQNNNETEQQVTQTEVEN LDKINYFEIAVEVWNKMEENSIAIQFFNFQELNEINKNLLFENYVKLFNNSFAQISIQKD RLIDVVCIALCELNLTSFDFYDEFINQYHYQQIEKQRNLGKSIYIDRFLHDLKKYSINLA KVMSIKQTTQVQYQIQGFLYKEQNQEEKWQNEFFNDDDLQFGSYKKDLRSCSLIQQKGTH FQFVHKSIQEFYIAADLYSVLLPFKDLNKQIFNWILEQLSKQKNYEKNCLEYLTNYLMQD NLIKFEDQILDKQKKANAFKKNIELTLNLLRNMNKHEFFQENYSTDTYAETRKYMIQKIK NEQQIIEFLKFLVYLTSFDSTFIQGGSNSLNLLVEMQIDLTNHNFEKIRIKNTSLSGGNF AYCNLNQSELTDVNINGVNLNGAQMFWCKWKDLKINSVYSLYGHESGILSVCFSPDGTIL ASGSGDKSIRLWDIKTGQQKAKLDGHSREVHSVNFSPDGTTLASGSYDQSIRLWDVKTGL QKVKLDGYSSADYSVNFSPDGTTLSVAMCGGEQEFLICLWDLKTGQKTEFQFYSKSFSNA QSICFSPDGTTVAFVKERYSSISIYLLHVKTGKIKDILYVDQSNCNSVCFSPDCTKLAFG GGGRIGDGDCSLYLWDLNTRQEKAIIERHYGPISLVCFSPEGTTLAFASEEYQKIWLWNV TTEQQKGILDCHSGKILSICFSSDSTLACGSDDMSIRLWDVRTGQQQHVGHSSKVNTVCF SPDGTTLASGSSDNSIRLWDVKTGQQKAKLDGHSREVYSVNFSPDGTTLASGSRDNSIRL WDVKTGLQKAKLDGHSYYVTSFNFSPDGTTLASGSYDNSIRLWDVKTRQQKVKLDGHSNN VNSICFSPDSTTLASGSDDFSIRLWDVKTGQQKAKLDGHSNNVNSICFSPDSITLASGSD DYSICLWDVKTGYQKAKLDGHSREVHSVNFSPDGTTLASSSYDTSIRLWDVKTRQQKAKL DGHSEAVYSVNFSPDGTTLASGSNDNSIRLWDVRTRQQKAKLDGHSVSLLMELHQHLLIV IRLSVYGMLRQNNKSYLQIIITKIFQRLGFWVWVWGWVLGLGLGLGWGWGWGWVWVWV >CAK77054 pep:novel supercontig:GCA_000165425.1:CT868258:6508:7542:1 gene:GSPATT00039188001 transcript:CAK77054 MEYLLEQQYNFVITQHLMLLIEEEVVMMKLEQKMEIGLKLLKIFKGLNQFYHTRLNYVTY QGQYDGGKRRGLWKANYQKKTINLGCYDENGLKNGKWVNLYKFFNSSCRVIFIGQYNHGM KIGQWNIQQKKQIIGGGMYNDEGKKIGVWKELQKNFQLDFEVFYTGLYEQGIRQGEWKIY FQDRLIGGGNYDQQGMKHGVWKDAHQNFSYRIQVTYQGEYVEGWKKGRWNILVIDQIIGG GDYDEGVKQGKWIEVDDKYAEYTDYLSQIATVQFYALENIHMV >CAK74257 pep:novel supercontig:GCA_000165425.1:CT868180:829:971:1 gene:GSPATT00038990001 transcript:CAK74257 MLSILPCTPGLKQSSHLRLPKCLDYRCEPPPLAVVLIS >CAK74258 pep:novel supercontig:GCA_000165425.1:CT868180:8401:8742:1 gene:GSPATT00038992001 transcript:CAK74258 MLLLSFQEDGEVFFFFFLFSLTPSPRLECGGMISAQCNLCLLRSSESHASPSLLAGITGV YHDTRLILWYPQQRRGFTMLVRLVSNSWPHVIHPSWPPKVLGSQAGATASGRL >CAK90515 pep:novel supercontig:GCA_000165425.1:CT868660:2115:2561:-1 gene:GSPATT00003376001 transcript:CAK90515 MRTKFHTLSIPTKVSIWQSEFSDEQKCKKQNNTYSRSKLDDFINEKPDRLKTISDLKMSQ IINHKRKLAQPANIAWMYTYKSQQKLHKQSFMNEYLKSIIEENKLKPSLEQLSHPRNPFI NHKDFINDKYVQRKKLFRKPQKLTQTLQ >CAK90516 pep:novel supercontig:GCA_000165425.1:CT868660:2600:3143:-1 gene:GSPATT00003377001 transcript:CAK90516 MIPGGELKQYLHEIKENCPPLQHLNYSHKKSFKQSLDQITQKIPIVKSIHKLRQEQEQKI YQNIQKMSRVNLMHEINKVNPNLHRELKLIPYESLTIKYVECKQQQHENHNELLSDNSYN KYTQQQQQHLEQHGSGLKIFQHRLYIRNIMDDYEQDDTNISDSYINKYLDLN >CAK90517 pep:novel supercontig:GCA_000165425.1:CT868660:3765:4879:-1 gene:GSPATT00003378001 transcript:CAK90517 MSFHKLAFWTFTTVLLYLAYNNDVGFEANSKRGVLAAMLFIAMIGSLKPSDPFGDKYGAI FRIQFWLSATFIAILVFLSFQNEKDGRYLMSLGDDRLGKPVTKDMHTYDDNCEFEWINIV DNLDHYYWSHVINWLLATFLVRDFWFLNFWSVLDEIIELSWQHKLPHFRECWWDHVLLDV LLSNTVAIIIGMIIIKKFKFEQYSWFAKDTFTNPKKFEAVLIVIIVIIGNFLNNFFLMNE LWVPPKCWMVVYRLLIWFMLGHSAFRELHNSITDKNQPYQLRYLTYFTIAIETLISIKFL PDCGNLQNEDTPIYVWLPWLIVGVVSFLWWIKLQLIQSQKQIQPNSRETTSKQEKSRKEK KKD >CAK90518 pep:novel supercontig:GCA_000165425.1:CT868660:4904:5317:1 gene:GSPATT00003379001 transcript:CAK90518 MSFDHLNDTNITNQSEKEHVNEFYDYQIRNMYDKEKYINPHDIAGQPGLVTSQAVELEKK KVQFRMANEIYLRKHPELSVMLSIFLFRVLEEKPDDVLMYAGKFFDQQYIYIYNREHLEQ VILIQKKHYLENGNATA >CAK90519 pep:novel supercontig:GCA_000165425.1:CT868660:5964:6662:1 gene:GSPATT00003380001 transcript:CAK90519 MILQLINYPVEGTVEQDHSKSPKKRAIIIKHFRYPMKTQTNNLIFPLRSPQIISSKSSTR QNEINWFSDSNLIKEHNQTFCQSNNFKSVFKLGSEQKNRFAIIKKVFKKEDEKDCLFIER KLSLLKFNFKEKEKEKEESQKISIDYSPVKIPQTAQSTRKKQSLNDKNKYQLFQNKINRR FNSDTDLSDQKPNRKKIISYSIRPNTHNYDRDHQEFAQSILNQYLKKSLQDQ >CAK90520 pep:novel supercontig:GCA_000165425.1:CT868660:6692:8771:-1 gene:GSPATT00003381001 transcript:CAK90520 MFRKQDFKKLELLGSGKKHTKIYRVQHIQTNKVYALKEIEATNLEKLNEYKEEAVQLSKV QNCGNVIRFYGYYFAETLYNTFRLGIITEYMDHNTNLENLYRKRKKPNQFWKENELVTML FSIISTCSYLQQKGICHRDIKPANLFMITNGELKLIDFGESKDYFFDLDNEAKNTYTMAT IRGTPQYLSPILWKAHVIDGNSRYVEHNIYKSDVFSTGLVMYQMSAMQEVTGFNNTQQNE GEQLILQSLASLEQKYSPAYVSIIKLMLIFEEAQRPSYVEIEQLFIEHEEKYHSGKISEN VAINEYILLYNQHYNTMLKRGPPYRTSQEAEVQFKNVIAQHMKNAGSSQQPLREQPSSQK QQQITQQQQSQQVQVIKQPVVSQSAFYRDSTLILNDSCLWFEFGGKTISKFSTSKQKWRQ IAKLDVELDRQFTTLFVPDKKAFYLIGGFQETNFRVYQNDRLELMKHQIPMNRFFCSCLY YNHKIYCVGGYDEQHKVQLSSVLYYDLISEKWVQLSSCCLQNKDNEIVLFGGYNKEEGTL DTIERYYINENRWEKCTLKLPLPLRRFMAVRVKKNLALLIGGLTMYAKESQKVLKVDWEK KEIIECEPLEKGGIVESEVLLDTEGNLHIFLENANGTSPHAHIKYYFDPNATKYETKAD >CAK90521 pep:novel supercontig:GCA_000165425.1:CT868660:9170:10682:1 gene:GSPATT00003382001 transcript:CAK90521 MKSNGGGDHNKNIQTSGTLRRIKRMRLRSKNQQGQNDVASKKMAGNNSTLSLHIIKTKSL FKHKKLIKQSTQSRESLFQDPLQKDQKNVKLAQEPNVKQQSTKNKQTISQRKLRRNEKLK ELIDAQIKAQINQKIKFHDTKFKALTEIGIPTINLNQDKIIQFIEQFQNEIKQNDESIIQ KLVGAIGEGYAERGDEVISNVDAKEMMIQKQVEFYLENCGIKLEWTLERWRKLIRRTLFV VPFCHMPNVKIKEYLNGRERYQIMFETLNLFTLINRWSNTQMFHQFSQNFPFQVKDDIEI KELVNENELHNIRQIREYLSRIMTALNDIYQLKFQKEFQVDLYQTNNQLQDLQVEYYLRK MKVLQLKPVNLPQAMKEQIEQLSSKVQIQKDPIIDEPSKKQMDQEKQIKPQNQVQQKKKI QINLIKSKTLLEYFKPVKNQNSINADVNSQGLSQNKDKNGKQTPESKSQFSYFEILDELF SNKFGKTIQKEGQKK >CAK90522 pep:novel supercontig:GCA_000165425.1:CT868660:11085:13400:1 gene:GSPATT00003383001 transcript:CAK90522 MSEQEYLFDSYNQHNWNSYDFDLECQDSERQQIDRIKEKCNMNLLKRQLVCLFGFPSIQI ESGQIIAYLNGIMDQNENEQKRMIRVLISFIGEVTSIENPETRLETIRTRVEQFLTIKGI KIEWSNKVWEYEIESLFESRYCNPYYSKIPYSQFEYANFFALLESPMPMFLRNLKHPCCC QVYDAKSTEDFKQLCNITDLKNVRRIRKYIQSIIDKLEEFRILLIDIETKNYNCGPDIIN SFNHLSGELDKIINIDLQLIDRSSLKQEEKLKFNNDVDNQTEKNSIALLETKTSANTFTT INQGSLDCRTKKQKKKQKQQEKYYFSSAFLNYIDSETNLSQENSRNSEPKLEDQQIKFTI DTEIHNQLHIACTSVQDILKKIDIYEKQLQSLQLLGFPCPKLDKSHLKYILSNFRECYTN KQILIEWIVSNIWESQTVREDETKCIDDVRQQALEYFQSIKVDKDDFEQILNNCYEKQAS LPFNKYFEIGTFSLQKLSQIVNRKFPESFELSNCLASRVVFKSKIFQPLEKPSKIKEIKN IRTIRNYIKDVYQSLEKLIEFKNKINKNINCRPQHQQLIREFKILYSHHHCKIVNKQLKQ KGCSTLQQVDKVQEGKNCIIIEDKDQLDGYFNCQEKKSSDVIEIEEYSIGQKAELPKLEE DVIQEDLSCVKKKSEINLKLEAVIEVEQPKLEYEKELEYEKEQYILCNEIISPVQRNLFQ DNQSPNIFHSPRFDYCSPLAKQFFGQLEFDQYEIESPDRQCLQFQPPQNFN >CAK90523 pep:novel supercontig:GCA_000165425.1:CT868660:13482:14505:-1 gene:GSPATT00003384001 transcript:CAK90523 MSTEADIKEFLKKKDFYEILGVSKTATDEELKKAYRKLALLYHPDKNKNPSANEAFKKVA QAYDCLSNQDKRRTYDQYGTEEPEQHYQHYRQQWGESPAEQIFRTFFSQNGGFDDLFENG FGAGFGNGFGGFQFVQSSPGMFYYSSGPRRNRGHHQQNQRQQEFVDQEFQNQRRQIQRGP NWIQISCYLIFIYIFFGSSIVQFLNYVFSETPYHQLTKDSTYRNVLETEILGQKFFARDE YLSKDEMFKKNYEAEIDREYLVDLDNQCIKAKNEKQRLFHEAKKSWFVSTQEKYQNLANQ VNMASCNQINEYRKSIRNFSQIFKI >CAK90524 pep:novel supercontig:GCA_000165425.1:CT868660:14530:16774:-1 gene:GSPATT00003385001 transcript:CAK90524 MIKLEAHTFHNKNVSQPVLIQEKSPIFKVRQKRITFRREQINNDSNFFIQTEDSSEIILI KPDNPDFIINDFTKIAIQEDISDFQALEFHNRATKLLEFYTLNQIQVQYTSVLFSKINYA IILKRYAAYDESLNLLLDCEQILKIKGKTLKKGTFDVLQIARMIQNKLLRLRILFQITLL FSETKRNKQALELAKDALKVLRNIIQLTIKLCQQITLFNNINKKQRANSEINSPNQKNSL SQSVCQPQQQPQALSYPQIVEIVFKEILNSIRSVMPYDDEKQNENGSQNNLLVRNYQNRS QSLFTTEQIFQTQNNNQQNKLFTIIEDNHPMLQMQILGLMQLTYVDLEELFPINSHDMVL AEEVILELMMLTGLGFYSISTELRFLNNQLNKMNIEIWLGKALEMFYTFIPHSSLIFSQI YQVYQKLYGVDKQSIPEDEEVEYHTKLLKPHAFNNKATLSNKVVIIVKVPILNKQTKEPL KKLNQPNSTVTKLQQSLTAKKHILFPQKHSVTQYEAEKKVETQQEEPEISPKQQQQLFIK KNKILQPQFDIKQRVDILMNQILSQQAKLTQEKLKQKHTPITTLKQKYHISNKVYDEPKL LNLANSQQLKTAIPVSRSLSNSRKASQTHLKSQNNNSAKTAYLRYRTQINTLPGDESSKQ IAQQISTQVVSLNRQSNKYFLLKRSDSAKKSKIPFQQH >CAK90525 pep:novel supercontig:GCA_000165425.1:CT868660:16784:17419:-1 gene:GSPATT00003386001 transcript:CAK90525 MRAKPQQQVAQKQQPQKQGNVRKPQERPGLTDDEIDEIREAFNLFDTEGTGRVDPRELKA AMQSLGFDQKNPTIFNMIAELENEGTDIDFDQFLDAITSKLGNRESKDGINKIFDLFDDD GSNSINLNNLKRVSKELGETMTAEELAEMLERAASNGREITREDFYNIMVKRAF >CAK90526 pep:novel supercontig:GCA_000165425.1:CT868660:17487:18995:-1 gene:GSPATT00003387001 transcript:CAK90526 MAKVFDNIWENIVVIGLGILPLLQNLASLMWKKQNKGYNAARQQFKEKRKLFILNLCSIY TKVAILSMFTLLSILQIIINVQFHSDYVLITIIEFCQIINYLLAGLQIFEDANKYGQTTF ATQLNLILQFFCFCGFAIVLFAWQINNKDKFVIRHEDISCWLMFILRGIALLLLSVFVFF IPQDKKLLQTVVSEYQYKLQMLGNEEEVQIRQQLIANESNFTFCQSGDQLQQQHITDVEV NSYQDNKDMSTQRKYIIYEITFKYQGKHKIVFRSFSDFLTFHRDFTLTYPQLDLPVFPKM TKYLTQQDIDNRRIQLDSFLKGLVNSFGNNELLLDFLNATGKTLQYKAKIPLPQQQQQKP KKIAAQQQFSKAKSIQSLIPETIWEGQDDEDEESIEVDTRKTKKASTYVKIQFGEDRQYQ RFNSSSNLDEDRRNIDFDKPSDDQIGYDQSGNDSPFGDEEEKHSSQL >CAK90527 pep:novel supercontig:GCA_000165425.1:CT868660:19200:21194:1 gene:GSPATT00003388001 transcript:CAK90527 MQHLSYPPDKKIQNYQFSFRSKLGKGAYGTVYAGRNTLDNKIVALKVIDKKLLLTDYANQ LIASEIEIMKKINDSHVVKLLDVLQSANNTYIITEFCNQGDLREFIKNRKVIPEDEALKI LNDLLLGIKALLKIGIIHRDIKPANILMHDNQFKITDFGFAKQIDANLDTIMNSLVGTPL YMSPQILKRTKYSSKCDVWSLGLILYEMLYGVTPWHSQNLVELMNKLDSKPLSFPVHPQV SENTKKLIKGCLQISEERRWSWEDLFNSVNINLNTSDNKQESPTSTVKEDTQIGTQRENQ NYNQSKYSIQMLRIKQRTESLCQQINKHNRSFSNTAFLTNDVVKKYENKTPINEKQKQAT SYLDLKDKLRQNQEKTERERSSSQNSKFHTQRSELNNYLEKIHNVQQKLNSNNDSCRTPS ALQSHSTNTSNKQNCDLDLVKVSEFQFEDNKGSDNFILFRNPKTERVKRTNSLSGNFGYQ QHSPFQGKSSIQQLIKTMSNQLELIPNHVNCKVEIFTMVILHKDLINHTQNKQIYTNQDL RDLKLLINNLITYLNSNSGEYSSKQSQVLLLLLLTYHKVLIFNIKNKSLNIDANLIESIK KNIQIQQNQMNIESKVVREQIHQLM >CAK90528 pep:novel supercontig:GCA_000165425.1:CT868660:21258:21975:1 gene:GSPATT00003389001 transcript:CAK90528 MKASCLVALVILASISTTLATKGIDLSASFNNFSCVKNAGYSFAIVRGYKSFGEVDTVGH QNLKNAKAAGLIGDAYFFPCKGKKTASAQVTEFDNVFGSEYGTVWIDVESNPSTGCGWSS SDHTGNCQFLQDLVNAFTAKKRLVGIYASQYQWSTIMGSASACAKFTSHPLWYAHYDNSA SFSDYAKYALGGWTTPSIKQYVGDTTLCSVGVDLNFY >CAK90529 pep:novel supercontig:GCA_000165425.1:CT868660:22268:23599:-1 gene:GSPATT00003390001 transcript:CAK90529 MLFIKFSNKESLKAIKSTPFRMCFNTLTNWYLVRLGFKIGREFPNELIEKIRSNHPTHAQ GYYFTVNDLVEMTFKEYQNQLQIKQESNNQILVYRSEYKKLQDELQQARKDERQNEYEID NDSTLFITVSHGECLKMAKYQILITLFTVQQQTKISDMTIHPIWNEEFQIHVPSPHGQIF VGLFDTEQHLIGQLQLPLYQYKDQQQHTQDYILENEYGVLINSKLKLNLTILWVHSKVAL LEDHITSVNQFRKEIEEQQVIVNKANYLLDALTFTFNRSRIQRMPQITNVQQQFQQPAVQ QSEEQDWKINYQDTMYTMAKQFKREHQDTIEEWQRVVMILSSITTIFSLIFVSLSRPCFQ QLTSTMSIGMLVWAYRVNYIKTTKILAQISLIILIQCIFDTIWLIINYVNSQISDFRKIG GQEIL >CAK90530 pep:novel supercontig:GCA_000165425.1:CT868660:25319:28048:-1 gene:GSPATT00003391001 transcript:CAK90530 MLLWLNGMTNPSIKHPMETMMDEYHANPTNIIPLLAEDEFFIQAKNLNTKIASEKHQQNS SQHLIVQQIDQVTETNHDHVVIFPILSIEFFELDLPPLTQMILQETAIQTLFAGLKKTPD QIHEPQWSNIQRLFALMLSKEPKQVCQNIFKSDSLKLFLNHLESATVNSILIQLFNPENG DYEDAKLEVLELGFRGFDVMEEMSVLNFTYLIHEIMTRYFSSDFCHKLVQFIVSKQIILQ MFKCLRANHDKLFLAKSSAHILSLISNYYSYTMQNINIYEETPTKKIIDENPEIAYHHQL TLEFEQTDFMNTFIQEMNLLVESFNQILENDNKRLRTLRLKLLEIFDNLTRISNIKLWKQ MNQLKLFNQIVQIYFKYKNSDIFHSIFEKLLLYILNRAISDFHPYWCTILIEDINIYSLV SENITSKNTIPGYIYLLANHLTWFQKELNEKLLIDGSLAKYFQQLKKIEEDILLNDQWRR ASPIFNITFQKNSVQLGASQPGSATNIPVSSEPVIHNANDQQLNNTNAQCLFNKVKNNQS NIASISGDEPPEFESPEKHEEKAQNTQSDEYQYETKKSPEKFNIKNSPEAKQDEFAEVPA NPPSIIVPYNQEQAKGTDYKFVEGVYLQPTSPTKVQHSEQQVSQEQTNPSDYNDTTPEKN QNEKKTEMMSISLQDFVRPIDRVKFSSDSKKESQQNDKLASPNTKVQDVIKKYSNMSKSV TFGQANMGNNKQTDNLSLTRKKSMESSLRLKQLDQVQSEEFKILKTNKEDTKILTSDNKR ILMVDELDKEPDVNSLRNSLVNLSNVSFSSTSSKKRTIKFKKSKRIQSVGQEANLNVSIP EELQANKGMVFSLSSSNSLRDSFLSSQSSVTEEKKFRQVSKRDKVFLNYIRNIK >CAK90531 pep:novel supercontig:GCA_000165425.1:CT868660:28068:28905:-1 gene:GSPATT00003392001 transcript:CAK90531 MVSTFEEQLDIEYEGFHFVNVITSDDLVYHFTNQKYQKIDSSEQLLSYQYLTLQGSKISA LMDDTNADNVPEQLNFDFQLFTDADKIKQVTILLPLRCYFASLHTMGTTQIDKDYHNCKQ RVKFNIYQQEPLPSSNFDIEYYSEIIVDDNLSNQWMSEMLSQIYTRTYSIRPRFISRKIG GNSEKQLRVSIKLDNIKMQLIQRWVSLLAIMKLAWLQYFSVFIIDLDKLGEIDTCKVEQL >CAK90532 pep:novel supercontig:GCA_000165425.1:CT868660:29046:34780:-1 gene:GSPATT00003393001 transcript:CAK90532 MDKSKLVQHTSSLNTNLQYYLNKFEKNQEWADIAPLLMKIEGLLKQHPSPYIIEKIQLSK RLAQCLNPHLSAPIHMSVLKIYDQLFKNMANFSVEGDPSGVVKLYLEDLALYSIGLLPFF SNASSKVRPQFLDLIIQHYVPLGRELIPMLPGLVASILPGLDDQQEANQKKCMTVLDELS HSAGRKFLIGSVWMSMLRSPKCRSSSLKYLSQKIPKMQQEQEDEQSDYMSDEYDEEEQQK SQSMALEQQQQQQKVTQNNQDIDDIKDDSIEQAMDNKAQNEIRKRTIVVEQSMELKRKQA LMKIEDGIDEESHENNFPPLYVSALISCLEDENQLIKRQALDMMYTHLKSNIIQDNKEIL VEASLKLLIRKDLSVTRRVNQWLFGKPDMDNKYQITEQNKDILQYVVNSFNKFLQQEAND QNAVFPIKLLQNFFMEHDHMVELLIGDVSFNLIKYVEINHKKYPEIIKSCKRLLESITSF LLIVVHEVSEQFNKYINFLFTTLITKEDNFEKSVEFTLLRIAAANLMTCLSNFSPEELRK QSPGSTLNKLLVRMEQLPQQIDNQLVRPPQMADYDESIEEFGMLYQKLADVILEGDEQLR VEFESISKSLIKGQRFKQNKEVPQLPQWFTSITKIIKEENPSISLLAIQSMIEILISEKV DIIYENLKKLIIEESRTKMQKNQIIEGQDYTKLTLEKLWNLLDYPFFHERIIEMIVNLSK VFPTFFTEVVIHQFKNMQEAAIRRFALFWKLSATHYKELQDLNKVGLFLMLDFLDHENPI IRHNAKNWLLESTGMLERILDPLFEVLIQSSSSWYLTDNQQIFFAKVYETKRINETIKKL KSILISFSARFMEYVQETEISTYIKDIRMHFTNESSVIMQKDTMMYLDLLVIICLKYVQG QAIESMSLKFYKENAAVNASACEYIELLIVNVENPIVSLKFAEYIMQPLQIVLYHAIENE DLVMQVQILNLFKVIFFNSSFRTKFQPEQRELIEDTMSRSVSNSIFIPTLLRGMHTHVLY VRAQYIHFISTCIPLLAEHLRQQTLTDCVQQILTAYFKMIKDIANRQYEQQKSDQKMNQF DFPQGSSQHEIQSILNWKRDDMIYEKLKDDSTVFNTVKSVITFGLLNVQDQNKTTKLVFQ KFQNTCEVIMSTLPSILELYINCWSLGQDFIKYSDTLTAMGIESYSFEKFNSFNKILQEQ HTKDTNIVKPQILQMMKPLFMSYSKYFMTALLSVWSNQINLLQRLFFENEQILIDPFSLH QNTFLLKTIEIMIMMQCPIELLLDSLLESQQLQELQKYYDIYRRKKLTTTMLNFQIAQQE TNILYFLYCYFTFAQIDSQQLKKENLLPFWMQIMKILQILALSKSPSTNMWLLELQLLLT RKYSPKEIIGDQRFRNQHHEFINDKLKYVAQFGGKLNIFWNDPGVKPEGDDLYQQYSIKF KVVIPLTPTLYDIYLNVIKSLNKELQYEVTDIDKLIFSQLNSVVEDFIQTKYRLMCIKTL KNVALELLQNSYAVEKTERVVLRCKEMMDILYPLLENRSQYNQIYVQIISEMLYFQLDKA RQVLLKVYKESILSIFNKEDFFIQSKHTLKYWTKIINWVVQYGRSDLFTQYLEQVAQQSS FFFSRESEENKRRIKTFERICFLIFSGERDRYTKKLDLLIEKISEVIKSDSANPGLVMLI LFCVRILILRLSPIQLNELFRNMWPTLLTLLISIFKNKQSNQNLVLAALKLVEMISFMQQ DEFFLHQWIFIYDYFGIKIQENQGIGVPITPFLYQPYCSNFLFQQYSVDYSKVEQTNVTD PQKREIIIKQIKVDGQQQLEDISLQLCNYLVQLNSIRIYTVNEDIEDLIENDFIQLDQFI YEQQ >CAK90533 pep:novel supercontig:GCA_000165425.1:CT868660:34836:35114:1 gene:GSPATT00003394001 transcript:CAK90533 MKSIYKFISFKFQDTLILFISHSLLVDFSGVARNLHFTYMSLVYYTLGISFYIDLSYQSK IGLNKQLSTVKHPAISQYIKNSEIQPPLDFLK >CAK90534 pep:novel supercontig:GCA_000165425.1:CT868660:35857:36299:-1 gene:GSPATT00003395001 transcript:CAK90534 MNSQNKRKFNVLEYLKNPYHLPPKQDKFEIPQTSKLGSRTSQRRFYRPYKHKTLFPELNS TNALYLSKTSQQFRQSRKDWQDELQTCLQSQSIRGVPNKSKVSQIFVKSLHNSSFSQLLR KPQPDLKPLLEIQAQKLF >CAK90535 pep:novel supercontig:GCA_000165425.1:CT868660:36320:38509:-1 gene:GSPATT00003396001 transcript:CAK90535 MDQQKAPIISLEAKVSAIECPAFNLLDEKSKHYAYYFTRACWAGAKICYFQRSYEAPGLF YLLQKIFQKESTTEVRDKLLANEFTENQVQQLYTYISAFFQNCGNYLSFGDSKFVPELPV EKFQQFLQLSKAYQEQQDEFTKIWNLIEKYIYSYEKPYGLLDLLEKGGSNSYYSPNLSKE FIEELDQFLHKQNLSELNTRVILKEDRVELLVASSERSDVVELGEVKGKKVFAIYGDFKS FLNGVATNLREAQKYAANETQVKMLEEYIKHFQSGDIEQHKESQKHWIQDKGPIIETNIG FIETYLDPMKVRAEYEGFVSIVNQEESKLLGNLVEKAEDIIKDLPWPKEFEIEKFSRPDF TSLEILAFACSGTPVGINIPNYDDIRQNLGFKNVNLGNVYGKPNRDSVRFLQGTDIDLFI NYYKESLFVVVALHELIGHGSGKIFMEDKDGKLNFENVTNPFTNEKVTTFYKPNEHWHAK FGELSGAYEECRADSVALYLSTYDDVVQILVPGKTKEERDEIVKAAWLDIIMGALKGLQY YSAEQSKWGQPHILASYVILQSIIQKDPSFVQFVHSEFNNKPYFTVQVDYSKIWTVGKEA IADLLKGLQVYKSVADGENGMKFFNKFTQVDQKFLQLREIVLAHKQPRKIELQPDVKLNN GQVELVVFPESHEGVIQSHIFHYQQDVEDVKQEFLDRQQYFKN >CAK90536 pep:novel supercontig:GCA_000165425.1:CT868660:39164:40194:1 gene:GSPATT00003397001 transcript:CAK90536 MSQVQQFCQELSNVDLKDPKAKELLMDKIKQYDENFAQTNFYPTPSQLSQNYKRDTSKIQ LPSNGSELEKQIKTQFIADGSIFGEYQSILRQARVPAYVTPPPPPPKPEEQAAQIFLRLP YNYLDQNANTKHESNIIQKPQNQPLSQMSYLLSTIQNQNQQNQLQQQQIQNPAAIQASVL NNQQQMDLQMQMNQTPHGLDNDFITNIKKTVNVLGGIEDTVQIMKQSLQDYELQHSIEKK IEKQPIFKNSTLMNTQKPNQQQITQQQYHPFERYMATEPLLVVQKSQKLREQKLQQNIHK >CAK90537 pep:novel supercontig:GCA_000165425.1:CT868660:40314:41522:-1 gene:GSPATT00003398001 transcript:CAK90537 MFQNTKHLRKVLFSTAIQNGTQIQDFASIDPATLIKMGLRKNSLELFNSLRDRLNFCKYE MITDYRNLMTYVQRSHVNQFIDKQSYERVIATQFSENQINSHMIWLSYIIMEEKDYMQPL RNMESVWYKNVLYLNIKQIHAVLTFYEKFNLYISERTLYEMLKIIHKNQQKYQKEVDILY HCLQIIEKQSTLLKNDPLFLDLQNNISSKVKETLTQAKILENTNFFLIHPLQDLSIIKEG LKGQSYQLTSQQMKNMLTIIQNTLFNNPSYSKTELNEIINILQQLFSQNNTLSNKFQLSL LQKLLDKETDLSKFDPQVDYLKINDLQFLMKARLLTTKDEKSSDDLKHYIFKFLLKTMQN RKIYCVDFDHFYSINKILVENQEYSMLLKPYREYLGVYSFLY >CAK90538 pep:novel supercontig:GCA_000165425.1:CT868660:41535:42105:1 gene:GSPATT00003399001 transcript:CAK90538 MAEQTQDKQFKGVKKFTYRGLQLEELVKLPMDKLVEQFRARQRRRISNQGEKVHAFQNLM KKIRKSKKETLPGEKPKPVKTHQRNTIVVPEMVGSIVGVYNGRQFSNVEIKFDMIGRYLG EFSLTYKPTRHGKPGVGATKGSQHTD >CAK90539 pep:novel supercontig:GCA_000165425.1:CT868660:42494:43249:1 gene:GSPATT00003400001 transcript:CAK90539 MNNFCFYHDGLLEDREKYFKQDIINFIGNVLAYMREKNIEILSYQHFNKCWMENDIQFIH QCQLEWESQDDYYNLLYGIILNKLIESPLYFEQLSLFYLIYTIYVTLDQKILISLDQETM NQLYFFFQECKEKGNHDVFLLFLELNKQNAFLLSSRVGCKRLSIHPCGLPYIITKRNKAQ LKQITEEREDEQEKVERTDLTKQLLNASEKYHKAKQKQNIKLKSTDPHFAQRCIHTFSNI NQKQ >CAK90540 pep:novel supercontig:GCA_000165425.1:CT868660:43584:44655:1 gene:GSPATT00003401001 transcript:CAK90540 MKNQDHLDRIHTASTMAQTGQQSQFWYQFECDFSQVTFGNMKKEKKYRVNNRYQKQDMDD NPIDEEEQIREYQNQKEIQQFQQIDLQPKQIKQKEDEYEKLIKFNEYNYNDGNQFEEPDQ ESPIQKPKKVKGDHLYIACQRKPLGEFLKKYNLQDIAHPYIKKATKTKCCFFAVNTEQSH YQTQKQNIIKVGQELYNDKNEDHCNLLYSIFYLISQLETLSKRKSQEISNNKINLFQLFY TLSCVTEFRDDLIQIGKFVEKKEMIVSVMFFCSKYIFEVLQFGSLDPIFKYTQSSGETFH NLMTCIHMGVFRYISTSNYTQEQEIYSNLQNQSIKHLLDIWKDNKFKNN >CAK90541 pep:novel supercontig:GCA_000165425.1:CT868660:44884:45288:1 gene:GSPATT00003402001 transcript:CAK90541 MDNLKKAQKLIKFGQITKDDIVIGKDEVDRKFLTPCGPVYTEFHDCLKLNYGDVIICDKF REKMIECEVSQGFFKYDVADIRKRRQKLHGSEA >CAK90542 pep:novel supercontig:GCA_000165425.1:CT868660:45460:46332:-1 gene:GSPATT00003403001 transcript:CAK90542 MQIYNTFLLSGVNENFKSCRNLSLLTSLFTHKIQIEYQTGDLNYPFFHFLNIIFKIQTYF LIINPTNQILMKLYFYYSYNFYNRYNNNYKVIVWHLLLVFKEAFHINDIYFYLLISNFLI CITDLLLKIIIDVLQLHNIQYKDQQFHLIDTQFNYLKYLFFINFTKILIVDHTVNLLQFS LSLYEIKFITKNEMHLKKSPQHIIQLIVNLMIKILLKNRILIRILTVFIEQTKGSYSINQ IKQHLVLIIKKQPKVLAYLSFLNFVCFNIRCINKIDYQNLHIISEQLVSI >CAK90543 pep:novel supercontig:GCA_000165425.1:CT868660:47492:47764:1 gene:GSPATT00003404001 transcript:CAK90543 MKNKYLIVTQYKLSYEVNRKKQLKHHLAKKCFIVHLWIMVDKLNIQQEGIKQINNQQQQD QQITFQNNLNNDHLSELEQFVNSLRRKQNN >CAK90544 pep:novel supercontig:GCA_000165425.1:CT868660:48338:51481:1 gene:GSPATT00003405001 transcript:CAK90544 MKFQKLEDDQTLKDQQNLKQKKELLKQEFEHLEEIKKYDNLSIVSSNDFKFKAELDKLLE MNRSKNKELSLLLKPQTFQPSKSQMGGSQLQTISGTYGQIRSINNHHSDPLFATFTQSIY TKDTDSNEQYIEQLKVQEERYNDLILEESEKRDSLEQQLIQIEKSKLILQQKVEQYQMLT RMSNKRFANTQSLQFLAEQKKETSKVNLRSIKDEFEQMKKMQDTYKKQQEEKQSNLQKVI YVGEENIGHNAIKIEHALSMNTQCVRQIEKLEIEKQKKIEKKLESRYILTYIECFENFER IFMQPTGVQNAYRLVDEFQVNEEQLRKYSQQQIQFDQSANVQNEEDFDRNELLSQITKFY EQGENRTKYILNFMLEQYWHLQSTLNKSSLEYENLLKQKKVLLDVIENLEWEINELKLKN PNLEVHEYSSVAEDAGLLEVKEQMTTLNIKKMEMEDHSDNVLYQKAAKAQGLLMKFQLGL AEFMHRVFQIISLIDNKNKEIKKFKYTGLSSEILNYEKYLAKVYHSNEKKFQIANNSEQM DVQPLHLNLFQISNIISQVLSINQHIVEEISSYFFIQCKTDQILWFHLTQDNFTQFISQF KQFDSILIQKELQSPKVINQFREQLVDIFTHNFKELFSFFTNMYGKVKDIAEDLLIHLKE NNPKFDFNKLNRNLAKEGQDIKQAKELIIKRMIEYKTGDNFTLRKENSMQALKNTKTKIE DDQVIEQVQTKLQQDYQNSKELFPLKEEFDESQLTQIKSFFRQKIKEKNEEKKECKTDQN STKDQITIIKDQQDFQQKKKVQERNENKYEKGRNKKNQDIKPQKQVIRDELEQERELLKA QIASNVQKMQEEKERKEKPEFKEQQNERKTQIKESTERLYGERGYTVQLIRNMALTSNIL SDIDQLEHFQTKIEQPQLVSAFVMQRKNLHKNLTKDLTLPQLSYLKPTSAYIARRNQSSE QIKAMDYKRYYEIENGVNIRPQTQQLARKSITKQKNNTFNTEEYKKKYSVKNLKNQNSDI KSNQMPQPITEFPTNHGPQSCLIPQMN >CAK90545 pep:novel supercontig:GCA_000165425.1:CT868660:52498:54335:1 gene:GSPATT00003406001 transcript:CAK90545 MICQTCKINNNRSVIFDNNCQFHRQPLQSVSLNLSKQTQMYKACKKCEEERKNEKFFSFN EIKQKLNTHESLEQLNQIVKISQKILQQAEEEKNKLISLAEEIAETVMNGIYQFFQFQAP NKAAAGSEFSAQELFSLLTPSTIKSLEDLKNKQISIQIADDMSAMLLTKVLFMERVGEFI KQYETNSSTIQNQMKQGVQNLQKTKTKIQNLIKIQPNLQNEQIQPQLQFMQSQQVSQVIS NQQKSQIPKIQQDSQFIYQQGILSDIIDNESTIEKFRGNRKQITYDDGDPLQVKAIMNIN NEELGFARRDCICILDKRNNLKVIQRLGYVSEEIITFACQFQDMGKQYIAVGIELQDDSG KIQLLSKNEQKWSQIKVVKVQHSIIKIIHPCESYLITISESKEMEMINIQQDNQQIDLII KEESNIKDIAQINPSTLIYITSNQIHSFNFQNKRKLHQIDGNEEHQCLEIMNSENFIVGN SEGKVLICTFQNKIQIINQISIHQKDIRYISKIDQMHFVTSSYDGKHIIASKNGKQKNII KDGPKINFSEPLLWVNELRCLIASSGGQIFTYY >CAK90546 pep:novel supercontig:GCA_000165425.1:CT868660:54374:56133:-1 gene:GSPATT00003407001 transcript:CAK90546 MIFSKGHVENQEFWNKTDIPTFEQEDFQNVQVLMGNFTKVGKTFKQRCVRTYFLVKQFLL YSDVGKGNQIKGFVQLDTVYCQFHQFEQGLEISLINNGYQIHLYTDDIQQYNIWVEALSN CCILSDFDKTYKLGAQIGQGSFSTVHQCQNKEGETYAVKIIKKQTIKQINKNKNYEEQLL NEIQALRMFNHPNILKLYRVYENQSNIYLLTEYIDGPELVSQQSSKKVYSQEDLRIMLIN ILSAIEQIHLQKVMHRDIKPQNILLNGNDIQRPVIIDFGLAAFTWQKQIPFPQCGSPGYD FQITIRYSAPEVLKYEESKKPYNQQCDIFSVGITLFVVLYGYNPFKQNDLKQTIKKNTEA YFEIPSSKYPKPQNLICQMTKKYPKDRITISEALNHSFFKTPFFCQISLPKQIVSKQYHD INAKGETHMHMHASMEMDQGIHYVSQANTQTTPQNVGNKNRTISILSVPISRKSQEYLKQ QEISSFSRASNEKEQNQFDKFGIRLPSKTLPQQKNQQYEFEDEYQLNNFEHDNNFVDQEH LHKLNFSINSKLFQTSKLNIHKNDNCL >CAK90547 pep:novel supercontig:GCA_000165425.1:CT868660:56920:57878:-1 gene:GSPATT00003408001 transcript:CAK90547 MQNCDLNSYQIGLSRKQQLGLYSDIEYSSSRYSLSTNNLNLKNLQNLKNRISQLQSVLSC KYRKGSLTRSKLDDSTNLTNDKSTYSLQEHKYNFINFPQQSMKSSDYFVINDIPSQKIQK ENKSQSERKNKSILKNKTNNSCSTQKLSQKELLDHNMKNIKIQKQCQTDILQECLKQNLH DRILFLEELKKQKQLKIRQDYKYQKKQIELECQNQIQKQIQNFERQIKNLVESKKNTDSF SQSPLKSQNSPYLAFGTEESVKKFKKEYNEKTHKAIKVRFQNADIVKFKTEYD >CAK90548 pep:novel supercontig:GCA_000165425.1:CT868660:58026:58560:-1 gene:GSPATT00003409001 transcript:CAK90548 MKANRFIIHNPTIESYQLEEYYNHFKRMEEIDKDKMNIYKTIEQNKSATNSRFQMLQHTS KFNKQQQQNAQDKLQKLLDDKIERIKLRDNPYVNLNRYRGISQTDLKSPNNTFNSSLENM NLIKKLQTLKPNISKKNLDVHYEKQREYGNRLRKLPKLQDVDKKLHYLF >CAK90549 pep:novel supercontig:GCA_000165425.1:CT868660:58576:59386:-1 gene:GSPATT00003410001 transcript:CAK90549 MNPIQQAWLKFLQPVSVVINEKLAKRSGLLGKIGRFFLIGPREFGYHPTNQMFIYFNRRV LFATAFMGHKYSVLKGLTHQGYHMLRPMRAAVFLGPLAVLGGLFRLVYYSSENRSYYPDN LDYVMKKATNALHFPLNTLNQRLSAHYTEISSIYTAEMMKRYHKQHAKIIKERSIQPEHV KKTKYADPSYKYVPMTPVHIEDFKLA >CAK90550 pep:novel supercontig:GCA_000165425.1:CT868660:59820:62800:1 gene:GSPATT00003411001 transcript:CAK90550 MSEYHDEFQEDQQKLNALNLSWTLGFNYKMVNGVHNLTNDSRKEIFYATAHTGVIFDYGN NQQRLLQGHCNKISCTAYCKELDIIVTADAGPDSMLVIWDAKTGVPRRTIFEPHANGVQA VDISENGQYLVTLSKEEPDKIQSISFWDWQSQDPRLRTTVLDDKLKDYQYYVTINNNAEQ KQNQQQIFEFATTGKKRVVFWSWEYGAAGFEYYSPEVQKNKVLTQTVFIPKNKNVVTGTL DGYIIVWDVSLIIEEYGQPDERRVIKIVNLMNVANKSETQQKKGNAQILLLRVQGRYLVV GASNGSIRFYDFKFRIRAWFEDVVIGQNGLNIQCIGSITNLSFANESVFQEDFETESDEE KDKDEQSQKKSKPFLCQDFIVVDDRANVILLKSSQFQEIEKEKKKGTVIMSSIVSPIISI SVRPNVPVVAFSCEDNKIYEWNFYEKHNKLKEIKEKGSELQIQILMVIIQLSVQKMEQFI CMIAEKKNGLKIYWFQKLTKLNQKQPIQLLVLIQNILLRLMNLMQSVFLIFDFDPNSQLK QQKEWIFAGKYRVHHGPIKSVAFGETLDEKNQVQLKVFTIGEDMKLAVYDVLDPTQDPYN RVKLRSVVTIEQECLPSACIWYPINLQREDVLLTTNSEFKLKLWTVLKDLRIICKKTCLG PTFGGHIKRLLLLNPSDMKNEYQDKYLAYSTGEKVVGIIKLPLDGNPNKTMGLIAHPEKI VDLSSTQDGKLFFTSGGDDFAINIWSVDFAALEDNFQTQETEYEIFSNLLDGGPEGQTLR DLKDFFYYSQIRSKDEHTTKARKLDGLVPLTAIADMMRSMGYFPTNQEIENMINEIKFSK YLETGEQISELEINTFLKLYVNHKPVNGVTKGQIYDALKTLATDTGVIPWDQFTELLKTK GEKLDEQEIEYYLESLLPNKNYPQQLNLNNLCDELLGFEDMDGTQEQQEQQEEVQDVGSE DEQQ >CAK90551 pep:novel supercontig:GCA_000165425.1:CT868660:63385:68294:1 gene:GSPATT00003412001 transcript:CAK90551 MYKEEAIPLITYDAQNRTYHLADEAAKMIRSINNPIAIISVAGLYRTGKSYLLNRIVLNR GDGFGVGPTVNPCTKGMWVWGTPITVGKTSILIVDSEGTGALNDSDVNHDTRIFALAVLL ASSFVYNSVGAIDEGALESLSFVVNLTKFIQLRSNEEPDSEEYGEFMPQFFWVLRDFSLQ LLDNNGDTLTSHQYLERSLKEQPGFCDRVEEKNRIRRLLRTFFPERECFTLVRPVNHESQ LQSLQHLDLKQLRPEFYEQAISLRKMLFSKVKPKQFKGKTLTGGMYVNLIESYLQAMNSG CVASIESSWTYVFREECRKLQAEAFDIYEKQIKETLLSKLPTTLEDVKTLNKLCKTKAIA HMGNNAEITPYVNELKQKMKGKFENLLAFNETESVALCKQYLVTQFAPIDQKLKMSEYKT YSEFEKDLKLFNIFLEEHGPKVVRRKQMFVEFIQKMTNEGATFFVQNTLQDFEGYKKFSE EQINKLQNGFNTLKQDSQKQVEQQNQQIKQLNQQNADINQKYKTQCEELEKLKQKNSQTI QQMKTQFENEYNKLQSSFDELKQKCQVAEEELKNSEREQFILQSESKKKEALLEQKISHL QKLNDEFNKKEKQYDSSLMTSKSEFSVKLRELTTSYEQTLSEVRAQNLQLNEKLQETSDQ LMQLEIKYENYYQVQTHKEESQSNAIKKLMQSQQTLQEQINIEITKNKQLQDELLQTQMQ VESNEVGEQYKQQVKQLEIKLQEQKQIQLKSDAVLNQQIQFLQMELEEKKNTINDVKKSH ESAMLALEQSQNTQNQQQLNKSIIDLKEQHMIEIRQAEQNSQQLRKQLQQQLDQLQGELQ EAEMRGDVFLQEKNKLQEELSESYQVQDELKQKIQQQQKEMESNKYTQFREKELRMNQRI KQLEEELSQCKQQLQNMGNLDKNSIEQQVNELRNYYEMEKDVLERRIHEERQKADQKYQI LFEEQEQKMRDEQQQYEEEIETLKDELRDLEINLTTQQQQYDNEIELKNKQLNSMENILN ETKEQLVQLQNTFQTQVEQRINNLNVTIQSLESQLTNQQQNNQQLVKENQLMTQKVENLD VKLQQKLSEFKQLKEDQEKEKTQLQETLQDLRRKYTATCDEYLEKKINYEKAIALSAQQN EFFAKKVEELERQLESCNLKYEERIKIQKQEWTQELSDRLSKLNEEKQLIESKSTQLKKQ LREKETQFLKAQQDLEKETALSTEKIVYLEQKLREHEQQTSSENSNAAMQLKQLREQFSL LKYKSSSDIEQLKSQLTNLEFEKQELQANYEKDKILWQGKTQFLESQRESLKQELADAMR KFETTIQTLQRQRSLERNDHNQDITEMLNQIERKYQDQVKDIQQQHQKKCDDYQERIERL EKELKQSQSKELLDQQSKIGQQFERKTAELLENEKRLLSTIEELKQERDQRILEHHEEVE QEKEYWRNKINELEERQRESDKKQSQLIFYHEKERAKWSQEKDYIMQQKMELQDQLSRLE KKKELLLKENEKMKNSSKSLRKYNPNQTLNNSYLNKQASDKKIPSQEVVNMSYDVTKSLE DKDPSAKENLSTSTTFGSFKKYYQMMNSKQVSPSKTKEISDI >CAK90552 pep:novel supercontig:GCA_000165425.1:CT868660:68323:69930:-1 gene:GSPATT00003413001 transcript:CAK90552 MKNQGVFDPTALERAAQALRELNQSPHAAKALEAMIKTEEAKRADKQALQKQHEISKVKV EGEERRRNMDHQKQVNQQIADYNDKLERDRTKDKLKDKELTAQRMREEAEESIRRQENMR RETLTMQMKKQFELEEKKITLQAKLNAENYRKNFDLIIQEQEKKAQIEKQAKIELHNLYF AKFQEGFKYLQQNPQGLFTIAKVMLFVSGAFFFSKYSLGLGFKRLEAMLTKPTLVRETSR RSLKWMMPSSKRIFDKIVLNPELEVTLKLITSGFIAKQSQSAPLRNLLFHGQPGTGKTLF AKLLAYNSGLHFAIISGGDIEKLGEQAVPEIDKLFAWCQSTPKGTLIFIDEAEAIFYKRS SSKQTSAALSTFLAQTSAASKKYSLILATNLPNKLDEAILDRIDQIVKFDYLNEEQRVKL LKKGFEDTFQKSNMLSLILNPAKAFSKRFKVNFNLSEDEILSLAKQMEDFSPRQIDKFII SLYDAALGQCIIDRQKQYCVDVGFANNILKRSLYENSLRQQWSTQI >CAK90553 pep:novel supercontig:GCA_000165425.1:CT868660:70186:71916:-1 gene:GSPATT00003414001 transcript:CAK90553 MEQKEKKGKKQQDSTVDKLKCISEIVAELIKQYDSNQKINLSQIKAEFSKKNKISGAPKI VEILSAIPDDYKDKLIPILKAKPVRTASGIAVVAVMAKPHRCPHIAVTGNICIYCPGGPD SDFEYSTQSYTGYEPTSMRAIRARYNPYIQARDRIAQLKQLGHDCDKVEYIIMGGTFMSL DQEYRDYFIRNLHDALSGHRSQTVKESVQFSEESRSKCIGITIETRPDFCQKSHLSDMLL YGCTRIEVGVQSIYEDIARDTNRGHTVESVKKCFAMSKDSGYKVVTHMMPDLPNMGVERD LESFKEFFENPDFRPDGLKIYPTLVIRGTGLYELWKNGQYRNYPPDFLVDVVAKILALVP PWTRVYRIQRDIPMPLVTSGVDNGNLRELSLQRMRDLNVACRDVRTREVGIKEVHHKIKP EQVELIRRDYTANDGWETFLSYEDPSQDILIGLLRLRRLDKHTFRKELTQEPTSMVRELH VYGSVVPIHSRDPSKFQHQGYGTLLMEEAEKIAREEHGIIAGIGTRHYYRKLGYHSEGVY MVKKLV >CAK90554 pep:novel supercontig:GCA_000165425.1:CT868660:72540:72803:-1 gene:GSPATT00003415001 transcript:CAK90554 MQKHDQSPLSTLQSFGDISPVPEDEETEIKTIFTSPLTTNNTRRACSLQTTQRIRKTSEV LLLTEKLYSWNSYLTKKFAQVQTKNFE >CAK90555 pep:novel supercontig:GCA_000165425.1:CT868660:74494:74703:-1 gene:GSPATT00003416001 transcript:CAK90555 MNYILKHQIIELYGNVVGIILQRSVPNPNQCPNEIRQQVTQKSLCRAKPKPRHNTPIEMD KYFLTQMYF >CAK90556 pep:novel supercontig:GCA_000165425.1:CT868660:75123:76285:1 gene:GSPATT00003417001 transcript:CAK90556 MFSVIVTIIHLLTTLISIIYVSKGLKKFNSPLYKNIDIPERFKPFRRTDRDNWNRYEMYL CSIILFPLRLLMSFVILISFIITMFVATIGFKLKNPWPESKLKAFKPILQFLGKAYLWAN GFIYIKEKTLRFEDFIPGYQRTELSKGQPSIIISNHSSWYDTITYVYKYLPSYMSKVSVS KYPLFGWITTSLKSIYVDRESEQSRHQCVADLSERVRQINQGELFPPVIIFPEGTTTNGE CLIPFKRGAFDPLLPLKICCLKYSKRRFHPVMDVIGIGYMTLFSLNQLANEVEIIEFEGL FDPTYLNLQQYPEDKRWEIYANACRELMAKALGIRLIEATYRDGVEYQNKYLKGKLKQE >CAK90557 pep:novel supercontig:GCA_000165425.1:CT868660:76307:77224:1 gene:GSPATT00003418001 transcript:CAK90557 MSNFKRNDNSKKEDPNKAYFGHPELDALLGNTLLKGQIIFIEQDSPTTIYKQLLRYCIGN SFHKNHVSILFDQFCEQWSKIVPKKQVENQSQQEEKEMQNKIAWRYNQMQQQFTIKNIDS SIFYLDLSKSETEQTVENHLKLNNYDEINNLQQFLQIITKQYQKSLKTSTDSTLKKLIIH DFWDKIPYNQQELYQFLYSLKVLIRSSFILCVITLPERRMDLMQFCDFYITITQLFGNKE FSEFQGMIKFDKIGWSGSNKQVQLDNDKWGIKITQKSLKIEQLYETAIEDDSEEEQNKKN KIEQF >CAK90558 pep:novel supercontig:GCA_000165425.1:CT868660:77293:77703:-1 gene:GSPATT00003419001 transcript:CAK90558 MKQQYRSQTPVLGSSLFDFNIPQMTITGQYKIRNACVLKKLCPEKKRHYNNPIKLTNPRK NLKYLFINIDVNDPKLYDSDSDYQYRSMPKQKVLKQNIQRKSMSIKHTNLEMSISNLELI QRPFCKHLRSKSLKFT >CAK90559 pep:novel supercontig:GCA_000165425.1:CT868660:77760:79119:1 gene:GSPATT00003420001 transcript:CAK90559 MQSDIILNNAVIKLIREFQDKTIYFKYFVQYEKPTYQRKLYDFWVSTIDKLTENILKTSL INVHELQNLFSLFEYKPQCIENVLAQMRKEGKIVMEGYRTKAVNILLKVENEENEDLTTN NTQRLQILWNPLKKVFEKLLIKIKSPTPTKCPYFFHIKYFKENIIKFKQAVEEVSINQFN TFTAKQLTKVIDLPQREILFLISIFLEAKLIQSVGYFTIDSIKQEVFIYKSMWLVENNNL DLAKNQTLFQLKYQEILNSDEREECYKSIELKEKQIRKKILEKKSPNEIKFHIAEKLQFE KWIENYEHRLLIMQQSQARLRNADSDLQIQDIIFNHILKNNEIDNLTLQENLNEFMDHRQ QQEETLQIMKRYEPSEIDTLYNKYLEENQQMDANSLQKQNTHNTPFVPLQPQNHNYELEE RILELQQ >CAK90560 pep:novel supercontig:GCA_000165425.1:CT868660:79145:79432:1 gene:GSPATT00003421001 transcript:CAK90560 MDKSVKINEKGTGITDQIQNEIVTIVKNAIQVNKQFLGEVCNQIANVLCQKYGGWWCCFV TDKDANYGSRLFHFDHMYLDATIEQKRFTIFKSAK >CAK90561 pep:novel supercontig:GCA_000165425.1:CT868660:79601:81760:-1 gene:GSPATT00003422001 transcript:CAK90561 MDNKSKGSSKSRKELGGIVEYTIIDELSLKGVSLFKLDVNYDHLKQFLEGVQADLDNHTV EIEGIKKLLGPNEIILLVQEQFQLLSDSLQFSDKDIEKELSTQLSTYITQIQGKQKFGKG ALEKIITELRGKLFSVISMIQHLFREKKRQDQRLTKLENDMLTKFDTKECKEKMRKQKNQ LEQQIEDLQKNGRQELDTVEKKLSTDIQKFEEAVRDVERKTLWKIHDCQDLLLKRTNEEF VNEAIRTSEDKILKEIQQMQNNEQLIKFQQDIAYIRSQIKGIDDTVGEKQSKLKLQLNEM NDLLKSKINLIDKLVEQNKQQGDKIIDLNSRLATAINKLDVSNQLDQHRQKIKQLEDGIQ RLNDEAKERVEALKDMNLNAENVAYLLSKIDPHKICTLEGDIKKLEEMSIRNNVHWETLD AEFKSRYDSFQFFSQLYQQQQQQQQQSTPEESKYVTKDEINRMFEQFQQQGNLEDDKIRK IQKDLQDIGHKVENANEIERRVTRIFRDVDINGLIKQVKVKANEDDVKRELFNLETRLGQ AMDTINYLRREIEALQVQLKKSPMNFQQSASPPTDQIIGINTKKLYPINCLSCSTTNQQR VKGTDGKYYQADIKRQTIDQQQFYDLQQDESQQFNQQNYVQRPQSAVVNKQSNGVKRPIS AKK >CAK90562 pep:novel supercontig:GCA_000165425.1:CT868660:82721:83872:1 gene:GSPATT00003423001 transcript:CAK90562 MASLNKLSSNDIGNVDRQIAKLKQGQILTESEIKSLCIKAKEILSDEPNIIQVRAPLTIC GDIHGQFHDLIELFQIGGNLPDTNYLFLGDYVDRGSQSVETFSLMLSLKVRYKDRIVLLR GNHENREINKIYGFYDECFRKYGNEIVWKQFTEVFGYLPISAIVEQQIFCAHGGLSPAME SVDQIKQLNRVQDIPHEGLMCDLLWSDPEETKNGWGISPRGAGWTWGCDITEKFLHSNKL KQIARAHQLVMEGIQKVHNQKTITIFSAPNYCYRCGNQACIVEVDEQLRMNQTQFEPRPA RK >CAK90563 pep:novel supercontig:GCA_000165425.1:CT868660:84108:86951:-1 gene:GSPATT00003424001 transcript:CAK90563 MQKIQLQTVTQDQAAYNRNAQKIIEKLKANDIELIDATLEYAVQIFNSNEPPLKKLLILR VFSILSQLIKDAILIKSGKFINKYIQTRPLMTFIGNICTHKLGDSNLNRGADLFYGQDSQ SSIEFLNLALQLVEFLATTFPKDSKGAPTKFKIQYDELVQMGVKFPPQSSLILPLDPNIV SQKQQNNNKERDETMLKLNESKQTIYQDIEMINETLVNNFDMGYINEVLVNLSESMNFIE KQFASLIYKANEYEGVLSEDELAELHALQDFVKKFQIYYYAFLEQECSSDAYNQLKKRTL AHIQKIQNKDFDNPPPQQYKQTMQQQSQQFQSQFQNEDEMLKKAIEESKMWQQNSQIQQK QFNEQKKLLEINKQQEDQHLAKLREEQIKQEQSRAEEQRRENERKEKEKQEQIRYEQIRQ EQVKQEQLRLEENRKGQLKLEQVKSEQKRSEAIQQQQSQMKQKEIENYWNNQFQTPNPDN QQYITLTKLGSNTIPQKQQQQAQSFMESSFQQKKSANQQQNGIINTNYMYSTVNQQQKQA YANQFEQNNNNFQQFHDQNTREQLPMNHITSNIGKRKEFDENFDGLSQSTLTQSFFPLKM DQQIPIKQYIGKFVKYDNTQFELLHMYGLTESQKKRFKIATIKGRATLVNSPQLQVGIKQ ELQYVPLKEKIYLKLTLYIGNKTQSNFDSCKIQFEGDSKQFSMWLKPDKMVDKIEAGQQI QQEVIGIFKQYENYRLVNVLFASQWANKQISSNFFLTTTLVSFMDFKDISLQIFRTKWRQ KKTQILRGETFILNPKIVKTGNYLRKIFPKLCEFNSYQLFQDQQLDYFRDRQFNYISYKL CGIFELTNVNQNYMIKFIVLPNMQCTIQIIGNNEPLCKQLLSTLHWILGLE >CAK90564 pep:novel supercontig:GCA_000165425.1:CT868660:86959:88091:1 gene:GSPATT00003425001 transcript:CAK90564 MNQILQIPPKYYANYNKSQPKEYWDYDNFENEWGDSDQYEVIRKIGRGKYSDVYEGIKYP QGTRVVIKVLKPVKKQKIKRETKILLTIRGHPNVIELSDIVRDPSSKTPCLIFDYIDHVD FRSLFPKLTDIDIRFYLFELIKALDYCHSKGIMHRDVKPQNIIVDPKKKLLKLLDFGLAE YYHPGQDYNVRVASRYFKGPELLVDNVYYDYSLDIWSTGAMMASMIFKKEPFFQGQDNYD QLVVISKVLGTEELQAYIKKYRIRLDPVLESKLGNYPKKEWVKFINAENKHLCSDEAIDI LSRMLVYDHALRITPKDAMDHPYFLPVKGK >CAK90565 pep:novel supercontig:GCA_000165425.1:CT868660:88692:89317:1 gene:GSPATT00003426001 transcript:CAK90565 MFLLLLLSNIQALSTGLDGGKDLCLGVQGKKTALFQISFVVSGFQEDNIEMKFYSPRKTL LKSSIKQKEGNYKEIMTDDGQYEVCFKSLDKYYKLISFNFDFVEENDLALAETMDQMAED MKQAYRSLKSIQNNQHYQNDRENEHQRMLESTEKKLWWCSAGKMGALIVICISQIYMLTG YFKGKSFGPNV >CAK90566 pep:novel supercontig:GCA_000165425.1:CT868660:89343:90981:1 gene:GSPATT00003427001 transcript:CAK90566 MDQKLDHANIILTQLESAETLNSMEVAKTLSINHQDLVGCLLQLESANYIKTEGLKQDQL ILTDEGNTILNSQSGEYEIYQKIPEAGISMVDLQKLFGIEQVPKVKQEQGEKKEKQAEDP KKKQFDLGFGNAKKMGYIKMDKGVITKIANDLPDKIKLELSSLKTIQLGSQQAKLLIERK LAMISTIKYFEIKKGIKYAPNFREQFAALTTEQLLSGEWKECEYKSINLNAEGEKISMGN LHPLLKVRKQFSQILIGLGFEEMPTNQFVESSFWNFDALFQPQKHPARDAHDTFFCSDPE LSEEVDITVRDKVKAMHQTGGVGSIGYQYEWSHEEARKNILRTHTTAVSSKMLHRIAQQY KEKGYFPKKYFSIDKVFRNETLDATHLAEFHQIEGVVIDKNASLGQLMGIIREFFRQIGI NKLWFKPTYNPYTEPSMEIYGYHPVLKKKVEIGNSGVFRPEMLAPLGIPEDVNVIAWGLG LERPTMIYYDIRDIRTMVGPEVKVETVRSNAFCVFESK >CAK90567 pep:novel supercontig:GCA_000165425.1:CT868660:92487:93744:1 gene:GSPATT00003428001 transcript:CAK90567 MQSEDKLKKQSKLVIDNSDEEEICKKVKLEDPSYSEEEEEVVDVEELEESEEEEKGKKNK KQEPIEDVDYIYVDKLPNSEAMLRDLKKQVEQRIKCYKMKYIQEYQENHTKQSFVHNDAI PICTDVRLMDFQKLRDEQLKIAGQLFDVIMMDPPWQLSSSQPSRGVAIAYSSLADENISK MPIETLQENGIILIWTINAKYKVTCKLIEQWGYKLIDEIIWCKKTVNGKIAKGHGFYLQH AKENCLVGVKGNINLDPNRFRKGVASDIIFSERRGQSQKPEEIYQYVEQLVPNGYYLEIF GRRNNVRKNWVTIGNEL >CAK90568 pep:novel supercontig:GCA_000165425.1:CT868660:93943:95077:1 gene:GSPATT00003429001 transcript:CAK90568 MTNNEQKSAFFINSLLVGMILVGTFNTLVYKYQNTTIIDGVTFIHPYMQALCMFVGEATC LVFYFIFQMKAEKDPNKEDGGFKILAIPALFDGITSSLQHVALNFIPSSIYQMLRGGLMI VTAAFSKFVLKKKLSNQQQFGILLAILGIFIVGLSNFLFRKTTTDDFSWEIKLISIALII VSLFTQAAQYIFEEKLFQQYNYHVFYVVGVEGMWGLLYFGIVMPILNFIPCNFKEGCVFR NGQGYWECTDVFFQQLGADVWLTVSVVMGIFSIALFNIFGVNVTKYVSALTRTVVDTIRT ILIWGIGLIVTATTSRVWENTSKWANLMELVGFALLVFGNLIYKEMLKIKFLQNKKQVLM EEQ >CAK90569 pep:novel supercontig:GCA_000165425.1:CT868660:95128:95968:-1 gene:GSPATT00003430001 transcript:CAK90569 MSTFISTKQESFIERQINTQQPETIKQYTSYTTEPTLLKEDYAVKKIERPEAYQFWHKKE KYNSYASRVLNTNYRSCSVINPGQSFQINNAGSGISQTQVDSEFQCCTKQQMVVSVVIVS REEIEQPWREECLYLQSVIKELEKKKEIKVIKEIDYARIKELEEENEHLQIELQQAQEST LITERVTQNNSEVEVWKRKFQEVNHDYNEAQEKLMNVEIELEALKKEKARAATATTTVTR STVRTGTSSVRQAGQI >CAK90570 pep:novel supercontig:GCA_000165425.1:CT868660:97176:97427:-1 gene:GSPATT00003431001 transcript:CAK90570 MKIHSFMIHSYQNTKNQIQQLYHLYVENLPPINLKVTQFIINKANKLQRNDQIIQFFQNK ILQIIMNNLNNQIMIYLIMQIYL >CAK90571 pep:novel supercontig:GCA_000165425.1:CT868660:97431:98444:-1 gene:GSPATT00003432001 transcript:CAK90571 MRTYQLLNEWLIKHLILILEIYTQNLDFKISKQKITQDQLEKFQSLQYELVYWIMKYFQN HSLLYETNARDIFKNNLIINFRIYFQINILSEMSWIGMFNPYFIIIGSKQFDYFSKLQIL YIIIKQFFLKQSDLESNHTIIGSLIFIIMKDKFIYVDEPVFAKKQTLLTMPIELKNYFLN CKQGFLHQKLQSYAIKSDSLQGPTLNIVQGHTQLKYSLNNRVSIFISLIMISGESVQLFK SEALTNKCKFLIQLNILKIVMNHPQLISTHSQLRYTSKQFQMIKIDLSNYIIPQIEQLIN NIYNKLHNI >CAK90572 pep:novel supercontig:GCA_000165425.1:CT868660:98480:99674:1 gene:GSPATT00003433001 transcript:CAK90572 MVLLNALLLQVVWPFMVKPPLTTETLYYTIACFTKEQSSSSQNFSRREQQFKKEFWSRSI SYRVRVNVVIIKRSFQNVQIVKSIWEWMCCSSKCTSLINKSGGYVKIKNQVVHRGNYEIF QEVYVHNLIASEKMQHLKNFQVEKIYVSQLSVYVIFECQIQIQNRIITKTRIYSINTYFL QTMQLEKYNNRQSDRLSGGNKRTLCVSNVLIRGPCFQFIDEPSTDVDPIAKRFLWRTLKL GTKGSLILTTHTTDEAENLRDKIAILVKGQIYCLGSPQELRIKYGDGYDMKLREYKNRQE ITQFLKQQFKNITQIGEKDQENLQFHINYRKFQLLDTWQVFRFYNAYFSLAELVQRNLIH NFSINQSSLESVFLQFQKQIDQYN >CAK90573 pep:novel supercontig:GCA_000165425.1:CT868660:99747:101981:1 gene:GSPATT00003434001 transcript:CAK90573 MWKSRKILYKILIDNNLLLPNKQACLEQLTKLGINYIAHHHDPVPTMDDVVKIQVTNGTA YVKNLLYVDKKQNYYLILANHTTHVGKLFWKTLGLSSGNMRISKEEQVIEALKSSKGNVN PFSIANDSNNRVKNIIIDEELTKFQKLALHPIENTTTIEISLDDLQNKFLKALNRQYTIT QLTDASAEQQLQQLKQQQNDQQNLQTLAITVKKSDFSEWYQQVIRKAEMIEYYDVSGCYI LRPWSYFIWEQIQRYFDDLIRTEDVENTYFPMFLSAQQLNKEKEHVEGFKAEVAWVTKYG ESDLNEHLAIRPTSETIMYPAFAKWVQSHRDLPLKVNQWTNIVRWEFKYPTPFIRTREFL WQEGHTAHFTKEEAVKQVYTILNFYEQVYGELLAVPVIKGIKTESEKFAGGDFTTTVETI IPQNGRGLQGATSHHLGQNFSKMFEIKFEDDKRQKAFAWQTSWGLTTRSIGAMIMFHGDD KGLVLPPRVAKYQIVIIPIINKDLDEKQLNEKCEEIKQILIKQRLRVHFDNRDNYSPGWK FNKWEQKGVPIRLEIGPGEFKNNEVRVVQRFDNKKYQIKIEDLNQLNQILDNIHNAMFEK AQIELKQRIKQADNWKDFMNQLNQRNTILTKWCQRDECEQQVKTKSGIESKEKDSEINGQ VQLTGSAKTLCMPLKQDEIKEGEGCFHCGQQAKKYVLWGRSY >CAK90574 pep:novel supercontig:GCA_000165425.1:CT868660:102092:103236:1 gene:GSPATT00003435001 transcript:CAK90574 MKESVPRVKLTHLSKTNIIDVWAHNFMAEITEIASLIEEFNVISLDTEFPGTEYNQPEND DKDYEYQQLVRNVQKYKLIQLGISLANEAGEVPLVKNTWQFHFKFNAQYDQLMNPVKVML EQAGIRFDDLASKGIDYSLFCEVVTGSGLILNDDTKYVVFHGEFDFGYLLHLFHHSGIPD TQEEFYKMMKLYFPQIYDLKYILKDNQKYKDAGLSRLASKVEVTRIGPEHQAGSDALLTL QCYYQLKFCYPDLLGDFDKNMNIIYGIGKGYVPNNRRKTYAPSSQTPDPGLQVNQMDQYY YGQNFQYDELQNSYYLYGQQFINYNYFQYQMHESDQQKRKGY >CAK90575 pep:novel supercontig:GCA_000165425.1:CT868660:103382:105257:1 gene:GSPATT00003436001 transcript:CAK90575 MEQDSKLSQFISPRQNAQLILDQDETQKVNESKQNVNFKSGIQKFRTEDFSLRRKLQNQS LFGKLKVLCKGMQQYIYILLEDPNSSLIAYCLQFLLLTSILLSCVAIIVDSLMENKSNPQ YDDISFYLEYYLFIFFGLEYLLRMFSSTAFDSKLVKFIFSPLNLIDLLAIMPFLFNLIFE GASLSGLRIIRIVRFMRVFRLFKLSRFMKDMLMIADTVKHSAKDIIILITMFFFLVLFFS IVMYYLEYDYNNIVEDEQEIHSISEAIWWCIATMTTVGYGDKLPLSVPGKFIACIAAFLG ITSISLPVAVMGMNLTQTLKEHEENIEIQKLKDQFVMESDTEYLNNKREQTQLNIKELKF MERRLEQLLENNQKVMEYVEQSQLLFDEVTQDLMSLYSALTEQLDLHIETKMKNLKARHR IMKMEKNLNQKKSIELSQIVSAFKEKQKLISQGSILMCEESQADVFSIASRQSKQYTARK SQKLRSKNNRGSYMCVINNSNHNPFKTQTDYNDADPHQNSIIYAQVSSRNSAFNQDMFQN IGGNAEFKFNLEESSGNIEEDDENGVDLSSKMQSISNIQDFKLKNSIKQNNI >CAK90576 pep:novel supercontig:GCA_000165425.1:CT868660:105581:106133:1 gene:GSPATT00003437001 transcript:CAK90576 MFLKIKTYGLEHTKCQINDTMEWLFDKILRVVWIDTSIEKLQASRLLYNKIIKIKCQNTI TDKQICDLYSNLLKKIKELKNIQTFKQKVHIMKIDFILFKLRENQHFPDFKKNCNVCKVC QQHKSKYFCGGCYYFTGKRWSLCLDGCFRQFHQDPTKYLNRKRKNQII >CAK90577 pep:novel supercontig:GCA_000165425.1:CT868660:106148:106590:-1 gene:GSPATT00003438001 transcript:CAK90577 MGFEGATKKNKSNIQVGQLLYARVTELNHYLKGKLSCINPQSKTKNLFRELIGGVIVDLP LNFVQSLLSSQTKPELFKVISQHSSFEICVGKNGRMWVKGLDAVLIINLLKRCAPMQLDQ QLNLINKFASQFQQ >CAK90578 pep:novel supercontig:GCA_000165425.1:CT868660:106640:106885:-1 gene:GSPATT00003439001 transcript:CAK90578 MQSALVYITLPREKIILKSVVTGNTIKINKQDVNITKSLEIESKHTGWILIDQQKIAIFH SPIYYTPKLMTIQLPKSLEKQ >CAK90579 pep:novel supercontig:GCA_000165425.1:CT868660:107082:108650:-1 gene:GSPATT00003440001 transcript:CAK90579 MIQDSIFAESFWNPIQYNWAMNSDDEEEQTFQLYSDDDLQTFKVISGQLYANSQYINLME TFINLDERGVTLQNKYGSININGPDLQQFYQMINQRCTHLDIQSYYEIEKVLFQLEKFTI LQARDRMSGMLKSIKQYSKSEGRQYLKRIIRNQKYEYIYEDEQYVYIVISYLNGKPINGL PFEQSFFGLLKMLYKYHNEGFILNKFLVKVVYILLQASNVILLDDNCNMDILDNCLIERM THEYSKEIFQDVQLIKAYLIIQQVKQLLSRFPDQIDTVQEMINNNVQSVQELLFNQLFIN YFGESEFYILLNSQPYQRQNHLSQKSKLNLLETFNTIRSSIMDSSDESEEVQINKQFNFC SSQFDDSPMLSPSVKPKHQSRLSSRQVVLETLLQENIITQQQFQQFYKEEDFQNEQEINE FSEMLTKEIENSSLTTPIQSDSNTTCPNTVIIHSKKNAANRICDILHEAQQELIQAAKKP YNVNKVQNVRKNLFNLH >CAK90580 pep:novel supercontig:GCA_000165425.1:CT868660:110033:112082:1 gene:GSPATT00003441001 transcript:CAK90580 MLTRRKSSELIEPEIVKKIKGNDESNLKVFIKNKQTRKVLESRGIANLFPIQQQCFETIY NGDDIIGQDRTGSGKTLAYCLPILERIRGLGLKQNKNPYVLVLLPTRELAIQVTTEFNSI LHKENEYRIYSIYGGTDLRNQIDQVRQGCEIVVGTPGRIQDLLERKVLKLDEIQVVVLDE ADQMLNFGFQENIEKIMSYFNDRKIQMLLFSATIPDWVKELSQKYMEANTKHINLIKRHE TQTSTTVKHYALQCAKNQLTGAIGDVVSVYGGRHARTIIFCETKRECNEIILHSKLPAET QPLHGDIPQQQRTVTFEGFKNGKFKCLVATNVAARGLDFPQVDLIIQCNPPKDIESYIHR SGRTGRAGKDGICITFYSKKDMSLIERVERVAKIKFIKISAPQHQDIIKASSRDLQTSLQ VVSKEIVDLFQPVAQEILSRCDPVEALARALACVSGYKDKLQNRSMLGSFEGYITYVLRS STPFQACGYIWKFLKNNFSEQICNSIKGMKKLRNESGRIRKSILGNISKMDIAEELKLNK LLNNSNLIKIIINNPTVQVSQTVKKEQEIFIGGLDFKITEDEIKNEFKNRGVELFNLRLL RGQDGQSKGSAFGVCRTKEMVSVAIKQNGTKFRGRNIRVNMANEKPK >CAK90581 pep:novel supercontig:GCA_000165425.1:CT868660:112245:115941:1 gene:GSPATT00003442001 transcript:CAK90581 MNQLLTLLGKNFTLHFRSRQFWLNLYIPIFSILASQMAQEKIFIQLLPIFICLNNNGAIR QTIQLFVSERTRKSKELQLQIGLNLISYYSSWIITAVTFSLVISVIYLIPFFIMQLNAAG QASVDVIIFIQGDILYNIALSSFMLFLSIFFESPLKASEFLSLLNVIFSFASYVNFYPYP EYSFFGQLTAVFLPQSAFQMLLFSENWVFNIRWVCLSKITYIAFLIFQIIVYLFCFVYFD LNKGTLKNKFWNCFKKQNQTHVFLQDDTCEQNSGNRNQQLQKPFLNIQQDFEIQGQSIIK AENLGYQIGEQSILKSINLDVYQNETYCLIGPQGSGKSTLIKILQGLTQQTSGTIKLFGL EIDKNLKKQIGICMQQDILYEDLTVQEHLQLYGSIKGITEEQLTIQINNILIFCQLVAET NLKASKLTSLNSRKLCIAISMIGNPQVLFYDEPSQDLDAQAKQQIWQILKQIRLNRTIVF TSLQFEEAEQIANRMGVLINGQIVAQGTPEFIKNNFGIGYNLNMKFTDENQLLIEKPKIQ LSLQRIDSLIQAIQRDETTLLSVLPINKMDQFHQIFSYLEQNSKCIFSLKYNQLEDCYKS IDNSEGQDNIDFNAQGIFHQQPQIELRSQIHGLIKRKLLLILTEKDKQIKYIFQYFLIAL AVMFGMFSAFRFVFFYLGIKAMTCILFTDYQFEEKNTQMKQFLLASGVSIRTYWLGTLFT DLIISFVEGIYTAGLLWIYNVGHFGFHFFAVTLLITIFGFSLSCQVNYFMQKSQSGNLCF GITFLTVFVFYLIFLCIMLGIALPGVSPGVSLLAMMMMIVSPYMACYIGFGMSPSLLNLA IFGYWSCLLFLLIGGGLYFLILITTETKQHKQIEEEMHDTNPVIDLQDVQWKYGSIVTRE KINFQINKQEIYGLVGPKNAGKSQLINLINRYDQPVNGKIRIRDQNTHVGLAPQFQIFKS LLTVRQMLEFSGKIKGQQNLDQSIDIFLKAFDMFEIKYKQIQYLNEAEMRKLHLGMALMG GSNRLFLDEILQGLDLKSKRKVSQIIQQTSINNEAGILLTTQSIQDTLLICNRIGIFING VVIAQGTISELQDQLGKYARFSVKTVFGYKEQTHDFICQTLQQQSYAIQPIFDARENFLS YMIPASQFKMSNLFSFLYLELQLKSKLINEFQIYEPNLEQTLEFFASQQMMVLKAYHLSQ NGQDDFNFGCGLRSITAMFCIFW >CAK90582 pep:novel supercontig:GCA_000165425.1:CT868660:116375:116880:1 gene:GSPATT00003443001 transcript:CAK90582 MLREDLTIFPNTPQIQSLFNPTPNNPMTAFVARSCYKYNQLQRNKQQRIVIQHIPSKHRP NESRQISLPRALQAIKKQQLESLRRQSQQKDGTVSLVFSDVKEEELILQKIDKFQYLQHK LQTSNDDLVEERKTNMNELEKSTSKMKGVIENDKTKSIL >CAK90583 pep:novel supercontig:GCA_000165425.1:CT868660:116896:118863:1 gene:GSPATT00003444001 transcript:CAK90583 MQQKIDEVAHENHMRIMGMQIKSFKLQEQQSIRSKAMSQEKERETSKSYAEKRDLYSRSV QKRRHQSPSNKMKNISQQSMKTNQNFRFSLTDYSSLSREQLFQMKLLSYHYLIFIVSTQS NFYVQPSENVTELKFKVGKGNNSLLIKELFKSRWWWNLNQEADNKELNFIWTQIKVPQFM NLQEWSKDPFITRQKSLSQTSTDLRKTRRQQQSPKNNKQSYKFGKFDDPLQKLIIEQDVN ELKSMEKSIQSFIKYAEKKDLKLIDNVNRIHNHIERNYHLGNKKAMFHNMKKYYELTKQE LFLHLPITFHVSGVKDKSYQQFMEYYKQKKGNNIWIVKPGEFTNRGNGIIVCQSLAEIHK IVSKRQVHPNGKPFTYLIQKYIEKPFLYNKRKFDIRCYFLITQLNSIIRAYWYEEGYIRT SSEEFDIKDVSNQYVHLTNDAIQKYSEAYGKYENGNKLSFAEFQRYLDKWHSNEHLNFYK DLYPQLKMITLNAIKSVYHKIEPYKRNYNFEIFGLDFMIDEKFQPYLIEINTNPCLELSS PLLGRIIPAMVENAFRLSIDTLIPPPEQSTWPPNKKHLLFYDNMLENNRFQLIFDEREDA QELKMLYSNQSNDDQIDEMDEEEEEYQSDDD >CAK90584 pep:novel supercontig:GCA_000165425.1:CT868660:118887:119532:-1 gene:GSPATT00003445001 transcript:CAK90584 MLKFKNIYKLLIQVKQVPNPNFLKFIPIGKQSKRCLMFTTCLKPIQHNGVTREFYGMDYI SISKKNESKWDDLRSRIFEQIFDQYESNQEGSEKQFLFEGFKQNKDSVIQDDDSKPIQLI KDILNHRIRPDFQEIGGDIVFREFDELNGILYLYKKGSCVECPATATTLKNRFEKMLCQN VDQVKQVIAEDYVGYD >CAK90585 pep:novel supercontig:GCA_000165425.1:CT868660:119567:121275:-1 gene:GSPATT00003446001 transcript:CAK90585 MSDSIDFNDMINQNRNIYGTQQQKDQSKMIHKPVDDSSLTIDNILKGNQAFYNPMSLQTS QLKDKIEEESQEEKVNFFQEVQLLTKIPEIVSFNLIYNYKTIFKNEGIPYEEAKHLDKTR IKRKERELKVSGASVEKDIAPTKKQYVRLYKNQISKICFMAPKSLSLISQHLGNNTKWEK QLKIQDFGGQRPSLSIVQEKTTGRFYIYDNKLGKVHIFSSDMLINDNKDKPLVSLNLNED KPRQDPLLYLIECEHEYQWKSVLLVIGGHHLQRGEKKPLKTIKVFEIKRREVTLTPVLTI TMTKSRMNPIVFDLIKDKGLIWQDKDNEDKEKVDAEHRSVDQKYIFIMGGNPLLEYEKEV DQELIEANQTCEYVSLKLILEHIARAKLFSMTDQANLSLNFGKFSIVDTLTINYQNIIAT EKVYNHFYNASVTKMVDDVFKQKALIILGGNTTQTFQIQAISFKLNEITLIGQTKQISKP SLIYSASNLRYFEKKVFYFDETVKKDPSQLLNMESRRDTKIVCNCSIM >CAK90586 pep:novel supercontig:GCA_000165425.1:CT868660:121849:122588:1 gene:GSPATT00003447001 transcript:CAK90586 MQELLIKLFNIICEEDQTKQKFYKCNNTCEQLKLITDIIIKKQSEFLSLEKQLQKYESEV RKNIRDQYLMQQQQDDLQQKVEELEKSRNEFLSNTKNTIIKLKRENEELYQKCKSLTAQL QKIDNQSISKYSTVENTITNITNTLDQAKLRPKTNTTPQKLKTDVDTSQKRLSQQLIKPN YFQKKQSTQISIQNKRQSYQYMENQLNLTTSM >CAK90587 pep:novel supercontig:GCA_000165425.1:CT868660:122607:123134:-1 gene:GSPATT00003448001 transcript:CAK90587 MNQIYDLMQIIKTSEQRGQELMIDSFVIGYLFGKGNLKNKEDLEKFIEQLNDLKHKIDIG DQFPTSRDDFILNSLGNLIMMIKKRKRNQERVKEKQILWLRQHLQTLKQIQKKEETEKQQ TQTNSKKPKTQQPQKSGMQTRQSTTQSTAEQKKEQQKNE >CAK90588 pep:novel supercontig:GCA_000165425.1:CT868660:124196:124949:-1 gene:GSPATT00003449001 transcript:CAK90588 MDNPNNEDYSDFFKVVLVGDAGVGKTHLMTRYVKGCLPKNAVPTIGIEFAGKTVTLQNGK KVKAQIWDTAGQERYRGITSTHFRKAGGALVVYDVTKEKTFESVVKWMEDLRYQAEPDVV IMLVGNKIDLVENNGSARFLYLIMHRKVQKEDAKNLAQQHKVLFEESSAVTGQNVGQCFD RLLQEMYKIKSLTPGQDNPNQGLSLNNQNQSQNPDNCKC >CAK90589 pep:novel supercontig:GCA_000165425.1:CT868660:125643:125951:1 gene:GSPATT00003450001 transcript:CAK90589 MGQICKLPSTPELKMNSDSNQIKEMTHEEEVQYEEQENKISEQNQSFFPANQLSVQLSCT PEFSLPPQQIKLFSQQDSEYTPEFLAISQPFQQQMNIYQQQF >CAK90590 pep:novel supercontig:GCA_000165425.1:CT868660:126328:127424:1 gene:GSPATT00003451001 transcript:CAK90590 MGATCSNSCCTNDQEINSKGGADKQEVVLSKNKSLKESQQRNNKETERFNADNDQGKSDA SIGSIKKENILIQSTIGKMQRVQLEGIGLIHLEITFSDGTTYKGEWMNGLKDGQGVLKWP SGSIYSGFFLEGKLNGKGKLVLEDEDYYEGEWKDDKCNGFGVYMCKNGARYEGNWKNDKQ HGKGKEIWQDGNTYEGFYNDGKKHGQGILKFSNGTTYEGDFSNNELEGQGTMLWDDKRVY KGQWKKSKMNGYGVLTFPDGRVFRGHFQDDKKNGVGEFTWSDGKKMVSTWNNGKLIYKLG KQNGIGICTNGDRKIGYWEEGKRTKWLDEQERKLHSESIQQLELVKFL >CAK90591 pep:novel supercontig:GCA_000165425.1:CT868660:127433:128620:-1 gene:GSPATT00003452001 transcript:CAK90591 MSDPYDWPFPSRKDLMYLSSINKRDVGTVRPDKLTGQDFNLQTKDIDGASPCMKPYKFTN KQDFTNTTDDIAGTRSKVLIPQIGRDGFSLNNRDIKGAYPQLNKFQTTRPPTNPVDPVYI LPKAVPVEPLQPKFIRDQINIDDIPGTKPNPPAAKLRKTVHIFDEVDGAKSKPAFMPRDH MEIMDVKDINEYRVFKSSRVTNPLEPEYQLKDVEGQPANSKYGFVGGSKSRVLHPQINYN TKNLETKDIEGCHVGAISDHFLMRQKRSQFRAINDTKDVPGAQVGTLRKGLLNHRGTNPL TPEYQLLGRNEIYNQNMPRTAFEGNRQNQGGEKPKTQQSLRSNSLNKIEQPLSTAQKFDQ FIKK >CAK90592 pep:novel supercontig:GCA_000165425.1:CT868660:128752:130240:1 gene:GSPATT00003453001 transcript:CAK90592 MNQLDDLDIDEDFLVQPANQFIDDDQNEDDIFDEPTQTFVNDNMHINEETRKKINNDFKE KLERFVPKLFQQAQIKTREIIGIKQILNSLKISDKLILEQRQKERQEQNNQNQYNGPKQR IQKRNTEEFLIDKLKKHLIEGSNLNFTIHDLNYTQLYLCHNPCVIFIKTQHPYHTLDIVN QMLRNLKNPQLFEQDKFNTLQNEFGQNCLKLVIQQMQYNHLVVQIPGGSIKFEIKAGNEN QNLDIYKDQIMNVYCQINPELKKICAFLNYWAYQRGILGDHALPEFALYCMIIDFFMTQS LIPNIFSDQYSQWSQEKLQLKQKIKDSEDCRLSKFNKDEYIIQVTQKNGRKVEKIFWLSF PRSKEEIKGVIEQWTKTQEKQYQQIPQFNIGYQISVFFHLWNQVEKYKVPLALTSDVQKE GQEIGLIIYNPFIKNQILTPLLQKRQKNEEFKSSNMYQQVRQEFKRAYDLILSCNFAELC DKQQIL >CAK90593 pep:novel supercontig:GCA_000165425.1:CT868660:130290:131162:1 gene:GSPATT00003454001 transcript:CAK90593 MKVFYQKSTQPQLWDIMNYEQFTQQTHQVFQLSKGTYSIKAKSNNQPIRTTKDLQLYGQQ AGIIIEPHQQSLFSVLGGHLPEANNPQSHRIVKQKVPAIKKQLKTEHDGVESFKKILHIG NSNLSNDWRQIITRIKDLQAESYYFKNHMQENYGTFQEEIDALIDEANSLQLQQQQVFQQ NTELLQKIEQTKQEIIEQQQQYDAIKNQFKQENIEYFIQSKQQQNRNQIKIFKYIDEFDS FLNENKFILAYGANLDIRKKLQYFKNKYLQLSK >CAK90594 pep:novel supercontig:GCA_000165425.1:CT868660:131199:132273:1 gene:GSPATT00003455001 transcript:CAK90594 MGVTQSSQNDHLEKYLGQDFPDGEILIGFENPSNICYSNVILQALYYCKEFKNQILQHQS SINQNNLLDLTKLLFQSISKHKQKTGVISTKKMMNYIKTKNKIFDGKYHQDSHEFYMWFI NECEELLKDKQNNWVRQIFQGQQLTQIECLNCHSISQREEMYCDLSLDLFPNYSLSTCLQ QMSKEEQLNGQNQFFCDKCQSKQDAIKRLLLNTLPNVLVVHLKRFKYDERCGQMIKVSSK IPFSQQLSIKALKQTKTYELTTIIIHLGQGILYGHYVCITKIQGKWFKFDDDKISLFVDQ DLHFVYGRSYPTHAQTCAYMLFYNAQ >CAK90595 pep:novel supercontig:GCA_000165425.1:CT868660:132416:133515:1 gene:GSPATT00003456001 transcript:CAK90595 MNKNHNFEQVCSDICVYHEPVEYPQNPIQKQYNKLNLKKDKLMQKLASQIIRSEQRIKSP FQIEIFQQQQLLNDKCVETMSSELVLSQPKKNLSIDLSKRWHLDLSDLTDKGVRDLSDGL SKLKSLEQLNLALCGYLTRLFNISWGYWNQNITDKSLQYITNALILQNQLHEFNLDLNMW AYENNSITDEGAKNLMDGIGLLQNLGKLELNLKGWGDGNQDITDNTIIGLSRCLKKLGNL SEVKLVLWQNIGKQAIKQLNKTLSKMENLTKIDIKFESCAQQQPIQNNNIDLKLQQIKIN AVQKRRLLFQVEGIMINFEPVINKRTLWDIILKL >CAK90596 pep:novel supercontig:GCA_000165425.1:CT868660:133663:134206:-1 gene:GSPATT00003457001 transcript:CAK90596 MKEKNETDGICFIQITHFVKTKFYQSQQNLQYKLQYTSIMIYYYTLNQKAIWIRLIRIEN AKQYQKSNNLIIIQFKNYLQDLKRNFELDLNSCAYSQNYIYSLFQNSMLQNLLNLIIQII IKESYKKYCLLMNCNKMGMTCNIRNEIICISQLLAQFLEQLM >CAK90597 pep:novel supercontig:GCA_000165425.1:CT868660:134262:134640:-1 gene:GSPATT00003458001 transcript:CAK90597 MCKKKILEWENELLRWESNNLNNRQSNEPLTNDKIEDTFAIFNIAEQLQQTYQKLRDRSK LVIYNLFLAIIILTIWSKRATQDLSQYIIEKLAKVTQLKQLKDQAIMIKRYQN >CAK90598 pep:novel supercontig:GCA_000165425.1:CT868660:134824:136908:-1 gene:GSPATT00003459001 transcript:CAK90598 MAQRIRSYSFIKRNLDGTGLVKENSILKDKVHQIKFLVEDNLDILINELDPDFKQKAQIT DMSNVFVIIQENIVRWKILINTLKNNTSILESQFEKLKEKEEQMKVKTQNDIHHMIEEQE HKIIEKENVIVILNQQLKDLQNELEIHKSKLQDQVLHTEELMRQQQQQLKQRVAQLDNEI VQIKQQHQFEIQSILHNHEKDRKFYKEELENQTSLIEQKYKKYYLENQQFQEKYQKQMQQ IKQIKQQRDNFEKQLDQQTQKIKQYIEELANERKNKAKENKQQLEQQEIINQLQSKMAIE EKRQQRLKEQVQQHQDQNQTLLQKHVHEVNQLNSQITQLKKELEQKDLFIANQQLNHTQQ ILIQKIKYENILGNPIKLEGEQQQELTSDFSQQYDDQTQISYVKNLEHQIKQQQNVILEK SQQSGDIQIKYQKLQQSLAQQIDQKVKETQYECKLQLNKMQQDYEINREKNLSEHNYKIN LLQQQLSFEVDKLKCEQKELQNKIELLNNQLVEKDEIIVYLSEQLQLNKEQQSRDKKLAE TNYHDLQITQQQQLEQLEKINSQKLNDIQIQLNQSKSQAEQLRQQYQQLQKNNTELRNES KEQKQSLQQYKIQCDKLQKELQIQCDISKSLNDRIRQQQVEIENAKQTYNIFTKTSRIPS IMSSEIRFTKLRTSPISRKLRSISNTRLQQLQNL >CAK90599 pep:novel supercontig:GCA_000165425.1:CT868660:136931:137492:1 gene:GSPATT00003460001 transcript:CAK90599 MLIHIIYGTLTLHIILNDFFEINLQSQIFNALLLLTIGVQVYRFALQWVLQNKEQVLLIQ SKKAEQVFSSKMKQFTELSSLPEKLIKNYIMPILIDAITLKNIPHTIAFFIALQLGISYI SLFLTNGAVRIISVNGLYALYYHYNKNQQLYETIIQRTQKLGNDKWQIINQKLQKQQV >CAK90600 pep:novel supercontig:GCA_000165425.1:CT868660:137520:138853:-1 gene:GSPATT00003461001 transcript:CAK90600 MNQLKAIQSSVDTQRRRNSSFKQEKSYFEQAKRRKSVFIDQMDDYSQNQILKNYKSFLPQ IKMDQVNSDGQDIQIIMNHFRLYQQQNVKPINWNIFFAQIWDFIQKNGDIYDLYQELFKK VFFLQFQQLQKQLFEICYFEKIIISLGYEMQQDQLFNQFFCQFVQNYQSCLQELFSKGKF NKKQLQDAQQQIQMNNTIDYVSIDDLYERFQQGNNTIWMIHRKCKQSQQYKLNFKIIRRH FALWLHETRNLEIIKLLPKQLLTASHPFINAKLTQPILIIDLDETLVHFEQGSNRLMIRN GSIQFLQQMHKYYYIIIWTASLPRYAKWALKKIDQQIHQHIDLLLTREYCIPHEKGFYQK MLSMLGQELSTLLIVENDFRSVIDSEKDYLHLIDSYLGQEDTVLFELSNRLTDAYKYYQE GFSFQRAVKMTQMKQD >CAK90601 pep:novel supercontig:GCA_000165425.1:CT868660:139353:140440:1 gene:GSPATT00003462001 transcript:CAK90601 MSQKDQQISSSGEIVFLESQAQYDSLLQKVAQLESQVKKLESNNQQIQKNFSMKERQYQQ LIEEFSNYKKSEQEYVENRENSSLKLLHQFKIYEKQIKEQREMIKNLKEQNQKLLDENRE LENQKDYLQSQNSVIDQEKQKIFISYYSRKKELEEVALEKNKFEEELKSYKEKIQNLEGQ IETLQQKQIHQEQEISYLKKISEEKEKYLSKVQDDLKKYLEQEHIVKQPNQEEYKVKVIQ NLRQIMQEIVGRFAHLSEELKDNENEKINRRSEEIAFLNKTFNVQLRLELEKIFITFKHE IRDNEVRE >CAK90602 pep:novel supercontig:GCA_000165425.1:CT868660:140643:143482:1 gene:GSPATT00003463001 transcript:CAK90602 MQGIMKTNTIISIKETKKYANILIYKPGPINSDLKSDEVFLFNYPNNHSFNQKIVKLDPE DYVLVNHTNIQQVGSDLMNMWHLEFGSELTIFRQITFDENSKPITNVNLLRQDIYMKIQN STRGTIQVDEKCLIKQWIMLIKSALKSEFQLNCENIRLWKHKHFPANQAIKQLEQHNGKF HGQILDENQSVGYYKADLIIIDIQLNGKWQFELLDLKTASLEEFKHVFNKAYKGCGKFYC DKNYCNSNPVFNQLQFEKASLQQFLIESFKEDSIDWSEVCWNSDYELKPIQQIEEDIIQQ ISKVSIYPNSFGGSFVQDFNGSYESILSHNNHPRLNTTVINEINKVIDFNVLKGWINNLY KTKESSPFKLRSIFIILHFDCFTQIIPEKSNSFLEFVFNLDIQSKNQLSQYLTHLPNQQF QNIIEALIKNLILFIKYQQQLPIQLLLKADIRYLMQQLELLQIFYKSNEKVKRIINEKFI IKEITKLYPRQAELLEFKQFACLNNKSLENYYFTFCLYPWIIPIEFKYQILTMDSNINQR NQGDFIWQQIGLAPSLKLLIDRNDIVNSALEQLSRKGINLKSKLSIVFKGEQGIDQGGLT REFFSILTQKLFDVQFTMFVTRNNNTVLWFNKHHMEMPIKYELIGMLLGLALYNQVLLDV SFPQLVFKKLMNETVQFEDLKELDLDTYKALNLLSQYEKDDIEQAFAFNFSITEYDNWGQ PLQVDLIQNGSQIMVTQKNKEQYIKLCTEYYLNQSIQKEFQRFHAGFWKVVDGNGIKLLT GAELQTMILGQKDLNMYELEESTKYDGFDKNSEYIRTFWAYIHSLDEQQKKRFLFFCTGS DRVPVGGLKSLKFVIQKHGENTEQLPSAHTCFNVFLLPQYDCLNKMMEKLNIALENSEGF GLM >CAK90603 pep:novel supercontig:GCA_000165425.1:CT868660:143575:145533:1 gene:GSPATT00003464001 transcript:CAK90603 MKNNQQLTTEEDEMISDEPWREYIEQFKELRQKVNQKADQEFQLVTRLEQTLNLIGKGQK PNPDKAQFIYKAKCILLKLKRDLHFFFADEHELTLQCITILLIQILEDYPYIFNDCQQQI LEMLACLTLKDYTLILLINSISLKEYIKKLTKEIFLESTQYNPTSEILFLLIVMMIAHLD FIKNPELELVQLIVKCFQHYQDQRDKTLKERDIYEQRKKFEKKITKKSGQEKEQIDLSPE MKYMQVPQETCRILKLGLSLIPSNICQMPSSYKYQQSEEIMKETSLNVFFCTLSFKIKQT LVQTIKENKRDFDVVQMLVDIAQMPECFTQQREALRTVNMFLEGFDDTLLWIKFDSTNLF DNSNLNNVLIYGDPTKLTIDQGLFYDGCGCFFDRDQVLQLEEPLKINKKQIAVSFWMVMF NPETNKSRGAQNNYQIRTLLGSSDGYSSLIISDAYSQLLGITNVNQDGYGYKFFEPKSSD KNFSGWNHVVIVFDNDQESAYLYLNGAYESQMNKVVSSFDIQFIGNCRTLDQPIGYICDL RIYPRILNDQEIKSLSYYDKKQVLLNSKNDLVDQILSNRIILDFKRLLGYFTGKNSEALI QTLQILSKLCQATTIFRLECVKYGIFDLAMQLLPSTNQQVSYQAGILINNMK >CAK90604 pep:novel supercontig:GCA_000165425.1:CT868660:145587:146976:1 gene:GSPATT00003465001 transcript:CAK90604 MKERIKYLNDKKVNVKGKYVAYWIQASQRTKYNHALELAIQKANQEQIPLFCFFGLTKYP AANQRPYHFMLEGLQQLKTSLADRKILFGVAKQSPDDLAISIAQNAKLLIVDCGYLRIQK QWRKKVADTIDCQFIQVETDVLVPVEQASQKEEWAAKTIRPKIQSLTKYFAKELNEETLV KQMDKLPFQEYDISNITKVIDDLGVDKSVSIVQQFKGGEIEAQKRLEEFLNKKLKNYAKN RNDPSLNATSNLSPYLHFGMISPLHIYLEAMKFPPSESRESFLEELIVRRELSMNFCYYN DLYDKYEGLPDWAKNTLQEHAKDKRDYIYTLDQLEKAKTHDVYWNSAQLEAIHKGKISGY MRMYWGKKVIEWTESPQQAFEYLVFLNDKYHLDGRDANGYTGVAWCFGKHDRPWTGRKIF GNIRYMIDSGLKRKFDTGQYVMNVNQLKKQSLK >CAK90605 pep:novel supercontig:GCA_000165425.1:CT868660:147424:148404:1 gene:GSPATT00003467001 transcript:CAK90605 MAQIESAKRLISLGSAGLFGLFLIKNCFFTVEPGHCAIKFSKFFGLQEEKYKEGWHFRIP YFETPIDYNIQTRPRQIKASTANRDMQNVLLTLRVLHRPYSDELPTIYRTLGIDYDEKVL PSIVNETMRSVVAQYTASQLMSQRDQVSFKIRQALDQRAAQFKIAIDDVSITELTFGKEY LEAIEAKQVAQQEAERAKFVVEQAREAKKSIVIKALGEAKSIELVGKSALTNPAFLDVRR IEYAREISAILAESRNHIMLSSDILKMDATANK >CAK90606 pep:novel supercontig:GCA_000165425.1:CT868660:148432:149393:-1 gene:GSPATT00003468001 transcript:CAK90606 MKNQKLKLKENDDFVQIYFDAFSKAISDTKIENYQPNHQKINEKFTLFEDLTIILKLHSP SKISQKKNFQEIALILQRSSMGIRNRYQQYLQHLNCQDFFKIYQFLQKHGIDGQLNFYLE NRNCWKLKDISSLSESPIYYLIDSPIQKAKENEQPQKCKSKFKRQNQEDKPIEPQVQKKI KFQFSANSKYDKSSKKQCPFQTEQTILNTEQKQRAEELKYTLKLLSNLLNASYKDLVQKM YQCSGDLNTLLRVFSNRQEGLLWTKEADEALKAYLEQDDLLEKQKLKAILNGEDSIRQRK EWLFE >CAK90607 pep:novel supercontig:GCA_000165425.1:CT868660:149809:152366:1 gene:GSPATT00003469001 transcript:CAK90607 MIRSEGMSLYQLLIPRESSYDVMSELGQIDSVMIIDHHQHLLSKPFINQVQRCDEILSKV EYLINQLNQIGQTIEHVYDFKLMLQEVRCMKILVIQRVLSFKQIQKHTFINQIEEYITGK YQQVQQQIDTLSRLKSKLQNTIEAKEAMINARRWLGIAYFHSKSSTALDFDEQMIKSYHQ HGGMMPSQKFTHFVGVMDAKDYQIFQRTVFRITKGNFMVNQTLLSVSRSCFLLIFPSFSL QSETWRKIKKLCDVLKVDHISLPLTEEQWDQRYCDYDKEIIEIENMDKLTNQLLQSILKP LLEDGNAQPSLLFIRFYLVRERTLYENLNKVKMQQSIFLANLWVRTSEIQLLEDILQTIK MKNPHIPAPQIKKNAIANQKPPTFFQTNQFNKLFQLITETYGIPDYKEINPSIFSIITFP FLFGVMFGDIGHGAAILIFGIFLSLNKIFSPRSEQKMLREQRIQLGQQIKKQINSKDFND EDLNTDFNLTQIIFDLRYMLLLCGAFSLYTGFIYNEYFGLSLNIFGSCLNKTDCTYPFGL DPQYEDLNFRNSYKMKLAIIIGFCQMLLGILCSGFNYFYFKKWINLSIIFPARLLFFTLF IGYMVLLIIIKWSTFHIDTSQSPSIITTLVDMWMHDGQVTLKTFESADFQVQLQKIIIVI CILCIPFLLFAPIIADIIAMLRRKKKDPKSLQEFEMVPQNMNSDSSNDDIISEQSQHTSY IDIIVEHLIETLEFALGCISNTASYLRLWALSLAHSELAKVLFDLTLKDPIANANLLASL VGMPVFLLSTLGILLCMDSMECFLHALRLHWVEFQNKFYKGNGYNFEVFSYRKEMQKYQE KMKS >CAK90608 pep:novel supercontig:GCA_000165425.1:CT868660:152534:153026:-1 gene:GSPATT00003470001 transcript:CAK90608 MEISHLISNTYEQEIDTEEDYHNQLQWDRNMRLSLFGLHSPELSVIENLSNYGCQIDHNF SHYDNSGTKDSEIVVCKSNKKIRKRKQKKSGLLSKSEFINSLQKLEQCQSIQFFLDQLSH SVKTIKDHLCKKIAQVSDK >CAK90609 pep:novel supercontig:GCA_000165425.1:CT868660:153622:154080:-1 gene:GSPATT00003471001 transcript:CAK90609 MENTFLDDEVQDLNTWDEYSNQIIFPSPLLLGRPSPRVKYLQEDFSLLQLPFQSDTIDED QDLNNPIEPVEQMLKMTKKIEKKTKKFKKQSKTKNPILNAARDFFSSVKQSNPNQQIQQF QQMKQLIDNLEGILGQVKHKLLINVQQKGSRV >CAK90610 pep:novel supercontig:GCA_000165425.1:CT868660:154338:154805:1 gene:GSPATT00003472001 transcript:CAK90610 MSEHITLYKIDVLCFLGHFNKQDFLRSICCTHSQFGLNFHCVIRDKKTPEICKNFSKGRQ ANNRKKVGGSKLVFICQWKQQIDGEQPVNWNFYKKRNADTIKDWHMFFQLKILTPIFRDE GQDQMVRCYLEKQFKIILVPFAFAQGRQVIIQSLQ >CAK90611 pep:novel supercontig:GCA_000165425.1:CT868660:154902:155372:-1 gene:GSPATT00003473001 transcript:CAK90611 MDDQQTEIRMMYKNLTTDLRNKYSPHYNLYQKQTLDEKINCFKQNTQQPDLYYKCFAGID ERMQSNSVQLQQSFNKIEIEDQGCQQKCKDSYQQDNLKQNMCLKKCMEELRDKAFKLQDT FYQAILKSNPEFKKIK >CAK90612 pep:novel supercontig:GCA_000165425.1:CT868660:155375:156659:-1 gene:GSPATT00003474001 transcript:CAK90612 MAPSQEFKPLTHFLRPQYVYLSLASLVLIHVITLLTEVRPGISLVVQSLACLYIGAMATS KIIYNKQNNKLEKEEKQSEEKMTQKDALQFPIYLSAYLFGLYLLLKYLDEAILKTAITLF FSAVGVLCLMGIIEDAIERLFPIEYSTKIVVGKKFNLNLILTSKEIDIQLTKLNFISLFI SMFPLGVYLASKNWICNNLFGIAFTVSGVANFTVIPNFKIAYLMLWGLFFYDIFWVYGTD VMVTVAKSIEAPIKLQFPFTALNDEGNPFTKYSILGLGDIVVPGIFVGMCLKYDVDRQIE KVKKISEINIPYFLWCFVGYAIGIVTTLAVMNLTGHAQPALLYLVPGCTLSVLIKAYLDK SLLQFWAYNADPEKPEAQTSNTETIKNK >CAK90613 pep:novel supercontig:GCA_000165425.1:CT868660:156682:157399:1 gene:GSPATT00003475001 transcript:CAK90613 MLTKQLQLLRSVAFFARATGGLDSEIYVRKSHKYLHSIYQKESPIEHAQQIVKDFKLTLG QRYQHGFEPEEMKEASPLVQKAFGLNNATDGQIVAYRIQQAIKKYQKWPLDTASALVKAA VLNERVISLMHHMEKNRQDKYCALTLTKLLADRRKAMHYLRIHDYQGFNWLVADYGLKDL KYHNHQYLRYRHVTATKTKRYTRKDTNRTRWAL >CAK90614 pep:novel supercontig:GCA_000165425.1:CT868660:157440:160007:-1 gene:GSPATT00003476001 transcript:CAK90614 MNPKFPDLIQQNYSQLQQKKEGTLSTIANSIYALSIVISDKEQHQIAQVKDSLSLLSDNM FNMLETTSLRQFTTQPQLALKNLQFSQAVRTPQYSKNSNPNPFFQSENSQRLFEQIQEAQ QLQMKQTLGNILIFDIQNEIRKYNDCFLGACQMSLKSNKKIVFINLTGVDHCDETLQQEF QEKLNYFYVNFKVFQHLQQQKQLPSERKFQNIYFNYGIFSWDQFKLIYRLHIKKLIKGIN SNIYILSIAKSLSANVSFSILMQSSKQFIQFDDDCLRRVIQKFCKLAQEKLFIHLVLLDP ESGFPIELKQLTYGQNQDLVRFINCIFQGTIGNKIKSKLDFDLDTYRYQNPIKYINWLQE FVNIQIQVKLDPIFLNMYQRELRSLFMNNTQIQSEEFLQESTLMILNKNEIYRKTHLYYK QKKLQFLFYSILLVDYDAEMVVILKQDDIEKNLYYLYYTHIQEAQYEFQCQRILLNKHVD NSLLIDSHNRASLSVKKMITQNNQYQKDYKIVYIHSLNSNIILEIQLLDNPIVRIIERNK DYNYVEDQNLAKQKFILRDQYRISNLLKNRRGPCVVQNSPISDTDFMVIGGELSNDRSIC NVMEIVKVEQLATLGFHSYIISKEVLFAKGSFFPWPYMFVLSSNQEPQQYIFLPGDYNNQ SNCYSRPIVNIYQYYAYQLTTQKDSFYFQWQKLNIVYDNSNLGNSQPFPIQYLSGSQSNI LCREDSKMTKWVIAKTINKQILLGSKGKTEFFKCMENLDSIVSLKDIEQIIITAEIYVQE NNLIVKEKYYETNKQLILQKNIVDLNREDLILF >CAK90615 pep:novel supercontig:GCA_000165425.1:CT868660:161659:163453:-1 gene:GSPATT00003477001 transcript:CAK90615 MTEPANPEKLQNQRSRMIGNYVIGKTLGFGTFGKVKMVTHEQSGEKVAIKILEKDRIVET ADVERVQREIHILKLVRHPHIIQLYEIIETPKHIFLVMEMVSGGELFDYIVKNTKLEEVE ACKLFQELISGIEYLHKIRVVHRDLKPENLLLDNNKNLKIVDFGLSNTYKNEELLKTACG SPCYAAPEMIAGRKYQGLQVDLWSSGVILFACLCGYLPFEDQNTSALYQKILGGTYQMPS HLSRDAQSMISGILTVDPQKRFTIEDIHNHPWFKLYRRSYEIPPGIVVGYNRIPVDQDIL KQLKSFGIDIDYAQRCLDANKHNDVTTFYHLLLKRHLVNGGRSTADLNSESFDIKLLEPK QRPIKDMNSLLNNEKLKQQMREEIIKQRSYSTDKDRGRVIKSQEQNHRILADNDQSVNRI GKNGQAASVQNRQKEDNYFEQSPVIKKSNKLLHSNLHGTKNKINNIASAGHRYRDEGKRG SSRNKKDLEITNPYRNASRDTQQTNKFVQSGSRSQNHKKDRAQFTNQTNLSFDALQNSNQ ITKQKAYHIGFYE >CAK90616 pep:novel supercontig:GCA_000165425.1:CT868660:163724:164714:-1 gene:GSPATT00003478001 transcript:CAK90616 MSLSQLSGKQTINYAKLRQMRTPNDQQSFGLRQENLDQMMFQHNPSDTIKHQRAISSFQN NYRQGTPITDLEELEGEKLFDQFFKMSNSKSDSDFMRDSVQRETNSSESEFLKFVENAIE YHKSSELHFRPGIKKNPQLEKQIKELTISNRLLANELNRYKQREHDLKNKVKSIQKEFQN EVSQLSQKNEWLEELLIKQKIDNENSLLALKQSLEMIQGKCKCQKGMIEKIIKDVKSQVR HQFDLQATNSSSELSSNTEEEEFQFDFFKRRKSLSKNSEDHQFLQSKLKNPKDYARDYFL RKKSAQDPYYA >CAK90617 pep:novel supercontig:GCA_000165425.1:CT868660:165365:166079:1 gene:GSPATT00003479001 transcript:CAK90617 MKLLILAIPLLLFNSGRLTADIYVESLCTYCNAITTSDIEKMRYVVDGNGYLLFNTERPL KIVFSKHQELQSQKFQKHELSIASKILFKNLTRIIHLFKLHLKANNIFLIMQIMSSIVQI LLMENCYIQLLLMKLIIQFLNIQEFPGQQPIVNILRKLAMRLLIICLDGHLKIMMEKNCC LLNLTRMIQQLIQIITQIKLSLVQPVINIIHSYAII >CAK90618 pep:novel supercontig:GCA_000165425.1:CT868660:166171:166543:-1 gene:GSPATT00003480001 transcript:CAK90618 MRGFFAFAKQFVSFSTVQTQQIKIAIRLVNQSVNSQFRLCSTLTALRLNNLYGLNQSSTL ADSDGDAAIQQLLLLSLKAELNKIHNS >CAK90619 pep:novel supercontig:GCA_000165425.1:CT868660:168812:170722:1 gene:GSPATT00003481001 transcript:CAK90619 MNRLEEALQNYDLAIQKNSEDSNYYKNKADTLDKMNRLEEALQNYDIAIDKNPEDSRYFN NKALTLDKMNRLEEALQNYDLAIQKNSEDSNYYKNKADTLDKMNRLEEALQNYDIAIDKN PEDSRYFNNKALTLDKMKRFEEALQNYELAIQKNPEDSDYYNNKADILDKMNRLEEALQN YDIAIDIYPEGSNYFHNKALTLDKMNRLEEALQSYELAIQKNPEDSNYYKSKADTLDKMN RLEEALQNYELAIEKNPQESNYFYCKALTLDKMKRFEEALENYDLAIQNNPEDSDFYKNK ADTLNNMNRSEEALEYQDTAIQINPLSAELYNDKANTLDKLNRFDEALKYYDLAIQKEYE ESIYYNNKGKLTLSNKIALVLNKMNRFEEALEYQDTAIQKNPEKAYFFQCKAQTLVNLNR FDEAIEYYDQTIQRNPLNAANYNRKANTLYKLNRFEEALKYYDLAIQKDSEEQNLYYREK IALVLDKLNRFDEAFQYYGLANQKKQTLKFN >CAK90620 pep:novel supercontig:GCA_000165425.1:CT868660:171908:172576:-1 gene:GSPATT00003482001 transcript:CAK90620 MYSKTLRNISKIIYNCTQHSKDFGPDAEELYHPQNPLNFFNGRSTLYESQLFNRVRNYYI LITAFGGYVTYFSAKKLYNFQNRSFIGILFYLGILGITFRSMRNVQTQYQRLLIQIDLLQ CGRKVLIQCPNIYGLTSSKEIDIATISRPKDVYEKTVQKMEYVFPIIMDMQLQYLHRNPD FIVNKELLPAVMNAKYIKVD >CAK90621 pep:novel supercontig:GCA_000165425.1:CT868660:172683:173998:1 gene:GSPATT00003483001 transcript:CAK90621 MSSLKSSFTVGIFQSLSFCGLRQKILKNIAFQPPKVSYSLKPRSDDQMTKSTLTNTSSLQ VIDIDNEMRQKSKSYDDENIHQLGLLDLADELLQFTSESLSPLREKITEPAYDFYLIDEQ GQEIPIPKQENLELTGYFLKGRKGHRIASLYIKCLFPMSDYVMLFSHGNASDLGYMIDTL IDLCNNLRINIFAYEYSGYGLSQGKCTDLNIINNIQVAYDFLVSQMKFDPTKIIVYGYSI GSGPSVMLVSDIEFPVGGLVVHSGLSSGLRVVNSKLKSTPFYDIFPNVDRIKDVTCPVFI MHGKEDEVIDLHNATLLSNNCQRLYEYWEVENIGHQGIDTNDEHRKNYFYKLRDFIKLIQ KENQTIKELKQRNTASPKSNGQYNHYYDNKIREFHLNCKKVEDSDSPSKKFR >CAK90622 pep:novel supercontig:GCA_000165425.1:CT868660:174084:175410:-1 gene:GSPATT00003484001 transcript:CAK90622 MKYFQNGINQICAQIIRPLRAEYQQYDLGPIQDQTYTRNDFDLVNHCQQRIKVSLFQGNV QSDVCIIYLHSANGCRLEALRYVNEILNQNYMFLTFDFTGSGISDGNQVTYGYREIYDLQ TVITHISQYAKSIVLWGRSMGSVVALLYMQQFQNVFVKCMVLDSPFICLQDIVVQMASKR TKIPNFILNSLSSYVSDEIKNQCGFTMNEINCLNNIKLIKIPAFFITSKIDSIVSHEQTE KLFNHYQGIKTIYYTNQDHNETRDFTLVDKVMSWLNQQLLSVGQRIKQKIIFKDHPIPIK LIDLNSFNNKERSSTFDGTNIIILDNRIMSISPKQKITNIQQRFQQVLQTQRSAFIERSI STNKMNISVDVYDTNQVKLMNQNKLKQQNYFNQHTKPIAFTKVPNKTRDSSHHRY >CAK90623 pep:novel supercontig:GCA_000165425.1:CT868660:175434:175832:1 gene:GSPATT00003485001 transcript:CAK90623 MISQQLHKQQLQFQQQQITPTMHLKGILQELPKETLFQKYMKSRLNKKQNQNLNKSPELL PLQPKNIINQTTYIIKNKQCSLNKKVKKYLTEVDSISTTKVVQRKNPFIKKKKSENWNDL DGWNVIDETFYQ >CAK90624 pep:novel supercontig:GCA_000165425.1:CT868660:176044:178723:1 gene:GSPATT00003486001 transcript:CAK90624 MGNICRQNPIIDKENNEVNTSQPPAVQQVVAPKPEEVKTDVPQMQVQQEKQEEQNIQNDQ PKQENEPKRKEQKKMAKIAAVIDQEVIYENVQKQEKIKSPFDYQLLLNAFSNSFIFGQLQ PEDKVKVIETMFYCTVHDGQMVFKQGDKASSYFLIERGQCQIIINNEVKKTLKSGEAFGE LALLYNAPRSASVKAVGDCAFWAIDRNTVRKAIESIQQRDYEQNKEFINKVQFFESLTDD QKAAIPSALINLNFKAGEIIVNEGDQADSFFIIKKGEIQISRGGKELRIMKAGDSLGEQA LQSNSVRGATAKAIKEDVVVLALARDDLTRILGDKIQFIMYSNLERWAFERHPILNKLTK LQVERIVSNMQQVQKKAEESIIEKGQSCREIIIVLQGSVKYGKEVFEKGQMFGDKFLDQG ENVKLGEPVVMKDDGIIAVITFKQFFEIIGGSLEQIFAKNEKAHDRFIKKEDGQKQDVYK HFELDQLISVKKLGQGQFGNVYLVYNKLDKKTYALKCISKAQIIEQNLEKHLAQEKIALE TVNFPLIMHFARSFKDNTYIYFLEEYIRGMELFDVIRDIGLLNTYDSQFYVGSLILCMEY LHLNNIIYRDIKPENIMIDEKGFMKLIDLGTAKNLKSKNGRTYTIIGTPHYMAPEILTGK GYTYSVDLWSIGICLYEFMCGNVPYAEDADDPYEIYEEIQKKSLAFPSVLKDRKAKKLIE QLLSKTPELRLGSSYASLKNNSFFERFDYDSLINRELKPPYLPPKNKLHSDKDIQKAIQV GKLISEEIKNDPATASNVYRPEKARDPNWDKEY >CAK90625 pep:novel supercontig:GCA_000165425.1:CT868660:178747:179827:-1 gene:GSPATT00003487001 transcript:CAK90625 MGNCATCDDPSIYEHAGEQMRPFKNKPNLDDQENVQQLTQKSKLSQSTVKSQNASFIVQQ HIRQDLKKLDSIPDFTNTFTKTLIDQLQPFNYDQNEPFEFKSLPFYGPVEIEPSVFYYGQ WKNGFRHGRGKQFWADGSIYEGYWLQDKANGDGRLIHSDGDLYEGKWLNDKAHGFGVYSH KDGAFYKGEWYEDQQHGNGLEKWADGSMFEGTYTNGMKHGHGKFSWPDGSSYVGEFINNN IHGKGHYIWADNREYEGDWKDNQMDGHGVFSWSDGRRYVGGYVNDKKEGYGEFYWPDGRV YKGHWKDGKQHGKGQYKGTNKILKEGEWVDGKLLKWNN >CAK90626 pep:novel supercontig:GCA_000165425.1:CT868660:179855:180754:1 gene:GSPATT00003488001 transcript:CAK90626 MSTEIDINKLKAEIKNDKQRRLILFGIFATGLIGLYVLVHFSPHLTQEERKIVYRIPKYP HHIAELLNVINRYTENNQFYVLFAFIYLYVFMQSFAIPGPVFLSLLSGQLFGPIPAFLLV CLCATTGASLCYGLSYSLARGIVLNRFPNQIVNFNKKISQNRDNLFFYMLFLRFTPLIPN VSINVSGPIVGLPFKYFFFGTLFGLMPGNIIHIRTGLLIQELNDFSTSYQAILTLLGLSL IALLPTFFKKKLQELDEKGLNKSKKE >CAK90627 pep:novel supercontig:GCA_000165425.1:CT868660:181795:186454:1 gene:GSPATT00003489001 transcript:CAK90627 MLNQTLNDAQIVAGIDNLKTKNQCAYNYELFANGEKPLLLMCTQYLAVDDKLKWSVFLSY VRTLKLKVDRQFYVNLGKIYEQIFGHCYEDDLIYLESEFGKPAEGYQDNQLQELFFMFSK CSPFNNDARTGRFLKIGAQLQPENLDDRSTVVAVQLFRKFFIQYADYNFKQPNPFHIIKN SNSMHYDTTVVREKIVNLMMKNQDFLNYIEQHTSLFQSQVLFDQPKKTEHQKESLMKFFY ENTDLHHFIIMVYDLLNKVTEGELNSTLLLKHISLQILDPFIHPLALSICDFYESILEEE YEGTEEVKQMKEKYLPKITFFNMDGIDFEFNYKLLDTYSDSCQYISVVDEMLESDDNSQM FDLVTKDKNYFMQAKNSYIIPIRQSHKQKRLNDMHFRHILDLLVYPLIKQFQPQVIIFSY SFAYFNQESDIQLSSKLFTEISTHLSLISNYKVIFLPRILSSGVQIQDHRFEIELLKTDH KNILYYLNIYITQVQQSFKFLHPSNQQHCELSHYANNAMKIVKSNSFYEKKRQGNISHFV LNKEKERYMFEILSGFLESCRKSYLQEVKDKDKRMQDPNLQCQDLLQYKLSYANLLDKLY QCHQKHLFSNLFKSTQQQIHTYKLLSKQHQKTQRREDSQFVSFLAQDLKDYIYVIDNLVN SNSIVFIIDEPQLLQIYLRRLQSPVYIHNRLTQYFVNYKLKSILFINVLSFIDIRDIGID AYQVCLVNYEKYLNPIQKTKQIWAEIEVIHETPEPPVFFRSLCFDKLKNIIYCIYGKEAK NNTLCDSIDIFTFEKNSFRTIYRDKTTKKASDTYFARAYASSVVFSFNQQYKPYSEVWTF GGSFLGHYNQEYQNMGFCNLVERLFISEDSYRSEKINKELIIPLSMRPMYGSLMLSVQDN DESAILIIGGSQNELLVNIDQQEYIGYKFQQIENQYYVSAITNVGQKINPISFYSQNQNI YSELDRIFILNDATQILGKSYRCNSETNELEETDPFSPYGILSITDLKISNNLSTQELFQ KQKISIISIDAKNVILNGTQVIQPFAQMHKAMKFLYKEQDYVNYSARIIFQDNDEIVGLI KNYECTRYIHLYVNIKQDDTIHQCYLKPCSMCVSYSNKCLYLLTTNIENGSVYFTIYSYQ INQLNQDINLALNNNNTKLVLNVYTIFAKVVMHLSSNVERIFKQLTCDDENLYLIGGYMG LIYQQSDLDLLLLQGQHTYKYHKNTENTNNDNFKIPFDNPEQYQKFGQSNRYMYESPYII WINSYQTLIIEKNFRQKYFSEVIDKVHHDFKIWITKFEIQSNETWEQGILVIPSFLLSKA SVEMTSQEVTDKFKEQIQHRKLDLFLQNTNTEFETIVKIVDYASIDQRIILTCAVQMLNF IQVMSFEFSLENQEEYLLQYKYNGIHQQHQHARRYSVPIFIPKVTLLVTTQKQATIVGYQ IYDPVNEHQTLRQSNQSQIIVKMKEQIKVYNLIPQT >CAK90628 pep:novel supercontig:GCA_000165425.1:CT868660:187552:188344:1 gene:GSPATT00003490001 transcript:CAK90628 MLNYKGNLWVRKNYVLGNRAQYPLFLGTVAGTLLLYLYCDFVLLQKYCEIPNLSKVIVTF QILSIYSMFRVNMTEPGVQMQTISDDKYFEGKLCEKCRAIKIDRCYHCKFCDHCVNDFEA HCRRNIKYMLGLVTFTGIALYLLLLILIYKCRSYSNYNSICIRLQERINLHYFKYRQPSF NIGSLKSFYIYNMEECVIELDKKRNEQNQIQWIPLLYLQKLQIILYSFL >CAK90629 pep:novel supercontig:GCA_000165425.1:CT868660:188536:189264:1 gene:GSPATT00003491001 transcript:CAK90629 MKINTKIPNYLKLPHLGHQWKFLKNKEQTTCTNSSMEQSCKPINSSLIQNSNICPIRTQY EVTPQNIKRRLIKSQSIDVNQQLDQIDSVKESNSGQIKKIAQHLIQQFNSNMKATEKYQN PLILQKHLSLNNESVIAIQKPYFEKSKSLYQLQNETKQNSTHQETNEINSFTQFLQLIQI KGKEKGKKRKCQINFFKKSQSMDNVDVVENQKTITKLLLQSKIRIKPKFSPTINIQDLLQ QN >CAK90630 pep:novel supercontig:GCA_000165425.1:CT868660:189808:190778:1 gene:GSPATT00003492001 transcript:CAK90630 MIDYIFLIIEPLGYTIVLYWVILLLEEIYKCLQPFPDIKKKYGQDCWAVITGATDGIGKA YCQELVKQNVNVCMIIRNKEKGEKLVQELSANSTSKFRIVIADFVRCTEVDFFDKINEQI KDLDIGVLINNVGVSMKNPFERQSEVDIRQMLTINIFPVLFLTKKVLPIMKSRKSRSAII NLSSIAGRLPLPYHQTYSATKAFDDHFSQSLAIETEGIDILSHRPFFVTTPLTNYEKEAG AITPEQCARGGLSRLGLEVTSHGYWYHRVMGFLLTYIIPQFIRTRQLKQVLIKRAKKNQ >CAK90631 pep:novel supercontig:GCA_000165425.1:CT868660:190811:191786:1 gene:GSPATT00003493001 transcript:CAK90631 MFLYIVGLITIGFVVFRILEEIYKSLQPFPNIQAKYGKDCWAVVTGATDGIGKGYSQVLA QQNVNICMIIRNEEKAKQLIQELSKGSTSKFKIVVANFNNSLEDGFFDKIYKQIESLDIG LLINNVGVSHQAPLEKYNDNQLKEIITVNCFPIVFLTKKIIANMLQRKKSAIINLSSFTG RVPMPYNQTYAASKAFDDYFSRSIALEYPNIDILAHRPMYVTTPMTNFQKGQGAISPFQA AKGALQRLGLEYSTHGHIFHRIQGFFGAVVVPEFIRNIILKKEMRKLVKKHL >CAK90632 pep:novel supercontig:GCA_000165425.1:CT868660:191939:194014:-1 gene:GSPATT00003494001 transcript:CAK90632 MRFIVIAIFVMLATSQEFVEFYSAFQNADVGQLDGWTLQGSISKTQTDNFFTCSDAIVFG GPKAFGKGAIVTKQFQLPPHFQIKIQVEVWKFDAWDTQRQFFYLDNYLWETMWTGSDGTK RCATPAGGNVNSFSVDQTITHNHAALFIAFASSADKTADKMSWGFRKLKLSYLPCPAECG TCLGPDAIVCQMWVPVSSSWIKNIDADGWSAKGSSTVIKSSTCAGIPIIGGPGNFGNNVS IQKIFDKLVPHYRIKVIAQFWKIDNWDNDNAVLSIDNQEKWKQNVAQVEDKEYFICDKIS PGGVDGTEKIINVNFETPHNYGAALVKWTSTIKKSSKQASWGIRQFQLYVAQCSSNCATC TGPSVEDCTACVSPYVLLDPEDGNGSGCVLKGDWVEFTSEFQGAGWTANADWKTLQHNVE NSNSFGGFDKTGKDAKITRKFNLPAHKRIRIAFEAWKIDNWNGEDYFVKVDGLNVWQRTF GFGDPGLADICGGDGFENYAIVDFVIGHETPTLDLLISTTIGKNNDNASFALKGFKLYYE KPDACATLYTECNYTGKSFNMCEDLPNFQLAKYPSKIKSIQVPAGAKVILFDEMDYNGKN NRIH >CAK90633 pep:novel supercontig:GCA_000165425.1:CT868660:194059:196747:-1 gene:GSPATT00003495001 transcript:CAK90633 MDQIIELIQWSAQPDNQIQKQVYKRAQELSQDTQFLIHLCSVIIGNYDAEIRYRAAVILK SAVKNCTTLPETLQQMLIQVDLSIQIMRQAFVIIVPEVVVRNGIKNSNIMMEYLIKLIDS DPVIATDCLSKIIEDLKFNSENINYYGTDTGLQLIDQLIFKFISLVEHSNTQVVVNSLNF LNYNIFFMPPSLNQYIDCYINILITGTRSQEQQIRLKCFQGIQALIETNRDKIKQMNLVI MACVQSLSDPDKEVVRFVELCLTDFLRFNDAEDYEKTHLLEPYLQQILQPIILNLAITRS DQIAIQPTFSNSYNQGGKNEDEEQDEEKTLGEYSLRSVSNLLLKKLIEFYDKTIVPIVLQ IIDQLQQQQDWKQQEIAVICLGLFAEKIMENHANLVPNILMSLFQEKNQQNEYIYASTLW TFSQYNEWIKTVAINETQFIQSYLKLLLISIENQSIIVKESACSALNSLSKDAFFILQPY LLDLFQVYLKALSQKGGVLLYIYQSITTILAECETIENQELIDLIMTKLISNLIDLNDYN ICPLYECLAEAVEKFGQRALKYIPVIYQAIVQSMNGYVQSIQNGKTRLLYQQKEILKRSF DVCIKIINITKESFLELCDQSFLQIVDLAIQDTETDVKQYALSLIGELIKDCYTIFKNVN IAIVLNEYIYAQSISIDPSKLFLATSNNAAWALGELAMKDPAKLTVIFNAVMEKLIKIIN EPKFPKSIAQNLCIAICRIAGSHIQQIEEFIPSFFKRVCLILSQIKSQSLDEYKEESFKI LINIVKMYPGRVINDIKYFVYCIVASNEFPSIKPLFVNILQELQQSFGQQKFDSMFSSDD LPNGFRMKMINIYGV >CAK90634 pep:novel supercontig:GCA_000165425.1:CT868660:196768:197222:-1 gene:GSPATT00003496001 transcript:CAK90634 MSYLLPHLNNGWQVDQAILDEEEKLVIIRFGHDWDPQCMQMDEILYKSAEKIKKFAVVYL VDITKVPDFNTMYELYDPVTVMFFYRNKHMMIDLGTGNNNKINWAMNDKQEFIDIVEIIY RGARKGKGLVVAPKDYSTKYKY >CAK90635 pep:novel supercontig:GCA_000165425.1:CT868660:197260:199320:-1 gene:GSPATT00003497001 transcript:CAK90635 MENQSQGQPSNQSQSKYRQQDSIGSAIHPDSILSQKQNHSSQKSQALNGSQIQRKSSTQN KNESLTQNDDQEEQNEYNDFSKSRNNQDSLNQIDHQGDQFNSQEHGDDSAQTFHQDQSKI LENSEDQDLQELRDYKQMKISEEQSNKQTQIGKEENQSNFVLKQVPTEVTDIKQNDEEIQ NNDKMLRTQEVMEKFKQVIKSPKTRSPQLSSEDPYQLNKPIIKPLQLCQQDSEKNSEKMI EMNLKEIYKFYSKQTQTSNKQNTFDQLHQIQQVMTLQKFMYFCKDFELIDLEIDNDFVYQ HTGQVATKPLNKIKYKHKEKENFIVTKVILVEIFKKCSNIQELTQQEFLSALMKIADVIF PVDNSLRALYDYLGVYDPKIYRKKMIVVGKPFNTKDQSEILTQEKLCSRRLILPAKPKPR AESYKQEPALTNANNISVQEKPKNSAKNLQKQKEKSNYLINWEDLGNVPKEFDPKELLLE QDLSDKEDEYYLQEYLIPTIERRKKEEAVQKVQQQKLQSSNQEQQQQLEDKSSKHNQNNI TIEDYSQQQVAHQQKIYKQLLHQQQTQQIQSNMQTDQSPNINTNQSSNHTPLLLSKKKNL IGARQLQESQSYSQSYKAQQIKAIKQSAKAVLNTSVEHNSPITIRKENEGLNAIKRAEAE KKQREQQIFVAFIKNQELREKKVNKK >CAK90636 pep:novel supercontig:GCA_000165425.1:CT868660:199857:200169:-1 gene:GSPATT00003498001 transcript:CAK90636 MSQKWKLIPQYIQELQEQNDENSMAVLRKLGKLQNLKECVDRDESELKKQYILLMRERNE YFKKLKAIQQINEQNPNSQLAQSIQLLFDTINKQQ >CAK90637 pep:novel supercontig:GCA_000165425.1:CT868660:200313:202300:1 gene:GSPATT00003499001 transcript:CAK90637 MPSAIQYFSDLDSLHHVSNNFLRPQSAVSLYQNKKISSSTMVDNKQVSDTRLTDPRLTPR YIKKDKEELYSDLLLLQQKYNQCQDENTKLKTQISSLEKQVIHLTDLVRNVEMFCNKKTS HFNEFQLKKQIQDLKSNLKTKVQELESLKQNVKTTKIDEIRIELQESHIECLRLRTMLDQ QIRQQVLFNYNDHTLIEEKLYIQSQMMNQLKTENDQMTGLLKIQEEETYYYKNLLAETLK TVRRYEEAIEDLHKNLKGKNQFMDKLAQKIEALKSTNNGLADRVVKVEDLKEEIRLLKCT IEDQNKQIQSVLSDNEYLKGMVHELKIKNNEKSEIQAKEKKVLQDQIAKISSQYDLLDDK YKNLLLIQVQAKNKETVQSSLIHKKEQTVGLTLKNNNDSFQQQKIYVPKKLRAIKTNDIL HIGEELNYRFRVKEIILIDVIEQFLFDDDNKKEKKVSIKQLQKSFEKEPFMLFEQDKALT FARFLIEDNSQEFVEFNIELTENLDRVKSIFTKIVGKYRIFTPDEEMKHKEDITKLIIKY KNSLKQHFDSLQSTNGELTKRQILETFIFMDIDINNSQLEYFFLKLFVFSNKIDRFPYQK IFEIFQQTQTASTPHLQRKETQRKKAATESNKQPVYVTKSLFKQVEGTNLQQA >CAK90638 pep:novel supercontig:GCA_000165425.1:CT868660:202470:202997:1 gene:GSPATT00003500001 transcript:CAK90638 MILSTEAGITNIKKYSSLNTEERDRMQKGGNEKFKLNVTNVNDKFHSHRALQYRFEVSIE LQQLALGLSEYDSDMSTNYASPNKSLIYYPEQKKKLTQALELEGMQQDVEWLQIQYQILT RDLKKKIDRNFYLSKLEEIGKKFVEKQQQKQVQQKQIHNQNDVFQSFSALMKKQN >CAK90639 pep:novel supercontig:GCA_000165425.1:CT868660:203204:206033:1 gene:GSPATT00003501001 transcript:CAK90639 MKKINQQMQKIAGRNSFQESPLQLEKKTLKNSTKPIKTERPQQKGKTKLYDYFKKERNSL AQVVNNIRAKLKGSKSIDNVFLLTQKSERAKTSQQNQIEQRVQTDEGNSSKRINLKTKNL SLATVSVEKKEYAKQPSTTKQSYNQSPSSQSKPILNKSKSKDQYLFEMLKNAQKISNQYQ QYFNLKQNKQSIQSDTSTNHRQSLEDMLLSQKSTKGSPQPYSSKLSKKTDADSKSLNSGY SKTEQTPVIKQQQKTKIEESLQYDPKKKLQVVLFYKQTKYYYLYDYENQKTDNLYNFLIQ QIATIELASAKVEGGGGTGSTEDQHIQEGISKINVELNKICQFITVSKNIPYDYYISLPE MPLNVFQGITLQLQPQYAQFQETKRVGLKDFNLIKCIGVGGFSRVYLVKKKDNGQFYALK LIDKKFIFDNAKEVIVQNERDIMVRMENQYITKLHYAFETKFYIAFVLEYCAGGELFYHL RKLKRLNEQEAKYYFVEICIGMAYLHSQNIVYRDIKPENILLDLQGHMMLSDFGLSKPNM EDGELAYSFCGSPEYMAPEMLMKSGHSYLVDCYCLGALLYELVSGLPPFYSNNTQEIYNA ILTENVQFPDYVQISDQLKDLIIRLLQKDPNQRLGQNGGIVEILTHVWFHDVDFEAIVNQ KLPTPYKPEPLKYNFDEEEFNKGDAEFRKQYAFNLQYEFQNIDNANYKLQDFYYERPQLE FKNRTNLVNTSKLVSELIQKESLSPNKQMLSKVKHSPQESKKVLRPNIKSEALDYFKKHN LLTKSSQILHQSLKLGHAYSKTLQQPSMSIQDLKKLKQLFDQSKQLLSSDRLTTVPDQNN KNGVERVKTEQIGNLPSPKTTTNSAANKLAKFSKLFGSEKRKK >CAK90640 pep:novel supercontig:GCA_000165425.1:CT868660:206080:206821:-1 gene:GSPATT00003502001 transcript:CAK90640 MGISRDSRHKRRLTGGRMPIHKKKRAFEKGRQAAMTKLVSGEKRVRRLRVRGGNFKFRAL RLSEGNFSWGSQGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQIMVCKEIQCKIGIKE ERTRKKGQPEATKKSRSLIKKLEERAKENAIDALVQEQFTNQRLLVRITSRPGQSGRADG YILEGKELEFYIKKVEQKKK >CAK90641 pep:novel supercontig:GCA_000165425.1:CT868660:206949:207465:-1 gene:GSPATT00003503001 transcript:CAK90641 MSLYYQLKKNIIEPKREIEFKNFKIRNRYLVVKQLKSRNIFQFQNMSPFSPNRVKQLPKL RLPSFPNSDSNRQCLAKSPKNILKQVIVQQPYLKLLSQQSQDLNKSIAQQRQIDKSIKKN KGNSIYQIDDLSFEYRIPKSLMKYSFQFSDNTQFNLSPTNKQI >CAK90642 pep:novel supercontig:GCA_000165425.1:CT868660:207637:208201:-1 gene:GSPATT00003504001 transcript:CAK90642 MVRDLYDFFSQNTESTDAELNYQKFVLHKSRVQKPNLSAYKIEESRQRKKSYAFGSSTDR SKEDIKLPPVLSYEISYSQVDVNIPKVRIQERNNDQSKTRFSTESNIVKVRKTQKTKSLR GDNTIQRQPQRSFPLELERPNEYFPAHPFQPMSNTELKDLFQSLLSKHRVRKPTNSWDG >CAK90643 pep:novel supercontig:GCA_000165425.1:CT868660:208374:209145:1 gene:GSPATT00003505001 transcript:CAK90643 MQTLQSIIQQRVLQQINKQKTEPLQSLNITYYSATKIQLALDEAVQIGKVMQGIQGVHLQ KQQSDALISSSKVAVLNVDYNNPMVLPKNEENKQGPQKGRFLVRNEYGSKLSSENKITHS SVVPNKYHKNYLSYGEECNRIKEENNRMRKKEYDKKVRIFNLPKIKTDYISSPNSFQKQL SGQNTAEVQNSDNIKDTLDDNLLQEYNQYQLQQKQAMYYKKQLLLWNKKQRNLDMNDDDQ FD >CAK90644 pep:novel supercontig:GCA_000165425.1:CT868660:209281:209670:1 gene:GSPATT00003506001 transcript:CAK90644 MSQVKKSLLKVEKLQFDIYINKQLIQVDYDDQTTVGEILDFIARIQNISNSDISLYLAKK SGEPKLCFPALERNRKLIKTNEKRFVVVMKQQEPKIQIEQNLEAVKITEGRRPKQSVFKK WFGISMTCK >CAK90645 pep:novel supercontig:GCA_000165425.1:CT868660:209902:211453:1 gene:GSPATT00003507001 transcript:CAK90645 MDPKSTAFHIYKQTLIKKNNQPISHVYSYEQKDKIGQGAYGSVYRAVHKVSKQVRAVKVI NKLNIKYKDRLLSEITIMELLDHPSILRLFETFEDAEYLYMVLEICQGGDVFDKVLEKGC LSVEDAFKVYIQYMRAVNYYQGFKIVHRDLKPENFLFQKKNDMNSLIVIDFGIAKRGMDK LQTKSGTAYYVAPEVLDGLYDHKCDVWSAGVVLYVILCGYPPFYGENEKEILIEIKSGTL QFEGDEWLGISQEIKDFIKQQVCPAPNRAIPKDLLSHKIITQYNQKFLNDFKLISMLNLN QWVKYHPLRRLALYYLSTQIDSCDLVNQKNNFFFINQSQTGLITQQELATYLKVNKQDIQ KLWPYIDCNSNGYLDYFEFVALTLTPQDYQKQLQFMFDFLSQSEKVITQKSIKSIFDQNS NLNNKWDSISNTKNQYAQVNQHEQQINVKNIIDKDIDFNAFKAIMG >CAK90646 pep:novel supercontig:GCA_000165425.1:CT868660:211496:212208:-1 gene:GSPATT00003508001 transcript:CAK90646 MEINRPFIITKRQGDSLLPPDCEEFNETIEDNIRFLQSSNIFIICAFLYQCIRFLYYFIH YRTTCYTYAILCLVIVGGNIFWIASSTYGFLGINSRSSSEIGKYLCLTSFVLAIRLITYF LVWALMQGYAQQYNILSKSRLLQESVPQQPATTEITDDQKQEIICGQLYQGTLMMVQAII ETMFLGVIIYFVVQVKKYITNYESNQKKKQRTWNLQASIV >CAK90647 pep:novel supercontig:GCA_000165425.1:CT868660:212248:212914:1 gene:GSPATT00003509001 transcript:CAK90647 MNQLRNQRGTDIDEVYIDPERGVAINARQMTTEQHFKYYKSSFSTMRPDLTEYEYEAFAK RLRVGESFLNHMRVFLNHESGRITNLYPVSARMDKALNYQQQYFHLRPPFILGHRSNANR NWADASKVVNYVEKQLLKITKYGLDYPNYYAPATAQELKQREDEIYERFVREMRKPPIVA AQ >CAK90648 pep:novel supercontig:GCA_000165425.1:CT868660:213103:213558:1 gene:GSPATT00003510001 transcript:CAK90648 MNKSDKYLMFEDDISSTQSSEGEDYIPKRIISKSNTRRIHKIPIEQQQQLFRQVFQESKP IKEVAKALNLNYSSAKSLIHYYKNNKRSAPSAVLDVLSGKKSLVCQVSQKIDKKKYNNLK IEVRQKNQILHSYNYYEQKTTHK >CAK90649 pep:novel supercontig:GCA_000165425.1:CT868660:213730:215831:-1 gene:GSPATT00003511001 transcript:CAK90649 MNQRPIKNGYLQQKHIFGLKTTKYFYLEGTQFLIFEDDKTHIPQERIDLSGFIVDGTWQE DGYYTFTLRHLQQEIMMQFISMTYDDAAEWVSKIKQAILISEYEALLHSSNYQILIDSQK SLKNDISNITKNIPEYVQKQLQLYQELSKDKWVIEKSLKQMKLTTIQSSNNIVLKGEYVF NTSLQKVATIIQKGGKLLDLFKQSSDIHEIDLYQYHKDTWHFNNEESKYIQFDFQRNNSF FLTRESVKEGQFPIVKNSDNESKKKLISIFKILEIIHAVEEDSKCYIQYMQVVKKDQNEK IIRKLVKEQIINLSIISTELDLLLIQINNEALPIAQSRIVLETHHSNNDNQENEFSKGEN VHFPPQDRQGYVDNQLQPNVSAKKYENMHNRIIHQNEEQLKALAELKEKIGHLFLNDQAM IRYLIARNYKVNETEKMILKCLQWRKENRINSRKTSDYQMYANENVHTQLGFSRWGHPIL VTNGMHSHPEKFETNQGFSEQGYLQYHQSLMEEGIRSMRGYVDQFIVIIDCYKLAPANFS FSVLKNAFIEIFNYYPERQFRIYVINTNFLTRSFYAMLKPFLPSRTVEKINFVGQDFNEI KKALLKDLDEETIPERYGGKNILIQ >CAK90650 pep:novel supercontig:GCA_000165425.1:CT868660:215871:216480:1 gene:GSPATT00003512001 transcript:CAK90650 MKVLCLICLIYMGQSLSVQISQKPTCFYVKSYTGDTYVTVNYQISGIDENKTEITIESEN GDKLVKKQNTKEGALKQFLREKGTYYICFKSLSKGYKTVSFDFDMDGVDKEYAQSEQFSE MSKELSRTNRNYQAIYRNQNWITDRENAHQMLLEQTQQNVKWCALAKIGILLTIAITQIC VVYYFFKGKDFSASV >CAK90651 pep:novel supercontig:GCA_000165425.1:CT868660:216512:216826:-1 gene:GSPATT00003513001 transcript:CAK90651 MKLCLKMSQKEKLQIKKRLIRIIFLFFVQLLTSKNMCFRIRNTNKCLENYNQDQQEMISP FPKQQVQANKQQQYTTAYTRSNQQQQKAIQQQQQQTNKLVQKKK >CAK90652 pep:novel supercontig:GCA_000165425.1:CT868660:217010:217171:1 gene:GSPATT00003514001 transcript:CAK90652 MSKSISGLKKLIGKNQLKKISSHHIYQRLSIGIYIYIKWFWRYFQLQFLSLKQ >CAK90653 pep:novel supercontig:GCA_000165425.1:CT868660:217691:219359:1 gene:GSPATT00003515001 transcript:CAK90653 MIDQESLLAELGLLDERKLLLELTIQLGQNEHDILFLYEGDDIGVKASQFCEKHHLKDEI KEVIAQNIKLHLNEKENYFSFISNVNTNKPLQNQAIKQIKDVNQEKKENKKENLNKSQAA IKKTDIYDKQVKQMNNKNNAIQKQRILKEEEAIKEATFKPRLNQNTLKLNNTPEYYLLKY GQQLQEQKKKAEQQNLNETSRECSFRPEINKISQKICDEIIKKDVSMTKFDQLYNQAKKR KANDKSSTSIIQESQTQYSKRSVTPNKSQNKQYLPFLERMEIQKKIKEEKLKQEVEESIM YDDKSGQKLFQPQVHSANRNRGGLSIGEYLYQQQKSINDESIIQLECDDLSKSVQTDRSN QIVIQMRRKKLEEYFKLMDSDNDGLISAQNIYLEELPTEALELLQPVILQLEKLDIFLNT ETWTAKCLDIIEKMSIFDKNRLFQKQPKQQKTLPDGSSTFKPQLNQKSEIIAKQRQTFKG PAALYQKAMKENIVKEQKIKHFKEQQEQKEAKQCTFQPKIKLTNRSTTSTGFY >CAK90654 pep:novel supercontig:GCA_000165425.1:CT868660:220864:221520:-1 gene:GSPATT00003516001 transcript:CAK90654 MGRGDFNSRGGGRGAPRGGSFGRGGGRGGRPQQPQGPPAKVEPFATFSHVCGNQIIVKAL GKELVPRFFRSVYFENKQPIGKVDEIFGPIENYLFSVNLEEGIAPKSFKQDQLIYMDAYD CMPMDRFLPRPKGAPGISRGGSRGGGRGGPRGGGFSRGGRGGAPRGGGFSRGGPRGGGFS RGGGQQRGGYDRGGYGRGGFKR >CAK90655 pep:novel supercontig:GCA_000165425.1:CT868660:221565:222471:-1 gene:GSPATT00003517001 transcript:CAK90655 MNFKELWVIGLIPFTLYQKLYQKFQHQSYYCWIGFSFGLSIKTKSYDDLIALQYSDLREN GIFINIFKSFQFYTLDKKPNNNLLESKFCFCIIYFRIIAQNVKQIAMQQKQHKPKINMKI LCQQKSILYQFKILIINGNFQNNELIFMSIAFMCRLVPSLHFQEIVQLNIMELSNTFIQS VLNSKMPFSGQGFQIQRENSRTDEMFLYLIGIHYLISHLPFSKQMLNIYAKFVVILACQF CECKGLAGYNGLNFQVLFFFIFAYYYIDIAFGNIFFCVSNFPKYKRITIKLI >CAK90656 pep:novel supercontig:GCA_000165425.1:CT868660:222823:223275:-1 gene:GSPATT00003518001 transcript:CAK90656 MKHRQKKKQNSNLYIVEKIVDKRYDPLSKELQYCIKWEGYNEAENTWEPLTNLKNVLSEI EEFENQYRNKKYNKSLKIDNKKLKQLLNNKLSQFLEKIAPKSQQLQEINLSDYESSCEEI QPNIKQSDGQSKTNPDQSKTKTSILTQAYH >CAK90657 pep:novel supercontig:GCA_000165425.1:CT868660:223313:224006:-1 gene:GSPATT00003519001 transcript:CAK90657 MSDSDDDIFKKKKPSKMKIEQQQKQQQQQELLQQQKQLKQEFNQTEQQLHNPKHPKLVIY CRICTYPVEFCSFSKTPRECKQWLKITFKELYAILYPEDLKIDLEKEATLQLIQQHMAQK IPLVQPPLKKRRKNGKVPESEMIYIKVKERNKNKFITTLSGLEKHGLDLKETSKKISKKF GCGSSINEKGALELQGDLSVELEEWLPKEYPTIKEEMIAIQDK >CAK90658 pep:novel supercontig:GCA_000165425.1:CT868660:224036:224551:1 gene:GSPATT00003520001 transcript:CAK90658 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVEVKDEKKEQKKQQPKEQKEQTKEQT KEHTKKTQPKKQQAKKQ >CAK90659 pep:novel supercontig:GCA_000165425.1:CT868660:224551:224997:1 gene:GSPATT00003521001 transcript:CAK90659 MNKLISTVVKSMQSQAPLYAYHFPNGSVYNNPAVTAKRIIKVVGERLRKIDPERWESTPI TFNTNWNDAAGYVDVATCIHIHDALEKEFGIEIKDRAFLVSSIETAFYIVNIHHDSH >CAK90660 pep:novel supercontig:GCA_000165425.1:CT868660:225001:226568:1 gene:GSPATT00003522001 transcript:CAK90660 MSQQLFKVYLQSISHTFKDLPKGNFYWRFHKRDINRIITTPCPVDSPTKETDIQLFKELR AQIGVREDFVCPQCPHHAKCQKAFQQTDGGNMQTLQLPHLSKFLETISTHEMNLQNDQKS FWLSSTRVLDTFHSILIDFQLNQNKLNEQFLSDIAQVSENESIHQQEPNKKTDKYTKKAD FLDDQNTQPKKEGRRQENEDYENNNKNYGRRSYENSENKYDKFNKYERGGDKYNSKFDRS EQYNRKDRFDKYDNSQKYDRQFDSDKYNDSGKYDKYANKYDRYDNKERYNRKERPQRYDR EANGDQQYRENKSFDKYEDRSQRYERRDKFDKYDKYDKYDKGDKYDKYDKGDKYEKSDKF DKYEKSDKAFKGDRFDRRNTDFSEENNEAPRNYKGRKDDFSKNFEKNDKQHYENDRFLKQ NKYAKVKDEDDFNTFVKQREQYLKEKSDNFEGERKSNQKQQDTKRVQQEDQSTSNENYEE EQPQGRKVKRSTKFKMQ >CAK90661 pep:novel supercontig:GCA_000165425.1:CT868660:226767:228452:1 gene:GSPATT00003523001 transcript:CAK90661 MKQQFLRINLQDSLQEVSCVTLENMVDMLRDPDFRQECELCKQDNKLIQKFPLVGFGITI KRIKKGSSTKIKVTQFSKIEKIIDTTFFYQNYFLTKKDYPNCVLPLLSSKEGNDQLLNCE LFKRKISYLVHQQFDCYQEDTYLELIQASIYHIIKKNGNQTWGKKILMLSFETCKQVFIN TPLFYNSLQSLEQKKSKFQLLNLICLFYMGQTKTEQIFDYYIRPNFSKNYQKPNEEFIQP FFNTLKFENFDLIYQNIQQFINNYLVENNYFILNFNFNQFLLRLIEFMIPKDEQWVNIQR LECIKNQESDIREYKYYTISSIYQNLLTNLFEDYNEEKKCKYFESFSKHFEEDEYSYQLF LIYHDIYHEIYEIYGLYKKSQNQFQIDSIFVERYQAITIRFIVKKISIDSIKRKNPTSIL DLSSYEEFNKTLTYLDVFKKIYNLQESQAEELHSSIIEEIKNLTWLNLLSSLTNFKEQDQ IILFLQKFLSHLKTWASIQNQEECAGNLNDISRFSFLLIQRTRMLRIVWLINQQGLQDLL RQTNKQNEFFCRRIFELSKTL >CAK90662 pep:novel supercontig:GCA_000165425.1:CT868660:228855:229806:-1 gene:GSPATT00003524001 transcript:CAK90662 MFSPEKDFLLYNAQRPSNVQVPSFMTTASSFRNQKLSSLRNKSCATAVQDAKQISTIDYQ ICDKSEPINLRNACYPKFKSEQSDISEIVKTQIHTIITVPKIIQFIDFCNKKHLDDELKK RKLSQDQTHKDFKIKINNFPENRKSIRQVQKHIMSNFTLPQMHSIEKCESQIIQDKINQH KIDTTTITNSKARKLINKQPNFQAYTTKQPIRSFDMLFNVKQLVRLQQKRQKEFEETQQN DPEFQLEIIKRQNQIQILMSNTKKLEQLKKASKGILSHINEQDQQAEQIFQDFKLKLDIK >CAK90663 pep:novel supercontig:GCA_000165425.1:CT868660:229848:230292:-1 gene:GSPATT00003525001 transcript:CAK90663 MQTDQDNSSTIIAPVIDEAQITIDSDDRQSQSLISVNYHANMKRVPITVVCPQCKQQGTT VIIREVGAATIMVGYLLFLLTTIFCFWIPCCVDECQDAIHQCPHCKAEVGIGPYQIL >CAK90664 pep:novel supercontig:GCA_000165425.1:CT868660:230313:234902:1 gene:GSPATT00003526001 transcript:CAK90664 MKKKVIDALKDDKYMFNPAPTYKNEKGTEDKLKKKKLREKKQLQRRAQLAEYISSDDDGP QKKQPILDQDDYGALGDLDQDILGYFQMKSQKILNMTQKIPDQIFDVGSLHLGCISSIGP NGAYLIINFTRNKKGFVDQHNLRDRKGVNGGDYKVGEYVITKVIEEQKSNKVQLSLHPNV INDQLSVNQLVVGMQIPGIAQTWNEFGTTINFGSQQFSGFINEKKLKCGRVYLFNIKEVD QKEKIAICDFEQRDVQLQNKKQISKHLLTPGNIWKCNTAKSITGGQIVKLNKFGVLGYIF QDYQVEQEKNILCRIIGFDEPSRQIYLSSKQEHIDNTTYIPPYEVGQQYQGVVINQQLYS GAYLVNAILNDDQAQTKKSKKAVKAKQPNLGPICMLNKTQIPADQEIVDHIQRTCIIKEI NYFDHVGFVSFEVNSKPKLSDLNVGAIVKGVVKQVLLKEDSYNVLLNINDNFHAILPSLQ MSDYPLANPPKFRVGSKLRVRILQIDEQHNNIIVTMKPTLLTDIKVFKTLDDVNVGDTLY GFTIKKLENGILVKFFQNIVGFLSNLSLDGQNPDDIKDGQIIKVYVKYVNQSENKLLLSL KKIDPKQKQVNEGQTQNVVLTKHIKTKLNLGEKVQCVVSAIKNNVVYVNLKDGKFGRIHK AFFECYRNEDRLFKLQSEFENLNVGSQLNAQIIGENKENNKQIFDLTCLSDHVELEKDVQ KDNNKIVYGIIKHIKSDSKSPLVVQIYYNYNVFIDGWDVNVEQPEILNSLEQYIQQGHPV KVKLYEFDKQLKGIIITDEEQLQQQQQNINEILYTKQIYRILSHHDSYIRVQLKNRQFAS IDITELQELWELNLFEQFPVGRWGVCRVIAKDKAYWASARETFLNDKLWNKCLHPVKSNT ISYQKMILSLKYDVRTQLYKKTPLSVGQVAMGYVTGSTYNGTFVKLNYETTCLIRGFKTD IKYRPIVLMITQLSKLQGTVDLNLLKYKLDDKQIRIGDVVQGLIVNIRNEKAAVKILGTL QQGELDKKDAEGLEGEDGWWANKLFKIGQQIECLVIGQRQNKNNQTVLRLSNIQELINTE GKRKIELGDIQQDVIDLHQSFEEMVKEHQIKNNVIVEQQNQNREIEEEIEQEVNEGEANG ELEEQEDEEEQEDHKDQEIEEENDQEEIREEVQNLESRAEYEKKILTNPNSSVIWIEFVA YAAENEGIESARNVIERALRVINFSNELERLNLWTAYLNLEFNFGSEDNLINIFKRGCQN CDGKKLHIKLINIYRKAEKVDLTVELSRSFVQKYKQSCKSWMEFLQSLMEWQKVHDDENP QYSFKDTLNRAMQCLKKSKQVKLLSFYGRLQFQNNQIEEGKTTYETILDKNSTRTDIWSQ YLDLVIKYCQPDVVRSIFQKAIHNNKKPRKIKFLFKKQLEYEKLIWRQCNHYRKVKEQAE HWGFIIYVKGCITRRRRGFRFDLVMYVYNSYYIKFNNFFELNTYVFMFFYYNSSQ >CAK90665 pep:novel supercontig:GCA_000165425.1:CT868660:235350:237266:-1 gene:GSPATT00003527001 transcript:CAK90665 MEQVELPFVFCQQHNDYMIEVVCYDYLSQQCRFMCKQCYRQNNNSNTQQRDSEFQQRQQQ FQQKCTQEKGQLNNYLQQKIELLFGEFKAVIQSHLENIQGSFRNSLQQIDNFVPQLSNLN QQDAQKTIEKQRQKINELRKESIKNKSNQLNELKNKIIQIALNIENLIFSEHLLGQQLYQ IPDLQTIFKNFDTNNLLLSFEQNYHIKYQYITELDIENRMDSQKQELERILKRNRQIQTN LNDQYQKIDNELQKQKDQMLARFKKYQNWVITNNEQINQNIQKAQLNTTEIQMLAQQDFI NLGLVDLANKKLNNSLDEFRNTLSKICHLGFYDIKISLQDIETNFMLNLIPIRFTQGISP MQSNILNQYRDATNVKANLNQNGDIYGQVLIKEPSATITVQVSSQEKQEGDSIKNYQNQT LFDFNLLKFFPDSQNKFSYQLKRTQLGVETYDDNINQWSLKKQNNGKYKFTVVVNQRDQI IFEDIEIRDNKLEQNSSIIVRYSDSRNQYEGQINNNFQYSGKGKLIENGIIKDGIWKNGQ LDGIGIILQNGIEIYNGNFENGMKQGNGREFLISDLYYDGQFERDEKHGVGKIVKKKGQE FITVEEKIKWKNGQSQNDVCKIF >CAK90666 pep:novel supercontig:GCA_000165425.1:CT868660:238464:240237:1 gene:GSPATT00003528001 transcript:CAK90666 MKFEHKKKFSVHLDISQLKSNSYLNYPLSTKRKIDNPQSTRKLDTSPKSVSPNNSFLSSE RKLQQKYPISNSKTNSLKSMLQPYKIRSPSDKENHHSFLSNRSQLNKLPLSTEQFLKLYN LTRNEQSELNGIKQVYYYKQPKCLVDQPNGDYSYNIKDHIRYQYEIVSLLGQGSFGQVFQ VLDHKTQQLYALKVIKNQEKLRKQAIIEANILQYIKDHDTDQLSNIVKNIEQFTFRGHQC IVFEKLEQNLFELIQKQKFKGIDHELARKVGIQLLNSLNFLNKHKIIHCDIKPENVMLLD NSKSGIKLVDFGSGCFVGQQIYTYIQSRYYRAPEVIFGLKYGIEIDMWSFACLISELYIG TPIFPGEDEIEQINLIIEVIGAPSVDFALRCPRRKYFFDEDGHPKKNIKSYRKPNSVSLY DRLRTEDSDLVDFLLRCFAWEPQNRLQPLDALKHPWIIAGLPKEIQKQHKKYIELEKLLQ TQKTPLVQQLCFQGQKQNKSKEHYQEDSQFKTKKQIKSILNINTNLSNISDQKAILSCRY HDKPLQSERQRQNIASSIYKLLSDKPKSRKPSCN >CAK90667 pep:novel supercontig:GCA_000165425.1:CT868660:240885:244206:1 gene:GSPATT00003529001 transcript:CAK90667 MHYVPVSQTERRISKLKHKNKQKTMFIIVGALLFCLIAMLVLIVLDVNNYVKINSIFQIW QPQTTVAMVQTQRDVISSKHKQIDEQLFHLKSIFQEKAYKEATNLKLPIIDKNEYQYFTL SNGLKVLVIQDQEAKIAQAALCVNAGSWSEPDEYPGLAHFLEHMLFQGSKSYPQEGYFQK LVAEGGGSTNAYTRGEETNYYMKINNERVVEALQVFAHFFIDPLLDSSMVEREVNAVNSE YEIAVSGDLWKISHLFQILSNKPIGRFTIGSLKTLKDPMKELVKFHSQFYSANIMSLVVK SNYPDMAKWIREQSDFSQIPNLNLKKRSKLHLPLKKTGLMVKYKTNGDKNQLIIAYQLDS PRTTKKSKTLPMIASLIKSKHKEGLLDYLVRQKMALNVDAGTFLEGNGDFTFFLIEIELI EGVDELKVAETVTGYFNNMLDQFFQEVDKNEINYTPYLEEIWQQYKQLQLSQYNYLDNNH YPTVQQIAHNLNYFDYTDAMSIEFLYEEFQPESIYNYLTEMLNPSNIVIFHGSPKFTNLK QSSELYRLEYEIMTLSREQIKKLSSAVTTKVVLFDWVKSKQLPLLLPKINTFIPKDFSIK SLCREQTSFIQAPLVFKSKEDCIQHEKEYETINHYPLMIKRTVETKAWWKLQRQFQVPQI FTGVMFNTPKSINSLKDKLLIQVFNTLVTDNLNQEIQEAIDAGYQFQFTPSIKGVSLELY GWSDNYQSFFEKVLQSISNLKYDSFSQVKQKLMIYYNNIYQDKLFRVAMSEYLNQVVQAQ YYTAELFLEELNILDLESLQQFHSNYFSNFRVSSFVSGNILRSEVEDLLHSIRKVFHKSS SHTSEEPHVFNIRDLTNKNVVVPLIHKGGDSNDVNGVTINYYQIGHRNKKNFAIMNLIQQ FFHNHAFQYLRTERQLGYVALMRFIPIGCIDGAAIIVQGTAQMPYVVNQHIEDFLKQFHN VLLSLADDQLDNIKNGAKSALQEKDKSLYDESAYIWGQIRGNNLQLEEKEIAIAMIDEIV QKDIIEFYEKYFIKQQNKLSLQIYGKGLSEQFNQVKDNLLEKESIIELKTLNEFKCAYTL NNL >CAK90668 pep:novel supercontig:GCA_000165425.1:CT868660:244360:245033:-1 gene:GSPATT00003530001 transcript:CAK90668 MKMIESPCQTLQRLNNLFAYLENNTYQSNHIALSKLNFQRFKKFPIPQPFIDHEVEYLSA VKQQPKQNLQNKPDIVLPDTTKNVESLKEFTLYVQNIKQLRKQRKFGKVDQNKSAINFRV KKESVLPRSPKLIRQNSIHQTGYLGKKKNTKVEVPSPRPNKTQQWSKELFQKLENDQVRG IIAKQSKNEQQVWNMYHKKNYEKQSKHILILQKTI >CAK90669 pep:novel supercontig:GCA_000165425.1:CT868660:245242:245558:-1 gene:GSPATT00003531001 transcript:CAK90669 MLQDYINRFLITFEQYNLELAIIRQSEPFICLIQVISDRIKQNRQRMILKVGAQMLILRL FRTIINPTFLKHSNNLKMNYSYCDYYFKVQICSASNK >CAK90670 pep:novel supercontig:GCA_000165425.1:CT868660:245580:245970:1 gene:GSPATT00003532001 transcript:CAK90670 MFSSYRKKLFDQKLAEQQQNLHQQKELKIRQSRGQIDQRALATYLGAYIQMKQIEDFFYE QCTNQCIDIQNEWMNDKERQCLTDCNSKITKFTKIAKDNYANIDDETKKIVSSVSSLLSR R >CAK90671 pep:novel supercontig:GCA_000165425.1:CT868660:246181:247473:1 gene:GSPATT00003533001 transcript:CAK90671 MSNHSKRSTLMKCNTMKAPKQLRKAKSFYSQAETFQLSNQVDGTDEKLKKLEQSFHNQSD YKIMQSQTRFNKTLSVHKKNNIVAETIIPEWLKSRKDFQQICYTETINDLLSVPKIILKQ QRNTIEDQKIKDWIQNKVYGLPDELYKDITQIMTTRVFAFEEIFDVDHNITVLYEGKVQR VNKDLGFPINGPPIKVGEFLSDEYLYMVKTDVIIVEIPKIELMQLMDGFKISKLEQFVQQ MKQFPAFKSIKKNSLHKIITRGQLQLIIKNYQRFQRASNQEYLNILFYGKLQLNLRKLIS KMNKWPIAQNQWETKTYYQYQDRILELESLNCFGLTDDQYTISTKESCVLLQIRRNILET ILTTEQINDLKQSIDIFKKESKSQWRERMRRKFDQELIQQKLRMEKVMIALLNN >CAK90672 pep:novel supercontig:GCA_000165425.1:CT868660:247551:249024:1 gene:GSPATT00003535001 transcript:CAK90672 MGNGKYGKIVMAKHQLVNEKVCIKILEKKNLKFSQDFENLENEIQILRQVKHPNVIHLYE ILESTSNIYMIFEYGEGNDLKHRLNQSESLIYLQQIAKGVSYLHENNIIHYKLRLGNIVL QNGRPKIIDFSEAIKIEKQKDKDYSIIKLPYQSPEMLNSLYYEFDGEQYDIWCLGLILYQ MLQGQLPFDNTTNNNDLKSKIKKSKFQINYPISQQVCSLLEAMLNADPNKRIKLNELNSY LGTQNLQFEDDYLKVPVDIPMHVIIQELENYQLETVNLFQLLEQNKHCTLTTCYYLIKNK LMKLAKLKKVKLNLMNFENSKFNFMTNLRNSIQFATKKCRIYQNATRVHTENNKNRSESF SQNVYQYKSLSRTNNRKVSIVKQTEQSPKQLQTQNSFFPSQNSPIIDQYNNNIHKGSYNQ NLNKQEIINDNYSMYQDKQQQRIRNVLFPNKNFIIQDKNKKRRSTSQKI >CAK90673 pep:novel supercontig:GCA_000165425.1:CT868660:249039:250112:-1 gene:GSPATT00003536001 transcript:CAK90673 MIHEQYRFFGKELVNSSGEFQKENTDRNKPKHLTVLPRYLKLNLWQEERENKMEVENCTH QLCSFDQQMLKDPQYTSLYSQEIFTYLLTQEQKYLVSNNYMNEQQQPDLNTRMRAILLDW LIDVHLKFKLRDETLYVTTYLIDRYLNLKTTTRQQLQLVGVASLFIACKYEEIYPPDLKD FVYITDNAYTKQDVLEMEGQILQTLDFSITQPSSYSFLQRFGRIAGLDTKNLFLAQYLLE LSMIDIKFMNYKPSFLSAAAIYLVHKIRKTPQSWNEEMQKMTGYNEQELRFCAKEMCLVL QSQDKSNLQAVRKKFGQPKYQEVSRIRVERQIKQQK >CAK90674 pep:novel supercontig:GCA_000165425.1:CT868660:250161:250804:-1 gene:GSPATT00003537001 transcript:CAK90674 MQKCKIILTKITILYNVESVISLCPYRVEEKRQFIFLQLLQFSNQIQDLVRLCINNIVRL IKDLRVSKIQRMGQTIFLFQGSYFEICKRLNQIQFIFNRIPSNLQYQVQKNWLSLFYITN FAIYQIFYYFFLRQGMKERIIEMNESHDKFTQCLFCDDNTTNVTCTQYCIMQQINLKQQC LICRQNCQVQQLIQLYDYN >CAK90675 pep:novel supercontig:GCA_000165425.1:CT868660:250871:251026:-1 gene:GSPATT00003538001 transcript:CAK90675 MSYTNFYKPELIRRSQKDSEMIQQISQNICNIFEYFFQLVKYTINSTRLKC >CAK90676 pep:novel supercontig:GCA_000165425.1:CT868660:251230:251667:1 gene:GSPATT00003539001 transcript:CAK90676 MNAKGAGLTYVSEFKSDEFRTFRGGLRHLPERAEAMVHNSKNNLSNYHDKFNYERGFIQH SRSLSNQHHNFHFMYGGPKEYLFKRLFYGAWYRKNIRNFWFPAVFSYGLGCFCMRLYDNA AHDFFYFTD >CAK90677 pep:novel supercontig:GCA_000165425.1:CT868660:251709:252257:-1 gene:GSPATT00003540001 transcript:CAK90677 MNSLQQKLKLNIGTQTHTDNSVLPDVVQKSPRMVLRNLQSTKLRSQEYINTERVIRLKDV IVSNHQRQTSLQKMNISQCKFKINKLANDDNRVCLPNFKKCNKEKAKKMLCDYIKQNNKY TNKIIVKLPEYEKRAPFITEQFSFIEKILPQNQKFRKQLGFSEESILRNNLLLEKMLLER LL >CAK90678 pep:novel supercontig:GCA_000165425.1:CT868660:252284:252830:-1 gene:GSPATT00003541001 transcript:CAK90678 MQKVITPEIVRTFTKPCDKFLCKPSEEIKFIKFILRDADDNFILFDFENDEENQQQEVLS YQFTPQYFDIKTLGATKIFKTFDKPLKNLLLVDRFYFKNNLIKEYEFCFPFCIPHSTNTW ESMYDQPALGANLKDLMLINPWKTKSDTFLFIDGKLANHERIEYDFSGGQEDFD >CAK90679 pep:novel supercontig:GCA_000165425.1:CT868660:253236:255259:1 gene:GSPATT00003542001 transcript:CAK90679 MKICSIIIQNKRGQNIFVVSSNDYSHELSLQHSKDIYNYILSQIKAGKKIDRSIVLDSNY VCICNVNKDAIFLVIVQRNELFLGYEAIKKLAEFYATYYKQEITTRKYQEMLLLLEEFLY TKEFLPQKLQFNLTVKAPASSRCIADCDHLQKFSSLQATIEKNLIANIPLKYAKKQQIIE KEIDIINIFIKPLTNLDEYKPNQEELQWKQKQKKSLYDCAFKMKSKQVHKENIFQKYKPQ KPNTQYKQHTQKSAQLVNQYKGISSSDIQIMKLNFQSQMQKAGGQQDHSNQQSKQQSLKP IQQQHSRQINLLLDYGEGFTTVEPPKSQIQQVQNSNEEQPRTNPIQVKPKQQQNANDLLD LDDRPNHQVQPQIQSTAGPDLSFLSLSPVKQNEPCLKMLEKMVIAQKDGRLKELKIFGQI ALDGDFTFDDQSNLQLLGQYWQDTYGCQRRITPNSLDLQLLNENSYKMIIKNASYKTPKG IIDYIIPTKAFTQQRIPVIFLYRLECVQSFASYCLQYKVNDQWQVPLQDIVIEVNLEKDV EFDDLKTIPVAQNINDKQITWKAKQLLQQQKGKLILNLTGIKKSGSQILNHIRISLKANT SVIENMDSIMFYNSQEYKCQKKLSVEYKIYPNS >CAK90680 pep:novel supercontig:GCA_000165425.1:CT868660:255293:255572:1 gene:GSPATT00003543001 transcript:CAK90680 MSEAQADRILSIANQETVNRLFRVCYKQITGQRPVGKFQDSDHQAVLNCHRRLVEVLKLV APAIVPLREE >CAK90681 pep:novel supercontig:GCA_000165425.1:CT868660:255572:257429:-1 gene:GSPATT00003544001 transcript:CAK90681 MSQFLQPTILLLREGTDTSQGRAQIVSNINAVQAVADVVKSTLGPRGMDKMIQTGPKVTI SNDGATILNLLEVVHPAARVLVDIAKSQDDEVGDGTTSTTLLAAELLKEAKPFIEEGIHP QIVIQGYRKALELALEKLEGFSINISRDAPEEKRDTLLKCAQTALNSKLLANTKQFFSEL VVSAVEKLDPNILDRDLIGIKNVTGGSVTDSFLVDGVAFKKTFSYAGFEQQPKRFENPKI CLLNLELELKSEKENAEIRIEKPEEYQQIVDAEWALIYEKLDIIVKAGAQIVLSKLPIGD LATQYFADRNIFCAGRVPQEDLLRVQKATGGQIQTTVNGLNPETFGTCGLFEEVQVGAER YNLFKNCPQSRTATIVLRGGAEQFIQEAERSLNDAIMIVRRCFKANKIVAGGGAIELELS RYLRHYARSVTGKTQYIVNSFAKALEVIPRTIAENAGLNSIEIMNKLRQRHAQGGEEGRW YGVDINGASGVCDTHSSFVWEPSLVKRNALCSATEAACAILSIDETVKNPKSDQDTKMKP RGQPGRPPMGMRR >CAK90682 pep:novel supercontig:GCA_000165425.1:CT868660:257579:258370:1 gene:GSPATT00003545001 transcript:CAK90682 MQYHLSIISAAAYIQKLGLVALAGENIKIFNLKKDKEQQEIKTEKYIKIFQLIFNQQDSN LYQFNLNKTIVKYRLKGRMFVLDKQLQLDYEFFPTLATVTHDNKTIIIGGMHSNILVFYN MVNECCFKLELSDSEIQNYCLLKNPENRFILSYNCLINVENKKVIRKVNTYSSEIKSWSQ SGHFYSKEQEYKILITSAFKNKILRTFSVDRNNIYINQIFSETGKYYCLQKQCEVIQLYD ISTGIDSQNQLKVYGLLQQFIIQ >CAK90683 pep:novel supercontig:GCA_000165425.1:CT868660:258691:259163:-1 gene:GSPATT00003546001 transcript:CAK90683 MQNNMLEDLKNIMKEGWLEKESRVFKSWRKRWFVLTTTTLYTFKAEKQYSNPTEIIPLST ISTIKSCQEETNRENTFKIDTPETTFFLMSNNNQEKEAWIGAIGKAMVKLHMKKNQKDDD FD >CAK90684 pep:novel supercontig:GCA_000165425.1:CT868660:259166:259693:-1 gene:GSPATT00003547001 transcript:CAK90684 MQLDKEIQKNWLRVDPLENPILKDPPKHQPEKFNEYVELKVEENVVGFLVGQQSYFFNED GGWRDENGCYYDKDGQPDGWFVLHPGNDNHEHYYDQDGMYVPSEVENDKNSIDNIQDNEE DSHQSDEDDIQNYEQS >CAK90685 pep:novel supercontig:GCA_000165425.1:CT868660:259779:261457:1 gene:GSPATT00003548001 transcript:CAK90685 MNEGYYNDQDKYKDLKNNLDGRIIYIEPQLYLENLREFNERNPTYGVTVDYRFLKTIQQC DFLESSQLQFFINLFRKAYPQVKLAAATCHFFADFIVSLQIPVSQQQLNQTNSTGYQSQK LQESLVQPQQKISEEYIESHVMNKSILDNQQNINISTQSNNSIITNKSNTNDSNLSVQKP TASANNFKGQYAQSQIIPKTTKDNNVDYLSQSLIQNNNIANNKPQSQLFQANRDVQAPQQ VQVNQTINPLSQIQIDNQPNQQNSNISDSQSIPYQAPLSGQQNPINVNDQIQSPIGSQII SHQFIDSNVGSINETQEKPQLNQEQFQNAQSIIYPQNNQQVPSAQAQVEQQQAQPPPEKK FFFQITNMTIQKPAQQDNINKSYLPSLIKQLAEMNNLTEEQLKDQDYWYFPINQLQSHWI SVVINFKKKEIFYFDSYYKKTDPVILQGINSILEHFKINPQNFQVRPVYNQQINGYDCGV FILLSLLYTLQQKTYNYTQSVATQFRKGVLYNLAVIGAQQDIDQVYLEFIVDQH >CAK90686 pep:novel supercontig:GCA_000165425.1:CT868660:262030:263354:-1 gene:GSPATT00003549001 transcript:CAK90686 MEYDYIGAIKIKDGLFLGDQFASQDLEFIVTNKVSRIVNCACKQIPNHWESIGIVYMSLP WIDNDTQVIFQQEELINQVIKFMDDALNNGESVIVHSIRGHNRSIAVLCVYFMKKYRWTL YKTLQFMHSRRPDLEIRANFFNQLLAIESKFQKNGWGAKTYNWEEVYSQGDPEEMVLRNT YLNAQPQGVAEFKDHDQKPKTQKLRFAEKITMYIPPYEKIVFNKKKQSQPIETKSCLTGK TIQYEQQIQKSPRPETNLMSQTQPLQPIQPVHQRPSSQDVKRVVAKSDSSKFQQLPEATQ SLKPKKQANNYMDSGEQFFLKSQQKINQEQNQGMAQNDRRPQTAPNQLRAPRLQVTPYKK NSTGNQRQDLTIQPNTQFKPMGNRQRAHSPNAVYNANPYVQKQFKTKPWKK >CAK90687 pep:novel supercontig:GCA_000165425.1:CT868660:263424:264921:-1 gene:GSPATT00003550001 transcript:CAK90687 MNKWTLKFENQALENQFQAKQQDYHKTFILKVISISSIVLHIGKLIQDSTQNNPIRIELQ TPGLIISIIALVVIFRYPQYSSTMMILLNYSFSLVQFWVDSTYGQQRSFLLGSNVMASQM LLMMSLDFYQIVPQIVIQLILKLIIMSQYYQEHLSMVTVWFSVLISVISIVSYYLIGQAK RQQFLLTVKEDIYSQFLTKFISVPFILFEYQQEQFQPIILSKQNKIKKWNPNLCDGCNLR SLIRYITFENQTLENYLLEKYGKIKGSFQSGNTIEEFSFAFRCYSQIDVIITITDKINFL VKFTGTSQTLQEKVAIDKINHFYRLIREVFKCIKKPQKYKSLLFDISIQFISGFYLYGNK EVHYYPVEILKNVIRFIGANNILLNAESSTGGFSLIGSKNQFSVFFLQICRILIIINNEH DFIVIRVNISQEGSLVFEFSLKWENLQKFQHLYKINQFLHSLELVLFEKSIDFQQNCQLK MKRMQLMNQGM >CAK90688 pep:novel supercontig:GCA_000165425.1:CT868660:265039:266037:-1 gene:GSPATT00003551001 transcript:CAK90688 MVKYQFTSQDVEQQANQLERLKEIIEKQARIIEKLENKNNDLRFQVEKLSLQLEEQQGKS QKDSDLLEIKEEKQDQDGSIIQQQKIENIFVQNTQSLFPARNELTPHQQSSFIPPYNEQT LHHQPINLFNQQPIKLNNIKEDSDISSKNQQFQDQFKVNDKFFREQKQSQSNDPSLNTSL IQNNNQDNNKNNDPFIVESKQDYNIFGYPTKNDQPQQQSSLFQINQPPQNQKEQMILEEV EEEQDEPLMFGDPSSIYYNNPLADKSKQNKEQEVEKVEEVEEAIKDLQINENQKANSQQN INDALSKSDGSAQLNQNGEDFQFEENNEQESI >CAK90689 pep:novel supercontig:GCA_000165425.1:CT868660:266076:266825:-1 gene:GSPATT00003552001 transcript:CAK90689 MSRQQFRNARMSLFVGNISRLVEQKDIEREFKVHGDCTVDFRVKNNDRYAFIQYKSEQEA EAAKNALHQRDLQGLRINVEWSKKSGRYDENREPRRDRPRDDRGQSRGNYDRFEREREKE RKPRRRNSKSRSRSRSPKNRSSPQYRVSRSQSIDSENEPPRDKILRKKLKEMIRKTDNGE YKD >CAK90690 pep:novel supercontig:GCA_000165425.1:CT868660:266909:267887:1 gene:GSPATT00003553001 transcript:CAK90690 MSQLTESPNRLNEQQSIPQDEIIDKSQKMATSSPDKENSSELFRLQKQQFIQKMTQDNSA RRQKKIIGDIKDVVNVNKQIVNFGLVIPGNICEDDIQFQNLSNETVIIGIQVICNNTEFD DLDEYVYSARKLNGYDYNDRFMLACPAQKQFSMKIALKVPNIKEQKGLFGTIIITASYSN HQKIQGQINAQIQSQIALPSIECPKTLMNSAYNLPVIQLAFKLGKKLDCKIPFRNNSSIG LPLEMEFLNKNDNEILINPPSLSVQGNSQFLVTIYIKSKQEQIIKNVLIVKVKNSNVHFS YPFIIKIY >CAK90691 pep:novel supercontig:GCA_000165425.1:CT868660:267969:269375:1 gene:GSPATT00003554001 transcript:CAK90691 MSFNKKGRDDLMQITNDEDGREINPHMPQYIINAPWYLKESTPSLKHQRIRKQQNTSTFD NWYQRGSKGPNNLKFKKGACTNCGSTTHQSKDCCERPRQIGAKFSNTDIQPDDLVSNVQG LNYDAKRDRWNGYDPETYKSQIQEYEILEEKRKETRLQEGQQTESGNLDDEFKDNGTGEH QTQMTTRDPRTKTMTRNLRIREDKANYLLNLDVNSAYFDPKSRSLRENPNPHLPPEKQVF KGLNQIRLTGETLQMYEQERFAWQYAEQHNLNLNTVSLPTLTEKTYKQIKAKKEEQKIGR AESLFDRYGGEEHLNPQMDLLLGQTERFVEYEEDGLPKNPLKKKDLTKSKYLEDFFYGDH TSVWGSWWSDVLGWGYDCCYSNEKHSVCLGEKGRRLQLNKEARLKREIEEEIQKAQTQDQ KSSPQHQHQQPQQQIIQQVQQQ >CAK90692 pep:novel supercontig:GCA_000165425.1:CT868660:269499:270559:-1 gene:GSPATT00003555001 transcript:CAK90692 MTTITQEYPLDVFQSSNFHKVIITVFYHNDDYKTRLDKLLQIMPLEVEKYALSYCNDEQI ARSHNIQQLPSVLISSYPKLINNQILCLEPAQVLIQLQSIHEQFEAEFQKQQQQYFQRIE SMIKENPIMLFIVGTPEKPMCRFTSKLIQKLQPFGVQFGFFDIEIDKLMVGYLKLYSKWQ TFPQVFVNGKLIGGADATVELIDQKKFSELIPKDAFMNKTSKLEQIQLISKYIILIDGQP DLNCEIARILTEKKIPFQFYNIGMDQELRSEVLNFLSSYIETSHDLEPLLLFKNTIYNEI TLKKELSILQ >CAK90693 pep:novel supercontig:GCA_000165425.1:CT868660:271411:272378:-1 gene:GSPATT00003556001 transcript:CAK90693 MDYQELYELRKKLADWKKNPKETINILKQLEQSPLNQEAVNKSKIYKTLHTLTIIDDKSD ILAATIKSKASIVQDKLKKLSQNKPNQNEIIEKRREKSEEIKVEKHNSVQSIQSSKSLPA YDLFENFKTPHPSYVDRVKYLNAISNLFILNIQKFKNQNDLNASDRQTIKECVEKMENTI YYKRQQDYTPRKAYEQDLKILAGFLKKDKNGSLTYSIFTKQFDPINAAQLRAADWVDDET KQEQSRIIREKMEAEQIGFYKDLSKREMEGVEGKTCKGCGQKKVYLVDEKQTRASDEPTT KFFECYNCGDKFRIC >CAK90694 pep:novel supercontig:GCA_000165425.1:CT868660:273018:274303:1 gene:GSPATT00003557001 transcript:CAK90694 MQYKKLEGQSNYWKAAMLGTIFLFMFSSFNSAANLIAFLYKEANYDDLGVISLFSLYAAF GVSSVFAPNISALFNPKYVMFFSSFGYTLYLSCGIIVFQCKDHGVQDGICSKGILYIIVI LCAVVNGICASTLWVSQPWYITSISTNETRGKYFGIFWALMQSSQIVGNVLGLVLVQLSP LLYFLVMTIVCGISGFSFLLLPSTFEKAQEVKSVKEKLRGIYVIISSRKMRPMLLYYYFA GTIVAFYTGFLYKLIAASQPEDQSQELTGKRQAYVFIILGVFEFISGICSGYISDRVNRY MVATASTIIVELALIVSIISYYTESYTLCFISGALWGTSDCLVANMTMVITTTLFPDQIE AFAIRAIMTSFATSVMLIFSILIPNQIIYLLIVVFIELLTNISTSKLGVVNEKPNEIRL >CAK90695 pep:novel supercontig:GCA_000165425.1:CT868660:274506:275608:-1 gene:GSPATT00003558001 transcript:CAK90695 MNIISHKTYNDVNIEEYEQNGWNFIFTKAGMYNTSDLDMLQDALTLQGIPDITNGNNCGL IYNKQFNFGILVDPKDALYLCNYQAREANYIDIKKELKSNKLNHISVIPEQAQLKYANVW NQKQNADIKVLNKISDTFYSSPYKGTIVDGDQMNYQFQRFKQIAGIQGKSIFEKQLNENV IKNEVDYLNQVFNIKSKTFHSEYTEEEIPLNNLSQANPIKWASHISLYEDELGDNGLSNC EYRFRVMGDCFFGLIRSYLRIDDVLIRIFDTRIYHQFNWDYVLRDFTHREDSWTNIQKNG FNFTPQWSTDQNQSFMVQNSVPIQQHINDKIFFKSA >CAK90696 pep:novel supercontig:GCA_000165425.1:CT868660:276698:277855:-1 gene:GSPATT00003559001 transcript:CAK90696 MYIIIASAIILAISFTIQLTKVTPKKKLYLLDLFLILLGAMAIYSETTHXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFQSSYGLHFQNNTQLNINWT NSFPKNQLHVHSYQLFYGMKQDGISTFLQFIVNKSIKDGQQAIYINIQSEIQTQEQALQQ FGTNNLHELIHFTQQKPTTIAIDNLHLSLSKKQCFICSILSNLHKFNTTTLLISAKELHN LNYMLDDYSVNVMEIREFNNGKQLHFRYDWNQQSIQYGKKYDDVVLQKQKEFVISLTETE KQLMDNIRKLICKTKCENQNIKIDDLTYQYSDLKQIVEKNYVIAKFGYVRFYNSFIFESL QYL >CAK90697 pep:novel supercontig:GCA_000165425.1:CT868660:277953:278647:1 gene:GSPATT00003560001 transcript:CAK90697 MGKNYRNRSKTSATPRKPFEKERLDNELQIIGKYGLKNKREVWRVQLTLARIRKAARELL TLDPKDPRRLFEGEALIRRMVRFGLLSEQERKLDYVLGLTTQKMMERRLQTFVFKSNQAS SIHHARTLIRQRHFRVGKRLVNSPSFLVRVESEKLVDFAVTSPFGQGREGRRKRKNAKRV KPNKEE >CAK90698 pep:novel supercontig:GCA_000165425.1:CT868660:278647:278955:-1 gene:GSPATT00003561001 transcript:CAK90698 MQSESDMSEYDEKKFVLPKSTKDLKACQQCGMVLTVEQWNREVECPNSCNASQTKLFSGM ICVLKPSQSWVIRKLGNPKSIHPGLYAIDVQAD >CAK90699 pep:novel supercontig:GCA_000165425.1:CT868660:279561:281087:-1 gene:GSPATT00003562001 transcript:CAK90699 MQVPRQIGIYCQDDQHFHRGQKIKYLMVKKDKGTIQKRLFCDECFLGKYNSLQQHCCNLE ELINGRNYEAFKYTKFDSNNKQQYFNYARNHHPYLDIEFIYNMVSSLQEEIQSKIRDMMD IHKQKQVEYLNELIKWTENPKISNYFNCDELKEVLLNEKFERSPEMFKDLNEKAEIYIDE EAIFKIDDIVTKQKRFFDLDRFNASFKDIMQSIQKIEETTFTDYKFSTSTLATPYLETIV EKIAPKNEENFYKNMKRIYRMTQQGSNYQTLQQIELLEQVDTITVIQTKNNCIFGVYNCI ENAQNSILFQMNKKKFFQFRKINKTAFELNKKSNLSDWILKFGDGDILINSSFTKCTSKL GAGFDISDTDIFNPEEYLSNCREFDVYDIEIFQTSEPTKIRNTLPLKQPPPLIKMPVNQT GSLQGSTFGSINDSVQILPTGNPGSQQQQNFQPPQRIPGMNFQDFNTLQNSSK >CAK90700 pep:novel supercontig:GCA_000165425.1:CT868660:281442:281681:1 gene:GSPATT00003563001 transcript:CAK90700 MKYVYLELRYLKFIYKSQQLSFNFYFKYFLKIKVEFIPDISQYKRELHFVILSAFYYIKL MRNNNKFDNIGEIIKEESF >CAK90701 pep:novel supercontig:GCA_000165425.1:CT868660:281839:283005:-1 gene:GSPATT00003564001 transcript:CAK90701 MYTYLSGTFTEPGVVRRPQPDDEESFGSMKSNRSAKSEKIGEYFQERFCSRCRVMRPPKT SHCYNCNNCVKMYDHHCTFMSNCIGQRNYRYFIGWVYTLVLQCIIWYIVLFQHTYKTFSM QEAMDKLFQSDYVQYAALIFLMSLCCSCTFYLHGVVQSCLTLLFISCFGVFLYGNYDFDK KYYENYFCSLIFVIVTLPGGIMALSVSLSQSIHLAIGVNQKEWSVLTRQVNLNSIRDTNI ELSASPNLDQTNQYEQVESQSTDIVKESEEDKQLRQKIEDEIENNPELRGTLSKEKMEYI FQRRRNYQQKQKMVEDAKQQVQTYKSKASLQNYCINLKKLLFDKISQSELY >CAK90702 pep:novel supercontig:GCA_000165425.1:CT868660:283969:284894:1 gene:GSPATT00003565001 transcript:CAK90702 MLIVLLLFSFSVQLTSQKNDQSSEDYKLIIFSEFCYDQFFGDSELNNECISDTISRTISI LMVAFAIMNQLPQILKIFKSQSTQGISFNSYYTELYLFSFITAYNIYKQTKFILYGENII VGLEYCVVLSLFLFYDKDLSFHQWLFKAVFFITINTPLYLGLGPQWIFDMTIYINMSLLF MARFLQIRLNCRNRNTGQLSLLTQLQNYAGSVARLFTLFNDNADFSYMVYVLEDNIMGTI LLIQIFNTWRAERKRKRNNHDNITELTGKGIKYDQI >CAK90703 pep:novel supercontig:GCA_000165425.1:CT868660:285163:285768:1 gene:GSPATT00003566001 transcript:CAK90703 MNPISMPQFKGDPLLFHAVAHGNVQEVISLIEDKEASVDARNINGATPLIYAVQANHPQI TELLLKFKANPNLKEYYDVGEKTALHYAVEKNQFKLCQLLLDYGANPSLQDKRGLTCLHY AARQGFKQIVVLLLNYGVDINLRDENGFNASYWAQVNKFNEILILLPPPKVIPSNDLLEF KTQMREIHKIEIGKKKKKKKK >CAK90704 pep:novel supercontig:GCA_000165425.1:CT868660:286192:288010:-1 gene:GSPATT00003567001 transcript:CAK90704 MENLLPTANPILQTPFEFTKPKFDYPGQIGPLPYFHNQYKPPKDVLNFECCDIDFFQREQ TQFKTFHELHNNSALVYQRFVIQTPKGQNFASPKYSGTQLFSKGPIHFDSNFECGNLYTV HRVANKKYNLILHNDTQTNGCTRWYFFSVRTPERMMLQLNIINLSKSASYLSVNPYIYTA RTGWYRGGDNVQYYKNNYKKNQDANYYTLSFSYLFEKEDITYFALYPPYGVTSLQSFLKP LSNLSFFKLKSITINPGNQCPVITMGDSSKPLIVILARQHPSEVITSYVVEAIIQYLTIQ DGQNLREQFCFKILPLMNPDGVIHGNSTTTLQGIDINQKWHKVNKLIPSAQHVKAILKKS ENLHLVLDLHQTFNKYGITFVGKCDQDQPFIAAISQTCQYVNLNQSKFGKSTKMENTLPF ALGTIVKMGCILQMRISNQDGNGKDIQIKSLQQIGIDICKAIDLTTTPQLIKEFPKFHQQ MDISDSESCHSFDENTQNKKRIIKRKLTTINTGNENNLSIATTTTTKKSFLPSKLKPLCD LSQYQTSNKKPIQSSQFKTRIRSFSTRAPDFYLKN >CAK90705 pep:novel supercontig:GCA_000165425.1:CT868660:288235:289367:1 gene:GSPATT00003568001 transcript:CAK90705 MRRPNEVEYSKTALMANWYEDRLAPQQLQRDPDAEKSLRTVEEGISIPGDNGCLLPLPRV NRNPPYITKEIIVPDDGYREFRTEFQTKFDAKNIQNMQLGDCRALVKTNGEKVKFPECNP TVAQTAGARSIKQLDQTNMQQILVDRAVHQGYTDFGSTFRNHPEQHNKFYSITTYQQSFQ PPENNVKEVLTDKKVKPVAAGCRERDPFDQGLKMTSVITGEKYRDSVDPKENTQVQRQWV HCGDSGLKTAQNNLRSSSIQNYKKTAYQVHPYDIATSLPMGDGVYTLHSKYTDPGQFRHI RSDVTRIMNKPITRK >CAK90706 pep:novel supercontig:GCA_000165425.1:CT868660:289369:290327:-1 gene:GSPATT00003569001 transcript:CAK90706 MALALKQLCGFSRVNAQCPTYKYICAGLSGGSLLYAFYAQVQKQWRESEIQFRKEQLKKP IYELRDEELVSPPWNSSNLNEWLYRRVRVKGRPLHYLQMMVPRTEFQKPGYECVVPLVTK EDADQTVQEGLLVSLGFVPYEYKEVTDRHKLEDASVQSFDCFLSQLPELQDNSYGNVANR RNSQWNYADLQLMGQFTGFKNADKIGKVVLERANFNTPLDERNMRTYDLDADHHLDYPYL KSNSGILYHKDHMPWDWQRAKQQALGSTVLFGALGALLHLAK >CAK90707 pep:novel supercontig:GCA_000165425.1:CT868660:291336:294332:1 gene:GSPATT00003570001 transcript:CAK90707 MDQEQKYHFHIPFVNNQQEKKEETKLTIIGLIDVSASMYTRWEWVANFWNKSIPKDNLIT LTFDHRAKKVHNNVLSQNIEDHGEGTTEIVPAFELLETEIVKVPKNHNITVIFISDGQDN HVNTIEQRMKQRLKGNVQKRNINFICLGIEDEFPTFLAMQLRQLYHKGDPQIPALYLIEH ASEQAFHNKFEIMKRYFYSTKMLQVNNVVNLFPYDEEVTDEVYEGQWVYSSKSDLKFINY PDVQIIPIEQSQLEIDDVVDLFRSWVQNIQIRIMGKHEDSREKAKKCLQIVNSITNRLTE YFQMDVLNFKMEEINDPNQPFKIRAKRNYAYKYGNKLLFFVKELAQLSEGSNPNEVDDFE AAKRLNIGTIVGKHHQKALALKGITVQEFKQIREEFQQIIQNCKINPQNEQGQERSVISL ENFRDILSQKQDILEGLKFVKTQYDFAETFPLVGHGLKVKRNDGSFVNPWLIQVQSFAKH NKVIDSAYLIKNNFNVQLQIGDNQTEEINCILPLFPQSDNDLQAVLRSRIIKLLLTFMVQ QNVDTLYEETYLALLSNSLINVLKKQKSQWQTEIMEQIFETTKLVYSGTKNFENYLQKLL HNPNQAYLEKEAEYEDYVSTAKPFIHLFYLSKLGNVVKDFELEIERLIIYYFIREQYKHQ QQLSYFLKLKLEKQDENFVQEQLKATFEKHVSIKNFRNSITKEIEDTLLDKYQKDSTVIG LNEDKVFNQDLKLTLDAIETFYEQFKGVKFDQQRYVHIIYHALKLQEKDVFLTRVNYNNL KEIQKAMISENKGNLTKGASAIKLALEKEYQVWFIKNHMLVQPLTYEQLQIECQQKGINI NTIAYNVKSGLSSNCCMAKQCPYYLIVNGLDFKRHVQTWGRKVPQGFHQYVRSEILKGQN IEQIIQSSTQKWKKFPKLYLGNEDIVHQYIKLISESLPMEYQIKELPKEQLLHHQVKLTF PQKQKVKKYGNKRGQNRQNQRGGYIRGRGRGRGRGKKH >CAK90708 pep:novel supercontig:GCA_000165425.1:CT868660:294863:295365:1 gene:GSPATT00003571001 transcript:CAK90708 MATWRALMSPSRNVSLQESNQKSIDNRIHTQLKGRHAKTITQSTNISHQKPTTKRLHSQS IDIDKAFIQSSSDNLLEEINVIDEQSHLGEIKELLMMNQIQLQNLNEEIDKFDYNQRQIK ALQKQILFQRRKYNLLINSQMNDCNKKLQQIQWKLQPNC >CAK90709 pep:novel supercontig:GCA_000165425.1:CT868660:295533:297022:1 gene:GSPATT00003572001 transcript:CAK90709 METQITLKIKTLDNQITSFSIDPNNTVQQLKNVIQNKLNIPFDKQRLIYQGRVLENNKTL QDYKLQNDHVVLLPGQPIQEDQLIQNQTQQSQQQQQQSQQTQGVNEFLEMDILSNILRTL TSIQQIKCKKNGTTIEEQWQELQRFRLGFNVDKQVSLEGIRQNYQTIKQLLDSQVKPEEL KQEDIQGEYVNPFDPKKRTFQVGQWIDVKDTIDQWLEAQIQIVEQNRIFIHYNGWGSRWD EWIDIQSPRIATFRTYTVGSANQDFLCPHPVSDPDCEVEKQEIDMHKFIFDVGHMMNQVT QLLIEFGRFENNKRIAEKQIQLQQQYQQMLLNKNKEMNPEESQFEMRKKEKELNEYEIKS SLIGSQLAPIFDRMGRMMTDLAPHLALMGSKTQRVNNNNQQQLLFQVPVTLTPNEIYQSQ QNAPTLHSRLEIINNRLAILRMTEFIQQEDSDDDHFTI >CAK90710 pep:novel supercontig:GCA_000165425.1:CT868660:297247:299856:-1 gene:GSPATT00003573001 transcript:CAK90710 MALQPCKILSLISPNQFLIQTQQQKTIIVGNGIPNFLTRSKTNINQKAIDVYFQQLRFVN KEALYITKANQLQINGAELQQDIPDETEIHLKLIGVQNENPNQTQQVQDFIKQHRNKSLD AILVSFEGNILNFLYNKETLISLIPSAIYIDEFAIQDSGYSKIMQKEWLNKEFTITFKGT QIMTVIHERNSINFPILKADLYLSSQQNNELSNEFYISLISNGYAFITDWGKLNLSQNSF QLLFQSQEEAKKNNLGLWKNGELDRRMLNSVSSQTIGQIVEIVEANQYIVKTDKEILTIK LDRIFIEGLEAKEFARKLLIGKQVHILQVGDNIPLQTIQLCDNNLDIEDELIANGWATPK ENHPQLSKFKFQQFQQMNLLAKQKKLGQYSPELTWRIEDQTDQKQGKSVNEIIWSSIQRD KQSSKQSGMNTGITIKDDNQLEVLIDKILPNGNFVVTILKYHSMVNFTISGIAMLSEFAT SFPNVTKYEEKKQQFIYNILIQRNAWIHFESFNILENMFYGKIYEKKNNKDSDFTLQLLK EGLTFIKNNTDFYSKYEDAQKEAEKLKKGFWNESYAQFIIDFSQNKQTLKKQISNQGNIQ KNENQQIQKVTVTAVNDCHEFYLRKENNPEFEELEIQIEKAALIPLKKPVKKGTLCLARF SEDNRIYRAQVLQAFKNDKFLIKFIDYGNNDEVSYQDMGVLPAQFTNVPQQAKMCSLAYL RVPPSTHEYAEEASDLFRELLLDQQFDSKVAYTEKSSNRQFVTLQPQDQPDELQFTINKI VLEKGLGRIDDRVLYNPLKEFKNYEIEAKGNGIGIWGFDDCLEDEKQFEDEYDFYD >CAK90711 pep:novel supercontig:GCA_000165425.1:CT868660:299986:300202:-1 gene:GSPATT00003574001 transcript:CAK90711 MGIYFVFRSIGVYLAYVAYKFLRAKHNIEETKKKRKLRYLEMYKEKEQKKGEIQNQKYGD RKL >CAK90712 pep:novel supercontig:GCA_000165425.1:CT868660:300902:301300:-1 gene:GSPATT00003575001 transcript:CAK90712 MKRFYYDYYQQINNRRKNLNNKSELFIGRRENEGSAIHSMEQLKSRKFSISRPISSISLK RNSASQIDSSFNLTSLNDLAMIQQYKTVNLLSSQLKRSSSNSIRRRVINSDKNQQFRQYP NKSMIITNFILE >CAK90713 pep:novel supercontig:GCA_000165425.1:CT868660:302050:302751:1 gene:GSPATT00003576001 transcript:CAK90713 MNFNLPCFIPSYPIQQTAFVYVPFFQSYPSFTYQVQAQQLQTFVPQIKSMQQLPQLESQE LNQRRIEQPQQKETENCSNSSDLLAIISANITQDKPIQEKVKISKPTHHFNQVQFEKESK NIQKNYAKAIASFIYKNKQLTSKILNTSEVDEFIKLVKLIKNQIQNVSHISQYTNKQDFI RAFRILGNYFLKTKSNSYIFNSRIQKKNSHLRHKKLIHHSLLRC >CAK90714 pep:novel supercontig:GCA_000165425.1:CT868660:303786:304415:1 gene:GSPATT00003577001 transcript:CAK90714 MWNQQFQPAYNLYAQPQQPLYYPIYFIPVINGNQGYPDGNLCNSLTTQLTQQPPQIASQD VPFQPSCSLYNNKQTQESLESVDSIVVNKKTKNSSQSKNLSQKSTNIQKNYAKAIVQYVL RQRVEILKYLGEKQGIEFLKLLTQLKNNIKNVKHLIRYTNDEKQLKLFRILSNRFLKKEA IGYAYNSNIKSASQHIQYRHLIQLNLQKF >CAK90715 pep:novel supercontig:GCA_000165425.1:CT868660:305973:306965:-1 gene:GSPATT00003578001 transcript:CAK90715 MSSFMNVQKTINQEKNVALSKQIYKKKRKNQYTVEFKFGSNIDVTQLKEIIVINQNIPQC IPQNIIFRLNSNIQFPKIVESQYQLGFLNIDPHSYNYKVARYDKYNSYHIFNLEPIQRPY TSFEIIKEALIKEEADLFEGFEQFHQKNTDISKYNIVTEAKLIEFEHTIQGPFTLYIQQN KNGIIATSARVMNDAYLQMIGVNEEIIKDYVFQTGMLPQSFAGDGNSRWSQTVAQIFKCN AAMMFGQLILVNYQGQRFPVLMKSKNFYLFNEDDNSYTEYLYYFYEVDQRWVNMKQIEEN SLDYFNRKSVNSNEDTDEVEYQKRCGFRKL >CAK90716 pep:novel supercontig:GCA_000165425.1:CT868660:307287:309046:-1 gene:GSPATT00003579001 transcript:CAK90716 MSSVQFVNSKAEVLRKYQALAMNINAAAGLMEVMKSNLGPKGTLKMLVGGAGQIKLTKDG AVLLSEMQIQHPTAAMIARSATAQDDIIGDGTTSNVLLIGALMKQAERLLAEGIHPRVIT EGFELARKEALSFLDTFKYQQIDKAVLINVARTSLNSKLTPDVANQIIEIVVDAVQIVQV PEKPIDLFMVEIMHMQHKMGAETELIRGLVLDHGARHPDMPKFVKKCYILNLNVSLEYEK TEVHSGFFYNTAEDREKLARSERRLTDDKCQQIIDFKRKVCEKNGYGFAVINQKGIDPVC LEMFAKEGIVGIRRAKKRNMERIAKACGGNSVNAVEDMSESDLGYCEVLREYTLGEEKYT FIEGVQNPTSCTILIRGPNEHTIAQIKDAIRDGLRAVKNAVEDKCVIPGAGAFEIATSVH LQKFKDSVAGKAKLGVQAFAESLLVIPKALAENCGYDVQETLIQVTDEFIKNNIPVGVSV NEQGFIAPIANGIFDNYCSKRSWLNIAPTLAQQLLLVDEIMRAGKQAGGAQQ >CAK90717 pep:novel supercontig:GCA_000165425.1:CT868660:309605:310494:1 gene:GSPATT00003580001 transcript:CAK90717 MIQKFAQLAQRKSIQRFSNIFVAHRNRDDNSDSVPFDFTDENYKKIEAILAKFPSNEKKS GTIPLLMLAQKQNNNFLSLSAMKKIAKILEIPEMDVYETASFYSMFNRERVGKFHLQVCG TTPCQLCGSKDIIKTIEQKLNIKNGETTADGLYTLQEVECLGACANAPMMQVNNEWVYED LTPENTLKLLEDLKNGTDKKGPQNGRKNAEGPQGRTTLQNIATQQDIKYDRNFEAAKKEW LDQKEKERLEAEKKKQAAQQAKK >CAK90718 pep:novel supercontig:GCA_000165425.1:CT868660:310827:312017:1 gene:GSPATT00003581001 transcript:CAK90718 MKSLLLLLFVGVLCQNEVDTVEKLLADLKGASAVELTALNTDYTVSKNLKENIIFNLGKA IQEQNFLCASRDKSVSDRESDIDKTNKFIAYLQRRLVDNAKRVETLDNNRCTHSTNYIER VKNDNMTLRLIIFLRNSLQNLDNNQLNRYGAYVEKFLTMYKSAKMSELVELAHEFADTMF IDWTQVTPSSQGNINTVKGQLIEMLDDMERYIREQIKNSQNLEITTGVTLADFKGAIDIE NEQINYDLASEEKNIIKLQEQLVQARLAAVNCRERGVAIQQQQQRAIEDLKIEETQYNKN KARLQEELNLFTDVYRIYSTQVGTSEDKFKQRVDDYVNDKQVQSYERSEYSLSDAVRKQV QHE >CAK90719 pep:novel supercontig:GCA_000165425.1:CT868660:312089:313267:1 gene:GSPATT00003582001 transcript:CAK90719 MSLIRFNLLIMTIGVCFSAMTPQASLLMKSFHQFGQSPYGDQLKELVQIKLETGAQVDEV LKLIQELLDSLKSDQVDDDVEHSRQMTVFDQNISELEDDLSKLNTDLANANVLIQTMAEL LVILRETIITYEKQLSILNEQEQFIRNARAADVKAYNRRVESANKVINALNLIIQKLSKA VDEQTTDENRQAILAQIHSECHEQFGPNHPITILVKLTTRFDVPTVKRILEKLEQIRDAA TKSLNEDIAAEEVASTNFDNSMSEIETLRKRLSTDLENLNQQFNDKFNQQKIVLAQKEQL LIDIPITEELLQLTKEQQEQYHQAYISRQTQRLSEIDVVQKAYNLVFDHVDSVKKSEDLT AKLSS >CAK90720 pep:novel supercontig:GCA_000165425.1:CT868660:313587:313988:1 gene:GSPATT00003583001 transcript:CAK90720 MQQQNDDQIPLNIPQEQYKLPEQLDEFGQPVMFKCSTCGVQAVSILQHKPGTHTWLCCFT LCIFGAFLAFLPFYVKDCQDVTHVCPACSQPKGVKQFKAC >CAK90721 pep:novel supercontig:GCA_000165425.1:CT868660:313992:315080:1 gene:GSPATT00003584001 transcript:CAK90721 MSTYSLYPVMHEANTFEIFSMLLQIGLDRANNTKTIQQRLNQTEHIKTPTDFLSFVNVNE KCLSQSLKQEKQRVEQEFALIEMLVPNKSKTHLMSFYHLSLKLITQWLPQFQVKVNLSGE FLDIAELYGQFFIASGMNHRLQQIQAIKKNNLYDKIPFMPLLMKWLHEYCRSQFNKLFAS LYEAISQMKSNYRQIVSKPKEEIFSPRVNKMPINRPTSCIINKTRSISNYSIRKTSQVVT KRNNNQAVSKLINLISDVIDIDLTHYDQQTHKKKEQIFNSLWSKNFHVRSTHEKFQQQTY GIQKPQIQSHFFSAQNKRPNSFFKHSFHIDNNLSLF >CAK90722 pep:novel supercontig:GCA_000165425.1:CT868660:315128:317009:-1 gene:GSPATT00003585001 transcript:CAK90722 MQSKGPSAQYLSKLLIDDPNKAPEHVFKTNQKYAEYIAYLGSQKAPITAYTKLAQWKQYQ FENVNLLIDPAKISPLLSALTDENKLLKHECFKQANDIVELTTMVERLIDDNLVIKKHLD VKTNEMQHFLQNQKTQINDEIQDLKFQLQSLQDENNLLITHLEDMRRNQNDEVINNLETE LSNARDLFEQQQQNFKQCQEREYDISRELVRMNSQHKEALEKIVELSSQLSSYEDNNHEL RNQIMLISNKINIVQKNAFDQVNQKEIELESLQVKFNTLIKDNDSIKDLLSQYDFKIQEL IKENTRISEEMKMYQLMNNELLNQEKDIKNQLQLEKMKKTPNISDEQLTKEQLIKECRRL GEQLERAQLEYIKLDDFLTKQIDLQKKQSEQILENMKIRFQNSLDSRQEQINQLEKQIAS QIEQINIQKKELEIINLEYQDIKQKQEDQSQIKKLVDQQVMEIRVLKSQLQDKKMLINEM LEEKDKMSKVFKSEQISSQQNIHLLEEEIKQLQNQQIKYQSQKEDLEKLLEINDNLKQEI HHIQQQYKDVQALCRDEIEKRQNLQVEAGIQANQIGTLKEQIRYFQEQQQRKDKLDQVLQ ENQILRAQLKQ >CAK90723 pep:novel supercontig:GCA_000165425.1:CT868660:317012:318486:-1 gene:GSPATT00003586001 transcript:CAK90723 MQQIYRSFNSKSIFHSSNLLKERLREIIPIKQALLRDIRKQYGQKEICKVTVDQAIGGMR NVFGLFYDISLLDSKTGITFRDYNIPEIQEYLQKAKNGNEPLPEALFWLLLTGDFPSDQE FKFVQEEWKRRGELDQETVKFITSLPKQLHPMTMLSQSVLYLQKDSTFQQAYESGKATKP QYWEYYYEDAMDLVAKLPRVAALIYRHKYKNGNLISSDNSLDWAANYSHMMGFDQFNAYE LFRMYLSIHADHEGGNVSAHATHLVGSALADQYLSYSAGINGLAGPLHGLANQEVLKWLI EIREKLGDKVSNEKIQEYVLNTIREGRVVPGYGHAVLRYTDPRYIHQKNFAERLIKDDPL VELVKQCYHVIPPVLKTIGKIQNPWPNVDAHSGVLLNHYGLKEFEYYTVVFAVSRALGCT ANLVWARAFGLPIERPGSITMRWIEEKFGENQSVK >CAK90724 pep:novel supercontig:GCA_000165425.1:CT868660:320042:320913:1 gene:GSPATT00003587001 transcript:CAK90724 MDTQAYSKISNAALIQELYRRYRCQEEALRKRIVLLGMPGAGKGTHSAKMLARFCMCHLS TGDLLREEVRSGSEFGKKLKGIMERGELIEDEVMCNLIKQQLAKPACANGAILDGFPRTI PQAEKLDQILKQSGQSIDQAIFMNVQEQIVVDRLGGRWTHLNSGRTYHYKFNPPKVHGVD DVTGEPLVQREDDKEATIRNRLHVYQTKTSPIIDYYRKKGILFEVNAERPVNDIWKDIKT IVKTN >CAK90725 pep:novel supercontig:GCA_000165425.1:CT868660:320928:323327:1 gene:GSPATT00003588001 transcript:CAK90725 MVKIVQSGKLLKSRRLIRLKLSKLSLQSKQIGVDKKEQETKQVQIINEDQFISHIEPIKQ LLPFYQGGKIQITNNVIYTCFNFCVQYLDKKIQHNQEEIANFCVSNLNDYIATFTKNYML RYVRLNDHITQFTIKTEPLFAIDMQFSPEDVYLALGGTNCMVKIINVKKNQQSTEFRVSG SVLKLQWIPIMRKLQLAIATDDRRISLFDLVANRILCGFVDFNSRITHIQFNQHMLVSSC NKYIKFWDTSVQKLTETVEFEIEIETFIYFKNTLIIGTESGDLHIKQQNKKIVVDQQFNG QQILKFMNCNEKLYIVTSELHIYVTELVSGQIQQQKLLLGYNDEILHVKFIKNNQLLLAT NSPFMKILNLDNLEVIAWKAHYSVIMCCEFIDDLIVTASKDNCVIIFKYKGFKQPIELHK YSGHSDDVISIDVNSKYIASVSKDKSLKLWDLKNYQSEQSLKTVVAHEKEMNCVRFSPNN KLIATSSQDRLIKLWDENLMNKMILKGHKRGVWDIQFSPIEKILASASGDNTIKLWNLSD GSIIKSFQGVAPQLKVSWLARGSELISTDSIGNIKLWNVKKQTCINTFSQHTGKIYALDI KRENQDIFIVTGANDSNLILWKDKTEQVTQQQQLQQHEIKIKLQTFQDFMRDKLYLDAAF IAFQNNYIKHFIQAVTEIYKQVDYDNVVSMLSQKMVKEDLLKFLQLLITLNQSKKTYQAA QHLFYYSIKLINVNEFKDEKRELVKKLLQQLKYLTSKCFDRLENNYSSQFLIKFMIDKSK IVV >CAK90726 pep:novel supercontig:GCA_000165425.1:CT868660:323357:324154:-1 gene:GSPATT00003589001 transcript:CAK90726 MPLDQFIQEVSQVFNIQIGTFYVISQDKRIEIRNTEELYWYGQSGGILITEKQTFQNRIY TPIPNLNLSQIQNQKQYSIVRKTQEIKKRLNQTIKLMKETQSTVTYRSLSPKESWKCLQE KTKQLQEQTQQFNSRKEELQQESEKQAIHYYKSLLKEILEVQNEYVMYSKRNDGLQKSIN QLQMLLKLQKKQINQIKMRYPAIDLDSYVSSIKYQSNLKDTLLNYLQVLLQMILKGEDKN NFKTSFNQNETIFCLQQIIKEIKKI >CAK90727 pep:novel supercontig:GCA_000165425.1:CT868660:324221:325058:1 gene:GSPATT00003590001 transcript:CAK90727 MNELISIKSLDKILYQRQPQKQNSCTPTTLFTPNTSPNSRLRILSPTNKLDSRNKFNQSQ TPTQQFKTMVKRDALKKKMNLLTAKLQTNKTEIIKEENAFSDRLLITSFENFVNETDQKL QNICTWINKSPQNISNLQPKIPINEEQVENFEKKIIKTKQILQEKREQLKYAKQQYQSNK QLLQQLKFELDQLNEDQKILEISTTNRSLKDEIVQNRQNLIKHKQMLLKVDQFISKNLKE QLLTEDCQFGQYINKFNNIFMQMIITLDQQI >CAK90728 pep:novel supercontig:GCA_000165425.1:CT868660:325095:325926:1 gene:GSPATT00003591001 transcript:CAK90728 MSLNFDINFKAQQQHSKAERGTITFENNKEKQFIIQTWKGQVVKKSKLSCPKDSLFIPSI NAKKQILFDHQKSIKLASQRSTQESTEKGLFNDKFINQNQERAKILQKLYNIRLQNVMMK QKNKSSNDVYMEYLQEQNISVDTQKVMLSEKLRYCQNEIDQVKQCLMKDEINLQEKQKEL EGLKQQLKLVKDSILQIKNQNVDRTKEIIYKMQINQAKEKQLLTQIVQYIEFLQDQYSNH LYEFKDYLLQLRLNVNQIRMDQEYDINQ >CAK90729 pep:novel supercontig:GCA_000165425.1:CT868660:325945:326907:-1 gene:GSPATT00003592001 transcript:CAK90729 MSDISFGGFISLKNIKNPQYEMEYLLDPQNDKYVRDILPLKIQNFEIKRLEWVDFVGKPN EEMPWIAHCYWNILYDYQMVEEKEEPLIKQQQSPILPTQKQNELQAKDTPQDIKKSPLIP LRKITEPSEQQGIRQSSPKLPPKLPLQQNDQLPRINNRLFNKPPTPSTRVSSVQRITRLS TPKNSSPLQKVARSNSSSSLKRQSPARQKIKLQVFCKFSEKSWAKSTSDDELLEHETGHY LIGCLCALEFKRQIEQLGILKSDNHSNEIQSIFHTNLKTFLKIEKDYDEQTNHYFDTYLQ KKWNHKIKEQLLLYEMYFNN >CAK90730 pep:novel supercontig:GCA_000165425.1:CT868660:327018:328203:-1 gene:GSPATT00003593001 transcript:CAK90730 MKIIVILCVSAIVVCSDFSTTNLESALHENGSVYGWKEVEQKTFQNWVKENQRTYNNEFE MIYRMEVFVKNYRAMKHHNEQLPKDVWGLNNFADETNEELVEKIFMKRDFETHYDLFNEN DLDAIRSDALSHNSFLQADKTVVVVKKVVKATSAASSKVEEAVKNPPSLDWLKQITEVQQ QGRCGSCWAFAVSDVTISRLSIANKNKLDQLSKTHLIDCADGNTAGCDGGSVGDAFEFIN NYGTVYEKDYREYDQKEDECTKPKGSIGYKQFKSIEGLSKFTNDDIETAMQDGPVAALMY ADESWLRYKSGIINTCNYPKVSNYQHVVVFVAYDTQTWFVKNSWGSQWGMEGFFQVQKNG EENCIDKIKKITFPEI >CAK90731 pep:novel supercontig:GCA_000165425.1:CT868660:328417:329062:1 gene:GSPATT00003594001 transcript:CAK90731 MDIHNRNKLLLDLKTSLFLPPFNEELYSTCTTDHQKSIKEIQEIYKLRNDPELADKIKPI IQLKIKDVERTKRVQLAYLMHRINKIQSQYFVDSGQLSSDHIDQLSKNEKDYYKFFDQLV KKYENDIETKVSQNILPPSEVFIEVRVIKQIGNIITQDGDELDLEEGTQQLVKKSDVIKF LQEGSLVQI >CAK90732 pep:novel supercontig:GCA_000165425.1:CT868660:329090:330823:1 gene:GSPATT00003595001 transcript:CAK90732 MKVIITLNSEQHEIQLSDPTIDALIHAIQDQFNISFQFELLTTDNHTLNEIQEGMQIIVQ KVEKDQVNLTKFKSFFAKAGSIIKSKTISHKFSLSKINQVSNQKSSVQISDSKLKIPDQQ SQQMDINNQSVDEQNIQKCELSGILQQDSAMVLDEKQSDRQLLNELQLESEKMHKPHNSQ EQKKRKKNTSIKQQSQTTKDQAFAYAEEIANIPRLNKRQQILQRMEEEKAEKERIARQQQ EANRIIKQIQEKIKQKEILLKQKEEALQMPELEKRKAVLAQKRELSQPIKLSDLTEHQKK YEEDRKIKEYEREHHKQELEFESKQKSLKFPKSQAQIRVEEEQKQQKLIREQEMEQKKLA RMKQLKYADVAQEIYFKEHPLKPKQSPPPKEESSKQIKEIQITEQNLRKIQKFIPKQRHI SQDSKDSMTDLSNHQENRPPKPMAKKLQKVVEKIEKPSELPPKPKTTDYLQQLRLQRKVQ VSMEDQWEKIIENKGLENEQKVQNVLNNVQKLEQKAKEREKLALINRDVQAEEEANNLYI ASIRAKLAILEKN >CAK90733 pep:novel supercontig:GCA_000165425.1:CT868660:331185:332130:1 gene:GSPATT00003596001 transcript:CAK90733 MDYNDQSVGLCYFCVFFRYKQYTYLMMITNAIQGIVLMGIGILGLLEEVQLLVFSTPITL SFICLGSLVVFGLILQLIFLMRNSVLYIGRMMVVVNILTSLFDLSSGIILILSCFMKLTF IEFNDTQQSFSETQRTILLIISILIIIVSLYGFWMTFLQYQTIIPLQEQLQQEFQVVPVI SLRQAVARKLSKNFIGELTPNGNGRMNRLARGDSTLEQSQPLQRMQSKQFMQIEQNEPYA NQRSEKLIKVNDNLAPYNEFYTISSNTMRHPQQTDELQTLRKQKTPRATQIKQQQES >CAK90734 pep:novel supercontig:GCA_000165425.1:CT868660:332175:334439:1 gene:GSPATT00003597001 transcript:CAK90734 MKVFLILVVAIIQLTYGDQCSEVSDIGLCLKTSNFCYILEADVPYLSADNQNNGVVLDQV NDRCMSLNYFTRCDQLITKESCKLGSCLWDPINVECYEWDLAPCQSYSKQICQWNSQCEL VNLTQTLDLLTNYTMMNSVDNSQRDGRALGTWLYEFGQIIQILEKQNDQETYLFTKCQLK NLCELIQITDASQGITICHQSELGCYFNSQYKMCSTISHTTNCADIKWKSRCESGAAPCV WLGVKCVQYNDPDVPCSFLSTTACLNNEKCYLEGANCKSYLRCNDYKTKATCDGDHGFKC YFDDYENKCKLLTTDIPCKKRGQNNCGWLGMCESIDNKCQVKLPEFYCQSYSQAECNPEP NPVPPVPIVYPAPAGCKWDENLQICKIKNAYDCSSQKSQDCDKTGNCFFDIVLNQCTVIQ RNTKCELLGQISCQKKINQTNNLCQWSTTRNLCISIFDLACEDIQAEFCSNREKCFKTGN VCQTRRQCQDNIQIVDCWDDASQFCYFNFDLQICTRVTTYSPCEQIFREASCNQAICAWQ NGKCLKLENVSCSELTVQNCKYSSQCQYYNSNCIPLDQCGLNDGNQDGCELDSHHCMFNS ETLKCSQIDGSSDCSSIIGADNCNYGACVLQPGLDRKLQCVEYENADCSYLKQEYCNFGQ CTWNVSQCVSYPYKIQKTTIIDNANATNTSSPTNGTVQNENNSTTSSSGYGILVISILAF FIE >CAK90735 pep:novel supercontig:GCA_000165425.1:CT868660:334494:335096:-1 gene:GSPATT00003598001 transcript:CAK90735 MKQALLDNYYKELNLNQLYSVRSLKKTPLEKLNHQNYFVPSILSPKNNISNRSSLKAGDG LVLQKLHRSQNNSRNLPDIQNGHRVTKNSSQPYLHQGQKKQYKIQIEPLEEERLAIPYLR LNFRLKYGYKKQNQEKKQKSSLENDDLKLQNNKSVGLFREKQKDFINKVSMQVQELLLKE NQQLSFGFDKLERELLQQMK >CAK90736 pep:novel supercontig:GCA_000165425.1:CT868660:335606:336226:-1 gene:GSPATT00003599001 transcript:CAK90736 MNFLFPKIQARPINLLEKQCQSALKEISSSKQRLQKYDSKKISNKLSFSDKSSNHHIRKF THNISDHSTDIKQFPDLNKKSMSVSSVDPKTERFKIHLDAIENQTPIQIPHLILKTPQKY PPILTSKILPSARRYKTEISEATQQQIYKISNHFTIQKVKDRQQKLVKNIQSLIEYANSS RDQKLCLLFDKLRQDLNLDNIQYENE >CAK90737 pep:novel supercontig:GCA_000165425.1:CT868660:336800:339271:1 gene:GSPATT00003600001 transcript:CAK90737 MQKKRLSSVDRNFMSSLRKYEEYPTSKQMNAQKREAQLQEQQLLIQDIQANISDLEQGNF VKCFTSINLMFNDPNYCLRKHITFQLFVPEEKDFIGFTILNQKEIWILLLLYFDIVNQDK NQYARYLWQSIIKKMSEFLNYELREEVINKVKLYKQQSKIAINFNKQVNQLTNHRYSFQE YDDQSKEGKEISDNLKEIVFDKSNMATLSDNSIIERSQVLEQNIVIMYDQVDSATNEDAS NYGPNNSSLDLNNDFVEITYHNQNPHDFQIPDISFQQQSQTEDLIKNTILTDQASNQVEV SLIAQMEQLGLQDNQQCDQLNNAIIVDNDNDIQDSCQNLELDSISQTSLENVKIQESSIY YQKFQEENKSTEYLEDNKVIKNSQVNISDFEKIDEDSISNPDQFCKRSHHTPQFSMNQQI INQEVIEEQKNSTQQDEHQEIQFLNYDELLAHDQAKQILKKDLEQLENDSGDEDNQIETK QQESNRKRSQSCLENRDIIKVELQQSPNKVNSKKKTIEDYFKPKSRNETKDCNALDEQCV QQEEFQQSSHNEKRRKSRISKIMQQSNANLQPDNEYDLSSQQPQRQQNLEQSHDLESQQL SYHKKRKSSLVDIQYDQNFMEKHGSGYRFEIQKAPKRLKEKYQVEEILVPKRSSKRIQYP QRSDLEISKMIIANNEILNYFTPADRQSQQISEEINNRVHPMTYFHSLHKNDKNQIIVLS NNQEHFIDDIVEVEICMQNINDNESHHIVKIVSGQLNGQIAIAQIKKQSSEQIIFEDYNF YNNYLHFHHQQPQTIYLPLGSYLINQN >CAK90738 pep:novel supercontig:GCA_000165425.1:CT868660:339515:344609:1 gene:GSPATT00003601001 transcript:CAK90738 MESYFKQMIMILLAISIFNRVNSQQTYEKVTYYLDTTTSALNLTCQGQTRVYQSAISGTW SVMQFQINTGYGYLSLFYTLELHTPTILNNDIIFLESGQIVYTIQRFASGSILTNFCSST SYVQFIQFTSSTMMNQQQATLYVKTNTAITFQIKNLNIYAERCQLHCKTCNEFKQCTECM PNFTIGQWGRCQCDSSFLTYYQGQCITSCPANHIFDGTTCIQYSQLINLLYDDTASKFTM YPDYNTTQRECKTTLEGKQVAGLFIQNEIVEYRISNPTATPINIQIEAEFYLFNLQLKQS LDLFLKLNDYLISKTLIMNTIASQLSALVTINQHLCYIVGFDSCLRFTIIQKFENVLDPQ SIQFQLNIPLERKEITWAISSINVNAVYLSPITCPFKRFQNECVDECPITSRTSGSECIS IINDYKFSKILLIQNTDNFAIKQQFNVQNPCYLLENTISLNCKFYLKRYLQGGELTWRDY QIKLKLNNLSPHYKLKLFLKAILIDPVDSQQSLIVSIDETKYVLNKNSPNSYCFSGVVTA GCIIQEDLGTSTADYLINFEQEFDHSNTDLEIGFTCNIKRFVNSYCTMYDIIVLQANCPE NCQYCTSDSVCIQAEQYLQVFYDCPSEGYYYSQGACLSCLSFCKTCTDGFYCTTCKDQYV KFGNLCFCKFNLALATYTDCSEEDCHPSCSKCLRKPYAGYNHALQFASLLCASCDRNEHK VLSFDICECFQGYYMDQFSYPNKCILCRETCKTCSDATSCLTCFPEQNRILQDYQCQCIQ GYFENGLDFACIKCKQTCKYCLYKEEYCTKCYPEQYRQLSIQNTCICQAGYYEDTTTEIC LKCSDYCNTCSDFSICTSCNDFQFRTLDLRTKQCICQSGYYDIQQLDCSPCYYACSKCNN SDLISQCTACPNSRQKSAHNIETFECKCKKGYFDDGYLECLPCNSMINPPITHYCYSHCG DLIIQWNEECDDGNLDPRDGCNQCFLQNPNCIDNICLQCQNNKCLQCIDGYYLNNDYACI QCSDECSKCESSQNNCTQCKFNKLSTDKCLNCSQEQGFVQIDDECFSICGDGIRTFQEFC DDGNQLIGDGCDQYCNVEDGYICNLQCEKINYLEILLKEDHLDTIYDSIRTIELKLNQEV KIATNNSIIDFIKITSTTPNLILTVINVKDYSSFKNDYFNVGLDLSIELNSSAISPNITV TIQNYTLFTNQQGYTFKTNNASIQLIDFIKQDQFVLKNSQNLIQMSSYFLYILLGLAILA MIFGGLDIFWNLLDTLQLICYLKYFNVSYPYNLQYYFTIFGFAEFDFIKSYFDFEYLITQ YVDTPEADPKFNSEGYSTVFLINIISVLFVFLTTSATFMIIKALLYFINKITKDFSEDMI LNEREKINLFTFLFYKMANSCQKYFLKIVTEFKSAIIRTFMASAYDLNIAIFLQFKDIHF DHPILRLSSICAIFVFFLEVYFIYNGFVFMSKDQAIYKLPNTKQNYGSLFEGLNLERNRF NYYFNLFIVVKKVAFIALLVFLYNTPCLQISLVSLLSLTQGLFLFFNQSLEDHNELTKQI TCEIILWIAEILILTFGFNEQSNILNRLQILNIGWIVMGFLSLIIFVQLIIDCRQHFQFL NEKYLLLKKLRLWFQQYFKQDEVQSSLYTDSSQFSIIFHKKQKQLPNIPSVQGSEKQINQ RRIVSFNLR >CAK90739 pep:novel supercontig:GCA_000165425.1:CT868660:344853:345202:-1 gene:GSPATT00003602001 transcript:CAK90739 MNDSLRSLSTNDSLEGQTRKKKKSNATSKKTNRPQNISYTEVVDLLNPSNLFINKNLEEE FNAIELYGYLYYNRIPETSYFPHFKFILDENLTCTETKWRRLPTFVKLTS >CAK90740 pep:novel supercontig:GCA_000165425.1:CT868660:345891:349885:1 gene:GSPATT00003603001 transcript:CAK90740 MYIDELPVGQQKQNFMVEEFPPDFDPQQFDKVDLPLKQRIKSKVWKVRLQAYEELANEQE IEYECILQITQDIHVQCQEKALQIALKYFEQHHQLESSQQKEIIKVLIEKVLIQQKLKQN GYQLAIILFPYCKQAIFEIIIAELTHKNPKIVQATISLLLELLQQYGVKKLDNLKPFFPI LSKLTEAQQTTIKVDAISFYKEVTRWFGKNIEAFFSGLNEKLQQELKKIAETITEVQKAP SQDGDFETGNQQLYDLAEAVEVFPKFTDSWCEKVFQLEKWQEKKEQLENLQKACSVTKMI PSPNVYSIVQLLKKLINEQNIAICIMSIKIAGLLANGLRKNFGQYVKILIQPLFARLKDK KQSIVDDTIISLKKFFHCCTLDDLFEEIKALLDDKASSPKLNAFLLVEYCLDEITKDKLV KLHCIKQLVPICKKLSDDGNAEVRSKAIMLLAKVSVQLYNGSMARQIQRETNLQSIKPKL TFILKELKLYKAIVQKSNVHRNQLNNKIKKISNKINKSLLQNQKLLIKLSRNPQYNNKVI RKAQDLKYQSTMTTNQVEVFLKQYKTKNKDMNELGNILLTINQQSKQILTFIMDYIVEKL GDQKQLCYQLFEKCFQSYKPNHISQLIISFKNAPTQVQLIEVLTLLLKYIPLSDKTIDQQ LISEFLKGFQNQSNQKAKLLVIDCQNALKQLFEIKKVPSETKPAIPFSLTQFSDLQIERL KEQLKVPQIPQNLYDKLFSYNPQQNLAAASYVRQKIAQPAEFTEIFFKWGYLISWFKESI PLQTEIMMLFQHLTSQHKLTQLEHQIITYYIKMMILLYIRNGMPKLAQRTLPLLMNLLAE SQKQITQIQQLAQFDEFDDVSNSQANQIIKQMQDKISEWNCNSQLQQKSILIYLMSFINK EDILKEKILEQRKPSIRLEGILTSNIDCQNKQELVQSSQKLLQKQLSDKIMTFPSCSQKK LLQQQNFNLQQNQSINSGNKNISSSQILNVKENEHDIILRSFNQMYYKFRETNCIENSQS FAEQIIFLLGALFNDECYDKMNEFLEKLIHILSSNHFYKSISYQQFYVIFDTLIFKMVEQ STKNNVKDGAQKCCYNLINSNLIKILNNQDLCNLYLAFLDILIKVKESDTQTKQFYVLVT KCLSKSADQLKGQFQWSQVQAILEKIHQYLSKSQQPQVNLEYTQYHNCLLNALKTTVVFF FNYNDGIKVYQFIMQNTNETSILRQWILEVQEKNNTHLIVDLAKYRRSRDTNFTQIVELL KIDFDKTVEQFVPIVRRQNINWKPLVQFLNSDQIKFIEQRLEQTSHMNILEKKVGELEKM LNQ >CAK90741 pep:novel supercontig:GCA_000165425.1:CT868660:350495:351040:-1 gene:GSPATT00003604001 transcript:CAK90741 MSLTSRFLYQRNKQSFHYQKYQDRNHYNDNTNEFSKLYVNKIVQKLPPINEDKRHQSRSF RYDTQINQPSNINIHALESIKPKQKLKPKISHPTEQELRLSLLIRKVTNQEIKVMGQKNQ SQRSGLINEKQDKPLTLDNLSNQIYQKKLRSTKKYFEDPPQKTEPTEPFICGWLQLNNDE S >CAK90742 pep:novel supercontig:GCA_000165425.1:CT868660:351369:353267:-1 gene:GSPATT00003605001 transcript:CAK90742 MCGILAIFNIKGIYYEVRTLAYNLSKRQRHRGPDKSRIIIIEAGPDTYHILAHERLNIVD LSDRGRQPFQLVDDQNIYYMQNGELYNYWSIKPELEKKYQFSSNSDSEIVGMLYKEYGPN GFWNHMDGMHATILLDMNNNTFYAGRDHIGMIPLYYGYNKDGALFLSSELKAIHDQVVDV RQFPPGHFIDQTHEIRKWYNPLWHNFDLIPNGEINFQEMREKLIDVVRREIKGDAQFGLF ISGGVNSSIVAGIVARMIKNGEIDLSKRGMSKVHSFCIGLEGSPDLHYAQKVADYHGFEH QSIIYTVEEALDYIPEVIYHTETFNKNTIRTATPMYLMCRRVKALGIKICLTGEGSDDLF GGYLYFHKAPNRIEFHQELIRKLNDLYKYDLLGTNKACLAWGIETRPPFMNRSWIEYVMS IDPKYKMVNSFQPQIEKYVLRKAFEDLDNPYVPQEILWRQKEQFSDGVGYGWRDGIIKRA NQLISDQLFSQASITYPISTPRDKEQYWYRQVYSQSFPCDSAALTVPYSKSIACSTEKAL EWDEAFKNNSDESGRAVVSVHKNPLKESQQEEEKSTVKTIKMQDHPQK >CAK90743 pep:novel supercontig:GCA_000165425.1:CT868660:353803:354542:-1 gene:GSPATT00003606001 transcript:CAK90743 MSIETIPKYQAFNMSNDYRAPITCPYGCTLKLVEEQLLLHVTKCWRQDDSLYGHCKYNYL HIYPYSQLPFHEQNCTSNIPMTEEDFAYKPDPNYNNVNLDWIQDELSLQYAKYFAPIQDQ ISMSSKEQSNQSQPTSSDQEKQPEEFDQQQNVITSDGETEKDLKENECKTEDPKNLNFTE IQLQNQNLNDSDKKVDQLKNGKEHSNKPQYDHMENSEAEKSISKYIQKLLKKMRKIW >CAK90744 pep:novel supercontig:GCA_000165425.1:CT868660:354753:357620:-1 gene:GSPATT00003607001 transcript:CAK90744 MEHKKLLENVMIDLLKDPTCPIYQYQDWQLTNICYQLQLQNFSNIKDQDFQRKFAQFFIN YLEAENEIENILEQSSIKRSDFKKNNVDRSQQKNQGLQKSNKEKKDQIDTPKNKKKVKLK QSNPFEIEENPCAHNPTNLDLKVPQDQKLSQCSFDPLNDNHHDKNISKEKTQKSTSNEQV QMEDLNERKDKDNNQECNQKQKNNNINDFNNQKQSHQITKPNDKIETKKQLQVQQKNDER ENNRVNDNNKTNDSNSRKSRQIQIKKKPSRAIIDTSPEEDEFVIQISDSESLKKRNIQEL RNTQGKHQMDDLIQNRKAQQSDHNQRSKILNPQQNLKNMNFNQKKLIERPIISPLIEVPQ FNQNSFQSIGGIELQIQKQKQLLENQFNIIQSQPAYQKPDNYSMNVEKNNLNNSLTNTSN CIIQQKNSFCDFQNMDSAPLLNPLHETPKESSKYVAQNLQIHKNDYLGVNLERNNHQPKQ NLQYWNQNQFPYQLQSHIQSNQLPNQLFYQPNIYPQSNRIFEGGQNLEFQKDQKPKLETY DVTTSGNVYLSTQNKSLQIKTNRKQCNYCKQIDGKVKLIDIEDDIKICSSCLLEKLNPFK KIIFSLGFLEYQYQQKSQSKIHLEFTITQEQFLIPGIQLEIRCVVMNQNGLTDFTFPNYC ILLINGQTIKEFRPLIDKSCLKKRKDHCISINLDFLQKTCGIYKKYTFTCVEMIPDSQMR QEIPKQIYIFGLYLVQNQSLDSVIHSIVNQSIMSQIKVDFCKNEIKVDKSKVSLICQYSF DLMKIPARGEFCQHQQCFSLNNYLDMMIHAEHMKWICPICKKNCISLRIDQYQWEILKKI QQLNVKVDSIIVDQNGSLDIKDPLYPIIQNTKINSYGDLIQHGYTHFERSLPQFDNDNEI ISQDKFQPQGENEINAILID >CAK90745 pep:novel supercontig:GCA_000165425.1:CT868660:358577:359932:-1 gene:GSPATT00003608001 transcript:CAK90745 MIYEGITSIVHSEFYIKSGNGTSIQRNIFENVDYDDYEKQLIEEIKTKMKEKGLQVKLKR SILLKMLMAAQYNIEKAIINCQSHLNFLQEYQKQDCINELKKGHLYVCGFDNQFRPVIVI RQYCDIKIMAYFLETVKRQLLIDYYVENWTIILDLDTDLPVIELDDLLYLQLHFYGNLNK ILIVNGPDDIDDIVKQFTEKIKDLQVKIKIITEYQQILQYIPKEQLEIKYGGDFNNMELF WPILKRETHTGTNRLLKKNSHLSLNSMGSSINKKPSFMKITVLEENGNPLLNQQVEVFED QIESQQGEQQQYQNNFQQQQQQQQQQQEDFEEKSKSYQSSTTKKQDQQFIQIDDDEDDLI EMESENMEKRNGKKQITKKYGEATKTTTYSQKQTILEGEKEIPSSFSCCSRSCSVF >CAK90746 pep:novel supercontig:GCA_000165425.1:CT868660:360261:360622:1 gene:GSPATT00003609001 transcript:CAK90746 MGKLHGTLAKAGKVRKQTPKIEKQVRRHKIPKGRAYKRICFNRRFGSAAASTGPQQKRKG PNWHAGRKDLIEEERKKQVEQRRQRKKDVPK >CAK90747 pep:novel supercontig:GCA_000165425.1:CT868660:361136:361675:-1 gene:GSPATT00003610001 transcript:CAK90747 MNEKIIRFDTRKNNRNRIIEKIFSQNQKNSFNSVNYKINVQDMLKKIKLREVRNQFSNEY DSNYTWISKMSPQPRRKKCVPQNLRLETLRNTQRDTSMKKTYDEDKIYKQQFSLNSNTRF TTIPNRFDSKQTIIVSPITIKDTVPVKEQSILEDQIRLFNYNNFSKFSSWSRKSSKSLI >CAK90748 pep:novel supercontig:GCA_000165425.1:CT868660:361720:363016:-1 gene:GSPATT00003611001 transcript:CAK90748 MLSSKTLPEQNFGELAESENSREGSPKTVKSSSVLSFEELQSFIMDEVQISYEVIENYGT SKNQYNLLKIINESDGQNQNNFYCIIVNFNDELLKQKIKIEISRVLYIQYYQYSFETKYF DFTDWKDQKDSLVFSNSTSTTEPQSFRDYVSINSQFCRVIVFSQEFDLQLIDLIHNYPYL YYCTDTKLVKEEYLEGLKLHQQFQLIEMNYKMIKHLISTSLSRLKDIKFAGIQIKGCIDY VDNINQIKIHPQIEYQKIGKKKILFNYNIPFITVNSYFYLPLPLRVKNLEITDYLTQQFQ TKAVRTSISTTHIEEYEKIYILLNSIQDQIKKDELFFSINSNKEFNSLESLLTLNQVNQI QDLKNELIDEDQYLNLIEKILIYFSQCSKTQIEKFILFVQLKHYILYRLHNSYLNY >CAK90749 pep:novel supercontig:GCA_000165425.1:CT868660:363207:363743:-1 gene:GSPATT00003612001 transcript:CAK90749 MLAFQSCNLSSSQQSGLSLLNVNQSHEIESSQSSSYWLQAFNQFPSQIQQKDQLIQQENQ KLKNDNQLLQQQVNHLENKQQELIYEIHDLRQLIKRVYSESEMTVQFLKTKNVHYELILK VTLEHLNDSLEKALKNLQNNINMFGQLKDLSEFMKDGADL >CAK90750 pep:novel supercontig:GCA_000165425.1:CT868660:364126:364541:-1 gene:GSPATT00003613001 transcript:CAK90750 MFCCGGKKKQREQVGSTKMKSKILTEFSEEINDEAMTLYQSPFCQNISIMFQYPVDPNSM RTGSNTKITRSVERLTNPLPKIVPRKTSFLNFKYSKTNQNTKFVSAKKGFTLLVTKTNPC >CAK90751 pep:novel supercontig:GCA_000165425.1:CT868660:365306:366384:1 gene:GSPATT00003614001 transcript:CAK90751 MNKYNTYQLLNELILFLLIHQVVGMKQEQLTQTFYSKIPNSPAYTMGSRKKDNFILDTPG PGKYHPIIPFRQSPRAVMSGSKDSFYKISDSPGPGKYNQLSYTTHGFKFPQEKRQATNYN DSPGPAKYNQINKSLAPAYAIPKAERTTKINSFSTGPGCYNIPSLLRSDIGFKMPKSQRK NKLDILPGPGEYSFQDNKTKGIKIGTSPRMEKSMLMGPGPADYSPRITTDSSPRAVFGTS SRAKNYQDKLPGPGDYELPQSRSVSFSFPKQNVQQQSKPSTPFSYINDYTSLRKTSMSFG KAQKGNQKPEFIPGPGQYEIEGFFRRRIKTRDGSNI >CAK90752 pep:novel supercontig:GCA_000165425.1:CT868660:366441:366731:-1 gene:GSPATT00003615001 transcript:CAK90752 MPNHLFRTHPRTYGKDSRECRVCAARQGLIRKYGMNVCRRCFRENYELIGFHKYN >CAK90753 pep:novel supercontig:GCA_000165425.1:CT868660:368297:369682:1 gene:GSPATT00003616001 transcript:CAK90753 MSIRSTTPNKMFGITELEDYKITQFKRPQTSMKFSLQKIQEIGQSLNNILQKKKPQNDKK ILQFFNDRFNQNLQDINTSSFYDSITQEKQTISKRKQNHTIFATNYSHLGGTETERSHNN IKNQRESFKYLNSNRQNKNQNISQKINSGLRNQSWIWANDNNHINQCSLDYSKSENITTR NNRIHQSSQSIIQDLDYYSLKSIAVHIQNDPNQTDLIVCCQNYKLYQLNQYSKMVTCIGQ HYRPIKQMTKIIIQANNKTFYTSSSDCQIIQWISDSMHGPFKYQQSIRIEEPPIQLHLDQ QGSLYILTANFLTFQSYKIPLSGNVKCFSVTPKQIIIAIDNQIHQIIDDITNIQKIQLDK HIKTIFYEDQQLYILTTQRSLIVFDDQQNNLIFNSEIELDADWIYAMPKNQIIIQKDKCV IIQSFQRTRKFQFADKITCLLPLSQQILIGDSNKTIKIIKL >CAK90754 pep:novel supercontig:GCA_000165425.1:CT868660:369737:375285:-1 gene:GSPATT00003617001 transcript:CAK90754 MSETSLGQKNALYNQQDYEPELMESSRFQQEKQLEKQQNQQSIFQQQQNPQYQQHHEDNL QDRTTPFTFTVQNMPKINCFNHQGQTFTNFCKCRECLLPLCPECVKEHVYEHSEYRSYPK LECLENILTDVHKEVCQQANQLVYAYLDIQKSISQALSYTQETVQKLKEAKNRILNIVEQ YFHALEIELENKQRKNFDNFDRDGKSFTQVLNARFISHINFLDKLKQPDCMYSLLPYLLS TTKEDNLQYIETAQSFSSRFKEAQSQINFDSIESSNLSQQIAQIVNVVHKDLPEFLDIHK LATPEIISNRVLTVKSQNSQLPQQTSRIQEQIQLNQLKEKPEPPKMQQQPGLELSYGYQQ QQKMPLQQVPIQQVQNPSFYSGYPQQQQQVYGQQPFKQAQLISPNKYQGYSQTLQPYQQL QAAYPNFNTQFSNQAYSSQSLQKLLTMLKASSKSSKPESHQKISQPSNDSSNPLKLSREN STKNVSKGSTTTLIKPLHKSTQNSRTSSSSKILNLSQRRIASKETSPSQMIEQAVLKAPS KITNKTSLKQKTQKQNDGKPQNLSDSKIKQTLITNQSQQPKDGSQKQISVLKKGINQLVY RSSSFNKLNKSLNSNSSSRKPSPSNKDILITKQKLSSQQSQIEQQSQEQLYQQAELNDQE QKDLIQQQDFQDDGHQTEENLVNDQSEGQQIDGTLNEDMSEQDQNQLDQMQQSNNYSEQH YTENDEEQQELVNQQLIQASEKLQYLSNDDQELIENDDDHQSCGLDINQVDNQEINLQDD SDNQKTKYQPQIESIQMDKANYQVNLLSSAEDTKEYRILKEIQTYPNQIGERLHTIQEDL QSNEAMSQIQFNEMVDGDTSELILTHKTDNPYRKSSVNFLQKQSQNTSSTKFLEIIIQDD QSQFQQIKSAKASLTSQYFKVQNQNDRNFLQEEIQGNQNSQITQQIQNTREKVQFFGKKR QEIVTKTQSIEDEEVKVDKIQTYQENGCQEDLIYHQHNDQMGGDLFFNNAIKNNNQNFIH NQIFKDQQQQLVNELNEQEKYQINKFDQEDSHSIQNEEISRDIKKKMQFDSPQNQTQTKE QSTVDNQDTQQEKKEISSSFNKGNNYGQNVESIDNINCSNFDEVNDQIHQNQTGIRDDHN NSEHNIHILEHEKSNEDKYLQNKQDQKTLEFQSYHNQLRMQSNNIDLSEPKIQDIGSIYV VQQQNVKIKEQQCELDEINLNDQEAKEKKLHLNLSKLKQNQEINHSKDRFEINQIESSVN QESMYIIEKDQNDLEDQVQNKNTIINNQKLGDNHQQQREYEQTNCNDENQNQSCDSDKKS VKSQRSKKSRITNNQEMINNNSSFHKNDTELEFNCNDINSQRTQEFGETQRESENGIEQF SSSQKQIVIKKQEFESEINKIRFSMALNLQNIQGFNRNNREDQLDTGRTDDSMINQLVGQ DRDHNNAHLVRHNFEARPSIKVKMQHLCLDDIIQEKQESATPDNYTRSINPTPKPGGSTK HQKHFLSFGVQDELRVKQVDQIGHSEKDIEKDRKKRENSSLKKQQIQSEKQDEEEIKALQ KILSSKLEIKKQKENHILEQSLKIEEFQYILNHIPDIQELDGNIDLSLSNIITDFLDSHK RNRYKDISNMKDPHLANLMRNKFQEILSKQDQTTQQDPEIKRQQQLEIEVKQKQQVNFVL QLLFQEYNTLRSKSYKLNLELTNSQSKLFEKMKKLSIREKLIPQLKQIDIHQHRVTNELK NCILSQKTEFNNEELIGFIQSELKVQEDINQCIQEIRSIFKDRIDQIKQGEMVMEEQLLS KSQKY >CAK90755 pep:novel supercontig:GCA_000165425.1:CT868660:375335:378538:-1 gene:GSPATT00003618001 transcript:CAK90755 MHPVVFYLLSVAALSISTGIGLFVVNQQYSRQNIYPQVSKAQCDHRNYKIFTLPNQIHVM VITSNRTELSAVALKEETGYMNDPKDFQGMSNYIQQLLQTSQKYPQADLLRKIIMLNAGK QTRIINEHESIYYAQVQNDAFFSLLDVISRLFIDPLFTKQQMDRVLQNLHAQWKSMELFD YSQQLISKSRHPYSQFYTGNLKTLFEIPEDEGKNPYIEAKKFYRSKYSANRLSIVIYTNE ELKKRVTELLFEYFNGCVDKQLQKITYKQFGLPLKNQRFFYIQSKVKELRIEFDFDIENN HLTKSLEFVILMLMKQFEKNFCDEMFEKKLAFKCYYTLKEIPKEFAIFKIFLHLPKQTKI DEILTSLYKRINYLKQQDNLEEYWDHLLLMQEINFQYSNYDIENIIQLSSNLKQYFSKDI LQNKLLKKYDKAAISHVLQQMEPQNMTVILLDNFYDSYQADMGFMKFGNSFVEQSHCVEE KKKVFKGRVKKRYRVYEDEISDPIIDRDHPIDLSEEEEFIVEPDDVIQTEQDYDIDKGYS IPEIENFSYHQLFGLRSFEAGISANTVQKLIAIKDTELKIVDDSHIPTIFELLPQSPDSQ SVKKKKKNFKYPELLITTQAGNLWHIQDTTNGVPKVQFRVLARFLDFESLGENFIAKEIV KLNFKIPQGFHVASFQIDIGVGQIEIIVQCFTQQIEEIAKQVMKNYQEAFTINKDDFQWY LENLEYQDRYWEKNTTTIEKALFYAKQILTNSQEFQQHKYDVKFEKIQETLKKLWENQRF DILLYGNIDTDYSKQLFESIMPKVQSKSKSFFTEETYKLSKRAITYRLAKLKSESIVTLN LYQGSDPSLVQYVYMRLLQRLMKFHIEDYLFNQLQLGFAVDVSLIQVNHIDSIVIIVQSN SYDPEEIDFYIEESLGYFESFLNRLPDKDFDGIKQAIQKQLQQVPNTLEQQAEIIWNSIR DGSYYFTQRECTILALEKISKSDLMKYFLIFFKARNSKLSLQLFKEGASIPMEVLREQDT FAQKTSDLILNQEQFQQAIKETYKFKPKYIEQAPQHVC >CAK90756 pep:novel supercontig:GCA_000165425.1:CT868660:378585:383069:-1 gene:GSPATT00003619001 transcript:CAK90756 MQMDEEMLIKKETQGEDIIQKKVRIQNDDLGDYIPLQQQQEVQIQQISHLRKRTIKPLTE KDRKNLPIYNVSHKILEEMQNNQVIVITGGTGCGKSTQVPQMILRHHTNTEFETPRTVNI LCTQPRRLAAKSLAKRVALELDCQLGTLVGYQVGMDSQISSRQTQIQFVTTGIFLQKLVH DREGVLRDYTHIIMDEVHERDIDIDFCLIIIKNLLKHFKDTKLILMSATICSDKFANYFS QKSINQVDDLTYIQRVDRKYKYTEMTYENDVEIAIKFEGEEDHDISTSWGQAWKDMERQV KLTQEQRELEQKQNELREVGQHFLDGDEDQPAPIIKVPMSQKYPIETYYLEEIHSFFNDA DTAKVEPHQPLRFQTSLYFQDSPKIQQDSVSAMLQILEFLDSNKIKDTQGMQGAGAVLIF LPGYQEIMDIREEIYQKFGEERFIIVILHSTVTIPKDFDDIQKRKRRLILSTNVAESSIT VPDCRFVIDFCLTKEIIYNPKNLTEKLALQYCSKASADQRKGRTGRLFPGTCFRLIPQSI FRQKMTQYSVCEMLRCPLEIIILRLKKLYQLSMDNQNKTEKLELNTVVDLKQIFYDPSRT LKTAIDPPSTKQIENAISNLQMLGALSYPNNHDSTIHITRLGQMMSDMPIDIFLTRFIMY CNIIGCAYEGVTIAAILSQRKNYFLHHFMRSQKLFFNSLYLYDKGNEDDLLIQLRVYQEW EIKFFNILKSTISQHELKRELKRFTNRNIVPLEKLYCEQRSIDPKNMREILNVKYELFMR LDQQFKNEPLDLNNPENQLKIKSAFCAAFKQNTFRLQKDERFDKKIKYLKDQGFDYTRTI MFESDNIKFDGVSLQNKEMIKEKIAMIMEQFCDFHQLDRSQKRLEDQLKDILAYHDEQAQ GRQGLPRFHFRSDLQLLTEIKAKSKFTKNWVKSVILLNNTIIIEFKEVDLTKLKCVLKRL MHECELMKRQSARKQPQRIPWETFTMSNQVDIINFVETTQSLIFYGCYCRLIHQHKEINC DPDSINFVSLDQNKMLVAYEVQEKDSNKRNSTRQVISIDQNKYLLWSQILAMLFGVQVKL FHNNTKTHFIKAKVDDRDYQFDYFITEDDLQTINSIRQQIKLQILEQSYTDSGIWQKIRQ LDKVRQRILYTSDERWKQLFDIELKDDILSFQESSENKQKRKRIQHFELEEQKADSLDYM NPIQIIRTDYDSEVPKQFDNEGIKIYVQIQQLYQTWKQNIVNTIKKQNFFLRMGNTTQIC CLECNDYMTVGRTIGLSYISEDPDIRRQLDNESLEWAKRMVMILDVSSFGDYFNISMKVD QIKEFLSDQRIQSIFEKLKLIFEPINYVFITCNSGKHIIGFYPKIKQFKEIVQIGDIQKF YIIDQKLKLVYTSMEEEKFIFRKLTIDEIKKKENQAVQQRKVQNLTFTCKCCYAEQRYDE KGYFEGNEQAYNQHIKQESHKKAFEELQFTII >CAK90757 pep:novel supercontig:GCA_000165425.1:CT868660:383169:384551:-1 gene:GSPATT00003620001 transcript:CAK90757 MKKLPKLPSSYLEPKIFDNKYIVKEQLSSGSFGVVYLAIHKVTREEVAVKLEKGQQETLD REVYLLTKLQGILGVTKLFWFGREQCYNVMVIELLGKDLGYYGKTYKQLSLKSGLQLLEQ LITIFNCVHQRGIVHRDLKPENIMMGKTNTSQAFLVDFGVSKQIFDKGKHIPFKDKKSFI GTARYASVAAHKGFEIGRKDDLESLMYVIIYLILGQRNQLIEENCLGQDCCCRRTLCKEL PPAFAEYLNYLKGLKYEDQPDYELLKKIMRKCSEINTYDNQFEWTEKQSQQQQDKIGENK QQSSFLVVPNGLGDQQQRQNTKKQSYMGSQSSNAVKYVPSFQEAISIQAKPAPQKIIASQ PHIEVLKQIETIDFDDIEENMENQQTLEQKYLKLEDLDAKFKEVGNNKSYSAIHIFEN >CAK90758 pep:novel supercontig:GCA_000165425.1:CT868660:384568:385054:1 gene:GSPATT00003621001 transcript:CAK90758 MHQGSFITNTPLEQLDDFRKEVRGKMNHMIDQPKKVYSQSSNLQQLLRQSISPKQVKNYE LNKSQDPPHHINQISSRLSFQRSSLDLGKPTQNILYPKKQLQDILPLNKILELQRAINNY NHPTNNSYFIELKKLAKIVLRETQG >CAK90759 pep:novel supercontig:GCA_000165425.1:CT868660:385122:386077:1 gene:GSPATT00003622001 transcript:CAK90759 MNINQNLQISPFQTSNQYPSRMTIIDQISPIKDIIPQFPNRPSQNQTRNSAREKPQLITT KSQTCIQSETNKIKKKSILLEDDEEEPTNNISKAINLLDSLKLKYDNVLESKIETIISIL RAQVFDKENRHPDQELIQSITSLQLANKKLQNELQFKKNSIFELESSINKLNNQIKQLSQ HNQDLTNDNQKLMQQLKQKELKQAKIPAFQAQDHLSNIEIVPQFQYDQQFYLKNTGQTIL KQRIESIIMPYSRRDLEQPLRVNDSKQQKKQINSLVQSNKYLEIKNQNTSKLYEKQLISI >CAK90760 pep:novel supercontig:GCA_000165425.1:CT868660:386104:386699:-1 gene:GSPATT00003623001 transcript:CAK90760 MDKKILENIEQQLKRLLEQLKDLEEYKNELDPEEYKQMKNDTLQELEIFQTKLQEIEQGD LTLKNAIQQQQEQLRAAIKNSFNAEEIKHLMSSSQGTQIREKIKKLDQDYTLQRINQAQY VKETLNCLNQLQDIGIPFTQQEINFINSNTGKDNFVIKQDNVQGKTLLKSAEQQLAANNM K >CAK90761 pep:novel supercontig:GCA_000165425.1:CT868660:386746:387750:-1 gene:GSPATT00003624001 transcript:CAK90761 MRPTKQQDYQLITPRSPERILKKRILNNQTQYLMKWKGSEQTTWEYEENVRRSMLEEFLQ NQQSSQEQKQKNLKNAASQLPILQQVQQSLKHPSFSEELLLNKQKEMAQKFCSSYPQKCD EIDSVHLMLTNEDCVFEVKWKPRADGVVPISDFYQYDQFKLAAPLLFMNFLESCIVGHEN NTDIKFYAPGKDNVERAQLIKRILLRNPKYTDSNKQHSYVIVEEQKQSDPPVQQPSKNTI INFTHSDSKKVIVNQHTESSDKNQCPLQVSEKKSTILIQESSEIQQQLDSNSQQEVQQQQ STDGREIGELVDPDENQQNDEQLEQRSISLEPQK >CAK90762 pep:novel supercontig:GCA_000165425.1:CT868660:388189:389296:-1 gene:GSPATT00003625001 transcript:CAK90762 MPTISTFPPPHHDVDWFYLDEDGKWKIFDWRRCNLLENMLHYCNQGEFQIIFKDMQFIVD YDHMVMHHQISYYKIRRESSIVSRQIKIQQGKWSYYNGQTWIQYEKVIQNQLEDLMVKGE PLIIMYVNNKQYVFDLEQNVQVEPIDDKGLNKRKIITRQWMNTHQLQEFCKIRKQQELTY IRERKALEEYMKLHQHRKKVGGSKKANRLGDLGISPDQEKQERKQQKSQQQHQQQQQIRP KDECFKMIEHQKQPDPKQLEEWNLLIEKMKEENDENNS >CAK90763 pep:novel supercontig:GCA_000165425.1:CT868660:390240:391013:-1 gene:GSPATT00003626001 transcript:CAK90763 MQKTQTNRLNKELQDFNERQKKGEDSGISILLVDQNITHWKGFINGPSDTPYANGYFQVD IVIPQEYPYKPPKMKFDTRIWHPNISSQTGAICLDILKDEWSPALSIRTALLSLQALLCD PQPDSPQDAVVANQYKTQKDLFVKTAKEWTQNYASKNKQEEKVQNLVNLGFEVGKVREAL LRFGYDEEQAANFLLGG >CAK90764 pep:novel supercontig:GCA_000165425.1:CT868660:391439:392360:1 gene:GSPATT00003627001 transcript:CAK90764 MSIEYVLLVKQQQVCLFKIPPVSTIKGHYLDDWKEMFWEGGIKLTEKGGLLTLYFIDKNT SAVQTFVNLPDNPYLAIEKTVDRYAVRLVTPTGGHQWVGCVFRDRNDAFDFNEKILKFIS DREMERNPEKFKNEFQPTQDFSLKQGQKIQISLGEGNEQKKQTQQKGEANLSQFKFAPPP DAGDFGQFSQPVKQQPTQTVQNSWGNFDFNSWNQPSVPQQNAFQQAPPQQQQQFGFGQQN QSQPQQQFNPQPQAFTIQSQPQQQNQAKTKELNLLDI >CAK90765 pep:novel supercontig:GCA_000165425.1:CT868660:392362:393291:-1 gene:GSPATT00003628001 transcript:CAK90765 MQYLDNYLQSKGGYDYTNVKRNELMKAQGFKEMPFTKTGTTIVGVLFDGGVVMAADTRAT AGSIVADKNCEKLHTLAPNIWAAGAGTAADLHHQCAHFNAKLKLQRLNLNRQSRVNEVIT KLTSKLFPYRGHIGVALIIGGIDCNGPQLASVSPHGNYVYHPFQSMGSGSLAALGILEAK FQDGLTKQQAIDLAIEAIEAGIFHDMGSGSNVDVVAITKDGVDYKRNIRQYNAKSYQRQV PYDFPINNTPALKKYQFNIEKQELTEVGQEMEIIE >CAK90766 pep:novel supercontig:GCA_000165425.1:CT868660:393339:394802:1 gene:GSPATT00003629001 transcript:CAK90766 MEEQLQQIFNSKYKNKTLYNHFCELHYQMQENKYYNAKNLADFEDLSNFIKENRFYHVAL KSADEVNNLKDQAFPWQEYCAKLMQLMQFKAKQINANIQNFLKLNTLLNIVGYGVHDEDA YVIQQCLKQIAQRDPNISSVRFWGKILAQQKDYFVIEVTLNKQIKEPQPPDCDEKLNEYV HYVTQDLLEDWALLPQVTRNQMEASRYITYIFSGNLNKNITQYPYFEGKEKHLLKAQILR ITHANLLAPRGLYKYEDETKTIGFEEEFKMPESTELATMDAWVHLPAYILKQGRITFYED PSLKEEELNQMKESDPEQERLKSIKDDKPFEQNDGNWLIKIFGETQQYTGNSDDQQPLSY QVVLLRNFLWPGAVTVSNTNEYISVYFGYGLKNQQHSFNPLAPKDVQEDPEDIDEVPEPN PREQPDELEPDSDDERRREQERREAELQQQQE >CAK90767 pep:novel supercontig:GCA_000165425.1:CT868660:394861:396521:-1 gene:GSPATT00003630001 transcript:CAK90767 MNINLNRCEDCGNIHPRQPCAAQPVKFVWPQEGKEVLLFGSWNLFQVGTKLIGNKCTLNL AVGQYEYKFLVDNQWRYLQNQETVNDNHGSYNNMIQVLPKRAYQIFESNAKPLIRLFEVA EEVIGSWDNWSQPIKLQKRYNQFKLCDEYYTYVNLQEGRYEFIFKRGSQYFHDSCQPTIM NSFGRKNNIMVVLINRTESQELDFNNVYWTKHDLLYHTFDHIYGHTMTSIGNQFYIFGGA PSRNEMYKLTFGDHQLNLEETEGEMPRPRAYHNAVAYGDKILFFGGVDEHNILNDHFVYV TSAKTWYLAKTDKKWTERERASLTFYAQEELVILFGGYYLSPDLEVELIYNDVYYMNIQN MQWVKLNVNNQPSPRYGHTAIQVNEKMYIFCGKNQDEYFNDIWVLNFDSVQWQQIQTQGV APEPRYGHTTNLIKSKICIFGGRNSKSNRLNDLHLFDFITNTWITPTQYGQMPSPRYFHA ADIYNGEQLWILGGNIGLKRNEHFYIMNFGKQEDQTQVQQQQQQQQQQNN >CAK90768 pep:novel supercontig:GCA_000165425.1:CT868660:396544:398192:-1 gene:GSPATT00003631001 transcript:CAK90768 MESIILGIKQGDLKYFDDSIDLQTLIPYKIHTIKIFFTSQGILGIQNYYYSFSSQSIIKC KEHKSSKMFGVSEQKLVLDNSEYIIHLTWYQNEIGINRLEIQTNKQQIQIGQKDGEKKEF KVEQNYQLGAIAGGYKQQLQFLEWQIVPLVEQQTQYQSQLYQLYLSQNEGNQKNSKFEYV GKQYRVCDPQIIQQGLTNKFVQFRIVDSTEQEVIRRFQDVLQLRQILQLRWPGVYIPPLM NKSTFEDYSNEHIENMRKAIEYFLMKLSKITYFAQSIEFNVFITKTNKDSNQEMDYVQNK LKEMTQQTSIDQIDLRYKQSFEEFETKESVNEQQRQKCNDFSLLMSKLEQLKISDFSDIK KLFEQHSKNVNYLSKYILPELHLIHLNLQSEEIIQRRKSNSIDRGLQMQIDTMNDVYDYF VTEQREASAMSQCMNYIKDLEQQKNKLEQKIMSQKLKQEEQAIAKTQFQSISSIWSILIK SYAQYYIDNYFKERYQLYLLMINRLAQIQLNNLKLRQNFWQSI >CAK90769 pep:novel supercontig:GCA_000165425.1:CT868660:398410:400781:-1 gene:GSPATT00003632001 transcript:CAK90769 MNIKQLATNFDVLYRRVNDRSRVTEVMLGQLFERSEAEEKYHKALEKISGQMQNGGGDID DLIRGVKIDLNQRAQYYKQFNQSYKHDVEQAINELRQIQSQFKPLIQDVLKMDKEIKITC DKYDRQKDKTVRASKDYEESAITLEALCWNKECNMEQRTKAQHRLNSQLQYKQENESVLR QAASVYNQAVQSYCTSLQNGIQQLTTSYNQIFAIAKDIVMKILVYEISKTRNLQYDSEQF FKQAEIYLDPQNDPIAPGPSSQIGISKENYNKVNSQLNSSFLRNIADKISLNHRLNIKRE TTQSQAQVENLDSFDLFLANPEFIDDLKIVTIKLIGKVYNKSKPKNADEQPAGVQQMVNK YKDQYGEQQLVNVYSFIKYVIQQTQDQQIKGWKNIPELQKNNLDEIFEQKFFRELGCIIL ESFRQAGCSNLSSYGFKNMHIFTQKLLEISQKEGELTLVKRIITMISTIYTIDEHEKRFF LQDSLISMQIWKQIDLWEGLIYTTIETEIDKSATTKDTVQFQNEQILKDKNVIYNNLLTL TLDMINFKVDKQEIKNVLVKYARVFQLYDLQTQELIKFAENDGKIEPNQKVSSLSVLQSL YHQPQTNLNQQGNIIQTKVVMFDKKNPLQANSNIHAQKTNNNQVNIGSETLQKEVIQPQT QVQSNIPQYQTSTVQQQPITIITTQTQQQQQQQQQQLQQQQQQQQQQQQQQQQKISQNSP TLQQLQSTSPQQQEQEQPKIRYNQHPLEAPKANFLKKLP >CAK90770 pep:novel supercontig:GCA_000165425.1:CT868660:400834:401556:1 gene:GSPATT00003633001 transcript:CAK90770 MYYQENLRKAREYLNKRQKQIDHLTRKPIQAQGVNIRQIFAILRSKDRNEKSESRQLKTI YQDKKEDNNGAPLIVITSQNPDQRDSNHSIAAKLIRLNKITRNKTEITPTQYNFVDRIVE PTNRKPEIHSEQISPLRMRKNAPGNLTDRRQVILKNKEAIHQKGYHVQNQKSQCCILTHQ LIHSEQTTPSFRRLNQTSYQCDKTKIFNKIKNQPLENEIKLIRQISGWTIQSQESIQTPK >CAK90771 pep:novel supercontig:GCA_000165425.1:CT868660:402147:403801:1 gene:GSPATT00003634001 transcript:CAK90771 MNPFISNQFFLHMIISHLNRRYIHSRFKLIQLLEQQFIILIKCLKFENRQVKLSQVFVEL YVWGNCQFGQLGLGDEYIKQNVNNPKCCSYKIIVVKIACGFQHSCLLVNNGGLYTMGLNE QGQLGLNTQLSYISTPQLIELKGPFIRVKAAANYTVAQRDTGELYTWGQNQAFNIHTNQP QLLKIKKINQFSCGEHHMGLIDFNCQLYMCGSNDYGQLGLNCYESFVPQQVQSLEKYDKV KCGLTHTLVLNNGQVYSFGSNKTGELGNGKQQHSYNQLKPLKIQNVNKIYASNFSAAITS KNELYLWGSGIFGEFLVPTKIDFANQIKKIKLGNGFGCILDDDGQIYSWGKNSSGELAQK DFIPRTDIQHIKQLKNKQIKTFDCGQNFVVCISQIQEISINYDQIIKENDQLKQKLLQME TIFKNQKMKSQADLQYTMQQECKSFDLGIIQDQLEQKQKIIQEQEKIIQSQKDRIIFLES ELYYYQNKENVNENSVTYPFKKDAKNQNKLSYDFYNQ >CAK90772 pep:novel supercontig:GCA_000165425.1:CT868660:403947:405269:1 gene:GSPATT00003635001 transcript:CAK90772 MNRISPDNNNVLELNQKDIVKLYFGIQKSLIKKEDNQNEIQDEENENQHENLNEANENQE GNNKNQDGNNENQEGNDENQDENNQNQDEKKEKIGNLKIDFDQLKKKIEEDNRLEQEQKT AYKSIIDNHSNGKSTADAYIEIQSDASYNFYLKKYKVTTTDRRRQDTKGQQSSQEKIRYY AFRFRMQPTNYTSNVNEEKLNYLKYLSSSKFILRGKYGQINEVNYQIEIPLSFKLSTGVY EYRKPNLKLYRSQNEVIPINIEWKNQQKKIIITLYAKDGINNVAIKAVLYSRIIIKESND YVAQTHKILKEDQITRENTEKSLVLTLDNIEKNYPPLFFGDKIISHHIVILTLDFKIRCF SDLFKKNFFQIQIPVDLDPKVQVVENLTKLYRENYFDKISVLDKTYQDYQKFI >CAK90773 pep:novel supercontig:GCA_000165425.1:CT868660:406015:407096:1 gene:GSPATT00003637001 transcript:CAK90773 MDIEKAEYVVVVATSSQKSASKAIVKNKMATTIQKYWRGYYVRKKLTYQKIIESIPQKTM NTHDVDQQQQFESGITINQDEFERETRPPYKFKGGAVYTGEWKGQARDGAGIQVWSDGAK YEGEWKHNKAQGKGKFTHSNGDTYDGEWENDMANGYGTYQHIGGAKYEGQWFNDKQHGYG HEVWPDGSSYQGFFQNSVKHGKGKYIWPSGQYFEGDWVNNKLSGQGVLVWPDGRKYEGEF QNNNMHGKGTYTWPDGRKYYGQYFNDQKHGYGIYEWSDGRRYEGEWEDGKQHGKGLYVVG ELERTGEWLNGKRIRWDDEKRTDYKK >CAK90774 pep:novel supercontig:GCA_000165425.1:CT868660:407117:408575:-1 gene:GSPATT00003638001 transcript:CAK90774 MGTLLLNLGQCGNQLALQMFNLIDKSSMHEGNMFLRRRESNIYHTILVDTEPKILKPIVE DRKLYSHIDVKNVLYYQYGRGNNWGLGYLNFKQKSKLSKSNQQQAISVFKSSTNEVSIQQ YCQENEQIVEQIINQARKEIEKTDYFLSISTIMSLAGGTGSGLGSRVIQEFSDIFQEVHQ NVIAIFPNKTGETPLQHYNTLLSSAHIQQYADSIIYFENDRIYQMLSQIGSKQIDKSVDL SNVNEYIGSCLMNLFWINDNSTNVRYYQDLLSECCITEGHKFIEVVSAPFTLFSHSNLGP LCTWEDLIKSSLEQYAFEKFEDDSDQQQQIGEAVAKSLQIQSVQKKGETTLNLQSVFRSE NVLKTLQDSDSHMKFLERKINSVFNPVQWNPDAVQLHFINEKSSVKGHDQKMNVTLINST KIIPILKNVSKIAYQKYRSGAYLHWYWKYGLENQDFDNAFESLQKIQDDYNYMVEY >CAK90775 pep:novel supercontig:GCA_000165425.1:CT868660:408649:409723:-1 gene:GSPATT00003639001 transcript:CAK90775 MKGNQKNNQNKQVTGQQQQQDQLQKVDQIQREIQYYIYKDLENTLLMLGELEQTIETFKY VDDKRQSYLPIAFNKAILQANLSYGINFDQYNTNKNTHEKVFKDCSEEAIKIFKEVFETN EDGQKKNHTHPIISQICEFYKNKDFKNNKEFKQLPLQQVDREAQFNEKSLDKVIWGIQAV DKEFNDIREKPYPKPTAEELKKKIQERKKFCEEQLITNFNPDFTKQLKKNQDIGPSKENN DDKIQQENGEKEEEQKTDILTQNTKDILEESKEDNKDKIETEPEANQQQDKSSPIQNSQN ENTAPEEQNFGKLGTQPKGVSGDMDEELQQENENGGQEVQEIQDKQQSK >CAK90776 pep:novel supercontig:GCA_000165425.1:CT868660:409860:410631:1 gene:GSPATT00003640001 transcript:CAK90776 MSVYQHQSSSNSQTQSQKYQNKSRQVEAEDQIVKYTVRQDFLNLLTIAADYQAGLNYSGN KKNMEQILIMLQNKLILQVNLSSSIHQDQQQQCKNSPQLNATLMKEKGKVKEFLKIIINE QKFAPQIEKYLECYLNKCLNEYPILVNEPLNPVKHKAEFNQDTLQKVLCSINQTDQNYSD IYSGKVQAKELSQSDIDKRIQERINTVNRKLEGSQERGCNWCQLI >CAK90777 pep:novel supercontig:GCA_000165425.1:CT868660:411268:412395:-1 gene:GSPATT00003641001 transcript:CAK90777 MSDQLPAVIIDNGSGQCKAGIAGEDAPRCCFPAIVGRTKQKVAMCSMDNKDVYVGDDAQA KRGILYLKYPIENGIINSWDDMERIWHHAFYNELRVSPEDQPVLLTEAPMNPKFNRERMI QIMFETFNVPSFYVSIQAVLSLYSSGRTTGLVVDSGDGVTHCVPIFEGYQMPHAIQRIDL AGRACTDYLSKILNELGYSFTSSAEREIVKDIKEKLCYTALDPKEEEKKYKESNSQNRPY ELPDGNIVVVKDQRFRCSEILFSPELIGLEVPGMHQLSFSSIMKCDIDVRRDLYNNIVMS GGTTLFSGIPERLSKEMTNLAPTSMKIKVIAPPERKFSVWIGGSIQSTLSTFQSQWVTRS EYDETGPQIVHRKCF >CAK90778 pep:novel supercontig:GCA_000165425.1:CT868660:412845:413748:-1 gene:GSPATT00003642001 transcript:CAK90778 MPIWNYCNQIKQHLNQKLNKLNYIPIQQEFLIFLQFYAIQLQILIDYPNKLSIQQACKQI IKQNQNVSHQPNILELQAKAIELAYQTIEEGKWEKEIEQDGVVFYSKPGSTGWKISRSEI IAEIDPKKAVEVLKDSTRFSEYNHTAQEINLIKKIDDTISIQYILTKPNQVLQQQRDIVT VSKASSLPDGTNFIVAKSIEVPEAPVKEEYVRAEIIISFFGFKPIANGQTLVTMVQSFDP KGDAPKDLLNSLANKVVNYNKLFVENLKKATLKE >CAK90779 pep:novel supercontig:GCA_000165425.1:CT868660:413989:415101:1 gene:GSPATT00003643001 transcript:CAK90779 MIESHPPIVIENASCNIRAGIVGEAAPRCCFPTQVLKLQDSKQNKFLIGSQMQEHQLNYQ PCYPIQYGYIMDWEAMEQIWKYIFEVQLQISPSNHPVLLTEAPRNPKMNQEKMTEIMFEN FDIPLLHISNQTVLSLYSLGKTSGVILDSGEGMTHCVPIYEGFQLRHQLSRLDVAGYACT NYMSQLLKDQFLRMYTPVERNRIAKAIKESLCYVALDPILEENKYQGNTSQSSSYILPDG SKLTIKEQKYQCPEILFNPNIAGYELPGIHQITYSSIFKSDRDLQQQLYNNIVITGGTTL FPGLQERLLKEIENLVKQPSRTRIIVPPNRLNSTWIGGSILSTLTNFKPFWITKHEYEEF GPSIAHIKCF >CAK90780 pep:novel supercontig:GCA_000165425.1:CT868660:415250:419590:-1 gene:GSPATT00003644001 transcript:CAK90780 MSILSEHTISIKNETITMMSVSHSAISPSIAICTISRVMLYNDQGEKFDYELARNQKPTA IAWHPIQPQLAIGWQNGTITIWQEDTRTAKEESAVHKEEINLIQYNTNGSRMVSADISGL VVVWRGITPMSTYQKEGIMTICIFADLNNIIKAQNLFFFGGKSGLVCLADDSKHCSEVCK VVGSIKCLMIYEKYNSVIIITSGLLLVQFKISTSEKTQPDKKVKLSIAGEPESLQSVWIG QCLIAISSNENMIRMFQLEADENYVITLHEFQELYPKEKILNDKITCVQYSKKSKNLVAG TKDGRLVFWKNYALTDESPVESEQWKALQIIALNKTVNEIAIGKNSGVIAARSADSVKIV QETLVHGKIYENVRVLQIEHNKVLIYFKNDSQNQDKEKGPWSMFQWDSKFPIKSIDCTQQ HLLVQSANRIEISEFTNNSQILAKSSFEKKCVKAALFQEDLILFYEYKFEVTNFKGVQKY FVDFSESDGIIANYEFNNKTMVIWTHNNYFRLYDFSRREAQLSGFNCKFENDKGPLGTIK QCAVNCDGSNVAIIADNHGNQNDLFFVYNPENNNFQSFELPQYRKATQIMWDYSDPRFFG VTTICTKIKTNSENDVEEEQADFRAKKFFTFFFNPHTGIKEQDCYVLDEKYEGVLSIRIP HINVIQKKEQTYRIQEILMNDFTGLERADEPTKRAVINFSYHLSCGNLDEAYKSVKAIQN PTVWEKMAQMSVKTRRLDVAEICIGNMRFARGAKAIRETKKEPEFEAQLAMVAIQLNMIS EAEKLYYQCKRYDLLNKLYQAQGQWEKALEIAEKHDRINLLSTYYKLAKQYEISKEWDKA IQYYEKSGNAQKEIPRMFYEADELQYLEGYVLQKKDPILYKWWGNHLESQEQYEEAMKFY RDSNDALGIVRVLLHQDNVRHAKETCNDKNDPAAHYFLAKYLEAKNIIPEAIQYYAKAQY YSEAIRLAKEANLISDVTAISLQAPKLIMIQSAIYFEQKKIIDKAVLLYMRGGQLQKALY LAQKEKLTDYVKKINQEIALQKQETVDLAFTNTGSLKEAPSQQKIVNQQQQQQQSTQSKD RAPPPGSIQDLINKKQFEKVLEMCENQGIQISDDMVKQMLDGLDDKRKKEVQLLIAEKSK KMGNFEQASKMYIQMGERVKAMKALCKLGDVEKIIAFANNARMAEIYILAGNYLQTGDWH KTPDLMKHIIQFYNKAKAFDHLANFFEACSSVEIDEYRDYEKACAALKEAIKYSEKTTSQ QKTQQLQQKLKLILDFVQAKQKSPQEMLQIYYKLLNDSNIEYAVRQGDIFAELIEYYYAQ GQYEKAYEEMQKMQKKSIVLNPYLDQELIQKVMNYMKQKVGSQQQQQQQQNKKQPYGRQQ EQNDDDFQEEDVIDDGI >CAK90781 pep:novel supercontig:GCA_000165425.1:CT868660:420079:420849:-1 gene:GSPATT00003646001 transcript:CAK90781 MSYQFGGSQLQQQNVPNAKYKTMLCRHYQGNTYNQFKQLNNVPLETNVNLLMELKNKDKS MVFTNILSFSDPLPASALSAMATVIEQPLNKPQPPIFQSIAQIIIIFVPCKYHAQNYCKN GQNCQYIHDSEANQQQSIPFQPQPQMPQMPSPPPQVKQEDPMQLVFQHISFEMQYIFPQD DIIQKLKIAQEQARVGNLIAVAECIKSIIHAPERTQEEILKYTNLYNQAVTYFNQISQAN >CAK90782 pep:novel supercontig:GCA_000165425.1:CT868660:420880:422018:1 gene:GSPATT00003647001 transcript:CAK90782 MHLILMILTILGQCKLSTKPHQNHFENIFYYDDEYYKNHLHVSKVYWNANFNKRLKDVDP RYLYYKDGDIKRYTKYDKIGQGAFSQVFLGLRDDGEIVVMKELKPMKWQAINREIQVLKA IKGTTNTLQLIDAIRNQNKKQNATFIYQYLSSVNLLHLFGKLELPKIKLYMYQLLKALNQ VHSKGVMHRDVKMANIIIKEDDTLYLIDWGLGEFYHPKKRYNTRVGTRYYKAPELLVNNK QYDYSIDMWAFGCTLASMIFQKQPLFKGKDVYDQLDKIVAVLGTEDLLKYLAKYDLIYPD PPIHSQKPFTIYIDPENAHLVTKEGLDLISKLLVYDHKLRLTAEEAMAHSFFDGYRI >CAK90783 pep:novel supercontig:GCA_000165425.1:CT868660:422033:422935:1 gene:GSPATT00003648001 transcript:CAK90783 MQKIIVPILTLNNGNKIPQVGYGTYQSKGNELVDGIKYALQAGYTHIDSASCYRNGKDIR VPKREDIFITSKIAPQEQGYENTINACKKILQDLDTTYLDLLLIHWPGSAGNKPNSPENA TVRLQTYKALEQLFQEGKIKNIGVSNFLKHHLEHLLQHCKIKPVINQIEVHPLCWDQATV QFCQQQGILIEAYSPIARNDPKLIQNQKMIELSKKYNKTVAQVSLRWAVQKGFIILPKSK TPKYIKENIEIFDFQISQDDMNAIDNLNQNYHTCWDPSTVIY >CAK90784 pep:novel supercontig:GCA_000165425.1:CT868660:423066:423447:1 gene:GSPATT00003649001 transcript:CAK90784 MVRRVIVLLLLGIVLGLQPYEADFLMFDQDGDKVLKYDELRAQFPTQNPYNTADFVAQFF LDYDSNGDKIIEFKEYSTKREQQKLELEQQQQSISSMYHDDL >CAK90785 pep:novel supercontig:GCA_000165425.1:CT868660:423513:423890:-1 gene:GSPATT00003650001 transcript:CAK90785 MIRNAIYQLIKTIIPQFMGFNYILQVNKVHLHKEATETLQPYPCFFVSFNNLSVLSLTTS SLFFQNFYVTVDELSLNKLEKRSKNYRLLQLVLNLYQFQCQYMMRQNKYFCILWIQLRQI RKVNQ >CAK90786 pep:novel supercontig:GCA_000165425.1:CT868660:424504:425935:1 gene:GSPATT00003651001 transcript:CAK90786 MFNLTLSFSQFGIQKTHFQLYDCQNECLKTKQLQRDKQPIHLVTIKIRQLLQFNQTISVI PRILTQPTAAVYNDGLDNENYDLIVRLDDVIINEKTGNQYIAKELLGVGTFGSVYRCVKN NTNFAIKIIKNINAYNIQSMKEIKLIRFLNKKQEHESIIKLIDYFLFKNHVCIVFPLLGF NLEELLQETKYQGLSLHMIKNILKQLIAGLEFLHSLHWVHCDIKPENILFTDNTAKQIQI IDFGSATELNINLYYYIQSLYYRAPEIILGYKKTCAIDMWSVGCVAAQLYLGYPLFQGTS SFDQLSKILGLISITNQELIQNSPKCQDYFIKENQNYVLKNLKKYQNEYNLNLHDPGIQN ITNIQELYLEFNKSSLRTIQEMATMEDFLDLLKKLLEFDPALRITASQCLQHPFLRDFQF NINDILKQDLQYQ >CAK90787 pep:novel supercontig:GCA_000165425.1:CT868660:425949:426264:-1 gene:GSPATT00003652001 transcript:CAK90787 MQINIKSDVLQPLPIKRKIIGLPQKNMEFNDPLNLIWKAASAPATLEQIETIKKVSKGRQ QFCKNPFVLIDQAISENSKSIQELLDEIE >CAK90788 pep:novel supercontig:GCA_000165425.1:CT868660:426509:427398:-1 gene:GSPATT00003653001 transcript:CAK90788 MFVRAPAKIIISGEHSVVYGHKALCAAINKYTTIKIHPNQTNSIEIRWGNDWHEVLDLNQ NNTNSQLAQVARILNMRPSIIEVQSEVPISSGLGSSASYAVALTKAMNGSIDQAIQIENI FHGKRGSGLDVQVTNHGGLCVFQIGKPVQKVNLPIQNILLIDSGERKQKGTEGSIAKVRN CVENKDGRQILNRISEVTEQIIKEGLVKELIYENHDLLNRLGICTNRINDIIRICKSENI PAKMTGAGDGGFCIAFPKDDQESEYLKLKLQDYNTFKATIDLEGCKII >CAK90789 pep:novel supercontig:GCA_000165425.1:CT868660:427463:428530:1 gene:GSPATT00003654001 transcript:CAK90789 MGVEDKIVQKLEVDSSAAFSLDLAFTVLKQVAFDPTSQNYCEQEEGEPKNVSKERHRAYN LKYIQPPKGQVTPRVAEPPKHIFMMPTQLWEDYEPQLIRQKTKEYIEEYPDERRIMEQKC LEKQYKEQKLRQQSREKRQVKELHSQLIMKIGSKEYTYDYNCNPLARSNNQVKKDVITTL PYEIPLTDNNSIISQPKLIEQVKQKRRQVENIETIMEFEEEQVQEIKLQPGVRLSLKPIQ LQEQSFRLQTQKSKQQDASSISQKIPKKYKNVVKLNNISLVSYLQQQDGDSYIKMGSMAT NQTQQITPTNQSFIDQESIKQDLLRLPKLRSHSINPKTKRKSRLYPSYSIVTQRD >CAK90790 pep:novel supercontig:GCA_000165425.1:CT868660:428663:429211:1 gene:GSPATT00003655001 transcript:CAK90790 MGWWNGNNNDDDANKNYSQNVNSTFKSSTTTRQCRPDPQDQNFMICKNIVKESSTINGQR NDETKEYEERLPISQNYVRRNQNYNQNNPEFDFNQMDEEIGQMFENFTNNFFKNLPTVVF GPMRVDFQNPNYETRANNNYTNQQKPQQQQQQYQQQPRVQKKKDDIYDC >CAK90791 pep:novel supercontig:GCA_000165425.1:CT868660:429254:429763:1 gene:GSPATT00003656001 transcript:CAK90791 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLFGFMAWKG >CAK90792 pep:novel supercontig:GCA_000165425.1:CT868660:430444:432494:-1 gene:GSPATT00003657001 transcript:CAK90792 MLQKKNSDKENTCQNNKDISKQRIIGDYQLVKTLGVGTFGLVKLGLHQITGEKVAIKILE KERIIEVADVERVSREIHILKLIRHRHVIQLYEIIETKKHIFLVMEFCDNGELFDYIVKN EKLDEIEACRIFQELISGIEYIHKLNIVHRDLKPENLLLDHQNQIKIVDFGLSNTYKQGE LLKTACGSPCYAAPEMIAGHRYQSILVDIWSCGVILFATICGQLPFEDKHTSDLYKKILG GQYTIPPHVSQDGQQFLKGLLNTDPAKRFNLEQIKQHPWFRLYKRVQSIPQGIIIGYSRI PIDDVIVDQLATKGFSSDYIKKCLDANKHNNLTTAYFLNLKKHLMNGGQSVADINSSNFN EKLLEPAIRPQKPPISTLLDGSIMKTLTHNRSGSCQTNKKSHTQTRGLSIPTTTEDTRSI YNGSKNYQTSSLSIDDQSFHIERNKSQSFQQTNNKKMTTDIQINDTLNKTTYLATCKRSS NTSTVSPAHQNILLQYLKSSTKNGTPTAHRGSSQPKIPYNSKTTKNQKNSYQNFDTCSGQ DKKKENKPINLMDTTNIECKKRSLHIEGDLSMPASTKPQSMPYQLWLKINSRKGSRDHSG GGQKTKKANSNTTKANINQSFNFDKRV >CAK90793 pep:novel supercontig:GCA_000165425.1:CT868660:433331:433680:1 gene:GSPATT00003658001 transcript:CAK90793 MPHYPEEIEYSDKYFDDYYEYRHVILPKHIFKKITKGKLLNEMEWRALGDGLTMKLIDQN LTFFSSEEQKTQIPILDCLPPGFSAPY >CAK90794 pep:novel supercontig:GCA_000165425.1:CT868660:433687:434963:-1 gene:GSPATT00003659001 transcript:CAK90794 MKEYIVYLQGLLKQNNIQFEQEEDFAQSKLNQINNEFGYEHINRYKRQMILSEIGLTGQQ KIHLAKVLIVGAGGIGAPAIYYLAGAGVGTIGLVDGDSVDVSNLHRQIIHNNDRQGMNKC ESAKKQINQFNPLVNVITYQHHLSSENAIDIFKNYDLILDATDNPATRYLINDTAIYLNK PLVSGSSVGWEGQITVYGMQGPCYRCLFPQCPKTVQNCNEAGVFGVMPGLIGLIEALQAV KIIIGQQTLSQKMILIDGIRDVYKVVKLRGQQKDCIACQKQIKINEYDYASFAQTICSTS IPFRGGYKEIEWKDFLQIQKNDNVVLLDVRPSQQYNIIKLDGFKNLPYQQIDQFQVEENK DKEVYIMCRRGNNSRLACEFLKDKIPNVYNIVGGIDLYAKGFDPKMPLL >CAK90795 pep:novel supercontig:GCA_000165425.1:CT868660:435298:436258:-1 gene:GSPATT00003660001 transcript:CAK90795 MEDGVKECSKLPEWLQKQQDATKNFQNNLGSIVEKIYKPIKIIEKTLENQLEILSESNLK NLTYTDLNEAIRKQVNLGIVMDLFSKSCFTKGFDMFGGMLDRVQELMTESNITFSTCQRR LNSILMYLFVQISPFSSTGSFNSTIQLQSIGNNNQPQTNLCGFISYNQIQDNLQDPYQQS LQSLSRIYDDNINTQIDFKTDATFQDAIEYLMAGENEKAQSIFYTLQNQQPKQEVQLWLA YCMYVQRKYSDTMKFMQQLGQSQEEIKQNPFFWQIISKQCVMQPQVCLAQKIIKMLYILF KST >CAK90796 pep:novel supercontig:GCA_000165425.1:CT868660:436458:441393:-1 gene:GSPATT00003661001 transcript:CAK90796 MQDQEKQGIEDAILLGNQKREQLKLTTFQVLFVIRNSEDEEGGMFGFYFFNALDYFQMHQ FPFYVTIYYLWKQDTFMAFVTQVQNLLQISNYIPSLTYDSLLLTVYILLFFILLIILDII YVSYSFSRNRFYWLWPLLLLKQITSFVVTVGFLPITETLTSILQCYVDPDTGKYIIYGYN NIFVECWQNWHTFHAIIDLTFIVIFSIICSIVAYAFFEPAMNTNDRSARQDSNGEVAFIT NKITCQLMFSLLRPNYPEQAWIMVITSFVLSLWLFKQYNFNDPYYDFQVGLFYCICSTLY LWACFMLLVCKILESTEFTGGFIAWLIGVPFIISIMLLTKKSKIETLIKSQTKFRSGEQI WSHIRYVLQLIQNQEKDRNSYMLLIGYIEKHKETCNYDDCPLKTKLKQRKSGNQNDINEI IRGLIQELDRMFVQGLKKFPNSTYLRIFYGLFLIERRNNKQKALEQFELAQYTKPALYQQ FIIYRYQKDIKSKGINSQQEEDDDIVNAIAFKNYLSLCEEKMKFSANLHKEFWIELKEDQ PDLGRLMNIGARISRVTNEAKENYENMQKINSNMPYTMQVFGNFMIHVMNDSKGGINQLN KAKQLKEHLKKNQLGRKDMINFETDQIPFIYASAKKSDPGNILQMNSLFTVYFGYSKEEI IGKKINVLMPQKYAENHDKYMSNFFDNFVLNLRQEIGIQSEYLEVDQNRLFKHKNGYLFP LTYQVTLHLDSLVYIATFKSEATLKTQIYFVVDKFTQIIEMSSGAITYFELELKNLVDKI KLNDYIPDVLTKNAKDVFSKIPNKDGFYYFNCQVKETILPVFNPNDSDEEQKKSERTGFY VVRLDKIEKNEANLKYMLKKGVQRTGSISNPESEQIMKATSQQKVPNLGNMSSDSQAALR NQDNQEVDTNLLRYMQDLYENPQLDEIRDLFKQCNEETESVPSINLAGGILTKRYINGQI VSINEDQEQLFLQILEEEEEYNSLFRNQGNDFEDEDDYKLFTYNNSANIIQIALQTHHKH QEITTFKIYSSLWIVFILAMTSLQQYFCSQQFNDYRIDVNILQQINNQLIEINRLTSRVL DLAIYSANLYTYNITEIANDISMSASSVIDFNSAMNSIDYLNFQSQFEDEISFRFYTDFA YQDDALRLETALVVFCSLALNSSVANYQSISFDNIYYQGVVHNYFDVLYEKLCDISGSTY YNIKDIMDYPQVDLLILLSASIFVSVMAMIKFIFLMFAIKTKRENILFLFLDIPTYHLDV LYKKCDRFLKNYVSIEEMQNKQEQQGYESSEDENEFQEEKIEVLKAPDEDESDILLRQTQ NRKKIIKKYRQNRLKGNQGIIIQFFVISVFTLVFTVYNILGSQSQQSQINFLLPQYYQSL FTINNYGYYINIQKLMMLDYTNQIKGVQVSEYAINNLTIFTGNAEKMNAFNFDILSELQA FRDKYINIYYGNLCEQIIKTAEVDQCQKIINSNLQLGIYNTESYFLEYFRINIQNFYQNT TQNSPLLNATQFFEIDRALYNYVYDAITNIITYERDLITSGMDNISYIQLILLIIFIVLL VAIFLFIWIPFLNGLNTQINQTIEMLNMIPLEVVKENKSIRRFVKSLIKSMNK >CAK90797 pep:novel supercontig:GCA_000165425.1:CT868660:442422:446946:-1 gene:GSPATT00003662001 transcript:CAK90797 MTDVEITPKHLKFQKIGQEKTITVKNNRKVVLNFAFRLFKNYEIAPTALQLLPGEATVVT VKLRNVEAQEDFIYIKGDNLDCRIAVTFECDSDRLDQYIDQDIQNRTITSPGFGNLETFG NVRSEQFNAEENKILQQRVNYLTQQNQLLNDALEQFMNQEMDGNSIKELLNQLIEQERQD NEFRSQRVLLMIEEKDREIENLRMQIQKQQFNSNTKDQLKELVKENEKLQMKIQDYQARE QYFNQHQPERESYVMLYRKYESVVDQNKKLESINRQMNEEIEVIAKRLNDSGLQNSNIRV EETRDELVNRLNARITQLKLREEKLLEENAHLEEMLIQQQGSSQNFSSNQSKNHTDDLLK KEYQILEKSKKELEKRCNDTLSKSQILEKQVDEQTKQAGYLGDELWKSQRENEKLKQEIK KLKEQDELIKKERLEYESQIYALEQTLKQASSLQDVKEEARKRWEKEIGKLQSSYENEIV AYQDDAQKLKKIINDLKKENEITNTQLKETQFKLDQKIQQLSQQEEQMQELQFKNQKLQK VNQQNSIDIEKTKSEFIILKEKTDVEFQRRDNLEKQLQDRQLCLQDQINNIMKEKSITQS ELQLEMNKLCQQHKASIDQLLMDNKSLEQQYLLAQDQLKVQRDQYAQREEALIREVRSMQ DKIEKLVQNQKQKDKQRKNSRSNNSSVDKTVAPTLNNIEEERKISDLYMQKIRELESELN KTRQSKMQTEFDFNQLKAKSTYLQQGQTKDFYAQQQPVLADDLNELKKTMIEMRLERDKI INQLQEKDYQIDNLSSQIQDYASLVQQQQGNLEQMEIKLSYINDDFKKTLEQKNSELHKL KLELNIREEQNQVYQEQTKLLTNERREINEKCYIQNFEIEQMKTNYQEVETSRKQLIQEL RQKIDVLIKDNEENNEIIQKINTEIVNQKEIYEEIIERQNNTMELISEKFNQDMQELQCR QNQYFNQNDAYKKSLNPTKEQQWFKELIQSYHENVVQLAQNMQKQKQNNEKNKQLQQTIN QQKQQIKELSDKLNVLQTENQNLTKQVEKHKPKIPSLKKPTTTKQIQAEKQKCEEQVPIT LLLQQGKVAEVEVKSNQYKIQLKLAEQKIDQLKQANLIYESELKKVNQSNSHLQDLLKNR DQTISELQKQYKQENQKIINELNQVQNKFVPEDKVQALNEIITQKDSIINQLKDEIQRKK DVADQFRGDREDQKQVVEKLKKQNEDLQTENDKLKRVNKDNERQNSNFKEFKEKYDQMKV QEKQVQDEIKNLQEKNKQLKQDNTRKDTNIKELKDKIDQLSNQKPNDAEKQDLLQQVKKL KEEINRKDQAIKHFRQKFDEKSNEFEIYKNDYASKYAQTVSELEKEMRKNEQGKIGSKKY ENQVNMLIFLLKRIYRENYMELNKGNQAKVCIKGENKPKNISKFSESMNILNLGPEDLEE FLEPNISVSTVKKSNNKDLDRFETLFMNPDQLDVNQIFNMINNVITERINCS >CAK90798 pep:novel supercontig:GCA_000165425.1:CT868660:446954:449058:-1 gene:GSPATT00003663001 transcript:CAK90798 MFAITKQALFRQQAMKLMNRFAVVANNKPEKGPSQGHLTDKYTVIDHTYDAVVVGAGGAG LRAAFGLVELGFKTACISKLFPTRSHTVAAQGGINAALGNMTEDDWRWHAYDTIKGSDWL GDQDAITYMCKEAPKAVYELESYGLPFSRTPEGKIYQRAFGGQSLKFGTGGQAYRCCAVA DLDTLFGRALGYDCIFFVEYFALDLMMDEQGACRGVVCMSMADGSIHRIRAGYTVIATGG YGRAFQSCTSAHTCTGDGGGMTIRAGLPMEDLEFVQFHPTGIYGSGCLMTEGCRGEGGIL RNSLGERFMERYAPTAKDLASRDVVSRAMTKEILEGRGVGPEKDHIYLHLNHLPPELLHE RLPVDVTKEPAPVLPTVHYNMGGIPTNFKTEVLNQVNGKDQIVPGLLAAGEAACASVHGA NRLGANSLLDIVVFGRQAANLVGEKWKPGQKQPDLPKNAGEAAIARIDRLRHHEGSQTIA QVRKDLQRTMQKHAAVFRIEKTLQEGVEKVKEIYSRKDDVRIKDKGLVWNSDLVEGLELE NLLLQGKMTIEGALNRKESRGAHARDDFPDRDDKNWMKHTLARIQDTKLGDVQLTYRDVI TKTQDPKEFDTVPPKKRVY >CAK90799 pep:novel supercontig:GCA_000165425.1:CT868660:449708:452897:-1 gene:GSPATT00003664001 transcript:CAK90799 MFEMQEDQSDVSIAQIKDSNHLKLSKRQSFNSDTILQWNCLQENLQLQYNLLNKENETKN ERIQILLNTVEKYYKTQLRYKDLRRLYNQQQTNNYNQIQCLLGYKFENVHFEIQKFLFLL MENQELFAQLIHSSLDIGAHNLDEFIFDILTFLYDPIVGAGLQEGVFKLLSELLKLELIN LKNPLELFQDKTNLVCKFLIGFSKGPLCQSYIQQICGQALNLLMNVKEELELNPKIIYEQ QLKLKESKESAKLLKLKQENKARKIMFNILPILMQGTQENKDINVYENVRRTKIPDSLLN EIIEQISPSIQSYCQTPLQRLVDPQLVEETAKILYDRRKVTEMIVNSICDNIINSLHNLP FIMRALFRLQYQIFKVLYQSYKQEELFSLLIQFLINKWVLPEFTAMFLNQQQLESSQYQT NNIAVVSKILLNVWKGEIIEGLKITLTSENVLNYFKGLIELPQTQLNFYIKEKTDKPFIS YLLSISDLQILLNSISKSRIDGSLGGKQLTRYTDLRELAENIMRYNLKLGSDYMNNVVQW KGQKVPANNMHFAVHRLSDLYNVNYIDIAFKFTEKFSAQDKEELLFISKAKQVVAQFLIS IERISLLAKLRNNIILSFDNLIYMYKDSYENKGQVIKQYENISPQLYANYLLSIYKMIPN QYTSNNLSLLCQEMIQEFDQKVTYAKNQQKAMLEAFLKIITQLKTERNEIKSLNKMLKTI ELKKKLTHFLKNIKIPCLLNFRHGQMNLIPIQDSSKTKSLDPKDNEKQQFLQIVNGADLN QLQLELPFQSIRDFIDILSGLKHLQLALEGDQDYIEKVSKLFQNYMKLVEEKLQQQSFFP QNQNLKKNNQYVDLCFETVEKSIVRRIMMKYCTINEIKEDQHFIEQCQQKLKHLEEQQEV KICLSELPMLQKMSESFRQIDQVMTPIEKLQMISDCLSNTTQLLKISGIDDNPGQDGTLP VFIYLVAQACPKFMKTNLNIIQSLFNFDRWKNSTYPFSSTQLQGAYENIIN >CAK90800 pep:novel supercontig:GCA_000165425.1:CT868660:453514:454741:1 gene:GSPATT00003665001 transcript:CAK90800 MLLTRENIEFYTQRRKQYCEDFLKLTNQFKPKLELLQKKLIASKQGIDGPSKSIPHQQKI KKVNKVLASLSKSKEVQSKIDKEMIRCSCQYKLDEVPNCNFNYSQTCNKIQQAMIPLIQN LQIQIKDKQEEIIGDQDCADLYIKRDEFQKMKHKSKRLQQIIEKLQIMELKQEEKVYRES IKKLEKFDQAEDYDETLPYSKKALMSKRKLEAQILLDEERNQRKQFENERIQEAIEEQKQ KYQSLDNKIKTHYRKMGLQKRKLESMSDLREYINDCRTNSQIKLDLQNNQKQQQLKSILN ADKKFSITLFSTQMNSQNITPIAGELNGLTAPIISNVNTQRTIINNFGKKTSQNFNKIKR RYHTLGQRLENGSNFDFQDNKLILL >CAK90801 pep:novel supercontig:GCA_000165425.1:CT868660:454742:456265:-1 gene:GSPATT00003666001 transcript:CAK90801 MQKSQLKYAKTPQYLRRSSLNSQNSSSPKKKANQTQDQMTGSQLQLEMSKSQQSFRSSNS PVGHCQSVSSKTFPYPPSPTRTLQKRPSQIISKQQLQSMHERSIIKMLGLKMEAICTKTS HEKNKMVFICQYPNCEADNRLGCSYCQIEQHGNHASQNMEIQTFCKIFDDRRQQFDDLCN QIMRVPDKITQVRTFFEKLRINVQERLQQIEDNVIKSIDEELSWQPLERDLQNRVNYLSS KNIFDMTQEELTESLSFIQGKHLSEVEYIINESEKYLTSKGDQVQQSWNDFREQLEFELN TALGDNNKIQIIDSKQRKEYAQQKLQILAQKQEKYLEQFKPFQLIMNEVQEEERKIEVQK QEEAKKQTEIQKQQLIQIKKEQKQKELEQIKLKEELKKKEKEIEREKQLRTFPHTLYSQD CPHRLPCNTIPIFSCCNKAYPCTQCHGYQFHPPRISIPSYRYCMNCLEIYLVVFPTNQSV NCLKCQIQQS >CAK90802 pep:novel supercontig:GCA_000165425.1:CT868660:456293:459875:1 gene:GSPATT00003667001 transcript:CAK90802 MKQTKEESVKLYLDFYDKYTWPLEIAETLLEVRQYLTESTILSLFQNFYFSHKGQRINEY SEFSIPDGGKLQVLLDEFNERTARFHLRRVQEIIANLSHYQYLCKGKNQNEDSLSLKEIG DLQLNDILQPAIKPTLLLNDDNKAIKQNVQQLGLSSFNPPPLSRKLKGDLFYIVLKTIES VELIITASNLGFYVNSSQGRTFESTQKSDCYYNLIDLIVDHSPSFKKELINAIPEQQEPA LPATIKYNHKWLSVQEVPLTLDHQRSESWALDLHGFDVSSLRDWNEELQVFRSLPKMDLI QKLNRDKALSKVYGDFVEAAVRGACAVVDKIIQPLNPMDIECQQVYVYNQIFFSFAMETP EDFRQESGPDATPTVSTTNCDFRNLQILHKLDIPGLSVLNTCLVDYKGRRVIAQSIIPGI LNSDHSNCTQYGSIDDGKTIQKSEEFHKVMSKTCEFFHLETDIVFLDGNQQKYSMAGSIE VKGIMGSDKRMYLLDLLRLSPRDYNYQGDNNQCCVLRYELLQNYWVVNTIQKIKQNNSDD PKTQQEAYQNIQNLLIGNPKEGLKLNPALGTKTILQSSEKLTQQEEDLKKLATFLLNQAI PQLIQELSQPETSRHSDLSISDIFHSHGVNMRYLGKVISFIRSEEQPSLRLTLERVVFAK TLKHIFRESMRKAPQNQLSQVLCHLLNIIFSNSNPSVANNKQEDKKKKKKNKNKSTQNGD KPHFKCLIQANNYQYPTYQEVWDQINKIAEARYQHKIDSSILNKKGFHKLSCLRELCQQI GLQLVARDYHDFQPSDIVGIQPIIKFIEQVSEDAKNNIEIGQKYMLEHQNLHQALESYLT ASQIILNLHGQMHKELANCYSKISAVYLRKQEYDAAIHFQKQAIQIYTTIYGYDHPLTVQ AITALSLYYFSTKNYKEAFNHMLHTLYLANLIGGEGQEVFNQYTNLSLLYSESGQHQSAL NCLFEGLEKCESLFKSFQGQETQQYKLRVSGYYSAIALEHGEIGDFQKAVEFQERASDLL KRTLKPEDTRVKEADALLANLKKALNEKRKDTQQSNLDSRRQFGTNRNQQLKTEQQTQQI SEETYIESDKEREALLQKLRAAKQSMKFKNKNQMDINRYILAQQLYRQQQQQQKE >CAK90803 pep:novel supercontig:GCA_000165425.1:CT868660:459931:461074:-1 gene:GSPATT00003668001 transcript:CAK90803 MINSKNLQNDRDFQKQPIPQFPNIHRGLADQQNLVENFEYQEKEIGSPEFDLVDYEDDLH DKLSKLNQESVYNIGKTKISSIQQNQFMRAKKRETTSRSSPHFLQFNQKSDIILQQKKLC KRDGSIIGYIPCLLIKYENSSNIIVYFHGNAEDITQSYAFLIHLRNQEKISVLAVEYPGY GKYNNVQTSAEAIQNDADYVYNYLTKKIGYEENSIMIFGRSIGSGPATYLASKHKPGCLV LMSPFTSLKDAVRDYIRFVGTWVQHLIRQRFNNLQNINDVTSPTFILHGKKDDMIPYQQA QRLQENCQAQICILHLAEDMDHISYKLHSDLIIPLMQFLRKINFYQVYFKSPKVPTNLYQ NPQG >CAK90804 pep:novel supercontig:GCA_000165425.1:CT868660:461892:463162:1 gene:GSPATT00003669001 transcript:CAK90804 MIKASEFLLSQNQALLANFSELRADPNHAKPMIQFMKGDPTEFGHEHCKMSQIGYDIVKS EIPKSQNHSYCHSTGTQPAKQAVAKHFGHGKNITENEVIITQGVNQGLFYCLLGICDPGQ NILVPEIGFPFFDGIAQAYQVEVRKYKLQSDNNWQIDFEDLNSKLDVNTKFLYVINPSNP CGSVFSKEHVQEIINWANQNHVLIVADEIYYGMSFGDFVSFGELADEGPIICLGGMDKLF FTPGWQVSWMIFYDKNNHADAIKQAMFNLCQLLLHANVFVMNSLPQILDQLTIFYARDKM IHFKENHDFLIQELNQIRGFKCIPAQGTIYLAVLIDLEVLKVKNDTEFAKKLLLDQNLML LPLSWNGTDKYQGVRMLTIATKDVYIEMIARLKEFVSRL >CAK90805 pep:novel supercontig:GCA_000165425.1:CT868660:463467:464892:-1 gene:GSPATT00003670001 transcript:CAK90805 MYIQLYAIPGQIILGMFAQFIYEQGVLYFLCIMAVISSLYACMYTSSDQLFPKSLWMIIP FQVVLTILNFDMVSVGIIPYLILTDLINSDIIKRLPKKPIPPPRSSYNAQIDNHHSIHIQ NVIKQNAQSLKKYEYFHIGCITLCYIAIPKYNYTAQLLNTAFLQYYYKSDYNTTLFKIVI SSIKFMLCLLNFDIRAMLTVAILPNFINEQKIYSYSFLAEQFIITYLDYEYGIYHNLIIF LSFYIFDSQNHNTKQLTLLYIPLLIYAFEAQTEIYIYTYIVISLVYLALLIIEQEQQVTY LRYILVVVGLFITQKWYKDQIIRYYKLLLMLFLFDTEMNFNIKLYVQAFAGKQIFDLLIS YSPLLLHQLFQYKYNLENFNITVCLLSIVTLFITQHIHQQNLIFSRLLQIYVKNQQIIKL LSLPIWPVLLYYDRSTDSLVVAFINVIQIIAQFYKQE >CAK90806 pep:novel supercontig:GCA_000165425.1:CT868660:464906:465294:-1 gene:GSPATT00003671001 transcript:CAK90806 MSKGFSLNFQNANVMTFEQENDLFAGQSIKLKFQLGETYYDLEFKVGQDVEWAKKCVAEK IDCGRNDFDLFFQGKIMPEFFSLNDIQNLKDQSIIEIRMKK >CAK90807 pep:novel supercontig:GCA_000165425.1:CT868660:465356:465781:-1 gene:GSPATT00003672001 transcript:CAK90807 MKNYLIGIDDGDSQCANEQCYWKTQYIDPAYEFSSQKKQLKQHLKATQQNNRFELVENGQ QILRRKIKKNGKMKKSVPEVNLHLKGLLEQFINESIQQANKGFIFRQLQNCQEIKSTIDK MERFLIRMKSLLLSQMISCQQ >CAK90808 pep:novel supercontig:GCA_000165425.1:CT868660:466992:468829:1 gene:GSPATT00003673001 transcript:CAK90808 MSSQSISSSDLSDSDEMTFIKSDQERILKNSNILKNDNNESKSCQRHPNKKAKFYVQQNS GQLFCSKCALNLALQGLQIEETQEGELEMQRKDKINNFQKDLHQALQQCEQQLVNLNDTR DKLIRQWEEQNINCEEFFNVVQKTINQLRQTYQQKFQNDYTSSQNQVQEQVENIKQLIQQ LKQFSIDIFTNHDNIVKKMEMKPFDEIMLKYQKKVDEVNCQLFNNQYQVSLKQLNIDQNQ ILTFMNKMCYNLLLKNDTFEYHQKTMTSQQNFECNLSNNQKYLELIENEEIHNPISTMNN MQLQSPIRSQFSKFDSINSNPVRSNANTPESWKQKPSLRRDNITPSDKISYQNSSRVLEQ VSSKKALQKQLIDKYSYQYNEKQKTTTDYERISEKSCSILNNNLSCFQIQKFLKEAERGS LEDRQLTPKQKVIPASKSIIYSQNYNNQIKNNSNQRSQQNLIQNYIHKQQYGSVPNLKQA SQQQQQSDPVQFHRHQEGHLRSKSKQIKPQSIEQDSKRQFIMNAGVNSVTLLQNEDTLKD KILKELCSHPTESIYGQVLKNHQQKLKNYRPTSKENIEWTSQKNRTIGQNTNKKLL >CAK90809 pep:novel supercontig:GCA_000165425.1:CT868660:469724:470373:1 gene:GSPATT00003674001 transcript:CAK90809 MLSLIKMKEFSISFRNKCQGRKFDNDAAVQGYYIKIRFYAAQVVLFLEYLHSNRILYRSL NSQHLMIGSDDYLRQIVFILQYYTKEKEQLHLQGIQSILLQKFQIIQDTHSPLIGGHWEL RPFERGEDDLFDLLRKIQYGQYKMHNYFSKQIINSLSKNLIYNLLQVKPWDRVGNLRGGI NDIQNHMVQEY >CAK90810 pep:novel supercontig:GCA_000165425.1:CT868660:471222:473171:1 gene:GSPATT00003675001 transcript:CAK90810 MKQEALSNSVAQISGNSQSTQMLEEIEKYNCYWKQEIILSDCEEQFIQIASDDERDCAAT INFGKAVNIQQADINQITEILNYLDTPQLKMTSLQSPQNVLNYFLPSSFVSTLTEMINHY LLTVLQEDLKQQQQQTQQIYHKKKYKEAEIQFYLGLQILFGVYRFPQLDDYWNAESWLRG GVEVTMPIGRFKFVDLHIFSYFDEVQRAKLQLEVRKFSKKLKALYNPDQELIVVEQNQKA YNAYYIFDYDSSQIIDLLVVCNNVKNEDRINRVMRMLYKYSNQNHVTYIMFYLSLERIIQ LVDQSIFPVIRYKNITHNLIQMLQDGDYQLDQLFLVKQGTQVDVFPQRRLISSRHYMTSY EKIQEQLQEHIINLQQFRSNFYQSSQLNNTESFHQLRIEFEEYFEIMIHNTFLLIQQTSP SQYRHDLAKVLLNEKGQQIERDRNEAKRKLNVTYKENSSNTDHLSFGLQRQYADQFHTPI PQKQEDTFKYCLVCMKFEGLTKPYYRCQLCEKILNVNKIFLCPFPCFELFHKNPSDFVDC DMKMLEPLGDGVYFKNESTMQLVSNGQEEDASGKKRKYNKPPPRTYWSFNKEIYRQANIN DDQNLNDILNTFKKPQATCSFTQFAIAYCPLNKIVIEINFGAQKGYERY >CAK90811 pep:novel supercontig:GCA_000165425.1:CT868660:473212:473433:1 gene:GSPATT00003676001 transcript:CAK90811 MKEMQERDKQLQEQYFQQQYEKQLQRELETKKSKKAQKESEKKKQLEEQLKNVSPLEKFM EQINRRAKVEDVE >CAK90812 pep:novel supercontig:GCA_000165425.1:CT868660:473463:473894:-1 gene:GSPATT00003677001 transcript:CAK90812 MQSSQEKLPYLQINNRRSCSMANSNPAFKKLKDTLIVENQSSRSYLNRKQGDILDSILED ANLIINNKLLEQNVYKLKELGVLKQYDPTVAVQSGLKKSNYVLNDYHSKSTTNGYSRNYG GLFYNR >CAK90813 pep:novel supercontig:GCA_000165425.1:CT868660:473913:476561:-1 gene:GSPATT00003678001 transcript:CAK90813 MLDQSEAYKIEDKLLLTKQVNTSGNAFQSMRAKIKPFKTRNNINNLLQFIITSQKMFLEK NIIMSQKTGQVWGKVNKSKFARLKRKLTRKWREIKKSKIAQKITKSIKLIPIINPNNEFK LIWDIFLAFIRFYLLFAIPYETAFNNKLLYNQLLWTLQVSTSLLIFDILLNFITSFYEKG YLITNHYKVARNYIRNGFIYDIFCVVTLVLVLAQEISEQNNYIDYKNQSLREYNYVRSVI SILGIIIQLQNIIRVFQRLQNSQDYSETTSLLLDLIKLVMFLFLLEHLFSCIWYFVGIQE IAQDSWIKSNGLTDAPYTDQYITAFYFSSVTMFTVGYGDVVPKNIYERLVTIGFMVCSTL QLSYTVSAIWNVYSKLNEKSEDHIRKMRAINTYMKSVNISNQLKYEIREYLTFYWKEQKL EENLEVKDIIGQLSQDLQENLIFEANSIIVKGCKLFNDYFSHEFKAECLKHVQSITLTPC KTVPFDQPCLFFIERGSIGTYFRNNRLRLATLQAGDNFGLREFMFDDIPSLRYTSVAFSK LVFITKKDFMKVLSQYSEDHEQYCQLKEQLIFNKSYFGMDCYSCKSLQHTIQQCPLLTFN PDKDAVIKKHQYPQQQNRKFYKRKHERKRRAVFKELKQNDNLDKIQLTIPTIESSDSSEF EMKESWKATHSNYFQQMQNMTKKQFSNRQALNIKQIRQKLHHPNQINQPLELHTLREFDD DNLRESDKILLGDLRLKLNQRANLEIDCIKNFKYYYPQFNSAWLFKTTKINLKFFEQWKQ LSLFLTFPYEFIKKYRQYILRDNQIISPQKEAENHKKKKRATALYFKPISAK >CAK90814 pep:novel supercontig:GCA_000165425.1:CT868660:476621:479700:-1 gene:GSPATT00003679001 transcript:CAK90814 MFIIFLIQAIYSLNAENFLCGLRLFYEEQTFFLIDQQNQNTMPYILTSQSPHFALDIQQS YKSSPSLSNNSYFLVGFTDAYIFAGDASEIQWLSIQEFKVKQNRTTASVHLYNGYNGYFV DKEEDGGHLLISKENLVREFSFGKTDAVDKFEKMGFIYIVSWGGKGDAMLILKRFVHFIS QSEDQEYCQQKVASISLEQIKCNLDNNFERLTFQTPDLYHPPIHYHLGNQIINGTLDNEY FTKNYPGLQVFVAQNLNNKVLELRIPIQNMETHQQTIDYPQSGLIVTLQQENRGFIHILI FESEDGIVINYKEDDNLAYITIGMTDSKVFVFSFVTSQFAKFPSSDLIEHVFQDCIFIIH QRNNVCENPQQQCSDVSLDFFKQLPFQLQSDQIIKFKSNEQFQDTPFVEMFDNTAVVQND FTVLFTSEINNREMNHGGYIGSYLRITYKQQSINSLIINEQNENLEAFYSINDNVCKFIL YTLDSHQIQNIIGRTYVLVFTSQNEFTDMQCLQLFREFIDHIQKTFILDQIQDHCEAQQK YYDVKPIQYKEIHKRLKQPTELIQKVEEDQFDQDDLEYSTDEDENIQKDDEINQEQPGSV SEAFLMPEDSHPQPQQNMDETEKQNSIIQQPIQKEEQDYEKSQDQVQKLQQHQQQQQLQQ QQQLQQQQQQQQQQQQLYQQQQQQQQQQQQQQQQQQQQQQQQQQQYQPKQIYSSKKEQLD QEERQKEIQQSKQNVQKQQSNAQESQNFRKQQQLQKIDAEILKEEIQQKLQVRNEEQYHQ QEKSDSQNQQFQKEGVRYLNTGYYQKDYGRRDQHHGREDDYSGRHSGRRGPPLTGQSVTI PKNPNDQELGRFLREVISKLYIYSDPCDAKSSNYSPDNCNADNQYGSSSIKRTEPRQRDF YRSAYKYDNRYEYRACITVYSKCQFQGESLQLCGHQRNIPKAQLYLDILSIRADENYIVE FYMKGRDGRSELYTLKGTQKCLKSPLRVDWLLQ >CAK90815 pep:novel supercontig:GCA_000165425.1:CT868660:479977:481572:1 gene:GSPATT00003680001 transcript:CAK90815 MNQFWNSKLNTLGYVRNYHSDFGSSNIINHTLYHKYASSQNYYFTKEINDILSKNRTPAT IKFYDDIQYLENEECMSRVYDIDDYQSKIQLLVEFYKYHHDLPRFTVEEEIIQILNLYYD KKRKLEFYKIQRQIEYENQKNPDKPQKGIVGDQPIESQSTPQSDINGDSTINNNVENILQ DVLIQQKNQNQISQQDISQITKFQDDQKSEIQQMLDIFNQGNQKNIQKYSFQKNSSPSFN QLHKLNEQMLTQSNQKQYTLYQQNVRIPLTTRHPSSSLSRNLKTSSHEKKLDNNFAYLFN KCPQRKRQTMENKNEIKKEFKKSVPNIKSLGLRILACLNQIEKYKQNTKCISSLDNKEIQ KIIFSVHSPAYSSNMNPYKGSSTHSIKISQQVNTQDDYVINQSPINFHTTQTPRSNQDGS KLVKRHFLEQKQKDNLKKLMVYKKDNNIKTQKDLRLIPKLNLNRVNNLNVNSCCNTLRIN GLNQNSTNQLTPKSFTFRSRINNIINQQIKTIQNNYVKNSVKQ >CAK90816 pep:novel supercontig:GCA_000165425.1:CT868660:482657:484186:1 gene:GSPATT00003681001 transcript:CAK90816 MGSCSSRQMKQQMKAKIQESKQKQSQSATPNRQTTKINSIRSQSRHNTHTAIQSQNDLNQ LGYSLKNINFFLIYRNTNFSSFYSLLKKEDKDNPFTTIQHNNAGTVRIIKSYKSTDSKYI AQLLKYQLHHPNLIQQFEIYEEQQKYHVAEENNNKIVVLNQKTFNDEKEIAFIFNQIVEV IEYLHHQNLTHGHLTMECFALFGDQYIKLYDLFHLFMKKKPTLEETHYLPPEYFDNQEYS EERDIWSLGIILYNLLYHNSPYESNIASLLKFDIKASNISYQNKISEEAISLLQQILEKN PKKRIRISEITKHPWFKKQQVFLDDDHIRETLQRLRLSKKLNILQVYLLKFIINNYPPDK LREIYSVFRSLDLDNDGFFSISELITSYTEYVEDSENTKIICMNIFQKVDMDKDKKITFQ EFILYAFDRKELIEEEVITTSFKLLKNKKNFITAETLAVQYTLDKEYFTDMMNEHLKKDY ITLKSFKELMNKIV >CAK90817 pep:novel supercontig:GCA_000165425.1:CT868660:484323:485537:-1 gene:GSPATT00003682001 transcript:CAK90817 MWQLTNDSYLKFTTLPEILKRPDAKTKQISIDNKIIQEDQTENTEIRPKKVHMKPRLPVL SNLLLKPKQAPTSPRQNKEENKVIKKQRMEPVKSVVQIRSNSNAPQMVKKLTSEKLDIKE SPLIELKPQKKLVTLDEYEIQEVIGQGSYAIVRKGVHKVHGEVVAIKVYSKERLYDPQRA RAVAKEIQILRQCNHKNIIRLIKVVESNKNINLIMEYGGDQSLKKVKNLSEFEIQLVFFQ LLKAVSYLHNKKIVHRDIKLDNILINAQKEIKLIDFGFATEVDGYINTTYGTPSYMSPEM LPPNPRYNEITDIWSCGVVLYALLFNKFPFSGHTEKELQMKIKKQDLHIQSADEEIVEVL IGCLERDVDKRKTADQLLKTLWMICLD >CAK90818 pep:novel supercontig:GCA_000165425.1:CT868660:486141:488261:-1 gene:GSPATT00003683001 transcript:CAK90818 MTDKLQTYANREENLVSTDNRAEFSLGKFTPIELEWDKLIINATIKVGKVSTQKCLLNNL KGVMKPAHFTAILGPSGSGKTTLLNFLSGRLISDNLQISGELMLNGKRIDDIDKFNDQMA YVMQDDILLATFSPREAFYFSANMRLTISQEEKHQRVEALIRELGITKCADTRVGNTQIR GVSGGERKRASIGVELLTNPSLIFLDEPTTGLDSSTALQVIDLLKRLAKNGRTIVSTIHQ PSSEIFNNFDRLMLLVRGNIIYQGDAEQAINYFGNMGFQCPNFSNPSDYFMKLMNEEGLL VEKIQAGESDDFDEAKIKEEFEERLKQFINNYNSSNMIRELQSSESAVIKENDTGFHIGF IQQFVLIYQRSFLNEIRNPMDVKLKIFQSIVNAIMLMLVYSDLGNYNEGLQNRFGALFFI CTANAFGGIQGALHTFSMERPLFLRERINKTYSVHSFFWARSLAEFPFQILYPSLCVIIV YYVIGLSDINVGKFFMLILIQFLTYQYAVSYGLLLSTIIPKIEVATALVPALVIPFMILG GFFVNQDNIPYIFYPFTYLSMFKYGFEASVINEFDDVIYECMPGQQCNPVEMLSITLSKW ECCYVLIGLAVGIRLFAYLALHLISSPEKPKLRSPESMQIVNNQN >CAK90819 pep:novel supercontig:GCA_000165425.1:CT868660:488771:491168:1 gene:GSPATT00003684001 transcript:CAK90819 MNSNTLNKSRRLYNTCDSNSQLRTILLASLAKLHSQSTAQTGYSELRTLIAQLQQNQFVI LTNLLDSNDISKQAKKDYIKVFGVLAEIRGSDIQEQLPRIVQIINKRIQEGDSTFIQVIS DTFANINEFTIQTSPNKYDLFQSITELLQANFVHNNRVAQQISAQSLCRIIQTTDQQLME MIFKTYTQKTLEILKSPHCKTQQGLLESLLSLILTVEGIFEPCLEDCVHTITNCLHSEEW NSRKFALDIIYSLSVIFPHYFRINEQFVNKIGELRFDKIKHVRDAAQVALSSLKDSRSLQ QQSAREHKSVFKSSANKGFFERTSDIQIIENRPREEEISKQKPTFADILVKNETHSFTLP NQQMGSDKKTSPVQMLADSNKNHSPQCSAFIQAAQPTYHFNISGYSNQKEKKYINQSQEI SKSQIQLATPPQKQIQDKQDLLKLYDSAKAKQNAIFEQILNQKLQISEQNTRSEMNLLNK RIDKIEQLLERMSETIDKKLNSQQNQQLQQQQQITSQKQKVVPVESYKDQFIPCQIHENQ KSLNLQNQNMFDSLNDAPNTKMQDIFFIQQKSQFNTESKLQESNFELNSGKISDAEGKFR ENHQRSTSEASPLRNKDQSILTTDSKQTQQQLSSPIIQNAKVIEQQKVMQKVEDQLDKNN INEAYCTALTSLDDQIIISTMMKTGPCTERLDSTQVEYLLHKLRTLDWIENALQHHLARM PAILLKSISTQLNNVQQTDQVKRIQDMIEKKKTQSQD >CAK90820 pep:novel supercontig:GCA_000165425.1:CT868660:491452:492876:-1 gene:GSPATT00003685001 transcript:CAK90820 MNYISFIDIFGVQFRQQISYHVKSQKSLIGGITSLIILIASFGYLAYILHEWISFNLLPK LTNTMMADQTSELLYFEDESLFEFAYWKYSNKQVDPFSQQNNIITPIGIYFDSDQESQKF SFLNQNEKLSNFGTKKYGLNQLRLSQSSKGINVRELMIVFVKCNPLYLSANQSCASETEI DSFFTSAVNYFQFELNLKQFNSQTQQIETFKKSYYFSLDKVIATQSQISFKQAQASIDNG ILFASLTKETFVQDAQILTTSSSLGLWQDLLEIDSYFTITLRLDPISNELHIVYPKIGEV LAQVGSIVNVLMMLKYIVSYYNEKILDKCFIDQVLSFYFTDYKQLHKSKADSDKKACSIL VEQAQKRLVYINIIYELSRIQMFLQHHFGRKKLQESHSLGILLKPPNTNLNQDFEQELIS NSQEHSDEENKAFLIKDFLLFSQPNLCLNQVENDQANKQNSKISPQQNFEQQQL >CAK90821 pep:novel supercontig:GCA_000165425.1:CT868660:493151:494589:-1 gene:GSPATT00003686001 transcript:CAK90821 MDNDGIVNQVDNAKVDLFPIPPQIPTNQIINQKIKINLDPKLDKYHQKRTTTINNTELNE LEPYIIVSVRSNKPTTLEPSTQYFINQDGLIGSPKTADSEDIIIGRSHVMDVNPLDIMLE KQKNISKIHCKLVCKDYFRKDPILKLIYTLALDSIRTQSNFPLPPRARFIISQFLDKPRH VYIQDLGSLGGTSLLINNKTPYIMKQDQIFCYGTDSNFKVNFCQSFNEEGKEIDDHFFWL LKKLNLLNNPKNEIHFNDPELHKKFWNIYQQQGDIEDSQSTTSEQKDIYDKLKEYQVSFI TIEFKSEDIKKNIQNIFLHLENQDSNDITIGRSTVNDFVIKINTVSRQQCRFQYLKNLKA WGIFDGTKDSSSINGTKVQLQTVQQKQNRQESDLIEVKDDAEINVPSIILKINMVKGRQF QINNNNDMKK >CAK90822 pep:novel supercontig:GCA_000165425.1:CT868660:494627:495391:1 gene:GSPATT00003687001 transcript:CAK90822 MGNLCKKEQNPSSSYRSSIYYEPRKGICIKENLIERYLFDRRQSLLVPSLSRQIIKEELG QETGTPKLDDSLLKGSHFTSCTSNQRESSITNNTIQLLNVNSQGNLHSHRIRYPNSRLNE VICCKDCGNDYDNWTVENVDDNLVKIYHPLTKCYLKTQQKSSNGQIDVGGGEQGDAWQIE KLNDNNIKIRHQETGYYLQTNLNQYKPEGQIIVSLLSIDSGKPDDTSTLWKVIEIQ >CAK90823 pep:novel supercontig:GCA_000165425.1:CT868660:495421:495808:-1 gene:GSPATT00003688001 transcript:CAK90823 MIKQIILILLVVFVIQARHQHLRHTKHQLGHNLTMKPGESCLPNTDLSGQKKEETSANQA RKHLTKFADHLTEENRKAEDKQPFPNIYTYQDQNCIGNGQDPSKRDRRMRRS >CAK90824 pep:novel supercontig:GCA_000165425.1:CT868660:496537:497674:1 gene:GSPATT00003689001 transcript:CAK90824 MFKVKILQLFDSFVLNTVGPYYRRIGKSLLSQGNDMLGSEASDDRLVQCLRQVQTNGQTP QISDALFTAPNSVLVGNVILKQNSSVWYGATLRADQNAITVGKNALIQDNVYVKATQPVT LGNNSYVGPNSNLQGCLIGDDAFIGMGSTIKQGASVQGIVAAGSLVPEGTQIKQGEVWAG SPAKYLRDITPQELQILREYKQELLELAQVHGEETSKNFRQVVIDTDERLIKQSRGTEEE ALQKIAELNFPLEYEDEEFIEQRVFMKQQPPMFMDSENLFSQQDQYEQDLSQFSENMQKY SEDYQIYEEAKKYFESNPQAKANQFQPKNEIPDEKPWSRKY >CAK90825 pep:novel supercontig:GCA_000165425.1:CT868660:498098:498735:-1 gene:GSPATT00003690001 transcript:CAK90825 MLQEFQIMISFLMIDQYKIINLILKCLNPQVHIEQFRGEQGTYEQMNRDTAFNDVKELIN ANWFCSSTLFKRQLTTKQEVEQHILNLYQVFFRINIFSNNSLIIVRPRFIYRLNQRWWSV PLKMIWQYGNVYNLNDTLFLQMITHLDLFLKNHLLEESSINSKSTLQQIYKMLLMHVWLL QKQIFWKSLNNSEMEKVAQEFRSLK >CAK90826 pep:novel supercontig:GCA_000165425.1:CT868660:498985:500612:-1 gene:GSPATT00003691001 transcript:CAK90826 MTDRKAWNQKEDIAILQLVKQYGIKKWTIVAEKMKEVYGLFGRSGKQCRERYHNHLDPTI NKEPWSENEERVIFVAHKEHGNKWAEIAKLLPGRTDNAIKNHFYSTLRRSLRRINKLIGD KNSNWHDYIFSKEKGTQQIKDIKPGVLSKIFILAEKNPSELKDDHMKRLCQACKGLQDSI LEFAQSKQKSQINQFNEDKFKQLIDKIMEFNALYTKQRESRLKLKKKNHKKRKSRIDDDD DDDDYTSDYKYEEISNYVPLKRSSRLNAKKKVVEFTSHFQHIDKEDYIDICIRTKKGPLF NIIRDEFEIQQDNEEQQSSNNYHQQYIYDYQGNNSPNNQQMTPAFPVLTPRQIFFQKPIN PYQEELGLDDNPLSKSNFVPIVITKPYTQCKEKTLDTIASQLQKKINANADKYIFNQQAT QDSDLEINIGEAFEIQKDYKSPTSKFGIGGYSPSAFRKYKKDQETGLVNFMVTPHNYK >CAK90827 pep:novel supercontig:GCA_000165425.1:CT868660:501481:502883:-1 gene:GSPATT00003692001 transcript:CAK90827 MFKNDKPVIIDDQYLLTSLYYTGRNSVYYNAVLTKDDQKSGDILVQIVTNQSQCYCRLYK KTSDNGITPKIHQFGILKVGETSYHYIVQQKAGPSFKLCFQLMKRKFSISTIALVALKMI THLQQLHSLNIIHRCLKLSNLISTLQSEIYFTHFEYSSKYMDKNGKILIKQKNKLNLYIN KFSSIGTHLQQFPCPRDDLESLFYILLHIITLGKFLHSQPNVERQEKLKYYYEIKQRFVP ERELKGFPEQFLQLYHGIRLLQPHEFPNYDQLKQPFFQLLGQHDLQLQFDWIPLLKPKNK NSRSPSPIRISKLKHAGSVGDMAFISQQKKDDSILDSVSPQKQGKPTMKHFVYSTNKNAT ERQLPAISEGKEGTSNSIFEKNQNQKKMGGLSIIICKQQVTSSSSSGNVSSMSDDQSEVD TKPLNGIISKLYLD >CAK90828 pep:novel supercontig:GCA_000165425.1:CT868660:503479:505566:1 gene:GSPATT00003693001 transcript:CAK90828 MLQNTAFSVGWLGSQPIISISLLRYLVKTDVAALYASQFFIRLWCIVAFLIAFIYKILSL QHPTKNSPHELAQPFRFSFSLVFTCAIFEFIQLALTIHALAYYSIVHYLALYQLFRAFFR SWINNVTPNTIFCSFLLMALVYLLEDWLVLIPGTAFQNKYLSIKKSFDWQPLIMVICAAA SHTLGIWIFKVLSMKMHQTQKAFIDRVSWSNYIADEPDKITYSLCVVNSLFSKYLEAQLR IHCETNSMQIDGLSQKELRSLIKDYFDNHLTYSFQYMEQFKIFNFNKEAIDDPAIYKEED TLLRRLIREKIYEIQLEHQRYKELFGSDKYLNLQFEILFEDYGIHEYIWDDETPYIQLII SFFEGIFCFCAAFYNHEYDDLFSNGYDVQMSHVSYYVWITIFLGVFQLLKIFSFKVMFLW NVQTYFFSGIFTELIVVYITMLWIEPVGYLQVLGLILSYAIMCVLYRSAEKVRDQKNKLF YLSFITFLIRVQKFTVREGSQIIQMMSLCAERLSLNEFLKVLAQILCTHGRYHFGESPFF QFQIPFIFQSQLRYENNIEDPYLPEFDDEHLLREEDKQTKFERYVIDSKYENEKQSVKDE VKQQVMEIRKLNKSKILQSNIQQSRIVQIDDHQE >CAK90829 pep:novel supercontig:GCA_000165425.1:CT868660:505568:506414:-1 gene:GSPATT00003694001 transcript:CAK90829 MHITTIQSANNTYCDKYYNIKGNSTLKTHIIYILNPFLLICKNYCILALFSVIVTAQEEL ILTKSEAHENSKRIYRLPTFLGRSSDDSIKEQLSSLLNYEEALKLTPSEAGEHTIKFVES MFIIDQPNPNAVRATEKPDYHLFENSVQQKKVYSNEKVTTFQDGCIYVFSECNFKGEHAK SCPGDNVTFFGLPFEVLSIHLPEGGSLSLIQENGTTAYTVTNKCMRSRPIEFLFVEGAIQ QSFGNGQIYIDQ >CAK90830 pep:novel supercontig:GCA_000165425.1:CT868660:506552:507892:1 gene:GSPATT00003695001 transcript:CAK90830 MSNRNQYNEEIQRKLIEIVDTYGGKKCKSWTQVARIFELQTGIKVQKTFDLKKKWEMFTN YKEDLTKSELKALYATGVRCRGHHKAGNEEFFKQTGKKLYVNQYRKLVGNFLLAAIKLLS QSYVNPKKVKCRQSGQERFHNRIGYVSIHILLRAVEINKYLDDYLVQELKRSAEKFQQLL LIHAEHHEDERIARFSHYHKVINRREFKKIQFFLDYVNELKQISINLYSDQVGRHPLLFP QIDQNKQSKIYELLIWGNDWQSKYNLFQECAAKSDWEEYSMLIKKTKKNDDNKQTKNKSQ QPQQQSSQIINPDSLEPETSKLKLNSYDLVSTATHKVCNNASKQQIKQLDKQELKSFRGH YYRDGQIRTKGLTTILFDTDMRSDEDDQDQCQNIEENRKEFFDKMEEIYDCVFGGKASLV SFEKSLQFPN >CAK90831 pep:novel supercontig:GCA_000165425.1:CT868660:508401:509701:1 gene:GSPATT00003696001 transcript:CAK90831 MADQLKQRPDGGKFLGFDHIHFWVGNAYQAASFYVTRFGFQYYAYRGLETGVRDVATHVL RNGEGVFFAFSSPYSPENVIMNTHQSQHGDGVRDVAFRVEDAKALYDKAIAKGAKSIRPP TEDKDENGSVVLASIQTYGDTIHTFVQRNDYKGFFLPGFTKHPKVDPINSLLPPVDFLVV DHVVGNQPEHEMVPVAEWYEKTLDFHRFWSVDDSILHTDYSSLQSIVVADYDEVIKMPIN EPAHGRKVSQIQEYVDYYTGSGVQHIALKTDNILKEVEAMRARGLDFLSIPDTYYDNLRK NIPHMNFKIAEDIDVIQKNKILVDYDDKGYLLQIFTKPLEDRPTLFIEIIQRRNHSGFGA GNFKSLFQSIELEQAIRGNLTDNPKFKAPY >CAK90832 pep:novel supercontig:GCA_000165425.1:CT868660:509729:510704:-1 gene:GSPATT00003697001 transcript:CAK90832 MQQVCNKFVMDMTDTRMGPEQKCRNCQFMKKEHSQSNSQQLPQTESGQNKISDIMKKFSG PNNQVQDKFQGAVKTSVQLKKQEEKIPPQSTANQTESQSQQQNFPIRKIDSQTLSNDTPV QNKNQPSLNKQPSNTSNQQSNAGNQQPQKQQAQIETAPVQTKLSNNPFLQNDKSQKKEVT FVKPAPKTGEESKQSQQTVQDKIGQQTEEKNNVVSEDQNQKSNFQEIKNAFAKQSPLIQN DSTAGSQQSPTKLQNGGNKLQGNQTNIPIFRFGPPPVNRPSIQQDPESCIEQQLLDRPTI QQKNKRTVQEFTDFN >CAK90833 pep:novel supercontig:GCA_000165425.1:CT868660:510949:511930:1 gene:GSPATT00003698001 transcript:CAK90833 MSEEEYSQFLFEKHDLSKFNRYEELIDFRGFRLPDDKNKTIYLLDENGGWCDLNGCYYNE NCQPSGWIVLSKDGKKFMRFNLNTEFIEEQQNIYYSNQQFDATRLEQNQQKHKNKNNNEQ EQQLNQKQKQRPKQENRKQQNQSNQSNQTNQEPQKKQNQHKQKDQDREKAQNQVHSEKTK EQEQQEQEDELYVEKKDQQLTNEVNNTSDDPKTNDRDANQRNQRDRKYNNKNRKNQKKDN QNQDNNESKKYYILNINDNTLSKEDFIKHLIEECKIKQENIIDYNENILKLAQDKDAIKL YRLNKKQQTDQAQKFIVST >CAK90834 pep:novel supercontig:GCA_000165425.1:CT868660:512130:513156:1 gene:GSPATT00003699001 transcript:CAK90834 MKSILTQASKIPKYYADFNTLQPKHYWDYENYKTQFGHQDDYEIYEKIGQGRYSEVFKGV SIRTHQNVIIKVLKPNLPEKINREILALKNLHKHPLITELLDVVQDYSSLRQSLIFKQEE CINLKDIRYYDSLKPIKFLIKCVLEALDYSHSKGIFHRDIKPHNILTNPSFSNFKLLDWG LAEFYHPNKEYNTRVASRYFKSPEILLDVRQYHHSIDSWGVGCLMAGMIFQKEPFFAGSS NDDQLLKIINVLGSDVLYEYLSKWKINMPPRYKSIPYSSPKRFEFYINKDNESLCTPEAI DLLKQLLIFDHQERMLPKDALQHPFFQ >CAK90835 pep:novel supercontig:GCA_000165425.1:CT868660:513259:514595:1 gene:GSPATT00003700001 transcript:CAK90835 MKQSKQTQNQAHDDQFSGSNTPNVHGLFLPQTLTMGQISEDRKGEDQRLKLIRASTDPYE AFKDDDFLYPTKEDEMSLWQKYSGDYIQGMPVLPTGKCSLFCNIVCCFYFLIFGLVFVGI AGSITEIKLNYGKECEGKQQCVVNFEIEKNTYGPFFLYYELNEFYTSHSDFAQSISPKQM KGYELTDEEYDVYCPDTQSFESLQRPVGFNKSYAGFMVDLNKKVSPCGVAAKFIFNDSFL LFDVNTDTATSLALNSTGIAFSVDLEYKYSRTQNSQFRQWLDLDDEKIINWFNIQSLPLV RKLYARYDNDLSKGQYSIVIQNNYPTDIFGGEKYLIVTTLSSFGSKNFSFGYLLIATAGI QLVSAIVVYIKHRIVEKREKHEQKIHADLKLKEKSD >CAK90836 pep:novel supercontig:GCA_000165425.1:CT868660:515393:516504:1 gene:GSPATT00003701001 transcript:CAK90836 MFSPPKVHPFEENYIQKVLMDIERSPLLQYETPQDLQLFKSQSLLCDDDQQSPFQAARNL PLQRFNSEVFHDFSGCQQQIIGDNNKFLKQRKFRPDLSKFSGDFEVLHQIGRGSKGVVYK VLSKVDGLYYAAKKVPLEDNIQTLTLDGQVQFNCCYQHQGFLYIIMEHCEYSLKQRLMQR VYESEIRQIIVDVCEALQNFPQPHLHIHGGNVLLSKQMKYKLSDYGYSNNTIHSAPEQIK SKLSDIYQLGILMMELMLEKEISQVSSLVLQKFDNLSYYSVSLKQQIKKMVSAIPDHRPD IQQLINFAKVNLDQELTLLQEQNAELQKQIDEVRPRGRKRIHSE >CAK90837 pep:novel supercontig:GCA_000165425.1:CT868660:516504:520367:1 gene:GSPATT00003702001 transcript:CAK90837 MFKSDRQKYNKERIFTINATGRAANEVFPTNFIKTSKYNIVTFLPLSLLGQFRRYANIYF LFIAIIQSFPIISPLNPISAIAPLVFVLGLSMIREAMEDISRHKSDNEVNAMECTKIVNN KQVKTTWADVKVGDIMYISENEMFPADIIVLSSQFESGVCYIETSSLDGEKNLKPKSAIK ETQTVFECKETQSQIQMNFNSNQQFKAQGNPPTPALGDFEGSIHFPNGSKKVLNGKQLLL RGAFLRNTKFIVGVIVYTGEDTKIMRNAEPSRIKQSTIENTMNKLILGILGIQIIACALS AILSSWWLHKSFSKHIYIIITEQNYTLLSAIAFFSFFLLYNTMIPISLVVSMEFVKVFQC YFINTDQDMYASKRGKYAKAQTSTINEELGQVEYVFSDKTGTLTCNQMEFKYCIIGDILY GKNEKNMAIPNHNNVQQDTTQFKHSVFNFQDSTLTGIIEDNAAYQNQSELPRKLIIQSND KNATLEIKTQKQLVHEYLMLLSSAHECIAQKDKNQQINYQGPSPDEITLVDAAMNLGYRF EGQSANEQEFTIKGKQKKVELLQQFEFDSNRKRMSVIIKDNGIYKLYIKGADSIIKARLR PDQPYLGFIQNKLSEFSSIGLRTLLMAMKILSEQEYLAFERQKDALASSEKREQEKEELA NNLEKDLYLLGATAVEDKLQDDVPETIADLLKANIKVWMLTGDKLETAENIAKSCRLIQH DFTIMKYSETDLNKLRVQLSENKLTYQACIKDGKKKSILVEGESLVTLTGNQQLKREFTK MAMGCDSVVCCRVTPKQKAEVVHLVKDLNKITVAIGDGANDVNMIQEAHIGIGLYGNEGM RAVQSSDYALGEFRFLWKLLLIHGNWSYIRISEMILYFFYKNMIFTVPQFLYSFYSAYSA QTYFDDWYITFYNLFFTSLPLIARATLDRSVYYKINVRNEEYTEIYQKSTQYLKGKFPLL YSVGQKQTIFTLSNFIFWWGQGFIHGVLVYFITYACFDTELVTVNGQNAGFATLSITAYT AIIFIVDFKIAIYTKFWTFINVITLLFLSIGIYIAYFFISNYFKGTYSEFTPAYLIQSPN FYLIIALLNVVVFIFDLVINTIIHEFYSTETDKIIKWRREFKQLARKGNVLQKIEMMQQG KRENGQRDWGDSFYEQILEERLKSDRDLNVIDEQQDILDSKVQQYVSSPQNLNYSEQTNR QFHQRVELQQIQHPLQNNNAQVTNYQMSQKQQQNFKNVNEDSRINHPQQQRIYVR >CAK90838 pep:novel supercontig:GCA_000165425.1:CT868660:520794:521694:-1 gene:GSPATT00003703001 transcript:CAK90838 MIAEIMPDYVKKYFPLILSFLVLVIYFTFAYFVFIQISKQTLIEWIYISIGTFSIVMLFW ALYRTSRIDPGFIPKDTLGEYDENKQRDYCLQCRIKRPERSHHCSKCKRCVLNMDHHCVW TANCIGLYNRKYFLLILFWGSIGIFSGTLLGLMNIEALWNRIWENDSLDFSKVKAAFIFL MTFSQFLNGLGLYYFFWTNFKLIALNICTLDQMILEIEAQTKRKYHTDLTIYNLGFWYNF TFYFGKNPLLWLIPIGRPIGDGYLWDKKASFREMAETTLQLTE >CAK90839 pep:novel supercontig:GCA_000165425.1:CT868660:521805:523514:-1 gene:GSPATT00003704001 transcript:CAK90839 MIINGVYINSQLNLQMKQNARNRFCDLVHAIKQRNPERCLIMILDSTSAKILSSMMKLKD LIDMGVSTIEKLELQRKPYPKHDAFYFITPSDDSVNRLINDFKEQQMYRKINVIFSYNLP QRLLEQICKSNLANQFNHHLYFLEENAFHFQIPQIHFDDLVQVVQLFLSSLPSMRPFQCV KLSTINTNQFAQLFTNYLPRLLENWERTNQIVKDDGGGELHFLILDRTFDLLTPLLHDFH YESLVVDLLPQTFSPFECEDSVYQKYRYKHIAYALEGIPQEFQKMVNTNPSALIHKGDFK ELDTQKMQEIMNSMPNYNSQLKDFTFHMNQIDQIWKQFETKGLKDLGELEQALATGTTKQ GNQTKSDQLYQEVLFMLQSKIIQDTDKIRLVLIILLTVQMPEYERKQILEKITDLKPFYG LQKLGFDFDKMKSNRITKNINKESRLLAKQKLSQMTLELQRHTPEIEKLLNDLLNENKFN TVTLYGQNSSQKYANAQQSLRSKKEEQVEQISVCIFILGGISHSEVCAIRNYYSNKLKQV FIGSTQILSPSQYLDQLRSLSQL >CAK90840 pep:novel supercontig:GCA_000165425.1:CT868660:523584:524543:-1 gene:GSPATT00003705001 transcript:CAK90840 MHVQYEENEKRSLICRGCAGRTLGQDSSKCCCQENKFVNVLKNHYVDGKNINQLKEMLDL TQGCENFCEEHGYLYEFIMTKKLLSEGESQFDSQELYCKMCIKQNQEKLQSYNIELLNDQ YCIMPEQNSQMNQNGQQQNDQSQAKSHSEQLRYEVNNVFEKMRIASQNEQQLKEKIVMAY QRKENIKYQVRSLSNINQQNQVNQLSKEDQENRDKMISQLQKLKDAIDLRIKAIAYLENG YHDYLHDDYLEGESVRTKIINEGYTIQVDEYGNHQEKGGELNTAKGYSIVDAIAYFDHIQ LI >CAK90841 pep:novel supercontig:GCA_000165425.1:CT868660:525401:526645:-1 gene:GSPATT00003706001 transcript:CAK90841 MDQAHKSIITEILPNTKRTLERRQKQLEEEQKKKREQETQQKEQAEKQKKFQQYLIEQER IKKQEEDRKKEEEKRSQEEIQQMNTLKGEYGNMMIDLATGDSKLDYTISGLDLRPTQIRV LVKVTENNNTLKGLSMSRKRIGDEEGQEIAASLEKNMVLERLELEGNHLGSKTLIAISKL LEFNKSIRVIDLENNNLTNHDKDRNTYDYSGIYALCEALEKNDTLLQLNVCNCKLDEKCG EALANALRDNTSLICLDITENPKMNLNDVRKCQEYLIRNKKIYDDERYREFQERQRIRNE DQTSTIQMQDKEKAITAQEGIEQRMNARRLELEQHWKEELEKEAKLKEKTVHNLMKQAKL LGKKKGKGGGAKKK >CAK90842 pep:novel supercontig:GCA_000165425.1:CT868660:526829:527434:-1 gene:GSPATT00003707001 transcript:CAK90842 MKNRFRKTHTIIDQIDGIKEIDDSTLKNQRNILITIIIQHLFVLWTCIYGYTYIYQMYLE LYLKYKITTLVVIIVILLNSDLFKHKLKKQPFSLILYVSFTLSLSFLFVIYDSNKDVQLL LYSNIFPILGLIVCSIFQENEFEYSSAFTWVLFSQMTFISCVIFLMGTAFENALICSSIS FAYVCILVYQFKV >CAK90843 pep:novel supercontig:GCA_000165425.1:CT868660:527483:528297:1 gene:GSPATT00003708001 transcript:CAK90843 MIFNSEISGQQQHTTSPVVTGGSVIALVYNGGVIVGTDTLCSYGSMAAFKNVQKIAQISK NTIYASSGEYSDFQQVVKELAKIDRSALQYDDGVHPSPKDYGNFLARLSYKKRCKINPLY LQNVIAGFHNGERYLGLIDIYGTYLESNFITTGFASYFCKAIISNYWNENCTLDQAKQVI RECFKVLFCRDCRAHDVIQLAYVDAQGAHIEESERVETKWDFNGFKSRANEKLHTQ >CAK90844 pep:novel supercontig:GCA_000165425.1:CT868660:528300:528590:-1 gene:GSPATT00003709001 transcript:CAK90844 MPVPNSSYPIPLRTVLKIAPVCMVLTTLGTLLPVCLYDILYHSHERIDKFFWRSSRFERF IRCRDMKLRTFWYEAMEWQPTGRESFISTRPQVSDP >CAK90845 pep:novel supercontig:GCA_000165425.1:CT868660:528815:530106:-1 gene:GSPATT00003710001 transcript:CAK90845 MYDHPQNGLMEAHSFNLDCLSQDIHQIKQTLQGWTTIFSQLMEITIETHKQNQCNVNPYS LFNKTSLLQQLMATQQRRHKHSQAKDQLKLSPIRPNKTEPDEVIKPENFSKIFNNNKKIS IMQLQGTPFDSAFESINKELEEPCREDQYLSVPKSMQMSVDLNKQKKNQHLELQQPKSAK NTYENKQFAFNTKVMRSQDIKTNKENHQHHSSMNKPDSKRTLDQPQLSTVVEENQSPCMS PNENNFRYSIAQSHRQYDQCSTAKTSQNSQRPLNYFQQQQLQSENNRSSSKSCYGNQQAQ ILSNNTNVINQQQQQNPQQSTQQNPFLNSLNNGSTKNIKQVQTSTSNIPFKQQFEIMNQI SNQRAKSYSEQNCKKQLSKRCLDIDLLDKQYETSQELIWKAQKTKK >CAK90846 pep:novel supercontig:GCA_000165425.1:CT868660:530126:532095:1 gene:GSPATT00003711001 transcript:CAK90846 MSNQQSGNEKSRSKSQKLQTMKVNDKNYILLDKYFYNPDDVIGEGAFGKVYRGFNFQAQN KENDKECAIKKMELPENMALMSDREKMTLDAVRKEICALKLLKHPNIVKLYDVKKLQHVI YMVMELCNEKSLSEFIKENKEITEPEIRYKFGEILNGFKYLRSKQIIHRDVKPENILIRK GVLKIADFGFAKQHNSKTQLHSYLGTRATIAPQVILGDYTDKCDIWSLGATLYFMCFKKY PYKEFYASEIKLMELMKRDFIEFPKTGMQVSQPLQQIIVQMMRYREEDRIDWSDLFACPL FQNKLLKEMGLNIFEYHEPQDDSVDINDVKIDDFDFEMNDHSEQEIERIYENANVVIDQA EKQRKNEDIFSKLISRLTFEKGKCMFLRLLSQRVKEYELTLANKLIFERSKVDISDHLKS LRFGLNKFELIINRGLVEWMEVNKNFKYYCCWDINNPIGVNDTDWENFLTEGLDREKIKK ALEKDLNITQQYVYSASQDQLQQFQSMNEKAFQKLNVNKEFMSSNFECNKNFFKVLYDLS LGLARLLFPEIVKIRNNLDSLHKVLPTLVLVDDLFILLTLDSVFSHTDSSSMNYTAFFEL RKKNYSEDQVMYKTMYQRVMRAYEVFFE >CAK90847 pep:novel supercontig:GCA_000165425.1:CT868660:532268:532613:-1 gene:GSPATT00003712001 transcript:CAK90847 MPKDARGKNRKWGNHKDRQFEQVNEGELIEQLKHQAEDDSEDDEEVEQQDQPQKVEQKEG GQEEQQQEKKKKKKKRVTAEGVPKPKKQKRNLDMPESEEEDESQYY >CAK90848 pep:novel supercontig:GCA_000165425.1:CT868660:532803:537668:-1 gene:GSPATT00003713001 transcript:CAK90848 MLQITQKLIKNYLDLMTASPKIQLWDSFFKGALLFQFILRTHFIFPKDGWNVWKYSDFKL NVPYILLSYLYFEISDAIKIILILVNITLFILSFFRVQVVFYYNNLLWKIFYIPQVAMLA YLSGSIELSIIGVIILFSILTFNLYFNRSTKFIHSNPFIRKFTQLTIINVILDTICLIDF EFHLFQQIMLLFQGVSLCLDVIIFKPYRLQYNKLAFQYNFLYSAIVIVNSISLITKSEEN MFYFTVLFGTLAYVLSYQLYDRKADVDSRNQFQILIQCQQFYDQEDILHYIKVMQHKNSC KMLHKNYNKVDIVICQLENKIIQSKRLKLDYEILELALIHFLCIHKAPLTALCRLKQYYN IHQDHSMFFKIIFPSLHNQLWTSVRKVQENINQIMKAGSYQDERILSTRDIYEAVQVRDN SIPMIISVIDYKLNYWRQLISLINNFKRLFQVTSTLSQKIIQCQRQLEKNYKCENIEDIE QPRTVIEVIILLIYYAIIVNDYQQAIKMQKVMNDILRTENLAEGKLLNGNIMENKICLLY SSIVKSQGQIVRINTQQISQFWGYENEMDFRDIKHINQLMPDFLASVHDQYLERFKKLGH SILFGKSRTVFLKGKNNFFIPADITIDNFFISYDDYIITAAFSKTKEKCLFLYFDSRGKI LGANQLMFKLLSSLDKSITQDQLSQGYIFQLIPKIFVLINAYKTQDSDVNLESKIVLKIG NPIKGQFQKLMKSSKGKSKHQMYYAGIWTTIDTHDYGQAGKEPQYNIDFNQLEQIKPDCE LFDEGYQERMLQYVDDSIQTTNYKINCQLEYLVLGYYKSIPLFTLEINDIVTQTKEENEE EIVSEDMIQDIELSDLNKSSHQVLSSIQNESFQKVKIEENDKLQQYPEVSLIRGKYDQSF FDQLQDSSRQILAPFSQRATFNLIKHKSVNDDSKYLEDDFKILKQQQELSYEQHNNADQF QYSKHKTVLDQLQEKQSSAGKNCQLNNIQDIQAVNSAGSIARRTKNHHHELLKQKSRSKV KPIQLSLILFIDLLIVLSIIMFNIFTILTLNTKKQNSNNLLVELQAPFIYNSYYCELTSH DIIFKLSQIQGIEFSQDLLDTIKSRFIKIEYLQNMSKFYQVFSEIEEEGNILNQNISLHY IHAPDNTNMTFTFFYNQIKIYFSLIIQFYQEYNVNNFDDYFFQQSLFETLNLNDSSQLFR LLLKQLIDKFYDHIDNNDELILNLFIIQTILQFSLILIQFLVLLDLLKIYRKIIHLNCRL YEKDVLIAIQRLQAVRDILFDKYSLNWKKADYIHIVYQPQTEQQTKNGKQNKTTLLSSRL QQQNFNIFTSSAFLLVLLLIILLVNIGGFLLNSQKQKQLKPSFLLTAEFHHFTLQVDSIL SNAMRIKSQNIILSNNTLTNKLNPRNLKQAINYFQDEKYQNLSDFKDLVDSFQQSQIQII QILIEDPNIDPSKAGILNEIFFQDLCPSICPNSSNIKDNCTYLYNKGIIGIYTKMSNFLT TSYNYELEMERIDPDSQASLEVLNSVDFNQIFGRYFTNTKMAFEKFGNEILNLTMGIIED NFEVILIYYSITGCCTLIIVGLALIYFAKMQQQQINLLRLSLTVIPLELIDQQAINILRQ L >CAK90849 pep:novel supercontig:GCA_000165425.1:CT868660:538068:540775:-1 gene:GSPATT00003714001 transcript:CAK90849 MKLSWLNKLKTFPSVVIWIYDISESEKLNSLLDNYNSLKQTLLLAKKFQPKIIIILTGRN VGGFDFNYLKKEIDLRKVFAVEFENIGQQLKRLKKMIVEDSQNFYLELFNRYKADASNLQ KQEMSDQKLILEIKKTLISEIENNSKKTNKHLQQSYEIVTQLASKRRELLTFTDENSFYL SYELLLQAEEYREIADQLRFKLFRNLEYQDVIQQFEIHFKTFKNIIQCNLFDIQEYLWRV KIFTSIIRFLESKILTVNYNVVYNYCQTTLLSYIKLQNLGEQQTNAQMEQYGCQIYKSEP EFLGRPNYVIRENDQIIEIKSEKKRFYYQQIMNFRQKEIELAPDVSFIFEIWKQYNDNGQ VTYQYTNVYFKYLQILIHKNFDLKQQIQDNLIQFGLIEEYKNLIYLQYQTAPLIKQIQLL SEILLYEQSKIIDIKKLASQLNEKLDIVVPANSFISISKLESDESFVQLKIEMNINQQIL DLIETGIIYCRNYEIEFIATQNSVLQTDKVIEKPVQIKLFGKYLDKLSVTIICQDVDLFS NKYKVSYLSQKQRDPPIINFSSDIAYINEINEIQVELSYSTEVELINFNLNKNTLQKFKE TDNKIIKTNKFTILISETQIQEKNISFRILGDIITKKIKFMFPFTYKIKLKQLSSVYQNA QNRNKNSVSSFSRCSLQLEIYDLVPEETEFVPVVDLDFYNKNKFNVIFQTREQTSYLQFG YFRVKYQRNSHRYEINVYVNDVIVQSYLQNVEILSPPTCKTQQTFEIQVKLKTSEAQNFF IQLKDQEKKQFFVMGKVKQMIWVNDEVILSYLLFPIDIGKCNLPGIQIEIRQPNNPIQLV FDSSGMKSILILP >CAK90850 pep:novel supercontig:GCA_000165425.1:CT868660:540840:540959:-1 gene:GSPATT00003715001 transcript:CAK90850 MDLITTNKSIVCILGDYKEKLKNQLSNFEGGMQQQIQYQ >CAK90851 pep:novel supercontig:GCA_000165425.1:CT868660:541036:541905:-1 gene:GSPATT00003716001 transcript:CAK90851 MQNQGQAQTRNKIPKDQYMAHNAQNKEQQKIFNLQDYFDWEKLKNIQSYLQQFIDQLSKI KGIPINVQQQCKDQLDNISKLIQDAQNKIHSLSKENEDTWQTKFKQQPFNQTPQNKGDSD PSQIQISSIIIQTEAEGKNIQQQDVVNVKHNQQNKPQGCIIDLLYAKNNQTDYQFMLYLL MEQNLKINKLSLQCLGNVNIKRSDLTTLSNKNKFDLLLNYQLEQIFSNIKRKTINNELTV INCSNSFCNFKCFENAQINNIDKIKIKSYCPICEQQSVGGIVLLLSQQQ >CAK90852 pep:novel supercontig:GCA_000165425.1:CT868660:542598:551438:1 gene:GSPATT00003717001 transcript:CAK90852 MQLRGGGVGCMKYGNAINTITPHNNQSQVEQQAPPPNLQNQIIFHSNRIAKNAVTAVDKK NDLMNSFQFFFIHERQLWEQAKHPNQQQENIDLILECLNQLLPALKIFIQSNHLYALFTS QLICSLIWVIFTFYSTKKERILKVQQQQQYLTEIEQIYERLEIESEGSKYQNNIEYELFV IRAVLFITPTDSQEGSEILSSFCQGAFSSLTSFTLDDKLIESLKKGVVYLYQQGVKYSRM KKLEIIFSLMSLKFDAMNKLEESKETNAQSSKSKVVAELLGKMYCNVVKESSDWEIWYCW IQTLSSLFQFKPVVQKLNLEESLKSEILNKDYVITTLGDQKILSLKYSIIQTDINSKKVF NSSTTLQELAQLQNFILNGYDQLSNYEQQYFIKLQALDEQKQNNQPQLPITLSRISQLLN QVQSLQSTFIEFNEIVMSQDFTDQQKLKQSEKLMKESQMKIIASLQEIEHLDTLKTIIQD SSQSRNSQSIYFDDYYQIQQTNCQIYLMRLADFEKDKYQKTSKSVDYIKIIEELIQSSKK DETINKTFEDFKKQQNDKGNIMNLQNSLKSLVCKKEKSLNFQQDDYLLGLIQAINLNQQW ISNLEWNLQNCIILQIYLRMLLQEQNNDRDSNLKESIIRIANQHISYQSLSQSIKFLYNQ YFNCECIFFKKFSRPEQKSKSNLEKLLITLLIDNFDAKNTNLSILSIHLFSERSSDKNVK FDLEISKMMEEEMDQSISYINQVQSALKMIIDYLQYEDGANKEVTDELGYLKDTKCINEV KQKLNENYINSQECLQKLQNYFEKFKQIFTSLETSIKDTDQGFYEYLNQKLNQLDQLIVL FTGLVELCIINKKDEELVKQIKEDIGEKLNQLIGQTYVKNDNLNIQLNNTIQDEIEFYKN IHSELEELRQKLEKQLNQVKDTEKELQQIQKKQKNVVTLEKLQKQNNSYDTVLKDTKDEI TQSLRKLQQEQTTIELDISKIKEKLSQIQKIQHKKDIESQLHFILVQIESKEKQCNYFEQ QYDKIKEEIVDILQNNKWRIRQVIIYELQQMKQFCLSENTINLSSGLLVKFSVFETNKKI RLLFNNQGGQESLKILSHYWPSQEQMIQNKIKEKIKELNDIAQKLTIETSTQLKIKYQKE YGRLEKEIQGILGNVENIGNQLEITILFFQDLKQDLLRIENQIKQLQEAMESINKDLKFL KGRSVKELFEMRMKRVLQQRLVYNSDNVYIQILTKEKILLEDKEDNETLLFTEDLFGNGE INEFIWKQQKDSLLIHGQAGSGKSTAARKIEEFLWLIYQKNKNQTDYIPLIPIFVSLPQL KDPIYCAIEETLRSDNYRFSERQVEELKEALEQKKYRLIIIMDSYDELKQQYIGMNLNLS NRISKWRCSSDKNKYPKVITTSRSELFTIKGYGSWFLSESNDPNYYKEVRLLKFTENQIK QYIQEYTFLSVKRIIKEFYFAAYQDQDYQEFENVYVDIIKTVGLLNIKQDKQQMLSQDII ESLILKCKHFVSTEHQKSLAQMLMEIWSSWKYENFIKLMGLEQVIETPFMVEIVMAVLPY VVKQRQEINNIKENFIKKYVYLSKYSDSLQKQALDEWQGIVNNQQFLTKFIQEFQISEQE KMIKQYFQNNQSFNIIEKALLLEPLSTYDFYIQFLEHYFKRQINKLRETGEQIDFDSIGN QLWEFAHKLANEMTFNNLSQVPFQPGGLVFKKEDKDWRDDFFNDDCQEGTFKRLFRKCIP IRQKSGIYSFNHKSLQEFLVAKWFIEQLVKLDVQEVKLELEAVKKDQQAVKQDQQGQEKP VKTDKDKQKQLVKYNFFQKSWDFDYMQGPIRFIINKINFNEELKQKLMNIIYFSRYHDDF IIGSSNSLYLLNLLGQQFIETDFQKIKIKQVSLNNANFFRCNFNYSIFNKVKLSGVNLNN TEIKTATWDILIDELPKIETNIGIANQIIYIEEENIFLVHDRIQVKQYNLNQFQEERKLN IDFKPKFIVLSNNQKLLAMINRNEALIFDITSNKAIQKLNFGDCFSEYKETITFGPDDQS IILGGSDGADELKLILQYENIPEVKQQNQNMKSKHAPKSNLKMKTNDDNNDEKDIMQEQI SIQQTQMNKMLKVQPDSIVALDQINSLTKEKVYELKCAKVVILQHYNKFSIYYQKETKKE LEQYDSKLSQLICSDVNRDSTLVAFGGDKGEIIVFQISSVDINFSLSGHKEQISQIQFST DGKQLVSCSCDKTIKLWNIQQKNLISQTAFILKPKVYSLCLVNNSNLALTGFADGLVQLW DLENSDSTIDANKGHQAEITCAIFSLDGSFIISGSADKMIKIWNTRSGLQEGQNLIKHKQ TILSLAISDDPQLLCSGSLDGDVYLWDFKSQKFLKQINLFGSQVCDIKIIKYNDGQRILT QTNECIVQLWQESLNEFYLLYNYTDVNKRCISGKESKEILLQSKNSHIPQQEFRNLAYDA IQQHKISSIGASIDGLVKLIGTNQGTLLIVRHDLRSEQKKPKDSEPISIIKTLSSKYFLT ISAQHIFVWKFSDFSVKESLLTENTIINDVLVNEDSFFCAGNLIEIWAISSQTKVQKKIF IPNATITSIGYDQKNTTIYAGLTNGNIVVIDQLTDVQKQIQHAHSKEITSIHWLKTRDML ITGGDDLTLKLWSSDLRVIKEVEIYDTLVSTFISSNEIYMIVQEQHQLSIWDLEKLEHVE NMITIQKSAKVMLSSSTQQVFWTNEQQISSLPVMNKKIYTSYMTEKQSHNPEFMANTEKM IITADRKGNLFMWDNEGQKIDEKRTDKNNELRSIHLSNDQTKIVCAFKNIITIVDLQKKQ EIFNKDVSNYDITRALYLTNNDLLFVTNSEKSQVIKFSITEPKTETIISNHTGKTLGAAT TKDSCFLTYGSDQAIRYYIEQQQCKFVFSSLLRFECEGAQIKNSNISFKSQIDLKVLFKQ KKAILE >CAK90853 pep:novel supercontig:GCA_000165425.1:CT868660:551622:553078:-1 gene:GSPATT00003718001 transcript:CAK90853 MLSWFKKKKPETTQQQQEEKDESDFVEDDTQVVTNEDKELEEKGFDPIAQVQEQDKENEG IADPDKLEYVGYHDISGDEILQLVLNFKNYPNDEEIRHVEGYEKGGRICADKKVVSKARS VGKEMVKQIGKKILSGSLNLTKVSFPIRVMIPKTALETAVHGTSIFPLYITKATMTPDFL ERFKLVITATLSSFFWTNTFLKPLNPILGETLQASYNDGTQVYCEQIMHHPPVSYFLVYG PNKKYKYYGYYLVEGRAGLNSVTIINKGKRSIEFTDGQKIDFDFPNELYSGTFFGQLRQE SINKITFQDKANGLQCIIDIGKVKKKTSDFFQADITCKGQKVSQVYGTYIGFINFDNVRY WDYRYVIPFKIKMDKQPLESDHKNRSDLQSLKAGDIPMAQTNKEILENLQRNDRKLRESY EKQKKSKK >CAK90854 pep:novel supercontig:GCA_000165425.1:CT868660:553640:554839:-1 gene:GSPATT00003719001 transcript:CAK90854 MYQCVLNYTINKSILLGKGGFGSVYLAQNELNQEYACKIISLQSSQNNYKKLKQLVDREL SIMSTLDNQNIVKMAHSYFDGKNIYIFMEYCNGGNLKKKIRELQSIYPCPKFYQQKYEKL AQEVFWDIIDSLNYLYSKNIIHRDIKLENVLIHNGKYKLSDFGLSKFLSDIDEEPMSSIL GTPCYQSPQLLRQQVYSPKTDIWSLGVLLYELLKGGELPFRGFNTQDLLKDIENKLKTNY IQNQLLSVENKLLQYLVSKMLVIDEESRLSLKDLLLKVNQFRINAQLMQKENITATETRC KPYRPEKITSKKPTNQIQEINKKESIPLRFIQTYKEKKLEATFISKILDDKLSSNILILL ALMLVIALMQISIRI >CAK90855 pep:novel supercontig:GCA_000165425.1:CT868660:554885:556058:-1 gene:GSPATT00003720001 transcript:CAK90855 MGNIHSQKWSYIGVLVLFFHKSNRTKFLNRRNQWLWKNCKMEFDLLNNVTNKLHKNNNKL LNLIINFLQAQKDPYCQQIIETSMIRKIDVGFEDIIGLEHIKNQLEETIILPNLRPDIYT GIRAPPKGILFYGPPGNGKTLLAKAVANQIKCCFFNISASTLVQKHLGEGEKLMRALFDV AFQLQPSVIFVDEIDSILSKRSQNEHEASRRLKTEFLISFDGIQSSDQDRVFLIAATNRP QDIDDAVLRRFTVKILIDQPELKVRVEMVKSLLSKVKNNLTEQQFQYVAEKLQGYSASDI KAVVKEACMRPLRTDRTLILSIHRQDIRAVSKEDFDFALEQVKPTLSQQQYEEYVKNFK >CAK90856 pep:novel supercontig:GCA_000165425.1:CT868660:556945:561982:-1 gene:GSPATT00003721001 transcript:CAK90856 MNFMDDPMEIEQSDVKVQEVHQQNRFLHSKRMIIVDEKCQAMVPDKPEKTLEKFKKHRQS LVFQTQQIQNISDIEDYLLFATSLILNQVDESITDDSKKKNKGFSGDGLILSGLSITQEQ VNEKALMFLKLMDYNIIKAKFYLLFPSLIIYNQYKHRHALKFSDEYMNEKIEQYISKLNE DKMMQQNKWKQDLMELINNKQKVHLAQLQTLLEQGQQLKYEVPESIKQIHQSSIQIQKQL NKLFKEKQTLEKLRQTLEKYEEQIPIITPEIQQLRDQVQRSESFFVKLSKLPIPVNDYEN FDSVFEKLISNSMNQKIQLRVLANCNQEYKQLPIEIKAFELLHKRLYEYVLDLVEKIIKF TKIQQTKTRLGQQNSNQSEKIEKISLAQAGSLNQQILYLIVTCDDFDQFNELYQQALELN ERAQQQIDDPEMQQRLLLEVLDCPFYLDVQEKLQSIIDYSQILDQIKQNMQNGQHQEAND QIQTLFNRGIINDDLKQLQEINHKVLEWNQLADEIINYHDNVTLIDVYHDNFELQQYPNT LFQPSQDIIKQLDPVKVQEINKIAERVLIWKDSLISLIDCKQVQVQQTNRKSNQNKSFNK LWELFREGLKYKQELSLMVKVRQTILQILDWHAQVTLIKQTLRVNDDTSLQIDGIETIRK MYIQKYGSQQTQDQIDKLQKFQSPFLSGTKDLQIIQALTQHIEKWNKDAQEALQTQNQEL IKNLLDEAPKLPVEEQLVKELQNLHASSIKISWIKSIINSQDPLFESVMKALQNDESIKQ LKEEMFQIYAQNPQNKKKKEGTKLSKLAQNFYLNFQNTIKYYLNQLAQLDENKLKKLQPI KVQKLKDYQRDVQNEEISQQVDEWTEQFQQWQCQVINVNDQQQQKKKKKHKDSESLTESY YLTGDLLYYFKVPELLCLVLQGIENNYISQELISSIEKLVTINSQADIALQVQSVKEVHF YSEVFMPEFYVMAKKFRVINNWITMAQDMINDTPRLKNLIMVQKLKPFLEYLDQVQNQEL RNSKSQSVQQQQQQQQQQQQQQQQQQQQQGQQQQQPAQQVSNIKKKMLKSINKFRIKNQE EPQSVVDQESQSIKHSKRVKQVSKLMRDDSIAFYDANIPLGKAFKQTTNESLTSDPVIQA IKKTTNKRCYKKGLNRKILPSVEVEIGSPNLLDQIKMQSQELLNLYSQEEVYCTCRQGGE SAISDLQIIKSLHENNVQLFHQQCLKQQQQVKYMIFCDNCEEWYHYECMGIKKLKEQQQD KYICRICLIKMNIPFYILNENLWDQCLDPQSELYDSYQKLKQGLEKNILPNSGDYIYSQL QLPTVEDFKEFMKIGKNLPAQLVELELLELLEQKIDQWLIQYNDLKNQSLPQLIALYAET EWLPISLPQQKILISLICQKEFLYTANEQLQSRATFKTKQLKKPQLDRTEQLYIYVKEKH PDLLQDLDNQIVKTIQMLRIQQDLNNQLQQQMKQQSTLEQYDQLIIQYEKEIKLQIQKTD IKFSLPKLNELRQQITKIQQWNAEIFAIFKDVDDIYTSNLSQQIYDSKPNFSDIQVKLKE YEMISIKVPNQCYEFLKALQSKAEEIIAKIDSNVSQLSFDEQFELCRSAYQLKCKIPQFK NLVNNVNKDVQMRVIQWLPFIKAGLY >CAK90857 pep:novel supercontig:GCA_000165425.1:CT868660:562109:563990:-1 gene:GSPATT00003722001 transcript:CAK90857 MSLPELNRGDVIEDYTLQDPLGKGSFGVVHSQTIQVFKAKSNKYGTVALKAMLKIRFKEH NGFLGKLVENERTALSLLNSDHVIKLYDYFETRDFAIFILEYCEGGTLDEYWQKNQCVIP QFQALIFFKQLLKGMKTLHKQKIIHRDLKMANILLHRDVLKIADLGFCHLLKNQDDLAVG NLGSTGTMAPETIEGKPYGLQADMFAVGVILYQMIFSKFPFNSANKYTFLNDVKNESICK AYITLDPPKFKLKSQDVVDQNIKDLLSNMLKYDPKQRLKWSDLFSNQIFMGQSSMSIIKD HTQYLADIDAQKNKLFYKNAEENEKQYETQNPINDEQILNEILKTNDKFQDLLSQSKKKS NKPKMNSYNQQIENQKLQQSEILVQEQNKIDLKEIDIINKYIKAKQPISCLSIIYNDMKQ FRHKQVFDCIFVSYILIKQIYYSHYKLRAEIKQNKFFPQLIQQQTFKTFMKEFDEENEFL SIHLSFEYQTVQANLKKLEKYLKPNWTCEASELSQTQKFQDIYREVLQNYLNIIAKEELK QQGEPNPERLRYIAMVINILDYEELITINDIDKGIAGIKNRDINELIQFIQNSIQ >CAK90858 pep:novel supercontig:GCA_000165425.1:CT868660:564114:565917:-1 gene:GSPATT00003723001 transcript:CAK90858 MSKLSNKEINGYKLIKLIGKGAFGYIYQGNILKTKEVVAIKVVEIERFKECDGLLGKLVL SEQDALKKVKSQYVVGFIDCFQDNSYNYLVMEYCDSGDLEQQIKDPKTFLTEQDAIGILR QILQGLRDMHAALIIHRDLKLANILIHNHSIYKIADLGFSKILQNETDLSCLQLGSLYTM APEIYNQNSYGLSSDMFSVGVIFYQILFGRFPFSQNDYELETQPLINFTRNKIPVSEASK DLITKMLQFDPQKRIKFEEIAKHKVFEKQMFNQISRIQLQSSKVRIEEHSQFYQKEGKKI EKENQQEIQATKERLMSFKKPQEAGQNLQQQQLQSISNQIIDINLISGEISLPVNSQKKN EMNQKINHFNKTMNDIYYFSNTIQEVFQIQMRAHYAAIILCYQVKIMTSKIQEQMQDQIQ IHKGDIDLEFDLTQLKQILELAEQLYMVIDFQLQDIAQQQIQSGDIRIQEMLKNQISKKQ LNDNLYNEINALITKENNQITYVLYHMIKCCQYCFMLNQQYKEIELDINNFDIKKSKQIH PNQNDIKLDFKKIQEYDQQIQ >CAK90859 pep:novel supercontig:GCA_000165425.1:CT868660:565945:567546:-1 gene:GSPATT00003724001 transcript:CAK90859 MNQYTQNSSMQIQDIGEFQILKPISNSNFIQIFQVRNNKDGQNYTLKIADPKNSAFGEHE KEILKIIDSPKIIKFIDLRLDQQYQLTILEDFEYTLEEFWIQNNKKFSEFMALDITKKIL EGLEILEQNDIVHANLCMKNIYIKQPMYKIANFEHSYLNKKVGWAQVQIAPEQFMPGYLT SKADIFSLGCMLFEMIFRQFPFNQYSIPEYLDQIQQGQLQFNQEKDMLDSNFAIELIKKM VQYNPKQRPGIIEIRQMIDENNAIKSSQNPALQTQTPQSNPNLWGGNNQNYIFETQHFTL EFVETVSKNALFFFEVAEKFGGKQFYNWKKTMYPRFMLYKRSYAELLQFTKDVRARADLK QFKVCLEKNETTLYKLKITLEQILKPLKNKDDYQYKITKWEQELNTDTNALFYNNYQKAL KYLMDYFKESQIPFSKSKETSQKVKEMLILQLQVQTCETFNPYFDNQKEKLEELEELEKQ TNEFLLKKAGYEK >CAK90860 pep:novel supercontig:GCA_000165425.1:CT868660:569105:569632:1 gene:GSPATT00003725001 transcript:CAK90860 MQNFNPAPVFSACFEGRYYWAFPVYRLKLQRETQHQVINEMKLEQTEQMQEKKNFIEQNQ DPNPTTIHKSYMYPGQSKNFYKTLGQKVCSFIQNNFDVKKIKKDLHISKFINVKRQNYNK SHFRELIKSKIGKQVIKIYFGNFIWCSSILEKSRSDIAFYLSLNKKIFERKHKAK >CAK90861 pep:novel supercontig:GCA_000165425.1:CT868660:570067:571674:-1 gene:GSPATT00003726001 transcript:CAK90861 MQSEQLSEQKLYAWGSAECDQFLAKDEDDEEVYEVKRPYQIQTLNNLSITQVACGGMHAL LLTSQGKVYSFGCDDKGMLGRPKIEEQDARVPALIIDLPPVDMIACGSSHSIAANSNGLV YFWGFYANTHGPIGEPVQKPKKMDNITEGIKKILCGQNHTMVLLESQKLLTWGDAETLVI GRKSETRRSIIQNLNPQPIKMKKPILNIFTGASHAFVKVQMKDNKVGIYGWGLNNYGQLG ISNQENQQLPVFVEFFNNIDVIDMVGGEHHTIALDSEGNLYSFGRHDDGQLGLGEEINQK LKEQAQKQLEEEMQSQKLNEGNKKKISKKNKQQGELFEIQKSDKVIGYEFITAPQMIQDI PKMQSIYSSMHFNFAISQQSQIFSWGNGQSFVLGTRNENSQFKPKDITTILKNEKLLYIS LGASHVIAYTSTDLNYNTQKIDESIIKIQDQNTKYKKPRKSNSRDISKESSMKRSVQKFD DSLIPVKDLKID >CAK90862 pep:novel supercontig:GCA_000165425.1:CT868660:571693:575156:-1 gene:GSPATT00003727001 transcript:CAK90862 MSIILSNSKQQRKLPLLKDYTRQKKEMLKTFFTSKTSRSESRSKQNNEVFKEEKTYYFDE SIKKQKNAIYLSQKFIRQAPRIFPAKNDPQMNQSEEISEDSSEKSNSSVDVFDLDKHKEH VKNKLNTNKDESYFGFLKNQQKLRDIAQHFIQEDGMNPIYYFCVHDSLFPKRIDLTNCLV DKKEMNFTNLGIGSKYYPILTTLLKQQKSQRIKQLYLSDNNLKEKELSLIIDCIPLSLKE LKISNNKLGRAGALLLEKLFITHKRYQFLLFDSIKYLNVANNTLGDAGTQILLNALMKNN TVTRLNLSENGLTDSISQILYNFLANNKTVEVFMLNWNYLGSQSGQLIAKGLANNKSLKV IDLSYNHLGQNNCMIYWSEIISNPKLPLIHIDLSYNQFSEQQLRILNEALKKNNQIYGLH IEGNKCPAYVDPNGFIQFTNDGLQLQGNALRLQQKKYEIDGVNFIPELNNGLIGSDCCWI CQGWMEFKFNYTPDEFKGDVPIFLHLDFLDYKPIPMTSSLELKEQMKQQRKSKSVEPQLT TGEIIYQLKQVINEDKKITMAAIQEAYDIETKDEIVDERCLDDLDKFYYTTYQMCPPKRR ILYFFSNPISEDYFIDKNSLSMTSPPDDLILQGKDAKFQFHQFADGTRIKFKKVNQINYL LSKQEYVIDDKNDYKPLVKIFPRSAQKKYILRKFANNSIRKKVNIIFWNKEDSSFKQFQG DNDDLLDNCLDFDWSCSKITRFVRNEYERTKMKEYFRQQYQLLKDVYKYLSSFGHQFPQF DMFCIQFPQFSKLVQQLGLIDGVDLKIQDVESNLISIKNNVDNKYIYNPDLALIRYQFIE SLYRLALDKYVRTNIVKNAADAVYRLLKEFKPYFNNFDSTQEWRQRRLWNKECDTLIQFK MGFLKKLYDYITDISNRRWYFKLKWISIKEFKEFCKQIGLNEYLSDKQQIIIYNFSMMSQ VDELNQDRNVRMTLIEFIESLARIAERISPCPIDERIADWTYEQRTILPLNVKLESLLTY IYIKVNKKGAFESFNDVFGDEQQQRIQFMPAPESVINDEEYSNTIETLTTYNTLSYLNLH KQPYLNPDYVHFLQNPVPNQPKFHGPLLVRRFSRVDRKNK >CAK90863 pep:novel supercontig:GCA_000165425.1:CT868660:575849:578476:-1 gene:GSPATT00003728001 transcript:CAK90863 MQGQPIPFIELKETQTQEGMETIFEIHPQAIKMLQSIADRKVAVLTIAGPQRTGKSFLAN RVLKRQKGFAVGPTTMPCTKGIWLWSQPIPLNENTSILLMDTEGLNSVQRDLGVDTKIFS ISLLLSSMFVFNQLGHIDEQSIENLSLVIKLSENVSVGSEDKSLSQFFPTFLWVLRDFSL DLRGRTPSEYLEFALQDQSNPGPDGERKNLIRRKIREYFKFRDCICMVRPIQDERRLARV EEEDWNALRPEFISAVQEFERRVFKGIPPKQINGTTLTAEMFLKLSLEYVDAINSGGIPQ ILTSLDRVIQQEARNLLEELKSLYSKRYSDALSKMKPPFDDEELKSLKKQIEAEIFQRLD QKSKEIIDNSKILSMKAELNEMMEQDLQTRLEINKEQSTTLANQILQKFFNTYQVPVLDN VDSIKPSLLVEQFQTYSRFFKYYMEIVKGYSKYKHFSEKVPSFLFSYFEKLILGVQKVYI EENNSTKKYLVQAREGEERLRKTIANQESLLYEIQKERDQLKYEIENWTRETNKQEKIKS LELEACQAKVVQLTSEVQNKKEKNQKLKQDVQNLQEQLQRVRNELNGKRVECQQLLKRMN EDNQSFREPGEEISNDLVLQYKDMKQQAHQMNDFFKRRAMDHSQQSIFLQEISKLQQDKF NEIIKLREDYKQKKQKMKEELEQEKKSLKNNLEHFIKQNEELKAKNMTYYEKYEQAQKNQ QHLKQIQQENQKLAQRLEEKSENLDMHIQVIENFTKDIEKLTSIREDLEQKLAFMNSEKS QLVSFKESFPYILKEALKWVLKQNSKIKHAMKSVGEEEQKIIRDCFHEVGINV >CAK90864 pep:novel supercontig:GCA_000165425.1:CT868660:578509:581227:-1 gene:GSPATT00003729001 transcript:CAK90864 MEACTTNTTRMGPSNVTQQITSKPESPSSQSLFDEENEVIHRNMIKDKKQEVLGQSRKSD YLKHAIFLTNRSLRMSERSKSQQFVINFRKQYFIHRFVNNLFTNLYFMKQDQRLRINQVL DEKPAQQFSKNSSEYNKEKKWIFLPSTHFIMFWDILGLLFHLIMLWISPFLCSFEDYNNT IMQYLQSIILFYLIFDFLVMFNRAIIIEAVIVYQRKDFIKNYLKSNAIFDFFNLGIWIGL KYEYFPFQYMQEILIVCQVYITEYFQQIYCRGNQNFTIELISLIIQIYYFAHIIACIWHY VGSSTECLGRTWLIDRHLEDKSIWNKYNAAFYWATMTMTTVGYGDIIAINEIEMIVSSIV MFLSSCAFAYTMSSIGIILKNIYDTQLTYKKNLIQMTQFMLKNNVDEQIQGRIRNYLNSQ LYQEKKENMDDVNNILNSLPFNLQQDLNADIQARVIKQIKLIINYFSKSTQVQVAKNLQL ISLLAGDVVYKQGDLSEDSLQQFLHLSYFIHKGEVKQTEFQTKTTLKTLQKNQYLGIYSF FTGFCPKETAICNSPTQLYKINRKKFLEIIRSNQKDHEIFHHIKDKIIFTNNLVLFDHKC NFCSRPFHLEIDCPLLSYKPDLELILKKDNFTEKFNSRIMVKRKNNRNNTFGFLNKLNST LNQFQEDQQIQLLGANENNQNDTPQYRTGLSEVVYQNSAQMIAQNLQNLSASDEELHSEL QHTPQQQSKQIISQQLVKTQSRSSISKIKIGRIEYRTSKSNFAPITDNLNGSFCILDEVK QIFNFQNAFNLDKVNFVGISYMPQYSLEAQVKQMAKTMKRKNQRLQRIYDRFEKYTFFHK VKQLSLKLRVYYKRSNKSTLQ >CAK90865 pep:novel supercontig:GCA_000165425.1:CT868660:581390:582499:-1 gene:GSPATT00003730001 transcript:CAK90865 MKKIKSLPILKITITQILKKSFSIEMIMGKIWTITIKEKILLIRSSYQIGQSDPKVANQD DGKVIFKIIDYAYFAILTSDEDSLKLYIVNAKTGKVLFQDVQNEVDLDQYINLVFDDHKV FVTYQNQAKMIFEIWTVEIYHMKRSVHLQKCQSIIISLKIQQINIIMKQNTILFSNSKYI DFLQQVYGFPLGIKYLGITRTRKSLTKKNLLIITTFSQMYQLDRNLVSARRRENSDLDHP FVQLTYRLFNMNSLFIFKVCQLTIKHSISKGFQQNLQIQNPMPFLSFMVLTIITPYKSYD MVQKNFNYDAVILTTVLITLTIQVSQKLIKSSKQVKQFKVN >CAK90866 pep:novel supercontig:GCA_000165425.1:CT868660:582561:583337:-1 gene:GSPATT00003731001 transcript:CAK90866 MCDFFNYVKYESNLKVVHLFNNGFQILALLEITDKIDYCMIGLNGEFIIIAGQTIFQQLM KDFYKIENQIIYAAYIFEIPIIVIQKKNQICVFSIRYSITEDYCVQSKQAGKVIEFGKTL RQKGKGVDNQKIKNNIFEQPFGLKQQICFLTTYNTLLCYDTKELRLLQKLQINNLDQTYK LVALHRIDLNLVKNYDSKIGSKNHILFYYSYQKMKLQTFIFDLSLGSIQLVASRRCKNIV >CAK90867 pep:novel supercontig:GCA_000165425.1:CT868660:583593:584958:-1 gene:GSPATT00003732001 transcript:CAK90867 MYNNQTVQLVGRLSPKTKINLEQQNSHKLSNKMLAKHINPDIALVPMLDDIIPCISEIVN QRKMLQSVQPIQYYEEQRPSRTILTTQPKSHTHKSSRSIKSNSTHREQKSRMSNQKYELM SCCSCSCCGCSRKSSTRLKKSPTPTPKVRRNYQENPSTNKSIKQSSIASSESKKVRNQKI LNSSSKTKQKCEYHHYEQRQSHLTTNQSNRKPRPSSVAIINPETARRQKLREYQEKQKLL QNLYSAKQLQPQPREQSLSKVAWRADISKIEEGYKRRSKIEKIVQIQKEILNKSLSKHRS ASKQESKKIVPPLDQQKLKLLDQRKKLMEKKDQKIVHDKKESQRLKQVLHQLKKQKQDEK ELKNKKTQQLSKLEQFQKENRLQCKKKKFVPTEQQIEQAFQIVSSDKIDNEQSIK >CAK90868 pep:novel supercontig:GCA_000165425.1:CT868660:585092:586641:-1 gene:GSPATT00003733001 transcript:CAK90868 MSLVNTLQIYEFCRTFASQLNLRTRYTVEQLHQSFESNENSSLAFQLVIGLMDKFCLAYL VQASTQTNSAFLNVIGQIKNQFIRRSILVNIWPTIFTLITRIYRIRAYIKDEIELEYQQF KEHLQQEQFKNWLALDKQKLLLFMIDTIFYESEFLIQEIDQRLKIQEILSNNDWRKELAK KEAELKRLQQSVGKVAKKKQKEQVKTLQLIAGLEEEINLYTKASTVSNKQLFHTQAIEKI SNNLYVFQEEKQCIYQENSEEDWQVIQGAEMIELIGQQQKKCAEMKSLVSGGYFSLEDCK LDPIALDNTYGIEQISQDSMAVELFQRCDINTVREILLALEKDYSEYELNYWKSCWTSAE KRKIWQEKVLNAEMIELTEILSKIPHQLSWVNKKHGQTDSQAKYKSSRLYWFYQNKLYSM PYQQLSKQDPSLHSMYLLAITLYDKLKSYIHRKMRTMDITQIQQQQQQSDLESTLDDDFQ LK >CAK90869 pep:novel supercontig:GCA_000165425.1:CT868660:587689:588336:1 gene:GSPATT00003734001 transcript:CAK90869 MGGRRKSNRMPKKEKVQSIKTIFDCALCGYKNCVIVKIKKVIKIAELNCDKCQAAFTTKI KGLDEAIDVYHKWLADLKQKKLTKEQPETRFDDNSSADEGAGRNVEEILKDQGDADNKSQ FSADSLDEKKPQQTNNNEKSNKGKLKKQHSEEDDDEDDDDDDISDDSKLDSEKLSDIDSL LSDADDAEEIIKKFRKR >CAK90870 pep:novel supercontig:GCA_000165425.1:CT868660:589210:593151:1 gene:GSPATT00003735001 transcript:CAK90870 MNKIQFNSLQVKTSKLEFTIPKPPLNYIVKQVHRLKCVIKCKKDVELIMDQINFIIELLI AGQFGTPSLAYLNELRNTLKSTIHSFEQDQLGFVLANMETLKKLTSLDYLKGLKKGSSRN LKIANQLQKIQKRLLSVIRNVYGQQVLFWLDEHQPEQSTALRSKRILVETKTLIQSKLKG LDLEKHNKIVCRICEQLIEVEIMAAHCITCQKKAEQSKKLLQLNLQLADASQSAYKLKHD VQIKLGKLSLQEQKKNRQKLKKQEEEKKPLRSLRRTHTIHLQDNKLQQEEEEKNKAKKQL AFINSVMTIIVNYTEKVLNSNINNEDNKINRITFDELTEAKCNLENDQKNEEVIDIIDKA RSCMYDRMEYFKILQNLESQQIQDSQKIKQKPEIDLKQKYKNSNSSSFRVSKFNHISAPT QQKVKKNDTIFEEEDDFIYSSPKNANSQNKKQTVVIMSLINKDKYNANNVGSVNNINRRD SLTTMSMSRSQVVGNNQIKRAFQPKTNFEDQPQSQSNPGSKVLDKIVANSSETVISPSSN KPSIFRKAKSPTTIDSVIEYSIQSPTGRMGQSDSQDETPRNQNICSFADFAQCDSDQEIQ AKKQLIPSIGLDKQMDSIEDIQKDKETNLQNSLENINQGLSDQEINQFQNSNSNSNQEMK RSSQNSRQNSGNNLDTRNSPKEQDQQEQLHLDLEGCNETEQIIEESQQQNSQKRRIPSDL SPNHSSSNKKLEILQKNERNQMIDIDESLNQLNRGLFFQQNSRNNVAKQSIFDFEVITVD RGYNSDSELVSINAEKAHQSQEIGLKDFEFIKPLGQGAFGWVFLVKKKTSGDLYAMKIID CSQKQLETQLDTLKAERNIFEILSGDFVVKAYYSFSHEQNLCFVQEYMVGGDFSHILKMY TALDEEYVRHYVAEVVLALEYLRSKKIVHRDLKPDNILLDKQGHAKLADFGLSEVGFNNR LKLKLRQQDIESNTIPEFADHNDPQYDTVFDLKLPQAQPTIRGSIQNYSSKNKRIVGTPD YIAPEVLKGESLTNSSLDYWSLGVIMYEMLCGIPPFNDDSVEKIFDNILNYRIEWPNVSD VEEESISHNAYDLMCRLMEPDYTKRIGHEDIDEIKDHPFFEGINWNTILSRPGLIVPKMV LKEGEADGKNCEKVQQFLNNLEKKEVKNQTLAQKLKNWIYQLNQVQKKLDDKLSKIRIEE NKLKRTLNKIDHYEQEHQMQMLLLYEDVF >CAK90871 pep:novel supercontig:GCA_000165425.1:CT868660:593178:597427:-1 gene:GSPATT00003736001 transcript:CAK90871 MQQQYPIQKYKRQIHDHVNIHTIISLNGNDMLPGSSRISSQYDERPSEQRKREEQKQGIS YYRDLCEQLNKENLLLKSFQIENNELKQKLLLINVELSAAQQELSRIRNQLGSQQPTDRY IQKIQQDNVDLQQQINEQNKYKQKLEAELKFYKDNRFTQTIETQDMNFSQNQRKIKEMED DIQILISDYSRNQEKLEKALNEQKQQSKYDIDQMKSQIQSLSSQIQGWQQRYQNLEIMKI ELENKLIILEKENQQLIGKSSYRESQANLDTVSQLKDQIYQQFKQINDLKAEIQQKQQSE YQLIKEQQNLKQKLEQSQSQTYNSQQVENTQYVNEIFKLKQYIEQLQYENENYRTQKSNL YQNQTNISSKEVQQNQQINAYLEEIERLKGNIFSLQTQYEKQLNDQENRFQIELKSVSDK FVSQQKELNCKTQIFEESRFTFQNQQQIQENDIQTKIWQDKFYEQQTLNEDLMYKHQELR NQLTQYLNYKTQNEYDQKTIQNLEALVEEQQNIMHSLYQKELHYKDTINQYMLIIEEHQQ RNNGVFENQIQQLQLQLDQLQIKLRDQESQLNIKSSKCFDLERQIEVEKLRFSERESLFS QQLKQEKIRIQQLELQVDNLQKDMALQNQKRNEVIKEYIYVENQQDQERIILLEQSLQNL QQEYLLMKEQYICVQNQYNAQIIQIEQLQGLQEDKSKIRQLEEQLRMLKDQYFELNTLKQ QVLKEVVKEVIEVPSQSDQILIKELRQELQQLQSEYRILNAQKQNYVEIQSEVDKNRIKE LEKQLITLRRDYEEVCNKKQSQITEFVEVSSKQDLQRIQQLEKIVQQLQEENFKLQIQSN EQIKKSVIVNQDREQVQELSVQLATLKQENKALHQQLNQQNESLRKSQNSDFLKIQQLEQ KIRILEQSNFEVQNQQSQIKIVKETVEVSKDEDVFKIQQLELQLQVKTDDIQYLEKQLNL QKKNVEELISANEKMKYENTKMRQQLYELQSSIDELQLKIKENNQFQEETKQYKQMISQY EQREKLKNDSQELVTELKRKLNESENQIKRQWGEFELSKQDYEFKLKSQETKYNQQIQQL QQEFQMKQQSKEDEYYISKNSFKQMQMQNDELQREINRLSDLIRQKSDEIKKLNDKISAY AVKLDQQQSNLKENQIQIQDYSLQQEKMSQLMQKNNKLENALKQFEEEKKIENLELHKQF NIKVMEIQEIIQKKDNEIQQLSNYIVTLKEQIKNQENNKSQKQNDNQQFLIDLQNQLSIV KQDLMRQTTLKEEYQNKNNELLLKIAEYEKGNRISVKSDYFVTEMYQGTGSFRNLGNASV DFNQNDSQFQIASQQRIRDSTRMQNPKANNFNPLNSFVNIFKQFRQLNNNSLLRKRVEYI EGHLFNNNIIY >CAK90872 pep:novel supercontig:GCA_000165425.1:CT868660:598315:600255:-1 gene:GSPATT00003737001 transcript:CAK90872 MLDKKHLKTIEKQIQNYKNAYLLNQAQESRVVTVPQQLKYSDLPLNSNSTSNLQHYDQIQ SSKISTDGIAQQIREYFIYIIERGVVFPQILSFVIITKDKISINYCDSLLKKLNRIRHKL EKDKSSNQEIMQKTDMTKLDDDEKIILRLLQYFTNKLADFGMRRFKMHNLDLQNIRIQYN LCRQIKNSVIQNSKVLKMIIQQVKIVHSDLLALEANQQQLSSDESQNEQNELISQNDVQN GSSHQFDQNKDKFTQILKEEKDQSEKENKIRKEFAIKVLMLKLIDLQIVVPHLLKLIKFI YFSKRHKVPDKRGILIISPSDQIILQLCKMPELTKFAQQFKLEQILSSFMNPSFLEKFHL ENPNYLKVDLNTVLISYLQLIINNYFKYQDELIQIYKDEQFKMLLQQIWQLCGQNIKQIL FNKADPNEQFKAFGCNILQIGTSLFKIKTLLESNLEISEQLRIEQDTCKFQLNSKSKKAS DKVSNNTKPIFKQFIQKMTMLNKSFPLLLKLLHLTNFTIKVIPRKKVMESIVQQKQFIKF MKICYNYPLDIETIPDRVQTIFFSEKFQIQEAQHVTWPQLILVALELIYQQREQNKQLIN EAVQTKQYQLILRELETIEDPLELRQKTKQILFLLNDYVQKLKNEQ >CAK90873 pep:novel supercontig:GCA_000165425.1:CT868660:600745:601218:1 gene:GSPATT00003738001 transcript:CAK90873 MILSERSKPNLQQQRKYKQISLSQVLKKPLIVKSLPPIFITSVQKTLKQTQQKLDNCQSQ RLSQQSILNKFNSFGAVGLTQTPQNEYPVQQQIVLLCSKKLDIQDKKKYTNFRKIHIKPI QLLTEKYHTEEDVQIDKVNKWSQTTFDEDQLLEYLNN >CAK90874 pep:novel supercontig:GCA_000165425.1:CT868660:601309:601979:1 gene:GSPATT00003739001 transcript:CAK90874 MKLLLILLLGLSAYAFTDQMMSLEELAKFDVKSIDCTKNDQISLIEKQMLQWEDLLKHQK AISHDIKILKSIEHLLSSKSSSFLEVQTQISGKKLMKKLHKLELPLTKSKIGLAQVQLLK EQCKGLDSENPEERAQAKKELCKLLKQYVNNLNNCKQQCTNSPVTVIKIKGQIKDLEIIR DNCQNGKISGVKVITMDGENQEYKVAADGTAEKQ >CAK90875 pep:novel supercontig:GCA_000165425.1:CT868660:602163:602609:1 gene:GSPATT00003740001 transcript:CAK90875 MQYFLFLTQNKIFTKCLHSQVQFNLRIVPYCLILIFESKRRNYSYRYNDEQNHFEKLQQI QNITNNFRVSKTKSQNLSRQAMFIIQSTQMIILVTSLQEIKIYLYNLKESFQRNQITKQN YRRIVTIRNEVEMKASQIQQLKRIKEVY >CAK90876 pep:novel supercontig:GCA_000165425.1:CT868660:602719:604306:-1 gene:GSPATT00003741001 transcript:CAK90876 MQPAQEKDILDFMTLTGCQDEQKAMQYLQMGDNKLENAIQLYLDLEGQPVLSQQSSVQST KSPYVQNQQQFLNQNDVKEVNTMIFNENNPPPELIRQRSAPDSSLAEKYKKYQEDKRAND DGIIKKTFKYGWNALTYIFKREPNYGLIFQTYIQQQQIQTAINFQMGNFNDNLKRAHEET KPLLIYLHNQQALFTFEKMINCKNFVTIIQRNYHIVGFLESPQVYELLPVKPQPPTLLIY RLDLTEQAILMDTVQLSPETNFEELAIKLKILKGQFNKQFIVEDQVKREFNAPQYVPNYY GQQQQQQQQQQNFERRQQEIQQREREQLIRQQQEAYRIAEQQAAEKKRKEQELKQLEEHR LLEQQQLSEIRLLQKATMLSNLPDEPEGEEGIEILYRFMHATRTRRFNFNDKIQSIFEFA LSQEDDCFNDPNSNIDLIQNFPRLSLKDKKDDIISDVFTDANKVQLIVEECE >CAK90877 pep:novel supercontig:GCA_000165425.1:CT868660:604673:605404:-1 gene:GSPATT00003742001 transcript:CAK90877 MEQNIIQSTTVKTIKELKKQQEFKTSMDADEFEQNESTQSEKRTPDDETSKTQYTKFRLN HKGSINQILPKLSNSNLQESAKSLQKQLSEELPPKQFGQRKRFNRYATQKVQQQPIQLTE QIEQTTAMNLIVNKSKTLTDYYANNQLTSTQIHQFLTDNKQFAFTFMAFLNRLAEKGILS ISLTNIQKSVLSQIEQFVINQINRELTKLELNKKINDQLKKIEIKQNQYTKYLSELEASL KFF >CAK90878 pep:novel supercontig:GCA_000165425.1:CT868660:605431:605898:-1 gene:GSPATT00003743001 transcript:CAK90878 MSNNEGINECDQQHPIDYYKESIRLYYYNIVLHQHVEQVTQERNEIKQKLLKFQALEDQE SELSMEDKRKRNRRSAIEIPRSHVCQIKNCNKSYGSEGSLMQHMKIKHGITQHLDKIGQI SDQFKSNE >CAK90879 pep:novel supercontig:GCA_000165425.1:CT868660:605959:607073:-1 gene:GSPATT00003744001 transcript:CAK90879 MISFQQVINFREILLCSTLSERPVKIEFSQYPGPHLQTMLKLLQLIQTGCKIDVNTKGII YIPGIITNQDGMLQTYNCGVDRSISYYLEILLILSLFGKAPLNIELNGITNDQTDQSVDS IINAYIPLIKKFAPEWEVSLKVTRRGFQAGTGTVILHSKPIRQIQATTLIERGPICKIRG VCSGSKVAPNLLNRVVSQCRNVFNDYIPDVWVHTDLQKSQKGVEFQSGYAVSLVGESTNG LFISCDCEYSNELNDPEKVGETAALRLLDEIKNSGCCDTTQQQYALLLMAVSQRKVSQIK LGRISTHTIETLRIIRSILGVTFNVEESVFSCIGCGLINLSRLTQ >CAK90880 pep:novel supercontig:GCA_000165425.1:CT868660:607252:608909:1 gene:GSPATT00003745001 transcript:CAK90880 MFSNRSHTYRKNDSLKLQPVSPSESHPLESEYKYLPLITRVSQEQLDPKWQECKIDGKNL LPRSSSAITILNNHLYLYGGYQYAIGIMKDFYKLNLSAPTYSWQKIKCEYEPGPRCRHSI CSYQEDIYIFGGQIADSISTNEIFIHDVKQQQWKKLKVNKTYPQPLDNHCATLYEDQWII FGGFYNGDQCKHSNDLFSYKFRENKWLKLNKQKGMEPAPRDGSSMTSHNKSVYIFGGKNG DLRYNDLWQFNMLSQEWIFIAINKLKNIPMSRSGHSLISYQNNLIVFGGIHDVTWELDDL HCFNLDLKEWRTINSDSSRRQEQELLSPTKTNRNPPKKRKPKRLPILLRPLSLRKSPCPS PKKLHSFSQSQHSSYSTNNQNNYASSDLSQCQSNLNNQNNVTVQTSLNNVQERKRWEEFK KKTAMLKLFEVENREIMNFQDDCNVAEKLKTSIILIGNPKQDLKLKKGILTEFGQQIISK FLQPLTGGQNTINGKKPCARDGHAVAVFNDFMILFGGDRHTMSFNDLYLLNLNQF >CAK90881 pep:novel supercontig:GCA_000165425.1:CT868660:608967:609977:1 gene:GSPATT00003746001 transcript:CAK90881 MNSREEDPLNQVDLDQAYDVPNQQGNLKQKINDIQDITAEKYDDINQIVNYEMRVMQEKL GFRGERTQIYQQTALFVAVIQLIIATFLSMLISQTTFLGHAWTFIPWIQYVFILTYLFTI VGIQCVQEKVQETRYNFGMSCIHAVSKIVLIVFISIWFFDIRVEIFLVALVAIQGYITLK IYIPTQKQQEFDLKVKDLWKKMTVFQLCVSIFLMYFTRSTYIFGFVVFVSTLLIGIYTIL CLQRFKEYSFFSFNSNDLYLGALQLEADMILPCALIVFQQRNRTQTPSPYPSVRSEKEKD NKRQDSEQKIAVQSIKSVTD >CAK90882 pep:novel supercontig:GCA_000165425.1:CT868660:610003:610913:-1 gene:GSPATT00003747001 transcript:CAK90882 MNQEISRAELLQWVNDLLKTTINKIEQLGTGAIYCQLIDAVHPGKIQLSKVNWRAKQEYE FVNNFKILQQSFTKLGLQKPIEIEKLTKCKYQDNLEFLQWMKKYIDTHYIPKDYDPMTKR GNQDFEDPDKQIRNQSKPKVLTKNNTKDQQIIQNLSKNSSFATIQLLNNDFSNKENRQAS MTDLLLQIETLKCERDFYYVKLKDLDGLMDQHLQQALTADQLCKGIKEILYNTHDKSIVV QQNGDLEVAYSENNEDSKSEICKTEQNQSDDTSIN >CAK90883 pep:novel supercontig:GCA_000165425.1:CT868660:610964:611833:-1 gene:GSPATT00003748001 transcript:CAK90883 MDKLSYLKKYMDNGQSKTKVTYQNNIKDQDNIDVLMGRVISKENYDEMEQQPIFVETVES ILIDKQKKQLFLKQMMNKERSRSNSQGSSSSDSDSDSDSDDSSSKSDSDMSVERAPKPQE VIHRDVKTGQRITQSQRVEQEKQLNPKLKAKEDKQTILQANKQQIEMWSKGLVQIQEKQK KQEDAQQALATKNEEQIKQIDMQLMGQQKFDDPMRKMIQDEDDKQITLKQSDFLLKCKFP PPINRFNILPGFRWDGVDRSNGYEMKLLNSINDSKYKKLEMQLENMRDQ >CAK90884 pep:novel supercontig:GCA_000165425.1:CT868660:611874:612911:-1 gene:GSPATT00003749001 transcript:CAK90884 MLIFYLIGIAILLWLLHVYMTGGVCKIKKDLTGKVVFITGANTGIGKEAALQLGNMNATI IIACRDTIKGQQVLDQLNKITKAYLIQLDLSCFNSIKQCVEDFKKLKIPQIDILINNAGV MAPQTYKTTKQSYELQFGTNHLGHFLLTELLIPYLKAAEQSRVVNVSSLAHKQSNLDFQD INYAQYANSKLWSIKYSLLAYGNSKLCNILHAMEISKRHGIKACSLHPGAVRTELLREIV KNPLLNAFLILITPFKLLLFKSSLQGAQTTLQCALEDYDKLVDGGYYSDCKLKQPNIANK QLAEKLWEFSDEKLKPYLQK >CAK90885 pep:novel supercontig:GCA_000165425.1:CT868660:613016:615345:1 gene:GSPATT00003750001 transcript:CAK90885 MFQQRFDYLRLPGFIADNITLDPGSIKIGVGQNAIRKIFKVEDYDQFERMQISSLAQALQ KNQKYDANIHTDDLLLRYLYANKFNIEASVDQLVKTSEIVFQPGFMQLDREVEQLYFKGA LYIEGRTRQHIPCIVVSTKLVDDLALFERAAIILCAIIEDYMFYSGKVESWIAVIQTKDQ SAYKMPLDKIFAIIKILQTCFPNTCDAIYILNATLSINLLWSQIEVEYISPITLGKIKFL KDKELPILSNQFHPEQLEQSLGGEKILQSFWPPDPNDYMYDQPPQQQQPFYEYEEQPQVY FSDNNNLQYMNEAPILHEEIPPPIPVQKQPESFQEEVVQNVPEKKKKCICVKCSRDLENL NSQPRQRQPSEPQPVNKPIIPQQEPQKQVPQQLNDTYVPYERRYDSFINDTSLLIPKTMN DTIQQDNKEEQQFGGNSFNNSQFQQLGQQPENNQKPNKPSFTNPFYNADSMQGSQKIEPK QPPVISNKVSQEIQANMDDTIIDDKPDYQPKYVSKHSRPQQSSNFNHPSQSSRLTNNNPN LYNFQNIPSYNPINYAQYSQQPAQSSQYIPTLQTQPQSQQYINLEPQFQKIEVIQQQPVQ VQEFDNTNYNPNQSYYAPQLSNDPKKKYDFSQWDKYNDANDTVPMQPYVPISNTYTSPYV QQSPSPNYNLNEDYNKMYDTGNDYKPGEFRPSTYNFTPYDYRYSDQQQFQPNATKEDGTQ QLYQQKPGQQACQIF >CAK90886 pep:novel supercontig:GCA_000165425.1:CT868660:615350:616496:-1 gene:GSPATT00003751001 transcript:CAK90886 MFRLFRIILYFRLIGNCIALDPEIIELEDHLFYGMISLFLILFSGFCSGATQGLLSIDQI TIEVKLRKWASRILSVIQEHHLLLSTLLVANSLANESLPIFIKKSTGDWIALLISVILVV LFGEIFPSAIMTGKHQLSIASFITPYIQFLISILYLICYPLSLILDKVLGTKCKRYHLEY IRQLMEICKQQDVIKPEELKIIVSVMELRNKYVINYIKPLHNVCYIQQDEPFCKRLIRRL KVKEYSMIPIIENNCVIGLFKSKDLITLDESNYGQLVVELVKVYQPLIISGDTTMLDLLL MFQKYKTNIAFAISQELQGMITLRDLFNEILDDVYLDEDVHGTVRIESASQQQSIQTIY >CAK90887 pep:novel supercontig:GCA_000165425.1:CT868660:616761:617264:1 gene:GSPATT00003752001 transcript:CAK90887 MGSSCQKQNEIITAYQQSETIEMDDLRIAKEIYPIKVHRGFRNTFQKNKQVTKIEEATSL SLLQYELQKYSKQNYCTEKIIPSEIQQKQESQKTNKKKIKGIIKPHASCQLIQSSVRLNY NLKNQQHQYRVGQRLHSEKQVRFNLPKIHHNSSRSCSIPKTRCKKHI >CAK90888 pep:novel supercontig:GCA_000165425.1:CT868660:617540:618022:1 gene:GSPATT00003753001 transcript:CAK90888 MGASCQCQQQGSDTYQNQETQWHIPISKEFRPKPINTSIKHDLRANYQDLDCQYCNRPQM REMGAQTHVTFCNISQCDTQKKKNKNQKKQKPKKCKDKASFENSESSKQRSSSQYSQTQN ILLLYQSLKSQGRQYQQQKAKPYEVLVNSQKVQPSLVEQL >CAK90889 pep:novel supercontig:GCA_000165425.1:CT868660:618398:619053:1 gene:GSPATT00003754001 transcript:CAK90889 MKQQYPVPNVTKKVIIINQKSNVIHENDNRCNTQNQQQTNSDQQKQIKKIEIPIELDANN YNEQSCQQITRMYQKNSIQLQDFQAQIDELKERIQFIEQKFSNLETNSRKKKKRRTAAEI EKNFECPYKNCLKQYGSDVSLNLHIKFKHNGGNKSERQKIIVNTILQQKKQLQAGEIDEK DIRDINLPPI >CAK90890 pep:novel supercontig:GCA_000165425.1:CT868660:619206:619764:1 gene:GSPATT00003755001 transcript:CAK90890 MSNIYENNRKFVTTKQRWETLTQNITKKIINKENSSISQKHAIVKSIQKNKSTLSYNKKI ELPQRTITKYFKTQRSQIYSNLKLQDSKGCIREFRCYDDKALNIPNSFSQTITHSLDNDC QSDDEQVQLAVEQLKNLLESQINQLHKSF >CAK90891 pep:novel supercontig:GCA_000165425.1:CT868660:620066:620971:1 gene:GSPATT00003756001 transcript:CAK90891 MRRYTKLQEKLSTLQFEVDTTKDAKIDEIYDRVNKAQSELKDIINNYSEQLGQLAGQYQD LNKQFEKQNEQYSSQHEKKLKEIKNLENKLQKKLEDDIKANREQSDQVLSNYDQQVQDLL QQIGQEIKVKNQQVNIINTTLQNDLPTLWQYNVQENQERVQEDQDIVKRASNEISKLFEQ ANQGKQQREDAEVSMFSMLKEVVVRIKSELEEERQLRMDGHEALLTILEEAYEKMEETHA KVQQQKAALQEK >CAK90892 pep:novel supercontig:GCA_000165425.1:CT868660:621779:622604:1 gene:GSPATT00003757001 transcript:CAK90892 MSEDAEQSFRVNEIIWAKVLGCKSMVACQSNYTKIIFQIASIMNVNGKNGQHSEYRVNFI GDTSHAQLRFDKLKKWNPKPIQQETKKLKESIDLANNLIEAQEKAQEIELGNLNEHILKG LTIKLNDLVYNQRSISDIIQALNLISDNEKLTIVKLNLGQQYFALYQSLKQGKGENPLQQ SQDFIDQLKATIGFQLSQEFLSIQKKQEKPTPNSDDTKEITDSPVKKRKIEEISKDALCN QH >CAK90893 pep:novel supercontig:GCA_000165425.1:CT868660:623183:623497:-1 gene:GSPATT00003758001 transcript:CAK90893 MATELNQPKILEESRTMLILLMRLPQQKLQSVLFESSEKALDQLDYAFHTIPQDFLDSSK TQIDEFRCRVNRMIMHHQKLTNQARMNKLRQALGCLKKIKKNNK >CAK90894 pep:novel supercontig:GCA_000165425.1:CT868660:623813:624389:-1 gene:GSPATT00003759001 transcript:CAK90894 MKKNNRRKQTLEQQQDQQRKKTKLEILDADQHISKYNNNLENLIDIFTRYEREDDFTQNL MTTSKLSKIQKIHDLSLDNFKTESFSFGPIIENGDFLQGDKVQKIVSVKKSSLNGKLIAT IQWRPRSNGTIPKSKEYYTTEVAKYAPQELIKYYKSRMVTTTIIEQQQDC >CAK90895 pep:novel supercontig:GCA_000165425.1:CT868660:624597:627186:1 gene:GSPATT00003760001 transcript:CAK90895 MFRSQEMSYFQLIMPQDSAWTIMDQLGYLSKVEIIDHNPNEALINRPFANYVKRCDDLIV KIENMLQVAKNLNLLSNYKKGNLKQFTNQVFHIIQLFHTYLDKIEDDINKKTSSFQEQNK HLEQLIDQSEYIQNYIEILKESKTYLGEQVFQNQQISKFECYVGILKNLEQLQFHRVIFR VTKGNSMVHLKRMNEKQSIFIVLFPNIGNYGKQKIQKIVEQVSQGKFTLPQSHQEFEKKL NELQMKQAEYINLIQMTQNQLCQCISNMLVLRNGLPLIEFYKFYLIKEKDLYKELNKLKM QGRLFLGELWVPTKDIFQLEQTLQMIKEQQTNNPGGQLAQKYPPDFLQKPTYFKLNEFTS IFQEIVNTYGIPRYQEINPAIITIITFPFLFGVMFGDIGHGFTLFMFGSYLCLFKNKSFY NLRYLILLMGVFSFYSGLIYNDYLSLSLNLFQTCFRSEEECVYPFGIDPMWGGHLEFNDS FKMKLSIIIAFCHMLLGISLSGLNYLFLGDWLKLSCKFLPQLLFLICTIGYMVFLIIYKW LNHFEPQNAPSIITTMISMILNLGRISGPQMWEGDSQDYIQYCLLLMTIISIPWMWFPSI ISHLLQQGSFQQNEGKRKTHRIDYGQLVEEPGIEMTQTHSYSHEQIDTKYGQPNGETQES TFFIKQKNTSHQGIQDLIVHETIETLEYVLGVISNTASYLRLWALSLAHSQLSEVFFELL LVQPINHGQPISLMIGFPFWALITFGVLMCMDSMECFLHSLRLHWVEFQNKFYKGDGVQF KVFSFRDRIKESINLESQ >CAK90896 pep:novel supercontig:GCA_000165425.1:CT868660:627234:627634:1 gene:GSPATT00003761001 transcript:CAK90896 MNKKDDPYQLNISYETDPIKTNVMSHGQQLSSQGIENQMNLPTELDDYEGPTLFYCNHCR MDSVSTCEFEASGQTFLCAFLLFFILNIFGCLIPYSSNACKNRRQICPRCRNVIGIKYYN ACAC >CAK90897 pep:novel supercontig:GCA_000165425.1:CT868660:627681:628157:1 gene:GSPATT00003762001 transcript:CAK90897 MINNQIYQPVEFIYSYTIGGKEQQHLQLKNQLPQKKRNLTEEERKQINRDIQNKFDRKEI VKKKIHKQSFIKINPKKYLKLYWINLQFQIQEIEVQKKQSEKTDSWKKNKNHQKRKDLPN RQGRMKDAYDKEYDKGKRKINIRF >CAK90898 pep:novel supercontig:GCA_000165425.1:CT868660:628185:630125:1 gene:GSPATT00003763001 transcript:CAK90898 MNLKDEQFNEEICVKIKTLDNHTLDVRIKQSQTVNELKNLIEIVSSIPSSRQRLLFKGRQ LSNEDTLISLNIEDQCVVHLVANMPEFESSPLNRGLSTSSIDEHDNRNSFDIQENRRNSR KKVLQQRLHGFQQLPTRNSLQQNVMTLHNLLQSFNTVAEAIEQGQIFAQKDFELGQWIDF KDVNGEWIEGHVGQKQQNQVLIIHQNGEEWIGVPSNRLALFRSHTIQKTYHMSPILNNHE QQQLWTFSELLGQTAMLLSRAGNMMSRLADSVEDKSIPQNKKADMSNLITGMIEEQRRIQ EEKVERQDDVTSLKSMKSMKSDKISIDYETSSIKSMKSDISKLTTYFVGHNHNTMMAENI AEEEENSSQDMSVDNQRDAPNISKEFKENYVYYETSLLAAQLAPLADRLGRLLVDLSPYL ALSGANINNIFQNHPNSNISNLSIITNEGSQYSTQAKQYYFQVPILLTPYELHTQSQYGV SANRIVGDNVDILNHLNNNNGNPRQKKSTKKEEEEKQQFVSLSKYKPTQQSYHQQYQQQQ QQQQQQFQQYQQMKESNLQNTEQDDTQYIQQYQQQKKQSADEQIKKKKKGKEVSFSEQIN QLKKDKQF >CAK90899 pep:novel supercontig:GCA_000165425.1:CT868660:630177:630685:-1 gene:GSPATT00003764001 transcript:CAK90899 MSNSNEKEKEKENQEQYYRYPKSFLKDIFIALHSFDNPERDEHEEFIDIEMKYDLFSYAY KGIADIELKFMKSSEEYLKLKSIEKCKETPKYTWMQNIYRNKMINKEDDMLACEKWVIDI NGQREVSYELEFFQSQKDKFYSIIYPPTTMKFFYYMFKNY >CAK90900 pep:novel supercontig:GCA_000165425.1:CT868660:630806:631324:1 gene:GSPATT00003765001 transcript:CAK90900 MSKIYEIENQMIIRFPPEIAEKIRDAFANNQQLPMTIEPKIGKGLEFEVSINSLKYQDKG VLVDLPTITESYKSKDYINLYKSNDISQMIWVGKTSNTRQCGDKVVCDSGLTPPTYDIRK DFHRKQPQIDIGEIQRVEKELHSIQSEFMKQAEDEENGSDDGKKGKKRYNKF >CAK90901 pep:novel supercontig:GCA_000165425.1:CT868660:631344:633027:-1 gene:GSPATT00003766001 transcript:CAK90901 MLMLMILILQKKYSKLLLDSDSNSKRILRYGHNEQDQPAFTQPQMYEIINKQKPVFQLYD QQLRKNGVITDDFASTEIKKLNNSLETAYKNIQKETFDKVHWVPKPWEKIQQVTKWGKVK DTGVALKDLLELNGKVNHLPAELTVHPQVKRIYEQRKQSIEQGKGIDFGTAEALAFGTLL HEGFSIRLSGQDCERGTFSQRHAVLNDQKKDIKYYPLRNQIPAGGSNRFEVYNSPLSEYG VLGFEYGYSQSNPNVLTIWEGQFGDFANGCQIMIDNFITSGESKWNVPSGLVMMLPHGLD GQGPEHSSGRMERFLQLMDDDPNIVFQMKEQRIKRQILDSNFQVCVCSNPSNYFHSLRRQ LRRDFRKPLILFNSKRLLKFSKATSDISLFLEGTRFHRLIPDTHEEIKAPKEIQKFIMTF YRKDKTLKEMMLPSLEQNNQHLFAYDHFRIVAQQYENAEFVFCQEEHQNSGAWQYLEPRI QNVLSLLHQQSKIKHQYLTFCGRRPSASTASGSPTVHKQELDKLLNILFQ >CAK90902 pep:novel supercontig:GCA_000165425.1:CT868660:633042:633149:-1 gene:GSPATT00003767001 transcript:CAK90902 MKVLSMLWLIIKLDLLQLPIDSRSGLYCTDVAQGY >CAK90903 pep:novel supercontig:GCA_000165425.1:CT868660:633185:634364:-1 gene:GSPATT00003768001 transcript:CAK90903 MIRASNKLIRYPFSATYVSGLFEHWQKSPQSVPEGWRQYFSEQINGGAGVQGTTSLNPLD HAKQNILLYRTYYMFRMFFVCGHQLADLDPLNLPNTKEYGRVKGSRPEMTLDSFGFKKEE LDIPIYFGNKDQRSFIYPFMEAKEEWTIREIYDRLSQIYTKKYGVEYIHMVSTEQKHWVE QEMDRIAQWKPSKETQTATWQRLARVDLFNEFLKNRFTTSKRFGIEGTDTLIVGLEALVD QCAQNKVEHIIVGMAHRGRLSTLANVFKKPLEIIFAEFQNKYSKEIEESWGNIGDVKYHL GVTRDQQFPDGHHIRMTMLPNPSHLEAVNPVVQGKTRALQDICGNKQNCLGIIIHGDAAM AWLRSCL >CAK90904 pep:novel supercontig:GCA_000165425.1:CT868660:635458:635738:1 gene:GSPATT00003769001 transcript:CAK90904 MARQSKGQKKGPKAATKKIAKKPAGPRQTRTIKAAVAEAQATTQPSAGQRKLPRQNRRNK QAKKAKSKKATQKK >CAK90905 pep:novel supercontig:GCA_000165425.1:CT868660:635758:638108:1 gene:GSPATT00003770001 transcript:CAK90905 MFFNFNRFFGFQQKLDNLLKNEGLTIETIFNEDDILQELKGSSSAKFADFLIQHPQEYKK MIGYIVNEINDDSLDKTCRTKYPFYSSEILGQENEKLINFLFDKPEDDIFDGINNPIEDD ETQQVGTSDKNNNNQIEDQETQLEATAKKNEKLKENEEIRANLLDYLLQLLEDDALNVTT AGYLAKALNTIILKRGLCFWEHLKHNPQVISNLFKHCYLKHIVDIIDRLISLEDNYEQHN EYMIDRLALLQRLAQILKAKEYSQVIVQNICELFEGQFRKAVQQYETQTQEYKKMLLQFV QQITPSYFMSIALQTQQAVAYNVIIAQLEFLNKLAFQNEHQDQIENTEKESLKIPDIVPL FSLLIKDFSKALNQQDQFNISFKSTVGTCITPLGECKLSLIQLINNLLSKSELQNYYSYS IFQSIINLVKQHPSNNQLHLLFEKIVLAVFASNNEQLQKSMFSETNLITFIIENNNEEAR KNKFGFQGVLTRLSNYLHQNATQSQQFQLSLQQVNVNWSQYMDGLNEVNKKEQEWILGVN PKQKSFQLSEEISSPNIIMPEPLVQYAYTQPSGFRKCESFENSDEDNEGKNEEEIQHDQQ EQKIEPEPETETGTGQQQEVSNQNNNNQKDPLDFDSNPDVQEEIIKTQEQNIPQDEEKTE QNQQIEQGKENEGKDNQDDQFQIYQLEQKQYTNEEVIHTQEVQEEDNLKPQESIPEQQHI PTDDKEPNQEEQIKQEDIGQQE >CAK90906 pep:novel supercontig:GCA_000165425.1:CT868660:638347:640701:1 gene:GSPATT00003771001 transcript:CAK90906 MQEPFKVSIRVKPYEGRSRFLTQRIGKETIIQVECPTQTIRDPDTYEQRSFAFDNVFNEG ESTRDIYDTSISQMIYDCVSQGYNGTILAYGQTGSGKSYTMFGNLYDPLVENDGLVSMVL EQLFQMNVKISISYLEIYNEQIRDLIGDQVGLQLNEDPIKGVMLQDVQESQIMTIDQAKS IIINGNQKRVMAATNANQFSSRSHAIIQLFIVNQQYQCKLSLVDLAGSEKANVNEGSKGI RQMEGANINKSLLALGNCINMLACDQSIKKFVPYRDSKLTRLLKDSLGGNTKTLMIGCVQ QVVQCHEESINTLKYASRARAIKKKIVQNIKINEVTNCNCNCNSENVSLLQAEIEALKQE VSFQCQLTEEEIEIRLNLKQIDQLQFQNREGLEYLLQQLNSEMVDEDRLKNDIMQYERAI NENEAHQIRKERTDPRDIQIEMLKKEITFLRDQIKQKDLVIDSLKMDKDNSKQSRPHTSY QETDRSKMNKENSIEIEVRKDSLQYCGSSKSIKQPTSREEEQHLQKLNKARDNYKIFRAK MIQLQEKMNYYTKNDIQLSQNQLKEIIDMMNELSTQNGPVLKQDEEMIIYFQKFIKSQTQ KSSQQITQTTLNNQVTTPQQRSRSVNPNPIRGKGTSQHQRKPLQPTNQSPMNRQLFSNHT KQYQQLVQKAKKESCPTPQNKQKFILEYVKKMENPLPRGSNKSPLQSFSSDNSDKDDIIK RSFVQKVPFQSIQ >CAK90907 pep:novel supercontig:GCA_000165425.1:CT868660:640893:641104:1 gene:GSPATT00003772001 transcript:CAK90907 MDNSKSKENQQVEPLRPCCACPDTRNLRDECIISFGEEKCSKEIENHKLCLKKLGFE >CAK90908 pep:novel supercontig:GCA_000165425.1:CT868660:641758:642671:1 gene:GSPATT00003773001 transcript:CAK90908 MVKNSLYNSQKYPWHLLTLQGNQYHLQILVKNTQLQVYQMKQWHYGRIRLEGHFLGIVDV KFSNNGELLAVSSLDSVIRIWDLKKSIKAKEIVCDQMENWNICWLKNYICTAGEGGRLSI FGIDQNQEEIPVFSVENSFASALAANNANIAVGTDSGSLHIVEDPIEKRKLHSKKVHKKM VRSVKFSNDGFKAFSSSDDGDIKLTDLTKMKEIKTFQHHYSVNSIDVNPIDDKLVVSCSS DYKVRLWDTSSGQCIEQFNPFDKKDDKLWAVRFNRDGSLIGVCSQQGQLSFYSRN >CAK90909 pep:novel supercontig:GCA_000165425.1:CT868660:642737:644069:1 gene:GSPATT00003774001 transcript:CAK90909 MSFLNNESVIIEQNLPQDIQESFAIITTHVGVPKVIEDYSQIPEIIQLKSGLMYSEQHRL MQFHRVQPQLSFLQGQEDKSVILQHDQIRGLNSERTENNATLTSAIMQSGLFTHENFSQQ HEGFILKKTKKLFQPFKQIYCHFQDGILSFYQDQQKQKASFILNLGLFYFQYYNKPIVNN EIFEFGLKCKNDNKIFQFKGLTSNSWFYLIKKFIDNVRNKPIVQFYLNPFPQYYKKRLIT NDQFQQMSQTGDILLFQTKSCSSKLQRLLTRSNYVDHVAMILKYQSGSIYILEATDQNGV GIFDWNSMTNQLWYELYSMVVYRQLQLKRNIDFLLQIEKFVKENVGRQYQLSFTKLLQDK STIVTSQNQHEDPTYFCSQLIAKIYKQIGLLNQDKSANQYWPGSFSNEKEDIQLKNCSLS DEYLIGFNM >CAK90910 pep:novel supercontig:GCA_000165425.1:CT868660:644370:645431:1 gene:GSPATT00003775001 transcript:CAK90910 MKNTVSKSNMVFNKSFGQHILINQQILQMIVDKSAIRPTDIVLEIGPGTGNLTELLLQRA KQVICVEIDPRMVIELTKRFKYSQYSDKFKLIQGDFLTAELPFFDLCVANVPYQISSPLV FKLLAQRPLWRCAVLMFQQEFAFRLVAKPGNELYCRLSANVQMLSRVDHLMKVGKNNFKP PPKVESSVVRIEPKNPIPNINYIEWDGLLRICFNRKNKQLSAIFKNKSVLKTLEHNFHVI EQEGIKVNDPANNVKQLISSIMEEEDVKGKGKKDKKDKKDKQNQQKGEESESDDDEDNNQ QPEKQQTTQNPFRLKINEILKSNDMFQMRPVKMDNDGFLQLLCAMNAQGIHFK >CAK90911 pep:novel supercontig:GCA_000165425.1:CT868660:645486:646232:1 gene:GSPATT00003776001 transcript:CAK90911 MLKQQDQQQDGFVSFMLEKEDSYVMNHSETPIKNNKDEDYYKQLSRQFYGQKSEAKLAPK IDPAVLKYKIVSRTFITNSERQKPAISVPTISEQLQQQIQKLLSSSKPQFQSSIQSTQKK SIHSTQKINKIKNLYMQQQPPKFNIPIPIKKMNILEPRTYRLRIEESADQFNQITLNSYR STLNSSTKSIAKQDKISDNCYPIKLQTQLREDNSNNVFKISFRNKY >CAK90912 pep:novel supercontig:GCA_000165425.1:CT868660:647542:649279:1 gene:GSPATT00003777001 transcript:CAK90912 MMAHADFIEFKASRSHSQYKLDSIDFDDFAYNLNKTIYNFQKSQQGKTIDKMSKFRRAKS FSQVKINESTNSIYQTFNRSAPGFDENETKELMELILQLEYLFILYHKFYPDQSNPVLLT SYLNCLTYNKEKYFDNYTNDISETLQMSILRNSQQRIIEEKTDENLIKFLVNEINRRNKY KKNMQLIRSDLNKFQKLQQNFEIQRKTKQLEYTKSNMLVASLKITFQNDQNQSVNVRFPL IDKNKSLCLDFEKLLKQGDIYDLFKQWNNYIDISSSHSEITLLISIIQDLFQEDSWIIKS ILEQLQNESIMSIQRIILQIGALTQFCPKCLTVLDRSHKEIANLLQSKLQQYLQNFQINE TLNVEKQVMIMQNSQEELQKEDQRHSLENTLLQSNNLKMCLIPQGLMSQLFFIHRYCDDG TQAEEEEEQHENQVQVQKQDTQQIAQLENSQIKFNQQFVRQASRRTSLISFGYSEMQAEY SNFEPLRQKLTDMKIHSTVYMENADKKEWKKIFDKIKQEKNQKKDVLKTQSISSNSSFEI NCNDEKFLKQMKVFLQNQQMRRQ >CAK90913 pep:novel supercontig:GCA_000165425.1:CT868660:649700:652218:1 gene:GSPATT00003778001 transcript:CAK90913 MNQSSIIIEDNKYRSVQVEEERMLTAKAYDLRKTLISKNFLKNECKEELEDINQLFDERQ LNILNDLANDNGQDSAQAQLKTQRQEINQRIQTAIENGFKRHSVMIMKKPVEINKFNIQV FKPEDKFRILWDLFTMIVIFFAILILPLDISFTIESDFFDNFNIASITIFSLDILINFNT SYQQKGQYIYDRKLIAKNYLMAWFWIDLLSTFPFDLIINASTQEILHSDEIDESSKQDAR DKQQQQQQIANTLKLLRILKFFRFIKVVRLLRVLKLKKIFSKLEDYVDLSNSMISLYKVI KLTFIMLFVAHWLACIWHFIADQENISGDNSWLTAQGIQDKEWYAKYIASVYWATATMTT VGYGDITPVTSIEKIFGIVVMLLACCIFAYIMNSIGGIFVSMDYNEKIIRQKMGQANQFL KSNDIPKDLQARVRKYLEYKYEKESTQVNEKEALEVLSQSLRVEVLAAVNTDLINNSKVF QQNKFEKELLLQLPFELEEQIFGPEECIFLEGEDPLELENGQNIDERCLYFLNQGKVMLC IQKTFTCLKVLEKGSTFGELGFFSNKPRSASVYTLDFVYVQKLKKKKFQELLKKFQTQNE QFQMNKHIIELNEDYTPLNLPCFGCQQIDHFAYRCPKLHFIVKFDRKQELVQEFQLAQKK ANKNYKRHDKIKYNSRGCYSLTNEVANEIKQVYISEDKQEEDRLMQLQQHNEEEPTLAGF EMLEQSKQIQNQQEDEFERMSLMHAFFTQFNLDQIIKAYNDKVDYILNQQQEQSRSTNFK LFKQNNGKQRIRSMSAERAVYFEKLIEKYGGPSQFIQKLHQEE >CAK90914 pep:novel supercontig:GCA_000165425.1:CT868660:652480:653136:1 gene:GSPATT00003779001 transcript:CAK90914 MKYFLNSSQQNRHVEQGRPVILIIKLLIYLNFKISTGLAPNHELTPGIQTIKQKQEFQGG PHKTQLHQLENKSRSLSLTFAREDIRPLDHQTSLSIFKCCCFETSISINGIFVVTSDPLI PIEIPISPYFIAGESFTKSPVTPTTCQIFYAGDIRANTTQGLLHQLLSLLSFMCSKTYSP FQLSSSKAYTIMSP >CAK90915 pep:novel supercontig:GCA_000165425.1:CT868660:653181:653282:-1 gene:GSPATT00003780001 transcript:CAK90915 MVTGDQPPTAGAIAKSIGIITGKTVDDLLEENP >CAK90916 pep:novel supercontig:GCA_000165425.1:CT868660:653285:653853:-1 gene:GSPATT00003781001 transcript:CAK90916 MGGIYMCFVLYGVNPNAIWCKIKFMARQNYKIIPSFYSLVLQHTINLPFNSTNKFSLCIV NWETQDSYYCVYIKGAPEKLWTFSSYLLVEGRNQPIDEQITQKFKSVNVSFGKGGERVLG FAKLHLPRSEFQKGYKFNLNLIDTLKFKLEGFTFLGLLSLMDHQKRLYLKQLKNVNQQVQ R >CAK90917 pep:novel supercontig:GCA_000165425.1:CT868660:653881:654041:-1 gene:GSPATT00003782001 transcript:CAK90917 MIIKVSDIFETSLTDGLTEEYATAKNKQYGDNKLTEKKETMVDQVDP >CAK90918 pep:novel supercontig:GCA_000165425.1:CT868660:654164:654394:-1 gene:GSPATT00003783001 transcript:CAK90918 MSQYKQLNEGEFPPNNNPQIITGYEILRQSVNQGLRQNQEARKSSLKAAALVSRQSQLYA QAKVRLVLISRKKGLL >CAK90919 pep:novel supercontig:GCA_000165425.1:CT868660:654501:656200:1 gene:GSPATT00003784001 transcript:CAK90919 MKKRIKRLQGSSYVISLEPEDILGQGSFGKVVRAYDLDNREEQLVAKIMEIGTQSKLETL QHELTVLEQFQSDHQNLVQYKRKKLQSSKACYIIMEYCNGGTLEDKMKNKFWSEAEVMDF LGQFCSGYRELFMQNIIHRDLKPANIMIHDRFYKITDFGLAKIVNTLIDKLTISFKGTPL YMAPEMIQEEATADPKIDMWSLGIILYRMLYNKYPFLIQNKKYDRETAFSDIVNNALNIP QTPKRSDFIIQLLQRMLKKQSKDRIGWEELFQLPQIKIQYQPQFNQNNQMISSSVFIQQM VIQKVSLIKVQPFKNKKVMSLVSQILTEDDLKKQTEEKDKEQKQILNEMMSNLNHKLQTQ LQINQIEDILFYFHEQIFFLDKVAMRVYRLNQVIPDIDYNITYNITSEILNQALALIQKL QKLSPLSAVQANLYKSTENYQIFQSLLQQDQLGLEDFYKEVKNKIVSNLKNPINQLFEII SNLPTINSIVQIYITIKYLKDSQNLNDLNFSIMEDFWTYYENVENTSEQDALEYLQKELN >CAK90920 pep:novel supercontig:GCA_000165425.1:CT868660:657120:658375:-1 gene:GSPATT00003785001 transcript:CAK90920 MGNQQGSDFGGLYIRTDKPFYFAGEIVTGNIYLNIYRDGYPGGVVLLKVSGKEKCNWTES RTRNTNGPDGKPKQTTEYITYSGQTQFYQHRVAIWTFNTPCLPVGQYTFPFQFQLLSHLP GSYFEKGSSYLAKTSYSVKAEIESYNKSYKSIEHSQSLVVREPLKQNMAALMGEMEVKAS TWCCIDQGTSHIKCVFDKNHYLPGDTAYLWADLDNSNCKLDVTRIEARLINYLTLRDRTG REKHLTRTIVSQQIQGIMAGERAVENERKQIQLILHNKSSQDGLRPSSNGQLVKSVYRLE IQAVLAGCTCCSKHPKVNVPIEIVAPVPQIYNQPIVQPSNWNPQIFQPQIVQFTDSTMYL KDQTQQSNYNYPQVPPPPPPNQFGQMQQPMS >CAK90921 pep:novel supercontig:GCA_000165425.1:CT868660:658479:659102:-1 gene:GSPATT00003786001 transcript:CAK90921 MTESRALSSYDGTYNSLKDVQRVGSYECGNILTNFNNQKYPNSKPNHQMMDTKTYLEQLE QKYCKKTNGYDDSDSDDFSNGCSPHKAASQVPTKFQKSKVSANIQNQPEFKIPVDINLSR GPSEIGQDYRTIQDMNQKYGSITQSRITIVDQDAKRRFFELALEMKKELPQHHPAQSLLV AQLYAESVQKQISEKYWRQWLQARMKN >CAK90922 pep:novel supercontig:GCA_000165425.1:CT868660:659144:659287:1 gene:GSPATT00003787001 transcript:CAK90922 MSDQPICLCNSSDKEYPDSRFSEYQQMFANKQLTAALEQNQNSQPKI >CAK90923 pep:novel supercontig:GCA_000165425.1:CT868660:659866:660321:-1 gene:GSPATT00003788001 transcript:CAK90923 MKTNKKSCLFSEFCLISATISHITSRVRYPSAAHSDPYCLLCFQPKPLRDSSTLVKPSLL MDPTSDYELGQGGDSQRNDSASSQMTAYQTSSYNPHKVTAKLPQPGLINYTLIFIYYVFN FFYQFMGFANSLTLMIINSSVWILLKIQRSI >CAK90924 pep:novel supercontig:GCA_000165425.1:CT868660:660810:662002:-1 gene:GSPATT00003789001 transcript:CAK90924 MKSSLSKFSKELVFENKQGTRLIFSKNIPEKNMLKKAKDPDQFLKIEIRLQLLFDQYKTE KVFNQVNYDNFLKITDEFLKSNKEKSIFYSFVNTKFQKENHPKYFYFQCSMINEFLNRFD KDITEQEIYAILNAIQNGLFYVEDQFFQKTKQEIYTQEEEQFILDVYYKLCEKLSINLNN DNQVRALCQIMKYIKRYSFSVLDQINQQLLPSKDQQINLDQKLYYTLFLVKAGINYFPSI IYQLRDEVLSNENILRLPSSLLFQLFEIFGRCNRVALCSTATYKLFITIIQTANYSQLNL TQQVLLLYYGSKTLTIQHSTLKPLIEQKLKELDSLKGTNFKIFLLTLYNTNYKSLGSLKN LQKIKIRFQEIEKLLAFQMRN >CAK90925 pep:novel supercontig:GCA_000165425.1:CT868660:662036:663182:-1 gene:GSPATT00003790001 transcript:CAK90925 MQNNQNQGDQPSINQTLEPGKYEFKFGVHKNQVGLKLQQQLNVNGGDVFSLRYDQDDQYL AVGMSEGLIHLYNQNKFVHTLEQFQTPVTALRWRPNYGLKAKGILVSANAEGHIIHWHAH SGKQLHKIVEENNSVLCLDFNFDGSLFATGGKDFCVRIYDDDIKSVQHQFQQADWGQKGH ANRVFVVKFIPDQPNILISGGWDANILIWDIREKQCVGQFYGPSLSGDSLDFSVKRQLIL TGSHRTENQVQLWDWRTRKLYQEIFWTGSQSDDNRSYYIYATQFNKANEDYIYAGSSGVH EIKLFSLKDGQAKASILGLPKGILSIDQMNTTDSIVFSGIEGMVNIFKA >CAK90926 pep:novel supercontig:GCA_000165425.1:CT868660:663757:665205:-1 gene:GSPATT00003791001 transcript:CAK90926 MGAIIGNSNTPQGDTPNPSPGENLSPQKNPSSQIDLNQYLIEVSEWDKRKIIIEKNGSGI SQNNGQGNAQEQQQQQQQQQQQLQQQQLQQQQQQQQQQQQQQQQQQQQQQQQQYQLQQEI KNQQIKDQEKIDQEIKDQQIKDQQQKEQEIKDQQIKEQQIQEEQKKEEEEKCFKTKIEGT APIFNFFQPQNILDKLPQQFKQIYDMYEKYQPPDEKIQFDPEMPLYPLDEEGFYQGRMVD HKKQGFGYYLTQNEFYEGYFQDDERKGWGRVINYQGYLSGLWDNGCLQKEMDVKQKNYSY KGQCKNSAPHGYGRLEKPEFIYEGNFEEGKKQGKGTQELKISNEKYEGEFFEDKYHGKGT YYYQNGKRYTGNFKNNKPDGKGEMFWPPPEERYYKGDFVNAQREGKGYFRNSDNSEYEGD WKNDKQNGFGKYTSSGQIEIFGKWKDGTFLEDEQQ >CAK90927 pep:novel supercontig:GCA_000165425.1:CT868660:665245:669034:-1 gene:GSPATT00003792001 transcript:CAK90927 MFSFLVKKIGMLNQGKVHSIAWSQEEGWIAIGGEHAPQGQKKGLLKIIKLDDQKAGIQGQ QAKLPYEAALEQHMGKVNIIVWNERYQKLTTSDDQGQIIVWINLGNEWIEEMVNNRQKSN VTDMKWSPDGFKIGIIYEDGAVIVGSVEGNRLWGKDYQYRLGLIEWSPDSKLMILGTADG QVIIHDQNGNQLNQLKMSCLMGLVDPKNYNNPNQQLAAVQWYEYGKMYTDETPPGLLIAY ACGRVQLMKNDKDDSPILIDTVMTISSVRWSPNGSMFAVAGSAKDKDESRAVVQFYSNMG DHLKTLRVANTDKVSSLSFEGDGLRLVMGVGQTVYVANLKLDYKWSYLAQSETLIFAYQR QDRMEFTIIFWDTKREQKSIRYMKGLLDIKGSNDCCVLISLVEQDTWKVELCNSIGSPLD TKLISIEPKYNCMTKTHIIIANSDYVYLWQYRNQVQRLTTFESNQNTGIRKIGKEMAWFI DENPDTQVIYDKDTFNLEKQTEETICAIQANESYLFIGRINGNILKFTLPYVSVEPKYFL ENRANIININCNSTRLSIIDVMGTLQLLDISVSGGKLLDFDKKECWQVVWSDNDPLQFAV MEKGRIHLVRDITADDPLPCDAFLCSFSELTVKGALLDDIMMSPDGQLKADELLVQYESR LFKEIKESIEKTAPKDMFGIIEKQPSNTLWQLLAQKALEELDFSVAEKCYLKLEDYAGLQ FIKRILDYDEKEKQKAEVYVFLKRFDEAEKILREIERKDLAVQMRMKTGDYSRVLFLSKD LVGSDEVIVQTQNKLGNLFCDQGEWEKAQQQFKLSNNVEKLIETSFMIEDYQTLEELANS LQEGNTLLFDLGERFQLLGMAKSAIKCFEKAGDIKKAIDCAVLLNAWNFAVELAERHNFV QIEGLLQQYAAQLLEQRMKLEAAELYRKANHNSDAARLLQQIGEDLIKSDQSPLVVKKLF VMAALEVDLYKKKLYDVTMTAQNNTIAHTLDQLVTNDLNHSADKVLNNPWRQAEAWHFYI LTQKFLYSGNYKYALKSAIRLCEFELDMDPKRIYSLLALAAYYNKSYKECARAFVKLENL DNITEEERERYQSVAAQIFVKYAPEDAVCDAIQCPKPSCGNKKITEYQLFCKECGTIFGV CVASGKSIYGQKFYTCKACKHKMIDQEVQNQALKFCSLCHTPIDFNRFGKHGDKQL >CAK90928 pep:novel supercontig:GCA_000165425.1:CT868660:669069:670767:1 gene:GSPATT00003793001 transcript:CAK90928 MNSQLQYEHNSLNQDFRHQINLLSTDLDHLLSLTKIRGQDIPYPRICQNCKYPHDYDSHH NLVIKSYTEAQFISDLIAEHDTLFQICNWAHESVKQQNVREQDKVWSNIISNNFDQIQNS LADDEKCILNSLRPFGAGKNQFDFMRLSTFQSENWVLALETLNNFSSRASHNKIKLFKWA TCQSDSWSTNISKFNETLWLNIRADLFYKVMTAHAEIYPKMMKCINFGINKPYVWQPKRY ELNCNDMNFGIETLGKLFIIEIINYLTQKSKASKLIQLVEEAIDLLVSKRMNPQYTIIRA NCFYKIALMLNDLLDINEDDEYQFLILITKIIQNIETEIFDLPTKYQFLIHLLSLLTRLS TFNEFIQQICQNILNQLGSSLSSILQLLIDNKFNNVLITTITFARFTALSPMQQNELISS SFSQYFDYNEKKKQIWLFLPYIIENIDLFKNTFIQLLQEYPDLEEQENQYFQIYINKEIE RMQILIKDLTIPVYWFTKYITFILEDPNLNQKDILNIMDGIQINNLLFDGLENKKYILAL IAKKLTEFKQFINYLLK >CAK90929 pep:novel supercontig:GCA_000165425.1:CT868660:670836:674811:1 gene:GSPATT00003794001 transcript:CAK90929 MNNAKIDQEEPLLEDKSSRPPGLLSRTLFLYVFPLLRLANKTPLEFNMVKDLQLDDQSES LFNRMNQTFQIYKNNTYPLYKSLFITFKKQFFIVYIIILIWNISLMYGPIMIRQTLSYID NSEHTLGKSFQWLGIILVVRVFNAISYQNSFYMLRKLGYDQHSAVSVSVMKKTLNVSFQS NKQYKTGEIMNIMQVDLQRILQFNIAVASVIFLPFQIGISFYLLFDFIGISCLAGFGVMI LGLLTNFLLGRWGWRLQKQVMVAKDNRTKQAHEIFSQIKFIKANAFEDYFKNKLLRFREK EIQLIKMKNIVSGLFTLAFLMTPQLTLNVTLAVYIWLQYNLTPADTFTIISLFNILQQSA SALPSYINQIIEANISIKRIEKFLFTDELMDDCIQNENHGNSIEIEGTFYWDKVKNNYNP SKSTDVMPVNQEIDPILKNIKLKIEIGEFVTIIGDVASGKSSLISAILGEMVYNISRQPP KIKINGNIAYVSQKSWIQNATLKDNILFGLPYDEKRYRDALKYSCLEQDIKILDKGEATM IGEKGVNLSGGQKARITLARALYSDCDIYLLDDLISAVDMHVGKFIIEKCLREYLNGKTI VLITHALYSCQFADRIILMDNGSIIKEGTLEDIKECDKFDQIYQKYFKEQKNEEKDQQDE ELEILNLKKKKSSIQQNNAVNKDMVDDLMILEDRKVGSVQLDVYKEYFQMNGGFFFFAFN LIVVITQVIARFGSQIWLAHWSGQDDLSYDENLHNLMIFSFFSLSFGFFAFIRILTLSRE SVNTANKVHTRMIEQLLYAPLCQFFERVPLGVLMNRLTKDQSVLDTEILWTISILYISCS NFVASTLINVFSSSYYIILPVLIFLYAVWKVQRFYMAANRELYRLESISKSPILSFFSET VNGLNIIRAFRKQDQFLERHTKNIDLNRKIQVAQLQTTTWFSMNLTFTSFIVNISAIGFV LFFGSENPALAGLLMTVATVIDNSLQSAINSITQAETQFISFERCLAFAKIEHENGYKLK KDYVLNWPQVGDIQIDSLVVKYRENLSPALRGLNVVIKSQEKVGVVGRTGAGKSTVTLSL LRILEASSGSIKIDGVDISTLNLKQLRESITMILQDSTLFEGTLRENLDPLHQHTDQDLN DVALQCCLGDLLLQKKGLDTEISENGDNLSAGEKQLISIARAVLKQSQIILIDEATANID IDTESKIQQTIQTAFKKCSVITIAHRINTIMHCDKILVIDQGEAKEFDEPQKLLEDKSSI FYGLYMQGKKSNKI >CAK90930 pep:novel supercontig:GCA_000165425.1:CT868660:674892:676089:1 gene:GSPATT00003795001 transcript:CAK90930 MGATCCAAKQLGNTELTNAAPEEFNQQVETEKPQEGNHQNEELEHVKLVKDPPHVPVEEP APGNPPDDASREKQRQMISIHGPNSEDAKPYDKVPVIENDMVRKTLEKLGEYQYDPESLQ KYVECIELEPYQFENGAIYVGQWKNHQRWGKGKQYWPDGSIYEGFWSAHTANGKGRLIHA DGDAYDGDWVDDRAQGQGTYYHVDGAKYEGDWLEDQQHGKGTEMWPDGAQYIGSYVNGKK DGKGKFKWSDGATYEGDFRDNNIEGFGEYIWADGRKYKGQWQNNKMHGKGDFNWPDGKQY SGDYVEDKKEGYGIFKWSDGKQYKGYWKDGKQHGKGILVDKDQREVEAEWVEGKRVRQD >CAK90931 pep:novel supercontig:GCA_000165425.1:CT868660:677139:677702:-1 gene:GSPATT00003796001 transcript:CAK90931 MCSRGIFQLKFLQIFYCDYGGSSAKIRLFLPTLIEHPLLNQPKINLQIYMKKNTHPYLNG IYVNGYQKQISLKGLEEDQEIIDRIALLRNSFGQQSVRHAGRKVTTLTPSIQGGWNENLF KTNIYPRHQMEISRSYPPVEVPEPRIVPRDKPIDFYEKRVDPYQQIQKPRLGVKKASNI >CAK90932 pep:novel supercontig:GCA_000165425.1:CT868660:677795:678247:-1 gene:GSPATT00003797001 transcript:CAK90932 MKFINNCAEMIQTGFQDLSNIFLFGLLSHIFYKPTKLLKTLQIDKLTYQKFYAFYESKSS EYHQSNKQEEEKKEILKSEQQLRNRLKQQTGLTTKEINKIVNRVSKSPEKLNVVQKCIID NSQVSNSKEKHKQDSDEELEIPFSKQKATQ >CAK90933 pep:novel supercontig:GCA_000165425.1:CT868660:678255:680855:-1 gene:GSPATT00003798001 transcript:CAK90933 MKKGAKKQNKRESELQQIEEIKLVEIYGNNKLIVECMNDPDIEQSGVIIKLEDLLLESPQ GVLLSFVSFYLKLVGMDHCNQYLKGLSLVNVNLEEIINKLESLQLENQSNLIDEQQCQQI ENNKVLKQFFDTLFSTQTQLPFQCEGFFRYTYNWLTTISQTKFRTARLAALEVIDLVINS ISHFLEFIKDEQELKEATKFINNIFEYLVTNRTQDVQTLIKRKAIQILFHSFLNPDIPTD PYYSYLGCLFLSENHECRESALNELEKIQKSLIESKKSIQPIIDFLQEEQEAVISLIFDP NESVQLKLINFLKMFSKNTNVVSNFFKTKTCQAFIRILFAKNLRVRYESSFLLHLFEKPI KSVIKLTEFYLTYAPFEIQSYEECQNFIFSFVHSHPFVCLPEQYSQFFEGDQQEQFQIMG FYFYASILSYQNTQTKFWWLEVKDEETQFTDQFTSYFLSKVKSSIDLIDENLLFPYLHIY QNLNSKSIPLKDMKAIVIGVQKIFQKSQNIGIINQVCKMMFIYQQQQSDSEVVKDCLKEL VKYGIKIYKEKLNFLNLQKIECLIKQNLVFPKQILNPISSIFENKDEKSIIINANLLASS LQNQIRNLLSSSKPQENDHEANYKEIRDLFFNYCFQILENKNKDQHHITILQLVINNLIY TNNFKLQRLNLQYYISSQTYSLLYIHFHNFVLSELSRQVKLEKEKQNDFKRRKSRPLIDN LPQISTLQMELFNNSIKLMNQCPQILTNKEFGIQFLSYLFDLMKSSCYFSDLKPTILTSI SQSLQKVIENDQGSKKEDFWKFVVDYSSIHYQSQSEENFNEFIKIMHKLIHCVCQQIKRQ >CAK90934 pep:novel supercontig:GCA_000165425.1:CT868660:681593:682998:1 gene:GSPATT00003799001 transcript:CAK90934 MDDYLDEEQYLGLEDEYDNSIYNNVTLLSANDLYQEFKDRLEIIKDKIGETSQVVLNILI FFNFEVKTIYEKLLLNDEFEQIKVQLEEQGIYKESKVNINDNLICSICEQTNAQGFSLNC NHKFCKSCWNQMIEIQFSSQIPLVRCLQDQCFERLPHQFLEQYPKYKQILIKRFMQHDDQ ITWCPGLNCENVFKCLNFSNSIKCPCGIKFCSKCRNEKHHPIPCDILKKVLEYQQSNDYW AILHASKCPQCGRLIQRTEGCFHLKCLCGQHFCFKCSGPWAKDHEQSFYTCPYMNTNKNP SKYALQLKDELQSINNNIRNIEQSVKTLKQQVKKVLLDDLLEYSEKAIQLFKTSKSFLFY KFHLMENKDVQMCEQTFQCFQEELNSCLIIIQKKVLEVQDNESLKERTMLEYINFEDSEK KVLRNLKIKKQLIKQYIIETLIK >CAK90935 pep:novel supercontig:GCA_000165425.1:CT868660:683154:684249:-1 gene:GSPATT00003800001 transcript:CAK90935 MMEKQNQEIEIFDVEKQSIQMNQYEKSILSQHQIYNYTRSSIKIKDVQVLSHLGKGAFGQ VFKVIYKNKIYALKQQLKQQVLQDVKYIQSELSIMKYLNHPFVVKLHHSFQTQHYLYMLL EYCDNGDLINYMAKGTVLQEPQAVFIIAQIILALEYLHSLNLIYRDLKPENVMVTKNNYI KLIDFGLSKEGFNVTHTFCGSPAYLSPELLNGHGVTQSTDIYTVGLLLYEMLSGYPPHFS NNIRVLLNGIQNEELRIPKHFSGSIKNFLEQIITKNPNERLSIKQIKNHIIFAEIDWLKL KQQEYIAPVLKRKEANKQLLSTRLIDHDYPQDGERINEVFGWDYSCQN >CAK90936 pep:novel supercontig:GCA_000165425.1:CT868660:684294:685603:-1 gene:GSPATT00003801001 transcript:CAK90936 MKIIIRYYNEWGILNVEQLKISSKYKISELYDQVSNLTGIDQNQLKLILIQTNEKVTFQI KKIVLDELNLYICDYQIQQGSAIFAQKTTDPFSDMASSFSSQFDPSQFLDTSANSTPEQE TMFQLIRMSLLSDLELFLEDRNDRAQLINGIELTGWNALHLVTFLGDDKMYLWLISSGGD ISILSKDGWNCLQIAIWQSNIKMANLIIGSNSINVNQITTKGTALHIAAQIDDQELIELL LKHPKIDISITFQGKSVVDVAGERTKKILQKELLILRNKQFDIINSFRQSICTFYSLNSF FTNRPQKPPIIKGSAKVVSYFKLLLIDNYLICDPDSGAIARYKTSIQYPFNPNQFPWSLS MKSSYQRIFGSKTKSYSIQKYSTLTSICILHFKPKMLLDSGWNPSKIQLGIVDTPIKN >CAK90937 pep:novel supercontig:GCA_000165425.1:CT868660:685687:687445:-1 gene:GSPATT00003802001 transcript:CAK90937 MYLLLIFEILIVTGLIGYLIQSYCSKDVNIYVKGMALVSWLINFLLLLFLPFDIYITYRD QGVGNISNDYLNLAETYQILYWTNFILCWTVIPIMQEYEESVELDKKDKIKKALKNNMRF YIVISVVGLVFVLAIFYTGQAQQCGLGSFLKSMANSFGVALIIVLLGYGLVIIPKAQMRT SQLDVQLKYLYFRTASINGEKDDAHHKLLETSMKVFQIKDQRFENDDMMYYLNKCLNKIP RNMHKILKKEEEKRKSQLLITITGAFFKNKGPESVEEMMNLYQEIRKNSLEYKRIKAQWK ENCKSAYEMEDIIKAMNSQDKKVHFSFKKPREGSLAQQLDLLEWQWLCVIKPQFKIIISL IFGILSILVLISEVTIFMGTQFTIFGLPLRYVDGLLSISFYSFIPLLYIAFCVYYGLFKI RIQGFYGLYGDHQTDAPSLMFATINFSRVGAPLCQNFLNMIKLKQETAFRYAMGNIELLP IFGISITALMPCLLIVLCLINYFDLFDKTLQLIGMKQFIFNNTFSDRLIFEGRDALKKNR QYFERKMKPEGWSDSSEPYDIELQQKLM >CAK90938 pep:novel supercontig:GCA_000165425.1:CT868660:688474:690957:1 gene:GSPATT00003803001 transcript:CAK90938 MFNYSQYEEEINHPQYRTRVRYQDKEVKRNLNGQLDVTCFLMAVEIQRLIAENTELKITI KQQSDSGLDRINYEMQIRDLMEKLQQLQQDNYKIGSDNERLRKLIRDYEVQLQRYETQLR DYDPNWKKELEQQKKQLVQLQKKIGDDDVDDLRSQLSKLKKKLGDYEKQFNGKTPEELQR ILDDLQRKAKQLEDIQNKIGGMDPDTLAKKLKELEKLQKSFGGSPEDLLKELERLKKKAK DADDLKKELDRQLRENDKQKTDLDVLDDLRKNAQNLQMENRQLNQQLNDMKNKLRDADQL RLEIEQYKELLKKKDQELAYLKNQLSDSQRQLQDTQRQLQDQLRQLGDFQQRIRSAEQDK LRLQSELNNCLDELDSADGQKEAASQLKDENDKLNQEVDQLNDDKNRLSNENEELRNRLS DLMRQLQDKDNKLKDLQQDINKKNSELKDLGNKLKEANDKIEWIKNEFGLTDDDLDPKKR KLNAKNIKENILFSNIQPSNLLLNLLLQSAEIERLGIIVDKYYSENDSLQIQVKTYKQKY EQISQQLQQQLILQSQMTQGNEIEKLKEYYENKIVMLTMEVSRLKKQQTYSSYQSIPVTH NLQPRPNSQNFGDISETPQIQPKREEDLLSLIVLMGVEIQHLRDQNSTLLLQKNDKDLIK GLLTTSGHESQTKKQIKTQLNEYTNNYENNSQRDSYKGLTRNSFQDFQNSYSQINTSSQQ RVKTTNDSRQNDAFNLDRIASQQVGNGSQQSYQYQAQIPYKYDHSSSLSGSGSFLKVGKF EQSKYVN >CAK90939 pep:novel supercontig:GCA_000165425.1:CT868660:691368:691946:-1 gene:GSPATT00003804001 transcript:CAK90939 MKKTNLKINATTTIQKRQNKENTIKSPRKAISPKNFRQKLTCPDLWDNIEKQQITSETLF QQIFPNPYRKRLTYFQFDQIKFLSAEKNEMFELLQQDDWIEYNRAGKILGDKFGFEQSQL KQWFDEHQVNQTIRKEDLLKKYKEKSNLINKLFEEVDFNNKQELSYWDIYIHKDIYVLKQ IIPL >CAK90940 pep:novel supercontig:GCA_000165425.1:CT868660:692089:693097:1 gene:GSPATT00003805001 transcript:CAK90940 MDNEIYEAIFKDKVELRKEKGKKFINEYELKEDLGEGSFGKVKRVTRYYKETEESAEQNK SDYAMKIFHKTVLSHQRTCFYESNSNDPKMTNLLEFADNEINIHKYLNHPNICKLYEVID DEDDPQQKIYLIMQLGDLGCIMNFCEITFKYIRNQAILDYLKMNYMQAAKLLFKQLAQAI QYLHEHNIVNRDIKIDNIICTTLYPLNECCKLIDFSTSRIAEKDSIFYDCAGTPGFRAPE VQFCLNDGYSPFKLDVWSFGICLYVYIHEKLPFWGEGDLETDLLARDQPLQFEIQDDLLI NLINSCCAKKPQDRPTIQEILDHEWFK >CAK90941 pep:novel supercontig:GCA_000165425.1:CT868660:693113:693949:1 gene:GSPATT00003806001 transcript:CAK90941 MNLQLNIQQEVARFADINHIYETVQKKGYLSQISKEFEDIFMKEINFAQQRLREVISVME KQGQKIEYNLQLSRNLQIFKSNFDVIVTATMNQIWNRLLIELNVLGQDQQSQIFNIDTSQ TVKRVNDLTKQSVSDFKKFASNQSICSRSPSKMSSKVIPLSEMSYQSNGSPTTFPKARRQ LDNSLTTSSPGVGKYKLDQSLKLIRETSPNATIGKAPKISWIDEKIKNEDSQSPGPIYDP VKTFCSKKIK >CAK90942 pep:novel supercontig:GCA_000165425.1:CT868660:694396:695127:1 gene:GSPATT00003807001 transcript:CAK90942 MKTLVLQYPKQKTMYNPSKPAVKIDTNDQFLQSHDQNIPNYKSLNVVDRLYQQEEKKRDK IQRLQEEKERSLTPNKFCFINKKSQQILDTKNLKPFLERQNELIQEKKMKAELQKILATQ EQEEFESQKMVPSRSHSQFIKDSQDWVQYKEFKKQQLADEIQNQNEQPFRPLINPKSAEI ADKRMKKSGLHLIDQADRLAMPIRQSFTFQKENYNFQPCINSKSRQLADKYKYQRSQSPW NQY >CAK90943 pep:novel supercontig:GCA_000165425.1:CT868660:695645:696823:1 gene:GSPATT00003808001 transcript:CAK90943 MGNLQTLINYHSPLSYIQDLNEQIQDCGMVNHPGLGPIQLWKIKQSNSPMMFSYVNYLYH KNQHVHLYKNDSHLFDFHRSRCQMKHPNLLAYFACTTSMAQNIGNVQSLQLFFAYYDKNL KQKLLQYETVPEIEIWNIVEQIVSALAYLQGLNRFHGNLTTESILINEKDQIKILDQLEQ KPNGQQIKKDVFDLGLVIMEMLTGRANQLNFFDSLKPLAGIYSIQLLQLVGKMLQRDALH RPDFIQLQEIIKNRFKEPIILHNPCQNQSEPAFVQIINCAQRLQSRNQEQRVITQPGGQF QPSTHPRISKNSSQSNLQNITVPLHPINGTQQNHSIVSYYQYFSPVKQLSRVDKLSTPDR LTSYQSGIQQTSAVWQI >CAK90944 pep:novel supercontig:GCA_000165425.1:CT868660:696964:697314:-1 gene:GSPATT00003809001 transcript:CAK90944 MKYLLFLAIILIALAKRHHQPDVVLQCLQGTCKEEFEACDNSSGCVKQLNKCMKVQERDP LNYSAGFECLEKQRTALDAFECIFEKCLGLHGK >CAK90945 pep:novel supercontig:GCA_000165425.1:CT868660:697886:702123:1 gene:GSPATT00003810001 transcript:CAK90945 MNQKQKQTLLNYFCQCSNDKINVISQIRDFRNNDMVNVVARANLAQQLILFQQDIHEAYE LLIDLIGLESQNVKCVMHTAAINFQVFEILGIQKQCQLLIDIANNYQIPDCAVHLFFTQP YQDQMKDTAQIKNQRIRYLCRCYLALILEFPDSEIGPMNEILKFTRFFVMDSKIVINDDV IFFLRSEFNRLQKSELHELGFSQYSKRNTLNLIKKKEFSQIDKKIINHFTVEITVYKLIA SNFQLSFISSIQIIRFNMQLLNLLYKYNSDTDLSFQYLDMENDPQQIFPYLLQIITLLIQ LNQEKIIVKVLSLIHNLKFSKMEDIQKVNDSANLLLKQQPNQQIIDSLLPVFKKYTQEIY HRFAIFSNQQIDFSTVSKEQTIHNLITSIFIKQDKDYLAANFDKLYELMCNVDSSNPRRD ICEFLELVYLLQYRYDQKFLQTKLTEFFSYSQEDLNSTLLMIYQQLEIDVNIKQPQSLSF EYISIISKLLHLILVICQNPKNKNLYMEYFSMQMQFINNFDKKLPKESDYKNKGKILNCP LSNEIADVKKAKALLLNLALIERVYKLHKIDSHQILLLKLSILSYHESVPEYLLLQLSKS SQHFKELKKQYPNAPTIKEVNFYSKIEKLKKNFGSEIKLKSLQGVPTLNKFLKHAQKLLL QKQVSIITRVQILEQLMPQLTSSLFEFQEKPKYQDELFQQSDIHIFKIEHKEFISFYLGN GELCTPALFINYRAEAIYVYLQICYKLLKFLKYLGFYKTINTISNSIILFSQRALGIAVL VNIYSQIPWQIETQQWQQITDGVCNVVKYLNKKHDYAQATSENTRQSLYRQRRSQWAKLK ESHAKLVQCFDENNLLKGKFYRAFFNFTLEYLCLLNHSNPYLYEKLLLKQTRSFELKTNC IILKQLFRCTDAKQYCFLVDENCRHELVLDQVILQQIIPVLVLGLCEQQINQNVCNYGYQ MFLFIQNQLAQSLHSNIDQSKLYMYLYLRLKEFLADFQPQFIKQIQCKCPQQKKYDKNKF EFDLIATLASFQMNQKRILLQQEDISIMKCENLSRTSELASIIDISIKRDIVVINFFEFN GEKIILISKYCHASKQCLNKQVNLINKCLQFKDALMYYLNLIQENIDCLDETSSAPGQWW SRRDYYEQQLLKRQSSIEYALSEHIDFIYKSDSPLLILSPQLQLLPWDHIKNTVFNRVLS LGHILDLTNLPTDPKQDLFYVLNPGNDLQKSEKKILPLLKQYNLKGIVREKPNKELIVEC LQKKDYYFYIGHGGGEQYINENGRPYLLGCSSAQMIDLGKFKGTNLSFQEIYLDHVDWHG LAVSYLLRGCPSLFGSLWPITDKDADQYALDFINEYGKNQDLKPELIIMQTKPKCNLKVL NGAAFVLYGIHEMK >CAK90946 pep:novel supercontig:GCA_000165425.1:CT868660:702798:704035:-1 gene:GSPATT00003811001 transcript:CAK90946 MKTIILALALIVLASSTQADVIATIKKIDQSPFGRTLFDTIWLELQTGDPLDRLLQTLTD LEDRYVAEQKEDDARNHEYQDACTVDIKAFDKDLAESNRKKIELEARLEGQLYPQRGILQ GLVAQKQAEVKGYQKDLDELDAQRAEEKADFEEKVLEHQEATAIIAEARRLFADNIEHES FIQKGKATKQPAHKFTKEVASMIQKHFTTSAKKAAKFQHRKGYSKLFKAFATIASKVEQL ADAGAVSKIIDLADELLAKIADSLSLLRFAEDKRVEAYKKSRNFIVISLTVAGTALANAQ SDLAALNDVIAQVEASLDTTNQRIENVSADRNDRFTQCEEAVQDYQDARSARSSDRDVVS QTIGLVNKELRTLREQLALRQSAGEEI >CAK90947 pep:novel supercontig:GCA_000165425.1:CT868660:704342:705406:-1 gene:GSPATT00003812001 transcript:CAK90947 MRQQPYFIKPVNHLSYRNQSSKQSFSQQQSEESKSKSQNRLSNIKRPAPIITQAITSPQL KRTNNQLRILKSNKVDLPSLPSPQIQQQCDQSLSKFKSFENLNIQMRQPTPRRFKSDEPN ISELVTQPKKTIRFSQHSNIRLRNDSAKARNRSNHVPFQKTNTEPSEIVHSPIKQKAKRD FDDSREILYQLQRGYSKDKQSILKTEPDDPYRIGSICENRNSTSLKRQFGHQYINESQRF PTKHHIDMPCDDEFSQFFKEDDIQNNFKNTQKNTSQQNQISQQTKEKILDLLFLSTGELK KKFNEQSRIQYSKITSKIQQNKPIVPKSRFPNDFFNVLHSNESQLF >CAK90948 pep:novel supercontig:GCA_000165425.1:CT868660:705679:709494:-1 gene:GSPATT00003813001 transcript:CAK90948 MNTTSALQQTIHNYCLQSYSAGDFAKNQQVLEHLRKFILDWNNIQLLKNIIEVDCKQETT FVIYTLLQKAIGAGCKFVNVLPNQQRKTQSYEEYESNERQHFTTIVTNHLEIVINYFSKG LQHQNYVQNTVLFALAFMLRKYWSEIIAPEQLVERLINTFFYTQNVQILSLGCKLFENLL SCVRQYYYASGYLEFRKVMMGFQRQSLSRLLESTHKLVQIQLKMGTFLQIYQLDKSYADS LLLLLNSFLTFNFNLSFYEIDVDHDSKENLIINFPDSYFNILNDEKLNQEYFRAIIEFYP FDQGISIRILNIVQRIASARLTLFFSKHNFKKIVRKTLWEGLLYLLNNYELYINNTDFSN EVCSYAIRLVSNFTLKKLRKFQDLFDQCVNQLNKMNHIILNNQGIKLSTNSVFIKLQEVW HQFLFQINIYSSQYLQQFKLLQNSVNLSFKLLIHAFYNGNPFSEIPPNYPIKKIKKFLDK SFHLCIQVYQQNTVECVNVISDLLKNLPIIQVSTLQPQEQLITLQKFSALLCLISALVLS PAQVEILSGVDSQFQQGKQEGHPQTRILLQAILDLIAFTNKIHIPADNYVCKLYHTSILI FIQTYISQTIENLYYEETQKIVISQSQLSMTLGKDNKISCNYLIVIESCLEKCFQIFTFH DPDLVEYSFIILQYTYEKLKRQLDRRFFRQSSISSMLKQFFLQMDLTCFNEVLYIKKRKQ VYKLVSLVWVDDQLDDYVPALVDIYQQIKKSIANETNKINMLKYLWDMIGVVDELEVDNI YRVFLRIVFPDLSSLLSTDNTQIFVQDYDSSLGLTALLCAIFKNKNTRLSNENIQILLYQ IYGKATAFFITSIDYLILQAQTSLQQGQQVKDQILKMAAKLLKVMGQISSSKQINQGFFV IYQDSSYLNLFKKQLQLITVYKPLFLNLIKYKKYLFECLEGICSEHSETLAYRCGSDVYL DLLMISEGTLKEILNFKQLKGEDQINEEALQISQIASILCNVMQFLIQEGVIGEQVDTDM IQTKTLEIYSTGKQLLTSIFSLAFTIIVAFPKVLKFSTPNSEILFAISLFNPTEFQQLLV QLFQEYKNILILPQQTLELITTGYQVLNNYLNSTTKELFTKQIKQALEQVCSQEEF >CAK90949 pep:novel supercontig:GCA_000165425.1:CT868660:710375:711323:1 gene:GSPATT00003814001 transcript:CAK90949 MNELLGQKRIFKTIKLHLSISYWSRIILEIVQQIIIAINHFKQNNHQYNQVAQSNSGVYF KKFIMPKSNNTNTISNNSNYKVITNINFGYCKNRENFIPKFFIPYNSDWFRERIMKFKKK NTRYISMRGKQQIDLNLNVISILQTVHLLSLIIISNINLPIIFQQSLLRQTNLNPNLIKI SLNLLQLIQILHKILLLLEKYILLIHILFHFLYHLIVQSVTLFIKCKIIQFSNLYYANLS HYQISCKYYNFQHIHRSKVVIFVQPIPLLSFQKFPGESEYFYFFFEQFLLHLLKLLIVLR FVHQRLNL >CAK90950 pep:novel supercontig:GCA_000165425.1:CT868660:711550:713347:1 gene:GSPATT00003815001 transcript:CAK90950 MFYLSLLFLMSLCSEDLKILETKESSETFTIHQGEPNIIYWMPEADNAILMPLQDKSFCQ VKQQTVQTETQQFTIIQSDDFKDYEFEIDTPLNFREFIGMVHINDGMLAITSDAIAYLIK FNYDNVISSQGFTSYGNSKDFAGVIWKANLQPVLTSIESRDELPQLVYSKKNNLAFVLYS DSAQFFSVSEMEKNEKTMHVNQISNWIQREERGLTKEIDGYVFSAVGKAGMDIYEIKESD VTFRYTVTQKDLKLQRAQLELKDFAVFKVKDGQYQLYLLDAKKGLILAYMYINSSEFKFE LVMSLEEQRGGIAVDTKNGQNIFAAFEQNGIYFYIEYLVNFSQKIFSIITKQVSNYRIVD VDATDEFAIISGVNHHQIVFNNGYDFLAPNKEKILFTQIGMRDFQFFQYTYEEDQLKEAV RDEYQYDDFFFGVTATNAFLTKFRFVPARVVCFADQNVEEWSKQYYTLQFNKSQVVNNSI SANQLIRTTKNFAVQVVTTYLFAQQWKLIRILLITLGGIILISVGIVLFQFRKYRIQEAQ LDTELSQHQQKSVDESGTKLNDSSVIIKVQKVE >CAK90951 pep:novel supercontig:GCA_000165425.1:CT868660:714041:715303:1 gene:GSPATT00003816001 transcript:CAK90951 MKRMQSKEDIIKDQYMKTLTCFLQDSTLYDCLSINNQVTVIDQSFSLYDVFNVFIDTHID EVLFWNPDVAYYDGVFTQTDLIRIILKCYQNILNGVPNVWGNSKIQVQPIMEEEDEDRAT PVHKNQLIGQEQINKLLIDLQTISVRDWFNSYGESLHQSSLVQADMADNLNDAMKKILKQ GVTRIVVIDTESRIIVGILQQKDILAFLVKGFSQYFHLQLSQKSHRIEVHHENNPQSEQH ELEINYFSDRILQLNDKLPFDTNVYDVFYKLIYVFKRNAIPIVDNNNKYLGLIDRRDFLF ILKYQVFDMLNRPALDLLNFIKIEKKKFAGFCICNKELFQMKQTLKEVLANSNQVVENLL LSSRGSLVCLNENQEPIATLQMSDLFKICLDDVELE >CAK90952 pep:novel supercontig:GCA_000165425.1:CT868660:715343:716248:1 gene:GSPATT00003817001 transcript:CAK90952 MNNYRFSQAAAISVYNTITKEQAQIKITQDFKQFLNSLNEKFQLPQKFTMCYCYEVKDRV IYLACPIDYYLFLDRLQPLKNENFPLLQIIQLEQDEFVSQEMIKEAEEWQEKLSESYSQI NSDNQDIQQLDELFLHVSISQIHQNDEIDYHKCQYCYEKLERNNYFRCTVCYYFQICEKC NSSKREKEHSNAHIFIQCNNVIDWNKLKQDSQIKMMKQKGNLTKKFRIHKLNIHEQIACD GCDCFPIQGYRYYCCECSDFDLCQTCIKKFHHDQTHNFIQLTTNIEFLQFTHD >CAK90953 pep:novel supercontig:GCA_000165425.1:CT868660:716745:717477:-1 gene:GSPATT00003818001 transcript:CAK90953 MVKNSAQDPQDNSLQMKIRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIRFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK90954 pep:novel supercontig:GCA_000165425.1:CT868660:717755:718783:1 gene:GSPATT00003819001 transcript:CAK90954 MGQIPQSIQKEPSNIQALKNQYQLEFINFRHTISILSYNILADIYCEQSYFSYADFQNLK FLNRSTKIIDQLKNFNADILCLQEVDNIEFYQDNIKNLQYDICYCQRPQRSDGCLIAFKI EKFKILISQEYSLDQLALDYGLPLQYLRQNVFQIVRLEHLLTKKQFIIGNIHTFWNPNQD DLKFFQIVQLVQFMEAQKESEDQILIFCGDFNSLPKSNPIQYIQKNNPIVERIEMSTNQI KLQNDIFQHYGPPKLNWESAYHPFPTFTNYTNNFKGCIDYIYYHNAKVEKILSIPNQSLL QKEVALPNSNFPSDHVPILAYFDFHC >CAK90955 pep:novel supercontig:GCA_000165425.1:CT868660:719441:720139:1 gene:GSPATT00003820001 transcript:CAK90955 MKNSQRCSNYQNEAYNKLEITSSQVLKGKIIEKDEQINDQQSSCAQSFKIQEINLQLLID KSQEKLQQQEQLQLHSPTGRNRSNSFEDLQQKLNDYIEQYIQDIKEPSHFLDTYSLQNSE ICDDEFSSQSIDLCQRIYQRIIAQLNLSVIQSNSIEQSTTVSSPKNNDSIQIMNYMTTST PLTPVQLEDVPKKNITNSSSQDQIGFKNSWYSLKS >CAK90956 pep:novel supercontig:GCA_000165425.1:CT868660:720296:720693:1 gene:GSPATT00003821001 transcript:CAK90956 MLSETVIQQTPAKEIIKRMQGLKKKGIFTLENKLIFMMNINKVQKIDQKQELMKIVNQNE DFRIFSFNEEMSKHRENEGIKNDKIISQVIIHRKRGKTFYLFYLLRKQNYRNLFNHFSII LDGLGI >CAK90957 pep:novel supercontig:GCA_000165425.1:CT868660:721239:721422:1 gene:GSPATT00003822001 transcript:CAK90957 MKFAICFLFGLVTLNAVFVKKPSNPNAGVFAELEEIEEHALGRKLLDTILFK >CAK90958 pep:novel supercontig:GCA_000165425.1:CT868660:721425:722371:1 gene:GSPATT00003823001 transcript:CAK90958 MLQELRENLLLQQQQAELKHAADQADCAAEIADYNRRIDNASNEISESTTEITALSAQVQ QLESEIENIQVQLGILNDQEETLRTQRAKDTEAFAKRIKSTADVAEALNVVAAKLSAIQP EQDPKAIFLELHNMGKSNPIAALVSIASAFLKESLQQTQDKIAELRQSIEQSAVDDQEAE VQAQIDYQNLLAQFADQRKNFQNALKDNEAMLTQTQNALGAQKKRKEDTGRELATATAGK TQKENDCEALRTQYARDSEQRTKEIGIIKIS >CAK90959 pep:novel supercontig:GCA_000165425.1:CT868660:723804:724173:-1 gene:GSPATT00003824001 transcript:CAK90959 MLYGNKTSRGIYNYPKCTDDWFLKLIQGSCLDIANEKQWERSIKSLFRQGEEVQIYGFMN FPNNLKCLLLLNLNKCKIHIDRRCGLLHLSEYHEINFYDIPEKVIINKPNTDMK >CAK90960 pep:novel supercontig:GCA_000165425.1:CT868660:726554:730054:1 gene:GSPATT00003825001 transcript:CAK90960 MDCQNEEKDELQRILILSTEVDDVVFHILIKIFKRGKIQDCLAFLQEDQNQLEIGKDVVQ VENLSLVDEEQMISVGKNTVNKIINVLYQIKDNNFNKQNYCVKEYEEIKQNVITKVSCDQ RIIEFLKFLVHLTAFDGRYIQCGSNSLHLLVEMKVDLKSQSFENIKIRNTSLFRANFVRC DLSGSEFDNVNISGINLNGAKLLNCKWKNLRINELKQFNDHVETVNSICFSPDGNQLASG SDDEFIRLRDVRSGRLNSIFQGKTKVKSVCFSPNGTILTSCCLKFIYIWYLKTGKQMQKL IGHTHYVCSVCFSPDGTTLASGSDDHSIRLWDVKTGQQKARLDGHSNGVRSVCFSPDGTT LASGSYDHSIRLWDVKTGQQKAKLDGHSSYVYSVCFSPDGTTLASGSEVTIRLWDVKTGQ QKAKLDGHLNGILSVCFSPEGSTLASGSNDESICLWDVKTGQQKVTLDGHIGKILSVCFS PDGTALASGSSDKCIRFWDIKAIQQKIELNGHSNGILSVCFSPDGSTLASGGYNKSICLW DVKTGQQKSRLDGHTSCVRSVCFSPDGTILASGSDDSSIRLWNIKTGFQTTKIEDSGNII FSVCFSPDGIMLAALCSYSICLWEIKTRIEKSRIWGYKLSSICMSPDGTTLAYGLDNSIC FFSMKTRQNKSKLNGHVQDITSLCFSPDGTKLASGSKDNSIYLWDVKTGQQKATLFGHRS CIESICFSPDGKKLASGSKEKLIYLWDVKTGKQWATLNGHISDITSICFSPDCTTLASGS RDNCIRLWDVKLGHQKTQFNGHRKGVTSVCFSSDGTRLVSGSQDNSIRFWDIKSGRQKSQ LDGHKKEITSVCFSPDDTTLASGSSDKTILLWDVKTGQQQFQLNGHTRTVMSVCFSPNGT LLASGSGDITIILWDVKKGVKKSSLNGHSHYVASVCFSFDGTLLASGSGDKTILLWDVKT GQPKSLFKGHTSGVFSVCFSPDGSMLASGSQDNSIRLWDIKTGQQKSQLDVHCDYVTSIC FSPDGRTLASGSQDNSIRLWDVKIGKQKSLLNGHSSWVQSVCFSPDGTTLASGSQDNSIR LWNVKIENQKSQICQHYSVGISSDGSTLAFGNNDATCLLDIKRGQQFKDSDKQCNEFLPD FTIPIQNNLASIFQEFGIYSCFIQLI >CAK90961 pep:novel supercontig:GCA_000165425.1:CT868660:731512:732369:-1 gene:GSPATT00003826001 transcript:CAK90961 MQAYLRRVGIINSIEDQITLAILTKFAFIRTYAQCSQCGYAWIQQLPWACGLKQQNQNRR FSLYITRASMKNQFRDASAIYVAILQEMSNKNPYWQHVASAEHECISIQDKGSYIIILGN NADCKPLLNQTQIIGVFGSQQNIKNQQPIQWIAFNNKEKQLPQKAHKIHFFFLCHYIIIQ LQIQDFLLHIALLIQTPPSQYSLINQTIVTVQTDVQQQYKSILRRINSTNCVYVKLPENL LFKIILGILELFYKHHFFREFI >CAK90962 pep:novel supercontig:GCA_000165425.1:CT868660:734189:736656:-1 gene:GSPATT00003827001 transcript:CAK90962 MYNNITLTIIQVNIDKNLKVLLLSYTSTKLFQSKRNLLIKCNYSQFIHDSFLLSSNYMIE IQQNTISLRIIHIYHCIVNIYQINITSNSNHKQIYKVKFTLFITFLKTISKCRITFRLQF QYPSQQSQQIPQRQLRHESQQLNRQKWHPSPTIKLSSSHYQSSATILSPQIEQHKQSIFF CNQFYMIDNRVQQYHNLSKMFNILLSNFNNLYSAIQAISHIIRQLHKQNLHKLVYIFFYL KGNTQKRILNIYYFDNLCKYLLVNTNINKCYCNHHYHLYYHHIPPRRIHKDLQRLIHLHI YFDNSNSQEQIQGINSLSSCWLYNYKRNNQFYRNTYNFCTHPYPWVIHSKHCPFIHFVHG GQHQYLRLKHPSQQILFSSLHSSSPVIIPSPQISGQGILGLITTQTINRTLKLTIIAQVM KECIIIFTLFIFFDQEISTNWLTSSRHQFQSSITITACNSMPNKLIIMTQLITLGESGIF CNWTIYNMIIKYTCTNITQFILTRCTNTFQPFQYSIQSNITCQTAINCGSKAQEQPEYKS CILQSPSISIKNKSRTVVQVVQKVRILNLQFQIQVQHYIQNKNANLKCNSASFYIDSVNS SLAVLVMVPELHIHNDNPYIKQLQATHVPRMFYFMNSKIINNVRALSKSKRYILKSLNSL KKFSDLNRRLCLCTIQHQSHLQKLINLILIAIIICITFIVVPMDNYQMNQVEKSNQNLYT LKMQYAFNQAVTIILRSSYQYVFGQLVQTRPKLDCYTQIEHLLEAAKQYFQNNFEGNRMR TLQNFRCFYTQYQSLVNRKKEITL >CAK90963 pep:novel supercontig:GCA_000165425.1:CT868660:736689:739883:1 gene:GSPATT00003828001 transcript:CAK90963 MVMIQVRMFLVFHFVGMEFQHMMNCVRFMIRGAQVVCLIQHFVNDVSEINVFGVTMGMVW VGVGGAVDPSVGRDNSPGEGCEGNVWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXVCFFLSCQQCDLNLHFFMDFVMNNNKKLIYFQNVYQTQMDNAQF VRKIFNQMSMVIVLLNVKKIAFIVIMVHAFNVLNNMNFLRIDACQFRIVKQLQICQSSCG DGYVTGWEECDDQNSEKFDGCYQCKYVCDFNCIQCIYGQCLLCSQEFNLFQNKCLSKCED TCLSCVQGVCYLCSSGYFLNEYFICIKIDPQYDFSCTPYCEKCLNGICQSCHLNYQLDQF NNQCQPIQNLPIIYQQLNCKLFNNNQCILCQFGYLDLITSTCIVDDNMNKCSKNCKKCVL SKCLEYGIKIQEEECDDGSEYQLDSCVNCKYQCPQYCMSCAQGICTNFFSGFYLDIISNS CNSVCGDKILASDEVCDDGNQFGYDGCFECKYQSRMECLDCQFGKCTSCEPPLIQSNEIC AQLNLRDNLKGLYYDNDSNDCLPQCGDGIVAGNEYCDDENDVPYDGCNECKFQCTNYCQI CNKGECFQCDSHYNLQNSQCLQNKENDDHNSGISEETQNNHTSNNNTSSYDQNTEWLSLN DNKICREQECVYSKQPNMKTYYVEITFDQEVKFSDQLKTDNHLFDICINDLDSNTYNITI NSTQQISIDLQYPQYLVQIELFYIAQNKTSSFSFIVIKKLLTQTIKQFLSSQLVNCFIAT KYNFLINQITFMIGAISICVITFVTGENSFVISIILKIYQSQELLSKTTYLKLFEIDDYL NLITRKENFIELNGKFQQYHLEADLFTNILPQLIQCLGLLTLLYFAENIYNMLFRLSKYM RLLKNEKTIQSKILLVAMKFILVCKNCFKSLMKTKYLQNYGQIIQLLYVNSSDLIFKVIL QLHYTQIDNLRSILSTFSAFKVFFTCICLLLKSLRVIYDPKKKKVIAKLDIKYI >CAK87956 pep:novel supercontig:GCA_000165425.1:CT868647:40:2008:-1 gene:GSPATT00039838001 transcript:CAK87956 IDQPTKFTSFFCSNQSANNCNCQCDNGYFMNAQLICQDCLYPCSECQISASNCLNCADQF QMSTTTPNTCVCPDLTYEVLISTIPQCQPCTQPCLTCSTSSTHCLTCLDVQQSIDSFNQC KCNPGFFMSGVICIACLNPCVQCLGSANYCTQCKDIQHYNYNGKCICGSGYVNDDNYNCI PCQWPCQTCSMSDTHCDSCLDPNHEINSLYQCICKDTFYSNTINTCAPCIQPCALCDING CLSCIDTNQILNSSKNCICKQGYYQVGVICQQCAIPCETCEFNQNHCLTCIDVNQTEINN QCICNDGYFEVNQSCQICQLPCTKCIFQNDHCLECLDPNHDLINNKCVCKFGFGSSGING LDCSLCQYPCLDCSINVSTCLSCIDDNIYQVLDGTCVCNQGYYAVGIDCIQCSPQCSTCF EQPDKCLSCPDPNHVLSQNNCYCQEGYYTDNSIHCLQCLPSCLTCMFTQDFCTSCLDKFQ LIEGQCICEDGYYILNFHCELCHQNCIKCNSYNECTECIDQYFLFNTQCVKCQNPCLSCI DVQTCKTCSDNYIMDDQGKCFQCIENCQNCKDSINCIQCFDEFYYDNKACIPCSDKCQTC EKSSKFCTSCKDSNHILNIKDGTLIMIKLLYKNNYYCQVSDVANSKY >CAK87025 pep:novel supercontig:GCA_000165425.1:CT868600:18:1023:1 gene:GSPATT00039789001 transcript:CAK87025 SIRLWDVNTGKQKTKIDSGFKVNTVCFSSDGTTLASGCEYYSICLWDVKTKNQKAKLGDL NNNFRSICFSPYGMLLAYGSADKYISLEDVKTRQQKAKLVGHTSYVQSLCFSLDSSTLAS GGGDKSILLWNVQTGKLKAKFDGHSGTIYSVNFSPDGTTIASGSEDKSIRLWDIRTGQQK AKLDGHSSQVNSICYSPDGTTLASGSDDNSIRLWDVKKGQQKAKLDGHCSKVFSVKFSPD GTKLASCGDSLIRLWDVKSVLTNNLLQENATTGITTLLISQNPNFDTQGALILKGEFVNH YGVDLKSLIKSKGGCILESQIELQQN >CAK68621 pep:novel supercontig:GCA_000165425.1:CT868062:751:2355:-1 gene:GSPATT00037406001 transcript:CAK68621 MLTNQILTCSEHQMQVELIILNKQLTKQQRLACKECINKMEGNYRFIGFDKFKQHVDDNQ HQKNQIIDKVINQTLEMIEKIQNQVDQSKSEQIQKYALLQSQINLWKESLNETKAIQQSS KYYQEVDLFLKNDSQFRQINEYQIQRELGDALTTLLLNYQPKIILLLNQLIEIPKLLQAN YGIFEAFQNEEISNLKVDKLLNKVEIKQMNEVQSQDQWCYAIQFDKKGEKMISTSNKDIK VWSFTEGKFQLIQVLKGHLNNVTCLLFTPQSDCIISGSYDQTLICWSMDEKNKFISQFTV KQHSGNVYCIILNKFGDELISSSKDKSIKIWKFDPIIKNLQIQQSLEKHNDSVYSVCLNQ SETLLVSCGQDQQIIIWKRDELSKWQFNQIVTQSIQVYGKKLLFLNDDQFLWVTANHNQY NNSIQVYESKDGIFMENQESTIPLNGTQNQDYVSFPIQYNRERNLLIVRHKSIIYLMRQS FEGKFVIFNKIPCQDENSYGALSPDGKYLVFWDCRYSNKQRTNGQYSVIEFIYQ >CAK68622 pep:novel supercontig:GCA_000165425.1:CT868062:2880:9969:-1 gene:GSPATT00037407001 transcript:CAK68622 MLCYFQLILCCLFVLLNAQWQQYSELLSYDSMFIESTDLTTANYRAGGFIKTTEPLSTAN FINCTSPSTSYITLNKDNNGAKYYYTQTLQGGCFVSIDLYFYDTWSNDAITFKIGSFSYT YTYTSPTSYPLSIGFCDSIFHDVKTINFTIPSSSSGPITFLTSMSGNGQVSIRNIMISQF SLTCFPSCSQCTGPEYNQCTACFYGSPTNNICPYCPSNLFYRQYIGCKQNCQFYSQKYVN GFCSSTQYLDSSSFYSSTSLLQYSLIYDPQNVDTTPGIQYINYSYLFGFFKFSSGFYRFI KFSSSIQSNYLIGLKMELWILNGMPQGCGIELKINNTYYGSIFSTSSGLQSLKIQIEDTQ SYSNCNTTYTTCQSYQIYGYFDIPPYSFLLSVKGNYTIADAAWAIRSISVQKGYCPSSCQ TCELPFECLTCFTGYYKYRDGTCRWSCSEPYQMPVDSYCQDYDDETPYSKYLIKEYGSLA NDPTQYSKYTLVSQTGDNFLKGSTIFYSYWNYMRVFGGKYIWAQAKFSRTFSITAPHHGV TVGFYILYGPNFPMDGLFKYSIDSLVTVEKSLNEEYTINEDEDDGTKQQRIYEKIDHNEN SLTITWECFGSNNEPLEAYCAFYYLYIAVHYCQPYCLECTDQTTCTLWESTYDSNVIKFS QAECSSNQYYFKPSLTCLECPSSCLACLSQQYCSTCKDTYTLTQEGCVCKQNQFEQNNQC NDCPIECNQCLSSTRCIECLTTNNRRLLNEQCVCIDGYYPKMGSPICLICHKFCETCFGP TNSDCLTCKNISMIQQVESTCSCPLNTSYQESTKSCNSCHFSCQTCFRSTINGCLTCNSL QFRVLKGLECICEPGYYEMSDACTACPLELDNTLSQCFKQCDNNSTIWHNEICNSCDDGF VLELGECRPICNDLQVQGYEQCEDGNSQLDDKCFNCQYQCPLNCLTCDSSTILPCPDVCG DGLITGDEECEDGNNIEYDGCHNCRFQCQPQCTKCIKGLCYECATSGWYIDPTQSPWICK EKCGDGLLVGTEQCEDGNSSDIDGCKDCKYYCRLGCKSCDYTNKLCLSCETGFKPVSYYC QNICGDGLIVTDPTGIYIEQCDDGNTISGDGCSSTCKFQCQSSTICLSCVDLRCESCAAG YILTADKVCLPVCGDSVKVAQESCEDGLILPYQGCQNCKAKCQPSCKTCGTTGNGCLSCN TGYQRINNICYPICGDKVITQDEECDDGNLVYGDGCHQCKFNCEDSCAFCLKGMCLDCIE GYALRQSRCYSICGDGIQTFSEQCDNVGELEPINACNNCQFQNDQNCLAFNFGLCQLCKE GYELSPNVSQQCIRSLDQPNDIIDHCLFPLQDTCLKCNLQADYDEFNETCILHQETQTQC ELYMKISPNLYCNYCFPLCIECNNEVCVKCQEGYYLNQRNQCISQCGDGILAVDEQCEFN DKDCYSCQTLKPQYCKHFHEICLLCQYGYYFDVVNNSCYSVCGDNLVASNEDCEDGNEFK YDGCYNCKYQCQLECLDCQLGKCLQCEDTLVLNQSKGICEELKLCDDQQGLYYDDFTNGC ESRCGDGIIAAKEDCDDSNTQPYDGCFECSYQCDKNCTNCQKGICFECQLGFKLNQQKCL SECGDGIKNGNEECDDYNDIPRDGCTQCKVDPNYKCQEDTSSLSFCYKCQDHCLECSFRD SYIIECQKCESGYFLKDNSCNQCSEKCEECENSPNNCKQCITQDCSTCDNISGLYLDKQL KSCIPKCGDNIIAGIEQCDDGNNIDMDGCNSKCSIEKDFICNQGVCFVPPKKSIDISYKN STTTSDIDLLFGEADLEGACDKLQITIEEFQTNEFNYSVLKKSEIEDNKVGCEIRFDFFK TILESNLIHLLVPLVKESNRILIEEAREIVIVPRKKVYYNQEQQAQAQSVVAASSTFTFL LQLIGPLTIVLGGFNFFWTILDILTWINNFYFLNVDYPLNVKMFFNQLEWGDIINIPDVL SLNQPGDAYYFEAPPKFTEKDVNPLFFNNIQLFIALIVLVFILDFVSRFYVYLINNKYYQ KTKTIHQIKIFSLYQQEQSNIDPSINQSEQIIKQFSQQTHKPPHILDLIYKEAVWFNQNF RAKLIQVIGLVFLDICLACVLQLKYTRNLDFVIIIINIAFAYLGILFIVTVFRLYKFVCS QHPILYENKQFSYYYSSLYEGINTNKNLAKNYCIVNLIRKTMFIFFTVYFYELPLLQTSF CCLSCFSNLALILYQNPFENKKTLIQTAIPDMCIFIIIFMTVVLAIHDISQILTFDEKYM LGWIILFFIGFSIFVQLIFLFKQFYEDMKERYNSIKEFIRKKKEQSKG >CAK68623 pep:novel supercontig:GCA_000165425.1:CT868062:11568:12399:1 gene:GSPATT00037408001 transcript:CAK68623 MDKQFRQSENQEKLIQVNRSQNIRLIKHTFQLFIIVETLVIISQIFMLSYYQLYTPYRNE EYRLWVSFYYSCQGGNCFQNKNLCSGISPSEKYKDYYCSNTQDFNVFRYILSFILILIVL TMIFDVYRMMKIKNELKNEILSSKLIYKAKKYQIIIILLLFSYYVILFIFIFGIDGEYGS AALGSAFFVGISGFFTYMVIILYFRYLKGRLARESYFEKLLNEGLDESDFKQNFEFKTVE CREERFYSVPEQDN >CAK68624 pep:novel supercontig:GCA_000165425.1:CT868062:12907:14541:-1 gene:GSPATT00037409001 transcript:CAK68624 MIEQQLECAYEHKQPIVSVVMDVRLQQNERLLCSECMELYSSQARTMGFKIAMQTIQESQ RRKREQYEIVIDQNLKLLNTFQQIAIDLKTKVNKEIDSILNVTKFWMEDLNKIKSDIVTF SFHKELEKIIIQTQENGKDIDMSEISNSINKINNEWSVKINRKLGQFSNFEEQKKCETIL NDLIQQKDENLESEIVFYDEKSISSIFKSNRRMQSIQNQKQSIKLILKDEQIKQYDTCYS MTFNAKGSIMVSSCLLTIKVWNFNQGSLKFIQNLQSHRDIVTCLLFSKKTLFFISGSCDK TIRCWKCSNQNEWKSSNPYQLETDFVQCMIMNKNETLLFTAGNKGSISIWRINFDYNSLA FLQNLHFNSSNIYSISLNEQENILISSGQNQSILIWEKVNEDKFKFKHAVKQTLNADGSH LTLLSDNKFIWVAQSAENTFICLYEMKNGSFQESFEKRITLKKTSNVEGLGLAPIIYNKE KKVIFVRSQHYLYILKEQNGCIYVEDQYDFMTEHNYFTTTDDCQYLVAWDLKKKAYSSFE ILYN >CAK68625 pep:novel supercontig:GCA_000165425.1:CT868062:16341:17718:1 gene:GSPATT00037410001 transcript:CAK68625 MQIQNLNLNNIKMLRRKQLLFEVERDQQETTAKVDSLQINQIIPDQNNQQQNQQPNSSSE SSIIPQAQNYSIDPDKALIKQQVNQMDFGNPQQNLDYRFGISSVNCQNLNSDLALESQKK IVSLINPIVSNRDNQSGILKSKFKCRKLFGKLFGNSPIIKIQVIDDKQLIYLSENKLSHV TEYKSNSKNKKIEKNLEYVIFDFVMIKDNSILVQTDQGLILLNKYLDQLYTYKRIIYKNH LAIYKNLKDFDISTNFVCYLQDVNQFLLCQVIDNKIVEQQRRQLQTSQLSIISIKVIKNS LYVGYHTGKVSIYDLQTWLEQKTFQILTSCSEVFPSIFFEEKFCLAIQQNNLYRINYDKE IIKLCSTKHNIISCAYYINDEKKKYEFHLLQDNYKIYLCYQNKDKFYNKERLQQSISCVS YYLDWQQPKFFYGERNGGIFCYHI >CAK68626 pep:novel supercontig:GCA_000165425.1:CT868062:18829:19519:1 gene:GSPATT00037411001 transcript:CAK68626 MQVSNISDLSDIIKEAEKVYTFRKLVEEDAEQLMVLMTDAFVHHNDAFLILDSIDSDMSS KAILQEYLLMIKENLSFGAFHGDKLVSACLSYDLQRKSDMNVDSGVESTEAQKEIANMLS SLLGQYGDTKSLPKNEICYLSHLATFSDYCKQHLALICSYLSVLETRKQGFQKMLTSCGH VATFKVFTKIFKEYEYVKIIEKLKDKPLFMKSVIATITPQ >CAK68627 pep:novel supercontig:GCA_000165425.1:CT868062:21248:22294:1 gene:GSPATT00037412001 transcript:CAK68627 MQKELLTILALTLLAGTTLLNTTQSLESTSFLEDKLMKEYQLWQQEHGKRYSSFENTHRF GIFKQNYAYVQEHQKRFEAGLETYELGMNVFADISSEEFEAKHLNFKPSNKQQSEQVFRA QGRQIPESVDLRNDGVVGPVQNQGSCGSCWAFSAVGALETAARQSGEKNVEFSEQELVDC VKGGEYESDGCNGGFMFESFNYATTNGIAIKSEYPYVAVAQDCQAKKTKTRFQFNGFVEV ESLNSQAYVEAASQHALSVGVNAKGINFQLYKKGIFSSQCDGKIESLNHAILNVGYASDY YLLKNSWGLSWGEAGFIRFARIANKTGQCGVHQDVTYPIYNKNSAAIQ >CAK68628 pep:novel supercontig:GCA_000165425.1:CT868062:22569:24094:-1 gene:GSPATT00037413001 transcript:CAK68628 MFKYQVIENQEQLTCQKNHNSPITTVLFDDHLKNNERLLCNVCMQDFEGNVKIVGFQKVI QIIENEQKRNAESVEVFIKATEKNVQMLAINVQNLKTKMLSQLDQIEGVIQQWKNQLDQI QQSTIKYSFFEELDNQIKKKVSTSQSHVMLMKNIQTYNQSCQSKLESYIDQLMSLQLSNE YQEFKSYLKELEESSLTKFREQLKSQNFQQKNQNLEIQLIENQFLQPQQCRAISFNHSDE IMISTCDEIIRVFNFKNGIIEERCKLLGHSESVNCLIFSKFSNCFISGSADCSIRCWQQS ENTQWKSSQPYNMHTGQILCIILNQKENELISASLDSTIKIWELNFKKNILEYQTQLIQH QDSIFSLSLNESETFLVSAGLQNRIIVWKKKRNVWVFSNFVKQSFCERCLKVKFLRDNLL LSVADADINVFELNNGVFQQKNDKAIELQRDHVQDSFLFPITQITDIYYWRDVRPEISNN KNYLVLIEQKLRYDDQ >CAK68629 pep:novel supercontig:GCA_000165425.1:CT868062:24532:25275:-1 gene:GSPATT00037414001 transcript:CAK68629 MDNPLTFVCISDTHSRFIEIPQGDVFIHCGDFSTHGEYKEVEVFIDWIKALPFKYKVVIA GNHDIFLDIEKYEKQLRDRFHKRYAPMDHIKLKEKLKQSCIYLENSSVVIEGYKIWGSPY SPTIPFNPWAFQVDEEDGEEFWKIMEEGSDIVLTHGAPLGHSSYVSSYEPTEGEWGDQAL ANRIKEVKPLYHIFGHVHEGYGMTEENGTKYINCAILDERYKLAEKAYVFQLPRRVVSEN ESIKQQF >CAK68630 pep:novel supercontig:GCA_000165425.1:CT868062:25513:26194:-1 gene:GSPATT00037415001 transcript:CAK68630 MDIENQDDWDPDPHKKDSILQFSNINFSGIEYHLGLDNVIKRNKKKLENTAYDSQYVMDY AQQNWIDDDEDEEMKNNTQIEFNFEQTKKQLMMEQVFYNPLEDLQNEKYVQSKYEAHLKN SENNTVISCSNCFIQISYHCQQHEIYKKQYRAMVTINTKIIENKFVKPLENEKVKNDDIL LSVQCKMCQEEIGVYDVKAKIYHFFNVVQGLG >CAK68631 pep:novel supercontig:GCA_000165425.1:CT868062:26235:27740:1 gene:GSPATT00037416001 transcript:CAK68631 MSEKQNQQNPKKGESWKPKEKFDKKANKEKQKQEQEQTEAKQESNKTVQQQQQQQQQQTN QQPIVKQATQQQQPINDKQIVQTEPPKEQNKRKDFLSHLPIFQDYTSVSIKTVKQIDPLT LHHSFIELCIQYQNGQCIGSTHRCVEFLNALKQFIKDYKLSKQSNYFAMAFLDELKKIFN LMKNFRTVNEGMSTSYLFIRECLMILRDTKLDENESKIWLCNQIDQFIQSKIISASELIV KNATQLIQEGTTILVYARSYLIENFLINYFKQGKQLTIFVVDNPQFGEGSQLVNRLQQQG ISCYQILLSHVSYILSKVDKILVGASSMLCNGALVSRVGTALLACLASTHKIPFLVFCES YKFSEKSQIDSLSWNEIAQLEQGNENQQYTSLSLRYDITQSNYINMIVTEVGLIPATSVK AVIGEFKKYNYVDAIEVPRKDQ >CAK68632 pep:novel supercontig:GCA_000165425.1:CT868062:27754:30069:1 gene:GSPATT00037417001 transcript:CAK68632 MLQIKVSSALPQNPYLINQVEQFLVDSNTLIRNGCYRKCVMHLDGQYKKLLPIESPLLLK IKVLRRLCYCTLMYFKLKINKGEINQGKNQFTLWSRFLLYMRDFHDNISLLKIQYKKKFY TQELISILIKALLFRSQYYQKNQMVARGLLYFHHLNGTIIEQALIEKITFFLSLQGKYHL VAGNSYFQLQNYQTALKYYLKGLDFYQRNLIIIYKEITLTSLEEIKITLNYYSKEIILSL FLIALVYEQLNDFLKYQETLKMMTWVDSNYQPEDSIGRLYFQQYVDNTKYLEYALEKAEI SKVLKQALPEEETLKVPVEEDQLNYYNNMLYEFSEFPKIRRHYFYYDKSQPPYSNEIIES ETYLERSKALKTNASVQMVYKQQTNENESTTICRSVLMKTKYSDNGLSTRFQTESEGFSQ PIIHVQQSNKRNKKQLNKEDVTLQMYYGNAIEKSVKLQELENLAEQHNQDLVQQQIETIT FDKTLKQSKQKIQFKRIFGCINLNKEPFEHETRESRKRQRKQQAKSINQLQQLLSIQLQI KTKTEQSQLLEQYNKQRKLNSQSSPNIFQKVHNMHRQTKTVKLEEKPVITNKEFSKDMGS NHKTQDEDQLIRDININTKETIKKMMDEKESLIQSKPFSTRRSSQNTTTKSNILFEKLIQ KNDSLAHTTDQISNSKKLNSLKSLLEVSRNNPNQKRMTAILSTITPRSANKMGSHTILPL C >CAK68633 pep:novel supercontig:GCA_000165425.1:CT868062:30101:31605:1 gene:GSPATT00037418001 transcript:CAK68633 MNQGESNDLLSFKREKFRVQIRHQKLTEEFKKKRRFYNYEQTKNDFLHKVCDELVNYQFR EQLIEEDLNNIKLLLNVLQKAFEDEQNVDYWVTENIVKKFRFLINLSKMDNEFQEINATL IQSVFYYLNREDYQKFAELDDIQFLQYLQNNIKEEVFEIFPQTLNCIYFLAQEKQTYRDL LIQNNFEFLSQLMVLDPNRQFSEVILALIGSAFTFCLEINIDKIKSIKEYVIKSYFDENQ AIRRLALEILEEIPSKLSFENNYTYANEIISSPMFLKLYDTALRTSYDFLALKVVIIYYG ISDQLFEKEFVIYKKVINSNFQSQDLNKALRIQLFWAISNKIQNKEFLLQLLDSQLLDQI FGQTSEFSDNEALEFSYVILNFSILCNEEQLSKLIRIGLLTICNHLFSMEARSTKIEEHT VKTLLNILHKLFDSQDVNNELIIPFSAVVKMIEMSGIVNKVKESQIDDKLIQDFDVYF >CAK68634 pep:novel supercontig:GCA_000165425.1:CT868062:31686:32711:-1 gene:GSPATT00037419001 transcript:CAK68634 MTEQYIIDSEIIEDEVDRPVSVYNLNVCSKEDIDFRLQDCQLNEPDIQDLEQKMNNLIYN SCGFKRGLIKPKKEQQQHSVIVSTHNRSKNEMLSFIKPTQPIQQLSVISNGDQSSLFSRK ETVPKINFVGEMKESLNQNTTVDLRKNLKLHTHGPFDVTKLRDGLSPSVNNRFSSKKQSQ FSQRSHETYNSYLESSYNQTQQIPSQHSIKYQDTRKERSKIAKTTVKALQNQKNQKLRIS QQANSQRTSQLSLLQLEYDGVRGRSQSSVSTPKSILKNPSLQNSQLEIVSRLSFQSRLSF SSLNITGQQSPKKQQTSSQSPAKKVKFCLTKKQARRENIPC >CAK68635 pep:novel supercontig:GCA_000165425.1:CT868062:32912:34157:-1 gene:GSPATT00037420001 transcript:CAK68635 MNNIIMSSNGTTYALKAQLGSGSFGTVYQVLDLSSNKYFACKIISKAMLNKYNAESMVRQ EIKIQSTLNHKNILKVHHSFENNQNIYIISEYCSRGSLQIPKTPYEEKEVFNVCISMLGA LDCLHQNKIIHRDLKLENVFLHEDGTYKLGDFGWATYINKVEPILCGTTEYMPPEVVLKQ QHDYKVDCYSLGALIYILLHTHFPIQANSQTELIRKITNQEIVVNSHINEDLQILIQALL TKDPNDRPTVQQLYLSRWIKKQMKLNNIFNKYENEELKNKFRHKNLPIKTLEVNGSVKTS LSDSQKTSLTHSSSTQFSSSNNPFSPATNKGNIDQIFNFKDFDNINSVPQPKLY >CAK68636 pep:novel supercontig:GCA_000165425.1:CT868062:34247:34946:-1 gene:GSPATT00037421001 transcript:CAK68636 MNNNNCLGVKEIRQKCKSFFEQYSNRLPQQVSKSRDAQQYQSLCQHEVRKFEKMYLKPKR SVQYNSIQTESNQVDSYAYCQRRQSTEPKGNSKKRSHIIKTKVLSQLDLYKSCDIDTFNN QEIPIGDITIQYHQNSENRKASVINKENQKEDNLRLPILKKCLFCKLYKFTLEIDKSDNK VNQGKLPKFGESLVTPFFGTKKPISLDKRPCEFQGLVYKRKK >CAK68637 pep:novel supercontig:GCA_000165425.1:CT868062:34968:35792:-1 gene:GSPATT00037422001 transcript:CAK68637 MLVGKRKCFEDEPSETRNPTFALRRRITQERNLETLCQARKRITLQNLAIIQEKYPQIQQ SDILRLLEDFDNNVEIVFEILGQKASIPQKFEIGDADFDNYKKALKEELLKRLQQSQNIQ SAQQVIQLCFDAFEQKLGEKYQSNTQRLEDENKLLKQAFLKQHKRIEKIRVEAENKEKQN QNLIKSLTEENKQQQQINNNLTILLIQAQQNNTVSTLNNINNDIY >CAK68638 pep:novel supercontig:GCA_000165425.1:CT868062:36382:36906:-1 gene:GSPATT00037423001 transcript:CAK68638 MTTSCLAIEITIFYKAGPQDKRRLIFYYFASQILEKITKKRKTIRNSQVKNSQMQMPKVE YSHLEVFSWLQQQQGKKNQLPLEFQVFHSQMESWFWEFLVSAVFSYNFSQILFEPIFVQK KPEVYSFSVFDFALAKKEARLKQLRAELTSDSSNAAYF >CAK68639 pep:novel supercontig:GCA_000165425.1:CT868062:37416:38303:1 gene:GSPATT00037424001 transcript:CAK68639 MRSQRVVVLLERLYRLDEKLGGNERKKNVRNPQKEFDQMIEDLKEEVEMISAKQKDRDQR NQKYGNDEDAIRFGVEIRESILKCESQYKSLEKTLLRQLKKPKKYNQNDLELRVTSFKLL KIALKGTKMREFGVEEQEFKGVTLNELKNQVFEGGTQFQKNQLEYQEQLSLEDQEAIQRW NLNDEYMDKQLDQIIAGLDQMHYKVQAMSQKIDETGGRVKELIQEVDKTNQSLTSANKAL KRLTEVYRRPNKFALDIAFILLLLGLIATVVTTVTKKR >CAK68640 pep:novel supercontig:GCA_000165425.1:CT868062:38319:38970:-1 gene:GSPATT00037425001 transcript:CAK68640 MSEPKKKLWIGNLAYKVQKDDLEELFKQYGQITEIKVLDKGPHVYAFVEFEKVEKAIEAY NSLQGRELKGQAMKIEYASGRKRSTNDRDKERGRGGNDKYRKRDSNYKRRSRSRSVDRHK KKPKSHKRHSSSSESSRRDKQYNKQKQPARSPSDDLSN >CAK68641 pep:novel supercontig:GCA_000165425.1:CT868062:40542:41247:1 gene:GSPATT00037426001 transcript:CAK68641 MLRLATQAIRSMVQIMPVIRPIQLCQKPVQFFGLARLFKQTRISGQARSKTKSSGSSWKK RIRSQNRLYKIGNHKGLMKRVKIVGPRRARRLKFKSPGSRHLNRNCSKANLRRKRRTRYI SDVDMPRMRKLLPLMKRQKCKRGS >CAK68642 pep:novel supercontig:GCA_000165425.1:CT868062:41258:41786:1 gene:GSPATT00037427001 transcript:CAK68642 MIRGLRLLQMRPIFCFAADKTPATTQGKQQQAKPIQVYKDGQLITKNYLQLKKSQDIEGY VLTLVKNYYRTTNKSALTLDSELEQHGLDSLDSIELSMQIEEDLGYVISAETLPVLNKLR HYVNYIKQVEQYKVENNASPLA >CAK68643 pep:novel supercontig:GCA_000165425.1:CT868062:41999:50551:1 gene:GSPATT00037428001 transcript:CAK68643 MAAVIITYMLASKLDKISIFPTTNEILTWQIKSEFFDGADLTYTLSDSQQKEFQIIHPFE QTGRDSSHKNVASNIVAAKAARISSSGFWLNMFAFLDQSEDEVYLNIAEGSDVRASPYFN QRYLVTKRNNSEITCFDVDFTNTQQYIVDCQKQVVQDDKIILQNIFFVLSKDGTKNQVFT EKAIFQTYKQRFLGQYTVTNALGITHQYLFRVTPAYAVSLDSELASDSLVEVYLISASGE PQNTNFVLDKAVLADTLGVPKIDFSLVDFSVQPNGDIYLLDAFNGLYIVKYLPNGEWEVQ NIVYPRTGQAFAFSVNSFIKEDESLAHVIVVQGYNYFIQIENMEFKYIYDLPFIQLEYPA YIKLSQENVIIRNEHTLYLYNIDTENVQLNSRYTLSQKDIILLNPYLPDLVVISNLLSKR YTLSMGHLKYMGSTGAKAVKDIILTAYAPNEQKCSITISYQILNDRDPQIYKLANSAHPF PKTLVEGDTHQQLQSQASGPNIQYKLGNKELEDTQIQGVINKRWVLKKDSIVWPTNVVYA DVLVLEKQTQFYQIIQTDDLKLQIWLCDHPSIFEQEFNCQKIVSGIDLKVTVNKQTFTLW RHDLNNIYFMYKDTDFSVIIKYYYAGELKVFKQLTYDKTDPMNKIKSLFFADNYFFIIKA DDTILAYSTYDHENHVLAIADANTFKPYGYSLNWKPQRLFGNRKLHPNLIFVVNQDNIVL VDFHYKFTFLQEFAFKAGLEAELAIAEDTFFIVYGQSTQGARDGFIEEYDFSRLNNIFKI KTLQLYWYQITSPLTVDQSEENGRLFVRAYCKYSKKAHILVFTPDTLQHDTLFDAWSISN ENIPDDDKIVMAVDGDQQMFLYTYVDGQTTLVSEMKNSVMTLKVNLDNAMYNSNMNLNLI VSNYDYPDEPFNTVKIQDQVQLINTQSIIEIDNTKFDLFKSGVILNSNSQQKNVELGTQW FSGQLVGIDLECEQCENHIIVQNILETNNPKFFNGFEIREVIKYNDDANIFVATKGLIFT HPDNTLMATYHFDLPSPTYRCYQAQVSSDKLLIYTLCEDKGQYSIYVTGCLSVTGCSPLG EKFKIEQASKIQLIDNDIMVVLHTDNFQYSDVDGRIVIYQLKKGLKTWNLEVLDVIATQT LNSQLESPLEYFRPSDFTVIKQYQNQDQTLYKIIISNSKNGLVFYDFTLTNMRYQFQSVE SVDLYNYLNYEVNQYAFSNTHFLQVRLTEHPTYDKDQQQIQLQLLIQTSNAAHYGISFTF KKQDKSASTKINSKQLDFVLNRYSNWPSINKIATNGNYIAIPYFNDNALLVGLYKKSASI PTTIISGTYLQYIQGQHISPLNMALFFDLSNEKSLYASELSGPLKSYALLDSPIITFNNQ NGDLKNQTVTVFLQNDFNIEKTQFKLEVRPIDLNECRTKITNIQIYPSTTEVMSWQLSNG IFEGVGLKYTIEDNQDFTIIQPITQISSSQHCTQVIGQVSSIKAQWLLREWNGHFAFLDY STATNGLYYTKVAAMQNQAPSFSEIVYVDPEAPTIKCFDFDYLNSESADNFIIDCQLDQQ QIIYIIKGKTINSISKIKSQFTTNKLRWFNRLPYDDASYYLRVTPAFSITEDGSVGKVSQ VELFHYDGQEITLHSTIDNAKFAQLLKKDKIDFMLVDYKVYNDGTLYLLDQKLGIIKLQY NHKDKDWKLLDQINFTLQQYVAFDIDDYVNEKGQSVQHIVVLSYNYFFVLENKVIVKVID LGYFATTYPQQIQISQRNIFIQHDNAVFVYGFNDESITLLDKQAIVGGYSVDKIKDEFIA IGQKESNLYFFSQGALKYEFKSGQIKDGEVKIVAKSLNNQQCQSNIKYSIIKSDDSKIYK ITESDAPFPPAADENTVIDLGELTSGPNQKYEAVYETTENNCFMTELEAQEQIRIVQNTK FEAQGQPSNILFQTSIFQPKQSTIFKIFQLKDKSLALYQSDPKLENNIYKFTQVGTIKDI TVELNDQTFSIWQVGDVIVRFATAINEYKINLYSFNFFMIELLKTISFEEKEGQQIVSIL NQGEYLFILQKNGVLHSYQISKFSFVNKVTGNDLIGYGGQWMPIKLYGNRVLKSNIVFVQ NENNIVLINYFNTEFIFVKQMDYTKGDEIYISSAKDSFFLVKNKEIIEYNYQNLLNIFKS KTVELFGYTIVNPLTVKFQLSTGNLIIKTSKAQDFYLNIFRPSTTQHDTFYLAISVLTLK DDPQFTISVAEDDAILLSINHSLLQAIHKIEKSPLLVFNPKFDEKTYFYNAKVSVIVKSQ DDQNNQVTFKQNVRLVNTFSSLSVKNANYTISTNSTKSVEFPVEAVYGQNILTTLKTFYV TEGNVYLKALVQQLPRKKIVDYKINYGYSKDKDTFYLQAKNTLLIGTNLGEDFSYRPAEY TLSDQYNCFRTDNYKDMFYSICNNGVENQLVLTKCVNKKCQLVNSYLAIPNGYQVIAPYE DYIIVLFYNPLSPQDQDGEYKMYRIIQNDAFYVQKEVMSMNLEFIKTNLNKDAVTFRPSG MTGVGVEISKETYVYLSMVTDCFDELRYNSFLFENKDIQPTRFGSISLKKLLSKQIIDQT LFLSTQVKSSLFEKDVLTVDLVILTSDSGTFWIVVKFKYNKDEKRFEHQQSDIKSTFVKY GDWKVLNYLAVDSSSIGVAYYKDNQVTVGIYQILKESPYFLTTGGNQFVVTNQQDLSPLN FLMFIQTNQLYNSNPNEKGLTKFMLNTNSSIVITEPQKVESQNLTLTFKNDFSEAVQKIA LQIIPIDDDDDSSKGLGAVWIVLIVLSSLVVLVLMGYGYYKSQDPNKEEDAQLV >CAK68644 pep:novel supercontig:GCA_000165425.1:CT868062:50628:63416:1 gene:GSPATT00037429001 transcript:CAK68644 MNQKITSSLIVFLICLSIVNAKSTGCSSKVEQLQLFPTKGEKLRINLHDNLFEGEDLTYE LQDTYFKLINPVQNTGGSSTHYKPASNIRAAKPYHTKKMQSWLNSFVFLDQNALEVSIQY SEGTALDKIPTPGFGTKVVIANVVDNLECYDVEYIDNDKFIVDCQKEVTEKLIKKQIDVF YIYSKQSQKVTSFEDEASVKIYNQRRIGLYYSTSALGKETTYIVRVTPTYSLDPNEQLSG NSIVQVYTLSDNYQPLPRPYILDNVTMAFLLGKTDVELSIVDFEMTYNGYIFLLDAQYGL FVVKFQPTGKWELFAQADFQLGRSFAFDIDYEHKKDGSELGVVAVLGYNFFAILDTEKSY VHNLPFMQLDYPATIKISQDNIIVRSDKQVYFYKLDVDTDTIYLNYKVDIPSTDVLLVNP QEPDLIQISTQSSNRYTISNGYLAYNGSDKVQDKKVVAIKAKAPNKQECQVFLSYQIIED NENWIYQLFDKPVPFPNVIADGETDQVLKKLASGPNLQYRLVKPTQLEATNIKATIRSRV ELSLNQELPKNVIYAEIVSTEDDTQFYQVLQTQDLKVQILNCVHNSIYVEDAFCELLVAD IGISSKVSKQNFSIWNRQGIIYFMYISEQYNVVIRAIHNGAITPVKTISLDSTDTANKIQ SILNCGDYLLVHKANNEIVTFLIYRPELFALTTLNKNSFSQYGYNEEWEPKALFTNKKLH PNILFILHKNHILMLDTDYGMFSFIKTIPITPNLDYSIAIGQSTFFVVQGKSAQDVYDAQ IYEYNFAEINHVYLQKKLYLYWYDISTPLNVDFSEETGNLFVRGVCLYCSKSFILVFKPN TPQHEALVQAWDIADVVIPETKSILIAANGFKQNYLYLNVEGEQSIFAVYDQTTMTVESF IDSTIYQDTYSLNFQIRNYNFQGKPEKFNTVDITQDVTTISTLTQINLDENAFPYSKSGI QIFSTDDQATFDLGQGWYSGQIGDFEIDCDQCETNVELQSTVDLLNPKIFNGYEIRDHVK YNDKFNIMQATTGLIFVNPDNSLASVYDFDLPNFEYQCYQATVSEDKMVVYSLCNDGTEF TVYATGCFSITGCSPLGEKFKLGVASKIQVVSNELLVVLHTDADHPELIQDGKIVLYRIA MGLDNWKLEVIEIIDLNYLNSVLATPLEDFKPADFQVVKQKSISNGKYSYKLFISDATSG FIFMDFSFSELPEYQFLSIESLNLHEYLNRQVGQYTLPTTKFLSFQFVWDPIYEWSSDEL RVYPLILTSDVSQYGFKFVFSAQPTSNTLLNIKALSAVIVKYGDWTPMNKLAIQNQNLQL AIPYYQKDQIVVAVYNIDIIIENPFATVVPTISVGTYEVYYSEGQWLSPLNLAVFFSKKG SILFVSQLQGPLQSYGIYKSPILTLKNQDRDLKSQDITLFVKNNFYENYLTFKLYVQEVD NHECTAKITNLQIYPSTGEVLTWNLSQNIFDGVSLKYHQEDTDDFTIIQPTTQIGQSIDH KQVATQIVSAKALLTQNGAWSNQFGFLNKIGSKYQILYSDTPAINAAPSFNQVLNVKKID ANLNCLDFVQLSVTSFVIDCQIQNNKFLILIKDTDETQTTPIANTQLNRALGTYKINDLQ FVVRVTLSITNDGQLANDSFVELFQYASGQLQAKQTLDKSKLSTIYGKQIDELNIVDFKI GLNGSIYLLDAKLGIFVVNYDIDWKFQKLITFRFGQTFAFDRTILENKEEAFVVQGYNYY IVIDSNGQTKKVQDLQFNTITYPQSISASANFVFVKHQSSLYVYHSDDSNTGLIDLIESP NLTAIVNPFIQEIVTLSLQSSARWNISLGSLKFNGVNQASQGFKDLTIIATSQHKLTCST RIQYQVIESSSKAPIKKNKSDQPFPQVFDDIFEQFQLGNLISGPNLEYSLSLPKTPLDFS AQVVQNREIQVSNWPKTPLYSTELVGNDRETLFQIFQTQDKKLTISICVLTDHIKYVCQD YGNVQLQEKISDQLFSIWQDSDNIVRFITISTSYKVTSYLAPDGIVIEDKTISFEEKEGQ QIVSILNQGEYLFILQKNGVLNSYQSEKFSFVNQITGNALIGYGGQWKPIKLYGNRMLKS NIVFVQNENNIALINYINTEFIFVKQMDYTQNTEIHISAAKDSFFFANDKEILEYNYSNL LNIFKSKTVELFGYTIATPLTVTSQLSTGNLVIKTSKAQDIYLNIFRPSLTQHDTFYLAF KLNGVKQGDPFQLSLGGSLYIITLANGEKIQQLNFILLNPELIVIPIKKLQKFVSNFHVI MDIMNGDDQTQKVHYEQDIKVANTFTSLIVNDEEYLKSIEINENDGKTEVAIRSDWIGGQ IISTELSQQSITDDITLLPFVSQDQAVLMDYSIYDVAKPQAVTFLQASNTVLSFKGDDLK NAQPVLTKLSEHYKCFRLEADSTRLYSVCNNGVEDQIQVATCDDKQKCQRENAYISAPFA TQIAALAQDVIVVLHTDSLNPENYDGYITIRKLVQTDGIWSSTTLLTIDYDFIKQKFGAQ APKQFRPSSFIHSPTGNSVSLYYLQLIITDSVNGLLFVDLTLSKTTSELQYQFSEYKLLK DWLNIDQYATDDTHYYQAQIVTSQVEYPTKKTLKLALVTDISSSYVFELVFAIQANVSCK LSTIRTIFVLNRYGNFKALNYVSASSSSIAIPYVNNLEVIIGVYKIPTDLQNGAVQTIQG SHTFAHFKKQITPQDFLIYNTDSLFLTSTTQMGVYQNQVRYQQVLKFGEPKNLHNQKLTL TFQNDFRRVVRTIDLSIIPVNKDECKTKLTDLKIYPSQGEVMQWALTQNFFDGVSLKYSV DQADFSVVQPLTQVGQSVNHIQVATQIVSAKALLTQNGAWSNQFGFLNNDGLKYSIYYSD TPAINGAPSFNQVLNIKKMVEHSICGDFVQLSKTEFVVDCQINDNKNLIYLNGNIELNTI MIEDTLMKRLLGSYQIKEVKYVVRVALSITSEGQLAHKSYVELFQIQNDGPIHLATLDKA KLAEITFKQIDELNIVDFKIGLNGQIYLLDAKMGIFVVYFDKVWKFSRFIEQKFGQAFAF DRAVLSNKQEIFAIKGYNYYGIINKDGKIIKIQDLPFASVTYPQQIRLSGKYVFLQNQGN LYIYHSDENNTGLIDIVNLTNSAGIVNPNSQEIVTVSLTSSSAWSFSLGSLKFNGNAQAS TNFKAVTIQAKSQHNQMCYSRIYYQVLASNSETIYKKVETETPFPNVLDENDEQIKLGSL VSGPNLIYEIVQPKSPFASRLNQFLGVAADPDFDVNAQIAHQRSIQVTNWPKKALYQTSL VANNQETLFQVFQQEDKKLIIYSCELVDHVKYECKEYGNLQVQVQLSDQIFSIWQDSDNV VRFITLDTPFIIKFLEIKNNKTVQYGSIKLNEAEEKDELKAVAFQNQGENLFVIQESGVL SSYSTESFLIINSITGQNLIGFQGEWKPIKLYGNRILRQNVILVQNENNVVIINYQHQEF IYGSNIAYTKGNQIYIAQATNSLFLVNNKDLSEYNYEQVNNVFKQKTVELFGYQITQPLS VSSHLKTGILVVRTTKNENVYLNIYKPDQLQHETFYLAVKINGKVDDAFTLSVSGSDPVG SAYIVALANGEAVQQLEFVVLDPELILIPNKQKQKYLTDYEVSINVKNADSQNNNILPFT QKVKVINTFTSLIVDDTKATQQIEIEAKQNSTAVKLTNGWISGQQAYCTLTQEKANDIVV QSYLYETKNSALKNYQFKDAQAFQKNVIFQAMNNVLLMKDNKLEESTPLLEALGQEYDCF RLTSFEKSIYSVCNNGVEDQIQVATCDDKQKCQRENAYISAPFATQIAALAQDIIVVLHT DSLNPENYDGYITIRKLVQTDGIWSSTTLLTIDYDFIQQKLGAQAPKQFRPSSFVHSLTH SDDNSLSVQFIISDSVNGLAFIDFSFPKNTYEPKYLFMEYQLLQKWLNDNKHYANDNTHY YANKIVEESIKEKIKSITLVVVTDNSSSYVFNLQFAILDNQSNKLTKTEVKVALNRYGTW KALNTVAANKQSVVVAFYNNSKVVVGVYHITENAISVINGSFSFTTQGVQKLEPVDFLLY LQESSLIASKVSKGLQQYEIRNDITLSFGESAKLENQTLTITFSNDYRQVSKQIKLSIKP DDGGDDDDSSGSNAWWITLVVVGSLIVLGLIGFFFYKKRKDNEEEQEDQDTGYAPLN >CAK68645 pep:novel supercontig:GCA_000165425.1:CT868062:63443:67085:-1 gene:GSPATT00037430001 transcript:CAK68645 MTHVLYFGKTLANLQTESLRNQQQILTRVCFPENVFSSDPKKQNKGGEQQLTFIKVICCQ NIIFLLDNKSELWAFGMSQEGQLGIRISSLMYPVNISQVFDNKVKLMTASQNFVSVLTIK NHLYIWGKFEELNLGDHIKPKKIEFTKSLDRRYEFNCSDITQILQQQSASALNEEILQLH STNNTLYILSKEHLDVISQQPHMITQKFVGLACNQNHALAWDIDGRVWSWGQYADGKLGY MSFEQTYQSSPKLVDTLQNRVLQCACGINYSLALDVKGDIFGWGKGPFKMELLKATIPTK LIQKNKSFVKVVAGDEHFGALDMIGQLYVWGLNHKNCLSQLDEEVLTPTLFELAGVKIID VAMGPFCTVLIIPADRLQKLPNLNLDSFTIQQKKCFLEEANLIRDFTERRNRQALASMLS PVQNKNPIQDDTEFDKANELIHQMQLRLDTPQYKQTVSTPHHFHSLANNYSSPYKSLKSP ISFDKIQGKLTKLERSNPLYLPILPHLQYTKASIDEDVNLENLIRTDDDELKYRYIQLVK QSSEDQILMQLLDDKINEKPQNERVVNQQTFRRKQRTEPKEIRYNDKFDRLDPHLLQNVR KELADISKDRWKQYQKKKKIKENKINFLKTKFQVTKFEQISKEEQIESTRLQAVEKNIKA QYTVLRKEEKLKTKMEGIQIESHENQQDSQIKKRVEIKREIARQYALNALLKYLNFESFC QMLQEAAERGLQKKKLLFQANNKAKIIQKAIRKRNIIKTINNKLGVKSKKILLSFIFRIR MSIRIKKKRLIFRKINLHKLKSSIFLKVRTNLNVMVKATKNMQQFCRYYNQMMKIQLSYL NYKWDEYMRQEFKGIIIDKEKEEQKLNQLQSLNEKQIQIMKQLSYPLKSQKALFKEIVLY KKPKLKLDILRLNLLKKIPTKIINEDEQKEVKFNYNNVLFPYTKYVDNIVIPQNLRIRFD YQEIQLLNVLEKINLEEAKLKLEILQNYQKMQIKNHIQQCMNYFSALLDYKQKNKVLIAT ERMKLMSKLSSDEVKQLKQKEEQEYRMMIEKREINMKRTRLNGSMTKENIFDKIRQFSSD SYPFQMLTYKLVVYLSQIEQPKLQIELTHKEWVRLMKNYHSDFKIRLQSIMSEARSAAAY KARQIKRSPTIQLKRSPTIGSKTFQSKKLID >CAK68646 pep:novel supercontig:GCA_000165425.1:CT868062:67543:69457:-1 gene:GSPATT00037431001 transcript:CAK68646 MSDSKTTPRNHGRSKTKTSENLQIQFKKPSVANERLVVNKLGMKFDPVCWKKNHEKGKIQ FVCIESNCNADRRLACAHCIIEEHDEHISNKVTVEQYCDTFENNFKSYLFQVAKLEEYYK KCKMMDLIDQIDKDMNTVVEIVKQQLRALRDYYVQDIQIHAEQNNSSLFTFYNAERQTLS KIKEYAHKNLFEMTPAEMDHSMLLMGPIHLDKIMGKLSEFDDQTSTLQSTMKSQWKLIYE QLEESLIDIIAQIGQNNLDFIQTTTKEQEQYQFANQYQNLDIQKLNQQNAKTSNKQVNQL QQNNSMQAYLSQQLPPQTLQYSQYPQSIKKEVSRHSGNTVQQQSSKQQSSNQPSSSNQPL SNKQLQSNSPKASSENQSFKQIQGISQYQVISPLKSGVNTASFGNLGSSNQKSLKNIIQT NNNQAPIQSQTEQKNYSNPKSSSQIRQASPNGVNYYYQSDGKQLENRKNANNLQSLNSSS QQQQQQQQQQQQQQQQQEQLSQQQQQQQSQQQSFERRLSAQKVQSTQFTCNYNNMKCIHN ELIKASPIFSCCNWAFPCYECHDMISTHKAHITVPSQRYCQNCHETFSVNLMSTVDVKCY KCQ >CAK68647 pep:novel supercontig:GCA_000165425.1:CT868062:69486:73872:-1 gene:GSPATT00037432001 transcript:CAK68647 MSKKSQIFEFKDDINGRVPVVFQWSFDFNFLVCGGDKSLVYVIDKRGKRLKDIQLPTNNK LLQLEWDKDGEYICIVQDGLNYVNLWAPFTSNSQLLQIELDNVKAKVSYAKWSKSHGILA IGSDKGGLLFYTKKQAKKLPTMGKHSKKIISGDWNNEGYLITSGEDKFLTVSNYNSETMF ESIPVKAEAKNVIWSRTKTDSREQNQRTVTAIIQQKTIIMFELGKNHKMPDELMFENKYG KIVDYTIFGDGYLVIGFSEGYVAHISTHRKEIKDEVQSEKLLKQVDAMCTNDSLYKLAVA GDGCIKMVNLQTWQEAPTEKIELPNDCGRVSKMVWSSNGQILVVSTEKGCLFGFQTSIPT LTSSYSSMIALQQSFTEISIYSTQEQSLNEISTVSLENEPNQISMSMQYFAAGLNNMVFY YKYLELKNKKAFKPALQVLKMDYLGYVNQIILNDESAAILSNNVCTFHKIDNLFHLYRQF PDNDQEKPILHIGLTKNFLFLLDSQSKIRVYNVIEKQFIIEFKNDYNLTKIFPNISGTRS ICINNKGQGFLFEASLEQLTKISEFPEKTEKIIWDQRDPNLFVTQEANHLTTYIVNKNNL QQEMVHPVLEYLSMEDLQKTAPPNPSVTEIDKGVQALSLANGTLKCFTMTRNVMGQPLMS HSYLKSYKGADDTNEGHFRYFLQNLALFKFANAHKAALNLKNLKLFDTFGRKCLENLEFD VAQKAFQAANNISMVMMIQSFKNETEKNLLFAYVAMILGQHDLAQDLFLKSSYRLGALEL RSDIQDYVNALSLAKKIAPEQEPFICKRLAIQIETQGNNPEAVKMYEMATLNEKLHDSKT VEIHNQQCVAGIARCSIKMGDILRGSSLSRQISDPLILQEIALVCENMKFQVEAAELYEQ AGCIEKAATIYITQKMFKQAAPLMNKVKSPKLLILYAKAKESEGAFQEAENVYEKAEDWE NVVRLNLNQLNNIERAKFILRNKCKTVTLALMVAQYCERRGSKAEAIEFLILAEKKEQAF ALAQNFNEMDAYVEHMKVFSLEERLQVASYFEGKNQQDKAAFHFEKAQDPMKALRLYQQA GEEFINDMIDLVARNKQESLIQNLSDYINGDTDDIPKDPIYSLKLQKAIGNLQAVGRIAI TIAASEQECGKYKEAHQFLYETCDDLKSSGNQIPFDLYQKLMTLHSYTLVKKIMKLEEHE DLAKLLDRVCKSISQFPNGATNILTMAVIEATKANFKSLAYQWAITLMKQEYRSQINEKF KTKIENIARRPIKEEVPDKKTPCPFCGEFVSEFCLDCPKCSNNIPFCIASAKHIVAEQCC LCPECKFPANIQYLRRILEIEPICPLCQKQIRPQDLKEVTKEEVLKLKRKAVVDEKKQ >CAK68648 pep:novel supercontig:GCA_000165425.1:CT868062:73970:76555:-1 gene:GSPATT00037433001 transcript:CAK68648 MQFIPVMKACSLVEGNFLNLYGVENEIIYYDIREFFSLEQENFLSLEPQEIVKNIKIINT IEQEYEIPLQLESHPRDIRLGNKFNQNLESTIIIIDEEDQFYEYSLISQQLVKFQIPDYH LNHLDLVDGILLNVYDKKYIIIDESTIAQTYLMLFEEVFYNQFEIKQQAEYVVAFQQNGE NNKLFGVGNTIYRINYNQIQIYQIEQDKLNLKGFIKKSQNNHECGPLSNIIKAKIHQNSI SFIDSNTLVIVQLIGNINDEINQKCIKFNEEIYNYDYYEFLDEYVVVTHNQLVFGNQRRD LNIQAAKHTTNVFLTQNNILFVLSSNIELYSKELKFLDVILNQNQFVIEVIPETDQFISI DKSNAKLFHLIQSPMIRINKQNEDIDFQLNQITEQDECTLNVHFSVQQINYNNLFEKLKN QKLVPSTAWKLYDCNQKQNQISTLEQLIKENKAKLIVELPSLSQKEYILIREDQNEFTLI VQQQKVNSYEQYQFKLEIQDIEKSDNQLWWVLDDEVYFTFHLIDRCYIFRLDYGIENLMF HKEITLNYKLLKVVSSGNYLFILGEQKANINVLLYSSISDVQQEQKKIQLPTIKDIFASP LQKDYLIIKMEKQLVVYHLLIVPQQIFKEIVKPSETNVIIFKKYFVVLMKSSDEKEKICE VYFFKSTQKIEKLGRSPMEQFSQIQIQDTLVQTSQNVFYLKCQVKLEKIKRNALVAFKVG KSIYNSLMFILLRNKNSEYQVISDLLLKQNSDQLMPMISIRNNEMCLIQEDDEKIIDFEP LKDEDLNLVDGDDRPPIVDNSGDIDQDNRDDQNDDDNTLDLESDEDLPIGSFLVMSTIEE ALIYSLFRTFGRRRVETIDIV >CAK68649 pep:novel supercontig:GCA_000165425.1:CT868062:77375:78772:1 gene:GSPATT00037434001 transcript:CAK68649 MHTNNNNLRPVLPKLKIPNQQKQLLSSPLRSKFNDERKLERIVEKRNFQKQLIDLVIPLH DEAQQSIVNSDFSRNDLLKHYKQLPSKPTLLFEKALKGLHPLQQSEYRSKELKKLEKKVQ VINERNPLQESWKSPFLSQPKLFKSSRNDDEALSQEMEQNAQNAVLLNENLKGFGPRLRT YLDYDKNTVFERQKGNPKYLYRDSLIRMIKNNTTKELDLVKEWNLLINYDRLVVYVDIQR PELRLQQFGTISSISHYQSIQDFHKKKLQQTNTQPTPSSQISPQFLVKNSRQSNSSDPID FGKSNQVLLDVSEVEKVQDDIAIRKDTFLTYCQVFWDLIRKEDEIVERVVWAFCKNDMMT FTSFKHFYKLIVFQEGTFEEYIKFTYDFFMGSERSEIPFTEIQGLLRLLAQRIDGKDHVL SDQILMDIVSQIHRPQVISRDTLQQLISEDKIPPTVIVKMVYEQA >CAK68650 pep:novel supercontig:GCA_000165425.1:CT868062:78918:80711:1 gene:GSPATT00037435001 transcript:CAK68650 MDEEFRQKYSFAALQKYVSEKEKDKDKAIFKLWNGMNLDELGMSVQDNKLPGQPTKIISP FIDDNATQPDPLSKPHDWIKQKLMPRQNNVNRKKEMFSQFSEETNFYIFYNVMDEEQQLY AVENLYARGWRYNSRKEQWFKDLSLINKNLYSGKYFSIPNWKIMESSIRFVHTGIILQQL KLMNFIEQFQKIKCPKHPQAPISNICLNQECKEDQYFCQNCIKFHLTHSTQIIYMKQIDK LLKRHSNVNEIIQDKQGLEAYNVFKSFKHAVEDKLNQLEDELRQLILKLVNDQKINDQGY KFLQSVNQFNEQDIKELRLFLINQQQNIQNNQINLKEKESKQQLIQLTSYLQDKIPHLQK SVIDQLDCVNSTFYTKTERVERLKKVWISDDGQSKPSIYDKTTIRANVFQVREKQLYLIG IFQPMLYKGSYNSTNYDSQAKTPKLIFKLHEDTNLTNYIFKQHKVLEHDKLQVVDGHLYF IEFRHPIKLLPNKTYTISISTKETKLFQTYHYSIPVIDHPLIKWQTEDLTDSDIFVKGPY VQHIYSYANIDQIPSLLVKT >CAK68651 pep:novel supercontig:GCA_000165425.1:CT868062:81041:81814:1 gene:GSPATT00037436001 transcript:CAK68651 MDTLRLSTPQIDFEYSKLDNMHSSITLYNDTQYPIAYKHAHQFKATNLNIFLVKPPLGIV SPRQSQLVNIILHSKVLENSSIKEFNEKLQLITTIMPQGIQDAGPIFLDRTRRFEKQRIN IAILTKDRKIIAQSQGNQSQMFQSVNKQFGQVEPTRVIAIPTADKAKMEAEYFCNKFEVL QQEVTKKEQELQDVQKFNSSLIERANIKPKSGKLDKLIFLISFTLSFLLSLHYQNQILSV IKL >CAK68652 pep:novel supercontig:GCA_000165425.1:CT868062:82587:83768:1 gene:GSPATT00037437001 transcript:CAK68652 MSLLPSHPMAILTQNCLKVRVFSNKQFEYKQEWNYKNFRTRFLSVIIGIRNQLQFKTNSQ FSKQKNQSAHISNTQREQLSKYFSYCSIYLILNNWIFHQNKIDRTQINQIFFTISQMSHS SKSRKQIVKHKGMCDKKNLGIMETGIIIRSKWTPEEDRKLIANMSLFGHRWLMVAQKMEE RNASQCCQRWKRLQQHKGIRQNKGKRWTQTEDQALLRIFKQIGPYWNAIAKQIQNKTGKQ VRRRYKNFLDPNLNHGPMTDQEDEKIFQEYLKQGTQWSKISVSMPGRSENMVKNRFYSYI KQKYLKQPNLYFRIKPLDQDERPQYSSDNDLSQGSQIQPNQQEQNENDLYNDSNELIGNN LSSYYWSIETRCPLLTNI >CAK68653 pep:novel supercontig:GCA_000165425.1:CT868062:84143:88760:-1 gene:GSPATT00037438001 transcript:CAK68653 MILVHMYLLALMPVMVLSTCNIIQQQDHVYPTVKEYYKWEIRNFIQGDNLIYQLDSPTSL FQLEQPLHRISEANHLAPHIEMIAIRAFDIPETGAWTNDFAFMGQDSSDDTWWIYYSEGK QIQMNLAPQFSNELNFLQNNPLMKCLDLEYIDRNTFLVDCIERGDDTNLGKNYIYIVKKG DPPVITSYHWNSRKYKTVNDRKVQYHVYNAQNIGEGGVSDEVPLRILLRGQYAYGTPGSS ITNLDGDCMIELLTNNDQNEFVETNQILDRNLLIKDLQIKAEDQENFKFKMIDFKIMPNG DIYVLDAQNGIYIYFVTSRSEFKFKRKIEIGADLAYAFDVNNKIDLEGNNHVHIAVVHQK SVVEYIDGQQTGGWLDAFDAKHPAFIFASQQFLIVNPGGNTFYIYNSDHQYLIHTEVLLT KIYLANPYEPDLIAITNSFAYRYEVGYARLAVHSYDATLGDNEVEFSATATDKKCSASLK YQIIKEGDTTIYPVDHDPFPQVMQYPSDPIFVQELAAGPNLIYNNGDDSQKDHVIVDIHT VWELNVTGLTFPTVTDVAYADVLIDPHWSGAHKFWFLYQLQSTKQIEIFECTTKTQLSRD VHCEEHAKFKIPNILNPSTSQFDWDAEEGDVLTLQFIENDYQISIYESTHDQSGPLFKID YEQTTDNKITSFTVLRKAIYVVLPNKKEVDAWFGFFPTPTQHIISSKTILMQGSERVFEP KRVFGNPALKSEFVLIQSKDCIFFGDMRNTFTLIYVFDIIPGADVRAYLGTKTIFIVQKS DTLGFKIQEYNYEKLNNIYLMKELPLYDYVIQSPLTTDYCYQTGFLFVRALDPSSQETVI LVYESNVLYQLSLHKVVKTHLKINDGQVMNMAAAGHDQMYMYVNNQQNIQKMIGFLRDAI AILQPSHLTNQYVTNLMATVNITNQVVTKPYQISYPIKYVNTQTYIKVDQEELSKQKDSF VFLNQAADQFLEIKTTGWQSGQVIKYDAECNSCGSQQIKMLNPVYNLTTGQQYQQRFIDG VAIGTSMIFLTSDSLILQDETGKYVGTHKLPLGIGADCKSITATDNGKAVLCGCEERGAV NIYLVFCDGKTFTSATPTVQQVGQNAKYPSKLLYKDGILFILDNNNNDPTQYDGSVRVHK VNINEADKSWTFETGKVINSQFLSTEPTVPFQPADFDVIKYEVGNVHYFKLLLSSALYRV WFVDLYFDNGTIKFGQHDKFDLFGLIDKNFAIKSHTRFYQIRAIKSTKKDKSLLTTALIS TNIMATYAVTFTYDITDPVKGAPVSSDATVPFLLANYGTWRQMNKLTLFEDHVAIAYTNQ QSIMVAVYLLPTIDHNAIQDSQTKVVTLIGGEEDQQMLQISTYFVMTLSKDATLTRPVLH TNLLFNDATFENMLIKYGVTDYPRLFIQKGDQVEAQNVYITARNDYGSAQAVIHLTKKNP PGPDPPGPEPDNDSKGSSNWWWITLIVIFGVAILGVGGYFIYTKFFQNRKTALQVQAY >CAK68654 pep:novel supercontig:GCA_000165425.1:CT868062:89741:93946:1 gene:GSPATT00037439001 transcript:CAK68654 MLLLISIFLRHLDACNILKNDLEISISTYENMYWEIKDELIEGELTKFRLEGDQNGTYFK LQQPNDIYDQKPFPYFVEIQEIIKARSYRKGEFWMNEYSLLGQDSQGFHIYIIQDEKFGQ LSLHHPCSDFDYLDENNFVVACHDNQNLIIEIINKNGTTLHAYSKFIQQKSRINLAIYKT TIPYLLIYIPAYEEEVVSIQSTIKVFIIDSFQIQETPYYLDKQKLSELFQNELKYFSVID VKIYAKKLFVLDYRLGPISLVLDGKGNFTNAKLMTSSSYITEFYSFSIRNNNEIIVLGFV QKNQMSMRVLKNGRYDDVVRTFEKEDFTLGLCGVQITPQYYLINSNKELMIFDDKELVDK IQIYKGVFIANPSFDLYVFFHSNKAEFYEISSGILTVLKQIQKSDNQKYFKLFESKNANC YVDIVLQVYDKLDAKIHTNFQTNFYKSRIVYYPPYPQKYYTWPRITNGPNLQQQITLLKD QKHKIDIKFLSLSPLTITGISQFDQNNVIFSLINNNQGLNQYLVQTENLSAFIYVCELNE LEQKCSQKSTFFPLIKLVEDNIKWTEVGMHDSYIGIKTQNNHVVTIYKLGDSKIVQIFQI KTSLQDERTQITDFAINANFVFVLITGMDEIQIYSLSLKQQIDTISNLSNAQKVYVSNTF NKNLLFIKCNNIIIIGHYVNEFNFISQIQVTDQQNVQLLLSIFRSTLVIVYKTVTEQGIL QYLIHNLNSVTLLRRLPLYYYQLPQKLQLASNPLKNLIMVTAYSVYTQTTVILVYQINEY QRNSLLTVIDTFDYFESELNYCVAGMDDTVIQFIYKGNVYSYLYFKQPRIYFNQVTDPSH FQTTLKLQQQEWNDYYNLRREYNKDITLVDMQLEMEWVKTNINLRFEECEKVQSIQIDNN WIQGQRIQYKLSCILCTTDNDGGQIYLLNQFQKSNRQIQTKSIQEIMKFDQNYVLILSEL TGTKQYLQFVDNSGDIANEIELQSEQQGYVASKLFLLTKQILVVLYENKIERQTELWYYY CWEEQERQDCKVMGKMEFQQRKIITEMRYFNNVQIILTEGQTEHPSIYFYSLELDDKLFA VQQFKLIINKDYFHENFNVTSFDVMKLTENEGCLILAEFRIGIIALKMTLTKEEVSVQQY QYMLLPFIQQKLVEPQENRLIKVEIFKEGNNNCNLILVDEINESYQMNLNYEKGVFRIQI VQVFQKLGNIVKLRSIGVLDHYLIQLYRYENKNYYCLYDIEETEDLRSNAGICKAGLKQV GYDGRFSYNFVVRKNQIGKYQLLISTYEDRILQLYTIDSAARIVVRNSEELRNYTVNLIA VNPYKQVQMAINITLQSCPIADQDMTLFFILLIVVLGILLVGIIVCVAIHICINKHRKRR RSYKAIQ >CAK68655 pep:novel supercontig:GCA_000165425.1:CT868062:94185:98510:1 gene:GSPATT00037440001 transcript:CAK68655 MGYVRTTEPIQQMGFGTNHGDEDIGTILTLKPRVNQETRAWMNDFIFLEQNETDVDIHYS LNDPMNTQQTPYFSDFITVHKSDSLVCFDADFVTETTIIVDCTTALAGIANGFIFVDLKT GEKHIQNNDNPHNYNKTKARKIATLNYTAKSSEYDQVAAPAQSFLFRGEPAWATENNTQD KLDTDCELEVFLLNPKSEEDQKYVAMLNTTILKTLLPEPVDKFSLIDFQVEPNGELYVLD AFNGVYVLKLTNANQFQLRQHFENPSKEQIYAFDFNYLSNPDGSYTQHLVLAYKNKVVVY ENFNQKFTFQLPHPAVYGELRLSMSQQFLVIVQKQGTYLYHIEETMLLFKNEDYFEQVLV NPYYPDMVGINQQRAYRYELSKGYLTMYSKKEPTPTQVQFNLNSWPQNGGKMCSVLMKLT ILPENDTNIYRTSNDPFPDEMVYPSKSIVVDLLASGPSLMYKQLANNSHVDLTLDSMWEV FVKGVDLPEAKDVVYADLLVLDRGDRVYFLFQTPDQNCTMIRCINQDIKNTTLQKCGKID EFHVPFILNKTKNSFTWWQNFNMVTYVYQETDFVIKVFTSTGQSSQTGEIAFDAKDQGNK INSFVYLQNAVFVVQSAKYQISVWTVRGDIVEIYKITQGVLRDQGYNGTFIPKAVFGNPK IRGQVVFIQTQEEILIGEFANGNDIHVFHLMEIIPIIPKSEVSIAIGPLTFTIVQKTASE QILEEYNWEHLQLISLNKRLPLYQYQLQEPLNIDYCSQSGWLFVRAIDTKIQETVILVYE PNVLSHVSLHKVIKTGYKINDVFDMAVDGDKQMFIYFNDNKVNKFVTLLQDAVLTILPKE EVLQYVNKLETSVEISSALGGTAIVINEKIKLMNTDSKIVVKQSSLDKYRYMFQFKKNDN DQILDLKSDWYVGQPYDLFILCDQCGDQIVIQPQIVKSSSGTDMKYVIYDGTQFDSDTLV YQADKSLIFQHKNGSFLNRISLDQSLGQDQRCELLTVTKDSKHIISTVIGTGDVSVLVNE CTGTKCKQFEQGEVQLAGVTQAIKMRFVFGRNFIVLNTDPVSYSKFESSIIVYSFNTTGT TFTILSQKVINTKYLGPTSQVQIADFCHLTVSESIYAILLTDITRGVWIVNLGSNVDGSV TELNKELINLKGYEHEQYYLKDDTHFHQLKILSQEQSDKIFKIQLLVTTSNVANYIFYFE LEISSKTGASYIKQSLKLQQVLFNYGDWKSANKMSFAANHVSVAYTDGNEVVLAVYYIEV KKLDEVKSVPFIYGIRADYNSPLPADFAMIVSQYQNQTYLYANIEYDSQFSEHTVFRYSI YDNPKLILKNCSTLKEQSMEIYAANHFGSSSGKTYLWDSDNVPDDDDSSSSKWWWITLII IFGVAILGVGGFFLYKKFGKKGVEPLLG >CAK68656 pep:novel supercontig:GCA_000165425.1:CT868062:98795:101432:-1 gene:GSPATT00037441001 transcript:CAK68656 MQQNLENAQNMILNYCLVGMGEKLIPMMKNQRRFLTSIQLHETKCQTLYNEHNTILQESL DIFGNEKLFLLCIYSEPNKDPITNITFVDVEVRESQIQFKYKYSLCPIMKKADVMKSVQI TDWGLIKDQERFDISPYLSEQNKCYVLCYTRKTDYVPIINLVLEDYDPNHDLQDTVQFLS FSKKQIQLIRCKQSLYQTFVEKPPLKQAYTPEILDLYPFCYKESQKQKHYQNLFNDNPSI SNYCIPEGIQILQKTQNENPNQNQITYYQLMQSPKGDLIYIYCLRYYEQLTKKQAQKLKL ENYSNLFIPKVMALISYQNFTYQFSQLLTYLYSIRIDSQIEVNIQKSLQQHIERVIQQLK YSIILEKISLKLILNDQCFLFQKHVRYPTCQLKSIDYVMHNINVDNIIQLYTAVLTERKI RLCSSSILNPGYVIEALMTFIYPLQYQKLLICYLNYDNSQIIETPEPYIVGLPETLPQPA CESEGYKFILDYNVAYNVCPPPEFPLFEKLKKKLEPFARQDINETNANLIKGIFLKINLK ILSHAFDYDIKQKNWSIAFQKKKQSQYPIKGQFWEIFSRTQLLCSFIDNNQSNRQLTSYI NYFNASFQQVNENNNTRIFADIKTINISDNNNSLIQSKNKQQFFPYLTPYYYIRNKQTAL QTQVYRIWLQIIHMFQLDSKEFQELATYLRKEIPTIEDFIQSQEHVQLHQYDDLNDKQFL FINECPYCKRQISIEEILHKIVPTYLRQTIKCSKKKGCGNQFTPKMIIFDVGEFDILNIH QLHFQLDQLRVFDQEFCKTTEFWNLIFYFRLFKLPIPFINECEEASYCFKSKESKQKGIK VSLNDNLKVLQTKQEVQTKQIIYGECGSRK >CAK68657 pep:novel supercontig:GCA_000165425.1:CT868062:102043:102756:1 gene:GSPATT00037442001 transcript:CAK68657 MQDQVVKPIYLSINQLTELFNNSIQDSSALEDHQNSNNHFPNQDSFADLPLEFSFQALHL INSQKTISDIQNAEKPTTNVLISKEQYQSIMLKMEQNRKLSEQYYKMIKENQEQIQNRKL LEDQNAAMLDQNFQIKREIQEMKQKLLICQSAIPLRKDKQIIQLRNQYKELQAKVAEKRE TQQSTILTENSTADSDKSNSYYCNTSRLVRVDQSSSHHQTKKPYRSRNNSFVKIVKN >CAK68658 pep:novel supercontig:GCA_000165425.1:CT868062:103443:105035:-1 gene:GSPATT00037443001 transcript:CAK68658 MYQGSNLSRTGQMAVSNDQVSNQLQLLKAKMSNRDTWQQGTSQHPDSYPHTQPTKPSQSP LYPKSDNEFYTMKQQSQQPMQTSSQQKIIDPRTLNYQQLQQYKQQQVLPPQNSNRNDPYL GMNAINRLNYYDQLQKYKEDSYKKSNNLNTYNTPQSYYEQQQNKRQQQQQVIQQPPKYYD DILTKKQQPYGYQQNNNYDFTQQPISLQNKYDYMNYNNNYSNNGLYGNNMQYPNQSNPYN NSNQNYNYNQQQFSSQNNQYNPYNLYGNQNQNTQQNQQQSSQNQYTYNQNTQSLSPLKQQ QPAQQFKYSQNNSQPQLEKRERQFERQYDPLPEQQKQMQQTPEQYNKPQPRKPQQPLQQP SYNPNNNRALNPIDELPAVAKKNQTNSIPPPEDDDDGDLQECPEGCGRRFKENVLDKHIK VCKKVFQSKRKEFNSKAHRQVNQEQVKLEKQGLVKDKIIEKKKQMAQNGDPKWKKQSEAF RQMISAAKSGGTADIQPQDDLVECPGCGRKFSEQAAERHIPGCKKRNFKR >CAK68659 pep:novel supercontig:GCA_000165425.1:CT868062:105188:106345:1 gene:GSPATT00037444001 transcript:CAK68659 MQSNDSSRRRLFLRSLAAEEPQKMQNMQQIISIVSSANGSLVLSQFRLNSKGLTFTKSIV FLNPLDITYMESKHFKDLKLEDFEIVCKLGQGNYGSVEKVLHKPTHDYYALKKIHYVSND VQESLLKKELKALIDCNSQYVVQCYGAFYSKGEIYIVMEYMDMGSLSIILEKTKKIPESI TMLITKEVLQGLDYLHTNKHIIHRDIKPHNILINKKGEVKIGDFGICSVSENSDQKFDTF IGTIQYMSPERLNGEEYGYDCDIWSVGMMTMQCITGLLPFEFDAKKMSMIEYIQMSKNFK IDDYFQQHKHAISENTIYFISRCLQQEPKDRSKAQELLQTKAIKYTQSLKVDVFKQWLQL SIEEI >CAK68660 pep:novel supercontig:GCA_000165425.1:CT868062:106389:106912:1 gene:GSPATT00037445001 transcript:CAK68660 MNQPQRSLSNLGMSKSHYIPEQNRTSSAFYKRDDQSRLDQQLARYEEFKRNYKRNELKYS YYQQYPRDMNQSFVEERYQNSYNPRSNYNNKHLQTQQYEYDRDFYKKDIKQDSPIKVELS YTAPNTTKKGVVSDTAAYLRERETIMNSFMTQEEIQRIKRIYYRK >CAK68661 pep:novel supercontig:GCA_000165425.1:CT868062:107193:109728:-1 gene:GSPATT00037446001 transcript:CAK68661 MKGMEESYESRYGGRGYKMIEEIIEESQLVGGAGGKSNMEEYEITGQPPPMQKYGIREEE PPIQDNGKQKGLRLIIYRVDNHQARSHLRVMAGLFEEGNLVLDANGLPVAFNTSIHNPLD QKNRQVLANEYIIPLHKPNVEYDGMNNKASGQDIIFQEEYRVFRNLYAMIKKNKKDLYIG LQVVEKPEPVELQESVLNETQKNYAGLEFDLKGWQFLKLTQDDGSLLTGRFKMKLFKPPL RRPPIDPTKVQEMDEVIDFALHEFAYTEKDIEEFKKQMKHKHKKPKLEEIKDIPLDNSPY IPNFQQQWINEQFERRHGIDFYIDGLRFLPDKVTACKIYMEVYNRRYEKLFDAETAAPDL NGMAYNPTFYFRRELRKEKFDPTAIALITVVTVDKSTNDNRIVGYAAINLFLNPGTKSQP EDSNEDNYVVYSGAYQIPLFSQQLDRTPPFDMKKIYSHERSPCCTVLVRMFKAPLSEDGK RALSIKEFPSLKDQIARNIMRPRPQYGASAYNTELAPITESENELFAQRTIRPDISVREA AYLLIKGEQINRQYKDNEIINYLDNRISLTHDTFMIDMMYFAKYRPQAGFKLTVDGLHNV VNQSHMYVVFYSLSQPGTFYLEPRDITQGHMCSNYDFDSKINTPEYDDAWFKFKENANRY QNIILDIKSVPFSKPDGAISDVGWTILPVFSPDNYVMSNCYQLPVFRGSVPRAVIEDIKK QDAWDYLRDQVTNNKVFYLKNMSIIVRLVDAQREVIFHFILGAFQQTYGFIFHKEIVQQQ WSYNPAVVLDQMSMKTIKSLIPFKDNPAAYNRKVTEYFANSYGLTQYLGS >CAK68662 pep:novel supercontig:GCA_000165425.1:CT868062:109764:110432:-1 gene:GSPATT00037447001 transcript:CAK68662 MERREDDRQWENEKWVDDNKKFIIENRLRKDNTQKRQQMQAYNQSEGFVVHWDYTLGLPR RSNYSQVVFGVYNGQQVICQPRLVEPRESETENQNHNRCIFGDSHQVFEVPAHPDTLMIM EVQIPFSKRVEDNVGRTETYGWTQVDLFDHNKQLKRGKFKCPVYYGPTSPEITVEEIQNL EPIPNCWVYFRIGYPNDKDYGEVKTIYPEQTQHEYIMSVLFI >CAK68663 pep:novel supercontig:GCA_000165425.1:CT868062:110489:112311:-1 gene:GSPATT00037448001 transcript:CAK68663 MSENTLFNECLACKMKFETRQQLENHVKRFCVSSDYGSQNKLEEKYQRELLNLKKSNAPG AREIIETGRPPPGQQQKNQLSLEAFKDQMKQQDDEFKRLNRMAQRRREDEMDDDLNKLKN DRQQIKMKRDDDRQAFEELLHEVEQKKEKEIRARIEKDEIRRALMDLEKAKLTAIEQERK RELEKLIAEREALRMKEGELIKDIESMEQNTRMLDQMRQEEVSKINNVIDGMQLKQKNNT KLNEDLIQERSDNVAKLKLKREQLEGERLRIMDNLDRLRNGDLKAAQRGGTMNLVANAKN ILGDMQQMENFNAKLHDGRFAEQQQRINQLKQQKPEFMPFDGDEAFGQRAPVGQQAVDMY KNKNPQTHGELQAFRQGIEQHANSMMDKSLSQSINMSQVRRQPSSQVNRAPATRLQPQQT IQSFPDVNQQLQQQQQQQQQIEVLKNAWGVPIPQFQNNQFQMLPQQPQAQQPQFQMNPMM QYNAFAQPQMMPVYNPVMQQQPQSQQQGPTAFGQFALNQLALNQEGKKIKDPWSIFNRKN EFFLMNEVRGVDLTEEERVLMSLQAQEIDSMRVISRIPVGTELYRFKVEQYKELSTMRA >CAK68664 pep:novel supercontig:GCA_000165425.1:CT868062:112861:113561:1 gene:GSPATT00037449001 transcript:CAK68664 MDIQNIMQQLGGGGQAVNPEVSIPDTAEQVTISALALIKMLKHARAGIPFEVMGLLLGDI VDDYHIRVYDVFSMPQTASSVSVESVDPIFQQKMVELLNLTGRMENCIGWYHSHPSYGCW LSSVDINTQQSYEQLNKKSIAVVIDPIQSVRGKVVIDAFRLIPLISYAQPIRTQINYFKY RAPTKTRIRSFVKRSKQILLFDQHQIQMQ >CAK68665 pep:novel supercontig:GCA_000165425.1:CT868062:113575:113957:1 gene:GSPATT00037450001 transcript:CAK68665 MLQNLYKNSWAEGLKCNSASENSQKNEFCVESMSKLALEYQKLIEDESKKGEQETKLKNT GKKDPKKHLGLKVDELLDENLNAILGRMMATKAF >CAK68666 pep:novel supercontig:GCA_000165425.1:CT868062:113957:114902:1 gene:GSPATT00037451001 transcript:CAK68666 MFTPEQFAANYQINFSKLIGKGHDSTVFLTMNKQTNQQFALKCLAQTIEQNAINLFNEIQ ILRHIQHPHIITMVGYCSDCSCMLLELMSFGSLYKILLQGPLPLAIANGIIIQIAQALEY LHAKGITHGDIKLDNLLISGDYIIKICDFGFAKINGQTPIPKITVSGSEGYTAPEIWLVP TDLKKCDMFSLGVVYFIMITGHPPFESNNPQIEDAWWKLIKKEQWNVFWKELKLSILPEY VRTIIQKLLCVNYQTRYSADEIMQILMDKCATSDQIVDEIKKRIIQQK >CAK68667 pep:novel supercontig:GCA_000165425.1:CT868062:114918:116321:-1 gene:GSPATT00037452001 transcript:CAK68667 MNYLTDKYKELLRIVSTRNSIKKQEKLQKSKNKLNKSHTQQNTSSFLTDGGALVIPTKLT TIMSKSFNTRSLDFLQSSFEERLEMQAKKSQEYLQTLSRYKQLEEEKLRSLHDYYQQKVP IMAKKVEAVKQMNKIKQQERKKIFQDRQKRTLEKIHELEIKDREQRIKVQEYLNKKQEIT QQNKNQFDSQHNQELKDLQERNQRKEEERREKKKLAIYMEDQQIEQIMEKQIEKDYMTEQ ILSKLKDEMNQKRQLSLQKQEQAQQKVIQTQIEYQQNKLEQYIKFADLSNKMVEEQMQIK NAQLGQVKTKLATQISRVKKNKEKLNSLLEQKIEIIKKKENDKNVSGRLQSIQSSIDIKI KEQSEKNKMRLQRALNNTRAFAEEKEREQQKIIDKMMEESQKQKHLKSRKEKYSQAILKQ HLLERQSVDRIVFQ >CAK68668 pep:novel supercontig:GCA_000165425.1:CT868062:116509:117095:-1 gene:GSPATT00037453001 transcript:CAK68668 MPKEIQDVKEFLSLMKGSEAQGKDANKEPKKNLYIKESKKITKFKLRGKKYLFTFKTADK NKASRNSINTPIKCQQNSYWCRWKETINQKEVKEMNADLLHIQQYIIQEHLFNFLFLMSS FHNSHNSEVHSPRIKPKLTEDQKFHLINQFFVKKLKFPTLTNAIIDEAISQDLYAIR >CAK68669 pep:novel supercontig:GCA_000165425.1:CT868062:117147:117947:-1 gene:GSPATT00037454001 transcript:CAK68669 MSGESSYSEGGGWIQWFCQMEDHQFLCEIDSEFIRDNFNLYGLKSKFNFYNEALDLILSS ETPDDEDLEDERFLEVYQEATDLYGLIHARFIITAKAGLSLMKDKFLSGKFGACPRVLCE RSNVLPVGMSEELRTSRVKVFCPRCEEVYIPKKKCPDVDGAYFGCSFPSVFIMVLKKIQI APNNQQYVPRVFGFRVYKKKGSRYQDTQQKPVEITHYSEDQLKKLRGKNEQQSKEDYVQK >CAK68670 pep:novel supercontig:GCA_000165425.1:CT868062:117980:118327:-1 gene:GSPATT00037455001 transcript:CAK68670 MTLENCEGFKMPCSLQHIKDIEIEEPTNGGEQKIQDTEKTQLKVKNKHQDLIKNAVKSYC NMEQRKHSQQERSRTLQRRESREKVVKEVKQNFRHVYIFAIIGLCMITLIFLILQ >CAK68671 pep:novel supercontig:GCA_000165425.1:CT868062:118441:119483:-1 gene:GSPATT00037456001 transcript:CAK68671 MKSLIVTQNCRRTKTNPDQSSIVSYSTNKSCVSSDRKTQVKVACKVLSEMREDESSKLAM KFTQLRIVLATQSLLHVLQKYRSAKLQTYFWIIQQERKTTPHHCFQDLTQAISLPPGLDD ISNHQNRVQTQQDSYQQMPTATAIVFYEILNKIVMQQQKSTFKRIKEQSNIYKAITKITK FIKQCQKRSYYLALFNIMRSNQNRKICKISVLDQNHEIPSEEEQQEQIIDHHEEFSIKEQ LAIKFASTTIITSILNEKIKKQQFALLFNMMRGQFQNKQLSLMRTNEITQIYEQPYLEQI LLKQVLIIFVMQSIIDQTVIYKILKHFNQIMSYFLQIP >CAK68672 pep:novel supercontig:GCA_000165425.1:CT868062:120343:121883:1 gene:GSPATT00037457001 transcript:CAK68672 MNKNSPSPSSPTPVILVLVTNKQGKVLEHSQFFRTFSSFGQVKKILIFERKIIWKVFIEY ENAQQAQSALVLDGTLFDYQLKMRVHLSQRDSLVFQNNNNCGVDYTTLQSKQQFFQQLKE DSGSLNQQLQQNLDMLNQLIAQLSQTADLKEQISLIQQIQEYRNQTQQLTVLYQQQLRNY ASVLQREGKKQKQIVSTVTTPSPSPFLHSTLDSIRQQIPEESSEDDKEEFSDGESISSQS SEKSQKSTHSEDNYNEVFCNDSTTPFSCGASPFTPYPQKVITSPFIMTPTTLPTYLIVQH PNINLRVVYNIFSTFTRVDAIHQSHHGAFLQLASKEEAIRMKNLLGKALLFGAPMNLIVS DQLSLDARQVTLPPNERKPLLQQQLSNSILITGLNGVTIEQIYQYFGCITPILNMKFINQ SSCKILYSDVGCSLSVLGYIQDAKINGRSVQLSFTAF >CAK68673 pep:novel supercontig:GCA_000165425.1:CT868062:122899:124306:1 gene:GSPATT00037458001 transcript:CAK68673 MDDNFKKLIKSTQPESLSTIRLCKRLGYHPEDLIYKSIDEFQSVNHEMRQMKYDNYKAKV LKIINEINNVRCRNKTTGVSELSSQPLVQLSSIGDNVKEQSQSISVKERVLQNLIQQELL RQKRFAQSYQQNNKIQEHKTKLATQPSQKISIKSKESTQLMKREQSNRRCETHREFETKE KSLAKSNQKVLINRQHILKKEQHQQDEYMKKLEEKGNYVFIIEKKAIERIEQYKSELKQN QQKSQFGEVKNKKEQLDNEQYQLLINRQYEKLQRLRLKQTQLEKPTKKQMLIRNKTQSLI FDSDLESRMDQKIERVVNMKSQMLSERSKIADERLRINQNDVNFNKYHDQQLRIREECKR IGLIERKQKQNTYQIDTRYQVLEKQKKVSVWEQVNRVSHLFSSPIEYDKYRTQMKLLLNK QRETLEMKNRNRIDILYKLLPQQMAEKVLQ >CAK68674 pep:novel supercontig:GCA_000165425.1:CT868062:124313:124594:-1 gene:GSPATT00037459001 transcript:CAK68674 MLQGPKYKLQNIQELCRSNFDDDEDPEVDQDVRVDKFGNPINKQLKFHICFRDEIIPSQQ VCDIHIVENWKHYNHIEEKEESDPCCKQFCQII >CAK68675 pep:novel supercontig:GCA_000165425.1:CT868062:124904:125668:-1 gene:GSPATT00037460001 transcript:CAK68675 MNFPLPENRIANYAKVSTFLPHLVCQCCKSLSLQQLICLKCHENFCFKCIPYADDQIPEP IEITVQTILINKNTKYVQDINYRGFCPNCEVVTVLTSQLPKMFHKLYTSVKFSCQNRING CSEELCYPDLRIHEQKCGYNTITCPKQECSQQTYKKDFITHVSICNPTTECVCGSTNQHD QQKCLRLQCETLKSQLNTQTKELQLLKDVQTTFSYSSPQKQLNRIVVNQSPYVATSPFSL QGLLQQSAQILNDG >CAK68676 pep:novel supercontig:GCA_000165425.1:CT868062:125707:126753:-1 gene:GSPATT00037461001 transcript:CAK68676 MKQAEEQYQTLYKLQPEPLTTPEIKGYDFNQGVDFDALLNSYANFGLQATQLNKAIDIIN KMIHWRLGPNEENQDPNTRCTIFLGYTSNMVSSGNREIIRYLAQHKMIDAIVTTAGAIEE DLMKCLSTFHKGDWQANDKEIRLKAICRIGNIYVPAANYGKLEDWLLPVFQEMYKEQKEK SFIWSPSSMIKRFGERINDERSIYYWCAKNDIPVYCPALTDGAIGDMMFHFNYKQDGLIC DILQDVVKLNKKAMYSKKSGLVILGGGVVKHHIMNANIWRNGADLAVFINTGIEYDGSDA GAKPSEGITWGKLRVDAEYVKVFSEATLVFPLVVAQTFAKHFQEAKRV >CAK68677 pep:novel supercontig:GCA_000165425.1:CT868062:126763:127211:-1 gene:GSPATT00037462001 transcript:CAK68677 MQCSSPEEASLCKSLCCNNLNRCSKYQSECSIYSDNHCIKLNCDNCCLIQENYQSCGTQV QCSKYFDLFYLWCLVILALLLVVLLIVKLRTKFMTARMIRIHKAFAKFNKKTTII >CAK68678 pep:novel supercontig:GCA_000165425.1:CT868062:127807:129646:1 gene:GSPATT00037463001 transcript:CAK68678 MKYFILTLFVLNAFSFSLNDDWDGMEEAVKVQQDAVLVQRIIKQDLVDQLDLYSETPITT QIENQVQQLINPPLEADQAEQENEQSEEVHSLEYWLERLGDEYQDETILLQQPQSITEQI TTVVGNLVLKVGDANQLKLIAEQAEIADPLIIDGIELEYQDKNDKENVQMQQLLNSALEM QKSLSQEDEQQQNDLNSNSKKKHKKKRPIIDIDLNDLTMPEDSDQNEIENTVVQENDTSE NTIEVQIDSNNEEASTEAVSKFTPNEETISEVQDNSRMYNFLNDAHEDAGNEDNQVKQQP QQSYNFKEYSFNKFEGDQRSFYNEKDDSTLKVVEREQPKEIINNNNNNNNNNNNNNGLQY QEENKSYKFINTEQSDQNKDQQSTLNNYDQPQETSILKKYLLYTDQPEVISTGTTEKEIE DDKKLVAAFKSMDRDEHWEKTNKKQKINTDVRNELLRFTNVDEEPQKKEPEFKEVTESKV ENPHKFISFKADPEYEYEQAENAKKKELAKSKYDELPETKLQTKEDMKREKQQKIQEMQK IIEEQLKNKTLKKKSETDKSLQYESEYLQWERATQAKSYPAEINFVMTQNNLRKRQ >CAK68679 pep:novel supercontig:GCA_000165425.1:CT868062:129671:130353:-1 gene:GSPATT00037464001 transcript:CAK68679 MKKQKFEDRVYKVVTLGEGRVGKTSIITRFFDNSFSEQTQETSDGYCKEKTIQTKKGPID LAVWDTAGQEKYHSLAPLYYRNSDAAIIVYDITVKETLQKGRQWIQELKQMAENDNMLLV IVGNKCDMHIQKDVEQSQIDELCNQYNAKHFLVSAKSGKGIPEIFDHLANELKQIVKQTN TRNTLKQGKKKESQNQGGSCC >CAK68680 pep:novel supercontig:GCA_000165425.1:CT868062:130396:131017:-1 gene:GSPATT00037465001 transcript:CAK68680 MGVCCSNKNSKNPHNTYGFLSPTNRQLEQEEYEELSGEMKEILEMYLSSEKNSPQNRNLG ESNQLKHSLEQPNTPYFKNSYDSSDDKFLYLKQSKQVEEIIVNEIKIQEAEKLAPVEEEN EQQKQYSQLNHPIFQFKCQVCHQDHNWIHSCNSRLVYNDDNMIVCEKCKFKQKITEYDFI CQKTQQKFRFTTEQIKDFEF >CAK68681 pep:novel supercontig:GCA_000165425.1:CT868062:131038:133752:-1 gene:GSPATT00037466001 transcript:CAK68681 MNVRQIDIKLRNTKLKFQVEYKPEQTAIELMNKLIADLKFPTNAPNPIFFFKGERMDNTK TLDQQRITLPCSEIEAEFIEKIVVTVHNEKLDSQNLKCDIINTMDELGAILLKKLNFRQD YEVRFSMNDQILNQGRNLIEVSPWENITYQIFSKHQFIYDSKQYELEIDVTNSVSTLCTM ISQMINVDSEQIQIDQMNKDDAFYFYQVSPNTELKLRIVEIQNNKQVTITIVYGQVTRQM TILKDEKIETLMNKTKTSFFPHNYSQVNVKLIFEDIELSNNNTINQCQIANNDVIQLIVT NKLEKIQICLQHKENPGLRKKSNISLDEQLSVLDKQVFCNKQMEYFYQGKQLDKKETFRQ LQITQNDTVIEYKPIEGVQLLLKFQHLEQQNPVEIQALSNELLSKYIYDLIGRETNDVWV SCKNQTIDDIEQTFAALQIQNDLIFYQPTQILLQVQYKDDLYDIYQKRDKCVIDLFDKLR EYFEIDESQDFELYYGNETVQGQLLCKKLWAACQKCYKIVPSQNGENDTQTKTYKIYIIN QDRLVEFKMNHTKKISDLKQIFLSHYSYPENQPNEFQIRNQPNASFNEEDQLNTLNFCEF QILLKDYINVRTYDRITKDESYFEINFKSKISILIQNLKLENCNCNFYFQNKQINQEKTF QEIEFYDLNTIEYEVQKKQVQWIQVIVIEKNQQQEVQLLEEQTIKDVRQALNLRSADEIE LLLSNQSAAKDEQKLKEIAQNGKLTLNQKTKINNPEQPNNQENKCLLNISIKDKRHEIEF EKNKTVEDLRQYIIQQFQQQSDIVIRQGNTILKPSDPIPVSFEFLIVSPLTIKVIIKINK INNQIHQRQLKPNQKVGDIIWDFVRQHSIKGNTINLAWNGKVLDVNKTLKELGVKDGTEL NLLI >CAK68682 pep:novel supercontig:GCA_000165425.1:CT868062:133811:134659:1 gene:GSPATT00037467001 transcript:CAK68682 MLVSQYRQLPAPSKELPSNLSQAHFDQKMRFQQQHIQARVIEERPNFQEMQGGQPPMKPW LGEDVYMVAFLLSVENDRLREENGRIGEYINQNELRFKGVDLIEGELIQLRNKIGDYEKK IAQLQYESEQWRVKCVNREKESEDQRYQKELQRRISIDREIRELTARFISDRNQLEKENR QLRTELDSLKLSKSSVDDIMRQADMVQKENHRLKQELDNLRKGFDELEYVLKTAADLETE NTHLKQQIENFQVQFQNQQQQQMQPIQRVVVNSTNLCKTKIQ >CAK68683 pep:novel supercontig:GCA_000165425.1:CT868062:134809:136425:-1 gene:GSPATT00037468001 transcript:CAK68683 MTNVNYDNLRIKAYKNGQLIDLNGTYKSEGIQDGDIIQYCLSDMIVQLMIWTRNQRQIKE MCIHFLDTVKDLKEKVINQFKITDECKILLNGQDLHENEYIKNFKNNVMFTVSKVFHFKI ELLLDNNIEQSESRFYETDRVSQMKSLYTDLFQDEVCIQVDNKNENKDDDINDDDELSEY IGKVLRISKKNINVKYKIQDLQTVYEGKFNKFKTLGGMLGDIAKRKSRCYIANNLMDQTI KLIDLNLDPNTVITIESTSKVQLFDLNNTHNVVEIDFYPEDIIGYAIKNHIKGKCVIQEG NREINIQNSFRDENIYNNDHLHYILLVTIKFMSYKTKELQYQKICRMDTKISQILSQNHQ NKIRVYYLEREINQDSTLAELEVPDSQELFIEELDSTLILHVDDKIRTLTIDQKMTVQLF KEQQKLNRENYYLYQLRDQTQALPNDFILKSLQNNNKEIELIYKLDHNIIKIILIDQDEK EYTEYVKMNQKFKTFLQEFKLKYKFNDGEMFYDGATLNLDQCFSDLQITQNAKFEIII >CAK68684 pep:novel supercontig:GCA_000165425.1:CT868062:136455:137650:-1 gene:GSPATT00037469001 transcript:CAK68684 MSMKKYEIIVNNNTFKLEYEPKSSSAKISDVVQFLNQQKGFPAKAKLYIADENNVRDIHY DLNSLKNNVIYINFSEEIESFTIQHIDKDERNISLYWIKWMHVSNQQKLKKKLRIQQKLK ILDRNLFLCQIFFYQQELFYLVQAIFYIRYQDKLQLFQIDAFSYLDKIKQKIRQKLGIEE EIELYFRGQQLEDRKTYFFYKIYQNAELKMKIKSLQKIQISYQSKTYRFNVSVNMTIEEF IKYYKQVEQIHQNQILQVYYLNKMLENNTKIGSLDLADNAEFKITNKILEKTMIIKFVSK VQEQVHFSKQVSNLDYFSTIFELQPFKGKVFQFYVNGNIVEITERLIFNSIKFKENEYLI QYEMVQNFQLPLMSPSKMMKATHLQNR >CAK68685 pep:novel supercontig:GCA_000165425.1:CT868062:138411:138761:1 gene:GSPATT00037470001 transcript:CAK68685 MSESEISFDFNDDEPVEEVKSSHMQTKPERQMMSFSKSDKKDKKEKLKQLQITMQGQNSS KQQMTKDLLFLLKFEQHMLSSINSLHQRIMRSLETEAPGN >CAK68686 pep:novel supercontig:GCA_000165425.1:CT868062:138890:140303:-1 gene:GSPATT00037471001 transcript:CAK68686 MLQGEDVDFLQEMYQIKEIAKIGEGSFGKVYKATDLTDNTECAVKVVSKTLFKDAQIESD IYQQLNHPHIVQCKRITENKNQFFLIMELMKGGTLAQRMNQEYTDEDFAIIMKGILLAVH YLHEKRIIHRDLKPENIMFATTDIRTVKIADFGLSFKFASEGMFYSLLNKKCGTVIYMAP EQFKEKFYSKQVDSWSCGVIMYMLLNSGQHPFYNKNDTRDQVIKKIMNPIWQLSDHMSPL AKDLIQKLTTIEPIERYSVGQALIHPWITRNFSDKIPLTYNEQISQFIKDQQIRNSFKLL LFLQYLMKNCPIQQPYPNDEILLKLTDKKKLLQQYQSLPNPKSQKQKMVSNIQKIKNMRS KSPKDQKSKQNSKSKPKTSFDNLNTLEDQKYTIASFKKCFQQKASVRPKTIDEILNDNSA KSRQIVRQKLELPPLKRK >CAK68687 pep:novel supercontig:GCA_000165425.1:CT868062:140394:142730:-1 gene:GSPATT00037472001 transcript:CAK68687 MESIEIYPYQTGLNILQLLFNRLNIAKQVRDSLQAILKIDNIQVDINKTIQDLEINLYSQ IDVILSKKITIQCVHEFLGTINFATDVYESANKFRVHISNLIKIKQCEIQITNSEDGTQF GSECWGKQGVFQNLTVFIQIKKQQQIQYKQQIMEITIDVFQPIAKYINQFKIQQNIDDEL ITFKLGQLNINPDLLYINLNIPLDQPWDVEFRQEFIYNVAFQNQDNKLITIPGSMEVFDL IRKVRQQFKIENTIPLTLEYKLKKNLLDISNTLNQECIPIYSQLTLIKEPSDNKINILLQ NQYSMKQYERVAVNSTLADLDILINHDKYTETIQYFLEEQIKDKKFNLKQLDLQSECIIN YQIIKQDQQQDLYYNQDQLQDIRQDLHLPSEDMTQITITFQNKYTKSSKQIKISSTCTIM DGFQGIVQTETLKDTKYYKVYFGNQLLDLKWRFDKLNIISNSILNYFTDFVIFQAQVQGQ ALKVIVDQNLPISSIEQQFKASNNLNQQLQLQNIQPQVKNLSLIEFLKGNNNFKFEFDVQ NDMQSQEDIIELCVYVNHVSCCAHINMKQNQTAKALCQIIKYRYQSPRNQVLDLFIGQKK VDENETIYQINNIINQTRSDLRVQFITTLTLQLVNQNGLKQIIQAELENTFEFALKKNQI IGTNFTFYNYTIQMHKNIKDLPIENNSIIRYHSQLLMNFQNARSGTVQKMIAELDESLKS IINKLGVKINRLLYKNQVLDLTSTINSINYNPNENIIFEEQLSYHEASEVKTFQDLQN >CAK68688 pep:novel supercontig:GCA_000165425.1:CT868062:143603:144350:-1 gene:GSPATT00037473001 transcript:CAK68688 MKNHQQSQKNQMINFVDTYEEQKLELFEEELELFGLVSSPNTLIFSDQGLSTCVDQDSNL STPQQQEIQKIKLPIQRRRPPKRIQYTYHKKKKRPAPKPIIHIKENGLKVCLVMEKPKSN AHPFSNVEDKRILELVLKIGPKFYKISKSFPGKSVSMVKNRYYKYLRYRWDQVMGSEYSH MNSLPEDQPCESQRSNDINYELDSDC >CAK68689 pep:novel supercontig:GCA_000165425.1:CT868062:145403:146545:-1 gene:GSPATT00037474001 transcript:CAK68689 MWSREGCKVDILGALSEKTNGTITRVKPEDIEKDFANILKDELVGTQVQLFVSLHRALKF RGEDDPNLNHKLKRDIGNATIATTQTFEYQLKNDQELQKEQIDIKDLKTVPFQLKVNYTN LNGDKLMRVVTKQVSTTENAMEAEEEAEVDVIHKRMTQKTAQIAKQGNYQQAQSYNQQWD GYIQTNVNINSNSANKEKNRSFQTHNTKLQTTMCRQEIREVRKEKLSSQPVLTEQQYQQQ QYQQQQQQQQQQQQQQQQQQQQQFQPSFFEKAALLFKGDDNKQQPSQQQPQMDLQPPQQQ PQMNSQPLMKSSESPKIKNINFCAVNFQKNEISEDSNLEEGDEDEDMADLYQYQQGKF >CAK68690 pep:novel supercontig:GCA_000165425.1:CT868062:146551:147557:-1 gene:GSPATT00037475001 transcript:CAK68690 MMAMCSKKAYLKRRTFDNQYDVDTNIFQVKFDCLKENVVKIHQGDPILCQQCETVLNIYS KIEDDVQNQQFGKHLWICEFCNHKNYIQIEKEEIPKSEDTVYLIQSSQEQQMQIEDADNS IVFCIDTSGSMSSTVEIKGKVNFKHGISAEEYEMLKQFIEPGDEHQIWPQFNTKHVTHVS RKQCVMAAIEQQIGELKKKNPNKIVGLVTFNNEVVVYGDGSEVPITIAGDRLFKQDEIEA LLDGTAKQLMKSPVKAQADSLLKKFEQLQEKGQTALGPALISALQLAKIGKPGSMIIICT DGLANFGIGIFGK >CAK68691 pep:novel supercontig:GCA_000165425.1:CT868062:147660:148083:1 gene:GSPATT00037476001 transcript:CAK68691 MQKQKTQTYKDSHTLEDRKKRVQEQLAKYPEMIPIIVEKIPGCKLPQLQKVKFLVNSSFS FNEFKNTIKKKLNLDEKTSTLFMYCGKNLMNERTSYNQQLDDKLKNIYDQYKDPEDGFLY LHYADAETFGF >CAK68692 pep:novel supercontig:GCA_000165425.1:CT868062:148112:151517:-1 gene:GSPATT00037477001 transcript:CAK68692 MGICGGRPKSQEDQVHKLSQPIITNGELQIKVLLKNITLKMTGVRQCSIEFDLGQCKYTS PVHIDNNGDHYWRASFDGTLKMTEQQMRESNMKISVIDNQVIGSATINLFEAAVGPFHFQ LPIRGQNMGNVSFDLKMNQVLQATLQSKFIIWELNQSLSDVRYNYNLRLVTSQFSFISEH STTFVNPNYRKQNEKQKSIVASSRKSILDQDMMRDSIISLPKIPSMINSSGGVNHSLESI IAATPTNKGQSPASQPYHRIEWDHAGDELTLIVELPISEFQGSAIQLCLWSISSNKNSLS SSKSPHKTIIKKTQNKHDSEYMDLEIQDHHLVAETYINLSNLETNIIADLGAKFFIIKSQ QAKSIWYHGVEVGKIDYEISVRLPNYLQQSSFGVQTEKGIVSTASVVGNVENISVTEIKM IQIEFQKLSSSIFKIEHKTLNMEDKLKVRSDMDQQLSKLAQLLGQSHGTNIKTFQYKSED DLMKAQDLLIQLSIHLVDYSKTLASFNSYFECLNQVLSRGELMLQQLGFFKALSKKQSEF KTEVGLNYQSFLVNTLRFTLSKLNQKDPSQEARQLYIKYLVISYFRIPEFRAKFLELINK SDDPQLLELRGTEFIQEDDPTNIDKSTKTNISIFDWQNYFHTYLSDKSKGIQNQSALNQI LDDESWKEHIRHRSINFSFFVEEWAKYVRNILQVKILPWQDIPGYRILVKAFMCELKQQE SIPDAMKIALKSLLQNVNLLGIVVSLQFNKTNLYNAEQVIETFEILDVCFGTLTTMPAYF DYPFFLKGIKQIIIESEHAINIAKCVWLIYNIYPLFSMDFKKDICEFLFEKAVFKLFLHW SRTVRLVFHYFLLYRVSHQHKNPKVGGLDEEQIIQQYTLINRPKKNQSYFENRQPQQQLI SDYIYMKYLRFLSKLEQAKINENQREYQQDHQSYYLKMVHKKLRREQELKMQQQEEPKMM EQLKLERMISEQDESQQNDRSSTSYAQEVELQVAMDNDVDKHEVEINEMQQQYLRLSIRE FEEQLKNYNNWRQQNLKKMIGKYNEEDKHTIHLSFEVPKVDVLRRIDEKEGA >CAK68693 pep:novel supercontig:GCA_000165425.1:CT868062:151619:154170:-1 gene:GSPATT00037478001 transcript:CAK68693 MQTLSHLESRLSSFKDINKIKDPQSLLEEEFNKQEASKKQINDQNSQFNLIFQEIRNNNI YKQRIYQKMIKHESETKPTIIEKADQHLQTVSKFDESQSISSLETTKQLQISIDELIKSI NKKKQQIYPMEHQMQLLKYQQKQAEDELILAEFEMLRSKQLLQSMKTKIQRLQSRRNQYL QELMDQNDLHKANTEEQKKEYEVIQDHINELKIQIHNQKSQIEDLKQQERLKWESSGNQM IIIKNYLAMTKLYEYCTNQIQKIDISQDSKNENDESEDLIIESSDNSFLDDGGVFQTQIK QTSLKSKQSIKSINSIKKNQKKSINYQQSLQNFITAKELISQVKLNVDINIIEEIILKAY EEQSNLQRQLAEQHTFLIEQKGECFKEYNNIQQKFQLDDGSNKERIIRCSKPTNDNFNQV VITSNIAQSSVTNKLKIIQTISRRQQMEDLFLCMNNRASIFLQRIINILHNIAQRSEIAS QDMIEKYQQSQMTLMFLKQNQQQSQMHLDDYPEFKKLKKSHNISLLYHDAQIFNEFKQYC QQVTENEIDDKLLSDNFDAFVQLCLNRIASESQCIYEQIAIICNQIKVETQQLRHFCHLS SSEQTPLPHIVQMTYNMAAEKLQNYTDSKISDPNITSKRKQFARRSLLLDEKNSQQEETN EENYEKYYSQIKNGIENPLIKRDVQTDSTMVAKTSLLYDNYTPRTEFIKQNRQLTLSLNR IQSLSHIYHQINNQEFSNTFKHNIHREQKTIQYLTNHSSQTRPGSNSLALMSTYRQECRT EHNINDKSKTFKQTKSKSQISKVILNEAKKQMQKIKTINKLQFNQ >CAK68694 pep:novel supercontig:GCA_000165425.1:CT868062:154549:155678:-1 gene:GSPATT00037479001 transcript:CAK68694 MFNKLKNSFPFRSGPNFLICFLGFSGVGYFGTSYLHKQKYDHPIVQEALRILQHNDQIIQ LAGYPLSLQINMGSNATQNNDVCQFSFQVVGPKGAAKVELMGQSRELQEIQNKTQYYIPS SKQMTDVMKYNKDVEALQNWKLTPEVRLWKIDHLVADIGLQGDFRIVLVDSNQVLKKEVA QAMTPPLVTDRRTLYDLKLEIDARKPKVPTTEQEIEEARRYRQQELYRKVGGVRNITIIS TIIGAMAIYNYVKANRRISVLNTQIHRQSMIIIQSNPQIKKLFGQNIQFNQQLRGGQIRD QAEFETDMYGQKAGVCYVKGQKNKKTNDWEIETIDVAVKDAQGIINQKIRILG >CAK68695 pep:novel supercontig:GCA_000165425.1:CT868062:155696:156550:-1 gene:GSPATT00037480001 transcript:CAK68695 MSEEIDQHLEMQETNIMLLQQFDNETQSQEAMSPYQFSEHSDLPSPKKQSRKKEKILEKL ISNRAKFNERAALFNLINSLQLAITLYNLSSIINIEGQSNLKFYDSSSIFSFYRWNKQGF TVYYLITILVMVHVLKLLTCLVGYFCVVQKSEQLLTIFMMLTFTCVITRGIITILLLIDY GQILQTQSYVYGKEDKVAQSQTIQFTVVLVIFVAVEIVMGLQSLLYAGQAKEKYKQMRVN EKRIAQHYQIAYQITLRQFLA >CAK68696 pep:novel supercontig:GCA_000165425.1:CT868062:157445:157970:1 gene:GSPATT00037481001 transcript:CAK68696 MSSGGKGKAGKGGKAAGAHKSVSRSHKAGLQFPVGRVSRYLKQGRYTERVGAGAPVYLSA VLEYLAAEVLELAGNAAKDNKKNRINPRHILLAIRNDDELNKLMANTTIADGGVLPNIHP HLYSAKEDPSQAV >CAK68697 pep:novel supercontig:GCA_000165425.1:CT868062:159185:160810:1 gene:GSPATT00037482001 transcript:CAK68697 MKQTLPVLAHFEKSSDEIAKYFALKYGNNYHIIKQLQNQRKQIETPMLEAKRTLRTIEQE CDGLKRSNSKQSERMKATSTFNFSRPGSQFDTFIQSTQHFDLNSGQLLLQNIKQIMESLS TKEDPPNFQLYWESVYMFKWEQYLLCFVRPLTQKIIQESIFLSDIVISFIQCQMKENLLN NSQEHQQEMIVLTKLLLENFCHFLKKILTQTKQQNTLVVQLQTKIDLILCKNNPCISFIQ ELKKNNSFIKTIIDKLLQNDNPNIQPVIITCRYQLSQIKSLDIQTSRPQLVNAIYLSTSV SQQPEQYQSPLKTLFKVPHQQQYVMHLRQSYSNLEPVEVPFIKTPFQHSLCVILDLDETL GHYNDKIGKFIRRPGLVELLQGIKEHCEIVIFTAGLQSYADHAIAELQCDEYIDYRLYRP HTTFNGNNFVKDISKVGRPLERTIIVDNTPTNYEQQQDNGLLVSTWIDQQEDKELFELKD LIIKIAQAKTKDVRKALKKYRDYISRHK >CAK68698 pep:novel supercontig:GCA_000165425.1:CT868062:160841:161402:-1 gene:GSPATT00037483001 transcript:CAK68698 MAQKKQLKQPDPGQVVNKDIVFSENIRNEMKFFDKNRTEHFQLNPNRVVILAEKPNHIKP QSHQSSRKEKDNDIFGNPYTDDPVEEAFSNQKLEKTLELRNQIPRQKYNFPMTQNQEIGW FANQLNVYKPTKNFANQQCEITKFVDNYFEMTHENPFKKKRS >CAK68699 pep:novel supercontig:GCA_000165425.1:CT868062:161837:163211:1 gene:GSPATT00037484001 transcript:CAK68699 MNKYAYQLKVETIHFVLGLNNLTIDICSEIQNPIDIYRINAQLLLLLTKNSTYIINNQME ITLINLPIPINNILQSLTIQNQHYILSNRTLIRFDETSYTLYENLIDGITLYDQNLYLFY YNDQQQLCLSNIDGQILIKLDFHQKISNLNIVNLQSLLIKDQQDSGYLIFQPDFKTILQQ YFRLDDFKDQQQQQQQQQQQQQQITQEQLFNLNKTQRYKQNIDDMISISLKYSYIQLLLL MCARIRVFREFFIHIDKMSENGNEKELRNLLDIKKIKKCECIENDQIMEELIEECLQYKD KKIFIYFQKLFIENPLYVSDHYYCQILQCEINRVLYQNAGLEMPIIPSYAFKRVTNYIMF LQKAKIVFIQDLFVKKEKQLQLIKKGMIGNAMQCYECLKPISFSVQNKNQQQQESKIVKY MDCYHAIHKQCVQMQHECNYENNKKLFQF >CAK68700 pep:novel supercontig:GCA_000165425.1:CT868062:163219:163678:-1 gene:GSPATT00037485001 transcript:CAK68700 MCFKNGIFNLLQQDHHCLWVFCQFIIYALLCMSQCVIFITFELFIETSLKGNSKFLCQMR AFTCLLLCISMGTLLSFHLYYIARNVTTVEFHIEEMKTDNPFRKSRMVDNFKELFGSLYI NWIFPLTENESKTNIQNDSFEL >CAK68701 pep:novel supercontig:GCA_000165425.1:CT868062:163700:164137:-1 gene:GSPATT00037486001 transcript:CAK68701 MYLYFIIYLIDIAMYHIYNQYRIVDPQISLEQNSHLSTQQFIGPTFKLKFNPLVILSLIW YQNRPKLRPKIIRSIHQKKSRTELKNIQKSFKKNKQNLMKKHELAIDGEDEILQSNLTSY HLVTSFKLCNLIPIVSNEKYQITQN >CAK68702 pep:novel supercontig:GCA_000165425.1:CT868062:164216:165459:1 gene:GSPATT00037487001 transcript:CAK68702 MDPKQRKDRSTSRDKKKTNKKQTQYSYGQLKFGEVQSKSKKISLKTFFGNPEFEIFCLRF DFEDQLIAAGCSDGTVKVFNIVSGKLAFNLQGSSEGGQSYNCNQRGEEIVFLSANSDGSL MMWQAQTGKQLFRTVEQGNQLLALDIRSDGDQFATAGKDFKIRVYDDEKKEVIHTFDKAD YNQPGHQNRIFALKYLEETPNILLSGGWDGNLLIWDLRDHKSVGTIYGPNLSGDSLDFRN GQILTGSYRTNNQVQLWDFGTRSLIQEIQWDKNNNNSDFYVYSCQFSKINGDTILAGSSG KQELKLFDINNQYQTCGYIQDLQEGVYCVDYGNKSNKFAFGGGEGVVYICSLTNSK >CAK68703 pep:novel supercontig:GCA_000165425.1:CT868062:165486:166948:1 gene:GSPATT00037488001 transcript:CAK68703 MNPQQLIENLNDILLSDFFRYIEVLGKGSFGIVVAAHSQELDKVVAIKIAQYFEKENESS LLQECTHPNIVKLYKVLFANNFIYLIMEKLNGTTLDVILKEQKLDEIQIRNIMLQVLNAL AFLHRKGIIHRDLKPENIFISNGNHVKLIDLGLGYQIVCRGIIGQQVGTPYYIAPELING YEQTQALDIFSLGIIFYKMLCNNQHPIWTEGQTKKEYYKVLSSDFQINYPSHLSEMAKDF IKNTITHSPIDRMTAEQCLEHPWLLGEERKSHPITNKEIIQRYRFIQKFQLIVKALQMTK IFKQQCLEGCSYLKSQNSDEIYEILLNEDAPKTQRVHTETHIIVRPQKSYYKPKSLKTIQ LTKETSSQDLVPIKNKMLNSNRCYNLTSRYKSSFDIQLPKVNSQKKIHFQLPSISPQQTR QSSFIFRLQ >CAK68704 pep:novel supercontig:GCA_000165425.1:CT868062:167076:167444:1 gene:GSPATT00037489001 transcript:CAK68704 MFIYPSIITKGTVIYTIFYFTTKIIYSNVQFKEASKKVQQQKIESVQKVRPSSAQQQEKF KPSWNDKVESNPKQFDNLKKNEIFKIENKLQKEKLKEKRRIKKIIRKSTSCCSQIIYNYK RY >CAK68705 pep:novel supercontig:GCA_000165425.1:CT868062:167511:167741:1 gene:GSPATT00037490001 transcript:CAK68705 MKKQKRELEQLQWMIDKEKKLKDEQNKQFEEQLKQLKTQKHPDLAQDIMNAKKRVWKIKN RHVKQKNKKKGQIKVN >CAK68706 pep:novel supercontig:GCA_000165425.1:CT868062:167881:168144:-1 gene:GSPATT00037491001 transcript:CAK68706 MSGKRIFNLDTINFLKQWIYFSFDLIQFLIDFFVIFIWILSFRFQQLLMFIYQCIQVMLS LQFSFFNFNQFIFEFIIINPYLLLRLL >CAK68707 pep:novel supercontig:GCA_000165425.1:CT868062:168840:169898:1 gene:GSPATT00037492001 transcript:CAK68707 MKLRKNINQEEQKCSPVTKTICKLKQRDTQHQISNKVVLPILTVPRPLSTFIKSAVELKL SLSCPQERCLGGLRENPCTYNYKVCRVDQYADLFFFSLEQYDRDQFSKQQVCQLIKNMND QYSEQALECVENRFKILDNFKDQTLLSENELLELEKIVSQYPFQCTIQNVNQLNSVLSQR ILNQKFLDVMGITKDMMFDHLKETQALPTIFNLDGTLKNWCELAKYSVEGREYFDFYLNT YEGAQYKCKVEQKQIFKQSSLDPNQIIFIEFRIIHIDPSSMKSLYRQERILKNHAEYFNL KNSDLVLNQFKTQTNYLDAKNYKITRPCGHKKIDKIIPS >CAK68708 pep:novel supercontig:GCA_000165425.1:CT868062:169971:170847:1 gene:GSPATT00037493001 transcript:CAK68708 MQHTNNKAKKSYSFSKAKRFSQTNTNYITANYNINRNLNNRGHSIGKGPRSDFTKSFSNA PPPTQYIISGLFNLPKEKGITFGISRDVQHINQYSQQKTKELGYITQGIQRNPGVGNYNC SYCYDPKIQYTMRPKTQSNWLPNFLQEQNLECIPGPGKYDSTDIDQMGQTIYARYRSSRC SKIGKEERFQKISSQENNLGHSSPGPNTYKQTDGLNDSGLYFESKHRGQGKRIICKEPRR SFIDQIYQRKPYDQKFLNHSNRALDSQIKLYESEY >CAK68709 pep:novel supercontig:GCA_000165425.1:CT868062:170900:173101:-1 gene:GSPATT00037494001 transcript:CAK68709 MGCCATNNNYSQQLHLIRYQTFTEFDISYPQVLLEQFNKQLDELIIIKEEMNKFITTSDF SEEDYLIACRNFFDRHFHLLKTYHSQKTIEDSIIIEQLGKMREFISNVQNEIKSADVDFE KWEQEFLVNINCLEQESGELGEFYIIAKSEQNLIKIITVLGKFDDKILNFHQVWLQDQIS QLRERLFRQSEQVIVNYSNYLINYLKTGNDKNLYKNLQELSKLSEVKVIEQLGYRLAQQL LQLRENQQDILFKSEFLDIAYNLQQCFTQNYDKYIIQPVIEQMDDFLIQEEIQLSSLTIL LEAFGLAKMEIFDQDFYCFHIHKAETSIKNIKEMSQNFEQTFTKYQLQFITKQKQNLQHA LQIFEPFYQLSMKKISQKIAEQINQYFSRLECITLILNASKRQEQKLSVFIQNYGQRCSQ DLQELQKNSNKFLDLKGLKLNQSTDQVTQMIQEYQVSFQKMQQLYLCNLGAFITKEADEI SQLTEDKHNEQKKRIDQLIQNIDIAILNAKNIMIKTDDTDLIEKQLTMALDKLIYNKDQK KRASQLSDYALKQKQQRKSTTVINSPHQETNNSKQIRQNTPKGVIVTTSNNNQELEPWAK LKRIPLKIISQCGKCDSYAPYQVKHELCQTDYFLDMNGFVRCSKRLISSPKEKSCKLKHI TEQSFVCPYCKAKFQLNQFHKFIQAIIKGLDYINLPFESKDILLFFRRVYEDFSKIYYNY QVHQQKPSPDSHQ >CAK68710 pep:novel supercontig:GCA_000165425.1:CT868062:173689:174220:1 gene:GSPATT00037495001 transcript:CAK68710 MLNRSQKGIEIFSDKSCCLNGCLTIIVLYFDSVILKESSCRYIKLPQLTNFSGFIKQNPT QKVIEIQYYFFNEKIGRKIKKRRFIGFFQRYQ >CAK79594 pep:novel supercontig:GCA_000165425.1:CT868335:207:428:1 gene:GSPATT00039335001 transcript:CAK79594 MSFIRVKCYKEQHQFIEQVCLNKQCQANRIYCQQCLQDGDHVAHPKDQKNLFDQKIFQNI DQKSESQSQDYVQ >CAK72093 pep:novel supercontig:GCA_000165425.1:CT868109:11177:11687:-1 gene:GSPATT00038710001 transcript:CAK72093 MDNEVQYDFAATKVQYSQQYINTYYYDNQTCKKVTGIIMHACYYISVRLILTYASASACL TCMTTYVPNSISFHVDVPLFHFFLFFGMQQSENRQSESQDNSILPIVMLNTNGLEYCNND MIQGDIQMISALLLPQKFFRNAFTQTLSAGIYAGAYCSYF >CAK72094 pep:novel supercontig:GCA_000165425.1:CT868109:12062:12517:1 gene:GSPATT00038711001 transcript:CAK72094 MAFQKVSFQQKHQVRKYPKIPLQHGFSFFNHTTTGSCWQNNEIPHSIILRVFLEQSEKLN LNFCGVKISTGSLFTYSSRCNCLILRTQAQTYPLQVFVLFKAISRIEFTYTLVLNLEQGK LQPKAFTMVGISAIQTEHQSPCFYKMKLVLN >CAK72095 pep:novel supercontig:GCA_000165425.1:CT868109:12717:13197:1 gene:GSPATT00038712001 transcript:CAK72095 MQEHQALAHIQKNCSSRICNLYKIVTSSPMVYNKEMYRNRKEISGAQQNFSENSQRYLLL TNIAVYCNLKIKDNLMANDKNQASILWRFIEQSESLILCLELLYVVQGSQQMSKLLPYSN KVVKCAFDKKTQCVRMVDINKQQQIPCNILELR >CAK72096 pep:novel supercontig:GCA_000165425.1:CT868109:14188:14901:-1 gene:GSPATT00038713001 transcript:CAK72096 MLKQQETVIDDGILLSNNKQYVFLNNFQMTNLQIDNSFPSEIVNALSDVEYDFSSAGCYL FRIDNISIQEKVTLPQLGQVLASIGSIVQLIFFIKYCAYYYNNCLLENELHHDIITMYYP QFKGCRLNFLNLFKVNDKQINKFQLIDNLDDKYRNLLQKAKEKCRLDNILYEISRIQFFL QQQFGEQILYQSHQLGGKLINNQLEFQGNKETNRLSVKPVESIEVDYEPLELLLKQY >CAK76384 pep:novel supercontig:GCA_000165425.1:CT868234:688:1667:1 gene:GSPATT00039132001 transcript:CAK76384 MNILTSQIHKQKPDIQEMVCQIHKLEAIAIDLDTSEKGKFYYLCCNCLVEKMNNNKISTI QDANDRILSLKSQKKENKIKRDPNEIMNFKSTVDNTLEKLYSQIKAQIHTIQKEKQSVLE SVQIQKDFLEDLKSLSEFLSADSQDKQFEFSSDDQFIDEVFKQFQLLFNNSEYFQTVDAF KEAKQKIIEVKENIKIELILQKNKNNQVKLFIFLQKTLSLNKACPTHNKEIIMIDIDTKN KNIQDRFVCVDCISDHPHCQYRTIEKIKFQMIYRQLKQLIIVYLKKPLLKDQTIMNQFLI LLI >CAK79922 pep:novel supercontig:GCA_000165425.1:CT868352:210:3570:-1 gene:GSPATT00014829001 transcript:CAK79922 MKWEYFKQYQGLIIQTNLSPTINNFSYAKFQICVYFLQLSYFLIDDSNEQVFSLIKSFTK ICLISPYFMNNNPINILIGIAITMLNCFPYIIITYRFIMKSHNSVDSISKSVLDLITILI TNYFLNFTWLFYVIQLYYVCWNLLNAADPLLILLSIFNLLIALVSLLISNIYFINYEFFQ QSLRKYFNYNNIIAQLLLIPLVFMSIRTQFIFVLLSKIVFGLFICMLIGEAIIQYPFGFS AYAKLYNSGLTIFTIVYLITTIQKAFSINSYSCIVIFLILLPVSQHLTTALVEMKRQTVY QTISKQNQYFELLYIENMFELNGEAQKSKQKEIEYLTKFCMHHSHCRLNKCQCKKIGFEK IIQLDQTVIFISCLFKKSFDKHKATVQKRIGDFEVLSLKFLTFINKFKRNGPKTYQELKV LFQKQREYSFYFIQMCLFLQFIIQAQMQKDEDYNINSESRSRISNVKLQVSKSERSIVQN LYQMEQVKQNMLPLLIQICQFKSGFWKQIQEGKIATFIEIEKQLQQLQTLQIQISRDYNK YRSIFFVNGRTYNVQFLKFDALIQLILYNNARAYYEMEKDCKEILAYERSMSTFEITNIN FFKGDAISVKVCIAFGPNIGKVRNKVISPLIPRFFGFADMASFIDYTKGNINPLMPNWLL GVHDEMMQNYIRRGSTQRIGKYFQTFAKLCDQTLIRCQVYLAHNFSPDLEADFSMIGCLK RLEQDELDLKEDSKRLRNLIFKGQQHVLFDIYGNLMGITQGLYKMIDRMQRKPKDNNKQD EVKQKSFENEESSADSESEVFDVYEIKWSNEPLTIEDFYLKVLIWMLFPFITAEIEQTGI EFLMNGQVPPKGKYPNQIDLESSNTVVSNKETYMFVPEDINLFVQQYNKVLGKINEDEKI QTSNFSLSGKRQQFMESESLNTKQQVTVFNEKLCSFFFEEFLRKHQQMVLGNFSRQEMRV SNLNQMTTNIQHSENADSSSESEGQLKTRAEQMYYDKFQNYIQRITTQDFNPIPVIYSVN YEEFRYKKNEIENKVQMFVIELTVNEQQVASMEKGYRVIEIRQK >CAK79923 pep:novel supercontig:GCA_000165425.1:CT868352:4085:5698:-1 gene:GSPATT00014830001 transcript:CAK79923 MRLLHLLRPALSIIPEVQEPRFPQPLKVKVLITGITLFIYLICCQIPLYGVYRTSGSDPF YWMRVILASNKGTLMELGISPIVTSGMILQFLSGVGFIEVNHSVREDKVLFNAAQKLLSF IMAIAEGMAYIWSGAYGDINQIGAGNAILILLQLTFAGVIVTMLDEMLQKGYGLGSGISL FIATNVSENILWKSFSPITLSTEAGTQFEGAIINFFHLLFTKQNTLQALYYAFFRESAPN LNNLLATLFVISLVIYLQGFRVEVPLASQKIRGLVSSHGIKLFYTSNIPMIIQSTLVQNV YFLSQLLYRRFKTNFFVKLLGTWQEAEFGGQSVPIGGLAYYMSPLRDVKDIINDPIHAVV YVLFVVFMCGFFAKFWIQISGESAKDVARKFKDEQIKIKGLREESMVKYLSGYIPVAAFC GGVCIGLLTIVADILGAIGSGTGILLAVTIIYGYFETFHKEKSDNQSIF >CAK79924 pep:novel supercontig:GCA_000165425.1:CT868352:5758:7020:-1 gene:GSPATT00014831001 transcript:CAK79924 MSKQYKDIRANFVFDVAPKSTHQSNDEMPIVIKQSDPIKIDKQQQDVQEINQQRQQNFDE EKLKSYQKMYEMCQQLYNDKAQLIDAVDQQQKQILELERQINEFENLQANYEKEQMQLKE EQLQYVQEIETLTCQLQVVMAQKQDINQMQNNYDELYDQLMNFLYQENLQELINDQDKSN KKMIVQFLVEYLINQYVQKKNQEEKFQIHIQDNIQIIYDLQSKIQDLTCNPYRITTPKSV LSDTLKQSKLSSSASHFHKTNSVNANDKEELQSKIDSLKRQGKQINKKWQEFCENILRER NDVIKYHKKQIESIAEQNLELKKTISEIIQYLGQSQQDLWIQMLDIKQKIHLKVIDLSRS DNVNQLLVEAELKQLFSIQAQLRSQLNRNRPLRKDLQ >CAK79925 pep:novel supercontig:GCA_000165425.1:CT868352:7439:9469:-1 gene:GSPATT00014832001 transcript:CAK79925 MNYQTFKPSILNDTTNNFPIQFKQNEKPNLKNSDFNNSFHENKLFGQQLEYIKQLEEQKS IIEGQNQFIQILRNSIENKLQIEGFQEVLNQVLNMSREQKIDLFALLSQLYQELYNQNQN VTQIENLQQLVDNLNHQINFYAKELMELKVDKQYLIDQLDQLATSTKDDDTIIFKEAQIQ KLESENHHLKSQIQQLQQKNEKYQQDIQQLEKRLNKIQVNLKTEECEKEELMKELDGLRQ TFYKSLQKDEQKQEFNLALKEQEMEFLNTKIKKLEQQNEEKKNCIEEKFKQIELLKYQLQ DLEQKFQYQLEDFEIKTNQIKSEYHEQCLYGDQLQEQLSTSEQFIQQITLKINEITNGHD QDILKNLHNLEEFLQNSSLAEQKYQQLDQEKSQIIFDLQHQVTDSQQINQQLQQQTSAQN NQIQQYQNDLKVLDKQKRMFKQELIHMKQLDEQKNKEIQELQIQFSKYDKKLSTQLEQQV ELEKENAQLKEQLIILQQQMNNNKNQQSNKKSIACSQVSIQEQKFKNFDYIALLKTFEMV RTMFSVECQDMIQEMLVLQNKLVRTEDIDSDLIQQEIKLQQKFSSQQLKFKDAQFQMAKL SKENKDLKQKVKQLNYARWLLLIRKVQIKSFGQNNSIARYHKQSISVHTKLRPQ >CAK79926 pep:novel supercontig:GCA_000165425.1:CT868352:9655:10287:-1 gene:GSPATT00014833001 transcript:CAK79926 MMQEFMQVPLLRTEYFQLSGSINEEIEYTHNLMVFIHLKNILLLIPFLLEKLQHNILKLQ LLKQINSVIYLRVKKISDSNQSIRIILRSQLTLCLFQIPHQFLLIQHSNQINMKFYFLTD IEQNLDNFITAFSICLLLFFQSCNEFYKLQLNSTFIQELSRLIALNIQFKCNFFFISKEY YDQDNLVLELRLIIAILLSNSKALALVGLK >CAK79927 pep:novel supercontig:GCA_000165425.1:CT868352:10472:12028:-1 gene:GSPATT00014834001 transcript:CAK79927 MKKSIVLLTLLIVLTNTQRGTLVTHYDLDGNLISEEIMAPIEDEITYYDSNESAIDSSMN QETQNQPNLTTPQESQDSASIDVPISTANTLEPAESDQQQTNLIGSSFEIQPVVNQQPKI ETSIEQQHNLEQQIEGELHLQQVPMNQNLDLTIQQQNIPEPQFSKEQDDISIRNADTYLQ PVVVTSDQMLVVQKDIDQQTNSSDINNSILNAQQTPDKLNQKEQEQSQNKEDQQNQPNTN EKLEENNLEAKQETTNKVIQDMPIQDSVIIQSGNEIHIAQNAYEIQSESLGSVQEQQENQ KEQINIQTTNADDQFEVTQNFGRIIQDMVPESDEDTQILEQPEDLELQQKAVPQPSQIEE DINFNNEDEQLNNQVEKQAQAFNEDKQENQASFSKGNEQQEQQIETDVQEITQESTQAQE DIPEELKQNVNSLENNINKQGDMKINNPFEDDGLKLSSEIIQNQCIVIYSECEYQGLALE VCDSLKDIEQYLHLYFRQEIQTQNKIHLHPKRVWTDNL >CAK79928 pep:novel supercontig:GCA_000165425.1:CT868352:12057:12563:-1 gene:GSPATT00014835001 transcript:CAK79928 MSVINPQFKPSRFRLESLGPQLAFNPTYNPRFCYIGSDDYLNDLLQLEQQQLEEQMIALI TQQSLDMEEKSPQVTTLAEKQQNNYKKKKVFDSADYFMQQQQKKDLTIEQKQEELLMTKY AAADLQFKTPLTKKQKKVFDSADYFLSLYKN >CAK79929 pep:novel supercontig:GCA_000165425.1:CT868352:13075:14295:1 gene:GSPATT00014836001 transcript:CAK79929 MFQPKSMKFTPHNSIAGNENVNSLNKSKPIKNMCCMNHPQKTAKYCMAKDLSVSLCSKCA INMAGKGFVVEEINGFEERQRRDVIDRFLNLVNRNLSQYDKALSGLLTKKQDITKYFEQQ VDKVIRFHQLVTQQLSEEKDKLIQQLTQNFKQTSLTYEETIKTLQNSKQESIGMKNDVQQ NLDRIVKQIAQEPFNEIMNCYHKTVEKYVSDIEDLQRKPIEVIKITLNEPYKINEFVTVG LQKTSLIKKNLSEIGLRTITNEDVYESIAKRDSVNYQKTSKTLSSLPRTPKTESSPFKSV PVTNIDLYHTPEQQQLKTFKSQTSLQQAKMQLAQVQENIEQNYEEGHSQMIERDDVENSY QMMIAESVSDSEDFIDQLNLIQSLTVGQNGHLNSLKMYSSPQFKNR >CAK79930 pep:novel supercontig:GCA_000165425.1:CT868352:14470:15024:1 gene:GSPATT00014837001 transcript:CAK79930 MLLKTRHRSSLQTTHDSFLSELEVDRIISSCNLTLAKVTSEHDEIKVQIQDYKASIDYLQ KSNIQQEKQLKVLKSNLDDKEYVQNIKNDVLKKLNGIEDNMGNLEKYLEEIQQITQEIES SPIMWKCIRCGFAQKEGQNEASCTYHPGKLKYFSCRLCGQDEYFTCCNRCRDCLYGCTKG LHKP >CAK79931 pep:novel supercontig:GCA_000165425.1:CT868352:15223:16038:1 gene:GSPATT00014838001 transcript:CAK79931 MDSSEVISNPICPNKPKIPYEVTKTFCPRQENSSYLNWQEQANDKSNSELTQLYGMSAYQ VLTGENQFDGHCCNKMAEIARNNQKQLQGQLATMQQLNILITEHQKLLDRSYQQLKDEKQ RKEQQLAKSLDISQDLLNKDQVINQWKTKYEKETMQNQQRDHKIEQLKQKIAEAEERQEQ SVAIVGLQDEINTWKNRYLNLNKTFDETKHKLNELQTESENLKSKQPNQDESSQSTTTTT TTVKRTKKQQE >CAK79932 pep:novel supercontig:GCA_000165425.1:CT868352:16059:16728:1 gene:GSPATT00014839001 transcript:CAK79932 MGQMAAKQNQNHNLAVQEENQVQEPDKENMEIEYADQISVENQKFPFCIVWTSIPVATWL IPCIGHTGICTSEGTIHDFGGPYYVAIDNFTFGKPLKYVRLNKEFEVSRQTWDDAILKAD DEFKQQTHNLFTNNCHSHIAKALINMKYKGKQSYTMFHIWLMLIISGQYVSFGRFVMTFL PTIIFYGIILMVVFLSK >CAK79933 pep:novel supercontig:GCA_000165425.1:CT868352:17171:18783:1 gene:GSPATT00014840001 transcript:CAK79933 MADNDDYEIQYYKYLEKIGMTPPNPRVRETPLKQSGSGISQRLLFQNSSLKKELNYQRIN SIIVLNNQLEINLANKQSQPKLLNITSNSEGKSPIFDIQTSLIQSNEQKNEKGLSQIDEF ADEYQQEASNKTNCYESKQEQNNDNINLDFSSLQLKPLTANKLHEEAQQRAEQQNQLLQE SKDFEIQTSLQNNLELNEQQILQDEQSCQQEQGANQSNQEPSKQDSPIIINTEQNQDVES QNIQLQSQMISQNEQIEKINSSDLEVDKIQILEPQPQVEKQQNIEIQPLEVIMQEQIEQE NHYQPQTSTSQNNIIISQQEPTEIQLEKENDEIDRPQIQVSDNNIIINEAMEIEKIQIPH LEEQIVIVEKNNLEFNPPENKQNTDQENCNNNINQQQVVEQKLIEKKKKKQKKSRIINKK KKKEKKNKVEKNEQTQNNEKVQSQEIKQCQSQEIKQCEEKQISQIEEKLNNEPLKVEQEQ KNPICNLLQSLIQGFYGENIKINWHKYTFLRRKIQKKRSILLKSKLLI >CAK79934 pep:novel supercontig:GCA_000165425.1:CT868352:18835:20430:-1 gene:GSPATT00014841001 transcript:CAK79934 MGCGCVTSAAENPNRISKVDEFNITANDLVVKKLGKINKDYEIIRPSIGKGSFGKVYKVI HKVSEVQRAVKVIRATQFDQDKIAQEVLILKKMDHPNILKVYEFYQEGNYISIVTELCTG GELFDKLSKGQSFSEDMAAITMRQILSAVNYCHSNNIVHRDLKPENLLYESKDKNALLKL VDFGTSSVIQQKMKQKLGTPYYIAPEVLNESYNEKCDVWSCGVILYILLCGYPPFQGGTE EMIMVKVKEGKYNLETEDWQCVSEEAKNLITKMLRKDPQQRISANEALNHPWIQKFTSVV DVSNLNKVLNNIRTFQAVCKLQEATWIFMVNNLATSDEKASIMKAFQALDLNHDGLLSKE ELIIGFSQIMTEVEAEKEVSRIMRLIDKNNSQLIDYTEFLMASTSRTEFLDEERIEKAFQ MFDLDKSGGISQEEICEVLGGGTQYDKKVWSDLIKDVDINGDGEIQLDEFRQMMLSMITK E >CAK79935 pep:novel supercontig:GCA_000165425.1:CT868352:20552:22103:-1 gene:GSPATT00014842001 transcript:CAK79935 MQKKLSKIKETFDKLKNYTYKFFVTSPEQGTNSEFSCKLFVNSLLISNGFGKNTKIARQQ CADIGYDELMKKDWEFAEKNNFIVPKDDQYQILLTVIQRIKSEHQIQKDLEETIEQSDGY KQCHLEFDNLRSEGFSFIETNARKTSAYNLIQAIFQKYRNLQHCDNVNSQIQNYFGIQSG QIYQDSHKKVKIEYNDNIYQNTPMRTINNVQNNQQTQIQVIQHKIEIPEPAQMISEQLNV NQDKNQINENNTLSFQLAKLLQLNNESSFIFLMFIQQLSGFNFQITGSFISKSIRITKPE LDIILEISDQHEIKFQKLLKELETEFRIQKENNIIILSHNSQSVQTRLKTQVPSLKIKLY CYYNYKQIDELHFQHSNEMNQYSQKYDQLYFSSLSLCLVHQWRDDNLEVLPKQLLDYLVV QTTNQFTYLNSPYQVLCQIIYLLKIGILDELSQRNQNEKELNPFLQSINSFYLELIHKLS SETLRQIKQIAEQWNKQQINIWVKKYI >CAK79936 pep:novel supercontig:GCA_000165425.1:CT868352:22775:23470:-1 gene:GSPATT00014843001 transcript:CAK79936 MPKESLDKVLFIIFFPIHLILYLLPSYNENVVPKKLVLCFFLNLVLLCGCYYLIDWWAFE LALATGIPLQLIGMIFLGFFTQIQFANYNLEVAKIETKLEFTQAFFQTAICKISLCTGLP WVLRIIIDLDIEYEMDYNDFQRAFCISIAVLCVIVFILLLLALAKGFTLKSQDGVKIIVL YGLFILISAIIIGLNILK >CAK79937 pep:novel supercontig:GCA_000165425.1:CT868352:23494:24582:-1 gene:GSPATT00014844001 transcript:CAK79937 MTYRELVPTVEDHNAGHVIGFIIGLLYMFAFVYYIYKKYLSNLFEDFMSLLDDKEYEETY RPILYSLLNSMQYLILCFYSTFSSKSDLGVSVIIGSDAFSILFIFGLILIKFPNSHHGVL DTWTTLRDCVIYIVGLLVMLLCLYYEWAKIGTALVLLVYYILDLIVLAGNYEIKEKIMEW LTLNNEDNEFNSDQHLEYKRRRYSVTQLRDAGMVDLKDQSLQKKINTFEAILVIKYGQQN PQEKRIRQRFGSIVYAIIFSIRNSIKSEIQKRSEFYQNLNKPKQKQRQQLNDEQPQSAIK QRNDQLRYMIKMTILQQILIQWNLYTNQIIKINSTPKIKILMTK >CAK79938 pep:novel supercontig:GCA_000165425.1:CT868352:25005:27180:-1 gene:GSPATT00014845001 transcript:CAK79938 MSLQTRSMERTSAGEKQQTWHQNRIFIEEMNTLIGSALVEEFRNDNENDINPNIIIGNGD NLPRGATKLINAADPKELGQVVLDCDIVIFHQNYCRAEYAYKLLKHGQYQQSKIFILISN PLTWSTTPLKERKNDETAMRQSMGGETEDQPFFKKYDKFTENDLPIRKIHYLERLKNLEQ QIIVCDKPQLHAYIITPGLIYGNGEDILYDLFKTAWMTPDAELPIYGDGNNIIPMIHVND LANIVNKTVTLTPNERYIFAVDYENMTQKKLVTTIAKSVGNGFTKNVTTPIEKMLTINIW LRPTQVFESEDQYIDAKKNPIIIWQCKEGFAKNILKIRDQFKLYRGLDTVKLIVHGGTAS GKSNLCKQLANFYRIPHIQIKPLIQELIEQQSELGELVRTTLQQVKDQLVAEALAIFEAE KKKKKVPKGQPEPVFDPSGIQPRLPDSVLIQIYKWRLDQNDAQNLGYVLDGFPKTLEQYE KLFINEEGQLIESIKPKGAIYLEYSDEQLKEKAKQHNDNPRYQEDLVVKRLANFRKNNEQ LLAQYEQFDIKRISDQESAFDQSKDFITRNGPILVLQDVKDEEVVQVDEQQDDISPSPQI SSVQQEKVAAVQQSQVQQSQVGPKKKGDQLNKSINESRLSKDNKKLTDEQKQDLIRQQER ELLDQRSQPLRQYLADNVVPFLTEGLINICEKHPENPLQFLADFLEQKGNELQALGQ >CAK79939 pep:novel supercontig:GCA_000165425.1:CT868352:28047:28412:1 gene:GSPATT00014846001 transcript:CAK79939 MARGTPAIGKRHQKTHTFCKRCGRQTFHIQKNRCASCGYPAARLRLYSGWGEKVARRRST GTGRMRYLKSIARRAKNGFRAGTQAQPKVKNTQKK >CAK79940 pep:novel supercontig:GCA_000165425.1:CT868352:28704:29679:-1 gene:GSPATT00014847001 transcript:CAK79940 MNKSKTQNFHLYEPQRALQGHKAQIYVAKFNFDGQYLLTGSQDRSIILWNPYKETQLKQY FGAHNYEVQDLDILQDNSKFASCGGDKIAFLWDVATGQVIKKFGPHSTRINCIGIGGEQS ILATGGYDCEVKIWDLKDKKQNQPIQRIKNFQDSVTQISFFNHNMVVSSVDGHIRTFDLR KGIIQEDFVKAGIFKFSSSFDDRLFALSCNDSIIRILEKSSGTILAALQGSHISNQYSIN CEFSWNDGMLLTGSEDGKLLIYDILTGKCQITRKLHDKCLSSITLSRQQNAFVTASYDNV ALLWMEQN >CAK79941 pep:novel supercontig:GCA_000165425.1:CT868352:30390:34765:1 gene:GSPATT00014848001 transcript:CAK79941 MGNAISNGKLISPISIDAQIKESQLTMRFMLDQKKLMAQKNTIPKDFDQQLTALIKELKV EPKLLQQFQSTPQNFKCLILNEYKNITGKNLLQNVPNDEVTYFTQKLSNPTLQDLEQLRR YLKNLQIQDQQDQLEQFHTIINLLIENLFKFHQQAQQVIEKKKPPCYLYQVQILNILEIL VKIEKIQQQMLHIPDSMDIILKNLHPFHVELTTTVLEIASHLCWHTDEGYNWVLKSLNKL YEESEIIFFVNTLKKSRNAVMIATICVFIVTLTESPTQEEERKRMRQQFIASGMADVYRM ITNKIEEFEYKADELRFEVVLQQIIDSNKQKHQIQTDPNYTEEIKLPMLYQRESRKCYDI GEFSNSIKIIEGQIEAFLENNKDVPIQQQQEPKKQEVKQHAKHLKSTFLTPQYLNLIQDE MGKANLTNLQRTVENIKHESKIRGSQIVTEFAEGISKYIDKLNIPTQKDNENTESQQLEV MKQQVEDLHEKIASLENEIKDMNTKKQSNEAFVDVLQRKIGDLEKKQKLDQTNQSQLNEQ LASKNKDYRALQQENESQKKSIQQLENEVYQLKEKLNIMQLAKAQKMELEAPPQRLSHKQ DNSEEFKQQLDSLKQELHQQNQKFITQENEIKKFQQLLKEQSENLQAKEILLTEKNKECR ELQATNEKLIKKKYAKKLQLQQLREKVQSVNYVKTQATKIQSELKTIEQYQDELIRLNNI IEEQAILLEQQKKDISKLDEVRESQKVSELKINQIEEENKKQSINTQALQDKLQQLQKEK ENLEQKLLTQQQNIQNSVQSSNAFVPPPPPPPPPPGGSKTLPRPPPPPPPPPPPGGKSAP PPPPPPPPPGGKGAPPPPPPPPPPGSKTGPPPPPPPPPPGAKTGSAPPPPPPPGGPRPPG PPPPPGGAPPLPPGPRPPGGPDPQFGASAGKQKHKPNVQLKQVCWTVIKPEQIKNTVWEQ IDDTKLKMDFTIIENLFAVKPTQSNANAQGGANQPQKPAKISMLGPERVKNLEIVLGKLK MSNQVIVDSLYQLDETVLKPNVVESLITAMPNETETGLWQDQDQSNLAIPDIFCINISSV TNSIQFRLLSLKFKFNYKELTEDLQQKMVGFQKLIEKTRNDKSTKVIMEYALAAGNYMNG QSARGGAYGFKFDMMEKLADVKTTDNKSSLLMFIIQKAEEDLKQELVIVDENIDEIELAA KTPLSQLGADLNELKKNSRTVDKAIKSKVSPPIQDLVEEKLQDFYQQVMTQLAEYETLLK QLESQYDDLSNFYAEAKVPFDKFFEKFYKYKTVLRQAKQNINKIKLAEQKELEKKKKLEQ QQQSQQSQQQQQQQQQQQQEQAQIKKKQEDNSLSGLKKLDKAQILNEVAKRRESKKTSIQ QLASILVKQQLQTTQAQTQEQ >CAK79942 pep:novel supercontig:GCA_000165425.1:CT868352:36167:37824:1 gene:GSPATT00014849001 transcript:CAK79942 MCFRNCLRKQKMENQIIFGITAIMLISTIFIGIAFLIQNIVLFKMIQASSSIIFIRQENN SIQNLGQSIKQYFIRKHEQYIYRLNNINKLFQYYSDIELKLKKMDNLESCLYQDDVNDNY IRYSAAQFCYQACGSSDHFTLPQDDQTIEIFNQTTQILNQFTISFPVTLESVLSYADLSD TQFYSAYPLAYSVKGYQPKKRLWYTNHVQQMKLNPKSSFFYSPAFLVVHLKTYAISLTYT LQNSQKESIGIAQQLIQLIDSAIQDLPYNALIINKEGQLVLNAADFEYDSKNINYIYNQS LTGFNQSDWEFMQELSKNKSNLKNCNDFENVYCLYDKLYNSTIILFTTQLKNENFTILLY TNFTMQQQVNEQMDLLQLGLVDVVYRICLEHLASIVIITVVSMLAVRMLFSPLKYLMNSI KNHILQIGNNLNRELFKMLHISKKKKVNTFSKLTFEILKFQDILNTVKTHRNPICYQIEK FQYKFKNEGERNMNLFFDEIFFSKIDQQNELNEKKLYLLCKQLIHEQYNYQNHYN >CAK79943 pep:novel supercontig:GCA_000165425.1:CT868352:38064:38405:-1 gene:GSPATT00014850001 transcript:CAK79943 MSSTELINTNESRDSQTLPIKPILKSSQQKINQAFPRLDWAGNEIMKGGSHKIAFASQQT TPRTFMDILSEEQYNQDNQVDEDYNEMLNCQKVQNKTKLQPELSEQSNCCFIY >CAK79944 pep:novel supercontig:GCA_000165425.1:CT868352:38583:39470:1 gene:GSPATT00014851001 transcript:CAK79944 MLNQENYKIQQFLVHLFNVDTSYSTCFHLTEPMLYQLFIEQDHQESQREDFTSFVEQYQM IYRKEFKPISARTNLNQQSLDNVKPFTYPSNSYVWIKAKQKKLKQQLTEQASREKSISTQ KQSRSNQAIVYESLQLNPPKQMKNNILIQSPKIVKKGSNSLTFGLNSRNRNLENDLKQSL KTPQFKQPRFSEFKKGNSLERQKDSLKFVGKSKLTKESIPQSHIQRLRSLSGTEKLAAHQ LIIGTINEINQLVHKMEEPKLDRIQSEESPAVQVPSRLGIVPAKLISIDVKKYKQ >CAK79945 pep:novel supercontig:GCA_000165425.1:CT868352:39767:40744:1 gene:GSPATT00014852001 transcript:CAK79945 MRKSLAQCLHKSSFLSQRQTNPDVTQDKKLGVRFATQYQLSVPDIVTSDPNQLELIRIKE KYKWKCEELDRTKQAMEEEHKSIEERANKLNKGEKEMRLLTTQIKEKLNILGEQEHNFKE KVLLQEEKMKEREQQLLNSKKQLEEQQNTFEIEKNKLKIREKCLQEKEFIVDLKLREAEQ YVEKLKHQLQSVNQKENYYHSQIDKLNSGLQTIIQHELSIKNIEFNLSKQVQQLREVEQS LIEQLQNCKQQEEVFDQRDLNIQVSEQLIKRKLEWDNKQIQSAKISKINTENSRMEISNF AHSAFSQKY >CAK79946 pep:novel supercontig:GCA_000165425.1:CT868352:40858:41476:-1 gene:GSPATT00014853001 transcript:CAK79946 MQQSDPNQTQNWAVTTQSFEVTTTHQQYPQQQFIPNQQPNYTQQNQQYGQQPQQVTYGQP IVSNVYAQPVQVGTPYLQTVIGNQFNAPPSFNANGAPYPIQIICPVCKTQGITNVTTEIG AGTYMVSCLLFLCTGILCCWVPCVMPDCQDKIHRCSSCQAEVGIRQYDIC >CAK79947 pep:novel supercontig:GCA_000165425.1:CT868352:41508:42453:-1 gene:GSPATT00014854001 transcript:CAK79947 MKKILLISLVIVLSYQTVEYNTFDEPFAKHLFYLTSASYCSEQHIRNWNCGKPCKELKPI TDITMFINATNENAGYGAYHPETDEIYLVFRGTLPWSITNWIEDIDFIKTDYPYCPNNCQ VHRGFYYSFLGIQDQVLTTLKSLTKKYPLAKITITGHSLGGALAHHALVYLATRGFTISK FYTFGSPRVGDKNFFTYVNQQLFPGPKYRVTHNHDPVPHLPALIQGFHHVNQEAYYKDFL LVIHKKVQLCNDSLKEDDSCSNANLVDLSVSDHANYMGYDMTLELLTCQ >CAK79948 pep:novel supercontig:GCA_000165425.1:CT868352:42878:44334:-1 gene:GSPATT00014855001 transcript:CAK79948 MLRRNSSTRETSLINSLEQKSFLNLAGKLNGSEFPAKTTFGLKNKENKENTTARMFSLTP TQENLFSRPAIKNDTNNQNNSKKESTSKNYMYLNMEEQNQRVFTKSTQLFSKTPIYNQSI PTERFESAQTVTSSLNFTKKPLFNSSNELPGISIQSVKELEFNSCKKQQSSIPTSYLDNA ITQRNNKTQDQKENTTTRVSIDHNTSNLPKIYYISSIIKAFKQKGSNSAKEHLIHNMQGI IIGKKVKVTPVKQSIILPTVNKKTLLFDLDETLVHCNSSISVPGDILLNINHDGETMEAS LNVRPYTSHLLQQLSRHFELIIFTASQSYYANAVIDYLDPDKKLISHRFYREHCIPTEDG HFIKDLRIFKTRKLSDMLLIDNAPHSYLYQIQNGVPIIPYIDNKQDEELKSLLQYLMQFK NVKDVREFNMQYLKIPRFSEYDDPQKLLKCEFADYLEQAVI >CAK79949 pep:novel supercontig:GCA_000165425.1:CT868352:44387:45564:1 gene:GSPATT00014856001 transcript:CAK79949 MRVTQPVGIVQMTNIAIIKYRTNNNQKFEIACYKNKAINWRNGVEKDLNDVLQVYEVFTN ATKGDVASGKELLNCFQTNNKDQIIKIILQKGDLQVGDKEREAQLEHYYRDIVKFIQEKC IHAISGRQLTQQSIEYAISKVQFVVKTDKPVKLQALQCIKKLKESFFIQRAPMKVSISFI DEQMELVHMMLEELGLYDIDQQNNKFIFMIDPKLFRTLSNRVNEKQFKSTLEVIEAAIKQ QIDGIDNIAQVELQQRLQQLQISDSEESDDEQYQKQKDKSLKKNKQQKKQQIQQQQHMKK QVEEDEQNQLKQIQQQQQRENAISDMIKQFKCTQCLISFDTNQQFRQHYKTNWHLYNSKF KQSGKICLSYKKFQDSQFQFKMFK >CAK79950 pep:novel supercontig:GCA_000165425.1:CT868352:45649:47182:1 gene:GSPATT00014857001 transcript:CAK79950 MVLEIDVKILKSKETLLEMLPKLYEILQTGRTLTISWPKNQKDIYQEHFKENQKIKNSTQ YQILLNLVDATLPYPDLQSKIIVTLALYHVEQKQCLEVLKYVKRLSQMKIPQSLRQQYLF ALKYLKTNVNEIQEIDQVQIIIDILLYENQISKEELKQFYEQKKIDIEKNEQVNIWFVEQ IWNYEKNNFKLLDILFQYYTNQNNHQKAEQIFISYANKQYPQQIGLDQIQGKFELCKKEY EQNIIEIDTLSTIFEDKNPTDESLLLQQQSLQSITRFIELKQKVGCPIIDMVYLYQKQAQ IQKSKSDVDSAIKTLMHCLELIKAEKLVNYNARIILLESLCYDQLGDYQRIKNLLQEALQ SFQDSNILKEEIKDKCDPKKLIYTKMYLASTYYKLKNLEKSLEVFYQVLKIQLHEDYESH LIGSTQNNIASILLNQGKFLEAKEMCEQAIDNIGKKFTDSDPVMKKVKQLKEKIDVSVSS QKTQ >CAK79951 pep:novel supercontig:GCA_000165425.1:CT868352:47433:52226:1 gene:GSPATT00014858001 transcript:CAK79951 MELIINQITLFVQGSLILEQFIWSLPLIINFTLGVMNELQNIAYINILSTKKLFSTASEM ELITIVSRPNTLIQNSTLLSYIPLTFLCILVLCCICFIFIVKGRSQNLVIDLQIAFNTNI YIKVLFIFVNVSINIIKYAFGYILLDICFQTIKQNINDVVLVSLNLVVILGISTLIVFFT NMFSYNWALDFQREHMMINESNLTYCRIGIKFLQLSFMLYIQDDKLFLITSLLLPIISSI IQIYQMQKQKQLIYGHYYRVILAINLIEITLCLQYLLHELFPQYKFQEIWMLLHILPFCY FQNQIKMDIQKHFINFQNSSIEDCNLVLFQIIYNITRQKSSFNQQIIYLLFLQKHTKECY DIECTCKKRLISQNFQQISHEIYFKELLSLYERQISTMSFTCTSNQVVFSYLQLLFFQKQ YLLVYQYFQIIFQKSNRINFQNQILMSNNYTINKKVFKIDQLHKIVVLKVAQDLMRLDIA QQLQNQKNTTLSESQKNHAAIKEYLEQESQCFQIKNILNQVVQYKINYLEKVNSNSTKQN LEKLAYQSIQHQIVGLQKLKVCFKKTSDQKQQNILMFYYMEILNDMISSSNQNSSNNSNE EINFKFNNEFLTTEFSSMLIQINNKENIKILKCKYNQFDRFDKLEIFNFQDMIPNYIQLS HMRLIENFIAGQQNKFYQQINESYIQVSSCLCKKIDLLMDLSQLNLTSIEMVVFFRKKKD NSYTIFLDDTKKIINIDEDLFSDVLKIDGVFSQYFIGLDVKIIFENIDQLKQDQIYSQQT FYFVDPNKIHSSYLTKQQPLKVQSLWNNQQSLICYQCELLISQKGNDFGSFYYELIIKNP KRSYNNGDTYISQFKQSENIISITPIDESIVVDKPLLLEESLHDEKLYSQQFIQKSELAY QNLIQSDIQQDEQLVMMKNIDEEYNISQELDVNTTKKKQRNQKLKTEGVSSQQSVISAMQ KSIYYRQFSLVNDVAKSYKVPQIFRRMIYFRLFLIIISLVGFSLFLYQIDLFHHFEEDFK LLSMKNDIFYPILSFQLIRLSIVNYNVELYYKLVTQQQYLELLIYPKSKLIGSYDKLKER ISTILSEPLFFTLYHDQHLNLEFLHNGNIGEINELDLRNSLITLLNYQFDTQNAYIENGA ADYGSSYFYYSYKNLPNLLKAFTDANQIAFETSQQRQDDSQQKILVIFFVFLFFFILIQL IITFSNILFQIQKEKLKLLLLNQDQNYVNLEIQRLSLLQELIDRNYSQIQNYKLNFQDKD EYFGKIKTICTQHSRQQFKKHTVVAQYYNPKGILLTLTHFAILALVFIIFTVKISGSYTK IKRTGQLYEVFATLNVNLLSLYQSREVLYYKVALPFLNSTDLEKFYKISQEGLAGLEEYI DQQWLFQSNSYFFDSDFLSLMDRFSNGNLCVELQAIDESLNICNTTLNGVLQKGISSALI DIKNQIKTEFEQTNFTIRSNYPILELEGISILSYGLSYLIDKFIEDLTSYNSSLEINYNI IMVICLCISVLNGLILLKLDQIIQLRNYKLLTKFIFSVPLASILFDDNFLRNTRSYFVNE RLI >CAK79952 pep:novel supercontig:GCA_000165425.1:CT868352:52398:53581:1 gene:GSPATT00014859001 transcript:CAK79952 MSQTSLIAYSDREIESSLKDLLERILIQLEKYIENKNDLNEVEQELKDYEDQSQLVNIIK IIFTNLMLKIERKIRKLENSIDPITSSQSIRVEDEYEKLEQSIIKQESEIRNHIRLEQQL KLQAESIQQKLEDSEATRSELLETTKMMMNNLKRENQKYYEENQFLQAEIVSYKEKIQLL EFEQQKRTIELDHFDYKVQQQPRNTQQIKGMIQRKPNDKEINKMISHSQHKLSSQFQEST DYPTQSQGSLNQNYFNILQFEQNHQNHSLHQSINHQQEYNKGYQMKHNSICSINDLQYQN VIKNIKTHVGNYTVSKNNSQNSSILQKNIHCKYQQSILANIQTQPRSRSGSLRRNIYQKQ KTSIHQC >CAK79953 pep:novel supercontig:GCA_000165425.1:CT868352:53720:54376:1 gene:GSPATT00014860001 transcript:CAK79953 MIKAFAQNYFKSLSESIYYGFATKMQASSTQKTKDSAGRRLGVKKFGGEEVFPNDILIRQ RGFRWKPGQNTSVGKDHTIHSKVEGIVHFRRDPYKFKKTFYVDVVPRENPNRTHYPPPPY SYHPELFPELAKNNPEPLVLLKKSEEKVEVQIKQQGFKISAKQYKPIEVPIDYKPEFQLS NLQQQLSINKQ >CAK79954 pep:novel supercontig:GCA_000165425.1:CT868352:54799:55438:1 gene:GSPATT00014861001 transcript:CAK79954 MFQYSQRVLQPTIRVPSQIQQSIITTTFENHPQLQSTRKVQQNIDPTALNTSPIKIVNNK TPLSPNIIVYSQNPYSITPQQIPSNIRQNLTPTKHLNANMPQQRNLTPTRIIRDGDQTSK NKHSTKSKVQADFGTPSPIQQQMDKDDAEFLNEKQQSYYNNLQELLIQSEFKLSQLEQKS RQIQEQRKRLQQQFISKSPKLGALL >CAK79955 pep:novel supercontig:GCA_000165425.1:CT868352:55761:56823:-1 gene:GSPATT00014862001 transcript:CAK79955 MSIDPYQWPFPKRTDLMNLQTIKNSKQILTPRETSYNHDIEGSVAKKCNYYHKEDFINKN EDIEGARSKSNIRPSHRPDLQLALDDIKGTRPNIVKFQTSRQPLNPLEPQYKLPTFMASQ PDPPKFIRDAHNIYDIQGAQPKQTHKKLIIKESEHEDIYGSHQIPRFQPKNKIDSLYVKD INDYLLHKTTRISNPLEPTYEHYDEKGNKIIIGNIEGSQSKPLYLKDQRQSLLIQDIDGT QPGSLSSRFLRTGDRKDYRETNSLADLLAAKSGSLKKGLPNVRYTNPLNPQYQIPGQKEI YGSVFTQTAQTHFTKAEQLDQFIKKK >CAK79956 pep:novel supercontig:GCA_000165425.1:CT868352:56927:58735:1 gene:GSPATT00014863001 transcript:CAK79956 MSDQPVQPPEQGAEGEQKSKKQLQREKKEAEKAQKKQEHQQGQKQQQQQQQQQVVQEEDN CVGRYGDLSLIQSQERTQRKWIKVGDIDQTLVNQVILVRARIHNSRVKGKLAFLVLRENY NTIQVVAEKGEFASAQMLKYMSGIPLESVVDIEALVKQPNKEILSCTQKVELEVRSIKVV TRSLPMLPFQIEDASRRITDFEDGVQEQKQEEPQQKQEEVQQKQEKQDKTEKQQIQVMLK TRLDNRVIDLRTPAKQAIFRVQSGIGLLFREFLVENGFIEIHTPKLIGGTSEGGTNVFKL KYFNQDACLAQSPQLYKQMCLMADYDRVFEVAPVFRAENSNTHRHQCEFISMDIEMVIKE HFTELLDLMGDLCIYMFKGIEKRYQKEIEIISQQFPFEPFKIPEPVLKLTFEEGVKMLHE AGVNQDPHDDLDTVNEKTLGKLVREKYGTDFYILHRYPKKARPFYTMPCHDDPNYTLSYD FFMRGEEIVSGAQRVHDPELLIKQIKEKGIAVEPLKDYIDSFRYGAYPHGGCAFGLERVA FLYFNLKNIRNACMFPRDPVRLFP >CAK79957 pep:novel supercontig:GCA_000165425.1:CT868352:58737:60353:-1 gene:GSPATT00014864001 transcript:CAK79957 MSYKPISNDQHPLDIGDEDGELDIVVKRQEKPRHSQKTLLIWIVIVTFLGAILIYIAQSD STGNQQIKNGKNKVLNFTKVNKTIIPDKNEKTMDYQGDQVKVEDKVKVVKMKNDSQTNSE IKNDTQNISTNDEDEEEESQGEENSIDFEELQKQTDQLNNEIKEYFKHKNETENEQTVQE GSRDPRYSEILCESGYYMNREEKICKKCEDRCAECMHIIGTCYKCQSEFYLNNYGKCIQK CEGKHDSSNLLLSDDNKNQSIPICLGNNHTSSLFMAVQKSKNTHFVLPYLIIHPATTVSL MYHNNYPLVYYFNKDIFNFEEEMKLTDIITQAQEKQLIVIIIASHTLYDFSLFNTFLFQE AVQNIEHLVKAKSSTFRSFFGQDYNAYGVVREYQSQYKNNSVDLVISDDPVEIDHEYLES NLLVTHEQHYTDYNFESLQGAQSSKTTVILLFHTKNEQDQKDYCSRFLYVSFLQCAVREI QIDHTQRMRQGFELISQFVVQPDL >CAK79958 pep:novel supercontig:GCA_000165425.1:CT868352:60560:61042:-1 gene:GSPATT00014865001 transcript:CAK79958 MLQRKIIVPSRRKPQTESQPIDDFYFESSIETVDVDEITSLLAKRLKFENGEDTSNESLK TKKCCFSDEKFVTCKQGLEILSKQSQISLIPKEKHCAKYINQLISGEKRIIQLKNIAEFK KITTGEINYMEFYAYPDVTNPRKLKKKRRNTQITKKIHKL >CAK79959 pep:novel supercontig:GCA_000165425.1:CT868352:61232:62930:1 gene:GSPATT00014866001 transcript:CAK79959 MIIILCLCLLIDLQKCNQEINHENRAVDNPHIAILYGNSTLGYYYMNIYIGENMTKHSVI VDTGSQATTINCNQCHQCGQHQNPPYSFNEKNYNSSDLRIDFNCSSFENDRCNFASYYVE GSSIAGFYFKDKVLIGDGLIQLDDRYIEQESFESILGCTQFETGQLYQQMADGIFGLAPI NNHSQYPPSLIDFIAKKDKALSLKRRFSICLNDDYGYISVGGYDLLRQDPDFKINKIKFK PTQQYQVNLTKIAFGDQTFTVNNKIYTGGQGTFIDSGATISYMDREIYSQLVQSIKDHFE LNKAPITTILQSQVCFKFTQDVLDQYSYFPTIKFIFDDDVEIYWKPQEYLNIQENQVCIG VERLSDRVILGQNWMRKKDILFDLDQQEISVVSANCTLDYFKLQVINTSDDQTGQQNQPV VKNIRLPSLLKSSIKEEEDEEEVAEVKEDKIAEEDKDNDTVEEEQKEEDEHSWLEILFYI FIVVITLKGLIFLGLYIMKRLGGNLYSYEQKHEKFQKLNNQIHMEDEDEKESTEHKIELV IQQSDIIA >CAK79960 pep:novel supercontig:GCA_000165425.1:CT868352:62976:63614:1 gene:GSPATT00014867001 transcript:CAK79960 MIPNTKRDLVAIEYLQQNVYPGLQPALMKLIDHVVKSDEVRKHQERLKKIKIFDKIEQKR VEKERLKAELGSEYESSEGSVDLDEMGINQSEFHKYMSKDQKEIQSPLPMDEQAQQGGVE EQTSQELKKDTLLSLGEIREDQEDEDQADKHELEKMKQYLRQQREEMSFNPLLFLAQQIR DMIAANN >CAK79961 pep:novel supercontig:GCA_000165425.1:CT868352:63645:64509:1 gene:GSPATT00014868001 transcript:CAK79961 MTEKKTTGDYNRLQNRNNQFKKCSDCLNNTISKNPIANIFLKMEQALCSSCINTNLYVVP KTQEFSGAETFPFTIYRKILCKLHKHEQRLKCKECSIYLNEKNYAKCPFCEFSIFKRNLK KWSILYPIHKCEVCNHYQCLKCQSVPGIMGNDAIECYTCSERKQDQYFHVKHTIKQMNTF QGIQFLITILCVAIFTPIQIMGKTTSITKQIIDWQPLSQVTPRQYLFKLTYTVIVGPFLW LWIYLRYFFILFWESYSDNVRLLYRQMIPQP >CAK79962 pep:novel supercontig:GCA_000165425.1:CT868352:64545:65176:-1 gene:GSPATT00014869001 transcript:CAK79962 MSESGTPDDQEDDQQQRIQDEIVEQDEQDVNPVGVAQKANLDRDIRKQHFFTISTISRGR FKNGQAKSKKILQLHKPYPKSSQLEAIFNQYKRIQQLKPSEDEVFLKLEDQQFQKERKFT ISEVRALRKERNHKYFKYLIEVRKNFVSDELFSKFRKKVKVFSFDENAANKEKQNENSID ESVEKESDVFSNYSEFY >CAK79963 pep:novel supercontig:GCA_000165425.1:CT868352:65661:68044:1 gene:GSPATT00014870001 transcript:CAK79963 MIQNGETKYIVKYEDGSVEEIVCEPFQIKKVISKQFLVPYENIVLINADNYRMYSATNSY FQFKNNTIHLNVMDINQILKENLNSKKKIYELQNNEEDLRKELVQIQESNIQSNMQMKKL KGNDQSILIQKDQNFIELQRMDQQYKEKLVTQQMLYEQKITQINQNKEQLQQENESLQRK IQELNQITQKQERQFQQQKQTLQQEGNNKLNYNHQIYETQIEKLYEEISNLQNQIFKKNH QIQQLENEIQENKSNYILKFEGLENEYRNLESYYKRKLLILEQGINDINQSTDLSDNNLM IKNYCNDALRELEYIKKDIDNQEKNRNQEMQTKLKIQNDELQQKVEKLQQQIKAVQNELT NTQSDQHFLQQKNQIEIDKLNAELNKAKKGLDQRQDEINQYLLIIDQLKSETKELNNDNE NYECNIQKLQQAKIKLQADIEEWEQKYRLQHSKLLQSEQTVITKVGEIKVLESALEKQKM QCNKMNQKIIRLSEILKQINNKNLGKGLEKVVSPVGQLLNSRYGLVKFRDNPNCQSDETD YLAYRIKPSNNFLQSIKRGSFVNLEQLLDVELLGEVKTIRSIQPNEEGDLMKVYIIKIEN TQINELKNRYLIRKDLSKGQNYDNKLNAAALSLNNIITQVFLDQFVKDLAKLSIKPLNFS IAPQYVLQQKGKELYYYCEEIIEFKIKQINGGEQNLGSSKEEQFLNSFCKYSHMLSQQEL FITYINTVKQYLYDMIVTTEFGCFSEIDQGKDEYIRAQDAIESANASNFGEFYNQKLELK ALEFIQ >CAK79964 pep:novel supercontig:GCA_000165425.1:CT868352:68107:68402:1 gene:GSPATT00014871001 transcript:CAK79964 MKVCVFILIIGLVLCNQLNGQNNLRQDYQFAPWSLDCTNRCQSAGGVVCGYETQVCCKSG RCQKGWKSESCGTWDRIHVLNCVPGPSSK >CAK79965 pep:novel supercontig:GCA_000165425.1:CT868352:68448:69847:-1 gene:GSPATT00014872001 transcript:CAK79965 MKKVDQELFKTVAANNIIYLNIDKGLVYIPSQQIDPDAGNNGEIFKGYIAYNTDKQQECC VKKFPIPSYNQSLFDNLHQELIFGRQLVHKNIVKFYECSYSNNNFYMFMEYCNGESLAKK MSLGYEDDLMSTKIFPEEQALDVMQQIIDALIYMKQLSYDGKSGAVHRDIKPDNIMFSDG VPKLIDFGMARFMDRPTITPYKGSPIYMSPQNLKQGRYDLEKNDVWGVGMILYQLVEGVF PWKTELSSIEDLKKAQESIRNNIKFSNKVSEGLQKLIRHMLQYEEKDRCNWEQVIEYDLM KPYFCHLNRPEINELERLVKNYELISQLQGELNLEQINKYVLDLTLTKTHQLREFLPINS QLDQKINQLYLQRILQKRKFHKFKSETALKRKLSQILVKYLEQMIVDTINEAQLMSLNFF CQIVISLYKKDLEMTDNLTLESLLQLARL >CAK79966 pep:novel supercontig:GCA_000165425.1:CT868352:69898:72889:-1 gene:GSPATT00014873001 transcript:CAK79966 MNQNQDIGFLLPDGNIQNIKIQNNNLVFYRNISKCKFLYFILELRLPCPDEFIAVLDLKT KKLISKFIDKLEQNCTYKLFNIQEAIAIIQQKDQEINQSKEENKNLQEQYKKAKEEINDI KAKLDQDAKNSETNKTQSDKIINELNQKINEFNQKIKEIDPKLSEAQNKIKEQLQDLERA RYDLKQANSKLEVWKQDMEKQLVAKDTKIQELTNQGMQQDAYIQNLITQLKQERMKVTSI QEACNNQMLAQMQQWQQYFVQLDSEKKLREQENYDITKKKQDLEVEVKKLKKKLDDEEQT KKLEIDSLQNEKQSLDSQITRLRNQVRETEAKLAQQKRQLEDGKSQEINIIKEQLDKANQ KCKSLELKYKYQNDEMEREIQQKQNTGQVKEEEIQLLLRQIQELKDQNERLSEEIDVLQA KNTNITQNLNNSQNLYRDLEFKATNLEDKLKSVQEESKRELTQKNEKIEEQKLEYQNILN KKDQELQGFIKKQEEVEEELKNKIKNLQKENEKVQQDLAKIMKEKREVEEEAVKERQNLQ KVIGEADIMMLQKDQELVAIQNSAAVPAYQFRIQRLVEQVPREYSKDIEFAIETMMFDKV NPEAFLKTNQAQCQELEFKLSHVQILQQKAEKTLYNISDQDYRQCVKIVPIGDMTGIQCF APVKSSDQSLDRYFFFKCQKCPDNKKLEGKIFGIKQFKKQDLEDLETLETATDNCMSTVI AQEFMRQFVQELTEKKVKIEFDFKFRDQYIIEAKKSFKQYLQEQIKGQQLVLQNEGIKNF VEFVEALDGEQSNDFYDYTREYQLDKKQPKDGNIIKAYLEIIKDKKLEDIYKKFNPETIR KQLSHFVDFIGGNMKEMPQKLCYAIQEIEVKPDFLKYNGGHLKFDSSPEGKFLSAFTYYS IVKSQRQLCISHMQGVKNQIYDPMVSTYDGFLDKMDQGYNEIRTIESKFLSEPNINKGVE FLKALGIKWN >CAK79967 pep:novel supercontig:GCA_000165425.1:CT868352:73289:74174:-1 gene:GSPATT00014874001 transcript:CAK79967 MLLSTSKLATSKLSSSQLSSPKRSTINYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLASEKPKDEQRFKVLKDQVLKLQDQAHNQKSEREVQFSTNQAFD DKKEKDFRTLSDNVGLSFDQERNARGQAETKLQKQIDERFAQITLTITRNTHQYEDRSQA KIAEVLQQVQLVKNQLDQERRSREESAESLTEQIDTEINKFSDQLLIEKKVREETQGKIF RMIEDVHGKLQQDISFERREREATTEALLKLLEDACIKIDKNFRSF >CAK79968 pep:novel supercontig:GCA_000165425.1:CT868352:74378:75148:-1 gene:GSPATT00014875001 transcript:CAK79968 MSQIDYIKCVLYFSLLPFVFGSEANTSKSQNVFDDSSKGKSCKDQCKNNSDYDRCFNDCK IEKEQPIIQIISITFIVLGFMFILFIIWKRKQVLRLFRCVLNRRTIMMESILNNAILIKN SNVLLNRLRKVAKMLNQSKNELIFTQNGKLHKEEDVQILCRINEKEQYISISLLGNDKYG TWSGNGVTIIDFNNQLKIWFVKDYEEQQEARQSGLWEHLIYLGEFDEEVKAFSGQWHYDG FENDLTYSGTWILKIK >CAK79969 pep:novel supercontig:GCA_000165425.1:CT868352:75215:77128:-1 gene:GSPATT00014876001 transcript:CAK79969 MEQCNCDIGIKYVFILQSQEIKKKTHVGESEYLLDKDSNKKYILQNVTGYALRGQLTAIL GPSGAGKTTLVALLSQRYKGNNNITVSGTFLANNEEYVKFTDFGAFVMQDDLLMATLTVK ETLLFSASLRLKGSQLDKIHRVNELIKDLNLHRCQDTYVGDRMLKGISGGEKKRTAIGVE LVSDPPVIILDEPTSGLDSYTAFICMNILKKIALKLQRTILFTIHQPSFDISSLFNRVII MNNGQNVYQGNADQLIGYCEQIGLKVMAYSNPLDTIMNNLNPIYNNQQQLVTYQNYQKYL ELAVTSYTTQQNGIIKRRTQSSFGLEFKMLFWRAKTNFWRSPTLLRAKIISAFIMSIFIG SLYWNVGHEMPNQSPDLSSYSEVTKFLQNMNGACFMGGTGAFFSALNPMMMLFPVERDIF LKEENTKLYKIFPYFIAKIIVEIPSNISISIVLALILYWAFGFIWEIAKLIQFILITIAV SLSGNGIGMYLIQQVVKLHRAAQLGPVIMLPIQLFGGQLVNLKSIPKWIGWFQYVSPFKY FLEAFGRTQLEDVEFKAIIKQEDSTSIQMISPMQYYGRDFGLWNSIIVLFGIALAFHILA VIMLKLLIKKLNV >CAK79970 pep:novel supercontig:GCA_000165425.1:CT868352:78554:79858:1 gene:GSPATT00014877001 transcript:CAK79970 MKFSIVLPILLVLGCYAADFDQQVEELQNSNFGQTILQTILMELQTGDPVVSNLIDMVQG LETTLENEQERDDKRIARISQNCDIDINTLKDQINQNTVNSLTLKSELDSLNPQKVSAVA SLERKNSEINDLKAELNYQTHKRETETITYQVILDNLEQALFGVNQVKGYFNSYLDVLVK NRKRFEKPEPSFLQETYSFKYDQSEVDDAEDQGQQFTSFTQVAAKVNKLKHHVHLEGYRA MLEMMSRLAAKAQDEPSQAEVLTRKVLSILKQIENYIQSERIREDQAEALRSGNFELLQT LLSDQLVKASQDRTYMEGLVESLSTRIQQASNEKFEVDSRIVAKTRELENRETDCRLKKN EYDTDTANRIKQKRAVAVAVDLISSKLGQLKRKLLAN >CAK79971 pep:novel supercontig:GCA_000165425.1:CT868352:80026:83011:-1 gene:GSPATT00014878001 transcript:CAK79971 MLSINTMVEVDDLQQLIPTEKKRHIKSGFLNYEETIKKGGHFMQSISCEQFHPYQTFSWD SKSEVPEVFQLNKPQEQQNLLGSMTHLQPSNKPFDLNFEIPRLSEHMSISSFLTHRPLAE SKLIPTPQQTQESEASTSKELSFFELLQGTNVKRPKYWEDLCMYIQNVGDDSMNSQAVEL QPIQIKQKFDCISQLKSQGLVIITQKQYIQFVIQMLLGFQNEYFVFDIDQLSYIQQQTIY VEDMTSLQQITQKFIELANYIQRLRFYLLTPSNTILRSKINTILQSFVDEIEQKILQITI LDEEMTILHFQIYIKHLNKQIKTIFDIFTADDCSQVNRALYERIIQINQRDEFLVKAFYE LNNFQLDIFAKGINSQDVDLQIPQHFPPQFSSTYANTVQSLALITQLKVLKVQHVEEILT ICQISELYLQQNLKQKNQYIQTIYKSKEQSLKEIIRKFQIFVTEKEKQEQVRRKIEFQRI QMQVRIQQQKYLESQQAKQASQKHQKNELLVQIEVNRINRKYNKLIENQEERNLQLEQEL NEKKKLQKEKEQLLMQLADLGINIQDAKKNNEISVELNPTPIQLITKKAVDTLLEETPKM QNQEELLTDGLQEQIEDNHIMEEQIEKQNESEKNPQIKVENQQEKFEEELLDNCQYSFQL QLEVCIGMHARLHNQLVNKSIHFLLFEQLNFLQLWNNIKKYYFTSDGDFVDQNIFQLIDN ENNFIPNKLTEIDIFPKLKSKSKLARERQGFYECQISDQLQIKIESKAQLLKACFTNSIL QQILIFFDQIIKLRYCSHNLRRNWKYIQYIKDPKIANSYGMLRYQMQTFIDIYQNYIFYD VLESTWNDFKQQLTNAKSVDEIINVEGNYLNKILETTLLKSDKKIIKESYNQCQQMINQF IQILEFQYNFGLIQKQPPDISKLSQNFKKQSDFFSKFITWLKDPTTFDRPV >CAK79972 pep:novel supercontig:GCA_000165425.1:CT868352:83032:84500:-1 gene:GSPATT00014879001 transcript:CAK79972 MSTNNSTQIKISVTSYTSERSITRYVIAVECTNQKKWQILKRYSEVEEIHNRLQELFNNL PQFPKKQLFHLNRSEIEFRMQQLDDYLNQLLSRREILNSAILRDFLILDQYDEFLHPAQV QHRILNMSLKDIHENDNITLLLLYDSSRMARIDTYINNILESKKQSPISTLICFSQNDFK QKIFSKEYLKALTCMSFNNTENAFAVGLSSGLVYYYRLDEKYAIDMEEQFQLAQSKISGC VLIQREIYAITNNLLKIQNTKKIQEQQEIPIGSQELTNIIYNSTRNLVVIANNVGEIYLC QVPFVKIGLKVSTQSTQIIQLLIDNERNYLMALNHEPSHIIIFDFAKGLQNGYAQIKTNI EIKNKSLCFEWSKKRGEIFIGNEDGTISIWHVQDLQPFYVFKAHSKKVNKIVWNENKSFL LTGSDDESLKQWYLPKKWRIGQADNFSTF >CAK79973 pep:novel supercontig:GCA_000165425.1:CT868352:85394:86497:1 gene:GSPATT00014880001 transcript:CAK79973 MRQQTSSFIQLLCKCLKFRKTKTQSYEQIKNPIEIPQQTERSKGRKTLVIDLDETLVHSS FEPMKINDLIVEVTMKDQKYKIYVNIRPGAQEFIKETSKLFELIIFTASISEYANSVIDF IDPHGLVDLRLFRENCTVYNGVLVKDLSLLKRNLDSVILIDNSVNSFMFQPMNAIHILNY FEDKTDQELILLIPFLKLISQFQDVRPVHEWLFKYAHFDKFEYVDIMGSKQQFYDLPTSS DTQRDKLLSPAETPKLEEHKILIKSGNQIQKEEKNKEDEINDELEDKKNDSISVEKFTET PNRSENNNEKISVLRENLNINSPNSVGKQMLFPQHQ >CAK79974 pep:novel supercontig:GCA_000165425.1:CT868352:86939:87614:-1 gene:GSPATT00014881001 transcript:CAK79974 MNNENQDKKWDYQIKIILLGSCGTGKTNFISKICRNQFDIESHSTIGIEFGVRDVIVESH LFRLQLWDTAGQEKFLSMTSGYFKNAAGVFLLYDITKKDSFDQIQTWLKMCKQYLDQYCV LYLVGNKIDMQYLREVNRSSGQSFAQVNQLLFEECSAASGEKIEDILKEMVSNIYQILMM NEIQVENPQSKSSLSIKSSQNTLNSCCI >CAK79975 pep:novel supercontig:GCA_000165425.1:CT868352:87710:88224:-1 gene:GSPATT00014882001 transcript:CAK79975 MKGQAEQQKGATKTVKKVKTTNKSAPVRLWVKAVFTGFRRSKVQQNENQALLKIEHVNDV ASSRFYWGKRVAYIYKAHSLKNNTKFRAIWGRISKSHGSNGVVTARFGRNLPPRAIGSTL RVFLYPNRA >CAK79976 pep:novel supercontig:GCA_000165425.1:CT868352:89232:90505:-1 gene:GSPATT00014883001 transcript:CAK79976 MPNFICKQEVSFLNSTAKLKNSLVNWKRNQLESITNSIEEQMFTTEKLLDLNKKFKTRKE NSSMLMISMITFLIPQRDRFAAQLEQLQENIAQNRRTLSEAEVKRENDHETFESEVAEHN DAISAIDECLQLLSTIATPSLAQIKKVQKNLSKIQSSLKKHNQFQIFVKVLLEITVESNF ADQGALRDIVVAFNNLRVELVDSLNQITADEAQAVTDFKNQVIQLNQEHAEFQRAVVVKN AEIEANATKIEQTLDLIDELDADLETLNGQLQAENDDYAFATDVYNATVAEYNKEINAAN QALELLNQPRFQDYVKSQLKGA >CAK79977 pep:novel supercontig:GCA_000165425.1:CT868352:91877:93809:1 gene:GSPATT00014884001 transcript:CAK79977 MNLQAIKEAAQQLKDKLTKSPLEQTLSEATSNENWNTPTKLLQEVAEASYGYTSCDTIMK FIWKRLDSDNKEWRRILKTLNMIEYLTKNGAPRCVGEFRDNIYKIRSFSDFFLVEQGSDK GLSIRDKTKQLVDLLSNEKLIEEERESAKKIRERLAAAGGVGAIGSNTSYQGYGSSSYEG NKPKNYGQESNQSQSTNYSSSYGGLESGGGLDKYKGSSNNNANLNNNTSNANANNNNASN NTNNNANISFNGIQWAAPQQQQATAAPFSEPIMKLAKPGEKWDVPGPKSQQPQQQQQQQQ QQQQQQQTNLFDIFDAPQQVPQQVPQQQHQIAQPLQPPPSQQQNNQNEWGQFQKATPISN PIPQAQIQQKAQTNLLPNDIFVPAAAQQQQQNVPFGQYQPPQQTNQQLYYQQSQQQQQYQ QPQAQQNIQNLYPLQQQQNQNSTNLYQNYQINNYQQQPNLNYAKPQAAAYSPQVNIQVNN NQPKEQYDEYYFGEFVSASQPKNTNNQTDLLGMIDLKKEKQELEQKKQIPIATQSNQQTQ LYASQAELDGFQFNYQNKAPVYGSYQYQQSR >CAK79978 pep:novel supercontig:GCA_000165425.1:CT868352:94285:97447:-1 gene:GSPATT00014885001 transcript:CAK79978 MSDLAQFKESRKRGIDEFHTSQDLIYYIISKKWLEEWLESEEKGQTFNNYSCVNSDLILS KPQTIFKYDPIQSHMWNKIMLPNLQEGVDYEILDKATWEIIAKKFHTTTIERDAVIINGK KQVNVNLVPLNLGAVFPSSLRKFNGGKSVSLIKGDQYVSRTCKLSSFIELLANTLQTVNG YNFIKHDGIRLYKSPLGMTIQEIEKHISEEIKALGTYDDVVFDFKDGEYLDPAKLETIDD CQIAPGQVILGDFKEMQKNWSIKHPNFPMEGKCEGCYNFKVLQFPCDCKKVSYCTEECKK RDEAYHLPRCEKTDSDDETMDKLQRNENSMDGKVGLKNLGNTCFMNSGIQCIGNTFPIRE YLLSNQYKQDINELNTLGTKGELASKYAHLLRRMWYADRTPIPPFSLKRAIGKFQPQFQG FQQHDSQELITYLLDGLNEDLCRIKQKPYVERKDYDGRPDFEVAKESWEQFKLRNDSIIV DNLYGQYKSTLRCPVCNKISITFDPYLMVNVSIPQNTTKKLEIQFIDPNLLWDCQTLVYN YDKAQDPTLGLILQSPEIQEKIQQINPSDLIYICTSAYQHDDTDENDKMSSLRKKLKYKK LYIRKALQSELNIEKENKVCIMIHESFKQNAQYQWKREITPAFNFYFDKTKTKHIDIHKF IFDIHIGVLTTFEDLPKYQGNNWSEYYEEHILDKVYYLEFKSNQNWQVECAYCDAKQCND CVCAYGSDTSIEKYLQKEPKLEIEVFVVWKRGLKIASSVDELYNDWSKNHQRIQNNVVEE LPKQQNDQEVLQDNAEDAKQQEDLTDEQQAQKQNFNTSVIPLGPSIPTIQPTNIKVGTEK SMKLGECLKFSEEPEQLDEENTWYCNQCKDHVRAFKVMEIYKTPKILIFHLKRFKNSNKF FKSKLETLIDFPIQDFDIREFVQNHHLPSEFHTEDPENPKFNNPIYYDLYAISNHFGGLG GGHYTAFAKNYYDNTWYNFDDSSVYPIKEDSVNSTLITSAAYVLFYRRRE >CAK79979 pep:novel supercontig:GCA_000165425.1:CT868352:98343:98897:-1 gene:GSPATT00014886001 transcript:CAK79979 MNIDQGIKQFKGDERQYKTSLLTFDSLTLCPSINNIVEYYQNKDLKSIQLELKQIYQSAQ IVNLTAFGTTVSQFIQFFERVNKQEPNYDYQLHNQLRNYFLKVKETRELISQYAGVQLDC TQIDFILQQMLQGQNLNNTLSHPKSFRSNENPTNMQDQKDQYLQAKDNVISQPRKPNEDC CFIS >CAK79980 pep:novel supercontig:GCA_000165425.1:CT868352:99111:99563:-1 gene:GSPATT00014887001 transcript:CAK79980 MPNLGSNSIPWNQKTVKKDLLDKKHGMQSNQKSMKPKLLKELLKMKSLIDSKNTSVRNYQ PPPNSSAKEIDLLKQINYEQQFFMHFIFSVINNIIKQILSNPYLKQQIKQLIIKGQLMVG RQLNKNKNQNISKISLKFIYS >CAK79981 pep:novel supercontig:GCA_000165425.1:CT868352:99563:100512:-1 gene:GSPATT00014888001 transcript:CAK79981 MQKLAILTLVLALAAAKFVDTHASLAQIDANPFGNVVLSAIKAHLQAQSPANEVNMLLNG VAAGLQQDQNDHDHAFEVDTTTNNRIVEDLEKEILYHQNQISSNTQLRDDTIEALAVSEE DIRVTISDIATNEQTYAREEATRNQQHETFVAKVAAIDDVIDAIDDAAKLIQHLSLGASF VQVKSKYETVHKRLTDNTSHSQLLQPVVVALTELATHGVNQKALTKIAQLLSEIRQQLVS EKATKTDVEDRQAAHWAEFSAHLSNEHTRFG >CAK79982 pep:novel supercontig:GCA_000165425.1:CT868352:101195:101407:1 gene:GSPATT00014889001 transcript:CAK79982 MATPFFTVKDVPAQDFIHAYAEYLKKNQQDQNSRMGFNCQDRTWKRNFTN >CAK79983 pep:novel supercontig:GCA_000165425.1:CT868352:101418:101778:1 gene:GSPATT00014890001 transcript:CAK79983 MYVRAAALARKIYVRGHWGVGNLTHMFGSVNDNGKHESGSGKVIRYLLQQLEAIKVLKKD SKSLLKKGSRIVTKEGQQDLNRIATQVALAARK >CAK79984 pep:novel supercontig:GCA_000165425.1:CT868352:101826:102346:-1 gene:GSPATT00014891001 transcript:CAK79984 MDIKIITQSDTFLIYKEIQTLSDLKKEIKRIGIQTRDIIIYFYDNDSDKVVIIQDEDLQY AYQQSKAFKKQSIKLYIYYKLSQPKILQLEPSIKISTQQAQQDWIFKSINENSSQSLTNS NEIQTYCQNRYTIVPEPLKTREEKLKSAIDFFIDQILKEEYQI >CAK79985 pep:novel supercontig:GCA_000165425.1:CT868352:102355:103086:-1 gene:GSPATT00014892001 transcript:CAK79985 MLTSSIVSVDSSKVYKPKASQVGLLSTAISKLGDSSFVSKSRESTNMSPVRTRLFRDSSP KQTKGLQGVVVGEYHPLTPTHIVFSSIHKSTSPLRSGRNYNESPQRAKVRLDRFKNWDSK LGLELQIQNSKFTRLNNELTYLSHQPHHQTNRSAIFLGSNLSRLSVGGNQSDIRLLSAKL NAIPPSQIGTFTAGHSHELSSLQQSLSRIMKSSRQY >CAK79986 pep:novel supercontig:GCA_000165425.1:CT868352:104166:105363:-1 gene:GSPATT00014893001 transcript:CAK79986 MKTFVVICLVAAVFALDTSKFAVLLQAGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWERLGAVRTDVEAQVRDGYQWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHGDAVAVVQLLQQDVAGFLTNNAGVELVEKAQSIADKLSA YSHLFQQDALQKFKSLAEVKREGTTGEQVLQILFDLQAELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTGLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETARRAEENAIIDVVIQLFKDQVRSLASQTSLGRK >CAK79987 pep:novel supercontig:GCA_000165425.1:CT868352:105444:105818:-1 gene:GSPATT00014894001 transcript:CAK79987 MKSLVFVTIMFCIALSQITYEECKRDCTMDWLDCTSGCDSIGNPSYCHKNCQNVVHGCLD ECPKIICIDECRIVLEQCRKNGTGICEIHFNQCKLICEDTYNENQDI >CAK79988 pep:novel supercontig:GCA_000165425.1:CT868352:105859:107453:-1 gene:GSPATT00014895001 transcript:CAK79988 MGCASSDSASANNPRKLEQQKEFTGEKISSEIFVDLKDGNIYEEYEVRSTLGEGAFGCVK LVAHRKTKMPYAMKQIKKQGLIKEDQKILFSEMDILRLIDHPNIVKLHKLYQDNIHYYMI TELCQGGELFDKLASEKNFTEKKAAEIMKQVLSAVTYCHERKIIHRDLKLENILLETKSA NSNIKVIDFGTSRKVQEDEKLKLKIGTLYYMAPEVFQGQYDLKVDVWSVGVILYILLCGY PPFNGDDTTIKKKIQKGTFEFNDTEWRSISQEAKDLITKMLKFDPQQRITAQQALSDPWI QSKAPNNPVQPNALNNLKNFYSTSKLKNAIQLFIVTQVTTYQEKEEQLKQFKAMDTDGNG TISPDELKKHYSKHYGQDQAEKLVQEIMKQVDINQSGQIDFNEFLVAAVNKEKILSQEKL KQVFQMFDKNGDGKIQRAELQYIMSGIKIDDGQWKNILEECDKDNDGEISLDELITLMQK LK >CAK79989 pep:novel supercontig:GCA_000165425.1:CT868352:107477:108170:-1 gene:GSPATT00014896001 transcript:CAK79989 MEKNYIVLIGVSLFFLTLFMVNTFNQYEKVVEQIKLDKQPLAVDLMDYKVPSIWDVVKPD KEELENLDKDEIEIINGKKKFSSGITREELGRNGWTLLHMISATLPVDFDEEFTFKINVF LNLFGQFYPCKECAGHFLNMTTILPYEGNSRVDFMQYLCMLHNEVNQRLNKTLFNCSIVH ERWGGDCGCSSKLEESIKKYSRDQN >CAK79990 pep:novel supercontig:GCA_000165425.1:CT868352:108354:110176:1 gene:GSPATT00014897001 transcript:CAK79990 MLGWNKITQLTSKAGGAVSKVKDFAKDLITVDAEENENQTNNVNQDFQSAFAQLQKGYGL AQSPKENSKKANDSSSRSPIESTSPIQIEKKIEMQEIKRPEIDNSSLAEIKEFIQQTFAS IQQTINTSLSISEELQENQEGFNPDTQTDQQEQTQEQPEINKQDINNNSQTNLVTLKDKL NEIFKNASNQLDILIQQIQNNFQESINKLNEQLSIEQQSIGDIGALINQFFISISRQSTF YDRFNQLRLQKGQSKEIVSLIEKSLSNTGTEILQSKQDQQQSKKEQQLINDNTNLQKKIV EMQEKTNNTNNQIKDLQNRIKELEKYQQEWDKIKRDYAEEIKQNFNESENLKTKLKQKEQ ELSQSNQDLQKMQDLRTLLEQKQEEIDRLEKLLEEWQLENQSLQSGIQAAQLQLEAEKDQ KEKIIKKFQEEFKKLRSEISKEDISQLKFLKENNEKLKLSNSQLKLQVEELTIKHESLLR SHEDLKSEAQALHNKIRQDQNYVNNMVDKRVITNVLIQYFDFNSDFKVKVQILETLASLL DLTRDEKEKINPYRNKQNQAQGQQQSSSFRDKFIGFLKQGD >CAK79991 pep:novel supercontig:GCA_000165425.1:CT868352:110198:111909:1 gene:GSPATT00014898001 transcript:CAK79991 MRALLQQTMQQIRLDQELKKLLVNRTDSQDILKKLQSIFEQALDDHTELYWKKEHAHTKT QLECSIQECLSLRHDLREMDEDRTEKQKIIKEQNKQIDELQVALGDIEQKLNIMQENILL QKQELAEEFEQNLNQIQDSYKQKEQEQCQFLQQKLSELEQVVSDQQAALTKEREIQQHVG KDFKQTKAQLKAEQEAAAQLRQQMQSLKKNNETLNIDKQCLENRTKQLKQQNETQYKQIK SLETKLIDSNEELERYKKKNQQDQANIKTQQNEQEKNYKRKLRNYKLKVDEIKNKIKVSL QKIFSSLKLDMESFKLQIQKDLQLISIQTCQIVSKQLKLDHEFTQRDFSDKMEQIRYNMQ AHFKNTLEKQIQEFETRESQMKNEYELIIKNLKQQIQGLEDVQKDLEREIQFKQSKNEEN QQNLQEKVELLTEQQRQLQELSEQAKDQLNLLLEQEDQIKQLKEQNEKYQRKTMQYEEYV SKQAIQYQTQKAEEKMYKKQFEDVTESNQHLRKQIKILQEQQQSDQFAINKLKTQVSSAK LSTVKDAEERHLIRLQNIRKI >CAK79992 pep:novel supercontig:GCA_000165425.1:CT868352:111934:113219:-1 gene:GSPATT00014899001 transcript:CAK79992 MNESFTISSYLRTETDEIKEYSGNITTHRKKIPQLKNLISTGKPKQNKFNPIIDSVRSSQ SQRSSKGNLAQQTHNNNMLFKDSFELFLDGKITSIELLQLSNYPRLRQQLMEDHADSKGI SILGPLVRFKNKFKHKQVRNTQDDRSVYLKSKLHGLNNLIHTRMMQKKKDNETQRRQNLQ NVGLFKTIVDASEGIEDKLTQIKDPFTKKIPSIKSLKTMTVSYDDLKPIIKSAKEIPAIS KIFLKNNLTESTKKYMDEASRQQYLKQQELNKLTKMQITKQSNSKFQLYNKDVLTSKDLE LYDYLHNKPKPIILRNHFTEMDEEAIPSHEIIASKLGNQRLKKRYHKTEQKIHEDLDNES HTSSLQSTYEIHLDNLYSQTVDLKKQLTKKTTLPKKVKQMIKLDELNQQSLQANAFKLTH >CAK79993 pep:novel supercontig:GCA_000165425.1:CT868352:113234:116032:1 gene:GSPATT00014900001 transcript:CAK79993 MRLRAISENKKPAGMRNMGRDLSTMKEMIAFWGKKDEPVKLPPINIIERSDFYEHKPYEI KFKIYQNCFAYNNLIHKEFELLYITSPDQMNDSFIEIEYKMFVSDCYFGRPTFTNTEFST QIQYYVGQIDKTISCMYQIENMPEHLLSSSKSIRSMFYHYYFSSKHDGLPFIQVLKTPIV FREKKTLRFRTMNTSISEQSQNGLLTLVYITEQYVPLQNIYLKKQEKGRFSYDEKLHFAL KIMQLVNAIERLGIAVLELNMESILITKGGQFKISTANLIPFIQVAAYKEVWSMYDDYEK VYTHLSLHKTIKPPEVKRREPIKDFRAVTRYNIGLIILQLYFDIPNIQYIDEQKMQSLLF QLIPKRQSGIDDDQIDKSNKSIQFEQTNPLGALILRFLSIDPVKRLEFSLIQLMQVEYDI ENYDFTEDMQHFFSNYNAYYRPPSQSSHKSKQSNHFDQQNSFVSGDNNNISSFVKQLYQP TNNSQDDMPAIALKMPELTQRSRKKIGAPSYQSYVRSKNLIAEVHFNLYLYQNALDQWQY LLPDVEECFGDTSPECEYILERIWVLAQHLAQERVLEQISQRYVTIRKSNVRGVKEQLEV AHLLQDQARVKALIRPNEAVDLYHQSSKQFQMVKGKFNNQSAYNSMQIGLIYLKLMNIEK ARSNLEFAVYIFTKMEKSSIVPFLIATTWQIDDEEVVKEPVNKIKFFQSLFHLGSFYFNF ESQQKAYGVLQAAKDVMDELKKGELYPELLRLCKSEVITLHTELSKCLMSNNEVDDALKL VEEGIQQNTDQLEIKSLIDIKVEILVAQGKISDGLAILGLWARQFQRSNNLTMETAYLLK EVGDLAYKLKDFNVAFSFYSEALAIYQKGKVKGLKQKNEIQQYIQDIEENLQQIFQIQKM >CAK79994 pep:novel supercontig:GCA_000165425.1:CT868352:116174:118540:-1 gene:GSPATT00014901001 transcript:CAK79994 MAKQNNKRQFLVPEYASELSSLSEFLAEFRDNSIVEIDNTYGQRKYMIELQRIANRQTNR IDIYVEDLEYFFNDRIDFVNKIKTNTLSYQRLLYDACDTLMPQQTRDFEQNFDLFDEEIN VQRQQNMEQDGNNNHQKRLPPELIRRYQLFIIRGPQTKQQVMAIRNLKAQLIGSLITIKA MVVRTSEVRPQIIVACFSCDACGYENYQTVHGKTFTPMLDCASDKCRDNKVRGRLIFNHG SSKFISNQEIKIQELKEQLPKGSIPRAFTVMARGDSNIRICSPGDMVTIQGVFLPVEKEG FFANKASFYSTYIEAFHIKRDKKKFKEIDIESVSGHKIFEDIKKYPFSDLYMKLAKSIAP EIFGMEDVKKALLLMIVGGVSKEMHDGLKIRGDINVALIGDPGVAKSQLLRYISQVSPRG VYTTGKGSSSVGLTAAVIRDPITGEMALEGGALVMADRGVCCIDEFDKMNESDRTAIHEV MEQQTVSIAKAGITTTLNARTSILAAANPLYGRYNKKQTPHQNINLPAALLSRFDLIFIL LDEINHEADTKLASHIGRVHQNKYKENETQDLYSVEEITTFVALSKQYEPILTSDIHQYI ADQYVERRKQTFDKTLDGYSYTTPRTLLAIIRLSQSIAKLQLADRVTQRDVEEAIRLMDI SQESVRRAQQIDDTVQRKDKTAKLYELLSSLCNKNKGTITKDSFVRQAISKGSQMQEIEE FLNTYSSLNQIQIHGDQNDRITLVS >CAK79995 pep:novel supercontig:GCA_000165425.1:CT868352:118935:120321:1 gene:GSPATT00014902001 transcript:CAK79995 MVSAFSIGKAQRSTQPRSETPGPGAYQPMKRQKMTPPSFKFGSGNRSGLLKVFAPGPGAY EHPSRVTKEGPKYSFGLKKPTVLAKQGPGPGKYTAFILPGAYNPNYRTMVKALPNYSIGA KLSQNYSTFQPGPGAYENPSTVMGVPCMKQGFPHSKRDGFYEMTRTPGPGSYLKRPNSAG PQYKFGTASRGAFSEIKNPGPGEYEARSEFNVSQKGFSMLSRRNQTQQEQVPGPGTYNWE KKQKLRPPSYKIGNETRDSLNREMIRTPGPGTYESRYEFARPKSAQVRIGSANRRPLSDT RDIPGPGTYDLNTKIGEGPKHQILGSKYEPTTTLNQPGPGAYNPNDGPSKQRPASAKIGT GQRAELNAGFGKDAPGPGNYNLRGSSDGPRWGFGTGLRPNLNQTDQSVPGPGNYNLKPTF ADVPSYLLNK >CAK79996 pep:novel supercontig:GCA_000165425.1:CT868352:120499:121616:1 gene:GSPATT00014903001 transcript:CAK79996 MKLILIALLGLTLTQAVFVKRSNDPSKAVFTQLEAMEEHELGRKLLDTIALQLNNKAPLG DIAKMLQQLRENLILNQQEADQKHAQDEVDCETEIYQYNRRIDFASNEITESTQEIQTLS AKVEQLTQDVENKQVQLDILNEQETQIREQRAEDAESFVRFENETENVIEAVEVIIQKLS SIQPDQEVLAALTQLNKIGASNPILALMQVASTFSAEQLNNVLGKLGEVSTQLAEALEDA RQTEIQAQLDFEALVVEIASQRESLSAAREDSERQLQDNQQALDLQKKRKEDATDELNAA SSGKEQKEAECDSWRTQYAEDSEHRQLEISIIRQVEEILATKLSNVKVYLQERSSA >CAK79997 pep:novel supercontig:GCA_000165425.1:CT868352:121652:122418:1 gene:GSPATT00014904001 transcript:CAK79997 MFLDNENMSMRLKIVEDRLGFIENLTQLQDKRINELIKQLDHVIHQFQDLNLDRCRNDEG LTFKFERFEKTLLMLQREMNQIATHQDERINQLINQLVEPSFKDIDIQIKQESQRLQLQC QRQLDDMYEEIINKFKTPMQSSVAQGGRASIFESTTQDDTKKKIDDEINRRIQEENEKRR SFLESETKQQKNGQLSTEKSRSREIQNRLNLERKAKQDKINQLNELYQFRKH >CAK79998 pep:novel supercontig:GCA_000165425.1:CT868352:122474:123586:-1 gene:GSPATT00014905001 transcript:CAK79998 MEVTIEMISKDLEDSFTTILDDNTELINIAEMIKEASYYNQFNLSEQFCLEIKDTNKFIS GRSTIIKKCLQQNQIDPKILVFHKGMDGQTKMNKGVIIDQNFQREPQINNQVNHNKPQQQ QFQPQQDNLIQINKNNQQGQGQGIVIGGGQITESQVIRNLEQRLNQGQQNNDIEAQDLSN QMNLAKFQQDKVKEINSSSNKGKNPIIYKTHHHWKPIFKVTQRNITSPFGWIIKNQKSIK SFLEKIIFNLHQIDNFREQMYIIKSNEFQRIVILLYVSPISKAFKIKIQTLLRKKQNKIL EVDREVNMFSCLNYKDKKKGMQIILIQVENQLQKNKGNLIRKSI >CAK79999 pep:novel supercontig:GCA_000165425.1:CT868352:123728:125344:-1 gene:GSPATT00014906001 transcript:CAK79999 MLFDPKQENLPYTFALIKPDTTLKPNVVQEVVNKIEAAGLTIKNFVQVQQLYREEVLNLF YKQQKYMDEIMTYMLSGECVMFLLCHETENPILVWKKMIGNKDPIEAKKADPQSLRGIYG TSIIKNEFHGSDDPFSANKERDIFKFPIPQKIPDFKFDKMLVSLETLFKFLYPPNLEHSN ALERLDIFAIYGPCVNYHSVDQCLCRECALVGKEHLEGVRESLIASEQTKLGIKIRPQPT TATKTQLPQRVQLPPIRLLKEEDIKQIYQSLCEKCQFHCNGYAHLQGGRNMQHIMTDQEL NTLAKEMNKQEILELLYSEKGNAANVMIETIDLNEPNHYTKEMIQLLFQELETDYYNRFK FYHLQNVILEDRRIRMNAWMSILINKPIHRFKNPKLVCKVPPEMRKNPESIHYTINRILP LNQTYKKKDITKMPLDFPPNLADKEKLNPNEEKLALLKKLHRDTHHMVEIQDAKKVVSNG VYLLRKYNDGRNGEWDNYSSLKAMNRGSYVNYEEKKRKEKL >CAK80000 pep:novel supercontig:GCA_000165425.1:CT868352:125381:126723:-1 gene:GSPATT00014907001 transcript:CAK80000 MKNFGNFKFFEEQKAKLPLYLYTQIFKNLDYQYVQQYDTVFNYGDMGSTFYIILKGQVNV LLPKPHVQDDLKYGRVTIQELQQRIENEKSNQFSDFDEFLQVAYQDFVKVRNLTAGDTFG DYALITKSKRTATILCYTDCHFMILHKNAFDRILYEHHNSILKQQLAFFENISYLHGIPD QHLTQLMHQMIEFTPQLNSTIYKENEESHSVYFIISGEVELSYQLNSWQRIVISLMTKGA IFGEEEVLKGIRRTQKAICKSNETVLRTLSAQQFMSTLEIHNLKLACSEQSDQKAQRQSK QILLRRKATESLLLCCPVAKKRDNNLDSEITRFHSTRQISLSHRFQLNPPITHSNCLIPI QIPHYIKLMPQNQKPPPLQSRLGTNFNRLRNILKTERSEGNIQQGSSYFSQCSSHQQQLS PKQFINIHVERLRKSRYK >CAK80001 pep:novel supercontig:GCA_000165425.1:CT868352:126883:127770:1 gene:GSPATT00014908001 transcript:CAK80001 MNIFAQFCATMGAETKFEKARIAATYTNANPPREEDIRQILATLSGEDLQTNAQTAYGSL VRKLKLQNNDENGWMMIIKSLIIIDRYWVKKIEVQFQELDLPLVECRQERDIKNKQLLIN ELISKYYHYIKFKALKLRNSTSLTLYKKEKILYFNKMPLKSIFDELKMILELVQKAFDVI ETKKNGHLRFKVNQYVFLLLMNDLLKYYGCGLIAFNLLIKKVQDLQSNDLLQIIAISKSM VNFGNKFEEFIHQCGYIEGFENVKLDYKVPQYQLIGK >CAK80002 pep:novel supercontig:GCA_000165425.1:CT868352:128574:129144:1 gene:GSPATT00014909001 transcript:CAK80002 MPLLSQQVHHHLQLNQFIIFIISKNKEITKTNKCQPKQTQKFYLNPKQNRISPSKNQGPA IVISDSESFKINLTSSQDKSSILKESPIVISKNSSQIEKKLTKGLGRRIKKFKKRNLNKH NRESKGFKKTKETKSKLPIKKLKQQNHIIRQQQLLSFNLYQLQILQIQSLNKTRNDIKMQ N >CAK80003 pep:novel supercontig:GCA_000165425.1:CT868352:129165:130072:1 gene:GSPATT00014910001 transcript:CAK80003 MKSKCIVSHTYGIFNNELNNSDILKFQLFFEVEFLQRADGSTYFIMADYFFYDTAHQYIQ KHKIYLLKLMNIICKEFYNNKQKSIHLIKLFQFYHFIMEAQIGFKIMPYQDQINPQDLFA KYSQAASDWSAIYIQKTPQHALTYIYTKYERFKEVCLMKFKFNEKIKFIQLDNENFSKSN LSSENKAQISKTMLFSNYGIQIEQNQPLMDTLGTLNIGLVMEDSEKQFEYIVPHNLMQGG ECYESKIPIRFQRHETKVFRTVKLIFNDESKDIEGIYQDRIHEIPLNFHNL >CAK80004 pep:novel supercontig:GCA_000165425.1:CT868352:130121:130605:1 gene:GSPATT00014911001 transcript:CAK80004 MFESLKNWLFHDDQGDFEQFKLISKQPKLRLPPNFAQQILDLELELENEKVNQKMVNDLL ALYKLGTEYYGQNDDKKYKIFTEKASKLLMKSNVQKAFEPSQKQESRDAISTAREFNQNN SLMRSMINRDLQKQEENILQRRQNRREILQSKQ >CAK80005 pep:novel supercontig:GCA_000165425.1:CT868352:131226:132230:-1 gene:GSPATT00014912001 transcript:CAK80005 MITVPLGDRLECFKMDGQYVGTLTLHNTKPNNCCGFKIRTNNTQDIQVMPHIGSFTGQHY KIVVKCQKFQSNAKLQVLTVDLSDQNFNKTDVKSLASYFDTYSNSSFVEKMKIEIITIDQ NQITKQSNTVNQSISLNKNIEESIYNQQDFAYEQNTLLKKSEQIKQQQIRNSYTNSDPQQ SVSRVSASEQRFLTSTTFQQAKQTSSKQSSNSQNTFQFDYPPPKPQTYPYQPQQPKVHNY QEKDFEEDPQIQKLNLKIHQLQLEFNKQSEELQNIEKTKASLLSQESDLNQGQIYVGVQH IFFSALICFLIGYVATN >CAK80006 pep:novel supercontig:GCA_000165425.1:CT868352:132277:134344:-1 gene:GSPATT00014913001 transcript:CAK80006 MDSQKRKRLIIQYSLKLKELKEGRDTSASKGQRAQQKSRVLKTESSMSMAKQPQQISMPP VDDIISKGECKLIFLQTQSEDTGFRTFNEMMRTNSSWLTQADKLANTLSSPLQLKNRLQF NHSQALKFKNRIEQKWNMTVKEVADSIIQKQEQKDQQKIKLVHLKINHTDADDVGPQQEE CSSPSLSQEVITTNLKFFWFHISISDWKPPIREGCTVTYIQNLNKCIMYGGIGNDLFKTF VTLNTQSWVWKDIGTGVGDVPLEGRFGHTATLYKQQLIIYGGEKKYNNAMKMRECYGDVR IFTPNDKTWIQLKPYGDVVEGRRNHCAEVVGKYFIVYGGINSYGKVLTDVAGLNLETCKW TSFQIENSGGLEGVSDATSLAMFKSEVKMENPYFSYEWVKKKGKSFLPITTEGIYVFGGR LQNGEAVNDLRIIQFGFKPIKITKVMKTKGQAPLARYSHSMNYFRQTNVIIIYGGRNDSK QGNILNDIFVLQIQQFSWSQVQQIQQLKHGKCRHSSVSIDSKILIFGGYAQHVFANADIQ LLELDQNKVAKMIKDNKNNKNRDVVIHSDELVNNNTLKVFKTEYYNEAEDPDLKQKKIQE NEFQRLCYSNFKSFMPLPQQNHSSLVNEYKNKRELAKLEILKNQFNDLSRRNSRRTTSDF KIIEQ >CAK80007 pep:novel supercontig:GCA_000165425.1:CT868352:135532:139518:1 gene:GSPATT00014914001 transcript:CAK80007 MNTQVNENDPLKSETKKQDDQDVPYLQLYRFASKYDYGLMLLSMIGALGNGLSMPIYSII FGDLTDSYAYDDDNIKIRKAGFNTLQIFFGDFRYMAILGISTLLVTLLMYATFSISTENQ TKKLRRRYLECLLKKQVMWYDQVNTNALNQKINNEISSISEAIGEKTMTFTFSFCAFISG FIVGYIKGWKLALVITSALPLLAVTIAFIGFSASWREQFTIKSDIEASAVVEETLSQIKT VKMLDGEEFEFQRFKKIIMHSSKSIIKYGLFYGLSFGSMQGFQQWTYALGIFYSGQLVDP EYTGPVYTSGSICTVFFAILMGSFSLGQIGPCLQCFAKGKLAAREVFKILDEKLVIEENN EFLKCDDFNGDIQFKDVSFSYPTKQEIQVLKNVSFTIPQSKKVAFVGQSGSGKSTIAQLL LKFYEIDSGVILVGQNEVPLNSIGKEQFRKKIAIVSQEPALFNTTIRENLKLGNQSCNDE ELKAMLVSMNASELIDHLDTNVGINGNQFSGGQKQRIALARALLQKPDILILDEATSALD RTNEQQITKIIDERYSNITRIVIAHRLTTIQDSDVIFVFQQGLILGQGTHTELLQKCEQY AYLISKQQTKEEAIIRKRTERSLKKTQIYDVNQSEKSRIRLIDTENEIAKMSIAREETQL KQDTIEVSSEKSFIQTLKGLIFLNYKEVHYLILGCLTAFVNGSIYPLFSQVIAEVIEALL VNNPQFNIENSTPEEIQNKVDNLKESILKCETNLFILGFVYFISSAVECFCFSVYSERLT IRMRLEMFNKFLHLPVSFFDNPNNNVGFLTTRVTTDARTVQQLFQNIIGFKCQYYSAIFV GFTLAFISSWKLTLLAIGIAPLSYLGSVLSSKYVVGSQQSFCEQVYVTSNRILMETLTNI RTVYSLRAENVLVEQYTKLLEEPSQQAKKLGAWIGVSSGYAQLKPFFVNGYLFLMGTLLL YYDGLAVLGIYQTILAIIFAVVGGAKDIYFQSDNAKAKMAINYYFNLLEVEDEFQRENRL KSQRLKVPILGNLEFKDVTFSYPQRPNIQVLRNLNLRIEACTTVGFVGSSGCGKSTLFQL LLRFYDVVSGDILLDGQSIYDFDLKYLRQHFAIVQQEPQLFNETIYYNIQYNLKGITQED IENAAKLSHAYDFIMQEDFGGFKKKVGSKGSLISGGQKQRIAISRAVLRNSSVFLFDEAT SALDSNVESIVQKQIEEYLIGKTSIVIAHRISTIKNCKVIYVFDKGQVIEQGDYNFLVSQ KGHFYHLEQGLLNQNQENEMSFQQVDVDE >CAK80008 pep:novel supercontig:GCA_000165425.1:CT868352:139562:140434:-1 gene:GSPATT00014915001 transcript:CAK80008 MRRPNSSQRPNSTQNYNQTLKSSGVFENNEEERQKKTQMEKARSDVRKKQEELHLKQLEF KIIEAMDMKEINTMLLERNAVVFQQIKEIFDEGQVKEPEIKVDLNQVDVRKPRIDNYGKR YVEQAKNIYKKPNPTEIKELVEQFNQNTRLQGAKQVDSFQRLHMEYYKIYATFLQSQPVV EQNRRQLIQELGEVHELLSVLNNAPSFEKPAIWNKQQLPEEDKMIVDDIVNKNIRQIQNK YDFSVHDFDNEGVLEEVKDYIKNKYFKGIDQNK >CAK80009 pep:novel supercontig:GCA_000165425.1:CT868352:140760:141204:-1 gene:GSPATT00014916001 transcript:CAK80009 MAKQGWGFSGNNVKLNTNNRVEKKLNIWETAGKADDIQYIPDAEEEKKPQNQISDAGVVL GQKLQNINELQNLAKISSLPQPADDIDFSILTQVLRPVEEVQEIDEQWEFSKLKTEMQEI VNKLYSNKINS >CAK80010 pep:novel supercontig:GCA_000165425.1:CT868352:141295:145919:-1 gene:GSPATT00014917001 transcript:CAK80010 MFIKQHQQKLQTRSISNASGHSNGRMSIMPSMQNNTINAIWDINQIGQELDCHDDPILNE ALELITNRQVNQAILLLNQMIADGKKYLGQQSQVQVITKVTLLIVSSAMSILENDPASAL LLLLGCDQMFKGLTPKQQGYLRVQILNGLGCYYRRVGQMEKALKELECALAVIRKYNLKE VAVTHLNLSVVLSLVEEHEGALENAKKAVAESHKEYQFYIKNHVSMQNFKFQRCVSALAI SFYNVGVQEQHFQKYQFALQAYASAFKVAEDNLGIHHYLTIQLKAIYEEFARQLAIAEAQ KIAITSLNHKFQIRANVTSQYAQSALRNQNTDTAKKVSDRLFQSETKRLGHSLRPQSAIM TQSQSSKLKAFEITKKEAFTIKKQMSATNRTLNQVSEENLKTDTEIAEKAKALQQDIENL KILALKEQEELKFIQDQKQRELQKLEAFRYMNQQIQQQKLQKNTPQTSREIKKSQEQQKQ IFNTDPFIQEQLDDSEPQPLVAVQTVNNRESKTDEEEEHYLTTEKFNEKIKNEINQDYDK KRSSMTRDETLQSFTKKLEYDQESSSKNNFGQQNISCKQIDDLEEIVEDVNEVNLQQNQQ IVENKVDEIIQQTANKLNEEQKLQHIIMIQKQWRSSNIKLKSRIQKIGSKYQEAIDHHYI KIKDQNNNLIHNGYLFVAWNSQEQTQIDLIFYDLFTLRKSSRKSNDISQKLDHIFILNLN SSFQVNKQQIIQLQDELQPFFIINSSQLCLSSMDPYIQFKDKYSEYQIRFDFNLLKLEQV LPKIEEQQLNYNTPITIADIQQFNSDSIVVQKAAHTNQDQMFTKVEPFQDVPLVQEQIDE EEYVQNNQNSQAGSVKKEQIEEEQHQNSEGDVEKENSNDERINQTGQFNNNLFSSQGLLS EFDKIEDENQKQKQSQQTEVNQNLDFTLQLNQMSANNSMVGSNNIGEVDNYNQQQQAVLQ NNVPNGNKEKVSANNTIIEEDEDDKKEHEVNEIQQKEERTDQNNKQLFNAPHNLQSKNEI SHNIIEDDKKSDRQSQKSESQMESQTSIEQKHQTQTLAVPNDDKKMFAKQVSLQLPDLMK DDNSQHSDDEISLTNNYTFEKIGVITGINKNDGSLFQINIFINKEQELFQAKAEDIKMKS TYFKVENIKKTIKKPQKYLKGYIVNWHNENVLKVYTKAHEKAVIKLQKKFKFDHYRRHVT FKLAKSNIEGSLYVGSRKQVIYLAIETKSTQQRNSYTFKNENYSQFVNRISFRVLNNLKY SEEKGIYLLQEPQHRILAQNLQSKIFKLLKPENAFEFIGYGLLNGIYDSVHKKIIIASDN RKTQPSQVDIPKTIQGDQLIQFAQNLLNRSFIIQSNNETCVVYYEEKDEDQAKIIQNKLF MYQTIRKMIINYHRMSHGQIEQQAVTVELQRKLKKYFGISCQNKFYQIGKLSEQQIKNLI TNFRRIISTFIIYQNDQVELDIDSIKEFVGDGQFTNLLRMDLKSVVHQI >CAK80011 pep:novel supercontig:GCA_000165425.1:CT868352:146097:147713:-1 gene:GSPATT00014918001 transcript:CAK80011 MGCTGTKTGKPDSKLNSPQNRSSNHAVQSVNLAHAPPIQETEKIEADYIFHALDPLVTSR NYLKKIVSKSNNASRIAKVFNCLTLSVSEKQELERNINQLMQIKHLNLISTLSYYYNDNR LYLIQEFCEGGNLLSQLDKFSQLQQYTMIDVFCQIMAGLQHLHNQGMVHGNIQLDSILFT NKSMENIKLIDFGISNQMKTLCMSWKPSGSIQEISFKPPEVFKQLTMNTPLTQKADIWSS GCLLYFFLTSHMPFQGRDTQAVKTAIQRGVVNFDGSEWANINPDMKQLVSKMLSSNPQQR PTATEVINHSIFQNRTRIVTKPNKQLSKHMRDFKQQSQMQNAMLNYIAENMMSEQDKKKL MDEFQKFDLNKDGLLTKDELLKVYCTMFSSDQAAQEVDAIFAKIDQNGSGRIDYQEFIMA TIDQKKYFNREKLLLLFQQIDRDHSGQLSKLEVKKLLRDMQIPKEKLENLSKQLDQNGDG QITQEEFLQIMLQLS >CAK80012 pep:novel supercontig:GCA_000165425.1:CT868352:148332:148466:1 gene:GSPATT00014919001 transcript:CAK80012 MDKLNCWEYCNPSNFNDRLPKPYRYLIFQSQKFHKQAFEYHDFK >CAK80013 pep:novel supercontig:GCA_000165425.1:CT868352:148517:150913:1 gene:GSPATT00014920001 transcript:CAK80013 MKDIQELFHLREYLEIPQLTYISRDSSDNLVAVGDSQGNLMILDLIKKIRIAKKETNGKR ILKVCLSDREQATDEYKNVCAIGVIHHNDATVYIYRYRVNENRIQLHHTITMSKDKNYIG EYPVDINISQYCQYISIAQYNGCIKVFRIPDIKVDNLQSLNQVPNNNSHNEPQSPMQNQS KMSGRLREFQQIGTQQQQQHIGIQNSPLDVQCTELTDLVYQVKFRGVKKQLNYNGILEKM KTENIKESQVEEKVDLKKKSVVPNKKPIETSQVVEEILYDDNSNFMISDEDYPEQKFKAL VEFVKERLNFQSGNKTFNAYKQQDCVTGIVVGWTNTTILEIHKFANPCKSALPEYLNTQQ IQTIIQQKVKQQIIEIQILYPLSSLAISKNSINLGVGLKQGSVLVYDLIMEQEKGYLDKH VYSCTFMKFCEDNRLVSASYDGSVNIYDTQECKVLCKRTHQFRKGTRMKIEEQKQGLWRI IGMSVSNTGMAVALDAQQEVRIYDVWHGEKIAKLSPQQVMDEKSRQWVLEQPIVACFKNE ILVSASNKLDQTKQTTLQIFKIFDNLVNLFPGLANIYRKGVSKDKIMNLFQRINKFELQN TSFEIPNLQCPPSNQQVKITGQENKASFQRPGSIHISNRSNKLNKLPPTINQNGRQSRAI SLINSLQKSNQSEQQVDFNSSFQSNMPSSFTNRQQKQTSKQIVLTKEILHPEQFLLEKEK IQIPFLVKEELEMVQNCRNRNYEKLARIEKVSTMIQQVGQKLALEEEKRKLQKRYRQLSV AK >CAK80014 pep:novel supercontig:GCA_000165425.1:CT868352:150961:151489:-1 gene:GSPATT00014921001 transcript:CAK80014 MENQSYFKTVTSLQSNRSEYQLSKPIKSQDKPLKESDLALYQAARDKCANKQTEKLSTPL FQVTTLITNAAPLHKPTVKTTQFSNQPTSVFDFTDNRKEQAFKPHCIKVTEPGNNSDLVY KQQLKTSNLPNRENLYRNPITNGDPKSEYNRSTKTPFPKNQINFVG >CAK80015 pep:novel supercontig:GCA_000165425.1:CT868352:151638:158230:1 gene:GSPATT00014922001 transcript:CAK80015 MQQLVRKFILKKLKSFVPDLNEHQISVALTQGYLQLDNMDIAPLQIDNFIITATVSCFIM KLQWSSLHLKTEIQNIRVMIQKAQGSKRQEKSDQSRRSNVSIPISIGLEINDVEINFENV LVVKLMQLKCEIKNRQNSISGEWGELNLKFNYKEQSFAWLGIQKVRWDVNLEKEAVQTSL ECVFIKDTTNQKTQKLVKLCKIGLSISKNVYNKPLVRISIDKGVCHWNAKVIHSFIYGGI DFALQFIKMPEKQQIRQTDMENPDSLAISIEVKSIKLYCQNNYTPLGMLQGFDIAVFIKV SKYIGDVVIEGRDLCYCEQSNYPHQMIVKKGFPMIRSEELVILIKIYDDYCPLQMINNDL YNFVEIKLKKGQIHYMQQPFLRFMDWLIEQFISLIIKDYGVTSIPMDVIEQKLRDHRFTS IKLSIDDVCAFCKPIITSDEGLKLVCSSFALHTDIRECNDRSTQTIWETLLCMEVKEILI SVIGDRGETVISQMMNCELTVSKCGFSQLYILKQIPLDRTFKINMQLSAPKLYVSKKTYL LIMRLLFNNILYDDTLDQMYLYQINKPTPILLELRMDQVNLKCEDDLALIGSQLLIRFHR QIDQSQISLNLLDFVAYHKQHLILSKLDVEDQYFAEYKQTNKQTGQKDIQILIPGVSGTL NLNWLFTSINFFLIDDTVQQILPFDNNVLKPLNLELIIQKADVLLQPLHPDTTFQAKGNL LLVFIKNQSQDIHYAYHSEPLFDDNTPSSCMKIESSQLTLYVNENGKEPKEVFQPISVQW KSQNFQCYCAQTHQFLNESNLNLENLKLYLTIEQGLKLIDLIKYLIQSMWDANQFGDQWN ERYSLISQSRKSFNIDHLELWLMSSKKDTIVPILTSCISSTPLILHQSYQKMLLSGNVKV EASYFNPMIGILEPILEKFSFDLMFLRNKLNPPNMHLQIETNKNSKFSQLNIVFHQNLII LLNDLYHVNKKKKERKKSTRKSINIQEIMESPQNETIILFNIQNQSGYDLSITIDEQTLT IKNGNKTDINAKLSQIDIWRKYNRLNVEFYTLNKKLIKLNKVEQNSQQPFKIDRQQCFLY FTSYISKLTRIIKIQGQLTVSNCTLFEQQIDIIHHNNVIWSKLLQVNERVAPPGELISDQ YFIRFTFYKRISEFSVSLKALLHSEFTYLEIPQGDQFVILSSEDEFQNTRHLKLTACLVI HNSLPCPLNITINEEQQSIAVNDSLQFYYYTSKNQIDYSVELLEFQSKEVFKYQPHDSNQ QTEIQLVNEEGSIIKIMQKITLIKGSLFFYFYPKICLINTTSTNLNYHTLVKNQRQDIAL FNNNYTFLFNEANLILSYHNHYSNEFTIKAIGDTSAEIKVRKQQQFELLEFGINISLLET VKQLGLYSTIIEIAPRYVIINQTENVMQVQQQDSFGSPFNIDPNSRLAFNWADCTKEKMI AIKFKNTKWSEGLYLSDMRTIHFSMLPKQEDKLKTFMQCVIVKKKATFFVTFLTEQAPYK IINQCPNCSIRFSQIGSEQEENIGYNQFIEFSWTFPSLKPILIFNVTNDQDHCEFQFDLF KSDSTFCQKISATKSIYFSIYFENSVKVIKLMEKQEQQFISDQINKLIYINLQMITISII MNEVKPKELNLITLSNFEVGIQEINRIISYQFKVKFINIDNNYSYDALNQVLLTPQQFQS YLEHQNYAIDIKWAVNQQHANILMIEYFFAYIAPLELKLDQEYLYQLMALKKALTFEKHE VNISHFATSKYLFSQIKSYQTSQNPFYEWMIVEIPPPSGNVYMKQLILPVLKIRFSFQTL YQQNQNFILNVIANSLDFAVKNIDNAPITLKGVKMENIFDTQQGLLTIIKEHYKFALLKQ FLQILGSIEILGNPTSFLNRISTGVVDLIEKPIEAMSLGPLEMGLGVLEGASSLIKNTVA GAFYSVNKITGSIGNQISLLSFDEDYISSRRKFMQRKPSQLFEGIYLGIHALITGIGDGV VGFFSHPYQHGKRDGAAGILKGSTIGIAGVIIKPLTSVFDAASKTAEGLTNTATYFDDHP NTLRMRDIRAFYENSRYIKAYNEDDSFFLNYLSSYSIVLIDVFGLYDLEMKKDMHLVITQ NLLFYMNKQSGIKWQQQVNSIRDYKLYQDENNPSILRLYLFFEKEQILKLKFSRVPYQDQ INQKLQNMIEQS >CAK80016 pep:novel supercontig:GCA_000165425.1:CT868352:158283:158765:-1 gene:GSPATT00014923001 transcript:CAK80016 MNSLGKRIGNDPLLISNKLRKSRETSLICMEFEAINENLIDLIWQINLKIHYQRSLLMIW SIEQLKRYLEYLNNKIENVKLYSNFKIRLNSFGTKFKRKRYYIQSSIENLTYWQKPIQFR DNETQRQKIDIDFYKLVRNAQLPLMAKQTAKD >CAK80017 pep:novel supercontig:GCA_000165425.1:CT868352:159095:159808:1 gene:GSPATT00014924001 transcript:CAK80017 MQQNKLLQTIQHVYNLRNPLFREKIIMLCLVYIQENKSEYPVKVLLEQLPFQFDELFFDY IKNLNSEDPFILSKAFDLLSHKAMQKILDRNTYIKYEIQLLKYIAQQYKVQQNYHVAVKT IKYVLELEQKIEPRDQISIVSSRMSLVTILSTAGQHTQALNELNTIQHFINQIQDQKPFL KLICIMYYNYGVEWEHLGQLFQSQINLSQALEIAKTNKFDELLQIVEQAIANIKKSK >CAK80018 pep:novel supercontig:GCA_000165425.1:CT868352:159880:161096:-1 gene:GSPATT00014925001 transcript:CAK80018 MILKPSNSYFHFHGKKAIIFQRIIKPIWGFCSDIFPIAKYKRKPYLFIFAIICFLLETFM AVSDVDRKTAFVIIFLIQLCIVFCNVIAEAVLVEQSHEVNTSRNVALFFGMRAVGGYLYT YIAFNFPIHRNNLQDFQVLSLFPLLIAILSVFLPEQQMVDEIKMKQLRANLQKFILDPVI YRPLLFIFFFMATPSPATSMFYFYINQLKLNDEFFITLRYTYSFCTIMAVTVYYLFRRYP FGQFLSVSNMLYFFASFLSLLLVTRINIEYNIPDYQFCMWDNILMQTLQEINTLPVLVLG AKMCPKNLEGTVYSLLTGTMNLGNTISKQIGIVLTFYLGITNQNFTHLWLLILICTVGSL LPMPFIGTVNEEEVDQKKKSLAKDEIHIKVE >CAK80019 pep:novel supercontig:GCA_000165425.1:CT868352:161437:162866:-1 gene:GSPATT00014926001 transcript:CAK80019 MFGIIKYSLLFLIICGITIIRDRELAENELEYYKQMNYGLWTILIPFLALLLPVFSQKAR NQFKEDKSQNLSTKKEEFEQPNISQNNNQDHNLMKKEEFVQTDFGTDQNCEMATQENKVD VNGRGQDHIYTDFHQNILTDHYEDNEKLQIENSQELIFQQKSEQMIVDEKQNDEFVLNNL SDISHKNMLSCNDTERESVNVTSNSKFFHTYSNSYQIKDFNQCQEQNHEKLFKISRILEI IDAVPVIVLKKYEEFVSNNRKERRNLIYKDFNNFTDIDAQNYIKLLKNLFKFHDNLIRKG QKYYILKNKMDYKHFIKSFNKYLLDPLASNEILSHQRFALQQLRYSLQNNQVLLQYQVLE IYQILINLMSNPNEVLNKVFSQFHNKSESLYLNSILQYYLEDYVYQHKKVEDEDFQRYLK IYHNCNEIINLQYSLDEKMNQYIFQQQRLLNQI >CAK80020 pep:novel supercontig:GCA_000165425.1:CT868352:163534:164370:1 gene:GSPATT00014927001 transcript:CAK80020 MNYKKETLELLGYENIECFIPKNLLIDFPQYFTTEQQPPLVTEVSEMTENGLIFMSALSQ NNTPQVSSQLLRSVQSKSSQGKIRIFFPQKWPVVKTNMSPFSFSRYSHFQSLIIRNWYYL DNCNNIQGPFSCVEMDNWYRKNLLNPDLLISYKSRDLTSFVKVKDLLKDSENPRCNKILK QMYQRASSAIKNKKRISSDSPMSKASTELSLSNNNSCQKQRQPIWGVDTDYLLSF >CAK80021 pep:novel supercontig:GCA_000165425.1:CT868352:164688:165663:1 gene:GSPATT00014928001 transcript:CAK80021 MKNYYLNSSERGIKKVNNLLLYDQPETVYGQLLLNQTNPTSKNKKQFKEIQPLKLLDAPN VTQNSEYQLLDWSSQEIIFLGLKENLFARNFKTGITQLLTQLEDGILTSLCSQGELIVYS TSNGDLIVIDSDQKQLLQSYQLNDITRMICFNDCILCCATVNNGILYIDLRQNKYIYRIG TMVDIYSVTAGSPNKVCIYDIRKPQILIAQYNQDGRALTWDKRKQNYLCAGGQDQTITIW NTEGEYNPHRMSVDFGITQIVSTNTQLLYCAGNKCYNGNIIMNRHQDKVLRIATSTDQSQ LCSLGADELLIFWSLVN >CAK80022 pep:novel supercontig:GCA_000165425.1:CT868352:165872:166481:1 gene:GSPATT00014929001 transcript:CAK80022 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDDKTRKAQAEQAAREIKDRKQK QIDAQKSQRKGVTSTQKVAQKAETKAAQKAAAKTAGKQKGKK >CAK80023 pep:novel supercontig:GCA_000165425.1:CT868352:167473:168148:1 gene:GSPATT00014930001 transcript:CAK80023 MRRLLEKVTGKENTNSKIQEESDKDAKISLNEIMEQEAHYEIDEDDKLYYKDVLKFEAQK AKLWTKPDFQSHLLVYTKLKYNKELTTEKLSSYRVYKYSQFFNYKRRLYNDKIKNSKDLT IYEKILFHPDLTQLDYEYIQAENRKVVKNAGIIEITAIGGLGYCYFKTNLRNKKGFYAVF MLAPAFVLFGGYTFEKYRFLRKLYNVELDKKYNIPS >CAK80024 pep:novel supercontig:GCA_000165425.1:CT868352:168346:175549:-1 gene:GSPATT00014931001 transcript:CAK80024 MSDYDNFELKQIYYKSDQINQRSTVTPNGLKEEILQETKLIHQQEEEIQSLQHQIEQDLP QMVGKLEEVQLRLKQAEDEANLWKSKHQMLLENRPNIQQPTVDKQSIKELNLEQAQQAVQ DLLIKQEQNEQHKDEQQNKIMEQQNQLQQLQDEKDNLQRENNLLLEQNQIVKQQNDLQKK QVEELKNQDLENRQQILDLKNQLEQENAEFWRTEYQKLANKHGEDIPTQFPSSKQVVPQH IQKDEGNQTEAIQELKKQNELLQTQLEDQNQLQQENDELKANKLQLQDQLLQAQKQLQDL LSEQIPKQVTSSGSIQFQTDPQKALQISQLQQTNQALNQQIEELQQQRKEDLQKFQDMIG NGVNEQQIVALMSEKQQLQSDLRMLQSKLDQIQQEQLALQSQLTQKTKQLIDIHDDVKQE EQIQQQQQLSNENELLKKQLEKVKSQYQELLELQSQNLTPNGLQEKLLQQTKQIHDLEEQ NHILQHQIETEIPQLVGELTETKQKLKDAITDAQLWNDKYHDLLDHKQSVQPQHQEEDIA KMTKEEAQQALSDLQQQYQNSLLKLKQAQDNAEENKQNLIKAQDNLDNSSRDAKLLKEQK EILEKQTENLQNVNNDLKQKDQENRQQILDLRNQLEQENAEFWRTEYQKLANKHGEDIPT QFPSSKQVVPQHIQKDEGNQTEAIQELKKQNELLQTQLEDQNQLQQENDELKANKLQLQD QLLQAQKQLQDLLSEQIPKQVTSSGSIQFQTDPQKALVDQLIIINQLSIKLINRRIITIK KRRFIEVLRYDWKWTLMSEKQQLQSDLRMLQSKLDQIQQEQLALQSQLTQKTKQLIDIHD DVKQEEQIQQQQQLSNENELLKKQLEKVKSQYQELLELQSQNLTPNGLQEKLLQQTKQIH DLEEQNHILQHQIETEIPQLVGELTETKQKLKDAITDAQLWNDKYHDLLDHKQSVQPQHQ EEDIAKMTKEEAQQALSDLQQQYQNSLLKLKQAQDNAEENKQNLIKAQDNLDNSSRDAKL LKEQKEILEKQTENLQNVNNDLKQKDQENRQQILDLKNQLEQENAEFWRTEYQKLANKHG EDIPTQFPSSKQVVPQHIQKDEGNQTEAIQELKKQNELLQTQLEDQNQLQQENDELKANK LQLQDQLLQAQKQLQDLLSEQIPKQVTSSGSIQFQTDPQKALQISQLQQTNQALNQQIEE LQQQRKEDLQKFQDMIGNGVNEQQIVALMSEKQQLQSDLRMLQSKLDQVQQEQLALQSQL TQKTKQLIDIHDDVKQEEQIQQQQQLSNENELLKKQLEKVKSQYQELLELQSQNLTPNGL QEKLLQQTKQIHDLEEQNHILQHQIETEIPQLVGELTQTKQKLKDAITDAQLWNDKYHQL LGDHQQASPQQILDLEQKLTDAQNEVQKEKEKYNHLFQEQYSQLSPSSAQAKLLEAVNQI NELKAQLEAQEKARIANLIEKEPSLAMDKLQEDLLNYQKQVEMQEKLNEIQLQQIEFLRN QLAQRDQKQQIKQDQEDEETQQSLRDQNIILQAQLAQKQKELDNAQATLIQNQQIIDEQQ QEQLKSEFWKKQYTDLISQQAPADQQKQILKQKESELTQEIKQPSQSNNDNLQEQINNLL IERASLQQQLIQAQGKLGKATQNLESTDSPQQGQDSQGQIQKLNREVQELQQRNQNLIEQ MKSALSGDDQGQKLAQLIEKNNQLSLNNSKLMIDLDVNQKELLKQQGQNQVLIEKVKDLS DPSVTENAKLRVSDLQKQIDLIGQQVDFWKQKYQQVLNEYSQQLSPTSIQRKMLEQAQYI HQQEEALLMLQQTTDQTKLDNENQINQLRIENKILNDENVNLKKRIQEQENQSQQISRQH VLSQDQYDKQIQFWKEKYQEALQALHKDPQKVQDIIQQQEESAQNIQSDKDWQPILKQQD QSSEIIDKLRSENQTFQGEVTFYKKRVFELEQQQQKPDPSQQDTIQSQQYQISQLDRDLQ KALQTSAYWEQKYNDVVQQSINKEVGQRGDAQNTASYWRQKFDQAEQSRQQLMQVIQEKE EQIEQHMQSFQSILKDELKEELTKIRNQERQKIELQLQQNQPYNESNLIQKLKEQHEVEK QQLIQEFLRRIDQLLQSNVQKYPEGENWKELILRYEKQRQNELYELRQHLEILQRSQIQT KDIEFYAERRAYENAINELKNRIQQDDPQELYDTIEYQRKVILDLEDQVGFLQNERNNLE MHIMQLNQQIDQLKDNISRYQMQSDNRKRQRAEVLEAIEEMKRERARERESYKSPHRQMR QSQNRSSWDRYPKDYLRPSNIQQPSQFQEHSSPMQRIPFQQSQFVSPQQENRDIVLKLQE LDEVKHKYQNALNNILQLEAQVIEKLQQDDIQIE >CAK80025 pep:novel supercontig:GCA_000165425.1:CT868352:175557:178268:-1 gene:GSPATT00014932001 transcript:CAK80025 MIGNGVQEQKVVGLMTDKQDLQKQVRDQQNQIDQLQQKQISLQADLLSKTKELQSYDAQN QQQPNIQSNEQQTDLINSLQQQLSQAKQQYQELLETASQNITPNGLKEKVLQQQTTIHDQ QDQIINLQQQLEKELPKLQGQLTQTQQELQAAQTDAQLQAKRYQDLLAKKQPENQKGDEQ LEIPQEEAKQLKQQNQEFQTNLQNLEQEKKQNALELQKLQQAKDEIDSLQREKNLAEQLQ NILQEQVKDQQNQITELKKKEENLKQEIKTQKDNQSELIGNNSQGDAQKSKDQLPLQQQG EEKQEQGQIQPENVEALKDQIAKLKFEKEQNQSELDSLSNHKKENEDLRKQKLELQNQVL EKQSQINKLQDNKIQAENVDNQNFKFQTDPEKNLKISQLTQENQQLKNQITQIEDQKKED LKQFQNMIGNGVNEQQIVDLYRQKQELQSEIRQLQNQLDKVQQESIYLQEQLAEKNKQII DLNQTLPQDEQKLLILENQKEIEQLKAQLNKAKQQYQEILEIQSQNLTPNGQKEKLLQNA KQIHELEQLLLEKQQEIENQVPQLQGQLKELQQKYTDSLNEVQLLNDRYQDLLSKKPLEI PDQREENIVLAELQEKYKNQEEQVKQLIQDQKQSQNNQTKMSEEISDLVRQNNLLQQQLQ LTEQQLNQIQNELNDQRSLIQQKDEEILKLKNELIEANGDFGKQEYQKLQNQQGGQDTSN QVPQQSKIQQDDEKNASEIINSLQSQLAILQQQGNDQQQLQQENEQLKQSKLQLQNELLN AQNTLNQLFSGQLPQKADNPSLLDPTKALQISQLQQENQQLKKQIIDIEAERKEDLKNFE NILGTGPEGKQIVSLISEKQQLSQKLRDLENQIDQLQQSQIILQSQLLEKNQQLMDLYDE QDQ >CAK80026 pep:novel supercontig:GCA_000165425.1:CT868352:179066:180744:-1 gene:GSPATT00014933001 transcript:CAK80026 MRSPQRAVSPIIQVVPQAPQQQALSDSLINMKMEKVLMGFEIQRLNCVLLELDRKRNAMK NTIQQHQEREQVLLLEVQSQKQEINELQQKEKLAREKLNSFLRQASQQENQSKDQSNKLE EIRKLQDENKLLESKLSYLLSLNQLENKQEGTSIKKAEQLSFSKNEYLPEHLQNALSNLQ NGISQYRNGNLIQNKYANALTMLAELINSHNNLLQKLDKDQDDYEVEIQQIQIYLTSLNQ DIEALKRYETPKAVRRILNNQSPLQARSQSPSLSSEEVFSKIMTHIEHLQLDPHNVPTII KQISLLNSLVRLKNEDLKLIGNNTKLRGSPVRYSVERSNGSIRVLTPIKYISPIRVSPTR HHTYNTNVSVPQRVSIIHPNISDSIQTQQRPGIADSFPQQSKDEFQNALSQFRDNQDRLY QLEQERLSFQEQIQYWKQKFEDEIEKNNQNLSPESIEQKLLKEVKKNQEMFSEIILLKQE IQKLQKQVDFEQEKLHDQAIKSIEQLSQQEKKSQNLEIIQKKLDQQNQEFKKIIISK >CAK80027 pep:novel supercontig:GCA_000165425.1:CT868352:180961:182402:-1 gene:GSPATT00014934001 transcript:CAK80027 MAFEITEKMIVNTIAGFGQVIKLPEGPVPEQLTVEEENQVNHQQSEKKIVSCPGSPGQPK KQDSEVENPQKSDQPLEKKNEIQLEIQQDNQQEQQNQPQAQPSKINAPKKDVPQLKPKFP PPKSVSRQSSKQPSLEKQISMSEDPLPFSNSLPFSQQSSTEGQPQNKKAPILKRLTADLK ESIVLAKKLEIKYKYPYSNDERLITIDFSYGKITTMVKNISNVIFLLVKTFFGQRNYYLA IVPVTFTTEQLRSFIIDGIGQNQKLFHNIKLIHPMIQLKELKDTQIKLSEAGLKDFSQLL FLAEMTFTWDPIKKGKSVVLSNNNLTANKKGQSDYQTVLGTLALNSGRHYWEIKIEKYVD EEDIFIGIARKEIDLYTQPTTTGHFYGYICLCAKKFGADGQIQDYGYSAVQNDTIGVLLE FRSGLGTLSFYRNGVKCGEAYNNLTGTSYPALSMFYGEVQVTLDSKSPLPSS >CAK80028 pep:novel supercontig:GCA_000165425.1:CT868352:182728:183328:-1 gene:GSPATT00014935001 transcript:CAK80028 MYNQRRNRKIIEDEELEDQSSNFQSLGNQNSSSQKKSSPNKQLCTICLEQFTPPLSKLKC NHLFCQICFDQFMKVAKKLQCPLCRQPFSYYDIYINQDGVLVWINKSENFYQKNTQESYS QLEESIFQESFLNTPSQSIVSASISEVCQVCLCNENDDQVIFCLQCRILIGHDYCIQDDE RILGLCNSCQNQ >CAK80029 pep:novel supercontig:GCA_000165425.1:CT868352:183741:184052:-1 gene:GSPATT00014936001 transcript:CAK80029 MNQRSFDTQSLCRKSKKIDKKDDKSYWQQLENSANSKFGRIRGPKKIIDCFEKNKSFVYL VEDCDGKKKLIPDLVLEEKFPQFMIKYLEEIIQFN >CAK80030 pep:novel supercontig:GCA_000165425.1:CT868352:184781:186135:-1 gene:GSPATT00014937001 transcript:CAK80030 MQQVILQSKYCNKLIQRMSNLQMQLIILSSKYCNKLIQRMRNLQMQHFNKIFLRELLTHY SFQMSTLTMSKIYWVKISQNQSEHNRLLLVESLYFFNSFTANANEGQIKQCFQLNFLSYL VSLYANFCQSMTENSDIFYIIDYLDCIFYNLVLLKVEFQAQDKKQMVDCIEKIVLLNKFQ KFSLQAQECLLNLYFKLSLCFDITNSLIICSYILQNTSKKELIIIVVNLIIRIFYQSARE NRKALELYINQIKHLNITEKLVQLSDEYPNEIIKYFKVMSNQQKSITIEIISLQQASLIY QKWRYFDRELFYYFLANIIPTYKIILTGILQNQQLLDEIMITLQTKQNQESKEAFYLLCT IVKENSSIQSNNQFIGILNQYFVNSHSIDEYKFYLNLILQDPTVLNQNCPNAVKTKLESL IKLPELETQVQRILNDDLQFIFE >CAK80031 pep:novel supercontig:GCA_000165425.1:CT868352:186260:187253:1 gene:GSPATT00014938001 transcript:CAK80031 MAQETVDLCCNINFEIDIHPLVIAQILDLHYRKFGASRQEETIVGGALLGTVYTNKVHIT ECFALLVDPGDETNEYTFDFGDAKDLYLSHLQANPQEVLLGGFVTTKNLSLDIGVAYLST EFSKKENGFNATAVLGQPIILKINPTLNTNKIEMKAFKLNQSLKHYAAVASFNTMPIKIS FSDENLQQAWPFLAPNLQQKDFKIVNTTNPAQLVQENIKNIEKILQYLEKVIAGNETGDQ EFGRKLLSSLNQIDLIRNESDVVFSQVEEAQLFQYIGNFAQGQAFINEKLQKI >CAK80032 pep:novel supercontig:GCA_000165425.1:CT868352:187368:188643:-1 gene:GSPATT00014939001 transcript:CAK80032 MYNNQVLDELEEFIKQQKLNDNFRIEAKIGTIIAKTDEALIDLKHFQDQFINVESKIEKK NYRFESAISKLDYEKACQTLKSEKRWEFNLDIIFALNYATQLSFRMGFDEKGKIFCYERK KELKSKHLDILDYKRQYRITLAQYDIIDYNQTEICLEKIREYIKENTLQVTCVRYKQTMI YQLDDYCESYVFQVQQVEKNKQAFLVEIAKEITNKNNTFKKNLDNALELLEQHKNYYKPF YEAEISIKQQKTAQSIQSLVEKYIKKIQQFHDDVRAQYPEPSFVGVRFVQTQKQNVQQAQ KQQYYGQQKGTQLKTRGQNPYQQQDRNQQDQQFLDFSNSNEDENTRQVQGWQQKKPQYGN QFDNNQKQKYNQRGRGRGRGNLHGYGKEREEQSNSDDEQYQSRQEDYY >CAK80033 pep:novel supercontig:GCA_000165425.1:CT868352:189637:190767:-1 gene:GSPATT00014940001 transcript:CAK80033 MIPQTWNEFLEFIGDVIDKELDQPLISQFIKIPNTRMTSFQIISYFSADFQKYKTIIGIR AKIKRKCKWGCKEKYFLIWCITKITEKLQIKFHELVMPFLLFIIVQYQWKVFEKLSSILN LSEELLLTKWLSLLNYKLKEQPWKKEEDDLLIKLRQYQFLLNWIKIAIEFIKTSQTVRYP KQIRERFNNVINPDINKQSLYRFRNEFRQDEILIIIRKALSTNKNWARISKSLPGRTDNQ IKNLYNSIIRKILNEKEKPDDKVDELQILNLIIQHNNYDPIFISSILEETKRKQICVKTE STSDNSQTSEMGVNNLPFQLVYPVFYNQPFNYQNIYFSYPQFYLLPHQQSLRNPMFNQT >CAK80034 pep:novel supercontig:GCA_000165425.1:CT868352:192098:193009:-1 gene:GSPATT00014941001 transcript:CAK80034 MQYQPAYQQPQQQYINPGQIDTSFNSGLDAISRCPSVFIKQRPDYLESLGFCEKKNVYYV YQSDTMGNKPDFKHQAPIFKCKEESSCWQRNCLPGACRAFDLKVKQYDQKQDTNTVFKLS REFKCTFLCLARPMMEVQLQNGGKIGYICYPFMCCDKGVEIRDENNSLIYSVKGSCCQWP FLVQLPCEACQRARFDILDSQGQKVSELWKESAGFCNALCNVDATNFRLMFPVQSTSRQK ALLLAAALFIDFNYFEESPQDQNQNSM >CAK80035 pep:novel supercontig:GCA_000165425.1:CT868352:193336:194115:1 gene:GSPATT00014942001 transcript:CAK80035 MKIYYVRHGQSMNNVINDLSDLEYEQNRQQDPPLSESGVKQVKLLTDYLKLKNVEFEEIR CSPQLRAIQTAQLISEGFKVPLKIQQNLHEKGGNQRMNKGFPGLTRKEFQNQYPEFEIDE LIQDAGWYFKDKRETDEECTQRAEKVIQDLQKETKKSILIIGHGNFMDQVMGFVCGRAQN SKYFYYHQNCGITLLKNDGYGFEIDYYNDYSFLDMHYIKTGEDLLLKRLANYKGKI >CAK80036 pep:novel supercontig:GCA_000165425.1:CT868352:194665:196349:1 gene:GSPATT00014943001 transcript:CAK80036 MLQSFLLSLASGIVLFIVYMYHRANLSEGSANYRYYNEAVKGMSRWLEQTVDLTQSHFQP CKNCGYEIALVHDNFYCLRSDLFKLLHPEVVVQDQMVLRDYGGQDLARKIIQQNMYDALP SFVQTRQIEIGYHQTPLETSVVYPYAKNYFRTHTFGKQAGCIFQKYFHVPGQEEIALKSN QSTHFHNYIDNLKQENKSTTCIKDASYEKPTFRMWLKEECENFFEIINTKQYKDKLENEG IQYIYKTNRHLGNGILLLDYQNEKIIRSWYKDSLGCGRNLSQVNNSRVPQESLLIFKGHK MEFRSYFQIASTNPPILYGYKKALIKQCALKFDLLDFTKEAHVCNTAVTKTHKQTTGQEQ DDDLYIDWNLEELQDILLQQGKIKSRNWLNEELYPQIMRKITHLFFSVEKYLLKDSRVGE FFGVDFILDDDLNLWIFECNRNPNFLAVTEGRKEKFGKLIPDMLEIQMQLVRSRYKRIRD FMYNTLLPAIKARQFQQQQEKLKEEFLQLMKDRFEPEYKLSTSNLAELAYIGDGQFNGYI KKECLESSRVLKK >CAK80037 pep:novel supercontig:GCA_000165425.1:CT868352:196382:199871:1 gene:GSPATT00014944001 transcript:CAK80037 MFAQKQITSKLLLTKLREVEERNDTQSAPSFMNQTVTINNQHISDQIRKAKQEALSLHTS CKSTQHSPKASLDLSEFIKQTKIQQITQQKLLMDKKFQRLTISKVRDDVSHFYDQIRQPA TTREQRSFQPRRQGTTFHKKSRSGQQIHLIDMKEYQKLDVGLNKEISSLHTEDPGGRVQS IQLKDWFQKQQQGDLIPLLTVTMNELIKSLKRECLERGELVEQIWDKVIQMVNKIKFDTE ILLKKNDVQVMDEQARVYFIYQEKMSDLQTQIQDVQHKYSQEIIQHNVSKQEYKELEANF KKNRAQLNDLRKIASFLQKKLKQSHQEIEILNRKLQIITQKQLESQQQLQRDINSSHQQG NSQKILIKQESMFSQRQSQVLQPPLLNQPQQSQPQKILQHITNSNQTQSGRRMSYLGNSQ VQQTQHEKSSSSDEDDLMDGLLDDKNIIEMDNMVMNMDKIIKVACVETQVEPDILHLYQK TQEIQTNIVMMAKDFNLITDTQTKIQSVLEQYKQRSQVEETLKLCEDKANKAFSGRQLNQ IIESKFLNKDIPSPGVTKLNQLRQDSFRSKKAVNQSIEQATSNDQSMDINSDRYLDLDKV KTLVIMMQSLENKNKELQYIIEQMSERCNQHMIELEDTRSRAVSIGTFKVLKEQQQQQQL QTQKQLEGESSPEQFAKFNSSQKMIRKQRDNKKLNQAKLIFKGPQLGQKVNIVYEFQKVN AGPQLVEKIKLKQLPKIKHFMPLKLLLKQITVIYQDRIQQQKDNQAFKDQDMASFVYSYF LQQFGIKKIAEQKFLILIVSVKHYKQLVRINNFAKFLGLFDDCVNYTIDEMKKYLEAFDY VTNVSTLGVLIGDQESEVRYFVPYVRAQQYIGMFADSRMTVEEKEELKKELDLLKEVDTK TSNRQPVIDFDQFMIQMFVKYKILVSRAKEYVINAFAACDLDGNGMCNFEEWYLLLRHIE PDRLTNDEISEIFFTNADLLIKGEQNFSFEKFAVVCVEYGLFSEEAQNQFLQIKGTKTEI MIQFQKLIDGWVGQRKVIEQRFEQLTLLETEKIDSWREIIETLERKILDLKEHLMQAGVE KKVKPLLIANLLLNKESEMLLELQEDMEDDGSPKAKGLQQNFGSNESIRIQMDIIKE >CAK80038 pep:novel supercontig:GCA_000165425.1:CT868352:199893:201170:1 gene:GSPATT00014945001 transcript:CAK80038 MFSSLSAGYDDLWKAIIRPPRDNEYSEQDLGPSQFKIQGVMIKRTDFQIKNKRGLKLECS FFEPVQKPCEQLPCVIYLHGNSSSRLECLASLDGLLQQYIQVFSFDFAGCGKSEGDYISL GWYERDDVEVVVDWLRQSNKVSTIGLWGRSMGAVTALMHADRDPSIAGLVLDSAFSNLKT LAEELAKQYAQKVPSFAISAGLSMIRKTIQSKANFDIENINPLKNHVSKAFIPAFFIAAD EDTFVLPHHTKKLHEAYAGDKNISIVPGDHNSKRPSFAMNSIAIFFYNTLQVKHLVPEYK QPDQKSDNNQQFEEANFLNSYNNHVGNAFQVQGAMHDFDEDEELRKAIEESLKLTAFEPN QQNQQNSQNRPQVQPYKQPEDLLKFSDDESLL >CAK80039 pep:novel supercontig:GCA_000165425.1:CT868352:201185:202285:-1 gene:GSPATT00014946001 transcript:CAK80039 MSLIYKNHLVFPTFKHQETITTHNSSSLRPIKRFQSVTPKRQGISSKIRPLNITALPGNE TTINGDSTITPRQKHLPILTPRIQRSDEQNLHDYLDHLNSIRKQKQPTKQAVMKKIRTET EIMKLESQTLIQRFKKVKEQQEQKKKYSLLQTIKNPYAQQYINFSKQIFNLIHNLHQLKE YLNNSRKMFQVVKNLIGMKKNLKKILLKQSADELIDFQDIAKMCCIREIQYVNGEQRMID KFDLSLIDDLTYMSEAIENLLLQNKLNAIKRMSDNILIEEYEISKLREQLGMREEDQKLS ISTLAMQRFKPHLELDNIEQRLGQLSQIPKQYRQTSQVLCEIVDKLNE >CAK80040 pep:novel supercontig:GCA_000165425.1:CT868352:203147:212066:-1 gene:GSPATT00014947001 transcript:CAK80040 MYKLQQARPNTECQAGFRQKYLPFQQFKSESKVVKDQPKTKKRKKIDNKLQDPLKLYELY LKALQGTEFTDEDQKDYERLIGKCSYDFHTTFYPDGVISFPNPDYEEVARPQQMKPLEFF DFVQQALKINPKSLNDQLLFKAIETSYQLLSISQSELQNSLSSDPFQLQSQQSDVRYKQF FTQQTLSGKRFSKSIRPMLSAQEKLNYAMKALREKFEAALSSNMKANLIDFINLDYKSLE EAQTRCDVKGEYLNQIQEQWFKTPKEALDTSTMLRNAILIQLSRKGFQTRQVISNDGRWI FLLIKMDEENLKVVAEQKKLSKRLNFWFSDLFSLEPVDKRLRPLRLNNRLWKPNEYQCTT FFEYLRPQIIELIEQINFKRLSREVNQSHINQELFQYGKQDLNDEVDHGPSDDEWFAFYK YLVHLKKCVTEHRQQNIIDSDLSAILNKQMDSFQLYIKRFQKELISQGLGNKQEIFLNKQ NILNPENQQHLEKVEVYDHNAESLMNEYKQYMIEQNIPLTKYIKILQKERLAYNYMQAFI ESLEVANDSKQYLKNLWSQVDLTPQEPYINFRRPTKKMAPTLQAQQQMIWCKYQKDEDGN ISVFSSIDRLKLVYFAVDDCFDINSFTMKGYIHQFFCLNDQYELLGYCQNFEKALTSETQ FYHKKLFFFADEWKFRIFEPWFAPIDIICSYYGEKIGLYFFFMSYYTKMLTFISVCGFGC SLAEWILNNQDGEASIIITMIFTFVLIQWNSIVTDYWKQQQVRFNLKYGQLNEKEKSTER SSFKGTFIRSLENDQLNSAAQDDSDLVLRLFLASILLVLLISAYSGLVVGLFTLTTALRN SFGSEFSNVSVMSLEVTLSATINVFVQLFVDKFYDYISTSVTDFENHKTMQKYETSFVVK KFILYFCSYVVPLLFIGYLNGPLNLYCSKSNCSRHVQYYFSTIIIWQFLFKILQLFKFIS DLAKYPKIKYEINDNDIHQFIDETSRRQSYAISPERYGTLEDYMEILILYSLLIIFGYSF PLSYFLLWSFNILQLQVKKYSFMYCLQRPWPQNESSLGIWNNILDLVNQIGILTNTGIIT VLYNKKYGEQLVLVFLSLLISNSLFKFVIASVFGDTPFRLTQLTIRHKYLLKSTLEIFSK TKQSTKIKKEEEDIYKRFPLFKVYSSTNNMEFGSFQTISSQSDLEEHIRKGQLRNELNQK RETKVQPKKIDLDDPPYELLHNLFSQRVSNWAFEIQYNNLNPFQRKKKLIKYWKYLYKLS VLTTYKKLWTEDRLTQRHFYMKRKKLAITNLDQRKLYILKQNYKLTHQVYSDNAQLKFRK KFQQVTKNSNKPDDIKEYENIVQKQQNYIEKNLWLNCRKVVVLKIKAIWIRGFRKTVVRR SAIQNIRVITKTSVQNGTFSLKSNIHKQYNKLLETNQKMDGYSMKEFINMVGQLNFEQID QIVFPLTSQSLNIQQYILYPKKSYIFKTVIDQLRDTFIFDTQTTKQQEIAMQFFIEEQFQ IENIELIKHYHDNIWLGKKNDQEYLVQFLQVTYNQKLQFQQKLDSKHGVYYAESKNYLRL SNIIDQIDDFVIKGYCLCLYELEKPLSLWQVIKFRKTYGIDYKSEEINEFLYANLILMKS KPHPYISIFNYFLDGRQYALLNTLKSEQPLYNLAKVIIQMICLKQIDDPYQQLEQMDHKL TDVLRAMLDNNITIDQIVYEIRKQYKFKEIQYASDISKSPDQSFNSQIQLFLHKINCSFR MKFYDQTLNLIQQFDNILKREYPPQNKDAVSIFSKSLKDEISKIILQPNYMQRNLDIILI YYLKMATLCALKLDFDEDLNSLLTGIQSCSNEINLIVRQLCLNIEFQNLNPTEQHTLLRK RKKNKQNTKLFSEQRMFLLETLRYNQEYLNVIIQYKSQLQRYSNQLNIVQSIKQYFNKNY GFASFLIDDSIKNTQKIIKPVQLPVILEQARQISLEMFNLDQFSPQMDDDDVIDLFNKPN KDFNILDEIHTNLNYCMQYLYFQYLQLIVWFDEQNVMFDQNCQQFIKLQIQNIPVFDNLK CQILQLMKFEVHQDQIKSYEDCELGKYLILKIRKWNESNFNEIYDIIDSSDFQYMWVQFM TQSLINKTLLNRQIICEFLEQDKDDIRFILLRIRLLMQLLHMDDYQSTNIIINQNIQNYQ KLNRKYEDKSKILQLKSLIKQYKYQYYYLEESWFQHFSLSQLRLTYLLAICYAFSPKHIE NFHNQSSFEITQLQSALNQIEENKQQESFHLMLKAAQIVQLHDYCNLLDDEAIGFVKYQQ LYTFITLSLQQGVGLQFTFEAIKRIINYDINPSIFILLLIQQFISFQLIDQVDIFIRLIQ KMQQNKSMLLEEAHEGFDMDLKIFTFFTKSQDMKIIKPYDQLYIRYPTIQQYKDLLLDSQ SLFYFSLYHENIEEQQIQIRTQLRQLLLDARYTKMIQLYESLLLYFNMQIGEEVDQLHSK VARYQITEKTLFHAIICHQLSRYYVCRYDYQLAFNSSKHALNYIKSSQFEQAVIIKIEKN IFHFKNNIQNEELMKLFNLQQFDCIYEESDQYYNNVIDETFIHEQILIHVESVINLQYQY IYQQSYQTNRGRQQSQYQQTKQNEQQQIFEIDIQLTDLLYLLQTLPFRSQQLKVFYIIAQ YLLYHLTSEGIQTVEMNLKKNCKQRLKEIASQISSIKLIVSQKIQQKELTQEEFQDAELR KQLLSFEQIILNSVEYGINKVIMLSWIHQSIDRALELCEYFQDQYMIKVHYFVPKFQLLQ IRMYQEGEQLIKLINQISFVNDSLRDFYSNNSHPTKGVLYYLMGQQKRWLKYIYIKYIEQ VIEFQQFNIDELRVITKGLLEQNLKLYHIWEKFDSPYRYTIPQILEAFIIEEIGNIVKED NDATDLKVFQAAGIVEELIKQFQNQIDVNGNLDFIYSASQFDYFKQDNFYTQDIKFIQQQ DKLKQQQEQDLQQQQQQVGQKRR >CAK80041 pep:novel supercontig:GCA_000165425.1:CT868352:212129:215386:-1 gene:GSPATT00014948001 transcript:CAK80041 MKRQIKEQQNELREKEITIQNFKKNAKVSKFQELDAEIREVYEELQRLRELLKERDDILQ QQQKQIDVYHSHAQEHSSNNEFVKELQQQNETYLKDNQELVQIVKLYEERNTQLEHQVQQ QQKQLNKQGRDIQLLNQKLQVFKKRGTNGQYLISQEEIDKDIEERTKLNYLVEEQKEKIQ KLEQQLKKFQSDNRKQLEQKQGRNLQQQQSSSPKDQNNNISQQQQIQQFQQQEQLRQNNS TDSILLDQELAQCYADEIRCQLYLDNIPFAKFKEKLSTLNKGRLNENGVVEFLKTKLDMD AAKAQKFAKALLKSDGYDQPIQKMISANRLKNMILSLIQEYITYPGSSIYQEVRKTLLKN KEELTRKFRQKFQNQDYINIRQFEQLILESQISITELQKDQLFIHIYQVYRDLVQLQWEQ FFRDDFKANQQPRLENQQKLNSPPNTQKQDSPNDYIKIQTQNSDTSKQAKIRSHARNSSQ IIDNDPNYQSAKENQKHDEENENYDDYFERDEDKNSQTSGKAKEQDKKDNADEEYQEQFD DYQQKPQEDQEDQEDAVVKIQLAYKKKKQKEEGKKIQEEQKEKKRQYLEEKEKKERQEQQ LKKQQEEMKRQEEEQSKILEQRKILEDQKRKQDQQRRQEEERKRKEEEQKQKEKEEEQRR YEEQLRKEEEEKALLIQNAYKQKKQKEEGKKILEQKKKEKEQYLAEQEAKKQQEELERQR LLKEQEEKDKLLKEEEDAALKIQVAYQKKKQIAEAKALLEQKKREKFVNDQKQKEEDRIR RQKEREEKLRKEQQESALKNQRGSKDKQQMDEAKQMLESKRNERDSIHKNQKKGAKDKKN NEDDYDFEFEQELDDQIEVKKEIKAKEEEINMAASRIQGAYRHKKNKSEGKKLLEELKEE RRLEELRKKEEELRQKEQQELDDVAVKIQKAYKKRQQKEEGKKILEEKRKERELEIQQKS NKPEQNYNNENDDGYDNEKFEDGTDHDKFTNPALVQKQLQKREVEDDDKVPDDPVAEQME DKLFNSVGIFQQSINKEEVSDADQLL >CAK80042 pep:novel supercontig:GCA_000165425.1:CT868352:215426:215918:-1 gene:GSPATT00014949001 transcript:CAK80042 MSERQVYILFKMVESDSSSVSQKPQLKNTDQSTLWKFCQRIQNVTQFNLRSVKKVKVNNL IEERPQSGQNEIRARQIQQETDFFRNNMEVFDKERLFEHLSQAKTQINHLKTDNYQLRTT ILQQEKTIQKYERIIEDFQNNGNLKNVQMKLGVEV >CAK80043 pep:novel supercontig:GCA_000165425.1:CT868352:216002:216677:-1 gene:GSPATT00014950001 transcript:CAK80043 MGSSQCCTINTDEQASELAIGMKETAQSSKDPNRSSDGKKNKNQPLSPIQKQKGARGQFR IDDPQTPAFADNKSIHSKQESEQQSNKDIQLVAEIVMQLDDFHQKIEEANEERFLSQASL KKKRKNDSPLCDFQPKNSLKSFDSKRLDKLKSISEHKQMKEELIKEEWESQRSQSADNKS VKSILKQEMKYSQFKKGQCGNDTQSQKRVHFQLNK >CAK80044 pep:novel supercontig:GCA_000165425.1:CT868352:216848:218590:-1 gene:GSPATT00014951001 transcript:CAK80044 MNEEELINITGIIEKTNNQNIIQTLNEFKNTIESLNTQDGNNKFVKKCLNIIYNDVLSKI DELSNMEESRGTSIKLAFELQKIKKVTEMLPYINKKPKNTIFNEEEYMNIPNIEIIKLDQ SDKIKQQLNNFYQKISLGHAYVSSGKQHQCQAMQCVVKNLKALYYMSNQEEANLAATQVI TNPTLEHVLQLWRIIDESKIVKAGFKLQLEKVKLKKIIYIPRLFNQITLDYLHNFNTCST DIPNNLLYQLAFRSIRLKQNENDIRVRVMCNKQIFKHKINNQDILQVVRRLTNSEFQVQK TQHIQQLQQPLTFFGASCVASRTDIREMGLNKVSNIIIHIHGGGFISQSSFSHQSYTRKW ANKLDNAAVFSIDYRLAPEFPFPQALDDCWQYYMWIVHFGSAYFNICPKNIILMGDSAGG NLALGIIIRAIEINQRIPDKIILQYPALNLNLQDVVPSNLKSMNDSIIPMGLLMLCRQSY IKDESVIANDYYLSPIQTPQKIIEQFPKDFVIFVGSEDVLLDNSVEFVHYCKNNGLESIK LKVFESLPHGFQNMDIKYNGVMAANSANTQILDQVKQFTI >CAK80045 pep:novel supercontig:GCA_000165425.1:CT868352:218741:220313:1 gene:GSPATT00014952001 transcript:CAK80045 MKFSQSPKSTFNQNFNPPPGYYIGNDLHDMLNTIKTKPPQQQFSKSDRFGQIQEIIHPQS KTVEGFDKIAYQSSLKHSFQNKRLQDRNYVYQAQSQQYLKKTQEVENKMKNLLIKQMENC LNQQLEITPSGQCVLDKTKLLQKLQTIAPLQHPGPGHYNSNETIIKPRVRGTIIKEDSKR TTYQEPFLTADVIYGKQLTNNGQQESELNLTQKQKQKKIKFLQGDDYNLEKLFEKEKKKK ERQTAPPPGHYDIESQFGKITELNYQNQCFDSREPRFKYIADVNPGPGQYLADNQFNETG GYMSKLPRQPPKDNMIVPVGNYIVQDLSKPQRRDGLFPSSFGSGSKRMQDGIINNDAPGP GSYDNLMLKKKQFKYVFKKKEKRPMYEKCPESFGLSVAKELVSVNTTKERSKSLQKQQQS SMFSSSVQRFKDKKITEELGPGSYTVHDQFTKITFNKGNDIFGKGQRIQQQQQQEIGPGA YDGDYKLLKKNFVRDVHF >CAK80046 pep:novel supercontig:GCA_000165425.1:CT868352:221286:222095:-1 gene:GSPATT00014953001 transcript:CAK80046 MQQQNQGSKIPGIEKRINKIGKPKGMNTSKPLAKTDAWSSNQQSTQVIQTLSRLGRFICF QQTGTKKPPKPDQNLQRNYKVKLNISDVPGIFLNNNQIQLAKQIGIYCEIVEKSKKNCVV FFFGFIYPEFEIIEGKPQLVNKFDKFKNYPPLLKIAPMLTEKFLNEYSLYLEYQLLGRNI SELYSEQTNQNLKTAETILQCLFTKYITELKELEEPEQKKNFSSQIENYYLNFYNLLETK TQYEKGVIDLLACMQNEDDDCDQQYIQYD >CAK80047 pep:novel supercontig:GCA_000165425.1:CT868352:222113:223995:-1 gene:GSPATT00014954001 transcript:CAK80047 MNQKKMPQVKVTLKKVANGFIVHDADTTVRIPKSIVQNTPPQSTVQIKNKSQTTSLHSQT QIPQQQLQISPAILSPQQSFLYQQITPGMQQSIQGQQSKQPIIYPSPPPPYKPIIQQNLI NQPIAPQNFEIFPPDPFVTKKRSFEITVPSCTSWFRIDKIHSIEKENFKEYFNQENKHKT PSLYKKHRNFIINLYYNTPNVYLTTTACRRQLAADACTIVRIHGFLNHWGIINSQVDSDQ YQGKIIPQPAIPDNLFKELFQSKNSSQQYQLSEQQIIDSIRELSLKLRPICDSCQMKCNL VWYQQKPIKDIKEIILCIKCYGNNHFPNILCAEDFFRTDIEERLKNTNISIDQAELSDSQ LNDLELSEMLNYIQDNPEIGWDKITEFVNENRKVKLDVVQILINFLIYPLKKQSSISRSL DVKEQLQKWTIYDLASKIASEEPQIFSDSSNLYAYHISIFHKRLNQDEEPKQPNGDKLCN GTTHSDLLQQQTNLQNSLNDLDQNLIVNFKENSIQKAEAEIVKEESKLNQCINAIINVQM EKIQQKIAFLEEYEKIVLNEKNILELQQKQTLAERLIVVQQKLQMYNEDNNQ >CAK80048 pep:novel supercontig:GCA_000165425.1:CT868352:224063:224566:1 gene:GSPATT00014955001 transcript:CAK80048 MNTKSFEVLIHSQYAFHRCRNEVHKYEDCRQTTSPIPKDPRLCRNTARELVGCYKEAERM HPLCLAPFNDVRECVFKADGNIFNCKKESQQFVDCQMDQEKYQDFLALSTDKQKEALQFD FFNYRGHFDKYS >CAK80049 pep:novel supercontig:GCA_000165425.1:CT868352:224576:225408:1 gene:GSPATT00014956001 transcript:CAK80049 MSDQPTQYQEKNRTQHPKNHNPRYSDDNNSQNKQGHNFRDSYKYNHFNILHSKNQNHYRQ SNGNYSHNYYNNKQNNYRYTHKQRDQPYYSQSDSITYDQKHSVQSENQQQTNKDLFQQNN QDSKSRNQIKIGDPSIQNQQLQEIEQSKQVQVSKDIQGQSIKNTQQSQNQISGYLSSDAK EISNSSYNETITNVNAKQNDSNQNNKQLLGISQQQLIQQKQIPLLVQFLILPNDTTSQPQ LIPLTLQNLTQTYEQLKLLIQNDDQQQS >CAK80050 pep:novel supercontig:GCA_000165425.1:CT868352:225452:227059:-1 gene:GSPATT00014957001 transcript:CAK80050 MLKFLILCLLGLSAFGYTFPYDEDVLVLNDNTINAAIKQYDYLLVEFYASWCGHCKQFAP EYSQFATQVKQAGQPFIVAKLNGGDQEKQAMNRYKVSSFPTIILLIKGHAVPYNGDRSAN GLKNFVTQALEDKLVRVDEIDDVYKFLSDNNLSVLYFVKDSQQPELQIYSLAAKIFPNLK FGYTTSAYARKLYDVDEGQIVLFRTFEERRKEFTDQITLEKLTNFLYENSTPSFEELDNK SYASIFNKNTPALILFWSQQSQETKDVLKLIAPNIKKRITVVSVYSDNYMLNQVTGQLFI NTPTFPTTNEVYKFEGQITVENVMRFVHGANNGKIARKQKSQPIPTQTSNVLKVVGDTFD ELVLNSNKSTLVQFCQTSSSKCYEPEFEDLAKELKGNENLVLAQIDLSYNDLESVKIENY PGFKLYIPKVTSNPVNFDQEFSKENLYAFVKQNVQLTHTEQNKSKSDL >CAK80051 pep:novel supercontig:GCA_000165425.1:CT868352:227914:229545:1 gene:GSPATT00014958001 transcript:CAK80051 MKSLNYRFPHSRQDSGQMNLARSQLGQAQQVTNVLNNRQNEQNVDRSPAPMVHGLHKLAV PSSQQSSANHSKKSSISKQQQPKQISFLNYLILKDKSQIVQDEEKENLTEPVVQKNMFNF QFVIGIGGFGKVWKVEYKKNGQIYAMKEMSKALIIAKKSVNSVMNERNILSNLKHPFLVN IYYAFQDRENLFLVLDYMQGGDLRYHVGKMRRFTEDQTRFFMACIFLGLEYMHSKNSLHR DIKPENLVLDKHGYVRITDLGIARNLRPDNSQDTSGTPGYMAPEVMCRQNHSFAVDYFAL GVIGYEFMLGKRPYTGRSRKEIRDQILAKQVQIKRSEIPDNWSLESADFINRLIQRKPAN RLGFNGPHELRQHSWFKNFPWSKLMNKELKSPYIPNQNEDNFDARQISMEDDENNELIQQ HSIMLRRNSIQSQFSGYEMDNFNEKQNTQFNNF >CAK80052 pep:novel supercontig:GCA_000165425.1:CT868352:229697:233264:1 gene:GSPATT00014959001 transcript:CAK80052 MSLSLSELINQSLDKIKKAITKKQFELKQSIDEAQQLKEHFDANHLITVYQQCIQSKQVK LIELALFDIKNLVEQGFFAGEQLIGEKRVIEVILDIVIQCQQEKEETVQIHMIKAIQAIM TNRKHHIYGELITKVFSMLINLHAVSKIVVIVNASKEACQKIVSTYFQRLEDYGILNEEE FQQAIQKQGNQGQLVLGKCRAMVNAEQYMRSLMTSLIDEVQIYTERKSVYDRQIEDLNQM KVINLDLHEPNLRNVTVEKNQIQVSVTNEQNIKNGKFGWCVVCRNSASQYCKDTKVPICS KECKYIHLNQMNNFSQCYQHSNNSDQYCKDALEILELLCQLSQRDNTNPQLSQIIIKCKI LSLELIYEALAQSDTILQNKPKLIQILKEQLLESLLKNSLSTEKQLLILTLNIFIQLIWK VRSHLKKELEALIENVYFKFLDSSNSSFDHKQYTLKVFNKIMTKPRVVIEIFVNYDCSLG QNNLLKKILDMQCRIIQGRFSKQEFQASITQNQETYLKSLCLDNYYGYIKCLKEFCEQNE DQQNVIQVQQLEDQEETAIQSQLLSQDPIEKQKQMKLEMNKAVQKFNFKPEHCIKHLLAC QFMETRDPKLFAQFLWENRDLNKDKLGELFGCSSEFNQQVFQQYIDFMNFKDLQVDEGLR YMLEFFTLPGESQQIDRIMEKFASKYCIDNPGIYQSAQAAYTLSYLLMMLQTDLHNERNL EKMTIPQFVNLAKGINDGENLPQDLLLGFYQRIQKTPLALHAKEQAKRSLEQANQVDQRK RHAMLAKEAEESLKKWFKEHPNQDAYFYANSIEHVKSLLQQTWSAIFASISVFLEQTEDQ QQILLCFETIQSFIQLMGRFDLDEEKDTFISFLQRYCTGIPNTYRQILGVQALIKAAIHS GQYLRKSWKVALQMVSRLETLHQVNYNQEDIQNIERLFQSISYDQIDKIFNMSINLDSNS ILEFIRALCELSKEEIKQNRTFLLSRMIEVADFNMDRIKIIWSRMWEIMREHFLEVGCHK NVDLAIYAIDQLKQLSCKFLQKEFLMPFEQIFSHSQAQSQYKIQLREYLLSCMCMITNVC FNSLKSGLENHNEYCQLSTSRRLIIANKIVCTNYRQDNGGCEQSIGQSRNLYGTNLSINQ I >CAK80053 pep:novel supercontig:GCA_000165425.1:CT868352:233350:234648:1 gene:GSPATT00014960001 transcript:CAK80053 MTINFWTHYGFLDERVAVQQLSVQTLFDLLQKHGVYQSNEFWKMILRGVIRPLFDEIQFS KLQYTKQQQSKKSITSTCKMTFLLFTDLVISQIQQMQPCLNDLIDIYIQLILQTQDHISI LCLQSLKKMIQNVGQSLTEDNWNLLIEQIQHLLSQCSPTELFEAFNLDEDFQKPLDELLK EEIRPKKFSFKINAQECSSKQQIQEKCLEILEVQVTQFQNQITSQNKQLILQLFQEQYQK CKRFNTNIYMRYFLEQWAVQWNFVKRSSQEFDDLENNNTTQSKQLSFINVEFLAAKVIVQ LSNDPLAFIEQLIQRFLDAYNGFSQPLHRQDSLNGNLEQLRHLESQIVISRLQLLFMETV FPQLKQNLKSKVVSKWLIQLLKAGLNVSGVENKEYNKVLVNLLEEIINCENNVF >CAK80054 pep:novel supercontig:GCA_000165425.1:CT868352:234685:235582:-1 gene:GSPATT00014961001 transcript:CAK80054 MENELQKENQLLIEQIKSLQAQLNNRESQRNEYSRVNDQSSFFTTFKQSTPRGNISRDQS KRDLQDLTVQVKKLNEEKEQLRKTLKHQIDAYDQKILQKELELSQKEKMYRQLQNDYKEL QQTLIQEQSKPAPQPAAPTPVPTKPVGDQIQQYRVQKIGLMNLPCLIIIRRNIFEQFVIE IENTKDRVSINVQDITDLVPDRTKEDHFQLTYKQSNQKITEVYQSSEFKQILRAIKYLHS QQQQTRKQETQPAQQEQQSGLIKSLSSFFMKK >CAK80055 pep:novel supercontig:GCA_000165425.1:CT868352:236440:237483:-1 gene:GSPATT00014962001 transcript:CAK80055 MDIKQFSMLRLIGKGAYGQVFLAKKKDTNKVYAIKTLKKKEIDKKKQAQHVMMEKTILNQ AKHQFIVSLSYTFQSDKHFYFVLEYCAGGDLFSLLRVKRRLKEEQIQFYAILIIHALQFL HTQKIIYRDLKPENVLIDEKGYIKLTDFGLSKILLQEKADSIVGTPEYLAPEILTQNGDG YDYKVDCWSLGCLLYEMIAECPPFISEQRDQLIKLIKTKQPEYNFPISNELKDLIVSLLQ KDPKQRPSLMEVKSFAFFKNVEDWQSYLTYKVIPPFLPVIHGPEDVSNFDTEFTQQEQFG SPSDGSNSDNKFPGFSGNNSQQ >CAK80056 pep:novel supercontig:GCA_000165425.1:CT868352:237831:239151:1 gene:GSPATT00014963001 transcript:CAK80056 MENQIIDDTERDQIIKQLKLVQGNDKCIDCGKKNTKWASVTLGLFLCIDCSGKHREYGVR YTFARSLTLDSWSRKQITFLQVGGNEKALEYFQSVGLIGPGCSQIDYKSPLVEKYKQELL KQLNIIRPSLIPSPVKIAQTSEKPTQNKEEESPVKEQPKQVFQNNLLQEEAAVTKKSNKI VFADNAKPQAATSKAVQGKKLADVDFDSLQFDDPFSNPFSNDPFKSDSSKPELPQQEEPK VIIKQTQQLTQPIPQTNETLEKLKDKNVKSISSETLFQAQDSEQNKQNIYKFNGQTAISS KQFFGEKEEDSEDSSQKMDQFKNMFNFATEKTLETFGTVKERAGGIWENLKTRFNK >CAK80057 pep:novel supercontig:GCA_000165425.1:CT868352:239520:241103:-1 gene:GSPATT00014964001 transcript:CAK80057 MLQEQTKSLNSYPNLQVLCKKDEKQKQSLEKLLKHKPNVVKSLDIYAQNIEEYLKDQRKR IAYLKKREEPYYDSRPIQAYDEALIMQYNRVKAKQFYLQQSQKKLSQHPSPEQQRNRTPS KYFDKNYTPLKRNERFEIPSLQPVNVSPPKELKPIQFRYNYTMQVNDPLLRQVLSTRQQW FEVPNSSFAHLQWLTQLLDFSCLTKQDAVSKRQMVNLMEFQHEWSAKSQLYNNLCSISPE QALKVLPPGFVLNFKISQWVEDLDFLQDYLIANFPQKDKLQKFPFSVYVPNKGGYTYNKQ ISRLIQDIPKFWICKSSVGSGAMLIDGIDQLQTFLNQQYKDQKEFVVQQYITNPLLYNGK KFDIQINVLVNQENQIYISTEPTGRCCSVNYDLNSLDPFAHTKPKQTISHQNLVEFLSSQ GITLKDLLNQIKSSISLLPCKLNRRQRKYCMQIFGFDYLLDDTKKIHLIGINANPIHTFA ELDGAFKLTIDKIFPINNKSDDKSIWTSLL >CAK80058 pep:novel supercontig:GCA_000165425.1:CT868352:241221:241789:-1 gene:GSPATT00014965001 transcript:CAK80058 MIIEYISQRDPDHLIRDKNLSEKRGKRFFSLINQDIKESILEKITNCDLKVQNLPFEKDS KATRDLFMEYFLTFKSSLQITILCCNRDSYSIYDSMVILYQIIQGFPPLKLKIHNNWVQI YHSNLALTSKFEFDRFIQLVTYSNLNRLLLRQIQGLKIRNHLIQRKEFIHKQQFSIQY >CAK80059 pep:novel supercontig:GCA_000165425.1:CT868352:242146:243269:-1 gene:GSPATT00014966001 transcript:CAK80059 MDSIYKTANIKDFYKLDKILGEGSYAIVRKAIRKSDNLEVAVKIIDKASLESDDHLAIQS EVEIMSQIDHPNIVKVLEVFDDKSKLYIVLELMTGGELFDRIVEKELYNEKEAADVIRPV VDAIRYCHSMGVVHRDLKPENILYTTPDPDATVKISDFGVAKVISDELMLTACGTPGYVA PEILTGVGYDMAVDYWSIGVILYVLLCGYPPFYEESNEKLFEQIKSGKIDFSGEQWDKIS KEAKDLVEKLLKVDPKERYKADQICKHPWITGEKALTKDLSYVTEKLRELNARRKLRRAQ LMVLATTKLQRRIQQHQQKN >CAK80060 pep:novel supercontig:GCA_000165425.1:CT868352:243314:243799:1 gene:GSPATT00014967001 transcript:CAK80060 MTLVLLEIYNTSKKITNLVEEQLSIYCPQHIIGCSLTKISVKKVTQQIIYIKQKKQVSYC VIQVIDQILNYIVIEVTLSPSHLLLEIINEQFLNVELLSLQAFRLSTFKYLSQETKDLLS IIYPKTKNIRLIVLQLISKNINYIIVLFLFELQFLSHSFSI >CAK80061 pep:novel supercontig:GCA_000165425.1:CT868352:244481:245128:1 gene:GSPATT00014968001 transcript:CAK80061 MEVFTLPIFQKLNKMQSCLEEEIYEPMEEPQQQPTCDECKKVFTNNSKLQRHIRETHQNL KLFRCEQCGKEFKRSQHLKRHQLTHSGSRPFNCECCPQKFTCKHHLKRHMQLIHEMKSYE CNECDQKFGKKRQLKKHQQEDHHKKQQQNDLFQCLKCNKVFQRLRSLRKHNLIRHKNIRA FGCEKCNKHFAHKQTLNKHIQRCHSEI >CAK80062 pep:novel supercontig:GCA_000165425.1:CT868352:245152:249150:1 gene:GSPATT00014969001 transcript:CAK80062 MKNMMLLLLNLLLVTHSELLPKVDQCTCKQILSSKDCAQLTKCQWNSASGVCEDRKSTDL ESYCSMNSSNCPTNGCALYKGTCRPFSGCSVYQGKTHEECQKITTLCTTNGENCIMTELL CDNFNDIQLACQVDSSGYPCYWNDENKKCYQIQNCKELPSTFTTHQSCYDAGQIKQLKCT AKEGGGCVDITSDCGSLQRLGCVINQQGSECFWDGSLCKDKICTNAPTSYTTHAQCQSYL NTCSLNQDQKGCIDMPATCDVYTSEPQCISVKDTLCFWFSITSECKSWICENAQSTYNSD ILCRQFKTECTVNNTNNGCIKRLESCSQYTTQNQCVSVLNDQQTCYWNGTKCVDKTCANA VLAKFDQVSCSRYMSQCAAVNNKCTLKTCSTYSSEKQCSNDYLNNKCAWSGTCTLKTCEN ASDELTSHKDCQQWLDTCTVQSDLKGCQNLEQVCSIYKIQDQCYLASNTKYSCLWISGQC VQKSCSTASLDISTDEECTSYLSGCMISNQKSGCVSRKATCLELLEYQCSVTNTGSLCFW NGSQCVVRQCNQVVYNTFKACNTFLSTCTANYDGTQYNGCVTKETKCNQYTNEFMCIESL QEGKCIWNKKATPNACEVRSCQNSDQITSDEACNNFLNTCTVNSAKTGCIERYQKCSEYG NEINCRYTKSGVECIWYNNACVDKTCDKADKIYTSHDECQSFSKNCTTNGKGCIKIEQCS AYTTKSGCIIDSSNKLCAFQPSCNLQQCSDAPQSYSTDEQCKTYKKECTTNGNGCVLRTQ CSDAYIEQACVTDSNGNKCTWFNNKCVGYSCQSAPTKYVTEMECQLHKLGCTVNQSGGCV TKGLCKDAKIQSACTTDKYGKQCRFTKDGCRDIVCSDVAYNNHYDCANFDPSCSSDGLTC IAQANCSTKIQSGCFLGKDGPCLWVRNACYQYSSCTSLQFQTHDLCYEFSNECTTDGNTC IPIDKCEKLPQQGCIQGTNGKCVFLSEKNKCVVFNSCNSVEYSTHEECQKVSTTCTTDGT KCIDLQDCSSYKQSNCYLNSDQENCYYDEKEKKCVDLQCSHLQFTTHDECNSKLRTCTSN NTSCISMDKCETYTKDYCTTALGSDGKCKYDPTSNKCRLIKCTELVDNCTQISKCVDSGI GCVEQLTCDKYQTEKGCKQAGTDGFCVWYLDNGEGKCKIMSACSDASTNKEACQSRSWAC QWTETATKSTCAQHTCSSKSKETGLCLPILDFSQKNYYLCALSSDQCISAEKSSLGAQTC FQSTAYTYTWDSANSFCLQCGTKYTNTSNQTNTPNNTNVTDSDNQVNILFPLLSLIISIY I >CAK80063 pep:novel supercontig:GCA_000165425.1:CT868352:249155:250104:-1 gene:GSPATT00014970001 transcript:CAK80063 MGAQCCNNQSYQEDVEPCDDTELNHLDARQIIIKYNYKKKQREMNSSQTTKTQERTKLLA VTLKSGGEYLGDWVGNKREGYGILKWPDGSEYEGEWKNNRAHGQGKFIYPDGDYYEGQWE NDKQNGRGIFQSTSGCKFEGQWKDDLQQGFGIETWEDGSKYEGYFYEGIKQGQGTYVWND GSTYTGLWINNKRHGQGCQVWKNGKEYQGEWFEDFMCGQGQIKWPTGYTYVGLFNKDVPN GYGIFKWPNGKTYEGSFKQSKPNGKGKVILSNGQTRFGEWHEGQLIKWFDNTQDDQKENF NVLNLEDL >CAK80064 pep:novel supercontig:GCA_000165425.1:CT868352:250550:251498:1 gene:GSPATT00014971001 transcript:CAK80064 MNKLNKPESRVQRNIRVVMTNIKEEQKQKVVKYLSQLKEEGELAKPRYAHSQFPQQKFNQ TVTEPSLIDFQLDNLNLKVSKVGTLVEPMIPIVEAPHKPIIKKFKALKHPVFLTENIVNT IKPVERISELPVSIKRSTKQQMMNKEILHRLGLLSVQAKRELQIEKLSNRALKVSKNKET SSLNHKQLEAITLQLKENEKQEQVQSAQRCTTSASGASRKAYDYQDEYLVDCLTERLDLV YSVQTFKNRPQTRITKRKIHNFFKTVTMAHLDNLDEIKKDDVIYQREFATVQTTATGLQ >CAK80065 pep:novel supercontig:GCA_000165425.1:CT868352:251577:251883:1 gene:GSPATT00014972001 transcript:CAK80065 MTDIKKLYCRFVTGMTVELDIRQETKTVNQLKNQLSEVINLTPERLYIGFETTLLDDNDY LSAKGITDSDTVHVITSQLTEKIAYIKK >CAK80066 pep:novel supercontig:GCA_000165425.1:CT868352:252024:254667:1 gene:GSPATT00014973001 transcript:CAK80066 MDLKNEKYNASLTQIELTVETLTNILFNYEFFKRISGFLSLKDVLTLGQTCHILNEIVEK HKEFFGEIYYKLFLHEQLEMTLELSYPDIQKRKWIRLQNFDNSCFDWKNQLKKMVVTKQT RLSIVNRQTMKFPFILCDAVFPKPILKRETIGIHCESEFQIMLAQRLELEQKGFDKLFDF QFIQNELMNELKQNKLQILAEMQAKLQHNEKLKSQFLQFRWSLEEELCEYQQLSLQKMGI VDEEFEKQLEQLDEEQICILTLLNEFYTSLECYLEGLQKYFSVFINANTINSIDLLSEYV MYWQAYSNAIMDLSMIIFPFENIINELHQNVFSKYPQYPKFSIWRVMTKMWIKYIIRNEQ LQPILIQCYLRLLSAQRQQMFKKEFDQGVNDDLETAQSFQITYEIYDNFLLKQRNSYRDQ YQIDTSHLFYTEIVDLLRSFTRCIQDISISEVSVHWVGHKDCCYEEFYEQLSEKLQYETS FYYDESRQVFGSNIISFIEFIKFDKEFLQQIVPEPLMFKIENLQREHIYTSLYYFLEVSY LQKFVQTNKDQIMQVYKTSRPKSPKQERISITSSQNNEHLETQGDSNLNDAQKFFNLCLE NSNIDLEDLLIKEKNRYQQDPLLEIIKVALSQMNLEQLASFDDTYQFGSSQEFLDFNRPP RIHRAFSNTKLNAEQTEVPEEIIKAAKQFLLTDAQFTKLYQVFNEYTVYYEKMLLQVVTK DRDVELINSDREVPRILPEYLQSFYYVSRFLTNTLLEENIVEDPEDEFEDLDLPPSLSKN SSKKLKKNSNQIEYYYSEEEDEISYNDGA >CAK80067 pep:novel supercontig:GCA_000165425.1:CT868352:254774:255704:-1 gene:GSPATT00014974001 transcript:CAK80067 MVLRRFTQQYKSVYAFCKVESYTIKPQNTVIDYKTLTYNPQQKIKFDSSNKSLLYYYKPL VWTYQFQFWMYLFPMVLLGNLIKHNPFYSTFPAALPMMFTVEFWLFLRWFKKFKYLRYVV SEVYLHKDGQTVEVVFEKQFWRKVKEQNVTQIFYVTNLKTLSGNDKRPLKGNVFPEEWPQ KNEMTKRWRWSFVKYYLNQNNFLVFPSNPNYVNSEILIAVMNGKIINTAKQYVEDVQGDM LEMVQEGKIKSDTLI >CAK80068 pep:novel supercontig:GCA_000165425.1:CT868352:255773:256196:-1 gene:GSPATT00014975001 transcript:CAK80068 MFAQPSGAKSSAQSTPYKKQYIQPEQEETICEDESQINNILKALKQQYLLMDNTLNEKEE EKSQILEDVQILNQRLQQLNKSIAIKRQKYEKCDRTLKEAESAFATIADSTKSLLQIVKS NIGDMSKKQKI >CAK80069 pep:novel supercontig:GCA_000165425.1:CT868352:257345:257566:1 gene:GSPATT00014976001 transcript:CAK80069 MKDTNQSNYLVDGKGRHNYLNNFNGEFWILNQEQATYTETGSQPIVDIKYHLILEWIQDL KMQNDGSVIEQIK >CAK80070 pep:novel supercontig:GCA_000165425.1:CT868352:257608:259958:-1 gene:GSPATT00014977001 transcript:CAK80070 MISFELSNILILVSTGIGLLWAVYNAYKLNRIKIGTPNQYNNFQDDYQDHHSQLLLEIAS HIESGAAAFLAAEYRYIGVVIILLALLIFFIVEPVLGQAWTTVAFLVGALTSIISGFIGM RVATFSNYRCAYCAQTKMTDAFAVAYRAGCVMGFALVSFALLSLTILLGIYINWFIKDYR DFQQLFEAIAGYGLGGSVIALFGRVGGGIYTKAADVGADLVGKVENDFKEDSPNNPATIA DNVGDNVGDIAGMGADLFGSFAEATCAALVVCSVSPSFYYHPTTFYYPLLVSAAGILVCF IVSIFAFVGEKESFDQVSNALKFQLILSTLLMLPALYYVAYLTLPERIFGLAPVDRQPIH AWLCTVVGLISGCIIGFVTEYYTSHSYRPVQEVAQACGTGAATNIIYGIALGNLSTIIPV FLLAFTAFISYSLLSMFGVALSALGMLSTLTIGLAIDAYGPVSDNAGGIAEMVGYPQDVR HRTDQLDAAGNCTAAIGKGFAIGSAALVAFSLYGAFITRASNSLNKHPLTDLGVNSPLVF LGLLIGAMIPYWFSAFTLKSVGRAAFEMVEEVRKQLAERPGIRDGREKPDYDRCIAISTK SSLQEMFAPGLLVILVPLALGLFLGPTAVAGLLPGILVSGVCMATSSANSGGAWDNAKKY IEADLCEIDDIIKGKGTDEHKAAVIGDTVGDPLKDTSGPSLNILIKLSAIFSLVFAGVYD KSAWLLCAMTTSSSGCPA >CAK80071 pep:novel supercontig:GCA_000165425.1:CT868352:259996:260520:1 gene:GSPATT00014978001 transcript:CAK80071 MLQPDFKHQTQSFRRCGLHAVNNLLQVERYTYQDFENIGKEIQKETNLSHYTYFIGNYDL NVLERVLQKESLEIEWIKKNQIIDEDLIADPQVFGFLISLTKQLNFIERVCQWDPRHWIS IRKLVKSNGDFQFYYHDSQNKSPQIKETPEMILQLIELQKSKNEDNFILLVKKR >CAK80072 pep:novel supercontig:GCA_000165425.1:CT868352:260593:261283:-1 gene:GSPATT00014979001 transcript:CAK80072 MLINYKGQEIKVGNIDFNSLIEIIEARFAYELQSMYQVIYQDDDDSNPVLIVDDDSLQGA CNTLFIFQVNLCQTKNTQLVLEVQNANIDASNYILKRQISTEKSNIYQESLHQINEKLKE YKEQQKYQEIQESIYEVKDEDLTIQNIMQYYQNKLTKFVANYQKQSNEHKAKHYQQYQEC FGSLLERMNKKLQNQFELITQQKKEIRQDVENLNEFKQFN >CAK80073 pep:novel supercontig:GCA_000165425.1:CT868352:261296:262066:1 gene:GSPATT00014980001 transcript:CAK80073 MDEDRPKTSSFSFSRFGRRLDQRKTTGTRFYQQNVVAVPPIKLEKKATQKGDWLFRRIDR SQGSIYSQQQQVTQAERDYKPHFDIIEKHIPTISFEKQTKRRSMSLSNNQQDISNVKIPP PKVKMMIPFEKQEGRKDVQRDTVDKFYQPMDQMKNNNAHSFESYSARKPLVEKDAMPDYE IQKSFNYIKSRRQTAVDFGKSSQQKEHLPITCLLDYDVKSGQKIRTIQFNKQIPREKKAQ NEMFIKLFQRLQAKVN >CAK80074 pep:novel supercontig:GCA_000165425.1:CT868352:262245:263601:1 gene:GSPATT00014981001 transcript:CAK80074 MSEKKAAGGLQGVVAGQSAISTVGVGGIGLNYRGYDINDLAYKCNFEEVTYLLLKGHLPN QQQLDELRTLISQKRQIPCKLKQILETLPKESHPMDIMRTVASLVGILEPETKPGQEYEI SIRLISLFGPALLYWYHYSNSGIKINENTGKDSIAENFLKLYHLKSEIDPLVVKTFDVSL ILYAEHDFAASTFAARVTVSTLSDFYSGITTAIGTLRGPLHGGANEAAMQYLEPLRSIQQ ADQFLNSRYQSKQLIMGFGHRVYKKEDPRSPIIKDFSLQLSKTANGDPTLLAVSQHIEKR MIEEKKIYPNLDFYSASAYKQCGVPTPMFTAIFVISRMTGWGGHLIEQRSNNKLMRPVSD YTGPAKKQFVPIEMRNKANL >CAK80075 pep:novel supercontig:GCA_000165425.1:CT868352:264010:265786:1 gene:GSPATT00014982001 transcript:CAK80075 MIRVWQNKSDTEDAPFQHNYLPTLGLNLVKKEFAYQGQQIKLCLWDTAGQDKYFSLTKNY FQRADGVIMVFDIADKDSFNRISDYWIKQVQECSKANSQTILVGNKIDLCEKRQVKFLEV QEFSQKYKLPYFEVSAKTGEGVQEAFNFLSRKCTECIETEVNEVQHLQIDTDNKKRGFQG CVNTIMVFVQFIIEHLEEMIILHLIMSMKKTPNQSSGIPSHNSIQKGPKFIKDVTNKSRS EHNQSFDATYSKNYAPTFSNQSRLKSYSQQANFLDDLEEEQYPNQINVAIQKRPFELALQ NELQKMLSKIIQGQHLSIEDCQSIIRAIDECIQESLQTLRLKDAEVQNVKQTYELKIHQY ENTILALENEVQELKHMITLNPNDSINFKLQQLEQENEMLKLQNQQAIKLAVQGRTKKQE KELYEAMNSSKSKQKAQVNNYSILLNQQQQQNLLIRQEDQIIVILILIIFHIFNQFQYES INLSKNSLIFMILNFDSIFNFNIQRPKQVIRKISFHQSQYLMESLQNIPKLVLIS >CAK80076 pep:novel supercontig:GCA_000165425.1:CT868352:265877:266496:-1 gene:GSPATT00014983001 transcript:CAK80076 MSSDELDVQKNKLREQVIHTETLNMMAKYQLVFLKMEISIRKLALIIKLKGNQQKFYAFR KLKQSNKYSANHFKVLFTQIALKYKQVGAIIQKKQKRNLHFAFLKVRLQFSRNKNDKIRK SFLQVIASKETEIKNLQIKEQEIMENITNQKLKEQDLLQKIKQKELIVTSLENELRRNSA NKTIDSKVNLLNIEVKKY >CAK80077 pep:novel supercontig:GCA_000165425.1:CT868352:266604:269170:-1 gene:GSPATT00014984001 transcript:CAK80077 MDLPNHRYCKPGKNAIKISISFMINAKGTVSKDLLQSQLNLKTQTDQKQMRNSIFIQTVD DLQKCDLPTLQELYRTNQINSPNWKPTGLINLGNSKISFTSLACYINIMLQYMFNVTPFR QLIFNVQLPSSKNNGQKVIQKLKELFALMQSGNRNYINPCELITSLQEYKPNILTIKGEQ NDYNELQLMFLDAIESSLLDLNNEQVIQEFKSIFYGQSEENIIVNNKVVKTNPTQYSSIT IEANEENLLKAFTSSRILYIDDYEISEKVFTKALIHQNIKTVPKILQFYLNRVLYDTNQN QLIKNNKVFTFPSTIDISQFIQDDSINQSKEMQELLKKEQEILQKLEQCGDIQSDTQFEG LIKLFTKQPNEQFIIDPQPNLQSHLEMAKQLSLYQEAFKIKKQQLQMEFIKIEEQKRRVI EAKKTIYQLTALLIHSGTALSGHYYCFIYDGKFWWKFNDRVVTQVEFPVVFAEAQGKTNM NTNVSGLIYEHCEQINQKRLVPLGNELEKFIEQKNQELLMLFDQQKVLQIKSRILQRAAE HKIIYPKSQIGIALTKYQYFEEFLQGNSNRHYFVRYTILDEELKILNPILKYPQQINEYK KYFISNSGIFPQNFDQMFQQDYSKYTFINNIISNFQVPSIVTSTNFQQVITQFQKYCLQL KNLDNSVFEYLTIIFQAILLQGCFLCELLIQKSKLQEGKQLTQFIFETIKTSQFIQAIIT QQLRENMKSIIEELEELSKKQGQISKSNIASPNIVVPDSIFQINQNKIKDLSDVIQKFDE LEQNTQVIDYIEFVSYGLAL >CAK80078 pep:novel supercontig:GCA_000165425.1:CT868352:269377:271566:-1 gene:GSPATT00014985001 transcript:CAK80078 MKEPFLVRFVVLNNFATQYQEEEIKLPLKKINQMYTITKERFIRFLEKRSLLQPSDLKQI HFEFFDKSQSKFQQEKQVFDANNNLFSFPQEFFGMPETLEIKLIYEINYWNIKGFDIDFL ECEEKKEKLNQEQQKLMNELDKQKQLFQEMKEDLNDMYDLAKEQIKIKKKKEVDIVVLYS SPLIQLENNIQEALFTDYEGEIKNIEAEIKNSAKEIEYEILLATRDNLDEAMKWNPQILH LIMNGDYDLNSGYYFELQEGSLVEKLTLDGLIQIMSYHQRKLKRLRLICISSMIAKDIIQ VPQANDNNPYLIENVQNFASVTFVGQVKLFNQDDNLGNQFWRYFYKQLIENKSFKDSYDI AKKKVDELFKVYLKENPISVKICCCFHEHDQECLKDPAKIGYTKSHQLHLKCSKQRFNHF CGKQYKSVEQCEKGCLGQIYHDNEDEQCQVNEHNCNNYESRFDDISISLNIKNNQTLSSE ILNINIPIGLQIQNKEKEKNVCCCFEYHVFQNKLNDNYPINQNKYLQKQHQLSQKFVIRL VKGQNQLDMKELLSFEKKINYLQINKQIIIIHSQDCSKENFSLLIQILKKYFEKYYTNKN LELITFSNEDHPQSIQELIQNVNQFCQKYLSNDKTFKILCLSKIDNYCKDEQFKQFYENL LDQFQSQENLVIIIEASYNNIRYLKQSSLEDFFQLINYDEIKEQLIKLKCEKQ >CAK80079 pep:novel supercontig:GCA_000165425.1:CT868352:271744:272110:1 gene:GSPATT00014986001 transcript:CAK80079 MLIPPKTKRNQTIDQGEYLFQQRKNFDPYIEMMKKQQQQEINQFRKAFANIASSRIHAQH MNEKRDQIVLTVHKKKRIQAKSEFKLPTLGQGERTERVRIKFPPQRIKKIFV >CAK80080 pep:novel supercontig:GCA_000165425.1:CT868352:272246:273207:1 gene:GSPATT00014987001 transcript:CAK80080 MSAQVLFRVKCSTEFSQMVRIVGNTPKLGNWNPQQGFKLITNNEMYPIWYGDYALEVELN QLVEFKIIITDGCNSFWECGENRSLQIQGQKLVVVLTYNLPSVFIYNIKRIFSDSDLTTI AQGRTRKVSIQLKDKLYDSDDDSDSEQDSDVNSLFQDEIISCNSNETISNSPNSSHFEPI FQLASELGTGEN >CAK80081 pep:novel supercontig:GCA_000165425.1:CT868352:273918:274356:1 gene:GSPATT00014988001 transcript:CAK80081 MSSSLESQVLFAIWELYQQNKISLEQKGCIKDLLIRKDENFYSFIGNCQKFDELEERLLD ILNCIEFLFFSDQGSPRDLDAEEAICPIIRLSNPSNTPTTIKSFQNQPQKRFRFMSSSNE SEIAQNNQKQRSNSFHHQ >CAK80082 pep:novel supercontig:GCA_000165425.1:CT868352:275416:276328:-1 gene:GSPATT00014989001 transcript:CAK80082 MIKILILLAQFLEFLFSVSLCAFMKIGREETKMSGRVLKFAYRVEKNLLYNYEFGPNTKD IRLCLIPFLLPTIYKKIINIKSSFSFYELLLALIDVLSLVDYINTTESYQRYCLVYTIRV IRTMMMLFELVQEITKMVILHYEQILQNRLLYMQQKKQCIKNSNTQQQQILSIQQQQILN EESDSDEQTKLNNIKKQQQQQQSIIKRIIFPQMKIPCFLLNLIINQQQYYIQIIDPWSFD NSFSQISIREQLLIMIKLNYCCQIYQTLKKTKCNQKALHKYRELIAEYLSQLIWMN >CAK80083 pep:novel supercontig:GCA_000165425.1:CT868352:276784:277507:1 gene:GSPATT00014990001 transcript:CAK80083 MAANKFWNKKELSSLEQQVGLALTQIEGTIAEAKNLRLSSVVDFTAKINAKKQVYLVFIP YPCLSIYNKISQKLLPELEKRVKATILVAAKRTIESKWVKKHRSQTRPNSRTLTTVYDAL LEDLISPSVILGRRTRVRVDGTKFYRIFLDESDQKELEARLESIKDVYKVLTTRDLEFEF RRDDTFYQKKGAKKVAKK >CAK80084 pep:novel supercontig:GCA_000165425.1:CT868352:277528:278445:1 gene:GSPATT00014991001 transcript:CAK80084 MQRVVRFGCSTINKLESELNKIEKISFKERYLSFQREWNEFKNRAKEMYLFALINLIYRP PRMPTNRKRFNLLAKHIEYLSENEFQYLNYILQKQVLNKPDYAEFSLGISKDDAQVGPGV WPTAHPQWLQQQEIIAKLWPLGQQGIATLFSEVVGFGSGVGAGGAQAAAANSEASAKEEK KEEAPKQAPKEAAKANYDVILDSIDAAQKIKIIKDVRAMFNLGLKEAKDLVEKLPANLSK QMKKDDAEELKKKLEAIGCTIKLV >CAK80085 pep:novel supercontig:GCA_000165425.1:CT868352:278488:279029:-1 gene:GSPATT00014992001 transcript:CAK80085 MDQGLDPGMLLAELKKQDEWAKAIIFDEDLNVITHKNCAATKEELTPYLKAYDVRDNTIG AGFVLLGEHYEVHRWHPPLVYGRRGDADVGEGISLARGICKKLNGKRVYLLITYELPIVS ARAVPQQINFYNQFIGELEKFDIKQQ >CAK80086 pep:novel supercontig:GCA_000165425.1:CT868352:280095:281846:-1 gene:GSPATT00014993001 transcript:CAK80086 MERRRLFIRGVKKFDLFAQPIQLLVNEKEYHQTIFGSLLTLGLLLLFSNLLYSKLISLFD HSNPTSLSSEIYHSQPEIYQLFPQNFTMTFAFQNSTYHTYIDDSIYVVKAQLVHKYEKII NGSKKEVWEKSELPLIECSSDMIKQKDLSEYFSHLDLPSNYCIDWNKIDKINLEGTFDAP VYQYVLIQFYICTEETKKGKQCQEQQEIKKALEQNYFSFQISSYTTNLMKPNSPYNPKGQ DLFTTISSNIYKEISIYLEPLTTVTDVGLIETDLEYYKTLRYGRHTEMLDLSSNDLIMNV VIRLDTTEYVDYRTYSKIQEILAELGGLWQVLFSFFYIISKPINKISRYLELINSLFELS DSEDGDDNQCYKQYSLFRQGQDASPLSIQIPKINENQQKDGFTNKLNQEDPQERKRTLIK PQKTILTTYAEYLQKVIIRKKKKLQFGYFEAFQKLKCIVTQDNDRIKQFHIAQKQIMGQL NIVDILKKLRDVEKLKYIIFNENQRLLFDNFPNIQNQQIEDKHDGFEQQNKPSAQDAIMQ IIGDQNNEMNQKLIASLDQTVSNLLKNCQHDHSEK >CAK80087 pep:novel supercontig:GCA_000165425.1:CT868352:282308:282760:1 gene:GSPATT00014994001 transcript:CAK80087 MNIYKLRSLVYVFDQEQWFKSIQQMLITKNTNSQYLDVIQQAYFQNINKIEEQCIRELQR LLLKDNDKFQSLRFSRIIHHYILKNADKIEGLEEIITNSQQLTIKKVMQQDNLMEILESL QFRVALMKSIPKQISRVYFEENIKIYNEKN >CAK80088 pep:novel supercontig:GCA_000165425.1:CT868352:282960:284351:1 gene:GSPATT00014995001 transcript:CAK80088 MKHFMRFSKISKAFQEVPSQYVYQINPIKNFEPIKQFRVIDLEGNLVAKEYNNIPKEILN QIFDLMISIEEMDNLLYMSQRQGKISFYMTSFGETATTVGTTAALQPQDFIFPQYREQGS FMWRGFTIEQIVNQCIGNHLDGGKGRQMPVHYGSKDLNIVTVSSPLTTQVPQASGAGYGF RVNGENKIAATWFGEGAASEGDFHSAMNFAQTLKCQTLFLCRNNHYAISTPTDDQFRGDT IAGKAPAYGMRTLKIDGNDLLAVYNGVKYAREQIIKNKEPFFIEFITYRIGDHSTSDHSV LYRSQEEIDSWKSGNNPINRLGLFLKKQGLRQFNDDHDNQIRKDVRNRVIAALKHGSEQQ SPSIQDLFTDVYDEVLPHLQEQYTQLREHLTKYKDQYPINKFKGGL >CAK80089 pep:novel supercontig:GCA_000165425.1:CT868352:284610:285308:-1 gene:GSPATT00014996001 transcript:CAK80089 MENPLLDSDIIYKNNTEYAFLAQVSYSKDLLQILIDEMQFLLKFTSDNKTYLKCSNCELL YVLQSQENLSIQIFHQKKAKCQIGNNQDQCLQCQQFKNGGCFKKCFKCHSNQIIGLENEK QRIFCQICFSEICKICNSNSEIFQQFSDKCTQNNATNCQRYIYVITMMILSFIFLPIFIV LNFKKQKFQRQFMEFHQYIFAKYQPVIILFFYQVFLIVYLVKVVSDTNKLCD >CAK80090 pep:novel supercontig:GCA_000165425.1:CT868352:285577:286844:1 gene:GSPATT00014997001 transcript:CAK80090 MQQQQVVLNFDYLRPAQNLVDAIVHEAQYIKRKERSKSQRRIWENVPYDAYEKEKMADFM KIVTKNKIALPENWNDSDTLKMVYCGKFKDKNYLKVLQSHLAWRAIPNNFQPTDINIAFL QKGIVYTHGRDKQQRPIIIMNLELVNLKQFSEEVYINALSYYFGIIKKHCFVPGKVENWV FIMDTKKLGLSKFPFKAIQIATKTMQVNFCGCLDKLYLLNPSSSLSFSWKMVSAVADADT MEKVQMLKPTEYTKIQERIVVNQLEEQFGGTCPNLTKFWPPINIAIPGGYTQEILMAVES MKTAEIVIQAEVPASEEDEMKLQEQISKMQIKKDDDDDD >CAK80091 pep:novel supercontig:GCA_000165425.1:CT868352:286846:289458:1 gene:GSPATT00014998001 transcript:CAK80091 MSFFRSKQMKYYSLVIPRESAWMVMDQLGRLGQLHMIDYDPLLPMMNRPFANYVKRCDEA LFKLIGIESLLKQFKKNLIHCEDTQKLLDHFRDIQNSRQKPGHTYFDELEQEIERKKNHV QEMSNNLQNLLDRVDSITEQKLVLEKAKEVLGNSIAIPHNVNDYQQLKFGQLIGVIDKED ETRFKRIMFRITKGNAWVNIVDLLPEKQHHQIKTQIDLNRAQQPRCLYVVVYPGMNDQST LKQKLLKVCDSFAKNRIEYPNSQEAMDNKLRELSIQINEALNLIQMTKKQLDVTLDEFVK EQNGCNCSYFEQLRLYVLKEKYLYVNLNYLMMQGSIFTGYFWLPEGLEAQVEDKLRNAMQ NSIDRFPTGQIQEMKPKPGDLAPTYFKLNEVTMPFQEIVNTYGVPRYQEVNPGLFTVITF PFLFGVMFADIAHGFLLLLCGLYVIVWKNQLKKETDSMFNAMIPFRYLLALMGLFAFYNG LIYNDYLSISLDLFGSCYYPKHEEWEREQNCVYPFGIDPVWLASGSSLNFMNSYKMKLAV ILGVIHMLFGILMKGANTLYFRNYLDFFCEFIPQLLFMACTFGWMDFLIIMKWLNVYPNG KDPSIIETMINQVLKPMDESATPVFPDNAPLQLILTQWLTVIALVSIPWMLLPKPLILGS SHKKHKVRSEDIDPQQFRKDLQFAISSKSIELFEQEHDSGEIWVHQMIETIEFVLGGISN TASYLRLWALSLAHGQLAEVFYDMCIAGKLDMGGIIGGLMSGYFYIVFALLTFGVLMMMD VMECFLHALRLHWVEFQSKFYKADGYLFVGYSYNKILTEHLK >CAK80092 pep:novel supercontig:GCA_000165425.1:CT868352:289526:290762:1 gene:GSPATT00014999001 transcript:CAK80092 MNSYQYVNALFTVQVTRKAFLADKVYQLYLFQDEIVMTENTLRQPKYVIKLNLTTTINWI VQDNKIQVFSIAYQNTVKAFHAPKLQILKEMIAGRVFYTSITEFYQIQMLIGHGMSGEVY RCISNSGEYFAVKKCEKLKLASCQGGIVSILPLFQPQLIHEPSLLQSLQHPNIVKLKEVY TDQQYYYIVTELIKGRALSTELQSRPYGLSVAEAIKIMLQILDALIYISERGIMHRDINP HNIMKSDTIKLIDFGLSRKIKNQLIFPTSGTPGYIAPEVINFNKGKLYDDRADVYSLGCV LYKLRESLSQTKNIFQENKEGVYELRKNQAHPEVSSIKMEQLFVLLPYMLENDPNNRMIA KVGKIVIQEIENNNQQIENQLRNL >CAK80093 pep:novel supercontig:GCA_000165425.1:CT868352:291350:292703:-1 gene:GSPATT00015000001 transcript:CAK80093 MKSFGVSSLLKVISNKIISTIKTSSQLKQILRNNNSSSFGTTRCSNIIDTNNKVIEGFIG FGIFYCYGMQESRNGLIVNKLTILFKFIMNNRIFRFQNTNILAQALTNKIHELEYQSKKG TKYPFGNNEEFIVIGQMILEFYFSDYLMQRGYPKKDSSIKKPSDLVLLRQRLLNDKNLAE IAIQYNVHNFVQIGNQTALKKNSKVLAETLKAMVAAQYYDSGFDLEHTREILQSILKELL DKGQNVPIAELKQNPKTSFLEYINNQTDLIPKVSVEWKKDENSINVYKIQLELDSYINIT KTGANKRQTEQLVYQEALKQIKYKLANQNQMLKKTKKNNPLNKALQYTNQITLLKMRANI FNFQNIMNLVNQIQTNHWVTRQSICSKNQHFEKQYLQTNTQNLIFQFIQEFSIQFHNLI >CAK80094 pep:novel supercontig:GCA_000165425.1:CT868352:292829:294124:-1 gene:GSPATT00015001001 transcript:CAK80094 MLDSNALLRAKRDQLRVQIRRDQLESIFKSKRVIDNKEQSTKYWAIHQELIHNIERQSNK LLQDKTLMKELFESNDKMALVILANSCASESDQTIYTLINDYQIHMYFMQKLQSNEDEEI RYRCYLGLANVLYSDSKIARSIKKQLFQSNILAETQKSLNNLQQDQLEHINAVFRLLFGL LDKINDCDCTLLIRQSQIADICIKVIELMDARLSLASLVLRKASEICDGLLELFDDDKLK YLLKQTEQQDDKQTCQIIRLIDELFMRSDVKLIIACLDNLNIDAVVKIALAQEDSYSCQA ALDFIYHLALKNNETTQSFAYLLYDDIIKLLRTSHSKRSIDICLKILSELIQSQILKTEI NVLNEIIFEIGEERYTYFDYQNCHLALEVLMDLKEHYGVEMTNERRKKIKQWRGCQYEQL EELISLILDEA >CAK80095 pep:novel supercontig:GCA_000165425.1:CT868352:294388:294824:1 gene:GSPATT00015002001 transcript:CAK80095 MSENNKKSVIIVKQNQKEEEEEESESESESSHCFVNFVNSYSRFINEQSDTSLEMGSVLN DLNESISNSKPPQGTVEDLQQILEITPQQINELAQQLKQLPDSKIYQCIQNIEKLNLKLQ LDLNVEMEKAKANGLI >CAK80096 pep:novel supercontig:GCA_000165425.1:CT868352:295412:296831:1 gene:GSPATT00015003001 transcript:CAK80096 MSPKTNIPLFNLTQIIVGIVAFSQGVQHLADLSIQYLYKDDFHVSPSKMGVFVGLSQLPW IIKPIWGIVCDSFPILGSKRKAYIVFCGFLSFLGWQLMAYIGVDNVYVAVSLLIMISSSV CICNVVGEALMVEQCANDHAANNVSVFFGFKAAGGLISAYFSGMLLMYLTKKQIFMINSL FPLTMALLSLKLKETEQTQQSQNIKEVLSIFWKFFSNPKIYQPVLLILAFMMVPSSSSIM FYFYTEVLHFTPRFMGYLKFISCLGTLLAILLYRNYLKDVAFKNIFITTTVAFFFCYLTT IPLVTRMNVKWGIDDRFFCLGDSVILQFIGELNLLPILVYACKICPKNIEATMYAMLMAT MNLGTFAGGQIGNLILYEMGINQQDYSKLYIFIALSSLFIILPLPWIHLINDKVMPQEQE QQSAQIEDIEEKQKLIAEAE >CAK80097 pep:novel supercontig:GCA_000165425.1:CT868352:297142:298385:-1 gene:GSPATT00015004001 transcript:CAK80097 MNQLIQQEDAQENQNQDNQNLKQAQQQIEDEDQKQQSYKNSQEEENAGFDSGHKDQDLQQ IHEESQQNSQKQEGKDETKRIPTQGEMETTTQRKDENRRLETEKQQRQKTTSEKTFKTLE QIYYKRNTQSFMHLRAPQQFDTKIVHDQLNKSHAKQQYTFPKAQRFSKPKESYCSMQFYD SQIQTQLNKRAAALGYGTKSDFTKRDKYIPGPTDYNIRLMPKPGVKFAYGRDEMHSKGLH GRPNSNPAPNSYQVKDIITTYKYTMGERTSAKHIYIQSTTPAPGRYNVGGLSQKGSYFIG KYKSSGAPVISPATAQSQRIKKIPGPGTYDPPGDIGDPRNYLPSQYSTRSGFRFGYQERQ TQEIKNKQFPGPGTYQLPSEFGDFEYPPQF >CAK80098 pep:novel supercontig:GCA_000165425.1:CT868352:298933:300395:1 gene:GSPATT00015005001 transcript:CAK80098 MIVRKFSSQLISINNPILQLLSLLLIRIRISSTNFEYLVNLYCQIVLNQKSKRQQYYHKK SNCHRICCSSPQKQYVQKHDRNTQNIAGMNREKYKFILKTTFFKHQQCLQNENSSISYKQ YRHLYLRMDEDDLPIEADYYSLKLIVNCLLYAIQSIYYLIIILIMQKKTPLNRQIVLEWF EQPHLEFAETLLRNYQFTFSKLPTQEEILQILCSVEKAYNSKRGAWTPCQDKFLNLAVLG TCLQHLMKPIELSSQQWEQISRLFRFHNWKACRNRWLEECHKKANWTPQEDKVLTQLQQF KPNKWCEIAIDLMRICKTPYIRQGKQCRDRWVNKLDPNIVNNPWTKEEELQLFREIELRG KKWAEISLKVFQLRRTENTIKNRYYNLLKQAETKMKFGRITKEQKNKALVDLVIKQLETS INYQPKQEETEEQEAKIYKLDQFNPTNLEDYEDIVVLVNKKLVKLNDQV >CAK80099 pep:novel supercontig:GCA_000165425.1:CT868352:300898:301387:-1 gene:GSPATT00015006001 transcript:CAK80099 MSQKFKVRKLREQKPEDLLKDLEKLKGELIQLRTVKVSAGNAQKLGRIGLVRKRIAKYLT VINEQRRNQVKAATKSSGKLPVDLRGKKTRAIRQRLTRSEKAQKTQRQWKRLNNFPLRKF ALKE >CAK80100 pep:novel supercontig:GCA_000165425.1:CT868352:301907:302362:1 gene:GSPATT00015007001 transcript:CAK80100 MNYQEGFQLEFQNSILKNVYLYGNHDKQFKPYKGDLPFGITFTMCNAHIVAKFGEPTSKQ GGGPINIGISYDHLGIEITFQTKTWDQPNVAIDQIILYEPAKQTICGICKKNGELRCGQC KLVYYCGVDCQKTHWKQHKGFCK >CAK80101 pep:novel supercontig:GCA_000165425.1:CT868352:302596:303876:1 gene:GSPATT00015008001 transcript:CAK80101 MQENNEQFENLTKENLIVQLKEKIKENKTITKKLDRLSEKYVQTYKEYKLLAKDRESLFQ AIHFALSDQQYQFEQKPLGQFDCNSIIDLLKQKDEDKSKALTNLIKETNNEKFQLEEKYR QMIEKQGSNSDQKTQQIIKQLKQQINDLDNNNTRLAKEIIELNDIIQIKNGQILKLSQLE DDCANLKTQLMVQELQQQQPDRQWKISSSDSLNESLKMKQQVDKLLEEIQKQSLKIKQLE LENANFQLVQKTQVRDNYSNHIGFTKTPNDYDEVNPFEQEITLQKQDLNQNILSNQKQTQ TDFIYQTKEELQSNNANFEYLKNVVYKYFLYQETRNYKEASILMNAIMTILKMTNDERRR IEQARERGFLKSAKNLISDGFFCLKQPQLNDMNFERPNSRIELMNKHMLN >CAK80102 pep:novel supercontig:GCA_000165425.1:CT868352:303915:304909:1 gene:GSPATT00015009001 transcript:CAK80102 MEFLSSNDKLRLNDMKLIEQEQYYISQLKDQREKMELLKIEFLKKDHVILELQGHLEQLQ DECEQLREIYQEHVQNQENEFYRLNKLQVEKDNLIKDNQELKDVIQHLRNENETLNSLQF KNDDQQRQLQEQLVLLAEENSRLKSEIAKLDELLFTYEPLKEENEKLNEKLRQYKHEKHK LQQELKQGKQEINKQIEEFKSGYVKDLKNEIQRLQEYKDQYQTIKIQIQDLEQKYVEAID ENNNLKLEIKSLYEKEESEIKIKSEIDRVRQDLMSVRYQETLKLNELFNGMISLSSLANS RDHFN >CAK80103 pep:novel supercontig:GCA_000165425.1:CT868352:305350:305840:1 gene:GSPATT00015010001 transcript:CAK80103 MEDKLMSQKEDIIEINLEERIQQQKRNKQNVRKGYQEKRQKLQAFLGDRHRNDNHTPGTI VDITNLHYIGLIIWEGEEGLGRMGQNGEISWNKVISSFFMHLMQKRAIESLNNTTIESLP MKAQLRDQTTRRGMFKR >CAK80104 pep:novel supercontig:GCA_000165425.1:CT868352:305841:306263:-1 gene:GSPATT00015011001 transcript:CAK80104 MARAQKDTRPIADQATSEAACTYAALILYEDNQEIVASKLASVIKAANLRVEPIWTKVFE KALKGKKVGDLLHGNTGNAPAAQSAAQTSAPAAQQAKAPEPAKEVKKAEEPEEDVDMGGL FD >CAK80105 pep:novel supercontig:GCA_000165425.1:CT868352:306313:307167:-1 gene:GSPATT00015012001 transcript:CAK80105 MTNQYKISKYQLQRIRKQAQEDAEICDLYNALYPKKDAQEPSNQSLHKPYLRLTQQIDFE KIRPLNILQEALKLFKKQYKSGEIDYQYFNDQLRSIRQDINVQNIENEFTIKTYEANALA SIDSLDLYTFEQCQMKLLELYLMPIKAKRKSEFLCYIILYHALKDNKDQLINIFNTQSID TENDLIYFALNMCSYLSTKNYYKVFRCFYYASNKMSKMIQPFLPQLRKRFIKEQKKGLIG EGSTQYLADIAWDGDLEACMQFISKEL >CAK80106 pep:novel supercontig:GCA_000165425.1:CT868352:307223:308115:-1 gene:GSPATT00015013001 transcript:CAK80106 MIDKFLEQAYQGELLNENAIKFICLTLKEIFSKEPNVKKIPTPVTIVGDVHGQLYDVQEL FKVGGKPPFTNYLFLGDYVDRGAHSVEVITLLSLLKVKFPNRVTLIRGNHETRGITQNYG FYMECQQKYGNTQAWEYFTDMFDYIPIACIVGTNLLCVHGGLSPCIESVDEIEHLNRFQE IPHEGAFTDIMWSDPDDEDTPGFKISPRGAGFVFGGQVLKEFLHFNNMTHLIRAHQLCNE GFNLKFEDRCITVWSAPNYLYRNGNLASILEIDEQDNLRVLKT >CAK80107 pep:novel supercontig:GCA_000165425.1:CT868352:308187:311865:-1 gene:GSPATT00015014001 transcript:CAK80107 MKKLKKLGQHLAFIEDIPHIIRRMFFTQGIAVSYEILYRLKVYKISFKRCQEYSQLVMDI NVKGESRELLKKLADLITISELLNEDITYYKETISAQDQRILQLESELSNQRQQVQQLQK VNKEIYDKMKQEAKEERKELETTLDVVKAQFSKLEDDFYQQIFNLENTIKQLNVKIVELE TGRASLIKTIHLKDDLLKKQSIHYDTKLTDQKVYWQNKLSEKTNELEQTIKENVQSWEIK CKCIQNDFDIYKRNVQEQIDQLNQLSNSREKDYEKLKDNFDKLLEDYKLKESYIQTHKDE NEKITGQLIKQVEILKELQINQEQKINTQQYEYNKILQQYKDGDSKYKKEQQRQSELQQE ISELKMQNQEQQLTIQNYHQLIKEIYTNAATFLGLEIKTQQYQQMLQFSLEYLLVLIKSI QEQKLEFNEIQNMYETQNLDLKNKLNKQCQVNSSQEKLIATLERRISELQLNIIKNSIQG SQDSQKKFNQKQVSYSIHKLEDLQVFPSILEQSDLIQQLSEHSRPNEIQSDKVEQIKTQI SEHIIKEDKYTQTDDLVIVTTKTEERSDDQLSENSQDLSNTPEQTENPDPVPEIIVEQKV VQQQNRRKTHNLFVPQYVPLLMGVKEDDPEENQIKNQDQAQSMNSEQMNDVKSIKSAKDQ GSNVIESLAVIEENNLQQIDENQVQNVEQQEVINDKELDTEQNQQIDEIKPEELNQNNQQ YSTPLLPKLDQNEMTLKDYIDQQAQQEQEEASLYAKKGDFNQELKLQQQYNDYSYSKARK LKLNQIIQAKRLSQKKQHSKKFKLKLDTTLNEHNHINQTPETIADTTNTKASRSTHIQTE WSLKVTPYATLQFQLENEQQGLLINKDQIKESQEFISLQKEYGKLQNRLVDIEQENLRLA LIIEYFQKNQPIQKIFQDSSCFCNLDEDIYEKCEQLDQQVKTLQMETNKLNETKVELEQK GREIENLQDLIANLSDQTMQQKQQNLQLKDELLQLQEQIKTINKQNELMSQSANASKEQN AKIKLHSNSIVVRKEIKEKPKPLTPTKSDQMDDLKQKVFQQEKIIRCLNQQIAKKDELLT QKQYHQENMAQLSQLQNANVEVQDLRKQLEQKKEQLAKCYTLIQYLEAELENYKSTCNLF TKPKQQNTERIIKSVQVTIPSIPQTIQKRLPYLQSDEQYSTISRSSYKKRYVHIIYITQK PFSVYKSV >CAK80108 pep:novel supercontig:GCA_000165425.1:CT868352:312063:313937:-1 gene:GSPATT00015015001 transcript:CAK80108 MELQIKDSSNVKCEAKVIGNYICNNKKYLGVGLMCTVYQAKNCDTNHYVALKQISKQAKL EKYLTAQRLKESYDLEIKILQKCQKSKNKNVISLLDHFETAEHYNIVLELCDCKIELLIL LENLKEYIQQKENKRLTEAEAVEILIQIVEGQKWYYHLNLFYSLHEINYCHRDIKPENIL VKDGVIKITDVNMAKYIENMLTTTRSYVGTSYYKAPEVDKKQHYSPYKADIYSLGVVFYE MLYGLLSNQDKDVDLKAKIETSTLEISEMLKQLILKMIKENAQERADWSQVSSCLYQYSI NKSTDSHSIQVISSFYFNAWQEKYQFFKQIILDLCLLQIMDEQIFLYQSGLLIIKLIIQL VEEKIVELQNAQNKADSEFYKSELILLSMYRNDYLRIVQNWYQKQIEEKKRLLCYSEQLK EEFRYIERSNQFDRHFQRYLVEFFIQLDGLEYKEDKLKVQKKLLQLKLIVACDQKNDFVR VIFQFQELVQTLLNSKLQSQGKYILSFVQQLQDVKNQNLQQIKSLKRDDIMINYSLLSYI FQQYLKYINIIQIESKYMINFVYNNKTSEISRNPITFQTKQYSENIIIQSVRARSGLMNL N >CAK80109 pep:novel supercontig:GCA_000165425.1:CT868352:313984:314850:-1 gene:GSPATT00015016001 transcript:CAK80109 MISEIIVDDIVRQIIHKARKLIREHKIDAKIPSFLKCESMHQIKSAINIYQVDCQNSSIL YDDQEYNIRPTIDSFQALTLKLVDKPTIDLGELNQNFSFSDHTTTNKSIIRKFSHKKKID VQVVNVKEPKPIEQKQQDHQEVYKKQMNTNSINRHSLVMKQQIRDLTKHMFVDNFDANEY RKKIQQQAENLEKEMKQCDKSFQIKKVSEKSIDNSSNLNQTVKPTTAPQGQRRPQYIINK KMIDLNVTLPQFKRQLTPVEHKRTSKVRNVSVA >CAK80110 pep:novel supercontig:GCA_000165425.1:CT868352:314852:315709:-1 gene:GSPATT00015017001 transcript:CAK80110 MHNKTTLLSEGSSSNVFLTEDPNYVIKEYKSFYSIQSRMKEVQILELLKSNHIVKLITYT DNYLVLERLQTHDLFEVLQSQKLNTPMIKEICKTLIKIINYVHQNQVVHRDIKLENILID KEGALVLCDFGFAEPLSNCTAKRTVGTLNYMPPELHQETLLGGHNSENINTQVLIKSDVF SLGVTLFQLILGFLPFKSTKPSANCKLWKLIQQKKWQQYWALVQKLSKQQIDLITQNFLE QFLQPDSTTRTTLDIIYDHPYLQEVKDDAHLF >CAK80111 pep:novel supercontig:GCA_000165425.1:CT868352:315784:318079:1 gene:GSPATT00015018001 transcript:CAK80111 MGQADSKQEKDFLRKIDSQYEFLKHLNDARYGDGKLFGKKNDKSQMIVLKNISVPDEASY QAWKNKLQMRQSLKHSNIIELKGMHNESYELESIQSEEEQVCSTFYKVNLVFEYIPQTLK DAIEQRKQAGAYYGEQEILQMLNGAIDGLAKLQEFKIAHQNLRVQTLSYLNGNVKVSDIP LLANITSFAAVLQDYGDASQGNYLSPILTKAVYESNHMPQHNLFKSDVYTLGMIFLQVCL LQPQDNCYDYFEGKINMQQLFTNIEQARTIYTAELVEIIEEMLEQSENDRPDFIQLRNKR KQIIPKQEPQFAEQNSPQQDEEYQMMFYKNNDNQFEVNQSVQPSYQDEGPIPLLEDNYDE LHPPLKEPTPASYNDHDILIHNADITRNSISPMKQNNSIGQIPKSQIQEIQVQPISFAQN TNILNQDLYRIPDLPKQNIKYDSQINTSVYKDYAQPKKQDAYTQSSLLFNNNNDQQKSNI QIQQYVTSYQPQRESFKATDYMDKFHFSSPNDIKVFEQTHHIDYNQPNRESYQYYSAQPQ SRFTSVQQQPLTQQSNYTPIQAVSAYLPKQNMSAYVNETYPNGQRYVGEKINGKKEGRGR LYYKEGGYYDGNWKNDRISGQGVLYYASGRPAYDGEWVDDKFQGQGILYNDTPKIEEINY RNLEDIGNAWTKYVGQFYDDNKNGQGTLYFLNGDRFEGNFQDDNVQGQGRYISIGGQVIQ GIWNFNRFVQ >CAK80112 pep:novel supercontig:GCA_000165425.1:CT868352:318121:319817:-1 gene:GSPATT00015019001 transcript:CAK80112 MHKHGKRLHMGSQNQQSLTSLNSKNANQETEKPQDQFEIVQQSFENSMQMNVKDHIDKLF NILNKIKDDLKVSRDDMNIIREELHKMKSNQYREEDQITKALLSDINKQNGELNKQKIDT QTVYGSLNMQISSLYCDKDFLNGNTTKVELDTNMVETHVGFRRVIHSNQIINQYYLTDIK LTMSLEQLQCIEDDRQYYQSISKSTNQQSHQSIATLQSDQSMESLEKLVSQDIAKDSNLK LELDQFIGLLQKVKPSNLKNELSVVMSTEQEEYYSFQQKQPLDKKEIMFEGFQKCYFEAI KLIGNLIRDSKEQNYIISYLEKMQMIYIMHIQSYQGMEKSMNLLNSLKQTLIDADQEITL LHNQTAEQQSILQKMQQQLKQNMSELNQERLNSLDLQQKNQQLKHMILVANKQFESLQEK LLQTEQELNEIVSTQSQQIKQLVQTNRNLREAIVFNEKKQENPIKASSSPLNSQRSTCNS NQENQYNQLNNQITIHSQRTQNLDKNNSNTSPQQSYRCTQNKREWNKFTKVFK >CAK80113 pep:novel supercontig:GCA_000165425.1:CT868352:320001:320458:-1 gene:GSPATT00015020001 transcript:CAK80113 MSDQPQLSNYFAEEHKLNLSRVGQESLKKWDFFSDPFSLPDKYLSNSQKHIKTETTDFGF DSIDFILGKQTDRNSSTSLKMIHQKTQSEKLIGKKVQFSELVQVKNDDGSESEEPIQVFS RKETRKSKFSTSGQRPHDSIRKQC >CAK80114 pep:novel supercontig:GCA_000165425.1:CT868352:320490:321535:-1 gene:GSPATT00015021001 transcript:CAK80114 MQQNQSYTKIKCLFKGYAGKVYLIERRSENDPPNKKHTPIVLKRYYRKVSINSNLIQPSD PQDHTQLNEYKFLSTLHHPNIVNFLDAFTEKFEYKKYLCLTMEYMAPLHELLFSISDCQL YIFRELCEALNYLHQQNILHRDIKPSNVFVTAEGQVKLGDFGISTQARDLMTPQTCTKNY RAPELFFGLKEYDASIDIWSLGCTLIELLTGKILFDGRSEIEIMSQIAELLGSLNDSNWK GVSSLPMYLEFVNEKQPKLPKILSTLPKYLQPLVENMLRMNPKERPTIKQVLQYINEMNI QDCSIQLASIAQNAMSASNKIKFQQGI >CAK80115 pep:novel supercontig:GCA_000165425.1:CT868352:321891:323253:1 gene:GSPATT00015022001 transcript:CAK80115 MVNLRLQKRLASTQLKVGINRVWLDPNEASEISLANSRMSIRKLIKDGLIIARARRFLER QREEADTPVLVREEVQERQECQLKFFGSEDKEFQEDCSENIELQRKSTDNNIMNFIWLQK VINTKTKKFLSRQFMKLNKKKLELIKSRRNKMTEEKRIRLKEPRKPKTNLLLNDIYIFST IINKLLSYLYNGLYGVLDIEDGQVYIVQSLIKLGDDSYFVYQKKIQINFQTMADPKQQPV QQPKGKKGKKTGEETTQQVVATLGPNVAGNELVFGVAHILSTWNDTFIHITDLTGRETLA RVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKLRARGGVDTRQPGPGAQA ALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK80116 pep:novel supercontig:GCA_000165425.1:CT868352:323253:324332:1 gene:GSPATT00015023001 transcript:CAK80116 MIFDLQPPSPSLSPNSKTRFYQEQLDKAHEIIDQLEVLLQEKEHQKKVHSTTYKNIEIPP LKLNTKLSVLNGERSRSYVTTPLQYSTPRDRRTRLSLGGQTSARFVEEMKNRHSELVLQF KEDNNQRECKNKELNDQIQELKSELIFCQEKQSITKKEKKQTEQKLEVLESQIQSIQMQL LLSKEKGNHLQQKIKEIKKVDDIQEAELELEEEINIKVQQQNQDSKLESEKKLQEQALIS QISQKQQDKSSHKKLLGSLQKEIQLLENRKQELQNQTTVSQFEEKQIEAKEDYFIDQQHL IVQVPQNQNVVLPSESGDQVNTFIEEDIHSIKSSKNKEYRQRRSCESCQIF >CAK80117 pep:novel supercontig:GCA_000165425.1:CT868352:324360:325804:1 gene:GSPATT00015024001 transcript:CAK80117 MIIDNEKQQIRELFANDQKYVQNEKKIQNDFIIQMQVAKYDSKNRDDPLLIHAGVTEQKQ SVFIIQGKLQNDNNVKSITYFMISQFNYSIILLREFFIIYINTELKTYLHLSKFYQIFKE FPCIYFENLQKIFILHGNIFIKSWFWFQSSVQIKVLKSKTIYLEKVAQLLNYQFFKKQQF EKMPSYCKDASYQQLQQAFIGNQNSVICFQQCQNQLYDLELDQYEFTNKGIPIILDLCIT RLLQDPQNLQLEGIFRLCSAQTQDKQFENYLIQKQYSEILDFENVLVIANFIKRVLDKLK YSVVPYQYYNQISTMKQYSIEETQVLIQQFPNLNRNLFTLIILFLQAVAQYSQVNKMGPS NLAIVFGISLCRPQEYDQSNVQEQYLKIKMVNQFIQFIIENASQIFPNQKISDFLLETEN EQIEQDEIQQQ >CAK80118 pep:novel supercontig:GCA_000165425.1:CT868352:325859:326200:1 gene:GSPATT00015025001 transcript:CAK80118 MKYGIFLLLLFRVNCESIITSIKNYTNGIIQSQVTQQNLMELAPLFLPLGIIFIILFQLI KYCFRRQILYDQLPQSEQQASKNRSKKIKIPITHKLQLILMRYYCQLMRLLTM >CAK80119 pep:novel supercontig:GCA_000165425.1:CT868352:326239:328384:-1 gene:GSPATT00015026001 transcript:CAK80119 MECPMCWELYAQNRVARNLLCGHTYCSICLESIYNVNKRIECPLCRTKHEPHVKPHLLSK NYVAMDLASKHLEVQQINSIIIRKKFELCPIHTKLPLQFFCEDDQTNMCTECIAEHYGHK FFKYEHSVSLQQNRLGKIQSKLKTQYEILEKQVKGFDNCKEQLQLENVKLMQQLEEQFDR LIKKVEQRKQELRDQQLKIFNTECEQIDQELAFNQSLLTNMASLTTKLDQKQGELKGVKA LKSNDLIKEIDDLEEQVDRDVAQQKQLKISEIKKLPKLVFDQKLINEISKFGQFKKDVSN PQICFFGDKHKILIYNIENNEWTFKQMPNNTLEYNYYAAAVSLPSGDIIITGGGVSRNTM LISPSKGFQSQALKSMYFPRKEHACVYLDGFVYAIGGYDGSAKQMLSCCEKYSLASDEWK IIDPLQKQKCAFAAAAAINKYIYVFGGFDGRERLNTIERYSVKENQWKVLDVKFKQGFSN AAAISYDDNKILILGGGSNQGFSYDLQVYDVNEQVVKTLSRMNEGRDLRNKLVIFDNNLF ACGGNNQSIEKYSLSQQVWVNLKSYDQLVQDNLDSWCSALTFELNSSNSISNLLKHNQQK QQQYQKYKFEDQMSFENDSFNQDAQSEELDDLEDYAYNQQAGGLFQI >CAK80120 pep:novel supercontig:GCA_000165425.1:CT868352:328984:330074:-1 gene:GSPATT00015027001 transcript:CAK80120 MNSEQQDINRLAKANFEQFLRVWWQSLLFGTKLVIGISWLFGFIDLLSDYAFDLFSNSPE QTIPFQIQRLFFSQFVHYYTIDLMLAIICVYKKLEELEQKHSSALFIILIVVLGCLTQFI CVLLQWILSFIYSPFYSIKAYGLWNYYIFCVIQECLTAPNGQSLFLIFPMQLKNQYYPIV LVIFFTLIQQSLTFISTGFLGILFFLFQNHFTQINHSTIERFEKCILLNFFTERPDFKKI SNYQDQLEPSVDARELQSVETPPAKLTLQGSPQQFRSSLVLSELQKEMNQKEDDSEQPIQ EDDEEKKIK >CAK80121 pep:novel supercontig:GCA_000165425.1:CT868352:330413:332147:1 gene:GSPATT00015028001 transcript:CAK80121 MLSRLCAQKFTTQIHGVPIKLLEAMKFDIPKVSEERYKNIRTLTCYLQDRLHDSQLMDFV RDVQLIKEKEYTNTLQLKSSIFRLFNQYQVLDLVPLALRIDLQELQPEHFYDDFDRIETE IKSEYTCFVQNRASLFQPQNKSLTDFIQYKRKHHKLKIEQDEKAPAFSQIIDDSLINTLL PRQEETESYNTSSTDVVRNQLVLARNSRLMNKHIKQKDESELRTPLQLKQIDSDGEIKET NEVCLYNLPYILEEKFKEDCREYFESRFGEIESIEYFEYCNFKKQIDQIATNKTDENKDF YKSLDQLSSTKKLLDRVKVNKNKKLYKSYAVINFKNKESKQNALYQDLRIFGIKFKDLQL RIDDADHKKLLYINNLSKFSNVKYLVEYLNMHLGIQFEPLDERIQLQNNIVCLILKDFEE TKEAFNKLNNLTFSRFKMNAFHYPDGLKYVGSRIAEHFNSNIALLLLEQNLQKLRFEMDQ YWKEVQFEKQDREIVTKEPHQPVRQEIHSTDMQQCIMEMIEESYQEEEFQLELFGLVWDE EAQY >CAK80122 pep:novel supercontig:GCA_000165425.1:CT868352:332219:335581:1 gene:GSPATT00015029001 transcript:CAK80122 MADFEFPTSLGDYKGEDQTKNKTAAQIYKYLTTVSTNLIDEPEKLNECTTWLDFVYFSIK NGNTTQQINNVLSDILKNQLDKAYLGTLKLGSERITVFLACCYLCWHNQIEQQEHIKVLK SFVKYIKISKLIQECFSMVMSVATSNCQSVIAHQIVAFLLSQNNEHYFNNLVVAFSAQNI NCVPLLRRLNRQKLENEDEDTQIRFQELLPTSNSTFIECVILEYLTTIKPLYAKTSREVL LQLVRYLPDFFTQNNILHTLLKLYDKEQWMIRNTVTMTLGELLRQSVFMEDVEEKLLQLL LLRTHDSHVHGRSCVLYALKELISSRSVKLNAEILYKAVERLKDKSSSARRAAISLLDSI IKAYSKQYGIMTFKSSQLEKNRKLLELEKQKLIEDCQNLQEQLNKNQIDIKYYLDNLESQ LNSCRDREQKLKAQQVFQNQISIAMPDLIKIALSNIHTESKCVLQVFTTLMINHQEGMIE YVKQILVLVYQAELQEDLNKLIFNSFVRNYSKLIKLVEICDMLEITCLEQLFKSFKQKNL QLKTADVWDKAWDYLKQQKYILPNLRLIRFIGIMDDAQLYDKLCYLLRHLKDTKQFSEIT EIILIIQEIPVEDVHQIYIKEIIKSLQNYDGSDLEWFRACDTFIRFTVEKIQKPEITLLE FLNSIPIANIPQLMFASSTIGLKLYVYSEKMIKQYRKEKTQPLDELDQMDNSIQEHMDGK IKELQDLFEKMGDLSEYSSSAEAICKNMITDSYKERNLMIDQISVMSLLQFMIISKSCTV RNIKTIMEILDCQQVQSIIKCNIITMLSDIYYRYSDLIDINKVLQKMDDKVLKVRRTAII IVSHLMLMELLRIDASQIAKHINDQDQTIKKHAKIFFVELYKKDSQKFYQVLPDLITSMA HNYQVSEEDFKIFAKEIIPLVQKDKLQEQILEKFLNRFDIFDREASLKNRSIMQRVQEAK EDIIPYNPVQLTAERECVYLSYCLLYLKFHLENLRLLVTNFPQYKKVLKNPIIQEQFKQI YQKCRKAASSCKMNARKTEAIQQSHEFSQLLTIFKTNLDSVDPQLEKLHSTSHNQPQQTN QQQVTKPKKIQTQYRPPKKNTKQQIRIPDSDDSSSMELEY >CAK80123 pep:novel supercontig:GCA_000165425.1:CT868352:335798:336764:-1 gene:GSPATT00015030001 transcript:CAK80123 MDNNEEHYEVEYVFGKRLDKGNVVDYAVKWLGYDKKHHTWEPMSSFSAASLLLIGRFERY LAYKNYYKLIAKKVEKAKQPKKPEEPIRVHIRNRFTQVQIKEAEIINIELDTDEIVQKKE TFQINDDDDDVQIVSVSFKPIKQSESKDLNKFKVQKVSQTLDFNRMMHIRQQQLNPIKIN TYKSKYKVEKDYLNEIIFEQRQKEKEVNMLKFTEINDKFEPSQPIKLASEYSQTEGFSTL QNVYRNQQFQHRVFNQKVPFEFILSHHQVQNELWFKCQSDEQEILFLDLQTLQQNYSTLL LDYLAAFSVMI >CAK80124 pep:novel supercontig:GCA_000165425.1:CT868352:336847:338412:-1 gene:GSPATT00015031001 transcript:CAK80124 MNSLLQVYIHIDMDAYYAQAEQKLLNIPEDQPVCARQWNSLIAINYPARDAGIKRGMLSE EAIKLCPNVMLPHVETFKVIDGKMVFSTLKDKYIQHNQYEEKVSLRYYRQESKLIFTTIK RFCNCVEKGGTDEGYIQVSEKELEDIEAQQFYGHLMKELPEDYQLTEQDHLLRRASLLCQ NIRDAIYTETKYKCSAGISFNKMLAKLASATNKPNKQTIILECMLPECISNIGINKIRGF GGKVQEALLESGLKTVGQAQTLSIYELQSLFGDKAQYIYDKLRGYDDEIVKKEVDLKNKS ILSLKNIKKTSSREVIIQSLELILHDITMRVTDYYEDSNLVPSVLVIHYHNVEKGSHQKS EPIYLTLPIESFRLTIEERVHSILNQIQDNEMFPLIHIGISCRYFKPMIQGIQNPITMFF KKILEQQEYEKQAKLAEMQGKMISPDDYYSCSICGQEIMKKDKESHDDFHIAENLDKEMN PKKRKYKSLQQTNSNSQSNVNSKSKSKQQGLTSILQFLKKQ >CAK80125 pep:novel supercontig:GCA_000165425.1:CT868352:338450:339155:-1 gene:GSPATT00015032001 transcript:CAK80125 MSKAEDDYDYLFKVVLSGDSGVGKSNLLLRFTKNQFNPDSKTTIGVEFATRSISISGKII KAQIWDTAGQERYRAITSAYYRGAIGAVLVYDITNKQSFESVERWIQEVRENADKDIVIM IIGNKSDLKHLRAIRTESGQDLAQMYKVAFMEASAQDGTNVDQAFTQIIQQIYQNLTKQL QKYDQMSHLQGEKLDLEITTSGNKKQGCC >CAK80126 pep:novel supercontig:GCA_000165425.1:CT868352:339167:340340:1 gene:GSPATT00015033001 transcript:CAK80126 MGQQISRFCKYFRSKDSKVYQQQNSGSLSQHRSKILVQNNSDGYGSESEQPIHHVRQSKT IKWKKGELIGQGSFGRVFKCMDINSGRILAVKQIALGYVDKESLESFRQEIQILSQLKHK NIVEYYGCEEDDKNLSILLEFVGGGSIAQMMRKFKSKLSESIIQKYVTDILHGLFYLHHK GIIHRDIKGANIIVDTKGVCKLADFGCSIIGQSAYSLKGTPNWMAPEVINQQETGRYSDI WSLGCTIIEMLTSEPPWGKFQSPMQALLTISSKQCSPPIPNNISDQLKDFLNKCLQFDHK KRWQARKLLKHPFIINFNKKPSKGESNPSQFKVFEEKSDINLFVAPHIDDPRKDLEYLDK EQNPMIFSVQSSGFHDENHNF >CAK80127 pep:novel supercontig:GCA_000165425.1:CT868352:340883:343245:1 gene:GSPATT00015034001 transcript:CAK80127 MSQLSAYNLLEEFSKHNEVEILSYKVNFLQNINVLEIDPVFSNFTQKRVLDNNFLSKHLH TCNSFIQKVQNQSVQTAIATMGVPFAPELNEINLKNEDQVYNFITQNLKDSFTIYEIQHN SSTICISFCPELDSFCISSGTQTIVCYNEKDIHLYQEPMHERPRQAAYQFFSFFNRMPIK QQNNFIQDLMNRSLIGSYNLKKQIEWFAIVEHYSNQRMVDPITVKNFLNHYKLVAAQTQF TSSSKLQQHFYQIYNQPIEQFHVYYKGKTLYFWRDNTFLGCCFIPYRQYSILNQFKSILF NKEKQNQKDEPYKYLTQFNLNDQDFEFYKKYTDLMLENPEAIKNRNHFSQLSSQILKSIR QNVYYQQEQQNNIITNQLSTSIVVIPIGISGMGYEKLCSFIVKAGQRVQIINKADQINDK NQLYFYEKICNPKELEQINKQLKSLPFTLKTIALLPECNFPYHQKNSQFKFPFSFNFIMF CLLSVLDDKNAVRQVINQLKEFQNYKLSNFQTDFKVYCRFMPESKETDDLYSELVEQDFY TALNSEDDQLIESLSQYKETHRNIEDNFLKDQSKRVIAAIEENSQMLIRKSVQFQLERND NLQYGLFIENPDWNVIDNFIKSCLEIIVQDYPNDTGINRMYYQYDIQFRPQNAVFMKLSQ PYMETNQLQEKTIEAKVSIAVIIVDGIVMLHPQELGLDLLQDIPIYSRNIDSSKSNKISE QLRIDVRKMQKQNAAEGQIQKKQVEFNRKSWIAYMVKYKPINIKLVGRQIN >CAK80128 pep:novel supercontig:GCA_000165425.1:CT868352:343588:344243:-1 gene:GSPATT00015035001 transcript:CAK80128 MNSNLLIYLQLLFVIPSNYQYPIKFAALLIRYIEQLSEQYFNLIKELNFMNKENINLIDN ICKEVQQNKKIFFLELFQIKQELIKMVLGQVFSFFSQQVMFIWLLVFITPLFVFLASVMA KGLQILYQMSINRQSLIYKYLGDVSWLFPFKQNEQEFKLVHIVLLAVLIGLVSLIILGGV MLQNQTEKQRIIEKKERKEEKTKKKVVSE >CAK80129 pep:novel supercontig:GCA_000165425.1:CT868352:344874:345457:1 gene:GSPATT00015036001 transcript:CAK80129 MGVCALTKKESSRKIRKAEVGLILECVTQESQVNESYMTLIGQTQTVFENLLNNKVISRN IGQAEYDFYSKQTEELSLLNTYLQNYYNNYYRIVVSNIKKSKSQIHNPTLSGYENNQKQR KGLVELVDILFIGKINYKQIQRLSQGGLGRKDNTILKLYTPFNEVEHKVNEDGGDDSSRK RNIANL >CAK80130 pep:novel supercontig:GCA_000165425.1:CT868352:345629:346879:-1 gene:GSPATT00015037001 transcript:CAK80130 MNDFIKIVEKEVKSATDQIEIESFIRKFIYNYYQTNQLVDQLARDCVYEKINSDNSIVLQ EGIYFIIAGQYQLPKRYIKLPNFLVIPAHEKAIIFAQSDIHFLSVKTNFSQCLSQLKESR ADIIIDFLRKTLFPNFPRNSIERISKHFFLISLPFKHVIYKENEESKFVYLIREGEIKLQ RDKKQLKILVENQIFGEYEVFFNRVRFIKAVSNSTVSLLVIKAEIFLTLLKEYPILKQRL LCQSVVRYEQIYSQPNQFEKSKNRKQNNSLLKRQKKALRSLSPKQDCIQMFEMSQQIQKE RVRTGYKAVPLFFNNRLSLVDKCTTTVQSPQNSTRRKLETNNSEVQLRSQSPATSQKVSK IISSKHNMFRQLPSREFLLQNFVNQSKPQF >CAK80131 pep:novel supercontig:GCA_000165425.1:CT868352:347378:347923:-1 gene:GSPATT00015038001 transcript:CAK80131 MVERWDIARFQNDHPNSFDKLGQQFIDEIQINIREINDLFCQRQFSKSLNNFTDISCQSK KVSALSLGEAANNLKTFLDKYMEVVEGIIQQGDNFDYEQERSAAFSLISLMEIARDTIND WQIFRNQPQLPDLFAKEIKQLRDTYNDLPNQDCQICQLCSFCQLI >CAK80132 pep:novel supercontig:GCA_000165425.1:CT868352:348099:349083:-1 gene:GSPATT00015039001 transcript:CAK80132 MDWKTEKALLEQENELLEMQLKELREREDSYKIFNESMLNAYNSMQNDVQKQNSIIHKQL QQNLEQFSQDLIESRNRNNTMLQQMEKENRNLKDYLELLEYQIQEQQQEQMRELQEFQSL LPISQEQNESAIKMEQKKKKKEDKENCKPERGGGCIISRKPKNETVLEKELNVSNVLNCK NSNLLEISQMDWLDQSSPIDLFKQYQLNQRGRDNSNHSQRMQPQLSKREIVHQRISDDSI SPSYVSERKSSNNITTNGKRWNQEFKLITSSQLMQRDNIKKENQMKFEKKSLDEFTRQLN SFKSAESLSQAYRRGQLS >CAK80133 pep:novel supercontig:GCA_000165425.1:CT868352:349620:349853:-1 gene:GSPATT00015040001 transcript:CAK80133 MNYGMDADIWSLGIMLIESLSGQQTFKYCQLIKQEKELLLDSINETNLRIIAKQTNENDQ KYNEQVFHQWLRLKFKQ >CAK80134 pep:novel supercontig:GCA_000165425.1:CT868352:349882:349998:1 gene:GSPATT00015041001 transcript:CAK80134 MAVPIQVFKYYPVDSVWQIPESAIFMLHSEFTNILSVV >CAK80135 pep:novel supercontig:GCA_000165425.1:CT868352:350041:350576:-1 gene:GSPATT00015042001 transcript:CAK80135 MYELKKIKQLSDTNQEKLLTRELDALISCESNFIVQFYGVFYSQGYTCIRLEYMNLGSLD RILQKDGLIKDPMMMITYKIL >CAK80136 pep:novel supercontig:GCA_000165425.1:CT868352:350603:352259:1 gene:GSPATT00015043001 transcript:CAK80136 MQSQGQAPLQIEHYIIGKTLGVGAFGKVKLAKHNITNTQVAIKIINKRKMKNSRMGTKIR REIRLLRYFNHPNVIKLYEVLDTPGDIFVVMEYAERGELFDLIAQRGKLPESEARNFFLQ ILSGVEYCHNNLVAHRDLKPENILMTHNYVVKIADFGLSNLMKDGKYLKTSCGSPNYAAP EVISGKTYCGSDADVWSCGVILYALLAGFLPFDEETTQALFKKIKSADYTIPSSFSPQVR DLINRMLTPDPLKRIKFHEIHLHPYMRSTQVPFYLQIPFKLDEGRRQINEDVFEKLMQLQ TVNFRGMTQTQIQKSIRKREDKSFVVIYDLLLGQLGVESSTPMTLHNLTMHDLIFNPQIP QIEGQSFNNCLLNEIQKPQPYDYGKELPKDIMAIVYPYQARQIVNAIYTCLEKFNTVIKI KSPDYKLKCYHKNLIKMTKYNSSMELFNEFQKEVEETGSKNDLASLTFKDDGKKPKIKEN KYSAKEIIFNIQIYKMPTNNNDHMIDFQLCRGHPVVFMDFCNKVIALLNQHFNQI >CAK80137 pep:novel supercontig:GCA_000165425.1:CT868352:352299:353508:-1 gene:GSPATT00015044001 transcript:CAK80137 MSQQFVGINGEQTGLGFTNRDQGAKVEEDQGLIDFKIITNNGNHERMKMLIDLKNIFARQ LPKMPKEYIVRLVFDRNHESMCIVKDDTKVIGGICYRKYPTQRFAEIAFLAITANLQVKG YGTRLMNKFKEHIQKQDVEYLLTYADNYAIGYFRKQGFYQEIKMNPDRWKGFIKDYDGGT LMECYVHPSIDYGNISDLIREQKQLMIDMIKKLTLNDRVYPGIEKQNYKMETSNGDRPAV KPESVQGIMESGWTIEDYNELKKQKEKTFMISCQQIIDTMKKHKSAWPFLEPVNKDDVPD YYDVITDPIDIKTIEKKLQNNQYTSKDLFIKDVKRIFINCRNYNQPDTIYYKCANELERT IDDYLKKLKDESQIPGVSKKIKKTNNK >CAK80138 pep:novel supercontig:GCA_000165425.1:CT868352:353519:355677:-1 gene:GSPATT00015045001 transcript:CAK80138 MEDSELQQTIAGQRKNSHIDEIQHTRTTIEQKCSLFDFVFKYSWNSFIDQDACIRRGFKE SLQCSYWGGYVFFLGSMLCITSQRLVELKTQTKGIELWRMFQNDIYSIKIQYYFQYLQLM FILTVLEGMILLFYVGYLVREYSQQQVPFYVKLLTYISWILSFGIVFIIPHDIYYTMNDY GDGYDYTVLLWKWIYWGNFILCWLILPICQEYEDAGEFNCKDKLIRSIKNNLIIYAYFLL FGLIFIAYLAIFNKLDFDSILKVLVALAYAFGILLVVILLGHGLVAIPREYWRKAQYQKC LKALYLEAAQINHAIQELYTQLFNMTIELIQNKQSNPNQQCLDYILNEIPYEIIEDASHK ILDISEVKQLSSFCEINKKAKKKAAEYKRAQTKWEHICSECFLLEDMIDNEFSVHYKIRS TLRYPKSGQFGHYIDILQWLWYTKIKKAYLLSLCVIFSILSSIVILSEISCFTEFDFNIL SRIININGFMHTQISILIPLMYISFCAFYGLFHINFAGMYGFYNHQQTDAPSLMFGSINF SRVSFPLTFNFLQMIHIQGTPFEDVVGNMDTSSVLGMSFSYSLPILLIMVSIFNFFEVYD KILQVVGLPQFKFSQTQFNSEEGERLICKARVKRERDVLNKVGIKFLDQCEMRELDSRMI QFV >CAK80139 pep:novel supercontig:GCA_000165425.1:CT868352:355690:356007:1 gene:GSPATT00015046001 transcript:CAK80139 MQKFSTVRQQLQPLFPIDQNTAVKFKPRSSSYCERIKQLQQRTVSQMPKCRKSIIFCMGE TSRLNSFLIKSKPKYVSKTNTRHQTLQNENSLNYDISPWDNYSPT >CAK80140 pep:novel supercontig:GCA_000165425.1:CT868352:356607:358513:-1 gene:GSPATT00015047001 transcript:CAK80140 MLNLPFDKWSLKFHDPEFESSYEDHLNKIRLLSFRILNLTISIAALICLITFVIQQQTLL LSIMLTLTLMGSVTLLILSRKILTYLKSIFSLYYVWAITTNILIASAGFQIPNFIFGFNT CSLAIVTMQYSDNKLKIAYTMITPFVLMGIFDVYKVETLAFVFLTISCTIIIGIWGYMNE YTSRLAFSLNLISNKQKDLINEFVNDAMFAVSLDNRSRQFVLEFQNNRFVELMNIKETEQ IKTFLRSTFILLKQNENQNKQRDRNSTKVLNLEEVLFQRIQSFEKSFNYKEQKDGLLEIY QQDLINNETKKMCLQIRFLNLGKPIIIAIIKSEQVPKLIHKYEGQIKEYQKVIISMSNQI LKKQSNLYEEMKKIRFENQKEQQQILQLQCLNLSIMNYIRNYILFFQKNKITEMKLSLQN CKFHQYIAIINQYFQALSSHYSMKFALHNYVDQNSSININIKYLTQILINIFDELIRKDI KNNQINLRIIEEFQQHDNEKKQPPLETENNKQNEQPVKEFKLVQFTFFFLSDEPLNLKNL NFNKSTYKNDNNNPFEDAQIISEITHLLLDNIGPHSNISINRCQLTQMPTFQNTIQFIIY SDSSSLEPSYYKVREQILFD >CAK80141 pep:novel supercontig:GCA_000165425.1:CT868352:358785:360703:1 gene:GSPATT00015048001 transcript:CAK80141 MEGYLLKWTNIFQRWQPRYFILYDDILTYCEQKGSSVEGRVSLKISGIFTVEDDPLEILI QTGTNDLKLKANSQSQFVDWFKALQIAQEKSQKNKVQNFESQINDLLSTIWVTFAAFDET LNTLQINCSKFQYELITKLHTLGQNLKTFLTLGFTLIEQEKERFENDANSVYESFNEMQS VIPNNGIPKQIQIKVQEFESPQRGNSFKSILESIKHTQPIKYRNLVNSPVFTKIYITDEP ERSCLPYKQDPKEKFNVWPFLKSCIGKDLTRIPFPLIFHQPMSVLQLIVQQFAYFDQIKK ASQCEDSCKRMCHIIAFTLSRCSSTLDGQKKPFNPLLGETFEFLTPDYSIVCEQVSHHPP VSVMHCEGKDFKFWSQNDASIGFGGTHIKISIVGKSHIYLKRFNEHYTFDMPNMHIKNLV FGEKYFEHVGKLKYINHQTGDIGMVDLREYGEGKNFSQVQAEVRDSNQNLRYQISGFYNS ALYVGNELLWQRIMPTKEAYYFYNYIPLQMQANYLNTEILLVIQTHSLFKQIPCTDSRLR PDIAALEHGWKEIGQDEKVRMEEKQREKRRIMEQRNEVHVPKFFEIKEDVDTRSKGYVFK GNYWTEKHEVMDIF >CAK80142 pep:novel supercontig:GCA_000165425.1:CT868352:360734:362413:-1 gene:GSPATT00015049001 transcript:CAK80142 MQQLYQLLLTQQESLPELTYSVVYRHQHGLDGLDNTFTNSTQISDQPNIKCMAISKYSIV FGGDFGVEHWGNNKFNIQMRVQAISFSPDFEYIILSAKETMLFSTKTQNFVKAFPHDVKF DSTGSLCFCKGIVYETATFQQLKQFKCPEYGDFTISTQRDSLYLSSVTLIKHLEDLKDCQ LIIDHYDKNKHFEHQIPPSNEVKQLWSNDGTILLIWSQTLDDHTNQSYFGTHHLNHWTED KLQNIELFEGPIHDVQWVQNGFIVITGYMPAGAILFNKQGEKEYLILQAHINSIFPKNNL VALCGFGNLTGDIYIYEMDSLKKVGQTRQDKVVQFEWSPNGEHFLLATTFPRLRVDNQFI ICSKYGDLLRKEKFEELYEIKWVNDNNLNIQINIVEEVKQSKQINLTTGVDFIKQMKEAK VNDQPRKLQKDEKLQTLRSSPQNQQQVQLVQTAVPLKPAPQKQIFMRRQEPIIQQPTAEE LEQQHQQHLQQQQMKLEKKQKQKQNRHMNHQNNKQKQKQGPPNDFQYEPNQDVGQNCYPI WQD >CAK80143 pep:novel supercontig:GCA_000165425.1:CT868352:362557:364150:-1 gene:GSPATT00015050001 transcript:CAK80143 MDEKLNEMEMELAMVHLAKPEINDQIEEYKVYTRRYIMASFFAFSTICSSMMFSTCNPIA SVLAAVYDIPTFVVSLAANGFLLMHPLLTFVQTFIVNQTDISVSLKIGCALTLLGALIRT ATIISERFYTVILGSLIAGMGRPFIINIQANVAKEWFRPEDKTTVMIAFSFIITCSSVFG VIVPGQIFKGYQYETDPDDGKWLTGELMVIELEIFSAILIPAILFFRPSPPTPPGPINKF RDEFTYMQSLKITAKNKNFLLLFVCYSLLMGGFHALAVVISYLFNPFGFTPSQTSFICKL FTFYSSESSPIVCGFVSSVMYSILIRKYHLNHKKIVLFNLIPVLASLGLSYFALMTESLP LVLICYSVLGFFVIPCIPLQLELACKVLHPINQTIAVGFLLAGVHIWSFVFGEILSVITH DQNKTQAFYGCLLLFLSFLAAAICFSRVKLPKEEEEEEATQVNQSTQDGI >CAK80144 pep:novel supercontig:GCA_000165425.1:CT868352:364253:364910:1 gene:GSPATT00015051001 transcript:CAK80144 MINQGRSNDAAVFRALLNTQAQIFCYQVIAEGTQYHQEGNYSRRGSSCQTPITKPLFMAC KYQRPSGIFHLELIIPSKYPYELPQVRTFIQLPHPNLTLNSICLDILQPRNPEDKQQKDL SSDYTIQTLLLWLQAFLFQELKRTDNYLKLLTETKQAVIVANNFKCSDKDCKHNAKESKI EYYINTQPEQQLLEQQFICYNNTKLSYKKTII >CAK80145 pep:novel supercontig:GCA_000165425.1:CT868352:365525:366769:1 gene:GSPATT00015052001 transcript:CAK80145 MIQEGVVDFLKQKPQSLLSYNNQKGSSRPKSQQKYGNQQQSQLRPPSSQLQSVSGAVKHK QYIHKKNNLMMNRQSHAQLQQQQKDDHNCYEITPQLLSEISMDDPIWKRILPENLSLNEK QLIQLLDENTDYFYHNLKLCACFKCTCGRCKCDASTQVKLKLNGIFLTNYDKDFVNNHKS KKSVTNPLNQKTYDTNFMDQKSINLKSLYQQDYQQQPLEFQPNLKKHSNQQIGSVSNLTS YRSNYNDWGTNYHKYTPFPHISTSPDIKFIGTSQYKDSYMSPNRWSINNAHYFKTSLQTI SPYFRTPIPTGQFEGQTTHKTSFIPYSTSHKSQIDRQHVYEKIPTFEGQFISTEMHDYIQ KEDKNCPAKQFQKIFRQQMADKLFKKKQQFLKNQIQQNY >CAK80146 pep:novel supercontig:GCA_000165425.1:CT868352:366793:367555:-1 gene:GSPATT00015053001 transcript:CAK80146 MIQKECYYVTLGINRAATPDEIKKQYRKLALQWHPDKNPENKEKAQEMFKQIGEAYSVLS DIGKRKIYDQYGHQGMEEQIHQHEEDGDFGFFTFDPFEIFNNFFCSGDLLFGSDDDEFMI FENRRRKKQKKKNRNSIFGSMINNFIDPPFFDNNQGGYSKSISTTTQFINGKQVTVTKET IKNGGKTTVIEKRNENGKITQKKYEIQDNQRRPELQYEGQKKKKKNKQH >CAK80147 pep:novel supercontig:GCA_000165425.1:CT868352:367815:369294:-1 gene:GSPATT00015054001 transcript:CAK80147 MSKQDNTLFCDLLCKVLFITMGYLYIGYALTYYAITQDSIAYVLEFITSPNKSVIEGFIN ASLPIGAAVAALVSSMIVKRLNYRVTFILFDIIGICIGLLFTIQNLSVLILCRTLQGFLT GLNSALVIQYIYHFVPLNNVGIFVGLGPCLMMVGLTLGFAIQWIFIGQPASEYNVDQYYG YLGFNNWKMIFMFTAIPCVIRLFGMLSILRSDLPFEQVKNGNDEKAILQIQSSYPSTTTN EEIEELLLQIKQNMQEEDQSIKDLFSKSYKKSMILCMIFGVLQQFAGINAVNFYAGSIIG AMTNDNTTLINLANVTNGLVSITASIFSSIMINRAGRRIVLLIGNAFCFISLTFICVIIS IQSSGENQEQYYQIFNLVFLVIYVISYSLSLGPVFWVYLSEVLPAKGISLVTFINWFSCA ALAQIFPIIVEQLSLQFNFGIFAAVCLLLEAMFYFFFFETKGLTKNEIQSLFQSKKNYVD LKD >CAK80148 pep:novel supercontig:GCA_000165425.1:CT868352:369323:370954:-1 gene:GSPATT00015055001 transcript:CAK80148 MGPCSSQQRKPVSTSQALVPGTKPTNAEEFQVRPNIFATLKQGSISTYYKIEKSLGAGAF GEVRLVIHKSSGYKRAMKQIRKDKIIKEDEENMFSEVNTLKELDHPNIVKLHELFQDAKN YYLVTEYLEGGELFQKITDMKHFSEKMAADIMKQILAGVVHCHEKKVVHRDLKPENILFE NKKPNSNLKIIDFGTSRKMETNQNLTKRLGTPYYIAPEVLKRNYNEKCDVWSCGVILYIM LCGYPPFGGQDQEILQNIELGKYEFDPEDWNKISEDAKNLIKRMLTKDYQLRISAQEAYN DPWIQKNAPNGPIDMKAIKNLSSFFGKNKVRAALMQFITTNLMTNTEKEGLLNEFKKIDK DGNGQISKDELLQVYMKQYDEIKAKQMVDDIFEKVDINRSGFVDFTEFMMSASSEEKLLS KIKLQQAFNMFDTNGDGQISREELQEIMGGVDDNLWTEILQMCDANGDGQISQQEFIDFL VKKYQQ >CAK80149 pep:novel supercontig:GCA_000165425.1:CT868352:371089:371777:1 gene:GSPATT00015056001 transcript:CAK80149 METESKIQQSLLILRQVSPPVFGSLHHNRTPNLDQLDQLAQISVMRRKKSNDPHKTEPIY TDIRRKYNKIERRNQQNNQNQVTLIAILAQKIKRILQQMRYLQKPDVVEQQYQGIYVYLQ LLDELHFYKNMHNMYVQYNPFDQKLPDDLIKKIGYKERTEALKEILKGQKQIIEFKRNLE KEKQRVPEFIKQLVDSDKKKQKKKSYS >CAK80150 pep:novel supercontig:GCA_000165425.1:CT868352:372016:373821:-1 gene:GSPATT00015057001 transcript:CAK80150 MLKPDLEFLYIKGNQKFQDVAFQQPIRQHQPKVNAIKLKGFQQFVELINKQQEQQQQLKT CSQCTPQFLPTVISYPPKIEIQTRKASEMKLFVKKSFIDVPTESHSQERSKKDIRLIPQK QFSIKRAITSKNEDSNIIFEQNEFPILMALSNNLSVQPPDRAIYKAFVTKGNNGVLIRQS LKQRPWWILIDQPSEDMNFYWTQLRKQAHYEQLQKQQLELAVFSKLQKKQVNDKLKYKIE DSEYSIFNQSASLKVHNHLECNFQVCNKKALFYNMKNYYISRNEDPFQFIPLTYHVQTGP NDPAYLEFEQYAQTQNVNLWIVKPGESSNRGNGIQVANSIQKVKSIISQSTNHHNGMKKT FIVQKYMEKPLLYNKRKFDIRCYILMTSINNKFKAYWYQEGYIRTSCKEFSLDDVEDKFT HLTNDAVQKKNQNYGKYETGNKVSFNEFQKYLQDNHNYNFSIIIEQLKKLSTDIVKATYQ HLDPNRHFYTFELFGLDYMIDQDMKPWLIEVNTNPCLETCCPLLARLISHLIENSIRIAI DPMFPPPLNKKKHIQDQKNLFELIFSTTLITDPVTQPIIKEDDEEHDDVEDDN >CAK80151 pep:novel supercontig:GCA_000165425.1:CT868352:374548:376288:-1 gene:GSPATT00015058001 transcript:CAK80151 MNSPGDSIDDIISLLNKHYHPNCNQEQQSQQNSRPKAGIGNVMLPQIKQQLNVLSAIPNT YNNNSDKFKRKAASLNRQQQPKSQTKDTNSFLIPMTRYLTFKPYSGSTDIIINDVGYYYK FGSSPIKLVKGLLEENGFKENNDKNWTLYWSSCAIRSEIYTNLFAYQKVCHFPKSYEMTR KDLMHRNISKMQINHGFINFNFIPKTYILPAEMSYFLEEHEKIKNNNPVYICKPHASSQG KGIFITDKIQDILNKQNSNNSYVVSHYIDKPLLINNLKFDLRIYVAITCINPLRIYVYQD GLARFATEAYNPDSVKQNRFVHLTNYSVNKDSPNFVANQDPTLDYLGSKWSLLALREYLK LNKINEQQIFERIEDLIIKTIISVESAIFQACEMNVPFRSNCFSLFGFDVLVDQFLKPWL LEVNFSPSLNIDAPLDLKIKGEMLADLFTLIGIVPLDQRFSQDLSYVRNQSNYDVKKHQQ EFEKYILKETEEEFKRSRGWKRLYPSDQSSRYSKYFESDRPLNALLRNYYK >CAK80152 pep:novel supercontig:GCA_000165425.1:CT868352:376488:377414:-1 gene:GSPATT00015059001 transcript:CAK80152 MRQYLFKAIKEFQEKTSIKFVQKQDFKKHNQYVKYTKSSNGRSFVFKLGRQIQEKEHVVH IEENSDYGTYLHETMHVLGFDHTQCRIDRDLYLSFQQSQLDPEDMNQYQIREMGYMIGEY DFDSTMHYGQSEYMTLKAEYQHKNIGFRQQLSDGDIKGIEFIYGNSQCTYDVSSNNKYEQ IYYECITCWGKNSIFGACRICALICHKDHQIIKKSGSFSCHCGKQDHRVQLCTRESAGQQ RVQQPMYLCLDCFDIKKYQQNHDGATPGVCHACAIKCHKLHNLQFYGVATDFYCDCGLTA SETDCRAK >CAK80153 pep:novel supercontig:GCA_000165425.1:CT868352:378129:378949:1 gene:GSPATT00015060001 transcript:CAK80153 MNLQRNDKRQNLNLNLLWKQLVEMQIQFKQRNYQTKNILEVRLTYTAFLYIFPFLYLRDV LKLRLLNSSMNEMITNYLLYYRQQQSNTIRILEIEIQQEKEKLPILYYQILQKLIKRSSI CAKELMKLNDRGLKQMPTYNPLLVMQVAECEGIELFKIIPEELFQMKSYLIFLDFSKYTD LKRMQLLEFYEEKLQQSDYLEQQMNYNDRSELFFLRLARMWLKQTFYQDTYKQFRLIMML IKKKEQMRWVIRQLEVLEKITERIT >CAK80154 pep:novel supercontig:GCA_000165425.1:CT868352:379538:379933:1 gene:GSPATT00015061001 transcript:CAK80154 MRKSQKTGSQQHIKNILTPLITQQNSGSPDQSLGNRAPYKMGRRSSLIELHRVESESIKL RPQRVLQVVKDAKKQLLSQQNPRPEKKVKPRVETSECQYRGLDFTFAQNFSNKQIILKEF STNGLRKRFFV >CAK80155 pep:novel supercontig:GCA_000165425.1:CT868352:379958:380975:-1 gene:GSPATT00015062001 transcript:CAK80155 MKMNQLIVERESDNIRKMSRLAIFNQKRFWLKEEEKQQTEEIEIEFNKLKLEEPPLKLEQ QNLRKFCKNFQSFEYYNGESICINEYFIRILPVGQRCIVSSHQGVTVLKTINGLKYKFSS SLPGGSQKTLIGRCILDCFLSANTVYIYDILQWGKMDMSEQVAELRYFWIKGHILSQLRQ DQEQQDYNFEWIPVIPSIAELQKTLFPYTKNGLLFIRKDSYYYAGVNPECFIYQDEFTDE NFKEECIKYKLDNSITLTLSLFQNQLLTRDGYNIASSKSTKNTKVIVDAKIKITENELIL IDIKDPSKFLEDPYSKIMFWKQLPRLRIQ >CAK80156 pep:novel supercontig:GCA_000165425.1:CT868352:381051:381944:-1 gene:GSPATT00015063001 transcript:CAK80156 MQQQLTLGQHEVFVQKTLYEQKLPYKADSLKALFLYCCVTTLKLLKSECQSEPIINFLKL LEVKPHQYKPSTFDDSEPSIVNSYCAISVLRELQHNIQVDQESALNFVRSLVQEDGNIRS SANSQDADIRMIYSALAYLDLLNIDTSEFQQTVGKFILMCQNQDGAFGLRPHLESHSGAS YCAIASLKILKLEIPYESSLIEWLVNRQCKLTGGMAGRINKVADSCYSFWIGWTLKMLGL DLLDKERLLEFLQHCQSIYGGFSKYPQSMPDPIHTLHSLLGIMENENYDYVHAIILN >CAK80157 pep:novel supercontig:GCA_000165425.1:CT868352:381962:383689:1 gene:GSPATT00015064001 transcript:CAK80157 MESKLQNFEILNKLGSGAYSSVYKVQRKSDGNIYALKKVKLVDIGDREKQNALNEVRFIA SIHHENVVSYKECFIEDNNLCIIMEYAEGGDLLQKIQRFVKKQQMIPEQEIWQVAIQVLQ GLRALHHKKILHRDLKCANIFLYENDQVKLGDFNVSKLAKNGLVYTQTGTPYYASPEVWQ DKPYDHKADIWSLGCVIYEACALKPPFRAKDMDGLYKSVLRGQYQPIPVIYSQELVQLIK TMMQVHPQNRPDCDKLLQYQYVQKKAKQYGIPLISEDIEDDLLKTIKWPITRKGLQANKS ELINLNFQLPGSNYLNQHNSNHLRRNQQSNRIKSQDTNDSISLNQPNEALHQLMKQITQL EQKDIERSPNFKQNLNKSTSKQTIQDNVLPPDRRLRVSNSTHEKHEKYESVQPKCISKDR IEHQIQINANYYAKDVIQSLNKQHYSQKLPIISGTQRQDEEQHILRKNSSNERNPSNHLK RSKNDPLKKDLSMQPSAERPTVLMKIIEEHQQLPKIKKKQQ >CAK80158 pep:novel supercontig:GCA_000165425.1:CT868352:383716:385299:-1 gene:GSPATT00015065001 transcript:CAK80158 MNVLVNAYNPTIYELSLRPWLYELTNKYGKKISKLNDIPMEEFQNLKNIGVDYVWLMGIW QLGKHGLDFDKAHANDYKSNLPDFTMDDVIGSPYAITEFVCNSELGTDQDIVNLRKKLND MGLKLMLDFVPNHSAIDSPYRTSNIDYYIRAPPNTPKPYDSEYYLPDGVSYGGDQWDGFW KDTAQWNYWNNDTRNYLKSVVKKIASMSDGMRCDMAMVILNDLFYNKWKPQLDAWGYKRP STEFWSEAIKETKQQYPNVIFLAEVYWSKEQDLINLGFDYVYEKWLLDQQATLDVGKVRP ILSNLNLNYASHSNHFVENHDEPRAIIKFSGKDYISCVAALMSYSIPGARFVNHGQLEGL SNRLDVHLRRSYKENGSAYVKSFYGKVMAILKREVFKSGNWTQLTVTGDQSWKFFAFRWT NASDKIIVVINFSEGSGYGLVKLSDASSGQQTITEMISGQTYVRSGDDMRNNGLGVIVDG WNAQIFKYF >CAK80159 pep:novel supercontig:GCA_000165425.1:CT868352:385697:386194:-1 gene:GSPATT00015066001 transcript:CAK80159 MQVIETSPIELEQLLPGWTHNKNKVLVIVRILKKVHLNYSGNRLILVSFFMILLSFCMNS TINTHQYLCKQLSQIIKLIKWLFLLTHRNHPLHPILKNLFLIYLQCKNSSPQNDSQLKPQ VLQNTKILRIKVQSHQTFQKRNVFSYSTSVSVQVCHQISQRPSYN >CAK80160 pep:novel supercontig:GCA_000165425.1:CT868352:386477:387842:1 gene:GSPATT00015067001 transcript:CAK80160 MLSQSLTWQYANQLPLQDEEFHLDQMQHYLDSDFAYWNPKLTTPPMLYFLNYPFIVLLSK MGLSTLMACRIINTFIYPSITFLVLSKSFQNTEKALLFSILPTIYFYNFLFYTDTLSITL LSLSFQLLQSKYYFISSIFSLCSVMSRQTNILWIVYFCIKDYLDQNQAKLQFKDPRLSIL FHVNALLNMILSDIKGIVRKYKYHIFILILFIGFLYTNGGVVLGDKDNHKFVFHAAQIMY FLPVLFIYFPINWNTLFQYTQLSIKRLLLSRNAKFTYLIILIICLEIVHNWTYIHPFILS DNRHYVFYIWRKILSKDVYRYCLCFFYALIIVILSRILISNQTFQLILLFIFTTLSLIFS PLIEPRYFSIPLLFFYYHCQFAEQTLKKQITVFTLMNCIIVYVFCEVKFSCDFPQCRFMF >CAK80161 pep:novel supercontig:GCA_000165425.1:CT868352:387919:391467:-1 gene:GSPATT00015068001 transcript:CAK80161 MDNVQFEVQSILLRIRDYKKSNQLAVGSFGQIFTSGTLNGLLMYEDSDEQVSIALINFYS KITYLEGKQVLEKMVDAVQKITSVNQLLLEKKLKFIRNAFEHPELDVPIMNSLIKMNRQI ESSVPNLLIRELARDSLSQGLKRMSQTCNEELLIAALLDLRNEVLQQIANRNNETVKLIE YPYSIMIFILQLRKPQQFIIQYYIPMLLQLVKFDHPVIWNYLNQALLFVLNLNMQEKLGD DLFETRTLFGQIVLKGISNHLTRLSNMSITDMAQQCEIDTHFFVKFLIELFSSKDKIKFI FKKCDMNPFQKPVFQEVVQKIFELSRVSKRLQCDDGRMLQKQIYELQVQLFKSSLTKKSQ QETTKLIKFVTDFTNEPRNTIKGIPHEQVVNFLKYCNLYNEKLMIEILGCHKEECVTIME KYVNTFDYSQLSLVEGLRFLTSNFLLFGESQMIERVLNSFTIRYFEQNKDSTYFKHPDYL FTYTYAILLLNTDLFNKTVTKHMSLDDFKKTCKTINNGESLPNDVLVADYNNIQQDEIKC IRDFATTDDLSQFVWDKYLDTKSEAKQIYQSMNEYFEIQTDEEHYGDLILTAMVHNIEEL IAQTQDVQELTSFLQQVIDICISNDKLDNAQQILISVMKMSNDQYDMSIYAGFYIAEQLL PYTQQFQPIISLINNTIMQRHQLATDNISKDCRNVLSRIKLNNKFTKKKMGSNEGVIQLF TQFLRQTTDEESEDDLLNQLPKQEEQQPLIGIKSPFLLSNLINQTKFLDKERLNEFLGLL LDSIQNITDFKKEYIKFAQIIFISVEILRVNTDRLLQFWPTVVNILTQKQKFESIKKNSI KQLLSSYCGYTLLKLVIEFLHQGESLENYYDCFKQGLEYLGDQSLEILQEFLIEIQKFLK QNSELDFSIIFLNNIVQLLLTIFTELEKKTLDQTDAQIIMNLIQDIFEQIQRYQSEKELV QILRKHLEILNTLLKQRQLRSVSSVDGFIAKHTLQIMTTYEKYIHEQDNAFLNDLLSLAL NLSNEHLQKIIQIMMQVILNYKYIDLKEKEFSILFNQVTSRQQQILQTNNDQMLVNYSQN LQKMYFNWIQKDRFSLQLWLDILKKFGEILANLKQNKSPLQENVSLSFQCVCQNQYFQDI LKAQSNDVQEKTKQFGKQHNLELLT >CAK80162 pep:novel supercontig:GCA_000165425.1:CT868352:392710:393461:1 gene:GSPATT00015069001 transcript:CAK80162 MKPQQKQKYQSVNSEERLKIIKYFIEGSLSASQIAQITGYNLSTIKAIFRVYRNEGRIDK KQKRDRELHAQKNVAVFIVDEETRYLKFIIKQQTKKEVILRSHEKYMETSNDIVNITLQK AQNEIRNNLSNLQSKRNFDESLESILKNGIKEKEIINIPQRRNYQFEPNSYIDVKTISNL FKQRENLPLKKTCTQTSQNFGMHQEQQLSDVKRIFEFQVEEYLRKSQQENLK >CAK80163 pep:novel supercontig:GCA_000165425.1:CT868352:393653:394567:-1 gene:GSPATT00015070001 transcript:CAK80163 MINLIEQQVDKQPILQQVSKLKDFLLNHVNQKVVFLTSGGTSVPLEQNTVRSIENFSSGL RGAASAEYFLREGFVVIYYYRDKCLRPFARHLNVQKLINADQEELEKLKQLQQVDRTKLY EVSYVSVMEYLYFVIKAMEIFKELKLNILVYLASAVSDYYIPKNMMAQHKIQAQDKLELE LMPVPKILGLIREIYQEAIIISFKLETDDDILYKKIQESMKKYNLEYCIGNLLQNRRDQI VIYNKGEFVKMERNKDEIEEQIIEYFKQNIK >CAK80164 pep:novel supercontig:GCA_000165425.1:CT868352:394567:395165:-1 gene:GSPATT00015071001 transcript:CAK80164 MPPKVDPNEVRLINIKVVLHPHSLPSWDPLVLIQNKLVIRLLLKVVKWKGIRVMVNLRCQ NRNADVTVIPTSSALLIKEIGGYERDRKKTKNVKHNGNLTLEQVIKVARAVEEKSLAKTF TGTVKQVLGTAQSLGATVDGQPVKAIIGKINSGELKVEK >CAK80165 pep:novel supercontig:GCA_000165425.1:CT868352:395165:396135:-1 gene:GSPATT00015072001 transcript:CAK80165 MDQSYPSIKPNQEILTYEPTADEKKAKIGKYTIRRLFEGELDEQDNAAINKFLEEAGPFQ NPIWTRPQILRFLQANNFKKDQTIQTMQQYEEWVKTLPISFDQQVEQFLKAGIIYIQGRD HSYRPIIVLNAYKVNFNEMSLEQYLKGLTYFLQVVVNDMMVPGRVENWVILIDLDYKGMI GLQINALKQVMSYLQNNYRSRLYKMFIFNTTMMLNVTWNMAKLFLEEITQQKIIFVKGDV KQLFQSVNQEQIEERFGGTQRNRVEFWPPSVVSANFRKNA >CAK80166 pep:novel supercontig:GCA_000165425.1:CT868352:396267:397168:-1 gene:GSPATT00015073001 transcript:CAK80166 MFQAEEKSLSEQQLILHMIHQNDYDTLKEFGINKGIDVQVSIGNINFLESFSEIEVDQKI SPLICACYLGRLEIVKLLLSNTQVDVDLASLDSGQTPLSIAAMTGNYEILKILLDAGAEV NKPNTFNQTAFIMCFARLEEEKNVFENRKICFKMAELLLHYGADINWIVDKTHGFNLLMQ LCSIRMELNQKEADINYQIIKFLIENGAQKELQSLKGKKASDLLKKHSNREKLMELLMST QQLYFYGKQKNSSRYSNQQQQLLIRNEPRQQKV >CAK80167 pep:novel supercontig:GCA_000165425.1:CT868352:397454:397884:-1 gene:GSPATT00015074001 transcript:CAK80167 MNQQQSDVPPSKKTQFTGSVDNLIHQTISKKPNMSEFLIQEILEASGFQTNDQNCHKLIA HLTDKWMIEMTDSMVQKLIQDRMRKKEKDPDEKLQLTTNDIVNELDQRGLKLQNPFQYPE IDANNLQKVKNEAQQ >CAK80168 pep:novel supercontig:GCA_000165425.1:CT868352:397894:398609:1 gene:GSPATT00015075001 transcript:CAK80168 MEFQEILDGFQLICNELQGTQNYKNVMELYNRSANLQDLFENGLIIEGTQYDFDLSGHID ATKLNLDKFVQNVLDSDAVVQGHRKITSAFATLKKRLAQQEEQQKAVLMTNSQVIAPNPI QQQTRNKAKLFDTQLSSENLDDELQEEIFNITKRMKGMAVNISEQLKFDNKVIDKILHKQ DANKTKLNLEENKLTNFMKSKSLSCSTLFLMLTTSIFSFIFAIIFIRIS >CAK80169 pep:novel supercontig:GCA_000165425.1:CT868352:398689:400365:1 gene:GSPATT00015076001 transcript:CAK80169 MNKENVCQAFKTVKVSNRELETFVNSRVNQLQRQLQEKEQEIAQLQQYNPSAHSHLIEIK FDTIQAENHQLRNQVNQYEQMIKSIQNKCNSYQNQIETLTNLLQETQITLDFERQAKQAL ELQQSNIQLDAESEKSAIKTQIDLLRKEKYQYQSQFQEIDLQLKQQNLANMELTQELSTL SNSFSQLQFSYSKLEEKAYELESKNEILVSQLEVYKTQNDQLKSQWQQSDPKNIEKQYQQ NEKIAELEAKLRLSYENTSQFQQLLNEKQEHIKKLERQLQRHQNWNENNNILQDQLDKSQ YAFEEMQFENQKIAKYQQQQIEQLKRQLEQQSNNNEELIETKQQLKIIQTEFENYKSNQD NKLNEINSKPQLDQQTEWHLEKSKLVSQIQHLSSQVEVQQNQTYDQFRIQIESQMALKYS AEKVQLENQLWAVQDQLNGIQNSIELYVTKISQLNIENDQLREQLIENQNNSKQILILEQ QIRNFCVEIEKLKSIIIQKSDQIEFLSSKKIQTEQLVNYVQELERKVNHLSQDNQRLNAI IIQRCKNSW >CAK80170 pep:novel supercontig:GCA_000165425.1:CT868352:400418:400936:-1 gene:GSPATT00015077001 transcript:CAK80170 MFNYYNQKEKNAVETLLSLGITEESLHEIDYDKEIKKLLRRTQSNSLADARKIIINLTQS NLHPPQNPSSKLNSPINDFRCRTELRTISLYKPQDKILNPLIQQNKFVITQVKTNRIRHS SEYKTVQVQTVQVAQTMQEFNFNFVEKNSNLESKKFTKSLPKYFLRFKKRQH >CAK80171 pep:novel supercontig:GCA_000165425.1:CT868352:400954:402495:-1 gene:GSPATT00015078001 transcript:CAK80171 MTDLLERSIHLINNFVSQWTKKYLYYTSYIEETTSGHTFMTVFSLPTPSNPIPVATVKVY FYIPDLTDPSEKTMSFRFENDSLIHLVNRTIRISQMEKWIETILARKDRTCRIMFLGTEF EQTRIINKRMDEVLYEKQPEQEKENLVVQPELLEHDQELVNQFTITEEEKIREIKMLAEL LYQCFRQIDKDDMGVISYEEGGQLFQLMGLHLGKHQLEDTLNRLDVSRTGILQFKDISSF GIETLHSIYCQNQALKELREKEEESRFEAQWMLSTDLRNVYKKIVEQCKIKDEDEIHSVP SNVFQQILEEQQFFTEDEIKQIMEIIKDQTVEYETSDKVLEETIFENLVKGLLEAQRSKM EVFLLEHFKRQDKDNTGIIKINELMIALKSCEKIKLSKVQLYSLQSYIKRNDKDLVNYKQ EARKIGAIIKKFFNVDLMEQRSRLPQHTKIDAYIPLKTPEQIQEQIKKVGS >CAK80172 pep:novel supercontig:GCA_000165425.1:CT868352:402540:403711:-1 gene:GSPATT00015079001 transcript:CAK80172 MTDQTPNDFHSELESSPLNLRQSNMILFQPYSPILFRAHLSPNNMVLQDYYIAQPIQNGD DIFPFDQQPLQKMFDLQTSNNQSPQLKQVVIKQSQNKQQGFQIVQINTTEMTPQKRRSEQ TRRYYSEKNEKKSINLAFYQDQTPQRSQKGLRNLSVKVRDIVLELKSTSYKDVAQRLIQD LGSDGQIVELDNPKDEQNIKRRVYDALNVMIASKVLRKDGKRVISDVSCKHRMRRNETDM FKEQLINQKNLIKDKKKRLQELFIKVVALKNLVHRNQNNQSENKMMFPILAFQAQQSQNL NLKWNPKYLKIMSQQKLKLSADLDILVQLKMYKLYKNMDEFMPKNLIEIVQINDLCS >CAK80173 pep:novel supercontig:GCA_000165425.1:CT868352:404257:404903:1 gene:GSPATT00015080001 transcript:CAK80173 MKNQQQQSSGNTNAAQIRKKLLNNQQVLSESQKNEIKKAFDYFDTAGSGTIEATNLKVVL RALGFDPTQEEIGKLIKDLGKGDVKYDAQRIDFQEFLEIMMVKMSQKDSLDDIKRAFNLF KDGNNPKKMITFDSLKKVIQDLDEDMTDQQILQLLKGAQSNTNELKEMDKDGKEEKKQPE DEYKIEVSEDQFIKILTRDLNDDKEKL >CAK80174 pep:novel supercontig:GCA_000165425.1:CT868352:404913:406407:-1 gene:GSPATT00015081001 transcript:CAK80174 MSDEELNYVTTKTTSRQNKKRRQQQLPPQTLYDMFPSFDKELIEQCYRSEKANIENTVSK LLELQSEPVVLEDVVEIQEDDLQKYIKEFEKLENNEDQEQLNEGFIFEDKSEDYNSLKAE VSMIWLDEPELKDVLNSYDKFYDETQNEDNINNNTYLDLLGVDVNQIPLEFISNIQSYMH KLINQQQNQIQNDYNEFPFLIPPDEGQKIQLKTNKDILSQQMQISNYGNLRKFVNSWTQQ QQQQSKPDSTSLLQRLCEEFPFFSYSKIKFAFEQLGTYEYCKGFLTKYYNDEYYPKIIQR TKVVAQQDNPIQVQQFTVSEKYRNLSANELKQLFVNNRQKIKEIRNNSQVVNRCAGKYGN VAMGVKLNHFYETQYDKIQQLEQEGIKIFVAMIVAGQSFTKVDLHGIYGGEVEELLDELI EQIKIYKTRLKKINIDVEFIVGRGLHSKNRVPVIGPIAQQYFRSCNYQIVGQYEGRMIVK I >CAK80175 pep:novel supercontig:GCA_000165425.1:CT868352:406714:408725:-1 gene:GSPATT00015082001 transcript:CAK80175 MNSYRQNEQKKLRNSYLTNNLETSMISNKSKNSFKDLIEYRSILHLFINLSDHTPQERKG HRNQQSASKIKQILSNLVQTDQISPKSSFGQSLREKQDMRLTDNTCKQFLIYQDSKTPKA NDSYYKQQLVAPQSTREVNQNSENLQNLYYMFQNKQSDSQIKQNVLTLSQLAKQHQQQRN NNNQITQKNWFNNNQNKDSYHSSIYISQIQQLKQKIDNSLQKKTSQKTEKYQNQNETSTV KNNGISTISKRPQQDLNCFAKTEEISDQLIQLVLQKELQWNQLNQNLEKLNYSSLVNHCM ELHQCISQLSERKNSEYLYDIDINIIDTFLLESFTVLIMLMEQSRGQQILDQNLKNLIVY ITQSNFYLIQTVNSAVVHSLLKQRIELRQFQQKKQQSKAKQTLQKNNFIIRSILELMQVL TTRLIQRYISHLISNNKVQNLILFSKTKYQIKFLSSYKKITVQRVKVVIKVQVLQTKRNR PYFLLNKQKIIHQFQILMKLWFIIKKYTQINDDKQFPNGGGQFLVRPYTEEFLEKLSKYY EIVIFTAAQPDYANFIIDIIDKQQIVKARLYREHTFQKDNVYIKDLSILGRNLNRVIIVD NMPENFQLQPENGIYIQSWTGEQKDRALKDLMPLLECNK >CAK80176 pep:novel supercontig:GCA_000165425.1:CT868352:409275:409964:1 gene:GSPATT00015083001 transcript:CAK80176 MQQKTQRRGKPSQLEVIQANTDVWLFNERCLELYLLLQEEAHYFPYCSRKGESLSPEDEK KKNRVMVAAESLGINVHPDKAIVLFFKTEQSLGEQYNHQLMAELLDTLKKVNAEKLIQLS YQLNDSFIQELITIIKYRNVEEVLARNLLNDELHPDHKFQIVKNAYLHHVKVNETPLTFV EFLSSHEATQRVKRFAQKINETIFLYSNWQWIQ >CAK80177 pep:novel supercontig:GCA_000165425.1:CT868352:410621:412326:1 gene:GSPATT00015084001 transcript:CAK80177 MQIQNLQTHELLQKWGLHNTTVFRNPSAPLIYQLSMQQADGSSTRPDSINNTGALVAYSG KKCGRVPKDKRIIKDQVTEQDVWWGDVNIALSRESYSEVERIALDYLGSRERLFIIDGYA GWDPNHRLRIRVFCTRPYHALFMKNMLIRPTEEELKKDFNGDVDFYIFNAGPQTIQKPID GVTSEGCVAVNLTERKMVILGTQYAGEMKKGVFGVTHYLLPKQGILTLHSSANEGENGDV TLLFGLSGTGKTTLSADPKRKLIGDDEHAWSDHGIFNIEGGCYAKCVDLSKEKEPEIYNA VKFGAVLENIEYFSNETREVDFSNISITENTRVSYPLEFIPGAKFPAQGGHPKNIFFLTC DAYGVLPPVSMLTPEQAMYHFISGYTAKVAGTEVGVKEPQATFSACFGEAFLALHPTLYA NMLAEKIKKFDTKVWLINTGWSGGKYGVGQRMSLKYTRAIIDLIHSGELKDAEYENFPIF NFKIPKAAKNIPSEILNPRNTWPNPDEFDKQLLELAQKFQTNFKKYEDKATLEIINAGPK L >CAK80178 pep:novel supercontig:GCA_000165425.1:CT868352:412755:414102:-1 gene:GSPATT00015085001 transcript:CAK80178 MIILFIITQFIGYSYSTLLVYTFGNRDLLLNTTKYSVANFGTVPYGQKLHGQLFQPPFQK ADHLVEQFCDLKSINSYSPYFSFKPDKWIISRIGGCSITQKAILAQKLQAKLLIIYDEEA DDKSELVIADDGNGYQVYIPVIMIRHNEAKILYDKLAEDQPGGSLNAHIKFDQIVQSQKP KVLFGLDISNRDTFKLIKNFKKYYDELKDFIDFDIFYHLLQCAKCRESNYSKQQIDCISN GRYCQLDSSDYEFGNGADVVMEQYRQLCLWQLNHKYWWRYMNYFNSQCSKPSQYKNCFEF YISEDIQANISTCLSQTNGQIELLERQFILQMKSGIVYFPGLTINGKIFRGNMDVEIIKN ALCSSFKDTTNITICNSQQLLIDDETSYKWLIILVVCMIIIFLLFMFFIFRQMLKNQMKK QMKSQIEQALNQYIQYYEN >CAK80179 pep:novel supercontig:GCA_000165425.1:CT868352:414693:416145:1 gene:GSPATT00015086001 transcript:CAK80179 MGCVCEKSKRVQPEPAQIEVHPCETAQPQSQNVKQTSLKQLKIPQKKKKVRETQKLVKST NEEGVKMINDYIFDEFLGEGAFGKVKLAFKRSSGQKYAIKIMRKSKLKRQREYIKDAKGN MVIKDALQDVRREIAIMKKLRHKNLIQLFEVIDNPNNDKLFMVLEYAEGGQVIEWDDDEC KFYLVNESVVLDEPLLNQIFRDCIKGLNYCNMHKNGVVHRDLKPQNVLLTNNKTAKIADF GVSTMVGSKNDVLDNTQGTYYFMPPEACDKDRVKDGYSGKSADIWALGITFFAFAYLDVP FTGSSIPDILNNIAHNEITFPQRADISDGLKEFLQFILQKDPKNRPKIPEIAKHPWLNAQ NMNLWDEINKEEQTDMEIAQTDIDNAYSLSSIMMIKNWAFKWRTTSNLKKMSNIQQTQPQ QINSEQIIK >CAK80180 pep:novel supercontig:GCA_000165425.1:CT868352:416176:417447:-1 gene:GSPATT00015087001 transcript:CAK80180 MKVFAKGLNFMGQLGQGNFKSLEQFALVPDLQNVGVKQLEANMTQSFALLQDGQLLHLGT LSFYKRNPTLCQLWQKYSPLGTMLSMRGGIVQPVLLNKPIIDAPIKSFAVGGSFIIIQMN DGVCYGMGENYKGQLGNGELKYQFQFKQLQLPTKDKVIQVACGYQHSLLLTEGGVVYGSG KKNHYQFTETRRNDQEQIYVEFTQHRQLMDIGNQKIQRISSGAHHTLLQVSDNRIYACGQ NMYGQCGMNNEMDRIKEPTEVFLDLQPDESIQQIVSGQAHNLVLTSNNRLIFFGCLLHNQ MGVKSKFDFEFSGQTEINLPLDADEKIVKIYAKFDRSAVLTSKGDCIIWGGEDLRYMDVP YYETYTRLVPELGLENIKIKDIALGFSHIMVLTD >CAK80181 pep:novel supercontig:GCA_000165425.1:CT868352:417456:418347:1 gene:GSPATT00015088001 transcript:CAK80181 MQDKQKPKLFLEKLFDLLESGEAYNIIQWTVDKDAIKIINRSQLIRDILPNIFKQTSYKS FTKQMNLYNFKSTKDENGFTVFINPHFTQSSLNLTLIMAEKRTIKKSKKEDTKKRSELQQ EHEQLKQKLMALFKYQVTLQNEIKTQLEIHKHLQMRVAIIKKSIYSRKENGLKRRRKVYN FLNSFFTNLKSSVICQKFLILNHDLICQCDDNDEEQCRDFQTSRSLYQTPYLTPRNLTIF QQNSIRQLQDLFYDEDNKPKSFLQLTPEQQKQFIETIWDD >CAK80182 pep:novel supercontig:GCA_000165425.1:CT868352:418982:421312:1 gene:GSPATT00015089001 transcript:CAK80182 MKKQAKSRSPDQYIEQFIKNNQQKVDEDEFQTLDLTRMTSLNKINTDGQNFYINILHGNQ EMTINLSQYLHEQYGLIHSFKNYPPEQYYGNCEYKLKIIKVDQCKMEHRTTQMQFRLDEG KGIAQYRVGVEDDGTPTGINFEEMLVSIQWLIKFANNNKAEIILQKMHQGRTNTQKIAEF MVRRRLQDSIKTDIRIVMLGQVNSGKSSLIGMITTGKSNIGRQQFGKLFRQVVGFDSKGK VVNKLNLLGQEKEDEYVFENATKIITFIDLKESHHYNNNEAMQNIQSQFPNYFMLVINAI QQLGAEFIQQLKLTLSQQIPIFIVVTHADKLKDDYELEILLFNIRQKVKQHIIKTIPIIL VRSTEDIMLFSKQIIDQYEKETVIPVFILSNLEYKTHNLFLQFLNQLPLRNEFTNNNTQP VEFGIHQTFEIALTKQINDEQPTIESESFTVEDLTLRSNKGLIQVQDRKLLILGGTVTKG IIKKGQSLLMGPDKMGNFYPVQVQNLQSNRVKVKSALSGQLCTVQFSLVNSYVKNIGTVE NPIRRGMVLVDSKLKPNSYTIFIVNLQFFSAGWKQFQQQQQQQELISLNQTQYLNASNEK ISLSQSHELLVHTPYSKQICLILENLHENPFILVKQPNISRQPSRSVEGKLASSSSKYLQ KSKSKREKIQQKTNIFEIKSGETLSLKLRFKYQSEYLTQGMKIVIMEQKFSAFGHVVSME I >CAK80183 pep:novel supercontig:GCA_000165425.1:CT868352:421401:421831:1 gene:GSPATT00015090001 transcript:CAK80183 MSNNTVLQKFLQNNPFIEGIVLMDKEGIEIIGAFQNENNKLRTGAQSLMYVVAIQQCNEN LRKLSDSETKQITLVYDEWILYFEIWSHSILIFYCNVKANIVSLKQLGQELKRILSPYND LLEKQQK >CAK80184 pep:novel supercontig:GCA_000165425.1:CT868352:421856:422713:1 gene:GSPATT00015091001 transcript:CAK80184 MSLSLRTFILICLIFVGYCKESGEKHLTILTQSIGSMLVSEIGDKTFFLAAILSMKFNRV AVFAGAGGALVLMTAISCAFGIIVPSLLPRFYTAIVVTIIFYFFGAKLLYEWYHMENEGD KEELKQVEMELEELDKKLLSSHKIIDPENPSEAQKTNLAAVVPLQQIVWQAFIMTFLGEW GDRSQITTISLAAVQDADIVFLGCSLGHLICTTIAVIGGKLLAHSISEKTVNLAGGIVFI VFGLMHTYALITGDLD >CAK80185 pep:novel supercontig:GCA_000165425.1:CT868352:422867:423986:1 gene:GSPATT00015092001 transcript:CAK80185 MGKKETKDKKPAQFKKIYELLSKYSQVIIVGLANVGSKQVQDIRRILAKRNALLVIGKNT LFKKVLATRVQDLPEGHEYYEDLKKFGAAIKELDVLKNQVAGKVGFVFTDTPVFDLKSVI EENKVETPARVGAVAPIDVVIPPGPTGMDPASIQFFHALQIPTKIEKGQIQITKDFVVLK TGQKVGQSQAVLLQKLGKKPFLYGMEVLACYDNGSILNKQQVSVNLNDVLAKFQKNVTNI SAISLANGWVNESSAPHLLANAFKDLAAIGLQSGFIFDQIKQSNAPATTKAPVAAKVEDK PAQQAPAKAEEPEEDVDMGGLFD >CAK80186 pep:novel supercontig:GCA_000165425.1:CT868352:424061:425141:1 gene:GSPATT00015093001 transcript:CAK80186 MQFIGLSNFRMNYIAQFRISLRQITILSGNSIIKRYSICQEESQQLQGLIANFQTEFNIN PQIIKQNSQEQVLKADKHYQVQFVCSEIWNFLMQHIQGGFYYHYSSIGPCLPIYTIEIHN IKKSTIYDFTFLSQHDIEQLPNHLVIPVDMTQMEFLIQNEDDSFTYLTKVVPLAFTVDQV INKILSSQFNISNYLCFNQTSKKIILNKNSTLIYELEFKSLWFFKPNKRDIGFQENFDEI TMQQDFQNLITGSTESTFESREIQSILDSSSKSHEFEDDINNLMLRDINEFKNEIETILQ YHKKQPLTLLKEEQAIENINQIILQIEQENCFKKEQQEEGTNDELIDYQDI >CAK80187 pep:novel supercontig:GCA_000165425.1:CT868352:425154:426749:-1 gene:GSPATT00015094001 transcript:CAK80187 MNQNNQLQDEITKELLTIKQDIELKVPEFIRQGCLELKVDQISTQKKQDIIKCLGGIKYK QDKQFIPKLTECLLSHLLRMKKCSLQSTNINPTRPIYLGQLITITMFYYEDKIIKSYSIL EELTKFINKQIHIYYEGIRDRLKLIKDKEQQLLNQLRNSQSNNDKQNETQQDVNFLKCYC HSQPGLYVQIKAKLNTSKVLQCKICSQNFHGFCLQINHDIQDFICPYCTLVMLNPQNKVI DQIVQSTFQQFLQFKNEKHFLFNCPVKHKGSQIEIRCLRIDGKDGLNEITWPDYGELQMN GVKLAEFKPLSINYSVKKRKDDSINITNHIKHNEQNRITLIEYKSNEELKKQFRIQHQCI YFIGIFSINQLNAKEFLLDIKQYHKNYLSIEDSFKLFKQECSTNKDVKIKSIKISLLCPI TLQLINIPARGRFCNHLQCFDLENFITAIDDQRDKKIWKCPICKLKCFKFLIDDYQQVIL ELISENSLSNKEVEFNENGEISDLVLRELCNQRLKNQYQMNDRIKKQIKTD >CAK80188 pep:novel supercontig:GCA_000165425.1:CT868352:426859:427207:1 gene:GSPATT00015095001 transcript:CAK80188 MIFGICIIYFLTDRKIIKKRDFNAIDQFKLKRRIFVFLKVYEINYWADQYLLLSIKGRNC QESHWLSLGQFHTYEVELYQQIDIQFENWDRFHYAILDQIKQ >CAK80189 pep:novel supercontig:GCA_000165425.1:CT868352:427632:429875:-1 gene:GSPATT00015096001 transcript:CAK80189 MLRLVSRLRFCVAQRNFFVVPPLYPEKEDLAYRPKITSYDDSQKQLHAVYKKKLAKIKSR VTQRVREMQPKEEDKQESYQKNGQDLIQNVLKHVYIDEETNCPLGLFFDDFVYDIKLKRG QQYEAEYNRKVQGLLSQMRVMSETELLRTSQDLLVKSEAPEYIAKARKVVFDRFLEGIKK LPEEERKKILGDDAQDFDKKINDEAILNKYVDTNSVKAVAEEMARENLQKDLEDFQIYDP KYNDKNQQLTFGKAYLKDLDFYESGCTVEEISDDERLLKMRYIRPSDGQEALQTEQSIID KVNLNFVKRAYLKRLANKQQDPKESKKQINAKDQQNWEFKQIHKYLKNLDTQSLQLQMKA KKINQRIVDPVKLQDIVDNIDFLLLGAPKFIKDLYNDPKNKIKGYESVFQDVEKNKGQSL FWKDFGSSFQTIFPKVKLNKQQDTFVDIKKQPYNRHVKEFFEEKVDEVKKDEYQFSVELY KEFQQPSNLEFFHHYLTNIFKLNREQINDGLQAFSDNINPYTYEVPSTAMMADDVDPDFE DVVEEEVKIEDFKEKSAIQIDQLIEKDYSESSLLYYVGRGRRKSSICYVQLKKGVGEVTV NGKNLINYFTHPIYRRTALRVLELAHLSCLVDVNVVIRGGSQSAQSQCICSALSRAIVKM CPSIKKIFRKLLLTRTDSRQVERKKLGLYKARKKYPYSRR >CAK80190 pep:novel supercontig:GCA_000165425.1:CT868352:429957:430428:1 gene:GSPATT00015097001 transcript:CAK80190 MRIPQAYYESMMKRYKKSKHVDQTDYSWIETTKKPLVERIQDFAQCKQLQDPKYTRIICE TIDAIFEAAVALFQEQDQTLCVQLLGESDSIQIWDLCIQCCDYIIKSANPKIIDMFFSYD HILILLFHNPDYEMSNYIQIGVMHAIFD >CAK80191 pep:novel supercontig:GCA_000165425.1:CT868352:430433:440663:1 gene:GSPATT00015098001 transcript:CAK80191 MNSSKACKFKIEQFERVFLRFMYINTFLQKNIYNLSDQECQELFQYQTDNYNLKITIPNE DMNIYQRYLEMSNFRKILKSPPVILDIPFDYTKNPLDQSLQIAAEIKVQKDSILFDQIFI RLLCQYSNNDEQILQKLFINNYIFHFKCTFQDLQLFNNKYMADITNRLIDQKDFLFLLIR MIASNKEYPLEESLEDTLVDSLQFIKSKQIQLYEHNTFLDKLWLFELQGIQDTLVWWKKE LNISEGNFYDNRMKLNVKLEQLFFQSLSDSQFTQMFKKQPNYTQWNFIIIQIIRKSFEQV EETKLPYYILNPILSYCINLITELPGNNQNQQLDYQLESQIIQGLVEILKKCNKKPQIEL QIRAFQLLNQLVTYYKQEFDQVQIQNAQSEFLMPVPERYHKLLAEIWSNRILEDTLKGAK PNEILFTHLITLLAITMGENPARVRQFYENNSLQDLTNKIIELLRTCSRASPLIVTIINL LIAISTNEQAISSALQSNNNGDSQQQENLIKRIFIKCYLPSAELLHDPTVSDDFAKKIIM LYSQSMLAKKLIEKVIENTFVQISQLVDLSKDKIEEIVSNQEKLQSFYSYSKSLQALLQL FMQLQPEKKSSYRSFQGYEELQLEEAQRQKDLLFNSLLKNQKFVEALEKIIMSPILIGSK NKDTYVEHYVGILCKKNKLNPYNSLWKELDLISQNYMVIGDQKIIDIGKLVDYEALSIHM SDKYFFRKIIKHISPQTYRGFYILSRFHLIHYLIKMCVSQGVGQNQDSHLMISQLLEIYF LSSQHAGFHESIMMFMSAKQQIQKQQTTYDEDNQIRRRISKDNLIPLQSSCLDLIGKLQQ QVEQKDYVIKKYGEITQLFFQNFQEKCQQIRQAQGVQIISIVTYMQDMLKSFKFLQNLFL SLEQQYQPLQFAVICFQNSNFFLQLIEILQYLDSIQRQQQFNATKEQEITRKILPGFIEA IHQHIDSIIKLLIFKKIAIDKIQLSGQLIQDPQQLDIVCDEIFVSQASILNQMIDLLLYN NCEGNLKTLLEQLIQKFYSIKDLQVQEMRKVAGTETKEQQVVGNKDISQILSGNEVRQEQ KKSMFDRPEIKAMIDDICDMGFKQQLIKKAIQRIEIPEPTMIIDMLINERISDDEEEQED LFDQAQKIAEQNQIIQDQIAQQKLKMENELEKEQKQNEIQVLDQEQFIKILQQNFLQANQ QLNILERFLALINDFQVKLDSNLNKLLDQQLNNQKANTNLLEYLFTIAKKQQHINKILDY IFMILNPLKKEKSSQDLNTTMLQYQKALQWLNLLQQIAPQEHEKLYQNLALIDQEPQQKH VLSFQSMITFGFALSDDIFEQFKKSGDIELQQLKQLNISDMSYQDIFSQVQNFTANLNNL YENKQTTLKLFCKEILEAQKYLTKFFLNLQNKHDQLIERYWSQILIYLEFLIQVGTMNFD LQESTQQTYNLLEELDTQINNNQVLKEQRDQSQNMIYMRQVIQRRFMSDKQLIAQLLQCL NNFGLHNQNKLFNMLTKKSSSDKLSLLEILLQLKMHPCHFEYEESQPVFIENLIYLVAID TKTTNDLIFQILKQVMLNEHIDWHAQQLKNTEDLAQILFPQKFIECPLQYLLQFHPVLIN NPIIYNLIIEQQGLSITDKYSELNRIHTPKQLQPQPTGKLTKEQERLLKEKEKEQQAQPQ TEIVIRYEIDQLIEPQLNENFIYLVKFIAQATIDRFFEENQRLIEQIFKVQFNQYKDDPR MYVYGWDQLLRIIEMLIIKVPQLILYLRQLQVDLPPQLSKGEKKTTFIEFVVKYLTWVGG DKLANFMHNYLSDINLLSIGYGVTIGQEVLQLLLNELQIDIHQDQMVQKYYHIMQILILF TQTLTMQNCSMILTDQRSQLNIIPQLIDTLNQIKNCDNRQFVKTYQQTIAKVIEPFLQSQ IYYDHIKNGKYGEVFRLQQSITDDPFKYHYLHCLRNQLICYSLYPGFKSVAGSAQHFISH QQVQQTLKDEHQQELVWPLYQSRKNYNNQRQNNQKQQEEDVSDSDSDQDILQVDNSQIKY KVNYTNINSWGSDYYYYDNNDFMSKYSMNRDDDRMLRDNQQNIFPQKDLNIIFCKVDPQS ICFNLPTLTITKQNIQYYSNDYKQWITSIVGLYYSSNEYFENKKSLISKSFENSLKIAVE FIHQINRLLLSSNYYRNKQNDYMQQYLSYYLNGTLNSLQVQVSNSCFYSKFGQPPNQLQQ DYQANSLSINVNESQQQQHRILCQISCNVLQSRVVLNGMPNDLQDQNLLRAKLQSLLHPE EHLIIQPVILQIPKKSFTQPDTQQLQIYDNVVLEMFINLILDTNNFPQFPFNLFRQVSKC SRLGFKLLIQLLLLYQEQKNSLDLTLKILYLVSQKIPSTNLRDLLSEQLQLSPILNKREK ANLAKVQEIKEQFVIEEEENFEEQPQDEDPENPLVFLINQINRYCEKNISFIKLFHNPES YIPLEKNILLTPLLANQTGMHLSYLIQIIKGSLIVANEESKDKNRKSDDDYLQKIQRRQA TIFTNCQEESNGKLFDLVAQLNKHEEDIHLQELIFKTFAERYSENSQDQQQEPQSYYSRQ PFSLRQNELLFQQQQQQQYEQKQQVLLLQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQEQEQEQQQQ EQQQNQSLQNNSIFQIPLLPQTLHGLPQTLPTNAPPQISSISILQQQHQQLQQYQQQFQS NLQNIRKLPTSQAQFQQLRQFYDRFLKANDDQQDLQQTQQQNLKQNKNNKANNRIRINNN QIIQNKRYSNYKIKAKLSLKNKTESDSKIQQRERKLSAKISHVEIDIITALCRILNNPLL RDCNSPEIINILNSYSNDRLKIEHAINELTKYIIQQSKIFNYELDRKRKQLREITSQREK VPVHDPERQQQLSEQENEIIKELNQKTPDPSVIQRCFIAITGFLNFNVSASEQQKQSTIK PNSTQTRQQIQLDKKKFKLHQQGKHTDDTQEVDALTKKDIRKQFINVLQTRQTHHLWLNV VETLLLIFNCKGHRSLELLQKKLYPLLECFLRLYQNVHEDTNNQDSHVQGAADANFFERN TSGSATNSLSFGLLQTQNIHLKRSFSAVRNENEMQMKIDELFTYLCINGKSLINHLISQR LHQVTIDHKLNQNPNLIKLFKDSDPLAFVFFKMSQIVSFENKKHLFELDLDALKIGEKPN TRSRGRQNDTIEINVVRETRLQQSLEKVINIDKSKFRKCDIKIRYQGERGQDEGGIRKEW MTNLVKDILQTDKFELTTKRFYKINPNKKAQEDLNHYKLLGKIIGKSIYDGLLLPVYFIS PIFKQNTLEKNLALMNLEHYNEGYL >CAK80192 pep:novel supercontig:GCA_000165425.1:CT868352:441262:442274:1 gene:GSPATT00015099001 transcript:CAK80192 MKENPLQQKYQDFQENESLLEINLEQFEQQQLLLYLQQQQEQTIALSKIIEVSQESQQKF DQQEKQVKDQFLGSEMKPPIIQVEQQQNGKKEIQIKKLPEDITAEDMENRERKRLIRKNK KKQLNDEVLKHIKLQDVFEKQTTNVSIKHKRLICEYISKKIMLEEINTQLQALREGFFDI LPKEFFSYMDWRDLQKLIIGVPSVDVDDLQENTEYISDEKTNQTVLWFWEVLTTLSDSEK ADFLMFATGSPLVPFGGFKNLRGPNGPRKFSISKDFNKEHFIKAHACFNRIDLPEYSSKE ILREKLLKSLKESGSGFDLS >CAK80193 pep:novel supercontig:GCA_000165425.1:CT868352:442735:443747:-1 gene:GSPATT00015100001 transcript:CAK80193 MKPQKSIIKELKTYEHNLFKSNKEHKKININDFPNLSRKPIEWTDCDQFIKLRQFKCDKR LAINLCQQYKIHRSDQQFFDTIQNVNTENEEYKKIQNCSSFLLRQIRQNQQKKKKLRMDY QESQFDSKMSSILDHSFSKFQRSLDKHIEIENDFQHTFNLKQLTKEQLLRKLQSLNKGLK QNIQTENIQSNESLQKISLPGTFISIHPSLTDKKYSKAQTPTSGLQTQRDTKINFIRTFV KWQLNSKSNRSLQQIKKIKDDQGKFKPSLSKDLHSLRNIGTLTDIVQMQMKHKSKRHKTN HSIIKQISGNG >CAK80194 pep:novel supercontig:GCA_000165425.1:CT868352:443924:444116:-1 gene:GSPATT00015101001 transcript:CAK80194 MQYQLSPPDNPIQPKNIHKVFIKSSQEIWDKQQSQQKATVKMNHANQQKSQIDLR >CAK80195 pep:novel supercontig:GCA_000165425.1:CT868352:444264:444878:-1 gene:GSPATT00015102001 transcript:CAK80195 MEQYDKYQLFLRLTNKKEPTKNNEALNSSSKKQHPTILSGKRNPNNEAYKKLHQSSEIFK ENTTPIKNDITKPKNYATERKSLEDLNTKRTLIPSLDQFQSPERKCSSNIRYNPNTESSQ KESKRILKTCEQRQQDFSHRINLPISAVIFIWLLFQMQVKDYKEDNYGFGKYKSHESTQK LKSYLDLQGHLNNCKN >CAK80196 pep:novel supercontig:GCA_000165425.1:CT868352:445273:447090:-1 gene:GSPATT00015103001 transcript:CAK80196 MISLPFDKWKLSFYEYDFEIQYEEHSNEIRLVGFKILNLLMTFAALIALIIFVVQQQPSV LIIMMAAVVFCCFGLYLLSPKLKPRLKFVFSCMYIWSVSTNVMIAMSGNNIPNFFFGFNT SALLFGILQFSDNKLKIIYTIVTPLIIIFLFEVYTTNNIQFILHSGACMSFISVLTYMFE YTSRLAFSLNLIANKQKEIIDEFVTDSMFAISLDDRTRQFNLEFKNKAFESLKNIKDSEQ IRQFLRTSYVLNNMNESQKLNEKALSKLQKLEEFFFKKIRSPEGFMNNENQDDEIEIIQQ DKINEKYKSMKLIVKLFNFGKPILIVIIKTEQVHNLIESYEKDIIEYQQQIVGYSQEILN SQANINLEIKKIKQHFSKNIQDQMFLKLQCLNLQIMNQVRNYIIYFQQEKIQQLTQIQYI SNLNLYLDTIQQYLSTIAEYYSVKFMLQHQMEIQLSLNINIKFLTQISINLFQQILMHSM KNQVISLNITEELQTSTKRQTQQQQVEIKKKVEDFQTQSKQLIDLKSISFMFTFYSLHHI NLQRLQSFSMEQKTDVNNFNHIPEYVTCYLLDQMGAKQFCINQSSRAYRY >CAK80197 pep:novel supercontig:GCA_000165425.1:CT868352:447496:450814:1 gene:GSPATT00015104001 transcript:CAK80197 MTTTPSVRSRKWFEDVKGELLMPIVRIKPATNFQREDLRINNQNIILTDPNNRILEEFEV PEAYGQEVGLEQIYNERVAPLIQQFIQGFNVNVFAYGSTGAGKSQTIEGNKKEKGIVTLW ASTLFAYLQDKVVQTYKEDSIIADYKYEVRMQYLEILDENIMDLCADRKFGERLFVEDHP WDGPVVQGASWHEITKDTDLNDRLYKGLKSRDNTSNEFGKVSAKATSLFIIDLKQWFSNK DTQEMMLLKSQATFADLPGSEILVDDAETIRVKQGSTLNKVIIAFTQLIKDLANRQEDYV MYDTSTVTKLGKEIYGSNSYNIGIFCCQHGDPKGSSLTLQVFKQARKIMTYPVINNHRVI ALLRQFRLEAASSNQVGKKKDTPVASGDSVGVQELLDLKSKVNDYAKQIADMDDEITDLK SKLSALRARFQELVKQKVELQDELIKAEEDKIESAKALLDMELQNTKLQELIIQIQSENN TKKLNDENISDDVRLQKAQQIIQELQDRLRETIDEKREFEMEFMALKKNYMDKLKELENI RLQQENYSLELINLGNENKALQEEISKLYQSDGQTNEQTKMMQEKLKTMTDDLRDKREAL EFAKAEIERLKVELMKYGLLGAKDKLELDKKRLELDKSSTQIQVTQSPNNDDAFKNERML WESQKMELTHKVKSLQRKVNDDNERIKDLEKQLNDLNGENVKMQLQLDEMRAIYRNKLIQ IHKLDPRDELFQTYAGKEREYSQSIEILSKKNKQLQIEMRSIKSYSRQIRYLAEDWAPIG QPLPDILHKGYMILDENELHTQAQKDQEQEIQRLRNKNHILEAELMNLKEAKKIEDPNNA TKRLISEIQLLKQSQVSDNNNINDSSLRRERNDLQEENRRLVQLLKDSNKWDLHKMQQDN ERLLKIVKEYEMIGPQSGQSKNVQQKIQFYEQLTKQLERERTELVVKATVAEEQLVQLQA SMTRVAVDYQKQINELKKKLKVL >CAK80198 pep:novel supercontig:GCA_000165425.1:CT868352:450866:451852:-1 gene:GSPATT00015105001 transcript:CAK80198 MQIIKKLLSPLQKPYGLCQKSSQSLHQNIFDSIQEETKESATQRIDNSQIQGRVEINDFD EQTEDDNLDQEDSISFDENNNNQDGKPLKNVRQPSKKRMNNTKSRSKIKQQGTMDSDQTK ESQDQESIKFDDQGNQIISPNKRRRKVSQISNNLNNNENQLDDLEFRENQDFQENGSKQT NQHGKVASRRRTVLKANESKQSDEDHHSEDDNEMVIQEEELSENELESEVRDLKKKEQVY QQQVQFQHSFNKEILIQNQQDDYQESEGPQSFRQERFMIQHPNHEIISVSLLDRFIHLDN IYDYKFLQSWNYHIAKIRQAKFLDEFDI >CAK80199 pep:novel supercontig:GCA_000165425.1:CT868352:452085:452507:-1 gene:GSPATT00015106001 transcript:CAK80199 MSVSVYNSQEKIKPSNQAKHFQNSEFITCQDTNNSISVVQTVRRYRSKLKKLVQHNWDRS EINSRDPRYFFFKEPSASIKLNNLQDNQEQVDKLLEDRIKQLMTKVQVLISSQSFYQQGK YQARLNVNLVKLQDEYDVLF >CAK80200 pep:novel supercontig:GCA_000165425.1:CT868352:452788:453889:-1 gene:GSPATT00015107001 transcript:CAK80200 MFPNMNGANNAQGQQQGNSAPSIFNNPVPSNNLFGQPQNPLGQQQPQGILGQPQQSSIFG NTTQSTAIFGQPQQQQTNVLGQQQQPPLAGQQQPNSLLGQPQQQATQLGQTQQTNMFGQP QQQQPLAQGQQTTIAQPTTQQQPAQPKNYTLNQINQQTKLHIENLTKAIANKEKIDKNQK NLQLIEKNSLKNIQQQQQQQLTIGNPNPQQQVQRTLVKGDRRNHTQKVENYYNEWNKVFL NISKKQDETLAQLVALVDQLTQQDTSFDIDEESLIDSIKQFGKDLDVLERKINYVVKIQE KILGLEKEESEWDQIVNNLSECLLYLDQQTKDVEDLLQQAEKHPKVQNK >CAK80201 pep:novel supercontig:GCA_000165425.1:CT868352:454213:455452:-1 gene:GSPATT00015108001 transcript:CAK80201 MLIFFITTIYCQSILEGLKMVEKSLKYTYDKSILYKNCKSIFGLPCKIQQQQNSLQELQM KEYIDEEADLFLGLIESDSEYHQYYQGVINQITKEIGLYDINEEFLSVLMDFDQLILENE KKLIQHKYYVELQEREIYSLHNENDTFKDSNGSLFIYFKDLYIPKQAVQYAKTSSKNVYI ETADKNGTVSFSQGVFLSSLYVKSLKMNSKITIAFQGGLTNSLDVKVDENWVLIKGPIDY QVINITISKNTAIDSILIKLKKFAYTQEQISTLLVQNLLLKQQLLHQDKKLNKQMIEENY EKQNKKQKMIILQDMNYDQIDEIISFLELINLEFKKIKGNEQNKKLNSDQILEVIEQIVN VQENKKIFVKFQNILEVFFQSEFNEEGIQLLYLDLVKAKSELQEN >CAK80202 pep:novel supercontig:GCA_000165425.1:CT868352:455698:457485:1 gene:GSPATT00015109001 transcript:CAK80202 MILELNSFFDYPENQGKSTKIWQPVDLEIYNNDIIVFQEDCRKISKKQKQLKLITIQLGN EHLYYIKDQTISQLNIIVAIMTIYKHDEFGNIIRISRNGKFVDLQFDEYVVFKNMMASRC LQTTFHDEFGVTKMIGKGSFAKVYFATKKSTGGNYAVKAFNKEFMQEQFKGRESLENEIK VMRRLNQENLVRLHETYETQNSIYFILDILKGGELLARVKQQPLSAPSLQKLMYNLMKAL CHLHSKKCMHRDLKPENLLLKEKDNDTDIVIADFGLACFLNEDILFKRCGTPGFVAPEIL LYKEGDPFYDEKCDVFSAGVIFYVLLTGRQPFQGTDYKGILRANKNCEINYELKQILAAP IQLVDLLKKMLCPDPKIRVSSEECLKHPYFKEIFKEQDLIDVQESLRDYDKDFVYLLGKQ QGPPSQVGSMQLQQRQPALNGRIDTMGSFSNVSNNGSVTRLDQKPQLQHSKFSQFGQANK QQPQSDANSPAMRKNLQSDLRKTALKNSFQQQINQLSKDDDCVNDEAAHLEDAISKLNSQ TPKMGGLKKANSFKIPKSSME >CAK80203 pep:novel supercontig:GCA_000165425.1:CT868352:457498:458213:-1 gene:GSPATT00015110001 transcript:CAK80203 MNDELEKTANFFFPVDNQGMKQKFVHTRRLLKHPVQRNVSNPSTQITTSKRSSDNSGVEA QHTPPQICGMRKRSELHTNSEDRKSNNYRIFSSNVNQRSSYPQQFSFGDQFKFMNEFLQL NDEHQSPPFKQSKKLPDIFQQRNSSQGFRQVFRIHSGQSKRETMSNFVKDIECPKQQCMQ QLQLKQTINKQQLRGTQTKLPTIGQPVSFLQTKQQLKMINRIFSGKQDQ >CAK80204 pep:novel supercontig:GCA_000165425.1:CT868352:458674:459216:1 gene:GSPATT00015111001 transcript:CAK80204 MTHVFLKIKFTTKIDVPGAQTINNFQINITEPSLYIEAYSFELLNRYVLRIQTYKFMNPN YFHYKFVELGQVIIEILKSPSPYFWKNIHSNIMYNPPNQYIWWDMYYQYRGQLEVAFGLL EDTENKREELERQKLSEDLKMRESKKQYKKIKEDNQEYCAPLNGDEWSSWNI >CAK80205 pep:novel supercontig:GCA_000165425.1:CT868352:463586:464819:1 gene:GSPATT00015112001 transcript:CAK80205 MQQKLKGEQNFWKNIILKEQNLNYLQGTFYLNYFEEKVPNQSNQQRTDTCESLKNETQQK NDESSEKFEEKFQDDFLDESSIDSSLEPIQYCFMVSYLISPNEQYLVVNTKQVNCWQVSD KIIILDLKNKMVFSFQFKTDHTGAPPTYFLSDYLISVDAKYGETVYFIFLDLLNNWGQKF IITYNYPIIQLNYDSYLNCILILTKKNKIVKQQLNLINLNQELQTVLNFKNGWPENMLDS YPLQSLHILNDSFAIISNSINQLFLIKISNQIQVVKYYYWKSVKSEVIKLPLNNSMAVMS NQLKDISPILVDYCRGRLIRKAPKGGANSHLYSYKGSCFISSIQEEDSQGVIQSQGMIFD ILRGNLKYRNLMDKVSLEQTNIKFTHNIIAQYCNDTINYKLIF >CAK80206 pep:novel supercontig:GCA_000165425.1:CT868352:465140:467230:-1 gene:GSPATT00015113001 transcript:CAK80206 MFSDYNTEEQDTCNIEQNLKQKWLPLYQKDTQLAMKILIALKSDIQKQMSSFIQSSIDYM KSLDDQVQELQLKSNQIGSLLYQLPSQVYNNPNQLNLLISESLVDGERIKEFLKIKLRNF VDSDNLINFIQKIQQIKFDDSIRIFNRIFQPQQNQKDGNWTCNQHNERIRYVQLNEEVSN KIACEYCRQDNKYGQYITLQSLKNRWNEYILETAKSFNLVQNKLVSKSNIISQTISSLQL HFQKLIDQINQQLNNQSTIELNKLLNIKNKNNILYQFSNTLENDQFHQQFQLMQYDWSEF STGQLGDVAYICRQKNQIEQIEQESIYKDIQITNMLNQSKCFTNMMIIQFESFLKYWNKP QINYNQNSEIVESGNQAVPLNYQLLEQYNVKEEKCLSFAFNSDSSILVVGCNCFIKVFEF KQGQLTLRQILNDHKDYVRCLYFMNRTNQFISGCNDCKIMIWSCDSNNLWYCSQVLEGHT DYVRAGVIMNNREDLIISGCDDRSIRFWSKKDSQWHCKQILNGHSAEVRSLSLNPSSTQL ISCSTGTEIFLTQYHQNRKQWCIIQIIPTNKCGYSLKFIKDDLFTFQPFDQEILQIYKRE QEQQNFQIIQELKVNSKDNRLDFFSQQLIEEKSILLHKNGNTINIIQINQKNNEFSVVQS IQSSDVYLYGSMTRDGKYLVTWDNESRSIRVRKYQQ >CAK80207 pep:novel supercontig:GCA_000165425.1:CT868352:468265:469251:-1 gene:GSPATT00015114001 transcript:CAK80207 MSNNPKSKEQNLLVQKTEQETFEFSKYQQFSQNQNQKENVLKIQQGIAIPNNSDYTKMQE QECPQDNLIHQKCFSIQKMFVIKVLVLFFFWSLFQFFLIYALLYGMREFIDQIAPYLFPI SLLLMCGLAKIGTLWQFRRFPENLFILIFQVYLSTQTYLTFCRLFNNDSINDEDGISFSA ADLVFVLAENQFIFNCVINLILILYFAIEQETIRIFIPIAVCCIFGLIPLIFNIWLFINS IISVLYGSTIMIVIGQIVKGRFMIQVDNIIAATNILFFGLILPVEMF >CAK80208 pep:novel supercontig:GCA_000165425.1:CT868352:470030:470705:-1 gene:GSPATT00015115001 transcript:CAK80208 MLRTNIQDLSTLLKEKETRYTFRKLERKDVYNAQRLMINAFLSHNPVIQLLQATEEDIKV LQSIDIFDRIIQENLSYGAFEGDNLVSACLTCDLKTDMQDEGVQPTPVATEIIGIIDILL GKYVASKERDYKEVAYLNHLGTHSNYLRQSLAVVCAYLSIEECRRQGFKQLLTESWHQGT YSTFSKIFNNFEVIKEIHEIKQSEVKLISLVGQLN >CAK80209 pep:novel supercontig:GCA_000165425.1:CT868352:471393:471863:-1 gene:GSPATT00015116001 transcript:CAK80209 MLIIYLRNFFNSSNKGNNSQNNLQIINLPSLIILVLIIIFLQKKISIHIFTQKKYHFLEQ VVSSFFFLNNFIKIYICLQLKSVFNNFIQVQYYSLTQLLTQLLKNIFVNVLEFQRFILFQ CIIQLDEIMKFQSEKNTFKISIRAYRMKISFYQPQR >CAK80210 pep:novel supercontig:GCA_000165425.1:CT868352:472000:473664:1 gene:GSPATT00015117001 transcript:CAK80210 MFKSSMIEKEKDLKCANKHKMPILMVVLDPKLELNQRLLCSDCIKNFTTQFSTMGYELVS KQIKQNQKQKVQTIEKTIQLNIKQVEELLGNINKLKSAIAFQLDEIIGFSGGWIKNLLSV GHEYSQYSFHKELENLISKQLENSIDKSLLVDEINKVNSFWNVKINEKLEQFSSFKVYQK CQEILQNLSQTIQVKQEEVQQQKVSQQNNLQCQVDQIHEFKSATNQLASEPQINLQLIDD TIKQKSKCYAIAFNKTGTQMVSMSHFDIKVWNIIDGKLNYQSTLQGHSNYIYCLVYSKKQ ESFISSSNDKTIICWKQIKKNEWKASQHYREHKGWIGTMILNSQENQLFSAGEDQKIIVW KVDFSQNELTYLYQLEKHTKCVRSISLNECNTILVSSGDDQQIIVWEFGQGDKFYFKQVF TSVLNCSGCHIKFIKNNKFIWMPYSNELNCICVFDYEDGIFNEDADNRIQLLNSNKNIGC ALFPIVYLKDKNMIFIRSKNIIYVIKEINGKLVIQNELDCSTKWSFGTTTDDGKYLIFWD EHKGGYSTYELQYK >CAK80211 pep:novel supercontig:GCA_000165425.1:CT868352:475363:475859:-1 gene:GSPATT00015118001 transcript:CAK80211 MNNQGEYKNINMFMRIVIMKHGLQKQQLSTKLNYCRRINNRNICKSKIGYAKVKYLNCTN ADLTLQTWEKPFRLNIEVWQI >CAK80212 pep:novel supercontig:GCA_000165425.1:CT868352:476155:478516:1 gene:GSPATT00015119001 transcript:CAK80212 MNQYEGQDGYINKNGDTFQTWEKQQDIENKKLCANCNGKNNTQDVKQNLISINQIKQAKQ FIQSDRSTQIQLKLQCLNNLNDSVEQLRSFYMHQIGQISQTIKIWQQQLLDAEDAFINKL QQEELIDFPLLLQFIKEQQYAQSQDQIDRIRQINDLILGLKETDIVKKCLSLLENNLSKP IRFESHSLKENKNNDEELSLFCEDHNKQITLFNLSSERSVQKRIGCLDCLDGTINQYTSI KRAQFMWHQISQKRIEKMNNHVEILKIKLNSIKGYFIAIQKGFNCAIDNALNKLSLNYED YILQVNQTISYLGSLSWQSMTKEQMISIANDLSQINQSSILEDPLFDEFSIKENLVYEIA KDTMKQLQELQAIFFDQIIHLVCKQLDKNSSITLQLQYQSANNIASQSTDIIDQLNQNSI VLEHKQQEQQLSIQMKKSKLNIQDQQQSSQLISSKEKLQQFKPFSYKKIEKYSIKQNEFC RAIAFNKDCTLVVAGCNNLIKVFEFSQGQLKQIQVLSEHQNDVHTLQFMKKSAQFISGSA DKSIKIWSLNQNNEWFCQQKLIGHTHYIRCMILDNTENLIITGSPDKTIKFWIKKNEWQC QQTITEHTSWISGLSLNEQQNRMISCGGDKQILILEQSQKNQIWTVIQQIMVEQYGDRLC FINNDLFTFQPYSQDTMLVFQRNNNHQYSKLKEIDVKGGSSGDSYLFPQQFIKTKSILLN KNGQNINILRQRGESDFINEYQIDFGTYYLFGQMTEDGEYLMTWDNKSTEIQIRRYDEL >CAK80213 pep:novel supercontig:GCA_000165425.1:CT868352:478774:479019:-1 gene:GSPATT00015120001 transcript:CAK80213 MEIEIKVKNGFQTSRQRNLLVIISQILILTQKQSTILMSSSYNTQESQIKWSKLDIDTFL PKPINQDELEVLQILINLSII >CAK80214 pep:novel supercontig:GCA_000165425.1:CT868352:480491:481727:-1 gene:GSPATT00015121001 transcript:CAK80214 MLNSVGGGTGSGFGALLLDKLSVDYCKKSILTVNIYPSQETFVSMVEPYNSILATQFLID HADVCITMDNQAIYDICQNKLDIELPKYSNLNRIIAQVMSSITTSMRYDGALLRDMAELE TSLVPYAKLKFLICSYAPIISHQKVDHMQLSTVEIAKLAFETTNMMAKCDPRLGKYISCL LLFRGDIIPKDVSSSIFQIRTHKTIRFVDWCPTGLKVGIDYQFQQTLPKDDIRKALRSAC MIGNTTAVSQVFSDVCYKYDSMFAKRAFVHWYINEGMEEAQFVEAREELAFLQKDYEEAD SAINEENQKENAGKTAQKQLLVNVIDQRVEIVYVENQQVLIDYSQFSHFKRQYIYNFHMH MNKSTKIPQQNKSITLFQKNKGDQTNKNQRSCLVTNQREY >CAK87749 pep:novel supercontig:GCA_000165425.1:CT868634:1199:1848:-1 gene:GSPATT00039823001 transcript:CAK87749 MLSQCNEAIIWADKALQVDPQHCDSLYTKAESLSMLGQYNEAIIWADKTLQIDPQHCDSL CTKAESLRMLGQYNEAIIWADKALQVNPQHCYSLFTKGNSLRQLKKFKEAMEVIEQSLKI NPNHFDSLRIKGECLHDQKQYQQALIFYDKALEIISNHQWTQTRKNECLNAIQFK >CAK73561 pep:novel supercontig:GCA_000165425.1:CT868159:30:2025:1 gene:GSPATT00038911001 transcript:CAK73561 MKVVKALETLGVEWISETQVKKEGEINSNCQILFRITNNDKEHLNDRKLQGDRALHAQIC AGDTIKLSTYTLKGLKDWNEAKFLEEKVELIESKKAWSYIYMAYNEDAGQIHTLLHLFAE DKPVIFNGVQHFVPHFIGIYVGKDPHSRKFQGDLQKWVAQYGQGAFVDVLKKGYQDHLPN FRHIQINQKHLWSEKESHIIQTPEKLEVTFTEETESVDEYAVGVWTRWLIAFPTTLIDRS DVHTIFRFSNKRQYQDKSELGNRVLSAFLTYGNYEFSTYDASKPANAVDGQLPYENIEGE WTYVYAAYKNKQFYGMILFKEQQKAAHLTLDVTHQVLTGYAHFVLGASEFGYKAFHGWYF DPRIFLGSGSFINDSQKVVEMIHKLHRSLPVFPQQADDFVWPVSMMDTTNWEDMDTKKDK LNYEFADRSQVHSYSFGFWYQTAVLLPEMENDFRGLVRLTTNSQDIAGDEKFIGDRTLAV FTKTDELLASTYTIKDPLFEPVSHSFELIKNQWTFVYFGYEKGHARAYVLLPEGPQEKEL SVQHIIPNEFYLHIINDIGHPSFWGKIYGLKVNFGQGSYLENPLELIEKWPYDPKQHRDY DKQGQKTLSINSAKVSKQKSEEFKE >CAK73562 pep:novel supercontig:GCA_000165425.1:CT868159:2045:4775:1 gene:GSPATT00038912001 transcript:CAK73562 MEERRLPPHLRRTQQQQPINREPEQPIQQPPVQQRQANAYQQPQQDNHQQQYNAQQQQHA GQQQYQQQQPHQPQQIFPQQQVEQQRKPSKIDVRGIYPVLIRFSTAPAPLYHPPSVHQFY QTFDEGNCGPRYMRSSVYAIGNEPSILQQTEIPFGIVVQPLMEPSLFESELPQVEFTSEP LRCQRCKAYVSPYFQFGQGGNIYVCNICKMKNQVPPDYYCVLGQGNQRGDKFQRDELNKG CYEIIAPTSYIKKEIKNTLILFCIELTQISVAKGLYSQVISSLQSILDTIPYPDKTDVAF ITFDSKIFNFITFLKPQLENLKQQQLVKLMKLMCHFHQRSYSLNIENDRDKIDYMLEKLS KFGETITQQANQLVSVGTVLSNAVQLMQPRSGRILYFGCSAPRYGIGKLPQKPTDTKLFG TDQENQDKHVSGITSQLTYLQRTMKILNQLLSLQFQIQLGAAFIFTLITTNKLMEQNYIM HLYRNLTRSYAYDLIMTVRTSPGIILFDYYTGGGKISVRDLELSTLNSDQSIAIMLKQEE KILDPEAYIQYALLIHQLIRTENCQQKQWKQCMAQHFQNWRCRLHCYLTKQEESTKHHGS TDQANQTNSIRVSCQYLTCLSKILLEQQSFRIADFTRSHQDLTSLFMNSIKAIDNRIFEI HLVLTQSMHFLNNFFYPKLFPIHDINNQLVADKYYVGTMTDEEKTALPHNIATTIDKIKS DGIYLLDTSQFIYIYVGQNADQQLLQNLFGVNSFAELNSIELFTKVETDYSTKVQDIIES LQQIRGGTYVPVRVVRQNSPQASLVQSKLVEDEQQLERSYADYLCELHGAIQDKGGV >CAK73563 pep:novel supercontig:GCA_000165425.1:CT868159:4808:5507:-1 gene:GSPATT00038913001 transcript:CAK73563 MSGSITNFSSNIHQVPIKDLFSNYPDNQSEEQEQKIIEQLTSQPIYVNQQFVKDWIVFTK DLLRKQQEAQQAETNLQNLQILYLQAKGELKRKEMFGSATTAELLMSQNFKEKLITDKLK SIQEQLQKKKDDVPNLIYVDIFAAKIESQDILIQAKELLEQLEQYNTDLLNRSQDQELSF QLLSTGSQNFSDEQTWRMNQQQQQNQRENTNPDLGSQNDYTIQS >CAK73564 pep:novel supercontig:GCA_000165425.1:CT868159:5652:6582:1 gene:GSPATT00038914001 transcript:CAK73564 MRPKESDVYKRIRLLGQGSFGKAYLCESLKDHSLCVIKQMDMRYLSEEEKKETYQEFRIM AQLKHPNIINFREVYKTVKGKLCIVMDYAEGGDLAQLIKNYEGSIPESRILDWFTQMCLA IKHCHDRKVIHRDIKTQNMFLTKDMRIRLGDFGIARLLDNTRDKAHTMVGTPYYLAPELL ENKPYSFKGDVWSLGVILYEMCAKTPPFNADSLASLALKIVRGQYQAISNNYSSQLRTLV NQLLTMSIRYQKCNNHHKQNKELSI >CAK73565 pep:novel supercontig:GCA_000165425.1:CT868159:6587:7964:1 gene:GSPATT00038915001 transcript:CAK73565 MKRSEFDHTILHNQQIQLTDTTIPLIDDQDLKVDQTEQTPKSRNQTQQQQQQQQQQQQQQ QLPGIKSPPICQQKQRKNTDLRKLPEIKLSKPERPPISRQQTSRQQLYQLPSQRIQPSTP DLGIAHKQKNSRFVTKESPDSFQGSDQILEEGTKNSEQGSPKFFQNVLDKPHIKNIKGLS KLDQIQKIKLGQKSEEEVIKPIYRVHAKPKLLEQFIKKQRERKISEEIITTQQHQLVFQQ QQQLEKISETPEQKLLDKTTISDFQTSEEQQQIQNNKQTVDTAAFDFQNQLQVFDVTQKK PTKQPSKTSTSKTDEEKNKKKIYKIIYKDPFQKKPQVIKKRNSEEDMKEMINELKNVLSE QPKKIEEPPTQGDNDSEGSRIYSEDSSEDFRENTDTLHPKKQVNTWMQGNSEQIQIVNTS KSQPEPQRGNQSGSRILRDKLEIKLRITF >CAK73566 pep:novel supercontig:GCA_000165425.1:CT868159:8143:8630:-1 gene:GSPATT00038917001 transcript:CAK73566 MNQDLDQKSIDQIIDKKLAIMEAEYELFKKYDNPNYKGADYPIDQINNQSNDNQQKENDD EEQDQGKDKDENMNLDEDDEFQEYQGYEKMEENSDKEEEDDINYDQVEHVDPFAKPLDVD LIKKCMAEIHMPTPAWAQNLQGWEQRLSQMKQL >CAK73567 pep:novel supercontig:GCA_000165425.1:CT868159:9220:10801:1 gene:GSPATT00038918001 transcript:CAK73567 MIQSSTRNRSEQLIYQRRSQEQYVGKLNTKLRQEHYERTFAAWENKGKDIANIQYTKNRL QQIRAEAEANKNQRREKLAILLNAEHEQYQQEIKAMVETPEQVKERMMKEVAELKQRKEA ERARQADAAYDRRFRENADELRMVNQQFNELQAVAYRNMQMMDKQKQLEDQYEEEMIYAE LYRREILKKERLEKVKELEQKAKVDERNKVLAVQTKMNEVKHQKTKEEIEQEKQMLKEEW KREEERHKQRENQYMNYKKEINSEIALNNEKQKEYKKQIKQQEKIEDKEMISQVLSREEA ISKMEQAEKQRQKEETRQFLLNFKNRTNEYSVNDQLKERLINEENNRQWETKEAKWKAED DARVKLMYEVYAQRAENVEIKKKVIEDEKNVKQLDKMELQRQMELYQKELEEKQRLEQEK IMQTKHNLINQMDEKKHRQQLLRDKKQQEEEALRKQKEEYDKKIELEKAKGRALLDELRK QRPY >CAK73568 pep:novel supercontig:GCA_000165425.1:CT868159:10953:11290:1 gene:GSPATT00038919001 transcript:CAK73568 MSTPQIKSKLVQTFGRKKNAVASASVREGKGLVRVNGAPIELVNPAPLRQKALEPLLLLG QVRTGRLDIRVTVRGGGSTAQIYAIRQVELAILNITQAI >CAK76397 pep:novel supercontig:GCA_000165425.1:CT868239:2052:2376:1 gene:GSPATT00039145001 transcript:CAK76397 MKLDATESDIDDLIITTNPLNKPTRHQATFRAYFTPTSDQEFLVAPKYGELQPMEEMALN SLLLLHLLSMDKLDLTNHLLKLMTCIGLTKSKAPYQV >CAK81647 pep:novel supercontig:GCA_000165425.1:CT868411:314:1108:1 gene:GSPATT00039465001 transcript:CAK81647 MEIKQEKRDVQSKKKNSTQNTKSKTEIKGNQPVLKFKQSDRKFRSINAQTNQENQGSFLI DYIFFSIILLELVDLYYCIFQIQKSMKQNSIFQINLSVQYIDSIGKYQILFDQSKITQIF NFASILSIIIIILLFNICVISNNMSYLNHYSFRNFVKQIDENNQNYFNNYYIYIQEIQSS DDRNIYSNYKIPNTKGYSFNPEKWNTQIKKLVCQQYLSEFKVLQITYKYPCILKFNLIQQ SKQLFY >CAK81648 pep:novel supercontig:GCA_000165425.1:CT868411:1127:2766:1 gene:GSPATT00039466001 transcript:CAK81648 MELIFYLTFLPFFFAQTQNTWRTVYSILPGLNETDNWNIVNSNGGPFSDCYGSNILGGYS IFGKSTWISLNLKLPPHYSVRISLTFWKVDSWDGEMFQLIYDNQAYKRNFWTGEGGGLCG NGGKYKDLQVPLSFTLEPHYSESFVVIMTSSLDEDPSNVQIFQFQESWGVNQFLIEILEC PEDCFFCYDYSSNCKLWYSIASYWQTNFDSEGWLIDNNENLSSSLCANIQIVGGTNLLRQ GQYINKLIENVPKHFKAQVVLKLWALGDWNEQNFIIKIDEQDSQTLIQTYSQISLNCVNY LLVNINNIVINALHSSPQIKLELKTEDHSQNSAFWGVSSFDLYIAKCSLGCEDCNGELET ECSNCKKKWGFLNGKCIPALPLEYANFRIQQNQGLKLNIADSFQLYIEELNQFITEVGEK IIIIDKSISTISFQIYLKCQEKIKIEGQVRNNYSNDGQIIFSNDCQNSSNIIIYSVLFID TVQSEKELVLTISIQKVEIIQVILLNNIETNVLLMQLYYDQ >CAK67843 pep:novel supercontig:GCA_000165425.1:CT868055:129:1090:-1 gene:GSPATT00036624001 transcript:CAK67843 MLIITFLIFPIGLATIKYDSNYYLDWNKDVVPDFNCSKGSIYDATITFSDSFANIPQVFI THEKIEMHWALQTLHQQVSVQLKIIDFAMRLYCEYEKGYRFKIRWFAVDDQRIQVISQFN LIPPQNLSYYYANANCDTSFVTLTSFSQKGSTEVQLSVILTPPNIVSIYSPYSPGKSENL TSIGFQIILGIDEAFIQSNTILALSNYDSNVYDPNQADRVEFYPFSGMDFLNTYILQWLV VIDNNGVGTKYQIKDCKIKSISIYRGGRIYYKLPQKSSNAEIFYKSIFAYSLQFYKIFFE T >CAK67844 pep:novel supercontig:GCA_000165425.1:CT868055:2077:2806:-1 gene:GSPATT00036625001 transcript:CAK67844 MSRKKRGLYFIEQILDYKQYNGQKYYLVKWQGYNNRDCTWEKPDKIPNLTQFLHQFEENV KNNGSNFYHIQNDEPPQLEDFIGVPSKSKQNQHQHQQEQIKILQNQVDQLKSEIDLMKKQ QDELVQLITTNQQNEKTLQPNQQQDSEIIQESTSEQSQKNTEIKLQCEGGFEFGDLLDKI GQAVQVKDKGHKMYYLLWQKRPNGIVPKNRWVNSEYLMKHDINTLCYYLQKKL >CAK67845 pep:novel supercontig:GCA_000165425.1:CT868055:2945:3571:-1 gene:GSPATT00036626001 transcript:CAK67845 MTFQLPHNFQNRSVQKIVQELMYDEYLDRDNLLRNAFISSPVKSGKKKLSISKHRYNHFL QQSPSQLQSPGLLSNQQRVVGSIALQKKKNSVPLNVSVNQLLENNASPLNNPNAKVSKRS KDQKPKELRGLSISDHVARQRFVFPQVQRLSQVKQQQLASPNFNFIDEDFEGYKLKSEEM SLEIDEYVRMQRHHNNPTLLPNIYSRNQ >CAK67846 pep:novel supercontig:GCA_000165425.1:CT868055:3589:4433:-1 gene:GSPATT00036627001 transcript:CAK67846 MGFHIKLLSQKVIELSLTEIINNQQQGRVKLEQTDSKEVLYFFIEKQILISILQQSKERE VFQMIKDDIIFNNDTSKLNFRCYFCDSFHPTFNCSSFNIKRRFNYDQIYQERDPRYNRKV TKKSRSAIFQQVRSQNMNQITYQGTSNNNVFEQSSDSFEAFSDNSSEHISSANIGVDQLT KQPSTKYLYKEILQADIISDKLTEPIISSTFLRNLTSPDNIIKRSIIASSPQQQNCFQDL DSLFEIDKMVEFQDYKTKFNITNIILLLNKKRN >CAK67847 pep:novel supercontig:GCA_000165425.1:CT868055:6246:8282:1 gene:GSPATT00036628001 transcript:CAK67847 MKNLEQENEKLRKAIKDKKQQISEANTTILQYQNSIEEYDQEKQTIIQDINELINKKDKN FDKRIKGIIERLPCQIEQKSLQYLTKSIINKGLNVLNDLNIAYKNAYKEEYNKSQDAKPQ PLIESYFIIILKHKDITDLQKEETILKPKFLYQLCNSGWTSKERESWVDYQKDIITHFDN NYVVVERLDDISKIQNLITKCLNKQDVLQENSFIFNLQGSSFDEQVPKNIELLASVNPNK QLFAFVQGVDDYITSFSDEGFSYWPCKKYYCFLTYFPIPEIFDQLLKFASNLIQASRLEA IIKYQDYINQRLQMTLEEKNEYNQKIYQDIDAVNIHYRVESIMEECIKLLMGQYLDFDKP SINVDLQSKLPNTFNVTQKTLIYSLPIQQRNMNIPENLKQIRYEIVKNNNIKYAHVVQQI FNFDTFIKIFQEILKEGNIVFYCQNLYILTSVCYFFHQIIYPFIWFDAAIYYSNREKLNI LVEKGRFIIGINTTFYNNKANQKIIESKNLMIVDLKIKQEKEGFKVQPIIHQNKPGNIGF SVLTRQFFQIKQLLKDENVPASIFQYCIDANQAAKCRDFLECMMKLIQNWLIDRIVPDAD PDFTMGGWNDGFIKQVVKNRLKDDKDIDFILEYIYISNYFQKYLKSKYNYNDPN >CAK67848 pep:novel supercontig:GCA_000165425.1:CT868055:8314:8695:1 gene:GSPATT00036629001 transcript:CAK67848 MNSEKDFQYDIHDVQNIKTAFLDLQQEESTGFKLGLGAAIFSYFALRRFTYLRTGPRFVG SMIFGSQVYGFYTHRSRAYYDYVAQQVNLHASEAINQCLGH >CAK67849 pep:novel supercontig:GCA_000165425.1:CT868055:8703:9622:-1 gene:GSPATT00036630001 transcript:CAK67849 MDISFNDLKFIQQIGQTLNMEERIKLKLAILKIQEHYTFDEVLFWGRVEGVEKDYYIAMG IQYKGQYEFPLKKFYWSSNNYHFAELPKYNEEYASRADNLREPFTGQHEHIVFKTEEEIN FEDSLDIPAQLPAKHFSELERLSYVVQQVEFNCASIPVGSYRLTPTHELIRKAFKGVKAE LKNYQHFRVPTRKDKQDLIARDEALYREDFLDSLVDDTPNQQWSLQADSTQRNITLRNLI WPGYVTYNNDYTFGYAYFGDGIKNSDFEFLL >CAK67850 pep:novel supercontig:GCA_000165425.1:CT868055:9823:10820:1 gene:GSPATT00036631001 transcript:CAK67850 MATKRKYLRNVVDNQKPEENVIRVTNRVQSSNYVRRALWLFQGTRKENQPPAFDTVIITA IDNAITKAIIISEVVRRRIAGLYQINTIKQVEINEVYEPLEEGLVTVNVSRKLASFQIKL TKTPTEQERKEPGFHELLPPDQIQTTRPERQRSPRGNRSKSDNRRNYSNRRDDRDDNRVN RRDNRRDNRDDNRRDNRDDRRDKRGDRRDNRDNRRDNRDNRDNRDDRRDNRDNRDDRRDN RDNRDNRGNRDNRDNRDNRDNRDHRDNRDNRDNRDHRDNREKRDNRDNRERDNKEGGQQK TRGGVKQQQ >CAK67851 pep:novel supercontig:GCA_000165425.1:CT868055:10937:12272:-1 gene:GSPATT00036632001 transcript:CAK67851 MKESTQYSLYNDNKKKNSTTMSRSCVIYEYPKGGELFEYLFQTGKFHEAQARHYFKQLVD GLISIHKQGIYHGELTAEVIFFTDAKTIKIGELGLNQIRKKKYCKNNLKQYIAFESPSWA KENNNYEQSKDIFALGMILFILMVGRPIFSNTEKTNPNFSGFQTAPQAIWKLMQIDNQNV AFTDDFKDLIQGMLTDSPKNRLSLSEVYNHPWTQCNSEFTEEMVREFSSKQKKIEQCIIK ETLTRQINKGKNIISNDKSILQGGLGQFRSDSDEQENQEIEQIQNISIKLIPVDLIRSDS YLISINPNLFMDLLKKQLEDNEYNLKIQKESEKYEFSLIIESETPTILKAEIAQLETRKN IICVNFKRQKGDYFDYIEKIKRFHELIDKIKSEQETKEKEKGI >CAK67852 pep:novel supercontig:GCA_000165425.1:CT868055:12839:13708:1 gene:GSPATT00036633001 transcript:CAK67852 MQRLYNFEDGSVYEGQWSNNKQNGQGKQIYPDSSSYDGNWSNGMKNGKGIYNFSDGSQYS GMWKDDQKHGLGKYKYKDGSYYDGEWQKNVQQGDGKFVNVDNSQYEGQWVNGKKHGHGRY YYVDGSFYEGKWENGQKNGLGKMQYKNGSFYKGLWKNDQKNGKGIYKQLDRSCYEGEWLN NKQNGFGKQTYQDGSIYEGDWIDAQKNGNGKYKYPDGSYYNGQWKDGFKHGFGKYKFTDG SSYEGEWVNNVQHGQGVFIQQGVFQKGIWFNGMLTDIISCVTSRNSIEL >CAK67853 pep:novel supercontig:GCA_000165425.1:CT868055:13918:14358:-1 gene:GSPATT00036634001 transcript:CAK67853 MIPIQKLASLLIRTFSRPLSNQIKRYALNKHRSRKPSLIKTSFVFLGNKYHNFETFLNRK SIGIKSQEMFFKPLTDEAALTKGSDLFADIFVYSCVLGIPLLELYKSQRDATKKSQDQDA ALENYQTVNIQTKQSKQTI >CAK67854 pep:novel supercontig:GCA_000165425.1:CT868055:14848:16194:1 gene:GSPATT00036635001 transcript:CAK67854 MLIITFLIFSIGLATIKYDSNYYNQWNYNVQSEFVCWGGARFDATITFADTFANIPQVFI THELIDMDYRQFITSGFNLSITDITLTSKYFVMHLYCEYERGYRFKIRWFAVDDQRIQVI SQFNLIPLKNLSYYYANVNCDTYFITITSFSQIGPTDVQLSVIFTPPNIVSILSPYSPGK SENLISLGFQIILGIDEAFIQSNTILALSNYDSNIYDPNQADRVEFYPFSGMAYVNDHLL QWVVVIDNNGVGTKYQIKDWGAGYTTNYHRKVRMLKSFTKVFSPILCNFIRFSSKLEYRG LPRPMFSVEFTGDQVIFTGNGYHTIKKSISNIELKINCNCITGKKIKSKLFKCQNCPVNQ NNYQFEHSCYGAINLLFINARFITQTTAIQELQIDITATSCKITQLIYHQQKQTYVIVDI QIIDS >CAK67855 pep:novel supercontig:GCA_000165425.1:CT868055:16806:17849:-1 gene:GSPATT00036636001 transcript:CAK67855 MEIKAYENDIFYDNMGMRLWERRHQQLDKYIEKERTLDIGCNDGKFIQRLAHSLDFNFIA GLDIDLEVLKEACDNIVYDQIQDCLRSNRKSECWIKLFHGNALKKYKQLKQMNFELITLI EVVEHLQLEQLDDLCENVFGYLNPQRIIITTPNSDFNVYFKQLNPKFVLRHPDHKFEFSQ QEFLEWTSALASKYRYSTIYEGVGQHKSGDLTNGYASQICIFTKIKDYENNFVHDQEDIQ CVLQHCLPYDGRTDEQKLADDIIMNYSRFSLQNSNDIDDYEYDLLSQLDDYDILMRIRDL PRNEYLEQMIKQNSNRFSIYQNDYLLLKLNY >CAK67856 pep:novel supercontig:GCA_000165425.1:CT868055:18025:19035:-1 gene:GSPATT00036637001 transcript:CAK67856 MQQVKYPQFDIQSPEQRDHAQPQLFQNTQEDIKYIPVLPQQNPNFQQYQQVILPYSQQIN NNQPNYYNLDQPLQPVYSKLLKDQDFLTQKFFLSSILGMFTLWTFLFVLFLFVMQVSFSK LFYEHRSSYPYCISASVILLIVLVKLGSMERFRQVNKSQYINQSGLALLFYFGSIFAYTL IFFFILRASSSGPNSDLPSSFIVGIFIVIPLFANFIVTIILLIYILIENKEFRFQKVLFC EFLCVLPFAIIFVPMILSLIVLMPYTLLLMNVLKQIQSGRFNLNKDQVLSGTLAAYYGLF IPFDLFD >CAK67857 pep:novel supercontig:GCA_000165425.1:CT868055:19160:20455:-1 gene:GSPATT00036638001 transcript:CAK67857 MNKRFNSVPRLKIATSFQVEQNNIANSPTVFHTPRRQVTESNKSNYFHKLSYQDQWKSER LIKYVRHKIIDKGINKKQGFQEVFIINNFKVFKYYIPLYSKIDNENELISDFIEQSMHLK TLLNLKSTPKIWTLDGTQIKNFHLPNQKVFYINNSFDFWKMIAHYNQFNGSFQMNLLDSL YQDISQFQNSSQIFDFFFNNKSTIKQYFTMNINKLPYIQNVQPKIDLDDHEIDTAFAMLD TEKQKTKVQIFEQLNKEMNGKSNYSQKQNKLIRLNIKTRTRQSIEKQILQENITNPEDLQ QQIQEQVECSNLFIPSSRSIEISSVSSPFQLKTNFKGRYFMKNVNIDKIQMDYKITRRQT INFLTIFKCLLNREPQPNKLLISKANLLLAYPYLLMEGQSCGYDAFLFIKETKMLRFSFE EFVKIFTQGKA >CAK67858 pep:novel supercontig:GCA_000165425.1:CT868055:20646:21109:-1 gene:GSPATT00036639001 transcript:CAK67858 MGCGAQKQSQGLSPQLRQKALEIFKKIDVNNSGSIDKDETQKFWKTNFAKVNTQALFNAV DFDKSGQITEDEWMAFWEIVKKSGYSDKEIFEELDNLMEGKAWVQFRKVDEFVKRDQMRK KSQVKQIVEENSKRKSILQQEQHNN >CAK67859 pep:novel supercontig:GCA_000165425.1:CT868055:21129:22321:1 gene:GSPATT00036640001 transcript:CAK67859 MEFFVILTLLFLVIGASLYLLVCVDPNSPGLLGMMNRFVFNTIPAIFKKILGERIFKVFS RGIDYFFYSNHPLVQIFYVLVAVGGYLVYLYFGFLELFNNNPLVSHLDTAIGSTMALFCF YSFFQACRYKPGIINKENNKEYVNQYKEYYDNVVYLKENHCKTCNIIKPARSKHCRVCNV CVSRFDHHCVWIRQCVGQKNYKYFVKFIITHAILCDYGAYLGFRCLWGIIIKEKLLEAQF RDPVTKQRLQATWGIIAQYLFYKNTMYIFIVILCIVMGIALTCFALYHLYMIGQDTTTNE RMKRSDFLNFFDEETERLEKQLKDAQTPEDIKQATTKLEQVKQCQQKIITTKSIGIWRGL KSVFNEPDDLDQNPKVKNKKR >CAK67860 pep:novel supercontig:GCA_000165425.1:CT868055:22935:23378:1 gene:GSPATT00036641001 transcript:CAK67860 MGCSIPFVYNTSVDTNQSFSFDDLLESEVSLDQIHDEIAFLRINVEKQKQIYPEDYRTEV TPLANSNYIQLIPISKSDTKSKRPCLKQQLTTLPAPLTQDVNPKRVHFVEIQSKQTNQCR GGRKKSKNKRQRKSEEIQEHINLDDIF >CAK67861 pep:novel supercontig:GCA_000165425.1:CT868055:23426:24476:-1 gene:GSPATT00036642001 transcript:CAK67861 MQLPTFFLKQIAGIRVQSMQLNLLLTQVAEVTLKAFSELNMKQIKQMKLQNHIKDNQQNK CYKNALLLLYRYLEGNPLLDQRIIKFLIEDDEVLAIYQTYKSQKQTLESNKTLDSSDENI TPATTQETIRKFSSKDMILLEDDNTSDFLIKILNLNYEWKLVKCNHTIYLVQRQIIKNSY ILKCSSIEKVYKLFTEQKQWRNSFKKFEIFETIDENNFIALETSVWSIKSSFQTFVFFSK FSIKEKSESKVSFTVEFVKDTSKEYLTYLKKNELLCKKVSYVEIFKIDEERIQVVTVTNL IDQEQKNHVLPSLLNEDNSFEVQIDKLYQLL >CAK67862 pep:novel supercontig:GCA_000165425.1:CT868055:24514:25376:-1 gene:GSPATT00036643001 transcript:CAK67862 MLPKRQYSFQDQDPQQKASPLSLKRVHSVTSQLQDEVKKYYYKIEKSVYELIFTHQVIKN IEIVSITKEKDNFSLHKKHIVHYVIKITTDYFDYQIQRSSTNKYLIRLFQLKPKFEFFEF PQKKLFKSNKSEVVQERRKSLQKFLQTILSSLDQLKPVEFLEFIEILQQFLRRGFDNIKL HGLSSDQFNLDSLLEPELQKHQPTNDIEKAVICYLHKLNSHKEDRVKIIQYPHLILLHPA NSKTICMANSNIYRTTSYSTYLLETRTRTSLD >CAK67863 pep:novel supercontig:GCA_000165425.1:CT868055:25768:27192:1 gene:GSPATT00036644001 transcript:CAK67863 MLTTEVSATSNQNQTKDPSELVLEFTHPWTDHDEEQLKQLFLIHQGNWKSISLQLNGPTP LECMMKWQRLHPDQTLARQLWSPEEDEQLRDLVQKYGKKWSKICTVMNWRTGKQVRERYL NQLQGHINSEKWTEQEDRMILKLYKKYGTKWSYISSFLNGRPENMVKNRFYANLKRRFQS DLENSEEDQCQDSQDSFNVTKYKKKKKLKPCRFISDSIQIKKSQLQNVRSEIFKRITRSK NRDPLQDQIKEENVQDPLNLNEEPVNQKQEQDNHLISHQKVGVKEEMASNVDQIPCNPHM LFEYQSRFQALQQLDQGTIELGLNQYQQQQLLQSQQLLYCYPKLNDTQINFQLCQKCSKC ENTIDQTQLYSFQDINQLFKLFQYQMFMQNQPLLNQKAEVDMSQIGNQQQQQYKSHILQ >CAK67864 pep:novel supercontig:GCA_000165425.1:CT868055:27567:27920:-1 gene:GSPATT00036645001 transcript:CAK67864 MKQGSKKPKTSYHKLSSDIKQTLIHLICRKGFKIKHAASQLNIKYSAAKSIFLYHRNNMI KNSQGIDSTKRCLYRTITKESVSFRVVTKIAGEFVNSKTLHPKPTQTSI >CAK67865 pep:novel supercontig:GCA_000165425.1:CT868055:28077:32978:1 gene:GSPATT00036646001 transcript:CAK67865 MSQKQRPKQLDPIKRVKETGPFDSGSPLSFINSMWQQQPSEAKRKQQKKVTQIQKKLEYD IQEALKQTDYDERNILHRACLDQQIKQIKDIIEDLVAKKDDVALQECLVKQDKFHCQPLL LCCIKPKTLESDQIRMLCIQFLLNPCKEKPHIKADVNCFNPRTLWTCLHWAAYYGDKDSV EVLLENNIHVFLPDYKGQYAVDLAGKNKYEEVVTLIIMRSIEILDYLKYGSQQKYKAYIE SLTPDLLKHLNNPLFLCKLIYWSALYEVDSKNSTLLQFKRAYSFFPLQIKSLKMKTALHA ACQSHKGNEDFLNEAFRVFEETMFGFSNDDLTKIIPQKKPTLQMNSVFVFSEEDLKKYRD DFQKEVLLLAEWEKEVLNKLKIIKNYTQQKQRQKYYNDFYAIYVNQQDAYGNSPLHVASI KAFQKGINILMEKQANMELENNEVFKARELTQDENVLVLYKDKLQSITSEAILNELNQTI IQQLMGIFKKDAYDDQLRLRNALAPLSKNGDSHYYKLITELNSYSQNLFVPDFIIRASIK AENLNSDTLETAPAFFDLKDQDCAELIRLNFYISLLLNANFEVYLMKSQFQQGFYYIMLQ HNEKNLEDQADLMNLQMKLMDSYDLEEFEKVFQNLYEPFRSRQKQQIIEKHLTQIKDLNE QINSGIIEAYYRMHKTGGINKIKERWLVNNKWYLPTPINQISEYLMEGENQNFSSITILR LYFGEKISFYFAWKSYITCALMVLAIPGAAIQIYILVTGDYFPSILPFWVCYVCLWSTLQ VEFWKRKTSEITTRWGCLDLLDSKDKGEVRRGFQGYEEIYQVTGELTKHQKKTETFLKVV LSVLVVLIFLTLCVLIFVGVNLMVKRFEGQIIITTGLNIIQGVGIFILNLVYNHIVMFFA QYENHKYQEDYEQSIIYKNSAFTLLNSYLCIFYAAFVNNKSFLDLFIQLVPVLITKQVQF AALKVLLPRVQYDYYEKQYFKQQQLNIQRQGQLNRNKEGFKDKVETLYEAIFKEKKYTIE TCKRAVLKIHEKGKPPTLEMNLDADQVELNNLKLNYEGTLGYFMEGIIDLGYITLFAAAF PIGPAIAVVANVAEIRTKLISFLEVYKRPECQRCAGIGDWLNIMQWMGMGCVFSNFALLY VKYKVETVGVIYGYNGSDQDITIWLFFLTIAIILIIKLAFQWLIPDKPQWVLNELQNIQE KQHVNTGQREALKKTKVEIQKKQDRLNQRKKEYLEAKQNCTQKMQAKISTKIEKETKIER FQKQEESEKLLAKQGVIQKVTEIEIKPEMVTQIRIGLLRQKFIEIQHFLLQQRIRQIDQA RTRNIFLCQECSLVEAVFDCEDCDESQCQECFRKVHTKMKNITTHEVMLLKSAKYYDHEL LSRLQVKRKVKVDNKSNLQVKLNQQQQQEGEFKPKRWQKLQNFCFPTTTSSLAYNSLPTI FNYLKREYLDNLNLDRIELNSFYRFRREQEKFIMYESENINKILEMEELNLEEKLWLNRI GFLCFKKNAKLEYFCELVRKLQNSNIESKLKLVLDLADVDNDELITKHEIEAIFVPSIVQ DCEGKFSLQSTIENIFNKPLSKQKAMEVLLNQINSDEQFKEFFQQLTQCE >CAK67866 pep:novel supercontig:GCA_000165425.1:CT868055:33016:35119:1 gene:GSPATT00036647001 transcript:CAK67866 MNKLQQYTDVYSFEPDLDQVLIEIIPDMIESVADLNETQIQDRLATKRVSSKTKTVTFNG MLLILLIFLVLTIVRWLMNKIDVRLLKYMLKDSALMLPMVFIILVFNYLLSSSVKQNLNI YGVLYYVDLDKFLMQIVTFIIVWFGVGIVFTFLWQHQSAINDEFEQLDAEQTHRLYENMY LYNDSNTYIIQEKVQYHSIRQQFIQPTDIPVINPRILRKDFNFAQYLNLNQRKIYTQILR INSFSIIPIILCMFLTYVFSSIIITVLLLLYYWVQKSNLYQIYTKIDAPYQYNPTPLQYR GIQAPPYINLPLQKNKSRLKQVIGSPQFNLRLLHSFIFIQIFWFAWLFYAHRVNNSILEH TWLSIFVSIASVNVTILTPMIVKVLTYTHLIADPYKVCVELQYNRQSLLEKVSHYLRFCN RKEDEQHSHNDVNQQDEVKEQEQEQDQEQDQNQEKDQYSLTVGQQQLIKYAFTCLINNEK EKYVKQAVEQYKKQLIENPNLLQVPIDSEEEEDLELELDEQSGSQEEDQEDQSQNRQQQQ NANQQQGQPKDINEALKEYEQKIVAKLTESSSINVNQLNDLKKYVDCNLPLWYMVLMVDK YFKLNNPLVDTIRYNDLCNYSGFNQYVLETGDMYPQQIEVMTLTQLKEYLMSFFTEQEAE EVTMEYSFQEQMVNFEQFYQFIENNIVLFPK >CAK67867 pep:novel supercontig:GCA_000165425.1:CT868055:35130:35578:1 gene:GSPATT00036648001 transcript:CAK67867 MIHYYYILQQYLMIIQIITLMTTNQYQLLIYDDDFVQNPIQDESSLEYLMTICLQQSNAT QDKKPCYQKQTFTKDEDKEILKYVMQYGPNFNKIVKYFPGKTMNMIKNRYYKKLRYLKSE LDVDNEFDSMSNNTKIKKSH >CAK67868 pep:novel supercontig:GCA_000165425.1:CT868055:35650:36603:1 gene:GSPATT00036649001 transcript:CAK67868 MSRNTIKKTVKKIKKPKPTNTKQNNKQKIVDDESIDSEYLDELENQKPQQISDRSDSEID ETEDNRRLRLAKQILQQTKQELKSKNDDFFQDHHDIHMNDEDKRLNVALLEKFAEKHTIY QSQIQKFDRQFNREIYKGHLRAITCTQVDEFAQHMYSCSKDSSIIKWDLETKKKEFIQRE VGKHGDGHYDEVLSISLNFDGKILASAGKDHSIKLWDTTSNKLIETLKHHKAPIYGVKFG YNSNNLCSISCDRTFIQWDAAQRAYIDTFFGHSTEANDIDCFNADDFLSLRL >CAK67869 pep:novel supercontig:GCA_000165425.1:CT868055:36611:37094:1 gene:GSPATT00036650001 transcript:CAK67869 MIQWKTKSGGQLLYSGHEQSIDCIRAITLDTFATGSVDANVNLWNVKKRKPLFELHKPHG DRWITALGTVYNSDLLVSGSYDDNLNIYKVTNKDITKVRSLQSFGIVNHINVFDNKILTV ESQEHRLGRWVTSTKSKNLIVLYL >CAK67870 pep:novel supercontig:GCA_000165425.1:CT868055:37405:37752:1 gene:GSPATT00036651001 transcript:CAK67870 MTKNAEKQFNYRAYVKVSAKQKLQLIALVFGEEWKIKKAASFLFINYASAKSIILKHRKN SILKKMPLIPEVRRCHYKTMKNGNHNYNILCLKGGIFQNLLENLSQK >CAK67871 pep:novel supercontig:GCA_000165425.1:CT868055:37779:38248:-1 gene:GSPATT00036652001 transcript:CAK67871 MVFVEKKVILCSLGRHPNIRCVLRGCLCKQFKQQLWLAQVVNTKNEDIKAYLKGIQRGEV QSKLNFLFQIKNSKLFLRMWREEQNDI >CAK67872 pep:novel supercontig:GCA_000165425.1:CT868055:38984:39223:1 gene:GSPATT00036653001 transcript:CAK67872 MQRYPFIVQAADLLNINYATAKNIVLKFKKTHLLRKSGNISESKRCNYKLIGESKDQTNS VNTKGGLVAEGLKKFVQKF >CAK67873 pep:novel supercontig:GCA_000165425.1:CT868055:39404:45050:-1 gene:GSPATT00036654001 transcript:CAK67873 MDRICNFALRLQESSGKSELPILILQWAEDITRHTLLIKDVQRYQVMAYKKAGQETMQVT EKTPRKIVLASPKPLSFVKSEPKLKTFYFRGNPQKQSIKQLSDALDEQKLQQQLLSPQQQ QPKKKTFVIKKNKVNEPKEEKEEKSVVEEKTEQKNEKFDWSSSEEENHDQQIAQTNQISV IEEKKSKELRIKFLMSELQLKFEARVFEIKKTKDQIVYPIQAIVKLQNHWRRKAAQKKLE ILRREEKRRRLQERLEELIRKIQKWFRGLRIQRKFREIAYQLLDIKRRTIFPKKQFLSAI LIQRHIRKCIAIKRFVNQSQKSENLIRKRLITFHVKQVSDKIQNKLFLFQLTWNEQKFQF KLQAYAKKKCDRALLKPFIIEINEKDFFYMFARIVEFQDALQAEIEPNQAHLQVIQYCCK TSQSFISIAEHSYNLKLDRIHVVKYLTKIQFEDLVYMDEQNNLQIAGFTDFAYSDMYQVI QEQQKQKLEVMHKIGNHLQLITFLQSQFKARNAINTMRFKVNRRRHIKGQFGLSFKSGYC QVFINDKDEIFGKVIYDRKWQITNKFKISPLITKQLGYPCRENFFDIYQIQGDTLTYTEE GQYWIHKEMEATQKKKQFSFSQIESCVRVIQKSIRQHLCQKEYMIKKNTRQFQFYKNEVK CTSLVKKVVVSGESPARAFFMNFSLIVDQVTNEQSISIDVRSLEHKFPSDYIHPYKQPLY SEMSVGELTMIGQRMMTIGKVLQIKGSLRFDVRNLMEVQGMLLNEQDYDQSKTSEKKDDT LQARKLWNSHMRKAWIQDSNVETDINILEKVKPKQMKNKKRSNQYLATLFIRSNYSSWRR GYYNGLMNQTDVKCYDQESISNYVREFKYISDYGYGGLEEGQYNLDFLQLRKDEYDQREQ RKAEEEQERKLKEQQIKDEENRRIQKEKESRILMFQEYCVVSSQRILVRIIFNKQTNQLE VEGKTELNVSLGCNIDILSVLNGEVEMEFLKQNLSQSVVKYLQIDDGALNFVSDFRAQEQ LLIEQEIWKARQHRKPNMENVEGEIYNLVDVFINLNKSKSMYENLIENKYQAVVLRSKRC MDRIHEYLINLSDQQLIFTVYYDDFPEMFKTHIIPARDFENNRLMKVFKNSPPQKICKIL TPLMNYLDDNLVIYENHSTDFLRIKPSLSKIFKDLFALRIQRALKIKSQFKYYMQYRKRS EKNIFLMHRYIQKDNKYIKIMFFLTQYQIKIVFHQLNEIDGNGNIVDTKVKKVYFLNLLD QTLDQKEFANVKDKWIENKGVHLQNYYEQMYKPLIEYIIRVIRVETPFEISFENEFKVTE LKDIQQKKKNDTQFYSMIQAITKLQVHYKTRKDMQIYNLKVQCTDKSLVRQIGKKFNRSF KVIQDEYYIVNMYKLLQGYETQITVDLISYHNKRNRYRGIHILDSTEMVNIGSNKIAEYI LNLVFIKDDLLQFESNQFKTVQEIEQPKEISDSKSPGLRLEIQRAEKNEIVNHYQQTQSE FYSETIYNVVYTGQVKQKSVVQELQNTVQISVELSDFKKLYPYLHQDDKIAMKTISDSII DAVKVDKQGNVLINVQKINPSLIQVETGKIEVQDQVQSKFKPDSQIILAKKIVYFGKQKF LMKISVLEKRVTKIQDDPADAVENHFESLFQVAAMSLDKKDRDNDGTFNIEWELSSEDAQ QLTHQKDRKVIANELSKRTLIVKDKFIFLAIDKKDIQRHHYQNCILFLEKGIRPIQHQFR NRKRLINFRDYKQTLKQWKDQHGQLSTSASLYIDNLCSDQQFIVLSGEYKSKRLMLICWN LEDFEKKMIEIPKQQYQELIMKSKDVAIKLLTSYVEYKDNELVFRPPQFSEIKSKLEFLS NQFKE >CAK67874 pep:novel supercontig:GCA_000165425.1:CT868055:45305:48293:-1 gene:GSPATT00036655001 transcript:CAK67874 MSRSNIPRQLPPLQQKRVGEGEMPFGGYQQLSQPAEKKVDFANSNFDQQEAQEMDEEEKL QPAPNHDSGMTYTNFMNDDLIYNCFSLGDRIGARINRLLHCDPPRRTFVDLLNKLEYEQI VNPFSMSEKDKAQITPDRTVVVKIIGTSQLQMDTNIQHPFIRMHVINIKNGCYLYKPKNE DNQPREFTNAVYNYESNCITNIVDGERERVTCELELLTAFSTNFCDMRMATNSRAQWNEE ILINIPADEFYKSDTIILFELLDFHPIYLQQHNSEFLDSDNFYRIAWGYARPNGLCRLHL GISKIQLYKYLFDTSKLKSVSRRESIPLVYFDFLWLDKVEYDAVLNVYLGTEAKPQFIEI YGKSQSTSVFEVERGDEEAKEKVKHSVVMEKVIEQRVEEITDQEKINLRRRRYLGDKEDH IPDKIAYKFASAPLGCYRLTFNKFGNYLACACTYRNSKTIIKLFDVETGQHFATYKGHRN IIHELQFSSNSQYLITVSSDYTAKVWMNEEDSDLLQICQLQHPSFVYAGLFLMDNKMPVV ATCCFDSRMRIWQFESNQSLQIIDEPIQQEIISYDGISFDQFDNLGNHRHPNCIVYDGVK CLYVGDSLGNIHFFDINLSGVIQEISGNAINSIQLMPPECQDLLIHTRDNCLRIVDFKSG DGKFHDHEVIYGTVNNRFFGSQSVKIACRSVSSPKGDYVLSGSEDGKPHLWSNLTQTLPT DLFQFSVIGPVADVAWNQGYHMIAVAGFGDEHPILVYVWEREGDLNFIEAKKLQDEIKIK NREQEDIIFNIQAKQTLSRFQQSIQAQGLFQPQLAQSSQIPFQPQSNFNQQQPGNQSRTG TQAGPFGSSGPFGQPQQSQSQVPFGQPQQSQVPYGQPQQSQTPFGQPQQQVPFGQPQGPF GSQGEPQSGPFGQSQQQGPFGQMPFQQQQQVPPNYPNTPYNASYQMPFS >CAK67875 pep:novel supercontig:GCA_000165425.1:CT868055:49132:50197:-1 gene:GSPATT00036656001 transcript:CAK67875 MFIITLITSALGLRFQSQTFYDFVNSQQSTWVSGHNQRWEQFNEATLKTQMGTFLDEPDF MKLPESTVQFENLEIPESFDARQQWPNCESIKEVRDQSTCGSCWAFGAAEAMSDRLCIAT GKQTRISTEDLLTCCGITCGMGCNGGFPSGAWNYFKNKGLVTGDLFGDNSWCRPYTFPPC DHHVDDGKYGPCGDSQPTPACVKSCTAQSGRNYDSDKIRSIDSYSVSSKVEQIQNEIMTF GPVEASFTVYEDFLTYKSGVYQNVAGANLGGHAVKIIGWGVEKNVPYWLVVNSWNEGWGE NGLFKILRGSNHVGIEGGIYAGRLV >CAK67876 pep:novel supercontig:GCA_000165425.1:CT868055:50517:51827:1 gene:GSPATT00036657001 transcript:CAK67876 MFRGCQFLQLPYGYFSKIDQNQREKKYKINQTTHICETKLLKETKSTTEIGKQFDSILNL YKIQFIVINTDDCQFDRLILQTHIRFYCQSDSYLQLGLAEKIYGKCYKTLFDSDKNPRFL ISEGLFTINLVKQEYILDGEGIEYCGKSEFIKNQGVFIQGVFQEPRRERKAAFQSLEEDF QPRKRSIIISDESNEIIRSKSFDYDNKMLKGIQQVNQIQIQYNQQLREQDFNILLRNSKE WLTSSLLNSVVEYFNWKSEIKVNQLPLKERLKIPRVLFIPSDVTTSFGEYEDKTTYMKKA KGLLQTQLLYYKELNYEMKQVYAKIGFPVNVNNAHWVFLLFDLRKEQILLYDSLSSTSIK KCRPSALTKAIGSFLNLNVGECKIVNYVKQRDSYSCGYFVSSFMEFEYKLQFKQNYKYCY DQNKMKTKIRKILKQA >CAK67877 pep:novel supercontig:GCA_000165425.1:CT868055:52038:56149:1 gene:GSPATT00036658001 transcript:CAK67877 MAVQEDEILNQVEYNQALSEDQEDSNQEQIQKPDLPLMNSSSKKAASRSDEEEGEKQKKK TGKKKPAQRRDDNYLKIIEYSDLKIQAYILSSKEEDITTSYELTITFSYQDYQYSVNCKA VILCEPKQPFTLSPIKFEDAISNSQFPPFFLVDTYFNVDLQLNSNLQSFNQLLEVKRNTQ AEKKDEDSPHQFTKRQSKIANTQVLNGAPTKPKRRKKREAAEMMELIHVFDFECSPRLNQ ILVSLMIQQYSHKITKYLITINDVYFIFNEKPSLDKQEVHRILIFYEHFDYSLSELSEYL HTQKLQLSHICHLQLSLNLLQILYYTYTSYLYRLNITLNTVFWVSKVKKFKLQTFGRIQN LLDLDGKDFYQKFKTANEGKINVFYRDFDRDFGAVLDIIAYFKDLSKEISQIKKMRRKRK NLPEGETYYSKYIIMYDELFADNVDSLFLGLVRLKFFDNNSFDQILEEIKSIIVTIDKLI KQETAKIESDKLEKEQEIQEQQQQASQHVIEVKLEDDEDEEELHKLQSFNFALERKKKKD LTQEQYLYYQMIYSSLFYNQNFNMVCDQFLEITKNQTLIAYAQLHYSIWRKLSSVVKEGY SLEQQTTLLSISKNVTQLTLSQDSFHYYLLLELITKIRDKPFNIINHLKALVQEQNFKRK RQVKPKLSRNQKFNGIIEMRKAIFLDLFFIDHYVSDHQYHKAIYLMQFDLSSQLKFNLKN YMLYTQSLFLNGLLCEKTLQSVSAMLNLEISKLLYDQFFPNTKIVYIEDETQRQVTKEQM DSAKQYTSKIIHYDNQACENMNVLDFHLGKVYFSIHDKENALKCLRKVKKARQKKFDILS DEVIEVIIEILRILVEQDDSGAAAEICYEYQQKFKEQYKKNAFFKSRYIARLQLIMGAIF EQNGMLLSSLRYFQKANKTFNQSRSNSYILQIHIRMRIMKLISAIKNKALSLNSINKGQT KQFNYQTGYMSETVKPYFNNQFLRQAIEEKQAQVNQVIFNNLLKIYSLFRHQEYDKVQDK LNRLHNVLKKRKVDECYGYTLQKIGIYNATHSSYVQAINYLKLATEIHERFLIFPLKEIR QLRCQILILHCWIQLEKKKEYQDQLNYIDSLVTSINEMLSWSNIPYKPITNKFTVLSLKL GKQIIYQLDEMSQIIEKFQKTRYKLIEHLSNPSKLKSVAAKYLKHLIKATEKEQIKKKSH SDSRQSCLFGLLSRQNVRPKKVAAIVAQNKSNTQTEFEYQETQKSTNTRRSNLKHRENTS FQFTSEKSHQIIQQLEGAQTQKSQFLEDNLETERNGNLHISYNIKENTNTKCSTGMPSMA QLNMTPISEMSKLSQKSPKQKGNKDQKKLKINPFQKITRK >CAK67878 pep:novel supercontig:GCA_000165425.1:CT868055:56334:60488:1 gene:GSPATT00036659001 transcript:CAK67878 MGACFAKKADREAGIKQQIEKTIIEQQKLKEEEEEIQFNQGNKDYSTKRSMHAVQTTMYT NQEKQDEGMTEIIQEEEKQTEKPAKKAKSKPTKDDINYYKKYEHVLHLKAIIVELVKNQV SHYYSLMMRVDYKDYIGEVKMNGILITQSDFHHFNILPQKFSDANQYSRFSTFFSNDNYF NADKDSVNNFNAFEKLLPVERLVRMRQAEKRFRRKQTIFAEKESPSPVKNKLEFIDSKQQ EMLEVITIVDIEEQERFQCLLFHILVQQQFPELNHYLVFIQNTTFLFDRPPQFDAPNIYR IALFYDRLDFSLKDLQAVLTKENKELSPHLHRKLALNLLQIIHNCYSRYIFRLNFTLSTI FYCAKSRVFKLESFGRLKSMIPFHNNQDMLLKSVEEKKITAFRKALKRDLFAVCDLIIYF KRIEQTPLRSIQALRKKFLKVIDGGNDTQEQNDSYIHMAEERFSHNSDQYLFEFVKMVLF TTKIDSTEKIMQNLLSIIESLSKQISSYEFKLEFQEDDKHKQAESPKKNEQVDVINLEEI NLSQSDLSNEENTNENYLQSFDIDFQKQYQSLAKNKEQLSEAESIYKDILYQSLFYHNEI YLDELNKKLNVQSLNKLQQTQIIVLNAFSKIKMMKDDSWEVKSQQLSQFVEEAILIMNSI NEDSYDNFHISMLFQILSISQRKPLVILNQLQRCMTKQLELKLKLNNKFRGKNAPKGLIE QKKYVMVQTMYAQSYLNSNHYFEAITKIQKIVGFQLKNFTTTSLLYGYSLMISGEIARLS SQPLSAMLNLEMSLAIYNQYFPESIIQATSIEENNQQGKLKKETDILQDVTVQLQERKFE NLSTSNLAKVEFLLGMSYFDSQDQENALKRLRRAQKLMMRSYGIFADEVISLVLYQLNIY VLQDDVGSVMKIAIKYSSEMSKQYKLGKQFKRKCIAKLQFIIGCIFQFCGSYLSALRFIE RSNRTLSNAKLNNFVIQSHYQAKKMIIIEQVKKAFRKIKCKQSLRGQMDLNSFQSLHEVL GSAFQNQFFCQPIKYHASVLFKKYSKYILQVQGLIRIGENDKAIHQIEELLKLASKVKKD ELYAYLKDKLVLITIESTQAKDQIQNVQRLIEIHDRYLQFPYKQIYKLRSLIILISLFAM TRDLKKFNETQSQIDDFIQEIYQIFNWQVAAPTDHTVNQAKFERLKNRNKVNLLFQIQQI MDLIQYFYTIRKKLFNSFDNQQQLKEVGSQVLKRLTGQPKPIFLKYFDRKQECLYGVQSQ IKIKNTEKENDFIKLHENENKIEVPKQPEQAEEQSNHKLVFDLRSYEEIALSRNTQRKKS HHHEKQQSQDLQIEKKEISEIKEIKKSKHKRNVTEVTAATLNTNKKKQTHKLNPFETTIK SSKK >CAK67879 pep:novel supercontig:GCA_000165425.1:CT868055:63086:63435:-1 gene:GSPATT00036660001 transcript:CAK67879 MKKNIRNSRTYQKISDEQKKKLLKLVCLLGFKIKAAAKKLKLKYAAAKTYIIYYRNNVMR SKIQINSKLECKIAPLSSKKCKFNIVSKLGGDVVGQLQFEYPTMNQE >CAK67880 pep:novel supercontig:GCA_000165425.1:CT868055:63842:64169:-1 gene:GSPATT00036661001 transcript:CAK67880 MQQIKRNIQLNQQYTEAERYDQNLKSISRNTWWHESKSKYDKVNELKFMNKVYSKEVENA YQELKKRRNCMLKDLYEKEAREWEQELRAKGLAIYKNKL >CAK67881 pep:novel supercontig:GCA_000165425.1:CT868055:64428:64751:-1 gene:GSPATT00036662001 transcript:CAK67881 MNVIKQKYDLSRISNSSQDILTLPVQADKLGLSLPIKLPFMPVSGDSITEKVFQANRICQ RQDVKKQNQSMLLSKKFYGIYNMIYLSYIFIGLIHRLSHLVCIWVFK >CAK67882 pep:novel supercontig:GCA_000165425.1:CT868055:65205:65678:-1 gene:GSPATT00036663001 transcript:CAK67882 MKQPVLNRGSKPEKNPTSYNNDGFGRDTYISYNNGGNFGTEFRFLAVHQNRTGMNMMPTP ASRAYEVPQRLFYQCDGTGRDTYVLLNVQEKYSPMQNYKSMLRSSDQFFSSPKYRYELPP IAREKFKRTQQSQRSLIGRLSQPKIKQSC >CAK67883 pep:novel supercontig:GCA_000165425.1:CT868055:66646:66949:1 gene:GSPATT00036664001 transcript:CAK67883 MNNSPYIVKRKQRQGDWVCAVCKNLNFSFRSTCNRCNKLPNKTSSKHQYKGFRTLVLIHY EEPIESMDELLENQIDPNVTSSLLVLGLDQF >CAK67884 pep:novel supercontig:GCA_000165425.1:CT868055:67273:76496:-1 gene:GSPATT00036665001 transcript:CAK67884 MNSQNLSRLKMMIVISIILLQGLVQGCPFNDYENSLLSEYYPIQETIIEQMSPSQTFAFW SFSIPLWNVKSYPQVEWQATTDTRLYQLMFLLKSLDDDRVVMFMYAVLDEYENSVIHKLR VEELDSWGWPGFGTYSFEYDAKEYEGSWILTMITIQQTQVIFETSNYVTNNNIGDILLEL NKVKFIIGGTGYIQIFTVGVFRGRLSKLMQFTDYSESKYEEVEANCQIPPLFEGEGGEML FPELFTFKGDTQSIFSIDQVGKRFCISGWVKYDGIDAVETIYYLLLRLTTNQNYGNYLNM GDEILKITAQLNRINKQYSAYTVDSIHYAIPVKQQNVMPDPKIYAWIFIDEIPILYYDAL TAWHYIQFEYGRTTGPKSLLNIKYFAGNLDKDTDSFGDDTHQGLFINTKYYAIFGDQRDR FAALRLPIIMMPIRSSLFNAIILVPLVMDHQKTTVLLVTEIKIEYQQKTNMNAHVILGIL KKTKSVYHSVQSIQHQIITILFNILSNRHVNLDILCFQKQKAAFNGNLQINPYSPQQSSS DILCVDCLFNSAAWYLKPLCTTDYIKQHQLNNDDDAYQISLRSRIDYDLYVINEDDNLQL IQGAMDLCNPENAQEGCYQIPFQTHLSEDVYVACKDNHYYENNQCKFSDNACLLTSYDKP KCWKCKDGYYLSTDNQCELCPSTCLTCKIDDILKCLSCQPNYVPVGAECIKCGLNCSICQ QQMNYSINQPFLKCLKCVDMNKYYLSLNAVDCIENTIENCQMAFEQAVDNNAINSFEYDF VPYDGEVITKCSKCDEPYCYNVLTMECELTEYPECSYCIYTPEDMFSWSCLFGPRTLDVI PDSSSDIGPDCFICMLGQTNVDISYLCLSCEEGFFANKITGQCEPCPADLFCSKCYQQNK YSKDEWKTEIRPFYKATIDDQFQRHSFIEYGTSPNKRDYEIVCSNCQSGYELQNDKCILQ CPDNCLECVLIDNQNVCIKCPRTIKGQNLSLDNNQCIVCPINCSLCRKRSQEEKAAINPI FNSQEFQYSSNQCLRGFNGILDSTLGVYVDCSSPFCVKSVEINLNLFCDANKFSYAFLDQ DSDEQRILFLQSNILIDELFSPSSFKEFETQQFYLMANQKVIQNISIKIVSQESQVCVVS GNKSIQQIFSQNIFSAINVELLIQGNGFTTFRYDRSISIVNFKNVKLEGIILNPIQTGNI KQLLFQSAFLQSIQLIHIKYDCSIQLDRSQIVIKNAQNVLIDEFESSNLSINNIDSFIKI EQINPDQIIQIQNIRLMQCQLKQTILLMLNTNAQAQVKIRNFQINSKFLSSQLLNIQSGS LIMDEISIQNSEVNQVTNLLQLESLTQIDFNQIQISQTSVITSTIFCLNQKAQITNLRFN ANQLLKNSKVIQNQKVNVEQYNFELFIFELNTYDEDSKFIQIQQNLSPNKHILINDIQVI GNQLTSLSNIKQLEQQEITLIYLLLEQIEITSLKIERAQGIKEFSFIGINSLKINQATIS QHAASLFQGLHQYIDCLTLQHYGTSIYIYDTTSIEFKSLTISTAESIDYPIINIQTSISQ ATNDNSILLSDLSLLNNLLLITDQMKQISLIQITTQQEFQINIIDSIFKKNIMHQYRQND LINSGLLLNFDCSYCTINMDNVIAESNLVTNSTDGIIYIKAKKMTLKNSNFTSNSIFDYS IIQRYLVWGYNNGEEVFLEQIMEMFPIFVTTGNAKLISSDLKIQNVVISNSSGSGLYIRM ENDAKLTIANSIFSFISTHFLSESENGGVIYLDSSNSVSTTIVIKNVAANNIYCGNRGGF VYLYNGIGTIQLTLLNTSFKDVFALFGSIIYSEFSALTSQSQNFRIAAAKINNTLDGQIK FFNKFSLGGQSQIKALTQSRFLILIYNAKTIQMENIVISDINFESFLFLQNTTAVQIKSI QVSRSNFLHRVMVLNDMKENSAVFINNLQIENVAILNEIDIESDCQRVQKKYNSQYKCLS QIKQSPTKLNQKYDSSSLSNAYCIINQMKQLFSKESLSIIDIHPSYTNMSISQVTLSQIN CTICQNGLINVNFIDTHSFLLIQTLKLKQNICGQSSCVNVIKMTSVQRLLYNLESQVNDK QFELKINDYLCKYNEAYEGTCLQIKNIKTLIQKSIFEHNQAIASGGSIKVNGNDIFYLVQ SLVSNNTAKYGGGLEIQDQMSQNMSRFGSIISNNKAQLFGNDSSQVPSSLSITINKIDIL PRTTIVEQEQLMIEQILIKPYQVFANEFSDAFYVPNGQKISEYQYFDWVKGEYEPYNLHF RIVALDKLNSIQQDLDKTYCDISGRLLMESGENEFTQNFTNIKRVEFNQSDYNLDDLIIY LDDQLNMTLQLQFSCNSIFIPIYDQNKEIVSYHNNYYLRINIKTLPCQMGEIKSSVDSTC VPCDVEQGQFSLSVNSKTCLYKDDSTTSEIKSAQLRLKAGYWRPYFYTDQIDECINLIEN CLGGWKEGDTSCYQGHIGALCEECDLYDIRGDGQFSTSTKYSCGSCTEKDKNSIIITAIT LWTLISILISVKSTVELLKKVAIRVRLGQNRAFSNMQEDQSGVLIKMLTNHLQILATITT FQLNMSVGLGDAIKASGNPMQTMAFSLDCFLIDMFDFSIHYSRMVWQMILPMIYIFLFLS MYLILLKVKKQEYNLSVVTTTFIYMYIFLQPNLVGGFIQLISFRTISSYKWISANVAFRY DTWIHFKWLLGFVLPSFLVIAFLIPAIFFCAIYYNRKRLDDKSIRQQWGYLYNEYTHQAY FWEIVKIIEKELLLIFLSYYDEYVVKKGILVLLVIYIYQELNIKFKPYSSPNLNRLDSYS ANVCIISIALGIGIYIDQQIGSLEIQIPYFIFLAVFNIYYLLLLLKELLKAYSKELEEQL DKARDLIRSKAPWTSNYAFLKRLLANSQEQRTRVNERFKKIKSTLMKQVKSLIQFKEAIN KQQESDAVSLNVLDNQYNVFQQYKTANSLQNLKDEKLLKVEDNPNSNGQEKYDGKVHPMI IEAN >CAK67885 pep:novel supercontig:GCA_000165425.1:CT868055:77526:78788:1 gene:GSPATT00036666001 transcript:CAK67885 MGLCISKQEGEQHNTLQKEGLLYDQDNFEQNIEQIRISDEEKISIQDFQFLQVLGRGGYG KVVLVNYKSQQKLYAMKIIRKDLISQMNSRLYMETERNILVMVKSPFIVNLHYAFQTKYK LYIVIDFMIGGELFYHLKRLGKMEESWAKFYCAELILAIEYLHSKNIIYRDLKPENVLLD SEGHIKITDFGLCKTDIKDGDFTTTICGTYDYMAPEIYLKKGHNQTADWYSLGVLLYVML QGIPPFYSQNKRQMIRSRLERQIEFKTPISDVASDLIKQLLKNNPKDRLGSDGVNEIKSH PFFQDLDWDEVLHKRLPAPFKPKLLSDRDLRNFDDDFTKETIQDTPVQSMIQEDMYEQFT YQEPDMFVTMNQNFSLDK >CAK67886 pep:novel supercontig:GCA_000165425.1:CT868055:78839:79309:1 gene:GSPATT00036667001 transcript:CAK67886 MDNGKIINFQDFDEIECDQEYMALTFSNNNKKLKNYEKALEKFKSSIQQGFIYINSNLDF FNLNSSHDGILIDEQNNKIQVIDEPIIGTQLVLKKDKTQLLNDRKRHLINIDPHSYKIDV KTEEKDQDKNGLLNKIDQMIKEEKVKAKKKNLQKKQ >CAK67887 pep:novel supercontig:GCA_000165425.1:CT868055:79755:80827:-1 gene:GSPATT00036668001 transcript:CAK67887 MITTIKTNNKKIERDLAHLLKALASWEIHIENYRIAETEHHHFDIQLAFEQLDKLGRGYI TKKKFHDFLTEGQVHCSFKEWEFLHSYCFKIDDVNLETFINFILPQSSQKKTTPSVKEST NQVMAQWNREFILRFFERIIISTRELNQLKYELHQWVYYDIHEIWKVISIKYPTKKTGYL AEDSIRDLIEQYGFHFSREEFQALIKILKCEQHQDYLTQKQLYSLISPPVFLVSNSYLQE ETTDSKKQKESQTYDHQKYRKGFDLIREERPKSLFDSNYMDKLREHYKQHKLENQNYESD LRNQTLQFNNYFSSNYSQLQQSLYRVDTVYNQYGGRIRYYE >CAK67888 pep:novel supercontig:GCA_000165425.1:CT868055:80941:81963:1 gene:GSPATT00036669001 transcript:CAK67888 MYKLNTIQGHSFLNFQAPLNYFSKRKLFQLANEIKPRKRDSLGRVVLSSSLPKSFWFRDY DQVRVVNKKLRESRPNNHRIQNPVYFKRKEVQECLLHYTIKASTPNPSKKWKKYRNLMLE NNYHVQMSREQIKQKFQDTLNFLIPNKQSSPPKSQRGSFMYSQQQQCLFEHRTSQQSKLK RVSRQTSNRKDDSMRSIDRHFQDIDCQQSNFNHSYIQDKMIPSNQITPRNRFFKDCFRLY GIDSQVLAPKNKLIFTDSVQLVESQETRCDKNEGIQSYREKSNQKQNNLLNLIKKQRQKN LQTKTISPKQPKLNTSTSKDNKIVNIYFPSLKKINVRNLS >CAK67889 pep:novel supercontig:GCA_000165425.1:CT868055:82031:82561:1 gene:GSPATT00036670001 transcript:CAK67889 MKQQQYQQTQSIRGYSPATVPPNIQHNHNNLPLSNQFNEEIQHRIKLKYQELGLKQPLYP QQTQILSSENGIYNFRKVFDPVRDGRPEFHMDPKYMDQLKDYKSKYLAPSRNYDLQYRNP TLNIKNHDFYFQDFYMNLYEQDKEEIFFNKYGKKFVYQE >CAK67890 pep:novel supercontig:GCA_000165425.1:CT868055:82582:83761:-1 gene:GSPATT00036671001 transcript:CAK67890 MNIRKPPREALRSIENKENLPDNSSKPPPSRLANHRINNLTTYFKIRTPSRGRELAKLED NFNTKNSNNEQRYLSTKESQQCNTIPYNTHQQQLRLSSKIQRKRIQGNLSAKDSTIHQDN LYKQIPSTCDDKIYSIGPIIGKGSYAIVKMGTDRHGNKVAIKMYDKAQLKGERFNNLIKE INALRILSHDQITKLYDVYHYTTYINLVMEYCGTESLYQLLKSNSTRTIPKEFALHIIHQ LLKILHYIHDRNVCHRDIKLENILIQNKRIKLIDFGFSTFTNQPITCHCGTPSYMAPEVV SKLKYDGRGADIWATGVLLVALLQGSFPFKGENERELFKKIRNNESNITNQDREVQKLLS RIFVVDPYQRATAKELLQLDIFKS >CAK67891 pep:novel supercontig:GCA_000165425.1:CT868055:83834:85488:1 gene:GSPATT00036672001 transcript:CAK67891 MNKQRAYDDNYINNASVIKQQKEVFIVRDSLNMIRIQQLGQQFNATKSTLTIQDSRSGKK YEIPISNNFIKASDLEKIKDDNGSIIRSYDPGYMNTVNCTSKISFIDGDNGILEYRGYPI EQLAEKSTYLEVAFLLIYGELPTQLQYDKWCKKIQTHTFVHQDLYGLLSQFRYDAHPMGM VISSLSAVSTLHPEANPALSGQNIYADQKSRNKQIHRMIGSITTITSLAYRNRIGRQPNQ PHQQLGYVDNFLYMMDRLNESSYTPHPTIAKVLDILFILHAEHELNCSTSAVRHLTSSGV DIYTAIAGAAAALYGPKHGGANEAVLRMLEKIGSKQNIPQFIENVKNKKALLFGFGHRVY KSYDPRAKIVKQLAYQVFDLVGKDPLIEIALELENIALNDKYFKDRSLYPNVDFYTGIIY KALGFPTDMFPLLFAIPRISGWLAHWCEFLDDPENKIVRPRQNYQGYKTREYVPIDQRKQ TDAYIHAPSTSFSTRRNVSVQK >CAK67892 pep:novel supercontig:GCA_000165425.1:CT868055:86969:87884:-1 gene:GSPATT00036673001 transcript:CAK67892 MEPQFEVNQTIQFQLCKTQSCWENGVITTIFTNRTPIQYVVQTSNNEKLYLNEQQIKAIK SVTKTHQVSYNIEFQRILSQLIMQKNEDQLIEELKSLLKRITPEQVMKEQNGAKIIYTTY QFLIMRKPSILRNYPFAPTNLVLKQMKKLVYAIKKEVLKNSNLIQIQTHSNKNQNSFKHI KLQKQTQKLSRKLTQVYDDSEKEEQPTAFYANLLPNKSAFRPYKSDSMNHQFEQLSIQTK PSPKIDQIKTIYFKPIIIQGLLC >CAK67893 pep:novel supercontig:GCA_000165425.1:CT868055:88073:89759:1 gene:GSPATT00036674001 transcript:CAK67893 MGYSQIILTSPLHQTLSNSTITLDQVLPARLENYMMVAIEQEQNLPKYISNLSLTEIFNQ IQYDQILQYAKPLVIEQNDQKQYQIINLQSNRQIGFQQKKTDGLLSNQIFNSKINNTRSI SPQPISAIFNKTQTDTQSQIPVVQAESQFKNDQFMNFNPNQSYLSSNSILNDTPLQYEFN KWSDKSKEKILFQDHFNVVEDATKQAKSNKIIINKSEDPQFFQQLKNARVASPKLRQIKG SGLSPETYHKNKSKSPEVLQVNLAHYCKKWEITQNTKQQQYQVQKKLPEQFKQLLTDSTK RQNISKPFDQNNTKFALIQCKSTAQMKNTKLPKLLEYLDSTTENQMNAIKSEKTLTPRIA NQQDYINYNIQMMLSEQQSLPKSRSQNRKQDEILNENIQHQNFNFRDQFSFKPQFEQKYQ EFEVKQEIPQQIQVQQVVESKSIRAISPSNRLIPQQFKQQSAIIQQPQVPSNQQKEPIQI QLDIKQFMNTNRPKDQQQYISSICSQRQQIGNLQIKVGMTNENGKSYFVNVNFRNE >CAK67894 pep:novel supercontig:GCA_000165425.1:CT868055:89908:93701:1 gene:GSPATT00036675001 transcript:CAK67894 MFPKQIQYSQSQKTSDYSLSSQQFNQRPFRYDNQQSSKAPWQKLKSNETDNDPITRRDRT VKSITVIQEIFSCSNQKKLIKLVSSFLQQEQQLTQIGEQFDKQQIVSLFLKIHQMQSPQL VQFLHLLYDSLFSLDSSVSSKIYTYQDVLKYMLLSNYEQIQGQAGQLTRILEILSEMQIL NPDFIAFEEEFQIDMEEISNSFNKVQLRILFLVSSIDILRALKGSVALFEQLESFVFSQL KSSQMPNISDMQTLVYAYILLSEQLDIANRLGYSKQAVEIIGKSSDYSIYELKKRFINNA MRLSQKYLGSQVSDQLTSMNRSFSPGFNRQPTTRFNQSDSASYQFLVNQLKVNYTLNNEE NFQIDFKCNNLLTSPMIYQLMDKLVPQLVKKEKVNSIFTEQSQMEKKSQPLIVKKQFSIK QLKQENMIQSQTQNQVSTPSQKNSQQMSQTQGFQTSQIKQQANISVKPGLDSNEFEILQN KLGRQQQELEELKQLYNTNMAQKKNELNQSNQQNQQNDQLKKKIEVLETNLVQLKNENTT YKKEKDQKQSEVNELRSFIQDLQDKQLKLEKSVQSQQQQYQQQQQQFQLQLQQQQQQASP QLPNPNPSQQYLKKQQSSQSTKANTQLQMNIQIPNNNDDKNRLFVRAQSQMSHSPGEEFK NEMDDTSPRIIKQNQAYLLQLLDMLDLNTSFTKTYTKFHSNNENNSWESDVSIVHNFKIE GLITDSNEGKALMLKAYNQQNLLCSEQISFDLLKNLLGYVDFQDTLPTNLPNISTTHQFF KFLMLPYTAIVQDENTQQYKIQLWPKPYGLLNGLNLKVDFLDLNCLVYVHHLETDQFRII IFDPSSYDCFKLDLEMDYSSIDAFFVDAKSIKDEFNYYCKSNLLKLTEKTPKFGDDDVAE ALVERHFSKEKVKAVQAEIKQNQSYGQENLVLKQPVDFLKFIKSIVQVFEQQLKQQQITF PNSLFGMKYFRCKTWNAGTKSQIQIVKEQLDQQQISIYLANCFESFGPNKSKLKAKGSTH INFSAIQREFAVSYDKLQIEERATILQTILYSFNLNIFEKVCEQDEQQFDKNPIVQSVYD CGSYRRVIAFDNGKIAQVTIQVIGSNRRMCGIKFSVFNVDETIENGVFLPVSQSEWDTRA MEKQKIKASVQNVPFAEYLLTQILKCPTTQIILFKKILNADFKSNQINSNEIKKRKTFIE RVDNLLTWQEVIANL >CAK67895 pep:novel supercontig:GCA_000165425.1:CT868055:93811:95998:-1 gene:GSPATT00036676001 transcript:CAK67895 MSNIKVFCRIRPLNQKETSLINYNIRDQTMQLGEQKFTFDKILDSNANQQQVYDEIGKPI IDQILQGFNATLLMYGQTSSGKTYTMIGDQQQPGIIKRTINDLFDAIESSPTESEFRIKI SIVEIYKEKVKDLLDINKQDLKVREMANQGFYIQQVTQLWVSDKDEIYNALQKGLVNRQV GYTNLNDMSSRSHLLFQMTVIMNNEIEGTSYTGQLIMADLAGSENASKAGTTGTSLQEGA FINKSLLTLSNVINGLSEKQQHVPFRESNLTKLLWNGLSKNSMTSLIITCSPCITNESET VSTLRFGVRAKMIKTQPKVNKEVTIKELEIQNNKLTKELEEKNYIIDQLKKDDNKVKIIK LEEQILQLLKDNQQLKEQNSQLITDLDMRSIDYQEVQTNFKNSLLESDRLQQSNLSYQQQ IEQLQINRENEIQELYTQIDVFKQQLQQKDENIQQLSKHLENLQRAQTQNAQLLLSEHSI EKIETQNMTDLLKEIDELKTKAFQEKNKIKQLNKVYESQTLVYTKQIQSQEAEISKLNED VKNYQLQLMKNNSELLNLTTQNNRLLKDLENRILKVTELQEKYDYQYDQLNKLKKQLNLE EVGVYEENYKLHSQIQDLQKKYIQEESLRRSHQQTSSQTLQAKLSRIQQLESENYQLKLD IQNSQILKSQNYNESVIISQFQSVNKGSTISKIVKKQQNQIDLL >CAK67896 pep:novel supercontig:GCA_000165425.1:CT868055:96827:98675:1 gene:GSPATT00036677001 transcript:CAK67896 MSDDKLAFQLDVLEYDWLILGTGYEETLYSAHLSKVSKQQNLVLDFGNTYSSNIRTMNFK EFHKLDSELPTEEQFPYLHKRPVFRILELDQKQEQINNYFATKINSGQEFKHFNIDMQPK LLFSNSPAVSIMQQADLDQYMDFKAVESQFFFDQTTKTFKLTPTSKSDIFKCQFLSLSEK KQFFQLLHTLVKIFHKVINYQVDQNSTQEFDQNTTQLDEDTYQKYLEFKDKQAILFLNEI TSKSLNKDKVYSILFYSICFITQSFHNQNNLITTQEFITKFGKCIKSMGIHSKSPFLYTN YGTGDIPQGFCRISAVHGSVFITQSQIRIHKIEKEDNQYLISSNLHENKIKIKQGIIMNK QIYDSYVNLNQPNNEQNTQQQQNYVLMRLILITAKDQNVLCEEVRSLILDQLDEYQSTLH QLDPSIYVIPCKQFSSNPIYIFRTDSNSNSAYKDYELFYVWTLLDKHEGKELKETLKQIV VDIDLKYNENESKSINYICYEKVLLQEMTKKEYKFQPDPQENIFVTTEDNDDLDLDAQTK AFMGNVKTVNKNFEEQYYMVEKVAKQQQQIEQEIDNQQYILNQLMNIKQKE >CAK67897 pep:novel supercontig:GCA_000165425.1:CT868055:98705:100110:1 gene:GSPATT00036678001 transcript:CAK67897 MKTSSYSFIGIRKHFWKEVFYNIQLYQDAIEMTEIKSKSPKYILPLKLSTVIEITGQVSK NNRNFSGFQFKYKDTTKLMNCRSSDIAIFMDMVSNQITFLNITMFYQAIEIIGRGSSSSV SVLIDTYSQEQFAVKSIDKEYLQKNDKLQILFENEVKILQELTKYNNQNYFVHLYRVFES KTSYYLIQNLMKGKSLTAYYNKIKKQSDTKLFSSDIIKLIMRRLLSGVSLLHCHRIIHRD LKPDNLLLLEPNNVDTLAIADFGLATYSNVEKQNSQLSNRYSYPICGTKGYMAPEITHYK DGQIKYDEQIDIYSIGVIFIWLYFFYNPRLTGDIDRHNPKLLKLDSITQNLMNNLLKSNP KERLSAQAALDHPYFQTDETTKKTFQSQYGFENVDCDSIIQHEDLKNYYLPMMKKPQRQI >CAK67898 pep:novel supercontig:GCA_000165425.1:CT868055:100172:100982:-1 gene:GSPATT00036679001 transcript:CAK67898 MGNERNIFFFKSLVLRLSIQFIIYLFNLISYIGEYLLIHLIYYELMMAYQIVYEMYYSGL DYLHYLERFSTYFYRYSLKIQIYLRQQLGLVLLKCNQIQDQFKIVLQLFNRLSHNHLNQV SLLNTINLVINKVKLEQDNFPSIRGFNQYGLDLQTTQRDDTLKFRSTSQNGKNLQLRRQN GDISCLSHEKIRVFDIFNQSERLNKQPITSNTYITTKKSLKAQLQQQKYNETYFSLYGQQ ERYFFNIKINYYVYYLSIQE >CAK67899 pep:novel supercontig:GCA_000165425.1:CT868055:101229:102717:-1 gene:GSPATT00036680001 transcript:CAK67899 MNTLNDYEIIEKLGSGSYGDVMLAKCKANGQLVAIKAMEKRLLIKEKKQYQVFIEKEVLS RIKHPGLINMIASFQSSAQIYLVLEFMEGGDFANFLKINRNMSYNSIVFYTAEIVTILEQ LHSNGIAHRDVKPENIMVANNLHIKMIDFGTASFFDEHNLPDNVRDKLNELREISKQDER FLDEIDQYQQKHKATFVGTAEYVSPELLEDDICGPQADLWALGCIIYKMFTGTTPFCDQT EYLVFQKVRSCQYPKNNKIPQDGMDLINKLLVRDPLSRLGGGLPNSKNTYRELKGHPFFK DINWQQLWNQKGPDDVQIHLKKISTQEFAQKKPSAALKPEVVITGLVNKKTGWMIYKLRN MILYDFEIPKLEYFDPNTGLKKGQIILDKSVTIELLKGQFNIDVPSKKKYYFKVNQMIQI KECEHPAQLWVDKIKDVMKRRLNCD >CAK67900 pep:novel supercontig:GCA_000165425.1:CT868055:104696:105710:1 gene:GSPATT00036681001 transcript:CAK67900 MTQQRLQIHKASAVNSCNQLLQRTTFQLIQTILNNISEGYVEKRIITKEGLIIRLMKKDK IVIQLRNANNRIKGTEVDFIILLKGYYLNQQRRDRDIQLFYEGYIHKFFQSSRQIFQTTQ IRAHSDSYHLKTKLRKYERNSRLLDKCKAISQDGLTHQFICDKNYRAGYLPNKKRRLGHF MLSLNKCIQICLKMTNLGPQQYQALYTHFSIQDQFYIIRLTQQWYKLAQKVFKN >CAK67901 pep:novel supercontig:GCA_000165425.1:CT868055:105997:106140:-1 gene:GSPATT00036682001 transcript:CAK67901 MQAMIRGMNGKKNEKQKQEKDEKIDSQKPQDVIQDAVQNSQNNIRKK >CAK67902 pep:novel supercontig:GCA_000165425.1:CT868055:106447:107792:1 gene:GSPATT00036683001 transcript:CAK67902 MDNIYKFKASSSVGHLKSLNNDKQFYSEEESSKKEEEEKQLKKQSKPPSKMSQFSKNATG YQTDDSDKETPKNNKVGPSSQKVSKFRNLVNAKKIEGDNDDPNFDDDDDKPTTIQQQIQH VQQQSEDQYDVEQQASKMQQKYAKNEVGKSPKMKHSNTQQSVGCDFGCGANEEQIALRKG KLKNHPFRHLIYGPSIGENSFKQIFIINSKRFGLCKEMLKRAFREIHKIKNVVKAKTLLL DLDETLIHSCSFRENPQVTVTAFGEYGEEAKIHFNIRPFCTWFLQQMNQLYTIYVYTASS SAYANAIVNYLDPKRQWIMGILSRGNCMETKNGFFIKDLRIIGNKQLKDMVIVDNLAHSF GFQIENGIPILEWHNDQNDQELKYMATYLMEAAEQEDIRQFNIQRLKLDQLIEYNLD >CAK67903 pep:novel supercontig:GCA_000165425.1:CT868055:107827:108704:1 gene:GSPATT00036684001 transcript:CAK67903 MGSCCSSNKIVKETFDYPDGGVYMGDLENHLPFQHGRGTIKWPNGASFEGQFIDGKKTGK RSFRWNDGSYYDGYLLNDVFHGYGEYCWTDLKIYKGNWQNGEMEGEGERAGYVISRASXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXFHIITIKLKVFLQVLENQTNKSDYCHLDTRQLWAYPSHH KQQQVQQRIYFGIGSYIELLNCIPPNPIIPMVIPESTLPNVLNPL >CAK67904 pep:novel supercontig:GCA_000165425.1:CT868055:108747:109037:-1 gene:GSPATT00036685001 transcript:CAK67904 MEKQIISHQINTEFTYCGDSNIWNEVCAANEKTILLWDIRQKLPFNCILAHSMQITQCKY NETGRYVTTTGLDNVQKVKYIISVPVYLIHMEQILQ >CAK67905 pep:novel supercontig:GCA_000165425.1:CT868055:110441:111371:-1 gene:GSPATT00036686001 transcript:CAK67905 MKQIIDQVDYQPNVPYQPLHSQNVDNNAYTSKSDELEQLLKGINIPDQVEFEHQYKYNDN TCFGYGSITGESKFDIQGIGQLDFSLTVEIMCLIFCCCFCRFIDCDEHAYPEEVYVLKNE QVRLEFWPGGIGRIFVNDKQVFEFLQTFPNVCDVFYSHFLSSYPKLKIFSPIEKNYQLTL RPDKNSCPENVIGGCGCLACFDLFTTSKNYHVDGLTQGRCQIINTRTPCEACSQITLMQG QCCKLCPEINYPRFAVQFEGVRKIDKIAIIMGLVHISFYKKWINLLGHLHIRIHEAKFIP VV >CAK67906 pep:novel supercontig:GCA_000165425.1:CT868055:112610:115502:-1 gene:GSPATT00036687001 transcript:CAK67906 MINNINFYAIITTLFIIKAVRGKLYPYYQLFSEDRQQHQRFALLAYECEQQLRDYQPNKS NTYIALTNYIDCYGYNPFDEQYLNTQAIVQLENYNQIKFEQNLQIALQIDDQLLQYFDKQ LRCGTIAEEDDDEEVHPDSNDSYVFDPMKGLKLQKEIQLQKQSKSSKQPKSVQPYQDKDY PSYKQQYQYSSVKKQLKLSDQKSDEYYDIQDFSNKLHQDEQEYEEDQQYNQDQFYQAPQD TEQRDDGQYDIPQFGSYDSVTFKQQLQEISKQSLEKPLLFQSPLKQDLLNKFELTHGNNN VITSENKNEFNSSETKFQITSANKFINDQEQQIMPVPISESPQRLRQQQKKQPQIQQVSL LGSLFTPQVLKEMEYLNDDLLPQQEYSIPVQYQEITVERAFIDERRKQQQQQQQQQPYQQ QSCQSQPQSQLSIQSRTVESKQRKRTHPTFSPFRIQMMEEIRDALESKPIVDDLKDGKTQ LYVMQQLQSKTNEIFEFTVSLAKEVQSKGWLSKQSIIKINDQYKEFSHLLMKKQPNLQQK QDNNSIIQRMYSQMTEYLTTQFIQQLNLQQQCESVYLNAIFTPIIIAIAIVDNDFPRINS KIPPINLKLIQKAFQNVSQTVQTIKQLPKLLLQRQIFQRDLCLFEESLEMLQNLMSQSEA VTIIQNKELYQIIRQCYVIQQCFQLMENLKIENDHILQLNDNKKYQEQWKQIGTRYASKK NKDDVLQKQNQEMLEKFGIDFYAWQLNLQIQENEIKKIKRMENEQLWKDKRNEKQQQKQA VQKENQDILRENEEQYKLNQAIKEHDKQKKAQEFRERQQQKAYSSVMMKQQQKCDQQDMR KNQSQIIKQSSEILSIQKLDLKNEKDSACKYRQDRINSARGHKKEKQTNSQKKEEEERVW QKAQELLAKQSQLLHQKKLILQQLKNK >CAK67907 pep:novel supercontig:GCA_000165425.1:CT868055:115676:117717:1 gene:GSPATT00036688001 transcript:CAK67907 MEVFEQQQKDDQVQIQRLLIKKQLLEIRIRHENEKYEETLKNYQQLLQRLESMEHSQKEY ISQMNQLSECLKEIVQQNHEMESAYNDKCKEYEILDSEAEEAVLKAGDIIQGRFRIGELL GEGSFGSVFRIYDIQNSNEVFAIKVEQELEEEESMLEREIKIMMELKKKPGFPQILYYGQ ENHYVYYIMNVLGPNLEITSKKCGGSFTLVTMLRLAIQMLSRIETLHSMRLIHRDIKPDN FVLGLGQQQNILHLIDFGLSKFYVNSKGEHIKQVRKKGLIGTARYASINAHNELEQSRRD DLESIAYILVYLATGSLPWMNLQIEQKDLKYAKILHLKKSTTPEIVCKNLPACFMKFLTA VRKLDFITTPDYGTYKKLFQSELEKHQVQPYYDWEVVGDNHTKKKSNTMHIMPEQLKQNN SPNNLHIINSNGNNNGSNKNTMTYGQDQIIEGDMDKRLNLQASTKGVDNKQFERFEQILQ QNQKKYYKMNSSKRQNSGRAKTPVMVNVIEPDKQIQQQQYEQVYDEVQYIAKSAKFLQPP STPNVRNSSSIMNPYYIPSLNTSQVNNYDWSEGEEISNEGTPLWCMYVEKERQNVMTGIQ TKKKNSIKHNSFSALQYPIQNQVRGSDEELFDIE >CAK67908 pep:novel supercontig:GCA_000165425.1:CT868055:117718:119762:-1 gene:GSPATT00036689001 transcript:CAK67908 MDIFGQPINLNLDLNTKYKSSFGGMISIFIILLLVIYSVNEIVGYTIQRGIQITQETKFS YDPDLLELNHSNFIFAIKVNQEDYYNSPSFDITVNQRYQRGDSSIQLQQCNLEQFTSLVN SDKILKFLESNQMEMWLCPTSQFSIQLEGTQYSSAFKSLAIDVGKCEGLSSWGKTCQEMK ENEVFQLQFITKNTFINPFNNEFVAEDYLDNSMSLQLNPGDLQRIDYHFDKHITKDFQGQ LKIEGLLDDENLSVFSTNSFRQLYEGKNDGVWTRIVFMRSNFSTNYNREYQTITDLLSKI GGLSQSVISIVGVIITYYNRTQFYLEISNRLYDYDFNTESDQGTKGSGLVDPFHVSAQTQ QEGGTKTKRRKADEVKQNEEKQDSMEEDPQYKERVMKVVEEQKKIMEKYKFKSRKHFLLS SFQQTIMTKKSINLNLKYFLYGMCCKKASYKTDHHLFLLQKSLNSVRQELDVEKLKQILL DRDQLILFNYTPKPVIGINEKDKETNFLHYSKQLSLKQMSLIEVAEQIKQQMKSSFLNKE NLSIESVFNSYKAIAAKNRKSNRYYYKINRKLKLFLGDQVKAIFKASKILKFPEDDHVFQ ISDLLSIGEEMAIQIPLQLNPQMNINQQHQ >CAK67909 pep:novel supercontig:GCA_000165425.1:CT868055:119877:122446:-1 gene:GSPATT00036690001 transcript:CAK67909 MDIDEQKNDEEIIQANVFSLNIKDSEDQKFNNLNLPENMKIVEFKQGLNETSFIIQSLKL HFIFYNLSEEELTQVANKMFYCEAQSGTYLFRQGDQAQCFFIIHRGSMGVTVDDIPKREL RAGEGFGELALLYTSPRSASVKCFENCFLFGIDRVSFRRAVEDKILQEYEENRKFLENVR FFYDLTNEQKDAIASILKTLKFYRQQMVVTEGDPGSSFYIIKDGTAMVTKNGKEMKKLHK GDTFGEQSLFYNTMRQMSVMAEDDVTCLVVGRDALTKLLGDQIHIVTFRNLLKWAFEKNA LLQKLTKTQIEKTINQLKLTLYRAGDIIMKKGTSVQQKIIVVVEGCVKKNKSGIVIATKG QVWGEEYFLIINKNKLLDDDIVMETDGVLAEMSHENFIDSIGGFLEEVIKKNEKSQDKKL KSQIKQNNYQSIKKSDLIFIKQLAVGQFGPVYLVKAKSNQQLFTLKTYNKSDIKSYQLER FFETEKQILESLQSPFIIKFYRTFQDDYDLFLLLEFVNGKELYDVIRDIGLLSSYDSQLY IASMILIVESFYKSKIIHRDIKPENFMVDSKGYLKLIDVGIAKPFSSKSELNRTFTIIGT PYYMAPEVICGKGYNNLVDLWSIGICLFEFLCGYVPFGEDAEDPYEIYEQIIKNDIIYPQ YFKEKKAKKLIEQLLSRIPEVRLGNSFANLKGHQWFENFDWDKLIDQDIPNRYIPNQDQL ITNVDIKQADQQYRMVDEEIKHEQQSRQTLEKIVFDGPLNDF >CAK67910 pep:novel supercontig:GCA_000165425.1:CT868055:122662:123063:-1 gene:GSPATT00036691001 transcript:CAK67910 MEQVIQVTTSNYSEKVNKEGKAALIFVGDILAETGQSWCSDTVEAEPTIKNYAIPQLLQK GYSVYWCWAGDKETWKEPNNPARVSEWIQIKSIPTLLLVHDNKEAIRFEEEQLFTENALK QFLEQ >CAK67911 pep:novel supercontig:GCA_000165425.1:CT868055:123071:125696:1 gene:GSPATT00036692001 transcript:CAK67911 MIRQQSIISAEYLVFDTIDLIKKGQYKQCVAKINRLVFDPHLVKGQPQFLQLQIQLCRRL LYCCNKILKKYFLKYSEKSHKIQPLLNRSIELSFHYIEFLETYQKENSKFDGSPLASPSP TIKKKQLFKELYAEQLSLAHKMEMFFSQDIVNNYVQKSNIWFQDHCQNCYIQLLETLLNA YRYHKSNRTHYPSLFLHLLTKLITYSNTQNTNNHKNHKFSLLKGEVYILLGNAYFELNDY SKAEYNYVSSLDEAIVSVRSLLDDIEKLKINVDSQIVKIQLSKLISQIIISLDLQSLINQ QIEHYNRYIEIHQVSQLFNKILVYFNACKELQQHLDIKATQIKDNYKDHVQENTEICKLL SLIFQIPFKQHPPNLNSTFNLEQLSQTDQYQHKLFDKYDYASNNTISFIKNKDLPPKPKN QTSQSILENAGMTQQYFIRNQSIKNFKLKHNNSQQSIEQSSTNYNDSQIQNKNDQSSLSQ IDTSNISKIRFGQTPKTAHRQSFLSELIHLRNQGVKTDKSVIQETDVERNHRQQKELLKK INNKNFYQPLDQVVHTYINENLTSHQKCQSLEEVKQNARIICQAEAEVHKEVPITKSLLY ARRMTCPQGVLVKDQNAENEFNKLLEFNVIEYFDFVQTNKDQAVTNLKAQQENQQVRIAQ QNLFSDNFIPKKKLNFIENEEIKQLEQAQVIAQQAENLLKRTQDKRKSTSKQSRLSILSL MSDKALKKQESVIVPITQEQVVEKARNSIKQVISINEKQKEIFEQEDQQLKEAELPYKFK RSLSGKHKSKQEGKSILIKQEFGHLCSSTKNIREKDFSILNNNNNNEQKLKGIISLEKIK >CAK67912 pep:novel supercontig:GCA_000165425.1:CT868055:125726:126599:-1 gene:GSPATT00036693001 transcript:CAK67912 MRQHFHKLQTKATFKLHKQKIFPYVIQNKNHFQSSNHRIQSEILITWLKIYFKPILTIKN KSKYPICLIITIRYKELYFKFKYHIQNINLIKFILLIKFYYRIEEVKPCNCKKSKCLKLY CDCFAANKLCSSKCNCCGCFNNSSNLIERNLYREKMVERNPEAFNQKVKEVDQKMAHSKG CNCRKSGCKKKYCECYQMGIECSDNCKCDGCKNCSSNKLVKIFTQQDISQFSRQLKQLSQ PF >CAK67913 pep:novel supercontig:GCA_000165425.1:CT868055:126686:128228:-1 gene:GSPATT00036694001 transcript:CAK67913 MNNTYKFYYGEDLIEKTKEYQLTTQTTRIARSKSENRKMVNSITKYSNSATSKSHIKGLE NITEYHQNPIGQMRIMKRRKLILQKEVQNSNNSQCQLTNSTKIKIIQVHNRESQKSTEKR QLFSNQIQSHLNSFDNQQQFLQSLIQKDLNLERINKLVKIRLGKSQVKVHSHQRSQNTEV SSEKHQLATQSSNRRQRYGDSKDIRQFELKVYIYKLILTFEYTCQIWKGNSKLEHFLEDE QKFVGFMNFTYNLTNLPLNIEGLAQLFKGTQYLIKTLKSYIPQKLENLIFEDSECQKLRQ LTFQYQVFYILNNHSVLIDNFTNQTIRFMLRQSKECSDLQYLIEKQFLSMNLYDIDEIQC LFEKQFNQPYELLLNEAKSFCNLVIEYDYVKQLYCFCIKVQDTLSDKIKQEGNRKQVYDK GFDFKTAMSKIKSKEMNHEKLLAQLVPQKHDIIQKLDMFECKFGQFESISNLSREYAKLG DMKYNQLYERILKLQKQME >CAK67914 pep:novel supercontig:GCA_000165425.1:CT868055:128498:132382:-1 gene:GSPATT00036695001 transcript:CAK67914 MIIWLYGEIVLNHSDLYFQEIRVYSIIISFIIANSYSQMTGQDQMIYDFMLILTLTQSFQ TMINQQANQLLFTNHQSVSFYQQYYNGYLNYRSNYNQAIEFLYLHRQHLMHCLLSRCPCK QDVRKLSEREISQIINLYYKNLLSQKFTEENALQYILILIDISNNTIQAHQLILNQKKNV QNGYILEIFHYLEQVLQEKLKREMQQKQQVKFIEEMRLLKFEGLIVQQELLKVIMEKYKF YESLKLLSIQNFQKLYDSLYRLKETIQELIKKSRDLKIYDNKKLNNIFALRICHLISFLT QNLREQIDNQIRIDEIIQNEIYREDEFTNIAFTSNFAIKSGEITSKITSKQLQFLELDEH FVLNSVSQILHPSFRPSHVKLIDQYLQKGKSKIIGTQIESMISPDANTIIPVKMILFPYF PKQNLNKYQLITYLYKIKSKNNAIILLDYNFNLMCSDNKFKLIINQSQLQLNQINVFQMI PQLRENLIYFHSSPNNAKPLYFQNQIIQVMSRFMRSSNQKSCNISKDIDFSKQIEKLEQY SVASVNSISVDKISQVDYEISLQTIQYDIDDDSELLIDLTQFDSEQKQQIQDHFEDNKKE IIKKKSRSKSKQNENVIKSLHSRQSTATVVKSSDELLVFISQQTLPTAIKQFMLLSLVNM CISIIGLVVIYMLLLQKRNEQDICFNRLYYGTEFLDYYGIILKGSRHTVFYRDFNNFIPQ NQTINIDQDVQVSITTSDKIEFTLWIYKENCQNLINLYENYKTVLNSYQEEKLIDFKFVD YQVHSIQTQVIQTQAAYYEIMNQLFYLAIKTFAGDPSLYLKGTLDTFPQINTRSILFLNF NDVCDITQQFIETCLQNSQEYNNAFDNQVQIVFYSFYIGILVFYTMLMLTLARIIYKIKQ ILQIFQRLDNRDIDDELQRLEFVSQNVKIEEFWFNSKFFQSFYEMSQDVSKTQTQGSQNI KLDDQFNLPMYYIMQTIIFVLLIIYFVIFQIQYNNYSNQINPIVNTALSGIQIRLQFIKF INNWDCYNFKTFYNFYIEVNENTPNINGNIRGNNKILQLLELNKNDILQSYLNLVQEPFT SVTQDLPDDEKASLLLNDICLLVGCDAQTELLKQRFLVNDLSKFFEVGLIQLYQQTFLAT QSLGLNDKNETKSEFLNDFLDVLFSREYFMYIYWGLDATNFQLRLFSDYFIQIAKNELTQ ETEMIVVLLFSLGIFISLLLFSINGLLIHQIISNYFSIRYSLRFIPMKVMINKNIIKQIK LII >CAK67915 pep:novel supercontig:GCA_000165425.1:CT868055:132396:132938:1 gene:GSPATT00036696001 transcript:CAK67915 MRLKYCKQQLISNNFEYNMIYQMINILNSANLENCLFMFKDLKWMFQKQKLYVNPNKKIE IVFSTHIAIFSVSFMFNEKFAEIIQKGIKNNRSQLLSNKRKNTAVFTFKLKQKVNDKIML NKAMLHAVTKQGLNSLKIELQIKVNIGILESQIQDSIMKSSIKQIGMIKYWITNNSVDQL >CAK67916 pep:novel supercontig:GCA_000165425.1:CT868055:133864:138653:1 gene:GSPATT00036697001 transcript:CAK67916 MKANKIQRISNRIKKKTIQALLYISEDGFDITKSSFFNCLKMIMYFLQLEGYVFSQYESK PLTLKENIYFSNYTQLSAFTFILFEIGNDLLTQTFFYLIFFLHLVIYLLLLILSLDKSIE KTGITKKMLNLFFTNYQWIFVTPFQEISVGVMVCGDNAFIKSNQFSQDCQQTKSHFLYIF GTISTIFVFISGFSISYFFRNYQFNDKTFSRQFNYVTILRILLHQIVIILYYINMSSIDY VKHTASQIIGLTLIFDILFNQPFGHSFESKFYSAATLNHQCLLILTTIWIFRSKQNDEFI FLAFLIFIPINTFVSNQFLRLKQLEIYNSFNPLVFQRRFDKQLEEIYRVAESSESNVESK LLLHLIFKNHISICKDIECLCMQNLKSFFINSSINSNALNRWSTILFQKQLNFALIHKDF DVYEHLSLKFVTFLAKYQNNPINAYSILQKIMSSQQSQSQLSPNRRQTTTSFYFKNIQQI LLKRNRKQFMSQQVHCSKKVESLLQGQAQIENIGTQIQEELLKLAMEKQRFWENYANNKI ASIQLLDQALDRVQQMINQTNYAVQQLRNKIQHLVSHKNDCVSILNFELLYKICTTNNAQ EIYAIQQKIKSILFADQYEDECYLNIHFQTKECISLIANVSQYKRGELWKPNQAVIQKFF QTNKEIKHLNDLLPHFIADIHNGIIENYIRQGKSQRSEAIISILSIIGEEYIQPITFTLR FFFPKFDSDNDFYMIGFLKKDEEVNDSNQNEKKVPGYICFDQNLNVLAINSVVANKLNLK IQRYNNYNGLDICSLLPTILSSLIDQYKQILSGKIDNLIDNEMIISKNQELFYVPNEFSK NYKINPNDSLEDKIRKFEQIYAETSEDQYQFSNTYQVDYQIFSKRLRYFDEPSNTVHYRE FFILRLQFLENTGSNDSYNGRVYQQNKSILTEINDKDLDGMVELASSRSKSSTKSVLQQI QIFHHLLSNLNQSKHQRFFKMINIFFLVFFVFTFISISFTFKDIDFAFEDCQTTQISMLN EAAVYSNLVNSLNNQGIVDIAKPQLDIDIDDVKLSISYLQYQQILSDLIEYTSNNFLTVI QEVEFILELPSNFTMQLYSEFGQGIEYNKIENVLTFRQILSQNIQNFKSFRKKEDLGSIV LNYFKYIQQLKLSTKSCFEEFKEETSELTSRAYKTLIFMYVLLIFIYLSQIFMVNRMIKR HKFMLKLFIRTDYKEALLENDKYSILTSWFTQTKKGWDQKSMSSLLSQLNLSVKSLKDED DIINGLTSSDKRSTEKGEKLIENNEKLKMHFSHKYKNFTGYITITILVLFTLIYILTFHI QITEISEELLIFSSFSLLLQDYQINYLVQYFRCQYYMNYQFYNYFENQIMAEIYRQDNFT EQDLQDDLQQLNKNVFSSILTNFDDALMDRILKLSEEEQTKFFQGNVCWLDQKICDEGSR KVLLKEEIESYYNTSLNQIFLQQGQIFYENNEMYNMEGLIGKYVKNLLNSKEYFLNNVWG FDIIISRVSNSIVYFQSRMNETIEDSETNMFLYALMIGLMYFFLLMMFIVLFEWYFNKQD RKRRQCLLQMPFSTILKKNIYSCLKFID >CAK67917 pep:novel supercontig:GCA_000165425.1:CT868055:138697:140292:1 gene:GSPATT00036698001 transcript:CAK67917 MDIEQHTTTVKDLNHNWVEAQYAVRGLVPATAAKIQEEIKSGKQYPFDSITELNIGNPQT FGQKPISFNRSVLAAMLDPSVLQHQSEDVQRRAKHYCDKIGFGVGAYSQSAGYPVVREAL ANFIQRRDGTAERPNINDILLTDGASAGITLMFNLLLKDNKDGVMIPIPQYPLYSAVITQ CGAQQIPYYLVEEKGWSAEQSELEAQYEKAKQNGITPRILVCINPGNPTGQVFDKQSIVE MIQFASQKKIVIFADEVYQENIYVENKQFISFRKVALELNLNVEIYSFHSISKGITGECG LRGGYMEITTKIDQDVHFQIHKSKTVMLCPNAIGQLMAGLMVTPPTKEEGCSDLTIQQYN EECRSIFVSLQKRAAMVTQFLNETKGVSCQPIEGAMYAFPKIELPEKFIQFAKEQNKEPD VVYCLDLLNETGLVVVPGSGFLQYPGTYHFRMTILILPEERLLAKMKIFKEWHETHMSKF Q >CAK67918 pep:novel supercontig:GCA_000165425.1:CT868055:140302:141159:1 gene:GSPATT00036699001 transcript:CAK67918 MDIKRRNIQYSKPCPVYLLASFGCATTLLSEILCYPLEYIKVKLQMNGTQGCPKYKNIKS LFAETTSTRQYYRAMNAQMLKIIPYSTTRIFAYEFIRTAFTGDIVETTYRRKLSCASSAY AIALLTGNMGDILKIRMINNPELSLVQAIQQSYNKTGFAGFFRGYWLNVITITAIQAVEI SLFEKFQRFFVKNFTQGNTSIGIDAISSLLTGISGGVLTAPLDGFKSRFMNQVIDQQTYW KTFISLIKDEGLFGLYKGTLPYCVKMSIGSTLTFVLYEQFKKQII >CAK67919 pep:novel supercontig:GCA_000165425.1:CT868055:141173:141929:1 gene:GSPATT00036700001 transcript:CAK67919 MQSDYYESDNIEVIPEEHNERMTPKERVTLKEQIEFLQQQTAHLQQSMELEQVLQVDNPI AYLNAIENNLESLKVTNLQQRIQCLAILGSGAEKCVTLKCVLPQSDNLKRFQQLEKQVHL LETIVGQKSYSYNSTIMQDLNEVQQIINSIQGENKDIKLAFTQSLPQIDKINEIFRQVQL LVGIADLLPNIITRLEQLKNAHDQSIQYQNQLKELQIKHNKVLDLISNNRNRIKVLCAKL AQK >CAK67920 pep:novel supercontig:GCA_000165425.1:CT868055:141971:143899:-1 gene:GSPATT00036701001 transcript:CAK67920 MNIISRKEGELQSQSLKEFPILMNVQYLNLSYNQITLIPYQIIQMENLEILILNHNQISE FPKVPLPRLRTLEISDNQLLSTDFSYLNLTCLDIQYNSFQIYQHHPTLERLSLSWFTLID MSAQQFDLRTSKIGVQLRENHHMNFSQFVNIFSNFTDTIFIKAIQYDEHSIIPSMCESHS ECLTKLQHPQPLILAAKLNIRIYKILLSYLHPTLLLVQFVFLWACDRLEVEVVEHMLESG IMVCWSDQMKILSQALCIPLRGVIGDTPLHFAFRRQCRSETDYVNQMQIVQQLLKHQNPN QKNSKGVAPIHEILLIPSLRSFANCRPDQFDYTKRMGPSQDSIMHIATQMGYIQAIQLFT EKVSMFTVNKFNKTPKYTCSPSVTILKYVRKLEIQELRSILIQSQQHLQVSIRNPQDFHI SVNEGDNLYNLLFKDIVLTDKLIIVILINMLQFKLLYQIKFTSLRIIQPIQIINNCHHNF HPDVKEFRKRLSDQLSQNLQLMAKPTKQQEIIVRKTQRQQSINLDQIIDHSNELYELVSF YPLIGMSGNLSNRTSLLDYEEFQQKQFRKTQFHQRINKTYVSGRQQSPLPMKYSYDNFVM YRKNKSITLDLGHQIKDNSLSNYFRQ >CAK67921 pep:novel supercontig:GCA_000165425.1:CT868055:143924:145201:1 gene:GSPATT00036702001 transcript:CAK67921 MEKCPKHQGNITYLILKPNSVILGCNECRDELIAEGHKSEACILLSKALKIPEVLLSKIN IEPMFKTFFSKISLVSGAELDQQKKQWIQEFEGIKAEIDKMISDTNVFFEHLSQTLQQYR TNLRRIIKFETFEQLTSFSSIIIYQHRQSIIGQIEQKIQLYINSIQHESNNENKQTIEAL IQDYKKKINNVKFPPSTETQDRLENKFKSFKMAVEIRFKQANPSYTCSSLLSETNFDNIQ ARITPNSNSAYSLIYKGSFDGLNTNQFWSKVSNKSNLLMIMKTRNNQCVFGGYSPCQWFK TPQPQVLADQEATSFLFVQNPNQQLYYYPIKKEFKHQAITLNQNYGPIFGQTRSPNFYQL QRWIIESRQSLLQRSQRKLTKLYLGTQIR >CAK67922 pep:novel supercontig:GCA_000165425.1:CT868055:145427:145927:-1 gene:GSPATT00036703001 transcript:CAK67922 MRESCIPLLDETPKITQIVISCNYQQLTRVFKMTSAFTSAQSLKKEYKEKGVVTVSDGNF VQTFAFLLQSFQDQRYDSKSLCKGTIFVPDVCYGWKLDLIQKTIKTAGEQFDECEEAAQK YIKEMIKYLQIQVTTSKPYVVVVQLTDSQSAQGN >CAK67923 pep:novel supercontig:GCA_000165425.1:CT868055:146011:148871:1 gene:GSPATT00036704001 transcript:CAK67923 MSNILQRMVTKEVKRRQVESRLLNRSQQTLRQLIPDLKSPIKSPQVSTALEQFKSKFTEG KYIFQIEQQRKSQLDEVFSRVQIPIKRDFLDVLLQQFETELWRVSNEQNLQYLSDRYLSY QLSNNKFLKHLVQFVDEQREKAELALGQLAGKEATINELQSKYAQLQMMFQQLSHNKDDG QTKLNKNESVKRQKELYMENVRLKNEIERIQDKLKQIENQTNVQKLQEQYQELARKSSEK IHELLNENQIKEKQLQKLNLHYQNIKSQYNKLENESKAYQTVFEANKQHLDRLIKENELH TNLMNRYREIACMQREDFEVRIMYYKEELSVASKAKDKLMQLQSKLDRFQIEKSKDIEPL PNNNYEKEKTGLEKFNDLFSNDKTYQRLTYQALQEEKGRIVTRVGHSIVTMEQHFNAQEI QLEKFTIGYCPFVNLVEHHKKTLEQEFSGLQYKRPNLQLLVTLRVILDSKWNEMQIKPEK MWTHLPEFAYSHLMNFKVDMQTKSIKKIDQDVHRIDDQIIKFIVDFSNPVFEKNWECITF QEFLNDFTSQDEIYFYLYARNLLFRGPECQHTTAYYEPHHYIPLLQAEFVATHILSQYET ATLQQVKKVLREKAVNKTITKNLFIVDASFVLRILLEFYRVERRNRYKMFKIAFGSRATN ISFKQFRVVLISNYPYITDLELATLYREAYSFTGTGVSIDSFYTVASETGFFIKHLKLQS LTTQPKIVNKEFVFEPNTQPFLIVNEAYKRFQAYQSKLEQILIDFGLEELFAQFKEFDNL IQSKFAPLQHNQKSLSQVIESYMSVFRNINNLICNRLYLQDQQISYLFRIQQGQEFLTKH EDKMKLNKEQNREAQFYSGDNFNKQYLRREDFIPDIEILKGQMDCLEHLGSHLESYELVK RRRDYCMTIQAKKIQKFVKKKMNKFYSFVSSLLSAKFKASLKQGK >CAK67924 pep:novel supercontig:GCA_000165425.1:CT868055:148950:149951:-1 gene:GSPATT00036705001 transcript:CAK67924 MQKSLIFDCLFNAKPAILNCDEHSILIKIQTEEIKFHISLNLHLQWYYRNKVLEQCTIEN CTLQSSSKNLSQLKAQLNSCVMYLGASEIYEELQTMLKTEFKANLIVKSTINGEKMFCKM YKKQSEFYFLQEVKILRKLKNCKNIVAIREVYESSKYYYIILEYLDRQLEQDYTHEENQN VIKEILTILETLQQNNIVHGQIRPQNFMFGRNHEIKLIGFSKATIENQPNTTDIYGLHKV MLYLYNFEAKAIDADQGIYPLIPGHGTNFLKGLVNNTQYRINIKQALSHPYLKCNETDHQ IVQGQLFPKFKHSFSNFQ >CAK67925 pep:novel supercontig:GCA_000165425.1:CT868055:150069:151132:-1 gene:GSPATT00036706001 transcript:CAK67925 MLTILQFPCWILKVQHSLTLFDERIEITKHNLVFKIDIHLKIKMRWEISPSGIQSVHIQD LMIQANQEDLNQLKQHLDGRVSYLGIQNYYETIELLSDKKNCKICKVEDRFTKVKLCCRS LRKDAYNQEQLYQSIIILYKLQQHKYFPKLYEVYESKAHIYIVMELMDHNLNVDLIHEEI QIITLDLLKTIRILIDNNIQYSKFKLSDLMMDKRGNIKLISFCHASRSKDIDLDNYLGNI GQIMIQLYGFKASYTSLPYIPDKGNEFIVGLMNPNLDYRFTFEDAMRHEYIQSIFGETNI PVKFTQNPKLITGVTEAISQYTKSSYYQKIK >CAK67926 pep:novel supercontig:GCA_000165425.1:CT868055:151274:151699:-1 gene:GSPATT00036707001 transcript:CAK67926 MGCGAPTKAINSDEMNFDVDELIIQNEQSIHEINSHVEPKALLLHTQQHILMDQGEDSLY FSIRTPENTIPTTYSSYSALTSKKPCLKLAINQEPLDKAFTEKNVHFDNKIKVVFGDKVY LFRKVKKTKRKSSKINLDEIF >CAK67927 pep:novel supercontig:GCA_000165425.1:CT868055:152404:153982:1 gene:GSPATT00036708001 transcript:CAK67927 MLKNPKFKESLTSRSQQQECCKLQGHENNLIQFVCACHECPYRYQKACAHCVIKLHGKHV EDMKEIEEFDTIINENSKKAKSLIKQSDELFLQLKNPFGNFFEQLKQQLIEMIDSVQKRY LLQNQERAQQLIRNELDFINQFNQNVNSKQNRYLNDHSLKQYSNSLRTAQEKLIIGIQFD IVARGFWQEYRSQLYKQHQSKYELETQQLPQYNDSQICIELQSDLKKLEIDQFMSKQSKQ YLSTSAPHVDTSQLSGVSPKKEFYDATKQLEAARRRISLLRLENEMRYGLDILSEGEEIA NPTIKARTIEQDQGRSRTVQGIQIGSQRVSERAIAESSAQNKEYEDAAISRQKTDPTELS IDESNLKEMSTSFDRTWIQQNNKRQNKNNKFRLKSNLLNKLFKTSANRSTSDSFRNIKSP NLSTLWKQKLKKQEAYLLIKLDETTNQVNKLNQSALIKQSQTTPQTASYS >CAK67928 pep:novel supercontig:GCA_000165425.1:CT868055:154031:155356:1 gene:GSPATT00036709001 transcript:CAK67928 MTMQHFVEDDNSEDDKFEENLEKQYAVIQLHLLQLYPKASNKRPESKHGRPESSKFQYKK QEQQIIEQQPKLLEAIPTSQALKKFNQLVSFHKIQIEQFWKNHTIMASQTEFIGFLLESG FQFEQYEINSILSDLTNDRGVITIESLCKKVPAWHQNENAMLEFIREKALKLAQESKRKF SAQRKPKPQSASTKRSGFNKGESRPISGISKKYQSGFSDLKISNINDKPLQNRSKHYLLM AKQRQQEEDRLLQLTINQGKNEYEYEMLIKMGEANELSQELDSKITYRAYKTAQGHLKVH VYELEHFQRDLALEEFQREYNILRSRYNEKKKLKIWEVLSEKKKNQKSLQHFGSSQKEEI KQNEVDGKAANKKERQQELKKVLLETMMLSNVLKEQLVVLNRKGINANLSQSVKL >CAK67929 pep:novel supercontig:GCA_000165425.1:CT868055:155472:156425:1 gene:GSPATT00036710001 transcript:CAK67929 MSSKREVIAKHRVESANRSQKRKLEQAMLQINSQQPITKAQQTLPSEEVELYKNVNLQHV INGADFVWNHLENMPKKQMEAVFLPQTIAGMQNVYCVVKKKYNAEMARREQLGVERIQKN QEKHHQFEQRKLKNQQIYTKLGLKKTYQSAPVTQVTEEPLFQRLSKPKQSFIQQSTYDLP DFRGLPIKNLSNVDKYKNPVLINHFISSNILPKSVNKEKMRSKFETFDQKLEQFQNTIQM DEMNLDNNFKDNAMIEIDQFEQMLSTIPMTMYSQVKDREIQLLQSVEGFRSIRKIKKDPN YRHLIGNQIREEILTKQ >CAK67930 pep:novel supercontig:GCA_000165425.1:CT868055:156443:157841:-1 gene:GSPATT00036711001 transcript:CAK67930 MYNNHFELIGDDHFVNFLMDQNQSNFPYVNSSSFGQCQNSDDEGPNQQEFFSSCQLPQQS QGKKQQKKKKSEDTILTSKQNLKNTYINKITSLIQESKPTMTPKFERKVSTNTDDSTQAK LIRNRECARNSRKRKKIYLELLENRVNTLKEELEKCKRIIKGHSSCMQQIGSNPQLQNFF VGRQQLFDKLESAVQNNSDNNEINLLLDSMRFRVGGGGKERVNASNYFLQQIMEISFPIH VKYLLWASGPNLTEPTWFTNLSREIDISDQQMKSLKKSYKRIQSDKEKLQDIIKQLQTVK ENLYQKTNSLENFIDEMRSILTPTQVAKFLLGLEKNKFQKELSMSNLWKQFEDEFDTEIK EEECQFEDTVTKKVHL >CAK67931 pep:novel supercontig:GCA_000165425.1:CT868055:158505:159755:1 gene:GSPATT00036712001 transcript:CAK67931 MKNLKECLSSEGGYKNTKQFKENIQDEDTLNYVIETLEDILQSSKSQPMSLVLSMRLTKE LMDLHYDCVVHSISNNIVYMIEDIIMADLKKNERGKFYFSDQPNQSLILLGNTLVRLALE CIFVWNLWHPNNLAITQVYQRLIDKGVQFPKLHYFSAQKVKEYYMTVKESSKNGTLYRQQ SLMLHEQYFEQLKKTIEKNQYSNVKLHEINEELKQIKHVNENQKQFIENFNRAYKDYLVN NKIEEFNNQIQSICLEYDELQSQKKQNSAIQFCTYSTDKQSNQLSRSMSSQNSQRKNEND DKQQSIEQLLHENELIQAQIQSFEIPKTNCNLKKSEI >CAK67932 pep:novel supercontig:GCA_000165425.1:CT868055:159885:161272:-1 gene:GSPATT00036713001 transcript:CAK67932 MDNDQQVNFLEDQEQFDENDEYEQTMGRQFESVVVIKDEKGEVQKKYTLTIQIIKANYEK PYLGGLKNKKSGALLHHAFAQTNQYRREHKEKNHRDTQTHFESTKSTIMMREFGTQMEKE DLFIDLRNDRNFEPKLYFTSEMWEERREEAALFIQRLIRGWFARRRTNALRQKKQQLQNE QLEKEEDFRRQEEVRHKKEIERRTNPRTKEDFQILYEELELWRTTEIARIKSSAMSEEEK RAALKQVLNKETDLLQTIDRLKIIANQKNKDEKINKFLKSISDPKKWLRKSDGRFTEVHT LYTTRARELMDIYNGLKQRKLSLDERLDILVNTKWTVKEWDCNLTREIVDLIDREADMLN RGRPEPSLEGLRQRLQNLFLQFIETPEFNPEAARFQKIPYEILKSTS >CAK67933 pep:novel supercontig:GCA_000165425.1:CT868055:161321:162235:-1 gene:GSPATT00036714001 transcript:CAK67933 MQNGNNNNNDTQIELFKIIQNTHNSFYVITLNKKGNLLMGGDFDGNMFLYNNLSLIKSYK YHKKFIITLKFSCSGKYLASGSADCNIGRIEFDGIQQVQFRLLCHHSAYVMKVDFSPNEN YLISAGSDKQLLVFNSQSCELLSKYYFPYGLNYVQFINDTKLFIYGTFGPINLTNFPEIM KNEKTKTLQYQIKQVSMINSLSNSQLFLINGQIRSKSYLVNQINFKILRRFITKNQCDCM HLHLTSSMAILTTSDKIEIIDWTTATLLHILDSSTSIGTSIVSEDGVYLYAVSRNNIKIW VLKN >CAK67934 pep:novel supercontig:GCA_000165425.1:CT868055:163022:164262:-1 gene:GSPATT00036715001 transcript:CAK67934 MNKKVDKNHLHSNFWQRVQEEQLGVTFKSSWLNKITEDAEIQYVKEFKKKTAKDKFKHIL QVPLIVTDKQFLRRRQTFQTYTKNATLDLYYLQLKKAKGLGKSISEKADYNQVIQQTKNN RLQNEYLNTFKKNQGIMFINFIISYRYDNTLWSDRYYNGIIQQSRLANLQKVLQRNKFKG REELLAQFEQFFASKQLVVGAKKSVPELIKEISNAFGEVMPKDTDQDDKQEAHVRDKSLD LQRNEITLNMNTRPCTQQAALRTSTEPRPQTHHNYYSQYSKPSFDLIDTNTRCSTNQVKQ RKFKQSAVQRIKHILTQADDVQLENKEVFKQLQTFQDHEGNQATHRSEVIKAKVQREQLI GAFSQRNNVDVRKKFEKRKVYAEIV >CAK67935 pep:novel supercontig:GCA_000165425.1:CT868055:164276:165666:1 gene:GSPATT00036716001 transcript:CAK67935 MKLYIVFLVICAVVSQKENTELSKEDQTLLDSMVKDKFGMNVLNDIQSEYRKDSEKTEQL LRIESLLNTIERQIAQDEQDDLEQLTKDQELYNQQQESLKEKIAEAQYDHSSIGATIRLL EDESNRYDTRINEKENIVNEYESTLIQLEEIRQHESAYFEITRDDSFAICSLIKRARYLI RELLPRSPRNVAFVQQYTYEDNSHQFSSADVLQQMRDLQVEAQETLQNNEPFLKIINEFV SVSTQTEAVIDTTRANAIINLFQQLYDQVDSTSRVQLTAEDDRENLHQRVKDQINGELDY LHLQLSILYDEQDGVEAHIVAAQMDQKDGEQRLANLKQSYQDLQKCKEDSKVNYGVRSKE RKEQLKLLRKARDVLLNEFDAVRCYLEGILSRSLSQE >CAK67936 pep:novel supercontig:GCA_000165425.1:CT868055:165776:166096:-1 gene:GSPATT00036717001 transcript:CAK67936 MFILICILVLTYSIQVPIEETENIEVLQLVSSEQFQEQQLKKVQNTQQVFLLEIANYLEG TTINTLLGKIAQQPQACKAKITNPSELQLQLYSQSYQLLFVVFSFN >CAK67937 pep:novel supercontig:GCA_000165425.1:CT868055:166145:166993:-1 gene:GSPATT00036718001 transcript:CAK67937 MNYGIFIGLIALTNQLLVDHVCSCEQLQSENDCKIGSEQCDWDGFSCSTIQCQSFDSKTE EDCIKFIGCAINDVGNCRPFSDCVDYHVTDPNKCKEKWATCVAGTNMVDGKYECQEKDNN NQYYVCNQIEDRGVCTADAIENQAAIKQADGEVCDWIVEDNVGRCIAYDPNKCSNIISKS KCELFSCRWDSEKEICSEPYCIEITDKTKCTYLRGDQTGTFQICQWDKDQCQDAEDTLFL TKDNCLQSTFFSATWNGTSCNLCQNDGFSTLLSMVIVMVLIL >CAK67938 pep:novel supercontig:GCA_000165425.1:CT868055:167050:168017:-1 gene:GSPATT00036719001 transcript:CAK67938 MKFQFIYYLGSIFGILYLSKSAIKQIIKFKSLSGDRSSKNYLLSKIRQEGQQSKGLQFFT HMYITGTSKRTEGLRWTNPRLKNYLLISQLGFRQGQEAFFSNKVVDRAPIFKLKNAYDLK VQVSTLNEFNFNLEKALVIFQENMSFWGQTLFNLGLIKREIIAGLPYNKQYLIFLGTSFT IQKLRQKLAEVSDYPGLRFSFFLSNIIQILGKIGMVGYLLHFLYKQIGAYLKQNIQDVLI SQNHQTLKCFQCKVSLANIIYEPCFHMICCVECSQNQIRCPICQKKISKQIKVFND >CAK67939 pep:novel supercontig:GCA_000165425.1:CT868055:168042:168633:1 gene:GSPATT00036720001 transcript:CAK67939 MSNSQEKEQELKEIRREMLKDAENHAQKQRFGLFSSPVPLALGDDSMEMRKRPQRGENGK PITEPSNMKVSATRTGRIRSSYFGPLSFTTVGDPYKDPEQVLAHYEKEQKKLCNKEAPFK PPSGYKELMGSAYQHMKEYDFKKVESRKQSDGRVYSAPRNVTTNPRCKVLDKSIHLHHGR LQQIQRF >CAK67940 pep:novel supercontig:GCA_000165425.1:CT868055:168673:169075:1 gene:GSPATT00036721001 transcript:CAK67940 MQSKSKEKEQPFRSTVHGGATFERDKNLFGETKMPGASQRKSPELKLAKHESAFRPVNGL KKGFDGLFAHFEYKADPMREIKRHYSSKKERESFKPADLGNKTRPNPTISCFKMNIRREM AAHY >CAK67941 pep:novel supercontig:GCA_000165425.1:CT868055:169288:169671:1 gene:GSPATT00036722001 transcript:CAK67941 MNILSEIKKQVEIEMKKIKKVPTSNKTITCQQKKELVDMVNQGVLLKDAAKDLNLTYHEA KVAYNEQRRKSMNTQSETESAVYNMRTAGVSDLKVFPRHFLLQQSVNNTLISVRRLYNIL VLNPKQQ >CAK67942 pep:novel supercontig:GCA_000165425.1:CT868055:169676:171221:-1 gene:GSPATT00036723001 transcript:CAK67942 MPSKTKILNYMRPAMAIIPDVAEPERRILFKYRALWTAIATLLYLICSQIPLYGIYKASA GDPFYWLRVILASNRGTLMELGISPMVTASMIMQLLAGAKLIDVDQNVKEDKQLYSGAQK LLGILIAFGEAFAYVWSGMYGDLDKLGAGNAILIIIQLVFSAIVMIMIDELLSKGYGIGN SGTSLFIAINICENIMWKAFSPITHRTELGLEYEGAIIALFHGLFIRDDKVAAIQSAILR DSLPNLTNLLATVLVFMIVIYFQGFKVDIPIKNNKVRGGLTSYPIKLFYTSNIPIILQTA LVSNLYFLSQILYRNFRGNFLIRLLGHWQELENGQTVPIGGLVYYVSPPRSISEAIFDPI HTILYTAFILGTCAVFSKTWIDVSGSSPKDVAKQLKEQDMQIVGYRDSSMKDVLKRYIPI AASFGGMCIGALTILADFLGAIGSGTGILLSVTIIYGYFETLKKEKEQGTLELF >CAK67943 pep:novel supercontig:GCA_000165425.1:CT868055:171293:171505:1 gene:GSPATT00036724001 transcript:CAK67943 MDNIQQRETLGLFIRFTSICQIRCGVLSSKDDVLTKDNHQCLEKCTNHMIDSFRRIQDAY K >CAK67944 pep:novel supercontig:GCA_000165425.1:CT868055:171533:172573:-1 gene:GSPATT00036725001 transcript:CAK67944 MQMETYEKGREEYQRRYGKLKDYISSLKTKDTSEITKFDSSDFDLTKLQARLVDQAEKEK FEENIIGSTDIVEQVNHDFNVLVYGIGSKIELLEKAMKELMESNPQSYFFVLKGFKPLVN MKMFLQKMSEVLKIDSHVRNSEQIIKCIENTPQSIIIIAHSIDGRHLLNEQAQKLLGQLS NCPNVRMACSFDNYRYPMICKIQRAFFSCVHTNRPYVQEILQIFEDQVGKQKQEEGLWYV LSSMTQKQKNIVYYFAGKVLESRDGLNFQDLYDVLSDEMIVSSKIQLKDNLKELMDHKII IEKGGKYTMQYSNTILQELSQKFDEVIKQ >CAK67945 pep:novel supercontig:GCA_000165425.1:CT868055:172760:174259:1 gene:GSPATT00036726001 transcript:CAK67945 MNLLGLSVFLSLIGLKVINLFLKYIIPIQYSKHQYLLGKFWNRNESFLYLQGTQSVLMSR TFAEGSQKYFYFSEKLSNYKSVQLIIQIHFTHTKMASHTMSKDMETDKYYLIDNVTAEVK QINKNGDYIKKFNIELTGKANYSERVAKAQGDQAYMPYSTKVLQTKPNLYTPQTDKFDGY AQLPRTLQEPYINNTRKRPKTTIEATKYLLEGKEKNKKYLIGKQLQHITGTIHDYFKAKQ EGKIEILKMRTETQMQDFVSGGSVKIINGHELTQPQVLHNPPEKRAQSASRIKYDTLPQT TETRAQTSQQSRVIVPLEEQKLKSMQSYGTLNQELQNTESKALFQSRTYIQTSQSKRSQN VIDQNVQLYNIPHFQERLDIFKKEIQSFTLPQTKEIKQITSKGRFNCHLADNKEHYMQDR LMMKLCIVFIFHKVQPEQFKSNESPKKQEMEKQRRILAKMKETDMLTRNLKLKL >CAK67946 pep:novel supercontig:GCA_000165425.1:CT868055:174798:176027:-1 gene:GSPATT00036727001 transcript:CAK67946 MGSQCSQCQSCQKREEQVVVLSEVRQQSSQNMNQYSQQPREEENDESSCNSLEQLVSKGV ELKKVEDKKLEQKYQAEVPNPTPTPIATPDIAPIQVIAFSAQIQQPQLTQESQNDPSIFK KDRMDKRNQQDLNQDETNKQGPREKRPKHVFKSGAIYEGEWVGNTRDGYGIQIWSDGAKY EGEWKDNQANGRGKFWHLDGDFYEGEWKNDRANGVGKYIHADGAQYDGEWLEDLQHGQGR EFWADSSKYDGQYQYGKKSGFGTYQWADGSTYKGMWSDNKLNGFGLYNWPDGRRYEGFWL QNQMNGRGIYYWPDGRYYDGEYLNDKKHGFGVYKWNDGRCYEGYWLQGKQHGIGRYVLSD GQSQVGVWENGVRKKWLEGESKIERPKDWDKYIHPKLEDFQ >CAK67947 pep:novel supercontig:GCA_000165425.1:CT868055:176052:178218:-1 gene:GSPATT00036728001 transcript:CAK67947 MSQNFYTLIAFACVNVVCSSFIVFTYLAWKVGRKSPGDIVFALGISQLSTSIYWMMSSPD VNSPSNPEKSLNESSYCKWVDFTQNLGFLGQFIYNFAYCFTMRQEITNVLKTNAKFKMFL HSFCLVFTLCISLILFAFQPEIQKGATKTVVDSCINTIILERNYLPLALYVFEFVYIISA LYTIWYFYQNLPNDDTFRTNKKSSLFRYVMYIISTIVLSLLIMLSTFIQTSEHPSYKPAN LDIVMLISTCFFYTLGSGILCYQRVKNPALLRKIVNMVHKAFYPQPKKEIEIVDVDTQFI EVQKFIRMFQIRSVLAGIIQYFNNIEEYLDDSQLEENVDGSETDAERTSQLIIQARATYN NDITLNAHLIRETLSEDQIAIARTLDKYKLNNQALNKEFNFYVKETQHTKGKNPKMFALA PKYFYYLFKFDNLDIDAYDSFSLEKNAELIENMINVDGGKSGEFFFFSYDNKWILKTITD RELNSFRQRMPEYFHHMSEYRKSLINKIYGIFSYDMNYLSSSCGIIYHMVLMRNISQVPR PFIKRTFDMKGSEVAREALKGKPKDIDLSKITLKDVDFDNLEKWIKIEQQQSDFVKFQLI KDAEFFKNTYLLDYSLLIMKIDWGEYQGKVEKLVDIPQNAFPSQEEPNIYYHIAIIDYLQ EWNLSKMAEKASKQLLAMNPNLNVSAQTPEIYAYRFINNLVNKIFR >CAK67948 pep:novel supercontig:GCA_000165425.1:CT868055:179259:180818:1 gene:GSPATT00036729001 transcript:CAK67948 MSGQRQEMELIKQGSKLAKSSKQALKRTGTILSDKSSSSFEDSRVTLLLFEKYRIVEMTR FCVIFACQILAILQYESSFQDQFEQNFDSETTLLLYLIFFQTIVAITLTLTSYQVLLAYQ KKAMIVTPQASLLESNLIYGLIIEVLLMIPSPTPFTQRIKVPFHQRYTDAPRYYYVNEIL TYLLTCRVALLINIALKFQAFYSSQIGRLCRLYSTDFDTHLVFKICMKDIPGFTLMGLFC AGMLLFGYSMEIAERALLRDETSFTNYNVGQSLWVTLITIATVGYGDFYPTTDLGRISMA VCVFWGVSNTSLFTAMLYSMLQAETSEELVWALLEKANVRNIMKAFSQYLFVRIQKLKLK ASTENKNDKSNYKEQVDLIQETLKAISQMKRQYRDIDGEATMVMAKRKFKDINNMFEEQI SYLKESKRLQFLTNINFQYCYKSNVTSDQKHKQSSPHIQTYQTDDKQSKDEAFFANLQDN ESNLLLMDFRD >CAK67949 pep:novel supercontig:GCA_000165425.1:CT868055:181351:182732:-1 gene:GSPATT00036730001 transcript:CAK67949 MIFKIGGEDYSIEGNPIYEDSYTNIFNCTKGEGFLKSLYAKFSYSNEHEIDYKKIYQITQ NSKNQNLVPIYYIEYDPLQKVYLIIMEKCQENLEQQSQKFNETQIKEFLNEFLKGYKCLH DQNMFHGKINTNNIFIIKSQHTSQYKIGDIVSYATSNNNTNIGYIAPEIFPKSSKDELFL KIQSNQNQEASDIYSLGMVLIRLICGKLPFECTHDQVMQFHNLIKSVPYQIKINPSNINQ QHFSEELLNPIQKMIRYNPQERLTFDDLQQLLMQYRKQRLSQTINCSSSQNHLFSTINSS ININFHQSQKPKSAIQLIQKSTSNCFKNLAQARICPQITSIDPKTKRLRVIKRIYTNEVI LNFIDFQWDQFKNNLEIEKEYASQQIMAENREFMLLLLNSYNNKSCDIEDNEIRFIYCLL AKFQGYKEIVQKLPLDYKVELLNV >CAK67950 pep:novel supercontig:GCA_000165425.1:CT868055:184905:186077:1 gene:GSPATT00036731001 transcript:CAK67950 MNQVYDLNIGSEQGFQMYILDITVSSFVVKILLPYSAVYNGIEFRYYAFDDKRRKVISRL NQFSPANGIIKIAHPNPNFVVGISSVISLEYQSSFSYHLYVSQVTSTDCSITISISNTIT QIGYQVLLGTKDAFYLYETVYATSIPSYVGAFNFESGWEDSQLLAVYQGISYQPHTTFRL RINRNNAVLTQTNYDIELWAGSVLESVYHKYGGIRAQWDSGYYQTFQLVKYFVSRNYDKN IASILDSFEFIIPELNFQTKIEGTFTFDISLSITDFTLIFNRKCEHGKKLIMQLIPKDDC SSTYYEYQINCESKFNICKQKLYFQTQTPLISKLKIEIVSDFSFKIQHNYIDQNEKFTYI ANIQYV >CAK67951 pep:novel supercontig:GCA_000165425.1:CT868055:186869:187171:-1 gene:GSPATT00036732001 transcript:CAK67951 MNLKNVNHKIEWVVLFVAQLFKKEKKVPGLQIMEIEYKTVCLLSFYNLEKLAKFFQYKSI DRFHSTGFTQLKLKNSLKQYTLFVGMDNIDQIQEMNMVFN >CAK67952 pep:novel supercontig:GCA_000165425.1:CT868055:188457:192616:1 gene:GSPATT00036733001 transcript:CAK67952 MIIDGLNNFLKRLESLKCTIVFVGNFVFFKTIKKLQYYYRIQSTQNIRRQQSKNDRDGQR IKFIYSKRNMDILFLALIALVMGDWQVYYNGFHQSTYLYCTANTCPYQFKYSGTQSSALF TNCTNPLGTALVLKSSQMMATLSEQVNNLKINGKNHILNFDIYFLTQWNGDSLKLEYKSQ IYQFQFTTQNPLQFNSGGCNSSQYQVKTIKIALEQVTSLANLKFSIVNEQSLALIKNVHF SYYLCHFTCKTCSGDNYNQCTSCFLGASLTDGTCRCPIRTVLSGEPPSNYKCMSICLLGS TDKRERYCKPFEIQYALWLQLQTYSYSQLIKWNIIYDPANLSNNNKKLGPFFGVFKNNEG AQITISTSQFFYPLSVQVYVLFCNATPSNSGISIYLNSTYYSSFYYNGANFETDNMQFFA SNNVPFNGCQTASSYLLQTNLYVEQGNFNFSIKGNFTNSNSGWYIIYTLIACAQCPAYCL KCEREYECSICSSGYKITSDKKCVLNCPKDSLFSNNICVKYDQTTKCILFEIYLDSQYFM RKFLDFTIPDNLPEIFILVKGIQYIGLTQHQKQYLEDNTFGQPQNFLKRLLRIFDLLPYT IDKPHHSLSIYFDAIFGCNFQSGGGYLIYSINTTETQVNQNKTVIINIPQSSPTLLITFE CFGSNNNVQDRYCALSDYQIVVHYCSPFCLECSDENTCIQMATFDTSIIKLDPSQCGLQQ FLDYQYFRCESCPSECLACFNEYECTQCIYPYKLHITRCILTCSTIQFFNIQTQYCEDCS FKCKQCRNSRDFCIHCEEQHYRYLKLNQCICYDGYYDDNTGGKCRVCNKLCKKCYGSSND HCSQCIQLDKIEKKGDVCDCQEGYYFDESGFKCNLCNQKCKTCFSSLDNSCLSCNPNEYR SLKGISCICQLGYYEVSDICQTCPSSEDASLYQCYKKCGNEILKWHNQPCDVVTCQQGFQ NVNNECIPICGDLLLKGDEECEDGNQEINDGCKNCKFQCPKQCLTCNHLTVFPCPDVCGD GITSGLEECDDGNNIQFDGCYDCKLDCQVQCTKCIRGLCYECLTYGWIINIDTLQCIENC GDSIVIGSEECDDGYNLDKNDNCFQCKRLCRNDCNTCSSDGKTCLDCKVVGFKPQSYFCV NICGDGYLAVDPFGRSTEECDDFDLIANDGCSTTCKLQCQTSICLTCQSGKCQECVDHYY LDTKSNKCLEKCNDNVKIGNEKCEDMNTLLYDGCYNCQLSCQPSCLNCQINGCLQCQIGF RLIENKCQNICGDQVIVSGEDCDDGNINPFDGCHFCQFQCGPNCQEIQIITFINMLIRIQ NIQWNLWYLIEIKYLNYPKRITFRIKSFELIIYIILQLLYQ >CAK67953 pep:novel supercontig:GCA_000165425.1:CT868055:192924:193199:1 gene:GSPATT00036734001 transcript:CAK67953 MLRTYVGEWCKKSIYEDCEDGNLDPYDGCFECKYSYNPLCPQCIAGVCTDDGTACQKGYY FDSQTVSCFSACGDEIVAIPDEECDVLNSRK >CAK67954 pep:novel supercontig:GCA_000165425.1:CT868055:193341:194944:1 gene:GSPATT00036735001 transcript:CAK67954 MICENNICIQQFTDANKSTNYNNNNNTYYYNNPLENFIQAKCGDGDINQNELCDDGNLID GDGCDSDCQPSKNSICYLNECIQIYHPVPQLKFVKSIENCQILSLTYDQKIRLSLNNTLE QYLNSLSGSVVNTKVNVSIEANIKPSQELDYAEIIIQILYLERAVDPIFILDFLDLSIII NEQELEQEYQQIQMQLASPNLLSIEEQRTTGSIILFSEYQLQIIAGLWKILNNMQMLYYY KYINIIKGQNLIKFFDTFKIIQLANFYEFIGFQPQSFIFFDVSQENSPSIFEDDGRSSNF LSAFLEVFSIFFLAYFSHLFSQIIIKKLLKCISQFNTESPKLYQLKVLKQLTKICKKHYG IQFKAQFKVIFQSLIYEYVINMMLSFQYQNNYNLQGIISLTLKIMLLILIIQYLINKQNQ RFSEFQYTYSCIQKIIFSIILVGCFECPIIQIQLCAINELCYFSFLFIKRNELEKFEGFK KQFKHLTFFFMNVIYSIHEFSKKNPPNLITLGWIQIGIMSFNLSTLL >CAK67955 pep:novel supercontig:GCA_000165425.1:CT868055:201150:201329:1 gene:GSPATT00036738001 transcript:CAK67955 MLVRQVFPKSNSNISEKSFKFQGILMLTNCIVLDTFPDSILYILCINKLEIIENFVFDG >CAK67956 pep:novel supercontig:GCA_000165425.1:CT868055:201431:202306:-1 gene:GSPATT00036739001 transcript:CAK67956 MKQHNVISNDILPANVAVVILRRGALINKKNLSPCMQLIHILENCESTQINSPFSLTQRI IQAQKATAFITRRAFGIQVSPFCINNQTQKQLAQKLIQILKAILFLNIDHYQFLYHLASF FCIKFDVSHIRDKKVEKSSPLVSFILHCYPNPMIKQFSNNKLKIKQIILHIRNESAITTN YIILRLLAIVISRLIKIQPVSNQQYTLPLKYQYVDLVLTQYYRMGIYYQYLQTGVFQTLY STLSLSKLQDKVTHNIQLIIGKQIKTGITNFKVHKNQISDESTHDSFCEIW >CAK67957 pep:novel supercontig:GCA_000165425.1:CT868055:203807:204982:-1 gene:GSPATT00036740001 transcript:CAK67957 MYLQDLSCVLHIRQGLRQSLCIWQKLATKTLQIRDKITHQRFRMISALNRLQGAVVVKDK RMNGYKMYQSFHQMPQQRIHNHKQYTCLLIQNSLGFHFIFTSILAEVLMSISTIQISLSL FFCNFLTIIIIVHISLCLLVIHYENNMNSCGSNIHVHVCLYITLKPLIFVMNVLLNFCRY EFLFLCYYVHECNIQIFFVNINITFYKRRSRRQIINKPQINLQIVFFKQSQQTYYIYIFG FRQNPSSQASSNIHRVGQGVGIINKQLKGSINKRVKLVITGRYFSQLMLELLSKVEQEVM NVEKFYVRHQLMKILIQFNHHYIMHKKQFQQISQKQKLQNHKLIFIYCLPIYIFIQLVYS LLFEHYLLEITTPKFNTEY >CAK67958 pep:novel supercontig:GCA_000165425.1:CT868055:205321:205722:-1 gene:GSPATT00036741001 transcript:CAK67958 MLLKRQSLDTICSASIVDTQGVDEINTTNLEKTYVQFYQKMQEQRSQNIYQCTKKQQFQN QLKHKQANHYNQYIQQPITTIKRLQNQTISRLQREFIISTNNYSYLCTQQFTVIDDVVKL GGIAILNRVVQIL >CAK67959 pep:novel supercontig:GCA_000165425.1:CT868055:206284:207408:-1 gene:GSPATT00036742001 transcript:CAK67959 MQGISTQCIFLIKFPVYMHHIKQLSQISLLFSVSIKFLKVVVYTSTNTYRMLDIIIYLRS ESFEFYNESQEMQNIKIHQFQEFQQIEFRYASRVIVASIVVQEQYKYKIFQDKRNSYYVQ HHSHQDVMNLHPILNLHFKCDKRPKFPACKNQLFRQEKKSNIQKYSLTIIAQFVAKQLHF TTKTILLLLNQFQQETQLTTQLYIEITISSISSHEYDLCSQQVIFVILQDNINKLNFKLN TMQTRVTKQLQQTINGLLLSKLSSSQSSNVKNLDKIIHARQMTARSQNCSIVLRNEIWQI VQHFVIGKSQNAVQEYLQNCQIRRSNINKLQHRIVQHRNDIGMLESYIFSSVVQ >CAK67960 pep:novel supercontig:GCA_000165425.1:CT868055:207773:208575:1 gene:GSPATT00036743001 transcript:CAK67960 MLQNKLYKFYQQNKGMSIIINIIQHNPKSASIMIVRFASKLQKQTKLLYKGWPVLQNFCE SFIWISKILVFINICSSVAIYDIDQGSFIYLTTNWKMEFKTTSYQKRYKLIQILLKDNHH QINLKLANLKLTLMAFSNIRQGQMASNCFKIKFTEHDYETILGWSSLVLQFTVELQVTGQ LRHGMIKQQEPEPWCQCISNLIEALENFLQLIRCQKNRKILSIKYTSSKHCGYNQFFQEI HAEFLIIDE >CAK67961 pep:novel supercontig:GCA_000165425.1:CT868055:211027:211911:-1 gene:GSPATT00036744001 transcript:CAK67961 MLWKNNLFCGTIMYEICNLSLRLIIGSCYGYQLLSISPNSDCSTYSNSATVKFTEAATST NCLGIATLEGQCQIKASGDPFGWNGAQNTVKQCVSAPADYVDEKYVESISVKEYSFWKRC VDIPATFDTIIWKQCNLSKMQIHANGQEQPTNFLRIMLLIQPFFFFLLDNQDKVCLCIFN SVIIQMIPIAQNVIASLDNFINTKLYNKDLYQCPCNFSNAVYANYLTNYTIKRKVDVWLN AHIQILLLIQLAKQPQMAKQYEWHHQHNFNEHCGI >CAK67962 pep:novel supercontig:GCA_000165425.1:CT868055:212115:213899:1 gene:GSPATT00036745001 transcript:CAK67962 MLQKLPKSTYLCQLILIKIFLPIPCFLLCQQKFIIIYQLIAHLILSFLINTFDPPFSLLQ KCEHKFYNTHTQKYLEGRSIKQFSGDQLKYLWSHLNYLGLRVFSIVSIIQPGNSNLLPHH IPTFIYTSINSLHHSKHVPKHLDLLSILIAEKCNSKRYYSSNNNNVKENKINQLFNNQIL MQFLQPKVIENECFCKQHKQPIELVILDSKLSNDQRVLCKSCSKLNPNKAEIVNYLLLKE NFDRQQSERIKQYQPIIKPHIEYVENFQKVADAFKTRLVRQLDNFLWLSKDWITNLSDIA QQYSFLGELDAFIKQSQFVVDQRNTMQNIKKLNENWNIKIQKQLDRLNLQPEIKKCQDIL CSLSQLVQNQEREINQEYSSHSKIQQPLKDELIYNRKDDFYQVLTQTKNFDRSYLNELLK LLRNQKITNCLEFFKNQSQLQFITSMIQNISEIDINQMNYSNDKYDQIRKELIQKISYDE NIIEFLKFLVSLTSIDERFIQCGSNALNLLVEMKVDLREHSFENIRMRDTSLIGGNFVRC NFNGSEFDNVDISGVNLNQAQLFNCKWKNIKIHELNRLDGHSGRVRQSISLLMVL >CAK83665 pep:novel supercontig:GCA_000165425.1:CT868483:2:1012:-1 gene:GSPATT00039564001 transcript:CAK83665 MKQREDRDALYLMWDKRVIRGNTYSSVLNKSEPTMQTTIKRIQNTKVVTEKKEDETIHNE ETQTDPNVEELTDKPPRHLKETQTEFIIEKVVPRLYMKEKTGIDEETQVWDDGELFNFEY EAEPILQVLVRKTLDLSRMEVLQEEELREMKEKQEHYQKLKQMEMAEQDRLEKKEQQLYN ENIKLKQQFHNQKQRDIKTHEKLVSRASAKKYLSKCVNLALQQLELSGYFRDPVEIQLIS EYLPWIYQDVTTELLSSNHIFVEYNSMLDNIDDDISRRQQILDERERQRIAKEEADKQRR ERRKIRLEKERRQKLRETVQEQLVT >CAK83666 pep:novel supercontig:GCA_000165425.1:CT868483:1134:1727:-1 gene:GSPATT00039565001 transcript:CAK83666 MKKVQPGRSWTKQEDNQLLQGVEIYGRDWDKIAKVMNIKSKPLLEERYKNLINQKPKPIW ELNEDILLLQMVDKLGKDWEMVQKVVKTKDIASCKRRFAKIRDSCLNLEGEDKDLVLLNQ YWYKEDEEMLLFLYELYNGDWSEIFKRIPERYPKYIQDLFKSKGLMAKKQMFGKQFYDEM NRDPKRIEGGNQQNMGQ >CAK83667 pep:novel supercontig:GCA_000165425.1:CT868483:2012:2488:-1 gene:GSPATT00039566001 transcript:CAK83667 MMIRFDQPLNSCGYWNNFCFCVTTTNQITILDQQNDQKLYQFQAIKMMNFMKITQLMLWL IMNLNILQEMARKYIKKMKNKRQLLYMDPILLNRLDILNNQRIVICYSVKNGLLEILKPQ PYLYQPQSIEQEAQDLIEEEDNNKKQKKRG >CAK87301 pep:novel supercontig:GCA_000165425.1:CT868615:30:1235:1 gene:GSPATT00039804001 transcript:CAK87301 MFLRGKKELQCCHLSLTAMLKQIDLQLVIHSFKLIFQRFLFLFYKGIQCTHPYLTFKSFC QQRCNHFNQISPSNLVLQSGYPTLSFLNIILCRITQDIAKMEIQREEKSTIIQLFCLYPQ KPQCMLNYNSLSINICLMHYNYFLNIYIQCTTQLYNIQSLCINAYGVFFIWSRKFSKQIC IYDLFSNQQAIQQVYQFAMLCLMRQQIEGEKVAKQQNDKFDPDLELKLCQGTLGHLDKTS CGAFSQYKCLNQKKYLEQKENVQSAKQAQNKSCDTKNQILKEVTLILTSRGMEMLCVKNP QQNLHPLPNMIKLNFSNPRLFQSIENEQSWDLLDMDSRSISLTESCRIPIYIFKNYWQQS NGADQKIKSILQNQKKGLYFLKKFR >CAK87302 pep:novel supercontig:GCA_000165425.1:CT868615:1438:1800:1 gene:GSPATT00039805001 transcript:CAK87302 MKKIKSQQLGTISKNRNNSADLRTFAQNKKINLQIMSDAKQAQVKTQDLPKKKDQGHQFM FMAKIQIRNTDMCALINIVNLAFSNTSALEQNLHYFYLFLENSNSFKRMPIK >CAK80820 pep:novel supercontig:GCA_000165425.1:CT868377:2113:3594:-1 gene:GSPATT00039411001 transcript:CAK80820 MEIPLAATFLGIFGWNIYQLLKKYNARRRQSCLVKLIEDQSNSLIMTLYPYKKRKLNEPQ EIVKESPQIVEIKEDKEQNEPNQQERIQTVLEQVEYERFQEQDYVDNAQTFDSIVSTKNK DDLQKNKQNQDFSFTSQNQNQTLDDQNYNIHDVNNPLSELISSNLVDKETTNFTFTNAKD QCEQREDDLNQNDSNQKFEINPNDDEILRDNQNYEFNQIDKNCEQSILLQGNNTIGQFQH KFHNYDAYSNCYNQNQEEPVLPFFPSNNQAITSQQPSYFVQNSYQSKYDVPNLQIDVLNQ QQQQQQQYQNKFNCYNLANSFASSSQPIYSFNHIQQSNQVYNQLHQGTQNNQLNIGQLHN GNSFYAQPSFGQQKQDIRNQNITFQSIGPKQSTEAAGFEFSFKKKQSKFDQIRLEQNESQ KSSFIQNPEQKSIKGQSMFSTISNPNQSNLQKDLKVNHINDFSFYLQLLGGGGGLNSKVT VPSGNLLSLLLNN >CAK86794 pep:novel supercontig:GCA_000165425.1:CT868593:1346:2119:1 gene:GSPATT00039782001 transcript:CAK86794 MQQILNTIDKVDIFGVPVSLLTAAKASLYQSKLGGLITILIGGISLTYFLYVIIQWIDHQ IPAIVSIKQQTISYAEFQLSDSIIQLELQDFSGDVDPFRKENNIITPNLYRILNTTIIDK PIPIFSSEDKPFKISIDNGTIVLNHDFVGVGDHFQMTQLLLVLEGCSNLTAVSGSYCADE NVINEYLTKFHGFLFLTIRLNQLKYSVGELEDIQKVLLFSNPIILNPLQSQGVAQNNNKL GVRGGSIISLINTSFQFL >CAK84287 pep:novel supercontig:GCA_000165425.1:CT868497:4:941:-1 gene:GSPATT00039669001 transcript:CAK84287 MFNLNPEKTKQSRLACVECIQSNNPIKYTTLEEANFKWNEYLGQTNDQIKRFQNSRHLKS SQIIDILQDIKEKYNSTISEIINKINTQYQMFYQNEIIEFNDNVIFQMNNDQINELTELL SQTDQFQVLSEKQFNISKEDLNQQQLISINFGKLIQNDLVATEKINKIFKESSFNISNVK DVTNDNVFDDRNSIYNLQQIKQLNLQIQHCKIYQDIFNDGLNQYDFIMQTINSLSNEFKD VQLQQVEYYQFQQVQSICIKDPKGFLNNTKINLV >CAK84288 pep:novel supercontig:GCA_000165425.1:CT868497:1217:1651:-1 gene:GSPATT00039670001 transcript:CAK84288 MLSDLDRKVCQKHKLEIQTIDLTQSTADQDKYLCIKCLMEKIDIQNMALVDETKTMIKQM KSEQFNNKIKEYQRRIQNFKQIESQVKEMKVSINNTIDKLQSNLNQKITIMENELNDSES KTVNSTFEEDVRILSKNYKGSFNY >CAK84036 pep:novel supercontig:GCA_000165425.1:CT868496:759:1989:1 gene:GSPATT00018254001 transcript:CAK84036 MSSLVHESVYEGNQPIEAELIFINGPESQIIDMKFSSDLNFILLATEEGIKLYKIQDDEI KINEENLKIGKINSIDFFDNSGIEFVIGLDQNIVIWDFYQRQVIKKFPVFQAPQQISFCN PFIIAGMKQQNENVILVLNVRADQAYRYLYTQNLNGELIYANNNNQFNCVLTYLNQQGNS LIIDFLHYQALQGINIKTITAMSDEQIIEYQHSSLAKQQTTSQFRFYSSVGYIQACIAIS SDSNLLAVSPLVDNQRIYIFSLSPQEQPKLKYQLYRGQTKKILSLYFGPNEMLACISFQS NIHKTKNDATIHLYYKMKEIFDNENKDYKSLTRLYFRTQSSETTHKKRIRLMLLLITQEK LQVFSGNQFRFEEQLQLDSNLVTKVIENYKLWPKIDPRQNDI >CAK84037 pep:novel supercontig:GCA_000165425.1:CT868496:2178:2738:1 gene:GSPATT00018255001 transcript:CAK84037 MMILTGRRKSEKIISRSLRPSLFLNEFDLSSMLQTSSVKTEANINQVSKTEAQQIQNSLS VIPENPTFLLLPQIEEKFVLKSKEVNFSKEKRESKLLKNVASEQLLQQSKLDLKLPLIQQ SPQIKTLPRHSRQITSEDLKKVEFRPSIMVIDFINNIVKKDKIDGSSKPLTKKLQRQQTR FIKQSL >CAK84038 pep:novel supercontig:GCA_000165425.1:CT868496:3509:6512:-1 gene:GSPATT00018256001 transcript:CAK84038 MLNPVSNSHSPTSTQRQFYQTSGPLKGILKNKSLYGDNQDRNSLQGDKNVEDELIEQSFL SQGSFHAHKNSNSQVGAQKSNIIKQASNKFGKLNNVWRNKSLNIIYYISKFARQLKTSAN KIKFKLLTRRILDLIRDLGSDTDELLRQRDNQYHKKTVKTIQCIDKMLNKIQYLPIIEPD SIIKLTWDIIVLLAIVINIVYIPLELSFSVDMDQMTQLCLNTLPSWLFVVDIFVTLQTAY YAKGIIHRNQIEIFKNYLKGGTLILDIIIVIPILLSSLNFSFFKYALLLRIFRLPKIAGN IEEIINPNQQVKAVISLIKLIYFILITCHFCACMWAFLGETQLEYGLHSWIAHYQIDDSD WRIKYIYSFYYSAITTLTIGYGDITPQTIPEKIYTIFLALLVCGVLGYSVSTVGEIIKQL QEKNQVFKEKMSLVTNYVKSRKLNKQLQLQVRKYFEHYLQLEEKSQIEAEELMQKLTVEL KQKVTFDLYCSILKQSKVLQNLCESCLQKLCLVAHEQKFAPEEIIWKQNEQASKLIFLVK GKLELMANDIVLKSWKKGVIGEREFASQTTYITYLKASKFSQVVYIEYTDLQHILGDHQE DLEKIKMLQDNLIFNENYKTFGNVCEVCEWTHSIEKCPFVFIKVNKTKIMNKFKETKEQN RLFSDRNIFRYTSRKKISDIQECALAMIINEKFIHQLDITDAYLQQLGFALDVDDQQNGS GQQRQNTQREQTALNDTFTVKSNTNRDNIRKQSDSFSNDVLTYSGQMPPIVPHQSIPSPQ ISQKLSINKKKDSQSNAKQTDNTPILRKLKRENSQNIERRIQFVQSQLNESKELRKNSKL DSWRSIDQNLGITSNKKISYTSQASVSLYKQKSLQKQISLAASPRKKKNSSSVAGTLNSR TSKAQYVNSNENEDMVIIMEEAEERTVEYCDFDLDKQFIMAIYFPIFNYDGIIKKIQLQF QQKQISIKASMKKSKTLQRLMNDD >CAK84039 pep:novel supercontig:GCA_000165425.1:CT868496:7373:8149:-1 gene:GSPATT00018257001 transcript:CAK84039 MPSNIIFVSIYAIIISRIFSILSTLSFFFLLFKGPGILCSTQINSEQKVQVFTPQGDKQF HFTDKTNKTAAKILQSSLVIYKRKHLNSIKEDESIKEEKQIEIPSLIQQDNSQLQEKQSK PQPQSVVYLEQLYCISCLIDQILRSRHCVKCGACILAYDHHNFILGKCIGEKNKLIYLIT LIFHSIQAILATIYLNHITFVNGQYYIRSENCVHFSFFATLTYLLSLIKYILIGDKIKPQ AENRFMNISQVYMEVCLR >CAK84040 pep:novel supercontig:GCA_000165425.1:CT868496:8369:9661:1 gene:GSPATT00018258001 transcript:CAK84040 MINHLEQNCEYLHHLQDGQCFHDDLFPLTLYNSLGYLLIIIILGLSTVGGLGGGIEKIPI LIVMLNFSQSKATLYVYVLTFGTNLVNFLMLIYQKHPLADKQIIDYELSLILLPTALFGS AFGNILHQILPDIFLISILIVFFSIFVPKLYIKAKQNREQEMQVDNKQKTVINQEVTHLI AEQYKSEDQQIIPLYKFLLLLIIFMIVQCVLMIRGGKKQQSFIGIQYCSDGKLINNNQAV YWITTGMIIVVLLLISYGIKYHLGRETKTKIEIGYFNEKVDFNFIESKFFMIVWISGFLG GIMGGMTGVGAGAIIVSILILQNVNSRVASATGGFQKLFISLFTTILSYQQGDLNKNEIL FFFILGLLSGLLIAGPMYYYLELNPKQNYLVIYLVCIFLVISAISGAIYIFKEIVIMDRW NKMIQTHTFC >CAK84041 pep:novel supercontig:GCA_000165425.1:CT868496:9695:11411:1 gene:GSPATT00018259001 transcript:CAK84041 MQQSSFYILHFNDVYDIEEQLHEPKGGAARFLYVMNQLKQNLPNSLTLFSGDVFSPSSLT HIYHGTINSTQIGSHIIYPLQQFNIDVACLGNHDFDFPLDQLEDLLEQSNTPWILSNVYD KITQKPLANVLPYKIQSIGNFNIGFIGLAEEEWLGLITDIPAAQIEYRNFIDAANELCKY LRNDLQCNFIIALTHMRIPNDQILINALDEGLIDLVLGGHDHLWHHEQIKQTFYCKSGTN FRNLGLIKVSPKNNDDLFQPQTLNLQFEVPQPIQYQLKEYNISYYPINIYGKIPVDPIMD EFVQQKIKVYNEKTQKIIGFIENDLEARFVIVRSEETTTANLFSDIIRLEFQTDIALINC GTIRADEYFQSGPITYQTLDKLFAIPDNLVSFKISGEKLLHLLEISVSKLPSTDGRFLGV SGMKFEYSLQKNPMNRISAVTINNEPLDLQKIYTCATKQFIAEGGDGYPMQTEFLLDKTL GIQIKSVFVQFFEGIRKLKIKFNTLEDLEQTKYKRYMSIISGLKEYQGDIYITVNPQIEG RIKVFN >CAK84042 pep:novel supercontig:GCA_000165425.1:CT868496:11534:12002:-1 gene:GSPATT00018260001 transcript:CAK84042 MLKLITRGYQFARQTKQAKQNKQTQENKESDEKMKKMQQLILKLLMLQINTQTLLAIALF QVLQPKFQKIQLQSIAIFNQAQQNKDLRSLVKDKNSEFMKQVLELQNSIAPNTIINNDEK SSSQVKQKKKK >CAK84043 pep:novel supercontig:GCA_000165425.1:CT868496:12174:13652:-1 gene:GSPATT00018261001 transcript:CAK84043 MIQENDQYQIYEKMIDRISELLITFDKQLSQNLTVAMLVGYTANGKSTLFNFLSGFEFQI KQEENKKSKFLALKYPNQKYASDMANGVKSVTKEPHYYYNKNNNCLLIDFPGFNDTEGAM SQSFISILFNRLVIKTPIRIINVIKTTDGILPNRATEIQSFIARCFGQKTSDFSSVTLVL NQYMDDLNDKDLIVDVKYQLSEFQETANENIAVIRKIKDDRDLEMIFNQANRKQIWKVIE KSNPIKFKPTQFQKQAELANLIHNKCNSIMQNLVSEICWHIDNFLNNLNQQSIEALYEKF YIIELLIKKQLHLNAFSWHLELLDQMQEIAAILGWKNNQKIEIDIFLKIFKFVSQEEDII QIKDFLKINSQLLQNNLIIQKQTIMNRMLAIEKKQLQDQKERQKIQNENLLRQQQIDQSK KDLESLNYRRTQLLNEQTQIKDCLFQDKYNKQCFNYSASQPTNQAFGQNYPVTKLSTTSL QQQLDRQSCILI >CAK84044 pep:novel supercontig:GCA_000165425.1:CT868496:13979:14878:1 gene:GSPATT00018262001 transcript:CAK84044 MYNRNNQQLFESFKINELQPHLFLDFNYTLILKNDKMNLVFEELFLRLIDKYEIKIVYIL ENPENQLFQRGAQLQEFIQKYLGGNKINIQRLNIILNNYQEDLNDRDLILIVQKQMEFIY EKFSKDIFVFRKIKTIEQLQKEFTIEKRSKILTSIFESFQLQLQKDYFTLNYEQAYQLEA IIKMTFISILEKIQVNGMTANQLNQDELKNLKQFYSAILNHTQNTDPLFLCFYSQEWDKL VNETASLNHSDINNYNCLKEILPQFKSIILKSKIFEEVNKMIKSSCQGFNLKCRNLYQR >CAK84045 pep:novel supercontig:GCA_000165425.1:CT868496:15354:16566:1 gene:GSPATT00018263001 transcript:CAK84045 MDFIEEDEIGQLCLTKQFSKEVSLDSFEMNCVIGKGQYAKVLLVRKKDTKHLYALKVLKK SGLKQDARIERNIMIEIQHPFIIKLVYAFQTASHLYFCMEYCPGGEMYYLLSKQKHFSEH TAMFYAAQIILALEYLHSKGIIFRDLKPENIVICQDGYVKLTDFGLCKKGDFTNISGASS ICGTPAYMAPEILLSKQYGKAVDWWAFGCFLYEMVAGHPPFFASNKQDLEYSIVNSQPNM GHFSIKLQDLLYRLLEKNPKNRLTTNVRDHQWFNIDFDAILNKKETAPFLPTLQNEADVT YFDPQFIKSSMSEQCSIIDELDSYKDFTYKGSQEQSVQQSFQTLSPEIIGLNENGEAKQM IKVESTEMINQ >CAK84046 pep:novel supercontig:GCA_000165425.1:CT868496:16594:17263:-1 gene:GSPATT00018264001 transcript:CAK84046 MTFLWANIEFELNSITMRYINDWIWYHYSILAIFPLWIIGLYRIYLHIFDYQKHLQLGQQ KFMNAIVFTLVKLNQTQTIYAPFYYLFDALISICEGTYLSECRFPYFFHHMIAIVVQPFL LSRYVLNWWDIMVCSTHAIFVKYPRNAIIPYVYVSSIFIFNLSLYVDRSSWNNRFVGKYF PVIYYSFFAIFVSKDCDSSLPYLAE >CAK84047 pep:novel supercontig:GCA_000165425.1:CT868496:17294:18128:-1 gene:GSPATT00018265001 transcript:CAK84047 MTTLKEVYNEILFLLPDQPTNKTLLTILLIHSINVKEGLKNNGINENDESHEWVGIAEGW DLPEEGVYSLRYINQNKEQCQFKFLTLPEQNDTLSINAVILGRNDQIYSYRLPISQLNFD NQDLLFKSNIISAYKQSILQKIIMKKQEPEKIEKQTNILLEQPPQQQQPSGLLWNLPRNQ PFSVGTQDLNPFARTPFDNRGGMGGNLMGPQHFQNFNQRQQQQQQSNPFAPPGARFDPFG PEPDINPFGEPSNKKPWPDPFGRGGQFPF >CAK84048 pep:novel supercontig:GCA_000165425.1:CT868496:18167:18499:1 gene:GSPATT00018266001 transcript:CAK84048 MNQYQVQPKEQELQDLTQNNYENASNQFAPYVNMQVYSQQQQYNQTAYNNNYAELNEGQD KKLENNFDNFKQYISNLQQNFSEESVGCQFFTICNTIVCFVILCVIIKSL >CAK84049 pep:novel supercontig:GCA_000165425.1:CT868496:19418:21056:1 gene:GSPATT00018267001 transcript:CAK84049 MNRFKKYLECDDDQVLDIYDQLIDEILQHQNEQEQLILNLKDHMQLVEIKKKIRILQLIN EIICCNDTNFKSLIQIHFLDLLKEYFEHQSKSLQSNYLCQEDEVEVIELYMFVNKILAYY DPGQIEKPRLSVMKPKSEEFKFQFESRASIFLNDQEQKYEEKEIQTDEDQELIKWKNKWE LQFHYNQRLQKINQQLRKEIADYQKKQNQTSQTIQKPQSAIYLQQHAKLKNDLSVSFGQQ QSQSQQLMKFEDDEEDQIIISDITENTDQTSQIKQISSILKKNENDKKQKKRVQFVQRKL NVQCYNYQNLLNEIEQSELQCLKKCCFSKYGIIYDDRSIQILLQLSDSVEFESSLTILNR TNDDIKLSLIYKQPVNISVRIRDLVLNSKKSLKQIIYNDKDELLQLQLNYELNQQKIDKY ILIPNLLHKYLQFNKFTEAAYLQHMDEIKNNDTHTMLISQCPNVIVDEEKIMKIIPNYIT SKKKYLSSMNYKSIQFYCKIKSDKNQTEVKLLIDSNNEQFGTKLLQQLIFLLIIQKK >CAK84050 pep:novel supercontig:GCA_000165425.1:CT868496:21254:21751:1 gene:GSPATT00018268001 transcript:CAK84050 MTHQMAKLYTQDGTGRDSYIFFNNGGFYPAQSRFLVNRMAESWAYGSPSSVRLGGSIEKR QFYFSDGTGRDTYIAKTVQNKAQLSPDFQETLRKYKSQQLPIKYPYKLPPIKQAVQQEKS VKQQEFTKRLAAPKKRLEDND >CAK84051 pep:novel supercontig:GCA_000165425.1:CT868496:21774:24208:1 gene:GSPATT00018269001 transcript:CAK84051 MRFKIKVNENTKHADICTSVKWGLNNEAYSLSDDYTILKWDPNSLESSKFVDLEGYGTDF DFLQGQRGSTDLVAIAFSDGSFILQQKTGKVEKKEKEAHKGAIISIKWSVDGSLATCGED GALKIWSKTGIIRSNLVQIDKPIYCIVWSPDNDGILYCSDKMIYIKPLQAGQKQVQWKAH DGLVLKVDWNHTNNLILSCGEDCKYKVFDTYGRLLFASAPYDYVITSVAWSPNGDYFAVG AYDMLRLCDKTGWTYSFHKTNQGSILNIAWTSDGTICAGAAGNGSVIFGHVVERCITYDK WEITLTEDNKIQIIDLIDEMNSEFDFKERVINMSMKYNNLIITTSSHCYIYSFRNWNTPY IFDIRDSVSQICQCANYFCLVEVSSGIMVYNYEGRLISNPKIQGTKFEHLSHKKIAISND LLALVDGGNNKVVKFYEMNSGKALNFTVEHSLEILEINLNQTEMIGERKLAFVDQNRDLH ITPVHKKDIVKLAAMTDSFLWNEKFDMLCAISDQRFVVWYYPTSVYVDRDLLEQVKVSKE CTDLTRNSQILSFQDTMVQIRRKDGAIMTQSVSPYPALLFEACERGKWEKAIKLCRYVKE HTLWAALAGLSLQFKELNTAEIALAAIEAADKVLFIQKIINVESEKAKSALLALFFKRPQ EAENIYTQAKLYYRAIKMNIKLYKWERALDLALQYNVHQDTLLAYRQKYLELTSQQETIP RFEKLAYDIKKYDWVEIKNKIKQEKAREQQS >CAK84052 pep:novel supercontig:GCA_000165425.1:CT868496:24226:26511:-1 gene:GSPATT00018270001 transcript:CAK84052 MQNEIAMLKMQMGIMEKDYKRRIQTLEDRNIDLTMQLEETRRSAEQRVDNLYKEMKQSAI STVSEPIIRYEYIKTPQASSVKSLSNYNNQSQTSSQSNRQLENLNLVLETKMQEIKALEE RLLLLKHQHQQQQDQLTQHYENILKTKVKNELAAANVQHERLMQQNQIDLFNMQYKMKAS DERFKKIIDDKDKLIDELQFRIQELENNDLKDQLNLMQQNNIRITEEFNMMKKDFKAELE LLNDKHQGDLQLAFQQGFEQGDSNQKAQNELQKINGLYKQVNDKALKLEAENRQVNLLLS TYQQEIQEWKDKYQTTIIKQKEEIEKLRQSFDRQKKEQLDLTFNAEYLQEKNKLLFQLEQ CNKQIQQLQQINEKNEKELKYYYSESFNASQLNNEIQQLQRQLQINASDFENYKKKSVKI EKQQQDDISRLTLELKNIKNNLANSTEDRHKALQERQIEIDQLNDQIYEFEQQNKNYLNE IERLKKEIKQQKQQYQVQIDQKNEEISQLNEKIGLLSMERYNFEQQLNKQKSQNEQQMQT LQKNQLLQNEAIDQLNQELEEEKNNSQLLLNKEQSYKQQIQQLNSQIKELQYQNEQLIQE IQNIQDQLSSYEQEIQNFDFERKKKQEQIGNLEKKYKNAVEELQMKEDELNEQTSNHYNE LEQQKSDYSKQHEQQRKEVQKLVNQIQDREIQIQQYEDQVSKLQSENSKLKNQAQLLQNE NNELEQQIKKYQVQFQNEFDKLVDQEMQRPSLVERHSQMNY >CAK84053 pep:novel supercontig:GCA_000165425.1:CT868496:26549:28112:-1 gene:GSPATT00018271001 transcript:CAK84053 MNTTSIPAERTLYVSKYPQQTTTEQLKKIFSEFGQIEQITSQGSGVCIIYKENQSVTSAL QKSININGEEPYVCQYLNIAQLDLEANVFIDNIDKKETQSSLRQLFSQFGTILSVKLQKS DKVGVAYIQFDKKESADKCIAEQSKLGFKIQKFTSKKQHRDQVYISNIKVQPNVTPDQLK SDLQTFVSSFGTVESLVLNRNTTNGTFFAFVKFVNQDSAKAAVKSNKLFNDQQIHIEWVV NNVDKDIEANLFTKNLKADITEEDLRKALNLVVPVEDIKLMPSKQPPNGSRPPTQIAYLY FKTADDGKQLIAYAYDHPQIASLYVNGVISLSPLLPPSEVSSLLQVKKNTFQRQFHQPAY QQYQQQMPPPPYYQQQQRYPQQQPPRQHHNGHRYPQQKRPYYPQQQQIPDLPQLQVMVDR GQKQQVTDLIGMQLFPKVTQVVGPINAPQVTGILLDFENYQLSDQVRMIQDSAYLGENIR QALEILK >CAK84054 pep:novel supercontig:GCA_000165425.1:CT868496:28153:31004:-1 gene:GSPATT00018272001 transcript:CAK84054 MDRSSSVPRPGSAVLIPPAQGQSYRPTGAPSQFSNSQMKFMNDLVQQQPNSQRQAVSQQL EQLTPEEISEIQRKLENGEILHGLSALPEGANMTHKFIVKVRKHNQPAQPVKTTPPPPPP QKYAPQYCPDCLNKDQKLKQQDAKIEQLLDEMNKMSKFLVDKSQEIEIWKRQYMAIQQDL SKPKVIDNSDKYLKEINDLKNKLNQKENEIQSLETNIKQIQENQDQIELRGGNNDDGELE QLQKELYDAKQEMELYKEDNDRLNYKLSNMEAQLQNRKTEVTTIYKSDPETERQLRELQS KYSLLQSQYYTISEQSKTYQKEIQYETKIIYQPDPETERQLQELKQKYTLLQSQYYTLQE TSKIVQKEIQYETKVIYQSDPETEKLLQKKIQQYEELYARYTLIQDQYTTLQQRQQTTVY ETKTIYQTDPETERQLQIKIDQYNQLQSRYNQLQNQFYSLEERSKIVQKEIQYETKVVYQ PDPETERLLKMKTQQLESMTTRCNMLQEQIETTRIQIEQYQTRIYSFESRKSDSENYLIQ IEQLKDQLRRANADIEQYTRKIYQLESNNEQITFLKKQISDLKLQYEQEQQLTFQLKRRI EEMKAEQSQYEVYQFKIRELEVLLQQKEDRIRQIQLESDQYRSEMITYQQRISSYQINID NNEGLKQQIQQWREKYEQLEQRQRYQINEEVNQWKIRYEQIEQRYISLQQSYETIQITLN QYQDNEQSEVLKLEIKRLQKTIVELRSEIDRLLVQASDNQWLSKRYEEQQLLIEQFKSQL EERQSVQREYTYEIQNLNQKNEHTTSTSQKIVTTQQQYTQDSKAIYPQMTQQTKTIEYSS VQPKINEYSSYSSRPIEYTSVQQRQSYQQSQFLQPSQIIMNEQKRSRVEQEFSSQRWNDN YEVVLNEVTQKEKQFS >CAK84055 pep:novel supercontig:GCA_000165425.1:CT868496:31025:32776:1 gene:GSPATT00018273001 transcript:CAK84055 MQRQVYISPERMVVEKLEKYPAPNADPNFDHSRFQEIEAEFRALDIDQSGWLSLAELELH LKRKGCDQHLIQEIFNDLNTNQDQKISKDEFTHGYLNKESNLKEEIKQIEIKIKHLRSLE DDIKSKVTQTNCSQLILTLQSGCLSQLYHSKDDLVKAYKQIEVIFHCNEAQDGFERISKP SLNCEFPVWGDKFNYALTQVFEITISIELIEIDKLDKQSLGKIILKKSLNSEFDKQEKIY FENVGEVILQIQFIKDWNESVQFQVSFYENCINQYLEEIKQIKKKLFFMKQLYTNNSNIH YKSLKLKESQPQSVRLSQLQDTTQRNTQFPQIPIYLTHQMVQEIHVINSLKPQNQLSHSK IFQENQLATSLQHKSLYNPALQLSLQQQIPVPVQQDKNIKSYKTDLKQADSIQIDYTIQT SIIDAFNQFNHNQSEPEELGVDLQTFGNDERYKMIYPLIGLITLNIAYSRCDFLGLLLVL LTIPYQKKKWNTQQVNFYSIGMMISAIMDFYWYISSLLLRLFKFSNCFSESYQCNNQYGF VLAIYFFCFLAILGKLYTTYKIRSYQ >CAK84056 pep:novel supercontig:GCA_000165425.1:CT868496:32878:34215:1 gene:GSPATT00018274001 transcript:CAK84056 MQEQNIRFSQFHISHPIPGENDIQNVFESFIAEYKNFRNNVPVGCITDKCSSKQQERIDL SVFCGAGGYLYLYLRIYEFVSSLSPELQQQCTQGLTDQELSEFYNPETFLQMALQQYQAI QASIKADDNISFFMSNSAISLLGADMFFYMKDKENFKRCVTEVLNQFGDIVAQPKKYEQE LLYGVPGYLYSLLWLHKKYSNEKDQFQLDLSSHIHTICQVILKFVGNGVMKCQFSNRQYY GAAHGILGVYFHLKDEQGLLQMLESIQVTLDHLIKIYYQNGNIPSSAQNEKNLRLFQFCH GIPGAFAPILKAYQIFNKQDYLDAAIHMSETLFKYGMIKKGYGLCHGIPGNSYGFMQLYR LTGSEKLRQYAFQFLQYKKNPHVYNEIKNFPFKDRFNIGISDNPFSLMMGSIGDMCAMMD FINYKRMPGYEI >CAK84057 pep:novel supercontig:GCA_000165425.1:CT868496:34232:35501:-1 gene:GSPATT00018275001 transcript:CAK84057 MNSILNTFSPLSKDLYNEYRGQVMIEALATQKVLVIGAGGLGCEILKTLALSGIKEIHVI DLDTIDLTNLNRQFLFRMKDVGKYKAEVAAEFIMKRIPTCKVIPYTKKIQEFPISFYSEF PVIIAGLDNVEARRWINRVVIQMVQRDENDKVIDDTRHYLIDGGTEGLNGQARVISPFET ACYECTLSQLPKQLQYQMCTIASTPRLPEHCIAYAYEVLWSKEQPNVKLDKDNFDHMNWI YQKALERSKQFNIEGVTYKLTLGVVKNIIPAVASTNALIASICTVECFKILTGNGSQLNN YIQWYGQNHQTGVGINVIQQERLEECTECSIQTLEILVKRTDKISVLLTQLPPDIELCSK GQTLITNNIRRKQGFDHILEKTFQELIDEQLIDVQTALKAFDKNKTINVRINYE >CAK84058 pep:novel supercontig:GCA_000165425.1:CT868496:35526:35909:-1 gene:GSPATT00018276001 transcript:CAK84058 MNQYPHSYSPTLKQKHRSVVQQLRLKSITVDAKRTFVLSQPNSKKQILSPLVKKKSEQLH FCINGKGQIESSQPRKILRKRNNNSEYQSAITHYAAYPFTYRNKINDAGVESMFCIRKYE LLRKQNK >CAK84059 pep:novel supercontig:GCA_000165425.1:CT868496:35912:37181:-1 gene:GSPATT00018277001 transcript:CAK84059 MKTILLLVLACAAFATTETEYIQLIHRIDATPFGRTLFDTIYLQLETGDPLDRLLNTLSD LEDRYQQEQREDDAENREFQDSCNVDISAYDKDIANTDSERISLEARLEGDLYPRRAIIT GLVTAKKAEVKGYQKEIDELDAERAAQHADFEEIAADHNTAIAVLTEARNIIKANVEATS SLVQKKATQQVKELSSEHISLFQKHIKESQRKLSHSKHMLRYVPVMKLLSQISSKAKFDD STIQKVVDLFDRLINEVSDSLSLQRFAEDKRVEAYNKTRKFLVIALTVAGSELANAESDF ASVSDIIRQVEASLDNTNQRLELLHERRTDRWTQCEEAAKDYADARSARDSDRQVVSDTI GLVNKALRTLREQLALRQAAGDKI >CAK84060 pep:novel supercontig:GCA_000165425.1:CT868496:37580:38316:1 gene:GSPATT00018278001 transcript:CAK84060 MQQSIQERYLELLKKFKEEQSEIIANIKELIQEKDVDLEGFREQILNILQLQFKGQKLLF ATQTRIANELKEFNSQKLDHIKVYRLKFDDCLLSNILIILMKGQVGTPYEGGIYSFILKF PENFPFATPEFRALLPIKHPHIYPNLRLCTPTINEYYEIQQYSLLELLQSWYAILHREPN KFSLANCEAAEQYTDEFIKLQAEFSSMENPVMKLFKIQDHDEEELELFMSL >CAK84061 pep:novel supercontig:GCA_000165425.1:CT868496:38421:39676:1 gene:GSPATT00018279001 transcript:CAK84061 MKHILVLALIAFTYATTLTEMSDRLSQYGDHPFGKSMVNLVTVNMKTGGSLNELKQLLQQ IKDELIALTQLQDSENATFTRRSQVDLAKLQATLEQASADLDNQRQEQSSLSNELATLQT RVKEDQAALDRNGRGSGDAQSRLDAENADFATKYSDYNDAILACKEAQRLLLNLRGEGAS LIQLTQDTKSNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLESNHKTQVENLTSKPYKFHLATANNRLKEIQSRLNELDGLIN ISNALVEVTQLNIQDATKINELEDAEYSNQKVSRQTEIDIVDRLIEYINQKLSE >CAK84062 pep:novel supercontig:GCA_000165425.1:CT868496:39713:41304:-1 gene:GSPATT00018280001 transcript:CAK84062 MQQDKSIEHYFYNTNHIIGKGSFGCVYRGINQSTQGEVAIKIMKKANLAGQSYQLQALAN EMTILRKLHSKNIVRLLDVCENQQNYYLIQEYCQQGDLRQILKQKQLEEQEAIRILHDIL CGYQILLKNGIVHRDIKPENILNSNGVFKLGDFGMATQFSKQRMLNSRVGTPLYMSPQVQ QNSSYTNKCDIWSIGILFYECLYGATPWYHETTSQLLKEIQEQPLRFPKQPKVSDISKSF IRNCLQINEKERIDWIDILSHNIFRQNPIKRMDQNFQQIIMQIKNIIKMRKLNINQLIQN NSIGNQLKIKDLELLLISIDKQFTSEDAHLIFGQLSHFNSDSIPLQCVLFWLGQNQALQS YSKDMSPARSKQQEQSKVDTILNALIARIRLNSISIIQLFNKYDIAQDQEISLDKFEQIM LHINIQLSKPDISLCYRRIKEENSGSLKREALLNIFYEESDLDDTEDDFSVSPVQLPIQG PGQKLISCNNVEMLS >CAK84063 pep:novel supercontig:GCA_000165425.1:CT868496:42094:42488:1 gene:GSPATT00018281001 transcript:CAK84063 MDRDDLIISDLIRKVVKTNSHQSNEPEMEETKEGGDTNTSVSEEEGEEHQSSLMDYTFES GPSLNFITEYSSVIWQDGLMISNQSSDSSSSSSSSSSSSSSESEDDEERKENMSVSNS >CAK84064 pep:novel supercontig:GCA_000165425.1:CT868496:42832:43195:1 gene:GSPATT00018282001 transcript:CAK84064 MEGGGAVQQVVVIDKIQILLNKISAYNNLAESKGKGICPNIFIRQQDIMEKLLILQSMGV NDEIFVMGFILVDRLTTMNEFYLNRRNIYKYLLTIMFGKPSYDSLDSVSEDV >CAK84065 pep:novel supercontig:GCA_000165425.1:CT868496:43395:43775:-1 gene:GSPATT00018283001 transcript:CAK84065 MNSEILQSLEQEFNLANKNPEEIVNVLEKKLNEQQKETINQLVALDQEVDDIINQINKLD MKYVEFEGLIEAHTEHIKAISRGTQNMESYLKVLEIRIKNQKQLSEYIK >CAK84066 pep:novel supercontig:GCA_000165425.1:CT868496:43778:44955:1 gene:GSPATT00018284001 transcript:CAK84066 MQYFIYLSFFNWMIKQVIWACLLLACIAVEDGRYFEYMEIDKDDFGKTLIDTLEINISGG QSLEKVVDLMRDLEGQIDTEQKDDDITNQQFQKKCDEDLTNQDSEINQTQLAIVEKQGKL DELKNAQQRKKQIANAKDQWLKQIQELISQKQTIRNQEQDRYEQEMNENSYVISVISEVK KKFTLSGINLIQINNHESLMDDLENAVDESRNFRQNTRYQELFGLFVQVAAKVKNEGADK LKSLCDQLLINVSDNMSLVRKQEDKRKEIFEKEISSLQKDLQLVQSDKSMIDAGLEQLNN QISMGQNDLTDYNARIESKKQTKEDRRKECSQAAYEYKSSREQNDRKRQLVSQVIGLFSA NQREFKEYLRIRND >CAK84067 pep:novel supercontig:GCA_000165425.1:CT868496:45820:48045:1 gene:GSPATT00018285001 transcript:CAK84067 MNIFQRVDCYFLASFPIIIYQLVLEVIEYQVIEPKTLGFQVSSASIIFIFQFYSFFQQND VTSVAEQVIIKQLLENSYIKIFTKNLEDLTPNILLSQNTSAQTIFTTRNKFENQELIPNS VNKELKIKSFLNSDIQLEESADSEIESPNKYQTFKNLRDFIISFVSNQQTQNTDNLIVAS EIDIDSGKKQKFKVIINTEIQRYYIIAFIKIDSAIVRKQRNSIAKFKTRLANNFTHKLKT SLNSTLAYLSNATNDRNVDKHVLQSYIHPSYIHSKIQLYQVQDLLDYLNKDQDQIGLQVT KFNLQQSLSQIYEFIEYQCKVKNITVKFLINGNDWKLQENQFYLYSDCQKFERVLFNLIN NSYRFAPLNGELTIDLVYDSANNKLHVKINDSGEGIPEDQLNLINTHAQLQNKFNVTNKY VSAKNKSKFGLTLQITNKLIYMLSDSNCSLQVKNDKQQGGCSFEMNSILEKESKQSSIRQ QNKINRSSKSSSQRSILTRNQFFDPIEDEPKPLKPRLSRTYQIHESRYQNNQIKRPTLSG IRDLQLSHVLDPINLNGTPNHQDNRFILIVDDEPFNHNTLTLMLQKLGHKQFLYSFNGQE CIDKVKQNPLGIKTIFMDLDMPIMGGLQATQILIKMMTEGKIDYIPIIGCTAHDDFETQI KCLKIGMAHVVTKPVFIKSLQEAYRQIREEQIIQEHRSVQISNSLDKY >CAK84068 pep:novel supercontig:GCA_000165425.1:CT868496:48189:50538:1 gene:GSPATT00018286001 transcript:CAK84068 MNFQFISIFMLQVSQMGHDFYNWVLKPKFDIDSDQWNPLLFYFTYSIQTLQIVIYLTIIK NNKYIYLSYAIGCCFIGYRVYFYGSAQLWSSFQLLNSNFKRNNHLQLVCYILSLLTFVLV YSLQYDGFLKLSMASEIFQCLSYMIYIIYTKKDNKQNLKMVQGLLLNEIQKNCFLKIFDK NRQLIFTYPTTGETQKLSFENNYKVYMDQELSSSPSNQKSFNRTKTFHTTEDFITYLETE DNLDSLHFNWYLATITDQQTKIKTKYRVTQQKTNDDLIVLYFMRIDPTISKKSNVKFMHL KKDISNIFTHKLKTPLNAVLGHLTQAYYDQDVDMKIQNNYIKPAYVNSKLQLFQIQDLLE YLNSDQEASSLQICKINLKTLFISLQELIQTQCSMKNIHLLFTINDIKYNKMDKICIYSD VLKLERALFNLLNLSYRNTTQNGIISLNVTIDKDAEDASFQISDMGMELSQEEIDDINQS IVCYNLFKVSKKKSHYQQEMLTTLSLTSKLIKSLNQFNNQSLELCQTENSGIVYKFKINI NRERSADSSLEQYKIGYILKQRSCRLLHTHHNISQKSIFSGQDLSIPILEDSIDEPSANT PIIVKMSLPSKRSQQQQRQISDQPQQLSQTQIDQTKSILIVDDEPFNHDTLILMLKSLGF QSFFKAFDGQQAINIAIAKQNEIHVVFMDLDMPIMGGIEATKFLVEEMMKLNMPYFPIIG CTAHGDADSIEQCIQAGMLHVVVKPVFIKNLKETFNLISDHPQQTYNRIGSLQF >CAK84069 pep:novel supercontig:GCA_000165425.1:CT868496:50575:59095:-1 gene:GSPATT00018287001 transcript:CAK84069 MLVLLLLIKQSISVTTHYGFWFQYLPFSNIKASGEFILNDKSIYVGQNVMANYNFHSEAP TQKLYVWLSFNSPVLNITVSDNGTITNLTQDPINFEGIWYYAYFENLEGGSKLYIRGSKL QSVALNTKNIIPVLNQYGKVNSLNFDYFHGKYSKVQGFTRLPFTNDKEFDDYFKSNLQSP QSYNKTIVDIFDGIQLLGDTFYSTQLQMLGYRYQVRCWVKVSFENVIERQRYLLMRLTLN ENYRNDSFLGDRALLMSYILDPSSNNYNLFNVSVLAYPFPQSQLAFQTKNSNVFLIQNTT NRDRFQRWHYIYFQYLVEKTYLKVIYPNDQELTQTFSALQFHDQYVYLYVGADSYFYKSY LGGKIQLEITYNYEEEQSFQIRCHYSCLTCNGPTQSDCITCPQDTHRSWKYGFCACEFGF VDLELAQKCNKMNELYPIMNQTYQNNTFKCKYGEFQIDNKCMPCPSSINDYQLNCADCLL NAATWYQNPVCTFDYKRYSLISTYIRYDRNESLQEIFYINSQLQNLELCYGCLKYCNDVT KLTCIQYNQYYFSCKKGYFVSGNTCQKCMGSCRTCNNLKICIKCIRLYGYDGTKCQKCPI NCVTCNSTSNCQACQPGFALFNGTCYGCGAQCSICEFYFDEIKQSYINRCLKCADPLTQE ISFDALKCQAVTIKGCLYGISQYFIADQPQTTIDLYFQPMDISSLITSTCARCISGQSFS NLTFVCYKSSQLCQESGFYNGTFYCLIGGEQATSILGCELKLQNCYECVNYLNKVICINC FPGYYADHIVGMCVQCPNGCLSCSQQFKKNKSNWKKDIRPFYEFYVNKNLNHDYLTYVES SNPNDFEVICTGCKIGYEVYNDSCINKCPSDCSECLIVNNSKICSKCSYSSNGLILSTSN KICYQCHSLCKFCAPATDVNITQFTNQCLLPFSQDSLFDSNIYQFSNCPDESACQMSMSI TLNLICLQGQPTANVFDIPYNQESDLSFVFQLLYSKGLFNYMNEQNVQTLNIYLTTQTCT FPKNLVISQEFSANVYSLKNVSLYLLGMTSNSTIYFSGDIYIKEFHSFWIKNLNIDCLNA SITFNFNLDQTNIGFQNANFQGSGFIHFEYANLNTFSMINMKFAGFQFSNLESLLKVEPI QSVIIQNVQLFNLNLTNSTLFKFQNVQELILNQITVESNNLKQSTLFAINQQGEVHFFVM KLSTLEISFAFQGQDLQFNQLQILMNFIIDSSSICQSSELQLQNSLFEANYITQNSYLIR VIQKTLISDIILDKVQFSLNYITQFSSFLYVESSTLLQITNLNMFMLSMGLDVNVAFQIL TNSFSLYDSVIEFQDQISALIEATDLDLFSFKNITIHQQNQLTGLSGSLNCITLLDSNKP IINLLNIKKVDFQIVNFTNIFTYNQPVIKIGSTQVNFKCLININNSTFQDSLIIKSTNNI TVAFIVIESKNSISINFTNSKFLNTIYKEYIGLNTYGTSANNLYFLIPQGSVYFYNNSFR SNLIIGSLNSNMYIQCQKVIISNCSFTEINNPNKLFYQVHSSKYEDLLYMEQLEYDYKVK SYGGIAQIYVEEIQISNSYFETYIGYKGGCMYVITLNNGIVSIVNTQFKNGQAIIGQLES QGGSFIIDSKGSKLNFQIINCTIQNSWSFDKGGFVMITLSDQSSVKFQNVSINDAHSLQS SVISIEISDFLQQQYTLQVEDCIIQNTKAGYNDYLKLIEIKDLIYKFTFDTYDSVLFETQ KGIIRMKNLVIHNIIGYGLMTNKQSYSVIIDTVDVINFIIQKRPLVFFDRSIGQIIIMNS HFHGVYQNYTKIICVYSEYQLEELKSECSNEPYTLHSQFNSYTKQCEENITISQDYQTYD IFQLSNIESEVQINSVIFSDIQCTLCNIFYIETNNRVVIQQTKFIKNVGNSSILNIQKTY QNRLLFTYANDLVTRIGEILIKDTQFIQNKGVNGGCILAAGVSISCYSVLFQNNTAVIGG AIYLNDGSLEMTDSKIIGNRAAAGAGIYSTNPLSIQKNRRNVILDNQESSMVGNLQSQPS KLALSLDTFRMFENKVSYKNDTCLIEEVINEIMLPNNVEIQNYQILEENLTEHGLGNQLS YVSNFETYNNLNYKFRLVPQNAYNERQYNLSDTQCSVRSRLMQEKQLDFSSEHLSIDNVQ FNMTTQDYNLDNLRLLINEDLQIEIRCDSIKIPIYDSQFQHILQFHNNYSIIINVKSFPC QRGEVLTKSICVQCVSQTYSLKENSLKCSVGDQEMIESVIPSNIEIKPTYWRPYYDNDII YPCIISSEKCLGGWVAGPDSCIQGSFGALCETCDIYDVRGQGSFFKSNMNCFQCQDSNLA YFSLLIVALWTMISMVISVNSSLENLKLELLRSKLKKGGLKTYSEQQESSSLYKMLFHYL QIISTLTTFQLQFPNLVSIAISSVGSQTKSLENSIDCFLMTIPYDILHSRIIWIILAPLI YLGFVFFLYMFIIFARKQQYKQSVSAIILLQTFVTMQPSMIGVLISIIGFRQISGYYWVI GDVSYRYDKNFYVSAILAFPILFSLSIVIPLLMFIKLYKNKDDLNKIKSTWGYLFSEFNE NAYFWEIVRLGMKNLVIIIITLFDQYIVLKATMVFLLIQGYQSLTKSYQPFKTKNLNQME DFGSKVLSISIVLGASSYQMLLTVMRNYIYIFYVIILEVNCTFLYYIFSKIISEYTYKNQ ILINKIKDYLKLKFPMFMRLSFCKKLFSPKQSSTSSMKFRKVTRALRESLQSQRKKNFLD LQQDESVIQMQSPDHLLKSNDHLFKSREQKLGVNCSGSMLIFTDQRDSKLHSRIITDRQG LQKSIGGQLL >CAK84070 pep:novel supercontig:GCA_000165425.1:CT868496:60003:60828:1 gene:GSPATT00018288001 transcript:CAK84070 MAEFKEVQNQVKKQDKFFRKSGDSDDSVEKLKKKISKPKQQNSISTSQKIKEMFKKQQFK ELVNWAEKDTSITLHEYDEMKVNSQVLKLGQYALIKNAKNPSEDYVGKIQRIVTIKENKS TKLICLCEVNWFYRKSEIIKFKPQAKQWISNNEVFSTNCTDYILASTIQLPCRIVTLEEY ETSSQVDKGVFFTRLEWLPTKKKFDGLSKLQHHCTCKQPQNPDQIYIQCDKCQKWYHITC VGLKKGEYEQKEYICGCCR >CAK84071 pep:novel supercontig:GCA_000165425.1:CT868496:60857:62588:1 gene:GSPATT00018289001 transcript:CAK84071 MGIDSLLKALKSVTKPRHISEYSNKKIAVDTYCWLHKGIFLCAQELSEGRETNEHINYCL KKVEQLKKYNITVVMVFDGAKLPSKKSTEEEREKRRNDNLQKHLEFKQLGEKDKAYSKLV ESIDVTPQMASRLLDALRTRNIECIVAPYEADAQLAYLSITEYVDVIITEDSDLIAYGAR KVLYKLDKFGYGEEIDYESIQTCTEYNFQNWHHQKFLTFCILSGCDYLGSISGIGIKRAY QVVATSQNYKQAIDNLQRKQKVSVPFDYVEQFEKAYLTFLFQRVFCPVQRKMVSVNTFDT DLLYPQMKSLLEGNLDFLGNVYTDTLIQDIADGRICPQDLKPYSQSSKNLKQQSLDNKQQ NENNDQLEIPTFGNSRPVQVFKFIKKSQSTKINPEESFERSGESKVINQSQIINSKSTED NEKYLIENQQIQEQSNLFSSQQETLKQKSLINSNILANQNKKKSSKIIIKHEQKKINQYF QPSFKPPPSVVQQQEQTPSEVNIIEEEIQKIEQKVDSQVEEEFQQYSISEEYSDNFQKRF YYTDFGFFLSQELQFKQIQL >CAK84072 pep:novel supercontig:GCA_000165425.1:CT868496:62631:64302:1 gene:GSPATT00018290001 transcript:CAK84072 MSITLNNRYEIDTSKYINKGTYSIVFYCKDKDNEQLQLAAKVISDDSENNTKSIQEYQIL NSLQDFQNPHIVKCYDIGKHEGKIIIIMEYCELGDLSKKINEMKQQNQRFTAEQILDFIY QIVDGAYLLYQNNIFHRDMKPQNILLTRDFEQNLLCKICDFGSARLVQDMGLKQDFTKFC TPQYQSPEIYKKQLFSPQSDIFSFGLIIYELCLLKYPYNNSERESFFEQLKNKPFEIDLS TIEGNEEQINFIKLILEQTIVYDQESRFNWQFLHDLITEFKQNSQATYEQQNKDNSCYTI AKQHVNLLISKLQLANALMLQFQLCFNNQQLDISIDIFKLAFTTLACCKHILGMSIISFM ECNYKTLSSNLKEIINKEMFQQLSAIYKQKFKQDPDNNFNFDDFDIKKFDYALGVIIFQT FQQMAKLQIIWINDLNKSYQNQFKDLNNHFIQFTAFANNIGISQSYGDYICLWNDLFENQ IKPKIPYNNQHEDLIIFQSFKFKFQHKRSILPSKELQLDPEFT >CAK84073 pep:novel supercontig:GCA_000165425.1:CT868496:64459:65355:1 gene:GSPATT00018291001 transcript:CAK84073 MIKDKSPIQKGNYIILRDKLIGKGRHSFIYDCVNELDKQTQYCAKIFFNTSIPRTLKIDN LKNINNVNLLKYYEIFEHNKDVIIIMERCDGNLKAIIDKQPLTEMDTYKFLRQFLGGYQT IIDVDLDLRELKPVNILVKNNVYKISNYGISSLYKAVEGETKAFSAPEIFYEKEQTRIKD IFSLGLVSYFAFYNQLPYAYRSAVDQVAFFRQIKQIDFEIKNEGPLKLKQLLQSMIIYDP SKRIKIEELQLQLDMDNNMI >CAK84074 pep:novel supercontig:GCA_000165425.1:CT868496:65377:66055:-1 gene:GSPATT00018292001 transcript:CAK84074 MARIKSGKQQQKQPPQQTYQQQQPAQQQPIQKNIKKIKQAPSEERIIERKPSPQQLLEKR TRREQQQQSVKKKIRQPYNQGFYPEVPNLQFPNQLLDCLVKVICSFGNSAKLTQIIKNLS LFYTNEVQEEVVNLVLAESEESGAIYELEAKFKVTNALIQKPLLQKNDQLGSKMKHEDID DNDQFHSLSNNHAQCIYPIQILRGSGNRR >CAK84075 pep:novel supercontig:GCA_000165425.1:CT868496:66178:67859:-1 gene:GSPATT00018293001 transcript:CAK84075 MSRSEAQIGIYTLNKKNMIGKGSFGLVYTATCDGEEFCAKQILSNGAQKKFTDREIQILR MLRSSPIQNPNIVHIIDVIQNERDSEVYVIMEYCRGGDLKKEMEQKKKRNEWYTHQESLN IVAQIIKGYKSLYDVDFIHRDIKPANILISSGFYKLADFGMGIFISPSQSTNIGTPAYSA PQIFFDSKYTNKCDVYSLGVIFYELIFKEKPINVKTQAEQITLLRKTQENKITCPRDENL DIRVCVLIEQMLSYEEKQRIGWNDLFIHPLFNGQQVLQPAHFRRSSLLVSKQQVKFQKRP NTPYYHFSIKFYNSLEDAQNLNGLKHLAEIIMSKGDVAYDLAIALSDQQSSMSENQLQIL LFCLLSYKYFACSNTMGMCTNQVSYCHSSLIKIFPKFNELIQEYSASNTQDCELLRGIIV SQLGHINTYYRDSQQFVSQIPELQEQYPEFYLKVSERDNDYYNYSSYLAWFVFFFNEWFD NAVFPNQNQINKVLSLETAFPLDKFWAKSPSQIIRIRTNSL >CAK84076 pep:novel supercontig:GCA_000165425.1:CT868496:67902:70031:-1 gene:GSPATT00018294001 transcript:CAK84076 MFFNFARFFPQQQQLDTLLADPDCELENILQADNILQEIKGMGANKFADHIIKHPVMYYK MIRYIISVEDELTDKKAQIQYPFLCSEILGSQNQKLLDFLFERPEEILDADDEMTRFTLF PRLMGFLEVDVFNMTSAGYFSKALLAIIKKRGFDVWSEIINDKQILSNLLKHIDCKHIAE IIEKLIVLDTTQELADETYLNERKSLLQRIIILMQNKYYCSEIVENICDILIEITSKNMN SLYYNNTDIVPFVDQIKRPELLFEIAIKTQKAQPLQVLINLVEMTPKESKKEDEDEVVHH NEKDYSIFENIASEIPKNLLSKRYSAANFQNSNQENVQPFGLYKIMLLKLIQTLIQTSDI QIIETLLNANLIKVLENICIQYSSNNQIHVMTEKIIKSILDLDDEKITEHVFEFGQLIEF IVTYHTEENDKKGYLALLTSLANYLIEKSQNKSILQYYKDNQQWFNFVKNRLCNINQKEK PYLCNVNPKAKSEVADDQESDIMQILQKFNESYYGQQTQEEQQDQDQETSKQDQDLDTYN SQDNGQLEEDENILMDMNHGQVWSDDTNQENRQNQDDEIQGNKCQDSLQMTSENAKEISQ IGENISILFNHNLFWKIEYDCQESDDILNSYA >CAK84077 pep:novel supercontig:GCA_000165425.1:CT868496:70072:70766:-1 gene:GSPATT00018295001 transcript:CAK84077 MYKKHSTEKLVRKNASPTNEKENNSYARKYSRKLLSRQSNHDEQENIKENILTTYNSNTN SINQFESVAHLQQIIQQQKRQIQNFKMGCPRCLTKQNTDLELRNKILVQNNQILSLLSKE TQESDYTELYRINQMLQQQNEELKQNNEILIQNQQEILQENMFLKNLFAETSNNANAKEN SSQLFSLSQSQGRFSSILQLNSQVQSPSHTKQPQDKLFNLLK >CAK84078 pep:novel supercontig:GCA_000165425.1:CT868496:70877:72654:-1 gene:GSPATT00018296001 transcript:CAK84078 MKRDPSIFSKCTQNMKLRNQLILIAFVQTIIILSYVLAQNMIHLSLLRVNFQETSNNLYK ENSNRIQSNTIKLYRSYFDKVFYLNGNTLISFHRLYHFTRKQVLLSNNFQINEAFQMPYG GINQIPDPLRIIKGYGSSDISYCFMCYSNLSSYSKPKTIDELVGIKMQEQVQAYGQLLYQ GKEINQYFFYSYIVKEKLTSIYPCLNRQQGIYSYKPEQRDWYIELQRNYDQTQDYNSYNY TFTNPFMCKKYALLLVFTEKRIGLSTAMPIVNEQGKLIGGLGSIFLGSDFVQEIGQKKFG FQIMYLISDEGVMIMHPYQVSAHYLPLYIFNQTITGFNFTDWKEIRKKNGSSSCPKFELL NSPLQCRFNTVYNQEMIIGIQEIPQFKMILIMLSSSQEYLEFYYAFEKQLQDSLQETLSL NMTTLFGLLILACIFIYIMTQILFFPIYVVQDYAANLISLKKKKEKTIPPFILKCMSKQV RILFQSLTFIEYKLQKLSFRKTEQCSFFESIQFPQHNISFHTHLKQYQEFLRNNCVKKVN LFKIDKDNSFKCEQQNSFYLQQILQLVRKANLYQTC >CAK84079 pep:novel supercontig:GCA_000165425.1:CT868496:73374:74950:1 gene:GSPATT00018297001 transcript:CAK84079 MNHIQQDFIQKIMKQQQQEKHQQVKQEENGQKQNIQVQYFSQFVQYLQKQQLLSIIQKCQ QQQQPIQQATKFPSLIEVQNQVTSYFQQIILQKQIININNVFDQQLPYFLQIMGNLIIYG LENCSGEKEIVQEIIAQYKSNPLKITMSCSLFQTIGLLFRSQLVYYDQCTKYLSNFLLFR DEFCDIFFNTLYSYQMTHGQTFSQQIGFTQIHQMIQKTQEDVWTAFIFKMMSQQQQKMTK DMFLLQFNQYTVLMNNFFTKSNLIMDLVAQSVKQTSKLHNDQIFQEYLLIMGSFKATTQD FLKKATQVFFEESNDLDLLQFSSNIIKIHKQMNCQILFILPMLFQLESQYLDHLVQNGIQ DQQPVKNSSNYYLKMIEKLKNKCVYNGEEECDCKKCQCIRRNRNSAKESQKKKREALEKI GPLQDEYDKIKKKVQSLEKENQVITKLLLDVFRHPSLEKLASQFIEPLTKIIADQEFYNI DEC >CAK84080 pep:novel supercontig:GCA_000165425.1:CT868496:75058:76594:-1 gene:GSPATT00018298001 transcript:CAK84080 MLNLQLVSKQIKENVQLTLKSLLASIGFNNPNTTTPQNIIFRTLYGRDTYFWSFDQSVKP FKRRHILEMPVKSMQIGLTYTGILTIQNRLYICQSHEYQDLINQKPNFIIQQFIQMLIIQ DYKQRKIYIPKRDSDLIITFENLEEYLIAQNVEFFETSYFQLTAIFSIPQKIPQLHSDQT RAILYQLQDLTTQNCDQAQKYKQIILPEDENIIQVAMGSNAIYYLSKTQKIYQSDTKNEQ VSDPNIRTVHQNYFDRKSIISIYSGLNYFLALGRENIKSIKEWTNEELQAWLSKIQLIQV DQKDTQQQQPQQQNDEGGKKRKKSRQDQKDIKDQKEYKDQEQQLQQQQSKYWSDFSKIFI KSNYKREYKYYYVNLGKDYLIVICSILDKKTIQNYFPEILEQKEMQKKFKPTLQFIDRIL WDVKYNKDDFVVGCEDRFLGIMEVPLSDYTNSQVKKPQSSIFQIKWKNCVGQISQT >CAK84081 pep:novel supercontig:GCA_000165425.1:CT868496:76704:77564:1 gene:GSPATT00018299001 transcript:CAK84081 MQEIKQIPSFLSKLYEILESEQKAIGWNKEGTSFQILDSSLLTDQIMPQYFKHRNYQSFL RQQLNMYGFKKLKNKQGKSEFQHSQFKRGLKYQFEAIFFRNSLLKIKRRNQEDIKQSLES LTKEFEQESYLNEHARLRKQLVELQSNQRSLLDEIRRQMERNRNLQRETQDVAERINTVK SYQLRKLNKLIIIIQKLPEDAQISKMALMKEKILRKLELIEEENVNMNMKEISLEKQDSY MYQEPNLTGVKSPAGYSPKTK >CAK84082 pep:novel supercontig:GCA_000165425.1:CT868496:77692:78892:1 gene:GSPATT00018300001 transcript:CAK84082 MRGVTLRRYPDESFPKNIDFFDLKDFPIPTNLKENDLLIKVCYVSIDPVMRVWFSGAKTY IDTVHQGQIIPAFGCGVVAESKSKRFTKGDLVLGALDCADYCVRSANAIFKLPAVKSKHD PNLPLFLSVYGVTGITALKGLHQIPKENLPTKESKKTLVVSSAAGSTGSFALQIGKHWGY RTVGIVSSRDKKDFVLSLGADACVCYNDTLDSNGIVDTDKLTKLIKQAAPEGVDAMYDNS GEEVLDAILPAMNKGAFIVLCGATATYYTWKNRGGLKNLAHFITKQIKAEGILYFGQKNA IIESFKEMNELVTGKHIQHKEEFINGLENVTIGLQKVFMGKNLGRVIVKLDNEVPLPKF >CAK84083 pep:novel supercontig:GCA_000165425.1:CT868496:79185:81467:1 gene:GSPATT00018301001 transcript:CAK84083 MIKKLNKRSNLQSAPEIIRTRQKPHSDLEIENLVMQDIRKKILNDEMNTIQFYDIVQPDQ DEMIATLKDSQKKPIKLHRRVQRTDLESKLRARISNEPGSKLLLQEIYEKLEEDPGKNAI PIHKKLHQEEQLKVDLRYKPESEDQLVANLDQWYKGIKRKRKQHQDQLRQEQENERLTKA QMQERTQSLIQQRRGGLNDKTKPIQSTSSFPKLLIDSAKTENEQSTFKQKLLGSLKKITA IKKIETLHQDPSLNKQLDKYLQQGSNLTKQELAQIEQAKAKQYGDDQNNGTLIFDYTVEG LKSSLHTMIKMQFTSFQNASDRAIFYEKQTNQILLSINQRLDRQKNTVKRNEKDLEILRI ENHEIHKKLRGIQDFLKERKEELIGINKKPQQSINSPNIKHNSSQVDNYMVIAIKQNELE AQIEQMKDNYNNFSEQMKLNSMQIEKLEQENSKLNKNNKTLLKSIQGFLLELLKLGLDCR SQGLSWIIKAIWDSGEKIADSNFPTYLDSKARDFLFIRAKKTIELNDLYKKAHFLFRNYR DTTIDTGNNSVVSLLSKNYFSQIDSIEIKKQHIDQIKQRQQNNVALLTEGLSPTEHKLIE KAFTQIFQSKETQNDIIYLEASRKISKMFKQEGSQEQSIVFSEINNFEKDRLIKEYQQIQ KDIEMKENQFQKLEETELQRLIKEIDYKNYLARFNIEALQVLAAQFGYQKAERELIKYGL LHKIVNN >CAK84084 pep:novel supercontig:GCA_000165425.1:CT868496:81846:81995:-1 gene:GSPATT00018302001 transcript:CAK84084 MNKNDQLQYYVIQLENQKRIIVYKEDVLLFDSISLEELQLYDKIWKQKR >CAK84085 pep:novel supercontig:GCA_000165425.1:CT868496:82259:85961:-1 gene:GSPATT00018303001 transcript:CAK84085 MSYSAIFTKSFQQAHNKDTRKFITGQVIEMEKQPKPHGKLLPRQVRLDEQNMVQTKNNKS INYPTGFFLITKQEFDILPENQKAFFRWTSNQNKIVRVLFDRHNNGQNNGQIQQFQKPTA VYFETLDMGLRFVEFLKFKNQQKLNHSKDLLNKIMKVWYKKSGLVIISRQVEKIHNEASK IEQKKLKDQNQYIQEFDELQDYDKTKYEQTLKILYKMAEQEKIHQESILKQQKLLKLQEQ EKFIKQKELSAARELLNHWKQIADKILKQKEDLEEEEQRKLEEEERKLQQEIEDIENEQQ RISQIQQQSHIQQQQQQQQQQQLPQHKDLHLTNFKLIIGQHLKLYNPILYIQFKASDQKG HGRIEFDKGQLINFMQLDMKGWTTDLQVTLSGFQNQDVLEIVIYDDYQEVNEKILARTQE QQNNIQAFLAAAKKVMQGKIHLLEFEKNLNNNRRHFTGLDVSANLQQNATGNELLNVPLL QIDIHEDSPFQIQNSTVNPFYLDIIEMPFTAQELKNFVKQLKTPCNSLQEKWNSFKIESL ENALQTRGQIWVNSKQSDDDLIEIQEYIVEELGTGEKKNKSALLAEYLQLNPIQNKQCFV KNKIIDACKKGFTQQSRIDLIPIFLQFNTKVQKYAQDFGLEWNENENILEKYYQMKIIDQ DIKELNRNKMQNYVNSLQFKQTTVWTDQYIKKMQQWKNDIPYLLDYFELWNHTKNKMILT IRLFQLLSQRMQPCDDYVFKVIQCLDYKLMIPKIQHDQIDVEWLRTHLNNLFSDFFYFDI WLRVFDYIIGIGFVNGDLSKAIASVIGGILTEVDYKQYRTKEEFITGLTIYGKLLCDPEK LIMACYDSYNQQEFVYLNNDEQIFEILTSNGNPLEQICNEQIQQQKPHQQSFIIDVPEEH EGSIYEIQEQQQQSASLPRNQSKFLFKQQIQKIHILIHSLHLHQITFHEQNVVTIQNQRK SEQDGLYFELPFASSILEIQINDNFKGQIDLGYYMINTIYKNSLILNDNYDMQRHYQISE LEYSILLVGEGLPYERVISKDTAISNFNDLASQFKVQHNFLAHPDAFKRGNSLSQTEFRQ LMMQYFKLNPASCNLDELYQKFLLAGNQQIYLIDILLKLTQDKQKQQEVLNLFIPNEKVS HKQMRREKLESGDVRFLKVLISDENYEQSVDLTDYFNNLLINHYLKYNSYDILFVDQNNR LYLLDNAGSFLQQLRTCNDIVYFQKL >CAK84086 pep:novel supercontig:GCA_000165425.1:CT868496:86017:87009:-1 gene:GSPATT00018304001 transcript:CAK84086 MNKLLALAAITLLTVGIHQLSQPESLDLRVQFDKYTNQFGKFYTPAERAYRFQVYQDAMK QIQILNSEENSTTVFGETQFTDLTNEEFAALLLTRKESPMNLDAELYVPQGPLKASADWS KITSVKNQGNCGSCWAFSAVGAVETLLTIKGVISKDLWLSEQQLVDCDKGTNNGCNGGFE NLGIQWAKKNGLTTDKQYPYDGVQNKQCKYSTGQYKPSGYQVVAADNMYTALSYQPITVA VDANSWQNYKSGVFTKCTYKSLNHAVLATGFQEDGVWIIKNSWGTSWGEAGYIRLPATGN PCGVQRESYVAYLE >CAK84087 pep:novel supercontig:GCA_000165425.1:CT868496:89574:89834:1 gene:GSPATT00018305001 transcript:CAK84087 MTQSYFQNALKYVQTLQEQNQISKEEKSILKMIIVNQDVSEKMLDKREAVTQVSQKLKTL RRIRRIYLQTSQSLNQITEKSMEIDF >CAK84088 pep:novel supercontig:GCA_000165425.1:CT868496:90678:92616:1 gene:GSPATT00018306001 transcript:CAK84088 MNQRDGHTEKIEKDFSRLLERYNRSKQVIDQLYQSGGKNDTTIRSEKNNKEEKEKEYVSR EKYSKMRNLNKELKLTLKEYIDRTKELESAIRGKDDLIEKYEKELREQQENLYNQLQEQK EQILKEDQQSRINEDLRTQLSQAKQKLIKKKAKIQLLKDSQKTVDSKFEDQKQGFQQELE RVQKLCEEFSNEIKDSEKRLICLNQKEEDRRYLEMMIQEERDNTNKINEKKILQITIENQ GKRVQDLEELLKQAEIRYQMLQGKLDQEKQGLISELQETLQKRKQKAKQMQTQIQQLEQK LQDKSKEDIIVNKQIQDLQGEQTKLQSLLEDQKHKTEQAINEAQFKVQEVKELKGEIEKY RQTLQIQDEKLSKYDVQYQIDQKEKQRLVHEIEICEQESRKLQHLLYEQDREIDYMRQQQ EQEILHIEKKVDSLVTEVHVAREEANEWKRNANDLKRQLQQQEEQTNQFKAKYLKSKQNS KNLENEQRFVIQISNDSQLEEKVKLLENEKLLGEREALKNTVVQQKSSSIKQNQGAWTKS KTWIKQHKVIENEFFILKYQFRMSKSHGKNIHISYHYLKPTSDLRNHPK >CAK84089 pep:novel supercontig:GCA_000165425.1:CT868496:93058:93711:-1 gene:GSPATT00018307001 transcript:CAK84089 MFISYSYQEARQILSQNLEKGRAKLSPEEKLKCDIAQAFIYEQDQKRLQAASIYYTLSQK NPAYLERAIINGLAAPASAKRQALLLTIYRDSRSQKSKWLPFIKSKYFDFLYHSSVQQDL LKWTDIETFARETKTNPNLFCEHIITAVGRFYSNISIQKLAQFCKLKEEQAYELLENMII TERLQAQIDQQQGYIYFQHTEQCTIEEFCTNLYSLCQ >CAK84090 pep:novel supercontig:GCA_000165425.1:CT868496:93731:95333:-1 gene:GSPATT00018308001 transcript:CAK84090 MGIPQSKQTQSQLFEETLKQFDIIDKQQTYILFQNKTTMQKLEFWTFKYHDEDSFKRAVK DLTQTRTCQTLLQIIKQNSVTHDEFCSTFYELQLLVEHPVQSFAEYLQNRGQQDYSSKEL YHLIDCVAFAQLNLDKKQYIGFNNLWQGENDVWKLRSFENSYRPIDFWGKQIPHLYPAPE ELKIDPSIKYSVEKATVFTFGMLLLHVALAKSCDSLYQNNTIDPQRLTARINEFQKIYPS TLADIVSNMLQLEPFQRYSYQDIQNRLKEAQQCKIIDSTNLLLKMPQQINSQSKFQGNPY KSPVETSRLINIPLYPEQQFINSQSIPGNNSLQNYGNSLQQNNQQLIQTITQSKQQQQFD KQQPQPIQSNRSLSPYQSRVKQDKLQFADGRYYEGEIMNEQMNGQGILYYADSTVEYEGQ FVNNQFHGFGVHCNNNPDIMDAFLNINEFPGDFYQNKQSYFMIIRKHGIGSLTFSNGDCY IGGFQKDQMEGRGTYTQKYGQTYIGFWEGNKLKY >CAK84091 pep:novel supercontig:GCA_000165425.1:CT868496:96206:96866:-1 gene:GSPATT00018309001 transcript:CAK84091 MILFALDECKSLQQQGIFTAQATISHVVARVERMRSQSISRRKQVEQQQLEGENQRIKFK LLEIANEKKISSQQVKLCQAKKKLQQLFRHQQNMMENLILMQKITNATSSITHNVQSQDF HISKLKQLHRYLQDDEKSLLKGSSFQSPNHTQSNSYNPQRTRTLDTTHIKLSEFRLYSNG QLLQ >CAK84092 pep:novel supercontig:GCA_000165425.1:CT868496:96879:97590:-1 gene:GSPATT00018310001 transcript:CAK84092 MNPIDTRQILNWDQVKVHIQPNLCIECQLVPQQPLQCYRCQKSLCQTCHADLKQKSRGPK IFCQKCKALNYFNPANNAIYQSYRDMVIGCVNRRYGCKVTLDYKKYQKHVEECEFQRIPC PSPGCFVTTLKRAKGDHLQVCEYVIETCQYCNKQFNRKSMAYHQEKCNGKDVQQIDLASL KSDFLRLRSKLDAAISQFEQSAEIAMLEQKVIKQQLEIQQLKNNINQ >CAK84093 pep:novel supercontig:GCA_000165425.1:CT868496:98068:98763:1 gene:GSPATT00018311001 transcript:CAK84093 MAEECCFDCQPTINSDEDEKMIDVLTFPTNFFGFSEASTQSPSNSEQANCPITSPRYKFM GRYYSAESQEQNDQIRTFKDQKPLQTDQKTTSQVNKCSNLDNLSNKKKYQKQQYFFQKKK NSDRFNQRICQEKPENKGKDRQRLSLSTRSNNLNESKLISNRLNSVIKVSCLKGTMSEDI KIRQQNNFDIFSGRASNKNVCFQFTMEQIKSMRKNNKLNIFSTSNGKLFLI >CAK84094 pep:novel supercontig:GCA_000165425.1:CT868496:98946:99924:-1 gene:GSPATT00018312001 transcript:CAK84094 MSAPQIIIEQQSQLNSPIEVDGAVYVAAQNGEIIQYKDGQQKTFFHVASQPHSIKMDNKN KVCYVANMARQCIQRFSQDENNDEIIDFINEFEGMPLLGPNSILLSPKNNMVFFTDSGPF GETAIDNCKGSLFAADLDNLTCKALALYCLSFPSGICMGNDQKTIYLCETGKNRILRFVQ ANAEIYYFSVYIQFQGRFGPMACAVSSNDLLYVARYEFSQVTDDGLISIYNQNGINIDNI VLPQYPELTGMTFSVQKPGVLYITENSQKGKCLQLNVTPSDKKDKDKFK >CAK84095 pep:novel supercontig:GCA_000165425.1:CT868496:100067:101622:-1 gene:GSPATT00018313001 transcript:CAK84095 MTTVNAPKISEYKEATRIERVGAQSHIRGLGLDGDLEPRNISQGMVGQKKARKAAGIILN TIRAGKIAGRSILIAGQPGTGKTAIAMGVAKALGNDIPFTAMAGSEIFSLQMSKTESLTQ AFRRSIGVRIKEETEIVEGEVVEIEIDKSATSGAKTGKITLKTTEMETVYDLGAKMIESI TKEKISSGDVITIDKVSGRISKLGRSFSKASEFDALGPQTRHVQCPEGEIEKRKEVVHTV TLHEIDVINSRQKGFLDLFSGDTGEIDSEIRDQIDSKVAEWKEEGKADIVPGVLFIDEVH MLDIECFSFLNRALESDKAPIVILATNRGITNIRGTTYKGPHGLPIDLLDRCLIIQTEPY NEAEIRSILEIRCEEEDVEMTEEAKEVLTKIGVDTTLRYAIQLITTANLVAQKRKSNEVD VEDVRRVYSMFIDHVRSTQYLRDHQAEYMFDEYQKQPQKMQVE >CAK84096 pep:novel supercontig:GCA_000165425.1:CT868496:101729:104623:1 gene:GSPATT00018314001 transcript:CAK84096 MKVGGQIIYGEIIQSKQRVQLKVDTTQKITEMDPKLIKAFLKDFDIEAEDHYLNPELLFQ AAQVFYRVTGHQLKLNLEITQKFIESTDVLNHSLALKWVYQLSSEDEREKVEVAFDANDD PLKKIVLLNIALEQKKEISMSSLQLLVSDNQEILYGLSLYIIKRLEAQDIQTFIPLLQAM IKQAEIKAINQLIMIELVSKVLAKYPEQRNEIFNQLISDDKIIQKLEWEQDFQNYIQQDL QYPNIILRKTREVYQYTNDQYEAILNEFKNQWEEQLSSIMASRQLEILRDNFLESTNINL DLFKSLQIKGDEEDDIESITKNRRKKQVVARKKLCQTTNQENSNTMAELDEKSQQPPPLL SQNSLPTQLNQTNPQPQPPQNNSCNPQPPPPPPLPPSQSGNRPPPPPPLLTKTGNPPPPP PLPPPQKSGNLQSPPPPPLLPPAKLPDSQKAEIIQPLSTNIEYNRINGPLIKEKLENTIW GKKPKDNVIKAKEEVLKLFIKKEETQRQQVQATRPKLVQKEVVSYLESFYPAQNQVLELQ SLRNKLKRLNLEETMKQVNELEFVEKNQDKELLDHLNKAISYITVKFLEEHQSNINLAKT KSQEYVQKQLEADRELQKNQGERLKTLSIEERVKADQEFDEDLRKLSLDLQAVFKENDIA QLLSEVEPKLKQLKSDLDIAESEEQKNDILIQLESVLFEKHKLLQSKNLSQDKFQPNQID TLLKYIHDRNPRKAIQIEWFYKEHLDRKHHIEDTVTQFKEVLEELKKDEELVKYFQYVKA YGKVLNNAQDDQIGFKLHNVANLTCKGKNQDGKQEELSKYIVEHMMEDGIVFKDLSQTPF KYLSVLSSPNNSLKEIQSFIMSYREQRKFLESQSKDEKNEGFVKKIQKYNSEYSEFIFSM ETIYKSNEEKFKHLKEFLCDDRQNLETPIFFKDILTIKQMLRSNYNVIRQEQRRRLIQAR RAVA >CAK84097 pep:novel supercontig:GCA_000165425.1:CT868496:104694:106670:1 gene:GSPATT00018315001 transcript:CAK84097 MIEAQIKDKFISTKPNIPDEAEFVIETPSIAIPEKLVQYTGQVGPARPSSEESYSSDEEV FEPEYEPIQKQVKVSESNGVRINRDSAERNVQNFQDEDDDYIDPAALARDPALREAVEAQ AAKRALLKYKPQNEELPSMEIQKERLASFPITHQLLIKGHTKQATAIGIDNAGSRLVTGA YDCVVRFWDFYGMDKNTHSFRLVTPYEGNQVNQISWAKDGKNVLIICADAQACVLDREGK RTMDTHRGDMYIRDILKTIGHTAAINSGCFHPDFSDVFVTCSDDGTVRIWDMTKKLYGVA QCLTHKDAVKCVDKTGHKVLVQCVTIRGRLLLCSCSDNTIKAYEVNQNCREIKKRPLFII HDAHKGYVTKIKILADQKRFISQSVDNTIKLWETSNTNHHIYLQYCNINRFPPTRFDLNF NESILVTAHHTFKDGYHIAGPTELLFLNVNDLSINCRVQLFDQAITEVLWHPTLNQIFLG SDDGHVRALFNPKLSSKGALLATNRAVKFVHAENMELERHVLIPENLYIFKVSETVHGGM KIVEEKKSVKDQPRKPEPPQKGPGKGGKRSGPATLTQNLMSTLNDRPEKEDVVEELTKLD SITKANPQYVAQAYKYTQPQQIFDYSTNQQQEHTYLSSIKKICPHCGMKICACKRKVV >CAK84098 pep:novel supercontig:GCA_000165425.1:CT868496:106938:107408:-1 gene:GSPATT00018316001 transcript:CAK84098 MNFKPDLQGWQDYVQTPNTNQLKLLSDSLNNCQEQHKSNMNPFSNNWGKKCITQQSQSPK QRQLQKSPFAEYRKQFNIRRQASPLFREHQFNFSQDTKLEFHRNFNNSHQHKNQKQSLVF QNMQRSQRQQLRTKLMMISKDLNNSQIYHIIQLINK >CAK84099 pep:novel supercontig:GCA_000165425.1:CT868496:107451:108332:1 gene:GSPATT00018317001 transcript:CAK84099 MTYQQIIFVVLLCSFVQAQQYVHELGEDIFQYTRASYCSVDIINSWDCEPCRRHPNMKHI QVHHNEEAQAQGYCAYDEDQNRIVVAIRGSVNTVNYLNDLDFIKRDYQHCTGCKVHQGFY DTYQNIAEGLVTCVKDLNTLYPDAQILVTGHSLGAAEATLAALDIKRTVGRVNIFYNYGT PRIGNDKFADYVESELKGLFLARIIRDKDTFQHTPLPGQGFSHYGNEIFYDENMLNFKVC GREDSKCGNKYIWPTQWKLDHHLYLYGQCAGCTQEGCENILKLYS >CAK84100 pep:novel supercontig:GCA_000165425.1:CT868496:108461:108854:-1 gene:GSPATT00018318001 transcript:CAK84100 MQKSLSASILILLIVGQVQCGLWQTIVESTVVSGVTTATIAIGAKVGLAAAGFSSVGPVA GSFAAATQAGVGNVVAGSLFSIAQSAAMTGVVSAAIVPASAIGAVVGVGYLATKLM >CAK84101 pep:novel supercontig:GCA_000165425.1:CT868496:109667:110129:1 gene:GSPATT00018319001 transcript:CAK84101 MSNLHFKNLQIINKIFLYSRQSIRSVSSCNSRKSIELKENVQKENARMHLKLQKITSTFD KPKKQNPKISKSSQRLDKLKQPISQEKSFNVQPIMNYKYQGKIFTKHKSFHSGDEKQQII SIIQKYHKVLDQLLPIIKSNKLNK >CAK84102 pep:novel supercontig:GCA_000165425.1:CT868496:110153:111229:-1 gene:GSPATT00018320001 transcript:CAK84102 MAFHDPIPKQSYKIKVTFPKRRLKKMRLYLLCNLQMDKIQLMKEREDMQGSVQLNDLNIL GLKDYYLGSDDNHSETKPKPNQENSESPRFDFEQNEYFNNTLDQLGFKLNEVIGHYLNEL TSQPRLSLDSISLQNSENLDEEQQQQILDFELNALRQRIYKQIVDKINLSVIQSVKSFGS SCQLNSQSNIITTAFSKELITSTTPISQISQKSPPKSLSISFLDIQSQLHQSIHSIQTKT NKKIDDQPNTPTESDTSKDELYNKLKFSLTLNYQQQHFNHQLKEELSKLEKKNQISEHIE DANHNNILNENQRLKEENAKMIEFIQNTICDKCKIIPKNF >CAK84103 pep:novel supercontig:GCA_000165425.1:CT868496:111435:112671:1 gene:GSPATT00018321001 transcript:CAK84103 MINKITEQSNNNGPRQTRSLTKASPTSKRSRESELIYLSKVTAFSQLKNYQIDYIYRSIK SVEFTRGHLIFQEGQKCDAVYVIKQGEIEIFKKEPINQQIEQYYQLNKKKFVHQMIKVLG EQTAFGVGDIVTGIYSINARVSSHFAELYMIKVQDYKRILDMEPQIKLLQQESENMDNLE VIPKFQLPSLYDSVKASQAQKQEIQYLRKVRKGITYLIPQAQGTDVMKNSHMDQSLEEIY KKSVILHQKMLPNYQQVDLENPLIQGQEIINDRLLLNKIIQKEYTIRFTKESPRTNKMMQ QSVISTSNSPMSKKLQLIFQKDKKVKQNQTVNEVSHREKMKIIQDTSPHSSSFRSARLDT GRSTFHATPIFDQHDPIRLKQFIPTELFPIYCHLIKPDNQEIS >CAK84104 pep:novel supercontig:GCA_000165425.1:CT868496:112794:113372:-1 gene:GSPATT00018322001 transcript:CAK84104 MDAENCSSFDRKIFEFIQNEDLFGTTSPQKESPMPILKFFDPDESPNILSAKKPFPIQKN IVKPKEIEKSIVKCKCLKSKCQKSYCECFAVGRSCSIDCNCLGCNNSNCSQKIKKSQCGG CNCKKTGCVKKYCECYLKKQRCTFLCNCIDCCNQEDSESEKEKEKENEKNHCIGILSSNL LMNSQITEQLNK >CAK84105 pep:novel supercontig:GCA_000165425.1:CT868496:113721:117159:-1 gene:GSPATT00018323001 transcript:CAK84105 MQTIMTLIEQKNQQVLELLRNFVLDYSNIELFKSLILEDCNPDTTFALYSIIQKGLINGD HFTNLPHQKVSTEYFYNEYDHRMNITEICTKHIEIALIYLQSTHNHPNYVQNQVIFTIAL LMRKNWVDILKIEDLISQIINSTIQANKIVFFKIFENLISCIRQYSYANGYIEFRKILNN FQMLSLSKIISAIHQQIQQLQGSSLLQLYTQNKTMAESFINILYQLMNLNFNLSYYEIDM DNDGKDTSQLTNFPDYYLDLIIDPIFLRELFQDTIGFINVDQNLSLQCITMLQRIACVRL SIFHNKKCKGGFRRAMWEGLLYLYQNQDQFINYVEFSSEIHSLAVRVINNLTLRKIAKFQ FQFDNFFQLIQQVYQKTIQIYGVKVILNSPIIKLQELWNQISYQGSLHQPLHEEFNVKIS MVMQNQLILVLKAFFQQNQFTEIPQECSVKKFKKLLELTFMPFHCLFKSHIQDNINLIQQ MIEQLRGDNEYQCAAVISLTIVFLLHTSNTEILNMGFDSYNFGQKEEPKPNLDGQSYILK FIVELIMNQQVQKNTRILKIAILSFIESFIATTIDSFCYDQETKNFKNLSQIYSQLIRID QRYQNYVLVLETMLDECFQVFTYGDPQLIEFSLKLIEFIYQKVKKYIPRKVFKACTITDM LKQFFLQLNITCLNTPQYIKKRAMVFKIITIVWVDDCSDDYLNALVDIYNQIKKSIQIEM NKLNILKYVWDLIGIASEIDVDNIYRAFLRIIYPDLAGLLTQENIELFAKDYDSSIAIIT LFKAIFYNKNTRLSTENIQLILYQIYGKAMKFFIILIQQQIQTAKTQQKLSDLQLKQVSK LFALMSQISGQKKINQGFFIIYNDQSFLELFTLQIQLILIYRPLLQHIPKYKKLLYECFD CICQDHSETLAYKCNLNLYLDIFLICKESLKDIIYDNQGEDTINENSATLILIGTLLSNI STFLVQEASVGDINDLQMIKNKINGIIVQGKQILFDIFNLSCQITLIYPKNSKLIFAIPE ILYAVSIFSPQDFETLLITMITNQIGITKNPIIDQISLAFKNLQGELQISNKEDFTRKIK VVLEMYK >CAK84106 pep:novel supercontig:GCA_000165425.1:CT868496:118286:120775:1 gene:GSPATT00018324001 transcript:CAK84106 MFKIYQFFIKFFFHPEEKADVGTKKIMNLWTLTFINQDLEEKYSNKEKQRIIVLFRLQYL IHFLINVIYFVNNQFFLKNYQVAYFRVAFCFWHIICMILQANIKRIHYKSFIAISEFFSC VITILIAYVYVQAQLINKPCGRQTTSQAVSGGIQTGLLIISYLLVCPLWFIQGLILIAAT ILFIGLMGNLGSIYWTFYVLLLMMLIFFRFLEYYKRIDFYTICQQTSNLHACKNIFDKTV PNSILILTENNDDLQDSTNSGKEMKLIYSNDFAIKYLQVSDENEIIKKLKSIYIQTEQES EQDTYNSCVSIYDVLYQKMGQFEEQFTQQLKTNRTTVIQNEYDISDFSDYFLCFRFDSQS KVALSQKQQLKIKSHFEIRVLHCIWENKHSILVIMNDISEKIRLKHLKELDQYKDRLLAT VSHDLKTPLNGMMIDISIMQNILQQKSSITHGNIEQLCGHLDEFNQSGQLLLSMINDLLD YSQINKGYLRLIPKPFNLNNTFKFINSLLLRQSNEKGVQLIWRNHIDPDHSELLTDENRL KQVLINLVANAIKFTMQGEIVITANQSNEKDVIEISVSDTGYGIPEDIQKNLFRLYSTFD IGNNNRHGVGLGLTISQQLVTLLGPTDKIDLKSQVGKGSTFKFIIFRKLQQSLENSLQEL DLNEKQIVPRFPSYKNLNQIIKKRKTIQKKAQTYYKLDSQTDECLKVLIVDDTPFNIIAL SAMLNQVIYNCKLYKAFNGKQAFDLYSKEQMSVIFMDVNMPEMDGYQCTQEIRKFEQIQR LTQSLIIIVTAFTGSDDKQKSQKCGANDHLDKPLNMEDLKKVIKKFGII >CAK84107 pep:novel supercontig:GCA_000165425.1:CT868496:120782:121939:-1 gene:GSPATT00018325001 transcript:CAK84107 MISCRSISQEFSLKEEHLTIFKSYIGITAGGMDKKVAQSLNNVFATNIHQASQNADKLVY NDFDVAFAVGIVFKLLVGQKIEFLDYFCSQYSKANDKQKYALDLLKYTVYQLDKSDPTFY LNTLLKNKNIPNQQLQQGISLSKIYTFVHLCELGAFFKPFQVSFPNVFWQGYEKINMKDV RPDIDLYFYQPSPSFPILVLQQYDDVQLLYKIFQEYQVFMTHISTQLILDAVARQLSVTS ENLKSQPYKVPNFRELALILFAGQPQLEDKIGKFEKIPKIGETLSFLINPQASFEIIKKD LSQYQEQEVAKEQVDQNQNANQVFDIFATQIPTSSQAVNNLRKTISY >CAK84108 pep:novel supercontig:GCA_000165425.1:CT868496:122526:122730:-1 gene:GSPATT00018326001 transcript:CAK84108 MLSKSIILQYFMQSFCYNETGFNIKFQQRNDLQRCANDKSKTNFVYFLVHYDGQKKKFD >CAK84109 pep:novel supercontig:GCA_000165425.1:CT868496:123227:123739:1 gene:GSPATT00018327001 transcript:CAK84109 MKNDQVCIGFNLFFEQKILDIGTGNSKQKLEQAEQIVEQWQKLNNEEKMYWQQKEEALKS QINSKMNKKQRQCQTQEQKDIEEIQNNIQFMKFDEAKQNYKKRIQENLQQYEEKLDDDSK VQKIKNPQNSKKNIQRRKPKDDDDNFEEEEIKKGRKFNVKQSKRGGKRRM >CAK84110 pep:novel supercontig:GCA_000165425.1:CT868496:124007:124779:-1 gene:GSPATT00018328001 transcript:CAK84110 MNNREYDYLFKLVIIGNSGVGKSSLLVRYTDDTFSENYISTIGVDFKFKTFRLDGKGLKL QIWDTAGQERFRTITNAYYKGADAIVIVYDTTCQSSFEEIEKSWIDEIHKHAGKNTTVLL IGNKSDLPNKAVITERAQTYAKEKLMLFYETSAKTSHGVSQAFEELSRLLIIKRNMKLEE KKFRRKQKNDSSAAGSDKNHASYTQNEPQENINLWLRPNPKQENEKQQQKCNC >CAK84111 pep:novel supercontig:GCA_000165425.1:CT868496:124859:126175:-1 gene:GSPATT00018329001 transcript:CAK84111 MSVKLSYKQYIQGKLDLLEFMIAEKQANVKRQEAQRNELNSQVRQVKEELQALQEPHSYV GDVVKQMGKDKVLVKVNPEGKYVVTLDKNIKIEDCKPNTRVALKSDSYVLHKILPTKVDP LVSLMKVEKVPDSTYDMIGGLDQQVKEVKEVIELPIKHPEIFESLGIAQPKGVLLYGPPG TGKTLLARAIAHHTDCTFIRVSGSELVQKYIGEGARMVRELFVMARQHSPCLIFIDEVDS IGGARMEGERGGDSEVQRTMLELLNQLDGFESTQTIKIIMATNRIDILDAALLRPGRIDR KVEFPNPGVDARLEILKIHSKKMNLMRGIDLRKIAEVMPGASGAESKAVCTEAGMFALRE RRIHVTQEDFEMSVAKVMKKDIEKNMAINKMLK >CAK84112 pep:novel supercontig:GCA_000165425.1:CT868496:126896:128795:1 gene:GSPATT00018330001 transcript:CAK84112 MPPKRAQSKAKKVIPVVTAKGKKDAKAAQKNTKVVAKQTPKSVSTGRKGSSAKSVSPAPP AKSATKNNAKSSSVSKKDATATPAKKDVPDKKKTQKKSPSPPPNKKVQKEKTELKTITFT GSAPVDEFVHQKDTYVVYEQGGKIYDCAMNQTNIMEDNNNNKFYFVQLLKKKNENAYFVF TRWGRVGQIGQLALQPFQGDLSSAISQYQKKIHEKSVKGDYRILEKDYSGENDPKALEKL EKLREQKEKESFNKSKLHQRVKELIRLIFDMKMMNNQMREIGYDAKKMPLGKLAATTIKK GFDVLKQIQDELDKKNKNVVELQRLTSEFYSQIPHDFGMNKAPLIDTKEKVKAKLEMLEA IQHIQVATKILEDSKDDSNVIDENYKKLNIDLKYLDHNSEKVKTIKKFIQNTQGYYKLEV EDVFELTKDQDDKRFKKDLGNRMLLWHGSRLTNFVGILGQGLRIAPPEAPVTGYRFGKGV YLADVVEKSASYCCPDPTTKTGLILLCDVALGNPNIKLDSDHNASNLPKGKHCTWGKARS YPPEKSYVEMPGLPGVKVPIGKPEPSDVEKKSGLWHNEFIVYDVAQVRLKYLIKMKWQN >CAK84113 pep:novel supercontig:GCA_000165425.1:CT868496:128908:130760:1 gene:GSPATT00018331001 transcript:CAK84113 MHKRQVQIETLNPSKCFTQSRSPKQNVKPIQPQILKQITQLIKNSKERNTERLCKPYEQL KCIQNIQPSTTSRPIQGRDVSVTFHQLLQQFRNYKKQNKENSFNRINKPSKKVRQISFPN AVLLNNSSSGSRIKDRASNDKLTQKILSIAKASRSQNNYKLQFNGVIEDMCRCSQEYNNL LYEFKENLKNNDSHYRKTSQRCASADIQLIVRSPNKKSRSSSIDRKESRFLGSTQANSNP KELDLTCDENEIVVHNVNLDERIKTEPDPLINQERIYLADPYYLTKQTEITWLIRAIVID WMMETAMGNRLKRQTFHLSIFYLDSYLSKKQANKQNIQLVGLASLLIANKVEEVNPICLM QFQKAANNGYKQSEILNMELDILFVIAYSLYIQTLKWQVNPPSYTYWINWFTDQWDIYAS NHGINLFFRKANEESYQLFRKLCQLIDCTLLDIQTLQYMPRTIVASFMYLIISFQLNVFD IDMLEIMSRTSMFLLNRDNQFNLIFGQFVSITFGFALQDILPAIQYAAAFYELEINYETP PGVVHLSNTPLESNYEEFLSFQCYSKYLLEFVRQKTRD >CAK84114 pep:novel supercontig:GCA_000165425.1:CT868496:130807:131114:1 gene:GSPATT00018332001 transcript:CAK84114 MSQETLNGINGILTDVQSFGQESYRFIRKCTKPDKREYIKIATSCAIGFAVMGAVGYFIK LVFIPINNIILSAN >CAK84115 pep:novel supercontig:GCA_000165425.1:CT868496:131118:133462:-1 gene:GSPATT00018333001 transcript:CAK84115 MSLDSSFENLEQFMFPSFNEIQNEDQKEGQYVLDPIGQELDQNPLLENPLNHGKTYRQLF EIVQRLNDINWVEPYSKITPIKHFTPITFWFLISSQYFQKEVDPKSIKKNFKWIRIKSLV LIQTQQSLIQDRWLKELQPKNDLESLQTKIEHQLLETRRQLRNQIDAIQIEKPILQIQNQ PDFILKDLVGLTDVENQYLTHLESQQKYIQNHHIARIYDEQIINQSKQSNLAGTARKLQN VYNNCQICNQGARTLESLLICQKCQIKVHQKCYGLENIINNWICDLCLNFGSKGKFLKCP LCPKLGGAMRQTTLAMKDSIFEQSNPNYHSYAMNNRIDRQKPPPDGEEGYNFMILQYSLD NMVGETPNSEKIWAHVSCMLWLDFDLLKIDKRKFNCLCSICKTKKTGACIYCAKQKCGIA FHPECARRSQIYMETDEIFCFKHQPLKIKRIFEDQHNLWKEEIYYFFKQYEKLEQYLAHK PKNNDLEFEKFQIKVQQEEIEADIKLENEQLFQKITEIMEQDEKFIITIEKNQVTDIQYP LKRLSIYDLEEKDRIWQQLANEKYSSQQAYVLYQRAMRMRKKKKLSNTLSQLQMRNTQEL PNRKRHSIYSRPKIFKHHKKQQKMNGSNNISLKIKIPKEAFSLQYCICKQQNENEEMMCI VLLIILECEICSEWYHLSCLGFLGSIEEAQKLLFYCFKCEQKLNKEQSKYKRRYNQYFGD ATFRDLKLKIGMSPHELRTHEKKNYKQLSK >CAK84116 pep:novel supercontig:GCA_000165425.1:CT868496:135150:137960:1 gene:GSPATT00018334001 transcript:CAK84116 MRPFTSTPQIQHREQAQVNNPFIQLKSAIEFRQNVQHYKPKFYVTKAHQDSNEQFLSSKT RDPPNSREFKKTIPITMVQDKERLYEELNKTKQMCNNLKLENHQLKAQIKSQETAMLKCS DPANEDYQSFVTNPLPSHYKKQISEVPQSTIILQLKKQNKDLREELDDFKEEMIRVKRSA KLTKIQELEAELKNYQDESLRLKQLLHQQILKTMKPSKTEQNIEERILTLQEQLQKCSLA KNKYYQELKKAEEEIKDLKVLNQELDQNYQKSIKEKTQIKKQLTSLEEQFNKAQLNDPLF RRGQDVTQMKLELERKVVENNQLKNDNMIKDKKVHDLERQLKDVTSTFQDKLNTVTKEKE SFKEKYEKQKQELIELQDKYQQLFFNSNRNLQSQQIQSGRRDAIFTVQSQEDVQNPRRKS QELTPDLHKQNDTQQQQQQSTQQEKQSYRRKVQRKLSSIRQDDIEELLTQLRYKLRAQNI RNAELELYLFRQKDTNETSLGEMIQILQNKPFNLNQNESVLISRYLIEPYGEKEITYNIN LTKENESILQTLIRAIGKYNILVGEDRIQMHEQIRQKLNEKKDDLMAYLKPKKQQGNSQQ SISAQLPGSLVNRQQFKTALLQTSLDEEQTDVLLQTIYEQTQDFNFIDLDMVFETWPSSG PIKITRVQVEQQKEDGELPDTVRECIECLLEYANKNGLSILDLRKQIDEDNSGHVERSEM KQFLLKCDIQLNNEQYDLILDHFDLEGDGRISTNDIGVVLNKAYNQKMLAMKEQRSAKKT SKQILNLVANHFVQFMQANKLDLFQIFMTIDQDGSGSVSRDEFKQMLRTRIVIPLDQEEY AEFFKLIDNTNDGQIHFNEFQQHMIPEIEKITQKPYHELLNEQITKKVG >CAK84117 pep:novel supercontig:GCA_000165425.1:CT868496:137989:139410:-1 gene:GSPATT00018335001 transcript:CAK84117 MDEYIVDDRINEQDRNYLLSQVDSIHSLYITREHIQSIKSQLHSEDYISIHIALQRLLRL IFLEFHIETFPLDLDEAGNQYHFTQFIIQNNIIQPLIHLIQVQYSIQSTIDSTQILICLL CGTSQQVELILQQGLISSLSLMLQSNIDLIAFTGLVAVLQAAKIRHSKPFCKQFLNNQCE QFIFKRYAKEPEFCLKTLYSLCTKKPSMKFELIKSSLLFIIDKIHQENKIGIITKCICIV TGKWIPLPPLKENHLKRIQLLLDLDIIARFVQLMKKHESEYELLQVILTNLIFVLSGTDQ QFQFVLKQGIMRQVQKLLDCKDNATFHRCLAFLVQISNKSLGVSFLFEQKTIVSIVIRVY SVEQKEFALLKIVSIFENLVLLGDIDQVQSLIDQQLIRIIIKNLKLNLNQDNVQVNCKTV ELLQSIFTKVRNTNKEKYEKWKEEFIKSEGIQALRSLTSQTEQIFSLNEFLNT >CAK84118 pep:novel supercontig:GCA_000165425.1:CT868496:139543:140455:1 gene:GSPATT00018336001 transcript:CAK84118 MQMCRLYSLFKLMMATILALTIDCVRCELTNWMLAVLFHSIIAYVYHTYMRIILNNIQIV LQIAERLNHLIQVEEVETNEQSNCQYLIHEDLDPYLYLNQEEMDRKQKILAVQIRYEVVS RYQSLRILSIITFWVTQILVVWAIRLQMQNPEDPESYDTCLRYANTFQLAFVFLTIYQYL DVYILSLLIVIALPFLIPVRLWHQFKEKKQNYDNQQSLKELKKTCIMLYHFKNIQGDQEC GICMHSYVTDEKLLVLPCDPKHHFHLQCIQAWLLINSTCPKCRASFLKFNQQQQQQ >CAK84119 pep:novel supercontig:GCA_000165425.1:CT868496:140456:141483:-1 gene:GSPATT00018337001 transcript:CAK84119 MLQRLSTVFRRPMFWHGTHHHDSNDATKRLFDTVSSTVKGIQQINYVVEHDPKLTTEEKS KMKQFLIYRYDPADENDFPKYVSYYVDLKKIPPMYLDALLYIKDNYDSSLSLRRSCREGI CGSCSMNCNGLHKLACIHAIDTDLTQPAYITPLGHMFVVKDLVVDMTNFYTQYKTIDPYL KRKTPKEGNKEYIQSVEDRKLLDGLYECVLCACCSTSCPSYWWHPDRYLGPAVLMQAYRW IVDSRDEYTDERLEKLAEDVKVEDCQNIGMCSFTCPKGLDPQRSMNHLMKLIEEYKERKI ASATL >CAK84120 pep:novel supercontig:GCA_000165425.1:CT868496:141532:142030:-1 gene:GSPATT00018338001 transcript:CAK84120 MVKRLIIKLIIEVGSTFAKSFMKAYQQSAKQQGGKSANPFSEFLNQTMQAANLTHKPMTR DEAFKILQLTAEKTNAEEILKIYWRQFHKNDPVKGGSFYIQSMLHNAKCELMKDFPDINE KEILEKLRKEEESQKGKEEEGKQEEQKTN >CAK84121 pep:novel supercontig:GCA_000165425.1:CT868496:142206:143473:1 gene:GSPATT00018339001 transcript:CAK84121 MSLKSNPYIQTLINFINQPEKIVENSERFDELITRLCQQEIDPQIEYDGSNFCCHCLEFL VENNYKNLPCGHNVHTECFKTYLSEQPFYIESIDTYSCCKESETCSQVLITDEVSKYILG GEEFNNLRNLYTLILNRYVMDQNDNDDPFDIDELQNENGKQAENQSLNDYDVAKQIEQQE QVEKRQNYKRATFDCAICFENYDLEQEAITLDCDHRFCRQCIKEQIYNQMDLGNFKESDL VCPLCNHPINFYIIQNCTPDVSAKINDLRTQNLKIDSKYEKVVVCPGRGCPASYIISIYL EFPTCTNCKLQFCANGCDKAHQGMTCEQFKQKTRAKQEKGLVNCPKCKVQIFKDGGCNHM TCRCKHEFCYVCSKPYKPKRECNCPQMTTIERFFDRVKGFIGSK >CAK84122 pep:novel supercontig:GCA_000165425.1:CT868496:143495:144120:-1 gene:GSPATT00018340001 transcript:CAK84122 MSNLNAASLYENLQQPISQYYDKSSNLTREEYFYKLSISTTLYLGNLSIYTTEEQIFELF NRVGAGVRRLIMGRNKETGKAIGFCFIEYFNHEDAKEAHEYLDLLKLDERAIRVDWDIGY SEGREYGRGTGGNQVRDSYRKVADPERPNVQSNRGRGRSYNNNGGRRKQQEEEESDNSGG GYKKRAGNRSNNNY >CAK84123 pep:novel supercontig:GCA_000165425.1:CT868496:145371:146051:-1 gene:GSPATT00018341001 transcript:CAK84123 MGSNLCKQDNSSSINTIDIEKKPETIDQPKKNIASGAKNSQVLTSQDTGQIQQIYRNIEM DLKKDDNDSKVLGKEISEEGKKQKGLDKGLKNDDKALKSQNKKCSKCDDFISLTFVQLNC NKNCCYHHLCMEEHLKKLIDSGKPVIKCKCGTKLNTNCLRQSSVIGKMNLLSRLFEKQLD LILQSSQQIRRDVEVQNYVQQNRISKELQDYFLMKQDSLTYEETPQ >CAK84124 pep:novel supercontig:GCA_000165425.1:CT868496:146183:147310:-1 gene:GSPATT00018342001 transcript:CAK84124 MGTLLSSFTSQKPKKEQQCILQCNAKEQKQNQQPFITTKPIQSQGEQTHQHEEFSFNEIQ QKGQTQKITKKGDINANQQQLLESIRIDQTGKLTRQINDFKQNKVCYECNELIEDTIIQI VCDHIFHQDCFIQNIEKQLQQKEKFIIKCKCGTKLNSNILRQIVDDEIKSKILYKIFSLQ VQKLIQSSNIRKFCDVQQIQQQIEQNTSKEDYDFQYAEKKQKLQKNITELVIDQSGILHI SQQQLFIVSICLYCGKELQQDLLKFNCGHVYHQDCFVNWLQYQILDRKNTIIKCRCGTKI NTNIIRRIPESQIRLKLLNYLFSVQLSKILPNLRDLDDFDQIWDLYYQNQYQVDQDYNSS SGFLYFSNYSTPGGD >CAK84125 pep:novel supercontig:GCA_000165425.1:CT868496:148414:150126:1 gene:GSPATT00018343001 transcript:CAK84125 MGNCLKRNQTQLQQNQQCPKCLMVFLAQSSALQYQQHVTNCRVRQIQNPPAQLRNVQVGD VVIEPNHHQNPNPNPNPNPRTTPHYIQEDFQNRKQKYYWCKELLPGSKIKYKWNKKLTPP MPAANVSEMLALPWVQIKNSEFPIKQTWFRLQLEKKRIPWQQGAETLSVNKESFLMTTLN MYKEINWHKEVKVHIDGDKVLDAGGLLREWANLIMKEIIHPESGMFQIADCDDVSYKINS EADTDEHIIDCFKLLGIVVGKCIFERIPLNIYFDRSIIKHIIGQPIFLEDIYYYDRQLYQ SWDFLVNNKFEADDLSEYFVVYRQSKRDYYELKQGGQNIQLDMDNCQDYVNLCIEYYTYL SVKPFLTAFLSSLYSIIPKQLLSLLEPLELEMILYGTPFIDINDWRDNTDYKGAYYRTHQ TIQWFWEILEQLDQFNLAKFLQFCTGSTRTPVEGFRKLESNRGNCSKFCVESVPFTKASP YPKAHTCFNRLELPMYETREDIEQHLKAVIQADLDGQFGME >CAK84126 pep:novel supercontig:GCA_000165425.1:CT868496:150165:150664:1 gene:GSPATT00018344001 transcript:CAK84126 MQSYLPEDSQINNNEQPKKIKKKNKFDYHRKKRRSSDASNTKKSSQKFTLEEDKLILQLV LNVGPKFQKIHKHFPGKTLAMVKNRYYKYLRYRWEILGQNYKHLSLPQDSYENLCEQQKI ISDQLEEEKSDLIAQVFSHTKLLSNARMFVEYLIEQLL >CAK84127 pep:novel supercontig:GCA_000165425.1:CT868496:151151:152472:1 gene:GSPATT00018345001 transcript:CAK84127 MQFTPKVSDFDFERPSIKVYKLSQQLVFNKAVSEQKIRRSRAISDILDQPSKQMDFKEEI KKLEQIEKPQELKREIFRQIKQTCREFRNVERERDGSLWVKSFCTNEKIQLSKLFQGTQN IQTIDYKKFHSRRNSKVVIIAKKKEVEVPKLSQLLQRAQQQQSKPRPSQIRLRLKSKNLS QETIQEQEIFTERECQVNLATHKIDADTKKPNKEIDGQNMKEFDEMLQFYNQDRIVKTSQ YIIRRRIDYKKILHELKKKLQYVSRLNLDIFQAFSDQVISQKPFQKEHSYEFIQAAKQGK EFEVKEFIKDNKYLVYDFDYIYMTALHWACKRGHFEIVRLLVENGADIEFQDIIGRPTLY FAIIGRSAKIVKYILDKRADPWSTTAVNYNQLCLECDPSFQQLISQARKVHITLKMTAPS QRETIWRILRR >CAK84128 pep:novel supercontig:GCA_000165425.1:CT868496:152728:152998:1 gene:GSPATT00018346001 transcript:CAK84128 MYQLWRFIKRGVVSQPHMVGGVIIGYFGVGIISYYNSDLQFNYGEYNQPHSHNHGEQVRQ YCESTLLQIRSQVNELREQY >CAK84129 pep:novel supercontig:GCA_000165425.1:CT868496:153033:153818:-1 gene:GSPATT00018347001 transcript:CAK84129 MDLLIDNVIKQIEEFVDAKLQKLQQKIYQKIELQDAMIKFILENFNALKESQQTMEPKSH RTKHSVSQSLQILKILNTCSVCNLDIIETSEEIETNCHHFYHEKCLQKKVKQQIEMGFIT GNCVGRLSNTNRLCYGKIRIQDCKFVDQSKLTNINLNHQALKLMGQFTTCPMHDCNYFFR LNENIESKINFCNNCNKCFCYNCLEFCQKQHKCQSTRKFSQIICKLCNKCGILQIIQKMK PQLACTQCQNMIFL >CAK84130 pep:novel supercontig:GCA_000165425.1:CT868496:154593:155105:-1 gene:GSPATT00018348001 transcript:CAK84130 MGVCQYRFYGSKSHRQNHSKLLMSKWQKRILKPKLIYSSITYSLYELHLKGQVHFLQQYF CFKNKKSIKGETLAKCQAVLKTQIFIKVILLINNSQSQYGIVQHNVTGVVNLARNIQKPA EPDQDFDQLVADMKKSRFKYKKDKKIFLWKLIIYQRQRILLQLGGNLHEK >CAK84131 pep:novel supercontig:GCA_000165425.1:CT868496:156445:157084:1 gene:GSPATT00018349001 transcript:CAK84131 MDSHPAHLRNQKKQITLIFKSSQNLNQLSQKLVQTSHSEINVQTGPRLNPNKIQSRSGDT GDLLESVNFLQSSESLGSSLFPQQITKSGSLLNQYCPHVPLTQEIENCLFEQIKSASTCK FQNICKMNCKMKFNPAYTNVQQILLYQLHENQIVELKNIIMKNQEKKIRACDGQGCEFYF ILNNQLPQANYYCPLCLEYFELKI >CAK84132 pep:novel supercontig:GCA_000165425.1:CT868496:157416:161175:1 gene:GSPATT00018350001 transcript:CAK84132 MNSCSPVLSQSKVTTTSGFLKNLLDYKASLNSNGSSKKQSISSFQRDISHLADSFRHKTL QQQIKEKQQVAEMRLNEYILKQKERKHNQEYMMQNQRYHYKTQNLENTFDDVLQILEKYS DFNLNWVAQDLQEVSGNRKNVMLNLKQTIVNGIEKGYFEDISSTQNTERKLSIKKKIIKD MKHTSTHFFSNEPTGRNDAINLKKWLQNQLENISQNPSIDHKSKLQQASDVLFLCLNELI RQVSFQCTERGQLMLAIFKGYITVFRKTMNMSESDKQQMQIMGYKNQLEQQVEFEQQQDE MNEKILNLKQVIQKLENQLQTQKEDEQNLMIIVQKLTKQNELDKTMKKSAATLISNLNRE IKELRNKIQKIQYMKQSNHQVIKAEEEEDEQDLSLSQVLKEVDKKTSVEQNPDIVVKDIV DEPPKITFSVNCQTEIFVHTFETQTDLNLIGQQFDKIINQQELDQHFKEFQLKEQMGYFL SDDQQPMSNNNKNSQCQPQIQQQKDQRASLIRILDGLPGSMDNIKNMKKEDMFDLFVNNM RTLRELFDKEEPQEKQVQSDSEQSMFVEPPEVNSDEKRSINKDSKNNIRLIAEVAEMDEA SPMPNGQKRINFSLKKLSFEKIEQQAVKNNTNSQKQSQKSNKRQLEKMFSSDKLALPTVK EFQPNELTQTQMLTNSNTHLNTVNSNQSIIQSSTNLQANTIANKFGLSKQEQIQLKTQVA MLIMLYNLQIQKQQNLTRSMNDLAGLLNQLFSFTRKLMIHILRTQQQSHKQIVEQFLVEF DSLRKRMPIELDAEIQEEEYLITEFDEEEEKEKKKQILFKKKKNRITKALQFNFQQKQNK VNVIDRLMHPGVILAVKAREQSYLFKKVVNYWPLKNVLRTITQLYDEKIRSSKDNESQKD LEMQIFVFNNFLNRYGFKNVAEKKYLQFLLSVIHFSSIFRVNVFARMIAILQDEHLNFSI EESSFFLQGYDFMCSESSLGVNVVQSESEQKFHVPYLRALDYMKVFLENKMMEDELRDLK NDLENLKEPDPKNLNKQGLIDVDNFLVKVMNKYRIITTRTKEFVIHAFQAADLDGNKKIN NNEFITLFRHIEHQKFNFKEAMNLFYEQADIVQGEERNLSFNRFTSLCVSQQIFTFQALN HFIHVKTNDDVEKQFLLVRQEWPNYKTEIEGTLKELQPYVTPEIFQEWNTILKTLEQRIM SQATQQSSIKPILIAHRILKLELQRLLHVGHQEDDIDENAIIQ >CAK84133 pep:novel supercontig:GCA_000165425.1:CT868496:161222:161697:-1 gene:GSPATT00018351001 transcript:CAK84133 MMQQRDFYGNDYIYKHIKQVSLQEFTDQLFNPIRYQKQMVIFTQNEQKEQQELYKYYVYL GGGVFAASTLSVILYKKIPFLNRIEKRWARLLTKALLLFGPLQVKSIFGQKAQYELLDRQ FIQYHRQLQDYMFSGDIRKLKLDIQISPV >CAK84134 pep:novel supercontig:GCA_000165425.1:CT868496:161956:163379:1 gene:GSPATT00018352001 transcript:CAK84134 MGNEFCLSKRSPKRTILNDDERQQVKRLYRKLISRHDEQNDYLNEECFQYLLKNRPTIAL KLFKYMIFHHSDERPSFEAFEDLVGKLTKPETLNRMTRTEQYSIISIQKINMAKIDYDQE KISYLDAFDLLSEIEYFIWEQGYFVTDYLIQDEYTTRSILSRLFTSSAGSIMFYELTIFL EQNFPCLSILLTKYFNQLFISQRNYNQLSKFQKPSYLVNNSTFGYMAISSSVIQEADSFE LLWTSVRGWNFDQFYSALIGFKGATLILIKFDDSTENDSDEEENTKQKKIKPKSNKHCIV AALNSTQWIETNSYQGTSQSILFQLYPTYNPFNVATDVFKSKRPGSQNYCYLNKTDNKKG LGFGGDMKQFRLWISAQNMQQSSYAAKQGEPYIKGDLIDPSIQTPTITYIEAWGVGNQNL ECESSSEEEIKCYRESTNDLLLKYQS >CAK84135 pep:novel supercontig:GCA_000165425.1:CT868496:164247:168934:1 gene:GSPATT00018353001 transcript:CAK84135 METKSEYGLSKEGQSSLGKVVVELKNVLFSVTRQMLIDDDPSLLLNIASLLIQLLQMTYI CFNRNLYKAWKHDQIAEYVSKITGYVLLSPQYERMSYSTMVILTYLFVGIIALEILLYLV LSNRTEKLSKTLPMAMLKSIINLMLSILYMPIVDLFISVWTCQDSYHFSFTNYECWAGSH TVHSIVAIIFLIIFYTLSAVHSFLYYEARFVYNNPLSKQNGFDDFILKTYILIQVLNYSF IDSQYVQVILIAFGNITLYIYNYHYNTHNNLIIYKLWQMIIAVNNWTCIMLAFSKILEDV IFRGTIYAWLLGIPLLVIIVIQNPEERIDLLLLDNMKGTINQILLQQHHLLRLHNWNTQL FNIILDGYLKTHNQTCIRPDCGKELIKIIQQNYLDASKRFPYDYQLKIRYGFFLLHIGQR QYALQEFNQAQNQNPTMDYEFVLFRYKKIIEDEMNDQNVEQIDTHNEAIYLNIIKNFQSK IERVTLINMDFWSQLQEDYPDLGKLNQIGLNIHNLMNEIEMTWNRLQKTKQNTQKSLKMY SKFMIDVVQDQDYGELLYEKSRVMDASYVRKGIQMASKEEISMESLPTIIISLAPDKFGQ IINLNTACHVFGYMKNELVNRKLNVLIPNIFQKAHDQLMKLGFDQKLNKERSIYVKNKQN YLIPCIIEMKALTSLDQSVMIIAQFKLFKPYKQTCYILFDSDDIIDSISFNCISLLGLDL RLIQNKKLLVSEIFPQLEDKSEFLIKGGGIIQYKIPEDIASGLIPSNEYIDEKSKFAQEF ICTLNSVTLNNLQLGFMMKLEQKESENRLMPLKKQKSNFQFKYNVGKKIFLGEFSTDQVS AIPDLSSIHDQTVDVSAMSVKNQSITQVKSSEDQKFDYGEGIKTLQLFQNRIQEIDKEEI IEEFDEEHQQSVFQNNIESQQENESSINNNIFKSRASLQEQIKNQGRISIISKMIWFTNI LYLTMTALAFFEFFYLNQQYNEIEENINLIGKENQMHAGLQTILANIQNLKMLNLGVWQN VNKSAYETTQKEELIKQLNQVQDLNKEVLMSDLKLTDVYSNLKVSDAIKMYFSTSSSQQY DYMEATQQIISKGIEVSNLKLGDITEYQSSVFFVEYNLLNAYWIALQDVSDQFILALDDR TTRQEKVEIVVITLSAVMLFISLIIYSISIILLAQMRLSILQLFLDIPEKTVKYFYSKCE NYISNLQVGDEDENVSFQEDLEEQAELNKTLKSRKKKKKFINSNQNHKDHILLFVFSVMT LQGYFIFAYFVTQNELKNLNQQIAEFNITTRCEGFYRFCDNSERQLFYNQEIKLLNQEPY QVIKQNVKDMYAFDTSMQQQHALNIDVQNSDYRSVYDHIMMSDPCDVLPSYGSPDTVTCQ AFARGALAQGNSIGVARYIENLRYIITIYDKFIGLGSKPNFTTVARGDAVDSKIVDDPEK NNLLNLNNFNQTKEARAYQSNYLTPAFQYLTDHMISGFSKDLSDHIAQKLAVFIVFNVVL FIMQFLIMYPFLLKLNKHISNTRLLLTMIPLRLILKIYSIRNFIKNNLSDIQY >CAK84136 pep:novel supercontig:GCA_000165425.1:CT868496:168946:169785:1 gene:GSPATT00018354001 transcript:CAK84136 MKKSPPPKDQKKEKSLEKAGEPEKHEKFEKSHKTLQPLDKVRIPRNVNFYLHFLQHPEIT ENDIEWVLQLRHWDANKMKELLSKIPNQPYSLADKKTLFSEKKHDIQDIQNKDNVASFMH LLSHRLGLSASGSQADFETGLRRYREHDENLSKREKGWWYIPKKDRHDFPEFPKPLKEQM DLKKSFSTGKFNKMKFTYSGFEGLQELPPYTMKFKQSNCGSVKHLFGPDVRMSQGLWEEG LRDSYNKRMPQQNRNKEESKNKKKLDPIKK >CAK84137 pep:novel supercontig:GCA_000165425.1:CT868496:170566:171495:1 gene:GSPATT00018355001 transcript:CAK84137 MGRDFRGGRGDTRGGGRGGDRGRGAPRGRGGRGGRGGHMGGAPKAFVVPHPRLAGVFVAK GQQEALVTKNMVPGESVYNEKRISVEDKQTGEKVEYRVWNPFRSKIAAGVIGGVSDIFIK PGAKVLYLGAASGTTVSHVSDIIGSEGVVYAVEFSHRSGRDLVNMAKKRTNIVPIIADAR KPLEYRMLVGMVDVVFADVAQPDQARIVGLNSQYFLKKGGHFMISIKANCIDSTNRAEVV FQHEVQRLKDEGLTPQEQLTLEPYERDHAIVIGVYNV >CAK84138 pep:novel supercontig:GCA_000165425.1:CT868496:172155:173071:1 gene:GSPATT00018356001 transcript:CAK84138 MIQEITNFSIPESPSCMDISKEFLAIGTLDENVFLYSQPFQSKSNETILKNHLLGVIDLS INADETQIVVSSLDNYLRIWDIDDKKLISEIQCDAFANWKVRFFDSSIVVTSGEMGIINF YHVDTKERIQKIETDPIYYSSSLQIHDQLLAVGNEGGSLFLIDQKFQKKAIKPHSKPIKS ILFLDNNKILTGSEDGLIKLIDLEKFEIIKQFRGHKYGVMDFCKINEKSFVSCSTDRTIR VWDVNQIYSQKHIQTMNDKPGGISGIRYKNDQIIAAHDCGIISFYAI >CAK84139 pep:novel supercontig:GCA_000165425.1:CT868496:173101:173695:-1 gene:GSPATT00018357001 transcript:CAK84139 MPDIKYHSEKLHLLNLIETRVGFNLKALKEQVPRTWTSQEIHRQIREAYNNRHDFFINIL YPNQNRASPLQDILSYPFKYRRDKVVE >CAK84140 pep:novel supercontig:GCA_000165425.1:CT868496:173744:174214:-1 gene:GSPATT00018358001 transcript:CAK84140 MLQSFKITRKLQINIDSHNKLDQQRPMFQSERNILISTPSLYQKLKLKPIQEFQIVTQAN NYIQTKSSIKSNTFFKLNEDQDQMKQQCPRQNQKKVSFCDKVLIIEPQKGVIKRQRISTK DEQFNVTRTPKRKNCILIHPLSRTLNTCQSTFIDQL >CAK84141 pep:novel supercontig:GCA_000165425.1:CT868496:174345:174824:-1 gene:GSPATT00018359001 transcript:CAK84141 MSKIFTFSNVNSIKDIDPICSKRNFQVFEKYIQNKMKKSTNLDQSKSSYLDSRLDSSKLH KDYLQRKSQPSLKRFDLRSHRLTQSEKSLTSILINRQREANSIKGSNSRPSSIHKKVSFQ NLVLVIDTDVGIKKREKLIEKESKLRNGYFFNSNQIRLQ >CAK84142 pep:novel supercontig:GCA_000165425.1:CT868496:175071:176713:-1 gene:GSPATT00018360001 transcript:CAK84142 MLKIFQNAFSKKKKSHTQSFQLESKDPPPIHKASTLDFQIVDEIAMNSIEESYNQKQRRS QSFEAKEQAPPQQEEFMDYVVQEDDSFFGISLKFSVNEGYLLRINNLSSDMIFQGQVLKV PVTNQPRFSIIKPIEQNKNAENIWEQDKLSQKFDVTYCNNKQNSNGIMTLTSDIVLFDPY QQEQTQDKQNKVRMNACISMIDINEAVTYVLQNQNGCLDYIVQILLSGIGKPKFEKQYFK QLRKYKKQKRSIATVFFRHAERDLDGKLYTEEIKKANCAFIVKFINEACTGYTEQSKLTK IPYLDIIEEIKEKKQIEIDEIQDVIGERMGKLWASLEYVPTLKEPSDCFTNTTYKQIIEQ IPAVYRLADWIKYYNISQDGSSFQNLLYEIKDRAPIILIIKDFDNCVFGAYISTELRQYS QGFRGNGETFLFNYKNEFKSYFWTEKNRDFIYCDESGIGIGCGDKFGLFIDHSLTFGYSN QCDTFDNIRFSNSEKFRIMHLEVWAILQQ >CAK84143 pep:novel supercontig:GCA_000165425.1:CT868496:177323:178966:1 gene:GSPATT00018361001 transcript:CAK84143 MFIFIFIIINVQSYRIRQIQNESLEFQIPTERETKLLALFQAKDAKLIANETMAFCQLKE INLIFETKTQHFENIVTMVHVRDGVIFITSDYLLYLMKFNYQNFNGEFAKLAWKADFKEL GLDTLAEMPQLLYCSTSNLGILFQTRGAILFSVQQMEQKAQKLQIRDLIEIKQRKERGVT KEYENYIFSCVGQDGLDLYKIIGNELHFIDQIANTRFKDLAILKLDNTIYLILLDEQQQS ISVYQMDAVRIKISLKLQHKLQLSNLEFIAIDNYKNNIFVVYEYHHKYICVEYLFTEKEL IQLNSFETFSKIKDVDVSEQFAILQGQNKHHIMFVTKFDGLQISHVPQYTLLGAIDIDFF YLTNIDLSMSHLIDQNDFSIENFFFGTSKSGLFFTKFKIQDPYIICNPQSNQSSSEQHYQ IIQNESVIIQTNFQNNLIVQRQTNITILAFDEDENSYSNIIIYLGIPIGLVIFLMGICFW FISKNQEIEKLETEITAIKTKKNSGLAVAVEFTTPQIIQIINSPNIPSPFSNTERTNVNS NLANADG >CAK84144 pep:novel supercontig:GCA_000165425.1:CT868496:179027:179926:-1 gene:GSPATT00018362001 transcript:CAK84144 MKYSYIIFFCIIYTCLSYSIKDKFCGDDNCYQLLFLKKGAQQSEIRKQFRELSRTFHPDK NEGNQSQYVKIVQAYEVLSNEETKTAYDEYLENPNRSEFYHHYRYYQQVYHPQTNPYIVV SVSTLLLSIIQYVARIGMYKNAIGRVMETTHFKKVVNERQKESNMSKEEVIQETLKEVKI TGAWSYPKFEEIWVIAILHFIYSIFYRLYFTLKWKYYYKDGKAQREISEKDQEYKTQILL GISKSRWESVDKESLVSRQLWIPENMSEFNEEQRLKQEEYIQQHSNKYKQYKRFQKKMQ >CAK84145 pep:novel supercontig:GCA_000165425.1:CT868496:180268:181158:-1 gene:GSPATT00018363001 transcript:CAK84145 MSSQDLEFVQENLQVLIKSVQELQNQFQGKENQEQIQINKQKCQKLIDALTIKFKQGKFN KSENMTIQMLKKEYAKQQNIFIEITKSIRNPKQINSNEGRELEMQNAKKMNGKSTYVYDE DTNYDEEDSGDKGPNLEQLSEMEVHPDIQHKAMLIQEQQEEIDQIQKDALEVLKIQTEVA RVVVDQGKMLDVAENNINVSNKNVKEVVVELEGAKVEHKQYMKKLGGAICIILIVVAVIV VCVKLI >CAK84146 pep:novel supercontig:GCA_000165425.1:CT868496:181180:184152:1 gene:GSPATT00018364001 transcript:CAK84146 MKIYIQSPVFQRFIFNNQCQRNKLQMPLSQDKIEKPSPIIKFKPNRRRKQTQNTIEPRGL SLSISRETQRTFFKESIQNRAVPPDQFQSMIKEIQVGNSMQVINKLEQGPFTKKSYLILG IAYKQLNQFDKARYWFNKCLSEHPNYLDGYIQSAVLEFKALKYHDSLKLIEQALLYSPND KQALQYKARCHSALKQHQKALEIYEKLNNTDFLGRSRHCLSLIKCNYFDKALIKIDTILL QDCENADALFLKGIIYFKKKNFIESQIYIEQAIQISTSHQLSAKAISYIVKSKICLMDFY EAQLHLSRAEQLKKNFKCISKLKPFVNGTVKLMKRQYAQGLQMIKDLKPISKFLQPIYYK FLAYAQFCTSDYASALKSYELIYQIERGQLYNKYVAEALVLIEKCQYEPSVRLLDKAIEI FPNKLEPYYYKCSICLLNKLFDGVQECEQLLDLAFSKQGKQPNIFFAKALMKSYKRRYTS ALKDIEKAIDKSEDSISDHFYIRGILHAQSMRLKEAIKDFTTAIHFNKEHSESYLERAKC YQIKGNTAQSFGDMNKYIKYNNSEEIHYWSGSLLFHNNAYEEALKAFNDAKQTNQILILK LKCEIKLQQIDKACIISNQITQQNVREPKYKIDSQMLTYIKQILDTTQNKKSCETVQFPN VDQKDVGLIFQTQDVLLFKASSMIYQRRYNEAIMILQQLEHYWLNSQKDRLNSISSQQDA DSEKSENLSNEMILKSERIVQHIIGIKYNMAIIYLLIQQSANAKMKVEDIRDQVGNVVSD ELDYLKLLIQNQIDKKSTPVFCKPVLTFLMNEKSLFNGFPALKIQMHGSAVFECKLSLNF PDIQPPNICPEFKKEFLLMIKPNLIENKPEAPWLQRTSEQILILTQNQLNDDLDITTDDE EESNEKRRKKRQVQNLEIDENVQKRLDQLIKKL >CAK84147 pep:novel supercontig:GCA_000165425.1:CT868496:184601:186213:1 gene:GSPATT00018365001 transcript:CAK84147 MKAQHLMFVCLLIMFASAQLTPIKTEEEIKIDLSSDDKLEIKGRDDIKPNKIFNKLYEVD QEAYDHMKNSFKNVVHLVFEKEEEESQVKLLNSVIENVNFTKVDVIFVKSPAGLIESDKG ILVKNFDNFYWIRNYENLQAQIFDIINPKIEFLQTEEDVDKYFSLNNLNSLVLLPDNYSF RDQIIEDLEALIRNGDLKEARIHGLKGYNQNYKNFTAPTLILHKGNGKFEQYEYNLTYTD QILDFFYLESLDLVNRLDEDNYARVFGGPIQTQVHLLIKGIFRQDLFNAYYWAAQNNKVN DLKYHRLIFTYSTFEENPSLWSLFDFDESTKTPQLVITETNFRKYQLQKYICQSETLNQE TIQQFIDDFRNKNLTEFYKSEPAPVYTENQDIYKIVGSTFSQEVLNKGKNVLLLFWDSKN KDLFDDYFQLLNDFSLIQNKEKIKIGHIDLANNEHPKITVDSVPKLVLYLAADKKAPKEY LKSSNLVIPELKAWLDYYIGDGIAYKKEKTDL >CAK84148 pep:novel supercontig:GCA_000165425.1:CT868496:186370:187890:-1 gene:GSPATT00018366001 transcript:CAK84148 MQNTLLDFNQDDQNIKKIIDKDINNKTQLVFNIDKYNSQFQVLTQDCLQECERTSSQKGA KEMVEFKAQVISHEKVIEAVRSANFVEIQNVNQRFKRLFKEILDNISSQLGNVYKQEYLK LWLECKNSKGILHDKEQRLKSSEQTIQIQEQEIIKLRTHYTSQTTFIQLNKKLEETINNL EKENNHLKESLQNQIKINSDLKLNLEELNKKYVKLQQSFEEVKSNYEKEINQLKLQEINI IKANKDLIELTNQLSSQVDKYKDLYEIQNQKNFDLNDQVKYWKSTHFQRLVDLQQSEQRI GSQKGYLFKYRREVQDLKRFKLQNEEVQKELSNARQTVFILNQQTEQLRLNYESAKTENL KIQIEKPDNLNQICIKNSLSTKKANNDSNSFHDSPKKTKFDFGYMKKSVQQQTPKDELTQ LIINNSKLPIQLKQKNRSASAKKNIQLETDLSKNNIALTEFDQITPFLDNNLQQFTFSQA QRIPQNLKKRIRRINTEG >CAK84149 pep:novel supercontig:GCA_000165425.1:CT868496:188461:189559:-1 gene:GSPATT00018367001 transcript:CAK84149 MNQKNNNCHNAQRDNHSTFPPTRRGISSFLLKTYSMVMVCKSTLIPLQFRALIQLNSGKL QYETIYQQIQYKLNNQNPEFEDIISFNSAGNAFVVKDQNGFSDYVLPKQFKHQNFSSFIR QLNMYGFKKIRNVNNQNQFSHHYFLKGREDLLYKIMRKNSIIHKYHYLKLQNKAKKEVNQ LNSNYSELKMDIEQIQKELIYFYQQFDQFQSSLSTLIDSSRQQIRELSIIKNQNSFCNDL LLQVLSNVSKSQPEQQYHCSNTIIFVLVQYPFTNSTQQTQTTKSNRSDKDELNNDNENNN NSSKEDTNQSFQSNSFREPQQQQLKSDLNGI >CAK84150 pep:novel supercontig:GCA_000165425.1:CT868496:189712:190855:1 gene:GSPATT00018368001 transcript:CAK84150 MLNRAINLGFRKALPQFTFSSRNGPYNPNRYRHYLNPNFFQSNKEIADIAKSQQVPLPVR NVRHVNPVRQSGPLPPYDGPYTMEDVRAVWQNTSIGRQGTWSCQMDPDEIMRRVPGITRR EVEKILNMGLSPQEQVDFAYLVYNCGFDVDYVPNSVYVARQVVTNSKGEKVEILWNVQVL EDLAKLPVGFAPVRELIDYHWEIFLWSDPMIKPTGDLDLGVPNTWFEYECEWGGEMVGIE DQFNIPESDRVFPSPRNPNCRRELWKSQDDIQEQLEMEDENWYPKGTSYNIYQQADYKKV TKSDSTFQEKI >CAK84151 pep:novel supercontig:GCA_000165425.1:CT868496:191195:192238:-1 gene:GSPATT00018369001 transcript:CAK84151 MDFHQQDSDLLTSKPTNHMTQELVSKGLGVQEQSDCSYTSQHLCSSEHNSNRNINGNKSS FKKVSKSNLDLKNLTNKHSYTCAHYNFLGNCFQEHCKKRHVFISEEDGFSDFIADNIDLI DNLISSGKKLKLSQSTYRTQLAKYRQCKSQNKEFSDKLENKFSGLDQITFGDFQIGNLWN LNALSFQTNQTQSQPDLNTNLLNKSMNPLIKPKPMVDYKQASEILENSSSPLSISCEIKK NLMSKLKSDIDKMQEKIKIIAMLKKWLNNQQVDFNEYITLHSSLSQIQQIHYTNFLKITN MPIPNQVNIHQQFQNIQSVQQ >CAK84152 pep:novel supercontig:GCA_000165425.1:CT868496:192600:193157:1 gene:GSPATT00018370001 transcript:CAK84152 MTIINDEIKYSYTKSIYKFPIFKKSIEQCMRIQRSLNFEVANKLYQVHLLSLMSQNQVGD TNLSIILEQYHLLVILTIILLTLKTILLSNNQSTIRQWKLQVFQSINENAYTLISTKEVK KSQVCLKYVRILEPQEQQEFCVYIFKPSLKNKTYSFQSALKFKVQIQNFTISMLYLVVVK LMRYK >CAK84153 pep:novel supercontig:GCA_000165425.1:CT868496:193449:193834:-1 gene:GSPATT00018371001 transcript:CAK84153 MAIPISFRSNSYSKYPNQNISLKAVLNRIVKLCNSKQNLEFRNCVKDQKQFRKDFINKLN DYYNTQQQQLYERMKQKCINKNDEELIECLLEQTINQNEINNKMQEFKDWRRNIIKTLI >CAK84154 pep:novel supercontig:GCA_000165425.1:CT868496:193904:194417:-1 gene:GSPATT00018372001 transcript:CAK84154 MWLRLSYLSFRSCFSKKMVNGRFFGPDISGRYKGLLRKQFYFHGLPWIYDQPKNRELSPY HRKPKGHKRERTREQRIEKIKKNLMNYAEEEFKFRQERLNKRPPGGLDMIVQKMIPSWIH PKTAAQLQSTKQLLLMKRGRQPKQEEEYKTDQYEF >CAK84155 pep:novel supercontig:GCA_000165425.1:CT868496:194439:195318:-1 gene:GSPATT00018373001 transcript:CAK84155 MNNDKKNDKKPTKQVEKSSTTNNQQIQSKLLGTNVNEINIEKLRDLKQTQNYNLQGKLNS QIVKTVSNKFGLDIVFVLDLSNQKIGNIDCLTECIHLVQLNLQNNSISDISPLKVMKDLA FLNISNNQITQLDLGELYSLQNLQAKGNFIKSVKAIEYLDRIRVLRTLYLQCPSGDQKNP ICDLNNYRNVIFNTIKALQRLDGYTREQEYNTGQELQKEQVQIKIEIPNTGPWYVQQFPK VDSSSTVQLDDKGVKQSLDETKKLIGNIEMKLALLN >CAK84156 pep:novel supercontig:GCA_000165425.1:CT868496:195333:195937:-1 gene:GSPATT00018374001 transcript:CAK84156 MLKALSTFYLNRQTPIAQFAKQITFEKWNVIKGDKVVVVSGKDSGKTGTILRVYRKSNEV LVQGINQKFKRKAQLDDPSGSGIQQITRPIHLSKIALIDPEKGKPTKVQRGFLEDGTPVR VSKLSGAIIPKALDPAKSPAHRHRNKVDGIKDTSPALALQVTYKGEDFSAIKAEFESFIA EKERKEQLLWFDK >CAK84157 pep:novel supercontig:GCA_000165425.1:CT868496:195982:196200:1 gene:GSPATT00018375001 transcript:CAK84157 MSYSNKENIDDTQILMDQEEVLEFVKQLKTMNKQFISQIKQLIREKERVQIVDLGTAKSV AKKVASSYNSKS >CAK84158 pep:novel supercontig:GCA_000165425.1:CT868496:196319:198030:1 gene:GSPATT00018376001 transcript:CAK84158 MVFQVELSQQKQEIVDLSDKLKSTQQGYSTTVATSFLQTSFNQKQDSLFKDSHTLESVGQ TEGEYSIMSNKNRGQKMMLKIIQDPITNIKKQKEIIKREITQWQDEFLKKEGRPPSINDK QSISTKFYQYTILKKQLNDRSTVIQDSTDCKNMHHRYINLQEQMKQKTNEIKRLSLSESE SVLQSKTIEQFRQNKRYQLKVAQIARFNLQMIFNFDNCFNQQSTQKDVYEEIQQSLQAIF HGYNLCIFAYGQTGSGKTYTMFGTKQQPGVIPNLIEDIYAYIKRNNLDCSIIVNSLEIYK ENIIDLLNDQQGSLSLELKENASGQVFVQNLTNIKVQNMYELMNLIEFASSKRRQSLTEM NDSSSRSHMCTQLVIETFNKITQQKFISRVNLIDLAGSERCNKSRLKQNQLEEAKYINKS LSALNDVMIALSTKSSFIPYRNSKLTYLMREFLGGNSKTIMIINISPSFINLDESMSSLQ YGQKVKQITNQPIKNLEPVEQLKKLQKVCNQHDENTQLRQQK >CAK84159 pep:novel supercontig:GCA_000165425.1:CT868496:198043:199226:1 gene:GSPATT00018377001 transcript:CAK84159 MQQSQYQICVLGSANSDHFLEVEDFPKEGETIASKKSYLKNGGKGANQAVASALLKSKII FAGQIGKDELGQLLVKEMTLAGVDLTALRKVDEQTGQAIILLNKKGENLIIIVAGANGYY ETLDVLPQEYQQAIESSDLLQLQMEVPQSINILAAKYMSQNDKITVLDCGGKAEKLKSDF LEKLTIVSPNETELERILGEDLNMDADSNAEEFLTKTIHDKVFTRYPDLIVLLKLGSNGS MLVTKGYSVRCQTVTQLNPNILEEYKIVDTVGAGDCFTSAFTVSFYNSLIEFGPARISTL RKIDVETQKKLFYKAMHFGSASAFLCITKHGAMPSMPKFETVDNFIKKYFP >CAK84160 pep:novel supercontig:GCA_000165425.1:CT868496:199263:201243:1 gene:GSPATT00018378001 transcript:CAK84160 MSEGIYTETLKDKFTLSPFEKYSKYGRFPFKFLISCTLVFLTTLQVILISEHYTYNNSGQ IIQFVALFLNADEPMNNKITLLTIDDFQDSLNNTLNNLANIDDITVQDTKILGNTFFQIN YNYPFEAYRIDYPFMRNVTLGKPLLDPFDLEDDDQIRDFWTNAYELTLTVNQIKVESQQR SACWDLQITYQFINYGYIDATITTIGGICAENREEGHGSAFNSAAVRIDNMLLQLSIILL AFIDVCLGVKYIYEVIEMYTNNISKLKKKRKFKVPEYKLYKNQNLTTLKIILTEQKPWEQ LTIKEKLLFFDLFLPIRILGNIIQLISAGILISETFIRVDGLSQYDELMAGLGCFFAWFS IVKYLDYYEELHLIASVLESSILPVCMAILNFLPVFLGYALLGMCLFSDSHQFVSLSSSI NALFSLIYGDSISDVARDSSRGVSLELSLIYIVTYILLFLFAVHNIMVALIKEQLEIRKD LIFQEKELMNQLDMSNNYQYQMYLMKQTTMMNRSVMKDDFGSMMQSQSGNNQQQQMNKQI SLKSITKLAMLHKQKSADVSKQEMENNWKQICKEEMQNHYIYNILISTIAETKNILSNVE LELWNDIDSSPFTVIDKKEIITLYCSQLIKKHQKMRNIFNKTKQISQNLKQ >CAK84161 pep:novel supercontig:GCA_000165425.1:CT868496:201275:202117:-1 gene:GSPATT00018379001 transcript:CAK84161 MSFRNREKLRHTSGMMKDDFDLNDINEPEELGLDQKMDWLLSKQKYHFKREISYKKQHIL NLITEQQSSSTKPTQPSQQQSLISNNFSSLQDAIPQILLDQGDAINEPQYAKSKFSKLNK EALSPSVPHRFTSKKRSQFSYYSNDSYNSNLELHLGQKQDSNHHLSETRKERSKIVSNTM NQYNQQYLLRQSRNKINNKSKRNNPQSSLLQLELSDKSKSQSSITTPKSILKNPSSLNSS RQSICSLQLGSQLNTQSPSRRHKVKFCLTKKQARRENIPC >CAK84162 pep:novel supercontig:GCA_000165425.1:CT868496:202132:202508:1 gene:GSPATT00018380001 transcript:CAK84162 MFWGVKLQNGQIKTIKANLIITNLCGLGTVRLNNIMIATLTTKQPQLLVDYKINGEFTLQ SEGGDVDVCGYYADKESLDEQDLQQLSIKAQSMQSEEQLDAIFKEQLRNIQKMLKK >CAK84163 pep:novel supercontig:GCA_000165425.1:CT868496:202600:204008:1 gene:GSPATT00018381001 transcript:CAK84163 MANIPQQQYSTPSNLPSYNRDQSTYQQPTLGQQQVPQSNYQPQQSAEKGLQYLPQQQGIL PQNVQTQPLYGQPQVGIVGQPFTQQPIFGQSQIQQLPQQTVETQQGQVVKGQSRIEYIPY ERTITEYEEVRRQVQVPITKQVTDYYAVQYDIEYIPQVIQEKQVEYVPVERVAERTEYYT VERQNVIQQPVGYQSSQVQTSYAPVQTQQFTQQYTQYVPPQQTIAYQQPVQIQQQYQTRE VIHQPIVQQSYLQQREVIPQQQVQVQQSVALQPTIPTTQYLPQQQYQTQPQLQIAQTVPL NYGQQIQTIQTQQQPIVNPATQPTTQINQGYSIPVTQTQAPQYSVYGQQSLGPQQPKTQQ PLAQTTQYNPQLQQTVAPAQFAQSVPQQQQQQQQQQQQQSIPQDMGRTRPYQQGQLPQQP SQPQQAGTAQKQNKEKSFLEKLFD >CAK84164 pep:novel supercontig:GCA_000165425.1:CT868496:204047:208781:1 gene:GSPATT00018382001 transcript:CAK84164 MNYLFIIGSLIIYSIFGELILLTKGDHYHEIFDINKYEISTDCNEKHIQIQEHKVNEQVQ LLEKTQIEQIVYYPNDQNTILILEKIGKITILFKDNQQFNSPFKELGTVDLNTKGLPDFT CSDMTQYSLDIFIAVCKSENDIYISIIECIAEDNKYLSGCYSHLYLNSEQLNNCDNLNII TESSWRVKDPEYFSIYCSSQDNFKVNRPLLIGKFNNQANSIQMARLTSSINEIEKISFFD DNTLMILDHRSALHVFRFAFTDDGDITYEQLLWQLFLPNELFYALSYSHEQYAIRFPPIG IIENDIQYSIVILSDHFIYELALPQQSKDFFFTALPINDKISIRNILSDKQIKKGQIQYD EQFYYVCFTYIQNSIECYRINRHQSYTEKLLLRNKYQHPMLLTYPVSFSTITGQTNILIT QQMNQTKAVLSLIKNEIERITVVSTSNDEFLCSIVLIPQETSKCNIMQNRNQKITLMIYN QNFESTNLYKIDHLQNYNFPNTDSTVLYSTLIRGPAAVLQPKSDKKNVEVISLSGFGYEM WEDLYQNFIQHSRIPIRSFLDKGFRTIFLQIDQVKMIIQQEITNTIYFTQKIYSLNCSSV NIVKNIVSISPYYIIFYQKTTYYTVLKMLRVEEDLLEYECKNVEYPLHDQQHEVFVDLDY INLFFTTNNTIYYTDIYFKGVVTIEIDRKFRQLNFQFLKNDIILGSHQNKLYQIQLTNHF QANHFKTTISEIELGQLSHNFKFFVLQLRKPILTLVVVDDRITLYTIDNLQDIKFDSMLP QFNSIINANETHLNYYMLIVPTNKPNELYIYDLSKTGSDRLHAIHKYPIESQPITICYPK MLSFLYCLQFANADINCYFNKMLEFELIASDPTNIDNQFSVAINAQSALSYSVQKTLTIN GSFRYQDTMIEKSVKMLEEIDIYKTNYTTELKIMDYYSGPIFNYQLQSAKDYNIKMVPFI LEQDDNLVRFKINQTILGTSVSKEYLIILGDENIGIIDIPQTQCKISYNVDHKQVIQSTI DYDEIKNVFFDQDQPTVNNCTKSFINPLSIAELSAIHIIALFCQSMMVRVNVTNQINQLS FTILSDNQPIEKQFLNNFFFLNINFKDFLYQNQSSFLLEDVKMINFPIQFKPKYKFITVT AMVKGNKRYDSEDRARDNLICIFYGYLDQSQSSLMNGSLLDVIGAANFNRNTLIVNNFQM YCIKGCIIQDANDIKIETIIELIFNDQYSFNLYKLQISFIYATKRQPQYKLINVETLNIK ELMSNVIKNQIEFTYIDTEVQFQNESTYTINVTTDSLSFDLVQIQGRIEIEQIFKQYTQC QSPPGSLKASHNQYFAISCRNASRNYSEQTQFVKLFLRGQYQINHSVKSVIQAAQIQGNL MLLDIQKGYLNEHVRMLILEQDYNQQDFQLRWFHLNDQFTLLADQNSTPKSVLNVQLCPS NLNEIQGLCMDITISNTGYHHYITTEWLLFIFLIGLPLIISCSFIIFCIINYKAEKQKRV ESFGMNLEQQLKKQSSHVQQRMENTIY >CAK84165 pep:novel supercontig:GCA_000165425.1:CT868496:208832:210448:-1 gene:GSPATT00018383001 transcript:CAK84165 MSLSLKCIQKFGSYPLPRKIGVLGYGAIGSAFVEVLLKNHPNAQIVALDKYDAFRPNENR FECIIEERTKENIGDTLSRMGLQSGDILVDLSTNIDFLSIWPLCAEKKIRYMNTALEQWE DSEDANSCPKNSEEAYKLSLGYIKDKAKQSKYWNPRSGATSILEMGFNPGVVSHCVKRGL EDCAKYYLKHDDQFKDVNKQLLKKYLEARNHSKLAQVLGVHTIHCSEYDNQMMKDIPKDI NNKFYNTWSCRGFLTEGLVPIQVARGSHEDPQIESMYTIRDGKTIVSTKPSVQTLAKSWL PNQDITGVLIPHGEAYSIQDYLADPETGYAPSQYYVYDYNPLAKKFISGLPKNADINNTH PEMEVIHPINHPTLRGVDKVGAMIIMNNNRGWWTGSIMDEVDSSMLFNGRFGPTVLQVVG GVYAGFLWSCINPNVGSNFPESIDTDFLLGIGQPMMGRFVSVNVDLAKTSIKDCHKLQSF ICNKQ >CAK84166 pep:novel supercontig:GCA_000165425.1:CT868496:211197:214316:-1 gene:GSPATT00018384001 transcript:CAK84166 MGRKNKKKGGKNKRHQPPLPPLGEDFKQNLYLEGIKYLTIKALEGINKLKLSKELFLQKQ VKEAYLLSKSWLETWKIHVGYDAVFKGEQPGGKKYGRQQIGEMNKDIVANAELFHSAPEL YFYFDSPLNDVQPVKDYILITQDVWEFFCEKYKGKAVKRIANEQGEFNLMIISPYVIFMT QDKLNEIGALNQNQVTNSLDLLRMRKAQMFSEWKFRDLETYCQQIMENQDIQIWLMNPIY EQSQIKLQLIKAVHQNKNVLLGEQLLEAEYQQLQLSQFLKNHFILVVFSNKVEISQLDVK FELNQNGCCFNCGSKALLNYSCACKKNFIQDNAQKPYDSQDDDDFDAITYDNLSTKQGVG LTNLGNTCYMNSSLQSLFSATHLQEFLSNKQYWTQNTIKRNVQLNYKLEKLLKNLLTQNK AFSPYSFRQQLARKYPFFGNNFQQDAAEFILYLFDALNEELIQKDGNQKPENELQQQLDL GDGDKPEPEQANSDSEDDSSEEQENSEAKPAQQQQMQFHKKQINNDNYEQAQELIHKLNS SFILKNYLGITRSEIECPICEQKTQSYEQFLILPLSLNATSQSKHLVDVFVISNDWYKPY EKIVYEYQPNQTLLLEVKRDIGEKLGIEATYLLGAFCSNSLIDTYLFHDEDHLSKVLNDN QNGYFCLFQLDQSIYSITDEDQYFDVMIYSFEKRNAFSYQCEYICAAIPKILIIKKQFTA VQIYQQVWNKLGEHSKSDQLMNIQIDEPQQENLLNSQQLKEILNYQVIIQTRLPSKIPCP FICGKQFKKDQKPHSCELPFNNSTTLHQYLEKINYANAHTSLSIEFLENAIPNTLRIEFE PKTNYQKHDKSLNVLNLIDNFQSKEPLMDENAYHCTICNDLTFAIKTMSLQKLPDQLIIQ LKRFQYDEHKGFQKNNILVTYPEDIQINQIDYELYGVVLHFGGLDNGHYIAYGRRQKKWI EFNDDTTKEVKQNDVINDKNAYILFYQQK >CAK84167 pep:novel supercontig:GCA_000165425.1:CT868496:214492:214938:-1 gene:GSPATT00018385001 transcript:CAK84167 MQLILLSSNSKVEMKRIQYKYKNNYANIPYSQFQERLAELKEKRMIKQQQQQLEQSNSQQ QQLEQSNGQELEIPFSKEQQQQPDKQKKIEYVEQHNDEDDQPELKLESQQTLINNYQQRR NIQFLRFQEIMPEIFEID >CAK84168 pep:novel supercontig:GCA_000165425.1:CT868496:215059:216638:-1 gene:GSPATT00018386001 transcript:CAK84168 MQIPNQHGTNPEDLKITRDEFKKIEKAMKKPEFAELMGDYMKEISDPKNREEYDQYLKQL ERESELPKGMKLIKPEPHFCVKTSICKEITLQFKFFINICSTSVIDKPQSETITQNGQTG QNWKIPYSIGKIRYDQDKKQNICNTVDVAFHPTTIGLCYKTPNFKNLVCSTALEASGKQL GERNEEISKDFKVLKHTKCKGGEPALLPVRIDKKGKLTNELDSRQGGQANAQTKLQKDIN QMRDENEKIKEEEKLKQQQQKEQQAEEEEDIKKESDEIESTAVSRPKYRFIYSYPVEYSD FLDSRANMSIKLPNQLACDLKMQKVEAMKEIQLDLSNQGLVLDVPNKYFLDIKLPYPIEQ TEASAKFDAKSKTLKIRAPISKDYLKIKYQEEIERIKQEQATSQNDENEEPEIKQEIQIK FIPQEPEEQQIQEQKVLVQELASSEIKDQQIQEIEIQEEKQQLIIQFLERAFNNQHSIKD RKGDYYFQQFVLKGTPRQNY >CAK84169 pep:novel supercontig:GCA_000165425.1:CT868496:216807:217345:1 gene:GSPATT00018387001 transcript:CAK84169 MSYLYFGTLPSSVPPVFDTTPCLKQMHDYEDCAFESNTKQMEIIHPSWPSMWPRMLTDGK SIDFGHTPMHQDSSTHYTYMRPLTKKIKHYLWECEEERFVYKACLRKLISLKRTDKHTSW DTASVSNLSLV >CAK84170 pep:novel supercontig:GCA_000165425.1:CT868496:218598:222091:1 gene:GSPATT00018388001 transcript:CAK84170 MKEGPFFYNLLISQLINQNSFLQKEQQNKKCCQACFLPIGSHTFCCGTDNTKKDFKQFGM GINLYFRFLKKLGHFFIIFTILSIPQLFFSVLAYVGSESRDSTDSFQFLMATTIGATSLN NKDCQIQVYSVTEFPDDQIEFNLQCDSGNLAGSSFYYGIINSNEQDCIYLGKSELNLNST NQDRLNSLRQQINSKEEFSFTLRKSDFVNEDPTGKRIYAGAYCKESTITIGSLELKQKII PIIFASCDAVIVLLFIYFLISLTASENKYSKLSQKETPTLQHFSIQLSKLPKIEKLIKFS KNNDEFDRKKDLLLFEVKQFINEQLKLVLNDQQLQIYDIQISENEELLQLQIQIKQRKLK IQEQLDKLKFIDTDIFKDALSKVIEQDKNLTQTLYKQFYQNFRNLLQNPNKEQKIDQPNR LIVKYWKEIDEINQKIDKIQLDENSKYIWVTFDTINQKEMVQKKLQSLPKDWLYYYTCLK CFDDREEQEKFLLKKFKLQVKDAPIPENINWKNLNYSMKQRFFRQLISMLFTLILLACSW VLVSWVNLQKSDFQEKYPSINCNNKIYDNITIEQVQQEIDNDNNSAIKGYVECYCKPKFS EIIQGEYEICQDWGKQYAFQQSLPWIIVAGLIAINVLIQYILIFLSKWEAHLMISEEYSS RILKIFLAQFLNTGLILLISNIDFGNSTRNDAPKTVRFLFGGKYGDIDSKWCQNIGIVLL LTLLINILTQPIMLMVEIIIRYVRKAYDQCSCCLNEKKTRQQNYQDFKDLYKGEEFRVEL RYAQILTALYICVMYSPALPFLYFITMLTVWFLYFVDKISIFNTYRKPIRIDSIISESVR KYLWIAVVIHLSFATYIYGSSNLFYETVEAEIVIDQLQNVYGQENVVVEWWDRSWSQIPN VILLVILALIVILIILFFFCYKPIVGLFSKCFGCCNEEILQNNPGLDQYEQRPLLKFLKK EQIVDDLQFTLQQKDGNPKIYDDKYLKLEAECIQLYGSMGRVPFGINREYRQDQSQNISR QPAVNNNGNQSQIAQINNNNANQNGDAKNQINNQAQEENHQKLIGLRSFNKAYNKTYKQY YEWEFIRSIKVDVQQR >CAK84171 pep:novel supercontig:GCA_000165425.1:CT868496:222588:223999:-1 gene:GSPATT00018389001 transcript:CAK84171 MTKRVGDYELGAILGQGAFGIVRSAINVTTKQEFAIKIIDKEKIKREELIESLKKEIHIL MIINHPNIVKLIEVLASKTKIYLVLEWIKGGELFDMIRNNKYIPEPQMRKYFRQIIRAIR YCQSKSIAHRDLKPENILICNQTDQIKVNDFGLSSLFQDPSNLNNELYTTCGTVHYLAPE VIQSSGYDGHKADIWSLGVILYFSCAGYLPFEDDNVAILLDNIITAQYFPFPKYFTTELK DLLSKLLVTHPNKRITIENIIQHQWFQTDISKEEQQWFIQDEFPTQPQEFAHHLLTVPTN HIKGNLIDNPSFIRQMKGFELISFLTGKVVSQLPNAKNQSSSESCLTIKGQPELIIKNIT EYFLMKKPVSIKQVQNKDTFKIQIMYKFKSEIKICVEILWLIRNKYLLNFQLLEGFSDIY NVFLKQTVFELSNLKC >CAK84172 pep:novel supercontig:GCA_000165425.1:CT868496:224371:226785:1 gene:GSPATT00018390001 transcript:CAK84172 MSKCIKIIAIRQLLNENLVQPYFLQFLNDRVPFNSFQIRNHQRIVNILQYQNLSMKMILL FIVVLIAINCYVILKFINCHLLQILIRMMNNTTEYPSQITETIQGITFSSSFDSGNLKSV RRENSGRYILNISSDWGINGRTTNYRTWFYFSVSGVNEGELTFVVANLQNQMGLFKDGMQ PVFRNCHSSQWERLKQPCYYRLVPEGHFEITFKHLFLNDSTVYFAFFYPWSCEDNNNFLQ QCQAMSLQVTDIYYDNSILAYSKEGRPINLITITQGSSQIKEEPIPGLFPQSRPQHFKKP HIFISARVHPGEVPGSFVNNGLMKYLLKANDPVAQVAREKYVWSIVPIINPDGVYRGHYR TDSLCQNLNRYYLSPSKEDHPTIYAIKEYIMRLQNTDRLYAYIDLHAHAGHKGVFIYGNQ LPSLSQHTSNCIIPRLISLYSEIFDYDACNFTEKNMYAADKGDGLSKEGSGRVAFYKICG IVHSYTLECNYNTGRITNIMYEDSGNNLYKDEQLDENVVLGSVYTSNPKSYFFTIQDYEQ VGVGIVNAFLDFNLLNPMSRLSASPFKTLANLRTFLAYNIMRTMHYRYEPYLRKILKFIN NKEQVNRVLKAFYDYICSGQIKDNLEGNQEVVVQKPQTRYQKAQEIKKEKQEKQEKQQKQ QQQQQQKIQQQQQQQQQQQQQQQQQQQQQQQQQQSQKQQFRKSQYEQVPVKQYPFSEVDL DSRQMRTQSQGKARARSETKQQQQVQQQQQKPQRAFKIKRNVKI >CAK84173 pep:novel supercontig:GCA_000165425.1:CT868496:226810:228518:-1 gene:GSPATT00018391001 transcript:CAK84173 MIDDSQQIIKEMIQSNSIQQLMEFMNKVKPNILNLDCGRKQTILHIAVQRNDYPFLKFLE QYSIDNYKENEIRKLVNTYNIEIFTALHIASYNGNVEAVKILLNIGADSALKSGSGLLPI HAAAQGDQPYMVVQCYFVQKWYWLQQGISINVQDDAGNTCLHWAAYQNCELTVSYLISFG CNVDSKNNEQQTALHIGASYGQNRVVKKLLIKGAQRNIEDVEGKLPINLTESLQIKKMLE DKTDLALFYNLKQPYHPIKRNRKSIIVYYFVVLTSQSCIVNIIQEIQGGLLFIFYYVFLA ILLLASILTQCCDPGIITLHLTIQEAIEQQIDPINICPDCWVIKPQRSKHCEFCKKCVIV YDHHCPWINNCVGAKNLLYFYVYLISLIIIQLYSASIIVYYFFISDDLSLNDYLIMLYPI LNIFIFITPIFLLCIYQTKNLYYGLTTYERITGQKISLKSSLLVPQENIQDSQSEELKVE PSIQNCYNQCFRNKKKFKKYT >CAK84174 pep:novel supercontig:GCA_000165425.1:CT868496:228757:230625:-1 gene:GSPATT00018392001 transcript:CAK84174 MQEIQILPQMEAGRLNDTVQSAKSLRCRRVVDIAFQNIEYQVVDQKGNQRDILRNLDGYC PGGEVTAILGASGAGKTSLLNILAARVQSSKSVKLKGKVLANQVEYDSETFSNFAAYVMQ NDVLFETLTPREALQFVADLKYTDSELKLSRVDDTIKTMKLERCQNAIIGGPSLKGISGG ERKRTSIGFELVTNPSCILLDEPTSGLDSFTAFQIIYELQQLAHEQDRTIVFTIHQPSSD IYLLFDRIMLLVQGKFIYQGPRTKLVGYFKGIGFPCPDHSNPMDFMLSIMHQESQLNIDN FPIYFDQYEKQLHKPILDEIKESSKTELVYKQVETSTAYQITLISKRAIKGFFRDKMNIN QRVGTAIFMGLLLGYSYYGIGNDSGTFADYTSMSGCMFFLCINLTMGSLFPVVLQFATER DVFLREENSKLYTTFSYFMGKSFIEIPFCLITPIIQELILYWMIGLNTKDGGVVVAHVFI AILTCLNGNSMGLMAGCAFNDIKVATSIVPLILLPLFIFSGFFANSKQFFVWIGWVQYIS PVKYSFEAIATNEFEGRNYEFGDPIDTLGFEVGLWESVGILIAFTVAIRFGAYMFLNLLR QKQQ >CAK84175 pep:novel supercontig:GCA_000165425.1:CT868496:231428:232268:1 gene:GSPATT00018393001 transcript:CAK84175 MGIKGSKANLSQKTTRAHSNGITRSSCQMQGWREYMEDYILIQEQEEFQLYGVFDGHGGK DVSEFLSDHFYEIFEMELKKNPENYHLVLESTFETLDTILAHRIASSKVGSTANIVLVTK EKVYIANLGDSRAILFSNESVQQLSQDHNIQSEYDRIISNGGYIRDDRINGSLTVARAFG DFFLKSSRCSIISSKPDIVVIDRPQNKFILLASDGIWECADNQYISKNLIENNSLGRLFN QLIAKNMNQEYGYDNMSAILIQF >CAK84176 pep:novel supercontig:GCA_000165425.1:CT868496:233428:236800:1 gene:GSPATT00018394001 transcript:CAK84176 MINNSLIKVQVQEFNSPTKPQRRLINQRIKPYIQAYGLSPDLFEMKGKSVVYKNNNPNNQ SQQNRSVMSIRSSTKKPYQKISIHRPQTASLQLPNNFDLPITNKYANLFNEIKNAENKPQ FQYLQKAEKSLIFEEVDERSEQSIIRKYDSMLKNIAHLEKVKQQKIQELEMLQSKIDEVK NVDIHSSRFIIKKNVHKSSLREKKDKAASLIQAHVKGRFYQRRYIFWKKKNEEKLRKVIF IQQWWKNQLKHIKIQKQFRITHRCKQVRLIGGRTIFIITNYSYILYRIRLLLIDRMGVMK GVFRLYLNLQNCSTVLNEDISKESFEFESLMNLIISNLIQLIQIKDDHFILDLQNQNIID IPHLMLQVYMKLNFIATNQYQLLIHIKEEAQQESQNQIEQLQQNHYQYLQTENYQENKKK DNQNDQDIQAYSNLVEQQVNIYTAEQYCQKIEESQSSEVQQNINQQQSYDENTNKEIQRE IIENQDQNSKILNNQNMVEIQDKQVIEQQIQDNKTFQNENRQTDKEFKDKLIQFDDLKNN QSRDTKTNQDQEDNIQQNQTTDQIQQIYIQNPQNLPIKQNSEIKSKIILDIIQESQQFQQ SSENLSDENNQEIQQTSNQINYNSIQSSNKVKLFESTQINQNEVSSSQRLVYKQPDQEEI QTNQVDIIQMNLNDIDVDQTECVKSQNQGLKSVKQDLQTSPIEQNSQMNLSQERIVENKK EEFEFMEIKVNKPIEIQQANDEDDQQEQIFQSEYIYYQNSNIFSQKNEADPQSQIYKKKD NQNQQQQPSQIDFHLLKSCESNIFEPVKYLNSGELLSRTNFLVQLGQLEKIENENTSLLI SGDLKKEVEDLPEPVNLRKNNSNQQEQFMESQSFLRRMPSDFKSPLMDDTLKLSATFKFQ ISSEFKAFESGQLISQLFDIKIQQQDSLEYSLDESQDLQPIYITTIRVDDINVDVFQIKD LLRLKDKNDIYRQFDFKISKIQQSNCDYIIQNLFVYIIKNGINCIEGNQIVRIQRYLRRF RFIKSCVLTLYRESILICLFKSFFGMKLSIYGQVQQGVEIMIERMGIKSRKILENNFYSI CPLVLSSEMEVEQMILTAIKAFDFESE >CAK84177 pep:novel supercontig:GCA_000165425.1:CT868496:236936:240937:1 gene:GSPATT00018395001 transcript:CAK84177 MIAILQSILLLFNARIAECCTQLPNNELVLVNKINETQSMNIQNLFIKQEQFMIYIMPYD SDIQVQQYMYSHSNSSNSFENIISVKCLRSYNDFTAANQYALLNKINDKYQIHTNSYQIE YNQRLPEFNQYFEITDHICHDLEILNRQTLIVDCYNESSNVFILQSESSQIQYLAYNQTK DFQIKRRIFAFQDDLIIRILYYDENFQYSQIQFIQFGEIITTPLIIDDTYINNLLNETKN IIELQIIQVQLEQKILTILNKNQWVISIDTQFFPYIIIVDCFQLDLEYPAIFFAYHVANY TYAFLTQQTIHVWSNGLTKFKRIDNKDEKFQIYFTKSNLIQYNDGLLNLLKFNLKIVEMF QIEDNYTLVLDQLSDEIIAINEQESKRIIIRSHQYLYFKSNSLSSKFKQATLIQNVSILE SCTLSIKYRTIAWNSTEAIPTVMNQFNTILNGQIESYEVDRFIDGPNITISNINELKVNQ VLFSINFEQLQQKTISGIKSEQTVLYIKVIPIAPLYLYFIQLSNLYVQFYYCIQDLNCVY ASQIYLEFNLSSTHHEFFVNYDDVYIAVKNNQQLDVWKLSETLIYQCTIYPDQDHYIIQF HYINLFLAVLYSNQLVVIYKVVDQCQRSQAFTTEFISNFNIEWNPSYIQTNDDYDILYVQ TGVQLLLIQVKESEIFPINIIPLHYNKSVKIFSYKNIIWIYYTDTEVIESFLIRSLTLIE YQSTISLFNVIPNKYLHHQQSKYVYFQNSQDSLGTIYVYCMESSSHNALLTTFSTKYKIL YSVSNLFIIADINNYFEIPQKIKYSIYYQDIDNPNYLVKVNTFLVISSYDNKEQYKYVIN STLVNPYTTITVNQTQLNLEELLHQARPIGYCQSKYSWYTGQVVDIGLRSQQLKLQKSMH LLEKEICSSGLDIKEYVSDSVLILHQDKLVKLNMTTKIQQIYYLDTSATYTKIILVQDDL IYISAFKQTPSFSYFIHIISCSKDFECNKKYDELYSNGDPKIIKLLSNLILVISEIQITV YSFKDGEVSYVQSLEKTNFGYFQDVISPEPNYFQVYSKNINQMTISMYRLQENEFSLMQQ YGVDLLDVIQQTGFYIDPKTIFQKIIIKHSKTQQQLITAELFCQAHKHSHYIVQIQYICK ISPISYNCSINNYKTLQIFQGYGNWPSNQFKMYNDQYMLMIYYQSTTFISAIYSLELNYV HPTTSSTLFSGAIQYQNVSVSQQAFFTQGCQIYLLTNSINREVFALYEIHNTTKFCIQDH LKSEKIQITLKNNFHEKNETLDVNKNGDNDNNNTKKFIIWLVFGILLVFLFGMLLFGCYI KQKKRHVENQLIL >CAK84178 pep:novel supercontig:GCA_000165425.1:CT868496:240949:243826:-1 gene:GSPATT00018396001 transcript:CAK84178 MFNRVYKAQEDQLYLDKDIHTIRLQIPESDLKSQKSSRLTSQSQQIKLVTNTTRTQQYQQ ITSNASLVSEKLLIDLQIVQEENVKLISQLQVLQMNNKQYQKEIQDFDEKSKQLESKYKD QLLRIQLENDISFKKVEQENVKLLSQVEVYQKKQSQYEILIDDYLAQIDKLNAINNSFQQ QLLLVQKDCSNCYKDQDKQIQKLSEMNQQLLTQITQLNNQKNTDALQIKDLSILNQTLQD QLNKHKDEIILLNQRLKELQDQNSQLSQMNTEYLNQITMFQTQVSEVQGRWQQNTYERET KTRLSEQQSRQSTSNNGRQIEELNNKILNLNNQLNQANQQRQQLQQELYQLKKQLQQEQE DRLSIQSRQSKSIQQSKLIIELEERIRSLQQQLQNEQMSKSVNNGDLDLKNKQILILEQK TLKLQQQLDQLQVQTEEEKSLLYKEIDQLSDQNRRAKQLKNTEYDLEYRNSIKQKETLQQ ELSKANQSIQKYQSRIKALEEQIMQLSNESSTIEKQNRFIVDLEEKLRQFQRKYELEIKE YRVENQQLNQQLEKAQSEFQQIKQKYSREIEDVESRLQRENQRVSLQLNDKAADLGQLES KNKQLREELMNLESRYEKQLREANNRIKDVTDDLEIMIENYKKEKQLSEKWQSQNEDLRR QLSVQVEDVKIKTERLSVEKYERLSIESRKQIEQVQQQMNNEINQLQHEVRMRNDQINQL KQVSQQQEQKISKFEKYIRDLEDQLQQLSRKEDMLNQSKNQSLADSLNVKIMQLENNLIK AQKKEDQLIQRIRQLEQQQNQNRNTSEMEQKNNQMFEEIQILVQTIKSQDFEISTLKNEL ISYQREVSFMKEVVKEDTSRLETSLLDDKNRRIVELENKCALLANENTRLNQLKANQFDE WKRKYDKLQSELERSLNLRGQGEQNKNVILSSNFGQISNAK >CAK84179 pep:novel supercontig:GCA_000165425.1:CT868496:244183:246246:1 gene:GSPATT00018397001 transcript:CAK84179 MNRSSHVKSGQTAFKVLNFFLRSKKSTQVVPEKRHFEQDEIKRIFRRCLIRLRTIYILNQ VTKEIVTYGTSSNLYDISTRDRPALQKYLFPLSKLQDQNEEKLEFPIIHPNSLFKQIWNG IFLVMMIYVATILPFRISFYLDNEVGVWYYADIGTDILFWLDLIISCLSGYYDEEGKLVK KRKVIFMNYLKGWFTIDLLSCLPLNYVMDEVISETGNMQSQNIKLFKLLKLPRMYRLFKI IRFIDMMKYLGISEIMEFFKFNYGFSRLLSLLISVCLVIHLSGCLWFYVAAFNDFDSQTW VSRNDLTSSTIQTKYIASIYYAFTTLTTVGYGDIHSFSSAEMFITIFLMVVGVGFYSMII GLLSSILSQIDYKGHKLQQQQAILSEFCIEKKISVNLREKLKETLEYSFDKNCFIWADNK YIFKDLPINLRYDIIMNIHNGVFGNMQLFQLVEDKQFLVKVVPLLKPILFLESEIIWEQN SNPDAIYFIADGRMNFKAEFIVIKATNQKKQFAFKSMIGGSYFGEIEIFLHTKRETVAQC ESQCEMYYLTITSFENEIFDDFPHIMNKMKKIALERRQKNLETIQQLQYFIDDATKKQLK RTQPKKNTVLLREYIKQSSQHTLEDAKPSNFENETDHPLISTYSSKFQDLFLITQEIEQL LE >CAK84180 pep:novel supercontig:GCA_000165425.1:CT868496:246304:247549:1 gene:GSPATT00018398001 transcript:CAK84180 MEQVFYLFYNSVSGGNRGQQFVKYDQQELIFETKPKNARVKFFNIYELASRNKGFEQIKQ QQQNDTYIVMAGGDGSIMWIVGLLLQYQIDLNRCIIIPFPFGTGNDFANSLGWGTTVPAD VIGKNNKVLQNYVEQWLIGAESFFDVWDVDIVLKKDGFISEIKRHTNSVGELKIQLKDYK FNKPMINYFSIGVDARIGYGFDKNRTTYQCCNKIVYCWEGFKKMFLKTPKMNQSIETLEY LDDNDDMQNKLLFKTQENAGQRDSITIPGNPINLLCLNINSYAGGLKNIWMNAQYEEGYK KYDFHPPSFSDGVLEFLSFNSILGIGSERILPGQATRLSQTKGPIKLNFKKNEPLRTYFQ IDGQYYSITNPISVSIRSCQQLSHGKIRVLINKQGIVQ >CAK84181 pep:novel supercontig:GCA_000165425.1:CT868496:247722:249596:-1 gene:GSPATT00018399001 transcript:CAK84181 MKGTEIVPFQNKESTNSVTISFQNLTYQVNVKNPQNVVENKLILNNISGICKPGQVTAIL GASGAGKTSLLNILAKRITPGGNVTLQGAINANGQPYNSDKFSQFSSYVMQNDVLFGTLT VRETLEFVANLKYADPQQKIDKVDYALKTLKLEKCQHTLIGNAMIKGISGGERKRTSIGV ELVSDPFCILLDEPTSGLDSFTAFVIINLLRKLAHTSGRTIVFTIHQPSADIYMLFDQVM LLVQGKFIYQGKRDEMVNYFKSLGFECPAHSNPLDYLMSIMHHDDSNHPHYQTLFSGYGS NFVQQIENEINAIQVQQISRQSIQTSFGFQVKEIFRRGMINVKRDKVLVRGRLVMTIFIG LLIGGIFWTAGSEPGYKGIQSTIGVLFFLVMSSFMGALNPVMVQFPAEREVFLREENSKL YSTAAYFTGKSSVEIPFLFVFPIIQQLICYWMVDLNYKTGDIVVINIIICIMLGLSGNSF GLMTGCMFSDLKAAAGFLPVVLMPLVIFSGFYANQNMYMDWIGWIQYLSPMKYAFEALVW NEFDTRDDEFIGKTIENSNPIDTYNLTLGLWKCLVILAAIILFFRFMALMFLYLLRGKQQ >CAK84182 pep:novel supercontig:GCA_000165425.1:CT868496:249743:251569:-1 gene:GSPATT00018400001 transcript:CAK84182 MNGLTLTFQNLNYIVNQTKTSKSQRRMILNNISGICPAGKVTAILGASGAGKTSLLNILA KRISTKSNVEISGDILANGNNYDAEKFARFSGYVMQNDILFGTLTVKETLEFVATLKYTD ENEKNQKVYQVIQALKLEKCQHTLIGNEMIKGISGGERKRTSIGVELVREPQCILLDEPT SGLDSFTAFVIINLLKQLSVVSKRTIIFTIHQPSSDIYLLFDQIFLLAKGKFVYQGQRDR MIEYFQSIGFDCPKHANPLDHFISIMQNDQDESLDLQDLFREYENQILPIIESQLSRIQP TQIQKELYQASLKQQVTQIFKRGIINVKRDRVLVKGRIIISVFLGLLIGGIFWDAANKPG FKGTQSTTGGLFFLVISNFMQALNAVIIQFPAERDVFLREENSKLYSTFAYFVGKSSIEI PFLILFPIIQQLIGYWMIGLNDQSASTVVIHIFVCILLGITGNSMGLMVGSMLQDSKNAS GIIPMVLMPLIAFSGFFANQSLFMNWISWVQYLSPLKYAFEALIYDEYDTRRDEFSGIKI EDLNPIEKFSLDFGLWNSIYVLITFPILFRFLSLIFLYLGKSKQQ >CAK84183 pep:novel supercontig:GCA_000165425.1:CT868496:253027:253275:-1 gene:GSPATT00018401001 transcript:CAK84183 MNFGKPRSGSSGSTWEKLKKSIYPTNKAEREKRKKQFLDVAIFVASIVVVSVFEKKIQTL LKVDKSELTQFSNMQQSMHAAY >CAK84184 pep:novel supercontig:GCA_000165425.1:CT868496:253896:256359:1 gene:GSPATT00018402001 transcript:CAK84184 MFRPTEIHLYKLYVEREQAFHFLTKVGQMNNVNLVNCSNHAFHEHDYYKQLKRCDDIYNK ISEVKNLLILYNKPIHYCPNIKSFIQYLQITEDQVIKIEQELTHKVQFIMNQQTNLQQIV EQRNRLEEEIAVIQHCKEFIYKFSGVQLGYIVGCMNAADQHKFNRIVFRISKENGIVKFK DFNNEKIIFTLVFTKGKHENLKTKLLKICEAFNVSIFQLPEEIQIETKINELENELTNLS IVITSTKLEIEEQLDFFSDISAERPLELDEIYFIGYCSYICELKIIVDLVSATYYHLTFF EAKSQFLIGQMWCEPNQIELIKQIGVQIEIMQDINEKLYEPPSLLKTNQFTYIFQELVNT YGIPRYHEINPGLFTIITFPFLFGMMFGDIGHGIVLTFFGFYLLMFGKGVIKSIKLENSS EYLSYADFQSLYECRYLITLMGMFATYCGFIYNDFFSISLEYKLEKFQLGFDGKWSMSES HLTVMNSFKMKTAIIVGVIQMVFGILLKGWNCLNQRKFIDFIFNFIPELAFMLSTFGYMS FLIILKWLTNYSNNMEPPSIITTLLNMVFTQGGITGAEMYPHQVYFQTILIRIAICSPII MLLKPEVIRIKRSLFNIELEHAQVEVIKEEKNQMFGNLVGSRAIKEEKHFDFAEIYIESL IECIEFVLGAVSNTASYLRLWALSLAHSQLSEVFFKMSLEPQLESGSVIGICLTFMVYAL ATFGVLMCMDTLECFLHSLRLHWVEFQSKFYKGDGHNFQQFNYLHFLEQKFQFSTRM >CAK84185 pep:novel supercontig:GCA_000165425.1:CT868496:256723:258168:1 gene:GSPATT00018403001 transcript:CAK84185 MLSFEAKLSKLNCNIHGLEILYVNIGQNATNKRALCKQCRCNDIQLHRNYLNEVLQEYIE KRRKDAIKLIQQLQFDFNRKYEQIMGLLDKIKEMFDSKLKEFTEKEYLSEFQERFFGWGN LTLKDVEDLGIMLSKDVEFVEDQVSIKEIEFKSEDLEEYVDVLSNVLDDLEELNINQEKL VKLDMTLPKYEREISQLDISKDEDMAAVVTLNSDIDIWNLQTMKKTVLKGHDDIVNTIVF SKYSNSLITGGREGTIKVWKCEGKWIIHDILIGHDDWITALAIGNQDQLIASASNQEILI WSYKQDHYAKVQKLLGHTDSIYSLGFLSQQEYLISGSFDKTVRLWEGKDKQQWNFKQQFY YHSDKVQSIACSNNKLFGSCSWDQSIRLYDQMTDDSYDCIQVLYGHQGKVYQIQFNDDSK ILYSCSHDNTIKLWSQLQTNFWECFHEIKQDFHIKFIGIRQHRLISSNYYEIYVYKQEQI N >CAK84186 pep:novel supercontig:GCA_000165425.1:CT868496:258178:258670:1 gene:GSPATT00018404001 transcript:CAK84186 MQGLLRTAAEEMLSKAIRTYTFNDLIVIGRHPYKSLPEMLAQYPNNGVGFKVWRKTWPEN KYIIITEAHFKGLRNGKFFGIQYYNGRPLTPQPIKIRNCSKRGTWKYDTNNTSGVSANGV YFSAENLKEYSKLHQNREQKE >CAK84187 pep:novel supercontig:GCA_000165425.1:CT868496:258745:260252:-1 gene:GSPATT00018405001 transcript:CAK84187 MEIHEQPIINLLSFLHLQNPIGDYFLSANVPDTIFYENSIPKLWFFSKKAQVRMKSRMKL DDNYIRQYFLELNQEIVAIWRTKTELSVFNKESLTKFFQQQGQKDGILQRFVYPKGYFNC TIIIQWSQNQTLFEKFESKFLLNDKSIDIYQRALTHERDGTLAQQINSKSLTERLEHFSG AIAAHGNDLMKWKSKIIRMTLEIKIDNSGQIWLINCVLIKWSTQEQVHMKSLSLPSFIDQ EKLILKQHKNIVKDSQCEMCDTLFHRNDLMKTYIKNIIESWNFDHMGDNDKLLITKKKQI LLRKKTMAEKLDVRQDVELRQVNQNYLIPTLIRKIFPKLKYVQYKELLGNPGFLEMEFSV CYNCHVQIDKGVNVSQDLSKLAKQEMLCPATYRGIQKLRPEVLKDRLITTRREILRKIAN HGHRIDDIAPVSTRIFNQTNSSRIIGISPIKSMITSILPKYSVITLDTKVNKNATTRTHS RRVSDLK >CAK84188 pep:novel supercontig:GCA_000165425.1:CT868496:260305:262209:-1 gene:GSPATT00018406001 transcript:CAK84188 MTETVKFEMTPLNTNKPEPSTSKTLRSRKVVDLVFQNITYEINKRIILNNISGICPGGHV TAIMGSSGAGKTTLLNVLACRISNSKTNKLTGKLLANSCPYDYEKFSQFASYVMQNDVLM ETMTPKEALQFAANLKYSDAEIKQSRVIDTLKCMRLERCQNTFIGGINMKGISGGERKRT SIGYELVSNPSCILLDEPTSGLDSFTAFQIIHQLRKLSDEQDRTIIFTIHQPSSDIFLLF DRIMLLVQGRLAYQGSRDNIINHFSSFGFKCPDHSNPMDYFLSITHAEDKQNIENYSLYF QEYEQKLQKQIDSEIQNCCNSDVPLKINETSFTYQIGEISSRGVKDIMRDHMQFKAKVIQ AIFLGLLKGGVFWGAGRNNGKLEQLLSISGALFFICVDFTMNAIMSCILSFSVEREVFLR EENSKLYTTKSYFLAKQLIEIPFCVISPLIQQIIAYWMIGLNYDSGEIVLIHLLISVLVF VCSNSMGLMAGAAFKDIQMALNIVPAIVTPLIIFSGFYSNQKSFYPWIGWLQYLSPMKYG FEAMSYNEYQDKQYDIDPEELYGFNIGLWWCALILFGYIVVYRFLAFLFLYGLKQKLQ >CAK84189 pep:novel supercontig:GCA_000165425.1:CT868496:262495:262738:-1 gene:GSPATT00018407001 transcript:CAK84189 MEAISNTNFPKLVLNGNIEIVQYVDQESFKQLGKPKAKYSRISQLPSNLEKLKSGSLVHS LLL >CAK84190 pep:novel supercontig:GCA_000165425.1:CT868496:262973:264373:1 gene:GSPATT00018408001 transcript:CAK84190 MSYSSQQSIKLEDYREIAIDISQSLIAVRSIIREILRRSSVILIEKHLEQQIPLIMPKWT LQFSEDVVSLGIHEPDEMMTENINQGLVLETEPEIRIQDCWRSYIANIDLRFHQTTHTSH SVTKSHLSFNAGYQRSSVTVKRASQILKTQFEPFEPEFVNMQQKVEEDPFDQGLRLQLER DQQLRQQKWQAEQQRIAEKKEQLRQIRLLASSFTGDKKYTFDYEGKFMPQNIPQIEQLAP IRPRVSSMVQFQEKNKVIEFGKSFAHVKIEGDKPFLGSQINFQQKQQQNVFDQIEVAKGV TLTEGQNQKQGPSFSNKQNLQLWEEGLKLTKSEYQQYVQGIQGGVKIQKIIQNTSINDIE PSQVNLNTSIVNSTTVKEGSIRIDGNISVEELLITEEPTPIKIDVQQPLPKLLLKQKDLS QLLTITTDALKQGKPKLPKVMSSQHSLPQLPKNPRDRTISQLYKLK >CAK84191 pep:novel supercontig:GCA_000165425.1:CT868496:264404:270594:-1 gene:GSPATT00018409001 transcript:CAK84191 MWQQSKLKEQSNLLFFQGGASSLQINLLPNPISILEGKIRLKIINSENESSKFQELDQMN LDSFLDNFEYAEKLIIFTLYFTKDQEIQISICKMKKFIIIYKEGEFHQEQDYLTNLDLTS WFNIDFQLKNNQNLIVQINEQNFIFQLQQQEDLQPQFSKFVFGVNINDQLQESNEEFNCS IELLDQCSFEGQVSEFYLIINNSKIQFEQIKKTNLKRQTMIFINSEVFNENQQKFDELFS ISPVLQNECKICFQNVYKLDMKKGIDFIQKCGGFNIFNMILDLLYTECENTNARDSEQII ILVLKIFQENMMMWNSKVSNFINSQNLQNMEENCKRWININQMISNEFIIQLLLIYSKMQ NEQSSFLFLITIIKILDNQFTTFDQIKLICTSSIIQTLITSNCLRKFMNIHLYYESRYLF AQVSIKMSQAFMSIEEKHLFSYSLSKCIQYQGDILIPEINPITNFFNLLVSQKCFNLFTL DILQIIDILIKDRMKQKNNIKIEEETILNSFKYKIFQILKNDNRSFIYEFEVNDKMYELT FSIYSSIVFQLRQNSKENGELNTLQIYFDYFLKNRIEVGQLLISKVLTLIKQFEVDVLLI KKLVRFQNQLMLNLLIKDSVKRTILIWYLDLFKNAALKKWNSDILILKLVKLQSFPMLMK NLMTISLDNKQNSLDLFTYLVIYLIEMYPNLTSETLYEVHIQSQILSNDSFNQYLQVVYH TTISFYALKQAQPFQGEKDESKLVNIIILYMHIEKCIGEISNFEIVDWNQYSQIMDKGID LFNYLGILFYDHDYIIPDFEDTFDLKQIKEDYNKMKKQNNRILPNGGIKRLMQQLIAPFV KIPQMIKPIRNYLSINKYNIEGRIDQQSCQRIKKFIDQEFSVYLFITKKQYRQQLTTMLH NADLNQGRIRIKENGSKLVKHYKFYQLLVYFALFENNQPNDNQLDKQILKNQSELQEILG QFKLTRTMDWKNVDPKLIQCFFHQQKESQEQILKAFNKLNTLKMQVQSSKQSIISTHDLS QIDLSNNELANSIRLSIFMDIIIYYQLIHKLWVLYPIIMEPQEKQRTQSNLLVYPKLSVL TLFEDSYKEQQRTHSQYQESGYQSQCGQVKDQLQLIQQKLNSFIYNKKCNFKFVETRQWK WVFDLSDFTRKHPFLQITKINQLYCSQDEIDHQFDKQQIQRMKKEQDIQNKINNAIMNLR KRSPENNQVGITKYNCEYITYQGVYQGVLKFNEINQSLQFEQQELESEELFSSPQVIQTT ENIFLEITLDRIMNVHPRRFLLMEIAIEIFIDRQNYFFNLFKNSEQQLLLQEFRKKKVQV IDPKQEFKKMNYQEKWVEGQLSNFHYLMLVNIFSGRTCNDLSQYFVFPWVIQNYKSYQID FYSKEGSDTFRNLKLPVGAIAKNSEQIIKDYMEREITSTNGNYQHKHHYSNAQTVLKYLI RLIPSKLMDEFSTSERLFSSIENLWENSINYDNKELIPEFYYMPEFLRNINLQQQSRIPV VELPNWVKTQTPEEFVYIMRLALESDTVSNELNHWIDLIFGNKSIGQNAVDSANMFHHLT YQENWNKLLNQSNDYLAIKQYLTKIQDIGQTPKQLFKKNHQKRVYSLQVFTNEIPDFGND QLKQNKLFVFQQFDRLTELRKSQILGFYPYSKKCIYILKTNDKNYNNLTLLETKHFQDHF DFYPEIQLKQMFELPELKTDGCNPQNMFSFIYEQQFDFGDGYDSQYYSVFEGKQNYKLYL CLVGFLDESLKIYDLRNKMKKININFPTSDLERQKRTSCVRFHAVSKILAIGSLDGLLQL FQIQFNHQLQVKGVFTKQLENGILCIDLTDLLILTIDYKNIANLLSLEGNVLHTIYIDKS NKFWQCCIQMQFLVFKSVNSQISIFTMNGEQYVKPMTLLERTSSKNIMFTTPFSSTFLLY SLNQKEDEDMPKFVPVIYYFDIFDLKFKQQQFGFLNFNRILVNKGNLRGSGISAIHISFM RCKVDKQKKMKHIYLIAVDNQILQYMDEHYGRILFMTIDYLKMMKYYLKKREV >CAK84192 pep:novel supercontig:GCA_000165425.1:CT868496:271003:272137:-1 gene:GSPATT00018410001 transcript:CAK84192 MQRNQSTLFMTIVQTLNSLFNLLYTYVNTNINLMKNKRQNSILLLFNIIQKKSKISIIIR YLIINFWNQLRMNQFIRKQSILLFQIPLNLCSIVMVSQISYSKQQLLALFQSIQQNSGCF QHILKYISILMRSCKKNKKGEYKNNINYKTGMGTKILNYLLSNLTKFTEIVQTIYYSIIK ASNTNVGQYRTYTEIYHKITYIIYMIFWLIDEKEMLRSDPIQQLCLELCYIHYKFTINLI IVLQNAGILQSNTLYQIINNINVIAYGYDYIIQIKDMYFDRNENLLNTSDLFSKLNQRQV LLKLVYNKFYETSLKNSIYRCQNCNQKHKFPLSFLFWISILNLFSISDQQEIQKQQGQCS NAQSE >CAK84193 pep:novel supercontig:GCA_000165425.1:CT868496:272955:273332:-1 gene:GSPATT00018411001 transcript:CAK84193 MLNQYLKLLHTQWEQTFNDDLSDTLREDPKLSLQNQSIIEFEFISLTKSKVENQWEYENF FNKKREFSFLFQLTYFINAVIQGLLFIYVKLFQVNNNTLIIYYFFHPLKKLLLKSIIGLF GQSTL >CAK84194 pep:novel supercontig:GCA_000165425.1:CT868496:273578:274989:-1 gene:GSPATT00018412001 transcript:CAK84194 MRKFISKNPATKEVVQEFNFLSDEELKQLILQSQQGYEINRNSSYQLKAKKLLKLSDLLN ENQEKYARLISQEVGKPICQSRAEIKKSANYCKYYAENIDKYSQPQIVITEAKSSYVQYS PIGTIYSISPFNFPFWLCFKPIIPMLVVGNAVIHRPSDSTGLCGMALQELFILAGFNENI FQNAFTTAQQLEQVMAHPQIQGVSFTGSTAAGSIIGATAGKHLKRSVLELGGSDPFCVLQ NANVELAVQLALKSRIANCGQVCFSAKRFIVHFQYYDIFKEKLVNALEKLKIGDPLLETT ELGPIAREDLITSLLHQIKMGVDQGAKIVYGGTRLKENENFMLPTVVEVDEKNILVKEET FGPLFALIKANSNDEILRIANNTSYGLGAVVVSNDKQEIEQFVNHLEAGMVFVNEIVKSD QRLPSGGIKGSGYGRECGEWGVQNFANIKTVWIE >CAK84195 pep:novel supercontig:GCA_000165425.1:CT868496:275263:276125:1 gene:GSPATT00018413001 transcript:CAK84195 MSYIYFDGQQIGIQIPPLPFRPEYTIEHMYQYLQQNLIDISQCSLSCDGQSPLNPKDNTS IAYYIQQNQCSFTIIQIQPLVSIFFGEQQILQQALPDMIITEILNHLQSQGFAFPQNVAL DFYDENQLLIAQDVDINSNLYNYTQGNQVVLINIRQVQSYNQQKQVIKVPSNITNLPAQD LLQQLILLKNLSLLIDPNNQFVVYKFHFPTLVQGYLQKSKGKDPEHCTQFNWQGQDWVEK NSIKYCSFDDYGFAILWEDGNLSYVKIEQQ >CAK84196 pep:novel supercontig:GCA_000165425.1:CT868496:276192:277442:1 gene:GSPATT00018414001 transcript:CAK84196 MNQNILVIGAGVAGLACAQHLIKQGYNVKLFEASNRYGGRVKPLIGFSDRHLEAGGQTIH HPDNDYYKMAIEAGAKLEIGGDLVGSIYDPIQKKVITFNEFEDLYEKESQSIEDFINDRF LEDEPVLQRLQKKGYNMEFIHLFYALYGSEYGASIEKIGARAIGRYEFDMPMFVSDYEIE NNFHHQNIFDKFFKEAIQIIEYNKQVQSISITNEKVQVQVNNEPNEFLFDYAVCCVPITQ LKKLKVDPPFEESRIKQINLVGMDKGGKFFIKLDKKFLEHEEDFFLPKFNHNSLWWDSSS ENQSIIIGLLGLSAHNRVMEIGNEEFAKEIVQTINDQYKVDLKVISYHYEDWGNTPFIEG LYSFPMAGIDDNYRQIISAPHQNRLYFAGEAYHPIYYSTIHGAYESGVNAAKKIIK >CAK84197 pep:novel supercontig:GCA_000165425.1:CT868496:278085:278843:-1 gene:GSPATT00018415001 transcript:CAK84197 MLTLTQMDEIIKLTEQHMTDCQVNQDFKEAKEVKSKILQMKTIRDLIEREEIQEQFNIGE DRILSQIKQQIDEVNQYFTQLFEKFNYQKSQALQQLWHQQKISQQKSVFYKRQKNAEYQN LQKIMTYLSNQKDFKKAELYQVYLKEASQDHMRRTQSEQRQTQETQQRVLKQKHAHQEEV LLNKFNDQEQLIKLEMNKKLQEIEQKKINQLSQLQFERNQKISQLEKSRTKVIKVQVQQQ LEEMEKCGFILK >CAK84198 pep:novel supercontig:GCA_000165425.1:CT868496:278886:279903:-1 gene:GSPATT00018416001 transcript:CAK84198 MSDQLDSQYKLQEQETQSGNNIELSSPQGSSKKKGRARTASERTFSAAETLYGRPRNTGS MILLRTADQFNKKVIHDVINHSPSKQQYSFAKATRFSKPRSSYCQQQFYDSQIRTSLGKR TCSFGFGIKFDFAKLTDKYIPPPTAYTIKSKPKPVTRFGFGREETKAVGIYGNPSKNPAP NTYTLKDTFSNLKFSFGERTKAKHIYLESTTPSPCAYNVGGISKTGNYFNSKYQSNRAPL FSPSSNKSVRIIKTPGPGTYDPPGSIADLRLKSSSGFSFGASERKTIQIRNKVFPGPGTY QLPSEFGDVEYPD >CAK84199 pep:novel supercontig:GCA_000165425.1:CT868496:279945:282073:-1 gene:GSPATT00018417001 transcript:CAK84199 MKHSQLVHLLSKQPQSIDYLGATQMSTPRANQQSQQTLTLPHKQTILSTAFGKEQRLLTE GNEDLDIQKIKDLQNRLISRCTTLEFLIQVITTQKNQLNSLPTIQKIEQLLLSIVNTIQN ATKNEIQIGFLNREQKKTSSILFYSFLVFNKQKFRKEAELFDRNKSLQQEVEINSGRNNK LIEDNFKLQKQLKQEKNLNEINVKKINALEKRIEFIVSNDINIFEMRSNITILMKENEQL KRDLERKNQEVERIQGKLNQYQLVVSRLQKTIDNLRKKNEDDEVRGLIKKDDIELLMNFK IPQNLDFRIVCQKLDHQNLLNDLCEKGVVNTLQQISQQSQEVQKQQISYYCNQLLSYKDF SERLNQLLLLMEEFSNCQTIEDLIFNVNRQLPQIFKCETAKLWLLDTKNGLLYSYLETRQ QIKALQDKGMVADTFKLYQAQNIGQKPKKAIIYRINESEQPEFGKSALILPIFCIGQNVI RGSLIILNSEQEQFSFDEEYFGIIISSFLGNILQRLVDKESWLIAQKYRSLMNHQLTDIL RSQNKQTATERIKLSFKRIFGFQMIRFYFVENEQLLSYENQKEQQFSLDYGLAGMAARNK QRYIVNDIKRSVNFNPKVDLTTILPIFVQPLLNKEDVVIGVVETCLKNKLSIDIEREHLL QVSENLFGIEEPLTSQLQSYVELLAGTLSNIKF >CAK84200 pep:novel supercontig:GCA_000165425.1:CT868496:282095:284200:-1 gene:GSPATT00018418001 transcript:CAK84200 MDIPSKCISFSPNWFYPQIAQMNGEILCYGVNDQVFVYNSETKHFKYTLQGQQKVTMLYL KDQYIFVGQEGGVITMFQQQKYLGKLEFNIEIPMILKEMNNEYLLLDNKGIALGFKLNDK FELIHFALKLITQPHCIPKWGDENVVIYQSGRMYRFEQKSEYDYPYHVLCVDRFKNKYGV LNKLHKAISLHVFQLDQIDEWKQTNTINENDIFIELKFIVNEKLPTDNLKKASLSIKFLD DKQIFVTSKQGEIFLIQLTNQVKSLKLDANVISDKHFYKLPQEIHIKGIYLMNNYLNQYY TVGLDRRIFSFRVEDGQIVDYHKFVCLAGKVNKLQIGKEKNLIYFHDQTLKSLDLTKNKY PTHINEFWKITDFSNFYISPFQEGIVMIQKDQKIELVDIYKEQLLDKYNSEDPIAKIEWL NKKQVLLLLDLFDVEQIQIDQENELLTKPHQIQDYVLIIMLTGRIQLIDLSTGQVLFNFL IQQKIKLQQIIKNKTFDIVTINMNSIQFLFNNDKCYLFYIFNGYHVFYYQKLHFDTKQIH NTEINCIDVSLYNNNIYLLTGCNNQTISINVIHNEIVLLGYLKHRFPIQKVQQRNGLITS QAKCHQSLQVWNVTEILQRMIPSRQTLEGLEKANIRGHSGFLLDFVYFTNNLVITSSEDQ TIKVFDIDQIQNKMPPNKKKSKKKVKLDNQQQD >CAK84201 pep:novel supercontig:GCA_000165425.1:CT868496:284222:284482:-1 gene:GSPATT00018419001 transcript:CAK84201 MYLWVIFWYLQLCKLSIIPFLFEFLTSLNGYYYYGIHEHRQMEAKRQALQAEQLKKKKQE EDEAKKLEEENQPLNPQDGIQNAMSQ >CAK84202 pep:novel supercontig:GCA_000165425.1:CT868496:284930:288703:1 gene:GSPATT00018420001 transcript:CAK84202 MQKNEETIKLDTSSLNTILNEEEFYSKPLNAETNIFKRIFLLNVQDYMNNLYSEIVSKDI KFNFSHTPPVQDSQKIQVELLENLLNQKSSNITKMSLFKLFFLKQKQKFALILFVLALFS ISKCGISILLSTLIDSIQQDLKIKYAYGIGLVLLNGVSIICQHQFYSIGYEYSTIVRMSM ANILYHKIFKLHTYQIKNTNQGKIINMISADLNLLEPFLIYMFVVFNLPIALGLTAAILW IRFDGPQGLLLLALLFLAYPIQLFFTHRITVLVKKTKEYADQRVKLTNEFIEGVRLIKMY AWEQSFIKSIRNLAHQEHFAYILTHLQYVILRGTTFFSQMWASAIFFMIMHYGGFYEINV SIMLSTLQLAAFARFFCVNLSSFGLDFIANLKIVLERMRVVLSMEEIIQTQSLQDQQPKE EGLVLKNLEGYWNVQGQPQIKLSFKFNIGLYAVIGKIGSGKSSLLNAIIGEMPKTTGSIL LDGEDIKNRKVGYVEQEPFIFPASFKENILFGRPMDECLYQQVLEQSNLIMDLQNMEKGD TTMIGEKGLTLSGGQKARLSLARALYEDAEVYLLDDPLSAVDAKVSRSIFNNLKHLAKQR IIILVTHQVQFAPECDQIIIMNEGQIMASGEFKDVNQHLNLITDTFSQQEQQVQYINNVT KQDNDLYKKEELTSKPVTLDTYKRFFKQWSYPFLLIIMIIFYLGQEVLLQLYIYFISEYE DEGSFFLLIGMLCIGALVLNLIKYGITTFSVLSGTKQTHDQMINSLSQSPISYFDTNPSG RILNRFSNDKSLCDFTMNLSLWEVFELNSYFIISIAFLISILPYFVIVMFFIICFQVYIL TRSYKIIIETKECDSIQKSPLFDFFKNTLNGIVQIKLYKQQQYFKQFFNQLSNNCIRSNF TFYQCSTNFGYKVHLSGWLASVIGTMIVIGVYENKSVFSQALLILTIFNDQFQWCMRQMI QVHAMMSSCQRMYEIIDLKPEAPQYLEQIQNWPNIGEVRFEQVELRYRYNTPLILKGINF EIPQKKRIGIIGRTGAGKSSILQAMFRMSEIEKGQIVIDNVNIQILGLHQLRSSIGIIPQ SPFLFSGSIRRNLDPLNEYTDKELWDALQQTSLDEYIRKLPKQLDSDMTQVNSIFSVGQK QLICLVRIILSKKKIIVLDEATANLDQKTDDLIQLVISKFDATIITIAHRLNTIADYDYV MVMQDGKIIEYDKPLMLLCNSTDDSEITKNTEFAQMVKATGNENSKVILKLARGISN >CAK84203 pep:novel supercontig:GCA_000165425.1:CT868496:289091:290661:1 gene:GSPATT00018421001 transcript:CAK84203 MSIYFFHSTSILIIDDTLQSKIIIFAIQLFSPSYYFIVIKFSVRIKRYSINLSFLCSSYL IQKTQFITSIPICFQFFISSFQQRTPIPNLPIIIQWFTYSFSKYIFSSILIPIVLNRQLQ LLLNLNLSDIDLNCNYCDLQQTLKIKANFASNLYYVMITLFYNKMHYIFNIVKSYSYLNY SHKINLILLNNQTLLHLFEMSDEIIENNDNVAKQIIMDDGHEFVNSSDQRLPSMISQSHI PLPFQGEEFTPTNTDQFLNTTIPQGTILECDVKIVKGWFGCHLAYNFYHSKTQKLLLSVK KSKCTGSHRFYLSKSETELDVVGTLESNFSGTQFILYSKGLSYKNSNEKNNLRKELAFIH YEYQLLKTRKGNLFKAYIPSLKDGQPTQIIPTDENTGLKIKSRFINKRNQEYFEFRTQEP IWNQKYQSFTLPFNSRVNSASVHNFLLKQSNDDGKLNKDVAIQFGRFDEKILNIDIAYPF TPLQAFSIVISQLDNKLLI >CAK84204 pep:novel supercontig:GCA_000165425.1:CT868496:293154:294434:-1 gene:GSPATT00018422001 transcript:CAK84204 MCSAIHLHIGGAGVMIGNELWKLYQKEQTETNVKSYIYNENETNYFPLTLFMDLDDRMVN EVKKNKLINYKTNSFVTGKEDAANNYCRAHYTIGKEIVDKCLDNIRKQVESVDRIDQFII TSALSGGTGSGFTSLLLERLSVEYGAKVDKNAFLIYPSKEISNNTVDAFNAVLATHVTIE HCDSVVMLDNESMYKSIDEQIGLDYVDYTHLNNLVSQIISSYTGLRRFCNIDNSKLFTGL CPYPRVHFVIPSYGPLASINDYNNKELTEKQLISQITKQSLRLFQSEIHPEHICASLVHR SKYHNQFFGQQDLTLQKMKIKNQDSPNVFECLSANYTTIPELAQLKQTGIFLSNDASLFN YFELLGKKYDYVYAKRAFVHWFVGEGCESGEMSECREDLAALSKDYEELTEGALKFCEML EEDDQE >CAK84205 pep:novel supercontig:GCA_000165425.1:CT868496:295543:302296:-1 gene:GSPATT00018423001 transcript:CAK84205 MSQSDIEFLEKYHLQSKDQALSTLVKGSQEYIYYTLLKSLNEQQSNLNEDQKTLLKNLKS NSDNFKNIEFRSLMLEFDSLSKEEDTKENQIKRQKLMQIINDKYLYLQFNNPVDKLHEFQ NEQDSLKEAQLGSRQYRTNLDPKNVTLNTYLQKAYQIENLNKFSVYALRQLQFEEILKCS DNWIQEYLNILASSKALEGLDFVIPLFQRLIKGQFQLGSIFTAMSLQQMEELQKVYEDLK NNLDFITNYYAKRFDSQNVYHTDALKYKEHLQEVQDWQKTLPKQFQFESLVFINLLSLND KQSNYDIHLFISYLQTPLKEYPGINQNIRDSMGIIKIQFNPISLPVEHDQIIYRHLEYMI KNDLDLEQILDYFEENYLNKAKALLYLQLGKDIPNLNSILTENEVLKLQNEKYIEFHQQT KLQRFQHGESVKLCIFLKNIPSLSIKLFQIDTLNYYLQDESQNFLNLNLKGLIPKNEMVF DYSKDFKPTLKMEKEIEFHEISQQERGIFIIEFYGNGIVSRALIQKGNIQLRQKKTVSSG HCFEILNENLEVCCSNTTGLWLDKQFYKIDQERKEILIPFGNTTQNQKVIITHDGFANIQ SIQIEQESYKLKCRGVISEESMILGNQAKILLFPKLFGNQNVISLKLLKNAIILVTIYNE EMNPTVFTFDNVKFEDRKPFEMDIPISKFKQIRIQVTAQIQSMLNKSIIDLKDYISIVVD EEYNTEYFNNQYLQQTEDQGYTIYVLGKAGEPKINVKLELTFLIQHYQKFTEVFQTDEKG RIHLGQLKNVTQINSKPLEPQAINQNPRNWIISNLDTQNIPNQINALSGQTITLPVSIEK ENLLLYKIHKNQIIDYFSQTCVLENNKLSFTLTEPGNYELQLMVDNNPKVTQIQIFKGKP KNDIDGFINDDKLILDQQLNHLISIQNIKKIHEESSVKITGSICSNRPVILFALVETFYK QPNIIIQQMKNILQPEKKEEFQMFKNQVEVKQNTKISEEEQYVENRKKQETFIGNTLEKP QLLLNRQCIRECINERELLNEEKCSPSNNQCLPCSDLRAQNKDYSGEDLNMISIFCKLDF LKFPGKLITLELNGSNDDKEGKEFSLQIPNSYSQITLFAVNDYNYAHQQVLLAQCGISTR SLEHQTNLKKDKCYNISRNSQAFKKGESIYINDVTATQIKIIDSLEKVYRELVSLNNNGK NLREKDLLNWEFLIKWNLKTLEQKHQLYNEYQSDELNLFLFFKDSPYFEVYVKNYIRCKI EKNVVDHFLCQNDAELERYLSIYTFNSLNTIEQILLLVYSQEIIQNYTLSKNIYSYLQQN HDILPFDQIQAQRLFDTVLTSQEAKEMKNNNNNNNNNPTNPFQPPNLGGMHQNRPPPPPP PPHLYPTSSTGFRFGGLMPPPPPPPPPPGGSMPPPPPPSNPFSTSTTTTKKSLFGILKSK NIVEDKIGLLQQLCQRKVALGRKDNDFSDDSSDDEHYNEQRQQYIQNYKNVEKTKEYGER HSYYYKDQIVPVRLNKFFIELAKYTMNEGILNKSSFITSEIIHYDTFSEFVFILSILDLP FQNVKQQYYQFQEKGMQIVSESNLIYYSQEIKEIDIQLRNDILITQLFFDQQNRKKEFLS SQEFLTNHIYGSLIIISNFSNDDISTQIFVEIPNGAIPIISPFYSKQINISCNSLSTARY TYYWYFPKKGTFSIHPACLTIFDKVVAIATPQEFNVVDFKANPNLEVIEDILGTGNKTNI LKFLESKNIFDEKVFKSSQIVHLYEDKDFYLKVLNIYKAKKFQDSQILNYAVYHADFEGL KEFFQQKHIIDKFNSIKYFKCSLFEIKNIKMLEYYPLITKRIHKLKQDEQGILNVDLRKQ YKDYLQYLIEKPVHSIQDKLGFSYYLILQERITEAVKVLNSIQVPKNADNSELQYDYFKA YFDFYVGYPNFQEARRICEKYLNYPVIYWRNLFYEIANLLAEFDGDDDQKEVGLDKDQHQ QLKQLVLKEETLSCHIQGTNIMLSYSNLSQATIMFYNLNQEVVFSIDPFQLNKSKDYQII KSYHEIKLKLENEKEGIIYKKVIEIPLHIQKHNLFIQVNGIHKKCFQSYSSNRLVVNIME NCGQLKVCDQNGKYLSKVYVKVYVKQKTQECYFYKDGYTDLRGRFDYASQNSEEISQAQS FAILISDEFYGSTVKEVKTPSILGKCEGDVKLVSTIWQKKVEHQKINKYLT >CAK84206 pep:novel supercontig:GCA_000165425.1:CT868496:302566:305084:1 gene:GSPATT00018424001 transcript:CAK84206 MDKQAQERISLDIISLNSQLQIEMPSHFKEQFKQVASATLSALKQMEAAPLLVQSYQALQ RLLLQLLKNDYRDDNRESKTLREVKELDKLRVNALLVQNNKLAIEIKQLSNKLKELEESQ DVVKLREEIQRITQVKDDQINRLIILNDTKEKQVSKNSQLIIQLKSVIQKQDSQIQILEN YLESDKKKYSHIENTYDKMVSENRHLNELCKMQEEDLTAHYFRYRHYLREEAKSRKKLLM LQDEIDKMKIEKSKRPEVPKVDPEQEQKLLRLAYNFSQDKQYFKIMKELTQLNITKLSDQ EQTTIMFLKNVRYDYIYNDSLTKFQYPRPSFLLFYESNMKNLESDYRNIEFHQISILFFA TIRAILDSQYNEFLYRDNQYTLVKFADFVYGWISTFIINPKTRQIEIIKDRSFEHLEPIR TDFILDCLSPKLSKLHEVIIFNELLFELSSPDELYYYLYSRFLIFKGSLSHQQRYSFEAV LFVQLSWIQQILEQILWKYDQSMYNQINQIIIESSVTKAKGTIVDGHYVLRILLELYRTE RKNRLAMLKEVFSACVSVQSSGKSGVTFKNFKKIFQSNFPEVSDSEICSLFRESYMCGDG VVTAESFFTAASESCFFVKQMLLKTLNKTPVVELNEISYKESNLPFLDFYQHYKQLPHHL VQKCCLQFGLHSVILELEVLHKLIKNYFQDQYNQYSLFSTVTKLIHIYNTVIDLHMRQQN TFCKDWDQSEIESLKSLNNQLSQLFSPLIEYDQQKKLQNIAKQRKLRPLQIFVKNRVQRF YQMIASIINNNNINVADPQMAKRRFTKQSSIKMQ >CAK84207 pep:novel supercontig:GCA_000165425.1:CT868496:305130:306257:-1 gene:GSPATT00018425001 transcript:CAK84207 MLNQPLKPSNKVVSLHAIMSNIKKQNKQKPRSMSYHSNQIKSEGQSILLRDDDQKKLNDQ IRQKDELINQLQSQQQSFRKQLNEIFEVNHGNDESLILHIYSSVIQFKKDRTQHLQQQEI NNKVILHYKGLLQQSTDLIANQEEQLQNHQQLLITLTNQIKENSILQHLNTSNQEKQQIS KFESMQSQNDKFRNASLITTFRKEDSYQYSKLQNACKLLSSNPEEIEDEILQFVRDTLQF YQAIGNQQIYSFIKSQFENERVQLTFNLNQINNEVIKVLINKFDLDDDIEQEMEQLILLK QIKSHNNQILQQIDQLGEYIIVKLQNYKRNEISRIMLIHNLVNLKKQIIKKH >CAK84208 pep:novel supercontig:GCA_000165425.1:CT868496:306274:307932:-1 gene:GSPATT00018426001 transcript:CAK84208 MINSQVQLKREQFVIQIRKQAREEIFSKKRLTVLNGNLDADLKCSPDEMIFQIYKSFMLK DFKTLKDLLYKYNEQFLIILLRKQQADNIFMNQYINHFGVNQETIQLFMQILQMSDIPQL GSIDEITILCINQVLVILVNITYLTAPQIINNLLSQEIQDILLNDILGRMNTKKNLDENL EVWQSIIDSLCQLFINLLLDLKDQRGVQMKIEILKSPFFKIWQYIYKEYPPQQLWISILY LQQLLFMEPAIQGVEIIQSNVCLIISTQMQRILKQCGYVIKEFSDQDQLYELALILINYC TQYQLETTIVLTKQLWVKMIQINKFPLLMYSLFINFTSVNYDQDSPASELTTEKHLIEIG LLNNMMVWLSNLSDENLIIKIYKCLNNVLTFQYPQLANVVIYHFLPFLNLFLEGQMIQKA ELADEFLILVKNLIKFQKLTEINLNQIFIEQNVMQQIRVILLQSCFKACNLLNLFNSLDL LSIEFKYSVLKRIVEHQIVDILNMLYTKNIITDDCIDTLMEQIAKWESETNKLNYS >CAK84209 pep:novel supercontig:GCA_000165425.1:CT868496:307940:308557:1 gene:GSPATT00018427001 transcript:CAK84209 MNTYKKPLNNIESLRNQIQQLQLDLTKQKQNIISKIYGNRSFETTPTMNQEENYKSALFS NKISKRQDTQLHQDWNIYKSKQIERDNWRMKKRKNQISFFRVLSADLLREYQLKKQQVNE EFDAKMKYVQNELQKQDQLNKSLEQEQQKKLVSSFRKNSNKEKLKVRFQGDYYVRARTEH >CAK84210 pep:novel supercontig:GCA_000165425.1:CT868496:309235:310214:1 gene:GSPATT00018428001 transcript:CAK84210 MGLAISKNKAVVLASLGIVGFGAYYMQKDTKQKLSLEKLLSKHDLDQYLETNDRSIDQKI DMSYDIEIKYSEDHCLEASCIVDIYAKQLEMAGDDFVKLSKQNRVQRRRLRKSNQQIYVD SIVQFIIDVEDVLDQALKRLLYQLDVPKEIFEESVLVRMDQGYFQQLYMLQASVKQKIKE KIESTKKLNLKQMKDIIQFNVHILKSFPQEFHVILHRIPSENVMLVPTVINIIVQDIIYA KFGVEEEDQITSLKNIQGDQEIHNLLNKVEIEMSGLLKEQGIRLNDIPESLENFI >CAK84211 pep:novel supercontig:GCA_000165425.1:CT868496:310396:311865:-1 gene:GSPATT00018429001 transcript:CAK84211 MQQSLLKNKEKTFLKQAGINQNNEFESKKISPTLIKNEEINNENNIQQISRGNMRRLKDT NKCERVQVGTKNNQSNNWTNKKQLFVQKIPYTALQQSQEHVNSKTIQNIEQQMPNKEEQQ INEDLNAKSKQQSGQKIPNTALQQSQEHVNSKTIQNIEQQMPNKEEQQINEDLNAKSKQQ SGQKIPITALQQGDVDSKTKQNIEQQMPNKEEQQINEDLNAKSKQQSGQKIPITALQQGD VDSKTKQNIEQQMPNKEEQQNYDDVKTENTSLNRTYDISTPNALCLKQQPIALFLGSPGV GKTFLMKKLFNQSGTINEFELNNLQIDDRLSYNFVDTQGFDFESDIDEREAQIKLYQQLF LQYQNLVRSIFLVVNFERTDLMKKKLLSVYKYFRKFQSIISIIVTEFQESEDKDFNEADL RAKFQHFKPCDLIFVERTISKTELINKLKQKSLNQIESGYTFDLSGTIFEQEDEEETKIL INQIKQKVK >CAK84212 pep:novel supercontig:GCA_000165425.1:CT868496:312342:314279:-1 gene:GSPATT00018430001 transcript:CAK84212 MIKQQNIQQLFPNLQEQSYQTLQQVYENIALQEFHVLVQQIKTKFPIPNQINSVQFPTQE NVIEFFQNYNPIILDLTHIQDVKIKLYKNAAFYGTLVDGLRQGQGILIKSTLQLYEGSFL RDKKDGIGFELLKQNQFYYGTYLNGLPQGEGVFWSKNQKYIGQWHQGKKHGIGWYQGTHS DYYLGQWENGRCFGLCIYINGDIYVGHVTNDLKHGNGQEYFENGDYFIGEYRNGKPNGQG EFYWNNGNFFKGEFINGLRNGYGIWESKTQEGVNTYKGQYANDKKCGQGVFEYANGTKYE GSFVDDKRCGYGQITWQDRATYKGYWVDGLMEGEGMYEYDTLVLKGNWKSNQLQTIDKVR VSLNQFPQQHNLKEINENEEVRSELAEEPDQDEIGEQFQTEILASKTETNNAPVLNNSHH TSHNEMQFHQKLPILQRQFDLLSLLDQGLQVQTLDVSHRQNSSSVAIQTESKKKFLPKLT LNKKTPTNSVDHPHNGNMKFKFDSFSNSPNTRGTDQSNKSNRGQNQKKANKSVQCRTRKN KKVLLSQIEQNIWAIKMNKLKLSPAKYTKFWNHGVVSEIKQFLYPPIWKPPSHLT >CAK84213 pep:novel supercontig:GCA_000165425.1:CT868496:314372:316020:-1 gene:GSPATT00018431001 transcript:CAK84213 MDKQLMFSECIRILQITPLRRSPEELNLLLNFARTITFFRELGEQSVEMLQRCILVLSYR TIKGGEVLFKVGDAGSLFYVILRGSVSVNIRLPNPEDPQLFELKEVNTLRAGIGFGELAL INDSKRTATIIAKEDCVFAVMEKHHYKQILGAQEMAKIQNKISFLCSFPFFSSWSFREIK TISYHFEPVSVTLNQAIIKQNEYCNHFYVVREGEFQVQFIHKNKLFCICTLGPGESFGEE AFLNKDGQFLIKSSIFIQWAKTKYNIVCKSETGIAYKITREDIQKQTFIFRQRRFWDSKT QVVFLHLLSSIHHFRTIKANHLIKEYEEKRQKEEEEMNFIHPGMKRSFVQKYNLLSQRNT SSEIEKDEQYDQFKLQEKQAIVQFTKLMKDRNCSYAQVRTFFDQRKFKSQNYFNKRFYHR QFFRFSNKIDDKIKHDPDHNKLTHDHEIDGLLTYCTNQQNLGCNNNSHHYPLTQVLSSPT TVDKFIKRIKSKVQIQVKNEENNTFFNIRTHSPTSHRSINSRRLKTYVD >CAK84214 pep:novel supercontig:GCA_000165425.1:CT868496:316162:317241:1 gene:GSPATT00018432001 transcript:CAK84214 MGSCNCIPKKQPEQELHTQRGSSDHHIADKEKQPEEKSICHEDNKPLNDEAQQLVQSTKG IQKKLPRINMTNGGYYEGDWFNCMRHGQGIHYWADGGHYEGQWKNDKAEGYGKLVHCDGD VYDGQWANDMANGKGTYTHAGGARYEGDWLNDQQHGFGTEVWPDGSKYEGMYTFGKKNGR GKLQFADNSLYEGEFLDNEISGNGRYVWNDGKTYVGSWLNNKMNGYGETIWPDGKSYKGQ YLDDKKHGQGVFSWNNGKRYEGEWALGKQNGKGVIITETGERKAGIWENGRRIKVEGEND QTAEGET >CAK84215 pep:novel supercontig:GCA_000165425.1:CT868496:317590:319619:-1 gene:GSPATT00018433001 transcript:CAK84215 MSEDSNSILTTIKYYKSILKFPSFPKQYSELDETQEQNELFFCNSKQRKEESLKLLKEWA QNRMDLFEKNREIVEELAVSCDYRIRLSVDFLQIVYKFFNEKYFHEQQYAQFLITKPQPA LKQNPLNQQLYSTVGTSISTFEENNLKRLEKIANFAHTIQTEILKAQSFHDLSDMDKQLD LLLSSIISQKKLLQNYITSAGQKLNQIIQQFPQHGQPQRQRKPIFDDQQQQSQQSQQQPQ LPQQSRTDKILQNISALGTLLVGASSFQDPVEMELNQQMKEQERQIREQQLKEEQSLSNG SELQQDVENQEQKEISNKEEEQVDKQSQSEKPQELESQSELKENQNEQQFEQKQLPQKLS GSLSSAEYYKQQQQLKERQQFESNNIKSKNYQPQGQSFQQNKYEDNGKKINNQPVDVYRL IISFVATQQYANKSLNQLAEKVLQYWKQVITIEQKRAQWAKDSCLIYKKGIAEVHNLQVD LPELVIDVEQHYNLKKILPKEIAQFEDGHQEEFIQTLVIKEIGCQAARLLLVKEFRVHVV DKNNEQSAILVLTIDKYVGCWLKHDDTIEAVDNPVLHYPIKDMAIQKVNELILDIMPSRH LLFLNIANDRQKSRIKFQMPDDMEEFCTISQAKA >CAK84216 pep:novel supercontig:GCA_000165425.1:CT868496:320338:320589:1 gene:GSPATT00018434001 transcript:CAK84216 MQNLTQSPLKHLIIDGDNSPINFIDDFELSSQSSQNNTFIQSGRKNSDGETTARRRKFRS QDFTEQLYMWNDYLVKKFEPKQL >CAK84217 pep:novel supercontig:GCA_000165425.1:CT868496:322036:323084:-1 gene:GSPATT00018435001 transcript:CAK84217 MKSNNENNYVRVSYNNFVTTRNRQFGNEITNIQRVPTRSTSQFICSKPMLKIEEETPPPV PEFVNPYVVDIFEYLRVNQHKYMCQTPFYMNLQLDITNQMRSILIDWLVDVHLKFKLQSE TLYMTINLIDRYLAKNTIMRNKLQLVGIASLFIASKFEEIYAPELKDFVCVCDNAYTKEE ILEMESKILLTVQFQLTFTSPIKFLERQISGANLCDKINYASRMILELSLLDVKCLKFSS SLLATTAILLAINLLRSPQVLPSSLHYVDNQEDLRQCLQEFLPIIKLLQSSTMTAIKRKY SLDKFNKIAEQLLAILHNQK >CAK84218 pep:novel supercontig:GCA_000165425.1:CT868496:325114:325607:-1 gene:GSPATT00018436001 transcript:CAK84218 MLLLFIFIVPLIGQTCFSNKAAVDCKYLKCCTEEGFCAVYESECYYSAEKYSCDYAECDY CCIYNGDTVECGTQDQCLAWIFILLGSWLLLTLIVIFAAINRKRNIATRMIVIAEKARLV AQDNSRKSIVSQIIGSEEQYGKEFQIDKDNYNLQL >CAK84219 pep:novel supercontig:GCA_000165425.1:CT868496:326007:326342:-1 gene:GSPATT00018437001 transcript:CAK84219 MFKPNFIGQSKSLPQDDFEKYIKTTLQENYYMSCLDTCFTDYSTPISGQEKVCLAKCLDR AYDSLRLVQKIIDPFDSLKQKKFYYKLDGQNVLGLKKDQML >CAK84220 pep:novel supercontig:GCA_000165425.1:CT868496:326422:328102:-1 gene:GSPATT00018438001 transcript:CAK84220 MGSICQSHSCSVEYTSQLSTSVNFLKPIIDQQLQDEIMEESLSPTDFDKEFTTTKSMLPR SPDVIPKSPLNSPTPNDSVVISKFHITSDDFVKFRYQNYADSYQIERSLGSGSYGEVFIV RNKQTSQLRAMKQIKKQQSSLSKKCLREMEILSKLDHPFIVKAIEVFQDDQSYNMIIELL QGTDLQEDIQNNNKFTEEKAANIGYQLLLALSYIHNQDVVHRDIKPENILYQYNNGNTLI KLIDFGISTEIKKNRKLSSQLGSMYFMAPEIFSKDYGKQIDIWACGVTLFYLVHKRYPFM GKTNSEMKNAIKSGNLQFDKSITPELQCLLLKMLQVNPNKRITAQQALQEDWFIKHRFLS QFNQQLIFKLINYHSTTLFEELIFSVITYFCQNCDDHGQAIQTFLYLDADQDGQISKQEF KKSLYQLNINNSSQKIDDLYSTLNKQSDDTLTYKEFLAASVARDKLQTKKCQKFCFQLID YDQDGKISESDFCHLMGKDHSNLWHLLNTSNSLYITEEEFYNMFKC >CAK84221 pep:novel supercontig:GCA_000165425.1:CT868496:328128:329143:-1 gene:GSPATT00018439001 transcript:CAK84221 MSFSESNDFQNEEYRFEEVDDFIDFEDLAYNDVMRYEGELGKYELFKAFDSVDKEKEREL VEENQSEMQKISSTLCMREEEVSDESEDESQFQGTQSRTCNSGTLQKSIRKRNRGKEEPK RKIKKWSEEENRRLEDLFQIYKGDWEIIVRFLEGRTVSQCKQHWQRLSGGQEKKKKWTEE ENQIILSFTKENPQFNNWALIAARMQGRNGKQIREHYMNQLRPGIKNKQGWNEEEDKQLL NLYQRYGSKWCQINKHFEGRTEIMLKNRFNKLQKGNTYIDHFLNIDDEPQQQQQSHTFSF N >CAK84222 pep:novel supercontig:GCA_000165425.1:CT868496:329446:330210:-1 gene:GSPATT00018440001 transcript:CAK84222 MFHVSFRLDSEYQKELSATMEREEVIRKKKKQQEDELNNQIECKICLEVIPLIEMATLQC SHIYHQKCLNQYCVTQIQARQFPVCCPAIECKKSMIYSDLTEVLDDQNLFEFQQYTFKQY VESHGDEVIHNLIIKYSWCPTPDCKYVFVAADAQFNCPSCKKKYCLQCKIEYHHGFTCQA YKEKIQKEQRAKNEKVLDDQFFQFVKGAKYKQCPQCKFWVEKNEGCDHMTCRCQFQFCYV CGGVYGNCHCKRRR >CAK84223 pep:novel supercontig:GCA_000165425.1:CT868496:330352:330717:-1 gene:GSPATT00018441001 transcript:CAK84223 MIEIESIINEIKYKLKSKNADEMIADTYLLAFQQGRNPKQNFGQNLELIKNLRQLIDMQV YTKEQITNLYNRVLNGNQDLLQLCNRIEQNTLKQVNLAIQNPAIR >CAK84224 pep:novel supercontig:GCA_000165425.1:CT868496:330877:331467:1 gene:GSPATT00018442001 transcript:CAK84224 MSNFIPETKTFGKFDPLFTNKYDKVGPEFGQIQQLKSDFLAANVGKLEVQPEIPQNIEGL VLRSARTQRTPATMAWFRRTTSFERNGIFNIHTPVMNTRILPWVFFIFIAYGWQGYQISS WNTVVKKDSNEPRNTPYDKLSLRELPPAKNWARPG >CAK84225 pep:novel supercontig:GCA_000165425.1:CT868496:331582:336978:1 gene:GSPATT00018443001 transcript:CAK84225 MIPFVLGCNFQCSTCDPYDNEKCLSCPPTRMLVDDKCICQEHYQELQSNLKSKGCFKCNQ NCITCSYENPNKCTSCGEASYNRILLYNRCECVQGYYETQSQYNCIQILDTKIFDFKQKI SKDGNPQKSQLQQLKSSDSSLSTLLIETINASFIYDPAKKDTQTKISISQLIKGESQIIS GKGTLDGEYFSYVHQNLFVLAVKQYSYPQGLKWDVDFDQFTSQSFQRVVNGKQYQFIIFQ QVKDNDKLHVYIVFTDGLAIVKNRRILESNRFLSEHILYMQYFSLIVYKSDSILGNPDLN KIINNYLALLEVCSDCEDCEFDNDGNGKCKKCMNDFSYNEQLKKCECLYTLDASGKCFKK CKNSNCIGCVDNVCIKCSDISQNSCVECDHGYYSDGEFCNKCDAKCKTCSSANDCIDCAN TYYMDNSICVECQSPCKDCLSLSLCNSCVSGYYHSDNRCDLCSLAMSKCMQCDNQTKCSK CEVGYYLDTSSFQCLECAQKCKKCTSFSYCTQCQDGNYLEETIIGVQANCNNYCPQNCTK CKNSSQCTSCVAGYFEKNYTCQKCDSSCKTCYDKPNECYSCQSGKVLIDKSCIVCKSPCL TCDITDETCTSCVDDTNYLDAYNKCNKCATPCKTCTSKEHCLSCLDGYEYDALNKRCMFM DPTTVNSTTCISGYAKTMTSCLACPQECEQNCTIVSGTPVCNQCSVGYYISSSSVCQTCQ SPCFTCYDSTQCKECLPYYYLNANKCYTCKQVNCIQCTDNNCLACDKGYQLVNNSCISCS SSSVTCCQKGEYGQNCNQCNIACTDCFGPNDNQCFECQKGYYFNDQTKQCKKCKNPSCLE CSDYSTCLTCADTSYLSGHQCVNCTYPCKSCTSTSCSSCVDGYYMDLNNSCQICSADCNT CNNSNSYCTSCKMGYYLQNNACYQCDANCIECNSLNECTKCKSQYTSTIDKKCQLNICSH SLCSLCYSQDTCASCAITSYVSNNTCQLCDDNCKSCDNNANTCTSCENGMYLDASTQTCK QCALQCLTCQISSTNCLSCQNQYFKINNDCQKCSILCKTCENTPNNCTSCTSDKYLSGSE CLSCSLVKVGCKSCTNSNLCTSCVEGYYFANNTCTNCSPECSACSSATICTSCSANAYKY NDTTCKVCPGGCTSCTYDGTKISCQSCVQRYFKYQDQCLPCKPQCLSCEGDENNCTICQS NDGINTNQPCQICEALNCKECSKSNNKTCDKCMPDYENISNQCVLCTDNCRCNNGYYYDW DLRKCMICDSSCNNCKYSPTKCTGCTSQQYLTDNKCLNCSSPCQSCTSQTSCTSCITGFY LNNHQCQSCSPPCTLCNNNYCRSCQDGYFLDSSSQCQSCRSPCGTCSSLTNCYSCISSRY FLKENQCQNCDPLCKECDEIGCTKCNAGYYLKDSTCIRCSDNCLECNEEKCIKCITPYVP EQNICVTCQPNCKFCNSEMCLGCQEAYYLKDGECHPCQQNCTSCTVNQCTKCNPKFYIQN SACIPCKSNCQYCDSQTCQQCDDGYYPLYQECRKCEDNCVLCTSDFCLTCKDGYYSGGKS CNKCQTPCLNCAAVDYCSTCIQQYYLSSDNKCMTCQEGCQQCTNDQNCTLCVQGYYLEVT PINKCTKCNVLNCTSCQHQDQCNSCIDSYFLKDNLCEPCSSGCLKCIISSNPEIEPSTCL ECDQNIANYDRLENGRCILYSICNEIQMFQNEQGVCEKCIDNCLNCSSNDSCNLCSLSYS YNPDSQTCDQNPPEKPNSPTIPIAIAVSITSILTISIGAYFWRQNKLNPSNPIIGYLD >CAK84226 pep:novel supercontig:GCA_000165425.1:CT868496:337015:337518:-1 gene:GSPATT00018444001 transcript:CAK84226 MIDNRLEKEFNAVLNAIDDGVLENISVEVDKQNNSINYRKWIVTIYGKEGTIWEGGEFPG FLNFSKSYPSDPPQYYWQLYGGQFQHMNVFENGATCIDILNNKIGYTPATSCVEILKGME GFLYNPNPKSPTMRGLAKTFEENKEQYEKLIKAFVKHYMIEKEKKKQ >CAK84227 pep:novel supercontig:GCA_000165425.1:CT868496:337952:338621:1 gene:GSPATT00018445001 transcript:CAK84227 MKSGSSWLNAVQLNPLDFAEIDYLDPALKDGHKVLYDRILNINIRFQDRFSEVQELSQPE PIRLRVLQLVTIFNPQSIKIEISSEKDLFFLFHHEATVNGFTQIKALQNLQMPFKDYAQI TIIRSNKIIMDVIRYGAIFQVMLDGSARLEINQTTEFRSVHMIHFDFHQVEESFLRQTIV FKYNQQRKEIKYLTQSLNECRMRATGTFK >CAK84228 pep:novel supercontig:GCA_000165425.1:CT868496:338635:339232:-1 gene:GSPATT00018446001 transcript:CAK84228 MNIVLSKLDPLITKFGLDNTDQMEILKGPCSKIGVRPAHVVFIASSVALFSILFGIAARF LSTFISIIYPAYRSIQAIESAGENDDKQWLTYWILFSIITLADASIGFALEFIPFYHILK LALFVALFHPQVKGAEKLYDQFVHPLYLKHHEKIDKGFQKVQEKVKQQVNDKFQN >CAK84229 pep:novel supercontig:GCA_000165425.1:CT868496:339235:339782:-1 gene:GSPATT00018447001 transcript:CAK84229 MKSRLQEEESMVEVLAQITAHLQTEQVEHIQELLNRLRDAVLNSLAIDEEKEQENIRLYN KLSGEIRSSLAQSTRSFQELEAHFDQKEFELNSKKLAVREVGETLQDRNDQRQFILDRVQ EAQKLLNDNLDRIARWSVESE >CAK84230 pep:novel supercontig:GCA_000165425.1:CT868496:339800:340346:-1 gene:GSPATT00018448001 transcript:CAK84230 MNRIGFGLLCIMLVTASSNVMLQTADHSAFSEVFKTYSKQSEFGGRLFGELLVMVQKGET LQSLNDHVEELRKHLSDDESEDEKYFNEKQQEMEASFSGLATEVEELRVSVEQAASKIQV LNKQQTIIDGLFANDQQAYQKRVGDQNAILDVIGQLRRTQFD >CAK84231 pep:novel supercontig:GCA_000165425.1:CT868496:340375:341928:-1 gene:GSPATT00018449001 transcript:CAK84231 MGLCSSNVRQRVQKNSEIRQFSQQDIRHFYKMGQVIGRGNFATVQIGYKIDQENSGFKGK CYAIKCIDKQRIGFEQIQRELEILSRLDHPNIIRVFEEYEDLNHFYFVMEYCRGGELLQQ IIKHGAQTERMTQIIMRQLFSAVGYLHERGIIHRDLKPENLMLANADGDFDIRIIDFGLS KREQVIKKPQQQRSKCRHQTKVGTPIYVAPEVLKGVYSETCDEWSLGCIMYVLLFGEPPF SGQNIHQLEQQINKPNLNFRLNISAECQDLITKLLEPNPNKRITCLQALKHQWMVRDQNQ YRLLTTMQSEDNSLEIERIIELLKQYSECTQFKKEALKILLSQLTDLQQKYLKMKFEELD RDNSGTINEVEFQEYLEQQGKSKLLKQLPIEKFSKNDQGFYISYTDFMAALLNQPHYLTQ DRLDNLFIWYDIKHRQYISKHDYGISMSRRGVVISQEKINGIFQELNLGLIRYDQFKYVM TFNSTRQRNRGMGDNKQRNGLKFVKMVACVGDDCKFL >CAK84232 pep:novel supercontig:GCA_000165425.1:CT868496:343088:343634:1 gene:GSPATT00018450001 transcript:CAK84232 MIRKWLNQQKIQRVIRAQQNLMRNTIIPDIDNKYQIKIAKLSNEKKKTVYSYAVHRNIKI GEKIQNYINQAQNRVNQTRRQIKPIRFRTQQTTRTENSVERSYNICPQHSIYLKNRKSVR TSSVIGAIKTQQSNSQLSFYKKSSIPKLITKRNTLFSQTRHSQQIEFIKYEKI >CAK84233 pep:novel supercontig:GCA_000165425.1:CT868496:345803:346021:-1 gene:GSPATT00018451001 transcript:CAK84233 MNKKVNTRIPDELDNYLCVVAKHMINLLKKSNLQTYTRIAPIYRIRLIITISRMRYPQQE EKERNKDQTQEP >CAK84234 pep:novel supercontig:GCA_000165425.1:CT868496:347020:347370:1 gene:GSPATT00018452001 transcript:CAK84234 MNKIKGIQWIMKNTQLKEGTKSLKFRDVKLEQDQSLSWMEERAQIFENPNYKRQQESHEQ DKIQSCSLINVYLKQQSFNKSMLYQILSEKEFIYGLFKEESIKFEDKVEQPQKKQR >CAK84235 pep:novel supercontig:GCA_000165425.1:CT868496:347424:349820:1 gene:GSPATT00018453001 transcript:CAK84235 MTVELKIAQQIILPNLKCSEKMYVYNLINNIRITYCDFYTSGYLIINKLKFLNSTMYEVA IIFNERISQNLLQSISKLLKDLGFTLTVITQNTSIVMMLYLRNNDLLLQTLSDLHIELPK YSKKSHQGSLIAQNRKKFEKYRLFIRIREFLDKNIKEIEQYSKFKYDQKEKFYNSKNELE IDSYLREIVIYQILATIKNNIQINPDNSGEEFLFVGLKQQGVLLDICPMRTFRHSTYKEL KQCLQLNANVLDYLVVRIRSQMGEQIAFYFGFLLYLIKNMLILVVFGMITYSLDEYFGYD VTKSPFEPLYATLTVLWAAYFVSQWNQKQKEYQVKWRSHGKNYDYMSSKIELSLLKCLKH KKHFAIQESVKKIIYSNEMDPVTGLKVQIKQNIFSIYLNSIIRIIGYVIALVVFLIVSLN MRGYVDSKQQLYIEQIQIPYCKNIYVSYLLAIIHVVVVNYLNSKYKQVSITTSLSEDHKC LQTFERSLIIKRFTFEIFSAFFDFFYIGFVNDDINQLKQEMIQMFMVDELRRIMTETILP SISKLRLIRYEKSIVKSLPESQQDTICQEFQLNNGEMQQVLQSQLISCFLPKYESFDDYL EIILNFGYVCFFTSAVRMAGIVVTTFLFIEFFSDYYKIYHLYQKPFAVKSNSIGPWTKAM DIICVVSVFTNLMLFSVASDQIVKFFPNLFEETNSQPQITQSQYDEIEDNEIKIMVTSCG LLTARPLPNKSSQATLVVILLEHILLILIYLIKKVINLRQTWVDIYLKRQEYKRSLKE >CAK84236 pep:novel supercontig:GCA_000165425.1:CT868496:349908:350600:1 gene:GSPATT00018454001 transcript:CAK84236 MGQGSSCRNQIIIVSNFHHRDSEDEDEQDNQNQIHQPEYSDESENSFIQSLNQFEQFQRQ QQEAQIREREEILWKHVKLFELLIQAQQEQIILNRFLEELQIEDNQDEDQLEINISLFYK QKHGQPINYPCKHAKCLTVATLNCHGKVNCQNDCPSFAIKDAVFDISRNQIFYKHDQNKF GNYVSIQKPIDMAQILDDSLSRSNMNESQKNEFCKNFSKVLCLEFMNSKK >CAK84237 pep:novel supercontig:GCA_000165425.1:CT868496:350755:351795:1 gene:GSPATT00018455001 transcript:CAK84237 MYQKLQNNNSKIQGSYLHTQRQLKYSHNYCQIEIVQQQGRFYNNPTNKYQENSSSDESNY KVQFSQSDDSDNQQDPSVQDSYNKKYDSEILSNDSDNDKYEGNSSQDEQQDQQELDVSYE VIFSDEDISSPIESSQEWEKNVLKKLQIASDKIEKLQERLTKLAKATENNQKQLPQEKKQ IPPQEVKLPQLPCQQKPQPQSTPQKQQNSTVISRNPSQLNPSQDRILIYNKVFQKQEITQ NKNKNPCKHVKCQSSAYLDACGIVTCQSKCQSFHITQTMFDNANQVFQRGEYKGQFNYLR TPILMAQLVNDSLEMSNMNEYQKGQFTLQFQQVFVRLLQFNNNNKA >CAK84238 pep:novel supercontig:GCA_000165425.1:CT868496:351877:352089:1 gene:GSPATT00018456001 transcript:CAK84238 MLKNDLLIEGITILIVSMIFLMVGMVWNRGKGECWRMGKNARQRQEKCQFSDILTDSEFN TLLDETNYIE >CAK84239 pep:novel supercontig:GCA_000165425.1:CT868496:352118:352684:-1 gene:GSPATT00018457001 transcript:CAK84239 MSYLLLKIRYAFSVQRVPNGTHLIVGLGNPGNIYENTRHNAGQQFVKHLAKEFGIKMSDG SNGSIGSFQHIIVFNPLSFMNSSGHAIKKIADKANIQTSNIIIVHDDLENLPGRCKIKQG GSAEGHNGLKSIIQYMDDKFIRLKIGIGRPNSKDPAIVSDYVMSKLDYEPSQQAFKQGIM LARQLFKF >CAK84240 pep:novel supercontig:GCA_000165425.1:CT868496:352777:354985:1 gene:GSPATT00018458001 transcript:CAK84240 MNLRPQPGHQNKNGINPITNTPIDYAQRGNQQPYYKQPYPQPPIQYTQNPQQYQNPYYNQ TLPQVVQQSIPEAGQKLPLQSDAIELDHMNGFSGRYRDVIHLHPQKESTIVYALAGLIVI ENLNDKHQQLFLRGHDMDISALTLSKTGRYIASGQMGSRNAKTPEAPVILWDFNARKPMQ IFRGLRDEITNLSFSSDDKFLAATASNNNLIIWNCQDYTIVHNKLLEVPINLITWCPPRR SSTKHSQYLIVTSQGSNIFLITMDFDVASMQYQLKQAPCQLPSSGLVRNYTKAVIDQNGD YLYVGTHAGEICIFSISSQQGGIFKATIPVSNNGVLSINIFGRSLFVGSGDGKVKKLTGS DTRWNLEREICLEGRMNSITTDPNGNELLVGTSNGRIYRINTANLDSVVHTEGHLSSVVG LSVPLNACDLFVSIDYEGVVMVWDMNEMLVITRCVPVNMNRVKGSSVYLDPDRTVVSGWR DGFIRAYMITNKPVSPIKWEIVNAHKGAVTSLYSDQNYYLSGGEDSIIRVWSKTARQLIT QISIHSKEITKVFPDLMKPNLIHSCSADKTIYTYDLKTDKKVIQHQSRNGVLLDMTQRKD SELELITCGINMPIQFWDIDIVEPVQSIDVQKLNSIAISPDGKILATGSDSGELILISIL TQSILGKYLGHFCWGFQGSMDKVIKNKSLPPSY >CAK84241 pep:novel supercontig:GCA_000165425.1:CT868496:355903:357168:1 gene:GSPATT00018459001 transcript:CAK84241 MFRITYLTKTLLTITIFLNIVISQTLDDIKSQIVNDWQSIALELVPNSQGDQVYPEYKRR QWNFTSDSEFTALIETFTDKSGSNKKLTFSGQGEITYQGASDVISGAFLTKITFSKQALV TLHTDDLVTAFNGAQQGQDGVTWVKDKPQDITTVAVPAMSKQSNSPFLSYDLVYIRDDYL YMGEVDVFGTHASADNPPKGLSAPLIPNPDPETPLTLDELKQSILGGQWTSLTKEVRPGL SNQGKLMTTFQTRTLTFLSELEFSLVLTSYSGYGQSSALMAMEVVGPYEWEEDASQVVPG AQFAKFSMTQMYITPMSDEVTANLNQGLPQGMDPFKTNQKVNMTGKDFPALGMSSGSSMY ENDMVYQRQNRLFLEARPVDGGMLQPKERRTYSLQRDLIDTSRSSFNGFLILNLIMVILM I >CAK84242 pep:novel supercontig:GCA_000165425.1:CT868496:358076:359410:1 gene:GSPATT00018460001 transcript:CAK84242 MHKQLPNYILTPSTHYITKTPEKAINTSPFRGSSFSDKQSMTPNKQNIGYHYVQQQTINQ NTKHQIPYYENASPLVHESKQHLMQRVQNLEENLREIVKKYDQSKEDLERERTIKYDSNR NYSQLYQRYQDQEREVLKYQQVAKSIETMQKQVQRELQEQKEKWNAKNNEIQEQKKVQEK LQSILKQKEREINDFKLKLKEEREFRSYENQRLVQEFTQTYQDLTLQNDQLIQENNELRT LILEIDNQPQPYSSANQSQQNIQADSDYLEDPQLRQIVQQYLTKNEESYLDQISDKQLRA AVSIIKEIFQTVTSQQLKEIKSQQFQQPKQISEEIEINLLEARKNREFLIKEIKNKMDKI VKSKEFDLIEFNEIQKEIEELKKQLSSVENIIQEMEQQLILNPHRNSCVSFDDHEFQ >CAK84243 pep:novel supercontig:GCA_000165425.1:CT868496:359841:360452:1 gene:GSPATT00018461001 transcript:CAK84243 MHSYPKQPRKPLNNNKFASQNDTALNSSTQQNSQHKMEDIIPKKEKYCKIAKELTSQERE LIKTYANEVVTAVRIAKHNPKKLRQVNSLLFQLNKIGGLEIKITPKRQNKISRIVLFVIA SFFRIQAKINVVHKGLVFIKTTASETVTNFNDKKQERNPTPPMQPRVISFLIVLLERGYV LFGMNIAKISTTIKVRIDLQQII >CAK84244 pep:novel supercontig:GCA_000165425.1:CT868496:361661:363171:1 gene:GSPATT00018462001 transcript:CAK84244 MGNSSRHQNQQGNQSSLQTKQFNKQESELNKYLTQSCFTFPSSIQKIPACKAQKVNCLRC DHILFDDGLGRTNKCEECQILYVIKDGVVTLLNNSQDVQCCSYGFPLQQLDSVVLRVNQN CINHISYGQLMTQIILPFFEMRERIITSGACFNIDQFEFRVVGCGSQLQGIVSAGTKIYC YESYTDRILYKLKIYTQRKNFQDELFHYFFSRPKENLLIQDSYIKINNQEYFVLQCTEQT GRLHIYTKIECISNVPRLSQVQFIILKQPVEFSNSNRNQAIQQVLIYVVQPYFAGLTRYI EKGQILRIGDFIFEVCLVENCGFVIPNQTQIQIIDQVDQQINLQQFQSNQNYNIISRSID NQQSSRQDQIESLHRLLNTFIQLNENGTIEGNLGCEDHEIQQLPVRKINLEQVKQLDEDH MKCLICLCEYEEEDLVKTIPCLHYFHDDCIEKWLKKSRHCPICKNELEI >CAK84245 pep:novel supercontig:GCA_000165425.1:CT868496:363281:365627:1 gene:GSPATT00018463001 transcript:CAK84245 MGKHRNKNQSKYENAPQKKVKTNHWDQDNQQICVVQFENPIFRRFYEIQLPEIGAEEFKV FWQSLSLPLPVTFRINPSQYKYESLIERLQDGSLIKDMVNEEEIVEPIREIKWYPNHLVW ESKVPKKSLRKSAALTKLHQFIQKCNSTGLLTRQELVSMLPPLLLDPQPTDFVLDMCAAP GSKTCQLLEIVTKGLIVANDVDPKRAYMLSHQLSRMPTAQVMITNYAAQFYPTLYINGQR LQFDKVLCDVPCTGDGAARKLPTRWVKWSARDGNVIHPLQLSILMRALQLCKIGGYVMYS TCSLNPIENEAVVAEVFRRAGFEAFELVDLHTLEGFKTRKGVKDWKVIITDDFLTQKYLQ RESNADQDEKAFLETVTVEDLVYEVNNVNQKLNIKKLFSKFSRKQEGQMINAFKTLKPSL WPDTEEFMNKIQIEKSVRVLPHDQDTGGFYLALFKKKQAVIWKKPSYQESIISQQQPIIE QEQQQQQQQQQQQQQQQIEVEQIIDKPQNQQLSTEQVQQQVQQELQHKEIIQSAEDVEQE QFIQDIDINQDHLKDILKQSNEQDDKQQNDKNKTQLLEPYTPINDYDWKVICDYYGISDF PQGQVLGTGQSLDLIMQVNKKFRYVSEDVKNILFDPKNQNLKLINIGQKLFERGKESFGG QVTPFKITQEGLSYIFKFLTKRVVECSKDQFMEILQKRNIRMGDFAHEELKQQFEQLIQG CFVLFYKETPEISEAIVCQYYKQSVNLMCSTENIENILIRHRLM >CAK84246 pep:novel supercontig:GCA_000165425.1:CT868496:366123:366521:-1 gene:GSPATT00018464001 transcript:CAK84246 MGSICLAKQQNFASLNQSNLEVAEEQAISDQMSTMSCNLSCNFISTLELQFKSSLQQNHI EQQQPIKMGSDLSQLTQQTMKKGILKNKNNNYEYELKMLTKTIKLDNEGMHCEKLVNYLK ARKYQDLQEIHL >CAK84247 pep:novel supercontig:GCA_000165425.1:CT868496:367332:368192:-1 gene:GSPATT00018465001 transcript:CAK84247 MIAQDSIAKQHHTHYHHKRINLDKASLKNKTALSLAVPKFKCTALFCTDIKTQELCDNTG ECVWNNNGACELFSGCGSYQVDKKEDCLLKSTQTKFCTYDEEQQSNGKYNCINDLSKSGG GFMTCFTLNDESLCNQYEYAVCTWRTFSQCQDESDEVNTCQQYQYCIGEESKDCADLSGF QDKCDKRENYCKWIKDNSTCMEKECSDYASETDCKSILTDDLKRAKPCTWEEGSCIQGFN LTKLNKYNCLIDSLNTSMWNEVEQRCQSCQFEKILNFIIIVLLLLQ >CAK84248 pep:novel supercontig:GCA_000165425.1:CT868496:368261:369562:-1 gene:GSPATT00018466001 transcript:CAK84248 MVLSNDETFELTFVDPFRQCKGKPLEYKHIMTPEGICIIGEVDQHFIINVECKDKGVYGI RVIVDGYTLPGKKTFKSKCKVQGFTNSEGNINCFKFQRPKSDPESTNVFKRNVYRPPWDM SQNDYRDYPGGAGEIIVKIYETKQEENTQGGGGGGGPRGGGAKKSQDPMFVEVTKNESKQ AEDQSLGISQGNVIELPPRQSFDDSRPPKPFKDVIVYEHIVQSFRVIYFDAASLVEKGFV KLNCHNHIAYLTEEFFQGNEAALIQVLQTLIESGQKDKEESDIQQTANVLVERLDYFFSG EQLNIFFEQGESRVITIGSWFKGLILGKGWPDFFQNLGMGAFGIKNKYDQKLYVEKIQEK VINNPMKNEIQIKQQ >CAK84249 pep:novel supercontig:GCA_000165425.1:CT868496:370450:371274:1 gene:GSPATT00018467001 transcript:CAK84249 MIKILLTLFFVGCLGNSGQSVGQFYTSNYCTCEQLQYQSDCTSMGPQCTWSDNSCSTLDC TKVTDAKACAANLKCMWTQNSSGESSCKFFTFCSQFSGSSQLQCLNISNNCPFTDGTKCG SSNQLYPCSHFTAQQYCQGYISQDGVCMWTDGTGCRAAQDCTLLNQQQCITAPKGCKYTE NKCSQLLCTDLTSQESCTYVMDVIYKGYYQLCSWNSTTGKCANAQNYGGLGQGNCYQQTM GTARWVSTSAGGECLSCYAQTLFALIVALFIIMI >CAK84250 pep:novel supercontig:GCA_000165425.1:CT868496:371966:372989:-1 gene:GSPATT00018468001 transcript:CAK84250 MLQIKFDKWVKIRIGEPITAFDVDNNMIIFGAISGYIGQYLYNQNELRYIPDVFEEIIRG VTIEQEKVYIVVGDVYGYIFDYQMRESHQWKFERPHSPPLCSSTLSLCYKTKTLLLSVAP TQNKDIGVDISTKKNSLYLFDLQNQDSNTYYADMPLLQVPFDFKGDQLLMLEYLKEAKKK LSILHLNDPPQVNILLDIDPKIEYISHGRFVKDGILFVENHKIVKIYNPIEKSQKAIKIT KQQIVALDYHENEITLLEYDGTITILAKEEQIIQFNILKVMQIPQELVKHKYLFDMGYPY YIKKREKRIVISHDLGLLSFTLQM >CAK84251 pep:novel supercontig:GCA_000165425.1:CT868496:373121:376942:1 gene:GSPATT00018469001 transcript:CAK84251 MNQISNFICFLSCLIHIYCWDNNLIIENDKRQYLYFLENERYYLNDVNIAIPFTNMEDLQ EKLNEPSSLQLLQQQQELNLIFNDEDAILLPFIRQSENYIDICYLQHQIIDCKFRINNTL YNEELIVNQIQSNQLFIPSNSCQNAFLLQDDLFLIQCHNPINQFQYFMLNNYSEVVDEIT FDLPKNCRFDSKFQFNRIFINSLQCEVSIVLVVDMIYLDEKLQFNKNYTKLHELANFPNQ GNLIDLKICQSNIILLGFINMLSTYNFQDQSFKSLKWQYKTWFPYLQSCFPLLIRGTLQP QSKVDYYYNPALCKMLESEPQIKSIEVSDEFCIYLYEKQAIITYDYFFQQTIQNVKQIFK LSTLPFLVILNKNNNIAFYKITCFKQYIEYTHFPFIAIFMKGVFYHMNEQIFTYYEAQEY SIKYPLDVLINSGVEIWHSKIINNNFKIDINQIQLSIPFYLDLEFDEDRNHFEFQNECIF TYHLMLPIKQILRVVSFEMNCLLIIYEDWNQKIMANLKKGDFNKNFQLIAKTQEFQVEVN KMNSDFIIILIGSKLLMKFRIFNYKNLEQIQYYKPKTKIIQYIKEVKAVICLLADNTIYT YEFDNFVDYSLTKNKEFRDYWAKNHQEKYTDFRAPHLYINYEDSIIHMKSIKPYHHDYVL SVDGQIISSNVFVTQKRILLIVKKENQTQLLLYFFDLDNIILLYEIPTFEFQVVFPIQMK QYGQLFCITTMKNEQEYLFIYDITQQGRYCLRYISKKLPNVINFELVDETGILLQILTDK IVFTYSTISFNIKYQNASFSAIINTQIKFQAKSYIQSIPSSFIIYLHIFNKDTVLKYKPE AKSKKLLLSSQNQITNLESIFGGIDNLQLSGACQGSLKEPIQVVSNIQLKCQYISQRFCM ISKSLLIYDYLGLNQTVSLSIEKIFLNNLIISNYNTKIFIYNFRLGTMSFLDSYENQESE EETIGNSPFQGLLDTQFDHYIKSINLIDDYLITEREQYFQIHKTKENESNLQCSIYSEGM KQIEYLYKIDSQFILLILEINSKICLSSCSDNTNELKSSNEFKPDFQIVSFKILNSIIQN QEIQITIALFCPQDMAYIYEFIYDFNLLTLLKYSNHQYLKYSNIIFENFLKVSDTIYVMK GNSQNQTSSYYLYQINISQPVELIDYVYKYEKDGEIQVYNETHFIQIQRDKEDKDYANIF LLEIDYYRLYLEKNCDLLIKNDVSILYSHIDFEDNLKNKSFMPLYVEVVNFLLILLFITK KKNNNVSRNNAST >CAK84252 pep:novel supercontig:GCA_000165425.1:CT868496:377306:379217:1 gene:GSPATT00018470001 transcript:CAK84252 MDPEKQLMDQPIEEESDMDEDEKRQKGDWHQQLLTACQKGDLTAVQRCLDKRANVLYEDK KKWNPLMWASCRGYTEIVRVLLSRQAAAPYVPEMALILQNFAQTMPIYSSPMNQSSPSKS TYFGGSPGGGAQSAVFSFQQSQLTKKVVQGVINQQVRSTPLMWACFKGHVQVVWLLLKSG LSWEDVDQFGNNSVHLAASGGNQVVFQTLLFYGVAVDRPNTRGHTAKDLATNAYILQLIK LLEVSGQTAKYFCMTCRKFWKQEEYRMEWVYENADSTDLEKPEGRCQNCWNTIRKHTEEL LSIIDKQDHKLLTEKLNEIEKGIVIKNENGKEEFQRIEIDPKNYKFALIEQEKLRTQNVI LDYLSTLNHVPNYKTILKSVNQIQAMLDDAVNRGVKIDAHVKEEAEKEMERLRAERNLQF ELDNLDIGLSTPEQVVVLNDKVVIATDKGVDKQYIDQASELRDKMAKAIQAKKILKMFNE YPAREIPDPIKWDPKTKKPIDPITGKPIDPLKLALQNQKKKKKKEPKFVIPEWANDVKSL DENIKGLEGLLKEAEVLNLNHEFVEESVQQIKRMKNEMRYRKEVDEQLKWIADAKAAEKK KNK >CAK84253 pep:novel supercontig:GCA_000165425.1:CT868496:379231:380814:-1 gene:GSPATT00018471001 transcript:CAK84253 MWQTANSVLQVITNTYENTRGVEYLDKKPQTQNSIDEETRNNYSEDEDFHEVDKEFDPNQ WSLRNFEMGRYLGNGKFGHVYLARERDSKFILALKVISKRQLNLCQLTGSLTREVEILTH LKHPNIISFYGFFQTEKRVYLMLEWAPLGDLYGLMKKQQNKRFNEKMASNIIKQITMAIG YMHSMNVIHRDLKPENILCFNNDVFKISDFGWSVHTPSNRRKTLCGTLDYLCPEMINYQP HDNRVDVWTIGVLAYELVVGRPPFESHNENDTKRKIQHLQYQFPQILSRASFNMIAIRDR RSNRSQITHGLLNELLIYIIIKQTNLKFMIRFILLQNRQGKTRLSKWYVYYDDAEKVKLQ NEVHRLIVCRDTKHTNFLEFRNYKIIYKRYAGLFFALCVDVSDNELTMLELIHLYVEVLD KYFGNVCELDIVFNFNKAYSILDEMIVGGEIVETSKQVIITAVKNIELLD >CAK84254 pep:novel supercontig:GCA_000165425.1:CT868496:381469:385204:1 gene:GSPATT00018472001 transcript:CAK84254 MHNISNSKLQLEKLDGLEIQQLSFNNELFQQVRQIWKDNHIPDCHQKYFYNILKTHESQA VTLMRQEMESFQNNHSVVKTLHQLTLSREHCLKEIQKTRNIGQMDYVRLISKLIYDLRKL TYNLIEFHRKWRNALSSKYQYNYTWIINRRDYLLKIRDDSNLIQITYPKMLSYFNLKEKD IFYLSVIEQAHTENVEYYDFLAQHQIKKSSVQRMMDLQRYFQELTDESHLVESNQMMETM SFRPNTSKLLHSQRAKVDIPAVTQLDDYPRTMLYVNEDLEFTIHHYPERDIEKVITYWQS KNIIELNESFKCPIAHLKDVILFWQEATIIQINQSALLVCSIDQDSNFRKWIIHSIYVIQ EQQQQPWQQQLNHIVQNLIKMLIQKGDNFGSLLISCSNDKPIKTQLHNLKFSFLKNHSYN QLNVSFYEQKIPQGQVNAKDRRFFTPLTLRMVRVFATQTQSQLITDEELLVAQFGANLGK NNIIHFENNITALQPILSKSKLYGQEYVLIHKLQDIKNRFSVEFNSPIDGPVYFQNFAIK LNFQYFSSEIHRNTNAPYIRLISHPPFNEQEGSILELTSSDANMKQRKIYLVSTINPNIK IYIYEVQQNEITKSNIQQNINQIFERFDKKQEGLQNIWLPFFKATGNQLTLPNSIVNGFF QNNTEISFCYHRIPGFHSISNVHDLDKVIQPPFLIGFVQNDIEQINKPLFSMLVEKDCII RSPERILQGNLQGQQPLPLLLQPRDIDGKINELLKITVLEVQKSFNSDPYNLSYKLKFGL EAALLNLYNGYALIYVDENHFHEKKWIIESIMTNNLDNLQGMLMKLSDYIFNADIYASEI QISQNHYSDQGELVANKQVVDSIKRAKFKWRLVDNDAKSQQRKTVYYLKRPVQDFPPKKE NQISIQFQNYYALQQSEQRIKTENKLEYFKIKDHYQLFEDCFQFHLESDFQDENPFLRNK FQQYQGSLANSDFKVLLNQNELKSQLQFDVKLPNFDPHLQMVNVLQMNTQLRLKRQRQVM IGHQKYIEIPNLMGTQQIYQADLYQKNLRVFFVPTSDSLSYFYFIELHNQQMANQVKQDY LNITTMISQSFPFKYNHSCKSLLIQQFEKKSQMTKDSNFLFIEFALSSQYTIEPQVVLEE KSDDQQWVVQLPIVCGVLQTNVRNQYERPLIAWIIEN >CAK84255 pep:novel supercontig:GCA_000165425.1:CT868496:385246:386346:1 gene:GSPATT00018473001 transcript:CAK84255 MMPILQRKRDYFYQIKQLILNMLDNITQSFESLCRVADDQQSLKPIDNAIRFMDQYYDCI QFVKQDYVTKEIHFKEKQNVQYRKHSSDINHIYASQDQILSCGQEVYLHQQNKCCVIKNS TLPVYTGLILPDGKVLIGGEEKVVKVYQQFNNQYIEVTQLKGFSKAISTIVMSQNQHYIG VSSFDGRIALFHTQTLSKLGTWTNDLGSVYSISFSVDNQYVAAGSKNGIAIWEIKIDNDT SSAELTQSLNTKKKVRSIAFSCKQKFLAATQKNDILLYHYYIDDFMIYQTLHHHNGIVNC VSFGQDILISGSDDSTLCLWSSSIKGYWMCYQVIYVNCCINSIALNPQQTDLYCDCFDSI IHYNQI >CAK84256 pep:novel supercontig:GCA_000165425.1:CT868496:386355:387044:-1 gene:GSPATT00018474001 transcript:CAK84256 MIRNILIRGQNIPFIKGEGVLIDHFNKIEQSKKFQNYIKSWEQSNVEVKQIEVSYVYMFG QNVGFVNLIVDAYLNGIKLPGFVFLRGDAVAILLLVNKKMVLTQQFRVPVGKFTIEAPAG MMDEQGDFGGVAAKEIKEETGISIQHNEMRYLQEMLVSPGGSDEVIHLFVVEKNMEQTQL DELCQKTHGAEGEGEQIKLVIQDFTWDNVLKTQDSKLIAAAAAYYNPKL >CAK84257 pep:novel supercontig:GCA_000165425.1:CT868496:387059:389271:1 gene:GSPATT00018475001 transcript:CAK84257 MINQIFNEEMRTSKKLKIKFQKKPGMKDSQLIMQHLAESVARESQKLEPTPNPFLQESKL QEQISERRRQSSVHQSDWFLNDHPLAQSNYFTPADRITFGQSIDNRDRSYDRFQSNWYVP FISLKSSLASSQLFSIPNKVTELIGHYPDYLKILSDDIQNQKTKICVDCNRLKDLISEEI DKKQTELLNALDQIQINYEFNYQTLQEMVTQFKQSFLSVPSALNRSIPVNISSITIFHYD QDENYKKIKEEKCLIKQRLSNIDSELMKRTLIFMAEDMDNQITHKPGYRHTETLQLKYDE FQKQLISSVSTQLNEMNLVLEIAPQYNYEHLLLLPKVETVIANNRQNAIGGDRDMQELEN LKTLIQNKLKSQKFNMNISLGLGQSMKNFGLKLEYKYQSNNPLLSIQAITDNIIAVGSRN GLVQVWDLKNKEQCFSFQNKQPVTCMRIFKGFQGDFNVTSRANVLGVDLKEQQYLVTGNQ DIKIWDIKTGGMVKQLKGQGNVITSMLCLLDNHTLISGHEDGKVTFWNAYSGMTMKKLQD HSDSVNGIILTKDGRVITGGSDKSIIIYQIHYVRSQIYTRQVFGDALKQQVFHDECQIYC MQASMIDEALFVTGGSDCKIKIWNLKSQKVEKEIMGHKQPVGDFVMLENPLDVDKEKMFA LVSVATSEDVLRISTSISPLNSGVYLNEKIVNEFGATCGPLLQLLQDEEGLRLLVVNQDE DNQSFMILKLQ >CAK84258 pep:novel supercontig:GCA_000165425.1:CT868496:389279:390219:-1 gene:GSPATT00018476001 transcript:CAK84258 MGNFCSQKEIDEQCIIQQRKSSSESFHYSQKPLKRLEKSESVYSDLQVSMSPYSQLVTQL IELKEASEMISKSQLRKSTRASSSLKRCWKCRNKFYLMFAFLLFNTPQTCTFELESCLYD LEQLAYKKQLSDIQIQFITAQIALAIHEIHKSGYIYRALNPSHILIAEDGYIRLIGFGFS SNKTVFFKINTYEEIRIFPPEAMEMLFDQSGDWWLLGALLYKLLFDCYPFENDDRDVETL KQKKKQNVKEFPREIDPNLQDLIENLLKWDPLERLTKIELIMQHKYFCQIDWKLLKEKLI ESPLKE >CAK84259 pep:novel supercontig:GCA_000165425.1:CT868496:390736:391909:1 gene:GSPATT00018477001 transcript:CAK84259 MSLQQDMMNNTDDGLSASRVELVQYPILNPATPPAQYVQMNDAKEAIPIAKQEPETSRET FLVEYYKKILRQHLSILGMFTFGLMEWFANIVVDFGYYYNTFRWTFYTFVVALIIIALGL PITRSLRTIVKHQNSIFYLQTILIGFVLIGIGNENSDTHATAINWWIIITLIILIAHSIA QIVLVKHNITHYYTMFMKFAIMGSITLNFVVGLCASSLDSSFPVAEAIIIYSIYYFNQLN EHLLKNSSELPEDVSIVGRIQIINKIQALFNQEAQSNQVIEQIKETHCVVNRYIAIVISS AVAYLLILILSIVTGNALFIVIVVVVSISLLSVVLNTQVASRTLQQEDVTYAVCLTYLDL ASPLKNILRGIISN >CAK84260 pep:novel supercontig:GCA_000165425.1:CT868496:392731:393982:1 gene:GSPATT00018478001 transcript:CAK84260 MQFSADPQYYEMSKYPNNLGQQTINPVMNPSVPQANLSPQVNISSENDPASPEQTRQAFL VKYYVKQIIQLAGIILIYGINQINAFSSIYLTEVDREWWGSKYTYESFSQNYHRGATRRE FEDWMEERRRDYMDYKFNWVYYFILSLTLCFTVFLAFARNSKSFARNQNTFFMIQTVFLG IVLGGLSSVHQQNWLYHNTIIYILLTLFGLMANSIFNLVLIKLNKLQIYGKLPKTFTYLF FGLNFLMGFREYQMVEALPIVEFITIYCFFYFDQLNKSLLVQPSELPPTTNIVDLLRNIK TELEGNQFQSNQHFIVINKKVLTIVSIGVGLILLEVFGFLMGTFIFIVVSILSVLTGLSF AVPTQVAQQTLTTEDSSIAVMMTYLDLLCPIQNIIRSIKI >CAK84261 pep:novel supercontig:GCA_000165425.1:CT868496:394447:395413:-1 gene:GSPATT00018479001 transcript:CAK84261 MEYIQKMIRPFTDRSNYYIQPPNPFKRKESKHTHDPQQVLLYIKQIYFTLQTQQNVNMSF LNPNYFSDIQSDLNPEMRAQMIDELMKAQRRTFHQTIYLLDVYLSTNRIFTAQIDSIYKT CYFVASKYEEIYPSPLWRYTHDRDEMQEIFQIEKELLCILDFKLVTASSYVWLNYYWTII NIAEKTSNWLTYSLLLLDVAVYNINIIKYEPSKIACASLYTSAIMLNIQMNWNSFASQFG LHFQHEIKEISNLIMITLKDSVNSKREIVKHMQLQKEREIF >CAK84262 pep:novel supercontig:GCA_000165425.1:CT868496:395647:397155:-1 gene:GSPATT00018480001 transcript:CAK84262 MNLYLFLSVAVIFCFPTEDLVKDYAKFNIPYTGQWFSGYLRINDDGSKQFHYFMFPQQNK NLTDESPVILWLNGGPGCSSLYGALNENGPFVFNLGTNDLRVNSYSWTNTAHMFYLESPA TVGFSYGDEHTSDASSAKDNLQAVIQFFNKFPELSTHQFYISGESYAGTYIPLLANEIIE YNKIATKRINLIGLMIGNGCTDYTECTIEAKRFPIHKFEFMHSHHLISEKLWEEIDAQRD NCFNSTAQYCKDLYAKTQEEINLNYEFYYNPYNIYGKCYQMPITKFNGETIQRSKMTLDP FDRQPGTVPSCSEAQGLFYYFTNPEFLKAINIDTSKLTKEWEDCSSTIKYTKDPRATYYL YPKLIKTGLKILKFSGDVDGVVPITGTFFWLNNLQNEIGLHTIEPWRSWTIPGNKSGEDQ NAGNVWILDGLWFVTIRNAGHMVPMDQPMAALIMINNFIYDIPLPN >CAK84263 pep:novel supercontig:GCA_000165425.1:CT868496:397271:397912:-1 gene:GSPATT00018481001 transcript:CAK84263 MRQSNNEKSLPVQGNAIDRQFYYNLLSLEKAIIKEPQLDAVQQALAMYRKCVEYFDNIQD PIKYYFLDKIQQALSETKTLMLIINSRSEIESSKTISPVKRSSISDLPPPLLSDQQIMDK KLRSKQVTLQIKLHEAADQQSEMLNTMVTDFTTNTTSTDQKVRQDLNQQADIIQARILKR QQSTKMKGCSSMQTLL >CAK84264 pep:novel supercontig:GCA_000165425.1:CT868496:397957:399314:-1 gene:GSPATT00018482001 transcript:CAK84264 MQEKLIAGLTSNYGSLLGQQYLKWLKMPPTYLRVMQSIDFRKGMYAAQQVKANQQIMLIS TEKCFTSVEFVGCDNRKSQELQTIASQIAHKICGGKVEQQYLVTGLLKIILQVFVHQKDQ SLNHSPFANMIQPPNTPLLWPQTVIDLISSRNLGSLIEGTLLQCQSMYNELQLSKVYGLT ALEFLKLFQAVRASLICFNPQKPKYFDMQSVQIVCPLVYQFDHSFDPNCFLDGCYLSHEN MSFVDFSAKKQIEPGDKLTINYGNLSNHDLLMRHGITVEDNPYNEMPLDLDFTKAINYTE QLFEQKQKWLRQSEITNIERQTLYANKINVQLLQYLRIYFLTEEEFSKNPLLEFNSFQEK ISEENELLVKQFMIHNIKNILSTYKQISKPIGKELQDLFKIQKDEMTILQNNLTFFSK >CAK84265 pep:novel supercontig:GCA_000165425.1:CT868496:399769:400360:-1 gene:GSPATT00018483001 transcript:CAK84265 MQDCANEQNYINFLQLERDDGSHEDNSSSLLLMNQENPSLTQLMELDVSPLNEQGKKIKK KKKRMDFHYKKKRRNNKITDMNNNNPFNFEEDKKILSLVLEHGPKFGDIAKYFSDRNQNA IKNRYYKYLRFRWDQTLGSEYRRLNCKRDSDRVECSDLTQIIDEMNFFPEITDLLSQFVH RVHSYFN >CAK84266 pep:novel supercontig:GCA_000165425.1:CT868496:401188:404141:1 gene:GSPATT00018484001 transcript:CAK84266 MNQTATVLTIQKRKHLLYACLASLFGGYQLYLPTKAIHPKEQVIIQLAAVIQPYLIAKFL DNYIVVLIVPAFINLQLQFIMNYFTANEHRKSGKQVGMQIGMLFFQTLVTASAIKYEGTV IDAVVFFFLFPREQNVELGFTQLELYSRSSYALLFLTLILILTHANRMIIANIIRYIYYF TPILIWIGLFGQFSQFLEYVCEQFNFLMGSSYQSSQKRTYLTVLLNVALIAICLGLSYID EQRIAIIISGCLINIKMFNIFGSINQDQKQLYKQQNLDRLYLFYNLLSSILNVISTILCG TLASSYYKELYYAIIVLFTIYMIIQFQFQQYVKYYKIFYAAIEYACISMMDYEFEGIFAQ RLLECTTIIRLYSLIHSNPTYLFFEQLVCFIVSFTTSYSMAYCQLIGFLVQIALRFGIRT KSRFVIWIIANYKMLTVKKQKVEGAALITSLQLLMCPITFFIMLISSILDSPYMPLLGLP IFYFSSLRPFRNTIHIQKHLSNSAEGQIYNFYLSKSYNKLLGSQPLWSHYLIRIGKYLGV VQVLEYQGGYAVIQFKGLESQDTTSCHSIEAREVDRLVNAQDCGSYFGSALTLQNQFSVP GYEENQLTLTGIIEATEFVSGFKTIYLKMLCYQAQKLTETNKKSIIELSKRFEGPEHPFP NQFADLIELKTNFLSFMSEDLEQDEGMKQQNTQHSKKQLQSNRDEITSMLEELDDDNYLQ NQNLHQYQNKNFNHQQNINLSGGFYNHIQRTILYIYQVSLRDLDFNFEGRINQIVLFDLF KNSNSLFEQHQMKGVDKILSEIATLAIRSALKISLDFYSIEQHLRIEDTQLLQELEGMKE CFVGMGSDEGWQKAMIQNFLLWSLGYDASQNQLKVYRQSYTNINCQVFRFDSEVVRGIEA NLQMEMLFATNDDDERRYSIQTHEQFFRNIIIEGSEFPLGYAPFYSGPVVISLR >CAK84267 pep:novel supercontig:GCA_000165425.1:CT868496:404181:405133:1 gene:GSPATT00018485001 transcript:CAK84267 MYQQNQVMYQQQQPPPQQHRDYNVPPPGYKAPRYPREELPENQEQNLDLGGSYQSYLYNC GECCGNCKAVCPCNPCVEYPYVQVEQSFVGVYLRFGKYIKTVQPGLIYINPCTDTIQKVD CKVQMIDCPRQQVMTKDNILVSIDATVYYRIVIPRRSIFYINDLHQAVTQLTLATIKSIA GSHTLQDLLEKRAEVQQQIEGFVDEHVWEWGIDIENMLIKDIQLNADLQNTLSMAAKEQR AAQAKVISAQGDVQSAKLMRQAAELLDSKAAMQIRYLDTITTLGQQGSTKVVLLPTDSK >CAK84268 pep:novel supercontig:GCA_000165425.1:CT868496:405503:406478:-1 gene:GSPATT00018486001 transcript:CAK84268 MNCDGRVEGNSKRILILLFDGFSCKILIQFYHSIYLKYSTNFDTQQQNAFSIYVIKNSWI INKIYQNRFFSIFKSFWFDEYCVNKINLISTLLFFQYMQSTDFLGVQPNFLQTHCMTAQQ QLTEFPFENAQEEIQIIESVETFNFEGDNAPNDYYNPIQKLPLKKPRKRRRRQVIHDRRR RRPTTKKINRKHQPIPFNYVEDRQILLQVLELGPKFYKIAKSFPSRTLSMVKNRYYKTLR FQWDAILGQAYGHLNASDREMENQHSMDCLQELSDENIYSQILF >CAK84269 pep:novel supercontig:GCA_000165425.1:CT868496:407672:408265:1 gene:GSPATT00018487001 transcript:CAK84269 MKTIAKPGSIKININKDDYQEYRIVMLGDMSVGKTSILDRYINRNFSEKHNQTIAVDLRH VQASSNITVSYLNFWDFSGEQQFWNVRKEFYEDANMIILVFDLASRKSFNSLNEWTQEAN NMGATKLPVLVVGNKQDKRNISENEGQDWAKQRSYHYIEVSALQNSNIDSMFKLIKDILS QKK >CAK84270 pep:novel supercontig:GCA_000165425.1:CT868496:408295:409373:1 gene:GSPATT00018488001 transcript:CAK84270 MQNTNKQVRESWKMSLINKFGLAEVIEHNLIFQPPKPNYHIKNSSDFNGLEFSVELKGHW VSLNKLCNLKHRVSILKEVENNVIVSYVPIIHYSCNSDRVIILSHSNAMDLTLASRWASK ICELYEVDVMCYDYSGYGITKQTMKPSELGISRDLSNVVALAQHQYDHIFLWGFSIGSYP TVDVATQFQLSGIILQAPLASLGRIIDNRNSFYSEHDKFSNQAIINKITAPVLIFHGTKD NIIKINHSEQLSKCCQNLFAFIKVEGANHNDIGIAAETQDSEVYKSIRELLHSEKSPPIK RVFELSLKYDNLTILDHIGDQKMYQSNLPTQNKKEINKKCDGQGCQLFCIKF >CAK84271 pep:novel supercontig:GCA_000165425.1:CT868496:409409:410543:1 gene:GSPATT00018489001 transcript:CAK84271 MSSNSEQVPLSFEQIPEKDIIKILTYNTFLRPPVVNNNGDDYKNERCELIIKELMNFDIV CLQEVFGFLNSRKSILKHKAFKLGFTYQAVSPSPSFFSSQMVDGGLVTLSRYPILSHEFK EFPYGILSDNLSNKGVLYTKILVNGQMLHLFNTHLQASYVGKESNVRATVSTRIDQLYCF KKFVHSTLEQQQAQENDLILLVGDYNIDSRYEQGYPNDVLKQFPILQQQLGNPQKYQEYD ALISIMTNNGKDKFINLLLEQEGKFLITYADYVEDESGQKKPMEIQLTDKADLLTGQCLD YIFQMIPENQGNPNQIKIKQVNVEKFFVEGQKFTQLSDHYGVSCDILVKLPQ >CAK84272 pep:novel supercontig:GCA_000165425.1:CT868496:410908:412100:-1 gene:GSPATT00018490001 transcript:CAK84272 MLRKEVQLLIAVGGIYFCYFKVGLIQEHLFKSNLGTMDFPSPKFEFSSVLIFLQMLIYNL LCLAMILKNKISFVCTIKEGAYLGLLNFSSMIGALTALQYVTASTYSSQLQLNPVCIGSW IGFGQPEIHKVANTVWVDHHCGNLCIQHFLDFRNCFINNFFVLRWVSSNFVEQIQSEEIG TMGNGIPHQSLEFYRLNYLHTDTRQVHSVLELHSIIPTSYSRNTVFDHLLCVGPSVHLLL HKLLLSTSVKCSNDNKKVLHCPLVYYEFTITSLVALGVAWELFMGMSSRIPAEKKKGSYI KVPYEDEKKEEETNGHHNKEHKNDHKHNHNH >CAK84273 pep:novel supercontig:GCA_000165425.1:CT868496:412315:415012:-1 gene:GSPATT00018491001 transcript:CAK84273 MAYINTLITITIASTLLGLILFFRGVFIDRHYIRTMAQGKIEKTNPVVLIVIDSFRMDLA MSNHFNFISQRRTDSESLTFLSLAETPTVTGPRIQTMTTGNFAPLTKVLDNFHDSEIVED SFIRQAKISGKKTLFIGDNNWLGLYPNEFTIAHPLNKMKINSRAMYVVDKKFQRLFGQNF DTSFDLAVVHFLGIDYVAHEYNRVSENKVLEEQLNQLSTIITQIYSRLSNDTTLIITGDH GMLNDGNHGGNSSLETNTVFFVTRKNAKLDKHYMQKIEGFRDDYETSVTSKDSYIRTIKQ VDIAPTIAKLIGVPIPFSNIGIIIPELFPGDVANEYCVENLKQMFHYSKKIQQDQGLFTR QQIKLWKNQINQVSTCAQAIPIMEDIQHQSRIIWNNYNKLLIFLSMIFQILSLTLYITTL LTIKYVKDFSLRSALEHLHKSDFNTYRNHLYAGILMVASLALFVELEIFIATILILIISI ILIYSIAQLIIGRKEQSPTYSTLDLETHRSEFQYFKFFILALFLHKAFRQGSLLFSIDPG TIEHNELFDQIFDLLFMSIILNTLIFLKTYYDSGEQKVHIISLAKSIGEQLMLGVIALIL LYLEYIYYQLGLEKSFKSLRMMKSLVHIFFPCILQHILLYYYQKLENKNKFNKAIPHLFL FTVQSYFMVPQIPLFDLKRTLIKSTLKPLIFCMPFVYIYAKDLVYFLIPSLLATTQYGIA IYTYFILFMLLIYRLSKPQLKYNKLICVALISLSCQYTWFMIGNECSISAVQHATKRIGF TTTNGVELLLIIIFILVGPYVGGCLVLKFVEKLFKQQEGDELREQSNTNKETKLYFLLNF YLQILFTQFHSYNNLGGYTTIQFKYIFDVVGYIIICLLVYIE >CAK84274 pep:novel supercontig:GCA_000165425.1:CT868496:415105:416157:-1 gene:GSPATT00018492001 transcript:CAK84274 MDLLNLVQLAIDISLTRDNYCEEIKKLRINLEVFCHTLKQLDDSNCPNQFLKEAEQEIQK TYEWLKNLPQEVSILDSVKFQIPYNNKVEQIKEKCNSIQQLITLSTALNVIKKSTSQIPS IPKPPLTIQPTVTQVDDIQINEKPEKYIVLSVISDEHTKTIFRKHGVNLQNKHAIHFKDN ESEIVVCKISRQDYSLLKEDIQQINTISQDHAQILCRKIDRRQKYPEPKVDENNDQQDVF YSLDDNVKELSKNMIDEVKYEFYLKITGRLGVFLYRKKENITDFGKQILPDTWANRIQNT TIKLEDNDKFAILMKKPDYKVPLIAYSITYNI >CAK84275 pep:novel supercontig:GCA_000165425.1:CT868496:416279:417956:-1 gene:GSPATT00018493001 transcript:CAK84275 MFSLNQIRTPRFLYCLRNYRVKNQILVIQLLIFTVIIAFLTIALVINRVIIESVIEKVSF QLLQNINQKALFKQSAYLEYQTFLTFNFGFSLLNKINNQNLYLLKQQHILLSNTPFSCVD YNVRQNQPFEYYLPEFCYYYANQVDFALIPKTEIDQHLIILIQMLNQQFLLISYRELEPT IYLTQQGEGQFFAIIPQKMRYPKYRPKERQWYKDHIANLNSSNQPEVVSEIYRNYETQEF EFTLTVSLTDAQLQFDGVIALDSNFQVIKPKINYDQLNIYLVDLAGRILISNVYPSVNFV QEMKFFNDTSITGFDNQDWIEVLKQILYQNGESNCQFAYKNFCRHNKLFGQDLFIRGFGI HNRFYQIVQAYFNVYLRFVDYKFMQENDNDTQELKSELLSQATAQLIYYVIYNISGILLC WIVMHFFLKPYYELTRLFQQTTQTKFNQKYEIALKKVKIFKQNNLIQTGLDNLCNKLSEI RSRKSRECHYMENYKYPKNLAKHAYKFRFYIKLFPKKSQIQQLTRSIKLIYDEILKILAG NTDEFYSKLI >CAK84276 pep:novel supercontig:GCA_000165425.1:CT868496:418161:419398:-1 gene:GSPATT00018494001 transcript:CAK84276 MSTQQELPIYIQQTPQSPSQISQVQYPILSNTVPADQYIQLDDGKQTGSEKTVVNDVNLD RQAFLVEYYIKISVQHSIILGLFLFGLNEWFSSIVVHHGQWWSSFRWTWYVVLSLLIAIV IGLPLLRKYRFIVQLQSTLYQIQTILIAFLLIGIAGNQRGNEYHKAVNVWTILVLLILIG HDLLQIVIVKFQKTHYYTVIMKFTIIGSLGLNFILALLNTQFNTAFIICGIIIYAFYYFN QLNNQLLKQTHELPEELSIFERIRKLSFTRHILNQEARQNQVIEELQVTHFVINKHLAIV VGSGVGYIIVLVLSIVTGNVFLIFTLILGSISMLSVILETQVASRSLLPEDSHYAVCLTY LDMASPLRLLLRSLFNK >CAK84277 pep:novel supercontig:GCA_000165425.1:CT868496:420177:421717:1 gene:GSPATT00018495001 transcript:CAK84277 MSSKQSQCFMNLQQTKQKQEEQKTRTKTPKIPFEQRINKGISEFKPKHLNKDKTYTKVHQ QKMEYKEQSQFRIRAFSQQKQIINQKCIKPDYKPNPSTNLQIDPQKDPQTNPSTNPQTNK QINPQTSPQTNPQAEDQIDAEIQHDYDIKDISNNNDEYQKLLIYTNILFYYNKNQQNLEK QSQSQQGQHKIRGKLYNTLYDHDQNPSYIIQEGEFLVDLNKKQFKLDGQGIEYQGKSNQV KIQGEFKQGVQAQRIPSSQNKSQYKSFSVEPKRIKIMEIIDGQPIQINNRIEKKSTWCKY NQQFYQRDLDIFKSNQWLNSSIIDCYVLSLNKKSEEEYFNLSQDKRRQIKRIYFVTSSLT TNISRLNNIQQGNDLFQKHFLELQEVKYKIQTIYSKIGFPVVSNSHWFFLLFDLEENKVE IFNSLKPNLNAYQNLITKLSELLMVQNPQQSINGNSGSQNDGYSCGYHVCCFMKICHKAQ FSNSSLYHYDEKEMRGILRDLINY >CAK84278 pep:novel supercontig:GCA_000165425.1:CT868496:422677:426249:1 gene:GSPATT00018496001 transcript:CAK84278 MSQIKQTQRNSKNLDMQPQPQAQIQGSQKIPQGQQEKQNQQQPQARKGGPDQTTKLKNPN DTKTYVLMTLQTQQSLIQPETSRQEQSQEVKIANQEAPPKFACLKPRPDPVLNWETHQEK KLYSEFQEFIESRYLEVKRSSSIYKYYAKIGLQVFIYILNVLELIFTLSYKSYNNIPDNE VFYLGCYHISIIGCALLHSATWMYTYKFRQVAKKSLFIEISYYIFYMFMGGLSFFKIAPF IYYYNRDQSITQFSFSQINKYLILDGEDKFRNPCMLFKHRTKPVNIFRDLIFHRIALLSM MITMCLQTFPQLFIQGFYNTEHEWDGFNVFSYLLLISNLIYYLLELQFIVFTTTYRQIQT ELQFKLKKIKLKFIQETKQLLKSDKKYLGFVKSFYFHIDPTTFSSYQKKRCIVQIITFLT RYKKFQNVQFLYIDQYDEVTLQYLANCFKLIQVENISLQYKDANKLKMLQDTFKPQQFPK IKIELMQDQNVDQLWDTDKIDANAEEQKIQEIQFIENPKINAGWQAVAQNQKAMREYIEM SYHFIKLIPDHLRKSVRLNSRSYGTGTIQSQINIAQTDRQETQIDIATEAKIQFQNISKK EILEESLGYFKCLMTYINYFETWGQMNQYQATMQSLQSFLNGGLQIVSLVYIGDDPDKFQ SALIVLTVIIPVMQMLSFMVFQHRVFKTLNIQKQIQNVLLFAIFNFLKVWDIVMIAMYFF SKKFFSTLERKFSAEGYIKFKSYASKFQGSLPISVFQYETVQVDSKSILAIKQLPFYQAV MWRTSVEEALNKIPQFFIYILALSSTGLTAVWAMSFFQQIKEGVLAVKDILEVVIKDFFI PALILSTVSVEQFFQSMLYLSSISNQFLLEYPKSFQIMSKVDEKYLKEKCTFKINMEHMD FSNYKEKKKEKILAQFRYVLASIQNILEIDKAQRLFCMGPEINDFVRCLKVSPIYQLKLN FNLDEVNPLDLPHINAFIKCCPPQLQFLQIQVEATEEIKMPFCVERKATLKAFSYSYFQI IQQYKDSAQVVQQKSLDVNKDFLELDRYDFEQFYFEIAGNLNLEQCSQLFGNFKEMKIFQ LSINNNAIMQSFNFSDNIKSKLEVLDITFENIRLDFQQFPFNTLKTLKMNLKRCEFNKAG LYQSLVNLKNGESKVILIDLSQCSSTFTKAEHSNLVKALEQNKFDVTIII >CAK84279 pep:novel supercontig:GCA_000165425.1:CT868496:427153:428925:-1 gene:GSPATT00018497001 transcript:CAK84279 MNNIKKYFFDDYLDSNIYLKTKVTKSNSKIYNTLQPQKFKQQSEVFTNINYTDYNKLIIQ AEQKLYEEWLDSQEIWNIIKKFTFRIIITEQQLEQQYFQHLGLLLLQFSFLNLTYDIILS GQFKAIFLKQKQDYLSVNLLDSILHAVNGKCKKDFIIVDEEIQHKNDFILLFTKQLLEMS NKCKEELLDEGFTSIIQIQDKFDYNIINIENGQTISNSKNLIELLNQIVENQKIRTEKIV QKLLDEAEEIKKTSEFESQLDSKEANDIKALLEVSKFTKYSLSSKGTTIDIKGIIKFFCT NGTARDIMKKKNKGGKSFYLFQIVLDLSQIEKETLQQILLPFLFQFIEICKQCSLVCNLM ILNHTQNIIKQNFSTSWTDQEQTLLIQSLIDQCNKVPLNDNHNKFQLVLDQFERFSQYKK YLIFINHSFYNYPFHKLQIQLNMAQQMQIKVVSLGLDIKKYINRSVDGVIQYPLIQSNNA NLIITNLLQKVYEGVDVDGSFEFFENIEKYPKTLDLQEITSEDKQSNCYLNKYFNYVKCE DSNKDSDQDQQLVRLNKLDLLLNLLVKFKEFQDCFIKQQSDSLKQLGQNT >CAK84280 pep:novel supercontig:GCA_000165425.1:CT868496:429194:433450:-1 gene:GSPATT00018498001 transcript:CAK84280 MKQFFLAVFNKEIDIVFARKINYDDLNVYLVLGNCNTSFKLSYEVSTQTLTNALKLDNIQ LAFEISDDTKLKKTLYLSFELNLFSHNDFRIEFEIDLLEKTKQFEFNFNLEQNLHKFLEI LNSYYDFKLPIFSSDFKNKYLDLSCTLKLSKTYSFQSLILECKKNLSIRNGITQFKQITL ILEIKSKSTQLSLQFDVNIFEIPFTLQYDQGKKKFTFQNNSIFSLRGTDIFSYIQKKDGA QLKEEKEYDRFDISRQELQITDLIFQNDKIFVTLKEPYHNINLYFRDHYHSAIFQYSFDN HRKFKDIFNYLCEFDYSFKLKKADCLFIYTNQNGNFIFKELQENTNYVNYLKLIEKGEIN KREFSWFANCRAQIKQELKIILFFLPQEFDLQIQYQNLKELKAQSPEFSFENANLKCSFQ LKSETLPSYKIYLQLTQFYKDFGSLTYKGNIQFGQESQEGHFELVYNKAFRIVGMSFNGK CQFKVKNQQITEFLGNLTGEVYKNKVNLILFNYYHFIPKTWVVEISNSNLQNSMEIFCME KIFPENFFDFFTKTERILFFNGEIDLDLTNPIFPKEIMHKLKDTKFHTLLQKFDKLKFSN FKIELQDLEETSLSWKKYKPVFIFIEDQEGNQNFATSSTLLFNNGDIYIGKGWAKFTITC IDFDISLNYNIDVKNSCFDLTAKLSAKIFDNIPFTTCSKYILGKGFPLSCDFQWDRKYCK LCLELQLFRPRIKGSLEFDKTSWLQLDLKLIQIKYIGIEFIGDVIFGVAAHFIISFSFCQ NEEHKSRKPISWNAQLIINGVNLIRDVFKKFSKLFDDGFLKKLKRFGITLKHDDYEVKKM ICNKQKEIKELMKEIDVDVSGDIEKIQVEVEKYEKEIKEEQEKKKILKEKICEKAQKDEN KESKQDKQEQKEKQHQQNKKQQEYEVDGNEKNKNKKDQNKSKQYNHDQTKNQNLTNYNDL EKVYKKENSNENNKKGYDYRQNMNKNSQAQEISEEKIEQKQQEQQLQTKKTNNQNTQSSN TNNGQQTSQSSNTNVGQQTSQSSNTNNGQQTSQSSSTNNGQQTSQSSNTKNGQQTSQSSN TYIGQQTSQSSNTNNGQQTSQSSNTKNGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSN TNNGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSN TNIGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSNTYIGQQTSQSSN TNNGQQTSQSSNTNNGQQTSQSSNTYIGQQTSQSSNTNNGQQTSQSSNTNNGQQTSQSSN TNNGQQTSQSSNTYIGQQTSQSSNTNNGQQTSQSSNTKNGIIDIIIIKYQQWIIDIIIIK YQQWIIDIIVIKYQYWIIDIIIIKYQQWIIDIIIIKYQ >CAK84281 pep:novel supercontig:GCA_000165425.1:CT868496:433667:443512:-1 gene:GSPATT00018499001 transcript:CAK84281 MSIIFQASNAQQLSLRNTKVTILSKNKSDSEILIFDSDCLIFREYQLTNVNIQSVVCDPN LQFLLMFEEQEQDKLTNLYSAQLEKSFTPVTKIQIPTTQLRLVDWCNSRICALIFKNDLV LYDIFLNKVIKKIQSDFNQIEQFTISKKFDQILCEFQQNNHNSICLVLAVENQTEVQKFN NCVSCKIIEEQEKDSFLLIQNKSSQLVIILAKFEDGFQIEKEIQQNLSDPPQNIIKVGYR KKKKEILLLDSSQTLHIYSINSNFQMQQKIKSIPNIIQFNVSLLDENLMFLDNKNQLLLE NLPPDISIRPPYPTQCLTIASQVKKRALQGILTDVNKTKSTELLMQQYQQDFENLNPKFT TLVNLNVQFRFLPLVDYRVLNLNYQANSKLKELIPNMDTYAETQSVKKHLLQLETAINGD NPILCEGSAACGKTSIIQYLAYKNKQPLIIMNLSSFTQISDFIGKVEILPGFKFEFQPGP FAQAVQEGSWILLDEANLASDSILRVIEDVLELGYITLYGNGTLTIKKHQNFKLFLTQNP ATDSKFAASRNIFSPSLMSQFIPIKFESMSMNDLNFIVDQILVSKLQEMKKQPEQKISIQ QLSFLIMMIYEEIKLKNMDDGLFTLRDIVQIIDFIFVSFEQDKDKIFELLPLKDCMKLIS TQFIYLKKNRDLINYQIDQNKFIADTNDAFDQTKSKRDTEIMNWNNTNELKVLSFHETLF SMLTLCYKTKRAALVVGQQFCGKLSSILLWLKFQKIEKYEILELSSSTNAEDLFGKYQPT QNGFEFIIGPVTRCFHQGKVLILTNFEAPDCALTESLNGILEKKFLQLLVQNEKYQRHQD FAVIAVSSDFHELDKKITPALKSRFLSFFLEFQVNQDDIIQLFQSANIPSDINFVRDITT KLMAGNNGKTSSNLETQIEQISLNKIIRFLKPIKEIQKFAEAQFKMNLQQKVIQCIDFFI ALSLQDNKSLLSEQIQVPLHYQQLQSKDSKKNNFVVTPSRKIVSDIIAVAVAAKIPLVLQ GTAGVGKTKVISTFQQTCKLFESTTFHYINLNQNTDVNDLMGQYISSCNQDKKEFILKKG PLYLGMEQGGIVLIDEANLSDASLLNFLASVAKYPSEFQDPISNKVIKVHENFRIFFAQN PPSYNSRTELPQTLASKVIVVEVPNYPYEEVIKICTESQLSMKKDSQDCIKKGMEYFLTK LIPYPKDGNENLLNFNGTQFTLRQFIRFRNRLEKTNFSTKQPNWENQLQLHQIILFPKNA SEEQDLECKIEYKQQTIKFSIKNQQAEISIPFKLGKNYNIHKSAEQNLNPIQRLVLCKIA FSCYFRENILIVGKTSSKTYLSQLFAQLVEFQNPYPFELMYINSQTEITDLIGSMESHNQ ESYEKYCQNLIKKLDKDEQNINQNEINIESRFKQLNDKYQQPSFFDQVFKNIGNQFPFIE RGLTFNARFGGVVCLKNISMADQSVIEGLNALLEIEPHFIVNGQEIKLHNEFFVIALLNT NFGGQLSDALQSRLTKIKIQVPQLINSTKILDISYQKFIQSKIKNQVESDQIIKFVQDVL IRTKEVKEVYYENISDRKFYQWMSFLNLDIDATHLQKLALGFQFTILDKYRITFEEEHYK QALNKIQEKLKDKQFFNSPKIIMNPSTIKILQRIYSAITTNYIPCLIGPPGVGKSAIASE FASIIKKEHCRVCCSDSLSVEDLFGSYAPKIDNDQIAFTFQEGYLAQALSQKSLILFDEV NLASPEVLSTLQALFNTDEKEIRIKDSRLNKDKCVFLCSMNPTSYEGRKDLPLCISNLLC DVYIEAFSIDQRYKNEIKHLQNNGVNFQLMLDLHKELALLAQQKMKSNYDFNIRFLENLL QLFSQQILEQRQKLNDEKLQLELIIVCLDMIYVQHFYKTEFTKQVIKIILDKFGLSEEEW INRRVFLEQNANIIRISRQIEKDFKPLFNFILSNQVPPQISNNSLVINLQNSAIFEKLFI AIHSKKVILCQGDVSSGKTSCIIKLANLLNQRFILLPIHCDLETDDLLGNFALVDPNYDE IQQELERISLKQKQKFIYNKKQKQGMNMKYIEGVLKVCCKFGYWLILDNINLARAEIVER LNSLGEDSPRLFNNEFGDSNSCIIPHKNFRLICVQNPSREDQHILSPAFYNRCIKINFSI DIADNYIDVIEILTRSGLGQQLQLEDTVILASNILNQIIFIQEQSKCLINLRSIIKAFRM IQENGLKYYDQTMEILFGSDFKECKNHPDFPIFDFNHQLQVDQILEKYNDTILNKLVSNS FQIVNHDQTKRWLDLKNLSQFLKKQLSNNDIQNYQSFIEGISRQILLVNFQTENQYDLGC YEIQVNGELNIQLCQETLKIEPTFLSLYIKGKELLKFIMKSKCQFQQYTFLAEARLNPGG QLQIQYQQSNDLSLVPLIKSLIGPSQEQFFKTFKHLLSKSDMECLLLLNQDLEIILNFSL NDYTFPNINLKLKDISGKFASNKENIITQFQLSCDLHLELNYYFIKQGYFGRINDLISNR GEICIPQQNIVNIFLESGCFSLISNINLTFCENFVPYIDFEERRVEIQLRCEKPIQIYKL PFWIKINKIDTNIELKQPIALNGSIEFAFQFLDQDIGNLVGIIQKNTMIFKLTEQLPQGQ HQIYSDKIIKKFFQNYFENNNIFISQLSKSKATSIKKLDIITDAIYWNFQIIIEFDWNIQ IFEKINFQIKSMQIYSHIDSKIAQFTIGFTVEILKLTIEVELEFDFLRFFCQDLEKCLTM KLKKGMNSPKLKHIIAAFSSDLEKQLSQVKGFDEIETIQFEQENSKSFLNLDQFEKLGEE LQQFFQMQEHKMQSQGYDFEEAKSKQQKFQTGLDEKIAQKLKQMQDEKIAQELKQKQEAK DQEKQNQEQTYESMIIWDNSVWLKFSVGLLNRRKISEFINLCDCYLTIFGSDKCFGLILK GLIALKGFPLNTFELQLNPTGSLNLEIEDKSLQMSIDPFKLGFGLLGRQFEGIDIFPRVN HIVYFNYNIISQEFEGELNVVKENIITKYTGLTFEKIQLTFQQSEKRSEGYADLKVKLLF FQQEVDFLLKFQDSLSQESNPNYQLKFNSFIIEKFSLNQFIKNSVGIDIIEKDAKFEVQD FSFSLLLEKHQVWEYIVKLFIANSQCKIFNLINIKELNGYLVYRKKDWEETCLSGICRIN QFLLGSIDLKFSQLKQEFYCDFQLDSKFSMKQFFLAVFNKEIDIVLPGKGGMMMGGDRVM GKGNLIGRSDEDRRGR >CAK84282 pep:novel supercontig:GCA_000165425.1:CT868496:443575:443861:1 gene:GSPATT00018500001 transcript:CAK84282 MISSRSTLKNLIFQAQKELISSKYYLNSQWQSQTFTCYENMEYIEQHFYSRRLRESQLLY QLQGQLEFIGKLAQNVIAKVIYERQ >CAK84283 pep:novel supercontig:GCA_000165425.1:CT868496:443906:445732:-1 gene:GSPATT00018501001 transcript:CAK84283 MSKINKFEIIVQHILENQREKVHYDIQQEKINYGVSQNIYGKFHKEKYLKLGVKEGDIPM AKDVEELSMDEIINFYYQLYIKNHVEKIVDPNTAYLFFDTLVNLGTRNATLFIQKICRTT KDGIFNKVIISTLNNMNQKDLQHELIQSRILFLEEAIKRNPLKKRNYENWISNLDQLQEQ IDKGIMPGLEDQKLEQYESKPQFQNMLGQFKDEIELEKKIRGINFNSMKFFIDVQEDIHL TDLATALLVLSDPKLSKQEKMIKFNLNPVFSLNQEEVQQQEKLNWPDEFVKRNVINDTEF AKVLFESSHQLQQISLGIAADGKTPFKYPRELELCGLKSYQNFGSMMGSQQIYKFILYPQ KCVYSYQDGQFLIESIQIKCKAKQIEIMKQQIIEKDIKDSNDQGVLFAEKFSEIYEKISK YYPIFKRLEQLFKAVILATQMIEKNVHIDSDLLKTSSLISYNLPKTISILKSEYQQKDAK LNKSNKEKSLFRFTLEEIDTSCQNKIEQKAINNQLKFNNLTEIDIPYFQQKKCGSCSRMI ESQLLNLAYNNCSIHVSDSCYSCIQLIQADNQILHKIEETEFTFHKTCFEVYEQRKSSEF DFDFENAL >CAK84284 pep:novel supercontig:GCA_000165425.1:CT868496:446766:448037:1 gene:GSPATT00018502001 transcript:CAK84284 MSSAIHLHIGGAGVMIGDALWKLYQKEQEETNVKSYIYNENDNNHPLSMFIDLDDRMVNE IKKDKSVNYKSNSFVTGKEDSSNNYCRAHYSIGKELVEKCLDNIRKQVESVGRIDSFIIT SALSGGTGSGFTSLLLHRLYVEYGEKVNRNAFLIYPSKEISNNTVDIYNAVLATRMTIEH CNSVVMLDNQSMYNTIDSQIGLDYVDYSHLNNLVCQIISSYTGLRRYSNIDNGKLFTGLC PYPLIHYVIPSYGQLASINDQINKQLNEKQLIQQITKPAQRLFYSEMKPEHICASFVHRS QHQNKFFGQQDLTLQRMKIKYQANPNVFQCQSKNYTVIPELAQLKQTAIFLSNDASLFNY FELLGKKYDLVYAKRAFVHWFVGEGCESGEMSECRENVAYLSNNYEELTDGALRVCQTQV DDD >CAK84285 pep:novel supercontig:GCA_000165425.1:CT868496:448304:453667:-1 gene:GSPATT00018503001 transcript:CAK84285 MRIEGQLTQPFLLVLQLKVLYFDQVTISQVLFLIRNRLEFVFQNRGQSIDFDIIDTNFYS DRTNPIKLQWSSDNFLKFDLNNVNIFDLIIKNSLIFSIISSDEGELITLKNLQLSNCIFN NSTLLYFSNMRRLIRIDSLIITTCQFYSSSIITINLNSNEFADIFINNVEIKDSFFQDSN FLNSNGNSTINVNKFSLTKSSLNGCRMISFSKSFYFHDIILKENLLKDTQFLVSLATTFM DSEIRINNFIILENQVSNFSAFITEVKYENNNVNIKLEDFLFENNIQDPEDHYQFLLKLT CYTLQINNIELKNTYDVRFFSIFATPIIRVENMLYENQNQQEKVAIKFGCLQNNQISSQL LLVSGFSNLIFQQIQIINQFSIDQSIISIQSNPLINFNAIESIVISHVTFLGNILIKQKL GLYYSLIQIYSEKTQILSMNNVNFKENMFHQYLTDPSDNYASLILINSVQGKIIMTNIIC SSNALTNSSSSFISIFSNTITFETFQTLNHNYLEAEIWSKYYQVQISNQYTQNEITQIIK NAFNIETIGGALQITSTQLNFANGLFSNIIAASSLIFNINLQGNGIVIIKNCDIPNAQTQ SISSSQVDGAITINAKGSLLAVEIENLTLKDVQDKLSSAIFSIYPSPTKNDILLNKIYAQ NCFSLSSILKMLIMDQVSIQNLHISQTDTALFLYLQSIGTLNPIEIQKVISDNAIINIIG CKLEMKGFQIEGIILSGIIKIVDYNEIFFANSNFYNISTFYPLNILEFSQSNLLGANLLL NKISILNVNSFSTKKTQLNYINSNVQLNINQYTFISKDVTQSNSEQISINQFFEEILANS NQKGSLIHLKSITNQTQFSLRKISVINNDCQHCWNGLIYFELTDIKVIKLKEINCLMNFI KSYGCILAISDSSLDKQIYIDHSIFMSNHGTKGTGVQVQNLRVALQNTRIINNIADEKGG GIYFNQNSQRFLLNQTLICQNQAQEGGGVYLEGNSSLNVENFKQSIILFNFADVSSSNIN ELPSHLALQINYQEMHSQRQSLNNESVYVLQLNPYKIISQDHMRRTNYLTIPSGQTLINY QLYNPKIQQYIAYFNEISIILKNSMNEQQTLNLINSSCNLTQQSFDVSTYSLQETTHTQE IAYDSIIHKFNIGLSKFNFDPYTQNDKIQEILIQCKTEYQDSVFSYKMRVKSFFCQLGEF YVSGGCQTCQSEQGFYSVTYNTTKCSIFDKTKVDAITSNKIQLKPGYWRPNYISDYIELC YKSTSKCLGGWAIGDKTCNKGHLGGLCEECDKFNLRGDGQFFKNQQQLECQQCQELLKRL IAFLLISFWAILSTLLTIKSIEKSNQLFTSLKLKQKFADILFNLNQDHESILLKLFLNYI WIFSLIFTFNIRFSFSLGFLKQSSDTSYFMANYFECFLSEIHGTELIYSRIILTIVLIIS QIIIIYLGFQLLSLLTDYKYQSRILSITILYLYIQNYASVINQFFSILAARTISQIDYIQ GDVSLLYGTNTHIKWIYGFVVPGSVLLVIILPFSMYTYLFFKKDKLNKIKYRRHIGYLFN EYSGKTYFWEWIKLWKKTIIIIILIYFETDIFLKATLLGLCLLIYQFISQRFKPYHLQRF NLLDIQAGQLCSSAIFLAAVKYICDQQDNSIISGVIQTIIILISLVLSFPFVRDILKVYY KKLKPQILSSLLSVFLASQPNSKYTKYLSKNLEHLKQKEERVKNNFYKLRQAFFKKKYKE NYQQIIPLILDQISN >CAK84286 pep:novel supercontig:GCA_000165425.1:CT868496:453900:456733:-1 gene:GSPATT00018504001 transcript:CAK84286 MFKRFSLELVTMITVANCIQTTYLLSQYPFQVYPLSRSQMYTACSGYGVWSRYIPLSTIV KIGQIGILDSNCFHLHHAQEPTTQRINLIYYECLNYEANSIIKYLQFIDNNGDDHIYEMP IEGEYEYVWFFVGIQTSPFQKKLNVYFYEQGQTIKIHQMQVELPFYDDQLNLIFGGDLVV NENQQIHNSQNGKLSYFPGQVLIAQGYYLNVEEYCDFIISYIENFKLDDCRCQSSENTNI NNQVIQQQEEFLYLSQQINCLEFLFSGWIKFDQVQIFADAVHFKFLKLSGNVKVSQTTGD NISPFTLTYKLSSKGNQIIITTYSYTFPSINIDFSNDPFLIKETFNIVSDVKLWHYLNVR KLETAIQISISFSEQEKYEFYQEVKQFNLVQFKLQYGDLLQTHSYQLKIQIFDFQFFNCV EDVELGQKCHRTCKECDGPTKSDCLSCAESSNRRYYPDFKECICDYGTIDMENECLTYQS LNLSIIIPEEVPTSNCKYGYFQFEDQCFRCPSTISENVLTCLECLQNPKEWLNMLYCKTS IYSDEDGNISQQFQDSDSCYNFDGNSLSYYKCGDDQSLSTIGKFVSLTIPPLGSQQYCLP PYFLNFELKCTLCMIKYCLYCFNYFGNDHSITTLNQRFSYSIVGEEIKTGCSQCNDGFIY NFEKEECLYQIPAISNCLRSYIAFDGQEICTLSSIDDFSIAPEIINCQKHMYKCKQCIQT PELIIKCIICEDGYSASAFTGICTECTLIQYSKTCIQWYSKNVEPWKWLIQSFRIQFLQI KNFSYELIITLSKNYVVQCFDGYDIIQNQCHEYCDSNCQICQKNVKPPQFFFACQKCGLN YYKYRQRGQIEGKCMECPSLCQTCEYRSSEEIEKINPIFKITTDNQIYTYEMPINRFPQH KLQLIQISKSHRIVLMIIVITILSTKQ >CAK64635 pep:novel supercontig:GCA_000165425.1:CT868033:2089:12546:1 gene:GSPATT00034111001 transcript:CAK64635 MDQQAKRINTYLFMSNAASVYTLRNYMLKKFKQLLNNLSHGKILKNEASNLDLEPCIKLR GGGCCGTKKIHSDITYGNQNFEENFASKLQTYTNIIVEKSLNFQDKMNQEEILAAFQWFH NHKEQFNIICKDDALNSQFYELIERIVEQLMKQLIIFLKLSGFLFYLLLQICNVLFRIIF SYQSKNEERYMQAELHKRFLEYIQEIESQIQVESINIWFNGVDFELKMIKTCIAHCRTNS EKGKELAISIMSGLISSLSQLKPSDELIDSLIEGGKFLLLNFYNKQIQNPLQIYEIYYFF EILKWSIVNQLKQGYSVQNIIRQIQDGYQKYIKLSKDWMIHYCWVNLISDLMCYRPIVQK DQLSQLMQIGTANQENWNQLLKQNQIILLPYNKFAGKLKIFGNQNFKVKKFAPLKLFQEY LIQNSSEIQLLQDYINFNFNCQADQQLNEEDLFIQQLTSQSNLELIKDLNNYLGSQKDQL INNFESVKQQVLQYNAQLKNNKVIEIKIIKQDIHFLMKSFKQTSNLILCLLQEINLLVNK ELQINSIFQTFLESLNTINAEQLNKIKQQTLTLIQEIENKHIAEFIGNLDQVTAFWIHLS EYTTIQHEELIEGNLEQDQSLEIQLNETIQVFATYCLNIDKFLLQVSTVKRNFLNILKQQ NYKDFLKAPISQRHIAEEIIRILYSKLIVKLVNKVQGYFQNMEKVKSKIEEVQDIRKVLK IIYTSLNIHKGIKMMLCVHQKKLNTIFPQFQGIFSSKGVQEADGEQQNQTDINEQIRALI LDKKEKLQILFNKYQNTEFTKEQQNDFNNLSYQIYREINDIKKQNWKEQIQEPLILLFQE VRIKLEIFGLVQKERNIIQQEILKLLDSYLQEINQEEKTEELQSCSRDPQLPSSRQELLK LNLGDLIECEKFIKTLEGLIMKSQKLKRIFKSNLIEAATYNQSTNVQLLNKLKSVYTQSL YQIISDISQQTLQFCQQINRNEQMIFSENFIAKLQKQPQIQQVHVRIQILNFNTLCIEDQ APQNQDRKDDIISTMFKELKKSTKTNQEVSIFDMFQNSSYKAREILVFNLIKMQSVVQEE IIQEFCENLLKQIWIIEKHPSVRSLLKNEEMIVMQKKLFSKDLQNFSNKLKTEMQNRFKQ IEQLETQILLSDNQEEIKLQLQQEYENFEIYLDNITDMSQRLDISLMFLREISKDLKNIK SSIDKVLTSIKSVEDDIRRLRGKNFMELLSMRKQKVLKQKQENELDQIHIQVTTQDYDPI SGNKKTNSKGEFITFLIKNQYDNFDGEVNEFLWSDQEKQKDVMLIKGKAGSGKSRAARNI EEFIWICDSIKPNWIPIYLSLPSLKDPHHNLIDQALESEIYNFDNIQIREFKDAVINGNL KIVVILESYDEMKIDCIGTNLYHTNRLVQDLNLQASGQTVKMIITTREEILNQIGYQTWF YGQSIETLKEIEILPFSQEQSSQYIKIYAEISVKRTIKRFYEFLKQLKGQVISLDEFKQI WSQIENTINSIIMQKQNSEVLFQTQDVDKLILKIQAVEFFNFIKSNQMVSLKKELLQLWG EQKFSQVINNVNINHLLTTPFMMEIIVYVLPKMSQFFSQSNLIRDLLKKNFMVLKREAKK SEVVIEEYQTRQNKNSEDLNQLNVKKEKKNLESEILEQFNLIMEDLDSQNFFEQFSIANS LEYINNNTIFSSRFFKVRYDANFIVSAFRLNQFTAFDFYEIFVNFYHNQQLQKLKDLGKS IKLESVLLDLQDFSIFLAIDMSQRQITQVNYKSKGKLYIKQAEEERKVEVSWEDSYFSDN QDDFEYKTLLRKCMLINSKGSIYSFNHKSIQEFFVAKYILNLIERLFNNESQSVDQTVLQ SSSFNKDQFNLSLDHYSGTLEILKPKLKQIGEIKQKLIQIIQLSKIESKKKFLRSASNSL YIISSLREHFDGIDFSNIQIEDTKLNGISFYQCNLNNTCFNNVSIDSCNFNCAKIQNAVW EKLICKEKPSLSGHNSKITQVVFSDDGTTLLSGSQDGTLNIWQVYADEEPKRADLPNNDK LITISKGKNLLACLSENNVYFYNPHNLTQLRFEALVNDNYGDVILSPNDEWLAAQVKQGQ VHLWKIENLEKPIQNQRYISKENDKGQINCIAISSNYQLLATCGKQITLWNCNKISDIQE IAELPAQSKNIFGVAFSKDNEILVTGGENKQLKFWNIKDLTNVQLLYSFDQQEIIMQVSY SYDGKMLAARTQSSIKLYEVDKLLEQQDFFKLLPQCHFKIIEISSDCQILTSAEYQTSYQ NNSKAKIYVWDIKNFHQIQMIHTLEEHTQNILCLKITNDNKVLGSSSVDQTICLWDLTKY SLIIKLEAHTKKVSNIAFSFDGRQMVSNGDDKTIIFWDITKIDQPQIQTKIQQSTDTKII AFCPFKSIMVSLAGPTSKGIQFWNTVEFTQIPFEPILDYFLDVSFRKDGNAMVTLSKNIG IRIWKINEQSFQIEKKIPLINLQYLQRGFYLDDENFIIQNNIDVIQLQIQNEEIKYTSKL LFSRNAYGMSVASNSKFVVIGSIKGLEIVVRENQNSPNQISSESNIQYRGFQFSLDSSLI SAVFEKGFVIQNIETKQRVKNFEEKNNCLSVGFVSNERVIVGTDTLGGQLILYDIKDWQQ ITKLSVIQLPRSPYKITFLEQRQQICVYSYYHIILLNLQKLDLIQLIQINKGKKSGEAIF NSDQSFFGVGLDNRIYFQSLSDILILDKIFTLTQPNYLYKNFSQNSEFFSAISKDSIYYQ YELNKNQIAKQTNLNLANLEFATLNPQESQLVAIQNAPNQKWRQKLSMIDLETQKTISCF EEIDEEKFVCLKAIFSEDGLNFVSCYLDLTIKLWDAKSCKLLSMFKSDTASIDFLRISSK GILAQTSKEVIKLWNLIALKQQQFEMDGHTDSVNQLCISSDGFQLVTGSNVEIIRWDLIE LRKIDVLLTGKRLPSSFCFSTNCQYFAAQDDKQLIHIWKLNTKFMIENHYQQFCIIQDKV AFSLDQTQLIWKHSNSQIFILNLEQVFRSKQLISQPRFSPESRSITINSNILVKTNPFEL ILTSNNSELKNEDMVGIQVSQTTTIAICHVNQRLALEDQNQSIIIWSLERKQTESILQHS SLKNNKILSMAFSGNGQILYSCHTNEVIKYWNVTDKFALIKSEELKTVNNVDRKILLLYI FPLKEEEFIAVWYEESQVWVDAQVNQIVVQTKEVVVLDEAINYPLSSSNFIAGYNEAKNL VAVQYKANLKIYDVSQQKAKVIVVLEGNYLEKQPRSSILQFSEDGNHLLALGINHTLRFW DISDQNKIVQKINQSKLVETLAFAFINSSTIRIFSKSGEIIDENISEYTQTGVIEEGTQF DFDKANIQLGVYFFFGKFDQRTLYIMDAQTNEIKYTLNQFSSQIKQLQFASSGEKFILGM EDGSILLYMIDSKTLKNYENPICYYTFAKSPLLQASCCNIQQSRFQTIENENFEKLLLTE KGAIK >CAK64636 pep:novel supercontig:GCA_000165425.1:CT868033:13671:14608:1 gene:GSPATT00034112001 transcript:CAK64636 MTYSKGTIIGNLSLIYICYILPQLQSSSICFEIFKLRIHLRSVLILQIVICNLIGSKLEA EDVSGMNLNRAQLFNCKWNNIKIPELNKLEGQYSGASSICISPDSNTLVTGYSNGSICLW DLKTVQQKAKLDAHNSCVSSFCFSPYGTILASSNYYETIRLWCTKTRKSIWYFLMLFSKW HYIRVGYKQCLFSVLLSPDSTTLASGSADQSIRICDVKIREQTVKQDGHSDSANSICFSS DATILATCSYDASIRILDVNTGQQKAKLNGHINSINSVCFSPNVNILQLVLQITLSIYIS DQT >CAK64637 pep:novel supercontig:GCA_000165425.1:CT868033:14726:15323:1 gene:GSPATT00034113001 transcript:CAK64637 MTGKQKAQFNGHGWTVTSLCYSPNDTTLASGGGDKTIYISDLQTGQQKAKINGHKNCVIQ VCFSPSATKLAMMPLSVYGKLIQVNKKQNQILTFIASIQYAYFLMVSVVNEDNIYSLDVK EISVLGKQYQDTPIQVKNHFQKIFYYLTKPPSNIFLGQFNYYGEELRSFFKSKGSLILNN QIEFKQQEN >CAK64638 pep:novel supercontig:GCA_000165425.1:CT868033:17162:19064:1 gene:GSPATT00034114001 transcript:CAK64638 MIKQLKFSKIKTRTNIQIKKNIAIKTSRITKLQAQSILNSTSQTSKKKQDQKEFFEQENL KYIEELIQERSSDDNKLQVVHQNRFVMNVNTKNQIEKALDQYDLPLQQNPNNELYCNGKS KDKEYFNSLHSRKNEQIRRRFRTQRLTFSKKSVNFRILQQKRQAFQDLDTQGCLQLKLKH IKKLYLILILLSKKTLIIQNIIITKAYIIFNPLAATLILLNRLDEALQYFDLALQLNPEN SLNYNNIGFILCKMNRFELALYYFDQAIQLKNPYLQSFSQNKAFALQQLNRYQEALDSYG SQISESTEYNNNKAFTLMQMNRLEESLQCYDSAIQQSPDSSILYNNKGLSLFRMKRYEEA LQYYNLAKQLNPKIAEYDSNIASALERINRFEEALQYYDSAIQKNQENSQFYNSKANTLM QMKKFQEALHYYDLAIQINPENADYFHNKANTLQQMNRLEDALEYHDLAIQINPENAEFF HKKAMTLYQMNRLETALEYFDLAIQKNPQNQLYYYNKAFTLFKLNRNEEALQQFDFSIQS NPENPISFNGRG >CAK64639 pep:novel supercontig:GCA_000165425.1:CT868033:19090:19749:1 gene:GSPATT00034115001 transcript:CAK64639 MALTQMNREEESLEFYDLAIQRNPEDSRNYNNKGKMNQAYLAFTLDKMSRFEEALQYYDQ AILRNPEKSIYYNNKAITLHKMNLFKEALQYYDLAIQRDAENAAFYNHKGLIESAYYLSA YFINYDLAIQRNPENANYYNNKAQALRRLNRFQEALQYYDLAISKNPDDPEFYENKGKIG FHFIQRLLYNK >CAK64640 pep:novel supercontig:GCA_000165425.1:CT868033:23565:24282:-1 gene:GSPATT00034116001 transcript:CAK64640 MQNMNQKPLKSLRNKYSTITKFTEAFQIQDSELQGPTEYCELQMIDQEVPNIFASFPNLN FNFNSTSMFIKTPMTNSKQNDTQINSKSIKSEQIRILCEIDIVMLRHYYLLRKEVFKKHN QLFPRKQEKTFDTIAQIKKRFQHHYQNRIAMMLCRLVDEVRNNLDQEFVVCVVDDIQLIP AELIGLDAKLKQQTIESFDTCDPIQVYQEITKLIEIYLKPKQEN >CAK64641 pep:novel supercontig:GCA_000165425.1:CT868033:24632:25563:-1 gene:GSPATT00034117001 transcript:CAK64641 MFLPIKFLKTFAFIQVFIEIGISLAAFVGAYYIYKLLSQVDNHLQEIGIVIICRFQYFIT TSFLATPFFVLAFGIFAVAISGMLGTLMKEKKYLLVFFNVGNLCLGLSFVILGLIAMALD NSIEKDHTCRPSDPQYTTIQKLYSEVESVLCKDSCECYYYKEINYQVAKQVKVYSKTDQT KAINAQECDYFDGGFPLDVDVVQWMENEFDCSGWCISYPIKFFDDVNSDVKNYKYSCYTA IGNYFKTIFHISKIVFFVVSSIMGVMFVLTCCLGYHPDHQHQFKNYRKIADQKK >CAK64642 pep:novel supercontig:GCA_000165425.1:CT868033:26970:27711:-1 gene:GSPATT00034118001 transcript:CAK64642 MLQHNCTFYYTSINSFGEIHFFFVVQKPSSIIQLFQKISYSNTQCNFSQNIIVSSKGIRY RQNLSLQVVIYSCFVGFIVSLGDLTVQTMKPYFDQTTQPHKLELRRLGIAWLMGNVFMGP LFHYNFTYMLPWMVKRLPFNTSTPVRRVFGSVLIDQTVWSCYLLCHYLMIINLLESGSIQ KGIEAIQKNFSKVIVTNWQVWPAAQIINFWLIPRPYQVLWVNLVGYFWNIYLSYIQHK >CAK64643 pep:novel supercontig:GCA_000165425.1:CT868033:28636:30063:1 gene:GSPATT00034119001 transcript:CAK64643 MDILCPNQGHKNQIEFVCMRDSCQECRFSCFECLQAGNHKSHIEDVQKFSAFLNVIKQQS SDYDDLMNKLQNWINHMNNQCGELKKGLEMRYKEITELAKNFSINHFNELSSCFFSFQRS KQNLYQMISESVGSLSETITNSRKILCLEPQIQQQIFNKELKPFKYELINKIKDEYIFAF AFNKEATLLVAGYESSKIRVFDFEQGQLRQLQELNNHSKRVRCVYFLQKSLSFISGSYDR SIIVWEASENGQFYCKQKLEGHTDDINCLIINNNEDLIISGSDDKTIRLWSKKDQWHCLQ TLTYHNGSVFCISMNETQNQFISCAADNLIVVSQKDVDSSFWNILQTIKVEWGLRLCFIS NTQFTYQPYNKKQMIIYEQNKFSQQFVKQKEIAVKSGNDCFWYFPQKYIKSKSLLLNKNG SGLNMIKIKENGEFIQEQIIDFDDNYIHGAISDDGEYLITWDCKTEEIQVRQYSE >CAK64644 pep:novel supercontig:GCA_000165425.1:CT868033:30632:32788:1 gene:GSPATT00034120001 transcript:CAK64644 MQYLNLFIQPIPNTVVFPYSSLQLYDVDCYIQLLHSQYIGLVSQINDNQPNVQTISQYSQ YGTLVHVTTKQDESSNFCNAFAFARFRINSFCQVSPFLIANVEILNDEIKTDDTEILSTF KEAVKIYMENFSLLPNALLKQKIDEENNIVKLQYQVANRIQIPFNQKLRLLQMNDNRERI STMIQYLNHKMTQYSTSNELEQIFKQELMSEMQNNGENLINQTEQIIPQMQEQELDYQQQ YVQSLPWQILHPEAVSISECKQILDQRHYGLDLAKQPIKFLTKSTGSILCLHGHPGVGKT SLAQSIAESLGRPFQKISLGGVSDEAQIRGHRKTYVGAQAGMIMEAIKKAKCVNPVILLD EIDKINQYTVGSALLEVLDPEQNKCFMDNYVNEEFNLSQVLFISTANYIESIQPALRDRL EMIEIPSYTIDEKEKIAIQHLIPKQLELNGIDGKVEFQYLITNYTNESGVRQLERQINSI LRKIALQQVRGNRKGSYNINKGFVNQCLGESTIIYKNIRLQSVGMCKGLNDGMNILEIIK TKGQGNLFQQSKDQYIVEQGITAQSYLRNCFKHIQFQNWDVTVANQNCLGVCVAVAMVSL FMNKKVKSSVAFAGELSLKGSIFRQYNLRDSIIQAAESGVESIIIPSQNVGDIQNLEPSI KKRITLVDHIEEVFQLAFEDGFTYQHYPNL >CAK64645 pep:novel supercontig:GCA_000165425.1:CT868033:32840:34370:-1 gene:GSPATT00034121001 transcript:CAK64645 MSDDEDQYNYQNDSEEFNQINSWQENLKLDMVEILEMKDVMLVIMKEVQELSEILYFDED NTFELLMHYNWNKDDITQKYYSASEKLLTEFKANGIINDHQNVIYNGLQGCCTVCFCQEQ LILLGCKHMFCESCIKQTIMQRVQKDKFLFVRCLFNGCNYKLPFSMIRKFSNQKEFEDLL CRKFVECSRYLAYCPAVNCNKIIKPKFTSTKEVTCLCQTKFCFYCKEELHPPCPCDLVKK WLVVLKNDQANQDWIRLNTKQCPFCKQFVERSFGCNYMLCKPPGGCANAFCYVCSKPWKP DHKDHYKCNQYVPPKDNTELEKDLIERCNFYSKGYLIQAAAGQKAQESLKKIRDYYIHQI FMYFGFDMHDTQFLEEVMAELIQSRVILKWSYCLSYYISHKNQQSSKLLDHYQQLFEHAC ESLAISLMKMFNRIEKLNVEIHTTPMHDLKKQFMKLKDTIQNASSKCQKMRLNLESAVYQ GDIIV >CAK64646 pep:novel supercontig:GCA_000165425.1:CT868033:34401:35072:1 gene:GSPATT00034122001 transcript:CAK64646 MNDYMDYIKGIKTYIDDSANKIQDRVAEIVRIDDIKDLNKMQTKKYRHRNNNKQPSLVTS GEVSQAEIELQKLQQQNHQLYDKMKELEEQRTNLKFQLGKYRQLIADKRKLLNKECRDGF QLLTQTRRPRIMSEKKSKSPQLFLTSTSTMSQKKLTQVHILLRDVMKVERQPPIIRSNRR YTFDQFMQLNKTEYFSYIHNHLPKIKEYFLEFSFKRTKQFKTL >CAK64647 pep:novel supercontig:GCA_000165425.1:CT868033:35241:35769:-1 gene:GSPATT00034123001 transcript:CAK64647 MNVGTNVSDDCVTEFNNLKLGKQYRFVIYKLDKDKNEIVVDQKGGRESTYAEFVSHLQNE SRYAVYDYHAQTEDVPPRKVEKLVFIFWSPDTNQPVKQKMAYAAGKEALKKKLNGLSKEI QANEPSEVEEAEIKKIVLN >CAK64648 pep:novel supercontig:GCA_000165425.1:CT868033:35769:37605:-1 gene:GSPATT00034124001 transcript:CAK64648 MGQVQQSKQHFLKNWQKVDSRESRIFGCDIEVYTNQMEEVAVVHKVYQSEMHYQKELNRL EQYQQISQMPGLIRLLDIQKEEESTLCSNLFKIDAIFEFGSQLPSNLPWYQFLQQIIHTL IELQNRDRFHGDLRPQSFMYTNQVKLLLPNVDQYNRFLSGFEEFCYLSPELFFQLGRKVF KPEYNKEKSEVFTIGLVCLELILQEQIQQIYDFQEYQINQEILNEMLSRANNKLVSRMLS VRPEDRPNYLQIYEESIVELMQQSINNNNKVTIPNTQTDILNDHAIEIQSRVLVREQQSQ AQSFIMQSNQQHPLLCQQSQQFTLQSCNFKDLNPTLTSMQQHPMFFQQENQLQKQQLKSN QENEEPQSMKKVHPFLSQKNSQAAVKLHSNNRSMNQTPSQQLQTQQKPQIPANLQTFQSS QSSQQQAFYSTQVPQQANSLQYLTISQSPNHRYSQKSQQSSVSKHQSIQPTEYAFLHEQV HVPYNPGSDFLDSSRQKEQMEFVSPTRSSQRPSSKLKDISNKKAKTMQNTTTTTLGSTTN NANKQVVNKKPQLLKSQRPQTQMRSKSPNVKKVPLKK >CAK64649 pep:novel supercontig:GCA_000165425.1:CT868033:38225:38881:1 gene:GSPATT00034125001 transcript:CAK64649 MRYDNVTFVKELEQKYRFNYPLHNKPQIDQKKEETFNTKLPKYEAYMEASNQQIELPLQP SPQQVRAIQNQGKIQFCRQQNEQQSKEIKSILNFQVDTKFIKMKPSKKKTQRQYQQIRRP QIQIIPNNCKYQRVSDMSQIQSLSMHQSFILNNNISEITFQQETRLSKFQQLLKKAPKPV EIEQYRSLKHFGNNELCEGILDGKIVQFIKTQQSNVIL >CAK64650 pep:novel supercontig:GCA_000165425.1:CT868033:38888:39290:-1 gene:GSPATT00034126001 transcript:CAK64650 MALKSAALFEKMDPFIKSQGADLVKKINAIYFFEVSKAKGETPEVWTVDLKNGSGSIAKG RVGTADATFTMVDDDMMAMAQGKLNPQQAFMQGKMKIKGNMAAATKFTPDLLPKDAKL >CAK64651 pep:novel supercontig:GCA_000165425.1:CT868033:39307:40236:-1 gene:GSPATT00034127001 transcript:CAK64651 MDQSNSNLIIIAPEHSYSMKLIQYLIKHFNNDELIFDKIDEQHQQIGTQLLINTKYYTCD VGLHTINYNYFNQENALEKLQKIKVDGVLFIVDANNMNGLDQFINLCDQILNEIQPGLQA IIYNRKEGTKINFELLENEEKKLESFVEQIYLDLDNPPIQQQIKQLSEQEDETLGFPRII EIMECNTWTYMRPKSQEKKENTGKTKVSEKQTQGLDQLEFEDDQFAQLMHDMTKIRTSKM TDEERREKAANLMNQLMNMCQDDDGDDL >CAK64652 pep:novel supercontig:GCA_000165425.1:CT868033:40274:41635:-1 gene:GSPATT00034128001 transcript:CAK64652 MISFVNLLVPFRQAHRLSRLNIYNQRRVLYNFCSENKKAEEIEKQPSLVIDILHTVKDSA IGSASKITEKVKGSALKFKTDANQNQETGIGKTCLNMAKLAWRKTFPSHDDEVKARMETV KAKLAERKKEEEKLAQMTEEELAAIQEKIPEWKRNAIQATDEGSSEEASLKSKIAQKIQS RLKQSEQGQHLVNSDAYKEYVTFKAELKQFKADLSDRIQNHPSNFVQVSLYAAKTVTNES DVARAIKQMRMIDPDFDLYELEKEAKVIFEQIYNLYLLGELESLQKVCGEAALGYFKVLL KKQEAEKSEPKHKQLWNVDEIRFTRASIPDSVKLPVFVFTIKTQEIFCYVSKTDRSKIVD GDDERIMSMDYQFALTPHSNPSSDEFGHIWEMIELQPQQVVKMLV >CAK64653 pep:novel supercontig:GCA_000165425.1:CT868033:41934:43001:-1 gene:GSPATT00034129001 transcript:CAK64653 MEDFVSPTHSIQMHDKFVTRLEKLQKLFDNSVQRKDQQHIILQQLNITMQDWADIQSSYK ELEKAHNEFNITNIGKQKKMDQTELDMLRIIVGLYCNAHNLQPLSMNVYDWDQVGQILFN RHWKVCRSRWVESQATSIVDHPWTREEDSVLSQLYNKYAEQNRSNKWSLIAMEMSKICNS SNVRLGKQCRERWINKLNPQVERGPWYKEDEIKLLVAVLQYGKKWSQISRRDFENLRTEN CLKNRFHTIIKRESTKFEQNSQQSKKQIYSKLKLEDEQQLIEQIIQELREESLLQQQSVE CNKLKQKFKLTQTDQLLDFLNNNFQIAIIKKNKLSVLC >CAK64654 pep:novel supercontig:GCA_000165425.1:CT868033:44139:44848:1 gene:GSPATT00034130001 transcript:CAK64654 MSQIKFCLSHQVYFGQGVYLVSSQNDWKIEESCRMTCIKVKMCSNMQSNRWELVLQVTDA QLEYKYVIADYDSPSGSVQIWERGNNRKLIKSKSNSSETIKDMWEKRMISLKLMALNNNS LAIVIGNFPALSTPIQMKLLDKKSMKYFSTKFYIDITNSEQVIQFQYYLLIKDPSKEFCN FQPPWNSLVTQQLLAFRKEIRKYVKQSPFIELLYVDYIQYLFYRFN >CAK64655 pep:novel supercontig:GCA_000165425.1:CT868033:45096:45795:1 gene:GSPATT00034131001 transcript:CAK64655 MKESQIFFTLTKQVNYGEALYLVFDFTNWDLTKAVRMECSMNDHWSKVIEISCSSFEYKY VIGPYDNIIQEDVVWEEGPNRSSENLKLLEMNQSKIQLDDVWEKRNLMFFLLDTKQKSKS KKNHEHDILLFGQAKALNSPVRFTKCQLTQNTELYFVNLQLELDEIINPKEVQIYMKVQL KKRYIEMISKSIKLNFRNSPVNICQDILQDSKYYLLK >CAK64656 pep:novel supercontig:GCA_000165425.1:CT868033:46235:49519:-1 gene:GSPATT00034132001 transcript:CAK64656 MQPQPIQKKDSLAPQGTSMSICSSLLFMIGQSWYELKRRPCGYCVSFFSVLIVVAASAVS QSIIDRAPLIFLKSAEGPAGQSDIVLSANPNYLSEEHEKSPQYLMSIYSNSARQQGYLIV DFLNFTRVKEVLGPDRASQASPRISQQVNFTYLQKSGDCENSVNKAQQLLSKRQEFGSDA HFNDLRDGQQLGQRCGGVQPHPTAKFVAIDTLKEKDIGLGWEYPFDELKETEVILSRKLA ETYRLNVGDYMLIYGEFYDFYGSYYIENYYEQIGRQFNLTNYNETFLNQEFGDLKKATQR FYLYQVKALLDSTYGKFPDGDADKLIIVEHKHFFNNLAYWSWDKPFLKALYTANPEEFVD EIRINIPDRFDIYMDSNYENIQGKITKYASNIRRDLGVYPCNMDLPVLQQLYDSRFGQLF LGIILNMIIVILFLLSVLLLYTLLLISVETKTYDLGVLRVLGFNKLGVVFIVLTQALSYV LPAIVAGIILSIPFLLYASSALKASIGVEIEATPTTNAVFMALGLGLLIPLLSSYVPIKE ALSKQLSFALDINRSKSTAVKIEVDLEGKSLPWGRIQFAVIASLFGICVYYFLPLALLSF NIGLLLSIFFFILCGMLLGLVIFAFNIQYLAERFTVYLFLFWASSAKKTMVLKNLAAHRI KNRRTALMYALSIAFVIFIFVGMSVQIENQATQTLQQHGCKLEITASNGYLSPFEFEKII LELGGNITSFAWVTDPLDSYMQKLGFSTTYMTHLGQVYQLKPKIVGVSHTLFDMGYNQFL KVENQINSKLNPVEQLYTSRGSQSAMIGTSYADQLNIKIDIDSTFLLVVYNNTYSQYHQM RASSIVTSAPALKFSSLPSVQEQNVVVSLPTYRRLCGNLIDAVENYPMKRLLISVSGSGN IDTVYGQFKKYIDAQGISAKIWDYRDYETSIKQSQNLIQIIFSFLTGVVMVLSFFSLMTS MSANMLEQVKEISVLRAIGNTKLSITTVYIMEAFTLVFSSSFIGLMVGFIIGQSMALQQT LFTQLPLIFVFPWQMLIIIIIIAMFAAIISVVTPSLQILSKEIAQIMRMI >CAK64657 pep:novel supercontig:GCA_000165425.1:CT868033:49875:50064:1 gene:GSPATT00034133001 transcript:CAK64657 MSTLAAARADNFYFGPNYDPNGFEILEKNETQRKAKAFEIGLIQNKEWAQDQV >CAK64658 pep:novel supercontig:GCA_000165425.1:CT868033:50101:50905:1 gene:GSPATT00034134001 transcript:CAK64658 MIAKGVRFNAAKREVGKFHSTTILQFSMLCPSCKNTIVCKTDPENCDYNYTEGALSTEKA TDMEFIPDPEQKIKEQSNAMYKLEREVEKVKQNNLAPVLEDLQKYRLENWKDDFEKNLML RRKLKEDKIKDAIIEEEKNRVKNFGLPMEDLTKSELVNMSVQINQREIKEVKFQESSSRK QQREKRQEIMSQSILPSGRMSQQLQKQLEELNKKVSKLPAATRKIFKQQLMPKKNNFNF >CAK64659 pep:novel supercontig:GCA_000165425.1:CT868033:50946:51546:1 gene:GSPATT00034135001 transcript:CAK64659 MSKSRHSSMHKLLGPPQIVMFDGEESRFQKRIFREHSTDKIKFCWEQSPIHKTNDFNFQK INYVDQKDMDVHVRNSYQLPQIYKRKNQLNNECFVVSPQLTADSEQKFGKYAKRYTQDSD IFSLKIPMDKQYSQHSSAYGQFYFQPEFKLTKTKPVFKYHTAKSQILGNSRLQYIKLRQE MD >CAK64660 pep:novel supercontig:GCA_000165425.1:CT868033:51869:52261:-1 gene:GSPATT00034136001 transcript:CAK64660 MGVCHSKKTQSLTYQQQDLTISNQQSLPPISIRTDDNKILEKSPENDSQQSEVDLLATDE LLNNPQQAAIDQQHRDQVLRHVHAQQHKQDNLKRYLVVFDKLYNQQRKVPILFSLEESTL FKRRKPSLYN >CAK64661 pep:novel supercontig:GCA_000165425.1:CT868033:53133:54508:-1 gene:GSPATT00034138001 transcript:CAK64661 MNETQDSDTNFEVACSQCQTTPDNYVKLDCDHKFCLVCLAYNYLQSQQQNPESQDIVKVA ICSKCQYETHLDPDTIEAIQYVIKEIIIPLIEQNQDEHNLENDFDLKQSETIRESKANFV ADIANDSQIQKFESKDKFANNLQFQKVDQPKEVPEVKDIKKIKESMANKNEMTPALNERV QLYLERLDKSFKNKFESIRDIQDQKFQFQQKCQSTRDEISQEFQKQILALEQKKNSLINE VNALETQQLLEIQKQENEYVIETQIQLMAKYQEELKNLKGFPEDLSKFLIEIEKIMTAPS QSLQIKSLLLSSQRQLIRIQSLSQQTEERLTSDSKMMTFQQKFSPVKNDRTNEIWNKLNE SDKKSRLKESSISQQRSNQKSRWKETILEIFDKKDQSFERYSNNKYNPLNRTYNIHQVLN MQQQSFQIQKENLLKR >CAK64662 pep:novel supercontig:GCA_000165425.1:CT868033:54547:54891:-1 gene:GSPATT00034139001 transcript:CAK64662 MQHPPYQRKPQKKITQPLHAFFTLFTEKTQLEIWLVENDNLRIQGKLAGFDEYLNLVLDR AVEYDRKTKATKELGKILLKGENIVLVRTLEDVKL >CAK64663 pep:novel supercontig:GCA_000165425.1:CT868033:54913:56704:1 gene:GSPATT00034140001 transcript:CAK64663 MASAFPKLPGFVPTQELDKPNFRKVSAAKQDQNREIKQLPNKEYPVPRKQPTQVPSQTGM FNPDYLSTTHAMHLPKVCLLISYIPKNSNNDSEELYQPSWVKMDRHVLRFSGFFKEAVVE SALENYRIRKLTIFYYLEDHSISITEPKQENSGVPQGAFLKRQKVLRADGSKTFIIPEDF RINQDIEIFGKTIRLYDCDQYTREFYEGLGQPQEQSFSPQSDSFETKTMTKYIPQKDTVM KDYLEHKLGGGKVTSQKQFLENDRKVLKFYVFSDIEYVLHYYLADDTIEIKEINSANSGR VPFPMMLRRQKLPRKFSLNQPGQTYAEDFIRPQDIQFGQPLIIYNRKFAIQGCDPFTRYY YQEKFNVDFPLGGQEEQQIEQRSNIIIPPHNGIGDEQDSLGYIYRLQPIPPKKDFFKWVD NQVNLRYLAMFNTTKPEDKDRVFVITYFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNA FNNNEFFSPKDLIVGNEVQINGWKFQLLDCDEFTKKWYADNFK >CAK64664 pep:novel supercontig:GCA_000165425.1:CT868033:57186:59891:-1 gene:GSPATT00034141001 transcript:CAK64664 MNNSQNESKKSEQTQTIDYFQQLNENRCQLNNNVFLLERTIFQLLRKYPDVFSYNQQQIH NVKNGCLDLNIENGLLLGQGAYGSVYSTINPNNNLPAALKVQVNCDFDQVIILAEEFQIQ KRIAVHFPECIIQIQNKIVISLQYMNAYRIYAYLDLGLGNLKEYQLRLKQFTELQFNNLF DCILDSVLKIHSLKIAHNDIKLENIINTQKNGWVLADFGCSTQYITPYGEYPIVGTRAYM QKQVRGALNNNLKIFKMNLFKKDIYAFQLSMLQILYPQDNINVLQQILDQQKMVHPKINS LYNKDYFQIKSYFLQQKYIRTTLIQEPIIASTEDVKRNLENNFKLSLQYKIHQSTQNLSY NGDMKFWMQCMLNIISQEYSSDQEIIRKVMILDSFVDKYSCNVSAQEIFEEISIEDLSKF EYDLYYDVLEKKGQRMLQLMLCQGYQATLAEPNCNLKFQEAELLYIIGQWEQAKEAINSI QNELELENDEMLLKFICLKSRLNGQWIKAIEEIIPYLINYKEMIQIMIEWKFIIMDSIWL NKSKNMLNKIYQPRHNFNSQEKKDTVELDFSKYIKIFYQCEGEERVAFGVNVINMMQNLN YDKYAIFQQGWTYCEIMQYHCVYQSFIEQFIQFIEQNLEGYYFNWAIYDCYSQFLVYKGE FIKAKHYLDKAMKIVENDCIYNQFTLSHHLFQLQFQLGSLEYAETFRKMIDFLNQMGSSE LTLYLFAILFQETLNSNHQYNSQSNIRDFLSQAAAILKGHLIERRLSAILCYIIEEDYAF RNKDFIALKSAMWNELPKALKEAEDQQSHEEEVLIGFSELFQIAEFEDLNLKDETRYTSR WIQSVIIFGEIGFQNKKLPKHVAGFVPYFYSVSCSRSGDQRKYQEAQELYAIIHMNASWL F >CAK64665 pep:novel supercontig:GCA_000165425.1:CT868033:60611:63304:-1 gene:GSPATT00034142001 transcript:CAK64665 MSNNHQHLNKSFKHSPSTFDQLMRQYHSFGFQKHQILKAWQLCGFNTLTFHEELIRISQE ENQNLSEEDLMNSQMQLKKQLKDLEEKDLKHAIQLSIDDQNQQPQIEQQDRTNKSVPVGL KNLGNTCYMNAMLQSLFNSLPFRQLIFSIDSKQNQTKAALFLLQLQYLFVQLQESNSSFT NPIKLFEAFQKYKPDGMLIKGVQNDFNELQNAFLDAIEQALKDIGDEELKKQFCQMFYGE SKEILTYKEKEQEVIKFTTTAFGSISIDAQDKDLEKGFLNSRILIIDEYETNSKEKVKAK VDQIILQPPKILQFYINRVYYDPKQKLPCKNNASFEFPSSLNINQFKASDPKSMSKELQR LDIEEQKVLDELRRYGTSKEDIDENFSKIIKLFKRGEGQLDQPLIIDDFQICDENTSNQQ LISSQQMLFQLENYRNKFVKSIQLLTDRLEQIQSEKKLLLKSDQNMYHLTAVLMHDGGAT SGHYYCYVLDKNDYKTWWKCNDSVVTKIEYAQVIKDATGVNRPQSNVSGLIYEQESWMKV SKLQITPKLLEQLDEQKIKSQKTQDLQKVMNRKTMIIQQISNCPLKACKSIDPLSKEFEI FSNFDLFLSINHPNVYKHSQTLSFIKQDLYQIKDQLYIPKNIDEYVEQFVAQSKLINQSI EMEQASLYDEFLKINSLLQQLPLKDLTQTNFQENVQLFFLILQQIPQKNYCLQLIKGLKG IFQIMLLKGCFLIDLSIQRQDINQGIQIAQQILNVITDNKIIDDIIFKQIQENLKGSADQ AKLISKRQDIIDQFTKLKIKSKISIILKLMNFKSLESNIQSLQNFQKNGLQLWMEQALKI ISTQKLLVQQDRLNAEAIFYFQG >CAK64666 pep:novel supercontig:GCA_000165425.1:CT868033:63356:63538:1 gene:GSPATT00034143001 transcript:CAK64666 MDSYNAVNDLNEGVEYTQNVEYRQGLKSNTSDGSAQQTHEIYMKRDQQSFSVDDDDFYSQ >CAK64667 pep:novel supercontig:GCA_000165425.1:CT868033:64441:65873:1 gene:GSPATT00034144001 transcript:CAK64667 MNIPMPQIKPIIQDNSKLQNEVIGFFKLGDLKWNTGSTVQEKKEGLNYVIQGLKKMQLWI EREYDIEKKKSLQGQYDARREETAKRLGALEKYDKGEIIQESNGNGANNQQQQKKQGDKE QKSELSNALSDAIVKDKPNVKWTDIAGLEAAKSALQEAVLLPIRFPDFFEGARTPWKGIL MYGPPGTGKTYLAKACATEAEGTFFSVSSADLISKYVGESEKLIKTLFTMAREQKPSIIF IDEIDSMCGARGEGQNDASRRVITEFLVQMQGVGHDDKGVLVLGATNLPWALDTAIRRRF EKRIYIPLPDVQAREYMIQNSLKQTKTTLTKEQFEDLASKTEGYSGSDISVLVRDAVYEP VRKLQSAKKFKQIPVNGQLKWTPVAENEDGTPKTFMELNQGDIAIPDVCYNDFLLALKKS KKSVSQDQLGEFQTWTKEFGQEG >CAK64668 pep:novel supercontig:GCA_000165425.1:CT868033:66428:67473:-1 gene:GSPATT00034145001 transcript:CAK64668 MQQNKTTFLSLPINTLFKILSFLPIRDIYKRIARLNKKANNIVQQSGFIQSLQRLYFGSS IQNISLSQAKQVELPFIGYETDGHCPNPEQWIDLLFMKTSNSQIKLQNCNYIKGCLSKKN KEFKKKVLEFLGLVKKYLPPNTYEKQKFYEVQIAKIYEISHELSQKVINIASQEEKTYAT DIMSFFWNRIRQNQQLIQRRDLQVPLQPDFQGIIKVIKIGIEKNYTKMAKVILISTNEVK DISNKSVLRTNMPEYKLVAKQYSTREQFKFGYCIFEKNQGVVALIDLKDETQIELKFYNH ETQIVSTLSVFILDFKQSNEGQLGQAFQYIVASGYLLVQ >CAK64669 pep:novel supercontig:GCA_000165425.1:CT868033:67684:68216:1 gene:GSPATT00034146001 transcript:CAK64669 MSQIRLRPCEQDCNRTQESRLVKGISEILEQLIELAEGLDIKDSLFHSQKVPSITLENYM SRIVRYTKCSEECLVIAFIYLSRIQELNQELQLNRQSAHRLLFIAIVLAIKYQDDDIFKN DYYAKVGGITMWELNDMEEVFLELLDYKLFVQQDLYYLNLKKIKQSSRK >CAK64670 pep:novel supercontig:GCA_000165425.1:CT868033:68383:68937:1 gene:GSPATT00034147001 transcript:CAK64670 MPVDEIWRQLSKVTTTVNRNVERMSQLLDQLITHALSNECDYIEIPQRKDDHVKEREKYA NDYKKCILRELNEKLNWTIRGSKNVCNKCQMICKTRKGYLLHLLQKHCEYKFYLCVKCYD KFDNFNKFKQHLCNNHDEIMIFQVTEQIQQLIDHGAREMSKNDLQNII >CAK64671 pep:novel supercontig:GCA_000165425.1:CT868033:69011:72745:-1 gene:GSPATT00034148001 transcript:CAK64671 MINIEFNKPEQKRQQILRTKGMDMRISSKKKQKNEEKKQPQSGIEKQDEKLPTIPQQEMS QIHEQEQQLTDHLQKPQNDQKGLHKLSTEQQRALEFAKLVLNLADPAEYTEAKPVIKKKQ DFTLDPEQEEQEERIDRTDFLSTAEYQELKDVYSDYNIGELVLKFPNPDWEKMVDRPVDI KEAEKVFSSQLAISAEGGVPQSQLTLQRQVFLSSFLQLTDYVETDKKKLKESLRKTQMGA SLKENPDYINYVAFYEQYRQINDQSVEELLRKDEPLQRTPSEEINQEVIQMLNLIHLKPN ENLDIFSTVAKKYCKGGFLYKKWTEDKSDYDWIQVGQTPQDFLSLIRMTVITKLCRDAHF HCRQFISSDDQFIFVVLKSKIEYVKYQAELQRMQKQYELGYADILSLEPCDKLLRPLRLK NYLRDPDFIKEAPNYIEEKKEDSNQKKKLARIDSEAQKIYQGVLKWGDQELRAKCVQKQI ELDPLIQWMAKELKINLSSEKTQIADDQPLARDVWEAYYIYQLYLIDYLKRVKDGYKEKE VAANKGFLFKLIFRKAIGDPNELYSAFNNSWFKEKLILANLWDRQGLDPIAPYQEYFVTK PSEGLWRQYEVSEKGHRSEFMNMEKIKIAYATISRFLNVKKLIENQYIACYFALHDPYQL MGLSKAPMIKPLIDLQLVGEVQKSPGELKLNNLFVSLKDEAERADFDNDCLVEECKFHWC PPWTLPIDSMRDYFGEKIGLYFRFLQFYSQQLWQVVILAIICEGVIDNTTGDAKKAFIVI FSVILISWSSYFICHWKRQQTMFQIQFGQNNKSEGGEIERPAFEGDFVRSLITDQLNEEF YPTWKKQFKLCYSSLITLIIITMVVASVIGVFILKNYLIEEYPDNQFLVSFVPSMINAIV IQLFNLIYFNVSNNLNDFENHKYEQSYEDSLILKTYIFTFINTFNCLAIIAFLNEQFPSL SLCKTSEGINCYRALKDQMVTIFMVNFAKTLPQLITPCIKAFIRGKTKQVDENLVTHEFN QIDEFIETQANLEPYVSNAEVDGLMNDYMELVVQFAFLQLFGLAFPLSYFIAFLTNITQI QVDKLKLIHFIQRPIPSSASDIANWSFIMDIIAFLSVFCNAGLIVFTSGVVPPDSQSSSY AIFVIVFLGLKYFSSFIISPIPEKASLILTRHKFAVDRVQRGMRDNTKSYYKGRLRETLD GVDYEKMQEQEKEHILKGPNVNVELRKT >CAK64672 pep:novel supercontig:GCA_000165425.1:CT868033:72991:73493:-1 gene:GSPATT00034149001 transcript:CAK64672 MDCQTKLTLLGAAFSGLFSGAAIYVNVVEHPARLSCGADIAHAQWAPSYRKAAKWQASLA LLGGLVGVINYAKNKNKLALKASVLLLSVIPFTFIAIMPTNKILLEKQDIQQDEKVQLLT KWGQLHAVRTVASLISFGIFLYALAKK >CAK64673 pep:novel supercontig:GCA_000165425.1:CT868033:73614:74691:-1 gene:GSPATT00034150001 transcript:CAK64673 MESDFVDVTPDGGVQKRILTAGQGDSPQTNSTCKIYFLGTLEDEKPFDSNQGQSKPHKHI LKRGDRCKGFEIALQSMKPGEKSQFKISPQYGYGEEGCIFKNVPKNANLKYEIELLSFKL EKKKRWQMNPLEKYEEALKIRGKGTKQFKNQNYFEAKEKYKDALTYCALDTKEGKELKAS LQLNLSICCFLQQEYKESIDYAKAALETSSNNQQNVKAYYRRAIALQQIGEQEKALADLK SAYNLDPQNTAVIEELQKVKEHLKVAYSKEKQSWSKLFKERGGSQQKQQVEESCQTQENE KEPSENVDSKDNANQYSQDILKQEVPQMSSAFSQEKESSNPRDSEEQNIQE >CAK64674 pep:novel supercontig:GCA_000165425.1:CT868033:74915:75640:-1 gene:GSPATT00034151001 transcript:CAK64674 MNSTSQQSNTLPNQMRQHSKSFSAATTQKLSQQQKKVERSYEEITAEKQLSNVEQQRLYS VFNYLMTFSNEKIKPLEPGITAEILMDALEKVDYLLPIKEAELFIWELDDDGDKRINYYE FQMMYKRCIFDPTLLEPRNLFNIVQFFMYDKAKHGKITVEDTLELLFVRHGRGGLDEEIQ TIFGREEKNSDGTEKTLSFTDYYEKTRIRDFQRRKEAEQTRKEVKLPPLEDKK >CAK64675 pep:novel supercontig:GCA_000165425.1:CT868033:76120:77678:1 gene:GSPATT00034152001 transcript:CAK64675 MNKIYSQYNLERLRLSQSQSDSIKLERTDLKRGVGKNAIRKVFGIDESGKHEYDIYEKQQ LDAFKKYLEDENEMQSDELSLRFLYANQFDFDQTIKHLHSHQQWVKNANNFKWTLNTEEI IKQGAIYISGRGQGYKPIIVVNADKLDISIYPIEDMMRAISIVFMVVKDYMLVSGKVESW FVIVEAKNTTAYKIPFNHLNQIFETLKQNFPCYLERIFILQPQTSIQITWQIVEQWNSYI MIILYYSITSNKNNQSFDTVAGLQMYMTIGLLILMNLMKSNICRSRKWKHKRLKMMPFTS VIDSEVSNLLRKQFKPKTKIYQEETHLNTAEYFANKTVSKTVLLNGPKTDRMNYNESQTS LNYQLQQKELNLSLEQNQRFMNHNNQLSTNFNAQSQQQPNGIPFQTRFVSSKTKINESMG SLLNAANHNPLFQSRFVGVKTQNIASNKDVSLILNKEEYVSNHHSQLM >CAK64676 pep:novel supercontig:GCA_000165425.1:CT868033:78025:78277:-1 gene:GSPATT00034153001 transcript:CAK64676 MNSAKYIKNHRTQACQIERLKSCRTNCSRKIRSSISQMNQILPLQVMKTIENSYQYIELC KDSLQQLKTNN >CAK64677 pep:novel supercontig:GCA_000165425.1:CT868033:78473:80145:1 gene:GSPATT00034154001 transcript:CAK64677 MNFSLPDALALIKEGRANEAVDQLNKFIECLQPLSPQTQLLGQQLGIDCDFFRIVKFQDF YDPDPQVQALRFKYYAYKVAKWGYQIIQQKRKVKRRHDLIEKSESLGIQNEIGRTFGVDA ESKQLAESRLQSISEKLQKKKQIFQKQLLCQLDIEKKRTDYKLQQEDKIEKVRKALKTDY DNKIVAVKDHLEKVNEKLKNNHRMKKKMEDELINKRGELLKNASLIDEKINKLEEMRKEQ IEHMKQARSIKKQRQHSFQEKQNQKYEEYLERMKQKLDQKRVVEFHSESHKDFGKRQVYD NYEKNLENIIVKYQKSQPIINSLLAKSIEQVQQKKQIEIEKFQKVKSNQKLHLSTPRIEP RKADDVLERKERKLQEETILRRETYQQRVLNFLQNRQQLQRLQSQQIERYNEFYTRQSAR LKNMRNSHEQMKSTVNFALMQANRIEEQTALKISRAIQLINDPDLQMQFKPNEKLNTQQK VAKKKQEEMQQLLKSFVTSEVDLLRFSKSVTEVKNEENQQQK >CAK64678 pep:novel supercontig:GCA_000165425.1:CT868033:80192:82308:-1 gene:GSPATT00034155001 transcript:CAK64678 MQQQKDLFAHYKQPSIKEDSYVTGLKLANSLKGSELVPFIPINGRQVNFYYCGPTVYSNS HLGHARQCYCNYVFRTYLGIDVIRRTLRDYFNYDLLSVMNITDIDDKIINGAKSANQDFL EFTKVWEKDFFSAMEALNIELPDVITRVSDYVPEIVTFIEKIIANGYAYDSNGSVYFDYF QFQIKLQHTYPKMRPETNADLLQEGEGESQANKQSEKKSPNDFALWKASKPEEPKWPSPW GEGRPGWHIECSVMASAILGNPIDLHAGGIDLIFPHHDNSLAQAEACFNCDQWINYFIHL GHLNIADRKMSKSLKNFLTIDEVLKRYTPRQIRLNFAIHQYDAVMNYSEEQVEQAISKDK AYQEFFQNTKIYLRESQVTGPQKWTQNDFQISNLLRNTKQVIHAALLKNFDFPTVIDAID KLINQVNVQIAGKTVRAPLVSSVVQYVNFILGLIGLNYQAQNSNSSDIKSIMAEVCSIRD QVKLAARKGEFEAISNIVSQKQFQYEKNLSNGIVDCINQFQQLVLAASQEKNKQQLFQLC DRLRDEELFELGIKIEDKEQASIWKQYDPKELKLEREQQLKLIQQKELLKKKEEEKKKQE ELEKLEKAKVPPKDLFISQLDKYSEFDEKGIPVKDKDGNELSKKQKKVVQDLWDKQNKIH NQYLETLKKQQQ >CAK64679 pep:novel supercontig:GCA_000165425.1:CT868033:82848:84191:1 gene:GSPATT00034156001 transcript:CAK64679 MQNRDGKRRQLSLAVFIEKQLRLNDTKINLNGFELQRNNQRTQDFFKNYIQKRDNELLPF QIKRYTDERKPQIMQQNSQDFIVVEYVEGGKFLDEIDRITIHTFRKIFQQLIHSVFYLHE RQILARCISSQNIYIDQFEDKIFLFEFGFSPACPCNSIYKPNHDIKLIIDIMNDLYQKRS GSNHEFLEFKNKMDNLQRQLPTINIIESYFQLYQLVEGSPSNEKLRQVYTEQWVFDMTEK IRNIDFVQPIPLAVQDNGLDSELTDGEQKTRPELQELAITIFEQQYPNEHTQVGLMLLLQ SILYQQTYPIQQVNQSQIQKQHQKMNEINDRRTKISNNYQSISEEFRYIIQPNLEEKQLE RQKELIKSYCYYTYYSTYKQLFKAKMKEYKNKKIILFTLEEARICLLLIASIERFKSMDI ENIYKSMEIQDKIIKSLAILEKYKPQK >CAK64680 pep:novel supercontig:GCA_000165425.1:CT868033:84207:84828:-1 gene:GSPATT00034157001 transcript:CAK64680 MKRALQQFEKTVRLTHESAKSGLNYRVQVMQNKMVLGNISIHLNKQNQIPNMLLADLFQK KHFTLTKEEQCMYFEGDFTPLNSVDQDLSYHSESGLLAYDTQKNRLKLHLTRDSSQCQGL ILGYVMNQQSLYIIQKLRDSDPQCEVTVEGSYNFYLKRTLNVLIGISLLFLLDGISFNPK NDPTPVFVKNEIQYKKVQQ >CAK64681 pep:novel supercontig:GCA_000165425.1:CT868033:84847:86905:-1 gene:GSPATT00034158001 transcript:CAK64681 MKSAKSAYSLSTNLASQYTERVYRTKKPVLRINTETSSRIKSAQIGSSSRKCYTNTSRII ESQTASKIPLQIYQRYIEESFMSNNHDKIVKEIKLVEPTVINHLNINFYETQQLNHGMSL YDKHPNLLFKMYETLINLDKNVMQELFLYESLADKDQIGMKEKKERNITDNFRFDFFIKA INIMDDFIITLIKIIDDKTLAVFIEQLWKFWVILLDINTQWSQTRYKALLDIQVGAVESQ LKDCQFSLDALREKYIQKVKGHQLRVAVEQKKNLHLKEENLKWLQMYIELETKLEELNSL EKAQGEIRKINLDLKEMDFQFNVFQKNMMDSQKSVCTTVKNLATLLKRKDQKSFSEFSYE ELNLFDYPGFNDDYLLINPLIFLLQIRAKDQKTTPNDFLSFLVQNIYQYPNMSGPELFMQ YIEIDFNKAHFLKDLIKSTDDDIINITKLILGIGNKNPVSHLVILETIKLCRAIENQYKS LEQSQTASLNKRTSLILSQEKSITHNIDLNYEFSVAGSEITLGQQLYEQVLQTSTTTIKL SKMMHIAIKYYAEKEYGKWQSCCYLWQQGTLKIESRWDQQESFQNMDGFLVWQFVNLKYK NSKSQDQSSLDQCFNWVYKIWLMRPKIFIKNAGDHIETQRSSHTNQKPQDKLAIPYKKSI TLIDSQRKK >CAK64682 pep:novel supercontig:GCA_000165425.1:CT868033:87053:90281:1 gene:GSPATT00034159001 transcript:CAK64682 MNPKLSMVLPLPQLKPENNDEYENRITFRLYIEKLLNQKVGRYLEIISGIASLASVGIYI VSTYFDSVEWLATLDIVVCSLYLTEYLLKLFAAQHRLQYIFSDFAIIELLTVFPLFTIQS VGSWNYLQRLINISRILRVFRIVRMINKIQSLSDTENGGVMRQIYVIFSTVTTIITVTAG VLYAFESPKRQELIANDPNKGCSNQVDRCNFHEMIYFTIVTLSTVGYGDVIPQSEEGRVC VIVLIIIVLVVIPKQMNELIRLMGLQSVYARSFYKPNHEIPHIIICGYVSVASLKNFCNE LFHQDHGGQDKNAIILKPSIPNTEMEDFLHNERYEMFLIYLQGNPMIERDLRRAAVTQAK ACVILTNKQIVDSHSADHKNILIGLLIKKFVNHLTGCNIRLCMQLIKPESKMHYKQSLGV KQITDQIIVVEEFKMNLLAKSCFCPGIITLLGNLVTSAGEQKESLDSEWLTQYTDGMGHE IYRTDLSSKFQGKTFSEVAAIVYNEFSGILFGLELDFGKQAIITLNPGVFVIQNQYKVHA YIICQDKKVADLVATYDMTTEEIANYHFSLSQPNSDKDKLNEEEENQVEDLLLSGKDQLD DQYIIEQDYLLLNEPVSLMDATSIFLLEQPEINNHIVVCGIHPSIYYFLLPLRAKYLKEI QYVVILAPEKPTDIWEYINKFPKVKFVQGSPLISEDLQRASIHCADKAVIFAQSSDANKT ESEDFLDQMHDAESIFIYKAIKKINPSIQIMIELVSSSNIQFLLDKDYKYQNDFKYELTP LQASGEVYISAMIDTLTCQAYYNPHIVTILQQILTGMRQSNPITKEIVKNLEIKDSNLYQ VPVPEDYLNKTFGELFNYLSIERHLIPLGLYRLAKAVDNKHPYVYTNPPAETTLTPRDKV FVLAHQLPADLSGIPFDANFDQKQVNNKEVENNKALNFLLEKLKQQTSSQNGANRVVKQK NDKTVIFQGQQIKQTKNIEAENMNLNAAAMQVLDQVNEQISIVKDQINDIKSSLAQKEDE IVEKCRRAIRYELGTVIY >CAK64683 pep:novel supercontig:GCA_000165425.1:CT868033:90846:92988:1 gene:GSPATT00034160001 transcript:CAK64683 MQNRTLVLVLLVCAAVQVFGEPVVVSQSFTGNDFADADGWVTAGGPAHVTECSGTKMFGG FNKFGAKAVASKVFELPPHSLINLKLQFWKIDSWDNEEGYIFVDDQLVWSRKFQYNEGDG QKCGQGGDWKEMLVNLNLNIKHTGPTAVVVITSNLNEAADNESWAFRDFVIAVEKCPNGC SACQVDEKAESCSFWQSFSSSWGALDANQLGADGWDVAGGASSATQCGAAALFGGFDKTG ARAVVSKVLKVKPHYKLKIKVLWAKIDSWDNEAAQIKVDGKLVWERRFQWHEGYFGKICG CPVFEWKSMFARTEVDIDHTGEQAKIEFTSTLDEAPNNESFGLRDLYIYLAACSDNCAEC TGPKDTDCKKCSNNWALVGGKCQALPNFILLEQSFLEDKFTGVNGWVLTGNKAGRTVAEC NGKSMVGGFDIMGAGGKATKTFEIPPHKRLRLQTTIYKIDSWDGEFMMIKVDGTDVWKTS WNLQTGGANICGQGVWWDGFTGVDEIFNHQSPKAEIIFTSTLDQDAADESWGFRDFKLWY EPKEACAVFYSECDFKGASFEFCSKSPNFQNDNIPPQIRSIKVPPQGRVTLYESTDYNGK KVTYSSDQACIQSFDFALIQMSGHVEGGWVEVEQ >CAK64684 pep:novel supercontig:GCA_000165425.1:CT868033:93004:95249:-1 gene:GSPATT00034161001 transcript:CAK64684 MDQFAIGLFPLVYAGYAQMKIKGYKQVRNKPETIALKVKIGFQLQIAIVQIIELFIALLS KDLLSALCCLFLIIGLFYSFGITVIFHQESLLNFLPFIFLFNLICNEAILKLFDLLSLVL SSLLVIYQRYRGDDPLEYNPFCEFFEQQDSFVAQYLILLKFQPNTYFEEIELHELNESTV QDPLLVTQQVQNHQQLQGQGHQQQHPTSQVAQFLINQTRQSNKLDVKNPEPAIMDIVFSK DEDDIWDKQIEVVDSPKHKNKLKVRSSQIIIEENSQSEEISYPSFKEDIPKTHFVRRSQA SEKRSLSQREIKQDHEQKQEQQQGIRSDDEIDKINKGGTRNVEEDQLEELKCYEHQSSNE IQPEVQQQQQIVDIDKLGGIESPLKQEPIPQIQQKFPFVEDNEYPDKLIVIPQQIQQELN KKKQQKKKKQKDEGLIAKRNRMIKRIKSFEEFQDKNDDNKSQNSDLYDDKKSDYERYKDE LSSANLSEDESESGVYVQQKMEKVQFIVGRQVVKKLINYQHKTLIPITIKVKNDQWVQNC QYVELYQLANGHLPKPSYGDAELEKKLFYIQQWLDGMSQNPILMRKEILEFFNVPEAIMN KYGLVKKIEEETRIQRHSNKFMNSIQKEYFLQIKQIKVDCFNSEVSEAFAQIVYYSLRFT IQTEKRTIVTECKKTIEEIKEATKIIQQERNLRVSLQDHETVKKQMKAIDQFLQELFSHQ FYYCDQLFEQFGLLSQIK >CAK64685 pep:novel supercontig:GCA_000165425.1:CT868033:95277:95555:-1 gene:GSPATT00034162001 transcript:CAK64685 MNSSNSTRQSHHSNSIAIYVFIGVFAVVVLLVIAIIILIQREHRRLHQRVRYSEMAYTKK ESNTTLEGQSIEISHSEPQQPHCPDKLVTFAQ >CAK64686 pep:novel supercontig:GCA_000165425.1:CT868033:95682:97005:1 gene:GSPATT00034163001 transcript:CAK64686 MNKRSVTQTERANSAAAGKTQISTQHKQTFMTQAQKTEHLINEIVEQECPIYTQYKQKNT KYPIMKIKEFKKPKADPVDHTDYIKFKTMKNNFVKEYKEKLDNYFENNTKYERRFFKIYH RKDQIYFKHQQSSQKHIENPEVTDMRTYNQILQMNQQQTQRANYNKQQEKRRRELKLEEK EEKEYIKLIMDKFLKEEQKYFDKLSNLEEQGQQMFQEKVQLRNKYTHDYIKNPQREFVEK KDLIISKAIQVPDYMNSSVISNAGSQMQSQPTLGQANMPFSSAFSSFQQLPSFKNVLHRM NSNALYQLPVPQIQEYDLKPGEQIKKPSTYQTQQILDLQRLITLNEKIEFTFRIVSDPAL LRLVDTNGCTLLHQAALKERNGIAKFLLIKGIDYKKTDNKGRTALDIAISQKNQKLIDYI NQIKKKGLKDIN >CAK64687 pep:novel supercontig:GCA_000165425.1:CT868033:97069:97921:1 gene:GSPATT00034164001 transcript:CAK64687 MQVDQPLHTPAKKNCTFKVDGRPDGEGYLNKNDSTYGIIVIQEWWGLNESMVKTTDKLAQ KGFQCISPDIYRGKVAQNREEAGHLLSGLDWEGAVRDIEGAAKHLKELGCTKVGVTGFCM GGALAIASISFSNSIDASAPFYGVCDLNTFKLDNIQGPIYGHFGEKDEMKGFSSPDDGQR LVDAGKKAGKDVTVKIWPGVGHAFMNQDRPEAYNVETAQQALDEVTAWFRKSHYLFINLF YTKVN >CAK64688 pep:novel supercontig:GCA_000165425.1:CT868033:97994:99841:-1 gene:GSPATT00034165001 transcript:CAK64688 MIQDTQKSFKDFLETYTIRQKQPEKRRLPKQNPVFLLTKYQPQKNSIQIEQNFSYAITTS KTTHIDPPLSLRFKTLESEKSNMSGLSQFRKNEWKQKDKNYCLIRNSELFNDVIRKSTKF HSQQQLVPIKSQDQIIKGYLMDLSRKRKVAPKPQGTDELLNFEVDQIYLDITRKDNMLSV KFPNKNQPGVKQVFRNLLATAYDQQMFLDLPRLRVIHLGMQLTHAHFFKFKYHFLNKYMQ LNISTEKMFNCCERIENVRPYILNEILEFEIYGGEEGIKAITKLMYKKILSDVTLSPYFE NIDVATQELKFARLFFQLIYHLDSPNYSCQVLRERHVKYALTNVQLTNFKYYLSLTLQQT GIPWKNIRQLLRRMDIYKYAIINKDDLQHYVNQLGFNQFIENFVNSCSQDAMLSELIQRR GRQRFISHCCNIFHYFFRYNIKAVTREDLYLIHSKKAIINEKIFDRFKQKAVESVKDLTD DNLVIQDFIEDWDEIKPIILGETREQQILSLGQEYLIPKLVQILEYEFFQRHLNKIYETE EAEMGKSILCKLNLLLYGVRFFKKQDLQIIHKRFKITSSQYYDFSQCFKIALQEYKILNY VHQLIEEYEEFIVSD >CAK64689 pep:novel supercontig:GCA_000165425.1:CT868033:99930:101924:-1 gene:GSPATT00034166001 transcript:CAK64689 MSNVTSSSRCPFKFPTENLKNDNKSMTFRDFMQQQQMKDDQKFQCTGQLSSLQTSPTVSK KQRSEKQLSSLLHQEPRNQFIIKHGSQSQVTINEFVNKVKSFRSQEQSPTKTLYHLPMIS DSQICDVVLPNTPVHFKFTPFQHKIRNPHSNSLRNVHEQLEQLRRPKVQQQHRELKQLPK TQTEIISKFFETKFQKIIKTQIKQYGSNELLDQHSESLYNRVILDRQLKNKYYGKHLKYL KDIYKSILGIGYTQEILLDQFRMRSIHAPLFIKKEQFIRFKYLFINQYMEMETPVELLFK GCYKLENFKPLILNQRSDFEIFGGEQGINEVAKNMYEKIFKDYTLSPYFKAIELEEQATK FAKLFAQLIDHTESPNYTLEVLRERHVKYKLTHVQLANFKFYLSTALQKLGISYKNLRIL LRKMDTYKFAILNKPSLQECINNAPGGYREFIESFVRLCSSEPILYDLVQKRGKQRFTAH CENVFHYFFRDNVKSITDDDIESIHKNKTIISEKVYRKFKEKAMQAVSKVTNDPILLSDF EEDWEEITPILMNKPKKTTIKLLGGQAVVNRIASKLENEIMQRPLLYKVFEDNESQVGPN LKCKLNLILYGLHFYKRTDIEVLHKRLRIREQAQHSLFILGHEGCHAG >CAK64690 pep:novel supercontig:GCA_000165425.1:CT868033:103500:104819:-1 gene:GSPATT00034167001 transcript:CAK64690 MKNCSQSYIFSTKLSQIIRNGCTVTITVYQDRLSLTGLRKTSKSEKIDKEFDFQTTQYVV HWDYSQDIESFSLCKQNAKKYTFSASNDQLSQLKSILSGSIGFANWESDYKYIKHIRDNS KSQVILGKQENQFQVLKRIDYPVSSDELLALKLLRENPHPNVLGFNSYYIDNMHCYIAMD YLSHGTLLDLQKRYNFKIPMSIIQEVMQQILEGLNHLHQLKIIHRDIKYDNIMIASFNPL QIKIIDLGLSAINNTSNSRAGTVGYMAPEVFSSSRVTEKIDIFSAGAVFHKLLVGRPIYE DYWQNQAADIRISSHIQNKNAQDLLHQMLSLDPKLRYNAQDCIGHPFFTDETDQLSQKAQ LFFYLSPKQAKLQVHTPATDFVSNQNVNPFRLN >CAK64691 pep:novel supercontig:GCA_000165425.1:CT868033:104877:106960:1 gene:GSPATT00034168001 transcript:CAK64691 MNMHHGHQDSILNTSLAIVNQHHNTFDILVDDESEHKFWTNKRKYQFKCLLRRIVLMVYN SGPVYFSYMLVSLYIFKLLRKVDTDKAFRQQYMKQYFYDYMLCICIACIYTIFLYGLFNH SELSEVNREYFHWSVNCVMFIMLYAIFITQKELVKIEEVEIEKAQQIRTQKDKEQRYVFN FNFSFLFSQQNFYNIGLGRAHMDFIKAQDSQDKDNLNTIQQFSKQVDHIIDKENLDPTMI YFSMCSDFINSEIQASPMVKRRKSKLIKEVEKAKSVLDYLQDLGFNGNRSVFQHFLLPHY IYTRKFLIPDRNLQIFVVITLITLKVLIPIFFLFWPIHPYTLIYSVIFMGFYMHFLSIIF QLVLNDDLKTRNYILQALNKIITIDMDQENALHELIDITCSLSLQSWNLMRTVVIYIEKK KKIEYNYVYGFLALYGAICFGGFTLCNFELPFMQAINYCDDDVLLYNIHADIVLSFIICM GRIYQGSNFNQTFSEIDANIHKLTAIYEDLYTLFDYYFKNRNIVQNRIYYQVIELIYIYQ RKRMQNPLQRNVKNKVDIIETDEMVIMREKVSEMKSCLEKIQSNVERDVKKCHDKFIGIF DANFKKQMRIMIFVIITFVPSLSTKVYKYLSQMM >CAK64692 pep:novel supercontig:GCA_000165425.1:CT868033:106966:107946:-1 gene:GSPATT00034169001 transcript:CAK64692 MCEEFLYDRCIHFDIAAAKLNMQKGEKTLFLWKDVEDIKGNPDQLGSLVISNTRILWWSE RNNSVNLSIGLGTIFHIEQKVTNNNRTFGTLFRTKLATGQKYEFHFSSQDKKLYESLINI QRLYTQTMLHREIKVQFAMISQKKLNTSEKEQIINQYANTISVANDQQDVSGTLIFTNVR FVWYSATNDLFNISIPWIAVKKISKKTTKGLYTMIIETYQEFGGYYVGYRNIDMDSMIKE CNKLHAFHTENPIYGIEIQEKQQPTVQRFDEKVQVVQSIYNQAARYQANENNDIQNDIAY SPELGLAIQKLPADAKLSEFWNIIKY >CAK64693 pep:novel supercontig:GCA_000165425.1:CT868033:107957:110094:-1 gene:GSPATT00034170001 transcript:CAK64693 MPKGHPQQRNKAFKGESKRRAKQRSEGKLKKKIKKQEIKQTKSKDPQQEIQIERKVKDQE QRERVVKAVNTKIIAMFPMNQQANIAALKFRLMQHLNIQSVGISSYSTQDCFLNVEANGK LIKQPLRFIFLDRNPEQILDACKVADIVCPVLSCRDCNTNTISLDPHSNANAFDEQGYKL LSSLRAQGLVQQVCYIQDLDEISQSKRNVVKKLFARYFESEFPGAHIVDDCSTLMRSVVV LHEQAVEWRDSRGYMLPDDLAYVNGELSITGTWRGQNGLSADQLVHITGVDDFQIARIEL LGMIEQVFESTQPESLDPICRDKQHDIGDCDDLLKDIAGMQLQEEEEQMIEQESDVSYED LDKEFEDEAQKKREQFEIVHRDTEDLDYEDEVEYGVEVKLRERYDQYQGLKNIRTSEFDP LTFLPDEAEKIYNFRFLKKIKSDVMEEMKQREQIKPGQRIKITLKNVPELIVQRLTQPNK IYVISGLLKHERKMTQMHVRFHRHQMHNCVLKSKHQVFAQIGFRRAHINPIYSRILNNCS KTKYVKEIKDDTFYLASFYFYNSFPQQPVIFWQNDFDFRSSEIMGVGDIHRCDTFQVILK RIVLTGYPVKINKRKAVIRMMFFNPLDIKFFKPIELSTKLGLRGNILEPLGTHGLMKCMF NNFVKPNDVISLQLYKRVHPKFVNIQI >CAK64694 pep:novel supercontig:GCA_000165425.1:CT868033:110154:112477:-1 gene:GSPATT00034171001 transcript:CAK64694 MGASDSKPIYKLYEHFKQINVRNNDFPDCQILQHKRDPNQQLILRTISMTDEGHFKKTVQ QYQIRQKINHPNILSLSNYFYEFEQQLCGQFYKVNLLFEYPQSNLDRVQILNENQLIEYL KQTISGLACMQRNEIPHNTLQLKYLYLMDGTVKVCDPQYFQQNTNYLQILQNPNCVESIY LSPNLVNTIRKNNWQPKHNQYKSDVFTLGMLFLHLGLNQVNSDCYNYIQGKFLEEHLTIK LQKLRTRYGQLFCDFIQMMLITQEDQRPDFIQMEQIISYKQLTGKTTYYKQQQQQQQQQQ QQQQQQQKQQQQIPNVIPKQIIPVQPIGLIDTIHQPSTSSLQLEQCQYNAQINQSKRVSQ VQNISPMKYAHQYTIKTTQSQRSSTPISKVMIPAQQVQSQVRDCSVPKQSSQIQNTSSRQ ISHEYINKNNYSQNSIQNIATVTTITDQSILSNKSMLQQINLTPKLSIHSMNQTNSKFHQ INQPPESKILPSLVFQLQQDQCDTLSKFHPSEVCSVHSQFDENLDSQVNEDVNTQRQGVC EYSQILCDSTNLPQQFKSTLTKEFSLPYEISKIKERKVNEPEFVVEHYGNGSRYEGMKWN GMRHGQGRFFYQDGGLYDGEWKENKMHGEGTLYYGTGQPAYEGEWSEDQFHGFGTLYNEH PQMSEEGFDYRDFDNVEDYWMKYSGNFRLDNKEGQGSLHLTNGERFVGCFERDLINGKGV FYRMDGKMMEGRWVDNKLVY >CAK64695 pep:novel supercontig:GCA_000165425.1:CT868033:112482:113102:-1 gene:GSPATT00034172001 transcript:CAK64695 MQFFTPKFSFVVHKTFKQKLLARKEKRRFRGLNVYVPEFTGEGSIHPWLDAKRIKLFTKF YEDHRNKHRFTFKLSPDDKKKLNDVMLNYAELHYLRMLQEKYWLGKHAEFMTTVQKEVNN LPYILKSELDRKLSEKEMEYYDRPQLDADSIYFEQRLRTMPDEEATNFELAQRLFRIAQD KLAQNE >CAK64696 pep:novel supercontig:GCA_000165425.1:CT868033:113235:115682:-1 gene:GSPATT00034173001 transcript:CAK64696 MFFPEQEYKTSTKVDILYLSQSEDELKKLTLEEDLSECQRSIYILTKGQQLQKKAIYSNL HRILKEPSAFEFLFQVIIEEIQQQEEDNQIIAAKSIQRLLKDNSLSMQELLQLYDLTTQI LKIWSLPVLNEWVQTMDLLLRTIGLRNILLPIQQLILLLTDSSQPAISRQSAAKLIGTLA QLLGNEIKGPILDRARSLCSDHDKETRLIMADNVLIKVCQSISSDLIECYLMEKIMELYY DTDPIVRSAGVKLFFTIANNLSADEIKNRCTKQFIDTIQSQNEESKLVMSKMCGRVFHLI KDHLNQIQVTLFINIYMSYVKSKNVDIRVNFVCNFPALLSLASKKFEYFQESYLQCCNDS NEVVVRAIINCFHEVVLLSENTEILFQVFMNLMKSKSLTLLEILIFRFASIINSFQKQQQ PQFGQPAIELLNSLIARNLWDFQIELLDQFKKCQQIFSDVNTFMNAMVTTIGKGIPKTKQ QCCDNIAQYLSQYGDLRKRKDWIIQLFDLYFKSDIYHNRITFINIVQSFSEFISKKLFKQ YEFFDILIFSKDPVVNVRMRLIKILPLLYKKIDNDDLSILNMFNEAVQDCILSGSRSFQF MIHQTKEELLKPNDENLLNKRDQEMMEKEEIIFKNDQKQRQFILDQERDDLEINKIDLND YLNKYKKKYPLCKIKSTNQSQTNLFKKQQMQNGASTLIIKKSVDLDSSKSPLIESSSMTK KPVLKSKTPTTKSICDIKKQFKLPSIKK >CAK64697 pep:novel supercontig:GCA_000165425.1:CT868033:115749:118421:-1 gene:GSPATT00034174001 transcript:CAK64697 MGCTQITNGTIKNPRMKSFFDQCQYYGFKRSQVVKITEYEFQYCRGSKSWQSNYSKSIFN EQNPVLLSPCLPDNFDNLISGLTNFKQLYPEEFKDFPKNLGMLLSLGDQFPSFRAEKLGS NQITSFQIQQNVVTIFVFWRLEEEIKIGRMSQVKTRNISNRFRADDESQSYLETAFIRAK KSIKRPLHLVGICLDSQDRKPLYEQVISQEDTHIWINENDQSAQVFKYAEDPNYQTRQLE QSIFIVNSKGVFVGCLKENFGDHSFIHEINDIIDGEIKPKDAYQLANIKQKIRKDDYQKV KKLIVEELPNKHKLPIGDTFSLSIKKHTYFRDYSKVGATYFNPIFQAAGSKKYQQNCDDL IKYVKDFTPIAHMETDFKQHHSVNKKLFFEKLSRKLINIGYEDIRFILEKNIEIQYEETS KRFEMKRDITSIHNAPQSVSCVYSRKEALQKLGKSIFAQSDNYTHKELELMKEFFGNPYS LKTSFNVGTLFSPIPNTYKMQHNDFSGSSQIMQSIIRPTNKGIFDSKLVQSMNQSVDDQN QHFGITEQIKSNQSQFDLSQGWDGDNKVDLNYDQIHSIHQINSEVLIIFLIDYTLDKHIE LLHQLLEFIKGNQWNSSNVRVIGLERRRSEDQFQKQMNRLEQEMKRGIFEAWFPLEQSFL GVNFYKILSDLYGLDSISPILVIDHLGRLLYQGQPDQKFAPLLAKIINQESLKTIHPVDR PLKHRIDLAQFGREWRIIKQYGNESVYFSPTVQQTLKYLEKMPMRKSEQWKLSVTQFQLW NENGEFVRKCFEKPKIIYKFERPELGVKFYNIVEEIQRLVGKDRLEVIDLNAEILKDRNN LQGEQLIK >CAK64698 pep:novel supercontig:GCA_000165425.1:CT868033:118542:119466:-1 gene:GSPATT00034175001 transcript:CAK64698 MDQLIGTTVNGYQFIKQLGTGSMAEQQSQLFKSIYLVQDKTSQLNYVAKVYTCLNSDIRC HNEAIILEKLSHPNIITIKRNQQIKLQGDQGEMNSLILEYLEKGDLFEFIAKSGHFGESL CRYYFRQLVQVVDFIHKNGFVHRNLKLESILLDRKFNLKLCNFSCAQHQNKYKDGKLKTK VGTENYQAPEVLYGAVYNGVKADVFSLGVILFIMYKGQPPFLKANQQDALFNLIIQEDYK QFWEIHSQKKIEFHFDFKYQVIQGEGTLPENGQSEPGQAIQYGGGEEFDVV >CAK64699 pep:novel supercontig:GCA_000165425.1:CT868033:119571:120218:1 gene:GSPATT00034176001 transcript:CAK64699 MNSQTKDDEKYKQFQCKICLDLATEPVITPCGHLYCWQCLYTWAQKKNPLQCPYCSNVFE LDKVTTIFTGDSKESKQSEIPKRPTNPRQEQNNSSQQQQQNQPFGNFQFGFGFGMPFMMM SNFNFGQGQQGNARSFMIVFFIGFFLMNFLPFITSSIQEIPQQTQRPSRRHQEIYEDDQL TNFGISFLAIILGFAGLNYLANKILNK >CAK64700 pep:novel supercontig:GCA_000165425.1:CT868033:120896:122106:1 gene:GSPATT00034177001 transcript:CAK64700 MQILFTPGPLLTTKTVKEAALVDMGSRDPKFVQIIQNIRSTLLKLSNVEEKDYTAVILQG SGTYAIEATFHTVLKRETDKVLVVSNGAYGERQAKILTAINKKFVKLTYDENQKCVVEDI IKAIEEDPTITHLSMVHSETTSGIINDIDFVHRLSRRVVFILDAVSSFGAYEIPVSKLNI DFLISTSNKNIQGLPGFAFVIANKSILTQCKGNAASLVLDLYDQEEYMLKTQQFRFTPPT HVLRCFECALKEFEEEGSIKGRQKRYTELQQYLSQQMQSLGFKLFVDPKDQGCIITTFLF PKDPNFNFNQFYDYLAAKNLIIYPGKMTKAETFRVGSIGALTMENMHQLIESHQSIYCRN KFISLMRNKKSFILLNFYYYNYTNYQK >CAK64701 pep:novel supercontig:GCA_000165425.1:CT868033:122404:125149:1 gene:GSPATT00034178001 transcript:CAK64701 MEPDPKLLSLLEKVNNPIELFKIHITVKGVYGFSEDWKLTDETNPGIFANVVRYQGEDLF GKIKGREQTEREKFEEYQKNSKKNKKDTKSPEEEEQMKKALALEEEEEQKKQQHLGTLSE QDKLFFISEDKFKTISIQYEETHTVEVTNVRQLEEEILESRNYIYFIRQPAITEEELVKL KKGKTKNLNNAELTQIIFKGVFDLSELLEPGCTRTTVRALLKQEENADCPKYNCDKMYVK VEIETEPALTPLVEDIPVLNIEPIVVQRIPSKFECVGQFQSDIKEAMKALDEEYSKLYPS DQKAKVSVNLTVQKRQELQKKKESFLNEINQSGKYKILQDRLRSSIIRVCIDKFAKEGLF VGVNKDEQDRLFAELYVFMMEEMQTTLGEYVLNNKEDIHEDLYSTYDQNQRDRDVVFQSL KESAFKKFKRFAEQYETINQVDQALKYYTNMVLVDPKSATIFAKYCFKIQRFKAAEQLIQ MVRDIEWNKENELLMACLYIRRERYKEATNIVQSLLQKEPINTLFNLLMAFIYKQQGNQN MADKYSRCTQRIFMRTMGLLTKGFPKQQPDPHLLPNFKQQMIEQQEKAKKAPVLTPEQTD QIQLELIEYFASRSLFDLAEKALINIKDKTTQKINIIKAQIHIFNENYTDALSLIQKILN QNPKNYEVYLIKGALCYQTEQFYEAEETFLKALSIQQRKSFDILLRLGYLYLKRKSWQDA KAIFERALDQQNPSNSSLTWLGLGISNLRLKDPIAEECLCQASIHEPFNGEVWGYQALNC LQQKRGQQAKQCLLRMEQTEVNDLDLLIELAEEMYKCQDYESCRQILMRVYNSKVKIPNM GLLLKNLGQVHAELRRKEEAKQYYEESLIYLESANEIEKVNQEIKFL >CAK64702 pep:novel supercontig:GCA_000165425.1:CT868033:125558:126224:-1 gene:GSPATT00034179001 transcript:CAK64702 MKKFQFFDKNIRQQRPELNFCTFNYVFAEYICYLKRKHGNDYNEMNSKMEQLGVSVGIRL YEVVSLRERNKRETKLVEQLRFIQGIFWKHLFGRQAESIEKLKDRPNDYLIRDENPLLLK YISEEGHISPAQFMCGILKGVLNASGFTCQVSYQFKTDERGVSYYPHTVFILSFEDARDL >CAK64703 pep:novel supercontig:GCA_000165425.1:CT868033:126249:127411:-1 gene:GSPATT00034180001 transcript:CAK64703 MTETIENLRDFNYFKLNTNTHILVEYIWIDGTGERLRSKTKVYNTAIKSLDDVEWWTFDG SSTDQAITKFSEIFLKPVCMVRDPFRGDPHKFVLCETYKPDRKTPARFNFRWIAEKIMQE ASENDPWFGIEQEYFMLKRTGTTHLWPLGWPVGGFPYPQGRYYCSIGERNNFGRALSEAH QRACLCAGLKLSGINSEVAPSQWEFQIGIARGIEAGDHLWLARYILERLGEEFGIDINYD PKPIQGDWNGTGAHCNFSTTSTREQGGYEYIKDFMLPLLEKNHQKMMLLYGLNNEARLTG KHETGEYNQFSWGDGSRGCSIRVPIITKELGKGYLEDRRPAANMDPYLVCSALVDATCMN GKYLMTLIEQFAESLKQL >CAK64704 pep:novel supercontig:GCA_000165425.1:CT868033:127978:128776:1 gene:GSPATT00034181001 transcript:CAK64704 MSRTYSPIRSRAEKIVGQLSELHLGKKDQTQRIKEAEERVEIMETAIKDLRETLEGKVAK IKEGLEYVHSVLKEQEPKQEDEEQMIEDMKKAEESVNQQLEELSKLREESQQKFNEQVEQ DIQELREQVNSEKHERNEQIMEINGCLESDLPQLQEMLQANIKEREEMDRNINGKLYDEL QRLSQIIHAERISRQQSEQNLFEMLKDVVNRIKREIDEEKRARESTENDVLGVLEETLNK ILAAQEH >CAK64705 pep:novel supercontig:GCA_000165425.1:CT868033:128801:129787:1 gene:GSPATT00034182001 transcript:CAK64705 MQNSDNSDEQYSDDQQESPRFLYNFRELNLQNKSLLGEIRIICRNLIYVIGLAPTLAKEE LLRKPEYFGQYGQIQKLIVIQSNTFNPPSHAAYITYRNEQEASMAILVSILQQLIGLLVK ASFGTTKYCTNFLKGQQCKIKDCVYLHQHPKDKESTQVIKKEEMNNSKWLFSYSQRLAQI NFKKFYTKINYKAALQKSIFLNTQNILDRMIAYKIVDRHLDQPHQQITNEDTSQQFSGKS VDAISPQKPLDMEKRLEDIIQKMDGDSNSRFKFTTTIKVPEDQEAINQLKQFILK >CAK64706 pep:novel supercontig:GCA_000165425.1:CT868033:130336:130983:-1 gene:GSPATT00034183001 transcript:CAK64706 MENDLFAPTQYKKELFIFKEFLDGMKELCNKYQQILPHVKQIRKRPKKYKKRNKDPQAPK MPQSAFIFYFKAMRSKFQEENKGKQFQEITSMIAKKWNELSPFEQEPYQKRSEEDRKRYN EEQKQYSVISQQQFSKYLQKKFKGDLRDSDKEDIQPKQEENEDDSFGGNIIQSNF >CAK64707 pep:novel supercontig:GCA_000165425.1:CT868033:130996:131526:-1 gene:GSPATT00034184001 transcript:CAK64707 MDLYTIPSRKLNRLAKKRNQTLCDFSICGKASPINKKVDAQGIGSSRFPIQDERKGKEQG IMCDLFENVQINRSFNFQTQNTSFQNHQTRLFTPETRILKRRKNGKVLFQPISRQSSPQR TYDCPAVLNITSYMNRQQPKVRRVINLNMLMDDQEQRPFFSDKRQNLYEFLQNYYQ >CAK64708 pep:novel supercontig:GCA_000165425.1:CT868033:131545:132276:1 gene:GSPATT00034185001 transcript:CAK64708 MSFALPSSKLPLVYRHPYQGFVNVLPYIDGELDTKTQNIVLNAPLNQVDRMIQQEMQNMD PQDYLHELPMPQTKVTDLLRSEMERVQLQQPMAKVDFEQKPNFNEEFQSTHEIQEANQQL NVLNQYAQINIINSELLNKYGKESWALLLKSQENEKSRLSKEIANQEQELNHINAQRKYE QNEVKYKLDSLKAKVQDVLTSNAQLEVVCGELEQEIYDKQRKQLKLN >CAK64709 pep:novel supercontig:GCA_000165425.1:CT868033:132302:132991:1 gene:GSPATT00034186001 transcript:CAK64709 MNINIYNSSSFIDLIPNDFNQKHTVSSLNKIKVKKLNKQIQSTSDFASSSCLQMLTSASS NRKTFKQNTDMYKLGQQFLQHKKEKQQQLIHQLEAKFQQSCPFSPQITSNSRVIASVSKT TVDRGRQSSELIDSQSIKLQKELEECTFSPQILRRNIDIFDRSSPFYFRQIDWKSNLQEK LQANRSQNQTQSTISQNKQIHPKYLDLRASHALKKNISAKYLRQSLTQI >CAK64710 pep:novel supercontig:GCA_000165425.1:CT868033:133460:134525:1 gene:GSPATT00034187001 transcript:CAK64710 MQKQQNEQIEEDEYEEVISYTSLEKLAIPGFGAVDIQRLKDAGFTTCESIAYTAKKNLMN IKGMTDAKIEKLVEAVAKLVVNQFKPATDVLKQRERIVHISTGSTKFDKLLRGGIETGGI TEIFGEFRTGKSQICHTLAVTCQMNDARGRPGGKCLYIDTEGTFRPERLSEIAKRFELGI EEVLENVSFARAYNVDEQMKLLVQACNLMSTDKYALLIVDSATALYRTDYLGRGELSARQ NHLGKFLRNLQRLADEFNVAVVITNQVMSQVEGFYCTMMAMGDQKKPIGGNIMAHASTTR LYLRKGRGENRIVKIYDSPCLPESEEQYTISPGGIDDCAD >CAK64711 pep:novel supercontig:GCA_000165425.1:CT868033:135311:135948:-1 gene:GSPATT00034188001 transcript:CAK64711 MFLKREAPQSANKFNSNRQTTIIYPFRKDTRAQVPSFENLQARIRDFSYSRLSNVVATQP QRSEPTYSPYKAQGLQQKITDTLGPRTKSFNSGHKWRESFQSPSISSQSPFKFVQSPPQI KYSIETESYTKPSDSFKYDSFRTSSEQLKKRQGRSLADVVQLNEIVALRNKIESSYVSRS SLTSTYVSELVKLAQAITTSLKNE >CAK64712 pep:novel supercontig:GCA_000165425.1:CT868033:135993:137152:-1 gene:GSPATT00034189001 transcript:CAK64712 MSFSTTCDFDHSKNIHRKFNTLHVSSRKNVDPLVTTKPFETRNHGQQFEQHFAHYLKGDL NLQRLIQTLNYPRLNAEQQLQPKHRMTLKIRQQTEQRKNYLKSKIVKVRNLIKEKVAIKK PHNEEIRQANAQTLTIVQTILDIANHKENSMGYQEKLIPKTKNLKQYRLNYDNVEPVCKL QQQVIQTIPPRSYLKSVLENNAQLYLQELQTQAEQHENNINKIVRLSIQTKRNDFTLFHK AAKTDRRNQDGILTHADVQLYDYLYNKPKGVKIEKHPKYIQNVIDSEVDFRKNFGRKVLT HRVKRTHYNQDPPQNPDDSYASSLQSACEIKLDNYYAETREWKRKQFLQLPRLILTYSSR KAIYTTINKLETQ >CAK64713 pep:novel supercontig:GCA_000165425.1:CT868033:137167:137765:1 gene:GSPATT00034190001 transcript:CAK64713 MQFKEELINVIINLIEEMLECKLNSIMQEVFHPLSQVNDKLARMHQDIENMQDVLVKHFS HQVAHSRAESLSETVDTAEEDQFKKSINAELDSLGLRVQQLEYMNHIILDTQSANKANFK ELERKIQPMQEQINKSIEEMKKDLKDKQTFQWTVLNDQIERVEKYVQKLKQADLLFQQKQ QLQTQTNKKSK >CAK64714 pep:novel supercontig:GCA_000165425.1:CT868033:137794:141973:-1 gene:GSPATT00034191001 transcript:CAK64714 MKGLFLLINIYFAIGLLICKDQLGLTLQLDKSITIPNYNCTLNVSNDKLINMTSLGGQLK ITGTELLLNQNILLQGFQLVEFQNITINTQTKMLSISTQVHLNNVTLTSNCQINATKIFI RQTIMTKMIFQYNPVFASPVDADGLIISNSTFFRSSLVQQLSRLRNIIFDQVSFINSTLA TVALLRSITFNNSFVIQSILIVTDISQEITIQNSYFTLSTALQVSGALYTNIINVTFSQS HLMMMQSNNQIQIVGNLFHSIISDSKLIVAESKYIQMLNTSMINVQSEVLLDFNSQNILL KKFALQGVKGSLMINKYSSSNVMITQFTIESCQSVDPFFSANGTLQIYKGHFDSTLGSIF NKSSIKELLIDQVNFTRIFNSTLINVKQSSLVQITNLLVTNCSSISIAKLSNNVNIVINM ITMQNCEECNFLCVKNSQIKLNLIKLFEINNFQIPLIAIQKSNFIIKQSQIFNVIQNYKQ VIRIEDGSKVIFTDFQCYDITCQSCDGVIVIKNCSYIEIKQCNFRNSISLLGYMNIQNNG NVIAQNNIFTNLTGNEGSAFVITNSSVKIFENQFNNLYSADGGVITFNQTGDATHYIEYN SYKNCSMKNDKQIHLITNQIVEPDLNTYINGPVYITLHNTTYLLDHLIKKNAIIEFDQLK SGQKIEFMIAILDSGKNRLCNFSNYLSINFKIFQFNSQKCQYEISYSQYQSLPKNQQVEL QIEFPQFKFYNDTFKLSIYLNMIECEIGEAWMNQTCLRCPAGTYSLTNFKQCQQCITSIE LCPGGSQLMIKQGYWRPNNLTDQIEYCGTFQSSCQGGEQNFTCSQGYVGALCNDCDYYAV QWNASYTRNQGGNCSLCQNDAFNILKIFLSFLWILVALFISVRGSLKLVRSQLSAYYLRM MGIFFATRSTMFIDQTEILIKSVSSYFQLISISLIIEFEFPMPIVFFAQVIGNPLSTIGY SIECFLLQSNIEIEIVYLRQFWNLFFSLLFVFSFVFIYSLIQFCKTKPLEDSTRNIFITS VIQVNFYFQGDIIEGLLKLMFCIKASGQYYIQAATSYLCYTDEYYFYLQVFIIPTLLIVG VISPIFYIVKLYMNKNKLWTCKLRMPYGYLYVEYKDRYYYWEFICFFVKSIFYFLKTLLI QDIKLMFLFAILILLIYLELLTQHQPYIEKQYNFIDKISTQLAMITLICTYSQFRNPYSW MVYLLSITCSSLNLMYCSYIAMKIIKEYLSGLKQQHLEKIVNLVLRYPCLKYCIKKPKNY HAKRKACYLWKKARVYVMEFIGKLKLQKSNLDLNSQFQIHSNRPNTSSTYTTISLLNSIA PQLVKYIKFDRNKLNQRVIGQNEFTSVISAYIDPENPQSPDDFQLPKKSTISTIFGYVND NNIE >CAK64715 pep:novel supercontig:GCA_000165425.1:CT868033:142196:143295:-1 gene:GSPATT00034192001 transcript:CAK64715 MIYQQLQPINDQQQPVQISPDHTFTQLPQTKCVYHPKFITHFCKKTSCLMPLCDTCLGTH SPQHSKFIDSIQNTLTQVYRGYAKRANEIALHQRHDFLQLRQDLHKLIDAIFEDLLRKAD QNYQPDLVVEWRNLISKLEKLKDSQTCMKEAILYFVEPDQGYHEPQLTYQNNPIQINQFS LQQVKLHLNRLFTVASNNGEFLNLRDNEWQEENPIRRVSSIVDDSVVYSLYNYTDSNLPN NKNNNINNNNNNNNNNNNNINNPNNPNNINNNANHHQIENTHAQFDQSPIRLVMPIEEQY AIQSPAGIQYAQPIVRTPYTVPSVYTISTVRPFKQSREFSYAFPYVQ >CAK64716 pep:novel supercontig:GCA_000165425.1:CT868033:143333:145381:1 gene:GSPATT00034193001 transcript:CAK64716 MNKAEDSQNQFFVIEQIEMSSESEPEDLEDLDELNDIKHEEENLNDLDKIITDVKKEEYM PKIRAEPPEPKPPGVIKRPEVVDDFIRNFLIKNQMNRSLEVFQQEWYELSQKGKLATDGM GQVTDVYVQNEKLKEELKYVRGELDKAKIVAENAKSTYDKLRKERDFHKMHHHRVQQEKR KLNHDIDKLKGLHQQYEDKYEELSQKYSHAMKEKMLLKLERDRLVAKNDALQRSLQNVEE KINKEKEPGSPTDKLPLVDTKANTKKASTKTNTQFPPDDRPNPYAGTQIEPQNYRNAILN KTFKGHMMAISSMDMHPKKSIVATASDDFTWKIWTLPQGELIMSGEGHKDWVSGIHFHPK GSHLVTSSGDCTIKVWDFINASCTHTFKDHIQPVWGVKFNDTGEFIVSASMDHTCKVFDL ASGKTRHTFRGHVDSVNHVSFQPFSNIFTSASADKTISLWDIRSGLCVQTFYGHLNSVNH ATFSLKGDSIASCDADGIIKMWDVRMVKGRSQFDAGPYSANAVALDKSGTILLVGSDDQQ IRLYNETTQKQEHTLKGHEDAVQDVAFDYNSKMIISCEFINDLKFYKQDAYYLANFTEDL PSIYILFLYILQKLFLQSFHVK >CAK64717 pep:novel supercontig:GCA_000165425.1:CT868033:145442:146966:1 gene:GSPATT00034194001 transcript:CAK64717 MNQVQERFKRFDIFGQEIRLMASGQSAFRTNIGAFMTLALFSILAYSCNSFILEMNKGKN AMLNSKETVISGEEGYTFNSTELIFAVGLLDNLGQPVPNDNNRVFSISFYYCNKSLTDTE CTYIPGTICGSRIQEISQQLNIPKGYEDITYCMDEEYIKQNPEIRIQGSTRQDNFTLLGA LVQRCQNSTDSNNCAPQEDIDQYIKNANLYYSYSFHQFNKELDDSPYEKAQNIDVTPMYY KVRKYIKIYFQYSQSQLEYNPFYFFPSYAQHDGFEYQNTGIDTALNFEDDSSFAQLEINL DAKKRIHFITYQTLMDVAAKIGGFFTIIRIIFEIVLFPIQSILYRLYLINCLTHQQNNVN TNTSDTPKNKTNNFLTFYRLIKSAKARQFYLNQSVLIDKFLDITEILINPLRFTRQVEDL YGSIKKFDIVSARQNNYNINQQIDELVANNNNDFADLKSLSPRTINQEMKLGSLTNFKVP QLSIN >CAK64718 pep:novel supercontig:GCA_000165425.1:CT868033:147023:147986:-1 gene:GSPATT00034195001 transcript:CAK64718 MNIAEQIVQRKNEFNKQMEQRDIIQEMDDHLKNLHESDDDRSFYQMQYDQKDIQKLITLM LKYYSRQEDQTYQTKKCFYFYIWRYFQNQDIFEKNWEIERKDLEKQKRYPYRNMKKQRKI IEEQIFDLERKAFFQIRQKQILVQLFKNAARKERLIKTHRISQIYQEYCLNELCKQFEIQ YKLEYIQINCYLNLIKQCCVLEGKQIKIKIEIANKHQQFQSVLVYKISEFQKQSCFQNPY LPTEISIFFYKWIKIIMYVRQLEQIEEINSINVILNQQVFKESVLRTQIQLVKSEIDSKT YLAQELLNQLLK >CAK64719 pep:novel supercontig:GCA_000165425.1:CT868033:148024:150278:-1 gene:GSPATT00034196001 transcript:CAK64719 MLIQSKGNVIHENLPIRAYKEQILYGVDTNSTLIILAETGSGKTTQIPQYLIEAGYGGED RVLVSLPRKMAAISIAQRVSDENGTELGQDIGYRVRFESKVSENTKIEYVTDGTLIQIIM GNPLIEGYSVVMLDDIHERTLNTDLLLCLIKKIQKKRPELKVIVSSATMEVDLLQNFFPN SKIIAIRGRNYEVDIMYLLEPCKNYVIAAVELAYHIHKKMPEGDVLVFLTSVEEIHAFIN LWSHHKANCVVLPLHANLGIDKQLLVFKQHASRKIIVSTNVAESSVTIDGIVYVIDSCYQ KVKVYDYKRNLEQLNILPISQQSGAQRAGRAGRTRDGICYRLCTKEDYQNLPKTFPPEIL RSNLTELILQIRSFSLTPNHLQCSNTFLTPVSNEQLINCINILMSLKLIDENFSLTELGN AIVDYPLETQLAVCVENSFLEEFQCSDEMLKIASILSIQGGIFSSDATPLQMLKAKKALG CREGDVLSLHNIFVRYINIGNKGNWCDTYRVSKYKLESAGKIYKQIQKKRKNRQIKSSIQ DVEAIQRCFVSGFFSQVAQRENTAREGVYRNIYTKQLVHLHPASVLTVSYPEWVIYHELI EQNHKLTMHNVTELDPHWLFEIAPHFYRDARQEIAEMKHQQEKDQLDKIEAEKQQKQQEL LMNQESKVVFGSIKQRKPGFKGFGQTQFPIKSKEQRLGSLSFNDEEDF >CAK64720 pep:novel supercontig:GCA_000165425.1:CT868033:150302:151999:-1 gene:GSPATT00034197001 transcript:CAK64720 MNAKFDAPCRFYVQGNCREGDQCKYSHDTSNIERVNLVRDEKGKLNLKKNNDYQTQDRKI VRGRGRGRGQIDRYQEEEQTKDNYKIDKSDRIEQKQRQQQPRNSYEQKSGQRFGQQKEGN ENRQIKQKWDRNQDQQENQIQKPVYREKNNQERNNVNSERWQRNQDIENGDDQQQFQQNT RGRGRGRGRGGRENTFTKRDQIQDEENENERPKERMKERTKERPSQSEQQIENVSITKKV KKNEIQVKKRHQVLNLAQKKNISNLIQIAGYHFQFLGILRTDAIDFYQIPFKRNGIEIID EERKVTISQTDKQFISAFMQEHPNNEYTLIIQFQKEEESFKNLLVFHNVFHQQCPQIISD ISMKEIVYTNFEDGQLFTFCKDGLIRIFTQNDIGQLKYTQHYNVEQSMESVIKVGSNFLI GMRSGQLYLFNGKILTEIPYKFTQKCTQMIIDFNRVILKMDDDIQTSIYILSQNLEIIGP IYKGEKVICLELIKSYESDKLFILGFSESVEIHTEIENKLYPINVMLHQRLMQMKKIEIT NENMVTQKFIVGECDEENENIQCST >CAK64721 pep:novel supercontig:GCA_000165425.1:CT868033:152698:154129:1 gene:GSPATT00034198001 transcript:CAK64721 MISENESTRVLLVIVQNPQSIILPHSLFYRYFSSYGEVNKILIFEKGKLWKCFIEMATLQ QAKISQQQLNGCQLYEQTIMNVQTIQMIHSYYSTLQSVTFLNNNSGGVDYKAMKQRNSTK TVNVYEQDYHPKTYSQPLITQQDAKLSMASFGNERTRASLNDKQDFQDYKNEWEPSKIQT SLEFIDDSDSPRNRKYASFKSIQFDDNEHDPIDEDIMHAFSSEKNTYLNSYMFSIPEVED RIKNQDIDPYTYVSPQFLRENQPSKVVYLRGLMNQNITPLNIFNLLSNFGNVLVIIHIKH KTSALVQFEKLQHAQNALDHLNNQVFFAYKLKIFYSNYQEIQFPLPFQSPQTQVFMPIPS QFRFSDGKSISFNPPSQILHLSNIKGKMCEDENWQCLSNQIYTYRQVRKPLQQIKTYGIS KIIDFRRSIEWSSFTSREKRQWAERSMFHLPKSAFAQVL >CAK64722 pep:novel supercontig:GCA_000165425.1:CT868033:154131:154674:-1 gene:GSPATT00034199001 transcript:CAK64722 MRFHFLTMLIGHWQIQQSREIIDAKKNVKMAPPISRSNYQSSILKSAFPEVSNIKVDPNS QLITMTLFKAQHFSKNIEQLSTFLQGFRQYLHYHIHASKTYLHSRIIKRISQFQRSLQLC QFEPEVEKKTEDLFASSGVKA >CAK64723 pep:novel supercontig:GCA_000165425.1:CT868033:154735:155229:-1 gene:GSPATT00034200001 transcript:CAK64723 MMLIDPQNKLLQTQIMDLIMKKDPRIVVAKDYNYSCTKLQYKEDGKLFLSFTCFNYNEIF SIAGNYMIEKYYKDYTKEAADVGFHLTFSFDAQSAKEEPKIPKNATEAEKAELQELKQQI RAENQKLFEKVTKDFSQIRRNFYAAAFE >CAK64724 pep:novel supercontig:GCA_000165425.1:CT868033:155353:156825:-1 gene:GSPATT00034201001 transcript:CAK64724 MINGCKLKDCQNLVSILTDILPYALYNGANKDERLFVLQKVFRKFQCIFLLQIWSNQNKE VVERLNLQQDINEIQSIKLFQLAEESLNYQQQIKYQEEQIAGLRQQLDKTYSVNEELMRQ LSSVNKKSANLIIELNKQQNQFQEITIQQQIKNIDENSIKIEFEDAQFQKSAQKLKIEQE QTINQMKVLLQEFQEFQSNRQLNLNRVKDIDQSQVENMINLIKDTIRTIKQMEQVIINFK IELESYITNQNDYVKEKIYDIFKKLEKNTACFSYVKHIFEKTVIILETVESMNYELQQEK QLLEDQVKSLQDQNIEFENKMSNLLTKMNQQTIEEKEKIIIDQVNQLTNQQQDNQKTSLG YDSELKNIFIQQITNDINNLVSINLAFFLSQNSNPIFKLQNQELINNTNKLITKLQSQNV AIDIDILGQELKSNLKSIEQVYLRFSNNSVVNQYNYQNLQYLLKLLQNLSYYKKEIDRIP NIDNSLKQFN >CAK64725 pep:novel supercontig:GCA_000165425.1:CT868033:157454:159218:1 gene:GSPATT00034202001 transcript:CAK64725 MSKFTKPTQAANKLVKTNQGAPIKKQDSDSDDLSDVPPPPKKQQQKPVGTTKVAAKAPQV DSDDQDEPVKLVQSVKKGSFDATKPNTTNQNVAKQGQKKPVQQDDDSDDVPPPPKKGAVV QKKPVKQQDSDDDSDDAPPPPKKAPVATKPVAKPAVQTKAPVKPQVQQEDSDDSDDVPPP KKPVAATKPVAKPAVQTKAPVKQQAQQEDSDDDSDDAPPPPKKAPVATKPVAKPAAQTKA PVKQQAQQDDSDDSDDVPPPPKKAPVQQSKAPQKTQQIEQEDDDEDEAPQPRGKQQPQKQ AQQAQQGQQDHEVFVRGLSFDATEQDIKEFFDECGNINSVNLLKGPNGNSKGIAFVRFST EDGQSAAVEYSGQEHMGRTITVEKTKPRDQRPQQGGQQGGSGESTTCFIGNMSFYATEDS LYPVFEDCGKIKEVRIAKDAEGKSRGFGYVEFFDNESAQKGLAKTGTDVEGRAIRVDLAN SSQRSGGGNRGDRGGFGGRGGFGGRGGFGSFGGGFGGRGRGGDRGFSRGGRGRGGNLDAN DIAAKKGTIAGFAGKKMAL >CAK64726 pep:novel supercontig:GCA_000165425.1:CT868033:159263:161449:1 gene:GSPATT00034203001 transcript:CAK64726 MNFIKNLFVKQKQQQKEPLFFDSFTEKIDHFESQVSIISDGITLTQYPKDIIQVIKSNIE QLKKQLQEAKVYILFLGTTSAGKSTFINSLLGQQILPSRNQECTQNVIFIEYNEKIIINE KQINSLDQAQQILFEMQKSNKSEIVNIQVPSLFHNQFPSDLRSRIVFIDTPGFKKDELQS LQAHFQKIDDKIGLQHRINVWVTNYTSFDNDKELQNQILSIYKPQASSEIIKKQNQKASY LKSVIIEEFQGYQKQQDLLISSHDIIESYESLESVRKFQSQTLFFILNKYDERKVSEDKN VDLIIQEISQMIGSELNIFKISALRAMRYRILNYGSQQAIDKFMESYFLDYRDVELFVTQ NDCRKYCNEKIKNNPNLLQNQDYLKLQNQLESNIKLQISEAFYGDRFLQIIHWLIVLELV VSPQQIKLEEKQYEALKDLIYQFIDNQIQSYNHCISKFKNDTICQIKKDLDRYKSLLLSE EPQILIISACKQTLQTMIEYEQKIIQLNEDVKNGLILKLQSIFPQQQKDPLLKSIQLVGQ RDVSILDGCTQLFELVNENNVNEIQKRSFQQYADSVQKTMGVRINPIQSQVGIISTGLSI AAYLGKRFAFQTLRCSPIFAAIGIGLMSFDLSFMFGKQWYSTNIINDQLHQWESTLIQLI EDQEKSYSQVNKQTFGCVRNVLSSLMQ >CAK64727 pep:novel supercontig:GCA_000165425.1:CT868033:161475:162142:-1 gene:GSPATT00034204001 transcript:CAK64727 MNAIMKLSHRSSSFSQGDIYNRLLDMHEELQKSSRSLNNLINQSLRQLQNPKEDNRFSFS AEFQQQNQQQQKEEEESIKLEEVDEKKQISEREQILENKIQIYESALITLEEEKQEKDEE IIRLKNMLDHQDNMQNVKNVEVNKKQSVIREHLQRLDDHLNYLEEKKLMIEKIKEYEVKF KEQKETIDSQKLEISRLKHINQYLHKSIDKLQKL >CAK64728 pep:novel supercontig:GCA_000165425.1:CT868033:162169:162728:-1 gene:GSPATT00034205001 transcript:CAK64728 MIAGTRVNPYFQSNRRGGSALKGGRLENNSSFQTPKMVMQNSAEEKLQNKQQDVSQLRQS PSFKLKNTRFLTPGKQEEQRKQSNIQETYARKTRITVLESFYHQLGTDDDISVLKNTQQA KRKLINITPSSQTAYISKKTQELEEENIEEAHFQFVQMQQKYKQWLENFEKKCVKQF >CAK64729 pep:novel supercontig:GCA_000165425.1:CT868033:163128:164804:-1 gene:GSPATT00034206001 transcript:CAK64729 MRIYQLLVKCMRTRIFTNSQLYVFDQQLIQSMKVQSTTTQQDGRFLISDYDYKSTNIEKN GDKYIAKPQITSFKFKTDTRIPKVGVMLVGWGGNNGTTLTGGILANKFNITWNSKGGTHQ PNFYGSLTQSSVIKIGTCNTEEVFVPFKDVLPMVNPCDIVFGGWDISSMNLADAMSRAQV VEYDLQQKLRPYLEKLVPLPSIYYPDFIAANQGDRADNVIPGDNKLEHLNIIRKNIADFK QQNNLDKVILLWTANTERFCVEDPNIHGTAERLLQSIESSHPEISASTIFACAACLEGCS FINGSPQNTIVPGVIELAEKAGVFVAGDDFKTGQTKFKTCLVEYLVGAGIKPKAIISYNH LGNNDGKNLSQESCFKSKERSKKTCVDDILESNKVLYPTEEELNIDHTIVIKYCPETGDS KKAMDEYIAEIFLGGRQTFAVYNVCEDSLLAAPLIMDLLLLCELFERIQFSKDASEYQRF DTVLSWLSYLMKAPKSESGITTINSLSRQRAMLENLVKVCAGLTIDDNLRLEVRYGASRF QQ >CAK64730 pep:novel supercontig:GCA_000165425.1:CT868033:165183:165985:-1 gene:GSPATT00034207001 transcript:CAK64730 MIEGNLGELIWGSVELTLNVLLLDRLRSPQKMPAQGVWRLSLIHEYNNYSDTKVRYVVAS LLTPDIYKYFRNFQDPLQFIYGCFMFFDLLVILEVITNTETIYAAALLLRVLRIVNILYQ ILKAAMTIKFKKTIKYTPPPVTKPSEVSPPQSLITTKANSHEEEQEVIKQPKKLLNPCQY QFNIRTSKSSVITIATNEITQLDSLLDHQLYFDKRTSLMIKLNLCLKAYHQNNIKKTPSS EILLKQIAGYQAELMLMPQ >CAK64731 pep:novel supercontig:GCA_000165425.1:CT868033:166023:166737:-1 gene:GSPATT00034208001 transcript:CAK64731 MLKIAKLLSKSPQQLKQTFLLNHKPQFHYGKVDRFLDRLQMPLYFYLIGINVGVYALWHF PAFDKNFMYKHFTLHPGSMSLRELHTFITYSFSHQNTLHLLFNMVTFYFFGRTIEAYFGS KRLLAVYLAGALVGGLMQQRQAGVSLGASAACNALLTYYICNFPREIILLFFIPVPAWVV GLLILYQGWAGQGDGSGIGHDAHLGGCLAGLAFYFATRGKI >CAK64732 pep:novel supercontig:GCA_000165425.1:CT868033:167190:167924:-1 gene:GSPATT00034209001 transcript:CAK64732 MQEYTVRDWQHFSSTQETPHIELSYDFYHSEIANLKAQVNQFQQYIYKLEEQFKEKNKRL QVQLDEQILNNRQLKQQILTYEQQLQQSAFRQKLLFSGQLETLINELDQLTSLMNQKSER IVQLEFDNQALLNQIYELETSIEQQQFQYDHFDQQNIFILNQVDQLKQEKNELIIKLNDS QIMVQKLAVELQCKSSQLLENNKQNQLQEQIRDEITKAQMTHQEQFIKLLQQEIIELKEQ LKNK >CAK64733 pep:novel supercontig:GCA_000165425.1:CT868033:167965:169950:-1 gene:GSPATT00034210001 transcript:CAK64733 MPEQQECMDRFDHSFYQERSTINKCYENRDDIWVFGDNSHGQLGLGEISDVQKKPLKLEC NYSLISCSETYSYGYYQDRMYYWGESNFSCSQFKGKCLRAKWVPVKSVTQLNAQNERFYY ISNGELFGQGFTSLLGAKLNVVSTSKPVLIMNKVRKVACSNTHALALDENNWVYSWGNGK NGELGLQMAGYSFQTFVQCPTRITQLEDIQDVQCGISYSLVLNQEGILYEWGSGLKSMSF EEALNIKELQMPNTYSNIRKIEVTSKQAACVDQLGRLYQWNAYNPTPQYVKTGFRCEQFI CGDDIVLFIGNEQAYRVSDHFKQQIKDRVQKYKEYVELKNNKIRNMYYDENKKTKCLQEI NAKILDQQVKQMKPEDFLYTRLQRKQSMYVQQLKRRCTMQVSEEYREGQNSIHKKRSNTT KLEMGKDKMNVLKSGKVHDKMKESAKEVKTLKESWEVFRKLSQDSENRTQQRTKIPLNIL NTKLYQAIEIDKVSDLILESERLNPLYIPYQVQIIKSQPICQKEQNNKSQPIIQREQNIK SQPASQRELRQIVKSQTVTESRQIVKSQPTTQREFVDDQNHHEFIDHPDIMKYEYVKRIH NGYHEKLLMDNLMTKEQCFLPIKWKRLKDDTKLFQGKYKKMQERLLKQQREAKKLIKISD S >CAK64734 pep:novel supercontig:GCA_000165425.1:CT868033:171018:172307:-1 gene:GSPATT00034211001 transcript:CAK64734 MYKNYNFIYVLTFFNNNLKFYQTQICFNFICKYYFLLLIIIMIYLKNSNLFILNKTNHNV TIKKSQQHFNKLQLVRTGTRFTKINDPQHKSDVNQTVFYLIMIFNDILANKLTINLFILI LSFNSNNQLDMSNNRNYSPSRERVAKISSQLSQMDLTIEGERTKRIEESETRLDQLESGL NELNEQLSQRVALIKDSVLKLQKVLDQTKLQREQHFEQKQKEYIDLENSFNQAVEGLTST RKDGEQKIIRFIEEKTGLIRSELSTESRTRNENIERLNQCLETDLPRLHEAIKTEVAERE EMDSNISRKMNEELSKLNQLLVQEKVNRQESEQAIFDMLKDVVNRIKTEVDNEKKQREST EETLLALLEDTCNKVNAAQLA >CAK64735 pep:novel supercontig:GCA_000165425.1:CT868033:172361:173635:-1 gene:GSPATT00034212001 transcript:CAK64735 MIDNLIILRIQNYQNNTKGYSFEELKKLPNFSIISSKNKIYFGQVFNGLKHGKGVLLMEE DHVYEGEFNNNRKHGQGWEVFPSKSNYKGLYVNGKPEGQGKFTWANGEYYEGEWFSGNKH GYGTWMGLKGDMYAGQWIDGKPNGKGQHKWINGDQYKGEFKDSLKHGYGEELFANGDRYV GMYQNGKPEGVGEYFYSSGAYFHGKFQNGLKTGYGEYRCSTYSYKGYYVNDKKHGEGELI YSDGTRKKGKFFNDFYEKTVPRQSSVPSNTQPNNTPPKNYKDLIRVCLKPPSYLSSTKPN PKKQQASPLNKTVIVQRNRLDFNYSEKPQRNLQLQSDRQNSKREFQERDINLKQQDKHIL QKKTSNNVQSNKSSPKNQQTIYKQPNRRPKEQSFRFDTTKINFITKTGLKGKQSFKYRSY SKYD >CAK64736 pep:novel supercontig:GCA_000165425.1:CT868033:173696:175182:1 gene:GSPATT00034213001 transcript:CAK64736 MSDEESEDENRSKFKLSKTHQLINQLEHKLSILDQNNAPNLYPNSRDSHLKSKQELEREL QEFEQRMKKLNQTENSQLHSSQAKSMQKQSPQKLNLSNPILQLLTQKLENFDDDKNAMLS SKLDHHNREQQILEQEQMNLLREQQQAKFELESLLRTLEIEEQRRLNEQKIQILKEQEEK LLDIQEQSEKLIQEEEEKKTNFLLELQQLSFEKNQLQTQLQNLSREQEMLTSNRDEVSNQ LNTLMQLHNQILQNDLKKKIVHLEEEPDPSPLQNLYQINQQIQESMNQQLSLIRQELNEQ KQINLDLLQLLNGEQQQQQTQRQMNSTEIQLEQQQYQQQQSNSQLQFQMCVNKPNYNQNE DLESEESIVMMQKYNNNQNANVQIQRDFNQLFEKHKKQQSLLLIRQFKPKQKIHLKQTKA TAEKRRRSIDLTQSKSKSKSKERESNIAKDKEKRKTPLSFEQTYKRNIQEQLKKLNFIVE KSLNNK >CAK64737 pep:novel supercontig:GCA_000165425.1:CT868033:175508:176406:1 gene:GSPATT00034214001 transcript:CAK64737 MSNNRNYSPSRERVAKISSQLSQMDLTIEGERTKRIEESETRLDQLESGLNELNEQLSQR VALIKDSVLKLQKVLDQTKLQREQHFEQKQKEYIDLENSFNQAVEGLTSTRKDGEQKIIR FIEEKTGLIRSELSTESRTRNENIERLNQCLETDLPRLHEAIKTEVAEREEMDSNISRKM NEELSKLNQLLVQEKVNRQESEQAIFDMLKDVVNRIKTEVDNEKKQRESTEETLLALLED TCNKVNAAQLA >CAK64738 pep:novel supercontig:GCA_000165425.1:CT868033:176783:179403:1 gene:GSPATT00034215001 transcript:CAK64738 MQQPMFENQLDPEEMEAWLAEVELVHEKIKKLSSDQANVKDDDEEIMKMRQKRIEQEKKK EEARRQLQLQKEEEEQKGRKGKGQNKDYANFCKYCCLEYVKQTDKCYWCKRDTLTQEQRY NQLLEKVEKYKEDKSRKQQKKQKFELMEKTEKILWKKSTFTHRKWEYYTSSEEEIESEPI VPKDDPNFKALQLDMEQRNKRKEEDMKKAEELKKKGNDYYSKGDFDQAAWNYSQALELVK DNQTLWLNRAITYIKSNKNKKAINDCTKVIQYADCFENGFTQSRETCCKAFARRALAYYN RDCLFEALSDINQALELIPDDKWVQNLKKEIEAKIDHYERLKQNENNEKDLEEPKQDIQD QQQNSNDVNKFKDKNTVIEENLTYKQIIDKFVGEQDIELLSLFKVLKVDSNEATAYFYEK NGLKQLLKIISKNDQKLHDLQNILASLPALILQIYQEKSLLYQEQFLIQYNGIEVIYQKI GFLLTQVGTKTQSAIYDTIGDYLDVLNLMSEEKPRSILQQHEIIKTKLYPELFHRILSLY KTERDMVATFLSFCSNLSYGQNTPFKNVLFENRNEIIVIVVELFEKVEIKQANIRMMNQL CNLLSNLLTEDKFRLYFLSKDLNSNFFQSYFKFIKAVQFKDNDYNSLKENGIAILVNLTF QINQIQTDFVSKIPSLIPTLIEYLESQPDGLIIERVLTVLTKVNYEDNIFIISKFIKKYS NQSILCLLQWLSGKSLVVINQLKSKQIKLFELLEELKLQLHCDNEINYCNCCNVIGKIID LLSPINGEVNACSFFNETIPRLLSFVKDKTGNSRKNSAILLAKLTKDQSNLQKLRDLHGM EILQSVMGKL >CAK64739 pep:novel supercontig:GCA_000165425.1:CT868033:179453:181707:1 gene:GSPATT00034216001 transcript:CAK64739 MLSKQKKLHEIVSQSILKTSTTKSTKQLSQSFNPNGLIGQSLSPNQKTNQSMGQKKGSHI KQYQQELLKLMTSHKQSSGNKKANMSDMMSQQKESKRINSGTSQPQKSQTSSKLSFVNTG LTIIINHENQQIKFNIESSKTTGYLEEFLKQEVKKHSIHQYSSTGSQPDERKVTCGTGNE FSDLEIVSFQTVDKNLPVDYYLQQPNKSLEVFSGQTLNLQPFYGTPQQSKITLKDFIFVK CIGVGGFSRVYMVKKKSNGRFYAMKLIDKEFILQHKKQGIVQNERDIMTVLDHPFIIKLE YAFESKNFIVFVLEFCSGGELFWQLRQVKRMTEEQARFYFTEICLAMFYLHSLSVVYRDI KPENILIDLDGHIRIADFGLSKPNMTEDDYAYSFCGSPEYMAPEMLLKVGHNVQVDHYCL GALLYELVTGLPPYYSRDTDEIYESILNEELTFPEKLNLSSDIKNLLQGLLCKQPSERLG ANKGLTELLTHSWFKDVDLVAILQKQVPPPFRPNQFKFNYDSNDLMKGELETREKLLGKT GLQQEIRIFKAFYFDSSEQKQMKQEQAKIFKQHFMMITQQQLALNTKFKAQRKSTEPKEH PSKPASPQTHNSKQQKLTPEQFQSLQKRIKSQQSSITNVQQVSTIQSPAQSKVQGLKRII SQNNFFGDRQNTLPNGQKDIDYHQLLSNVSTDQMLHKRVSSLKQRRK >CAK64740 pep:novel supercontig:GCA_000165425.1:CT868033:181722:184087:1 gene:GSPATT00034217001 transcript:CAK64740 MNTNTDLDKLLFLKSNQPHQSQNPDITITSYSDISDGTPNKQSFKFSTKAKQEDVQPQMQ ASNSIMESMTPKKINKLIDWSKYNVAPGNGKNIFPQVAGISIEFSNENSLNFKQQVKVND DHFSHKFIEIEQKSKDFSNIDVLTTKNESSESKKIESLQNAISQKDQMISELKNEIAQLQ SQDHTLQQEIEKMYKILHSKEKTIENQELQISNLTTLIKNEQQDQNMLSEIKIKEIKRSS VFEVNTKCQICLAGKQELKNILKECSLESESSILDMENDLATQIREVVTLLLSRQREQID IALEQQEDMIKELTDQIEQYKEQKTQASEENKSLQRKISLIFNQSQEQEKNLIEEFEKIK KINYNLIQENQHFKLQISSSKSRDLSKQSMDFEKLKSCCNSTQSDKNELYGDIHLMRQQF QSQITELLEKKQEYEKQIQQLKEDSFSKSNYKFQLQSIKEKDKKNIELFSQIKMLQQTQK ESERSILELKDEQEKLKKKVQFKNVEIKQYIEQNKTIQDKNNELSTRIIQLQQKLISQGS NQKDLRQSPKSRSLEQSRVISQYLQPNMNHQQLNQLINEYKSYQQEFVDLKVVFAEKIKQ YEILQNKIQKLQNDNFSLNHLNQKLINENNKLKEDRIITKRTSEEFAPGVRWSAATGESI NMKTIIQQSDDDFEKKKCFNVLELMQPELLSTEETLHILKEILLRSVKSLELIKKIYQIS EIKELLLIFSKIDEKCLISNSKLNKIITCVLEEKRKLQSTNRNEKPDFFNS >CAK64741 pep:novel supercontig:GCA_000165425.1:CT868033:184103:185031:-1 gene:GSPATT00034218001 transcript:CAK64741 MSDQYQKAESQENSSQLSSQDEQQQKTIKPAELVALPKVYAQSLNNEQPSTREERKMKQY LQRIQEQERLEDAATLKKKRTKPNPPQKQQDNLELPVSAENGENVEDEGILLNPPAIQLN PQVLQTEQQSEIQTQQLKMRLNPKLKIKEKHHVYIYLANCQDQSLENVQELTSKATQFYS PQKQLKEQSSLNQINQEIFQETTIIIKNIKNQYQQYIDQTSNGNSNDQKDFVIKYLDKKY IESVVRESKMQIQRKQTNDKQLQNGKKMQSKY >CAK64742 pep:novel supercontig:GCA_000165425.1:CT868033:185514:192977:1 gene:GSPATT00034219001 transcript:CAK64742 MNITSDSEPQTIQEYQRSNYNLQMFSNQYFYFFMFMIIILIISFAKSQWSIVNNFLTSQR TYQKTSYCYNVYDQIEYFCEASSYTSANFITCQQPSRSYITLDQTFKGIRYYYQQGFVGG DFISFDLYFQGKWVNDEVVFQLGSFQHKFSYTSPDIYPITQGFCDSLQTDVKTVNFTLTN SYSGSMVFSLSTKNVGSVSINMITLSRRSNSITCYPSCQSCRGPEQNQCLSCYYGVPTNN ICPPCPNNLYYEKQSGCKINCKFQNSKFSNGFCQAFDYNSILLYDSYISQTSFVKWQQLY DPLHIDTSPSLLYSSSQQQVYGIFRYKSGIGRYINEITTSTSTYAYGLRIQLELYNNIEL GTGIRFMINNTYYGSIYKDSQGIQTHRIMIGNSNSQYSSIQILDLYMFVDMLQYPFYFSA IGNFTISSSGWSLISLQITKGLCPRNCQVCDVPYQCKKCYSGYYVNKDGNCIYSCSTVTQ QIVGDYCLDYDYEIPYSKYLIKDFYNMASDQDQYPEYKLVSQKGVNFLKGEYIFQSIKND ITMLGGQYIWSQAVFQRIHQISNPHHRITISFRIVFGPSFPSDGRFIYTVDDNAPISNSG SGGGTYFIKNKIVHSQNQLTVQWECYGENNEPIKAYCGFYNYFIIVHYCQPYCLKCTDQD TCLEWEQYDQVQIQVSQAECQSEQYYDKFELKCKSCPSSCLTCQSSIYCLSCKPTYIMTK LGCVCQTNQYDDANQCVNCPQQCEQCLNSSFCVNCSILKYRTLLNGQCVCLDGYYSIPTN PQCQRCHYLCKTCSGYSQCIQCQSINNIEKVDSTCKCKAGTAYQDSLKTCAACHVTCLTC FKISINGCLTCDSVQKRVLRGLKCECQPGYYELNNICIDCPALEDNLLSQCYNQCNSNQK LWHTTICSACDSPQELVQNNCEPKCGDTQLTLYEECEDGNNILDDLCYNCQFQCPKHCLT CNSATTLPCPDICGDGIISGNEECEDGNTIQYDGCYNCQYQCQPACTKCILGKCYECSTV GWYTDTSTTNWQCKEQCGDDILTGTEQCEDLNTSDTDGCHNCRYFCRKGCSSCDYTTGTC LSCEQPGYEPYLYYCRNICGDGLIVNGLYGFFPEYCDDGNTNYSDYCNSSCQDCATGYKR SNVKKCEPICGDNLKVVGEICESGLILPYRGCQNCQPKCQSICDNCDTNGKGCLECQLGY VNLNKVCHSICGDLIVTEAEECDDGNLIYGDGCHFCRFSCVKSCLSCINGVCYLYENDED YDWSISKCLSIIGLDMKSNQQTCLLFSDLLYFSRSYVKNIFQCHHQCKVCQFQTCYLCQQ GYELTPNKQECRQSSILLEELKYCQISIGNYCYICYDYAYFDIFENRCKLPSYLFQLIQQ QCNQGYQLDNNGNCVSSCGDGILTEEEECEIQDENCLYCIYQQPYRCNRFFKDLCLECEL GYQYDTMKKSCQIQREYKYLVKNEEEYNYLICQIELSGNCIKCEHGTCLECKQNFLFINS LCKDILTPSEIEIFNAELVQEQHQSSFNDEQQQQQQLCDLNCNRCINGICEYCKNGFTLN SLIQLCQASDFIQYVKVYDENIILDSVFNLDEHRCELLNYQIFVDNKFYVYDENCQSNGV NQQSEQYDPSTDTHEPCDQNCLFCNQGICSLCLKGSYIFNYKCLQFGVVEYSQKEQVCGD KIVQIGEVCDDGNEYQYDGCFQCQFSCEENCLKCEYGICLSCKIGFILDSTTCKPFCGDG LAIPYKEECDDFNESQGDGCDLCKIECVPNCLKCNQVHCFKCEKGYKNENDICVADCGDA IVIHEYEQCDDGNKIMYDGCFNCQYQCSQNCLECTQGICTFACPDDSIVIEGLCLQQCGK LIVSYNKECDDGNETEYDGCFECKFQCILNCDSNFCKKGICTKCIYGYGLQKQQCNLLEI EESNFDSMLGNQQNSWICKDSECVFSESPTLKLKSQGLQNEKYKLLIQFDQEVVCAFTEC QDIFNIYFLEIEEDQYKVECSPFEDQGFADQVAWVEYLIEINVFNPIKFQPTLIVTLLQE ILNQNQQNVTTIDYKISLDKAIILEQNQKQATAQMQMTSKAVVIASISLGALSLISGEST FIMELLNIIQYQSFLKYVNQEYPENLLIYFQASEMISVGPYLQVFNIDQLIEPILEKDKF IELDGKFLYYELEPSIIVNICPQIFQSFGVLLVMYSGKVFNMLFVYLMSNKWFSSTLSNK NNLYSKITYKILKTIRKGIKLLEIQKYYFGLKQIKLLLLLNSWDLLFKSVLYLNGKKNES LRNTIESTMSLVIIATYLAVLIDCFQSCRPNKLLNKAQRFDQIFVTLELCRTFLFSGVLI LFQSNQVLQTLFLYIGSFSQCFFIYKYKQVDQFGKILSILIEGSVSIFILTSTIYFKAFR AYVNPDTLTTIGFAHIGILLISSIILGVKQTIFTMKVFIKKFMEIKIN >CAK64743 pep:novel supercontig:GCA_000165425.1:CT868033:193239:193385:-1 gene:GSPATT00034220001 transcript:CAK64743 MQKMKTGMNGSDKDKCKLYNPQQQTNTQKAQEVIQQAVQNPQNNQQKK >CAK64744 pep:novel supercontig:GCA_000165425.1:CT868033:195109:203124:1 gene:GSPATT00034221001 transcript:CAK64744 MQIKYLLLISLLLAVTKQVTVTKTDTCDDCTQFKSSLDCKAFGCTWTDKTAAAAGSCAKS TTPPPVTFTPYCSTIETANCAKTFGCALVEGKCTHFTGCSAYVKTTHSDCQAISYMCITN GTTCTNALECVGYTKEQCETTPSLKSPYKCKLDGETCRDYKCIEADSSLTTDLACSTWLL GCRSTGAGCIDLVPPCASYQGTSETCPKMKGSDGNCEFNADGNVCKARVCTGADTGLNTN EACAQYQVGCVTTGKGCIATRGACSTYDGNASTCIGYIGTDGECAGDATGTKCRARLCSE KTATTDAECGTWKTGCKSNGKQCVDSLGACSSYDGTTTTCAGLKGSDGNCKGTSTTTAKC ALKDCVTDSNDTFKTQAQCEAIQSNCKTTGKGCVATLAACSSYSYTDDGTSCNSWYGSDG RCKPGTAGKCAARVCTEALTSLTTNKQCDDYKSGCVTTGAGCVSTTVCQDTVKQVTCEGT GTCGWNSICVSKTSCGQLLTKSICESVKISNKPCKWDTGTCRNARCDDFTATDDNTCNTL LENCVTNGTKCVDGTNCAAQFKGTQQACQAFKAKCTNDTNAADTAVCKARTCDDSYLTYD NDQDCGNYLTGCVTKGSGCIIDTAVCSSYSGTPEQCDKFKGNKTTRCWNTGAATVSGACV DKQCTQATSMGDDTACESFLTGCLYDGNGSCVAKSAACTAYTGTAITCLNFKGSSAANPC FQGVGGKCRNKTCSDDITSQSDGDCNTFLPDCVTKGTGCIDKTSQCTAYSGTTDKCLTFT GKSGADKCTRLEACVSKATTCASKTGIAGNDDCLSYHADCRFKFGDTACMQSQTVCTSYT LTGTDDAAKQTYCNLITTSSAGSCSYDTGVVGTCSARACNLWVSTLTNITCDNYNGTASC KLNGASYCYAPLASCASYTIPNSTTDKLAWCNAMFTNAATPTKCSYDAGVSTTACSNIDS CEEIISPTSAAYCNKILDDGDCQFTNNKCITTKNACTGYLLTGITTGQAAYCSGLRSDNS GTVTKCSYISGTSTTTCVDEVVATVANPAAITVGACNTISSPTSQADCNLGTGSCKYYSG ACYARVACASYTVVGADATAKQNFCQNMYDNTANTYCSYNAGACAAGKAACTDYSTLAGA DDAAKTLECSKLRIKTGSACGFTASGTACAAPADAAASCTLVTSDITADADCALRTILGC KKHATNPACIANGACTAAAPTGTGDAQVTSCQAFIGPDSKYCTFTTGANCAVAQSACSGY STLPSGTELAYCSLRINENGQRCTWVTPATACSDPSATCVSYTGLTGTDMLKQCQAKNDL AGVRCSWNIGTTACIAAPKTCAGWNSLPTSGQLEFCQARIKSDGLSCSWVSGTSCTDAPA ACTSLASLPNSGELAYCVLRIKQDGVKCSWTSGGNCITQPTACTDWSTQPSLEFCQARSN ISGNRCSFVAAAAACSDAQAACTGYSTLPSPGQLAFCQARVQQDGTKCSWTAGGAACRAY SCEDTASPQSQADCDTTGTGCTYEPQLQICYKPQTACTSYTPAGSTEADKLNYCNNLLNS ASTPVGCTYIKGKASVTTCSVLGACTSYDVSDVAAADKLAACQGVIPSSGSCTYFSGNTC VAVAACSTYTGGSSGTIVVDCAKQKDTTGLLCYGSGTACAAATCENVTGATSLDDCKKYA TNCVYAGGKCYTTSATCAYSTGGTNAISTCNSLKNTGGNFCTADADADANCKDRTCDDTA TNSFQTHDECKTYHSTAKQTVKVVSLLQKTCAQQSGYLSYCEWALDTNSKSTCAKATADT NSAACTKLTCELNVTATSDSECISFNSDCLNKGLGCILKTEPCSSYYGTKTSCQAFTGNG KKCYGDSTTTTKAACRDRQCTDMSTATSDSECENFLTGCLFNGVGCVHKSAACSSYKGTQ ATCSGFKGSNGTKYCWGSSTTVVGNCADRKCSDKVGTTDAECQTFLPPIAPSKVQLCITD GKTCVDIGKACSFFKGNDDTCLLFTANDGPCKASSVSASPVACTSRVCYEAPNTYTTDDQ CSKYHPSCKTTGRGCKSTVGCGELTSQASCTANTSCQWAGQCRTLPATCGALTTYGQAIC TNTPLSTGKKCAWYNGSSSNVCRDFVCADYDGSIATHKDCNDKDTTCTTSGAGCVTLGVC SSYRSKSVCEAANTTETSVRCTWNSTTAACRQRQCADGVFTTDDACNTWLTGCKTSGTAC VGPNFGCDVFTGNPKLCLKNSAKNPCLYVNGICYDYDNCTDVSSSTYSFCQSFSKQCVPT NTTCRAITQCDKYEDINSCTIGINNTVCGWLPENKCKEYTVCSDAAGTVLSACTSWGSTC VSDGTKCVDKGTCASYLTTQACDNAGTDGSCQWTGTACRLRECTDKVATTDAECLAYTVK SGICTTDGAKCVPRATCSSYLTEAACTIGQDGIPCVYDLPVGATTGTKSCRPKECTDIKG TTNDACVSMIPNKACVSNGVNCVKQDTCANYKNRLSCKAGGSDGKCAFTPAPTTADPNNG TCVSFKSCENANSDQDACVTKPKACKWQSTTTGTTTTTKCSSMDCPGVASGTTCNPFQSF DGTSSTICVLVNNVCSVSDPSTLTAEQCYKPTTVYTYTWNESTNKCVSCKAPTNNNNNST NPNTTDPDTNTDDNGYILGVTIPLAILGIFV >CAK64745 pep:novel supercontig:GCA_000165425.1:CT868033:204164:205072:1 gene:GSPATT00034222001 transcript:CAK64745 MHRYDNAIQILDQVLKTIKQVLISCLERLQTIRVIQATVQIKWFVSVLQNYYTINTKQMA YIQGYFDESKFSICSKSDREINVLMQLDLQINKRNSNNESNDNLKIVMIINISYQNNFHH LLFCQQIFQLLYLKLLENLQLTIEYMQNRNQNKVLKNSKVKFLQLHQNQLIEEQIKAQKI ILEIVILFEDWLSDYYQYAQRKYRVKIKSAILCIQDDLSEFLLAIKQQLLEIFPNSISKM LQMISIDGVFIINLCPESDFKNSNCYYLRRVWVSKRKYLHQNTYKVLILQMGK >CAK64746 pep:novel supercontig:GCA_000165425.1:CT868033:206884:207027:-1 gene:GSPATT00034223001 transcript:CAK64746 MQKMKTGMNGSDKDKCKLYNPQQQTNTQKAQEVFQQAVQNSRNNQQK >CAK64747 pep:novel supercontig:GCA_000165425.1:CT868033:208370:209473:1 gene:GSPATT00034224001 transcript:CAK64747 MQIKYLLLISLLLTITQQVTVTKNDTCDDCTQFKSSSDCKSFGCTWTEKTTTTPGSCAKT TTPPPIAITRYCETIETTNCAKTFGCALVEGKCTHFTGCSAYVKTTHSDCQAISYMCITN GTTCTNALECVGYTKEQCETTPSLKSPYKCKLDGETCRDYKCIEADSSLTTDLACSTWLL GCRSTGAGCIDLVPPCASYQGTSETCPKMKGSDGNCEFNADGNVCKARVCTGADTGLNTN EACAQYQVGCVTTGKGCIATRGACSTYDGNASTCIGYIGTDGECAGDATGTKCRARLCSE KTATTDAECGTWKTGCKSNGKQCVDSLSACSSYDGTTTTCAGLKGSDGQLQRNFNNNSQM CLKRLCD >CAK64748 pep:novel supercontig:GCA_000165425.1:CT868033:209479:210797:1 gene:GSPATT00034225001 transcript:CAK64748 MTHLKHKLNVKLFNQTVKTTGKGCVATLAACSSYSYTDDGTSCNSWYGSDGRCKPGTAGK CAARVCTEALTSLTTNKQCDDYKSGCVTTGAGCVSTTVCQDTEHLICGWNSICVSKTSCG QLLTKSICESVKINNKPCKWDTSTCRSARCDDFTATDDNTCNTLLENCVTNGTKCVDGTN CAAQFKGTQQACQAFKAKCTNDTNAAATVACKARTCDDSYLTYDNDQDCGCVTKGSGCII DTAVCSSYSGTPEQCDKFKGNKTTRCWNTSASGACVDKQCTQATSMGDDTACESFLTGCL YDGNGSCVAKSAACTAYTGTAITCLNFKGSNQANPCFQGVGGKCRNKTCGDDTTSTSDGD CNTFLPDCVTKGTGCIEKTSLCPAYQGTTDKCLTFTGKSGADKCTRFGSLCIKSYDLRFK NRNSWK >CAK64749 pep:novel supercontig:GCA_000165425.1:CT868033:210999:216417:1 gene:GSPATT00034226001 transcript:CAK64749 MQSMGEYINQYYMVKIIMEQLTCKLNGASYCYTPVASCASYTIPTSITDNSAKLAWCNAM FTNAVTATKCSFDSATPTTCSNIDSCEEIISPTSAADCNKKLNDGQCQFVNNKCITTKNA CTGYLLTGITTGQATYCSALKSDNSGTVKKCSYISGTSTTTCVDEVVATVANPAAITVGA CNTISSPTSQADCNLGTGSCKYYSGACYARVACASYTVVGADAAAKQNFCQNMYDNTADE YCSYNAGACAAGKTACTDYSTLAGADDAAKTLECSKLRIKTGSACGFTASGTACAVPADA AASCTLVTSDIAADADCALRTILGCKKHATNPACIANDACTDVTPTGTTDDAKTTSCQAN IGPDSKYCTFTSGGAKCVAAQSACSGYSSLPSDDTKLAFCQLRINEKGQRCTWVTAATAC SDPSATCVSYTGLSGTDILTQCQAKNDLAGVKCSWNIGTTACIPAPKTCAGWNSLPTSGQ LEFCQARIKSDGLRCSWVSGTTCTDAQAACTSLTGLPAQGELAYCQLRLKQDGVKCSWSS GATCQDQPTACSNWSTLPSSEQLEFCQARSNAAGNRCSFVAAATACSDAQAACTGYSTLP SSGQLAFCQARVQQDGTKCSWTAGGTACRAYSCEDTASPQSQADCDATGSGCTYQPQLQI CYKKEAACTSYTPTGATEADKLNYCNNLLNSASPAVGCTYIKGKTSVSTCSVLAACTGYD VSDITAAADKLAACQGAIPSTGSCTYFSGNTCVAVAACSTYTGYTGSDVVGDCAKQKDDT TKLLCFGSGTACAAATCENVTGATSLDDCKKYANGCIYYNSKCYTLSATCAYSTGGTNAV SFCNNLKNTGGDYCTADADTDANCKDRTCTDTATNSFQTHDECKTYHSTCKSDGQGCILA SKTCTQQSGYLSFCEWALDTNNKSTCAKGTADTNSAACTKLACELNVTATSDSECQQFNS DCLNKGYGCIHKTEPCTSYYGTKTSCQAFTGNSKKCYGDSATTTKAACRDRRCSDLATAT SDADCENFLSGCLFNGVGCVDKSAACSAYRGNQDTCSGFKGSNGTKYCWGPSATTVGNCA DRKCSDKSGSTTDTECQTFLPPIAPSKVQLCITDGTNCVDIGKACSFFRGDDAKCSSFTA NDGPCKASSVSASAVACTPRVCYEAPNTYTTDDQCSKYHPSCKTTGRGCKSSVGCGELTS QASCTANTSCQWAGQCRTLTTTCGALTTQGQTICTNTPLSNGKKCAWYNGPSSNVCRDFT CADYDGSIATHKDCQDKDTTCTTTGAGCITLGVCSSYRSKSICEAANTTETSVRCTWNST TAACRQRSCADGVFTTDEACNTWLTGCKTSGTACVGPNFGCDVFTGNPKLCLKNSAGNPC LYVNGICYDYDNCTDVSSSTYSFCQSFSKQCVPTNTTCRAITQCDKYEYINSCTIGVNNT VCGWLPEGKCKEYTVCSDATGTDLSACTSWGSTCVSDGTKCVDKGTCASYLTTQACDNAG TDGSCQWTGTACRLRECTDKVATTDAECLAYTVKSGVCTTDGAKCVPRATCSSYLTEAAC TIGQDGIPCVYDLPVGATTGTKSCRPKECTDIKGTTNDACVSMIPNKACVSNGVNCVKQD TCANYKNKLSCKAGGSDGKCAFTPAPTVADPNNGTCVSFKSCENANINQKLANGNQLQLE LPLLLNVHQWIAQELLLVQLATHSKVSMELQAPFCVLVNNVCSVSDPSTLTAEQCYKPTT VYTYTWNESTNKCVSCKAPTNNNNNSTNPNTTDPGTNTDDNGYILGVTIPLAILGIFV >CAK64750 pep:novel supercontig:GCA_000165425.1:CT868033:218219:218663:1 gene:GSPATT00034227001 transcript:CAK64750 MMKLKAEYQQSVNHIQGQVQIGELDLDQRDTSSKTEQIVSQKSPQHNQTNSQNNKFKCWL NIKGTSYLAKENQPLIIYLEEASFLNILFCSKRRLNIRGVAIGIHSYFDFTYISDRLIPK NPTDYDYIAINCRNQCLDLII >CAK64751 pep:novel supercontig:GCA_000165425.1:CT868033:219223:219870:1 gene:GSPATT00034228001 transcript:CAK64751 MATLTLKEGWLVSQTLLQEKTLKQQNIYGSATSLNKQIYQQCPNSFIKQGISRDSLNSYS GLSQYQAHYSTFFRFKILKQDLRILRALSQLRVKWHHFQKRKSVTMGKLVTFLDDINKQE RKCVIFIDFSNAYCTIIRIKLFLVMEEKEVLKLNKLTFLKGLCSLLHYQDPLKEQEKWWF KWSFLLIHIITIAIQHLFGCLFIRYLLKYELRAEC >CAK64752 pep:novel supercontig:GCA_000165425.1:CT868033:220877:221926:-1 gene:GSPATT00034229001 transcript:CAK64752 MDQDLNLQEQLENTNVTQVEEIIEGSSLNYQLISKQKQRKWGGALAFNNKGNLLAAASKH DVKIWEFNNGYVTNPQFLKGKSLVKIIKFGIQSNFLVAGGSDETMLVWELQKNTWKFCTQ LVGHKGRVSAIIISKKDDSIISSSEDGTIKIWVAQGQNNGWICQETLKSMTGEIYSIVEN STSDILASCSENKTITFWRQQRAIINNEVQWKKFQNIRNEDYGTKICFIDHDKLTWQPTS DGQLYVFQMNPRNLLFELKNKIILGQGNYDFHYFESIYSNISRLLIVRHKYRMYFLKMDE TQEFKIQQIIPFSTNWNFAALSSDEEYFITWDFQNQEFQVRQKNDLIHV >CAK64753 pep:novel supercontig:GCA_000165425.1:CT868033:224178:225713:-1 gene:GSPATT00034230001 transcript:CAK64753 MQIGEILIIDEYQISIGENITDKLYDCKSTRNSYIAYCARLIPMQEQHLQMHYEMQFQIH EILYKNRNNQNLVYVHLVRKLKERNLIVIIMEKCETTLQQIWDQKKKFDDFKIDEFFIQF LNGYRVLYQEFIIHRNIKPENIQVKYVNGKPIFKIANFAIAKIFLKDDDSPLEKIGTPAY AAPEISPIQQDLELSYCFNSIKNIENHKSQIDIYSIGLILYQMVYGSLPFDSQVIEIIKF LNQIKLQTLQLQGNSKYIELIEQMLVYSPDQRIPFQQVFQRFEQELNNVGSQIFQFNKLP GMNPFLSFGQHPENINSQRFPTIINKNNNNFNNSNGSNINNSNNNNQHPQHKGQPNFLRI YSIQQQCFPSRNQLNQPLFQQMHNPKKEVIEYLKALLYDFDAVVSDSIKQEIKTHLESGD EIFQEVNLKKLLNVFKFDPNKVNHYTKLLNFYYSIFSILKNPGIQNQHKISESQQKDFIK QIHQQK >CAK64754 pep:novel supercontig:GCA_000165425.1:CT868033:226450:226998:-1 gene:GSPATT00034231001 transcript:CAK64754 MFQTQNKTGSACGFTNGGTACAAPADNAASCTLVTSDIAADADCALRTILGCKKHATNNA CIANDACTDVTPTGTTDDAKTTSCQANIGPDSKYCTFTSGDAKCVAAQSACSGYSSLPSD DTKLAFCQLKINEKGQRCTWVTPATACSDPSGQLEFCQARIKSDGLRCSWVSGTTCTDAQ AA >CAK64755 pep:novel supercontig:GCA_000165425.1:CT868033:228008:229357:-1 gene:GSPATT00034232001 transcript:CAK64755 MQVTVTKIHKCDDCTQFNSSSDCKATKFRCTWTEKTATKSRILCQIYNTCCYFYIILLKI ETTSCAQTFGCALVDGKCTHFTGYSAYVKTTHSDCQTKSTYELECVGYTKDQCETIFHTS VSTSSIDIKHKLKGETCRDYICTEADSSLTTDVACSTWLSDCKTTGAGCIDSITLCASYQ GTSETYPKMKGSDGNYELNPDGSNNFRARLCTGADQSITGKGYIAIRGACSTYDGNASTT DAECGTWKTGYKSNGKQYVDLLGACSSYDGTTTTCLGLIESDGNCKGTSTTAKCALKDCV LDGKETIKTDAECQKIQSYCKTTGKGCVATLAACSSYSYTDDGTSCNSWYGSDGRCKPGT AGKCAARVCTEALTSLTTNKYCDDYKSGCVTTGVGCVSTTVCQDTVKQVTCEGTSNCGWN SICTTNCSYLLAKSICKV >CAK64756 pep:novel supercontig:GCA_000165425.1:CT868033:230819:232041:-1 gene:GSPATT00034233001 transcript:CAK64756 MIRLILNLVQYNNYSTFHPTILVNDDILYIRAINDQNNFEEIVCRFDLVDLAIQWRKNSF TEFTKGFRIFRQQSRYKVRGESYDELMWFQDFIEGKIPISIKWQEQYEKVKEEPTFFQEN SIIVKDKSTKKKQIMKRIYQNVQVPVDEFKIEVYLQRNPHPNILRWNSHCIDKKKQFITL DYQQYVTLDQIFSRYKKVPLKIVKEIMIQLLNGIRHLHSNYIMHKDIKPENIIITNFEKP KVKIGGFSQAVFGQEFCRFGGSPFYRPPEVIEGFIHTNKFDIYSAGVVLYELLKNKRIQR RIYFQKDSSKLQQLEIDPAAVDLVTQMLHPDPYQRLDVIECLDHYFFIDEQPYVGKEKER LFSQSIKPRFIHN >CAK64757 pep:novel supercontig:GCA_000165425.1:CT868033:235237:235527:1 gene:GSPATT00034234001 transcript:CAK64757 MSTIQQPYFSIKPEQERNGSNLETKGFTIHLSKSNTQDNGKKNSKLNADPKDLLIILHSL TYESKIISYLSNTNTQNKLVQNSFRYVLQNSEDMQQ >CAK64758 pep:novel supercontig:GCA_000165425.1:CT868033:235585:236904:1 gene:GSPATT00034235001 transcript:CAK64758 MNSIGEFAMKCSKENHDYASLTCLNKTCESSRIYCDQCLRNGDHIDHINDQWNLSRLIQV FKSIEKKSKTLISDLCLMNEVINQLFTKLNQKIRKKFQYSQERIQGQDTKQLNQILDEII KYDEFQKELLEELHHYSDNMIMYLTRQITELKLDKLSDYQLDLQDIEEVESLHESGYQLY YKDNKCKAAIKIIDDALLINPKHLNSLRTKGIKSDINVLAYCLQRLGNYHDAIVFAEKAL LIESKHVKSLFIKAECLRMLGRFNDAIIWIDKALSIDSKNINSLYTKAECLFMIDNYKEA ILWADKTLSIDSKHLNCLFTKAYSLYMIDNYNEAILRADKALSIDPKHVNSLFTKSIKQN KNLAECLQRLGNYNDAIFWADKTLLIDSKHVNSLFTKGISSGNMES >CAK64759 pep:novel supercontig:GCA_000165425.1:CT868033:238493:241250:1 gene:GSPATT00034236001 transcript:CAK64759 MIQKLFESLLSICPENIKCKNNGCNLMHPRCFAGICIHNLNGNCKKPCPKNLIHISKGSL KEKLQMHSINGVFVINLCPTFDCKNSNCYYLHRDWIQKRNICIKYLKNNDDCEGECEKNQ KHVDWQQLQSEVFKEYEYMNLSPETMIVVDKIYYDLEKYCIKYFKGICDYKGICPKFHVD WEKVKYVSAKQFERKKLVPCTRSQKPEVQRMDSKSFTKLELLFEKQNLKKLQHDIRASNI LDIVFIMDCTGSMDPWINQARESISEIINIFNKAVSQTQIRIAFVGYRDFKDEKHIQYHD FTTDVELIKSYISTVQADGGDDDAEDLAGGISQVLKLDFSKHSQSILCTFLICDAPTHGK QYHDYSVSDKHNDCIQEGSLENLMQILDQEETPNNYFFCCKLNETTNQMFEIMKQNFKNL EMSQLETKDFTNYSFQSSCLIQKNISQTQGFQAHFIWHKVIEPVECTQTHSAKPHFFQFF QLKCANNKLAALQFQQQQCMSNHCAQMLMPRLPISSQHLILALLCPLLWSFQRALQITII QQAQVSSHVRYNQPLIAKQLSSAQAIKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXPNFIDKEKENYFAFCHFYISFYKSKLRKQGVLSDPCIHTSK VITYLIDETNHGDLGIQRFFSTQHNQCNEVCKFLGLQRNDDDDKIPENRIFTQLQQLNIQ NVNAICNVCNDIFQINYDKYKGQIDNDDTCQSCTQVNELTEICECCGNQFKFNLNRVLKQ ALDLKICEDCQRDCLDQRCNQCALQCALTLQKQPTFCKQCDIKEQCFYCSSKCARRNIKR KVNDTNGESKYLCEEACQFFENLYCFECLSAFSYQNWPSQVEYVKGEYKCYNCQNQ >CAK87555 pep:novel supercontig:GCA_000165425.1:CT868628:1:1039:-1 gene:GSPATT00039819001 transcript:CAK87555 MNFFQQDIVQPSQQLVNKYYKEQIEACCQNLQENIEFSFKRIDDLIDQIVVDNEYLLNQK LQECQKQRVVQQNESSHRLSSLNIDNSQFSNQMIVQIRSLIKMSIDNQMKIQSIEYQQQL NENQEIQKIQKKQLNETIKDQQLQSNQQQIQQLTLNQFQYQLLPNSIKQQQFCCAIATNK DCSIVVAGCDNTIKVFEFKSEVLIQTQLLSEHTKGVLTLNFMKKSNQFISGSDDKLIIIW IMNPSNQWVCQYKLIGHNSNIYCLVLNNNEDLIISGSYDKTIKFWITKNEWLCQQTITDH NGCVYGLSLNQEQNKLLSCGDDKQILIIEQSQQDSQWI >CAK61015 pep:novel supercontig:GCA_000165425.1:CT868011:1131:4035:1 gene:GSPATT00031244001 transcript:CAK61015 MIFSTFLAYKARNLPQSFLNLFILIDLLLQTQIFHYTLAQSEISRSFFTPFNADNNWKSY YTMSSNHIKDCGTASIFGGPLVFNYQTTITKTFILPPHYKVYQNAIFQIGSLEWFILHFF FIDGQQAYKNNPNLSTGTEICGSGTLGEIEEISNTINHSGNSAIVTLISLQNSASWGISD FILSIYPCPIGCDNCDLSGNCQNWKKVLTFFNKTVLTDGEGWKSNYDICDGIYSCGSFQY YGKFQMTTILSVDLNLADPHTKVKIQFKFLCAYVTGSIIMRVDANGVLLGDSEKSFSIIT TNDIICGSLLQLDNILLDEIISSDQLLTLYLKFSESPDPADSTHFFGMICNDINIIAFDG CNEGCSNCVKGLCTQCLSYWILNTILGQCVAKCGDKIVVSEEQCDDGNQQPYDGCYECKF SCPLNCMLCQFGGCLICNQPYELIDNQCEFTCYLDENENLSLSLYPTQKAEGHYCQISNF LSNIYTQHIIINTDLQLIYDSNQCSIFNYGIFAYQYQLCVIETPQNCRVSFFSICSICDD NFELSNNLLCVPICGDGVIQEYESCDDTNEQQFDGCYQCQSMCQLECLQCIASQCYKCMD GSKLINFKCVSECGDGLIALLQNEQCDDQNNESNDGCFECKFECSQNCILCNLNLDCFQC QKYYEPQNKVCTPICGDGIVIEEFEQCDDGNDIQDDGCYKCQFSCIGNCQICDQQKCLDP QIQQCKDDGYYLIDNQCLSICGDLIIASNEQCEDANEIPFDECYQCEYSCPLNCFDCNKG QCLYCDDGYQISNNLCIGICGDGSKLEIEECDDFNLISQDGCSDKCEIEINWACTAIDFE TSQCLQNKPPHFNLLFINQTYDSQFIQLQITSKVKLHDSYQNLTKSLKAFLVDVNPLDYI IYQEAVVEPNAIVLQDIYYLFQIQLLKQVTK >CAK61016 pep:novel supercontig:GCA_000165425.1:CT868011:4236:5390:1 gene:GSPATT00031245001 transcript:CAK61016 MIYFESYEVVTIMKILEKIKFLVLFEFYIGQELMPEFGKYIFKNLNXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXTQTQTQPLLQKLVFFLHKLNQLSFGIISMISFKGLVYV LQANSWDLLFKTILYLFSEKEHNLRNKLQDVLAYTFLISSLVLISSIFQFKDSKLKLQKT KNLRHEGLIVAKKLMFILVLIGSQKSQLTQSILLSLINSIYIINIIVGKMVKEKVDLITI LIFEIPIIMFTLLNICHEETYAHYLSLESQVFIGFGQIGLLSLGIMAPLIKYGYQIKLKL TQLIERWRKQKVNSKELTASSLFI >CAK61017 pep:novel supercontig:GCA_000165425.1:CT868011:5625:6654:1 gene:GSPATT00031246001 transcript:CAK61017 MWYCEQFLYQVPPAFVESAKSADLLDINFWGILSLYHFNQQFQSLFISENQQLLLNYPFK INWFYKNRISRVSYISISWACKVKLGLLQSLHDTLNQYLNSCYIIKKQYYQEEQQLLVEQ AISKIPKQPLSQEASREKPFLRKIQLDNFQIKMQQYTKLTKRTNIIITANTHNKQAKENY YIIKYRSNTHHNSVTKDEQSISCVVVRCSLYQNNKCNIYRYILRQLHMLQQIRVFKTYIL SQVLATINNQFDCRVKGINCYFLTVRQAKSFTLTPIQHNSFTQALDLNKNSRGKEEQSKD MDIISRNNARLLEWHIK >CAK61018 pep:novel supercontig:GCA_000165425.1:CT868011:7832:8086:1 gene:GSPATT00031247001 transcript:CAK61018 MQKIKYDLITKISQGKEDHRMTKLLVYLTALDEIYIFSGSNSLHLLVEIKVFQKYQNQKY FTIRSKFLKCDLSGSEFENILLEE >CAK61019 pep:novel supercontig:GCA_000165425.1:CT868011:8266:9189:1 gene:GSPATT00031248001 transcript:CAK61019 MQNQCASHPMVQHQLLETAKIYTKMESQNKKINLKILWSFLLFVHFVSLLMVLHQHLVVQ IVLFVYGRQDRIIQSWIRQQFGSRQSQLFHTLWYYISNLWQGYLFVGCQDRQNKKPHQMS FELCLFNLFLTQRQHYPLWDGTQLASCSNDNSIRKQNVKTEQQKPNQMSIQMMACLVCFS PDGTTLASCTQDNSIRLWNFKTVQQILSENNCYNDILVQFSPEIYNNTLILESGIYFSLL FSYLQYYNSQKFHKIPFSKPKMIQSLKGNQQTIQAQIQDFRSNLKEVTFIRYIVFQKK >CAK61020 pep:novel supercontig:GCA_000165425.1:CT868011:13943:14281:1 gene:GSPATT00031250001 transcript:CAK61020 MRQNTDLIMVFSFGKMKRLFLNNFTQMERWGLITGTEYFLAKLVHQHEHLMMNEIEDQKK HQKCGGEEFKQLSISIEQDQWQKTQFFCRKLSVAEDVANMINSCKSRFPIPI >CAK61021 pep:novel supercontig:GCA_000165425.1:CT868011:14713:15558:1 gene:GSPATT00031251001 transcript:CAK61021 MLKKFKIACIQNAITATKTQTLALVKDQIKEAAIQGSKVCILGECFNSYYVKAQLQNNAE DFGKTGERQTLDLISEISKQFGIMIIGSIPEKSGDKMYNTAFCFNNGQLLVTYRKTHLFD IDIPGKITYKESLTFSAGDNYKIVDTEYGKFGIGICYDIRFPELAQIMREKGCHFLVYPG SFNLTTGPLHWELLLKARAVDYQCYVAGVSSARYMGNDESIYKAWGHSTLLDPMAKVLAT CEHDPSVIISEVDLDYLEQVRQQIPVSQQRRNDIYELICKK >CAK61022 pep:novel supercontig:GCA_000165425.1:CT868011:16097:16757:1 gene:GSPATT00031252001 transcript:CAK61022 MGCIQSREGKYSREIIYKNTSIILVEGVIEEINSQAIVNISINPMVSKLREQVYKYIYNH DETFDFFEERDIIQLEQLRNSVFKSTETLLNFSFVSGYVATNLNQISEEDLLNTFEQILQ FADRTSSLYQICFTDYLIYEVDGIDYKSYADCLVKSVFKQLFYDNRFLTLNSERQIVSVK FLCMNAKNVIVLLLFQLNTMLQMMMKYENYKF >CAK61023 pep:novel supercontig:GCA_000165425.1:CT868011:17734:20259:1 gene:GSPATT00031253001 transcript:CAK61023 MKGRKSSASKFDRKEFDQRTLSAAVNLIVYGCHVQKYNKSNRKPISRLYYLYEEDMDYLQ YLHAGRPFTQCRIPLLDIIELREIPTTESFQNLPYQRTLQITFASKKHTILFNTKNERDL FWQGLQYFIDVAEHNLIRDIKSTNDNQIVEQITLKQIKGMLPQLSITKQELKSLFNQYDL ERRGFISSSDYQDLLKRLMNQDFVRFIFDKYAVQQMNKQEFIKFLTNEQSPNQKQCEDAF RLFAKQDGNNYSLSFLEFLNYLLSTYNQLFDYQKTQIYQSEDYPLTDYWINSSHNTYLMG DQLTSESSIQAYINAFQKGCRCVELDCWDGDNNQPIIYHGFTMTSRISFESVIQTIKEYG FKYSKYPLILSLEVHCCVKQQDVMADILKTYLNENLLLLPDDYKSSEYYPKLNKLYYKVI IKHRGKMKSSLQYRERFISISPINIFQPNFEESESPDILKRPSLSIQGDQQQLNTPTTLK PRSQKSFKRQDHGLPMLQLRNTINPFQIDEEMKPNKVIHKTKTETTLRPKYKISNIETQF QFDAPNPRCQIVQLPLKVDSDEDISIDKAKKEKAESLKMSSITALFGAAIKQQNRTVWEI SSLDESKVNENLLDFHRRYFTRIYPSGSRVDSSNYDPIPAFNSGSQIVALNFQTNDMPML LNMCKFMENGGIESGYVLKPQWMRSDGKKKIGEFSSTQLSFTLSLLVGYNLRQLNNQNAK VNPQIKISIRGLLQDEQNNKPQIATISQSGLNPQFNFIYKYNIKCPDLAFLIFEVLDASK NFLGWSAIPLSCMSFGYRAVQLLSQYLKPLPKSCLLVHVQKNTD >CAK61024 pep:novel supercontig:GCA_000165425.1:CT868011:20277:21237:1 gene:GSPATT00031254001 transcript:CAK61024 MFNNIKRNLTIFNKDIVETSWLKSLIDDVPKAKHIQILHKCLSGEYTNVDPKAALRKISQ RLQHSQKPLNALKSLYLAHLLNKFLITQLTDKIIDQQSKDPAEVLMASVAHLYYSYLKQQ KNAFDKIDSIFKMNRQLEVLMIKTNIPILKEIITLLIIDIITIYESIDIKEDYEKRIRAM KQVRRFVDLKGYLQMNQELQNKLKTLNFSDIKQQKCIESKICKTIPISQENETHQLEISR KQLSIQVNESTQFETYNSCQVYPQERKMSLQTGTIINQKGIVQSNTTRASSIRDNFFVPK QGPLSFLIPS >CAK61025 pep:novel supercontig:GCA_000165425.1:CT868011:21330:22747:1 gene:GSPATT00031255001 transcript:CAK61025 MLIYCTLFSIVILSCLIFYKRFTLSKKRAQRKQQEYFETGLSKQTVAFLHPHCNAGGGGE KVLMCMIEKLQKTQKHNIVVYSAEEVDDQQIIEKANTRFSTHITNQDLKFIPILNKQLLE PKKRFTLLLQIFGQMRYALKCVNSFQPDIFLDSTGLPFTFFIVKLLLPNVRVIAYVHYPF ISTDMISQVEKKEARYNNDDEITKSEGKTKLKLWYYKFLFFFYSLCGKMTEFAYVNSTWT YNHMKQTWKSTQLIKLFPPCQVDAFMRRKQFTNQFIIISFAQFRPEKQHLLQIEIIEALV DRLPSEISQSIKLYMIGSCRNADDDLLFETIQDTINKKNLQDYISMHKNLPFQDIQKFLT SGMIGLHTMEYEHFGITLVEMLAAGLIVVAHNSAGPKLDILANDVGFLCENLEDYVLSIV RIMQLTDEDRSRYQLMGRKQAVNFSDESFKDLFNI >CAK61026 pep:novel supercontig:GCA_000165425.1:CT868011:22778:23591:1 gene:GSPATT00031256001 transcript:CAK61026 MMIAEDFDSEVYDTSLDKFKTYEEYLDSHITKEDLFYLEDIELARQLKEQGYHAKTEILS REQFEAKKKAVEEARLNQNKDKTKALAHTEVSDPSIIEKSPFLRALAERELKVLNGKLLT IIFIRLESANCEVSGYIDYAHRLKTEDFKIYFEGKKKLQPRPTDLSYYNWRTGQCVSNDS PNFKVDANSGQQGLLFRNKRDRKVINVDPNKKPEDGMTRTEIESPEAIQIVLYDYYTRKK >CAK61027 pep:novel supercontig:GCA_000165425.1:CT868011:23857:26760:1 gene:GSPATT00031257001 transcript:CAK61027 MNSELGSITERAPLKTQPTLPKQQLRPAFLKTVNLKTIQTNRMNSFPNKIWRDRALLVLM QVLRFISLITRSPFASKFSLLDRNMFRIIGDKAADFNYYLLNDYFKYMKPQQSSRVKYFL LQHLYKICYMKGFMDYLTTIRFTFEPESIFLLLWNILMLVNINLNVLYITVKFSFDFENY PPEDYEFCEIYLFRIPYFLYLIDIIIKLNTCYYEAGYLVRDRNKIWYNFYKNNFINNLFI LVPTAIYFLAFQNSALYLFMLLKALQIPTITESIIDRVELKTNYWIIYDLIRLIYVILYE SHICCCGLFYVGLLNKEASWLLSNGLVNETWIIKYLSTFYWSIITMTTIGYGDIAPQNIL EKTFLIFVAIFSCCTFGYSINCIGQSIGQLQSKNHQIRVDMNDLKQYLRIRGYNTKLQIK ILRFFEYLWKDQRNENQLDINKFNQQLPSHLHNEMMIDLNMKSISKIPFFKENFNEDFIS ALASKFIEEKLVPFNTIFSKNDPSNFLYILCDGEIEYFVEIPEGSATMLSIQTISGYDEI FGQQEFLLDQNYEVSCRSTTSSRILKISKADFNLIAKKFGYEKYCQLKDLVKFSGRFDEF HLHCVGCNKSTHMLYQCPMLTGFPNKTKIIIQYRKNQAQERDYIKRNNLKRRLSSLIFEA QISDTVLYYLLKDPKLSQQISSQYQIQTLKQQQLQKELQNYNNDNRTQKQAKDEVRSTIR KQSVLKIKGRKQSQYIKRLPDFLNCQYINFKSMPEDKIKTGVDNISKNISAEHSEAQSSE IFHMKLKNQEETNILEQRRISADLAKSLSFKLINHTSLDQDLRKSDADSIKENSSLSQAS SQSPTKQSKDVSPGIDQITQCYMGTYKDIFEKFDKFQDYQNYMTHMNSSKILEQLNLFKE TQNFTDFMNVNFVRKRRSKRNKLVIKLDY >CAK61028 pep:novel supercontig:GCA_000165425.1:CT868011:26780:28520:1 gene:GSPATT00031258001 transcript:CAK61028 MYRFKLCLNYSRLDQSLSAFLKKYKTTKKNVIQVDNKPLEPIQPQLTIPQDDPLKQKYLL QRVKVIDLNEKDNFSHFNRAQQLALDKQVKQVGDEYKVEAEVLKLQNKGELLIDDTKLPK QFLSTLDRQRRKGKRMLRRFFIDGEHRYVKENIYKFRSVAEWKKYMRFKKPLLIDLKMEK FKRYPGTDKGKFPMQDKLGFTKWFRENHTQKTVDAIEYLVKKGYGKQSEIMMKKKKGYRL RTKKALEKVESKNRLIRIKQEMYEESNWETVAPGPALFVKETMMEQLLKRNPIKDLGLNT HIKIQTHIPEGEVQPLPDKYPLEKTQLIYYLERWSFFRSFGAYSLLKDITTGKLQQTPQE PVKSGSLNTYYQTLPKHIRDNPNVKNVYRGLEFANNQMTLAEKENSLNYAARLSCQFDEM NQDVYDKGSAGYRPMISRFDEERLMQDQENEHVDTAINPDVVFKYLEQRAHTPRHVAKDD LPLPDWAVDQSERVDDIPVDYYINDDGFWDDYIKAKEEKHLKDSPISGGKKYFRHI >CAK61029 pep:novel supercontig:GCA_000165425.1:CT868011:28536:30574:1 gene:GSPATT00031259001 transcript:CAK61029 MFLWPEGYNRQPQNPLKQLIEKTQGDILLLLEEDLFVKQAKLGDSLAVDTLVKGTTGLLE VMFMDYQFVLSEHFEQLTNQYAIMWELIDLKLERVNAQILVILLIQTQTHWEFVFQLLNN ELTVEIQWYYALRIISFISQENPTLLERQQDKLIQLYRHLEYPYVAQLVIEMMVSLKNKY QESFLCKGIQEFDQLTELQAINFTQIIHELFTKIKKQDLIEFIITSQILTKMFEVIQLTN KIVAKNAAHIISIISNHYSTELQNLDLEEDESEQITSQFQSTLFYQVIKQNLHIINNLIK DHCDHNQKITQLIIKLIEIVDNLVRITDVSLWESMHQAQIFISIIRLCNVYPKSDILSSQ VERIVFYIFERALNDYHPFWAFQFIKVYKIQNNTNSMFNRQVFAFEQELNAKITKELDYI TYDTQILEINSELEQSKIWGRTKWELKVQQCENQKKLGSQMQINAEETKLIEINNINIQN VSLDTAEEKKGEDENKEEVQQMEVAQHNQEQQHEEEQKQNMNESDELKENTKEVDLAILS ESDSSSSSNDSNEENQLKNDIQIKNETPILIVSTNVEYKNRKNRLNLDQIEKLRKKSIQF EKISQQMTQAGRRLSLQAGLNIKELSPTYLEFKLDLENKVLRNINDNSILKVDEMDSSIN Q >CAK61030 pep:novel supercontig:GCA_000165425.1:CT868011:30676:31139:-1 gene:GSPATT00031260001 transcript:CAK61030 MDKDLIIDYHQNAETIRQYLNQTRKAQNEILLKSSPHYFHFQSSKYGIRSPIQLKNPLLI KSLFQTKKHFKERETTLPIYTLKTLPAQPILSFQTDRIHSKCPAFKNFPLKSGLKQMRNS PEEYIRIETAPAIYNSNKSIQNLNLQ >CAK61031 pep:novel supercontig:GCA_000165425.1:CT868011:31154:31968:1 gene:GSPATT00031261001 transcript:CAK61031 MFILILFGYKQYKVFTIDVLGSTLLDCIWTQAIKEITKLLTTKEEQHQKDQKTAQQKITS NEKRITAINQQLQEEGLLEAPTGKKDDKKGAKPPPQKKGKDAPPSNPLEAEKLELQKDTE KQQEYVTKYTDKLNKIKVVLEKFKDLNEKYHNDQLVIELCDKTGERKFVKTKLDGIANTF LSDKGTYILAYLQAPATPDEEEQLLALEFEGYLARTVDEDIGAVEEPLLQLQPKKDDKKK KGKK >CAK61032 pep:novel supercontig:GCA_000165425.1:CT868011:31993:32223:-1 gene:GSPATT00031262001 transcript:CAK61032 MQNQLMQTTCLKHCTNLSLNLQMDGPEELCLRRCISKYNEALKLFIKEENTQQKTEIQTQ SKAKPEGKSIFKRFGF >CAK61033 pep:novel supercontig:GCA_000165425.1:CT868011:32622:35112:-1 gene:GSPATT00031263001 transcript:CAK61033 MIKKRYQQIQIVKDALSNRSNIQSNAIMKSQLTKYNNDQFYQINLREKPLPFIQYQRNEN KILQKLLNCNMKLDLDIYQWLVKINVIQELPINNLFAHVDQALEEQFLNGIIVSQILKQF DQKIDVDVKQGNSNGIRLFNWNNVCRSLQQINITLDDDIKISIVKGDTQMLMQFLKEIKQ HYEMAFYVEEQVSTTTRFDSQQNEPQEISIEEIDPEKPLNQTQSLLEFIIVSLCKHFTLK PKQAVVLLNQNYKQLSNILIKGNKSFKSVINWLMELSKYINHIIKFVTYKIDILLSLLKA GLVSQNNEVCYWTLYIIEGILINLPQKELLEDVYAWLVREHGGLIVLILSQQRHHQYLEQ VCKIYSVVSQYNVVDFFDVQLRKILKSGKEYIDFINGIIQTIQIRDQMQELNLFELFASH AVKLFEPQHTAMERMTALKYFEHYWIFYPQSFVIKQMEQYLLNSRKATRESSFAMRIFTY QSLFRILDNLALQKDKYAALLYKKLVFSLIENFDQKGVREFLVANFLMLIRKYSTIPLDI LIIPMVKQLQIEQNEVQINIVDFQLLKSVCTHPKIDVNIAILMLDMLSKLYLSSIISNYF QLDLLSQIKFKNLPLTLQKLQYLNIMATIRNMLKVVQMKIFKRQQFRSQIISLIKFIINL RSFELNEQIKPVIAHFYLEIQQNLKTESKALFMLLGHFGDPQEIIQEEQKKQKQVNQQPQ QSIFQSQIVKSQLQGSKISQVQSGLIHPKSNKGTIPQKDDPFRELRDDANKPSLTMLGNL SLNFDEQSLLKQFELL >CAK61034 pep:novel supercontig:GCA_000165425.1:CT868011:35357:36379:1 gene:GSPATT00031264001 transcript:CAK61034 MPEWFWIFLGSIILIILLYVLYKLWNKQNEQENHSQEFNQISDKNGLGNSYLEDIEIGYM SNQRSKDQNQGFGKEENQFNSLSQISNRNSNIQKYPLNSQIQAARFKADEERNQKVQKII KFIYAQSQGKRKVEEKYQYFLGEYYQQQELWQEYNIKKLDQKQKMKQLCTAYRAVRGDGN CFYTAFGFQFLEILLLKYTKDQFYQFLNANQVNFQIRLNDKDLIDEGDQQKLRDEFFFRL ERLKLIEDIELRKQTLQQEFKAYEKENEKIDGCFYGLSTIFFRNLAQKVVDLDEIASNTF GTQNLLLWETECNENEIVIAAFAKYLKMQFQQENVLDTFN >CAK61035 pep:novel supercontig:GCA_000165425.1:CT868011:36658:38103:-1 gene:GSPATT00031265001 transcript:CAK61035 MCFLIILKKVSTPYDENYTKNNKLFKEKDQQRIKDALNIIKERKLEKEEQNKKGITLVSN VKVIEDKRQLFLISQSYNTMENEIKKMKEGQKEKQEVFFQSMKMLQQDADNFQQFLDTNK AARAEAELKLENEIKDKKAKEAIIKQLNIKMTSIRGEKQRMEELITAYIDHKQFLDKLAP KEWHDNKAKLKSLLLDKLKEISILEGKLQKSNQADQTIQYQEELEDFEDEFEMYFKHPQQ LISIFNDLEERNLFLIQTAQETEQNLEEVKSKFQKLKQEKSIKIQQLHEQKEILKRKLDI MTQELKDMQLGGIIKASGKEQKDLGQQIIEVYQQFQIDMIQVQDIQTRPTLFILGLIEQL IEKLLKQVKTFKPERVYHYKQEIDNKGKADKRKENADRQREEEAARNRINQLKLMQPSKK KSGRLNMFRSRPIEKQVEIAQENHEDVDTEDFKYFE >CAK61036 pep:novel supercontig:GCA_000165425.1:CT868011:38303:39761:-1 gene:GSPATT00031266001 transcript:CAK61036 MNQNRLTYDIQRRKERMTNYFMEQQQFQDFTKPENPVKILNPNKAPQQLESPLVNQVKFY FQSRVNRLEFTQLFEGRESFTQMFVTILSTTLLILVVGFAAYYLNYIGKTFICLVMLVNG FHVLGLHVFYFSKNSDKALAYFFIVLILQFLLCNQLWGGGLLNNIFTTCYVVYNGYKFIR QLPVSPPSYDKLLHTSTQFFFYALMVSLKPTTISKRIINFLFFLIPVYIFIFLIDFFYQQ QRITTRKILLAGLLDLILQFSEGMIIIYKKYYVFNLSRKADQLPLFIYPLCLQNNQQQEI ASINYVNSINNDDKVFNLKMLFRLEGCQPPTIADIMQSYYYEKLKQYVQEIQTNFSQISK LLNEKNKVRTLFEDITLPFTFIKYYYMTYRIKRQQRQLIKLYYFIFKSFDSFQKESQYEV ECLRFNVQLISTIQQNQFEEPKILNICKKLAKISERHMKHEIFQLLLS >CAK61037 pep:novel supercontig:GCA_000165425.1:CT868011:39777:40802:1 gene:GSPATT00031267001 transcript:CAK61037 MSLDKQSRQYEVILEIGCAYTKVGFSLDTQPKKVIKLNFEKPLQKSRLSYELTVEEKLYQ IFNVDMVCNLKGRSVALIYNYYNNEEMYNVIAYVLFYKFEVAKIAFLIGNVLPLYMTGHY SGLVIDLGYNASTVSAVYDGYSLLHQTGYIGEGGREAAKRVQMLLPDYQWEQLEDIAVKH AKVLNAGDVDDKQKIKLRHAEISEFELAKCYTDTYFGNYKDEESNIAYGFLKIVSQIKDR DIITKMAQNIVITGGARKIPNLLLRFKTELLQLLESEFSSFRNIKFYITNLIAQNSSWVG GALIVQIGGYDRFIVTNSKFRENGNMFCTRSDAYNFISIKP >CAK61038 pep:novel supercontig:GCA_000165425.1:CT868011:40871:42338:1 gene:GSPATT00031268001 transcript:CAK61038 MTDQITNKILESYGFKGAQPQFKSVIYPIEQLKHSIEDHQLKQISPELQQILESERAREM VQKRGITLDRTKEFFQEKIKEYNAIIDLQHLRSGQSYVTPKKENVFKRHTADQGEITNYH FVLNWQNLNLVFDEIENSNKRAKSQLQSRTPDQSSSQISKPQISVKTSNKKGSLVNRLDK KFNDYDTKMSQSIATNLPESRRFSMFVETPQQGINRRNSLYPQAAKKFVKFHNFMRTVNT LTRQPEEKNETENRQVKFQTYIQDLIKTYINDAFNKERQPTDASQRERSKSQQQYRKRNQ QMIEQLNQSNQNCAVQNRECDIMNNDIPIYEDIQFKTVDHNQTKQIKERRNRIVQSVNSR MQPKYIHLGGSRYAVKNNFINQQNYQTTINNLLVNQIKEEFKKLKAFDDGIDLEVLEGPP KKIDYHSSNLNQDYNDVKVSKIVQKMKR >CAK61039 pep:novel supercontig:GCA_000165425.1:CT868011:42355:42962:1 gene:GSPATT00031269001 transcript:CAK61039 MKKSKGNTSVDCLYMESMLNKQIRSNIRMIQNKILSPMLLTPKPQSQNQQRKIAKDLKDE QQMIIQFQDNKILMSQLYQPVVIHSHRVLQSNKNCTLESPKFKRNCIHLDSGQLALKHSH YLKRGGQTAQTIQQQQETKPWHPFIRMRRKNLIQNILESKFTQESQPILGSTCFQPIADL SGWQTQEDPQIEIQ >CAK61040 pep:novel supercontig:GCA_000165425.1:CT868011:43001:45506:-1 gene:GSPATT00031270001 transcript:CAK61040 MQNRITNANQNYKIFARVRPIDYQQKMVDFTNESISIRDPTNKNAESKIVSFSSVCTTQE HVYKVIEPMLQKLIEGHNSCILSYGQTGSGKSYTLFGQEGEENKPDKRGIITGAIDYLLS KAQEFEEIREFEITATMAELFLDQVRDLGKAYKQRDSGNMNQLIQNYENENLSLLIKDIS QIAIRSAKELTDMIQMGFQMREKLEQQSKSFGQKCHTVITLTLVQKDKENQNLQFMNAFI QFVDLAGSERIAKSLTQEGQFQEAILINQSLTALSKCLTAISQMNSKNIPYRDSKLTRIL QSCLNSQSQIALMVHINPNENNFEECLSALQYAERTKGITASQPIDDNSNQPGPFPGQDK LIKKLQDENTELKAKVDFLQKEHKQKLSEIQNLLGIDVDLEKLFARMGAQELQKYKIQKD AMQKVETLSNYVKEAEYMIEKLQKEKELMKKEESIKLERQQCKNIEQKEEIRKLKGKQQL EQIEQEKNDKILDTVKKQLKEHQQVIEKKVNVIINLPQNIQTKTQEVQKHEDIKRQVKNE LEKEYKQQMDNLKAEYTKFLQDSTNQYEKYLEKKNEEIDHFIHQFKKYQEKKKQSSHLYC YRMQIKDMKVELFELYDVVMKTFRVIEKIENGAYSSGIRSFNIPAMDKPNIPTRNKFKNL FKYLDQRSLKNTKLDAIKEKLAPKPLQMSQFLENNNIKMNLTKMPEPTVRQFASMIRDEL VSVLAREKELQKRVTELEILQSSNDLNTLIKERDEYKQHYLQEVKKMNQSKRIISSKFES QPNLLIRPLTQQHSRYRL >CAK61041 pep:novel supercontig:GCA_000165425.1:CT868011:47933:49457:1 gene:GSPATT00031271001 transcript:CAK61041 MLISGMQLRHSLTPRFFAGVFNKRKPTKKDLDHYDIVVIGCNLGGVLSRQLDKVSHGKYK IMVVLDQNINQITPIRQIYEQQKTAKTDFLLNAKLSLNMYTAHSDQVGCSTILPEENAIV LRNGRRIGYNQLVVAMGQQVNYDAIKGFEEAWQDFDSPVFTNLDHPSWRSSNHKYTRWHY NFNHGEAYFCIPQFPFSGEVESYNFLLSQRIWEWQTANGRQSPIKKFTIIQPNERFVQYN DAGDSFFKEELKRRNINVEYGLKLVEVNKQYNTATFEDVKTGNRQTRNFNHLYAVAPTKA HEPLVKAGLTTSKGLLDVNIKTLQHNKQKNIFGLGDVNDLPTTNCFWAGFHQLHVVRNNI ERNIAGKSLNAEYDGYSKVPIILGQNTLTFLCHKYNNENAWHNLYFSNGGFLAALRYYNW CKNFKKAFIDIYLEKNWGPPYYKLKKSFKLPEGEKDDHGFLSKLLPGKKDSHH >CAK61042 pep:novel supercontig:GCA_000165425.1:CT868011:49462:50114:1 gene:GSPATT00031272001 transcript:CAK61042 MIKLFAQKVLQLQFQPLYQFNRFADKLQKKQQDNQKQEFKKELEFLASKPQFTLIDFRQR ALDGLHKLKKGFKYQISSGNEETEQQLTLQKQVLNAMFDEELLSPDDLSGDQKKEIAMVA QVEVQQVNFVLSQYSQIRNMHSWVRDMKERGEPMPDNQEDMMYRYKRDKPIKKSFIKFEM KRQNFSMKQRLQKLKWGPRKEV >CAK61043 pep:novel supercontig:GCA_000165425.1:CT868011:50122:52378:-1 gene:GSPATT00031273001 transcript:CAK61043 MNKVLIASILLIGVLGQQSPPLDCTYLSCLATGALKVGQSTRQAFQCMNGYYWAGQSCQP CQPIEGGFYQCTDYYQTGSLTCSPGWTQLNGICVNMPNGCLSYSLNTGANAYVCGKCDSG FSLIAGVCVENQACTVYSSTRYVCTQCSSGHYLNWDYIPSVSSSSDQYYNYFDGFCNPCG IAGCMNCPSANTCTQCYGGYFWSFSGLTTGSTTDGSGTCQKCLDFCTSCTNSTNCTTCAD GYFISTTNGITTCASCQPSLTCTKCQNSTTCLACVSGYALVNSTCQKLPEGCTQMDNNQN CTSCVSIYVLNTQTPKTCTPCGTGCASCTINGTTVSCTKCQEFYYAIKDQSNIVTCSACS SYPQSTGWLRCGGPQDTPSYTTVQVTQCVDGYFLVNITTNGVATPTCIAAVQIQACLTLT NTSTNSNNNFGAYEGGTCLSCPPQAGAKTQLSSQCNKCGGSSSTPISCTGCSQKYFLQAA SSASSATCATCSASGGCLECSSNTTCTKCNTGYYLSGAACQPCQTNCASCDNSTTCKACM DGYFQYSGIKTAQATCVACQFGCATCASPGQVCLTCIDGYVFQQGGCVPLNQANCAVKLN STLSTDKPYSFFWMRYLQIWIPHDLESLLLISLTLCWICLWINNQCKH >CAK61044 pep:novel supercontig:GCA_000165425.1:CT868011:53799:68163:-1 gene:GSPATT00031274001 transcript:CAK61044 MNLKKSLFLCVLLTIVVKGDTAINQPSNTDQGLNSNNPQFACTKGNGCFAQQGLTLMDRS VCHASRQLEGIVLAQVQIHAIMQHVRMDIIKSDQLVLNTLIPTVQEEVFQQTAQPQCVSN AMGNRLKQVEYVFKITIVKPLVQALVSALFVPMDITMYSQPTLQMNSMEHKLLLTTPPIS KALTLVCLAISIVQPANRSIISCSSLFSTYCNSCVKGYYLNNNQCLRCQDKLTKCNACPD ETKCTECAVGSYLSNGSCILCTTLDQNCSACSDSSTCTSCVSAAYYTSGQQCIQCSDTIS YCKQCSSGQNCTKCTTGFYLKSGACFLCSTQMVSCMTCSDGNTCTSCSDGTFLDGTKCSK CTLAVSNCEYCLNGTTCTTCKPKYYLNKNACNACSNSCENCINSSTNCTSCSSGYFLNGA TNTCDLCDANCLTCLSAAKTCTSCVPGYFKDGDKCTECSNKCFTCVESATKCTACYVGRY FNNNNCLPCSNTCTDCETSATECTACSKGSYLNSNTCSVCSITCQECKDSATNCTKCYDG KYLNTNQCITCEFPCVNCLSKTECQSCVPGYYLSNTDCVKCAYPCSTCGTDGKCTDCVAG YEYDGSNCQICLKPCTTCQSSQNGCQSCVVGYYQHDNTCSQCSNECAGCITSATNCTSCY AGTYLNSNTCAQCTSPCATCKDSATSCRSCVQGFFYTGDPNYTCTACSKSCVACTVDANT CSSCQSGYFKSGGADGPCNACTSPCSTCSTQANSCDSCVDGYYQSSTTTCDLCKAPCAKC VNNQDKCTGCVYGYYYSENNNSCTQCTLPCTNCVASLTDCQACVQGYYLSAPGTCTECPV NCTACDSATSCTGCVDGYYVNGSKVCTLCAAQCAKCSDANNCTGCDIGFFLDKTNPNAVT CTKCTNPCYGCVDNATKCTVCDSGLVLDDVNHTCNQCSPECTSCSQSDPKNCQSCSPGYY YNNNNQCLQCSNLCKTCSQNDKNYCTACYDGFYQPTGQNTCKVCIEPCKTCKNSTSGDQC LSCYSGDFWDDTNFLCKQCQYPCVSCTNLTTCKTCEKGYFLTDPNTPGTCNICDANCDAC EKTSTKCTACPNGYYVSSTNICIIYTKCTGTECVSGYYMDSNSNCVQCASICLTCNASGN NACLSCPSGSYLNAATCTKCPNNCTGCTYNSATSSVECSSCVTGYYVNNKQCTICANQCL ECETTANNCTNCDTGFWKNTSLASDQCQPCLYICKTCDTAANSCQNCIDGYYPNNNACSV CPLSCTKCTAADNCTDCASGYYKNQVDATHYNCLQCVSPMVTCESASTCTTCMTGYVCNS GSCDQCSYACSTCSGNPTTCESCVPGFVKMADNTCKACTFPCLTCTGEDQTKCDSCPPGY YPNNNSCSQCPKACLTCSDQNTCTSCIDGFYKNGAACTQCQLPCLQCTTQTACKTSCIDG YFLSGTTCSACPNNCLKCSSTDPGNCSSCITGYHLDSGDCKSCSLVSPSCLECPDSKTEC SKCLGGYYPDNNTCEKCTSNCLLCTKASCTTCLPGYYPNGTTTCQQCTKPCNVCNSATEC TDCGDGFFLNASKECQECSAVGCLKCPSDTCDTCSTQLINDNTCSQCQLPCATCKSTGPT KCQTCVTGFYITTDTCNVFVFPCNSYSSDGNGTCQDCFAGYYLDNSNACQPCNANCKVCS NQSASHCTSCQDGYFPSSNQCQPCSASCTTCVTSDTNCQVCATGYYPSATLPATCNKCPD KCTTCTDSNTCQGCRPGFIKNGSSCDQCTSHCLTCDPDVSTCDSCIPGFYQTSSTCTQCK VGCLACDDDTTCTACWSSYYKSGSNCKNDCSGDCATCTYSNEVQTCLTCTAANKYPDPAN PGQCKACVPGDDCSRNCVENCTTDQPECENNGGYYNAGPACTKCTAPCKNCTGNGTTCTS CVDGSYGADCSSTCNSSCKACTDTGVDKCSSCYDGFYLADPSPNSCNRCTNNCLTCSNST TCLTCIPGYYPDLPNCTQCQYPCLTCTGVSTCQTCASGFHVNGSNACVSCDVGCDICSSS VCSKCSKGYYGDGNPSCTKCTFPCLECTSSTACTKCFQGYNLNGNDCEQVSTGCQVWTTD GTTSTCQTCYDGFYPNNKACSKCPNFCTTCTSNTSCGPCLTGSYKNGTNTCTQCVKGCTT CDSATVCTDCIDGFYKQANDVCQECPQKCVKCSDSSTCSECLVGYYLNGNACTECASPCK QCALDGTVKCSACDSGYQIQNDACKQCPDFCQTCDVSFKCTLCIDGYFQDSGDGSCKQCI TACNKCSALDTCDTCQVGYYYNSGAKQCSQCQRGCNVCDSTQCTSCVNGYYAVANQQCQQ CPSPCRTCNDTTGSCKDCVDGYYFNSPNCTECQSPCIKCSGAGNNCQACEDGYYLNGGSC TECPTQSVCQKCAVDAGDSTLKCTACAAGFFIDSANSYVCRPCDTACTACTTGAKVCQSC IQGYYPANDKCQQCSLACKICSDANTCTDCSNGYYLTGQSCNQCSLNCKYCNSSTSCKTC VDGAFIENDQVTCTLCSGKCATCINSSTNCLSCIPGYYGTPTCSSQCSNSCLYCQNSTTC SKCLPGYYLDNSNACQPCDNTCTTCSNNSATYCLSCTTGYFFNNNSCEQCQSPCTACAVS ADACSGCQPGFALINSKCEQCDAICKTCSTSKSNCDSCYDGYYKNNGTCNQCAEPCTKCT YTDPGPAQCSACPDGYFLSNNVCAECKEPCKKCETAGDQCINCLDGFYKDPNNPKCPVCN SPCVTCNTDGDTCDSCIPGYYYDTTQNPAKCVQCTTPCLTCSYSATAGAVVCNTCISGYF YDTSQTPAVCTPCQTPCASCENSATECRSCNDSAFHLTTTPPLTCTQCTDPCKTCNAVTT ECTDCLDGYFLPSGQTTCSECASPCLTCSPDANTCQSCIDGYYRNGNACNICQKPCNKCV DSQTKCTSCITGYYLNVNTCIQCTSPCADCTDTACLGCIKGYFWDGTKCIQCSTACTACK TSATTCSSCAPGYFLNGTGDGCNPCQTPCKECVTSATQCTSCFTGLYKNGSGAGATCTYC PSPCIICDDQNTCTTCAVGYSLKTSDHQCYQCQTPCLTCLTSASECDKCVDGYYKDGVNC TICANPCATCNGAGTNSCLSCDDGFYLNGSTCTTCVLPCLTCSSSALCKSCGDGYFLDGS QACTVCSNNCVTCVDSAGKCKSCIAGYYLDGNNTCQQCNNPCKTCENTAGNCKSCPSAYF QDGTTCTACQYPCFNCNNPANSCSSCVDGYYISGTNCNQCPDPCAKCTDQNTCTGCLAGY YYDGSQCQQCLYPCTICSSQSVCTGCDSGQYVNNNTCAKCNSNCLTCAVTDVTCVTCLTN MYLKADNTCDKCTLPCATCDTSATKCNSCITGYYLSGSTCQLCFSSIINCATCDNGSTCK TCTPGFYLSGNLCLPCSTSVDACRTCQINQSTYSCTACTVGLFLDVSTCSKCQDTNCLTC STTSSACTQCLTGYFLDNGACTQCKSNCTSCTSSSTCTSCLVGYQLSNDSCNKCNDTKCT SCVNSVSECSLCIIGYYLTASGCSACPSGCAACTNSSTCSSCLTGYYLSNNQCNQCSSDI NGCLSCLSNTKCTACDLTYYLKNQSCTTCVAGCNVCTNDTQCIVCTTKWYLDSNKTCTKC PSECATCSSGSVCLTCEANYKLQNSNCIKCTGYSNQCSECANDLCSNCVSGYTLLANNTC ASCPQNCATGCTKNTQQGSSYSTICAVCQDTFYLNENSQCNSCNTGGNFALRCTYDSKTK VITPTQCINNYFLVNNQCYQAQTSNTCSKLFQPNDGTQINSQLCSDCWPSYLQSNQICYK CANCADNSCSLDQNNKPVCSSCANYYYSDSSATCQLCIANCIKCTGTGIDNCSQCDTGYY KSSNSCVACTTDSSSKSTCLSCSNETTCSTCINGYYESNGSCLACQFGCSQCVSPGNVCS GCISGYFLQNGGCVKDQGNCLENQQNQNNGCYVCAYGFYPINGWLSQQGQSQIIDNSCVQ CVYPQAAFVCRSNPSDNQVQSANFGSVASGKNSNYFKSAAPLSTTRQTTPQCKSGSFWTG QSCMPCPTVNNGICQTCNNFTSCTQIQCNPLYYLITIKNVQQCVPIPTGCTDMQYSDKLM SLACSKCSSGYTLIGNICIAINGCLTIDQNSGACTQCTNGYYFNWDFILQTSKSTLTTNT NYYQYFNPFCSKCNTGCATCPSLYTCTQCLPGYFWYQSTLTTNSYSFKIYANSQTNASGQ CVACPKNCQTCSSATVCTTCNTNFTVDPNSTNGDCICSSPYTYNQSNQSCSTSTANSICS FGGCAQCNSDNTQCQVCSPQYALTSQTTCTQCLNCNLCTTQPGNSNPTCTECADGYYKVQ AYDGAPITCSLCSSSLNNALRCQGVLENQTQLTIIQCADNYFLFGNACYQASNSNCFTIA NSNSQNCQQCLPGYTLYGNNCDQCNTKIINCSTCIQNSDQSGLTCQQCINGYVLNTNTNS CQQCPSGCQNCTIIGSTAPYTMQCSTCANGNYIDINGNCQGCPYDCKTCDSKYTCSTCND GFYLVQSSITFNSKSYAFKPCYPCQNNCGTCTGPSGNICLTCSAGFVLQNGGCVDLTQKI QNDSNTYSLANCYTSDSTGCTVCQAGFFLDQQRVCQECVSPYNNFVCGIKAVSPTNPSNP NDNSNSSNTDTPIPIGDTPIQPTSKYGLMFGILILIVTN >CAK61045 pep:novel supercontig:GCA_000165425.1:CT868011:70323:71429:-1 gene:GSPATT00031276001 transcript:CAK61045 MNHYEYQDCFDDSRLQDAFKQTATFFNPNQSGLKNSITSSKGSGRPQMEYTFKPAQPRLI QHETQQSSSKRNNGQFMQNKLNAQPQKITYQTNPISSSPVKVIKGISQKPQSPPQLYESR NKVTYQEQIKRIKETDIRDEFVHKNQQNEMQPPKPINKNFVGSRMLRQKMEGTINTADSA LRVQFHTKQNETDNVTRLSSDQMDFPSYKKSLLESDRFNNRQNLNDLLKKSNSTAFLPQI QPKLQQAKNLPYGYGPISQQDTAIRTNSSKQTIQGNEILYAHPEDSLMRKSKTAQETMRE SGGQQIQNKIQYKLNSAESQDVLPQIHSPNQGTQQGFSQSKGRSFLQRKPQAKIISRLFS SKSDNKNN >CAK61046 pep:novel supercontig:GCA_000165425.1:CT868011:71871:74192:1 gene:GSPATT00031277001 transcript:CAK61046 MSSLGPLIVIILVIIIGILWYFIHLIAQGFSQLYKDESAFLSDQLKALLGVDDIQRNSYR PSVEPLYISNIVDLIVSSIRGLWLGQLLYILLLLFLFFIVVGVFFIEQPFQFLFNMIVGV VTVSLFSHYIIQTNGKGLTRALISKASFSKEDCYSYMYSCGFAMLSLLISLFLGMYVLMY YFNLAIWGGELKQKDYEKISILMIGYLMGLLMGGFIYREGLSLMSRSFKTATIGLIKSDV NLSVGNSNISQLTRLTYMVSQQTGNTLINYLDIGFVLVFLCCSVLTLFLNSAEVLESENK NIFMLGPIYLICLGYFGSICCYLLRTMCRDSQAPSFTAINVRWQVIMGALISFIILVTFP FNFLISQFTLKGNNSQDIDLSGKSSTHACWCYLLGLIFNVIHISLFEWYTSHGCSKVRNL GLATSDRIMSMNLIYSNYLGDLFSAIPLVLMLLLITIVYSIQGFAGLLFAASGYTSVFII YGIIYQIGCNSSESYKLTCFVHFKSEIQGRIFAIYWEAKNYMIYLKSTNAGALTLISIGF IGSQLYQHPGTLGSLFTHYKGIIGLLIGFTLMFVCRGLSIWAIINMAKTYVNLFISQFIC QPSNDSEGKINYFSDNRIIEFTKVKYTQSILHVAYNILFLTFTLLLTGYLYGQQGTIAAM IGSSISILLIQYNGLIKGTALENAKIYNESTSQMLLIVEDNRKTSHYVMYVAGDTYACAT EESNACPLVAYFIFSYCLLISCQNKFTSADQ >CAK61047 pep:novel supercontig:GCA_000165425.1:CT868011:74780:75986:-1 gene:GSPATT00031278001 transcript:CAK61047 MHDPYTQAQQILQLPKIQIFEELDQGTNEMSRAIQLKMLGMDRLCIKTDSSFERQPRSGS DGDNSKDEVKIIKPIKAEEKIPKKTIKPITRIWDKQIEFRRSQQKMERKNEQQLQLNVEH SDQSSFENQDSINDGNLDLNRIKQKRNRESARYSRDRKKIYFELLENRVKDLEEENDKLR EQCIKLQKCIETHNKQQEKLSIKLFERLEECITHQKDETEIEILLDALKLRTSSNKQERF DSTKSHAFSILDATLPLKTKHLFTILDDRDFFSQSQKNCLEFLNDIFIMSEINSDNLQLN ERIKIKMGQSKQNIFEQVSIFNQFVQENQIRNQNNFKRSFQIDLLWEQLKENIKPKILAQ LLLALHHVFIRYSIV >CAK61048 pep:novel supercontig:GCA_000165425.1:CT868011:76769:77566:1 gene:GSPATT00031279001 transcript:CAK61048 MKRKATMKRNAIFNQNIRTITVKNQEEYMNKLKMQAIRLQIEKIVHLDPLLKSLLNSKPN RKHYARPLYKAQSNRSFIESNKQDAETQQRAKSSEGSQFGDMGIKYKRLISRGTFKIQMH VNKIRRRFRRCVTAVCLLLTYFRYYPILRKCKRFSRPRISILRSKPILEKLPILPQMDKT NTIDDSVASKEQVNYFKKKNSSQGNYDIFIKRPIQQFIMRSNTQTYSQKRICKTEHSQVK SMGNINKKQLHDKLLIKSSSIKYFL >CAK61049 pep:novel supercontig:GCA_000165425.1:CT868011:77932:78496:-1 gene:GSPATT00031280001 transcript:CAK61049 MKMLENVLQQLQQIQSDFHKFISFNNLNSLKVDFLIQHMILCKEFLFTIHRLISFLSRQA VYLQNVSSNISENFQKGFPFKYYYNFRNQFNIRMEPLKIYLRIKRENRVFFFECSLLDPV EILKRKLLPYYKQEIQDMRIYFGDRLLDDKANLQDQAIPNDSCLILKLRKGMSGDWDE >CAK61050 pep:novel supercontig:GCA_000165425.1:CT868011:78912:81796:-1 gene:GSPATT00031281001 transcript:CAK61050 MNSQISHQTKTSQTQQFIASQLSKLPDKTKLQQLIPVQLHVNCFERKHNEGTDNRQSPPQ QQNYCTKPSQKPQQSNHKSNMSLQNKSNSHIESIQEKLSAMNHPNKKRDYSPNSDAIRQL LKTTKQDSDMINLLSKRQQSQDQQIILTPITAKYPLKSHSPLDIKESVAQLLSNHFKTDV SSPKANGSNQKQKNNHLGPYLSIQQQIQQQKLKGHSSTNSLSYGSRNHHQQSLQDPKKSE TATITPTPKKFTTTSQNTEHSKSKSVVEEQLQCMKIMQFDKENQSHILNLGSPSAFSSSE QASSIGDSVGMHLQFGVKTQSPFAKTPQQTQRYCIYHKEKKAKYVTEEGNDYLFFCSKCA VKLAGKGMFFTEIAQLKQSTVDSQTLSFQQSSLDSMHRQSSSVDIQFKEREMKVFLALLY QIQNNRQDLLSQLSSQGNKLQNYYDKQMKLCMEAKQQLSIFLEEIYQRSMNQLSNSKQQT LSFIAKLFQQMTANQLDTKKIQTEIESNWKRVLENMDMSVFREVMNQHHSRFSKIGEFQQ EIQNQYIQLYSMGTMDVQATMSLIAQNFEVVESAIPLISNIQQINITQPIRTQPTPPKKS EESISQRVKTDFSNQKKNSDAKQQNFCQYFNDKVETNQNVKEIQKVNPSLSSHNNNLYVS FENKEIFMNALEMEKNKQVICSEDDNSSKQQAIMILQSYKGSHADIQEESLNMSHESQKS APHSPASGQTKESVQRINPNQEQEFVSLANNKNETVPKEAFQKQKSTFRTLFENDDTQEP NIQQNKKEVTAISPARSEKFKSFLNRISNSQSTTQQYLYYIYINQSILVATETPDMKRED SQSFHQIRFEFSFILIREDLKNLKDSQDIKDSVHQINMCDDQQHLSDYDDEKEIQETKMR YVYQGDERKEQYQKTLFSSPMFKDH >CAK61051 pep:novel supercontig:GCA_000165425.1:CT868011:81859:82936:-1 gene:GSPATT00031282001 transcript:CAK61051 MSQLLNKMVSFAVSMCQVLFHDNFMSKILSNDIVLVLSNYTNNEALKNLIQLYMQGVEYY KECEDRAHQYFEIKLKNIFTNPSILQPSEQPKQSTPPEKKKIEVPTINVVQAQYSFMNKP QTSKKPDNNILSFSFQEPVVDKFFQCGSTINKNQNSQSFCKIIKNTESSHSDEEEEEENT HQKNMIEQEKEEKIKEIQNQVIEEQIIIQTEGRAKEIAIQFKKQERRISQQIEAGMNAQE SSIQKRKEMRKLRSQFSLHNINKTQSTPTIKQFGQHKTPQDDPNCIPEETNEIGSGKLSS NKKHVEVNQFQFNDECK >CAK61052 pep:novel supercontig:GCA_000165425.1:CT868011:83017:83672:-1 gene:GSPATT00031283001 transcript:CAK61052 MNPYYSYYSIPPQNSKHLLINLIDYWQYYQNYLVPQWYQMNNQQVQNNQCQVGCQNESQL DLNKNQSNHFQIPSNNDTNNFQSLDRVKTQNNIEKTDLLEDLDLKKKVKKQRGHWTNEEH YKFLQFVRLHSDLFKTTVHKKLNRVFKMMAEEIQTRNACQCRSHFSKFNPFIETNILRRK LCNDEQVYEKMFENKNKLDVQ >CAK61053 pep:novel supercontig:GCA_000165425.1:CT868011:83833:85513:-1 gene:GSPATT00031284001 transcript:CAK61053 MYDNLTTEQRPTLYRNSDQKQQYQIPTTADSRLHHLTPMTGMKTYESPSKPYASPDQQTM AFTASPNNVNSFVSPIRPQILQPQLISPQKPIPSYINQSPYIRPQITSVQPIQLPVKPTI EEIHISEHPVQVIRREELDRSYQEQIQKLENQLNSLQMENIKLRSVQATEKISIGEDVTR INQLREEIQKYMKIAFELQQEIDIWKKRYADFEAEVRFQYGVEQELANTKRDIQETNIIL NHEIKMQKDLVLEWQKKYKDLEFKIFGFNEESSVIQKTKEQQIRELQSKLALMTTEVERV NVLNEQKNNEMERWKGRFQDIETESLEKDSRLTQLNTEMSRLRQVIDNLNRENGLLKTKI INPTEFQNKINLQQQQIEQLQLKIKQSNQDYENLYQEHNQLQLQVQLNQDNKAQSYKDQI AKLELELRKVQRTFLEKDQQIKELNEKNEEMENMLQNQQSSQSKTETYVTHYVNEANQWK KKFEDQNKKYFEVQEKLVLAETELQSLKKRQQAQPIVTQTVYEVKNTSSVRTNNNNQSAR IP >CAK61054 pep:novel supercontig:GCA_000165425.1:CT868011:85576:87697:1 gene:GSPATT00031285001 transcript:CAK61054 MKKWGNLKIKTTNEAESRKTSNLKSRTPLDDACNPNNLRHQIKEYFPNKQYSLHIPRTQN TSTQITQPNDKSPPNSKLISVVDALSLLQKDEIYPISRPNNRTLPTKQINNIQTQDQVFR DCPRLYTQLQKPQPIIQMYTQHNSPKKSLKTSPDTKYPINSETFKNRLSRKMPGQLFERL EQIHQITSDSVRYRISNLKQNTRGNTQQIHYKFNNLTITNQDNFKEDIESKSINRAKPKL PQTSLVVLEYYKSQLTDFEQNEIKDIDTIYYLRPETITLRQVTQQYNNGFDTKDGDYIFR QYDHIMYRYEMLEKLGHGSFGQVFKVIDHKQNQNAAIKIIKNDKKLQDQAIIEIDILKVV NQADSSCCLVKMLNHFIFRNHVCIVFELLSCTLYEFIACNDFQGLELDLIRRFAVQILQA LMYLSECNIIHCDLKPENILLKDLLKSGIKIIDFGSSCFINSKLYTYVQSRFYRAPEIIL GIPYTTAIDMWSFGCIVAELFLGNSLFQSKNEKQLLFLQVAILGKPTSQFLDYCPKIHKF FNANYDLLAQFKESDLIISPKPLKELLQACPLTFYDFISKCLSWDPKLRMKPFEALIHPW IIEGLPKEIKQQHIQKMKLYSKQKNLDFQGQTKKIK >CAK61055 pep:novel supercontig:GCA_000165425.1:CT868011:88502:89851:-1 gene:GSPATT00031286001 transcript:CAK61055 MQQNNNFQAKFRAALAISQMDKKNRAQNKGQTQNQLHQTIVQHNGLKSTYFMGHNEIPDI DENAIVVAEQDISVVTKKVEPYKTPKLEGMLLKKSPHFLQGWQQRWTVCHNNRLVYYLPE NRNSPFGVLDFNIMSYSLQETKDQNGNIIEFVLIPTGSTKNFIFKAGTSQETMKWFHVIR ENKDTSEGAKKILNNLIKYPRFWRTDRISCEQFLKTGDTGDILLFRGNGANCLIQRGLTG SDYDHAALLLRFPSGSLYILEATGCYGVGLCSWKDMIDERWFELYEKIMIRKLEIDRDHN FLKTVQEFVNENMGKKYSLTPMKLLKQKSTVQDINKQTNKEERTFFCSELVAALYKQLGI FDQQKSAAQYWPGNLCSENKSLVVLKGILHPEQLIDFSNV >CAK61056 pep:novel supercontig:GCA_000165425.1:CT868011:89870:93595:1 gene:GSPATT00031287001 transcript:CAK61056 MRKKRALNEFEFVEKKDNGKAQEELGKGAFGRVRLAIDKLTDTKVAIKTIPKKLLKENAQ AENIKREIKLHRKLDHPHIVKLYHSLEDDTNVYLVLEYVPMGNLFVYLRKRKNLEEQEAF VYFLQTALAIDYLHKKGIIHRDLKPENILLDAQGNIKICDFGWSAELQPERRTFCGTLDY MCPEMLNSQSHDHRIDIWAMGVLLFEMLHGHAPYNKNRSSQEVVIQAILNAATTKIPFND KISNDVKDLISSLLRVNPKDRLSMDEIFTHKWVKTNAKALQINIPEFVYEKGVNETVQSI QDISIDFTNLTQPSNNYQSQKIPQTQQQVLQKQDNTNFYSQKLPSYSPSLNNSTYQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQSTTPNKKPSFDQLFQSTHNTNVQLPQYEQSSKS PTRLTPEQQRQKNELLGIPSIEKSKQMEQSNRINYQMQQSQDMQKSQFRDQSPNQSMMNS NYQGVSPNRTDVSPSSRKPIFNDKMAQSQMIINKYTQQSNNQSGMFKSQDIANQQPQQSD EFTKYMQQEQERKRKEEEFRRQQLADLQKREEQRLFDEQRQREERQKQEELERQRKQREQ ELENQRKIKEFEEEQKRQREQEKLRKQREQEEMLQKQREQELEKQRRDQNLEQIRREKEE QDKLKREQLRREQEDKDRKTREQEEQRRREEQARREQEERQRREQEKWEQEQRERLRKEK EEQEKRRQYEEQQQERLKQQERQKQQYEEQLRLQKQQEQDRKLREYEEQKKQLNRSNSKN VKSQETEEDRQAKKLKELEQKARQEYQQHLVNQSTSSYASKQMTESKQQRRQIAEDDLFV TNNNNSYIPQKQKKDMTEEDYELEQKLHNMLVQNLQLELPSVTKTMKPDENSNLDWDVSV IEQQQKQAPLIEQYENMQQVQVQTQKHNKSVSPNCRQTRFTPRVTKMEDRPTHINNMLDD QLDEWDLSEDPQVKLLNQLLEQPERPKKEKPKQNANSSVNNGYSSQSQQQISMSTQPTNK FSFGENKAKQNLRPETVENVEESFRQDRSMRMNTSRDRSRNKIPAKSNPKYKQFQQQQEP VEEQYDDDQLEDLKRSKSSNQENTYDQKLKQFSKYDCDPYSVNYHNQTQEQYIETYYNID TNQGRAEQVKDRIELFLRDHSKKWTFLTDQNLPLDVQLEDNEHTQFITKLFFISINIFLS LLLDLEIIIELDNNLLLFENGLLFKFREKEQE >CAK61057 pep:novel supercontig:GCA_000165425.1:CT868011:93633:94412:1 gene:GSPATT00031288001 transcript:CAK61057 MINELYNQTWRKRDVREEYKLFVRDVQLKTELKKNYNLSFQMAADLAKICNCFREVRGDG NCFYTAFGFQYLSMILMKDQPNQFEEFTKKYANIKMKIFSNNFNTEDEKIQANLRTEFFK ILSQLRMIKDRQKRKEAFIKQFQCYEDQKDIDCCLYGLSTIFFRNLADQVVDENNLAELL YDRENLLIWETECNNNEVVISALAKSLKIKILLVFFQNNGFELKKYEEQYVDQVILLIKP GHYNIGLYQEN >CAK61058 pep:novel supercontig:GCA_000165425.1:CT868011:94755:97106:1 gene:GSPATT00031289001 transcript:CAK61058 MCVYCLTGNVQQSVVPINEIQKLYETKSTIIKQLEMEKHQLMKTSLGKLMDTLTQLKNDL NVELDRGIRLIDNQLQIENQKSHCEHNAPQDSEKTLTDYIQLVVNSEFSYCQQERKEDQF NWMQSLSQELKRFNKVSEVQYCYFILEDLKKQYLIKDQNIKSQNQIQQFYQSISPQQQNE RTPKLDFNCKIHGKEIIMFDLSPESEKNRRLCCVECMPSQYVSLNKAQEKWQQFEAKKCN YFQQQMFDKEQQYEQVLEQIIKIEYQIIEQVKDLRVNFEQNLLMMKQKNALNLNLINQGF QNLNQTELMERAEILSKSEEIQQRIIFQEYQEIQDSVLRQLKEQIQQLYHYQQNLYDNLR STLCNSQEISKATSQSDQQNNINNIQYFITENRENSYSNQMEFCTQRSQESLEWKNGNEN QFNNLNQQKLHVINENRQTRSASAQVKSKMKESDKQIIEEQQIEFQNENNYELIDKFPEE KSCHAISFNYDNQLMISGCQNDITVWEIKNEKITKKQQLEGHTNLVISLFFSFNKNEFIS GSTDKSIRYWQVMENEWVCAQVLLGHKRQIDCLLLDNKGNQIISCSCDKSIRIWRKNEQI KWIQVQVLTNHQAYVRCISFSKSQEQFVSCGEDKMIIIWEIDEFKEWKLKQIIRNEDYGY RICFVDDNLLIWQPRNINKAIIFQLDSNLNQFNQSNQNIQLIQSSNGYQNFFPSIYNEQK QVVINKHGRYVYVLKKEFNNTFLISQVIEVGHYCNYGSLSPNGEYLVIWDEGSKNFQIRK AKF >CAK61059 pep:novel supercontig:GCA_000165425.1:CT868011:97331:97704:1 gene:GSPATT00031290001 transcript:CAK61059 MGTCQVRTQNINKNIDDEDQIETNSQPNIQQLQLTPLVCNIVGDQDRMDDLFLDLERQPS LNPIQQTTNLQENFLLSSKGQITHDPQSQTLDHAQQTNKSPKKSKNLNAKFKEMIY >CAK61060 pep:novel supercontig:GCA_000165425.1:CT868011:98472:99589:-1 gene:GSPATT00031291001 transcript:CAK61060 MLAAHFGDEILPVAQIVASSQPYVNKFFNDLRLTAQSNRSAMTNKPYSNKRIRINSQEQV WSLPETSNSYTFYRQNSQRSKKSVGPGSYEIQFPAHLQQNRPCATFSKSHRKQLEKFQNP GPGQYNIIQKIKSKTFVFNSRQNSEYHQQSPGPGTYELNQKSCRSISIGTASYQSQPNSH FITPGPGAYKIDYKVNRKKIDRIERLLKPKVMVRSFYNRKEDKYIQELEKQKETKEQSER QKRPKSAMQIKQAARRRKMETIRRSLHVKFNPPGPGSYQIKDFIQIDQVDKKNENLQKSN SEKKSNSNNPLGPGSYEIKDFLCQSEYIKKSNINSSFGKSKRFKDEKYSELGPGSYEIVD EEI >CAK61061 pep:novel supercontig:GCA_000165425.1:CT868011:99901:104576:1 gene:GSPATT00031292001 transcript:CAK61061 MQNDQQQNQKNYRSQQLYDSTQSSNPKKNKIKILNSTSMDVEQSFYNFQFHQESQEVRKN DQMNQQLPYQYPQQQKQYGQQQNDVRNSQNEFYQQSNNFSNQQQQYFPPYYQNQQQYYSN QQQQYQGQQRINSLEFSQQQCQTKFQQNTQSNSNWYNQQNSSSNFVEISQNNPTTINSQQ IFQIPQVPIQVTTCLPKLKQDDQNKHSCHQLIDYFFSLADIEIKVTNIDRSVLAYFDEIK NNLGDLNLNIQYPTIDQTQYLNENLIYQVYEQPENNISRQEKMTILLSQVIEKFFIEAEK QQVKIKIHEQKCQYKIDSQQQMVATKFYQNFKTSWDSYVVLIASLKSEFEAKQKFKLLKK PRMHELFCKALDVREPIMFLASDINLAFFQKNQIFLTNAMDIKRQVNSIIVINYVYDVNL KIHELQQKLDDFFQNPQIMKNVKETFDIDCSIPKDVDEIDRSIRKLKEQEEQFEIIVTNP ISNAQNKGKYLVEAEMQLWIEGDQTEYVIMQKLQAVKKQLYEIYNPNLIIKVTEQDKIKL WNQFLGQEFEKQHNLKECITKQNNIELTIELNGRQNAILEKQQKILQYLEQFKCIILYCQ CDKEVALVLQSKEFQFQRLLVSTLIEIAQEFGQCLIKMIEVNQKLQLVVEVYYNQIQYKQ IVVSQKIQTFFENLEYQYVEFDFKEFLNFIEMKEISFQETFQVVVSKFGQQPGTWIVGKR ESLEEVSSFLFQFNQSKQEDYVSDSIDCDNKLVFNKLKQLQSQQANLNAGDRNVIISFPQ ENKIMIQAPEKLIKQAKDKFDKQIRELKSQVKTKICDFSDKEIKFIDKNFQAQLEQLKKN NEIQLFKTSQVQVQEIQNSSIACTLLYKNKSIQIIYADISKIQCDAIVNSCNSKMSFGDH LQLSGVAQSIFEMGGTQFQSFCSDYIKKYKELQQGVVCTYKMPAQRQIKYILNVATPIYS KGDQTDDDLKKIEENIKALFKEIKNLDIETVTIPIFGGGACGYSFNQAAMVVLNTTINQL YAENNSIKKIYIAELTDVKIDQLTKILKQLLEPPLAQREIQYQWQWQDRSKFKDYDDEEI NKQIDEAYEQFLLTGQEQNILLKFPYSKKPGTHQIDFQNKTVTDISLSTTKALITKLVSN SKKYFFGYEQVDDQLNEYIMIQELSNVTQFDIFYKQHYVIIKQGEMYQMNLETQYKRQIQ KTKYQTKKDPSKQQYNYLVDKQYQFQVTQYQVSKLVKQKNNYGQFTVQSFNDNLNETIIK QIKQYLSRETTKLEIYIPNLQEDEIENIQNQIQKTALSSEGKFLSGNKVIIEFFKKKYSQ ICQIIEQFKSRGKSYPKEWIPQNENYLKVALKSDSEEFKNISTMFKKTDSGTIYEIYRIQ NKSLWDNYISEKNKLIQIYQQNGVILSQIETERYLWHGVRTKHPKIIYSGLKEAFDYSYS DVGLWGVGIYFAEKASYSRHYSYKLQKADQQGEGRQVFLCCLITTGKVSTMPQDKNIKRP PQGYDCVSGLSNEGNSNIFVLYSMDVRRAYPAYEIIFS >CAK61062 pep:novel supercontig:GCA_000165425.1:CT868011:105057:108227:1 gene:GSPATT00031293001 transcript:CAK61062 MEINKVQNKAKYESFDYGQYEKLRKLFHDPSFEPIQVEELDLSNEKATQMPNVLCLQLLT HLKVLVLNGNQIQRFPGKLVAKELQSLTILDLSNNLIEELDDIADMNLPNLSVLDYQGNY VCQYLLRITQIQQLLCPKRYVKYNPVKVFTASYNQLPVCRLTEAQYQEEKKCLRSIQRAP EEFKPEELMNTVSKFSHKNTLGKFCLEMCPVPRKTRFKNLEYLNGTQITKMDIMRVTGVD NYKLALEVENSETKKYKHAKKSKLHNEYIQKYIKRVQRLLEEKPSNDPDEILKAKKVKKL DQKKFSVWETPLDVQYKDSEFTIGIEEFKQQKKEFEEQKKQKLERRHKKQIERIKKKHPS FEESESDHEIRRMYLTTKVKPKMNLSRDEDRIYRSSESSLSEGDSPQERSSTSQESVEMK GPKSVAKVEAKPISYYRKKTKEENKSKEVVQQRKVQQQNRQQRIEVMDNENSESDKETPT RQVKKSSTQQLLKSHHKQQTYSPVAVPQQAIPAQKIITKMLHDENRNTFDLSNKLSAQHP VVREGEYKEQQFQRMLKVKESVQKERQELEQIRKPVTAQPQVRQKVNRVIVDGQKKKALP TPQRELVKLHGDLDKAEFQRIQIPLEEMIPTEEQGVPERFRQEFLYNVDSETYKELLHYK GILEESLNLKEAWKEMDAKLEILGATKPDKELRFKANDYNAVHTYLKAKYKDKISPYEMR EIIYGANDYDLQSEPRIQEKDIMKRLEQEDVTQEERQVLYKWIEILDDIRLKQTKTDDKR LRRIEIKEIERAQSFAHLAYHYQKLKKYVSADKLQKYVKMMGIPLNEILNQDNQKLKTGQ KKKTEYVNRQNYQQTKVQAQKKEKEQKDLAKQMLQIEEDKAQMLKEYEEINQQRYSNIKA EIMSDNGNLATNDPYYLKCLNKLEQDKVDVLNKVAKYNIPFENMVEQNLRKLQDQFITTQ GPTDLEEQMMKYASEFKEKIKQRERLQQNINKHISETQKKLDEFWMWEAKERELRDDKVA SLMRQIKRLELDDQQTIQF >CAK61063 pep:novel supercontig:GCA_000165425.1:CT868011:108247:109740:-1 gene:GSPATT00031294001 transcript:CAK61063 MAEESLEITTFSQFISSFETLLVKALPTVIGMELSILTTLVNMYFIIGRDNVIGIGFGTI YANLLVKGLLLCMNSSITSIMTRQFKQHQYVKIGISYQRSLLLNGVFLILITPVIAGSTF ILSQFNIPNIVVEKAIQYVWEMLPALYAFTYFDCTKNYLTSMGIDYPVLVIQIFTTILHI YLSKQLIVYLGLGISGAAWGKNFSSCTSSLLMYIYIFKYQQNHPAWIEWDRRTLKSIFQF SYGLLHSSFKNYLQNVSFEIMGFLALWLTYEEFSVCVCLIFTAQALYMFFLGVAMTAASR IRRLFKEQEYEIGRKHVWYYLATSLMTGILLCFLLVVFKQQWIALFYMDNETSLILSNNL KLFLPTLTIGGMQIMLANLLGALGEVAFTINVQLLCQYAIGIGTGIYWGYYHDYGLKGLW FGWACGLSVSAGVLFVRLILLDWEKSAAQMFIEVREETNQLQQ >CAK61064 pep:novel supercontig:GCA_000165425.1:CT868011:109835:110035:-1 gene:GSPATT00031295001 transcript:CAK61064 MNCVLQNSEERVYSLETGVQFLNAGLQVIRGDTVAVLGEVNLEKEKSIDFDKVKANQLPP IFSNTH >CAK61065 pep:novel supercontig:GCA_000165425.1:CT868011:110161:111003:-1 gene:GSPATT00031296001 transcript:CAK61065 MFDFQFISVIIILGFSLAAWTADLLKIGYGKHLSHYMKLTLPPRIGWILYECPNLIWFVY FLPAISLDFNRLPILLFVTHYFNRVIIYPLRLSPLARPIPVEVVASAILFTTCNGYIQIT THLNRDLQLYLPDYLRILVGILIFIYGMTSNIKCDNILRGLKKENSNEYKIPYGNLFELV SSGHYLGEIIEWFGYFLVSGQWSGFLFFFSTLSILSARAVSTHKWYKNKFDNYPKNRKAI IPFIL >CAK61066 pep:novel supercontig:GCA_000165425.1:CT868011:111225:112321:1 gene:GSPATT00031297001 transcript:CAK61066 MNTVQLIQPWRQVSPQAIEALKSLEQLQTISNAKIVKRITTTSPIKQFFLNGRQLTVFGN NSQTKIIQPCSYQPVHQTDRVQPQHNRNISIEMLNFKQQVQDNILLQTVISKYESSPKMS TPVKNSGTQTPTMFTRNSCDNIPLPKEPNKVIESRENKSPQVNLIQKKKLILNQILQLDN EIKLMQDEEAKRRLDPLRQKTSNQTSIAPVSIRESLEKQASTSTFMSKLNEWMKKKKAVP LDEKQDEQSSSIQNSVLRDCTFKGNLTSKDQNYESFYDRNMKWQRRVNMKKQKMKTQKSM IQLKKDSKSPNQKPSRPNSSELNCWIRGKELKQSQSPQKQKQKQNKIQQQLANKLRL >CAK61067 pep:novel supercontig:GCA_000165425.1:CT868011:112497:113218:1 gene:GSPATT00031298001 transcript:CAK61067 MIVFCANSLPQVIANQGLRQFQVITQNLKPQLILNQCGGDIETRSQYFAKYLSKFNEPFH LIGYGIAGLDLRYALSQNNSIRAKSLITIGTPHRGSILSDLYRRRRVEDDVIEPLCRVLG IRQNYFEEINSENIRDFNLVTTNKENIKYFSLNAETEVGQMSQIYQASGTIIESEKEYPV ESGSDGVFAHNETKWGQHVATLDCDHGAMIGIPNQRNGEQTVDIIQQLIKDL >CAK61068 pep:novel supercontig:GCA_000165425.1:CT868011:113245:114858:-1 gene:GSPATT00031299001 transcript:CAK61068 MTMNGTCLDVQYYYDGLQGEKLEIPYRNKLNKLDEGFEEAFELISPIQELKNIDASMLKK VFFNLIEIFYWSANFGLFFDPLQSCLLYYKEEEQFVLLPTFTLMTRHLFQSKASSLLEKF IEFRFKLYQNATFNFLYRFYLKFLNPIKNTDEDFSFFEEYFIKEPEIQDLEPQFPNNQQY RGADYLYKDQRFQKKLARTRFKQTMLLYQLQNNEELKMLFKEFSRKDDELITQQKDAQNL LQLQFINMTQFFSKLPKEINSNSYEIFGKFMNSMYEHLKSKNTDENKIDMAPYSKVASNQ HRIEIFSKPETKDQFQFNWSSSINRINRQIVDQRTQQMIQEDLKIPYTMTFKESSASNQA NSQYDSVIIMKTSISEIQFSIDTADDIIYLANMLIREPTPMNIYIDQSRKLTISTTIQTA CPMGIFKICIRIFDMFQIYFHMILEFYHQIILIGKPVNEKKYQAFVNEIQHYILDYEIDF DELMKKVDPIQVNKQ >CAK61069 pep:novel supercontig:GCA_000165425.1:CT868011:116042:117126:1 gene:GSPATT00031300001 transcript:CAK61069 MDEYMKNFFVLNRLLVFYYYQLVQMTDSTCPFCKNNGYFDQSTSSTYCKTKSCNNRVIQF GTINYEDENKLGDVDQPGNRQSRNGGYENKIVAYKEQLCEKQGISDSKQRKQFQEKFEAG EHQIYKLNRYFNMNEAFTNDAIYKYRFYMKNISSEISRKTFLDISSYVFYLTIKERQSKH YYDQKLGKITLEEIAKYSNKTAQQIKQAIRVLNNKTIGFTLESDDRSQQDICQQIALKIQ KHNLPFTEDETFAIKDIPRRLMDSSIIRGEKTSTIAWQYCILMASVAEFMVSQLSSNPRL NMLTIDQLAQMNEVQKDTVKKLWNAIIDNQSSQNHISRWEGQRSITELKHIN >CAK61070 pep:novel supercontig:GCA_000165425.1:CT868011:117987:120444:1 gene:GSPATT00031301001 transcript:CAK61070 MSIQHKEKQLQEIKKRIEDMIQDCIKIQNFKINVFLKFDSDLRKQVNSSPLAKQLCKQII LLMLTCLREAYDKKFKKLPDLEEFFVNYDEYEKTAKYLNLACYHICQTLSQKEKFSFSEI ALCKYIKDNIQHIQETIFKNLLNHLEQIYKKQEYEYVHKRQESEILSRKIEHNNSIDQFK TYIQRLLQFSQNKLLLQKRDINVFDDFCQMLLFKFIEDQYQQKYQRWSQVYSVKDYLTLV EREQKLNQELFISLIEYETFEGHQNNILRIIYEKLLISYKGVILRDERGLQGLLKEFLQS YDYNDKKSAKEEIILILRVYSKFQDKENYFNDFKYHFQSFVRRTIDEQYKEETLHHELEK QKQKYKSLVKILCDIYDKFNDLILQCFRKSTDFRGRYDLEMIVNSELNLFFISLEQSIQL TLQLCDYVHELIMQMAKTDDLQQREENYDEIRKVQNCLLPFVKDYEYYLLISYYRLASRL LGYLLFFDKNYVINHLETERQILDNMRRFCGNKKLKKFYKMIDETSEIASKTQIATFDGV PLEIIQINKKKWPIHYGNDQNVFKRFDNQKADYLKMQNQQHNIIFSDTLSYVEIYWNEVN KKLLINCVQAAILLLYDKNEDPKSLNQISDSINLEKGQVKFQLDRMVKQKILWNDEQFFY LNNSQIEQEIKQEWIIMEHNIYENERYINEIKPVVDKTQDFKYQLDAYIMKVLKAEQRVF HKTLLEKVQQHFYPTLVTNEQIKISIEFLSKYQYISRDPKDQSAYLYE >CAK61071 pep:novel supercontig:GCA_000165425.1:CT868011:121240:121717:1 gene:GSPATT00031302001 transcript:CAK61071 MLQTGLDLFRGHSLLYFLMNCVKINTNQEWHEHVMSSQKPVVVSFFAEWCAPCHKLNPQL IQEAEKNCEKWQLAFVNVDNEELYDVVQQIAKAQIPSVHLLNRGTSIDSMTGYSERKTKS FIDKVCS >CAK61072 pep:novel supercontig:GCA_000165425.1:CT868011:122416:123369:-1 gene:GSPATT00031303001 transcript:CAK61072 MVLNSDLLGLEDFKWTMKVKIQLELIAMSFNISLSFHQKFLQIGVLRLTQYRTENAMHQI YMYKRWMNKKVLNKLDKQYFEIDQHCQPSAHLNFENEFFHDGIYKKLREAYRHFNRICPP TFQYGLTHKDQTSYGQKYVVHWFTRAPLKQSNWKKFTFPHTQVGQDGLYHLIQGIGTKRC YISSRSSSDLMTSLQTDVYLTGYSLAYQVIADTVLKASYAYEHIAAQSNFTTMQNEHLRT EAMQSLGWAKGLLNINKSDYHTNTIVINKKYYDYYPFNNVWRHPESFYSLNKQCMLQ >CAK61073 pep:novel supercontig:GCA_000165425.1:CT868011:123712:125547:-1 gene:GSPATT00031304001 transcript:CAK61073 MKLQKALESQKAPNLTLSDQILNEEGCVVVAQFLAQNTQFINIELRGCNINGRGFERICR SISPDARTLIAEWNAIGGGVGALCDLLMNPSYQLQLVDLKNNRIQADHCARICQMLKQNT SLQSLNLKWNEIGEKGAQYLLEGLNGNRNLKFLDVSCNKIPEGLATQIRERIEQNRSTDL MAKNSTGIKESQRNAYQPVKTMPKTAATTNIPDNRAVKKNDAIRVAQLKEQIDQELRQNR LMNEELTNRMNALRQEILSKEQAVSMAKRHFEEEVTRKSIIEAEYTQVKRQFDELGKASD YRQQEVMNEYVAKNKHVLALENEYMHELSQIRHDNKLQIDQINSNWQPRLDGIQSKFNSL MKANDQYNQQLLRMKEFFLKMRLDHDENVKAVEGRLLIEEKAKYNHQTELLKKKEMEQQQ SLKRAENESQSIQDDMQRLETNLTRDRQLIEEQIQQEREKVHQTHLQISKRSIKQEKLIN NNIVLDHHIDNLIKKIDETFFILNIQKDRGMDDIQQRRLLQERQYALHKTNLQLQHQRIL ELERLMSNQEGNNIKLRAEYEKLCHIVQGRVQNAVIETCRLNLQLG >CAK61074 pep:novel supercontig:GCA_000165425.1:CT868011:125653:126286:-1 gene:GSPATT00031305001 transcript:CAK61074 MYRYISEQGFKTPAIINSLKIFVRDFKDVSSVSATKLNSEEIASALEIHSLQWHPTKDSS KIQKEFKFNSFKETFAFMGSISKVAEEMHHYPKWTQKENVVNVEVSTSDCSGVSVKDILL AYTMDQLALEITNTQIISVCDSPKVVDSQILNTWNQNFSKTEEILQNLQKNTAQL >CAK61075 pep:novel supercontig:GCA_000165425.1:CT868011:126425:127836:-1 gene:GSPATT00031306001 transcript:CAK61075 MSLVGSGTLIIKPLKAKLTRDTEFLGKMDPYCKVILGNQSQRTREHTDAGKHPSWNQSLS FRRTNEYIAEIQVWDSDEVSKDDLIGECSIALQTYLVDTPKPAEWINLSYKGKSAGQIYI QFEWLSDQKYQQQPQIPSNPQYYQQNNVIPMKPPGAPNYQQPYNQSMQYQQPPNYPPQQA QYPQQNPFALTGLYGTLEGPQIPQQNYPQQGYAPPYPPNQQPGYQPNTQQQQGYPNQPPN YPPNQNPNYPPNQPPGYNPNQPQGYNPNQPPSQTLNYPQNQPPNYPPNMPPNQQGYPNYA QTMQHNQQAYPNQPQNYQNTNYQNPTQNQQPYPNNQPPTNYPGGQPQNYPNNQPMGYPNN QMPPNQQAQTQPPNQAPGQQYPNVPPPNQAYPNVPPGQQPPAQQNYVPPPPQGQQQPPAF YPGQTLPQNHNYPNQTLPQNFDPNKIQNPMGN >CAK61076 pep:novel supercontig:GCA_000165425.1:CT868011:127859:128874:1 gene:GSPATT00031307001 transcript:CAK61076 MSKKSNLGKALQRQQQRKMNEGAAKVQNQGQFFHDGKVVKEEYQIQQENLQSIIDQNPLN EYLQMAEMANIKYQAEKKSDVVVNEQQKQLVINVNAIRKGQLPNSSVYDYQKNQLLVDLQ IPRRPRWDEKTTVEQLRLMENENFLKWRKELAKFEEEHYQIQLTPYEKNIEVWKQLWRVV EKADILVQVVDGRDILFYHCNDLTKYVHEEQNRVYRKNQTKINFLLINKSDLISDKIREE WSAFLNSKNLNHMFFSAKLEQEKIDKEEQVQDATNILIQQEEPKIEENIEAFLNTSRIAD RKILLSELKSLVQKIRKQRQENVEDNQSD >CAK61077 pep:novel supercontig:GCA_000165425.1:CT868011:128924:129813:1 gene:GSPATT00031308001 transcript:CAK61077 MVGYPNVGKSSVINAICNKKLVGVAARPGKTKHFQTIPLEKYLLLCDCPGLIFPNASSSR AEMVCNGVLPIDNIKDYLSPMDLLAERIPKIVFEKLYGINLQEFKIIDASTVLSTYSQKR GFMTGRGLPDEAKASKLMLKDFVNGKILYVKLPPTYQGEAIWQSNPLEDLENLIHQQQQN QHQINDDANIDNQFENQLIQEKKITNEEILEIFTQENLAQLMEGKKVHGIKLTKEQRREI KHNFQRGDPIDIKKYLNLQQNQKETLYKTYIQGKRGQI >CAK61078 pep:novel supercontig:GCA_000165425.1:CT868011:129854:130560:-1 gene:GSPATT00031309001 transcript:CAK61078 MIKEYDYLFKLVIVGNSGVGKSSLLLRFSDDTFSDSYLTTIGVDFRFKTLEIDGKKVKLQ IWDTAGQERFRTITSAYYKGADGIVMVYDVTSTGSFEDIDRFWINEVESYAEKNVELLLL GNKSDVEDKAVSTQKALDYAAIKRMAHMEVSAKTADQVSKAFISLAKKLIAKKDSQGLKG QGPQRSQQTQGQKIGQQTDDNKKEKEKCC >CAK61079 pep:novel supercontig:GCA_000165425.1:CT868011:131004:132506:1 gene:GSPATT00031310001 transcript:CAK61079 MQIIFLIVCIVAFVQGKLKVIRPAELVDRLGSKIDMALANFGEIPFGHRLVGYVDMASPT DACSPLEPAQGSQFLLIERGECTFVTKVRNAQNAGYSLAIIGNNNDDPLTSDFVMADDGH GHSVSIPSIFITSRDFQILKQYSTRIGDNLDDKVFILVKFDVQKKERIDVLLNLKVNDRD SYRVIDEFSDYYNLLQKENVNYTLVYEIFSTNTTETEHFTDPDNCICSRRYCAEDPDGAG IATGKNIIQEIIRQTCIFKLYADQFFQYMDKFNFQCSKPQAYSTCGSKIITNLQISADEI NKCRDDSFIDVVSNEVTKNETNAFNTILEHQLLLKQQAGWFMIPSAIVNSVVYKGRLTGK GIFGEICNSFNTPPSICKDEVENYYNQGNDYQYLIWLILIISILIAIFVIILYCLFKKFV KRDSVEVTQVQVNEMVSQYIKFYEGKDQQKQNSF >CAK61080 pep:novel supercontig:GCA_000165425.1:CT868011:132555:135252:1 gene:GSPATT00031311001 transcript:CAK61080 MIYQLQYTTKLSETLNNSKDYCSLQNTKWVAPRPNQHRMEYLQFTQRNKTHSKYPQDMLF CLISKGLVPIQKQKMVNFSSNDTSCNYKDITSEIKQEHPYFSSKLNPSISEVDRCLQIKQ PHRKQKSIRSYIRGQSKDKDPIEISTSKSCKLISPVTNQRDQKRLVHRFESKQNNDKFTR TSSTPFIYKTAQNDNLTSRSGSPTQRSKSKSNKTQKQNTQSKLTQINEVRSFQRHQDTQV QQSSNQKISESAEKRLQVKRKKRLKFQTTTQQQQILQQQNSQKDKLNQKHTSYRCFINKI NFSNNQCIQSPFKMDVPAYYFYVGKGNNGNLLKNLFRQRWWWQEVDTLDINKVNMAWTQL KQNALIDCLPTFNINDTNDPFVHEDTVVGSNDSELDIHIKPRSSLNTLSINQILKQSIQS KKDLQINNLSLLRRIFNQVDLHRILNYMKSNNQWDSQIVFSDCTEKLLMSIKGLQTVIQL DSRNYKMHNHMQDNWHLGNKKALFYNMRNYFKTIKEDYTKYIPITFHIQTGMTDAEYFTF VEYYNKRQEEVKEMERKSYLEHRREKKPKPINLWIVKPGECTNRGNGITVCQDLQEINKI LNEEQPDGRQRTYIVQQYIDNPFLYNKRKFDIRCYMLLTSQNGIFKGYWYQEGYIRTSSK EFTTKCLNKYIHLTNDAVQSRDEDYGKFEFGNKISFLEYQRYLDTYHSQSKFNFFIDIYP RMKSIALDLMKASYGKIDPERRSNSFELFGLDFMIDDNFKLWLIEANTNPCLEQSCPLLS RIIPTMVENLFRIAIDPIFPPPYFEEWPQNKKLFIPDNVLENNRFELIFDELIEKKAMFN LYRDTIVEQDGFKIEEEEEEEEKD >CAK61081 pep:novel supercontig:GCA_000165425.1:CT868011:135480:136638:1 gene:GSPATT00031312001 transcript:CAK61081 MQLNNQMDAIFQQEKEQILAEFETKLRRLQLQVQNKQYQDGSEYFGELNNELRWGQGVYY YFTGDVYAGQWANDLFDGDGTYIFASGERYQGQLKEGKKHGFGTYYYLNGNSYTGNWAND KKQGRGVYVYAQTNEKYDGEWNNGLRQGYGTFTYQCGVYEGEFNNNLKEGKGVLKYKSGA LLDGEWKKDKVEGEAKLTLPNGDYYKGTWVGSHMNGYGRYQYQSGGYYEGWWKQDQFHGE GMLTYENGDIYRGEFQNGLKHGKGIYLHQNGDQYEGEFKNDMREGSGVLRMKNGDRYEGE WSSSLKEGKGTYYFSNGDRFEGNFVAGKRSGHGIMYWSEKSSVSGFWVDDIMNGQGVIIK ENGDKLQALFKNNKLVA >CAK61082 pep:novel supercontig:GCA_000165425.1:CT868011:136755:137410:1 gene:GSPATT00031313001 transcript:CAK61082 MFYNLPRTPYMQTRVQPRVLYNGFEKYNTKIVKVQNTFEYYHYLQKKKEYQDQQKELLEG LKMENDEMKKLSYSVKCFGVSNKEGKFGVQSQRKFMGGSFESQPTYEVNVQSERISYPFK SKRLMTSRRLQREKQKPLSEIIKLPERPETVRTEHLSNVDKIVRKSRIKFKQQHSQPQPE IVFEKEKAKYQSYSRLLSEAHSHESFYKILSP >CAK61083 pep:novel supercontig:GCA_000165425.1:CT868011:138883:139930:-1 gene:GSPATT00031314001 transcript:CAK61083 MKGFVFSYLISMVYCWWEVGHMMTAQIAKNYLKDNRPDVLAWADSLVQDFNSLTDGKSNT FAEAAVWLDDIKETGTGFLNDWHYTDRPINPDGLLIKIDDQGRNINSIYAINQAVSVLTN QKTAKNRHTVFKAQMIRVLLHVIGDIHQPLHDVTFWNSSYPNGDAGGNFMKIQLSNGTLM NFHSFWDSGAVSFAPNNSFMARPLSQSDSQYLDKWSKELIAKFPKSKYSNYDMTNPSVWT YLGFRQAQQFVYPMIATSNSYNSDYEKQAIAFCEENLSIGGYRLGAKLIEIYDQILQNEA KLSLNE >CAK61084 pep:novel supercontig:GCA_000165425.1:CT868011:141828:142581:1 gene:GSPATT00031315001 transcript:CAK61084 MIICFSPFEVSYQFSLTQVIVLFSKNNSYFLHNHKRETKLLFQIFLKDNLFNFQKVTIIH SNLPKIFWQINILPIYHNFESIRQFQSSKMEKIIQLLHNEIINHHQNINFYSCKYSKFME YNVKILLLLELIQDYFQCFDRYCFILNQYPIHLYSVFLKVFQKTKVDLIINFRQLNFKIN FIFFQDIPDFIQYLFCFITLFFKILIIIKKCFCFEINLIVTFIQLRSLFIQTIIYEVSYI SSK >CAK61085 pep:novel supercontig:GCA_000165425.1:CT868011:142739:144508:-1 gene:GSPATT00031316001 transcript:CAK61085 MAQDFCKQYQNVNIRVGYNRFEIPNFTKLIYLEIESYTDLFNVDQVFQQEIQDRASKILR LNNWDKEHFQKFICKLPLITNSNIKFKDCEMGIKLKNSFHQNKTFRNEVNKLLESLKYSQ IYLKVDAKLSKHLELIGIQQKKHVLTNLLMDFTQQKNILYIKDIQIQYIELVVYFNEFIE IDLIQLENYLNETLSKLEIARLTTEEFQSKYSALLYVQNQQLYFILHSDYLNKIKTLLES YKTLIYLYYKPKSKLYAQKIFYDYKNKIMEQSSKVLSITLSSNQEIVYFESPSYDMTTLC IFRKYEQKLDQLLLEIPIKISRLEANFLYKNCQQELEKLCQQQMLELSFNLTKNQQEINQ QTTKIQFMKFKKKEVYFDTNLQCEISLRWKNKQNQDLKISYKKQDQIRSSMMDIQENMVP NIDRLELYTKEMKDNFVDLLSEFMQLHQTRFYELVIFIDNEKLKSKYEKELVNQISILKY DRYADAQWKWYNNAYFDEYNFITNEQIETAYQIYLIDNKKNELLLKFPYNDKPRTHTIDL KKGKITDHSSTQTQEITLKDGFITSVKSKQTIF >CAK61086 pep:novel supercontig:GCA_000165425.1:CT868011:144673:145254:-1 gene:GSPATT00031317001 transcript:CAK61086 MKVSVDSIDMKQNILEIVKQLQGEISLEYDKNKQDVLIQTQNYIIDQKGNIFQLNDHNKL FQDPLQNDQYFNEVVGISKKNYFYEKAKHFSMKIFPDQNFSEYKTKEQLNPLKQQHLEQI NQQISKIILFSVFCKNQIEKNSKKNFYTTTWTNQQQFQKQTKEIYSQVKLKNHMSLQDNQ IT >CAK61087 pep:novel supercontig:GCA_000165425.1:CT868011:145573:145794:1 gene:GSPATT00031318001 transcript:CAK61087 MNDQKNCKFFINNTLKINTEIVLYNILANEYFERNYFINGQSFIFKPLRFSIIINYVKVN FHQKKVNVTFICL >CAK61088 pep:novel supercontig:GCA_000165425.1:CT868011:146159:147811:1 gene:GSPATT00031319001 transcript:CAK61088 MGLDFSFLNDTEQYQTNVMMNVEQQSYIKRLDDLGKILSVNFTGEDESSQFCSPYYQGVT IHQAPSGFISLVHEFSKKDIDELGEEDLYRGYNEKLSSYAVSPLGISILSSKGKVYFIDT NSKDMSFFNYTLPLLNEHQQSTQLVYDERSRYFYAFLNDNSQVLKYQFLNNEIQHKPVAG WQTPTDKMRVVASNGWLYSAQESQGLFIYEIQLHGLKFIKSFTSMDLYDSQKDLFRIIDV AVYEDKLYVLDYYNGITQFNIHFDGTFTKNEKLGLIKYSDCKSFSVRGSTIILIQSYQSN SEIVELFIQDDNYLEMRKIYTKSQLRRADILDDDFAIIRGLHDHKIMLIQMPEQYLDQDA KRLDNYFFSGNLLGVNKLGKNNETLFAISPHGFYVFIYRAYPTIIICNSNNVESGLYQAN MQIKSTDCDKKTDKQNHLQYCITNHLYNFEIKKPLLSPEQQQITIYLTIILISIILLLVG CILFHCRSYQIKLENLERSKRRKHSKPPIKLEA >CAK61089 pep:novel supercontig:GCA_000165425.1:CT868011:148104:153498:-1 gene:GSPATT00031320001 transcript:CAK61089 MKFIIFEQLSCLAHLPHNTQPSEFWVIFVAFIINLQYLAIMLPISGWNLWHYSNGHFYLL SKLAQASLIIPYGFESNFNFGDVAFGFVILLLITFVTIIAILVILGIQDDINPHQHYSLR KFIFYFFVLSTTIFQLPILFILISFITIGRTNFNQEQTIFNVKSVLSLITLFIFSIFVFL QQYFLRAYTFVPFNYLQQKFNGLQSIQYCFSILITILYLVDYDTIVQYTQILILFLQLLL RLSDSYFMKPSIPQINQLQFSFNFTLIAILIILTINILTNNEQIFQEDQLVYVIMLLGLM AFHLSYQFYNMKCLSVFDSEVTPFTCIHYTQEMHFKYLITNENQKNKEFFELYLYWKGHK VVCQKHWLKQNYRTDYNQIQRTHQTIFCILNTELERAQQDSKSTYEELQLLYISYVAIFC KKPLLAYVELKRYQSKQQIQSFYFVNIRYQMSIYLQALIKSQQQANQQLFGQQKVAITER QLSIESIFEALQFQDQFIPRVIELLNDKISFWNRQIKGFNNIYELERLAINQSKKIFNLA NQINQYCSIDIKNLDHLSISNNVQDLKLISIFCSSIMNDYYSTQMCETAITEVYNIEHTL QEDTLTNLSFIQERAVILMLSLVKNRGRIINQDKKMMSQFFNYSEQEFAQIDNISGLMPP FFAERHDKLLLNYLQTAKSQFFDDYNQVFGQSKEGMLVSYQLKFDNNFSELDDYVLIGCL SQVKKSSDYLLFGEDGFLIGATENFNSTIINSKDQSYRILHENLSQLNAFLIIPNMMEIL NELKNQFGKESIYIQQDKFYKIWKYNNNKDLYEMSIKLGYSSKQNSFINDSSLFKSRASF ASIEKGKADIQIYQQLGQSVSDTKKLKGVSILQALTIYNGTFNSQLMNSLQVLESKAKQQ YIAKINIVYKIIGKKENRRSYFILEINDLRKADELFKTNESLMNNQHTFSIQTTNKGNMH TFQDPSESYLGSLNVDKEEVTPHMSKQHQPGFARLLSKYEGKNQVQQNYMDLLGMESARD SISYGPLSQRINFISPSSKQQHMQELIDKDFMDLQNQLELGNDDIGNEIEVADSSKVKSN SKDQNQKSNNLMEILQNNRLNQKNEDLEREAKKSKSSITSGTSGISAISTIKKFQSKTEM TNSLRVLVIINIIIILIVSTYIIAHLMMITSYNNQTQLTLSNINGPTLFNRYFFKVFTYT WSLVFNSLGIIESSDYLITQTISQMADLASIIFDNLRNMYDTFIGIEQSGMLSSINLDFL YQDNENVTYTYFINIISNVADTLFQALKYNIKTLVQLIDRNYLDNLLMLRYNLKNVVNMN FQLIDSLNELFFEQQQSQIEEFQTQIIIEILFLILVIIFQLIYWKQIEQYSQQILILVGR LQLSQAQEMITRFTAVTETLKQLSGKYGWKKQNYYKLLFFPLNQIGIDTMQTQSRVLKEY QLSQVGGSTIKMNHMIEDRKNYKKNLNVVLNSKINNTNTSIWSATIIITITCIIFLFFLL GGFLLFKQQQSDLLPTQQLTLSFIRFTSQLDIVVSTAFITKTQPILYNKLVDLNIYSDEE IETFRDQRVIIKIFISLYSIYFENITQIYENIIESNKITDADENTLLMLFSNDFCELLSQ DIPFCNYDNTTNFNEKYGTPTRLDDNRDYLSKGIQGVVSKLDQFFKQNYYYETNQVDYYP DLSVVLELFNTREFTNTLIEHFLDTTDGTNLFVDTILKSVLSITQNDLNLMYTYYLVTGL LLISIHFLFYGCWIHRTNQRLIELRLILTNLPIEVLTEQHTLSLLKKLQ >CAK61090 pep:novel supercontig:GCA_000165425.1:CT868011:154325:155476:1 gene:GSPATT00031321001 transcript:CAK61090 MKVIVFIALLTCILGQQPEMLEQIAQNSFGRQILQTIQLELTQENAARQIYTMLNKLFYD LRDEDARSSKANGERQTQCADQFASINSIQEKAVVAKADYERQIPGKQEELANKVAQVEQ KNAEIQRNDQLQINFSEQRRKEHEAYEHKRDELIGLINGLKQAQQIIRQLQTPHPGGALV QLKDHHEQLLKSYAANSEFKSMASLLMELCTDTKIHSDNDNVQVIVDIINDLIESIYDVQ KREMYAEDWAEKFFEQDLLRFSKENVRLSGQIADDQAAAEFAQQRLEDLQQQTLLQQIIY DNKEVERKSFEVACKEDSNAAEQARVSRNEQIQIVLQLLELFENNFNDRTRAALLQIVV >CAK61091 pep:novel supercontig:GCA_000165425.1:CT868011:155513:155905:-1 gene:GSPATT00031322001 transcript:CAK61091 MGSCCMNKIDMETLNTNLSSEVSKKIVINSPIVKNIIGKQLEIIEIPSYTVLDSVFEYEV LDNNESEDSQSTEKKRSRNGKGILKQVTAKSHGSGGSSASSSKKVSFCRTTTFFEKKSRK GLMLQSQTLS >CAK61092 pep:novel supercontig:GCA_000165425.1:CT868011:157108:158663:-1 gene:GSPATT00031323001 transcript:CAK61092 MQLYTSLFRFSRLVPRQRLTLNQADPTIYGLIQEEIKRQRESINLIPSENHSSKAVLEAL GSVMSTKYAEGYPGARYYGGTQVYDKVELLCQQRALNAFNLNSNEWGVNVQMLSGAPANF AIYTGLLSPKDRIMSLDLPHGGHLSHGYQTETKKVSAVSSYFEVMPYRLNEETELIDYEQ LEVLAKAFRPKLIVAGASAYARIIDFQAIRKICDSVKAYLLADISHTAGMMAAEQLPSPF PYADVVMTTTHKSMRGPRGSLIFYRVGQKEIDKTGKPINYDLKTKIDQAVFPGLQGGPHF HTITSIAVALEEAKTPEFKNYQKNVLSNSKKLADELLKRNFSLVSGGTDNHLVLVNLKPK SIDGARVESILQSVNISVNKNTVPKDKSALVPNGLRMGSVPMTSRGVNQDEFAQIADFID RGVAIAQKVKGEAGPKVQDFKDWLAKNGDQHPDIQKLKKDVVSFSSQFPVPGLD >CAK61093 pep:novel supercontig:GCA_000165425.1:CT868011:158831:160105:-1 gene:GSPATT00031324001 transcript:CAK61093 MLANQEFSCRIPHHKVINKKIVYVVIFVSHSYCSAKVQHLRYSDAEKLHNKIDKQLQQLR QSVNLPSFPGKKLFGSHNDSESGILRRRSDLQDYFNQLLKIDKLYSLPCLKQLLPDINDD KSDLVQYLEDRPLQQYNFIIDSYQQLDMFVLYFVQVIDNFNKSKWRFKTRYSDLRDIHQA LKEQTKIVLPEFPKRKIFGITNDDPQEIETRKCNLQAYLNSLYAYSIIFKQSNPELMNSE ILDYFIQNSRRESKKLQKYDEQKILLKMKLKQKQQKEKQIEQLQQKLLHNNENSKNEINT TDKPRLNSIKRLPKKGLFTIKEQLDKLEDDNTTLIRYSSIPSNPLTQFGQLLIAKQSDQT YEKANSEPEISNQDMDFEQLDENIQIQNEIMPKDQEGQ >CAK61094 pep:novel supercontig:GCA_000165425.1:CT868011:160214:164623:-1 gene:GSPATT00031325001 transcript:CAK61094 MTFCVERPRRGKPNTGFKEESSEEEVEQQQESSQEQEESVFDPDIEQILWRREQEDGEVQ FYVKYKTYSYLWCEWKSELQIISKDKNGKQKINRFNRQFEKKIKEYDPDELLEMNYFDPS FLEIDRLISSTELFPIIHPKKANEIKGKWNEYLSIIISKLLNYSEGRVKFGIFFMQPVNP DTDGCPDYRKIITQPMDLGTIYNRLYLEYYRNSQQFWYELGLVFKNCRKYNSNPEGEIRK LGDALGECALVLYFQWFEYTNQKYQQLIKLKKCEEDEEYRQQIISEVGKEKLEEEINQEK IQLLGDIELWNPGTQNYQWFEDEPNFQPKLTIERQVDLFQNHEQLYLVKWKNLSYLQATW EFITGFPNSQAKLFEFRSFTRSLDKDSRQLQIQNCRRHKQILDYDQKKKNRISQQEILDL KTQLYQLDIGKNKKPYQYTPRTQTIYKDRKLLRDYQLESLNWMIDAYYNNRNVLLADEMG LGKTIQSIAFLNHLVSMESCRGPFLIIAPLSTLQHWKRSCEDWTSLNAVLYYDQQGQPGR QAIRDYEWFYTDISLKGNTLPSQELYKFQILITSFEVFNQDHSTYIQQIPFQFIIVDEAH RLKNQNAKILASLKRLVCSRIMILTGTPVQNNPEELWSLLNFIEPYQFPNLNQFKSQFGD LNTAEQIEKLNKTLKPYILRRQKEDVEQSIPPLQENIIDVELTNVQKTLYRALYERNKSA LIQGFSQQTAQIASLNNLDMHLRKLCNHPLLLKEMHSDILEKSKGNEGEYQKILIEYSGK MVLLDKMLKKFLKEDKKMLIFSQFTNMLALLEEYLQFNQIKYEKITGDIKQIDRQNAIDR FNDQKKGRQVFLLSTKAGGQGINLTAAEIVVIFDSDWNPQNDIQATARAHRIGQDKQVTV YRFITKNTYEAKMFERAFAKLGLDQAIFMNGEFKSSDQTNRKLSKKELEILLKQGSLGLL EHFGQESIDQNIDDILNNTRVAKYSLINGAYTISKQTYVPDQANQQIDIDDPNFWQKVLK NQESKSQKILKKLQEDQNIKTFQQQKEIMLESSECVNNIIQSKLSREGYDADEENTILDI LNLIEFSKFFEKDFKNLANSWILELSKPSRRFKKITENDLMINTAPTSQQVIETPKPTKN FELNWSEYEEYKKLQTKSSQDLQIRLCAICEKQKCDLFCRGFCRRQFHKECLEGGQYNGQ TQGEINIKYVCQDCEKYKGTCFVCLKQGTFYPNQSKKKTQQDKQFIDDDGYYDNQGEQII VATGKITRARAQQQLQQQLSELVKCSLNCHKLYHFACVQTSKNFKILDGERQKFKCALHF CEKCKDKSNDDNQKMIQCLRCPKSYHEKCAPKGIRKVTKKFVICPAHKEELIQPIIKKKV KIEPAQTTQPSRKLKKINNGSDVEQ >CAK61095 pep:novel supercontig:GCA_000165425.1:CT868011:165209:165319:-1 gene:GSPATT00031326001 transcript:CAK61095 MAEGERWIWDGKKSENGHGWDKKYLENGRERVGMGN >CAK61096 pep:novel supercontig:GCA_000165425.1:CT868011:167019:168188:-1 gene:GSPATT00031327001 transcript:CAK61096 MNNKERITKYKEKVNDVIQKMIYELFKSQPENYIDWMIQYLESVRFQSSKAFSTKIAIEY NLSSEEEENEEVDELPLPVKNAKTFRSSVSAEVFGVHNKKENFIPRVIPKTEEQKQQILE KLMKVFMFQALGQHEQEIVVNAMEEKHYTKDDWVITQGDDGAELYIVFSGELDCFRKMKP TDPEPKFLKKYKPGDMFGELSLLYNSPRAASIQAKEDSVLFALDRSTFNNIVKDATIKKR LQYEEVLSKVELLQSMEAYEKTQICDGLKEQTYQKGEVIIQEGEEGDKFYMVAEGTLAAY KDNNGQQVEVLRYQPGDYFGELALIHKLPRQATIIAETQCVVVYLDSNSFLRLLGPVEDI LKRNAETYKKFIQN >CAK61097 pep:novel supercontig:GCA_000165425.1:CT868011:168536:170375:1 gene:GSPATT00031328001 transcript:CAK61097 MNLVPQLNLELQPFNNQQVPLLLHSEKDNMEEKRSFFTVLFFLDIYSMMKVLESRQFSIL KNTLLKVTKSKIQDIRRDLSNYMNSFLVNYKRTVNSYNRFYFSFCVNIRYHISSPFQRQL CMPWLIKTVIDYIQSKHRNENEAIYLILSVLFLRIINIFTQAHSRRMILCIGYDAMSVVS MEVMRKCLRISLLSATEWSSGEITNLIQVDAQKLILITSQISSVLMIPLQLGISLYLMYS MIGLSFLIGCTIILIMILFNIFTGKQIIKSQRTLLKDKDERTKIANEIFSSIKFIKINAL EDHFLSKVSKARGKEINSIKNRLYYQAVNIFSVWLTPQLILSMTFGLYVYLGHQLNPSTT FAIISLFQILQQPLLQLPIAINSLIEAMLSLKRISKFLDTKDLMTNYTLQQQLMYKMGYF TGTTTSMVLQNQIMILKIKIRGIDDVKEKFKVNQQESPILKNINLKIEPGKFVSIIGDVG SGKTSLLQALLGEMVYIEGNNSPRIKLNGKLAYVSQKPWIQNATVMDNIIFGKQFNQQQY ENAIYYSCLTQDLQILINGDQTMIGEKGINLSGGQKAKNQFGQSYLQ >CAK61098 pep:novel supercontig:GCA_000165425.1:CT868011:170440:172592:1 gene:GSPATT00031329001 transcript:CAK61098 MKECLLGQLKQTTRILITHALNYCKYTDYMYLFEKGEVTQQGDYRSMLKSQQFQEIKTKF NNNNIDDLEDSQQIFNPSDDLKKPPYQDCNTNLDSSTITALSTKSSQQDEVDDLMVLEER QKGEINYYVFLQYFAHNGGCQSYSLVIVIMIVWISCYLGSSIWISNWADQSSKDEEFSRN TLYFSIYFALGFMQAFFAFLRAITIIYQSIKSADIVHNKMMKTLIYAPQCSFFERVPQGR IMNRLTKDINTLDTEIYWNISWLYTKASQLISNTFLNVYASTFFIVFPIIGFFLICFKLN RLYMKVSRELQRLELISKSPVLSCFTETLSGLTTIRAYQQTHTFLYNFSRKLDNNKKIYY KQVESNAWFLQIMGLSSLIVNLSAIVYCIYYTQNPAFAGLLMTYASNIDINILQTVESLS LLENGIISFERCLAYTNVKPEKRNENNLRVQNWPIHGEIQFINFSVQYRQNLPPALTNLN FKINPSEKVGVVGRTGAGKSSITLSLLRVLESLEGKILIDNVDISNLSLKQLRESITIIL QDAVIFNGTIKENLDPLGLRSDQEILDSINQCCLNRLVSNREGLMTRISEGGDNLSAGEK QLICIARAILKKTKIVIIDEGTANIDVETEHKIQQVIQQAFKNCTVLTIAHRINTILHCD KILVIDKGQLKEFGLTQELLKDKKSTFYQIYQDALQNEAH >CAK61099 pep:novel supercontig:GCA_000165425.1:CT868011:173260:173928:1 gene:GSPATT00031330001 transcript:CAK61099 MIFDFDQEVISQRKNKLKILLNRNNNKQRIFGYHQHSIVKQVFEQPKRVFSYSRSIRHFQ EIMSKTGSISLNKKAITLFIRRDEHTERKPYDEIINATNSKLIQTDDIIPDEFGYRTERN PLYKQQSPYIKQRKFSQNLNVKDKIPKKPYIQHAIESQVVPTSRTNRGLKKHTFQNEILQ QKVNLITSANLKEQELQSNKSRQKQIDLYSNDNIFATITHRY >CAK61100 pep:novel supercontig:GCA_000165425.1:CT868011:174275:176367:-1 gene:GSPATT00031331001 transcript:CAK61100 MKLLFIALLVLANTQWITSYQAFQSDEFDSLGWYIQNQHQGWVIQNQFEATTDIITKCSG KNIIGGLKTFGTKSTATKLIKIPPHYKLKFNLQLWKIGEWENDVFSVYVDGVPWEMKWGF TEGGIRLCGGQESKNSDKVFDVEFDILHNSPTVTTVLATTSQGKADVQAWGFRQMKISFI PCPSECGICHNDKVQECKHWNQEALSWFHVDTKLEGWKLENGKPKGYECSGIVVFGGYEN IGAKALLSNTFTNMVPHSKVMVKFTFWKIDLWDNEQFYVKIDDKQVQKLAFQKTDGIDLC GAQQTNPGYGEKIVSIEIIQKHSSPSLTIQFTTSLKNDPDEQSWGVRDFFLFAAQCTKFC DECIGTAENECTKCQPTHTLLDGKCVNKNEWYILSKEFFTPEQFNKVDGWTFQDVDPAGP NPPFTKCGDLGLVGGYLAFGKKTTVQKVFNLPKHDFIRIRATVYKIDQWDGEELTMYVDN QEFWSQFLGWNDPGQSDICGNLNGNWKERIMNIDKIIPHSQSELTLDFKSTLQKTADEAS WGFREFLLLYSPLKECIEVFSECNYQGKSQKVCDNLESLKDSQIDFDIKSIKIPEGLKIT GFKNPGFKGNRVEYETNQECIDKIEFSLIQKQLTGNLKYLNK >CAK61101 pep:novel supercontig:GCA_000165425.1:CT868011:176392:178380:-1 gene:GSPATT00031332001 transcript:CAK61101 MNGKLVQSLINLFPFQHQDMMIKCVFVIGFDKLIKKGYVTNGKPNIDEIIDYANKLQQFG RLSQVQEPCDIRHELDQLKDEISKLTQQIHYNNSIIQRQTQRSHDRLIQNVSPIYDDRKR SASLGFKQQQQMVQKKQREITPKVTETTPQTINQIHITISKHHLDDTEIKSVMRDNLIKD KPPKPIKFISENPQKKSHFADYQHTDTEEPPVFEDTDRYPVRDNYVPQYIQYSQHSQQSQ QQQQQLLQQSQVSSQSNTKQSDQIYAQYLQYTQYKQYEQYELNICTITIKLKIIITNFQI LSWSTKIPEQISQIQIFIEEENSQSYMKQQSSHSASSKGRASHRQTKTQSNTQAVSKIKA LLDQDKKLHKQHLQDIASERNSITNSFVNSSEKLKMMHQDYLSENNKLSTQELNESIHKR AISNSSFYKQQQQQMSPQLDYEPKLKGQTQYNQLQGSISMTNSNRGSSFLGNHQIQDNIL IYSKYKQQILDQYSPKLNYHYRPSSVGKQQESELKRSEDFNRSSVSSTFSMFNPNEELKT FFQNDFLERKRFTQQGYSDMMKQSSLNSSQEHNQHHSKYITASQIEDQQNYSKNLYSPQQ YQNVDKSNVFNNNRLSVTRILNNSQI >CAK61102 pep:novel supercontig:GCA_000165425.1:CT868011:179034:180076:-1 gene:GSPATT00031333001 transcript:CAK61102 MNQPFTNQLIFSHLLHQPQPFTQDFPYQNPLQTFYSQFQVACNFAPFHNQQSQPYFQLSL PFNRHHDYVTYSDQSTSSQKTTMAMANSKNSNKIQDPTLKLVLNKQTLREYILMVMDDDD QIHQISQNLREINQVQLAQTLEILVTKQKQHLKSREELIKFCLRKAFRFIFQKISERNSI SKTNLKTARQEFLKIMEQETHIPLVLPFGKNSKNKTMNNDFLKEVFSSQIFQSFYKEFLD HLDDTIQADRKKKINKLQDKIWLSLRENRTTSIDIKRLPWSLKNTERVKSIAFELLTFSE NHNK >CAK61103 pep:novel supercontig:GCA_000165425.1:CT868011:180329:182083:-1 gene:GSPATT00031334001 transcript:CAK61103 MIQTFARRALQPQFRSLARFGQSNEEGDVSFLEQVYQYFDKAAALTNIPVDMLNYYKKTD CVIKFHLPLVRDDGTVECIPAFRAQHKTHKLPTKGGTRLSEHIHTEEVEALSLLMTFKNA VLELPYGGAKGGLKMNPKKYSKREIESLMRRFTIELAKRNFIGAAIDVPGPDLGTGEREM SWMKDEYTKFAGHLDINAQGCVTGKAISQGGISGRTESTGLGVFYGCREILEDYEFCTQA GIPAGLRGKSIIIQGYGAVGYYAAKYMCAYGAKLVGVAEWDGSIYEENGIDPDELQAFKE SRKGVKGFPKASEYHEDESVIYKECDIFIPAAFEQTVNRTNAPKFNCKVIAEAANGPTTL AAEEILIKKGVKFLPDILLNAGGVTVSYFEWLQNLDHIRPGRMTRRWEETSKYKLLEAIQ ISTGLRVDVTKNQQAAKLLEGPSAKDLVFTGLEESMAVAVQKTKETASKLNISLRMAAYY NALMTIHQHIDTAGLR >CAK61104 pep:novel supercontig:GCA_000165425.1:CT868011:182373:184509:1 gene:GSPATT00031335001 transcript:CAK61104 MSHTTKEYLPVVFTDLQISTKIQLDDFYIEERLPILKQSHHSTSQPKISKVTSPSKTQLE ILNYQKNIKKIVFPNILQRTKEDIKKYRKHQLSLISDEISNSQPIIELDHKKVTKTNSKS PLKFHSQQPSYISNQPIRTDLSSLIFDNNFEPYSNDSILKFHKLSPTFNENSWQKVDKVN RINLAHEVQKEIHHLERFNTYWKPDMINQYLQLKIVQKGVNFKRGNKRIFILMYLPHQTL VLKSSQVEVKNRYTTYKLSYSSVSLQLNALVYFTPIYDKISNMSELADNYNTWTKHFLDL LHYKQHINTVKFFFLDGKELQTLNQIPSYEKFVYCNLHGDFDLWSRVGQTLNLGGSFQLD KYVKLATKMVDLRSKDDIVKFFGKRDNFLPFYSMAYNQTDEGVKSPLLQSIEIIQQDGDD EQLNDEVIDQSIFQMNKNQQKTYLKTIQYFIQQNKTKYSVHLANQEAGMRQRKENIKGIR RKSIEIKLKGQKQILDPNEEAHIERKLLHQGTQSIEEIKEDAVDKVEVFKNAIYKKLPKK IELTNDPFSSFSSKDNKKFKEIMKLINIEQIVLEKKVSRQDVMHYLSLFKALMDSDNQNY FTIAQLDYPSLYISREQLQKSLPYIVLYKNQLNTAKLNEIYERQYNYVEFLEFLDIFTTE YIVSDKELERLKNQKQDPY >CAK61105 pep:novel supercontig:GCA_000165425.1:CT868011:184518:185554:-1 gene:GSPATT00031336001 transcript:CAK61105 MFVVTKIGQGYSADVQLVNRCGQLMAMKVFKQQQSSLYENEIKIMQLLSNIPGVIRVREC ECDGCIMMDYAKQGNLLQYLKLQKFTEEFSRHYFKQITQIISEIHKKGVAHRDLKLENIL LDDNFNILICDFGYAIKFLDAQGKRTKINTYVGSPSTAAPEIFLQQPYHGIEADLFQLGV ILFQITSGFCPFQTANIKTDQVYQLIYRKQYNKFWEVQQVDFSPELKDLITKLLAFNPNQ RLSISEIEQHPWILKCGVDDSLIVHEMSNRYQQILQTSQEKEQ >CAK61106 pep:novel supercontig:GCA_000165425.1:CT868011:186610:189662:1 gene:GSPATT00031337001 transcript:CAK61106 MDRHGIDMIYKTDSSRLMTMRNNTETLSNILWLILVINNAGFESRPGLGLQLIEQQIPES CADEDNELYASENSSVSNQSGHPSRRESKLESISKVMKETQKIQSDDLHQPIGGWDNKIW QKGALRIMTYVIRFVMALLINSEKFKFTFMKKRQFYAINDQSAVFDYYEERFLIRGKKKL SYSQMLKLRIQNNCLYQSCHIFLQYFVYKIIFIIVPTSTPKLIWDVFLLIILSIQMVFVP LKICFQMDVSQELLQFFLFTLPLYVYLIEILLNFLTGFYEHGVLVIDQKQIALHYLKSTF CYDLLSVMPQFISVISNESQIFEILLLIRLKRLILLADTLEETLNLRQNYQTFIDVVRLL IQFLFLSHLFGCVWHYIGVLEEEFGYDQNWIKQKNIDGESWFVRYVFSIYWSSITTLTIG YGDVIPVSSVERMFVVIVAVVSSVVFAYTISSIGNIFSQLNENKKNQRHKMFLIKKFIDE RNVNKVLANKVKKFFEYFIQIDHTSDNECVKLIEKLDPTLKTELKIDIYRKFIQNSKLIS TTFSDLMLKEICQLVKEKQYMPDEFIVISDQEINELYFVLEGEISLIVELNKQKNKFSQL SLIKKNEVLGEKYFLTNAKLPFSAKAQSFVRVAVLDKQQFHLLLQKYPEEFEKYKQALNK VQLQERIKLSGCELCYQNHKVLECPFVFYQPNIRFVVKRQYAQEQARQLHERRQAKSHNS KSDRLGIQYRLVTYALENNLIQEESINDSIIKKMDLQCLEHQKYLFRTCTDSSINTLIKN NLNPTFQSGGKESPHESQDQIMRQNSNNTHNPRPSFTGIGSTKQMSTLKFIKMGSKKLDK QESCQKIELKVPDKVVLQDICEHSSDSDQQSPDKTKLTKQNSFTFCASSDFKTQLKIEYY QKLIINELDGLDKYQEYQFYYPQYNVERVIETINEKYKLKRLKQSKSLIKRQSRYLSSYP TISIRRKRSGIVGEEIIHEN >CAK61107 pep:novel supercontig:GCA_000165425.1:CT868011:189686:191778:-1 gene:GSPATT00031338001 transcript:CAK61107 MNNNKRQTIEDAMRAEQISYNPQQSAYQNYYGYNQYMPYGYQQMMPNQYQYNQYYLYNYQ MLQTQKQNNLLGNQINTQRPQQQYIQQSNPALNSQQKVSQPLQQVNQLQSQQQSNIQQIQ DQQQKDDMTSRIEKEQNQFLPPVDVSQLDQPSRWENEIKIYSYLYRKLNVEMPNLISARF CNSREVFNEQSSVTVKQYVERAFNKCQSDDERNLMEQYLKATIAEAKRKNEYSIRDWSKF PLPTLSRENQIRTQSLFSQSLQLKQSTAVALNSLGQTSKFGAPSQAQPVGPAQTSTSIQN LMSLNDQLKQQQVDAINQNHMKNKKIDYNIDLAMLQIGKLQPNATIQIKQQQKQIKKKIE EEDQFISTNMKITGTCEDLEKPYYRLTGLPDPNTIRPEHILKKALNHILEKWKNYQADYN FTIEQFRSIRQDLLVQHIENRFTVQVYEENARICLECGDFPRYESCWTMLCDLYDMISIS EGKDANFIGNKVEFDSYRIVYLTMLNKQDQLVKILHQNSDDQRIKFALGIRESYKCGNYV KLFKDYKEASETMGSIINHFLVRIRVKALKQIVKTYISNIELEYLARLLAFQDVEQFKQF MQYFVRFDETLTYLLTKQSINAFDNINFDKLNE >CAK61108 pep:novel supercontig:GCA_000165425.1:CT868011:191924:192451:-1 gene:GSPATT00031339001 transcript:CAK61108 MQKSKDSTDEISAKFKLLRLTLILEKQALKSPLNILKIYTNIKWRFCQTTDFDYQPNQWN EIRLNHHCESSPNISKKSLKYAVQEVQIKNYTERVHNSQLEGRRTSQQLEQMVELARVNS QKRNSIRKPVNLTKDVYQNIYEFCLLFIFFGFIGSMYYVISQQLRKQ >CAK61109 pep:novel supercontig:GCA_000165425.1:CT868011:192658:193689:-1 gene:GSPATT00031340001 transcript:CAK61109 MEQEKTFEEQLEAAENKIKDSLENLGDVEVRDAMLEKASLQQKYSKYEDAIKTYIETLPK SVGVGKKMDVHFLILQIYLKQRNLEKFKDHLNQQQILLDQGGDWERKNRLKVYEGIYCLM IRDITKACKLFLDSVATFNSSEIISYNEIVSYTVLTSIICLDRQSLKKKVHQNPEVVGVL RENQVLKSFLESFLQCDYKTLFQKFAIVNENLSHDQYLSIHRKYLIREYRVVFYSQFLES YKTVTLNNMAKAFGVSIQFIDRELSELISSRRINCKIDKVAGIIESSRADDRNQLYNNLI KQGDYLLNRVQKLSRLTDL >CAK61110 pep:novel supercontig:GCA_000165425.1:CT868011:193919:194311:1 gene:GSPATT00031341001 transcript:CAK61110 MNKINLQKKQKQKQKFIPLGFVIKYDPPVIGLLYKRSVQETKKKVYNIHLQNLIRLDDEV EITKQLFEEHPEFLDPDIIEPEQVLGLVQRLIEYRQIMEQDDDNEYQDEETDSHIIDEMF D >CAK61111 pep:novel supercontig:GCA_000165425.1:CT868011:194339:196484:-1 gene:GSPATT00031342001 transcript:CAK61111 MNKINHCGVNSLVYGQLLQVKLHKMKRTQMILLLSPNEVRLIQMLDPQGTFSTYFDLTQI SDIQQKEESQLKIINSKGAYIKLYFQSAFQLITFREGLDYLIKEANRIQKQLDSYNQIWS SALKLITQSDIDMDNKLNFKEFQFLIGELQIEIPERKLIQVFEKNQKNNQLDEATLYKLL MDITRRHELKELYQKYSSKQEGMFEDPLQAMLMTDKDLQQFYKIEQGQADYQPKRKLYNF YDFQNIIFNDENSIFQPKPLDQSQPITKYLINSSHNTYLERNQLTGESSCFAYQDAFKMG FKCVELDCWDGENGEPKVTHGHTLVNDIKFKDVIITVRDFAFYKDDNPAILSLEMHCCLK QQKRIAEILQSILGDMLFVIKDYKQEKMSTLQQLQRKVLVKYKADDQFLQEKLQLHSSTL FFAQSHDDMQYKSQEFDDHKHQANIKQFSQTLDEHHTKICRELLEITSLYAVTLKLDKKP ELVWIVSSVSEDKIQDIIKKSHGKFFEYVENYFVRIYPLGLRFDSSNYDPFPSWSAGAQM VALNIQTKDLFMLQNYGMFLNSSYVLKSNQDVKMNIYVRIISATNLVWEEEKRRQEEIVD PYIKIRVAGNHDDVNKSEKWRTEVVQDNGFHPIFNYQCSIQLRHAQQDVIYFQAYSYSIL GDSLLGQYCLSPLNLRTGYRIVPLLNSHFKPLANCYVLVQIKIEY >CAK61112 pep:novel supercontig:GCA_000165425.1:CT868011:196506:197533:1 gene:GSPATT00031343001 transcript:CAK61112 MKSPITKISAIGTGATGFALFSLLSQWYQLKKKHNQYQLINEFTPTSILNYFNQSQKTTI EAFIAGELVSESPIISKVPLIMREKKIYYIYENGVKKIIQTFLHGASQLSIADYYNKIEI WRNSTLNYQLSMSHIWDKVIPQQLSFLQRLTNFILKIINQLKIRKLFFNGFPTGLLEREY GIIANEFYVIYGEIILDKKLNKMFLQNPKYILKSKKQLLFLIQKQIKYKSSMIVIVFILF AIWSCIFGCNIKNFIIHLLKERQKAKLDKLRGQKYLEINNYECQVCFERPRNIIFKPCKH LSICHECSQRLKKPQCPICKQQIEDKIEIFFT >CAK61113 pep:novel supercontig:GCA_000165425.1:CT868011:197567:198618:-1 gene:GSPATT00031344001 transcript:CAK61113 MKSLMLQKGLIGVVAIGFSYGVYRIFRGLTKAELRESMKKQIIQCYDASQNQGFVEPFDI LQTFSKNEQGIEFHIKLVKSLAKKPVGHQQNINPLIEPFQPGQLVMRLQNYNILLNKYPV NPYHALLVPSQFIHQTEKFSKEYLSLAYDLLNAVEGFAFFNSHPEAGASLDHKHFQIVPK SAYQSANILNHIKEWWFEKSVRQPNKFTKLRCLKKTKHFICFFNQDLLQSPNCDERQQQN LLNETYEKLLNKCQVEDIRELKHNLIMTQEFMMIVVRKQAAFNGVAMNAVGFTGSLLAKN EQECEKLKQTRIIEILENLAQADESFYSDVDEC >CAK61114 pep:novel supercontig:GCA_000165425.1:CT868011:199782:201029:-1 gene:GSPATT00031345001 transcript:CAK61114 MSSALHIHVGGAGIKMGDMLWKLYEKEYNETTQKNYIYDEFEGNHYPQAFFVDTDDRMIH EVQRNQSVKFKKHSFFHGTEDASTFARGLCTIGGGIEQQALDCIQKQIETMDRLDEFVIT SSISGGTGSGFLSNLVSLLKIYYRKVRCNGFIIFPSSKISNNVVAIYNAVLSMENLMYSF NSITMFDNESMYNFIDRQLDLDFVDYSQLNNLVAQIISAYTGIRRFNSEDNSIFFKNLCP HPRLHFLIPSYSKMTLINDYARKELEQREFIQLLLKKDQKLYQCSTTPNYICTALLFRQK QLNPFYGKLDQALNNLNNYFGQSSQIYQCKSSNYQVLPELAEMKQTGTFFSNDTSIVSSL QALGENFDKYFCKRAFLQYYKPEGLEEREIIKARYDLENLIKQYQKFQQADNQQN >CAK61115 pep:novel supercontig:GCA_000165425.1:CT868011:201967:203037:-1 gene:GSPATT00031346001 transcript:CAK61115 MSFNKASFDIKLIKQPGTVSPRKCHISEIVGRCLLVFGGIDNRGNYMKDLICYDIQSSKW IPLNVDSHELYANGIAFHKSSLVTQNKFCDIYRQDPDLKFSNQGVYVFGGQDKFGNYLDT FIRIDVYQKPIRIELVDYKGSSPMARCQHSMNYNEALGAIVVYGGKNDDNTVEGFLNDLY LFDVRNSSWIQLELKGAQMPGRCGHSSSCLDTKIFIFGGYNYNGFVKSDVLVVELDSNVS HQLIQSDKINQDKPRNSKIAVSFRQLPEKSNTIDKTNERQKEIEKLSFKNSHSFLPMPRR VTMLINAMRFGQEPKKSKDLSGLNSNKNSPKDGNRRPHRNLTVLVDGK >CAK61116 pep:novel supercontig:GCA_000165425.1:CT868011:203134:204009:-1 gene:GSPATT00031347001 transcript:CAK61116 MDITTLFEKYKKTHNKLSPLSLNQFYPMAINENCELLQIQPDSEVQSFISFRELNQGAVS ELKRSFQQSKMVWNNYSKYLFGEPSLDEQELETVDQEKFNTSRKLQLIHNRETFHKMRKQ YKQQEESQLNQVKQALKKIHSQTNGIKVYPSLAYQDQQKLELLRKQVRLGGVQQLQERNT DAQQLQKRILESQVALSQIKKQFAYAFNYDYNFWGPCSRDGSTMVTFDRKLYLYGGSSSV QQEDLCVAIIDKCNMEQQFTQSFTSGVLKNQMKNQSMGIEFIILLESTRIL >CAK61117 pep:novel supercontig:GCA_000165425.1:CT868011:204082:205805:1 gene:GSPATT00031348001 transcript:CAK61117 MQKSWETLCKNLRSPFLQHFLDIQTLIDELAQQIDQPQVSHEIAIQIQEMISQIVQIIDI EHQNLLDGFEQSVLLFAQIDSDDRVKQFETLNLIQELFNKLALSYFYSDDLLEEKKVQMP INDGTSTCSDFSDDNFEVEDFEAQVAQELFQQIKGKLQEPEIEQKCYQFQFTIFQEELNI MDFFNDSLELQIKEYDVIVFLNYSGLLQKLMNYKQDNQLEFFVGQLYIILNKIKNDEVDS LIETNCFELKYSRLSQRKFLRCKILSNLYTKLVYEKLDRWRKTQHIQEIQGENLKALRRF IVQHKAPLSRWEVSILSIQDVQINNENILDKFQKLVIALSLPYDLLLENFNILDKLYVNL QQFQKWFKLSSIPFTVSEISSLFKEVSQTYGYYTNSGIKVIQRVDFYQFLEAVYAPNQNE KTAKEKPEVKSVKYNDEITIQQYNRHLQLKVNDLQLQLNQKNATVDQLKKAQQETQQTTR IKTKSNNEIKDKESEIKIELLHQAEINSINNETQQQLKVVELKWKNKTKDLLFDLENKDK QIDQYRQQIEALMKELEWVKTERKTK >CAK61118 pep:novel supercontig:GCA_000165425.1:CT868011:206442:206801:-1 gene:GSPATT00031349001 transcript:CAK61118 MLDNLKLIKKEIKELKDAYQNRRKDFGENQKKWAIAIQNTKTRIENLIQWINDYGQVNVK WDLPFEILEQLDKFDFLEEYIENLKKQVQSCYQQQKYQSQNAKQFSYYLRLRMKNDCIQ >CAK61119 pep:novel supercontig:GCA_000165425.1:CT868011:206804:207756:-1 gene:GSPATT00031350001 transcript:CAK61119 MDFQIQYNGETSIYNTISTYEELIQEILQKYQTIQEIELSYQDEEGDIIQVSNTSDLYAI NEPDKITIQMKARQDEQKQQELEKEKKRQEIKLKKIKEQQQKKQNAIDNEELTIANLEKE IASKLKENQDEILYLKSEQQRLEHYKPDPLPDFEVSLNEARLFDRIKEKEDQLLYIEDKK GFETQLRTVQKEIHDIFHQIYEERKNQHSENYKKWNQTKQSLAKVGHQIELKEQEAKKYS ETSCSKLQNHREKLQKLRGELDKIEDETE >CAK61120 pep:novel supercontig:GCA_000165425.1:CT868011:208274:208717:-1 gene:GSPATT00031351001 transcript:CAK61120 MSIKKRNLSLENTKNKIFLPSIFNNDQDVNMNMDPKLYLQMILNKTTRTQRAKSLQFYNQ IKQQQKNEPIQVKYKLETDKDIVFAFEDQFSYKDKQQYTNKLQIKVAQHKQIKEVKYKEL VNCYCQKFAKKKPSSNLKHTKLNLEFL >CAK61121 pep:novel supercontig:GCA_000165425.1:CT868011:208741:210774:-1 gene:GSPATT00031352001 transcript:CAK61121 MNNNLILLILLLRKPSQQVYDLLTEYLKIHDVMEIVNNPTLQNTILSHIEPELLQITSMN KFHKIIGETLREKQQQKTPGGSVVDLFFRNMLLLFNQMDFNQMLKSWSDFREGQDNHLQN NPFLTDSYIEFLLTKLQTSSSYVNLEELKQIIEQPNLNENCKVSFLKALLYAILRYPFRA IKFSRKYYDMTLNTLVPKKVNHCIMNSIYLNMKMNFYDEALNSLSEGLRFINLCLLNLFE IAYRNNYQKQATLLLEYAVNHAQQLSPQHRLQSALIYGSQVRYKQINYSLLKKKHINWKD IIHQSLKQILQSHSPDIEQSITAYQLVCANNYGNSTMIQNALDQLQQFDQNDQTLSLQLE ALSQIALHQPLYTLSQFQQCFETTYNLSEQSILVILNICLEYFEIINEPISIKYIKQIMI QLLQMNPDPYISESLQIVPIKNPKLRVKQLLKDGENDLEIIKLCKQFHLNQQYLEYKLNK IERNIQQDQIQEAQDKLNNINLYELSQSNCVTQAKFWNLMARTYKNIKYYATSINFAIQV GWITMIQQNYYEMAFIYDQLEQFDQRDYCAEQFNKADSLISQCNQNTNLIFFKINEIGIV EYLYRFLNNIQSLFSCNL >CAK61122 pep:novel supercontig:GCA_000165425.1:CT868011:210995:212274:1 gene:GSPATT00031353001 transcript:CAK61122 MGGKESKAQKNNDTQKISQIYTEEVEKPEKYPPQVKPLEKVSNPFPEIPNYMDMLTQVLP VNKYPKVPNYLTHELITDLKMLKHLLTTASTCLQKSSKINIDQPLYSSRLIEVNDHQNKL KSFQSSLLNEIQTGQLSQIIEKDQEELTKIKLSQVIESLKLIAKYAFYCQGLLQKIPETS KEFLVSLNNLILSTNMNSILLQYIGYYDEGNQAIRQNAQTLFKQFLESQEFSQVILKYLD IVKEQLNNVVPILQNLNDCSNIFQFEEENDETFIQIYQKIQSEPKKQEFHIKQLFEQKQF QSKGLEKAEQILEDQKYENFVQTKLDDSEGSKQFTLLLRQFIDILEEKTENWENVLRVTL MATTEKDKIRLLLCEFSKLQIQSSLHLVHFCINELQYKMDYGHSFAQLQKFVQIIDKI >CAK61123 pep:novel supercontig:GCA_000165425.1:CT868011:212294:213687:1 gene:GSPATT00031354001 transcript:CAK61123 MNAPFPEKMIEKFNKQMEVFVKHEELLLKQRQVYESKLNNVFDRNLQLEEKDKSVHEQIL SSRYQNEQLKIQQERLKHFQELQKQKKDKKQAANELWQQEQKQHEKDIQQKLFEIQQKIK YEEFKRKTDELMSQLDNNLRNINLRYLEKQEKKEKYLNDFINQKQQTLNTSMQITESHHQ NVYSNYQQQMKRIQEICQLKLKKYQSKIKQINQQKLSQIDQLTQKAHQSSEHQESIKYRS YQIYQTQLQKLQNKMNYFQDKINQIEKKRLQQLQMNYLQEQDLNQKRNDVRAKSENIYRN KSINILNKQLEKEKSFQLVQQQNQMELQQKLQRLNEKWQTQQNQVQKADQLKQSYFSSLE QKITQRDQRLQDQMYQNYHNYRQNKLDIQKRRLKVIEDMEKQKRDLLLKLDQDKVPFTNT SEMKYLYSTPKQQQSKLFIT >CAK61124 pep:novel supercontig:GCA_000165425.1:CT868011:213709:214994:1 gene:GSPATT00031355001 transcript:CAK61124 MRKIIYKFSRPTYLDFQATTPTDYRVLDAMLPYLTNQYGNPHSKTHSFGWETEKAVENAR SQIANLINTQPQSIIFTSGATESNNAALKGLYGFYGKQKNHIITTQTEHKCVLDSCRYLE EKGVEVTYLPVDSNGLISLQQLQESIKSNTLCVSVMLVNNEIGVIQNLKEISRICHQRGV YVHSDMAQAIAKIPVDVQDLDIDLGSISAHKLYGPKGIGALYVRRKPRVRLQQIIHGGGQ ERGLRSGTLAPHLCVGFGKAAEIALTELPYDIQHVDKLYNRLLTGIKEKIPQIQINGSLE HRYKGNLNVSFAFVEGESLIMAIKQVAVSSGSACTSASLEPSYVLRALGVQEDMAHTSLR IGIGRFTTEKEVDFLLDQLSGAVRKLREMSPLWEMHQEGIDINKIEWTQPH >CAK61125 pep:novel supercontig:GCA_000165425.1:CT868011:215153:216813:1 gene:GSPATT00031356001 transcript:CAK61125 MSKHRHSKDKLYVTYSEHMLERGGKKENKGTPLTRLPFDHCSLSLEPFKNPVCTSEGHVF DIVNIVPFIRKYKRNPINGQALRTNDLIQLKFHKNDKGQYHDPISFKVFTDHTKLVAIKV SGNVFTSDTIEELNRKPKFWKDLISGEPFTYKDIIVLQDPKNIESRTIKNFDFLKNNLKL DQSTEDDVYVNLNDSGKRIMEMAQEQNVNRQKEQKDREKQSFEEIEQIKKKVKEQMESNQ LSVKEFLELSSKSGDFVSERYATEHDSVSVTSTQMTLKTKPLGQVQYRNMNEDEIRKLLY ERIRKSGQKGYVQLITNYGNINFELHCDLVPMTCENFLELCEKGYYNQTKFHKLIENELL EGGDPTATGYGGESIFGKPFRIEINNLLSHSKAGMVSMGNLGATHQTSHFFITLAECKKY DSKYAVFGEVVGGFQTLYQINKLPTNMWQRPEVTIKLKELKVIKNPFRDMIKIMLQEKEV EKEQKLQDKEKERWLDDEGFSLPTRVSTATQIKGLGLASNLIQQFSTQEQQKKQLKQFSF DSWL >CAK61126 pep:novel supercontig:GCA_000165425.1:CT868011:217092:218138:1 gene:GSPATT00031357001 transcript:CAK61126 MNLDDVYNWILNHKNNYPGKHQESQPRTLQSYKPLEAKAQFHIVIRATAEYIKEKMLNGN GVNLKEFGAFTMEIISDYVKPLQHSGFKMTEDLDIQRADRKHVHKIRPCFIPDNQFKYFL QRYPGKEEVSKPQSQHSIYQKGFGMNFCNAGPIAASCYLGKDVVQSIHYSLVKAIQDLTR LGHDLHIDFGFIKISVVNKDLKYKYEQSFINRLNQTDYELKMRKSDMATSQHWRATSEEK WSKSTLNNLLTRPNPTQVQENYEKSMALKIMSLDLNTAEQTNYSKNQKVKLPALNK >CAK61127 pep:novel supercontig:GCA_000165425.1:CT868011:218173:220431:-1 gene:GSPATT00031358001 transcript:CAK61127 MSNIKGVEQQTKSKYHEPHVENVLVGLRLRPTDSNEKICNIVNEKSVIVKKSNERFSFDN VKLSKQKQVFDESASSKDIFTKMVQPIVQKCLLGYNGSICAYGQTSSGKTYTMKGSNKEP GLIQLSIDYLLQSINKITDTFCTLKISYIEIYNENIYDLLIKDLQEMKPNTSNEYKWETI NSLNQFEDIFKLGEEQRHFGETKMNDNSSRSHVILQIAIETRQKCPPFIIRNSHLHLVDL AGSEGLSKTQAEGLRRKEGFLINKSLLALQNVVSKLKENKKDQFVNFRDSKLTKILKPSL NGNSLTVMLLTISQHNENYLESVNTMRFGMSAGALKNTVNINEIQESNNFQNEAYNEMIE QVETYQAQLQEAQQQLDFYKNQSLDEQNTIKQIKELVIEKNILIEDQLKTITELNIDKQR LQDQINQLQRCNQELIATSNENSNYLNYFRNIVETKGVLNPKLMVECITQTDSCEADQQI KVLEQENISLKQQISEMKNKFSYEIQDVKEMVSGIKKNKEFTPAKKLKFQSNKDLDLQND NQKETKTWLQKSLQKTNQYLETLTLLTTLQTQLIEQTKQNMILRNFLDKKEQEYTELERA NFFLHKSIFDLQEKLSDFQNDEKKENVWKMNSEKSNKQESLIKKRQRLYQSPTKDELKRN LFRQEQRNQIYEKELNKYMKNLDQISKQKQELQQFSHHLYMACIENNIQI >CAK61128 pep:novel supercontig:GCA_000165425.1:CT868011:221204:227153:-1 gene:GSPATT00031359001 transcript:CAK61128 MNYQIKGNFNYHLEIQAAQLNETTNITINAFSSKINQIPLGCKFQWFKKSDNERIKIETQ GNIYPCSIFDIGYKIEAIVQPFEQGYEGQATYRILKNSNFCLIRKQTLPIISQQYEINCN DQNWIFSLEYLENSTTQQKIQYSDQCILSNQNQLQVQFNNQIMKFKCKDDKDAFCAFFIS MQSLRRVHLKFIAYNIGKLNQKQVNFQSLLNAQLLQLINEKSVMPIKKFEPIQNNVMMAT SQDFRTNNQIQITQLKQEVAQLQSEKQKLQQQIDKLFKENKELEINSKSNTASRDQLDSM DRLIQSLKNEVYSLKQRETDLMNQNGRLTLALNEKNDKSLSQSQFLDEMKVKQYQDMLLE CNNEKVRLQEELNKYQKFGSSSRTSQNIDGEKERLLQVNQKLMQEIATSTNKIKELQLEL EMMKNMSRISMSMSMIEDPVMKTKIEQLEQENQYLQKKISILEEELSKKKQPKPQKQQPD RDLQIQKLTEANKRYLEENLKLFEEIRQLREKFDYSSVLQNSMKDSQIQENVVNHQLEQQ IERMQQIHNLEIQKMKKKIEKLTNDLQDANQVKKQFEQLIKQNKRLVEENMHLTEQIKNL ADINLSKSFSDNMFNSKIFNSDQYKELEQQLVVLKRKNEEIQSKLDIQLQRDTQQQDKIK QLEQEIAKYKNQIREIEYNNSVSNEVKLLQNQIDDYQKAKDNLMRENIQLRDDYATLLQD KEYLNGKIKQLENSIFELKQKQMQLQEENRTLKLFPQSAERQFSVQIQQLQLQNKNLTEE IVRLQSQQNAFKDLNQSNLSISESVLGSKIYDAKEYKLLENQKELLFTENQQLKLEIRSE YQKSEDQIKFQEQIKALQNERDILNQQLISNKEQHSRQIITLQNQIDKLNQQLQNVSLSE SRYRVIINDNKVDDYAQKQQDILRLENENKQLNVQIQKLQLQYDTEIRRQQTQLSDLQFE NNKLSREVTYSKQYSESVVFNQTEKKQYSSQESEIVQLKNQLADKTHQLKVIQTNSQNSM EELQQVISKLGLENKNMNEVHNSIKSRLEAQVNKLVEQLREKDQNINKIQDELRRKEQIA KQQEDDLFIKSNQVEQLIQQNKGLALQLNNLNFELQELRMASLSHSFSKSHIDVRENENQ ELQSEIQILRNQIQTLESQSTKQLDNSKLIKLEDEIVHYQREISLIKNKLQITLEDSEFY KREFTQSQQQIEKMRKELSQKQSEKLSTQENELVQIKRTNQQLIQENSDQSITIQNQQQQ IDELQQDLNEYIESNQTITKLKMQLQLEGEKLQRENQQLIIKIQELSSQKQMGRDQELNE LIRKLQSMEQDKAKLIISCEQQQQLIDNLKSTLEISQNKKDEFEIKLHSTEQYKQELQIS LKEQDQKIKQLQQESYYLSKELDTEQQKHTTQKKKVNELTVQIQNKDNELQQQINKLKNL EEQILKKDQQISTLNNQISQLKQENNKYTNEIIPKLNQQIDQETLEKNQLHKSLQEQFVQ MEQQILSMTREKSPLKSKSNSQCKPFDQSLNEKITQQEQIINQKNQIIEQQLEKLKILTQ QNNGLLHQINKLNDDLRDLQDKSFSLDRSSETYLINKSFNSKYNADNDLILQHQYQSQDI YESDRKRQSQYQQFEQTLQESVQENKSLKLEIDQLKQFIQQQKNDYEKQIQNMSTSNSLQ IQELNTKLEKLSQEEKKHQNMPSQNLRNEYQQQIDRLEEELLRKQGVEQSNQRLNNEIKI QITKVVELQQQLEGLRQQNQQLSNQIKEQEELIRSLQKMKIQNSDSSEAQKEGELNQLTQ QLQNETLILKGQNQKYQQQISEYQSKIETLENEIQKKSQQISLKDSQVNQNNRKTKKLEE QIQLLQDQLKGQGGLVTQNKDEVIVQLQNELEQKRTEMFEFQKQIKQLDEQIDRLTKSNS ALMEENCRLNDQLKDNLNVSYLSNDSQNH >CAK61129 pep:novel supercontig:GCA_000165425.1:CT868011:227163:227657:1 gene:GSPATT00031360001 transcript:CAK61129 MLFQDDSDFFIKPNAFLKLLKQGKPKTTEMSRRKPQNVVANKKQLNILHFSKPIFLLQIP EESKSNRNISNNTCRSWNTQSHTPDRRKILLTSPKESRLGYKVQNKQDPEVPYEIHTQMP TSQINPKYVIQQKQGCGLSRLPNFKLQIINQFPNLRQLMLMKQQ >CAK61130 pep:novel supercontig:GCA_000165425.1:CT868011:227678:228619:-1 gene:GSPATT00031361001 transcript:CAK61130 MFKLSKLFYSFKHSSRSNIQHNLSSFKKKRRQELLYNIGLYGAAFGCAFGAVPFYRLWCE HFGLEGDLDKKDYSMKGKKLDVFRKYHIEFGAETDPEANWEFLPVQQNVTVHAGETALVF YRAYNRNDKAVVGFATYQIFPEDAGLYFAKIQCFCFNQQLLNPKEELQLPIYFYFEPEIN EDPLLKKCENIKVMYRFYKAKNQELAQLAENEYKTVKKNKMILQKLRDAKKTGAVSEGDL NKIKSTKFTDKEIEDFDEWAYDNPLEAAEAQKEEESKN >CAK61131 pep:novel supercontig:GCA_000165425.1:CT868011:229126:229830:-1 gene:GSPATT00031362001 transcript:CAK61131 MQVQQQYVISKIKIDFSNFKLQVENIKRYGYDVSHLDYFITNIQQIQYELHQMDEKDLDP EIAVQIHEMHEEMDQQKKMLEEMKSNFARNNQLQQPNNYQVNQIEEIRLLKISNETMSGL LRQLKENQEKQDKKLQKLQEQYQDLSQQNNDLSLQVQQLNNQLMQNKTQNEEKTLKINKL ETQILQMQQKMSNNNQLLQQQAIQSLQNQIGNINTQLAHISEYQSIQNSKNINT >CAK61132 pep:novel supercontig:GCA_000165425.1:CT868011:230480:231173:-1 gene:GSPATT00031363001 transcript:CAK61132 MNQTQTNLSQVRQKEKVALNDGLPKIEPRIVIEELKSHLYSDDNFKKLFSDAEDKKYREN FQLFDRDGDERISFTELQELLTSIGYIYEEQELSELYKELEDSEGQGIRSDALFILVSKK KREQDREEQLIEAFKSVDLENTGYIQSEYFKELLMTMGYRFTEDEADEYMKFIDPKNEGK FLYIDIVKKILK >CAK61133 pep:novel supercontig:GCA_000165425.1:CT868011:231198:232900:-1 gene:GSPATT00031364001 transcript:CAK61133 MNKFTLRFPPQMESKYEEFTRESCLFHFKHYQPILIIINLSVTIIQLKGGQIVNGLLESI ITIFFILQIPFIVKYRKGKSICSVFVINNLIMTAIQILVDVNTPAYDNSYVSGCGIIIIN ITLLEHYDFVWNAFSLMAITTARFIYILFYFTFDGMAILYIVLSLYLFMLLYRKSYIKRT LFIQYQNEKEIRNILNEIIQNIYIQFKFDKKSFQFELKYANQYAEQKLGISNNQDFRDFL HQYSIYDSIATSKINQNQRSLAMESKLIGQFLYEKMIIEQTPLKKLEAIIQKNSSKQLYN IKLYAYQNENREILMLMEQRQDILQDDDKLKQKDKEIKLLSSKLDKINLRTNKQLFQLCQ LQQNSDDRYYLKVSESLISGQIISNTKIKMILDAFQIYYFKINNLDLETFSLKNLIYQSL MIMNTVADVENKSIQFESDQSDHFVVTSIQHIIQFIFSNLLYLFLIQKYHRHIKVELSNL EADSCIALNFYIEGFISLEFLRQNTFIIQMIYKGLQIVGPKAEITTQFSFDACPNLLYIK IYKNLDYVLNG >CAK61134 pep:novel supercontig:GCA_000165425.1:CT868011:234312:235376:1 gene:GSPATT00031365001 transcript:CAK61134 MATNNLPTFYPGQQSMTNVQPSIFQQQGGPIYQGNQGILQGLGSPSVMQQNQQIPYGNQY GLNGSNYGVPSYQSPQRIVSQRMMQQSPQVITSPIIQQQAPPFQQQVQSSVQQPSVIHNV EHVPVIHELHHVNRPINVISVDEIEGPWRSKVLLLEKQLIELQLMLKKGPVKQVQAKQIV VEDEGKIRQLQSEVERLQAILRENQKEIDELQQLINDATFNLENAEEQASSQVEAQQAEL AKWKKKFSELNKKFHDIEEDITMTEAQIESIQKRKLVTQTTSTVKTTAKTSGGTYGDGDV RKSGFSNRNY >CAK61135 pep:novel supercontig:GCA_000165425.1:CT868011:235401:236435:1 gene:GSPATT00031366001 transcript:CAK61135 MLNTNSNQFVHSKLIYACAISPKSTIDNQRIILGTIGSGGQQIIQLQSAQEGYKIKTYHL HNAFSAKLLFLNQNEGNERFVSAGEKLQIFKSEDQKISHICDLMPLSKEYVGPTFALDFH YEQQNRLISGSLDPTIRIWDLEKRKCQYQFIAYDISKEPDQYTLDLNIGRDTNILAFLNN YNQIGIYDIRTKMGLHKIKGKLQYNQVIWNKKQDNNLIAIDYEAGLIDFYDTRDFKNKYK RIRTDHRQIVKAEWFQDRNSLITAGLDRQIILYEGDKYLEPCFGLTKNYDIDNFCCQNNL FGVVSEYSFEVFSFETQ >CAK61136 pep:novel supercontig:GCA_000165425.1:CT868011:236457:237751:1 gene:GSPATT00031367001 transcript:CAK61136 MIKKFIFNCHLNRFQFSNTLNNISKTLYLINQRLGELNTEYETYQDQTIKGDMNEIKRRR MNELQPKIHIYKQIQASVSVIEDAKQLIGMGGSSDEISMLNEEIQSQIEYLKKYQDDALS QLIEEDEYDHIRQLNVEVRAGVGGSEGSLFAEDIIGMIQNYASLQNWDCRVISIQKDNQI GKGCKTGILQINGENVYQHLKCESGVHKVIRVPETEAKGRLHSSTASMIVLPKVTDSFHL SDKDLRYEYMRASGPGGQHVNKTESACRITHVPTGIQVVNMEDRSQERNKQRAYQILRDK LFAIHVQEKQERMAQTRKSQVTGSDRSDKIRTYNFPQERITDHRTNLTLYGMEKMLSGEL LGEFIQKYQEKIHNAKLQDLLDELQNENKK >CAK61137 pep:novel supercontig:GCA_000165425.1:CT868011:238736:239831:-1 gene:GSPATT00031368001 transcript:CAK61137 MHSNNNQGLIIGLCGRLGSGKEEVAQIISKYQGTECQVISITETMNIREFLDDTLKMMQE KQMGLDLSCYNTNQLEELEKLLKETRDQHFHPHSTKQKLESCLTYNPRHQILYPITDKYE LELLYQRNYFHLIAVEAPIIKRYENFMKKYQLNIPLDLFCIIDDVISDNISEFMHKAKVQ IGNVGDQKDLLISFYKKYQDIFRPIRPNWNQYFMHLANVVKQRSNCMKRAVGVVIVKDSR IVATGYNGTPYGKQNCWEKGCDRCNQNTKQGVDLEKCFCFHAEESAILEIGTKKSKNMVM YTTLFPCLQCTKIILSTKIDKIYYEEDYDKSAAKSELHKYVKVEQIDSSHYVH >CAK61138 pep:novel supercontig:GCA_000165425.1:CT868011:240156:241753:-1 gene:GSPATT00031369001 transcript:CAK61138 MIFYIIIIFVFYVLYRIVLKPLIPLLILKLQFGKEAYLWYAPIWGLLKYNFRSLKQNGDF FDDLRKRMRENPQVKILLTNFLDTPFINFVDSEYIKKMYLEYQNFPKFNLLRYDNLIDKG LLMTEGEEWRFQRTLLGLAFTFEKLKSRIPMINQTVKERCILDPKTNSFDFISWITGEVV IHSFFGEIAKGLTINGKPGQVEIVKLVADIMLQRFKNAYTQIKLMILGNKGWRILATKKE KDINNRVDTLRNTVKQLIETRIQQLKGETEARKEKDKDQCFLDIYLCELLKQQNEGSKKN IDFEPVLQQFLTLFFAGTDTTATMTVTCLVYLAKYPDVQNELLEEIKDLMQDSDVQDTHL PKLVKMNAFINEVFRLRNPAFSPFVRLVQQDMQFLDIKLKKGWMVVQRHDIPVIRNEHFS NPEVFDYKRWLTGQGAIQSDNGFVHIPFGAGGRNCIGQHMAQMELKIILCHIIRKFQVSL NPDVQLKFGIRFLYGAEPDNCLIYKTRR >CAK61139 pep:novel supercontig:GCA_000165425.1:CT868011:241931:242817:1 gene:GSPATT00031370001 transcript:CAK61139 MKLIINSLSQKTKKFCFELFQTIYYLSILKQFYRKGKLQFYNQTFRVFLNSFYLSLQMIT LVRIIVNRIRNWGLIKFPYNFYLTIIQNSEQAQSLIPSVPIYTSTPQILQEVYLPTLGYQ QFRANILDEYDQYFDFDDFNQINRYDDIIQLSQIGELRFGNPFSYSYVSPWEELRQLSFV NYQVQEKSASLIWSKSLQRVFEQNDFNELDTLQNVFKELNTLHNVFKELKSLPHYSDKNV RRKSIR >CAK61140 pep:novel supercontig:GCA_000165425.1:CT868011:243448:245205:1 gene:GSPATT00031371001 transcript:CAK61140 MDKLYIGIRAEDKSYMERRTPIPPHDCKYIMEKHNQIQIIVQPSTKRIFTDDQYLEVGCL VQDDLSICRAIICIKEIPIEKYIEGMTYLNWSHTLEGEPYNMPALDVMLKKNIRHLEYER IYDDNGVNITSFPYAGIAGIITFLNEYGKYLLKRDMNTPFLQIGPTFQYYNKKDAYEALQ KAGQAIQERGLPPKLGLPLIIGVMGSTGSCGKGSLEALSQLQITLVNPEDLKELVRNSND KKHRNTVYVCPFKTTDLVRHKHDVHKEFTSQDYYENPLDYEPIFHQKYLPYLTILVNDIY WEYKFPRYVTDSQIKELFESGNSRLQAVCDVTCDLEGSIQFLKKFTNPDHPVYYYNPISQ QVHDEFDFESQKDIMYMSIDFLPSQMPYEASMDFGKALRNIVPYLAYSDPTKPLEESGLP EFLQNATVTLHGQLTQKFEYINELRKLNETETDHQKFRMITSYYSNKINTIKDNTSIDNK SLSQQQLSTDSDAQDDRFQSEDQSRKLHTSSSISETNIDEGRSVNNQRTLEEQIQIS >CAK61141 pep:novel supercontig:GCA_000165425.1:CT868011:246799:251277:1 gene:GSPATT00031372001 transcript:CAK61141 MRKVVKGKTSSNQIIAKLLFNSFIRMTQIVLRNKIEPSLFHLVFIKTLLLIKAIQEIQLI SSIQQEENRKEYIQISLFSVLSKFSLNSIWYNKDELIFYLLLFYGVIHFLVVIVAYLDQQ GKIVFVNVFFKYCQIVFHNIIFLPSLNIFINFALSQNNLALSLIGILLTILLELLFCFFN PKIALFEIEGCFSIRSTNGFYLLMQFIICFVVQGKQSNTVAAILLLLAKLAVIKQQIHNN DYNSWYNLSISNFVISYILVFLTNQFWKDLVLIYILLNTLLKCLRQTKCETEAFQNKNLT FYQYTILKHPQMRSSLKDFSLFYRTLSENRKSNYQEQMIKEGQFEERFAIINHVYQFNQI QLYLNLIKINSDQLNWFQKTKLEANLLNTIQSSQKAMTSSLNVRQFIQALDVEQQNLWLL INLIDVKCQFYNFLIKEQPLYQYLQNFKKQFDKLIYALEKFKGIFEGQYDLESGKMKTSN TFDYISIYIISSFEYIFYGNYFKAKELEKKQNEVSALDKIMNLKFQRNEAFLVSTSVIRN SYTILNSKNKRLMLVLEQESEPKLISDFLPPFSNTIYNNLVSNYLQTGFNIKQPCSLYFL RFDYLVECDFYINAQMSKDDIVLQNYFVLKNQPNHLLVFDNEGKILGITYDIFGYLIQKS DNTYTKNLSVSQFLHCGMIQYYLPEIYKFIQDLAGQPSSVSNQQILNIASRWDFPINHKK CLDSTQNLLHQSDEQSHKSVKKKPLYHQTWKKQQSQVLLDENIIIQNVLVKLMQKQLIQQ IQNLVVPSSRMKSIEFEGSLEFVKVKQMEGDSCYFILRFKQIEDYSQDKVQKIVQEYQFY SSLAIDEQQYEDLQIDYEFIRKIINYKTFNNSLKYNIIILLFMIIITILALIINYTQNYE QMIYYQHLVNFLTTPQAMTYNIGAAFILMWNQYCIQNKLYLTSPYLEQRRETQLVGLFEF WNQVQEEYTMKLSMKSIELGMNNISLISFENNIKSTADIDFYSFYAIMRESMVRQFKNTD FNDSTTFDPGILQTNGTIRQNMLQIFKFHHKVQDEVIEQTEISFSQFEDQTFKLCIYSSA VILLFLVIFIIINCSLLQKQAKLIRLLQYLNINIIFDQVEILNFQKEQLQKLLIVQNIQK SNPLTSQQNEKAPLIQTKLNPLSKLDNYDEKYHLLIILSLIIGVQLIFFIFGSQIIAQLY NRDHQDSLILTMKYLKLKTRLDSTIIVGEIIKTEHLLKNNSNINFINETEHIQFFFDNVD QLNNLSNNINNDLLTVSSYNSSFQEKLLDIFNKDLCLHYTFLLSFCIIDNIRIDYYENEN YLTLIDKGIFGILQDLDKLAKQDYNYEYINQKYEYNQSHSDYFLSQPIHNHLFQQYFIEI QTCIYLCFLDIFAETKVLSDRLSSSILLYLVTSSVVFLLLTWSIAGFWIYKQYKRCNQLK LIATLFPPALLKQNSFNKLLHFQLLQNK >CAK61142 pep:novel supercontig:GCA_000165425.1:CT868011:252338:252790:-1 gene:GSPATT00031373001 transcript:CAK61142 MQRGKEASIMSQDTITSVTITLSTFGEEITLSGLGLRQLDGCTPIYIPHVTNIKEQKNII EQVSYLAKKTGAFREPFIEYPQIQKTKFCFQRVWVLGLGFGFGFWGWGLGFGLGLGLGLG LGLGLGLVWVWVWVWGWLWVWGWVWGWGWG >CAK61143 pep:novel supercontig:GCA_000165425.1:CT868011:255601:256294:1 gene:GSPATT00031374001 transcript:CAK61143 MIKKSVKYDNGDSYDGDWVDGKRHGKGKYKWASGNSYDGDWVDDKMHGKGKYKWANGNSY DGDWVDGKRHGKGKYKWANGNSYDGDWVNDNRTGKGEYKWANGNSYDGDWVDDKRHGKGK YKWANGNSYDGDWVDDKRHGKGKYKWANGNSYDGDWVNDNRTGKGEFKWADGDIYYGDWV NGKRHGQGEYKSANGEKYNGKWVLDERM >CAK61144 pep:novel supercontig:GCA_000165425.1:CT868011:259064:259866:1 gene:GSPATT00031375001 transcript:CAK61144 MIEELKTKTNGLKKAEFLYNQYYYMAARRESFQSFRENKNFSSFSIRRSFQPLNSYNNSY YSQEQLQLLCMNICITMDGYTEDQGLYLMCRNVNPHRGHPIKLLKEILAIPINLNLQFYE LGVFPFFICTLKLCIIYLNFSLLLAFFQILFLLLSTKNTYTFHDTLINVCHYLIFSSLQF NSLKILKFLNIPSQLQMNSQNNSTSILWHTVFQPLRKQILWYKTNLLIRLLYQHLSRAGR FSILSISCSSFYGYSSFQ >CAK61145 pep:novel supercontig:GCA_000165425.1:CT868011:261862:262089:1 gene:GSPATT00031376001 transcript:CAK61145 MSRKRHSQRSKGGNPQQGIIQSRSTQQANCEDSLTTECLIRTFMDKGNMEDQILKTLFID ELRLKDRESKGIKPN >CAK61146 pep:novel supercontig:GCA_000165425.1:CT868011:262173:263441:-1 gene:GSPATT00031377001 transcript:CAK61146 MFLLYIIIQIILMQQIQPEGFGILFEINYLHYYQKAIITFIVNSICNLMRLLFLSSKQFI QLVTCSLIQIFLKILFKTLMNNIQQQFLVFDICVIVNGFLIQQGPHLLAGELKNTVQSIS VAQIILSSKDSLINQWFLMISSNILSNFLFRIYKQFKVSIQFTILNQSDLHHPISSLWRN KHNHVRFVVYSVNQAQIVFQNPSFYRSLSTFTNLSSSITLSLYNPSKSFVFIGFQYSQLS SQIDSYSYSALRFQQISRAIWEYSNRQNVFVSFPINHLSQAQSTFNQFNLLKFLLYLRSR QPRLGRHSQIDPQFPHCSLALFGFLIRYPLIYYYSYCFYYQFSKATNMCLTCNPSIELLL LDFSLSLLSISFFLQRSLNMLTNPIGSIILIHDSSPCKSIQIINLNFCKECGDIYPYPLS LD >CAK61147 pep:novel supercontig:GCA_000165425.1:CT868011:265118:266395:-1 gene:GSPATT00031378001 transcript:CAK61147 MSSAFHIHIGGAGVMIGDMLWQLYEKEYNETNQKNYIYNEVDGNHYPLALFVDTDDRMIH EVQRNKSVKFKKHSFLHGKEDALTYARGCYDGGRLIQDEALECIRKQIETMDRLDEFVIT SSISGGTGSGFCTRLVAELNWQGGYREVRKNGFIIFPSSEMSNNIIDTYNAVLSINIMRE YLTSITIFDNQSMYNVIDHQLGLDFVDYQHLNNLVAQIISSYTGLRRFNSEDNSEFFSNM CPYPHLQFIIPSYGKLTLMNDYNRKELESKQFIQYLTTKDQKLYQCPSNPNHILTTLLLR QQYLNPFFGKLDIIHKNLDYFFGYRSDIFQCKSSNYQVLPELAEMKQTGTFFSNDASIVS RLQALLDKFYKFKKRQAYTDTYLKEGMENREFSEAEERLSSLIIDYDEFRNFEQYINRDL KQDEL >CAK61148 pep:novel supercontig:GCA_000165425.1:CT868011:269146:269625:1 gene:GSPATT00031379001 transcript:CAK61148 MMKQIKLLIDISIQIISLLQQHQEIVMRKEMNQTQFKSQSFLLYPKSKRDLCCNIKMRLS SSINGLIIRDGSPSDLFSRTIQNFKEGCFYKANQYRNLENQEKNYKLTIVLLDLKDQQTS NQKVKQFSIHIKKQNLEQGLGYFFIFKHRFLQDQNDLIK >CAK61149 pep:novel supercontig:GCA_000165425.1:CT868011:270382:271785:-1 gene:GSPATT00031380001 transcript:CAK61149 MARGACSSYTIEEQFKLQVVVFVFGKHLAAKISPVLVVLLSLEPHNDFYTYYNTAIAQYT VVATPSNSGDSSTLGGCQQRAAGITYIDKEQCQITKYGDPQQMEWILNMLFWFFATASVM MMIQKARAYIANKCTFSDSEKQMFRYSCYFAKQQHRKQLFLYLWLVDRAIGQELSVFHVK MLQIQQPLQMIAIILLMTVIQIMLNAKLKFLEILPLPQMLYVNELFLNATIYVLDKARCV TSSKGEQFDQVPDMDWIIKNEINYTIKTLSLEPDTLITEDLCKEYFPICPTKQGERCLQK LTCLLNFNKSQDVIQQICRVEEFLEDGIALQNSWEMCKGIKLGVRVACRSNNICYDGSQG ACFFTLLVRVQSGPVINEVNKQVINVQQMDKYCVAIVSSSEKNTYGSCAIGVDGISIQQM KALKSIDSKGLQAIYILCQRYLHNS >CAK61150 pep:novel supercontig:GCA_000165425.1:CT868011:271951:272286:-1 gene:GSPATT00031381001 transcript:CAK61150 MEQLDEAKTCAQSYLLMQYNTQPNTCDANQGDQGCLHMDLDVQIKLHQFAFTLLKESEHH VQKCDIAATDATREDDTKCSTYQQSDFVARIGGCQTRATGDFLQIFTLIYG >CAK61151 pep:novel supercontig:GCA_000165425.1:CT868011:272357:272704:-1 gene:GSPATT00031382001 transcript:CAK61151 MLRFQINLRMIISLLSYTKTEASKCKLYGSICITISNASTDYIKITGLLKLQHMTFATLI TQDAVQLEQGVLVFKISLMLRIRNFPLVVINQQLVYEYQVQMLILLCCCFICQNM >CAK61152 pep:novel supercontig:GCA_000165425.1:CT868011:272968:273276:-1 gene:GSPATT00031383001 transcript:CAK61152 MYNSNCISTADGTCQERLKTCDLYFTQNCCSTSATKTILDKRVNGTIYIPITIVAIKNSD IGLELELQIQFVKIQRRLYSTKRWYCKQNDILVRPLFQKLLL >CAK86304 pep:novel supercontig:GCA_000165425.1:CT868572:1418:1553:1 gene:GSPATT00039760001 transcript:CAK86304 MNLITLICKINFIPISFNRKRNATIERILPQTKCLIV >CAK74881 pep:novel supercontig:GCA_000165425.1:CT868198:25:1688:1 gene:GSPATT00039042001 transcript:CAK74881 MFRREKITDIFKLLNNQKLEQKGIKNIEHFIGTLKIISKLDFNKKNFSHEDQEQIRKELI QRIGQDRQIIEFLKFLVHLTAFDEKFIQCGSNSLNLLVDMKVDLTQQSFENIRISNTSLV GGNFIRCNFNGSEFDNVEISGMNLNQAQLFNCRWKNIKIHELNKLDGHSGRVNSVCFSPD GKSISSCSDDKQIRLWNVKTGKIKQIIKGKGKGKSVCFSPNNTTLAFSSDDLGYIWNVKT GKQIFNLGGQSFKVNSICFSPDGTTLASGSYDKSIRLWDVRTGLQKAKLVGHSRKVKNIC FSPDGTILASCSSDKSIRLWDVTTGLQKAKLVGHSGFVYSVNFSPDCSTLASGSYDKSIR LWDVRTGQEKVKLDGHSDWVYSANFSPDGTTLASGSSDDTIRLWDVKTRQQKAKLDGHSD GVYSVNFSPNGTTLASGSSDESIRLWDVKTGQQKEKLDGHEDNVNSICFSPKVQMSLFVY GM >CAK92773 pep:novel supercontig:GCA_000165425.1:CT868670:2:570:-1 gene:GSPATT00025508001 transcript:CAK92773 MGQYFSINSKKEYVWYDKGIALANLNQYEEANKCYNEAISINPKYVNAWNNKGIALRNLN QYEEAIECYNEAISINPKYVYAWYNKGIALRNLNQYEEAIECYNEAISINPKLAEAWFDK GTTLSDLGLGLGLGLGLGLGLGLGLGFGVWA >CAK92774 pep:novel supercontig:GCA_000165425.1:CT868670:1358:1964:-1 gene:GSPATT00025509001 transcript:CAK92774 MPEVKNQQYLYKRYKRIVVQSQKLKHDRQKLQLANFDYDQQYEPFSVDLRKHRVRQGCPT SCQSDKELNTLKITQKSKIHGQLDTAGHSIMQQHNMKHLLLYRIIKLPRFQELNQAVDDE DFIRDVLHYEHQIRFAKQHFPEDENGSQVLISDFNSNKIVFQHQIEVTIKNSLRSIIIVV TV >CAK92775 pep:novel supercontig:GCA_000165425.1:CT868670:2099:2891:1 gene:GSPATT00025510001 transcript:CAK92775 MPELFQPINQCTNTYSSCLIVVLRSSGFFVTFFRLFFIQLKMLLLLECLSNSTTYSSFQK NADLWHSLEQYFNFSWFVKLVKVKQSTQFQSFKCDYLLFYLKSTNHFMFQQYMVKTNHQL LSVRSYPDNRSECFLYNMLLLQINYCKIVFLPQQICAKIFRSCEGKLTFLCTEFLELQIN QCCWDYLLLSSLLKDYQFTYYYLLSRNLQNNACGILVPNIKQSWIIYFICIGFLFLSQNS FGSIEQIFCQLLNE >CAK92776 pep:novel supercontig:GCA_000165425.1:CT868670:3065:4007:1 gene:GSPATT00025511001 transcript:CAK92776 MWFIQQEFLQILMSIFYQMKEMPIRKHLGGCQFFSLMLQHINYQTQYPIATCKITNIHIN QSVVLQPIQAWIQQYILSKMEQYISPYFAQIINSNQLSDHLISQQSASSITSQQHIMHDL ISSLSSLSSETLFKIRSLSLSLLYHQFIKITCLLQISLYESIYAIIYRPGGYPSHYCRIL ASSILSLLNEQFCKNNLHIPTSILELDLALLALLICYFDLIRTILPCALALFRPPKVPEM NIIYVLYDRIMFKASLSSGMIQFKAIQIGTFEIRNSVLTSVMSVLILQGYINTNFYINIS VY >CAK92777 pep:novel supercontig:GCA_000165425.1:CT868670:5068:5721:1 gene:GSPATT00025512001 transcript:CAK92777 MLSMQRLYSIHKEIVFYIFHRMNAFSLIRNWFTVLYLLCLKQLLGEQVVAALTIRIKHQA LRSQVSQIYIWTYWVKLLLKQIHSTHNISQNISFLRAIKLEQQQYMFKPFMYHVRKEGTI SQSTLAAFMMNSICNSNANKYNVKKFLTAHERQQKYSAQHEVSQKGSKLKFGRIRVLGSY PRNLEGLY >CAK92778 pep:novel supercontig:GCA_000165425.1:CT868670:6128:6582:1 gene:GSPATT00025513001 transcript:CAK92778 MFYEKFRGNNTCVNSVSRYDFVYNLQKQQNDGLMSCSNAQLNLIIINWSFTLRKQMLIIG SATILYYKLMIDSDFSQLVILLVIDLKTQCQLCLGVEVIAQNVVQSLEFVKQCFTRLCPN LLTNNYPQIPLIIRSRTIDLQ >CAK92779 pep:novel supercontig:GCA_000165425.1:CT868670:6817:7514:-1 gene:GSPATT00025514001 transcript:CAK92779 MNQQSKPKSRNSRIQNVLHLNTNKEIGRKILINIQCSMVPKSSNLWVVSEVLHILFQTSD AKDQQLLKDQLHENYVNEETQRKEISTLLIGFIKLISSFFIDVDNTCQVQVYNARKLHSV MSFLAHNYEHTHTLRFTTINQKYKAIICINVELQKMQDLSKDLDHNFDLQYYPQHRVIIN GSLGQNRIKIQITSWFILHSVVQKFQQDVIFIEQFMKILIEIDQC >CAK92780 pep:novel supercontig:GCA_000165425.1:CT868670:8675:8898:1 gene:GSPATT00025515001 transcript:CAK92780 MCKSKAKGQKCYIIQGYELCITRLRFIQVYTIFSSTAQHFAFFTRRYIKLSLIIVTRIVI KQKLP >CAK92781 pep:novel supercontig:GCA_000165425.1:CT868670:13409:14587:1 gene:GSPATT00025516001 transcript:CAK92781 MKLIIIGLCLLILVTSQRISINDQNCNKYLQKFGTANNPDGTGATKIAFTGDVAFITNST SVKVKLRYSDVDLFNDPTYFGLVQEDGKKAETTCLDLKLWKFTSNTYSDPVQVTDLPITN ENNNQKQWRYYSFTIPGDQLGSKLVVTQNSAQFIYKGYFAIAYYAAGTDQVQYTFYFEFQ VTVDKASGASVDTAFKPLSQSSTLGCTPSASCNTKADTVLKWCTDLTCTKFSTPDLHLND QFVLLQQVTTLGMDYYLVGTEVWYTGNGLNKKAIIISINNSKKGQVIIQLKAEIAWTGVT IKATSTLSTTQGRRILVQTTFDTVSGETEEITCIKAEGADTCPTCQQEKNANGFYSDNCK GDVEEEDDEVKHPSSNGFTLFGFFTLLLFAII >CAK92782 pep:novel supercontig:GCA_000165425.1:CT868670:15513:18541:1 gene:GSPATT00025517001 transcript:CAK92782 MKTLDLLLYQYSLMVQCFYIWTLTDKQQFYAVSFTGTMQSFEQEEIMMYGIWSRFTPLST ISQTGPIGIFDSHCFHLNSALESENKRINFMYYDCINKEQMVVSKFIQFISKEGKQYKFE IILDSMKYEYYWYFFAIHLQPHQNSFTYYLLQGESIVDIQSLQIQFPYLDEKLIIVFGGS LIIDDHQILQVKDTSKLSYFPGEITLLEHQKGYFEKLDIDIISYIQTTFDGTCQCYENQI KNLVDVDIDWLDYKIFASANENCDTFAFQTWIKVQQIVSDQTEFLYQLFKLSGNFENQQL RDDNLSALQIFYKFSKEIYQIKFTTYSYTIPYYNLDFSKNPFLNEYLYDLPNIYLWQFVT VILKNNIIEMKVTLFEGKNQHIFFRSQLVNQFHIVQYKLQYGNILQSSNNYLKVQLRGSK FFNCVSDDQVNQEINCHFSCKDCDGPTNLDCLSCPETSRRIYIPTHKACICPYDTIDDGD CKDYQSLNLIMQQDFEQNCKQGYFEYRSYCYKCPSIIRDNLITCLECITDPKNWMDNPYC QTNLFLDDVGSPAEFIKDLSQTYYIFDGDNLKACNHKQNKNLQTIDEVFEDYQLETNEIL SICSNSKDPQKCLPCNFIGCAICGVISTTQICLQCDFGYDLRDGLCVELIMGQMEQEKCV APYYITSEKKCRLCQIENCQYCFEYESNDLTYCTLYKDFQYFKIDEFHKVGCALCQEGFI FDFVQGGCHYQKPQTINCLRAFKDLQNREICTLSAVDDFNIAPEIINCQKYISNCKQCYQ TTLSVIKCIICEDGYSSSIITGHCQKCNSNYAKYCIEGDFYKLDSWMQLLQSFLMQFLPN RYMYSESISIFTNYDLPIKCMEGYELNKFFCKKYCDSNCSVCKKMGNPQEGFQCAKCFLN YYMEPVRVRDQGKCIACAQLCQVCQPRTTDEIERINPLFVNKLEYSTYTMKCIKSIPHPN IFLDPYIQIAKYCYNQQCNSFFQYQVTILLILD >CAK92783 pep:novel supercontig:GCA_000165425.1:CT868670:18984:24162:1 gene:GSPATT00025518001 transcript:CAK92783 MNIKFVNLNIIDSTIFEVIPFARESEFIISKFIFENCTFTNTTIFKFKNNIKVTILDLIV VNCKFLNSQFAFFQFDLTLQSNVNIQFLIIKNSDFQQSYIIKNADSLKLNNVSLLQNTFL FTQIIEFRNSLIIHDILIQGNTLVQSQIIIKISTTQVESSVIIDQLVFEENYNTNSSIFI TDFSVQNGLDIYLMNLHLNQNQQIQEESFSTYLFDINCLNLSIHNFLTRNSDYFNYFNLI AITNIKVYNIIFQRPQLKTKVPLYLDCIESMNLNSQLFYVQGFNYLELVSITIMNYKSID QSFISIFSNILKTMKDNEIISISDLKFIGNTLLKINLRSIFSLMSIYSEKSQQITINNIQ FEENIYHQYIDDSSQNTASLLFINSQQSSVIVSNLSCQLNVLTNSTSSYILIKSKMISLS KFTIQNHNILNTKILFSLFDFELKNALNQNQINQILQRILRIQNKEGVLYLTAEYCSIST GTLQNILSLNSQLFNIHTLGIGIIKIDNINIISIESLDLQNSESDGCFAISSQNSLLQLE LLNIYLMDVQNTFASPIIFIQPSKNKNSISIRNITATNCFSLMNLLLKTEFPSMNLKNNK VLIENVKITQNKLDLFQYLQKFNSLSSIDMQRVLNDNGVIIVYGCILTVRKFVFEGVLQS SILRVGDAFRVNIEKIHLNQISMVLKGNLIHIVQSSYTECVVRMQDVVFSNITIIESFEF KNLSSSQNIIELVDSKCGLINDIRISIFYGSDIGFEQFFDQLISESNQQGSLIYFQSQSN PIKIVLSSISATYVRASSIFNAILYFDLSSLSSIDIRDFNCFWNNLNRYGCIFAQSNDKQ NSNIRLENSIFYSNKGGFGTGIYTKNGRVVVHNSKIMKNQAEVQGGGLNLQLKKNEFLIK NTFIQENQANEAGGIYLNGDMNLNNQNFINSILLFNKAKIITLELIGKMFSIQKVYEKIL INFLNIDPYYIIEQGKIIQAKVLVLPSNQVIKKYLLFNPNNQKFQKYIYEFSVIFKNSLN EQVISFTNSTCVIKEQILSEDRLELSKNPQMTSFKTTYGNFDLSELQFSFDPYMENNKQQ QILIICQPNNTFAELYYNIKIATLKCQLGEFYMSNSCQPCQSNQGYYSVTYNTTKCSIFD KNKFENITSNQINLKVGYWRPNQFSDNIESCFKYPNICRGGWFVGDQLCLSGYLGGLCEE CDKYNIRGHGYYFINQYSMLCYQCDQPSNRILLFIVASIWQLASTLLTLSSIEKSNKQFI LCKLRQKFSNIIFKLNLDHGSIIIKLFLNYLWIFSAIFSFNISFTFSFNFIDQTSNPSYF MANNLDCFLSKMNDIQLIYLRIITMFILMLCILLFIYIGFMIIELIYKKQFDASILSITA LYLYVSNYASLIKQFFSLLAKRQISDINYIQGDVSLIFGTPNHIKWILSFVIPGLGLIGW LLPFTLLILLYVKRHQIESVKFRKHFCYLFNEYNKENFFWEWVKLAQKTFVIVILTYFET NIYLKASLLGLCLLLYQQYALEQQPYIIFPFNKLDVKTGQICSISIFLAVIKYICEQQDN YTTSFIIQMMLVFLCIRLSFPFFKSLITLYHKKYKKQILLQLLNLTKILRCTSCIDRYLR NKLILWNQKEQRIKSIFYKLRHHLIYISKLQLEQQKQNQKSNRSLTSFIPPESLPRYKQL KACISSSQL >CAK92784 pep:novel supercontig:GCA_000165425.1:CT868670:26097:27172:-1 gene:GSPATT00025519001 transcript:CAK92784 MKFNIEIILIYNILRVIGWIQVIIFLVYQTSLELEDISTIANLVRWLIGAKMLEIIFIKP NDILNQRNIVKYYSYLTRILCLYYLMTPGLCFCSFRNTISGWAFQELFDSIYYVGKSDLT AQIKRILTYILIPYTALGMFRILNRVIEKNMDNLIFYRIIQIVLILQCNTSHVHHWLEQQ QKEIIQTQFLEKYMIMHTILKFFLNGELEYYLSIKIFLNYFSYFFWNNFIRFIIQIFNLY TTFEEHEFLRENHSSHIFLVFVDRRLRESRIQDDVSIHFQIIFIMTSKFETLFIFVLLLF YLFYRFSLSAAQKNAEDQIELSNQLNVSICIRSGTMDFNKFPNQLSMLI >CAK92785 pep:novel supercontig:GCA_000165425.1:CT868670:28722:29801:-1 gene:GSPATT00025520001 transcript:CAK92785 MIDELKIQKVLTELPNELPTKLPNEFPIYEGKSQININFKARCYAMAINSSNNLLLVGSG YYIKIFGITKNFTQNELQDTKVDDEIVFCLKFLPKSLSSNSFISATDKIIIWQEQNLKWH TQQYLIAEMDKSPIKCLVIHPQEDLIICGSQKYIQFWYKNLHTLQGALQNNQQEWICETK KNSDTQGLSINQNGDTLISCSFTNEIRVFKGSNRQEWIEIKKMDTNYYGLRLCFITNDVM AFQERESRPHDKLNFYSLNSQDGQGEGIIEVKGGQNSCNSLFPLIYVPSKQLIIDKNGYF INIIRIEKAPSQKYQIKQIQYIGLNKEYIYGTASDDGNLLIFWNEEQYQVQVRRYIDQT >CAK92786 pep:novel supercontig:GCA_000165425.1:CT868670:31489:32493:-1 gene:GSPATT00025521001 transcript:CAK92786 MNRAKSINQNISDKEKDPYEQFEYQLYAQLKHKGWCQSMAFTKGNSLLFTQFKTLIKVLC IHEGQMNQIQILSSHKTFLTTITVLQKFNSLISTSQEGQIHVWSMVPIAKPIIIKKFDAP SSLVSCLLYNESYDIIITGSNNIINFWKNPMKWLLIQSINDHSGAVWALSLNQNENKLIS CGWDKTILITARQNNESWVVVQKIVVKDYGYRLCFLDDDYFLYQPQFTRSTVLFSISDNG CKVKQVKEISLVQNQKDCDAFFPMQFEKQKKIILNKFGNTIMVLKVIGKEQIQIVQFINF NSHHVFGRMSDDGEFLVIWDESSNKLQIRKLCNL >CAK92787 pep:novel supercontig:GCA_000165425.1:CT868670:32951:33872:1 gene:GSPATT00025522001 transcript:CAK92787 MQSQQAWDGLQSCKFNYSEKIHIKPQDCITDFAGGEIQQPLGRVHYDPKYGDPYTWVPHL KTSIPRPGDGKSQEFPPGKQFIDHRPQEKKPRAQRLHLKEGQSGHLEDVPYGIKTFPPIH CEKRHEIEEMMGKKQRLDYLYQMRNGLPVAALGDKIYRNPEYASDFFKEGGLITGSSNVQ RKVNINQLHEKEMEKKIQLIQSRIKKGTLWSDKVKMDQDAEKKQDIDDLDTWIETTLKPS NPNYQDPDKFFENLEKQQAQDPKKAQANQKKTGKK >CAK92788 pep:novel supercontig:GCA_000165425.1:CT868670:35871:36410:1 gene:GSPATT00025523001 transcript:CAK92788 MLEQKFKDLRVKMKPKKVKKISLSLKQQLIESVMTDHLSIHQASKLHSINYSSAKYIINL YKKLGTVAPQQSKHRRKPKVYILNTSVLVDYNSGEILLYKQNQFKKQRQQENQQISIQKG LIMASKSIFKSLKIKEKNISLLKSDQKHYPTFTNLIELQNFIQIQHRLMVS >CAK92789 pep:novel supercontig:GCA_000165425.1:CT868670:37083:37685:1 gene:GSPATT00025524001 transcript:CAK92789 MDCSNLEIDQISKVPLKFEMEFRDSHSKMRNIESSRSSFSKKEKRNRKFFLKRSVFFVSI CKQTGKIELNLGSDFLHIEIMQGGHLPLNQYNIKDIRSESFLNDVDFKEQNVENSLRLAS TQIKKQIDQMHKTQGHQAPFQCSQPNEQRLNENLKANFTNIFETLDWNLRDRINKVSAIE EAKKILQAQHQDIKNFLYQK >CAK92790 pep:novel supercontig:GCA_000165425.1:CT868670:38531:40115:-1 gene:GSPATT00025525001 transcript:CAK92790 MILIRPLLTIHIYILNQYKQYLTLYFTIKQINSFMYKSVTLLKYLVANASLGFVYFGYSV GYLNPALQTVDVVFGITDNVDYFNGLLSAIMTIGAAIGVLTMPNMLQYFSRRQSLIILDF FGILGYGVQLIGEWKFLVIGRFVAGVVLGLNSALVPVYVNEFSPPELQGVLGSIMTMSGS FGLFLSFTIGLWYKQSPTIDDQYWRVGFSFPLLVCAFRSYNLISNFKLDSPNYYISINDE QNAIESIKVIYQEEYVQDILKQTKQNQKQNTSSTESYKDLFYGRLFRNLVRISVLACIGQ LLGINAVIFYSTQIFNQITNNDVQKSNILNLFIPLLGILGAYLMGFILNKITRKNFFLLG AVSCVLIEFPLGYMTSLEEQPAILVVIFIFLFILLFSTTIGPAFWVVMPEMVSPKGVCLA TSVNWLGTAVVGQFFPMLVSSFSISVCFYIFSFAGILGIFYIQYDFKTDPKNIDTQQNTE LVILNQ >CAK92791 pep:novel supercontig:GCA_000165425.1:CT868670:40257:40901:1 gene:GSPATT00025526001 transcript:CAK92791 MLQLRRLPKFLFCQNQNIDKKNVTVNMEQIKQRIMERKTELPFKDPSISKPREFYDTKQN KRKTEYIFDFIDPTLHNKPFLQQVFGLGNGYFSVNDVWYPGSILIFPQQIFLWDVQTAAD IRAHSFDILEVIKPRPSYVLIGTGREKQDLPDSYFEKFKKFGIKVDVVATFEACSHFNFC SEEQRDVCAFMIPQNL >CAK92792 pep:novel supercontig:GCA_000165425.1:CT868670:40904:42033:1 gene:GSPATT00025527001 transcript:CAK92792 MLSHQLLSQWRKGPTNSVPLEPGHGRGIFCMDIMKDHLVTGSADHGLRCYNLSSMKYEKE LYAKRYGHSEWVSSVQHLQNGQIVSAGMDSKICLWDAKGVRCNDLVGHQGSITKLMVDEQ SVCISSSYDQTLVIWQLSSMQESRKLFGPHKSAVLDFDWKNSLCVSGDKQGTVVFWDINE GEPVMSKHAHQGAVSKCLLYSDGGNNNLVITAGINDGTLVIHDMRTNKLVNQSQIHKGSI NGLAVNLQNFIITGSADATCKITDIVAGFKPVSMMKAKDAVFSIETIYNMTIAGCGDGNI LFYDNDSGQCLYGFGAMNKGCVRQMKINDNKTKLVAIGDDFSPMVLQYS >CAK92793 pep:novel supercontig:GCA_000165425.1:CT868670:42186:43763:1 gene:GSPATT00025528001 transcript:CAK92793 MISIYQQVPLKVEAFTHSQICVRISCWIAFFLCLQLFGIGDRKKFISKPFYHQECQESDY ENSTKLSCSSIGMFQPEMKDVKLEINVSISNEDLYKLNKSKLSSEFQISRIGVQLTLYSN QSLDNSTEMKNYQKYETTMIDEYIETKLNCLKWFDHFNCIGDLREINTNQNTLFLSNISI IKPPEYSTLKLQYLQSTFESTQFYRILLSCQFLFTVIIIYAMCYLYINIDGYQKIQWPDI LKWIPFLLVLMVFYNMPVQLFRYSHLYFVQGYTNMIQLFVHTSLFYFWLIIFEFQKFQNM TMEDIINKRKLLQVKHAFQIVIVLLYTMPQAFMNLYLFYQKQNNSQFDIKKDILFYEIYD RYILSVLTIYSLCFFYLLYKQFNYTQPNMNNETVKIQEIQYEIFPEHDQSKFAKVQIRKY KLLHILSTLCFIVLLYNQYIRILRPKQVTVLSTVNEWSLINIYICIIIQFYIPSKRESYN LPNEVADNKIQQADQEEAI >CAK92794 pep:novel supercontig:GCA_000165425.1:CT868670:43790:45759:-1 gene:GSPATT00025529001 transcript:CAK92794 MDLGLISSYGDFNGDKAVDVVIVDQKNNKIIILYWDNTINEFEQINICQSCYPVKDILIQ GTIPLDYDYDGYLDILVVLGYEEGFMINILRQNGVQNGYPNFDKTQEKYSSSSYPFVGDF LGDHKTSIMITTETQRLVVQYGLQQPIDFLDLVDSSCSIKDFEKTVSAQLLNPHFSSFVD VSSDCRADLIITSDLGIEYWYTKYVPNVVAETTDQLLNKQPRFCLQIIDSFQSGAELKTL DFVDINFDGSIDMIYVFERNDVQNLVINYNLYENPSNPPNLCQANTKDSDKQMAYPYSEF SLLGTTTKLVTPLSNGDQAVKLYDYKKLDLQLALAPFIRFGDYLASGYPGAFMIIYNETT FKPNIYYFKNVPISSGCELESRMCRQLIVDNDPGDHFYLITEMEGINVAFFDFGENGRLD FLVNSISMDEDQKPRYNLAAFYNYISLDAFFLKALGINGQCAADEESKISCQGSLYYGAT YQFRVTDISGTYKPAAGVQLYQSAYSPLQLPYSYMGLGRTNNYIENFYLGVPLLNGQIRQ WTPIIPNSQLIVSPYRDDTKQWTLSIFIEPTEAILVVVIVTIIILFVLGGIIIWKHIKEK EDDKKNQEQFFQMFR >CAK92795 pep:novel supercontig:GCA_000165425.1:CT868670:46129:47140:1 gene:GSPATT00025530001 transcript:CAK92795 MELSANKSQNKENNNPVLVPTQYEIMQKEGIFAKTKPSPHHRFYYRKQRQSVVQPIQNPI IGHSNSSQSIYKDIEKIAKQLQSQHIQTMTQFNFTQPLKERHPTQYSNPPNQQVTEVLEM FDKENLMKIQQIKPYTPRRPLAQYLNSKLPALNQDNASNDQENSEKATPLLKNKLLFRRT INPSLDKIKRNTQPEVEVRHKRVISQILSHPVNQNQPKNLNAKLNKVLKNKNKIMSICNK IPNIQELIGEEQLSDLINSDQFKIEFQHFINNSQGSPQDMEQILQNFMIDQIRKTKELQE AETNLKEKRQIFQRSLTNYIQELKEKL >CAK92796 pep:novel supercontig:GCA_000165425.1:CT868670:47450:49685:-1 gene:GSPATT00025531001 transcript:CAK92796 MKNISQTVDVKNLSKKEDKENLIFYSSIKDKLPKPNPLLIQQLQFNKVNSVLPLKKNHLE PNEQEATYKTPIQCQEQELLNQKPTTLQAFLKPLKLIYQKYQPQQNPNLYATLLHSPNHN LDASHYYQSDEDIKFDSLFESGNLFQVFKVPLSHLNQKSDRDYILLLQNDINTKGYTQWF YFSISNKNPLLHNIRLSIVNINKDMCFYRQGMKILINECNSWRKDSLGLSFKKNHIQRND SSFYYSLSFNYTFMEQGTVYFASNYPYTYSNLQTFLSTKYLVYDRIMKVKSIVTSQAGNE VQIITITNDNRDEKQGLLFIGRQHPGETPSSFVIEGIVNALLSAEAHELRNRFVIKIIPM LNVDGRGFDLNRKWGCNRDETLNTVEKLITNFNENYPIQLILDIHGHSKKLAAFFYGHSC NEFITELCNSDKRFSLENSRFMKNTKYLNHTARVFLQKLLNMKQNIYTLEISYFGYKDQN LIVDFTLNDLRQMGRQIIVNLSKNIEAQMWAESILIDEQNSDVSFSDSSISEDEISYEEI KQISSEPLKEKSTNLEKQYTRSSSIKQRQLKSSQSRNRAKSQSIQNQMKYQQFSYNFIDN SFNNCNVKNDNSDHQNLFIPQQKPKQSEYTIQPLVVMPSHSLKHQFLWQNRIKQQNYKEI KLQNLKEQRDLKIYQEFKDYVNNKQYSNKPLLPKYSFNDTDLFKKSQAKKQYLIINL >CAK92797 pep:novel supercontig:GCA_000165425.1:CT868670:49936:50040:-1 gene:GSPATT00025532001 transcript:CAK92797 MNDTIEFESSLNEVTPQEKRYRHLFVGIELNILD >CAK92798 pep:novel supercontig:GCA_000165425.1:CT868670:50250:52835:1 gene:GSPATT00025533001 transcript:CAK92798 MFRQGPQKYQQFQDEQRVVSNKQEFPQEVQAGVLGGKEGSLDFPSDQIPPDFNLAELHRE AWNVGTTTLSKSQLRSLRLHQNAPNVSEEEKLVGQICKCCARQVPKDRVKIDCNNQDYSF LGAGMPLYFEYIKACILMLIVMFVTSGDYNIITNIAFGQSCQTLDKSIGIEIDEKAVCIF NWVTGLSLANKREDQEFIDLQQMLNLISMLTLIILFQYFRKEQRAFDTEIDSSTYYASDY TILLKNIPTHTEGLKNDDFDQDLKDYLEVYIERTYEKPSEVLDYEEELRQFLQRNNRPEK KLSRVVAVNLCYNIEEQTILEQEKQSKIAQKQKLLPELYDQGLLPGSEDINKDEQIKEID AQITEIESKLEALEKRFVEGKDVKQYFLGQAFVTFRWESDVQWMLIDHRLSLCSRILGRK SNLIYRGSQLQVEQPPEPTDVFWENLHIQTYQKIWRRILGYFLTFIILGVCGGLIYWLSA VQAQSADEQAKAVKNGDLNPNYKVKVIAQLASISIIVINYILSVVIRKVSLFERFSTQTG FNISLASKSSLAQFVNTAVITFAISTWVTKNIYGTGGLVYNQTYVFLSNAILPALIQLID SSSILKWLYQFFELRKGKSSVKTQKQLHDLFERPVFDISTSYATVLKNMYVVAFYASVIP IGLVITCFALLFFYWVEKYNIARRRTIKYNYSSVLSREMIEQLEYVLPIYCLTNLWWEYT FLQSISTEAIIGVCFGIANALLPMHEINKLLFRMKAKPNEHLPINEAEVGFLTDYCRENP ATAETERARYQERVTRHQKDRIAMEQMFEQ >CAK92799 pep:novel supercontig:GCA_000165425.1:CT868670:52923:53709:-1 gene:GSPATT00025534001 transcript:CAK92799 MDHSRRCHFLDFKGKYLVLFFYPLDSTFVCPTEIIAFSDRAEEFRKIGCEVAAVSVDSRF SHMKYCQTPRNQGGLGDMQIPLIADIAKTISADYGVLIDDPQDGDFGVAFRGTFIVDKNG VLRHYSVNDLPVGRNVDETLRLVQAFQFTDEHGEVCPASWKPGKPTMVPDHGSQKLQQYW TEEHAKKE >CAK92800 pep:novel supercontig:GCA_000165425.1:CT868670:54553:57613:-1 gene:GSPATT00025536001 transcript:CAK92800 MEKRNEDEVVQMSGQMRADSKMDASSPNKKSGVNEGPYASRTITHRRSMNYGTRSSKSSS DNHFGVKKRIEQFLESFNYMIFMTVVTIYALFGDDVRVLSTNKDGDAAFWVITAICMGLF LVEIVLASICKDGYILGFFFWLDLLSTVSMLLDIGWVNEAMFGSGGGAALSAVSLARAGR ASRVGTRAGRIVRVVRLVRLSKLYKHAKQSLEKEAEKLLAEEMKDENLMDQNQQEQEQSN HQQQNNDNVSSQKSVDSSERHVRDQEEQPHIQKTQQQRTSIMLQNRQSSQLNTEIEHRFQ RRQTRKIVLSGQIQSQTIIQKRKSIIQSGGKPLQRISDEGEVKETNIGKELTELTNKRVI TIVMLILFSVPILNLSTYRDANVSFTTGLQAIQYWSKDTEIYNYLIKDFIVYHEPLRTGL VFFKINSTILHPSEQENQYEYEDYRTASLQYYTSTDSDDQTAVSISNTVADDELNAILSI VRTIFVSIVLSVAAVLFSKDVQDLILTPIEKMLETVKKISENPLVAAQEEENKAFIQMIE NGEMKALEDKEDERLETVILQKLIVKIGTLLALGFGEAGSQIIAQNMGANASINPMLPGK KVMAIFGFCDIRNFTDATEVLQQDVMVFVNEIAEIVHGVVDQFSGSANKNIGDAFLLVWK YAENDYYQDEDGKLSLKPYEHVAQIADMAILSFVTIITNVTLSQKLQKYKQHEGLNNRIP NYSVKMGFGLHVGWAIEGAIGSEYKIDASYLSPNVNMASRLEAATKQFGSMILISGQLRD VATKVTQKNLRHIDRVTVKGSIEPMDIYTVDLNVDSLLRKEHKAKTILDPHKKDKVKQVH LSESDQSKLKKKQKVLKRMKRDKLKQAVLKSHVLISETWTKDPNIKISRALFRKEFYSKW TEGFHCYLSGDWDKAKSIFEETLNFLPNYRDGPSNTLLRVIKEEPYLRNNWKGYRELTEK >CAK92801 pep:novel supercontig:GCA_000165425.1:CT868670:57716:59180:1 gene:GSPATT00025537001 transcript:CAK92801 MKIAFRYSYDLFVIGGGSGGLASSKAAAQLGKKVALADYVVPSPHGQTWGVGGTCVNVGC IPKKMFHFAAQLGDYRQDQGKVGWSGINENGSHDWNKLVQVVSNLILRLNRMHENNLKIA GVQYINSLARLVDPNTIELTDQKGQKSTVTAEKIIVSVGARPKSYEGLDPQNYITSDDLF WMRRPPGKSLIIGGSYVALECAGFLNGLGFDTQVLVRSKLLRKFDQQYAQFVGQYMVERG VKFHYGCTPSKIEGQTVTWKDKNGKEQSEKFDTVLMAISRQANTQNLGLERVGIQTDQDQ KIIVNKYDQTSCPNIYAVGDCVSGKLELTPTAIMAGRKLIRRLYQGSSDIMDYRDVATTV FTPLEYSCIGLSEEKAVEMYGKDNLKIFENVFKPVTWNISARNPSICQGKLIVRKDNDQI VGFHYIGPEAAEVTQGFAVAIRMGATKSDFDSTVGIHPSAAEEMVQMKKFR >CAK92802 pep:novel supercontig:GCA_000165425.1:CT868670:59343:64408:-1 gene:GSPATT00025538001 transcript:CAK92802 MTYAKVNIHKYDVDCHYSCQKCSSSGSSSCLECLSDGNRFLENSICKCQANYYDENNELF CQRCRFPCLNCQGKQNQDCLSCKNSMFLFGNECKCGEHQFFNYVNYQCEGLKNKIFQIDC HPTCSKCNGSLNSNCVKCNQEQYTLLQSNICICKDGYYRSIDNNCYQCDINCKTCSGPTN NDCLSCYNNKSGSSCDCPYGYYQPQLVPNTSFCFKCHPTCQTCSNSGEFNCLTCYAFATL QPDSNMCLCDLGFVWNGNSCSICDTACGNRCIFDPNNCCVAPCVQCFNPNCSTCDGTQAC TSCQQGKTGANCNLSCDPNCKGCDRTDKTLCTSCNSPFVVVGGICSCPSGQELSGSTCVS GPTCPDGFYGAGCSSVCHLSCRRCYGSSNTQCNLCKNNAYVSGTCVCNLGYYMDGTYNCF PCHYSCRQCTGSSTHCTDCNYSHRTLDGSNQCVCDMGYYDFGIPICQPTNIACGVYCGNC QFISSAYQCQACALSGTFRLNNLASNCPCQDGYFDNGSMICEQCNYKCFKCASSTSCTIC SGNRDSSNNCDCLSGYDEQNKICVLISVTANQVVIDYNSVITKTYDFENCNITNFANIDT CQCLDGYFMQNSKCLRKQFIYIPIACHRKCKTCSIISTNCIECSTNYINPPSCSCVNGFQ LIDQVCVSCNQHCVLCSNNQCSTCISPLIIDTDNTCQCNTGYYFDQSSNSCSNCQTQCYQ CKYQSDYCTKCNFNRILPFKCVCPEGTYEVSQSQPCENCSKRCFTCETNASTCIQCATLR INSPSCICPDGYYESSNLDCLKCSKKCQTCELSATNCLLCSSNRSTSECQCNEGYYENNN EVCIKCNVKCKTCNGSNENDCLTCDLTRNFIQSNTSCLCKKGYYYENNTCNVCVQEVVEC QTNYCGDGIKQPYEQCDDWNKTNRDGCNSQCKVEEGYQCTLIQNLQLSPLINYSSCIQCA DVNCKSCPNIELCFQCKSGYFLNKNQCSPCDLHCKECSGPSKKQCKSCLFETFQTEDCQL CEDTQGLYLEFGNCISKCGDGMIRLTEQCDDGNTVDGDGCSSNCKLEQHWVCSSNNSTQS KCDIADPPIATITFEDPISIYQSNRFGVIKINKIMQIDQIKAQQLWLQEIKGMNQTNYFI EFGYDVNYNQSTSQITLNINLYESQQNMIYQITFTNYLPSDSEGQQLSTQFLEYQLGDFY KVSQLQMTTAATSKKVGLFFLATLGGAAIIGLLMQSLEFYWNTLDMLQLFSYLAYINVKF PYLHLEFLAIFKFVQMDFLNDLMPISFIQIGENENYHNYPLLVERDVQENLLLNLSSIFV TYGLPFLIYFVCRLITKLNHKYLFDQILISDSRSLGPCQIKLYVYQILYYINKTANIYER SFFFQILLRVHLSSLLDLNFAIFTTVYCWTQHQEITLNDTLQYLFANLIILAQLVIIIIL SDSMLVKKYQFESIQYKLKYGSLFEGFQIIGIQNRQAALLQPFKKILFMASLLVFFNNAL YQIGLLTSLQMISAIISIVLQPSENTLETTKAIIQDFGILISLNFILIYYVQDNFNYFSQ DTIEWISYFQIGVYILMLSTQILIDCYMQIKFLFKKYPKLKQCSAKKEGTPVISDNTNYY LRQQFIVIQPIKRPIKFKE >CAK92803 pep:novel supercontig:GCA_000165425.1:CT868670:65431:68070:1 gene:GSPATT00025539001 transcript:CAK92803 MENCLGVLQKAFNKKIKINMNVEERPGTSFTSKRQVQTEQRKRQQMNLGTLETEDKSTGM KMRPITQDQQRNSLQQKRQKMIPQAILYDRESLYEEVINAKQINNNLARENQALHTKIKM MDEELQRMVRATPISEQVVNNMESFYQDCKPSKQHLIMDLKKQLRRLQEESIKKDQQIQF LQKNTKAMKIKELEIELMSYQQEAQRLKALIGMKYNDSDNDLLRLRADYKLLEDELKQLK VKCKESESKATMYYNEKLKKDKLNQYLKNQIESLKFKDPEYKKQNKITENEQQLKQDIDQ KQVQIDTLISSLKAKDAQYAELDKRMKELEREQQQIIDQLERDRQQLREQYNSLKEEVAM QSGRRRTVQNNSFFENKLEILPEGPEITDQKKKLLPCVTKDDVNLICKSIKFKLKAMKVP FEKIDNVMFIHGDAHSVIRIEELNDMLQDEPFLLNEADSLKVARYLIEDNDDRFVEFSML TEGLIARAKSILKNLIGKYTLLEKSDEDQLFFEIGSVLSKYRISLEDFILRSKKKQEFCT VEDLEEALKFVEQTFNSTQYEFLLLKNYELTQELIQINYKKLFELFYVDLQVQHKTKSES DLPKEQVKITTHQEQNHNENNDLQIQKQKQKQEELQQQQLKKQKEEEEQRRLLQLQQEQE RQRQFELEREEEEQRRLEQQWKEEEEERKRQEEEERVKQENEAKRQKLLEDTRKQQEEEE NLRKHQEEQRQQQKAEEERLHKLREEEKRLHQEQLEKQKLEEEQKKLEEEYEQQAEFDKE QNGQDNNEEYNDQQFDQQQSEKQISEAQNKSQKDYEEEEFEQ >CAK92804 pep:novel supercontig:GCA_000165425.1:CT868670:68108:69056:1 gene:GSPATT00025540001 transcript:CAK92804 MKIVVKYFYTICQQEQRKETIRQMIAEYFDDNIEFDKEIFKDYQEFLQFIFPFRPKSLNK LGETKNTKMCNILISELIETQQIMDTMLFELRKDLSQSDILNMYKEIDSTSDGFIDVIKL KKYLSQQFNYQTSNDDLIYIFRRMDKDEDGFISMAEFIDEFTSENQQISQMSTMAQTRWQ SQASSQTQFVPPYSDDCRELAIFINKLQNIKVIDEEELLKLKRQFIKQKKQSFLNLFEDI AHSIYLDKRDLLNLLSYFKVGVNSDLERICKLFNKDNPNEIDFQDFLKFFTF >CAK92805 pep:novel supercontig:GCA_000165425.1:CT868670:69067:69549:1 gene:GSPATT00025541001 transcript:CAK92805 MQNNQGAATQEQSINQENKQETRLCNICLTFFGTAATQFYCSKCYQTILKETSSETKQQK QVVQEIKQQDEVIKMKENPSKCKVCKRKLGISGIQCKCEAYFCNKHRLPEEHQCTFDHAE KAKQLLIKNNPFVNIKKLEEL >CAK92806 pep:novel supercontig:GCA_000165425.1:CT868670:70021:71148:-1 gene:GSPATT00025542001 transcript:CAK92806 MLQQSEKDFTIEQVVGTGTFGMVHLATDNRTKEKVAIKKVYQDRRYKNREHLIIQELNHP CVVMLRHSFFTPGENPQDVYLNLVMEYVPETLSKMIRQIRKQKQTIPPTQLKLYSYQMLR ALLYLQAIGICHRDIKPQNILINLETNVLKICDFGSAKRLVVGEPNIAYICSRYYRAPEL IFGATDYTTQIDMWSIGCVIVEMVILEPIFPGESAQDQLLQIIKILGTPTPDIIKQMNPA KAEVKLPTIKGNPWSKILAKHKPDQLFLDLITQMLTYSPKARIQPIDALLHPYFDDLRKE EFAKSNVKFPNLFDFNKQELSVKPELNSKLIPLWYSKLNT >CAK92807 pep:novel supercontig:GCA_000165425.1:CT868670:71588:72331:-1 gene:GSPATT00025543001 transcript:CAK92807 MASKSQPPIQEKTFHEWSKNNFYRTSYINHYTQVCELLHAIQFPQEPKSTAVPGYAGYVP YVQSENLYGERFSEVARKSYADQKLGKFNRLSSTGFNFDPKELIDVHKEAYSHKYGCQTL LKNHPCTHINKMVTSYQDGFKKPQELVAPTFRKTDRYLQTSQAQTKTSGFQKNHMQFDGS GWIPHENMNGDQVRTEYRIQYNQEKPFHRNPIQFKLRKMKQTEMNYKHT >CAK92808 pep:novel supercontig:GCA_000165425.1:CT868670:72613:73267:1 gene:GSPATT00025544001 transcript:CAK92808 MSLVLEKADQFRQIIRILNTNVDGKQQMAYEIRSIKGIGRRFAIQICKVLRLNLTKRAGE LTDEEAHKITEVIKMVPQYIRDLKNGKNYEVTTNELETKLREDLERIKKIKYSQWLCLRH HWGLRAEDNKQKQQEEEVKHWEWKERKSEIHFVLFLCTLKIRDTLFLMFNYQSILLFSCY EQIIDIKFKEQRI >CAK92809 pep:novel supercontig:GCA_000165425.1:CT868670:73293:73732:-1 gene:GSPATT00025545001 transcript:CAK92809 MIPCLLSVIFGTIFTMMIGFYWYSILFCDRYLKEANIKLDKKKEDDEQNSKPLILELLAR FIKASLITYLYSILKVKNERDLGLALSLAVFFCVTFDLQYFTSKVVWEQKTWNFFFMKVI EQFISLSTLSIIAYIFV >CAK92810 pep:novel supercontig:GCA_000165425.1:CT868670:73864:77227:-1 gene:GSPATT00025546001 transcript:CAK92810 MQKLRREAIERAKLNSACNTMISTLFVVLVTLLTLFICDLSGLVQLDKPLQYVSAANAIK DQIPERNFISASQTGTLEPLQGQHIDKVNVVKPIIDHREYRYLELENNLKVLLIHDSESE MASAAMDVKAGSWHEPNEYPGLAHFCEHMLFIGSQKYPQTGFFDDLMAKGGGSSNAYTEA QNTNYYFEITVNHLGKALDAFAHFFIDPLFNEDAVNKERNAVNSEYEIDVSTEDWKVVNL FALLADPKHPASRFSIGNNDVLAKEGVVEALKKFYQDNYSSNIMSLAVSSRLTLNEMEKM VKVFSKIENKNLIPQSISGFPYQFGLLGKYKTEKKLVLLNWQLSGREQFVHQKPLELIDY LLNNGNLKDYLKEKQLVIEFESSIFIEQNHFVNYIIELTLPEQPLEDGNISLEITRIINN YIQQLEEWLKDEKYLEEIFKEQSQITKINFEYMEGPQEISSIAKNLNKYEPGEVLSSSFI MDVFDKDLILKYISELKKTDNLLILIGDDEYQFTDNTLKGNNKEFLKDKRLDKKSDIYRL EYATQKLTKDSIKIITQKDSKLQKLFTKPQENLFIPDDLKLVSLCESNQSKLPLMIHSEQ LKPLDKEGKLNVMLHAGQDLQEFSQDECKKEENVYQKNNHYPILLNKENNQWWKVSTQFK LPAVYGALSIQYTKPITIRQFTSARIYNFISDEQINKQLRQPLTTGYSVELDIGKQIEIK AYGFSEKVRSFFNSICGCINPFREKSQSLIELEEKKEEESPDFIRAKQSLITSIKDIFQL KLYEQSFQLYLPSILRKDFFNPELVLQQIPDITEEQMLSDIKEILQNSIQSSLLIGNIDQ KNAQDLSAELQTCIQDKDAKFEQQTRLPISVLSLNGKNWVFAKFVESDKGDLNGVTLNYY QIGQRTQENFALMKVLQPLLNSQAYNHLRTDLQLGYVVAMKFKQVSCIDGALFLVQGNKE LPMKVNELIEEFLVQFDEYLKTMNKKQFEHLRHSAIVELRQKPQTLSEEADRLWGYISSD DYSFEERQVTIESLKSISKEQIIEFYENVFINNRSKISVQLYGEGMVSQTLSLKNNEEFE SYIKANIPEGASLFTDTESSYYDCPNDVSSV >CAK92811 pep:novel supercontig:GCA_000165425.1:CT868670:77279:78176:-1 gene:GSPATT00025547001 transcript:CAK92811 MGNGCSNQREMTQVSLTIRQDSFPQTKQHENTQMSCFIPSSQLTQAETPHALLPSPSRQS ISFENAITSKVRVPSEESQFQKQFSQIAENQTTIKLKSKKPIDTQKEQQKHKDKKSKEKN KEIIPKHHSSSPKKERRQQISPQRNINQQSMNQNYTTRNKSSSLQKKNVNQTNEIAIISR RKYSDLPPKTENTQSKRKISNTVDDRNAQVSWDSISKVKSFTPSPILSKKASDNETITIK KKKVRFHDQNIMRYGFAN >CAK92812 pep:novel supercontig:GCA_000165425.1:CT868670:78320:79270:-1 gene:GSPATT00025548001 transcript:CAK92812 MKSNSQQCKKALISKREQNYIIDDELADDSTIFEQTTQSFSEISEFDSKQQFQIKHWYIW LIIENKNRRITKCKRVKFSMKIQHNTFNNINQNILNLMQNQSLSYQITYLLQIQLKMAYQ YKDQMANLIIMAYMMWQQDINQIKEGSTLESPSQQRLKDTIRHIETSKKGGDIEPTKAES KNRNNRRKKFKKEFSLMRTFKKISKIYLRQFHCILNSFFRYTPEQIKVEPHLKPFIPEYI PSVLGPDAMLKIPRPDGMKDNLGLTVLDERLVKGIYMQGN >CAK92813 pep:novel supercontig:GCA_000165425.1:CT868670:79444:80924:-1 gene:GSPATT00025549001 transcript:CAK92813 MSEKAMKIDPIILIQKIIRENTYLTEIDNKLDIDDFHNPLNVEETQSQLVDSIFGSCNIK SQKGYQFIYMSVASKMISSSGNAKATSIQPYGSLFKSGVSNYTVSDEDEIQKDFIEIKDG KQYNSQIEIDDVHNLKPPELKNQKYQMTYEELNQRCQQLEQNLEVERKKNVELNDIILCQ NEKIQELTEIEKAAYEQMDSRLKEISELSAKNIYLEAQLQELRERQEKELSKNDYNQFRN QNKRTCGFQQQFTNECNAMYFSVFLYLEIKEKQIQLLQGQLSSLRAATQYRSQGSYHSAQ SHDNIQDNQVVNHIINNTNNNQIIIKNEIFQKGMTSLVQQPKTSTPKKQQFINQDSQSTQ RSKKSVNHNKQSSKDTITQPPTSWLDHLQKKNDDKLKVLKNQPSEKEFQQAYLNNSINDN VNKYMHADLKITKVNSPAFQRKTTYQLTQDNDNQDNKDFVKQKSFQHK >CAK92814 pep:novel supercontig:GCA_000165425.1:CT868670:81550:83072:-1 gene:GSPATT00025550001 transcript:CAK92814 MDSILHQALMRFDIAANDGKVNAEQVISILQSLNYNPQDITKIVSQITADIDVNQFKELL TQKEEPKQDRLSTSQIRQIEDEFRQAVKYGNVDKVLQYLNKYQNQIDLANKMEPQNRQIP TYDAVQAPAEYQAYLILKTLYEHGSDIHYRDAMQQSIMFYICRDGRCQLFDFLISKGLSV NEQDQNGQTPIFYAARENKVEILQRLIQNGANVNHRDKILDQTALFYSAKEGQFQACQLL IDAGCKISHQDATKKTAVAYAKKFNRKEVYDLLILGAQRQKDETNQKRDDGHSKIEQKIN RKKNKDLPKFQYKIMHIDEKGLSKEVTNEDFEKFSQEFPEIAKLLINPDDTIDEAMISSI KEDQMWDKIAKKILNQLWKLKQSVYFLEPVDVNRYQILDYYDIVRNPMDFGTIKSKLSNN QYRCLKEFHIDMLLVFDNCVLYNGIHSEVGQAGIKVKQDYLLMLEQNGINKNL >CAK92815 pep:novel supercontig:GCA_000165425.1:CT868670:83103:83330:-1 gene:GSPATT00025551001 transcript:CAK92815 MFESAKSMNNLIDQLADLWQKQLIQKFDQMHTETTNMIQAVQDGVEYYQKATKKLSNLKN DLTNQKENIQSMTKL >CAK92816 pep:novel supercontig:GCA_000165425.1:CT868670:83366:83920:-1 gene:GSPATT00025552001 transcript:CAK92816 MKQDIELIINVIPKISPLNWAQGDILIQTDDYAEEVDFVSIADITTRAANGSTISVYREG DTLGLLEAIYDVRRIGTAIYSKNTQLFSLDRITYLQMLQDYPQLLSEIKLQVDNRIKEVK PFIEDATILNRSNYEVMQDYQRKINKIKKIIEQLQKTEDSNDIIDTDKNQLQIFYRPILN QLIV >CAK92817 pep:novel supercontig:GCA_000165425.1:CT868670:84841:85800:-1 gene:GSPATT00025553001 transcript:CAK92817 MINNKQQKDRYSNTTSSMYIKSTISNPNVKSIIQAVSTILHSQMQEDQEQGKQIPKTSEL YFFSEEKYIEEKPEEFDEQRKLLLREPPSVDNIYEFMKALYDCAQFSPECCIICLVYINR LIAFTGLTLNPTNWRPLLLSSLLVAQKVWDDKYLSNADFAFIYPFFTTQEINKLEAKFLE LLQYNVTVKGDLYAKYYFELRALFKGDQEFPLYPLDVTQSANLEARSADVQKQEQEKAEK LSLTYNDRQTRKPAAIIN >CAK92818 pep:novel supercontig:GCA_000165425.1:CT868670:86002:87011:1 gene:GSPATT00025554001 transcript:CAK92818 MQSDVIFRTAKGWDSFSDKYARRIQKNTSVFFMTLLNMLHYEEANSILDAGCGAGYLHQH MLNQKKPEAHLYGFDLSSEMVRRAGARMKRSLNKEQIGSLDILTHEEVDSVKFDDEIFKK INYHLSVGSVEDLSQYNNEIFDIYVCNLVYQIIGDQPKAMSEAFRVLKPGGKVGITVWGK KENCSALWFLKELAFETGLAPAGMQGGRFVSDPEELITLATNAGFINPICWSQMTPFDVV SMKNVEEFFNPEIEGILANSTKEQIDIYYKALEKMINDYKREKKPFPFECYLLVAEKPM >CAK92819 pep:novel supercontig:GCA_000165425.1:CT868670:87618:89082:-1 gene:GSPATT00025555001 transcript:CAK92819 MSSLFVQCMACKQRPATIKCSECKPGQIYCICYACNTQVHNKKGPIDSQHITEIMSYQEM NIKSQSAAGIRNKQLQSKPELKQKPIQQQIQYQQPQPQASAQIQQFVTSQKMGKFDLETK NIQSSKPNSTSNKEVPKVAQVSQGKSESLQQLTKIKHNYIGRQEDSELPSDQSKELAVQV KREQENNKKLQIELQQTKDQLQNINKEVEKIMQYQLLIIRQQNQKDLEKKINDLKKQYHE DKKKTEQLSEDVKKLKEQLKTTDLQTNKKLDQQKKLYEQQVKQLEDVAQEKQDQILEIAH EFQNYNFEEIQAKMEEMENENNQKDQLILELQNQLQEKNSGSKQNDNQESDENWQIQLEE KDQEILKLEEIIENFKQLYQHMLDEKQVLADENEKLVSENNQFRELFNQNLHLFGINPDD LEGGDEEETGAEAEAEDYRQHDDYEG >CAK92820 pep:novel supercontig:GCA_000165425.1:CT868670:89180:90310:-1 gene:GSPATT00025556001 transcript:CAK92820 MKTMTLISRSLNKEISKVQSSKMLVQQLKEQQLQFKEQLLQHIQNKDYDCNFISPTPYPM DQTINEKNQNALNLLLLNKSQDLVSDRSQPSSINCLPTKTSKTNLKGNYMFKQSYLPKSP QTKVLNGTTTKSNRNKNSSNLNNNKSPSNVSTCEQQSARTPEQPKHNVAILSPSSYNSWL KKEFESKSKQYNLSSFIHKSTQKEKKVGINQIMKTEENVKNNKLKVKKSENKVPAQKPRN GFDQWYDSQKQWLRQTEEKIFKQKMQMEQELSEQEQFSYVPEIHDGSRYIVQKKYNNASL LERQKLYQDEVQQKQFQKKLREEEDKKINQVRISPLSRKLLRSASPKSDCSFTICNSSNQ NYSTIIKK >CAK92821 pep:novel supercontig:GCA_000165425.1:CT868670:90374:91009:-1 gene:GSPATT00025557001 transcript:CAK92821 MIQQLHHRIGKETNVDFAEKRIRNLFNIDILQNVQVRRSQFIQQIHPNPKSLIQEGYVVH SLPLRNCDIMKIYNYPNPLSTPNDQAPQLTQVKIQRIKTTAKKDRQLKISTDIYSNTVAK MPAFYTKHQFNSKTQKSMLSTESIAIRIRSLSRVKENLQDSQISQQRSTTPNGKKRLSQY KLILQKQINSLLQDSFLN >CAK92822 pep:novel supercontig:GCA_000165425.1:CT868670:91077:91792:-1 gene:GSPATT00025558001 transcript:CAK92822 MSRQVYVGRLSSRIRREHLQQEFEKFGKIKDIDLRNTHAFVEFENGDDAKAAISKMDNKR LNEGSDRITVKPRDDRPQGARGPTSRDVCFNCGRKGHWANECKEGDLRDTCYRCYKKGHV RKDCPKSRSPSEKRKYTQIQYVVVVETKTGSEGDLHLPPPSLLNPPSPEEDIDNSRNSRR NQPGGPLPPARADPKHRCLRKAPGIQKLLVQFKQITMIQ >CAK92823 pep:novel supercontig:GCA_000165425.1:CT868670:91846:94213:-1 gene:GSPATT00025559001 transcript:CAK92823 MMMDYSFDNFEVNESRITLNKDEEIKKLTLEEDLPEIKRAQIILMKGQQRQKVAIYNNLH RLLSLGFDQLYQYIRGDIMEQSEEIQIMAARSLLGCKVKPKETIQLSLHFIQLYQWKLAQ AWMPVFQNLVLLIDYKEFQTYLEKMIIQFSEPKQPEIGRWVGAKMIVCISPVLKDEMKGP ILDRARLLCSDPDHEIRELVADELLTCLICNLSGELVEQYFIDKINELLYDTQINVKKSM IKTFLRFQHLLPRNSYNIKGTTIFIDCLSTSNVELLSVALQYSGETFVQIQDLINDEFKN KFTILYQKFGQHQNDEIRKWYLYNLPGIILHLHEGPHASQILMPYADILIQDKSQQNKLL ACKILHEVSKQFDYSYVLQKMWHLFECVIQSEDLDCILAINLPEMYRLLQGNEENQEKLK TQFKDINQQLQKVYKKCLSNYQYNQLFLEHLLKFLHLINKKHFEQFILPVIYKDQQNIDS DLLSMKCLAKFYSVSQDYEIQMKIKDTMNLMFFRGNNNKRVRYIFWVSNLVPLISRKRFQ ELNLNQVVSYSSDKAASVQIQLIKSLPQIYQYLEPIEYNLIKNVKGITQQSLAKEVFLQI QQRQQQNQNFQEEQTLLIQQEETVFTQFTQQQKQEKQSDLIQTNEYANKYLKKPIPKMVQ QQSKKTPNASTSVRLANQSFGELTPQQQQQQQFKRVRRYTDAAKSTSKPSSRPSGFPQK >CAK92824 pep:novel supercontig:GCA_000165425.1:CT868670:94260:96203:1 gene:GSPATT00025560001 transcript:CAK92824 MNQDQDEKLFIDTVQTQQLEIEGLKKQIRLQEFQSKQQHLELINSLDQLKKRNEELDLEL NKQIESTHETELQHFALQMQEQLAKAEEQILIYQENELKLIKEIQQLQGKLESDKINDLK QQLVKMEETAIALIVEKEFIISEQAKEIELLRQNEKLNHSQTENSTQIQIFDIQDLENKL QDYQYKLEHQQSLHEEQLSNLERIITTRIFESLEIEMNQKKLVEQDLLHQIHILEEKNKY YERLLNDQEKKLNILRDEKSQEQILYDKKFQEISERLRYALQQVIKSDKKCEDAYKQFSQ LQREKQNMTKQKEELIRKQVFLENQNRKHTLAIQEYKELAETLQQQINEQDQVIQEQNEQ LQKLAVHYEQQLKIAIQSHTQKFDFSDLEMPSNSNALLSDLLKDSQISQNHQNSHIDSPT HSLTHTSHLSDSYCSFQTKNSSRRRVGNDCKYDSIKDALLDAKHTIKIQNLEISNYEQTI EILQKELKTQLLEIHNLRKIKLDFKQDKEHKLEIEKLKLCLNTMETHYLTTKLCLAEEIN FLKDELKRAESEASKSKLQLAQLNAQFCIIQQKYQNLDRQQSQSQYQSLTNRQPQNIDEK SPVKGRKSIFSIFG >CAK92825 pep:novel supercontig:GCA_000165425.1:CT868670:96551:97787:1 gene:GSPATT00025561001 transcript:CAK92825 MSQGFGNQLKEDFVIEPGYICVNHSSFGYIPKTVLKKRIENQKRFLENPDSFVRFLVPKE SPIARRTAADFLNANFNQCYFTSNSAESMNSIIKSLKLSDKDTVLYLNIAYPMVQNVIKY INTHEKVNTCRVELKVEDLDKEIILSLIEENMKTKKITVAILDYISSLPSIKLPTKEFVE LCKKYDVISIIDGAHGAGISEIDLKDLDPDFFFTNLNKWAFCPCSVNLLYMKEKYLNQIH NNTISVFYGAGIEKEFEYYGTRDSSVILSVVDGINYINQFGLKNIIQYCENLAWEGSELV AKIWETELMVKEKRMHSAMVNVLVPHKDHSYVLECQKTCFEKHNVLVIVFEFDGRSWARF SASIYNCLEDYEYAAQQFLNVLKKER >CAK92826 pep:novel supercontig:GCA_000165425.1:CT868670:97790:99380:-1 gene:GSPATT00025562001 transcript:CAK92826 MSSLLRHNEDAGGIPALASIPSPQSPDYDWVLVSGFIVILTQVGFAFIGAGSVRYKNSQS VVIKVFLGLFLTVLIWWLFGYGFSFGDDFQTKFLGGTKLAGAKWEATAYGNDYTNFVFRA SGAAVGTAILAGAAAERMTFLGWSIFSVLYSGFIYAGLTHWTLAAGWLSTLGYKDFSGAG VVFFAAGVAGLILTVLLKPRKARFDNGATLDFNRHSPLYIGFGSLLVLAGWLFYNGGVVS QGAKTQYVQGLVAVNTLIAGASGGFFSYVIRHFQYGTSNLAALSRGVLCGLVAVSAAADD MKPWTAFLYGAIAALIYSFLAKVMPAAHIDDPAEVVSVYFGSGFIGIVLSALFDTKAGAF YGFGAKLLGKQFLGLLIIFAWVAFFTLIILLAFKGFGILRIDAETENVGIDKSLCLGEAI VFANQVDEVPLVQQTELGNLRSSQLGSGLRSGFK >CAK92827 pep:novel supercontig:GCA_000165425.1:CT868670:100046:101161:1 gene:GSPATT00025563001 transcript:CAK92827 MSSVQHYLLRRSITVNKNGNIFTTQHEFDQQRLYRNGKIVPYYIIGNPEIMDKQLTIASQ TEDSQTNTKTKTLFHKEHKPKVAQKPKNRVLEGDEFIKLDMEFSQVEDEIQQLQIQQKKG QINLPSSHFGQADRAAAEKDNRIMKSFEQQQKSWDKRVIQSAQRCKRQVSESIYNKIQGE REKNEDRKLLDLIQTDAERHGHKLWERQLRSTSEVLETNQKIQAQGLEIIKSMNSSGIYK RPKSFVKRFQERQDKVNELTARGNQIQNLQVEGLNQLAREASSLNKIIKDQKEIYQNILK NNSSVEQISHRKKYKAPFKKLVPVEKQNSSQDIISINYDKKTLGQQGKLEIYKQFF >CAK92828 pep:novel supercontig:GCA_000165425.1:CT868670:102273:103536:1 gene:GSPATT00025564001 transcript:CAK92828 MNYINNQYQLIKKLSAGSYGVVYEGENIQNKSFVAIKIEKKDKPLTLEREVQALSRLQKI TGIPQIYWNGTHNGQNILVMQLLSKDLGYYLKEYRKFSLKTVLMIADQLLLTLKNIHSKS ILHRDLKPENLMYHQRQVYIVDFGISKMYRDSNQKHIPFRDGRPFVGTTRYAPIAAHKGH ELSRKDDLETLIYILVLFLKGVLPWQNQILQNNKEKQKLIGEKKIKLSSTEICQDLPIEF QKALEQIKCVYLSHIKSLGFSVEPDYDYLINLFRKLGQRHGFEYDNLFDWCKEEEIIAQA KMTQQSEKIQQFSLKWQIQQIRCISQSLQIPSTMECLEKKVSSISNIIQNPMQISQSAVI VEINSEGTLSDDSTNYLYNNYQQLENFSNLYKNHLQIMKEK >CAK92829 pep:novel supercontig:GCA_000165425.1:CT868670:104287:105370:1 gene:GSPATT00025565001 transcript:CAK92829 MNNEYYFEQQVINIQQYKKVGMILEYQPKIFSKDQFRVRYKDLEEIYNEEMSPTQIELGY DNELQQSLLNTFLKNNSLMECKVHSQIKNDQLVELFDCFQSEEEYTLILEYMNNANYFRD KIETELKIISTEAKMKSYMSDVLLGLDYLHTQGYIHCDIKLENLFCEKLEDQVFRNVKLG DLGLVHGYDLNTGLGLMPVKCGTANYIAPEITNNAMVSPKIDIWSLGIILYTMSCGYKPT QIQGSYKYSQGPIPFRKFDWKKRSKELQNIITLMLDMDPNKRPSCQELMQHSWFEIDS >CAK92830 pep:novel supercontig:GCA_000165425.1:CT868670:105380:105799:-1 gene:GSPATT00025566001 transcript:CAK92830 MIKEKVILRSEVYHVYSSEQQERMKVYFDLSEKYQKCLEPCQKLVEGEVCKQNCEKVFED YTKLLQHRYEGDKKQLIDAKVSGLPTFDSFKRKDRGFFYKVFGFNFSEFWEPDQRQIKQI >CAK92831 pep:novel supercontig:GCA_000165425.1:CT868670:105960:107642:1 gene:GSPATT00025567001 transcript:CAK92831 MTQAINTDLLLRMSTLNQEFHVLATNTNLFMSNIQELLLQQRQENQTSRQICDLNTQSVT ELRCHLDQAVGNLYKKYEEQVNDKIKEFEGQQKQKEILEQNRIKELEQRFNQYDDIIKEL DKKWESKSNDRYIASIIQKNLLTNQNIGQFIVDKVLKLTEETVQNKLNDYQKLIDERMTN QFHQLSNYQIQIRKCETKQDELQNQLNISKEFQMDFQKQQQKKLQVLQHQNSLFKEVVQQ QVHNIIEQSELSKLNIKDLREQMIKQFEDASVGKLENNEQIMHLLTQDQQKIRELERKQD LIFKNENEIKFKLEQIQQDYSQQNQRALNQIVTMDSEMKNINSAINGIYEKVNTITLNPQ AIPEKQPEKPQEKFKPLLISQKIQKQFCLKDQIGQIEGLFRHVQLLFTHICKTYRYEQKM ESQKSKQKSDLTRNNSNEQIQSDNMDHPQYVFQHYQQLQKQEQFKSEIQKTDVPQQQIIS PQNQLKINIQPVYQSNEDYFGDTRLYFNQALKPLYIQGKCKKLVLLQNMPWIFDPKSRCN SYLQK >CAK92832 pep:novel supercontig:GCA_000165425.1:CT868670:107773:108629:-1 gene:GSPATT00025568001 transcript:CAK92832 MQTVFKNALAKYRVVFLRHGESQWNKENRFTGWHDVTLSQKGVEEAKAAGQLLKKEGFQF HQVYTSVLTRAIQTYNYAAEEMGCHYLPVTKTWRLNERHYGALQGLNKSETAQKHGEDQV KIWRRSYDIPPPPLDPTDARNPANDRRYADVPKDALPLTECLKDTVVRVIPYWHDHIAKD ILAGKNVLVVAHGNSLRSIVKYLDNVSEKDILELNIPTSVPLVYEFDSNLKSLGSYYLGD QEEIRKKMEAVAKQGAKK >CAK92833 pep:novel supercontig:GCA_000165425.1:CT868670:108639:110887:-1 gene:GSPATT00025569001 transcript:CAK92833 MQEKISELLLHQIPGLCRLTKQCVDHMSGMLVEDTPQNAADVQELLGEYFRNGGKLTTQE INKICQKIFDALENAKLIKKEQKHTLAAERLPEEVILSELDFYLEKDTEIIKFEDLFKEQ VATNTNEQIQKKDRKEKLRQKEDIKAQEAYEKHIKTIKDQKTHIPPARVRHSKADQDGKK LDIVIDKLSIIVGGRALLEDTSLQLIYGQKYGLVGRNGIGKTCLMNALARYEYENAEKFR HVQVLLVEQEISETDKNPVQLVLETDMERSELLEQKEKLESSEDLNAGIKLQEIYERLEV IEAHLAESKAIKILQGLGFTEDLMYRKTKHLSGGWRMRVSLARALFVQPDVLLLDEPTNH LDLDAVMWLEDYVINCRHTVIVVSHAREFLNVVCNQVIHFYDQKLTPYTGNYDQFEKGRA EKNTNQKKQFESQQKKLSHMQSFIDKFRYNAKRASLVQSRIKAIQKMDLIDEVLEDPSCV FIFPNPEKLRPPMLRIEEGYFEYQLGKPILKGLNFAVEMESRVAIVGANGVGKSTLLNLL TEQRRLTEGNYFRNPRLRISMFTQHHIEQLDLMKSPLEQLMTTFPGASGETYRSHLSSFG LNGNLQLRPQYLLSGGQKSRISFAMAVWNNPQILIMDEPTNHLDIDAVNALIIALNNFTG GLVIVSHDQYFVSTVCDQIWYIKEERLKKFNGDFDDYKRALSEGKLA >CAK92834 pep:novel supercontig:GCA_000165425.1:CT868670:111832:112691:-1 gene:GSPATT00025570001 transcript:CAK92834 MNPDIICNLYSQAQYYQQYYYFPQQQFLQYMMLQNQTPTLPILQYKYDTPTLLNSGITQQ SIESVNTTKTVQNNHTTEVNSGQQEKCPSIEDDSQSRVNGTYNSYAQDIGQNKNIYNIQN SLRVTSLSLSPNMIRINSSQQEQPLNADLIIRNSILFQKVKRKVDLRIKSQPLSNPEIVF FDSIYVILQICIWNKFLKLLYPVLIFKYKCKYINQKQFVIYFQFDFRFIMTYYLCILRQL KPNIFVCMFLVPCELANFIIKLLNPSQ >CAK92835 pep:novel supercontig:GCA_000165425.1:CT868670:112753:113008:1 gene:GSPATT00025571001 transcript:CAK92835 MEIPKFLPEARPYIFIIIISNFQISMRKPASLINTQNIQNTMISNS >CAK92836 pep:novel supercontig:GCA_000165425.1:CT868670:113527:115055:1 gene:GSPATT00025572001 transcript:CAK92836 MILNLDIIKEEFEEQVEQETYKKIQYPLVQHDNQLNDDQFIPDEIVDDDKNREQSGENSQ QIAGMVFTGLGGFYTDQAIDFQEAKPQNYQYRRLTIYSNFTQFYRPSEVENDNLENQNRN LFENFSTFAAWSILTYQTVNNAIIPAWIMTIPLDKYLRITWRFLIQSIFLIPIMMYEQRT GSQQVKQQYEIRYIFKWENMRQLYFASISPTIACTIFLFCFDYNYIATIFILGSQTNFWL SFIRDKIQNHILEKRGQIFSIFGFLLIGVQSITMSSGSGIPIMASNYINTSVFTQVPQLK LLIGTMVPFASSLLLARCSVFNLRVRNTFPIYLCNYFIAIFVSLNIFMVAYFVDGISLDD DPKFGVFGLFTNQKFIEFLYNSVIIIIGISICSVLTVQLFDPLTLAICNLIEPLITSVLC KFTGVQYYPDGLTYFGYFWLQFGQLLIIIGQDLHKRQLDMSAKLNTQCKHSSSKKTL >CAK92837 pep:novel supercontig:GCA_000165425.1:CT868670:115093:116657:1 gene:GSPATT00025573001 transcript:CAK92837 MSLLHLKTIKEERHSYENIDLNQNLLDQAENREYEPDENEQFLPEEQKELSDEDVQDQQQ KHENVKSFVVGTGMDGFLLEETFGFDDKKQKNNKQIKRLTLNTRLTQIVGLNKLADDEED DQQLYEKIPQYFAWGIIFYASVNNAVIPPWIMTIPAEKYLRIAWRFLLQGIFLIPFIMYE YRSGNEKVKSAYTSEFLLRWTHMRKVYMASLTTTVTFAVVLFCFDYTNISSVSVLASQTN FWLSVFRKKENNHNFEGGGKIMCVVGYLLICLDSYMLNTDTIPETAKQYINPLLYNRPIW MRIIIGNTIPFFASIILAELSKANQDLRNLFPPFLANFCVAFFVCLNMCMVSFFMDGTSI NFDSRWGWFGLFQNGKFMGFFYMSTILSIGVFISSILISKLFEPIVPATAALFEPVITAI LCEIFHVQYYPNAIACFGYVFLLPGQFIIIVGQHILKKQQEQEQKQKLEQQQQIHASRAQ >CAK92838 pep:novel supercontig:GCA_000165425.1:CT868670:116740:117276:1 gene:GSPATT00025574001 transcript:CAK92838 MFLFQKRLMTLSQSSQQPIRLKPKFYSQQKENVPMQKDLPKVNLINKLNEASKIPKPLPK PQVQITQKTISKDIWHKKSISMKMKNANFKDGKRIVSGQLIKYKKGDQIYAFRCIKDTMK QCKLRDIKLDHDVDTDDEQIFMATKNMFMSLCQSIKRELFNNDDYQNENKIIIDDDFL >CAK92839 pep:novel supercontig:GCA_000165425.1:CT868670:117310:118301:-1 gene:GSPATT00025575001 transcript:CAK92839 MNPFLPKAIPKGSRIKTILECVLLSIRLPIFLGLTLQIIIFNDLLFRKLQNQEFRQSVHK FIRIIILLHNFFIGRALLLLMGFYNVKSMFSNSAQNQKPQNLQSYSLLCSRTSPIDVFTL ITYFSPSFTHVSLYKRRVRYQLISYYQAIIDSFQIEKCGFKMFRSGKDIRELMELIDKQR SGPLIVFWEGGVSNGQHFLKIDELMIKEASKINSYSKQRQHVAKYNSSNPTGLLSILTNS RVLLVGNSWMRMLYNLLVNWSCGLQMHFLRVPYFVFSDCRFGEFYNQFVHNMLMRKLSKK NWMDYRTKFIRDIN >CAK92840 pep:novel supercontig:GCA_000165425.1:CT868670:118380:120317:-1 gene:GSPATT00025576001 transcript:CAK92840 MQLLSQKFNSEKFEEQYERYIQKFRLRFQLIQKVILIPLIIYYSIEKVFESSWFAFCLNI ILGIIVLTSLRYQKRCIRAYEILLLIGILLFNMFYAASQYLQIHPERYQFIDGYFLAILS LTQHNFICIYRIINMMDSIQKAFTLLFIYIFFMIMIPNPKEPLWSQVVKFIIYIILYYQQ SRYQQQLMRMTYMQYCKHLTIENSIRENLEMKYYIVNFIENSRQILLQQENVDKFNEQED QYNFQAFIRKTMVASQGRKIKKQMDIRTNSIDMNLEQFLFYLFTDKKRLLKLENYENKYL DYQHVLFGFNSEESFVIKVVLCFDTQPCAILLITEQQKKQFVDKLKLQNKATLKLLNYFS DIFNTHIRLSLILLNGILKKCNQSQQINKCLNYIIAQLYISQNKYYNISDYFSANADLKQ LSLLKFNLISLLQCLVDKMKYYRSNTKLKTRVIKIQSQVNDLFMRSDIKQVQQLFLNLMI FSSQYSDEISILIEEDLDQSFLPQHIVNVSFYFKVPKGTRIEIDKFPIINPMTLEEIKKN DKRQLDLYISISLLIIRNLGPFDKMTMRNIGKQQYKIQFFLYRQIPLGLSLVPIHSFDPQ MYIKRDEDWQLVQSQKKDSLINSFNQEIRLNTQRCLSSPLPLLQK >CAK92841 pep:novel supercontig:GCA_000165425.1:CT868670:120444:122359:-1 gene:GSPATT00025577001 transcript:CAK92841 MNFLSLSFADKVIETKYQNRKKELSQQLLKFQQALVILMLLYVLISSAFKQIWISLCISG IGLILLIISVKLNIDRQEQRYLNNTIIIFMTVFNYYKSLHKILNETLHQISYIEGYMLAL ATTSCKFYVKMLYPCFNLHSATNLSTYVDGGNMGTDDYILGVFNTTPDLSIQNRLAKHQK AEQQLVNLCGITLYIVSYKRDTNQMLLTTYNNEDNITIQQQQQFVSQIRNMRVLIKDQES RTHYKSEEEIKQDAKMNLEKFLFYFVSCPEKLKDYMNKFQVDSLIKLQGVQNQENYNISI IKYFDQLPSAIILISQNKKDVFIEELKLRYKIFQQVMETIDEIFQSQVKMSLIYFRGIHK YQKVKSNNNMDLCIYQKIQSKIAKAYNDFQNIKDFFNLNTSFQRSIIQKFNFITFLEDIL IEIQHYFYQSKKFTYSITNQLKKDNVFQDQKQLKQLFLNLLYYLTEHCQEIGIVLDQGEE YSLKKPYFRVKIEFKGTSFSKDAIKGLPFINPITLSELRHNAEQVYQLNLPMAIVIVRKL GPTNKIHIKQNKNGVNSIEFLIFRELSEDFHLMPMKSQHPSNYLILNARSSIHGKHAAYF DLLSLSPRIQLDNFGEL >CAK92842 pep:novel supercontig:GCA_000165425.1:CT868670:123090:123803:-1 gene:GSPATT00025578001 transcript:CAK92842 MGSTSSCFKHQQVNQNEFQIPMIVKQQLIQQCPTKQNFLIQPIYGLGEKSESIEELEAIL QQPQANFNFNQTATFHSNSNFQDSQKGKQPLLNQQESQCKQSVNKKDNQNQIQCLHSTEE NHQPQDKNKGKLNCSTQKRKSFSRSLSNFKQQKCEWKDDCSQKQKDSDQNTIKSILKKKS ANKNQKQLLYKRGQSLKQKSNFVEVDDTESQNTNKMQKKKVKFDPKIFRQKNTHFFQ >CAK92843 pep:novel supercontig:GCA_000165425.1:CT868670:124426:126323:-1 gene:GSPATT00025579001 transcript:CAK92843 MNLITLNFEVSYIEKEFRESKQKLFSTILVYQKWIFGLINFLSFVSCLISKNWIIASISI GTLILILISIRLNKKYPYVYEIAIIIYMLSINAYIAFEQQFASNDWQYTETFFISFTFAS LLNLMNFMKRALLLIITVTLHLIFGVRHSDINIDTYFRYLLYVVLYVQFMYQFERLLRTT FLDYQKCQQYLNKISKFKDVQSYSVKYDERKSLILIEKNNSKRILKEDQEEFLKMVLNMQ LSMHIQKSRVAEIISVDNLLSPKKQTLKQFLVYYTQESNSDINDTPSKIKQDIQIYGFYQ EQVFALSIFRSFDVKPVTVLLMKESKSETQEEEMRLRMRNNSKQLHYIQQIFDKQIKKSL IYFKWIKNFANKQTDAQLINKTLQMINYSLNKGYTDFLNLETFTLQKMLSLGIKQFDLIL LIKDVFAFYDGYNKQKPQSLGYIQQFEVINNLSSNSIMSDYKYTKLLLHNLINYTSKKSS TIQVILDEVTTDFSLTPIIRIKILYQGPNITKNQLHGLPILNPQSLQELRHNSTVPLDLD LAVSLILIRRLGPFDRLKLIQQKRKSNYLEFYIFQQLTEDYLLIPITSMKPSDKIKVQEY SRIANSFSTSIQIESPGDSIQFL >CAK92844 pep:novel supercontig:GCA_000165425.1:CT868670:126456:127076:1 gene:GSPATT00025580001 transcript:CAK92844 MIKKQSLSSKPLSYIQLYDNGRNSYCKIHCMKYEQFEYRNGRCYICCYQKECNQNNEPLS NTEIVMLLHQILVQSISNQFKQQQKQRIPTLREDVNKAIQLYCDRAIKIIDKNCEKQDQL NEKIKYILKNLQNENIHQQAYEILKFQELDCVNVKLGVIATLTKEFYRILTKCEILQIAL IPQFNMINLFATMNTVFQTTKFKSEK >CAK92845 pep:novel supercontig:GCA_000165425.1:CT868670:127468:127971:1 gene:GSPATT00025581001 transcript:CAK92845 MLPERVHVFIFIEDGKLLICQSNSNLTFLIKENNTWIIYQQIHQSFITTMWSKINKMIIT TNLKNQIQTWQRNQKGLFQLISTAWDPESNSKNCEVNPVYINDDGLFLGQCQDNKLKVWS YLEDGSIQLAFEQEIDEQNVVITNDFTNLLAQNEKSLIWYQLIYHQP >CAK92846 pep:novel supercontig:GCA_000165425.1:CT868670:127984:129294:-1 gene:GSPATT00025582001 transcript:CAK92846 MQKPFVLGNKIVCSNEIHKKQNMQIIALCLTDDCNSKKTLCPQCFNEHDKSHIIIELSNL TSQLQEQQEFQTNQRNEKYQLLSSKQDLCYELICEKLGQIQKEANQAKQLSKQKIEAESK SHFANNLRNEEELEQLAKELQNKVNADHFNPRLIKILNQKNILLGYEKFVTKFLFDACLF LNSTDCAEQCEYFMDNQLMSLKSLMSNINDVRQEIIVGFQDEEQNLLYMQSIYEKLDQSF QLYLSKKPQQVSDEQVQYMNNLIKLPVGQILQSYPTETLITNQFQIIFDNPFSKVITKQN LNHLKTLCNEKSLICIGAIKSSDPNLLILCSIDYASELLQSTSDFKVARKSRSGSVYWYN KYGVFCGVIGFSPIQQIDIGNALNIHCDSQILEGDLRFSMQIDHKTSLRIGKDITDHPNY RWQLYLKQ >CAK92847 pep:novel supercontig:GCA_000165425.1:CT868670:129315:130246:1 gene:GSPATT00025583001 transcript:CAK92847 MEIYTISQYGNFQYKEILEEFWRFKQVILNYLTNFEDIIQEVINSHVNFTSIFPEVYNFI EKEFSIKDFVNSIQNKYTISNNHLLYKGIKNDTNQLKIELQKIYTQIQMIFQQQLLNQQL LPSRMINLNDQSHHEEIEIINENEIRRSKLSNGRWLIQTLRIQKEKNYCFRSKNLVFTIN GSDTVFGVASYSQVVKHNFEMQYPIPSAYFVRQDGVHFGFGLTEVQQDFSVPGFSSKKGH QVSMGLQTGQNILILENLVTKEKEEYVIPELSDDWCYIFGFRFHNDSIILEQ >CAK92848 pep:novel supercontig:GCA_000165425.1:CT868670:130280:132169:1 gene:GSPATT00025584001 transcript:CAK92848 MILIILVIVFQVIGEELLNLTTKTFSIEDELSGVKEVIQGSRQFITDFDNAEFAINIELH GNNSYPWDICFSKQGSVEFGEYENHHTVIYNATHNPDEEHANDPSYYLSTPILVNMVITK QGLLIATSDHTLLNYNVTQDPQSQVGFKTHLLQEYDYDSLRSEATEAEVPQIVYSSTYNY VYLIYRDQILKGKVSSNMTLSNCSIGSGLENDNNFIGQIKILNNYMFVPLGRDGLDIYQI NKDGDIKLKMSLSSFDIYNKDLTISLVDIVFSKSTKSNDTYAFILDSIHGVTKYLVRTTE EQIVLNRDDKFGLVDIKSGLAIAVQPDDFLLILKEVGINQQLVEIGFKDEGWFEVKTHYL TGQYFDIILSKDFVLLRGKDEHRIVRTGVYEEFEPEFKFYTQDDYFTEKANSFYEDYVFI PKLQSVKFYGSDFQTEGDDNQWSFQKEYPFLLGLTQHTIVELPYIVRNPQIFCEPKSEQD VGKIYKYEILMNATSCPDKDKYLDENPKVPYQTIQCSYKNAFQVKVVLAQAKIYNTQELI GVIIGLIILLILLAFLLAYLYRKFKVKEEGLTSQVQGFDNQKGYDLEPNDAPATQGL >CAK92849 pep:novel supercontig:GCA_000165425.1:CT868670:132499:134265:1 gene:GSPATT00025585001 transcript:CAK92849 MQNIEINYGEVVYHIICQREHLVRDIYYQLYIFTNAITLSTEIESPTPKYMVPINLENNI HWVKNNQRVLTQFGIEYKGTVKYFTAPEQELKIFKRIVKNKIMYREVSDFYQPLKLLGKG GSSKVYLVHDKDNSAEFASKCIEKRYLREDGGYTALFNEISIMANLDFEQIVKLEEVYEG DNTFYLILEYLKGPSLHDLCNSRSTTQPLTWEQIKQIMWQLLKGVAHMHSLNIMHRDLKP ENIMFKEHGSVQGLRIVDFGLATNTNVEKYPFPKCGTPGYVAPEIANLKDLTVKYDKICD MFSVGCIFYKLITQKDLFPGIDYHEILKLNKKCYVNLDNLQMFKAPSSAVELISSMLNPN PSLRISASRALEHLFFVQSPQADIRISFQTKKRLDPQNKLWQTQLFKNIKSDKIQLPEIP LKLRQQAKEDEVIEDEKITINVPAMKSPRFQQQIKQKNINLIDSQPSTPRTKQIKKYSTQ EYDLSSDASSPDSARMRPTIVLKNSTQSSAKAQTPRARPSKQIILQRQMSNQPIEEVNEE EIKA >CAK92850 pep:novel supercontig:GCA_000165425.1:CT868670:134631:135439:-1 gene:GSPATT00025586001 transcript:CAK92850 MIKEYDYLFKLVIIGNSGVGKSSLLLRFADDQFSESYLTTIGVDFRFRTLPIDGKNVKLQ IWDTAGQERFRTITSAYYKGADGIVMVYDVTQGQSFDDIDKFWLHEVESYGEKNVQLLVI GNKNDLDEQKQVESSKAQEYCKSHNMLFMECSAKTADHVNNAFLELSRKLMAKKDASQPP KSSNPTPTGSQSSQSKAGGSQSQNTSQTKLSANSSNQKKQKDGGCC >CAK92851 pep:novel supercontig:GCA_000165425.1:CT868670:136910:138450:1 gene:GSPATT00025587001 transcript:CAK92851 MSSFSCSQGHFTLFQGKSTLGCTNSTNFCGKFSSCVQVSSANGQAINGCCREDYLYPSIA NIVVYILIIPIIGIGSLGALGGGVVKRPFLEAVLNFNSGPSGNITACLMFGAQLVNQIII TFQKHPYHPQCPAVNYEVGMIYALAIPLSMQFGEELASYMPLLPVLTIQMMFFIVILPIC LLYAKRQEVVEQELDEDYTDSHISMASAFKGSIQDEAQAALVYKQFLDESHQILPLIPVL IAFGSFAANEAVILSRSTLSQNSPYFQTNEQDPNPKLSPCNVWNFYMMILLFAVNILITG TTLLVYRKKEEIKDTVRYKMKERYFTPTRRFFKIYGAGCATGFIAGFLGMAAGLTMFVTM VQFGLVAASAGATARLWLFYCMLTSFCIIHGQRFWNANW >CAK92852 pep:novel supercontig:GCA_000165425.1:CT868670:138990:139552:1 gene:GSPATT00025588001 transcript:CAK92852 MTSQQYCITITDNFGNLEFWWHHDISIGIYVEVLGTYQQKIFNDIKFNKIYAKRISIQLQ QLEIFDSSNNYMLCFLETIFEQKKEEKQEKQLQFQNQILVVNHSQELTTEIAVYYLKLIG GKYLKNYEMKDDYIVNFKGEEFEDMIYSKEELKMSIQILQSVGIFNGNVVCKQDQECFK >CAK92853 pep:novel supercontig:GCA_000165425.1:CT868670:139738:140292:-1 gene:GSPATT00025589001 transcript:CAK92853 MGDIETIDDDVGSIDKKFEIGKYWGKWTYFYFGYSFHLKQAYAYIRQIDQTANSYLFEEI YHFVPNYLSVFFSEDGYGKQFDGEAFDWYLGIGDGAFTTSPEPREWPVDPAPPPDRILSA LLANQGFNSGRIIKGGGTFLQVEGSVNLIQENEE >CAK92854 pep:novel supercontig:GCA_000165425.1:CT868670:140295:141602:-1 gene:GSPATT00025590001 transcript:CAK92854 MEIGTYDIGFIDTDFNLNRNTNVALGEYRGIWMYIWVGYSRQDEYAGWFFGFPDVSKGGL LKKVLHFSPKYLAVYFGKDGINKNFIGKSRHVHACYGSTQCWHYVDKVEVEVDLPAWIPY KLNNYFEFYVQNDADALIYAKDDKPALDVEFTQTNFPGSDIEAIYEYGIGLWTRWLMNYP FILLEKAESHSIFRFTTNAQYEDAQKNGDRTVSAFVGRGEYKFSTYDAVLDKNEITTGTK FDKELEGYWNFVYFCYKRIPTGPKGIGYVYLTHQNVVKRVEIDSAKHWLLRDYARLVIGK KEFGHSAFQGKLFDPRAFLGKNSYIDSSEDLLNVIVPKFRPYPPYKDKQDNEPVQVEKAK MTQRVFKSYEEKYSGVFEYSVYGFAKGNKLKNVTDWTSLVRVTQNTPDIQADNDNAGDRT LSIFIDKGCLVFQYL >CAK92855 pep:novel supercontig:GCA_000165425.1:CT868670:141706:145323:-1 gene:GSPATT00025591001 transcript:CAK92855 MLKQLLVAWLLVSVSLQFKTSARTQITPLESILSIDPSGWTCESPSYKEQLGAQHDIDQW IDIVKHKDGFDGDLQVLAMVKALVDRVLLTVQHIQGGSFIQVKQLHHQISKHFKSLSQIE STSSWYDINDILGYLEVLNKAKSDEDKLQLAQTIATKISELIQQITEIQLQLNTIPIEID QLYDLATRVNEKTSQCKDYWAPLLQADAKAEEEERRKEQEQEDAKLQAEEEARAAAEAAA EEERRKEEEARELEELKNRVDITPEEAELLEQQAEKELELAEELEREAEQELDAAKSAEQ EAETQAEKDAREAQEAAEKAAESQQVLENAQNKEEEACLDAEEAQRRLKEAQEAAEEARK RAEEAERLAEEAKKAKECEPVPEPEKESEEEVEEEEEFEWESEEEDGCGDDVLEDLIDTL IDAATGPSAYQPSCGYPGCQEQPIIIIVEDDEDEPKPQPQPEPKPEPKPEPEEEVIVEEE PEEEVVVEPTPDDEKVEEQEETVEEIVEEIVEEIVEEIVEEIVEEIVEELVEEEEDDDFG RLEFPDEPEPELEQLVYTLDKRTDSVVTQETSPWEKDVEDGPDSRIKTSVEYSYGLWTYF RYNGKVKIAEKKEVLAVGGLSGDDTNRLVTTIGEGFYNFQVFEGEVVTELQLDYGKYLDA EWIYVYFGYKEGKANGYIYWGRTQVIKSVTFKVTQPAIVKTIKFQSGLWKGFKGFNGVIT NVRVVLNKNSLVETEEEMKNLIETKYTVPTDPELEYQEKQYYDKVEYDGLIDDPKFKWDL DGYREYSVSTWFRYVRRSERAHQVIFRFTSNEPEVGIIPHGLKALSLWQTEQNEYQFSTY TVEEKDKFSDIDEKVVIAQESQQLWTYAYFGYNHGDFTYYMEWPDDKVGHVVAKYWALYL GQGHQFFFHGKTAYTTIRFGKGAWGSAIDFDDYKTGKIKLWPGVQLKTYDPKWLNHKTES EYDTLLHDEPDWVTDGVYEYGFGMWTKFFITNPQRIYEKPERILVGRLGFTDQDEAEFAV YIGRGNYEFWAGSGRPVNYGKNLDGYWNYIYFSYSKLKQIAVGYVNFNKLKQVQRTVFDP FDADPANNYVRFYVGQWKSTVYGFNGRIAHAVVRFGRGSFINEIAEYETWIEQYKVPVDE ELGTKDYEIQGADNKDTETYDTFLYEDGAIETEQYSVYGWFKYYGSLDEY >CAK92856 pep:novel supercontig:GCA_000165425.1:CT868670:145372:147307:-1 gene:GSPATT00025592001 transcript:CAK92856 MKIFSLNFQDQEMEHEYQKEITSIYKNYQKTQKWIVLPLVVFQISIKIMREDWLLLTVLL LCSLLYALNLKCTSSSNRYFKDLLLFMSLVLYNFFFPYNSVFQKKELQLQTYSLDGYFQA LTILALMNTLSYSKKQLILVVIFTFQITIFRNPNIQLWEQVVLHIFELCIYIHYCYCFEN FRRKVFIQANTQKILEDNIQTYNDIESFIISYNPPKNKLILMQKNRQQQITEQIQDEFID KIRNTKVSSYDSKRRFTQFTSQEVLENQANMTLENFLFYEFTNKKKYIMHLIHQSESNNS YLLKGFLYQESYTIKVVKIYQEKPCALVLLIENKKDNVIDQLNLRNKIAMKALDQIGDVF REYVKPSLISFQWIYNNKQKIKNIVFINKLLRKVNSNLLKCYNDFSNIQDYFSINKEFQR CLIQKFDIKEFIEQIQQHVVRYFGDDKGIFFTIDCKIPDTYVRQDQRQLKQLFLNLFFFI LEKQSGSKNSHPNYVHITLVQEKDESNQQMSIKIRIDYEGHHLSKAAINSLPIINPQTIG ELKHNSQKAFDLLLPISLLIIRKIGPHDKLTLKQNRMNRNFMEFQIFNALEENYHLLPII SFYPKDNILTTSSLAKHYSEYFDQVPIVQLNSIQEF >CAK92857 pep:novel supercontig:GCA_000165425.1:CT868670:148188:152010:1 gene:GSPATT00025593001 transcript:CAK92857 MLFNKSQSTGQFRLQLASTTSRSNFNTKVLSPKFTQSFRPKENIELIEIKNNFPQRELFF EVQRLWDENQIPEIHQKYYYFILTSNIASQEYLMRSEIESYKLNGSIIKTLHQLTISREI CLKEALAIEQSENIIEFVCLISNYIYDLRKITLNLIEYQRKWVNKLYIQYAYNYQWIYQG ENYVMKIKDDHKLLFQKHPVLMKFFHISESVDDIFYLGVINQAYERDLEYFDYLTKHKLD QKYLKRIKELQTYVTVQFTCKQSENKIKTFLQTKTNFLSTKELQSAQQTSRKASITKLQI ELPPLPEYRSQLIYKSDDIQFIIKDWPIKDIPQILSFWLSTNFEDFHKSFLQPSTYIQET LSYGQEAIMLQISDLGIMLASVEQDCNIRKWVIHLIVCKEQIFDKIIHHFIKMLISNGDS FEQLGINLTLLECFEYNLKILNFYFCKIITIENLKVNYTQYVLDIKRTEQEQQSMSIIYD PICFIYARIISRIKKLILNSDEETMEAQFCANLGKSNLIAFDEKTQEIAKNLIKQKIYGS SFQQIQNIKDVTKVCPRFKSPIKTPVYLQYFNMNLQFMVFSSEIHKQTQQPYIRFPSRSK ASLDEASLLQLSSNEGNSLRKVYLISTTDPFVKIYVFELKNDEVSLDIPTVVNDIFEKYD QKSLSQYNIWLPFFRSIGNRQNLTNCKLLSYFTSEAFFEINFPRIGMNSVNYSFEGEKLI NFPFIVGIVQSDLDQINKPIFSMLVQQEDIIKKCEPMQIAKKMERDYEILVMQINPKDVE LRVKEIVRFSCPITQDSFEKDPQKLIDIMYYQQEACVLQFFVENLVQGYCIMYVDQNKIH ERVWIVEIVSCKSECFFDIFLTQIVDLVFGQDLSASEILIAQYHYPQNNGQIMANKYISE AIKKVGFKWRIVENDYKTQIRRTIFTIKRSNCYQPKTEHNISIKFNSYYSLQQSEARNEE SNTLSYLKTKTVFQFAEDCFHYNLHKPYEQNQFIIEKVKNNLASLPMTQFAEFKSEEEVH KYFEKLTSQPSIDPRHTQVMAQYTNVQLKWLRSREIIIEGSKYIEVPNEQNFIYVSTFPK MNRRIYYVKLFNPGYYFFAMEVAYNQIDAIRNDYVRFTDRINQEFYNKQPQTSSDLYIPQ FQTCLTISEPNPNWSFVNFSLIYNHTITQNIIKDLGNRTIRMQMPILAGIIQEDISLRYE KPIVAFVASEYID >CAK92858 pep:novel supercontig:GCA_000165425.1:CT868670:152111:153518:1 gene:GSPATT00025594001 transcript:CAK92858 MNYRSKNHFSSLNDLFSEFNSTKKLNENTPQQKEQQIDSSPIPKKMARLKKQEINYHSLV DLNQINKGIDVVLPNYEPTKCSQNNNGLIKAYAANTNQGIIRTYNEDRVSIILNIIKPQH RAYETWPKCAFFGVYDGHGGSACADFLRDNLHQYVTRQSEFPWNPVAAIKKGFEMAEKDF LSQAIESYSKGMQERSGSCALITLVVGDYCYVANVGDCRAILSLEKGKKIMELSVDHKPE IEYERIKRNGGKIYQTHLINENGTQIIGPYRVFPGRLSVSRAFGDIEAKLEQFGGNQKVV IAQPDIQIFRITQDSDFIVIGCDGIFDKMKSEEVIKKIWTELESQRNTNNLHGQISAAVD SVLKEVVLRKSSDNITLLIVAFNELVKCQQTSQSKINSISTQIELLQAFLKNKINDENQP ELNQKKQSPITQFFNQPERKHFSQKENYDAMFNKIRSSNNF >CAK92859 pep:novel supercontig:GCA_000165425.1:CT868670:154400:156060:1 gene:GSPATT00025595001 transcript:CAK92859 MISSCQSFFRSSKVIYSFAVANQTRYSSPQLQYFQSLRIHKEKSIPSILNNLLINQMLQF DWVVDQGPKLVDILYSICGTRITNFLINNTIGKVFTAGENLESVERYLSQSDSNISYIMD YCSEALEGLEDQEKFYDENSSIFRQTILECAKNPEKKNMIAIKVSSLIDLNLLKKINQAR LNIFEMFCKISQGKQTITIQQVFQYLKKEGINLNENEQKQFIIGVLKYSQNEMEIDEITW RYRVQPLFMFETDLNNNPAIKYFNNLNQEDIVLFEQFIERVKYFMDPALKNKVCVMVDAE QTYLQWAIDCFSEQMEAFYNQNYTLVYNTFQNYLKQTKQRMDYEIEKAEKFKLNIGIKMV RGAYMVEESKLAKQQNKENPINDGYQGTTTMIERNLEMLIKNIHKSPTKVFVASHNEQTI DFVKEIMNRYSIPNQGDVLFAQLYGLSDHVTYQLANEGYKIYKYVPFGKSEIMIPYLLRR AQETKKVLQSSSLQTLLLIDELKYRLYFK >CAK92860 pep:novel supercontig:GCA_000165425.1:CT868670:156069:156398:-1 gene:GSPATT00025596001 transcript:CAK92860 MSERTLDFVDQRNQVRKLVTWPWNFVFGLQSFQTVQHIYNFTARTIFAASSLIPFLTTYN THQFAYAENSVRLTRYRNYHDDII >CAK92861 pep:novel supercontig:GCA_000165425.1:CT868670:156455:157533:1 gene:GSPATT00025597001 transcript:CAK92861 MGTCSFDPKADENQEISSQDINLQLSSNGKVHQAQKQKIQDEHQAALKIQNSFRVKQAKQ EAEERRNELLSDQPKDWVQYIEKFIIPELPQQFKEQVKKSITKQDRLLNPMVGSDDSIYW GQWSKGQQNGYGQMIKPDGTYLEGIWNFGQFQNGGILFPNGDYFVCNFNLILIGTKTKGE RVYKNGIIYTGEVQFGIPHGKGKEKQSDKIIYDGNYLNGERHGQGLLHHQDGSTYEGEHQ NGLMKGKGTFRYSDGTYYSGQLLNGVMHGKGILIDTDGTIYEGEFENGQKEGKGIMKFQD KSQFEGQFHQNLRHGKGKLIKRDGQVQDCEFKNGVQIAPQ >CAK92862 pep:novel supercontig:GCA_000165425.1:CT868670:158707:160312:1 gene:GSPATT00025598001 transcript:CAK92862 MSKNYYCSGKELFSYVCSLTSNTYHIPTNCGPFSQCKQLFQSGALTAEYGCCRQGYLSAN AAQIIVYIVILPIVGIGALGALGAGVVKRPFLEATLNFDSESAGNMTMCLQFASQLANII IIFFQRHPEDPERPIINYEIALIYCLAIPLSQSLGTEFANYLPLGSLLWIQNIFFVAVCP ILFLFATKELTLQTQKEQDNDILLKSALVPLEGLKDQQQLQQQEAVLYKQFYNDQCNKFP MFPIVVTVGTFAINEAIILSRTTPGLTSPYYTNETKKERTICAAWNFYMLLLLFAVNTII TLVVYYIKRKEEYTKDAVKFFNCERFYTPGTRFWKIYAAGWVTGIVAGFFGMAAGLIMVT TMSEFGLISAVAGGTANFCYFIICCQLFATVLSNQIEENNFGVGDQFFFYGLGIISVLIF TNIGYYYLKKYNIGHALFYIDFALVLLNIAGNLAWGIETSDRRGYQYLMYPPSSCNVTKV DI >CAK92863 pep:novel supercontig:GCA_000165425.1:CT868670:160597:161888:-1 gene:GSPATT00025599001 transcript:CAK92863 MFGFPFSGPFGAFPQFMDEEMDQDFYEQFPQQEDVDNKELYEILEIPPQSDIATVKQAYK TLAKKYHPDRPGGNQQKFQLIQKANEVLSDPEKKKIYDKQGKQGLLRKLNVKNQQFKKCD PFKLEHKITLKDVYQGAYHKKTLTNVQRTCESCQGQGGQQPSNCKSCKGQKIVQMLVQVQ PGLNVVQQAPCPDCKGKGQIFLKENECKQCKGAGRIDGEVEIEIPIEKGVPDEYCVKLYG KGNQKPGYENGDVYVYLQIEQHPQYIRKGTDLFYQKTISLKESLTGLNLELETLDDKIIN VTTNQIIKPNSIMTVKEKGLPTFKDELHFGDLHIQFNIEFPTQLNQNIKNQIVEILPGPN STMKNGNTYKLEEFQLGEFEKHLAKQEENEEDDPNQIGVECSIF >CAK92864 pep:novel supercontig:GCA_000165425.1:CT868670:162024:163212:-1 gene:GSPATT00025600001 transcript:CAK92864 MNYQHPLQRTPKALQIKIPSPALRTQLSPTQFSSPKRIIPITEIIRFIPNDQSGTPQKEP VNKAELSVPQLQVFLDELLKRYDKLAQDLQKSTQQEIQLQNDMNTLQQDTNNKLQEVYTE NQILQETVKNKDQEIVLLNELIDRLKEQHNKELQDLKKENETVLGVLTDKLQQMNIFGKE KISELEQTKSLLQLRDQEVLEWRSRKNIADSNTQEISNLKSKLYKLELQNNQLIKEGSKL KSQLEQKENEIAALKNKLQQGLSTNDHQQLQEELEQAKQEIKYYQEQLMQNEKNENLGDL DEQEEKIQVLESEIKRLNKQLIDMRHELESYRVHKSDNEFLKSKLSTQQSQIRDSKLRVA QY >CAK92865 pep:novel supercontig:GCA_000165425.1:CT868670:163374:165923:1 gene:GSPATT00025601001 transcript:CAK92865 MMEERRQRSNEKVNGQLDYQTRKIISPQTDVNFKKENSNPLDQNSSFYSLKGYERQAPYK SNQYDQNRQTGEPLKIGSTNNPYLQQSVSNNNEQEQIKPFIRPYQEGDQRKPLDQVNYKY EQRQLNEKGITSPYGNIDNKKRDTIGTRTPFDPNVNMSSQTPLSRQDNCRRDTQLSEQKR EFQLPEYKRMYPEDHHRIHQRQMSSFDMKQMPVDQGDRTRYEQERIKTLGGQQEQPERMD RLIRASDYVRKPDNNDLYEQDKRVLERREQYQRGGVEQGLYDQRNSAKSQEYSQRQQNQI RRPQEVSKTPVEGRVSQKRGFDDQIQQDGKFNQSQYPEGRIQQQQFYDIPPPLDDQRRMP NPYDERRYANPYEHRPQTQDQRPPFERRPDRPENERRLERPEFDRRLEFENRSPYQARFG PEVRPEMDQRIPPEYRNQQGYNYERFQQYGFRQGMEEQYQNEVRQPQKQQFQEIPACNYP DRFQEASYGMNYRKYPDARFIQDGQKERREFLEGSFGIMQGRPEVTQGRPELPQGRPGLM EGRQQQIDVRGGLNRTYGEELTQGASNGFDGRREQFGIRPPIQSRPYEERGNFEQRVHIE TKNQYQKEFDDKFGDRYFEQKYTQKQDIMGRKDFGRSDYGKLREELDRRNNYERPVMETN MSEFGKRREFDGATRPETNFGRTNDVGQKPDERYLRREYQDQGQKLSYHNDTSVMDRLGL EKGTNLKIIGNQAAENMLRGGQPMNRQYDRERGLNRLGMGERVGSFTNNKMGYQRTAVQD REEYQAYVRSKLLLKPALENDTTDLQRMKSQDQGFQKLQSPKGQIDTRIGGYDIQRREGR I >CAK92866 pep:novel supercontig:GCA_000165425.1:CT868670:165957:167085:-1 gene:GSPATT00025602001 transcript:CAK92866 MQAMGLFDFLDGIPDDYVPKQRMTAEETEEAFTYLKNHPLFLDHIPEDIENYPELLALQN LQYDDTPVNIAAALLKNANEIMKKDGDKKYFQKKAYEIYSDAIEQDCGDKELMAKLFSNR ALISYKQKNYKCAIQDCKWAIENDPKFIKPYFRCAQCLYQILHFTEALKFCNLALEISKE KDIEELKKLILQQIERQEKKTEEEKKLQQLYAICNQKGIRMGPSKISLPPEYQPQKISLE QANPPILTVPMLVTYPEFKQRDFIQYCSEQTKLYQILAPLFQTPLPWDQDQQYNLNNIEC YIELDDGNYAKLNIKAKMLSIMQGYKVTVREFIEILLVCPGTNYYKKQFRSEYHILNDQ >CAK92867 pep:novel supercontig:GCA_000165425.1:CT868670:167107:168166:-1 gene:GSPATT00025603001 transcript:CAK92867 MSLSALRATVSFLTLNSLLQIYENQPVEFWDSNQMMSHPRLTPIFQSNQYLNLLAGFFTL TLIDTSNKLQFANSIAVATSVIIQNLNLFLPKTFNMISIYFQLIILISQLFMLLSSYYEK MQFDKIPQPHASFQIQQSVKQQKTIKKQTQKKEISHKKKEKVQQKQLQSNQEPLIEKVEQ PDNTEVESIQNDFTEFMKQPSNDQTITEIETENLYEINHADLSQISQVKEDSKLTFQLKI NGEFTNLNCTFETFDQTMNILNSSYTSEQIKQIKIHLLLNLYDENEDCSCRLWCLKKLLS YN >CAK92868 pep:novel supercontig:GCA_000165425.1:CT868670:169369:169673:-1 gene:GSPATT00025604001 transcript:CAK92868 MDSTKDRVEGTRATVIEIIGRTGSRGGITQVKVQLVGQQRTLIRNVMGPVRKGDTLELME CEREARRLR >CAK92869 pep:novel supercontig:GCA_000165425.1:CT868670:169993:170850:1 gene:GSPATT00025605001 transcript:CAK92869 MSERSLSPSDYYELKHVKSITYKHASNVKKGRTILYQSTDKLESDLNKCNLSCDCSECGQ LVGFSLKLYRELPLKETRNQKKKKTLRRFKAVGNAIIFILTYKMEAIKRIKKKMHLLRAV RNLTVRRPAVVQSVHLLPVQQPIKQPQSRDEEIEETVSIHPFQPIQGGPRKSKISIYMER MLKDVLPKKEVGLKPLSILNDALSKNKKKRHIKCQSQLSLTQFNCQSQIKPFGELNKTQA TNFYQNNNKDILKLIDIMKVKRRVIRVKK >CAK92870 pep:novel supercontig:GCA_000165425.1:CT868670:171035:172216:1 gene:GSPATT00025606001 transcript:CAK92870 MSQIHNDLCIKGIDELRQAFKAVQPQTRQSSQHKYNQGEIEVERVIETSQPVNHTHCHPI CIQQSQQFPITYQPYVIIQEQPMAERKSKKKKKKSISAIQEQFQVQEIVRKTHKRVEQPH VNFEKDLNHLESLIRQVKVQVQPSQVLEYNISIPETEKTNDNSEMITMIQALKPQPIQLP KDDYAKMENARLHEEAKQTKIQFHELQVKYDELLKRKRKEKVVKEIEYKEIPQIVEVPRD VIKEVIKPIEVVKEVIKEIQKPAEITFKEIPIYIPQYKEVAVNKEVPVYKEVPVEKSINV YKDIPVYKDVPVYHDVPVFRDVPIYQKVPIYKEVPLYSEPVDVYREIPVQKEVQRIPERF VEVPHLDKTRRRIISPREYDNRSYIRQPYERKF >CAK92871 pep:novel supercontig:GCA_000165425.1:CT868670:172242:173670:-1 gene:GSPATT00025607001 transcript:CAK92871 MIQQLRTSNTVQSSRDLSSVRILYKFKPKKSYSHFRKVLKARQKFPTSRSQHLRSEPAQT PKSPHQKITQLFQMTSRPERDRPIDEVRQGHSPNFYNLCSLPYKNYKYEKPPGNATVSQF LEQACKLGQKTGRWDKQSLIGKFNPDKQHSSDILFSVQIKRSTYTSDHLIKSRKVQGPAS CLETIYVAKLQLDLEDNQDQEIQHKVNEIEETLKEGVKYDKTKCASQQYILNRWTRQQVS QDKLCLKNDKLPQFAYQKYVIDQSINKVVKSSSQYTTQEQNSITQLPEKISFFKFDFKQK NFQKQLYYNKGQTVALLKQNYPFLQKPIIGNRILLSFDIVASQENIPYRTFCTFLQVYEN KKIRVIEGAIDTVTKNIIVSQILKFFVIDLSDIITSLEFCNLIRILCQQLNEKHPTIQPI YQQLIQNYQYYQIISEDLSQPFNSSQFKFIYLTNEMNIDDIIDLITLI >CAK92872 pep:novel supercontig:GCA_000165425.1:CT868670:173694:174685:1 gene:GSPATT00025608001 transcript:CAK92872 MRQKHLVNELINIRDEMKHINNRIKTTSSAKNHDFIFNQNTNFKIRKYCHQLIQIKPPQF QKYFISEHFILDLLQKCDYEKILKITLKFIVKHFQDQEIYNKYQKEYQSSERNAEKINKI IREVVSPIKSKIESQTQFYDQQLKINHRSCQTQPCYSESQQAIQFQLGLRQQSSIISSIQ QDNLNSPKFNENTINAIDEISFEKSSLKKGSENQIENLTRQCQHEINEKKHPYSISLLAT PKQKESENKKVGINLNNTNTTVKETKRKEKNKTSLEFQAYDKQEELKKIKKVLPTNMVSL DFIKQRKKIPESKKGSNVLSNN >CAK92873 pep:novel supercontig:GCA_000165425.1:CT868670:174770:180099:-1 gene:GSPATT00025609001 transcript:CAK92873 MKSLTSKDYIAKYTQIFQESLPLCLQYRKDKEWQSIIDLIQDHIQQFEKLPNIIKQKIDQ FTRSSLFFFLAEAQYHLKQSLNAEDLLLIDSKDQKINQIKAKTYYMLYKQSNKIEHIEQA FNLANEYFGPDHKITQLYQSEHHERQRKIKFQQLQSIPNFDIFKQGLEFQYYNHQNKKVT NKTKGKTVHLSEIEKLTTQESTFEPSKKIRQKFNETSFRVSDKKINLFVPLQNSNFNTKS NIQFRLNTTNSELKGSLKIDSLVDNQISGTMTELLLPRPLTGRQKHSKKILKTQPTPQKH HHQQQSNSSQQQLNRGNILKKSQIKMHQVNLVKSNSKQKIEIKESLNLKVFDSKSIQCEI SEDASESINDQNKGQKEFEFNFSPRIGQSYYSLNTSNERSRHQIDFEYLRNRIDCLYDIQ QEDALRMIIKNFRNFVQKQKAQKKEELMKKSVAKQNFSNLIFELGATKNIQIEEKIAKIK SILHICQVIDQGSLKTWMIFSPIRQINAINWKIRNISWVVFKRLKAKKSQIHPDILKLYK EYPKYHQIIEIWSNSIDGTNAIFVLTIQIEVFSRLYDIRLHLKNIHNIAKDFENIFSSIE MVSQLIHVYFSSHGINYNQSLRATTQCILTKQTILRQDMLKKGCQSISNHVNQLFISNFL HMKTENGSKFRRVTLSDQILENQVALYQQIEERRKMIKRAFTPSQIGNGYISIKNSNVRN QKLMKSLSIAIELHSPVLKQKGSSPKNYWADLRSQSFKIAFQDKVIEEEDLSPYSGESVI ISPKTPMTPPTRKKNNTLSSTMSYKVLKNSKSKKKLIDDYFKNYPKQRDILQKKDIPLNP YQSQYDNYLLLLDGWTINNLSFTFNFENPKLFGHFIITQHKVGQSENGSTSLSLSQFCQL ININEIIIKNHLGYFRSLPYIAKLTFLHQLHHQEIYNKNYSKYSNKDLNFPEYRFTKKLQ RKSLVTDSNQINKDHFYIFFYKPPNQSRVLFRIKQSTYQRNDIFELFVYKISQPRFIRIL TQSSLTKLQDLFRKIIHQQSRPTIGNFQQIQRVLNYYLLKDYKANGRCWQIGFQNSQQQI FNYELKSCVITDVYNVILKHLVANEQSYIKNLLRTYCKVTKFQLVSKLDNFTGINLIYFT EYAMAQNLIILEIQIYPFEKRLSSYKTYLNHNDLKNFGIQNQNLEEKALILKNKLSLSHN RNQQQVHLSVNSEFNYVSQLNENLKSDYKANLFVKQKQVIRLIFQKVKKFNSHFLIFSLF YNTFNNEIIIQIYIPKLCKLFRSVLSKHDFNNLHQSKLEKIIPGNILDNFDQCFNSIPLR YNTFVTYLKDKECINVDDQFSIKSRLSERSGISSISLQKYKDQYEKLQQNQPQIKQEQTS FKIQNKNLIQSESKKITSKCHFSKQKNPQRVSHEIQYFKERVSIKPSVTKFDNFYDSVKL QKILEDAVLSTNLTYKYFLCYFWEQMIDECNIIMTNYNKYILQIDSYRGILRQLGVSKRV FIDDDYQAEIFFEQQQVEYGSMFEKYSSVTLKESQSTCIYFSYKQLNAFESKTINLKCQF RQCIYNYSTFNQVSYNTALKILKQSSDYITNQIKQKKSLIEFQKLELKSITALRTNKKSI NLETYINNHSKYKFIYQCRLMMNVIVTILYKNNRFYFYLEDCKKQVDFLIKMSIKSVQEN IPHIIQLLRCQKEFTIGQRLFSAYKNYLIIKAYENLFRVNN >CAK92874 pep:novel supercontig:GCA_000165425.1:CT868670:180701:181148:1 gene:GSPATT00025610001 transcript:CAK92874 MINTLLKQASQNLQKISKQMLPFLKLLVETHADILLVQNMDNQHGQNMGLINKLINRSEI FILEIRKMLLEFEKQKKLNFDIQKRIIESNFQEFELEHESSLVQLLRKQGWFIDIQNALD DIYNEKSISKIDKLIDEAETL >CAK92875 pep:novel supercontig:GCA_000165425.1:CT868670:181172:182362:1 gene:GSPATT00025611001 transcript:CAK92875 MSHNNEQPGIYYLVTCFQDESQGGASNLYCYTYDMIVRNRNILEILWAWIILISGSIVLF RRYQKHKDITRYNRKQIIVSIMVINICAYLTYQWSYESALLYFPEYYFQYIFFCYTIYYF GRKSIILGMLNMRMDVSYQDIDPIIQLKLSKLFCIGLCSFFTIIFIMNYIQYFFEVSTDH PYDLCSASFFNIVTTVGFFMQGLFILQVKQLSRQVSKNLSAQKLDNPMMKLKEQIQKNQS SLWILVIFCFFGSFANFMQNVYFVSMLAKYKNKDGYFSCWYVNLNSTIWTNGINALIGTT IKLFDFFLPYFLTIKIFWYTSKKSEQQQLETTNSDQNEVEVYELQLPNNQSQQGSSQHTQ EEQ >CAK92876 pep:novel supercontig:GCA_000165425.1:CT868670:182486:183685:1 gene:GSPATT00025612001 transcript:CAK92876 MKKYLQCDSKFWSITIEENDETKLFIRYGNLCDYEELNVKKVEKQFPSKEECQKYCEEAS KTKEDKGYQEVVPGQQIQQQIQKNDSPIQNRPVQTKQQQKQSNAIKRTGSLKPDTIAAIT DDALNGRQQAIKGPAVDLGKGTIPLGKVMLAQTWNEEIDPKGYYLSEKLDGMRMIWTGSK MYSRNGNPIQFPDFFVQGWPKSYLDGELWLERDQFSKLVSITKRQVPVEDDWRKVKYMVF DAPGLKLPFKDRYLRFKQACEQANQYIVYVPHIVCKGYDHLIDELEAVQDLEGEGLMLRD PDSTYEQRRSDKLLKVKTFMDDEATVLAHEEGTGRCQGMLGSLKVKNTSGIVFNIGSGLT DDIRQKPPKIGSRITYKYYGVSKNNVPRFPIYLRERPKE >CAK92877 pep:novel supercontig:GCA_000165425.1:CT868670:183722:185614:1 gene:GSPATT00025613001 transcript:CAK92877 MNQDCVECQKKDQKIKELKQKIAELEEQIETFGPEFSQVKGLDENSQKLLKENIQKAIGD LINQDPKQKPNQFNAPYQRTQTSNLTEFSRQLNIDEQHTYLGQRFFEHFLIIGPDIEELK QVSEHTNEVLVKPKILYQFPNVNQHLYDVVPIYTFPNGILAKRLEASSQNFNDKVLPELK QIIMQTLQLTKGDNEYSITIPREDIQENSRMTVSQFVQESNPNVFRNIICFEINEFICLD PKTYGCWLCPIVHCFVSYYPFIKFFLKENANIWNQLKLERIEPCLCEASSHEVLKRDFVF MKSKLNTIIDRLFALRSIQVTYDQKYQLNEIQIQTPKKDSAQYLQFLWGCDQTFQTLSFK ELVYLISHVLLSQRVILFSKKKSVLSHVMLTVISLMHPFNYKLPFCIFIPDELIDRLAAP CPYFFGVVGEYDNYSSRIEEAQQEDITMFDLNTKRVFYSKSQSRDLKKCIAPIKRNSHLK QLYSVINNSRDNAFKQVGKHKPQKLEKSGSSNQIFKENEKQYMQLLKDIAKSFQTFWHST VTQHIPVDRKFIKTGSMAALNLQLLSEVMLSKCPKDSKRFIKELIQNPTFTGYLDELYEV IYQQNQ >CAK92878 pep:novel supercontig:GCA_000165425.1:CT868670:185675:188826:-1 gene:GSPATT00025614001 transcript:CAK92878 MQDDAQQSEMKSKSQNLVQEVTISQEFEMPINKTKRFVLIPPLSQIRFEDEKNRLQYSCH AKDGSEFDIQIEFENKADNASIFVSCKPVSQQLDHSKIYKLSSSIQSKKTKKQKDIKIEP KDNLLKLQLTQKVKEQQLCLQNEILIELAPKYNSRDEVGYTGIINNSATCYMNSVFQILF HLGIFEKLVYSIKSDQYNQFPCTLQALFYNLRHSQIAISTQEIIKSFKWDKDQQALQQDV QEFIMELLKAMCIKVPEIEKDINELFQGELENHIKNEKFDNSNSEQFMDISLNITNSLNE SLELFIQSEQLDAYTHDVYGKQEAIKYHRFKKLPPILLINLKRYQFDGQGFTKIHDYFQY DDEINFKQYLINQVDEIYQLYAVLVHRGEAINHGHYYCYIRPTQNSQEWFKFDDKIVTRA TKQEVFQNNFGGQYTQADYDGDLDEIITKKKNNPETAYMLVYIKANLPDILVSPKVFPEW ILKQEQLYNDTQFEQRHFIQTNIFTINHLNQNQCQQMKTGIIFHKSALNQSIDQNVIEEF FDQYTTPLLIPKIITVQQIIDQLSEKINVDKKYLHLLMYKISHLKPNLESVIQMQPQKNL SFYLANKIYLFGLMLLSTNFEDQILINNYFGVDKIKLDLMPQIGGGKNSKENKSIVDNKV LVIIKTYSNKIIEFDQILLLDKGQPILDTINREYTNNNQIKIYYQNDNLEFRQQNSMFKN TEYIQVYLDFQNELETDIEQINNLREVIIKVLNESQCTSQLFNKNDSTSALLDFLAQLED CKQENIQIKYKDKKGNIQTLQQQMKIEELIQFDNNISYKQTIVPIGALKEQMSFQVDNQT YIILKSMSFAEFMEQEQLSPTTHVPLLISKKDKKQAQVQLDLTQKISRYTYKHEIKFIKP IVLGTDIYLICFLQSVDIVLLCNPIVCQVSQNNTIAEMVNRIIQDVSQAPIQYKSQSIQI TEDFIYSSEDNKTRRLEQQIRVRINNQEIQQDTQMGIGSLMPELKQLTLVMTFLTIRDTK VDKLRQKGVQIF >CAK92879 pep:novel supercontig:GCA_000165425.1:CT868670:189036:190778:-1 gene:GSPATT00025615001 transcript:CAK92879 MDNLCKYENIQESSDRCLYVQTNCNNQSKIAFTQLFYCQFDENYILVLIFIVNLSINIQP FFFFVMFNLLSKTAENYLSPAVAHIAKYFKLSQTLSGITLIALANGAPDVITAIIATGED DQGVLIAVGSIFGSGLFMTTFVLGSVLYYSKHILVNKVSLTRDLIFNILGIGSIITFGYI GYISNQFSILFVSLYIMYIFVVMLNEKSEIKHCQENLQIIKKQEHHEEQQGLLSEEEYQQ PQQIDEVKPFKYFGNEQQSLEFEEQQVNLYLTESCDDEDEEQVVIAFSKQSPFQKLQTIL TMGFDLISKYTEGKKQQNKIKTSIQLLFTPLLLLLVLDIYDSLLFDQPLWLYVVCIALIL AVYHYCHQIPFIVQYSLINQALTIWSAICSIVWCKLIVEVLVDFIVLIQTLTGISPSYLG MTFLAFGNSACDFLVNTQLAKMGLGIMAITGCFAGAFFNLNVGFGIALLKQTWNGTNIQF PLFISDEANSHLINPQTTLIIQLLLIFSLLNMASTLLLSVILKFQLKKQLSYYYFTYYFI VLTTLTMITFFVN >CAK92880 pep:novel supercontig:GCA_000165425.1:CT868670:190874:191915:1 gene:GSPATT00025616001 transcript:CAK92880 MASPIDFNLNQMQVDFILDKMPKGFSLLQASLLKAREQRVVRNRSLISLQSKKVNKYDAS FQSNKQEVVEDKSKRIQRQTSTSQKESRKPQMDDSNIKKGLHLLQMFKSHPAFTQIDAQG QINIDKIEAYFLQEGNLIDLKNEIKQTLQKLHQNASNQIQDLVAQLEIHFYNVFKPISNQ SKPTPVQNKNTLNKTIPHKSKDAQSSKKQDQIIITFEEKRQLGQHIRDLPSEHLKGVWEI VQQTVQTREDEELEFDIDVLPPKIIRKLQEYVKNKLKTKKVKVDPSINQSSQRSLNHDSS FASESFE >CAK92881 pep:novel supercontig:GCA_000165425.1:CT868670:192012:192792:1 gene:GSPATT00025617001 transcript:CAK92881 MITILSISFLISITYSQFLRPTEDTQVAIKSCGIQEYLLPNIYQVQGSASTIMEPTQATI TLNIEVKKVQAQEALQQLANISDKAIKAIKEQSNSDLKIQTNDYQIQPYTEYDYTVTPAN LIFKGFKANSQLTIETLNISEVGKIIDIAVKNGVETVVGVSFDISKEQKRKIKDNLVEHA IEDARHTADVVLKEINMKIVSVKSVVLNDGYGYDQVGVLQQTVTVGYVIASIDQ >CAK92882 pep:novel supercontig:GCA_000165425.1:CT868670:193398:193887:-1 gene:GSPATT00025618001 transcript:CAK92882 MSESSNFFVGKYNFSRRVINHRVPIDFNHGITQNPFDFVWRSSFRNLLVSNLIRNMSMWY CGAAFVIGIAINEFQNYRRQGHAFTTPKTQPYLNYVTNSRNKHANLGRWNGNFACWENEP LCGRDFE >CAK92883 pep:novel supercontig:GCA_000165425.1:CT868670:194036:199379:1 gene:GSPATT00025619001 transcript:CAK92883 MKKIRQYTEEYLKYSIGYTQIALSQDYQTFWIIIEIIAQIQRIAMVFLFEEVLHILQDRR NIEINYVSDQWVCKFMSKTFVPTILMADMFSNNSIIAIQIILLILLCSPLIAIAIFIEYE KSKFATIQFKSQVGGPDNSNLSINLLNRSYNMSNFIENFLYQQLMGNDQRSWLNVVRNFL AKLLNTYPHIFTIPLMYWNLLVVLLVIFDVEISTNQKLFMVVLDTLTTIEMIVLWTFSMI AQRSYTMFDNNHLRIQQSTWFNISQVVKFMPIIVQFIYFLYSESQYDQNIQILITSIIQI NLIGDLLDFFISIPYMLEYKQNISFLCLSASLTISLSHQFQLTQMQLFSMCLICIPILTK MIKVIFNYVIQQIFDQQLYMEKKKTKQQKRSSSRRSQFVEDDLQPFVIEKEMQEIKKQQI EALKNQHFRYFVHYLRYISFFRLSDQQSLDKYSKIDNIKKSNFLIKIIVLIKSHVQNCTS VYCYCKGFRGERRQVMDSRKNKVEMKIYNSIQVNNIYLQIELVERYIRHFTKYVFEIENN EKNPNIFRLSQLLLYICDSEECYQVMTFIMDYKQRNIKNTSMLNEVTLTLIICLVKHKVL QKMKHNLTQDEVEIGIRYKNYRITEIRRDQILNRIFNNIDQKIKFIKSLLEQKMNFQQLF QSLVLHVRNMTKTQKEIEVFQKYSNCRTSILLHMFYTLEVTCDFDKFVRLRNSLKTKNYK FFEYPSISANTSSVQGFQVLYLKTNLARHSINGKFFRGQIMDFSNNAPSLLGYEPKEFKQ TIQTVHQIVTPNLATYHDQLLEIFFLTNKPPIIRKRRPLIMQKKFRELVFCEMYLDLSFN ICEDAFPIYCFLKQIVPYGKYENIKGHIVISDTFKIQGISNLALEQLKIKINDYYNKGIE EIIPNFSHYVEQLQHQYQKYQDEEIIREENGSISNKFDYQQQERLLIHFTIEVEQLTFII PKFDSQSEIYYANCSIIMSIGFIHTKVYQAYLISMKKITMAENQSNSQMFDVFKKGSSQA SLNPLRLDSIQGLIDLKSDSGDISDLVQIEYTQNEMNNIQDYQLPQIYSNFQTPLDSSRL ILTSQRGHNEQLQINVIESHNSQIIENDIKSLQKGQTNQKTNTNTNNKKPQQQQKDQQEF FEVNVGRQKMSEIEILDEVSGNGVQKVHQTDTHFQQHGNKDNSAIIQKSQLYNKFSKKGN IPKLVKVVLAVDVCNLFIILLLSQLFYLLYKSNMAENKEILEVFGPFTTADLIRNTLLGL GHFQNSKNSTLQNETTQLITDNINLRFALFQQVSQKKVVTSTISEAQMKLYDYFQKESQD VSAWTEMFQIWYQMSNFKDFNPQDQLYMQKFHKTIHILLNSFFDYIDQYKIITEKFSLKI QNNLNDIVSYYQSVISSVALAVEVVVIVCYSIAYMHFFRLKRRILRSSEQIQIQSLEAEK IRLSNILYIYKNASELNLYKYQFNFRSKLNQENQNLSQFQSLKFTNYTKTDSKKQFKIQE QQYSCLQHPFVFYPLIGIFLCCFYLEYTLITNQTYIERLSQRLNQFSVYINYCQEVTQIC YCDYVVQIQDKLKEINITDELIHTYKDQVQEAYGEFLHYDEQFKYGYSDQTLDVLNDLIQ NTCSVIVNPLCITILNGKLTEGLMYSIQYYSDMVRDDLNSQFKLSKRFTRDDLIGINFIS QSLENLFIEMRNTLENEIQSQLNDKFSYFMLFEIVTVFIYFFQIIFIFRLLSQKFFVCRQ LPYLLPPQSIYGEDSFLKSLQYIEKIYNNILQ >CAK92884 pep:novel supercontig:GCA_000165425.1:CT868670:199431:200120:-1 gene:GSPATT00025620001 transcript:CAK92884 MKRTYKQFSKCVEVEMLSDSSKQESDSEYKISETSESEKQKSKSFWSLKGLSFQIKTLVK ELKSTTYKELAKILIEKLQNELQKIHSTERRKEIQNLKRRVYDAINVMVALGVLEKDKKQ ISFHEQKDKEVVNFQKEQVRTKLEILKEKRRKLTKATLTYMMYKQLIQRNQQIKMESESI LYTPVFAFSVQVFEKGLCMQQKNGKKLIIKSKQPIYIYSELEVLQKILN >CAK92885 pep:novel supercontig:GCA_000165425.1:CT868670:200552:202047:-1 gene:GSPATT00025621001 transcript:CAK92885 MDKYKRIKMIGKGNFGDVWLVEDNKGQKFALKLIDLQFQSVDPTNEVTLLKVLKHPNIIK YYGSFIQNDQLCILMEFAENYDLQIYTKNNPANILNWFTQLCQAVQYLHSMNIVHKDIKM KNVFLTKDGIIKLGDFSISKNLDASLNLAQLGTPYYLSPEICESKPSNTKSDIWGLGCLL YELCSKQKPFQGESLPEVFKNIITSETPKLPEGFPTVYQDIINQCLQKNPQERPEISLIL EIPEIKKERIKFSQLYKQRLIGMLKQIDNTQSEQVQTNIKQMQKPIFTPQNKSQSLLFQK LFSEQIQSNIKKPMTKKIISIDTDLIEKEGQQQQQNETPTQFAKQLFNPKTPTSPNRNLL LADFLKKKLGEQKFQDVRQILEESQNPIQLLDQKEIMVNLMGEENLECVKIFKILISNCT TLPSNHFRQMNNYQFLRDKVGSQPDLDQDIKTYNF >CAK92886 pep:novel supercontig:GCA_000165425.1:CT868670:202877:203341:1 gene:GSPATT00025622001 transcript:CAK92886 MNWLQQQKDEHESIFNNGEHFWLPTKMQENQLNLIFLGKAFQKQVKSRKWGVVHLELYVG KLVKNCKYLKEIKYSESSFQPYKHGFRLHQGKHRAEFFTESQDYYEKWQSALKDIVFQPN LTKNTNLFANIIKPALSSLYIQSGTKF >CAK92887 pep:novel supercontig:GCA_000165425.1:CT868670:203408:203722:1 gene:GSPATT00025623001 transcript:CAK92887 MKPLSQEDQITFMYKDFRMFMKIKYIYMLFMINMHEENKSFYFLSCYKPLKKQQLNCYIY YYWDQITYIAKDYFIEISNLITFLTDFLGLSLIAVFEFFKRRFS >CAK92888 pep:novel supercontig:GCA_000165425.1:CT868670:203730:204067:1 gene:GSPATT00025624001 transcript:CAK92888 MHRRIGTPGFMAPQIFKTKQNDQQIDVFSLGVIFYYTVFGRMLFGTNFNEALSKNEQGEI EYPDYCKISISGLQLMKIMLLFEKTRKKDVPRFKLQIITGLQT >CAK92889 pep:novel supercontig:GCA_000165425.1:CT868670:204118:204474:1 gene:GSPATT00025625001 transcript:CAK92889 MGPGLNLSTILEKSDMIENSNMSIALTPSGMKKKCQISISKSSDLTILDQEFVYDSVADK MKCLSKIMPQPSRLQHKSNQPQMQKLLKQINHNFSMLILVILFNNNLNQLCQQIIDLF >CAK92890 pep:novel supercontig:GCA_000165425.1:CT868670:205021:206281:1 gene:GSPATT00025626001 transcript:CAK92890 MNLNSQPSQIRYQNVRLKQSTAAFFEYFAVYKSNKREVRLKIQNSTNLNVLQQETAKLIQ VQDIEGIPEVIDYGNNNDQRFFLATQSLGPSLSSIIKANIQMSLKNILLIGLQLIKLIQK IHNKNFILCNLTPSNLCFGSDTEDKLIYLKDLSFLQPKDEVCEIQKFPFREMNFLSPFFK IDKGPSYNDDLYSLAYLLLYLLNGTLPWQQFDNIFNKQQFEELQIHKIKVINDQSFLNSQ PSIFAEWFKYLNTQKNILISKIYENGWKPNEQILYKSDIMKNSSTSIVSIKSRSRGTSIT KTPNPKMIDILSPIFEVDKEFELAQSVQKQKDEFNYFQQLQNLQKSMEDQQLIENNISFT SIEQNESELWKKMEKLDKISNPIKMMNKFGKT >CAK92891 pep:novel supercontig:GCA_000165425.1:CT868670:207900:208409:-1 gene:GSPATT00025627001 transcript:CAK92891 MSQTDSTKTSGQKVKKALISPLKEAFSQLTKSYKFFIPNEEEVLLVLVDLPCHLELQEAT SRALKLVPKQNPVDQNPNNYDIYVAKKNGQPKMDFPSYQTNLRLEETGNFVFSLVHVTYS EKKVRKSIRKSTYDLSHYQSPTIPSRSKEINQYQPKQEKNWLLRFLGCA >CAK92892 pep:novel supercontig:GCA_000165425.1:CT868670:208616:212048:-1 gene:GSPATT00025628001 transcript:CAK92892 MMLHDQISNVGIWLEQLKNGGEFYSRNKINNKELRLQYIVIDKLENLSFPPEIDAYETQL KLRMSATIYDTKTEQFISNTYKSPYLTIFLTDLVNMADNPDEGELNENEIPQKKQTRNQP KLRSGQAITRYQHAAVWVAFIMNKAQYLKEYKLAVEFTLSQYSNKTKLTTTFKTLGYAII DLSAKITFADLYAGSVRDLTNSFYIREQKQIKPIQVSYKWKNFKHVPTPLMKLIGPFLLF GYDDTVVGLRGTKLPPIVNDLKYLELDEALNLSFPKCKIYIHQIVDLEILNYIKQKQQEI QQVNEKDSDTIKITKAKTKLIPFCHNGWRDVNEFGFENIYILSEIERNEKFIVYTTEKPI RADRIYKDSAVIFQLVYQYDVEVVEIQQDPIEVNVGWFALTPNDCTKANEKLKLQIHGDR KSFNSKKWYAKLTPKSPGEAIITYDFVYFEQKQEKKGNQQNKQKKQLQKLIDPSTLKGRL IELSIKKKNEIKTGLAREENIMRQNKLIADLFTIKQNTTNLITGMINQNSYVQSNTQVLL HEFQGLLEVGIKNFELAKEKYQLEEQRLLNFRKRKEKLDLLQNFLTEDLKHKFDKWQYEQ PHHPNLEICYRTTLLDFYLQVGFGHRDLPASRQVQITLEESKTQLNLKITLLLTSYKFVL KINSPIKEDFVLQPIYLFDNYCPTSLLFRIHILGQEDEIKSHKAKNQKEFERWMSDRMYM HLEFKKVIKDQNLANKIAIIEIWNESSITILGRCFVPLFQDSIMFQESSIVCSHYKECDV ISYDGNQIIGQLDIGVLVQYTDDEIKTDISFQEKPKEILVVDKPQQDTQRTENEPKSKPI PQPQEYHDIIRIYYSGDEETKVVVNNPIMLNPHFLKYIKTLKIVGDSAVKLDYYNDYEIH FKCSIYDVAETFLVLFDYDRENILQVYRFVIYKCQSITYNDFVGRLCNLNIPYETNLAEK IEVVPANPFLIRPKLDDMPVIKDSLFYIPVQCLAIESYFLTTRFTIQQGDSMETRLIHFK PKDNKVSQLFNLDCPRNQEKQEQVLYQNRSDKAIFLQITSSNSALQLITQTLKLEPYQSA PIQFILKRYGYTKKQQIKLYLTNLECDYKDCLMFKINYY >CAK92893 pep:novel supercontig:GCA_000165425.1:CT868670:212073:212490:-1 gene:GSPATT00025629001 transcript:CAK92893 MGNQCKCDTSFEEDYESFAKKHIQPSNSYKIFSQTYKLEREEDLSFRMIDKIEPVYNITN DVYRKKRTMSCIHQQIELKSRKVNLKKKLKPALKECSNNSSSNNEHSNKTVRWDASCQKQ YGE >CAK92894 pep:novel supercontig:GCA_000165425.1:CT868670:213116:217250:1 gene:GSPATT00025630001 transcript:CAK92894 MKGLENQYKHEYPNLIACFIRNTYHLPLIKADLQDKIEEQFDQVQIENEYTIDTNLQIEQ AEIFKCFNPEALNEALFQNATILRKFGRIRSLISRGQYLAVGSNLGAVLNFYIGSDPPNK SYIELKSQLLYAAEICQTEHGSVNQLSFNLSQQQLAVGFDNGVIGIYDIVNMKHLKWVKV HQIRIVSLGYVNENTFVSGDEQGNCFMTRCAKGRIFYDLDSQFIIKSQPMSIIKSIPIKC KPLFNFPEYTIVALGNGYKCWVLRIQDSNVTQFTILADFQNDAPENNQCVLEWDILKVDD KDRLVLGISWTNQTKSFLFQGTEYVEGKIRANFKLLKDIKLPANFIYMNSIYNDLVLLLT EKGAVYTSNFSNYKTQKIMQMEQFEPLPLMYWKDNKYESQFNVCISNNEILQEIYILTSQ NVTVLKYYKFEDKIRHFMENQQFLLCFQLILCIHKKVFMEFDRQKLLLFAQQIIDLYSKQ IARLQISGKSEEAKQRGISLVKFIIDIGTEQYLSSVCDILSKTLNDESTFFLSIVEPFII NKQFKFIPDEILLKLISLLKGQKAKIFLLLNQLDLNRVNYSIYLQACLESQLFSPMIYLC TSSAKNEFLTPLFQIWNYSMKQSQLENFDEEIISVRKVISFIEYILMGKLYDGSTIPIGT LKSTIEMMVVWIFEENNLRRMIWVDPYGAFYILYQIMSITKLHQIELDHSKQLNVIQSVL KMFMNPTYRWKFQQQEFEIQQQFNDIRGFENYDVQTAYSFFIIKVIFILKLEVEFDIMMD ILLFCTFNKSFLFYIDYRSYAEVIEKSELAQLEQHQSHSEIIEYFQQRLMLKFVHILVNK IKTTKHKEQIEMLNGFQDLKQIPLIQAYLYQYVGEYQTPVSIYLKSNNILVQKQVFKYLH DTLISMYGKETYAKLNGIIVSKLQDLINLDIQKTRELICQFQKDNELQIIEKLSQNKKLQ LKYIETVIKKDLNSEFGLSNILLTKHIELLCELEPERVLPQLQKIHYPLEEVLEICKKYQ NIESTAYIYCRLGFIGDAVQLQIVLLRNMLSKVVAKQKNVDTIEKREYDELENKMNEISD ICQYHSDNPDKSWYYFLDSLIELNNEISEIQLNKILVKKIGQLFEDISTHTPLDLFAQRL GTRYSNINIKEYKGNFIKLVNTFYYQNYFIQNAKFIIQRFFNMESQNFLRAHLEGHFTVN YCDICNEQIETRGYKYLCGHFIHVECKQKDHQACSKCLQSERFFLQHTVQRQKLKISRMK NDEQIKQVDTKQLNPTHQRQKEEKAEIKINNQGKLSHFDLEREKKYDLRMEQDINKLVRA DM >CAK92895 pep:novel supercontig:GCA_000165425.1:CT868670:218874:219245:-1 gene:GSPATT00025631001 transcript:CAK92895 MSYDDFYDNPTFNNCQFRCEQLFSDAEIQQSQIQSISQEKAKDLQVFDNQQSQAVVEEVN LKYKCDYCSCEFEIPQAKGGHTAKCHSKKSESYQKKQDTKVARQPIVMSNRRLQAIAYQA LFE >CAK92896 pep:novel supercontig:GCA_000165425.1:CT868670:219853:220568:1 gene:GSPATT00025632001 transcript:CAK92896 MSIDRQINLMLVNENTKIIKTQKLIPNKFYIIINLNIYIQFYQQHMLYQREPEEILEPSK PKVENRLLKYGKRYEERRMKLKESIYKEMMQDRPFIQNINSQKRINQSVVDRLYESPHCG NNCGQIEKLVKKSSVRYPLQEIVRPISSQNVYLNIPSQQSPLKQSQSLFSQQGKFLENTY SHSQKVKPIRQSSALTFNNNSIHKQNSQNSIIQISMDLFQRKKRSQNIC >CAK92897 pep:novel supercontig:GCA_000165425.1:CT868670:220606:221021:-1 gene:GSPATT00025633001 transcript:CAK92897 MKIIFVLLFVITIKSERLLVSQDNDFVDDDNDFAGGVFPTTDSSSDTYNKALQYAQQHYA ESCKLSDLQWESLDASKNQMVQGMLYYFNVTMKGNERLEKYEIQVWIQADREQTAEITQC KKL >CAK92898 pep:novel supercontig:GCA_000165425.1:CT868670:221453:222639:-1 gene:GSPATT00025634001 transcript:CAK92898 MIQKKVLSSSDESSEDEHVEDLMDKQKLVQAKANKPTRTSVSAEVYGQFNKKGQFQAKKV NKSEDAVKRIKQRMSQAFMFSALDEHELAIVIDAMEEKKFKAGDFVIKQGDDGDVLYVVD QGQLDCFKVFKKGEPEKHLKVYQPGESFGELALLYNVPRAATIKAKTDAICFSLDRETFN HIVKDAAAKKREKYEEFLSKVELLKDMDPYERLQIADALKVQKFNQNDYIIRQGEQGNTF YFIQKGDCIATKTENGTEKEVYSYKVGDYFGELALIKHEPRAANIVAKSEVIVVYLDSDS FRRLIGPVDEILKRNMGRYEKYVKK >CAK92899 pep:novel supercontig:GCA_000165425.1:CT868670:222765:224017:-1 gene:GSPATT00025635001 transcript:CAK92899 MFHSYQTPKQTYKQQPQKMYQQFHQKTLPCKSLSPTQFGQQKIGIIEQDEQIPSTPQKIN LSKGVKLLNVPSQGNENSFRGSSQKTLLVSDSPLVANNKENGASSRRLNTDCTSMDLNSQ VVIQENRKLNEMIQRLFKEKQDLVTIIDKQKNSQSIMSNQGGDNLNLNNLKERIERLEGV IDLQSEEILQWKQKYKQACESDERAYAIEQMESQIMKVVEENERLNNLGNDKDREIEDLS HELVALQKRQFEQEQKIKDQSNLIIAYEEDTKELKRQYKQKLNLIEKLEQQQQQYLQQIH QLQQIQNYSEISSHSSFHENRDHSQIILEQIQFLEQTLSELSTQYTSQNLENSRLLKQNN NLKEELILQQKALDEIRNCSRGAVNPKFQDANRSLKLIREKIAKSQQK >CAK92900 pep:novel supercontig:GCA_000165425.1:CT868670:224209:225033:1 gene:GSPATT00025636001 transcript:CAK92900 MAQNTTSGPMQKYKIVFLGDQAVGKTSIINRFIFDNFTGNEQPTVGIDFISKTLQVDNKS VRLQLWDTAGQERFRSLIPSYIRDSQAAIICFDITNEKSFQNLPRWIEDVKEERGDEVLI YILGNKIDLENERQIPTKQAEDKAKELGAQFQEVSAKSAINVAEFFKKLSYDLLGNPAEQ SQNQQQPAQQRPQEPNIQIQNQPRDNENEEKKQGGGCC >CAK92901 pep:novel supercontig:GCA_000165425.1:CT868670:225057:226374:-1 gene:GSPATT00025637001 transcript:CAK92901 MFFDKDRIVKLMQSNQIASPLSFKMDSPRIYSKTPNSQSTLNCDLTSRGKLKLNFLAELS DNRSLTNRYMLNTKNKPKQQKQLFSKENKFVESSLKKSQLYPSTDSLLQNINIQSPASTF SEEFMKINSKFNSVKNIDNVDQRLQRKQSNSVIQLVNKNGSQIEGYQNNSVMRSTEKIRQ QFDEIALLDLKSQNIYLQQENASLKSQLQSVQDNMKHQNKVIENNLYSKIKDRFNIQLKE KNEKSASTIEKLNSQLDFEGSIQDDLRKKMNEKQQELSKYNLIEQEIKKIEDSHLETLSK ISKELKEQQVSLKILNHHISCLSQISILLSEKEEIPIEILFKYKQIPQIPQFMLNSEIIE NILKSNSKMIKELYDLIGKSFEKISYNFVQEFSQILYKQ >CAK92902 pep:novel supercontig:GCA_000165425.1:CT868670:226452:226742:-1 gene:GSPATT00025638001 transcript:CAK92902 MLLEGIQSMLTNIKEVNVQFYNSLSLRQNWLDLQDRIYNRAQYYKTYGNSCISDARNVAE VNVCCDNYIQRLNNDFYNDVLKILKDY >CAK92903 pep:novel supercontig:GCA_000165425.1:CT868670:227976:228939:1 gene:GSPATT00025639001 transcript:CAK92903 MVDLEMAQMIGDADLYGDKIKHKGFLIGKFEKPFQLRNNADGEQQQPLKSGLNRQLKIFK RDLGNLSYAAVSKLNNLWRLYIEDLLSKEQQADSKFQRLLRADFHGALIQIVYSKCKTYE GQEGIVVREKKKSFIIIKENDQLSVIEKENTIFLLPVGNKTYRLHGCHLIIKPSERIRKD IKYHPANIFCYQQM >CAK92904 pep:novel supercontig:GCA_000165425.1:CT868670:228956:229895:1 gene:GSPATT00025640001 transcript:CAK92904 MSNHPYSNAPPAQPLATTQAPKFGQPTLQQPVPQYAVPLQQSPIRQSYIQPVQYAPAPQP VQYVQQPVQYVQQPVQYVQQPVPQVQHQSIKGESRIEYVPYQKAVVEYEEQEVVQYVPRE RKVTDYYAVEYQTEYVPQVFQEKFTEYVPVDRYQERVEYYPVERQVVHQQVQPVQQVVQQ PVQVVQQPVQVVQQPVYQQPVYQQPVQYVQQPVTYAQPLVASRVVPQGFAPTYAPSYVQQ FQPQQIPQQPKPQQQQQQQAPRSNVGPQ >CAK92905 pep:novel supercontig:GCA_000165425.1:CT868670:230225:231936:1 gene:GSPATT00025641001 transcript:CAK92905 MGSGLSNNQINNFRMLSLFQEKSLENDKVKPDQSECNNKLEDTTMVSPKNKLKYQTNLIE LIRNKPKKVNATPMRDSGVISKSPSINKPFIDYAQTNLIHFIRSSQKMEKAELSMEKYLN IKESQILGSQRLKPRKSLAVQHTLEVVQTSRLEKSINVEGMAQINQYTVIESLGQGAFGK VKKAQNFKGEQFAIKIANKKKLKKKLLSKSNAYTMLEREIAIMKKISHTNVVQLYEVIDD PKQDKLYLVMEYMGKGSILSKGFFKKNKETSNILDEIEDKNINQRLTEEQCRHYFSDFIK GLDYLHECVNVIHRDIKPDNLLVNIQDQLKIADFGVSHIMEDGQDGRISNQTGTQAYLAP EVFKGSNFDGKPVDIWAGGVTLYQMVYGRLPFPSQKSMELRQQILEDNPPFPQPIGFHSS ILKLLKGMLEKNAEKRYKIDQIILDDWLTEFGKQPLQNEYIQYVDVTEMDIKFALTSLNI QMALKIVVKLLYLSKKAKKAIALKKQQQKI >CAK92906 pep:novel supercontig:GCA_000165425.1:CT868670:231962:233416:-1 gene:GSPATT00025642001 transcript:CAK92906 MGATCSNIKNQNVFNIMELPYNIHVMAYIWSDNGHNLFDYESDSLVKKSIDFDFQGRVVQ LDRDILALTKNEVVRNQREIFEFRSNQKYIEIYNPKIENAFWKVIRPIQASDLPTLERQK LIINTTIKLGRVKLTVLDYSFAYEFDDGGGVPDELDEKNNLNDSEFVEGQVQCRFCLSKL AKFENPFISPCKCAGSIKYIHLKCLQSWINSQLKTKTQNGVTLYYWKSMKCELCKTMYKT SFKFKTIQYNICDINKPKEPYLLLQINHSDKNKEQGLYVIDIKTRDTIKIGRTQDCDIKL HDISVSRHHASILVNHQEQSFFLEDNCSKFGTLVLAQDEDLQIQLNSPKRLALQVGRIVI VVTIEKKINKKKINKYRVPAQFEVFRQIKQHEDEVEQQSAEQQQQSDVEDILINDPTFDR DQDMSKFKNMNFLTGSIIQREQQ >CAK92907 pep:novel supercontig:GCA_000165425.1:CT868670:235035:242496:1 gene:GSPATT00025644001 transcript:CAK92907 MKQLFLNYRHNNEMRKNTYQIQFRNGETVSSEGFLESENKIQRVNFIFLFPLRAIKENIF YFLLLILQAFSSLPFYFVAIPLVLQQWIIDLHNCLKIRNMDKMLKEQEAKIIKQWDDCIV NKEIISKIKERQDQKEQSESNLRLKSKSQFERNSQNNKKVEYGQSHKKGISKTIISNDSI PFQQIQYKQQQSNKNIKASDNIKKQNQMSPLIYKDLALKLQQIQIMENDPLIIQFKKLVP SIFPKDLVQTTAKVPLNEKLKKMEKDKMNLIKSQNVQDIKIGEVILLQRNEIAPCDIIVL QCNDENFCVQQQLCDYSQSTVRKPVVQNRSESQTLVQFKKSLTGNIVFHEQNSQIKGFFQ LKKDPQSKMLDFENFIFCQEKLLATPWILGVVVKVGNGCFCYQKLQGKLRFDNNQYFGFY FVICVIMIIQIIAFNQNEYLFELRQITQIVLDNCVYLILMVPHFFKIYYNICSLFQLTII GTMDQKQIMSCHIDRYLEKQFLTVSVEAFIEQSFKLKRIIYNNQYCDFEEQQFLQFLTTA ENGLNKQDQEQMNNQLNSQVVNYEMQSSSSEILFSQRECIVNKVPKSVDGRFIHLYQQDY KDELNLNQAQLIIQEQFQNDKNLFENQEIGDHLTAQRQSNQQKSSKIYQSSKDQVKQDKY LIDENALYKSMIQNNSKNELNPLLLQLAMNHLAFSKLLITEKHEQKVKNKFLSLLDQKQV NMAKMMGYEFICVNNVQQYIYHIKQELYQFKLVNTKLHIQNQRLYMLFEMDDCSQEIDQQ FILFLREANVRKNESIEDKVLKLQSESLHYIYYYYCFLSQEEANLYLSSAESNTSENQLY TLMEQLFKLNIIFGLSYELKQDCQDFMRNIRKSDYQLFIYTQNQEISATSILYQTELLQQ NDLIFHFNQQNEEDLRAYFKQCLEEFSNQFSHASINSSRFIKISNYSAQKSTELDHVAEK SKTKKVFVILNNSTCQQIMENAYFKNHLKLLLNFTKALFLYQATQDQLNIVQDLWCTSEK TINLLYENQSLLRCISGCQIQILQLQQFSQFRDQKFGRVDKNNFFRKLKQSLRQRLIYEK CYYNELQINSNTEVIIQGFKELNRLLFFQSPLLKILFRSLQQQTVYKTVTFISYFTFTSI LYTWNTLDQLNYLIEVVFYFYIYQFFLLGIQHYQFLDDSKKLKYTRDQSLLLQLYSKHKS ETEIQILIIIKNILQGIIISCIFLYDIYQKEFYLYIFMSISISDWLCIIINFGLKLKIIA CSIFSLLFYIYTLLDAQEDEEWKNQINTQEVFSIILGVSFMLITNILGDYLQNCSVNDLP KKEEEFLSYLSYIHLISAPVQKKKTVTILQNKVNDLFDNIEQVDLSIQKLLLNEQNYQSR FGQWTQQIFHKAQTILKWKQKQTIQCLQLLFYHCTFLLIMYLYQDYNNYFLVDYLIIFGL QVIVFILFTFIQLPTNKQQYLEYAKFIIATAATVSILFTSNNSEHIGSTLVMQYFITYQL SIKFHPIYDYRLYLGSTLTTIIGYIIWYIIENESNVSFIIQILQFIVLFSGSQYFVKSYV RLLTQQIQYIQLEEDQAQNKLNFIEQNNKINDILGILLPKFIRDRLNETDQYNIHQNQGL VAIVFCDICNFDQMVIEEKDKIIPFLDDIFRTFDKFCQVYGVQKIETVGKTYLASAGLQA CEQELTYLSQVDPVQRALNLAEQMMNYIRSKQWGTQGQQLVGKIGIHYGGAISGVIGFHK PQFSLIGDTVNTTSRVCSTGLEDTITLSEQAFDQIKNENIEFEIRNVEMKGLGIRPTYIF KCKIQNKDNTYSMQYEQDLQSRDARSYVVRKNPEILKKNLKKRKTILTYIDTMNQRRESI QDKGTQNVFGIKPTDPAIYQSYDEKSEQSIQLLSKQVSEDKQGKQQSLEQPSTFKRLVTM LQNRFQLENYEPEIDEMIDYEQLLNVILLKNEYTLEHNLIKDISFLQLLEISYYKKQMNQ FISYDQYMEFVKFQSKNQTIYNLRLYTLYYMIKQFCQIQFYNDYNIVIIVLQWFCCILNL IQFLINRKPYFEKWKILIKMLLLIQVILAGIAIVLDDSDELKNVHVYEIVFIQCVFCSVQ ILSFWVKVLFCFFNFFYLIIIICIDGTQLISIFFIFVSSMYNLTLILFIEQQQVGCFNQK NIFKTQQQKESQLLQYLLPKHILNKFLDDRINNIGICDKLDKLTILFADIAGFTEYSSKV KPEEVLVMLKNLFVEFDRKCCELNVYKLYTIGDCYVAIGMIDYNNRNPHQEAKNIVDLAF EMIKIITQVRKQINFDGLNMRIGIHTGSVFGGIMGTDIVRYDIYGPDVLIANKMESNGVK GFVHVSQETKAYLEQDYDDLFTFEMHTTIDLKTIKRQIEGFLIHKLEQDHFPEEEDQFLS S >CAK92908 pep:novel supercontig:GCA_000165425.1:CT868670:242647:243852:1 gene:GSPATT00025645001 transcript:CAK92908 MSSKKTATLDYITTKVKPVFDQLIARIVIEKPDDVYTWSINWLQKQQRGQLQLQVVNNDL SEEEEEEEELVLEIKQTQKQQTRSAVSAEVYGEYNKKEDFKPRFIQKSQGQIDRIKKRIL NSFMFQALDEKDLNIVLGAMEEKKFQDGDFVIKQGEDGNELYVIDEGRLECYKRFAGLQE EKLLKTYIPGESFGELALLYNAPRAATIKAIENVTTFALDRETFNNIVKFSAIKKREQME EILGKIELLQSMDNYERVQLCDVLREEKHQAGKSIITEGEIGDRIYLIIEGELEAYSNKL NEKVYDYKSGDYFGELALLKNSPRQATVIAKTDVTLLYCDFNSFKRLMGPLEQVLQRNME RYQHYLQQ >CAK92909 pep:novel supercontig:GCA_000165425.1:CT868670:243948:244694:-1 gene:GSPATT00025646001 transcript:CAK92909 MLQLINLIKLRQQQLFENQPYDPKIDKERINQENQLLQVLYRFQRVHIDEKLNFYEQSAQ LMNKPIQPLLPMQVYDFIAKLYMEFFQENEFQDKAQKIQLILENEMHKNQSFIILMIKWL LEYYRLQVKKKEPQLKPVQVMRRKLLMTIDQNKLEVKKSSESQTKRIRMLNLSKSPESDQ NTLSSSYQQSTLRRTKINQRQLYNVIPDLDWDMPWDRSYKILFNRNQRISRSIQKNCN >CAK92910 pep:novel supercontig:GCA_000165425.1:CT868670:245490:246365:1 gene:GSPATT00025647001 transcript:CAK92910 MRNSQTTSNLANFSDHQSNLPASSARINKIEIKSSVVKKESRQCTSHVDALQRKSIKVKN LLIITDDQVKQFSILKKLLQNAKESVQSYRSPKHLLKKDEKSLDQESKGKQRVLSPIEQN EVIEYLQRKNEELVQENKQKQQLINRLLGGCNGSQRIKKVQSPKSLMTLKSIPKSAEAEK QMETFFRLPLIKTPEPQLDQKQEVQRRQVENERNESHNLYNMSFGNQINQNEEKKTKEQF ELTSNYNGQSSLRIKLHSQPNHPIKKNHFLKFLLKLPQEFHLEMGNQKIQL >CAK92911 pep:novel supercontig:GCA_000165425.1:CT868670:246391:248265:-1 gene:GSPATT00025648001 transcript:CAK92911 MREILQFHVGQAGIQIGNTAWELLCLEHGIQPDGSAPSSQNLQVLFSESQTKANVPRAAF FDDDPLTINSLNRGPLKKVLNQNLIKLFKDDASSIWASKKITQYDEKDRSSRAADEIVRK MLEAADAASAIIIYHSLAGGFGSGFTCKLLQLLNDETAKTTKLTVSVFPSTKQDQLFTQP IVEPYNTILTLPTLSELSDFNILYDNAAMYKVCQNQLDFETPNFSHLNYMIAQTISSISQ SIRFRGTKLVDFNDMRTNLITTPKQQFLWTSYSPFIYTDQQHLKKPNLQNITESLFDDNG HLLSFNRLTHKYLGSSLFFKGDCPLPELNYVIKQIKQSEEIRFAEGTQVAYQTCVSMAQP CTLPNYPFAKYSKTACMLAHSTGVLQSFESLKKRFSTLYSKRAFVSWYVGQGLEEGQFSE SNESLQQIIELYKGKHGDGKPIEVQPKTDRKQEYGYKKEKQAQQQPQVKKTQEVKKTQDV KKNQAPKASNQITTARVENNDYSEDAYDQRAPARAQQKPLKLTTNDQKQSNSAKPNRIQQ EDDNIVNQPQQQEDDNQINEPQEQEDINQQAIQQYDEEPVQQQEDQQQNQDDGFGESNNQ QPKQNDQKGPQANQLNAVENISFQ >CAK92912 pep:novel supercontig:GCA_000165425.1:CT868670:248277:249709:-1 gene:GSPATT00025649001 transcript:CAK92912 MREVISIHVGQGGIQVGNACWELFCLEHGIQPDGQMPSDKTIGGGDDAFNTFFSETGAGK HVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLD RIRKLADNCTGLQGFLVFHSVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTA VVEPYNSILSTHSLLEHTDVCVMLDNEAIYDICRRNLDIERPTYTNLNRLIAQVISSLTA SLRFDGALNVDITEFQTNLVPYPRIHFMLCSYAPIISAEKAYHEQLSVAEITNSAFEPAN MMAKCDPRHGKYMACSMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVMRAVCMISNSTAIAEVFSRLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AMRRSCCLRKGL >CAK92913 pep:novel supercontig:GCA_000165425.1:CT868670:249848:250833:1 gene:GSPATT00025650001 transcript:CAK92913 MLPILILTFITLARQETTKLNFSQFDEPLKIKQIYYLTRFIDLEKSLNLTTQQTQENANF ALELWINTENVLNQTTNKTSNHSILNIIDNKQFQSIIPQDQEGLQATEQYIEQWQNQTDD DKSIILQIVIQNINEMIQSEDPENYNQTILIEQISNQTNILDENKKNINTTTYISPLTWQ HANVSQEEMAIAYFFYDTKIKNLTVQEEFNNLTTQDAAALISAFEKFFVNTSKNQNTTSN TNTSTNISSKGEKPIEKEDELPFPQSNNDSEDPIYMYLVFALMFFMLIAILFLLRRYLIQ QKLITFQMNEESNVQGFQA >CAK92914 pep:novel supercontig:GCA_000165425.1:CT868670:250885:252163:1 gene:GSPATT00025651001 transcript:CAK92914 MDKNVSTKLKNFETVNWLKNRFKNQVRDKYILNDKELKEQIMMKAVFKSIDRDKSKFLDR QELYDMFKRYGINITKTKLKEFFKRIDQDEDDKLNWTDFKQALQNQEALQMFAELMRKIK ESTERKGKNDQLNFIPLSFPNMIQYMNYCVLREELIQKINSSQLNTQQKVKQCKNLLSLE DICYNKVVELKDDEDNEIEEADFIKSAKKSSDYQQIAILKRLQEKEQIEQRQSRIRKLST SQYYKSEQDQTNRSMRRKSKQLSTDKNQYAQPEFKLFDQLVSDNQNELPPIHTENQQRIH IPMLMSERIYESDNPYKYNHKVKKYKNDSSSTEIPKGQHFTTQHLIVFQLYLQIQQNLRT PMKLSLRMNQHSPKRIRIISQTELSRLANKG >CAK92915 pep:novel supercontig:GCA_000165425.1:CT868670:252690:253207:1 gene:GSPATT00025652001 transcript:CAK92915 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK92916 pep:novel supercontig:GCA_000165425.1:CT868670:253448:254976:1 gene:GSPATT00025653001 transcript:CAK92916 MIVTKIFEHEIGSNKLIATNLVKMFQKISELHGEKVTPVYQLKLELKPWFDTMEQIKILL ERYQEDRLIYDHYKLKMKELQNSKDSDKINRNERKLEKATTAYQNICIDMIGKMDNIISN KLRMMNFVLSSIISIEHDVSSQESKRFQESLDFDKEFSNGNTIKSVQPENQEQENNFINK TRKLLNLQSTLEVQQIEKSHQVKQQQFGETPTPNPDTDPFEEFNRVSAQFAGEFKEDSES PALCKDLKTSKLNQQQKLSQIQQQQEQFYQTIKNQRKQLEQSDIKQSNQSDVNQGFPNPF ISSQQQLPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQHQQQQLSQSQQQQQHQFQQQQDFRLSQSMVIQSNVPWNPFDEGQNNQQSFRKSVRN PFDDEVDDTYVQQQQNQNQNQQLQIHSQILSSRSNNPFANENNQQAPPRMFQTYQPSNPF ADYGNEHQKQPNQNTSKNPFI >CAK92917 pep:novel supercontig:GCA_000165425.1:CT868670:255019:256827:-1 gene:GSPATT00025654001 transcript:CAK92917 MFLVFLGIVSSYIFKFLQLLIDWAPKKMKSRPSKIDPTKPVLISNSLEAFTNAEGQEVDI KNTEQIITPDQLLKEKQIAYIQSITNKEQVEHFHTTLLWFVTACSLTPAIFIFILYSLLF RPKQFEEPTLKSQLPTKCREDYISYKAPVYPDGTAYELTEEDIHFLSTINEKLSNPVHIE DFEQYLSLLDQKSGKDVEIDFTEFLKLKLPLPKTINIQMQSTQEQLYAYFKKQRQHFSRP LTRYLMHPYYEDNNPHLAFRPRTQPMDRTMKLRRGNPQNSRGVIEMENLGQKIVMLKKDI LMVSYLIDQSVQREKAMEIDRRFTFCKFIKEFFDQSKREKDSNSEIKQWPKDCFVRDCPE KCNINVVEKQDEMFDHITKEILSWKEQNEIQRREKQKKEDQIVKYEKQIEDLIIEKQKYE EKPIVILPPAPQPPIILPPPPVIPQEPPDEKMESVARFLATLYLEARKYQFTFDQVLSDS FPIYKIQNQQQPVNSKSVFPFSLMQIEGNGLVYKVRKDSCLEKKDKAYWESYTDPSLSNT LYYQNDYFKEKVNDIMEMADMDQMVYAQGLDDDKQDEDDKLFKKKVKGSTTRLTGSNGGQ IK >CAK92918 pep:novel supercontig:GCA_000165425.1:CT868670:257861:258585:-1 gene:GSPATT00025655001 transcript:CAK92918 MGCQCCKDEESVKDRKQSELPDNWNPNRVDIINSKTKIKVVYVPGDSSKIDSSVFAVSTK GLSPLFSNQLINAAQESLQDNQGSFEYGNCYQINNKEKKIFLVCIPMWRGGQFNENKLVE MAFNQLAKQVKESSLQQIVINEVGVRYFGYPRQVIISSLMHSFKQFILAETYLEQIIYTS NDRATIALIETQLKSAHPELFQDEGKPDIKKIGKKNDNDVTSDLEQPLLAE >CAK92919 pep:novel supercontig:GCA_000165425.1:CT868670:258607:261736:-1 gene:GSPATT00025656001 transcript:CAK92919 MTDFFYIQVPADVKVLLLTGTSLNKNQLDQVQKCTNLLKLDLSNCNLTLIPNIKRLTNLR ILYLHQNQISQLSIEPISGCNNLNYLTLFLNPVSAQCSLRRQVISQVKSLWAFDFNAITD EEKHKELRLQQSTEKSRLPWPIISTPKEFKDQSQIVFLLWKELEVIRKIWQRCSAILTIQ RNIKCFLTRKKYKKIVQKQIKIQAFVRQAVKHWIRFTRNKKDLNQFLAHQYSTQFEKVKV LVQNYRQRKGKAIKEQKSARIIYRSLKSFYFEHKLKLKSIGNIHRLYFYKEQLNLFKSIL KKLINKPEIQAIIDQTPLLHEDFQKPNKIYDSEIFTFRLPNQLHFQNNPIYFKNMLTYPA SLAKISCCLQQLSLSIIRQWTSVTQFCQDVHAYSYLQKYDELMPARKPYVIMYKLPKQDK IKYQNCLKQINKFQLREYRQLYVINLSKENLSMIIKCIILHNKDHPSQVFITIFEKQLKE AVAALKIQRQYRAYQDVKKNGMNMQTIIKILVKERSLLILQKWCKDLKMHHRNNFFKVIG YRLKQLTQNVLYLNYSIYIQLNQCVSKLQFLEQKSKLRFINYKFLAVLEQFPNKNVIAIW LRRQIIQLDDSEQQEFSISKNCDIYHLLHFETQSEIKNLFNQKWMKITFPQNEQLRLRLF LLALYTYSFKNQCFVQLYQEHELLEQTQGVKQKDDLVIQTYRLQQYTEQVENCEIPCKPL IWLSKQLSDKTTNEIEVRFYKNGIDQKNKKKKNISKLPECEQISTHLFFSSIQKQLNLFQ FTQIKQNCPTASTNMRTITQTDKPKTALQTFIFQQDSFELETTNPEKQQQKLKLVTNATR QHLRTKSVTNKKQALQVELPIFFQQQNFNLSYSVGRKNNQPDISKQLQYWEDRENQQRQQ IKQQNREKRQAKLQIQQERSFCQEFNIGQNIISRQGDRHLMRLQQKKQQQISQQLVQQFK EHSNNIKEIQSINNKMKLQAIKEANSQYKASLEQPEQQPKIIQKHQTKRLGIANKYIHSV LPEEIFPIKIDFENI >CAK92920 pep:novel supercontig:GCA_000165425.1:CT868670:261795:262303:1 gene:GSPATT00025657001 transcript:CAK92920 MDTQQDQQLKKNQLKFPVSKVKKIVQENQDVGKINNVVPYVLTKSLELFLSDILSKCKET LKDRKLNKATPGLLKIVLQESQYDFMKDYAQKLPDLEESKKKKKGLQQDEQSDEVGKKRK GNDEFEKVEKKAGGRKSKRVRRQQSDDDYDEDD >CAK92921 pep:novel supercontig:GCA_000165425.1:CT868670:262319:263954:1 gene:GSPATT00025658001 transcript:CAK92921 MGEKRIDKYLFNPKDLIGEGSYAQVYRGREEKTGVKVAIKVLNKQVINADDYLREGLISE IKVMQKLKSPNIVQLMDVMETNNNYYIIQEYCDSGDLDKLIENYVSQKKTMPEKDAVKFM IDILNGFIQLIKNGIIHRDLKPANILIDKGTFKLADFGFAKCVDNFKKDMLASLVGTPLY MSPQILDNKKYTSKTDIWSIAFIFYEALFGKTPWTARSPQELLKNIRNQPLQFKGPQISK EAQEFLTGCLQAEEKDRLSWDDIYRHPLFKGHFTDFIKNVSILEDKATYLINDIRQMIIK EQLDIGELFAELDMTKDKALNVNELGKFLTRVDKNLTREEIEYIFNKFDDDGNNLIEFNE FKKWLEENDCRMTASEVSRTKKKVSILLKPQQDIQAGSLDDRARIVIDKLKTSIVKYNIN LLDLFNKYDKSANHELDVLELGKLLKRIDSSVTDEECKSIFVFFDHNKDGSVSFNEFEFV LKECLVKQKLKQQ >CAK92922 pep:novel supercontig:GCA_000165425.1:CT868670:264060:266401:-1 gene:GSPATT00025659001 transcript:CAK92922 MKKFLNYLKASNAEQQEPITQNQSDQEHFLVLVGDIIKYQNEPTNPTKIISVIRQIILYA IKGDNELHKQLFEQFMEIDVLNRLYDILQRRLCHHSLIQEIFEQVSSLLINVKEITNKNY ILSHSSISNMVLWKQSFEQYQPNIEIVETYISFLNLLAVKLDDITTMFFINLKYPQFPLL WNAIRFYNHPELMVRNKSRSIVLHILNIKNQNIIAYTKSFPFLQYYFNLGNQVLLTIKQI NVVVEKIKWRFEGQQQDQLAMLSEELKEQLQYIHDLFINNEYLEQLILDYAIQRPVIQLS QVIKYGYQHFHIAVALQAFLLLLLYFRTNAKIIQYIYQVFLSQDKSKITINEEFWGYSNA YDNDPDFMKKLCLQIYQTSKPIDLLDLKHENLEIKMSLQQLKQVDCINQQQRIESEIKIQ ESQILNSVYQHSSNKEVLIYVLLFMKELGNQHNIQTYYQYILKLASEQDQQLVVVILSLD IIYAFKQQFDSQNYIKQLYHQILTELILNIKTSQFFLDQLIDIFKKKPLSIKREFIIDQQ INVHHEKYAILFDPYSSLAKIQKLEYCILLSQHQIFEDLPKINEQYPELTKLQVPIYQIG DKPDCAKMACEYSETGHFHSTETYYILNVDNFLHVVQLDQQGVIVDVICSKLWQLLQVYG IERVQVLQFTYFDFSKVLDSLQQQFYLRFQNFDDVKYIDSLSSQQQQQFGDWFKELLIQK FEKLQQENHLIFK >CAK92923 pep:novel supercontig:GCA_000165425.1:CT868670:266587:268633:1 gene:GSPATT00025660001 transcript:CAK92923 MSQSTAKNLRDLLIKQQLNSKIKFKGEPVNKIQPTRKQSGNWDKKADVSQCSCNQKNCTV CMKKRVVINASPLLKVKVATKNQACDQDSRREIKVTSSVSAHQSPNREKQYQMQQMFLQK QLQSHLQQLQESRPQSKQAPKEQSKKPQFYHNMENFLNNYYQKGNLSNSQLYENSYNVKS KVIPKRIESKSHVLSNTQTSFKLKLNQQGPKFSAIINSGLVESAPNSPNNHENSTKSKIT PNDSISKSKINQNDSKSSEIRRKSTGEISEKTQVLGLKIDEQVGRLHFKFLYVIGKGGFG RVWRVEMKKNKKLFALKEMSKAKVIQKRSVNSVLNEKYLLEHLHHPFLVNMWYAFQDREN LFLIIDLLTGGDLRFHLGKMRRFSEAQTKFFVACILLALTYLHQNGIIHRDLKPENLVLD EDGYMRLTDLGIARMNKGNNAGDTSGTPGYMAPEVMCRMDHSVVADYYALGVITYELMLG RRPYNGRTRQDIREQILAKQVQVKKEELPLGWSENSLDFVNQLILRKPERRLGSNGIEEI LHHPWLKGYPWDDLLKKKIQALYVPGAVDDNFDFQNQISEETQLNDEQLLENQTLLRRDT VQSLFEGYHYDIELKNIQHQQTQKNHSQRKSQPVSPEKKV >CAK92924 pep:novel supercontig:GCA_000165425.1:CT868670:269216:269924:-1 gene:GSPATT00025661001 transcript:CAK92924 MIQLAFLLFVLTLGQDIEEEELSKKIGACWMKTIKDINNEKDNMGKIIESVQNANKTLIF HKIQMSMILQCESLIDINNENYLSLSYKDVVKDFDYKQYIGIEFKLTKEEETLLRIIKKM EKDLGELNKQNQIKQQYKQTQQVEQDWQDAYKTNQAVPTLLTKPNYFHYAFFLVLFVAIF GGGYWSVKMIQGMKDSSNKNQNKAK >CAK92925 pep:novel supercontig:GCA_000165425.1:CT868670:270073:270968:1 gene:GSPATT00025662001 transcript:CAK92925 MIYKLIGISGYLIYLIYFTKFLEYIWPNEIQNKNAFFIVVMVVVQNVIQVLVCAYYQIFY SLNHPFFEQYKLVQKPWPWEKNKEEWKKMKIKTLKSIILNQSLAALLVTVIPPFQCRMDT LSFPSYLEIMKHVIICHLSEDFIFYWSHRILHLPKLYPKIHKVHHQYNVSIAIATEYAHP IEFISSNIIPIFSGPYLLGDRIHCITILIYVGLHLSKAIHQHCGYVFPWELYNYLPFATN SIHHGLHHSENNGNYGSQFVLFDKLFGTCIEYKVK >CAK92926 pep:novel supercontig:GCA_000165425.1:CT868670:271029:271753:1 gene:GSPATT00025663001 transcript:CAK92926 MNKSRDWNIVDDELNRKLKQSQEIKSQLDDQSTEQLLQNKDQNQEYNSDVNYYKEFWRYY ILNEMAIKKVNELHSQNQKLHELIGDIDNCILLVSYRHKKKNRRTSQEIEKSFVCPYEKC NKQYGSDVSLNLHIKLKHDGGNKTDREKFAKMIIEAQQNGEVITDMNINIKFPPGYLDNQ FLNTQQNQLNQERKSIEQD >CAK92927 pep:novel supercontig:GCA_000165425.1:CT868670:271910:272403:1 gene:GSPATT00025664001 transcript:CAK92927 MIKFMFPLVVLVSITLQQQLTHQTLRPQKLNQEFLSERMDCKSNLSIISDICNNCKANHA YLLDEENWLIIGVYHEFGKEYKELIESIQKYYQKGVIYATFNSEFICLSISDANVSLEEF LHKMYYYQLPKSFYPLDKRLQIVLNVKQM >CAK92928 pep:novel supercontig:GCA_000165425.1:CT868670:273067:275169:-1 gene:GSPATT00025665001 transcript:CAK92928 MKNLHEMCHFVRNIPFIQDSSSANDVWRSPDFLLKIRKGFIQDHAILGACLFMGFEREDR KREREKDSHKYIPFEHRVFVCLGTLKSNSKFHAWLMVFSHDLSGVSFWDVQENFHMNLEG RVRKDKRAALRKFLYAEKQVAKKEGGLGLDALGNVSKDKKKPVPKRKQVMDLKRQELEKA QLEQAQKKQKQNDILMQQQKEGEENRRKARTNATFQPEDDGRGDQDDQAIETIQLENLNG NIRLKNQRQMGEVKQSKERMSVLKEEDGNKSTVKGAGSASQKKTFTNPFFVYREDEKETA IAKKQEAEEEILRANPIAKFSKIDRFFDKDGKQVVDPGQLPYKTIEIIFNNKNIFGNMAN QNPQQIYYHLHDKRQWYPFIKETIPNPGKQEESEEEESDGDTNIKIDEEELEDMLKLQNE EFIEKTWNQSVGSFYSAQILKEPIKKNRINRLLEDIFMEVKQGVEKARGKRSLYTKWKHD LDGINKKMEQYLKILEYKTTYRTLRVVQQKQDEESVAKWNHIYKSRNYEEIIEQWRKEVK IMLPLNTHLCILPMRFNYTESEKIKSAIVENMESFFMRRDNKIIFNLAGKIFNYPNRIVC VRLILGYSYCQNLDDTKKKDENEENKEYQEGEIDIQKLMLDDFDADDDENLDLLKKQKKA ENQQQ >CAK92929 pep:novel supercontig:GCA_000165425.1:CT868670:275336:277398:-1 gene:GSPATT00025666001 transcript:CAK92929 MIQDDHLRVLEKEKKKENLKRQEQQRLEEEQARQQKEEDILINNKEEIDSNFKDKKKSAR DKSIKIQIDEDISSKEKSQRKKKQVNQAEKYDKKLENLRPEQLIENEIGKPYYLVLDDTK LEINKELIMMEDNKVRIWKVFINEIRLENLQKEQLSIFLNFRIGQIGKNANEYADVSSGE VFFKSEICQDLKRSEVKVIKVMFETEMHLSYQMLKERYFIIEVWEYRRFRLNLFLGKIQV SLINIASGNIRRQDDIKKAFGEKRQFARIYYNVLFQEVWDYKLTFEAWKGSNIIADKGKE HDPKIQLTLMTDELIQPQVESEQIKKTKNPNFRNLKGYMHFRGTMEDLTQQMMKVTLFFD AYFNKVEKLVSLRGIQDTNYLKVQFRLKLKVPPPKKKNKHQKKWDGNDEEEEQDLGDNED GLRDEAYIAIIEGRVNLNKVPRYSQNGELNPYIPEQYYLVVTINRLNSVLSPDDRGVINT YLTVAWRDQAKTTRMIKNDPNPSYNEELYFRIPILRKEKTFEDIINQKTDKDLLIDALRE ELKSRPDITIQLWLDGQDILSDESLGYCRVFLSEIQKASKFKKNLLTDDNRRYQFDTREA TFTKKFESGLFNVSHIQITFQAFFAPDLPEELKLDEFCEVPDDHLSISDIRSTLSQIGSW REQGVQSLGQTCEKQFFIV >CAK92930 pep:novel supercontig:GCA_000165425.1:CT868670:277483:277909:1 gene:GSPATT00025667001 transcript:CAK92930 MNKKMKHSGSITFRMHESSLMDSILDSTTARQPHSLCEDSIAFILMAQSANDHIRIIREI IQSTKETKNRLRQGIQDLRLSLNNLEHSQQRDILNTEYEFTVNVNKLKSVTLINIPQEPI LSYLGLKRAEQSV >CAK92931 pep:novel supercontig:GCA_000165425.1:CT868670:278710:279858:-1 gene:GSPATT00025668001 transcript:CAK92931 MDVSENIVSIYQQSVDERALIRSDYSPQRKGKKIQLSENQKQESKSNKLILDATETLCIK QKHIRKRNKIYENKKIRKPWSAQEDHQLQCSLQLHGPNWVQIAASMINRNPSQCAQRWKR IKPDDLKKRKPFTKEEDQLILKLVAKYRKNWSRIAKFLPEKTSKQIRERFINKLNPQIKF EPFTEEEDHIILKAYQEIGSKWTKIQDLLVGRPENMIKNRFYSYLRQKYLKIKNPYYAIP SKKAIINKDQQSKIDKAQHITEEKHLTESRQYMEHYNDIQEIQPQLPINPYFSIPYPMML GNFSYPQYQLYQAPVAVVYSPIQQGQLNQQHGLNTLFQQQVYLGHQVTNQLVFLSNS >CAK92932 pep:novel supercontig:GCA_000165425.1:CT868670:280209:281255:-1 gene:GSPATT00025669001 transcript:CAK92932 MIQKPCLEDTLKQKWSDKYEKSRDLFRSTLKFIHTKPILPFKAYFNLQLIENKVPTQIRD PETELIGEFNEDDVNFLNVEQKEILFRVDISTGEIVDDENNMNENNDNPILVNTAPICSY HSIVVPFLNSKFQQLLSGFIAESVFILFKISQSPHLRIGYNSKLANCSINHLHLHLIYVD QLFDNNRFPVEEFPIKPLATIKNATLGEVENYPIRTLVITGENIIELLSLTIDTLLAHEI PHNIMFVSPQLAYIFPRRNQFDFIHEHGMKPAYAELCGLMICRSKKYFEELTLQEIEAKL SELTHPLFDNVVQDLVMLSAE >CAK92933 pep:novel supercontig:GCA_000165425.1:CT868670:281341:282316:1 gene:GSPATT00025670001 transcript:CAK92933 MINDFLDFCQKNNGTQMSKQLPRKKWKEVEDELLLKAIKKYGNQWSKIQEEVPTKDSKQC QARWKILKNKEEILERLDALGDYSDMDNQNHKVKKAKKKQREIPRRYNPHFDYNEESSSE SMHLQQFQQALIKQPYQLTLLQQQKREQIQKMFGMLWMTKCCGLPLKYIKEFQEKNPQSC MERYQYVSHCVELSQLLQQKKMKLQEDGSSVDIISSLAEDSSILGSEQFDITQSEGGDST DQQQQTDYKVVPLGVYTVQQCHTNIERILKSFSNHNLDTLPGIRESIVKLILTD >CAK92934 pep:novel supercontig:GCA_000165425.1:CT868670:282317:283751:-1 gene:GSPATT00025671001 transcript:CAK92934 MSIKLHSPSGQTRTNKILVAAKLAHLQLELVDTQSNDKNTQTQFPFGKLPVLETKEGNLF ESNAILRYIARHSQGLYGKTPYQQGLVDQWLDVTINELETDVITAAIQVLGQIPAIPSQF KTSLAQITYALTIVDTQLGKTKFISGDSLTIADIALAQVVTFAFTLLFGEAERSKFQHLL KWLNEVNSLPEWRAEFGRPRFPKTAFALNEVAQEKEKKEKKQEQPKQNEQHEKPKQKEQP KQKEQPKQTEQPKQQPKQEEEDDAPKKKEANPLDLLPPSTFNIDDYKRIFFAEKDIQKNI DTLFATIDLNGWSLWLVRYNKSENEGKQLILTNNLMKGFINQRLDQNFRKYAFAIHGVYG DEPNLQLRGAWLWRGTEVPKEWKDHVAYDYHQFIKVDVNNAAQKQLFVDYWIKQEEDVSE VEGLKARSLMYFR >CAK92935 pep:novel supercontig:GCA_000165425.1:CT868670:283804:284380:-1 gene:GSPATT00025672001 transcript:CAK92935 MRFPLLFYKNAKVYLPVHLLPFLIYKRKAFIKNPISTTARSLLSYIKSVLFLTLMVQSLR YNWCKQVRFRKVVDPLIPMTGGFLGAFSLLLESKTRVQEIMLSIVPRFFETLLNLMKRKG YMIDIPKGDVLVFAVILAIIHYYYQHDHKALKATYRGVFAKFWGKN >CAK92936 pep:novel supercontig:GCA_000165425.1:CT868670:284716:285506:-1 gene:GSPATT00025673001 transcript:CAK92936 MDEPGKPYDELYKIILVGDSSVGKTSYLIRLTKNVIKKQSQPTIGVEYAAQSILLPEEGK IVKSVIWDTAGAEKYKAITTAHYRKSEGALLFYDLSDKNSFDNVLSWRQEIIQYTEDSIV IMLVGNKLDLIQENPSNRCVSVNEVQQVCSKYNMIYYETSAKEGTNVKESFEQLIRKIYE HKQQCIEEEKSSNHQDYPNSEHKSSYQPLVQSNFEQSIQIKKQSDTCCGTQ >CAK92937 pep:novel supercontig:GCA_000165425.1:CT868670:285567:287139:-1 gene:GSPATT00025674001 transcript:CAK92937 MNQDVFLKKQWFIMSHEGKIEQYYDINLKKVIGSGTYGSVVKATLKGTKNQRAVKVIPKS KVKNPDRFKKEIDILRQLDHPNIIKLYETFEDQRNVYLVMELCEGGELFDRIMDKGCFSE AEAHEIFLQIMQALNYCHTNGICHRDLKPENFLFLTKAEDSPIKVIDFGLSTLFEDPISA KQAGNQKVTMKTKAGTPYYISPEVLKGSYDESCDIWSAGVILYILLSGVPPFYGDSDPEI LDAVQKGEYTLEIPEMKAVTESAKDLISHMITTPEKRYKASQVIQHKWMKDGSKQTKQLK LNFGQLKNFTGSNKLKKVALTFIASQLNEQEITDLGKQSLNTKKRLFKQLDKNGDGVLTI EELREGLTGMSDSQAKDLANVIKSIDTDGNGTINYTEFLAATMEKSLYMKEEKLYQAFKM LDLDGSGKIDKHELQTVLGKSDNIIDEKYWDDMVREADKNGDGEIDYNEFIEMMDKFSLL N >CAK92938 pep:novel supercontig:GCA_000165425.1:CT868670:287208:289231:-1 gene:GSPATT00025675001 transcript:CAK92938 MIFRMFNFMNSRMDEFIEDKKDIKLILDESLLLQDLLMPQQQYKLLNYVKDHPEHLDTLI EYITDFVDEAYHQRQQYKYESIQEQTRYPFLASEILSKENDFFQDFLFEEQNLQYLNRLF SLLNEDILNITQAGYFSKVILTLLKKKHFKMLQYLNDRDISNLLKHLDVPHVAEIIEKVI TPDPNDENQLLDKQKAILQRIMKIALNRYYETEIFDNCMQIINNLLKSSINPDQEELIKS LMNPYFFLTICYQSQRTSAYELVSNLLQYINNSGKTDYFLYFMDVAKHLPNAFTTTNIQA IPHQTTYGQIITPLSSNKLTLLQIYNLLLLSEKEEIVNELINNQSFQVITNLVMSHEFNN QALIWFDKIVVFIYQKLPEMFKSISQFLASTIIKYNTNEKKKVGSLQNHIKPGYQGILTK ISNLLVDSHFTNEEWDQYVNDTLYKINSVEKRLLCDVDPKPRSMFQSIQDSTAIQPQKEN KEEDFEVEEDIPEEDNNKENENEDSDLELDDDELDYELANLQEEKFYDCNEEGRLQQLQE MQQIQQEEPKVESKHKASSEEQELIDEQIEEIISDPQTKPEQVAQIIQNEENLIDDIGPL ELENKQDHQVNEYYKKHDDPKNEE >CAK92939 pep:novel supercontig:GCA_000165425.1:CT868670:289271:291305:-1 gene:GSPATT00025676001 transcript:CAK92939 MQYQEKIPVKVFNNQRCASVWIAGEIAQIIKQKQAQQLPCVLGLATGSTPIQVYKELVRL HKEEGLSFQNVITFNLDEYFPIPKESLHSYYHFMHENLFNHVDIQKENIHIPDGTLIKEQ IEDFCQYYEKLILEKGGLDFQLLGIGSTGHIGFNEPGSSVNSKTRLITLDRKTRADASSS FYGIENVPKYAITMGIGTILQAKKIIIAAFTEGKQRIASTVIEGQLSSQYPATFLQNHKN TLFVLERASAQGLTRYQAPWLLEAQGLKGELQIKYDLSTAKKGVIWLSQKVGKPILRLQQ EDYEDNGLLELITQIGQGNCGIVNLTVFRELTQIITGWPAGGRPDKLPESYFTQKKAISK QKIIIFSPHPDDDVICMGGTMKKLTNQGHEVHVVYMVSGNLAVFDFDALRFADVLKETSK VIGGNGEEFYEKVKKQIKDKKPGEVDGPDLAYVKTIIRRTEARSAALCTGVEEQNIHFLD LPFYETGKSQKKPLGEEDKQIVKDIILKIQPEYIYAAGDLTDPHGTHRVCLESILAVLPQ VKEQLPNLNTLLYRGAWQEWELDKVTMAVPLSPDELYEKRIAIFKHQSQKDRPMFPGSDS REFWQRSESRNKETARLFTNLGFVNYEALECFVSLDVLVMLGEL >CAK92940 pep:novel supercontig:GCA_000165425.1:CT868670:291643:294140:-1 gene:GSPATT00025677001 transcript:CAK92940 MGVCISKIPQPQPEITIDPMPTVQPLKGNYSMSSLPAEPRRGDKKRQAKIEVMEEQIHEN VVKQAKEKSPFDFQMILNAFGDHFMFAQLDNDDKAKLIDEMYYVTAKNTDFVFKQGDKAT LFFIIERGDCKIIINEEVKRVLKTPAYFGELALMYHAPRSASVQAIGDCGFWVLERKKFR KAVEDIQQKAYETNRKFLDQVKFFDFMTEEQRDSIANVLITLKFKQGEIIVNEGDMANSF YIIQKGIVQVTKQGQFLRYMNQGDSFGEQALFGNCVRGATVKANDEDVNLLSLSREDITT ILGEKIQLIIYTNMQKWAFEKHPQLRDLTKMQIQKIVSNFKIKTYESKEYLFSKNQMIDK LIIILDGQLEFNGQLFNNGQLFGDTFLQVEESKRKISSDIVTTSKTTLSVLPFKQFFECI GGELETVVKKNKDRAGSSSLLEKRQKSNYSLLTLEDFIGLQLLGEGTFGNAYLVKDIPQQ NLHVMKCVPKVNIIANNTERHIKNEKQALDLLNHPSLVCFHRSFKDQNYIYLLTEYVKGK ELFHVIRDLGLLNSYETQFYIAQMINILEKLHSYHIIYRDVKPENFIVMEDGYLKLIDMG TAKVLKSRASKTYTIVGTPHYMSPEILKGKGYTFSTDLWSLGICFFELMCGEVPFAGNED DDPYIIQEAILNGRVEYPQFLKDFKAIKLMDQLMNRTPELRLGGSYDALKVHTWFDGFQW EDLEMMGIKPPHIPKLQQSKFEEIPFLEMITRDTSPDMICTVAVDWDSEF >CAK92941 pep:novel supercontig:GCA_000165425.1:CT868670:295313:296917:1 gene:GSPATT00025678001 transcript:CAK92941 MRNQIILANILFLTIVILLPISAFYAQSKIFTYISSHSTELLQVQQDKSQLIIIGNMLMR RVALQHIKIINQLESISKSYNYFDYNNITIDLKYPLPACVPSAYLKGDDYFINYESFCYQ ANGLNDSITLPKNDTSVQSLHSTLTLLSGYSMIFGLDIVKLKEYFHIGAVSNIQYLATYP VSYLIKTYNVLKRPWYQNHVQAYSKNPDLNISYTPPFNHFLSQTLEVSICYSIKSKAKEL IAVSKTQLRFDSYMIPQIPYNVFLLDNTGVVLYTNSDNYFNDSQSIYLYNQSITGFNKTD WLIIQENSLNNNQTPQIFYHKLSNQSVYLIAFQLPNQEYTLIIYSNITTTIEMQGRLDEK NQELNTIFMKMIVSNFSFSVFLMLLEFIVIVQIFAPIHRLTMEIRQHTLRVGNNINREIF KLINNKPVGNQFLILQQKMLNIENLLNGNQNNKSKICKIYEQIQYNKKERPFKVKQLRKA TLDLKDDQHQLKQKIKNIIKLLFNHEETINN >CAK92942 pep:novel supercontig:GCA_000165425.1:CT868670:296982:297587:-1 gene:GSPATT00025679001 transcript:CAK92942 MSSQNEEESDVQDVDIISKYTSDYNGEQSSQQPYQESHEYERVADDIKSDYISHQESFFA KQQPPLQNNNNNAENEEFVKLCLKKASAINEQIMIQRKQLKDQFKEFQNTINAARSNLKD YDMPYQTAHFPLYLKTQEKFVVLPAQNLNIRKGTVESFIKTNLENENSVKKAEQDKRVAF KQRRPYQRRKFDS >CAK92943 pep:novel supercontig:GCA_000165425.1:CT868670:297686:298346:-1 gene:GSPATT00025680001 transcript:CAK92943 MDLPPLSELELQNIYAWLDKLPLSRAKKNIQRDFADGAMVAEIVYHYLPKLVEKHNYPQA HSVQQKQYNWSTLNLKVFKKLGFQLSKNDIDSVIACSPEAIERVLKFLQIKIEKYLEQQK ELEKKALEQQKQQQYQQQQKQSVQEDPLQNQDFGFILAEKNQAISELKETVEILQLKVKK LEQLLQIKDNKIQGLVNQLQGKQ >CAK92944 pep:novel supercontig:GCA_000165425.1:CT868670:298517:299518:1 gene:GSPATT00025681001 transcript:CAK92944 MLLLSQRQSTQLQELEIMKASILGLKSFRSRNPSNERSQTYQRSLKFSIDNQRQKNNGQS YSGEKQLNLSSQNQKVENLIATWTHQKNSQSLHSSSNIVITGKLITDVRQVAQLDDQNKE SIKPHIIIEEDAVFTEERENQPFIQNNKHKQVKTPVHLIAPNKSYKEQCIKFVNEETQEM RKWNGVKKLQNQNYQRPQTTKANPGNQAKSSPLVFKEQSLQNPMKISFIDQTKLNKQQSG PNNNKNVLYSSKIVKKNNIGVPEEIQQDNQFIPELERLKEQILSTYLKQNRALIGSQNQI LKNFDQILYILKQDFQK >CAK92945 pep:novel supercontig:GCA_000165425.1:CT868670:299556:299963:-1 gene:GSPATT00025682001 transcript:CAK92945 MSEIIPHVYLSSIVYAKDLYWLKKQKISNILIIGQLPKYFPLKFQYKCILIEDKPENDIS QYFEECIQYIDQVVAQNKNILVHCYGGQSRSVSIIIAYVMRKLSIDAEKAFNYVKERHPR AEPNQGFLKQLKTFK >CAK92946 pep:novel supercontig:GCA_000165425.1:CT868670:300028:301579:1 gene:GSPATT00025683001 transcript:CAK92946 MQTASIFNGIYVVQKKISSGSFGVVLLGQDKERNVDVAIKIEKEENEDVRSLEREVQVLE RLQGTDGVPKLLWHGQQDEYNVIILQLLGKDLSHFMKTKKKFSFKTTIQLGIQIVHVLER IHNKGVVHRDLKPENILFGIEDESSKIYVVDFGISKIYRDAQGNILPFRDNASFIGTTRY ASIAAHKGHELSRKDDIESLIYVLLYFMKGQLPWQNMQNVSDEERTVKVGEMKMNMDPRE LCKDVPIEFAKILEYLKQLQYNSDPNYYFVYHQFEKAAENQGIQLDNIFDWDQQQQMKIS QSNNENIDNLQRSNTQQQLQPPQSTEMKKSIEKQGSNLIRQLSNNQFLIPPSQLKRQDSQ QPSVVSGSVMLSTYNSIRPKYQASQMAFDIKVCDDNSPQDINQNMKQTAETGNQNGGQNS CAETVCWKDSVFEENFEEIPLAKKYGMLKQKGVEIPKKRKTSQSPIKQRKKN >CAK92947 pep:novel supercontig:GCA_000165425.1:CT868670:301625:302324:-1 gene:GSPATT00025684001 transcript:CAK92947 MNNYHYLFKFIIVGESNVGKSCLLLQYTEGRFQVGYDATIGVEYGSKEIIYDDKLIKLQL WDTAGQESFRSITRAYYRGSIGCFLVYDITRRITFDRIQAWVKDVRQDTNNRIEFLLVGN KCDLKDRKVSYDEGRQLSIQLGCEFQETSAKTGENVEFIFEQLTKKIYENIKTNKIDPHD PNHGIKIGRIGENEKITEIPKQKQKSICC >CAK92948 pep:novel supercontig:GCA_000165425.1:CT868670:302335:304003:-1 gene:GSPATT00025685001 transcript:CAK92948 MDQIEEERKIIQIEIKYTTEIHKNVPIDLNGTVLKFQETLQELLKVPIEKQKIMIKGSLL KKDQDISKLNLKNGQQIMVMGAREEHLLNLKQGKKIFEEDLTPEQKAILLKEKAGIVLPI GLVNLGNTCYMNASIQILRRVNELNEYVKSNTKQGQGSSVKFFNALKDVFQKLELKGEPF KPQNFLAVFFQLYPEFAQRDNQMQLKQQDADECFQNILQTIQPLTAYENEEGKVKNLIQE LFEIELESTIENQDIPDEPKKVAIEKGRKLMCIIDNQSKPVNTLVEGITVSLEEQMEKIS ETDQQTHIYKKTQRISKLPSYLLCQMVRFYWKQGVQGKEGVKAKILRNVAFPKILELYDF CTPELQQVLQAGRDYEKKKLLEMAAKETDELEQFKKDLEASGKMVPDDTREIYKQFKAKK LQEEIKNHDDQLYRKHGYGLDTGNYELIGVLTHTGREADSGHYMAWVHHSGDDWIWYDDD KTAERKIENILDLRGGGDWHMGYYLLYRKLEIE >CAK92949 pep:novel supercontig:GCA_000165425.1:CT868670:304044:304874:1 gene:GSPATT00025686001 transcript:CAK92949 MNPNTPFNERFHKIQEKLNSIPLQHDQSKAHRIDTICGRITAVEERTQDTITSYNRKLHS LKDEIVRLQKQIEEENNAFETQFEQRVREVAAFESRITTKLEQEIALRRDGNLKLQGYLD EKVVYLKSDIQTEGKIRQEQTENITTSLENDLPKLYDMVKTEGQDREDSDNGTLRRAGDE IRRLNEGLGNQKKLREESETAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDAAN KIYRAAKD >CAK92950 pep:novel supercontig:GCA_000165425.1:CT868670:304906:307903:-1 gene:GSPATT00025687001 transcript:CAK92950 MRIRTQGHIDKLILRAQSLNQKISISNCLLRLSTTSARQQRMRAVSFQTNKPMLNYKEDS PIQSESHNSIENFGFSNKIWKTKALEILMITLRFISFITKSNFATSFKLIKKNVFDIIGD VSADFEFYQLRNFFKYEKPTGFQKLIYFLSQRILVPIRKTKLLKIYFGNQRLILRPESLA SIWWNIYILTILNINVLYVSAKIAFKFDDSTNDDLQQARQIIFDVLPSYSFMLEILLKFN TCYYNKGAVIENRYLIAKNYFRSSFLFDIFVIIPYFVSLRFDLEYLDLVIILKVFQIKKF SRTLFDRLELTAIQIVIFDLIKLVYTILAAAHFSACLWFLVGSTGDPSGNSWIKVQNIED EYWFNQYLHSFYWSIITMTTIGYGDITPQNLRERVFAVGMALSAVGVFGYSIGNINTIYA EWNRRSFQVRTDMNNLKKFIRIKGINKHLAEKIRKYFEYLWSDQMEDNDREVYKFSDLIP RQLAEEMKIDTNMKLISKINFLTQNFSEQFLISLSKTMVEEKFVPESTIYEQYDPSEYLY ILSNGSLSFYITMNNKQQTVKVLETVRQEGIPFGVLEFFQSQAYQMSCKSNQFSYVLKID RSQFLDILQQHQNDYFKYCELVQQISFMNKQELIDVTCRACNKTTHIIKECPMVCGYPNR AKVLLNYRRNIPSDRVKYRRKIERKISTRKESLDIRNSIYLYMCKTQMISQEIDDQRCLF DSRGASFADSANDEIIENQQEVDKEVLNSTLLALDRNSRLSKQYGKRKLTFQACTDALKD EEIRDRILDVISKIQRAERQSIRQNNVSNVQQMQLNTRQQNHQNTVLSNKSNQDSDKKTS EQMRDQQRRQTKIVVTPFIKVNDNSMEYLYLNKNLEEEQGKKMGQYLEIFEGFDKVKNFE YYLKHNNIREIARQFKGQIKNQRIRNQNKLETIKFI >CAK92951 pep:novel supercontig:GCA_000165425.1:CT868670:309180:311958:1 gene:GSPATT00025688001 transcript:CAK92951 MIAEVQSNEDLQVMCRICEKKTDATLIENHLVNCQQIFEARKQLHQLDVQIQKLAELAQN CFRTLNTKYQIHKSKQARYTNNENRGMRNSAPLKLNQPEDCASEDTGSRTNKVSCTVKSR FANLGTSLADDEPDEPEQKSVIQVVEENITGEDLERIMKQQIKLSKKIEQQKQGVKFAHS PSPEIKQISSPLSNGMGSPFSLDDNTEILKDLRLQLNSLSIITKYTEETLKTHHGTQSIQ FDLKIQVELFNIKHTLQKEVQDLIKMTLKLIEEKVQCTQKISRLQKLISEEEKLENLTSP LRMKISKVETGNRRTSLGNSLQEHFEMFKNSSTGSKWLNKQNIISNFENTHQVQSKTFTE GVGKDCIFSKCLSESEDGGASSSESESEEVSDKEDKKNITKKSLEESFEMEDFVQQSKSN VISFKDLNQNLINMVDKCDFDNEKEQKVKGYYSDGDFKTTKLVKNKQLLNVTLQDFEFIQ VLGVGAFGAVWLVSKKKTKDYYAMKVIDCRNKNMNEIQNLRAEKNVFEILEGDFVVKAYY SFIQDNCLLFLLEYMMGGDFSQVLFQYGRISESVAKFYLAELLLAIESLHKKGIIHRDLK PQNILLDAQGHLKLADFGLSEIALVQKIREGKDGINCSIDPEALPMNVSKRNIKTKCNIE FHLQKTTSKTSIQERTQSGKRQNRIIGTPDYIPPEVICGLSISNFSIDWWAFGVIAYEFL VGIPPFNDSSIPKVFENIINRFIEWPEIGSGEDMMSQDAYELINSLLEPQYHMRLGEKGA DEVKSHKFFDGIDWNNIRNQEAPMIPIRDLDQLEEEESNITKKKNEEVKMKDRLQSVQVS HDGNLDDVANLTRVDLLAKISQKDAENVMKKRSIRKTIQQFL >CAK92952 pep:novel supercontig:GCA_000165425.1:CT868670:311972:312670:1 gene:GSPATT00025689001 transcript:CAK92952 MLKYFHDLSDEYAKSTYNPQTMSECIQGVYEYLKQEKNEDQFVINNGGIKLIHQSQWHFE KQYSICLTFNPQGDGTLFQFSSSHVDGKRIIANGVHCYIMAGVVFYKNVYQRFTLEKVIV EDYSSNNKLGDVIMDGWNELIISHECGKLYSRPKFIYSINQKKNTLQIEYPKIRNQNLEL LYFQHIKCKVKEISVYSEVVDLHLKSPFYSNLPIVRSSPNLKSQRPNPECSL >CAK92953 pep:novel supercontig:GCA_000165425.1:CT868670:312690:314906:1 gene:GSPATT00025690001 transcript:CAK92953 MTSSLQKYDFVEYGGIKILIPLFNLIQYYDHSKIDDLFEILLYTLLQDSQINKNQITDMK LFQQIAQIIKVDIPNLSQILQIKQQVQDQDILNQLLLLIIDVNFIKRVTDQQQYCILLQQ FYINDHQQYLKVTSIQYLAQLLVSVNQKSQIQDQILQIMIYSCQYNFNTLKEQFKLFLKV AEASTIELKIKAVQSATRLVSDLFRDDQDNFIVFRDHNIQNKILNDILLLLQTDSMDCLV ATITLLGQMLYGTNDLLSQGMISYISKAICVTNNFDNLLNVLTQLLKINCLSVEILQHLY SQTKQQNILEYYLNYVKKITIEQQQLILQQYSIWFLDQLKFESNERLLEIFVYLLKQNPR FMFQTLIHFQIRKDVSALITVVTEAIEQFNEEQIYQVQQIMFQIYFSVQSFKNFEVFLTK LMRHVISLENEQELSKMVEQKELPFAIQKIINLSFPLDKLKEWLLYFTKLFQQTQSQRTE ASQSFMIQIIDLLKAIQSQSQDEQVKESIEQFLTQNELILQSLAEQQVQVFDSIKFQEDF QVIQEQLLIKWTQEQQQIKMNQSEELSKLTDEINQAAAQMEVSVYKLMNKTRKIRERWCK LWHNLRITVYRPQECKFQVDEQIDHDYQFHENPYYINKIEKYINKCYSRPILKIKFKKNP EFENDAQVPLEKKQQYKCFWLKSLYLKQGGVTIGEDKITFSYYSYSQQANHITLLPHTIP NHQAIQEIVEEESDQMAA >CAK92954 pep:novel supercontig:GCA_000165425.1:CT868670:315073:316847:1 gene:GSPATT00025691001 transcript:CAK92954 MYLNFLSCRSYIDLTRYPVFPWVLKGNASDFDDNDIASIQNEINYRDLSLPIGACGTEQR LDQFIKRFENEQFYYGTHYSSQALVSQYLIRLSPFTEAAISIQDGKFDIPDRLFRSIMKS WIDCNNEMADVRELTPEFFYLPEAFLNVNGYNFGKLQSSQIVNNVLLPFYSNKNAFYFVA QNMIALESEYAIKLNEWIDLIWGYKQQGSAAVDSFNVFYPLTYSNFDENSIEINELAMET QIAHFGQTPEQIFYKPHAQKNQIVDKTQWQTVKNKKSQSKLIYLICNKSKVVSVQEDFTL IQWQIVKNQYQFQQEKQVQLPKINFDAMIKYVQSPILVLIEQSIVLFGGNNNGTLTYYSL DNLKLQGVIELESEIINNHCTVTVLAANKQQNIVILGTNKGFVYYYNKLLQGKQDIKQEE LLKGKYVIYDTTSQINSIEISEQLTIFGVGTQDGTIFLYNLYNRTLYRFINHPKGLPIFY LKLSYSPLPCVLFSSHDERIVAYSINGFLLQSLQIKGNIEYMEIRKSAINTLIVITDINV FEYRLPFLDRLSVVPAQICTRFIQATKQISIFGCKSGDLRII >CAK92955 pep:novel supercontig:GCA_000165425.1:CT868670:316887:320577:1 gene:GSPATT00025692001 transcript:CAK92955 MLHSPLIEDSEEKQNVLVKHSKTKTIWIIVRYIISDITKRPRSFKIGLFTIYLVVTFLAL LESAVQLSPLIFIQLAETQSGDSDLLFTPMSSFNKSITYESSSTFINTTEINEYFNETDG IFGSSPRWLLTGNLINNNTHVEKINQKLRTFVIILNQTQEISIGLGRRSTQNYLTANQVQ VSASTLRGLNASVGDVLQVNIDVDELISLLDGNTKGGSEDFFYQLIDSTINKPLRRIFGD DFQNKIIQREEIQQGAEEVVALADLLESNQELKNLSKEYIEEYINGQDFPQDEKKLLLDI IDIEYQRNLSEDARTLERFAYKLNESVTVYEIEMAISSLVAQSANFTFDVIVTEKIESPR GKWQDGFNALLMDQQNATNILVEGLLTKFEEVVIDKRLNDDTTIGAVAELIPIDFVKSVV WNASQRIYLPDYSLAQNLILKNRLQVYQDKNTIKQGIIDVTNQFFTKTGFDYPVSASSTL ATAVSNYFILKNFIDNLIAAATMILLMLSILLIYSLMIGDVDEKTYEFGMLRALGFRKSW LIVLLLFQALTFAIPGLLLALITCYILNSLVSMLIFETTDMVSNYVIADPALYLAVSLGI FIPIISNIFPIQRALSKTLRDSLDLYHRTIQEVMVNVVKLEKMGISLTQTFCSLLLVAIG FVSYYLIPMAFIFNNVTLALNIINVIFIIMIIGFMILVNLLQGPLERAILYCILWICKFD RNLKQIIFKNLSGHASRNWKTTFMYSLALSFILFAGASLTLDSKVIGDALQSALGGDVTV MVIPTDKIGLDEFEIRKHLESERIRDNTLIQDYSFNAILLTHFPFQGGIQWFTTMATFPW AKIRLGGVEPNYLETIMQEYYLPDDYDDQVSYPKLKSGKKDGVYGLYDRSGNLDIESQED AKKVITQMTIRDRYYQDLNLSRPNVRIEEDREINIIIPQGYKYEISIDVNTPSLIWWGRY FRINVRHTASKVPGFDFSSYRQVTKFGQGIISMPDQLYMVNRYLGGDIRNISSISNPSIR EYLSNLPKGLTYGLPKTSLRVKFARQTSKTERVDFCNRLRNYFRNDQTLLFDVKTLKEEA ENAFFYIQILNIVVAVIALTLSFFLILISFVGNVKDNSWEFGVLRAIGLNKNQLTRIYIY EAISLITASGILGTFIGISLAILLTLQILMFIELPFVLMFPTATFLITCLGGFVTAVVAS YLAVLDMKDKSISVILKGLL >CAK92956 pep:novel supercontig:GCA_000165425.1:CT868670:320627:324330:1 gene:GSPATT00025693001 transcript:CAK92956 MAQPNEDQPSLAELQSTQPQRLIRLFFKYILSDIAKRPRSFKIGLLSTYIVIAFLGLLQC LKSLSPFLFLQLAETSVGDTDLQFLPKTLASKNDFRLINITELRQYTSQIDEFLDVSPRW LFMCDIYNPKASLNLTSFFMILDSQLENSIGLGRRLDTDIITSNQIYISNTLQKGLGVQI GDTIQIKFSVLQFLKHFGFNNTEGESKNHLRESFKRFLNDLLQISDDDILHRKFDVATML KYVEEYKYFVNDLEASEWKKNQTKKSLIQSIYSQNITTPQKKLAKQMVDYIFTRNLTKDA RSMYRFVFRLSKFTKEITISQIEDGFFDIAIEIAEFELNFSVYQSVESPKGKWTEALGMM VFMDYKDATSLFLDAILDRFDAVIKQKRKYDQGMAGAVSQLIPVKETRNSVRDKLKHFQL QEYSLMANVVFQNKYPKYETPENAKAAVISSTNQFYSIAGYDYPVQIMAPLGFIVELYHL IKHFIDTLIQVATIILLMLSILLIYSLMIGDVDEKTYEFGMLRALGFRKSWLIVLLLFQA LTFAIPGLLLALITCYLLNALVSMYIFDMSLLISSYSIPLYALIVQISIGICMPIVSNIL PIKQALSTTLRDSLNLLHKVVSNIVVTVMRLEKIGISLNQTIYSIMLISIGFISYYVIPM NIIYQNVGLAIFVLNIVFVTMLIGVTMIINLVQKIVEKYLLYLILCLKSSDQNLKPVILN NLKSHENRNAKTTLMYSLGLSLIIFTGSSFAIMSQMMQNYIKVSTAADIRIFDASKKFGL DEYGLRQHLEWEQKNDSTLIKDYTFSALPLNEYPGIQNHFSISPLSRFPRNLIILQPVEK NLLNSITLEYYQPTEYDTESSDVKYLDNGVRDGIYDLYNRDSNYDMKQQKDISGIISNSK YYQIFEPKQKTIKIDREINIIIPEGYRNAGSISVNTPALLTIKNKEYMIEKRLKIRHMAT KIPNFDFSAYTTVVFFGQGLITMQDAELLLKEILNNRAVRKSRKYRKLKEFIEQLPKNLS FGLPKDQLFIKFNRDTTREERLDFSNRMRNYFKNDQIYLIDSQELMRTFDEVFTYFEIFN AVVAAIALILSFFLLLISFISNVRNNCWEIGILRAIGLQEVQIQKVFIYESISLIVSSGL IGSLVGFITASTFAMQIATFSESQFRLAFPLYTFLFTFLGGILIAVASSYLAVRQLKNKE IAFILKNQI >CAK92957 pep:novel supercontig:GCA_000165425.1:CT868670:324382:331302:-1 gene:GSPATT00025694001 transcript:CAK92957 MQLIDAIFEDQFKPALIEHYKQNKIVRRADYLLKALQSVDQTPLEEQQKFYDHLEKQLLK CSSLQALALIHNQNEQIVDLLLEFCLTNEIHTQQLFRIIDWFLGAITLTKRNYKTMFMYI KNQMYNSQLTNEKVQRFITLLQKVFAEQHYNSPYASFYFNYIHSGLVVDTREMKWCFSKG ISLQTWLYPIAIKSEVETKIMYLMSDKKKGCQFILNGNKLVYQYVDLNSPHHEVPISLIE IPYNEWSSLCIQIQSKKFFLQESYYLYLTCGNQQIREIKIDFPQITNEALVIEFQFFTNF YGYVTSLLIYNDGFDGNDLTCGDKNLGIQTNDHLRNLHKSHGNNRLLLCYTPLRARANFI TDPINQFDGALQSYSGSYLRITQKQQFAQFCRIENFVPLLLFLRLQPYDQLLNELLALFC QIFKSRPESQSDAIRTEYLSLIALKLNDSGLAMINSQTIELLSQLYNSIQDVKLKQQFVC SLLWRIQIYRLDDFSIIEDYLKFIRVIYNDNPEFCISIFGISKILETLIYDIDPKNRLCC EEHANQMGYTNFSLPTIPYSQLIDSYLNIIDAILHYKLFQSQSKIEKENIIDITRVFTLK CSPCFYQLLLKKLQGLFQYETKSNNPISQILIQEEVMQMLLNLLTTCSCPDVKTSCIKLI AESIRINQSSNEKEIASWIAHTLGNSAAVETYSDDEDEDVQPRKPLVNQALVFHQQEEQQ SSIEEKKKQPVTQTKKIVQPNRRIIDYEPLYVAIMEWMLRTRVGKTNSDTLILDENFVIK SDGGLSLLISYFQYCVDSVKGRIMQDLCMLIKWNQASALFLLNNEEFHWWILETLLEIQY QYNNRELTQFEFWIWDSGLKLYCNVIKVAIQNEKNGFQRVAQLQSYCRVLEEFFKEKINQ QATQLLMRLIYKQLLSNLSDCYKLDLFSVFWVNLYSVIFETFRLVTADPRTMENEHYYLF HKFQSIAFRLTISQQEMPKKWKQQFGIIQWVDHILIVNICEIVNQFCGKFSPQIIGSLED YHIVQKGILGILEQKNPTELQKSFAAMMFSFEIGMEQHQCPIFVQVCQLFLQLNAEYFAY NVQSSENIKQLQVILNTLDKLVRTLIISSETLRDKEIEETQDKVVYLIIGSHFIFLYQME DKIKEINYDEEIKQELLQIVRNCLFYSFKFLIVYVDSFTQILNKNESFQALIFEGYKQHK LFLIKMLNDLIKKDSSHIFDVIETKSLKVNDKLIMEKMISAKQVFVDNQTLLKKIQESFF IDEEYHSKTKCDFEESYRIFAQKKKTLQDKLQQQRQGIEEVLFSQMISSSTTYSYDQKIQ RIDSEQICMRQARYMFKKSFNRVRVFNQWWAHPDFKAQIDKPFDSIDMHYENIKQNQIFT WKMWKYETKQKSRPLLKPKLYDYPQIDTVMQSQQQSTRIMNLADYDKKQPERQRKKIHEI IFDTIIPFYSQQHEEDEVILHRVQWIKTLTVRIGSLRISDTHLIFYFESITQKETHQSLI KFRVPEDSQLVKQWDLDQIYDIQFRRYIGRWTSLELTLLEGSTLVFNFSNGDHQKVIEKL ISLKKQRTINIKPRILSGKLDPISVLLESKAMNKWYNYKISTFEYLMKVNKIAGRSNKDL TQYPVFPWIMNDGEQLHKYRDLTKSMGALGTKDRIEVFEQRYNMIDHFNKVPQFHFGSHY SSPAIIFHYLIRLKPFSDGAKELQSGKFDLADRLFFSFIDTYRNAVEELSDVRELIPEFF YLPEMFLNLSKYDYGLQQTGQRVNNVDLPSWTQQNPYLFICAHRMELESDYVSQHICNWI DLIFGYKQKGEEAVKALNTFYYLTYENSIDWDSIKNEKQKISLESQAIHFGQCPSQIWDR PHISRGKLKIVYRIVDEKIEKRIFRQKQNNAQTQSQNFSRNKSIIRIQFLSDSKVWMIRR SGECATVKLDLKDNKLDINNQKDQSINFGKFLDENQYLFDWTCNYDELPVLIRGKQVLIG GIWDGRMLIYNNGIISEQKFEQEYTITVMRFDYKMRILATGSKDGTLIIYKVSNNSYIPV SKHHHHDQQITDIFICNDLKVVLTCSSDSWIHMYNQWSGKYLRSYRHPKGLPISKVCSYC TPLYGIAFYENKNIYSYSINGQFLAHLELKQYVVNQGHLKVVKDSKLTDIIVLPLCMEKK ILMLSTPFLQKRNEVQLTDCPQSMNDISSFALSPDRTILAFGTSDGEFGLAVDQRIFQQD >CAK92958 pep:novel supercontig:GCA_000165425.1:CT868670:331317:333894:1 gene:GSPATT00025695001 transcript:CAK92958 MHKPFHFVLFIVLTLGAEWQTGLDNVIKNQPQLTLTSNYPIHTQIEILFDKQFSEVPEVL LMFEQVTFESTLDVDINLSLGQVTKSGFELRYRLGGPARVQQLKVRWVAFVDKNVQLTYR EFNFMQLRELRQGSGIREDTFTQPVNANIQSPKVSAFLVGFKINPENGPYALQINCTLDS QMQNVELNLKTKDTTHVRYVKVALILVGEGSKAITKQGGVDSRGFNYFEEQSTSGIRRIN YKQVVPETFLTAPSDNILSQGLRGFEANSTESELISMSLDKYHVFDENYEMNFGPCMEYF SIKSEWHSDLQSRFLHPVARTNQNRENDNESSAQKMNTGQIEIAFFFFPFLFSLSCTYFL YANIIYFFLQTIILLLLAKFYFNQIQIVPFFFYDSTFLNKFQIEWENEIEKYLFVSQDQI GNKDQSNQYQYLIFNNLYQQNRMHTFRKFARGYMQTMLYGIEERPKFKMDGTFYFILHLI NHFQYLSIIVNANQNKPFTDFKLAYSQNFLEFFILNNTLKSSMTSNLALVIIAISFASQL ILILFLTFSTFIRIWTANLIRRDITGNDNMNSQIQQWVMSFNETLHWFFVLYPVIYLQIA VISFSSLTCNSVSIFPKSDCEIGVGTQILAITPLIISYINGQILIYIMRNHRFHEPNSLK RRYSPLLLLNNTIILVEIFSHYIFDFQAADILKYSMAIFFALNQIVDQLTNFPYRDPIRV PSIRLAFVYAWIVIAVTAFKFGFFQEENLFFLIILPLPGLAIVGETLSSFVLKSCHTKLQ LQHSDRRKTYHYCGGLILQISY >CAK92959 pep:novel supercontig:GCA_000165425.1:CT868670:333967:338629:1 gene:GSPATT00025696001 transcript:CAK92959 MIHRLYCKNNKCYSKLKRFETLNVDQKKDLNILTLSIIKCIFKAAQNWLFSIHNQNADLQ FEQLQLQYISFVSEIAQKPLIGYLELRQYQNNKLNNNSSYFVEITNKLADQLKKQIMDNQ VRQNSKAVLIHEQRTQLIEISLTQQWQTQNLYENLLQIYIKLVDQKIEHWQNLINGYPSL NPFQYNTQKLCEKIMNLRISLDKYIGVPQEWFDLKTTTTKGIAVKKLSHAQLNINVITLK LYSLFYSLIMNDFDRAVYVEQYVKDLTSNDRQKEIDIIDNISLYNDSTTIILVSIVKNKG KIVNKNQLALANFFHYIDANDFKESVSQVHNLLPKTMMKGHEYLIDAFIQKGHSEYFIRK ISGYYENKNGFIEKCYVKLGNLFEELDDYVITASILKCNISNQIILVDAEGKIIGISEGL FKSISAKIPNITIEFFKEFCHFFLIFPSFLTILNQNLNKINELDHQFIEDEEHLFYIPSN LVELNSLFVRESYERIGNTLQEGLESQNLSSWRSWKSVSKSLKSDHSLGLDRGNTTILGE KVPIADKSNINFHFQFMNQHKDQLEKYSIIRTKIKISYQMMKVKRYSYPYFIIELEHMNE ISSQHKFYNRYPTMGQNFEASNTIFNSAYNHKSLNETVPSSADRSLNDTKQFSFQQGKLV IDSQRNQPTKPLKINEEKIKQLIQQDDSNILFGNDHSQIRLFDKPSVIEVQDVSAPPSEI MLPKNAYRPDFSGIPLQKDQDNDSDEFFQLHKQFDEKQFKVEEKELQKEKSSDDFKDKDE SQQEIEDNFEKHSSNQNVNKKNFIQLLMENKKMQQENQNDNDEEEKKKRSIASSSKTSTS KSPSLLVRSLYQVSTFGGGLKSVIFAILVYLALQFCLVFVKLSIIQNNYDILQTNINYVT YPETLNFYFQKIAFFAWISLQERLEIIKYSEFIRKQQIEELKATRAIIDIKLNELYKGII QFEEQIMNDELRLVSINDLSFSEQQLDLTQLIQQIQLHSFNYIDNAEEGVIFSDSMFFRL NVPYVYKFAYKYITLLNENLVYYEDRIINDVVILTMTFIAVNSCIILYILYNTFLLTQYE RQILMLITRVSHRTAEDIMQKLTDVKTILIEPSQLIWKRVNYFELNYDQVQQNQQVTSLL FAKSVKTQSVTKSNELSGQKSKVRSKRSYQSNSLAQRIYDLTLSYPSNLIFLFFLWFLAI LFIIGGILVTISQVSDIKPTLNLNLQLIRFKLRFDTLIVLGECLKTQQVISDKFEAKFGN INIDMKNQLILDLFSEQTDGFQDSMRSIYDSLASQSGLLEAQKKELLMYFEDSLCNYMSE EIPFCTIRVSNQNFSVPDSFKTTYGSPWLEDNNFDYLSGGITGCVQEFTKSLNSYFSKEI QLKELQVSTTQEAVSFLKTQIHINQFVEYFLDPGKLLTIIGDNLFEQNQSKLQQATTIMQ LYIYITGLGLLIILGSISYFWLKYVSRRMKLMRLSLTLIPYEILLEPKTMSSLKQL >CAK92960 pep:novel supercontig:GCA_000165425.1:CT868670:338861:341293:1 gene:GSPATT00025697001 transcript:CAK92960 MDQKPEQQVEEQVQNKAQQKQQQGKQQPKEKQLAVKNPKGTRDFLPQQMVIRRQAFKIIT DVFEQHGAVEIDTPVFELKETLIGKYGEEGGKLIYDLQDQGGEILSLRYDLTVPFARYLA THGYKKLKRYHIGKVYRRDNPSIQQGRFREFFQCDFDIAGENDPMVADAELIKIIDQIFT KLDLGPFQIKISNRKLLDAMIQIAGIPKTQFKTVCSSIDKLDKQPWKEIRQELTEKKGVT EEQADILSKMVQLRGEPFQLIQQLKEQKIFEQQGKEALDEMELLFNLLKYMNGLKNVIFD LSLARGLDYYTGLIQETVLLGGQLGSISGGGRYDELVGMFSKNSIPCVGASIGIERIFAI LEEKYKKSGVVLRENQSDCVVATIPSKNIDMNAEKFKIYDLLWSNGIKADVCYKLNWNLG KQLTYANDQQIPFAIVIGEDEVKQEVVKFKDFVEKKEETVNLKDLVQFIKQRVGKEQYML LLLIYYQCQQYNNFIIMNGKQQFIHQITDESIDLNVAKDMLQEAVIENPKVLGEIFEQKL NMHALCILHSTLKEYTPLSSLIAEKFLNKNIYAQSSIVRIYYSYLQKTASVHELYQAIKN NKVEKLDNPQIVVITAHKVQNLSNVGFQILTLYTPAFKNMQIQVYDDVYDYGQFVKDQFI HIIGMTIKIGIDSYPTFSSSATISLYQIYNDVLIQDAELNDFRRCCKDDALREPLKLNIQ ESLLSDFLSLSSSLMLVSANTLRPLNIPTKLLPCGGQEQQVMRYYKKFYSIS >CAK72852 pep:novel supercontig:GCA_000165425.1:CT868133:29:1097:1 gene:GSPATT00038809001 transcript:CAK72852 MHKFSVSLIKFCKKVEVQDSLIFFLEQANQMKIEQYLQQSENISQTESECGKNQIKIPIN FLSKLKDHEFNKVNYSRKDYKQINEDLITKIPQNNKIIELLKFLVRLTALDEGQIQCGSN SLHLLVQMKVDLKEQSFENIRIIDTSLLGANEVRCDLSGSEFENVNISGNEFKLNQIIQL INEVINQKVILVMSIQFFFLHLGNHQPLAVMIIPFVCGMLKQDKIKTVLTVGKQVKSARL SPKQTILASCSGKVVYLCNLQIGKQISKLISHKKKANSICFSPDGTTLASSSQDKSISLW DVKTGQKKPNQVVIQTQFIQSVTLLISIWQQTLVYPFMEFNIWISNLIF >CAK72853 pep:novel supercontig:GCA_000165425.1:CT868133:2926:10431:-1 gene:GSPATT00038810001 transcript:CAK72853 MIFKNFYNLLFYFFLLIGTQEISEQCVCGHVRRQTQCQNSGICIWQNGVCVLNSAKTYIQ KNQGESSCKVYAEEDCRLQKQCGFYLGQLFKKDQCQQSSYRCASDGTKCVEIFECKDYKT EVGCAYQNQNGGYCFWVQDLVKMCRDVATCEELPIYLGSHIQCKQGLAGCTVNEQGYGCI RQKDECAQYFKDFQCFDIYQKKQICFWDSKNSRCVEKVCQNLPFSQDYECKQILSECTSN GIHCIQRKQCSDAKNKISCVTDAQGNKCEYFNNKCKIKGCDTALSSLKSYLQCQDYDNQL DCVTNANGGCKQRPQTCKGYVDAVDCYSIEKQDCIWYKNKCEQRACYHAPLYYTPADCKQ YGNCMGKEGGGCLQMPDLCNENLKEQFCEFNYNNQKCISLEGIWTLFECKKLKLPNYKNP KICQEVSSNCAINLEILGCKDYICKLIQEIEFCSFNSQEPGYTTNQGCVDKKCITAPSHF ESNSQCEMWLPYCTVNVQELQNQKLLFGCVDKKSECQFAIEEQCYSTFSGTNCKWDKVGK MCIDQICTDANPNIYQTNEDCNSYKVLQGTCILRSSGSGCQLWPTTCHNLIIQQQCQLNL QDGTRCFWTGSLCKTLECSDASVDNYKNNIQCNTWLDYCIFNRILGGCMNRPSLVDCALS PNDEIYDSHVECQAWNPNCTVISSLSLQGCEQKKANCSEYIRQRNCKTSLAGQNCYWDDY EQKCYEENDAADCSKRIYGDLLHQNCENFLQKCTIKQISGYCVALSSQCDYKLEQQCVIT SDQQPCKWDVKNRVCKDVNCSENTTAQTEAECLKFRKLSQCQLKIQPNGTYGPGCEARPV HCDEVTHPLICKLTLTQQNERCYFFNSRCQVVQSYQCEAITDSKSNEVCQLYNLYCVLQT KGKGCYSIYSCSDLSSNVCKSAIMKFDLKCNYYGRCRSDNYCSDKNLLISNCDGRKTPLG QLCYYQKECDQYYCNRKCLLQTATQYLQFQSSATFSDRNKQCQDYSSNYIYDTSCQCCKS IYSCSQQLGEFQACNSSTVTSSSTKRCGYNFWTNSCESRTCEHLTFANYPIITDQICFDW KSDCVLDSSGCKTFYYDCSQSKIISQCYQFRCNWQDGKCVYYLNCETNITAVTNRECLLV NAEYCRFNYTKGQGCGFFNCNHIKQYDICNSATLVDGQHCYWEYSKCQYRSCSQYTIQSK CESSYGQNNKAVVKCYWCQDFSTKCSNNKYCNLSSMTSPKSHQDCNDENSQQTIEFYTNV ICVIKQSLCSEYSYQEACVSTLNGESCYWSANLCNNKCEAATTNPSTNQQCYDWDSGCML QATSQCQLLNCSLLTLMSDCDIYSTKCFWDGSNCKTIGACSEYSTSILCSNNNNSEEIPC FWNSTTCIEKTCQNIPTVPSTISDCNNWLTNCQLDSNGTSCVEDCTSADDSHNTHDQCES YYSNKSCTVKPDIIQCVDLPISCGLAAQEQCYLDSNGNSCYYSISTQTCLILTCANLDTN FKSHEQCNQKLKQCTVNATLNGCQLLNSCNSYQIKEQCFFDQNNIECEWVISSNACIIKE CSSAQFNLYTAHGCRLYFGDSCTVNSSLDGCETGQLVCLNYNYQQCISDGQINLSGVGCF WNEEKKSCQERICTNGPSDASSHFECSIFLSSCQLEGCHMKGCLDYFYAIDSACTAIFQD KRCITNGIRCVKRKECENVTLADGCTFDSNLNRCVWIDEKCYTKSCQTAQVTLTKFEECN SYMPNCTVKQDGGCTNRQSCQDYQIKEACYTDFENFECIWDSNISKCFSSQCVNFCGDGI VTGMDEYCDDGNYLPYDGCYKCQVQCPLGCNKCNGKSCQECDKNGWQLSEGVCTTKCGDG YAVGNEQCDDGNDLQFDGCYLCSYQCDKMCVECFQGQCIQCQVGFVEDGYQCHNTCGDGY LAQQLEQCDDGNLENNDGCSEICKVEKDWKCSTENSISVCIYAILPKMILTKLSKTDTSS QEFKLSFSEPVCLNEKGISEEQFLQLIVIEIINAKQDEYDYEINAMISITTELADVAYKI LINFKTNVKNPALKVKVNSDNIVNSQGNTLSSKEAKLEFRSPYKISDDQLSLISKTSMLS RIVLYFIVVISGISFLCGNLEILWNLLDIASQQLSYIKFHNIEFPQNLESYFEIFTIGSF TPIVDKLQIDQSLRGIFNFEIPVIQAKWKFEQYQINCYFLQNFQTLLMMLIMGFTYFIVS YLFLRFLFQIKYQNWPAIYQKAYFYQIVKLFFFLQSIARQYYQYFIYSGLIRIFTSNFYE STYASLLQLVNLNIESTLNTTISIVALFTLLFNIFLLSIFFFYLNQKNAVAKNLSVLVEG IKNQKNQGVKQYFTIMLIKKTLFIINLVAMQGLMGAQSLITALLSGVFSCYFCIFKPFKN NFENIKIIITELLIALNVLIFSLYEILKQNQDKESAERLGWINIGGFTFILLTTLAIDMY QQLTRYTQLVIAKVKICLKINQRRPKISKMLFI >CAK72854 pep:novel supercontig:GCA_000165425.1:CT868133:11130:13911:1 gene:GSPATT00038811001 transcript:CAK72854 MNNNTDFIEYPFSKQYSKTYETITIDRKIYKIFKPPIGCGQEGIVYQGQDVQTNEIVAIK EYKQIEQNELKALQAIQRNNQTHIIKIIGVDKQQNGQPIVVMDFVHGEFYQFMLTQKYQN LSYEEKNGFFFQMMKGVEQLHQLGFFHRDVKPENFVYINGPTNEMTIKLIDFGLVKENKE NSAYTNINGTPFYMAPEVSEEGNRLFNKPNARRQNTSYDKSIDICSLGAIWYELLTQDTF FQGNSQEEIFDKIQTIKQEDINRQIENNNNIKAKEKHFMKQMLQIIPSQRLQLKEILEFY GTQQKMEYQQEQIYDGMKQYKDEELKQKLKRKEIQELKDLKQFLLYQLENIQSTNKIIVK NLTDKLNIINEINSQDRKKQELLNYINDELQKHKEIILKTEQRLNLIQYEQTIEKFKEYN ELIQENEQYQNYQMIIFQKIVQEQDLLEKQVKDQEQLDDQSKQQELLTELEQKLQKEKQQ YQSQLKIQQIQEGIIFYQTIQIQIEEKIQDVIKSHQQIPQDIQTVKFQEKTIQQYESLQQ QIAIYQSCNSKFEEIQQAQNRLKAQIEEANKCILKMDKEYLHKHQQQIEESNKKLIDYQQ KYQYFSTNQKYANQITQIINQIGQTFDYLDNLKQLISQRTLPSYQQYTQIHSQIQQSLKS FEKYHTQLHQQIYDDQQIELKNQERIKTIKITEYQLDQFNQKMNQFKDQLKNLIKNEYCN NEKSQNLINTRLSKIDQNTMQQQELFVKLSQLNQLESCDVVNRQIKQLEEFQGNLKEVEV NEIEYINQLELIIKNVGEENKSQQEKDILTLNNQLQTRYSKYQKALQSIQFQFQSVEFYQ QMNQNKENLQKELKYEIKILEENPQICDQNMQLFEEKKISRGIKNVSQKQQEVNFRKNG >CAK76081 pep:novel supercontig:GCA_000165425.1:CT868228:6969:7091:1 gene:GSPATT00039122001 transcript:CAK76081 MKNLKLNKDKILSEINTDSCRRRGGEIERLEEKGDDKERE >CAK78575 pep:novel supercontig:GCA_000165425.1:CT868315:2461:4148:1 gene:GSPATT00039307001 transcript:CAK78575 MFQQQELYDLQKDFIIQTGYTFGRKLNGVISKSQDIIQSLKVHVYFSWSNKYQEGKWFEN DLEKKSNCEQLVLLKAQSSMEGFFGKQFGLWVECIRNKQHEVFFKGQYENGQRIGKWKYF WKKNLNSPYELIWGGSYVQEDGKWVQLNEGFNEDDILRRLKDFNKTNKEEMKALTSEFSK ILDHIQNPPVLEQQQGIWSFSVSIWFKDFQFENEEFLRKCFENDWSYSKISNLVTNQDEL NEVKNLIWKDYKMIKETYKWYSSKSPSGEVWSISNNVISDFAFETGLFDKTFKLSDLDLK FIATCAASFETERNHRNPERALCRYQFMEFLVRVSMVKYLEHKLANNIAESVQLLLDQCR PIMQKFDAQKWRDERYFNKQCDYCLKHYKPKLYSVYYKYCVKKVKSGQKKYMNLENLRKI WVKTTKLDDNHEQNSTFNLSIASQIDELESDRIFQMNFVEFMEAIARMADKVSLPVAQDI DKSEEQHQQQPLHIKLERFIIKLAQSCAFKEHKLQFGNNEKSIFVFEHHNN >CAK61918 pep:novel supercontig:GCA_000165425.1:CT868017:1174:2210:1 gene:GSPATT00032150001 transcript:CAK61918 MYQNSYSTYVLPYIFCQIYSLHKNQRQLREVYRERKQSSHHHIINITINYFLFEFIFNCT LITLILTPQFMQHFHQIPEIKYLIQKDNLFSSNSTSDYKNHSIFVSAQLKNKRIRTAIKS KFTPYVNQIYMGQFGGWLRKINLFRPTTFPSKQISPYSFLIPPHKS >CAK61919 pep:novel supercontig:GCA_000165425.1:CT868017:2298:2582:1 gene:GSPATT00032151001 transcript:CAK61919 MASSKSPYLKFKDQSFFSLIQEEGISLFLQINIILDWFCEISIIYDIYIPPELHIIQVNH HLDDTVIIQINENSRIQVPFLFLLLSLTFHSVQY >CAK61920 pep:novel supercontig:GCA_000165425.1:CT868017:2646:3080:-1 gene:GSPATT00032152001 transcript:CAK61920 MIENNNNPSQINLRSESIRNILNKTDFNIQYFLSIKLLSNLSRNYKELENGIYIIRMIFF QVNPRHCPSPQQFSIAFLRWNGNLILVNMKRKFIDQLKEAYEIELDSQLVNQVNWRVTLD KVFSEIMNKGVKLEILLRTYQQIK >CAK61921 pep:novel supercontig:GCA_000165425.1:CT868017:3668:4674:-1 gene:GSPATT00032153001 transcript:CAK61921 MKKLIQSLNKIVSKIKSKSYLNLEFYYVLVIIQNNIKYSCQFHTNKNYIRLKFKDSNPYL RNYFFYINQFSVLTINTWVFFQNLRLLAFSKDIQLSFGIFWLNHLQEINCSYLNKIVQLC SFRQNQNFLEYLNFNQNFLKVKVLDLILPEFLRINHLCTKIQSLNVFHLIIIEVNCYYFY LIIKLVVQIQHIHKKAYLQKINSQESINSIFVSIKSSIYYYSQTKENLISFISQMIIPKI LYQYFWLFNQENEVGFCFENLKQHYAVIFSLIQLIHISLDFRLTKFRWLNLTQFSYAHFE QVSLSLIVVEISCIFVMFYGQSCFF >CAK61922 pep:novel supercontig:GCA_000165425.1:CT868017:4680:12314:-1 gene:GSPATT00032154001 transcript:CAK61922 MKNLFLICLLAMVLAQQVQYTNRCNDCGQLKSQNDCEQEVSVTGACEWVAASGTTAAKCQ KKTTVDPVASFKPYCELVDKPETNCAKTLGCAYVESKCTHFTGCPAYVKTTTTDCQAISY FCVSDGNSCIEAKECKAYTQQQCESTPSISGILKCKWDTTAGACRDYACSEADVTLNTDA KCSSWLAGCVTKGQGCVNAPRPACATYTGDDAACQSFIGSDGNCELATGTTNCKAKECAN APTSLASDDDCKAYQRGCITTGKRMCFWQQQNHYVQPIQVITLHVLDILDQMEFVKVMLE VLNVEQENVKMVHSILMIFANNIKAVVKQTEKHVCQLYQLVIHIKELPTTCAVYIGTDGY CKGTSTTTEAACAPKVCDEAPDTTTTDDACAKYQVGCVTTGKGCVTKTNLKSCTTYDGDT TSCQSRVGTEGKCTWKSGTKCVARDCASAASNVNTNPLCANYFTNCVTTGSGCVSQTTCD ATVKQQSCEGTNNCSWQPICTSNTQCSDFKKKSICLANQARIKTFDKNDENGNPLYIYVT GKCGWLNNACKDLACSDLTGAYYNTDANCAAELSTCISNRVDACITKYDCGKLVGTQSTC LSYPGYCTNVASATDTTPCVSRKCADNTDATDNATCATFLPGCISSGKGCVDYNTPCSSM KGTQENCNKLFAYKNGSSTNFTTNQCYNSASATDSDFCKVKTCKLAENQTDGSCGSFLDG CVYNGNGGCVDPKAGDTTCGSYTGVAAFCESAIVGSNSSKYCFGTSTSGACTTRACTDNT TATKDEDCEAFLTGCIAKSEGGCAAKSARVCSAQQGTVATCPNFSGGLSPSWTKVGCTRY DTCADRVCSDKASPQLYNQLVDSQRLDLHALMQVFVLVTLPLILPHLINKSLIIVQLSKI ALGMFVVGPQEQSVRTFTTSLTCVAYLQKGVSSAASTDTCKLAGTFCYLPNKSDCTYAFP TGIDTDAKKLTQCQKYVNTDGVFCSFKTGEATCTQQSTCEKVVSQTTAQACNDVLAYGSG ICQKASNTGCLSTVAACTSYTLDSSLSDANKKTACESLKVVNNISNFGAGTATYTGCTWS TGNTCAALACSAITSATSQKDCDSKTAGCYYYSGKCYASVAACPTSFPADLDTDTKKAIY CKSIFKTGDAYCEIKPDGSGCQAGTVTACASVAIATSWSGSNFDGAAKTTDTFCITQSIK NKTKYCIKDDNAKCKDGTCEDITGAASQGDCDIHLIGCVFSANKCRTPKGVVTGAGDCAS LAKVPFSDVASLAGSAKTAYCQVFSQDGASVFCTYDEFHATTQTACVAAGNCDSYTVPDG DAARSTYCNSKINATGKKCTFTAGDSKCRDFDCKDISSPTSQVDCDISSNKLKCIYFKGT CVNKELACAKVPAVGTTADDKRSYCSNITVSEACTYAVGAYCVKEDACSTYDVTDATDKL AACKALADASDKQCTFVNGTKCAVVGACSSYTPAAGSEATQCKSVKSNDDYPCIVDSSAA QKCQAQVCTDNDASATDCVNNAANCLYYSSKCIAKTTCGAYAVQGADDTAKQAWCEGVLN SSGDLCAWDAGAGKCKDRTCGDKTFYTDFDCSSYLKSCKTNGQACVAATTACNTINGSTE FCNLLLDGSGKDKCKLTATVSTTPAACTNKKCYDNVTATSDSECDSYLSGCVTRGTGCIP NSEPCTSYRGTKQQCEQFKKYIGLDANKNPIYEYCSGDATNTATAKCKPRTCADNTTASS DTDCAAYLKGCITKGTGCIDATSQCGGFKGDQATCAKFLGSSGKDYCWNTSTALATATCA KKKCSDIAGKNNKDCNDGMLPFKTTDDPFCVFDGTGCIDYGKNCSTFNGTEETCPNYLAK DGPCKATTVGTIKGACAKRVCTEAPNTLATDADCQKYHKDCVTTGYGCTATKNCKTRMHM GPNQCTASITTCATYNNTSYSQCTNSKVNGKFCAWTESNSTCRAQVCEDQPATIASHAQC QSFADNCTTSGAGCLTITTCPSYKTQSICIAASTTKDGVGRCGWDTATNKCRSRVCGDKN GLTDDECNTFLSGCKTNGSSCVAGTSCTEFSNKLFCIKSNFGPCLWVNGQCYDYDRCEDA IKKTHTECQGFSPLCTTNGDTCIPITNCASTTLKASCVVGTDGACGWLPTGKCQKFGQCT DAVAATNDECLSYGPTCITDGTACIAKAACGTYKTQTACNNLGTDGICYWNATANTCKLK ECGDEQKGTNDQCKLISVTGGSCTTDGTKCIPLAACSSYELTENVLSHYQLELLQEQRHA DKSNVKISLEEHQMLIVWVLLQERIVFPMELVALPRLLAQLIRPSHHVTEVVQKTINQLF VLSLLLELTKLMEHARPSPHVPMLPKTNWLAQPTKHADGLKNSTGTTCANHACDTFATGT DCQPIPSFDGASSTVCVLQSGKCAAADPGTMTDSKICYTKSAYTYSWNAATNKCESCISG SVNPNNSNGTNNNTDNGTTTTDSAYILSVISLGLLGLMA >CAK61923 pep:novel supercontig:GCA_000165425.1:CT868017:14771:15334:1 gene:GSPATT00032155001 transcript:CAK61923 METLPLDSATNLQVLLNPYYEKWRSQFMMCRKRQMLQNNAKKLQLEIVYMLAANFAAQPL PLFQCLGGHNINNSDLSIEQQYSIFSKTVVAGNRKHYYSNGQPEYCATELCEFVEYSQPY VNVNRITRSILKVGQNTKISTNNMYSQIHQQMFTLKYFNLLTELNFLIKI >CAK61924 pep:novel supercontig:GCA_000165425.1:CT868017:19061:20692:1 gene:GSPATT00032156001 transcript:CAK61924 MYKPQMIENEKDVDCSMKHQTPISMVVLDPKVEKNKRFLCQKCLDYFESDVKMIGFKKLI QIIEENKKKQLEHLENAIKPMIQKVQLFQGHIHNLKSYIVSQLDQLIDSTQDWITNLQSI GLKYSDYSLYQELDFMIMNQDSTENEQINQVNQIRLLNDSWSVKINNKLYSFTQFQEYQK CQQILEELSSQLLQKFNLNQIKQENQENTSLVSNKIQQQLNPYRSQLMNNSVEEDELCKT IVFDPTGKIMISASSKNIKIWSFENANIKLIQTLQGHQKNINCLVFSQIEQYFISGSEDH SIIFWKCSNNNGWQSSQPYCEHKGIVYCLILTQIENQLISGSEDKTIKVWMIDYKNHKLQ FLYALQKHNKPILSLSLNESERVLASGVQDSIIIWQKGANNQWVFSYDINLSKQQFGKHI KFIGEEQFLWLNDSSNSLCVFEIQDGFYQEIIQKRVLLKKIYEGYGNIPLFPIIYNKLKN LICLRHQLDIYIINIQYNDQLSIIEELNCNDFMIYGTITNDGQHLIFWSNTKKKYQIHEI QYK >CAK61925 pep:novel supercontig:GCA_000165425.1:CT868017:21819:22814:-1 gene:GSPATT00032157001 transcript:CAK61925 MNLHLHINKNNPNVVWPYQLTLITPFQLWATDNDIQIFQFQKAFLKKLTQIKKASEAIST LNFFEHNSNFIAGSFDSSIIIWPMNLTSTPKYITKLQEKYHFVRCLAVLSSEDLVISGSH DHSIKFWSYSTSQTVSPYCWFQSQTITEHTSTVWGLSINEDENKLISCGEDKLILIIERT NKHQWIVKQKVYVENGGFRLSFINNDSFASQPHKDQRGSSNLDLYQFDVSTDLYVRTGNV PIKGKGQYCRAYFPQLYIPSKQMILSKNGYYINLIRFTQSNQTLADWECRLVQTINFDQH WIFGTVSRDGEYIITWDDESKAIQTRQLIAI >CAK61926 pep:novel supercontig:GCA_000165425.1:CT868017:25121:29012:1 gene:GSPATT00032158001 transcript:CAK61926 MTFQLIGAKLISAEDYNLINDNWCVLRGNKVTNNNYLGEGYLGGLNAFKQDTLLQNYYNL PPHSQVTIQIKAIFSCIQSFHAYLDDAIQTIDNSSFSTSQPSYLVVASYFHSSKSAFFSL NVTEDTRCPNNDIWWGVFAIEIQVQDCQENNDYCQPGSNILWKILNSNLNFKPMDWNYQG GPGSINSYTFTFSDFQIESLHYSNIKLQKYFQIPYPTKVKFKFKLLFYNIPSSIKIHIND LIVGPIIKCFQYNFGCNNGGSQMIYNLEIDIHQHKSDILSFVILLQENSGSNQVSIFDFV VYYQEQYIVQLPSLGCLNWIGSQCVSCEQDWQYDGLNQCSPICGNGIIQGYEECDDGNGT SNDSCYNCKFQCSLGCLKCKFGECLQWSDSYNLDQYNTISKIQDCSHAQGYYFDYLLNEC LSICGDGIITLKEECDDGNTLLNDGCYNCQLICSDNCLDCQFGKCYQCNQGYKIKQFKCI SDCGDQITNIDETCDDGNNIRFDGCHQCQSSCQLQCMDCQNFYCIKCLQGWNLINGKCEE QCGDGQVALMSNEQCDDPTNTKCIDCLFQQCQDNCLVCNQHQCVTCFFPYQLINGVCQPI CGDSIVTIEFEQCDDGNDIPFDGCHQCQYSCQYGCQQCEQDNICTQCEQNLFYMDIQTGK CKEIVKLTDNEMFSLNETNNQTIQCNPNYLLIENTCVNQCGNGMLSSPYEECDDGNNYGG DGCSSLCNLENFFQCQNGENLLSICSFIQPPDFNLNSLSDKKNQIQIVEFTFTQQVKLNS PSNLEDIAILRITPQVQHDLSIIPKENLTTYLNNPAYQIIIQFYNSIENPKLEIEISKFV ITNQFDLELLNFNKIINLGTPFVMSKSTKQQVTSVVKFNDAMIYSMVSIAGLAMVTGNVL MLLNLLELLQSLSYIRYMQYQFPPNLREFLETYTKVSMQPILDYFQVDKLIAQLNGGFLP YKRKDSSKQSSNFELNQIYIMNAKSCYFSLLASSITYVIYSILASEYVNVKLFKIYSKQM EKMNYLKYIKIFQSKIQQQCQKMKNNYFSQGIFQLYFTILHQLAFSAFLQFPDYTFETLF ESLNSLNAMLGLLLIIFVSFKVLSITSSPIRNMSRWKYFYLGSRCQYWSLHYKSLQILKV KFYIFVIVICMNYPEIQSILLSMQSLCYLIYLFKFRPITSNFDLVKLMIRESFLMAIFCS FLLYSLDSTNDQQLLYGWMHIILFSIILSTSLVADMIEYCYKLYQKYLKKKMKELEKENN KYYDNPLQRFILIEQQNIVI >CAK61927 pep:novel supercontig:GCA_000165425.1:CT868017:29502:32031:1 gene:GSPATT00032159001 transcript:CAK61927 MKKESECVKVVVRVRPFNQKEKENNSKPCVNVDEKQNVVELLKLTDNETKQFSYDYVFGM NAKQSYIYEKTAFNLVESVIDGYNGTIFAYGQTGCGKTFTMTGVPENEELKGIIPRTFTQ IQTIIDTNTDTKKKFLVRCSFLEIYNEEIRDLLGKDHKARLELKESQGSVSVKDLTMVTV KTAQDMDKYMTLGQSNRSVGATAMNAQSSRSHCIFTVYVESQIVDAKGSEFIRVGKLNLV DLAGSERQSKTQATGDRLKEATKINLSLSALGNVISALVDGKTQHIPYRDSKLTRLLQDS LGGNTKTVMITALSPADYNYDETLSSLRYASRAKMIKNQPKINEDPKDALLKQQADEISK LKEQLSKLHKNGGGGGSEKEIQNNSNANQSFYANLKKQQENLEIEKIKTDEVQKELQKLK QDNERLMKERMEKEEQMSRQKQRELEQLIKDNEKLQKEKEKVLEQIAEKEQKAVEEQNLR QKLEELMQAKEKMVVTGGKASEEEKKKYRLQQKKLKQQSQEHEQLLEEREKQKDELYEIE QKYQSVQEEIEALRKKNLRLRKAHKEAMVEIKDIQKENEQDKEELLESIRGLERENTLYL RILLTLFKEDELQSLKGDCVYDEEKKDYKVPPFIFKQNKVSYPSLPYKEAQEYIENLKDQ RQLQINPKQDMLENPIRNNSKVRSEEVQLRSNSQGFDQKARQNQMLLQQKQQVQELEKMY PASQTPQLEKKQKVQLSPIENKSDRPPSTQHSDKNIFKQSPLTKQKELQVPQKPLSKIPL GKLPPDIDRKNYHY >CAK61928 pep:novel supercontig:GCA_000165425.1:CT868017:32036:33396:-1 gene:GSPATT00032160001 transcript:CAK61928 MMINQIYFRNYLNLLFLFKQMMNDQPPFISLESYDDINQIRKKFPSLAKINLNHEFNNLE ECKFFIIRTQGEDNVHRAMKYGIWTSSSRKNERLHEAFTNKKQEVYLFFTEINSMCFSGM AKLTSAFNPKFHFKFWLIENKWFGTFSIEWLYIKDLSFKLFENIKQIQKLEGSEETLKSV YDLIDCTELSNENGIKMTKIFQNEVSNKSLFEEFPQLDKLEFENREERTNNQRFEKKFKE LSSVFETIPFSFSVASYERKKNNRKSQGGYYQPPYGQQYYYQQPYQPYYYQQQQVGYQFQ QTPQYWQQNNGFYQNQYYQQQNSNKQQYGKQQNYEKPARQNQQQQYSQNQGFEQQQNPES NGEVQNFSLDQRFQINNQQLQKRTKIEKTKKYPNKNEVEYVEKSQAQTSVQKN >CAK61929 pep:novel supercontig:GCA_000165425.1:CT868017:33409:34411:-1 gene:GSPATT00032161001 transcript:CAK61929 MQQLQEDYQSNLNKAQKKYSLNKILSCFSKLLIVFCYLLISTIIYSFIFDTAMQYHLVYS NTYLTIAILLVGLLLSFNVILNYTFVIQVSPGTTNQYFIPIQNDIENNENQLENNPNPNL RYLKFNYTPKLEANNAQQANTLDQSTLGFCNSCLLPKPKRTHHCSICNKCVLKMDHHCPW IDNCVGHQNHRYFVLFLTYIFLGTSFFTLLNLNIVFSTDFEDFKNKRSSLFSTLWVLELA LSWSMGCFGGWNWFLVLRGFTAIEFMDRNRKTTYEREEIIENLKQVFGDFKYLFQILLPS FRQLPSNGVIWSNTEKRFEKINTAVD >CAK61930 pep:novel supercontig:GCA_000165425.1:CT868017:34683:35021:-1 gene:GSPATT00032162001 transcript:CAK61930 MEGTDSYNYDEMDLDELMLPPPTLIRQNAFIEEEEETPQFILTTQTDSSYKEEECPICFC HLNEENTVKLLCGHVLCQDDLNQIISIQHSQTLKCHICRALQIVNQSFICIK >CAK61931 pep:novel supercontig:GCA_000165425.1:CT868017:35293:35813:1 gene:GSPATT00032163001 transcript:CAK61931 MQGYAFGVGMGDEVPEIMDCVLDVILVKPQWDIILKQFKQGGAINIWNGLTDILFSFDQF AQQCGNTTIGLNKIQKQIRKIFTTPKLFKQVIENLSQESEKVSELSCQFVELMTEKDTYQ SGYVIGQLVSIVFEI >CAK61932 pep:novel supercontig:GCA_000165425.1:CT868017:35932:37346:1 gene:GSPATT00032164001 transcript:CAK61932 MCGSLITPFFPPYADKKGISQTTLGLIIAAGPFGGLLASIIIAKTLNHRNRNKYILIGVL GEPLSLLFFSLTDLFEDKVPIIIIASIGRFIGGIGTSLFLTPFFGYIPILYPKDVEKKVS ISEAFSSVGFFAGPALGSALYALGGYLTPFLVFSSFGFLSLPFLYAALQSVGPKKYQVEV QRQIAEIEETKKLTEIQQTLLATQQLDGEQRLTFLSLVQHYPVVVIFWVITLVNICMTYF QPILSIYFTKQYDFDEGQIGFIMTIVSLAYTLGCYLCGYNRNYKREMACICLFFFGCSYF LAGPDTELIQVPHKLWIALMAQFLLGVLSGPAYVPSLPVLNEFLLFHYPIQTIRVATYSS ALLTSGMFIGQLTGPIIAGILGDEYGFERACSILGVIIIVTSFIYVPIIFMKSKKENKQA LLS >CAK61933 pep:novel supercontig:GCA_000165425.1:CT868017:37480:38406:1 gene:GSPATT00032165001 transcript:CAK61933 MSSNKLIKTQSEQQTNGEDESTRHLNDIIGVKPTQIEQLPSYSINFRSLRQRYGSIQDQY PLPLKFEQLLQTMKIIEQTYWKKKKPIIYEIKTNAFKILQIGQLLKLDQELYDIKIVEKS VQITPNDVPIENLPAFQPFAQQLAPIFENRIAGVRSKLDRLVQQVHEKYLDVIGESDFNE QSMKFKVYHPTFDLNLVPDIIPQQLPFQIYSEEQRVQLQQIIENTIQYFTKRTVSFMFYP NLVNYLLTKIEMNKEQMEKYIQFLMKVQPDFLQITNQKKEQLKAKWIIQINKSSTVENQI QQLRTYFY >CAK61934 pep:novel supercontig:GCA_000165425.1:CT868017:38624:39886:1 gene:GSPATT00032166001 transcript:CAK61934 MIKKQPTKQATRMQTQANDQQEVIAQSQQAAKYTLNQIVGNGTFGMVYLATNSQSNEKVA IKKVFQDKRYKNREHLIIQELNHPCVIKLKEAFFTQGDKGDDVYLNLVMDYIPDTLSKVI RYYKKAKQPFPAVLLKIYSYQMFRALAYLEGIGICHRDIKPQNILVDPNSHVLKICDFGS AKRLQKGEPNVAYICSRYYRAPELIFGATEYSTAIDTWSIGCVIAEMLIGEPLFPGESAT DQLVEIIKILGTPTVEQVKQMNPMHKEFKFPQIKNHPWNKVFQKFKPDPLFVDLISKIML YPPRERLRPLEALSHPFFNEIRDEKFGIPNVKLPNFFDFTKEELGIQPEIAFKLTPQWYQ QKRI >CAK61935 pep:novel supercontig:GCA_000165425.1:CT868017:39905:41695:1 gene:GSPATT00032167001 transcript:CAK61935 MNPIRVYPLKFNNQDSLAISPGLKKKQQPSERTHTLQLNPQQTPQQSRSNQKRHPTTGLT SQEDKIKLVTQKKLQLLQPSSYSPKKRVISSNNEKPELSKFSYQSSEPQSPTKLPNLKRS ESSTTTQEILAKIDLSLAQKRSKRTDTSNADNTPQNKTSFLKMYQDTSPKIRQIQSRVSS QVIEQDLKIKPRMPSQVIMLHGRSTSQAALVNSNPTENEELQDYVQLFLSKSQAGQNGNK QTKTNQDSVIISNNFCGMKNRYLFSVCDGHGVYGHYVSQLIKRVLPTIIETQLKTFIGKQ EIDIGEEYYTEVVKCFNSSFQKMTKDLQNCGIDINFSGSTCSTVFVSGNNLWCSNIGDSR SIFIEQHRDSNKWKIVELSNDHKPDLPTEKKRIIASKGRVQPFVTENGQNIGPARVWLLH EQIPGLAMSRSFGDYVASTVGVISEPEVIYHKLSQKCGFLVVASDGVWEFLSNEEIQQII CRYWSPQMNAKKIDEMVENIVRESIKRWQEEDEVIDDISVIIAYLS >CAK61936 pep:novel supercontig:GCA_000165425.1:CT868017:41756:42691:-1 gene:GSPATT00032168001 transcript:CAK61936 MMDLEIVIDHEYKDFAVQELIEQFDEFEQQTEKYHLYMNRGFRREMLDRYQILNNHYAIF DGHGSSHVADYLTQNLLQKIQNEMSDQDYKQLFKETDSNLSQYTQSGSVAILLSIQNKTV TITNLGDCKAVVFREDSHQQLNSIHIPQSKEENQRIHKQGGLITKRQNICRVSGQLTVTR SFGDFHLKKFVISEPEISKYQIKDNDRYIVMASDGFWNELSETAIQQILQKVDPSKNVAK QLYQSIENDYIRDNVTIMVFPL >CAK61937 pep:novel supercontig:GCA_000165425.1:CT868017:42774:43964:-1 gene:GSPATT00032169001 transcript:CAK61937 MQDEFDIEVNFEQNSSMTFEIGHNSVRFPKAGIKINNEGLTSTNGEQIQTTKDAITHLQI KIGDVIGQGVSSYVCRGLYIPYNCQVALKIINVFDKDKRHQMLNDLSTLLNGIECEQLIK FYGAYYEEGTIRLVLEYMDQGSLRSIIQQIYKNNLVELINEQIIATITYNILLGLQYLHQ QKHQLHRDIKPENILINSQGQIKLTDFGISKQLENTIAIARTFVGTLMYMSPERTEGKNY SYASDIWSLGLIIYELATGKHPYAFQNKQMTYIQMIQNILKSDSPKLDNHAYSIEMKDFL NICLNKDQNKRLDAQTLLQHNWIVKNAQNQQYIQQWILLKDQKVQQQFQQQ >CAK61938 pep:novel supercontig:GCA_000165425.1:CT868017:44237:45643:-1 gene:GSPATT00032170001 transcript:CAK61938 MDYDSLYKLIPKEPKKWLTQDVVNWLKFIGLGQMEARFVESSIDGSVLEDLTEKDLEEEL GVTQRILRRKLLNWVNHGLKEYSEYVKQLKSSSLNNKRQQDEDFTIQKNNANYQFEQMLL ENQDNNNNHHNDQFVSQVARIEDQALKQEITTNNKFEHQLILQPIEGQQSNFYCVRETGA KIGRHSSNQILILEENISRFHAEIIFQDHMFALKDVGSTTGTFIKILKSLTLKTGMLIEL GSNQFQVLSIDQKDNGGLEFSLQVIEGPNLEDTIVIQLNKDKQSVTLGRKSTADISFPED HHLSNQHAKFYLQVDSSIQLEDHGSTNGSWLRLSGEGKMSNLYYLEPVEEAVIRIGTTNQ YICQQNKMKVNEIQGENLCIICFERERDCLILPCKHNATCLKCCKNLSVCPLCRVKILET IRIYKN >CAK61939 pep:novel supercontig:GCA_000165425.1:CT868017:45790:47300:-1 gene:GSPATT00032171001 transcript:CAK61939 MKQKALQISPNKLYASLLKKKNEIEQQQKIVKSPNIQGSPFINTQKNSSSGQGKIDSKKQ RPTSGKDMKDISTVLLKKTHVRQNSKIIETKENSQNLTQSALQLLQKIKADRPESKIGQI QTSKEILQYHQQLIQKQYQKLLDLSKQAEHHQLSQQQQLKQKLFKGPRSISNPDITTLQL AILKAHAKSIPGMLYTGQTKINQDSYKLIQKFGTKENDWYLQVSDGHGTNGHQVAQFVQE ILPAYIEQEVLQSPYYYDRDKTITNIFKESFLKTNEDLLNSGIDVTYSGATTVVVIAFEN ILYCANIGDSRAIIGRYDTKLSVVELSKDHKPDCFLEQARIIQRGGRVQAYSDEEGNPIG PARVWKSDEDVPGLAMSRSFGDYVASLVGVICEPEIIKHQLLPTDKFIIVASDGIWEFLS NEWVIETVYEFYKKGDCIGACNKLVQAAKEAWQVEDEVIDDITVIIAFLK >CAK61940 pep:novel supercontig:GCA_000165425.1:CT868017:47357:48138:-1 gene:GSPATT00032172001 transcript:CAK61940 MNKKINHLEDRIRSINIIYERIDELAERINLLHTLFDKLKENFDAKLMSQDDKITSVNSK INKLKNEDIQLLHNLIKKASTASKELSTEMQNIKTKDFRQSEVLLKQELRQSKILGERLQ SPLLNQRIETYQKTDRMRSITLEKDKGQDPKGKQEKEEDDLNKNIKSITKTDTQKLIDKY QFTLQNKVENENQQRFSNVKIEGGTNSDQVKLLTFQQQSLLERTISQDHIPKSKYLNCTN QMKEQLKNLRK >CAK61941 pep:novel supercontig:GCA_000165425.1:CT868017:48360:50144:1 gene:GSPATT00032173001 transcript:CAK61941 MASEEEQLDTIEKFQKAVKLTAILRQKPTSDEEMQRAQKALIKPVSIRNAEDFEAIEKVM IRVKFFTKVKLKYGLLVFKEVCKHLYYKRAQPGHIMIKVNNEGKAFYVILRGSVGINIFL PKVSQKQAESKSTFGVNEIEFEDEPFEYQEVNVLSIGDSFGEVALLDPNSKTTATVITKD ICEFACLDKEQYINILGVLNQAEMNEKMSFVRGIHFLHGWFDNDLKTLSFHFELMYYERN DVIYRENSANDGYIYFLKKGEISLLKLFQRQQIYVCNLCVGEIFGEEMYLGINNRQHTAI CNVQDTQVYRLAKSDLDKRMWQIENRNLFKESVEIRWEWRNQRFDNLKLFEAKVEQEENL QKYLKPKTESILERPQIQQSHSTKISSYTCVKPFNFMEPLTAADELFENNGIQSKIFQNK IKVRQYNSSKQSLRNYTYMKQQSQIGLIKQNQQRKENLKKTKRVNSQQFKSFLQQKFQSE EHNIQNQLPFNFINQETQNEPTEQQQQQVQQKLTSFGQSQQNSLYEDVIQFAVSQKQKTL IKLPQANSTKYSSKENSFKKLSQDQIHHRKSPSNLSYEFSDAKGSFQYKRLFKY >CAK61942 pep:novel supercontig:GCA_000165425.1:CT868017:50177:50937:-1 gene:GSPATT00032174001 transcript:CAK61942 MSECIYRLQNEEQFVEEPKKPSQNQKRYKSQHPGNLPPSYSTFNTKNTTLNINNINGNKI LHKGYLKHHALWGKPKNFEVTDELMFPLGLIKPDNCALKKPNIPNHFKQKVDMDKFKEFL ETKPKRPKSAGQKPKQIDYFKKDTFGKVPEYLEKMKNEKLEKQREEEEEIRRKKKEKDEQ KVKKGDVFKIMQQLLQKKEELLKEFAQYSHKKDMTQQTKLKKEELEKRLNQMDGDIKKLE RLYNH >CAK61943 pep:novel supercontig:GCA_000165425.1:CT868017:51047:51793:-1 gene:GSPATT00032175001 transcript:CAK61943 MKEGTMTDGEVYLNTCHYAKNPQITMQEQEKNQIFISTSNPKIKIVSQQQNHEKEPIQQQ QEQIFQQAQPSSLNQTNYSNQQVNNLEQIQFNNKSIYQQTFNQTIMKTTVQICKNCTGQI NQDCISLPCLHSYHKDCLYQQIQSNIDIDQVSILCVCKIKIPQNLILQMICDNKQLRKKY FVIQLFDIITRAPPQVQQRLLGQAKYTTSFLKTLLNDLMIEDDTPQKIEKK >CAK61944 pep:novel supercontig:GCA_000165425.1:CT868017:51851:53501:-1 gene:GSPATT00032176001 transcript:CAK61944 MKFTCPQKEHLIKFSSVWDYLNHLQKCHIINERITTYGETRKYQCSLNRIVNIIFAPFAY KCSNCKRKGIITSQSVKRRIAPQFLKIPMQFKSLSAKSSIPLGRTGSSKIQELIKQYKSR PNAQKQMIMMKEDAYNIFDQDVVITADPRLSIPKIEFNQIKAANISIIDRISFNLLGGHA KMEAAYFKLHNNYKLEQVNRDYSFKIKQDKLKQIQITHNFQITKKLLEDSRVLEFCESKL DKNPEIYLQFIQNKGQKQFWLLIPESQNLVKDFCFDEPWCIFNIVVTQSTNQNTQDSIQM STQKQDPIIQQLQMQLQKQEELSVLLKEKLQNSFKEIEQAKDINQTMIQAQDKNETLLVQ LQIKLREMDLGFESVKEQYNAINIKKLEQAESNLAGFYNNQRQLETYKSSMRREEQSIDY LQKIRKLTKTKEQLHNKKDQIVDQCESLKNQIAVQQNQTNEKLAKASATKQRIQMIKQLL CIQCKQAERNVIQFPCQHFLFCETCFLTKISTNHFSCPLYEVQECQVEQLSSKYKTANII TL >CAK61945 pep:novel supercontig:GCA_000165425.1:CT868017:53524:55759:1 gene:GSPATT00032177001 transcript:CAK61945 MIRQRSVSQNSKTLEYEQVRDIKTSQFHRKIVPLHQENSMLKDRLHKMKFQVEDNLQLVI DELDKDLQLKAKLIDFQQLFGLIKAQISKDHEKIDSLQEQIERLQFNLNQSAQQREDESQ MYQQSQANLNEQLQKEKQQFRSERLSLDEQIKVLAYQNYEKEQELEQMKKQLQWELDQNQ ELIQKVERTKKFDQELKDKQVQYETTISKLNSQIETDNQVFKNELELQQQKLESKYRQMI QENKSLTQQVSEMKQNIHQLKQQKESMERTLESNNQKMKDMKAGVISDKQQMSKNEKTIE ELQTELTFFKNKVSLDEKREIKLKQQLTQQEDQIQELLQVKNQYNNLRKVLQQEHLVEIE KINIQHNQQLKSLNEQMQIQRVKYEKLLGKQVDIKEIAQYQQKDFSQQYEDPVHVQYVEN LELKIENQTQQITQLEQNIKSNNEEYIKEKQQMYNQFAQEKQVLITQQKQDLQRQLAEFE EFKNKLQSDYNYRTQLLSQQFSFQLDQQKQEQKQLQQIIDQSKLSGDEKTKLLEIASEEI MNLRKKYDHDIYEERNTQRQMKHEFDNAISQNNSDHQKQIDILKREIENLKLSLSDFQER DQVNKQKISDLLNEQKQDRSSINQLEFQLNKRQIEIQKLNETIRGLNARISTLLLEVENT KKTYNIFAPSSSNSSHYNLKKLELEIPGDLSQQQRHSSSELKFKPELLVQDFGKKSNRNR SQQGSRQYQIRQMPPV >CAK61946 pep:novel supercontig:GCA_000165425.1:CT868017:55795:57022:-1 gene:GSPATT00032178001 transcript:CAK61946 MIILSALFFYVLSGQTYLSNDYLMPCLESLSTKWHLSETGTGILMALGMSIPELTTNILS CFKSSRIGYGFGAIVGSGVFDFTVCFGLMSLFSNYYHKRALRMNLKALMRDIYVYLLTLL FLTFVFWDYEISLTEALILTLFYPSYLLYSLVQMEQKDDFQLSHQKHHFPTLLQFLDFHP EQAEDLDVDGKEIGQENQQEQVQEEQQPSKILLPFTLLFKFTIPKHPELAFIIISFYCFL TVNVTMTVVDEFVEQFSVSPAFVGLTIASWGGNIQDILNASLAAKNKKTELATSSIIGSQ IMNLQICLGFPWVLTMLIWQRNITFYDETISQSMLAIMTVVLTSFFLMLQQKLRLTYKLG VMLLSIYLLYFIYELLQQ >CAK61947 pep:novel supercontig:GCA_000165425.1:CT868017:57035:57447:1 gene:GSPATT00032179001 transcript:CAK61947 MGPPPNYIITRKLIRHFFRKYLPQQPITKGNEGEDLAQAVSKYGIDHPQTKIALDRFDSS EAESLKYRKKLEAMKIQQKVMSTLKTPFYHYHEKGRFRNDLFPKEWTIFHGVK >CAK61948 pep:novel supercontig:GCA_000165425.1:CT868017:58697:59143:-1 gene:GSPATT00032180001 transcript:CAK61948 MISDLIEEIIETGSQGKELIKDAFIIGIAWGRKQLHTFADLKSAIKSILKVNEQIDKSLV TPFYKEDKYNNFLVDNVMIDLYKEFCIILQTSQIKTMLDNAFIKEGARQFVTTQQLNFGF STKSIESLKLKNVLIVQLCY >CAK61949 pep:novel supercontig:GCA_000165425.1:CT868017:60275:61875:-1 gene:GSPATT00032181001 transcript:CAK61949 MQQIPDNYYDESLSQPSQHCRNTEMRELPQKCFTCLNILDSSNVFRDTMMKSLEIVAVQF LHFQHQKMRYEVLHPNQLIYKRFDQIAEDQKKFYVILQGELGIYLSVGLLPENFKDVEFV EVQSIKMYQHFGHQLFCMAKEDIFEQQSPSLIRYGLIIKAKKQTFVATLNYQYFHAYLHQ QEQRKMNSEISRLYDFCLFKEIQYKELKVLFNYTKEILHQFLLTVPLLQGQTHCVFFILR GQYSLVKRTNEQEVQTIGIISEGEIIGEYEILNNIDSRPFSLICQSEKGEVLICDAQLFQ NYVFQLIRENLEQTIEAKFNFHQMDKTSIKFELTKLNQHIHRRQSIEEVCKQNKFHYLMR PPPRKVHQSTSEYKGKPSYYQLFVNIYNIASQQTYFSPRLANDERKRRITHYVRNTLKPM QQKLKQALNVSANKTDESISKNTKGFPLHNEMLIQALKRNNDSRFRKTFQKTQSLASISP SKKQSRPDVIDLGENLIIKKQYKSSDKLIYNNQ >CAK61950 pep:novel supercontig:GCA_000165425.1:CT868017:61909:63225:-1 gene:GSPATT00032182001 transcript:CAK61950 MYKVDWNQVPDIAKLDDEEMRKLNKRNKFHNLPQNQWFKSRYSDKVKPQYLFYPEERRIQ MELKQIFNVFDYNDSKTLDIAEMFLMFKHFGFEVSKNDLKVIYSYIDQDRDWALNYQEFK AFTENPSALKAFRNMMTKMQNTLQLNAIENKYVPLSVGAMLTFLSYMIRREQYINILCDK TIMDSEKKYEAFRNLIITKEKKTVVDDAYDLYIKAQYKLMNAKLKSNSSLKQLEIKLQQP RYNMSLSTVPGLQPQLFRNMSMRDVDNIRQLTKVVRLQTQIEIKSYNSIKQILDESDVSD EEFSQKESDLQKLLVKAQNHGKQQAQVVITQRSKDYHNKSQVSLLSLEQVDEEVPRMRFQ LKQQKSVPTQQFLRRQIRVNKYEQSFDSTSLKYHQLDRTPHQTTLASNDLPSNDSALFSN RPLKLPQLL >CAK61951 pep:novel supercontig:GCA_000165425.1:CT868017:63410:64106:-1 gene:GSPATT00032183001 transcript:CAK61951 MSQILKKAAKYRSVSTILQHGEAHLRDPYTLPPEIVTPPPPRKERKPDDITDFPEQKFVL LPESIPYPEGKYRPASVPYVAGFYPYNCYLQKGKIYQWCSCGISQSNPWCDGMCNASVTR NRPIKFNVDTSGYYKLCNCKQSANAPFCNGTHRQVIRQYHDGFRGFYELWGWAAFMGTTG FMVWNFHN >CAK61952 pep:novel supercontig:GCA_000165425.1:CT868017:64124:65356:1 gene:GSPATT00032184001 transcript:CAK61952 MDSAIGSYICQTIDCSKPATLQCPTCIKMSLAPAYFCDQECFKRYWPIHKTYHKKQEEQD DQKNKFKFTGPLRPGKISARLFVPDHIKKPEWYLSGQPTEEMKSKLQQVVDCKTPEDIQK MRECAAIGRGALDAGHAAVKAGVTTDEIDKIVHKYIIDHDAYPSPLNYNGFKKSLCTSVN EVICHGIPDDRPLENGDIVNLDVSVYFKGFHIDLNETYFVGEVSESSKFLVEKAYTCLQK AIEICKPGTMYRDVGNVIEKYITENGLAVNRTYCGHGVGQLFHCAPTIPHYGKNKAPGFM KVGHTFTIEPMINQGTHQDILWTFDNWTAVTADGQRSAQFEHSILITEGGCEVLTARLPT SPPLDFKI >CAK61953 pep:novel supercontig:GCA_000165425.1:CT868017:65381:66586:1 gene:GSPATT00032185001 transcript:CAK61953 MSSENKEIIEDKIYKQQTKIDQLARKSFIMRKIEQPKDEHELQLLKRIEKARYEREETKI IQSHQISSPHFWQIFMKRHYDLGAWLLLDVTTNFALYFFDTIRVRTQAQNKYVDISHFNQ NNVKNLPIYSGLHYKLSYVILSDILRNFTNQVRYQLFMDPSSQFGNYNQLTKILIHGLIA ETTITTLLLPIEIRKMVVQLGSFDANMNHYIKSYMRCYFPALIRDSLFFVSHSITYNLLM YGDHYITSLFRSGEFTPPPNILRGERERKFTCMLGSLGLSILITNPFDVLVSKLATQRNE SYTNPFQAFKFIVSQEGWSKLIASAISQRIGFYFLKGLVFFSYQDRVYQMFHDAFNYE >CAK61954 pep:novel supercontig:GCA_000165425.1:CT868017:66741:67813:1 gene:GSPATT00032186001 transcript:CAK61954 MQNLKFINNELESQLKASHLETRQLKQEIIKINQLNAQLKEQLHQITINSEIEDQYKQSL IENKMLKKELEFYQLQEQSKVDQIASKPSYEQLEKELNDLQIFFKKYYNLQETLLNKIPI QLLKDCWLAINQTFKSHFNIHQTIQNIQEEVSLLEQDYKQILHANKDNDSEIQYLAKQNI IVERRVEIEKCRKEIQIKQFETKKMEQELDELQKEFDYICKIEQRRFNQQIEIEKQLVQV RQKTTQPVVEQKTSVLPVQRSRQHSDYFRPNQISIDSQQSIKPLILRAPKHSAVQQEAEK TNLEKTIDQTRQKLSRQLSLASNLRESTK >CAK61955 pep:novel supercontig:GCA_000165425.1:CT868017:69109:69712:-1 gene:GSPATT00032187001 transcript:CAK61955 MNKFKYAIYYMLITTKEHYVMIKSAKMRTDRETKQSTKANTSSTNKSYYQDERNRLQQLI IMSMQNPKNMETYLTQFLDQKHPMSNSFQQTRTRVLSQPHMGNKPTRFQKPLHNPYISRR QSHIPKVDTSPKSPTRTLSTNSRYLLHSFNGDCEKKKTQNTPISKLSTSALIDYHKQLVQ KAQKLIGSNKKKQ >CAK61956 pep:novel supercontig:GCA_000165425.1:CT868017:69957:70535:-1 gene:GSPATT00032188001 transcript:CAK61956 MEKKIIIENTQHPEFGEISKLSGIGIRIGEISAALMEDDYFEMTEQEQSDYFHNKGIFNN FDDIYFSMGEFEAIHIDNSVIENYNAENDLKDVPLIDITIMNSQLSDQMLKDLLQSINVQ YLYTLNLSNNQLGLCDLKDFEETIDILNKKGAKNVQLTLKGNPIIQKYQEERGSLPTIET WPYTCIAQLIWS >CAK61957 pep:novel supercontig:GCA_000165425.1:CT868017:71401:72276:-1 gene:GSPATT00032189001 transcript:CAK61957 MRQRLGALHADSLEEYIELAKMSWQQNLIFYGSDQEIAGQQRGFDNSEKETNTTSIDEET EVKDRSNVNVLVIDNLDKIDQVFKYCGTIRKSKKNYYLEYCSKQETSSSKEIHFHFWVIN QTSVKYQELIEVYYRTADCYVYLNKQSKDHQFQRFFEKVKLLNKHKTRKIYRIGNFRRVS SQQNLQNIQDNQIITLRNLKEGLKKIREQYF >CAK61958 pep:novel supercontig:GCA_000165425.1:CT868017:74332:74655:1 gene:GSPATT00032190001 transcript:CAK61958 MGQSCCNKQTIEQSNEHMTELCQNMDGVKFCENLDDIFNSKECNSNQARVLSSQIIFTFQ QQLPLTLSQECSYYEKMNSIQKYNFDEESPTFKAQKNVLSENDIYRD >CAK61959 pep:novel supercontig:GCA_000165425.1:CT868017:75217:76836:-1 gene:GSPATT00032191001 transcript:CAK61959 MEQSLFISQQEIEIVNKLNTININVGVLGHIDSGKTSLVKTLSKITSTASLDKNPQSQER GITLDLGFSAFYTRNPNEQGKYFQFTLVDCPGHASLIKTIIGGAQIIDMMFLVIDVTKGI QTQTAECLVIGEILADKLIVVLNKIDLATEEVINKQKKIIANVLSKTKFGNQVPLVPVSA IQALGIEDLLGILLQNITVPNRQAQLNKGLLFMIDHCFQIKGQGTVTTGTIIQGSMKPND EVYFPMLNLNKKIKSLQMFKKPVQIGEPGDRIAALFTNLDAKEIERGIVCQPGIVSLLEN IVIDFKKISYYKGQLKSQNKFHITSGHLTVMGQLKLIIRPKNLQLEINSEGEYLEDYEDY VKLQEKYNLFGVLQLEKPLIASMNSLVIASKLDTDLEANICRIAFYGNILYSYTTQQLFQ QNFLRIFRKKTKNGKVEKVIDNYTLLIKDLFKKETNIANYIGKLIVIKQGNIQGKIDSAF GKSGKVKVFVESGTNQDMANSDVVMIYKKYLFHK >CAK61960 pep:novel supercontig:GCA_000165425.1:CT868017:76857:79149:-1 gene:GSPATT00032192001 transcript:CAK61960 MDYNPLKMPCDWNVARKHALARRTAPDTKVHHDDDDDEEPRKPETCPCCGFEIERKEIPY CDDIKSLKFLGAGFPLFYNFLKFCILLLCLQSLVAIFNVLSNYHGEFCQQQTLNPISQQM EPNCQESIFLQLSIANKLNNSEVVVFIQKANLIMLIIMIILLQLFRRHQKKLDNQIDESQ LTPSDYTIMVTNIPKTLNVNYRWELTNLFQNYAVSDNNFQITVTKVVLVYNITEILAEEA KIQTTLQKKKISLQTSNMNYDSQDVRDCEIEIETSQKRIKDLQDEYFWTNRQFSGIALVS FESEKMKDLILSQNTHTLFDKVKTFLYSGKTPGLDEMELQWQSQKLFLEQAPEPNDILWE NLATLTQDKIIARIKGFFITLVFQGITYLIIYYLSIRCIRLVQNEELEKRRIGVDDKEKI KKIQIISFTIASTIVLVNKLLIEPLMKWITKVEKISTNTKFQISYANKLIIALFVNAAIV SYVIDILIFSNVYGFGGFMYNETLIFIMNAAIAPLIWLIDPMSLFRKLQRDHSVSKGNDS LLTQREANEIMEQIDYQLAMRYADIIKTMWLTFFFGTAIPLGVFSSLIGLSLFYLIDKYN LLRRRTVKENISQQLSWQMINMLEFVVLFNPLGNTAVSMFLNQKFDIYSAIGVIIGLSFQ FLPIHRCVDAMFPVKNFEDPVSYKKAQIEFDTDYDRENPVTKEKAIAEYSLQLQGITQEK KVEYQIMHEDHY >CAK61961 pep:novel supercontig:GCA_000165425.1:CT868017:79223:81523:1 gene:GSPATT00032193001 transcript:CAK61961 METDEAPKQKKAPYKSKRYFLSSLNTYFGYQLIQQLRNDIEHPEDPNLIVGTTILQSQYP LHPAVRKVIDPSKMSFLQKVILDSDVIVYDLNTCDHSECEYAIKTLKLGTYDQEKILILV SSVMAWSATDPKEKKAGEEEDDAGYPPESGEEEGKPQDDGEDAPPKKEYTRFNESDYPKR KALPEFESLCSLESLCLSINRPNLRTFILCAGILYGMGEDHFYTKFKGAWLQNTITFYNK NKVPCIHVKDLALFVQKLVEKPVNQKYIFAIDHNQNPSHKAIIDSISKGVGNQKIQQSDV AIPEFKIDLRMKPTKVFDAFLEEQGDQGDEEQAVDKFTFNWWCKEGIRANIAKIRQEFVD YHNLKPIRIAITGPPGIGKSTIANQISTYFSIPHITIKELIQEYLNQTSEEVEQLKTNLE ENRAQLIEEARAQYDIQREKRKQLKQPYIPFDDSKIEARLTDEQLITIYKWRLMQNDCQN RGFILDNYPKTHAQAKQLFYNNEGEGEEQKSTLNKLILPEHFIVLAGSDEQIIDRIKFSK THKYEEETLLKRLKNYKVLNTKKGYTILFDFYKELKVDICEISVFNKQTNIQDTCRSFIE RNGKYQNYKQIEQDLEESRLNEKKEQLLKQSEQNQKLKELRDKKEDELWKIQEEEYRIKQ EHSVNYEKELLDSRSLPLRQYLSDNVVPFITEGLVEIVKQNPENVIDFLSEFLFKRSLQV RFPDPKLYFENSE >CAK61962 pep:novel supercontig:GCA_000165425.1:CT868017:81553:82405:-1 gene:GSPATT00032194001 transcript:CAK61962 MNSSSSEMPNFLHLFKTCASLSSFDCDSNHSTKRLGDELLLYSPSRSQQFSNPNKRIVIG DDSWLKRGVTISSETSSPERQFERQEFFSSFRVPINFCEEQEGSNRLEHFEKDAVNYITP ETLYKNANDITLFDCRYKFEFEGGHIYGATHLCNPFEIESIFFKQIPTVKPVIVLYCEFS EKRSIQIYKQIRNIDRNLNDYPKLHYPDLYILNKGFSNYSLQYPSQVEGKYIRMDSKEYS TQYKEQKSQTDNQWNSLKLKKREYKK >CAK61963 pep:novel supercontig:GCA_000165425.1:CT868017:82622:83342:1 gene:GSPATT00032195001 transcript:CAK61963 MLTIHMQTRLKCEPLVIRFSLLYFHLAVQLIRGVQFIQSNLNQKKEINLKFRSIDIFIFL IIIQQYNTLFYLLYLTFFDVIYYLLDLQLILDNSEFESNIKYSQIKNQHISLNISQSFKG EQSMLFLHDLSLINIHIMFKQYFLRLITKSLVAYHNHKMLGKLFIYMLDMSQIDQLMELK FALDKHYLANEFYLFLIYIKDVYTMSISFEWKQIQERYAWQLYIVFGQ >CAK61964 pep:novel supercontig:GCA_000165425.1:CT868017:83400:86000:1 gene:GSPATT00032196001 transcript:CAK61964 MSTSRYVAKKLQPFITSRAELTQQFEKLEKEQKEEERLNDLYFDQLGRMEKEYDTLARIL DDYGKKTASKQNGDSDQDQNLDSRIEKAVIETLGLYLLAGSRKEQLEALQEREMAKKQSA LNLTLAKTALNDQKYLDDSNELNEEEYGYYVTLKSEDACMQVKIPATIKTFKELKQIIKS CFMLEENQIFCTDHMGNLFQDNMILLDQIYPPLYDLMKNYQPMVGIQVVKQVKHKELIQS GDESLMSDDGARFFITRYKTAKPAQKKINWSQYLEYLNNFKYFIETVLFLIVLGLFLVVQ IDQVKFTTSSSVITSFGKQMQISKSLISPIKNISQLINQTLSYNNDNHQYPSYPLITGLL IQTLVKQEKFEDCSILNNKQKQMFIDKNQSCLDFSTVLMDNLTEDYEFNKFDPSVYNQQF GGYVWELNLASKEDFNQNIESLFEKNWIKYNIKQSQFILNYFNSPTKRLIQVVITTIYLF NDMLLNFNTIALDSFDLNKPSETEEFYDSILFYLSILLLSSSFLDFFSIYLNTSKNPFIL LYQTYTELKNKQKKLQSKKKELSNLEEQDLQQKELIIGDYFILKVSVVYIVIKIPLIFDF VYILSNIAILIRGSIQQSYQDALDDIQINSTEFQDASQLIVPAFLLRIFTGILVIFLMAS IIRFLGNWSPYLKCYGLVMIRFNKQSSFLLLVLIFIISISAMSWSITIQGKLIGQDNFFY DFLGLLRCTLKYGMHNDLEDQGLENNYQKEISYSFDTRYVFKIFKIKLQYLIVLVITFIM IPIFISLMTQQVHNTKLEAKQKMIEMEKEKKEEKQKKK >CAK61965 pep:novel supercontig:GCA_000165425.1:CT868017:86025:87661:-1 gene:GSPATT00032197001 transcript:CAK61965 MSNQIVNVDLSLKLIFTIQTIVHLTRREGTKIVFPKQNKNKKMNNNNCLIPIHKILFPVI LLYNSNMQKYILLQPQNNKSIKGSKSNRVLHKYDRIAPKQNHLNSERIQQNQQNLNYNTA SLRIVNKQIHQDYQKPLIENKNLEVDLYQSPRIKHILQKNQQKIQQNDNHQKHPQIDNQK KIQHSDNLNKNIKSNDQYKNQKPDNQFKNQQSNNLYKNQPSDNQYKNHQYDHQQNKQQND LQSKYLKSDIQYEDLQSDLQYKYQEYDHQSKSQKSDNLYKIPQIDKHIYQLESIPDEDFI SSPDIMPIQNFQNQTIKSIRRPNYIQQKSQIQDQNQPQSRSARIKMLFNHKKQQITLQDI NLKVIISNSIAPTLSLEDTNANQIKYSNLRRNKTDVQFLNRNEDEIVETLVQTKYQRCDY CMQQQNYELISLNCQHNYHSQCLTEVISNTIQTGNATITCNCNKKIPQQLVLYLLKNHKQ IQDKLFKNQLKLLIQEYIKKTNIVYDQNPQIIVKQGIIVRQ >CAK61966 pep:novel supercontig:GCA_000165425.1:CT868017:88183:94363:1 gene:GSPATT00032198001 transcript:CAK61966 MSEDQVNETNNVCESQKPVDIQGDPPLIDQKVTQSTYGNDDVPIGKSQNKQMFDEFPPEG FVPKKPAPKKAAKKPVQAPPVENDEPKVVINPDDIPIKQAGANVVDEQPVGGGNKFAISE YPEGMEGGGEEMQQTVLPLAQRLKSKAWKLRQSAFEEMAELFQKDESVDEYYEEWPKLIN DNNPGSQEKALIALQIFIAKSNKNQFAARFDAKDSIRMLIDKCIAPGKKQIVKLSYEILF DIFERRDKQEMFDVVIEMLKNKVQKVQCAAIQSLVELLTTFGPKRLDYLKPFFPEIEKLS QSTVSSIKTECMNFYKEAHKWLGEPILAPFIKGLKKLQLEELEKFQKEWQPVPMVPTRGG DAVTVGQGGGANNGLDAYDLVDAVDIFNKYNEKWCDKVLAQTKWNEKQALLEQLLKEAAT PKLAPGNYVPMIAMIKKLLVDSNQVINVCAIKLCGAFVKGLRKNFIAQAKLLFPLLMVKL REKKNICQEARAALELFHYCLQVEDVVEDFKEGLADKNPQMRTQCLLLFAKLVQLKGFPQ GAPKPKFVDCVKQILPLAKKLIEDSVPDVREASVQSLGTLKPYLSDQLINSFYSDIGQQK LSKINEISGQIEEEKKKEQIKKQPPQTKEQQSASQIQQSTIQNNSIQQGTAKKPPLYSKS NIVITEQPDQSVDQLENQLRGYGVDDQFFENINGMAKLKAEAIKMLENETDVMQNHFEDI LNFLRFKLKDWKEANLSINKELFSILIYANNLDPKPFTQKSFQPLCKLLVEKMTDSKFRE DIYIILKGCCECVNPKYIILYLMTQAVPKEDKESGKVTGEVINNISKIIEIVTIRNVPTK EIIDFGKEQLQSTNAIVKTSCVELFKTIYKYIGQELLQFLSDVQPPTMKTLNSEFEKISV LASYEPTITFTGEAAKECGVIQSQPLSQSIMQSTQSSINDNLPRADISNQLQSVLKKMAD VQWNKRKEGIEELDKILTLNNNRIQINGLHDLINMLKQRLSDNNKQLVRPIVQIVGRVAE ACGKDFKSSGNDKQVLVRQDVVASLDKFCIAIGIDQVVLQMPPYLQMESIELKQEVLLFI MKYIEALLKIDYKPFILPLINCLCDRVKEVRQAAEQVCERMVEDVGTDPFLNQMKDLKPA VVQQVRLFFAKFGMGENQKTLQKEQGKHQKENNNNYNKRNLTTKDLQNDLNTSQEPKQRN ERTPNKSLHQKSLKTLPQDNTPLRQQKEKAVFQDNNSLIAKSSSIKEMKQLRMQSDSVQS WANDCFPISTFSDLYVCLREDLYNNLMSYNYKDVMKGLQQLMTVWNDPEQKSDIIELSDL LLKFVLVKIYGCSANQLLMNALISFLDAFFQTISKARYILTEVEQIVTISIIRELIYAGV DVHLELIQNLQYVFSVVIMLEKLLQLLKINPQVMHPFLIDQRDRINTQLCNLIIQGQLVR YFPQDLFQEYSQYLTKELQNELVALHGYHSIRRYNQQQQPDQQQQQPSQLQQQQQVVQST QQQQQQQQYQTGKQPIIQGIVQLQSAKDPRSQYFLQILDSLLSQQTSQNIESLMQISDLL TQNIQQNQQLFVDNAEAICKCFQTLLQNTFNQRETYPAQFIQFFLQALNKLYQLKAYVNQ LPYELLCGFTEEIMLRLLLEDELKNQQENGDAIKQLNSTILRILENTSQDIMFSILFDVL TKHRRRSNAQKMIDLLVKCIARLTVKIEQNSNIKIELLLLKFHNYLNEFSLSPNFALDEK GVKTIKVVLQQLVKIKGERIWENYHLVSRSTQQDQYLNKWIQAYLGNPTLQNAQQENNLP DQELQQIIDMLRTPSLLDGGIQKMVEKIKRSPNIQWQKYVQDQQIQLLIQQQLTNGQISN QGGYMSTQPSLQYSQLQQLPLSQSQLQQSQLSVKPQLQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQILQQLPTYSRTTRAADPQQQFNDSFGVQSFNQYMSVNQYQMNQNQIGQQQQQQQ NVKTPEYLMQKLTDMKNKVQTLVGPNNVQQAQNPRQFQNNRMPQ >CAK61967 pep:novel supercontig:GCA_000165425.1:CT868017:95190:96026:1 gene:GSPATT00032199001 transcript:CAK61967 MTQNLQDYAQQLVNISISDDEGIIDYSPMKQSNFQNVITQGQPSGKKSLAASVKPKSTAS KSTKKSSGIKSTKDRAKEMKEQFEEQAKQLKWYQEEYQRLKEQKKYLKEEKTQQLLMINT YKTKLEQSIGNEKKLHQQLNEQMNVTRQALKQSSDAQITLEQNKQNYLQQQQMEDLVRQR QKEELEYQYQKKLDQSVKQAIADAEGKYELRIQQMNRELDVLRQENIELHKKLMQNETNK KHIYVQSELDQLKTKYLTTKG >CAK61968 pep:novel supercontig:GCA_000165425.1:CT868017:96245:98553:-1 gene:GSPATT00032200001 transcript:CAK61968 MKRKECVKVVVRARPLSSKEIEEGRKRIVDVDTSRKEINIQNIKGDNNEAQRTFVFDEVF DLNSQQEQVYNNTALPIVESVMDGYNGTVFAYGQTGTGKTHTMEGKNDPPHERGITPRTF DHIIKVIEGTPNIQFLVRCSYLELYNEEVRDLLSPNHLTKLELREKPEQGIFVKDLSKIV VKSVAELNEWLKAGRANRKVGETKMNQESSRSHSIFTLTIESSEIGADQQQHIKSGKLNL VDLAGSERQSKTQAVGVRFEEAININLSLTTLGNVITTLVDGKSQHIPYRDSKLTRLLQD SLGGNTKTVMVANIGPADYNFDETMSTLRYANRAKKIQNNPKINEDPKDAMIREFQEQIN KLKDELARKAGGVIGPNGQIQKIKEQVIEVEDDEELTELQKKAQKEKADLEQQIMQQRRQ STLQEEEKKQLQLKLKEKQKLEHNLKKEQENMQKKLQELQEQLLHGDKMKEESRQKEKDL LKARMELEERSQQARRQAEELAKKEAMQMEQEQKYNSTKEEIEAKTQKLNNLIQKIKELK SQAQEQTQFRQRQKEELIEDNRESLRQLNLMNLIIEHFIPEDEEKRLKEKLEFSEEQDDW VIKEFEANPLKKPASAFIFRRPICNFSRMAINFGDANPRCRPENILQTDLDLPQRMTEDF SLEPSQKIQEVLNVALNEDEEEQQMIQNEKQANVYIEDPSIINKEAILNPPSNPNKVRLQ SALKKNARRPQSGLKK >CAK61969 pep:novel supercontig:GCA_000165425.1:CT868017:99025:99626:1 gene:GSPATT00032201001 transcript:CAK61969 MFEEQLLKIHVLRFHWLILKEFGFFFIEILIKTKVLNLNLNKKIHQLKQMTDKKEAPPKR PQCAFFIYKQEVYQQVKDAHPGKKMTDITKIISEQYKQLPKDKIDQYEQKYKDSKAIFEK EKKVYEDKFGKIKNERKKKKEDGKGPKKAQKKQKKQESDEDESGSDDD >CAK61970 pep:novel supercontig:GCA_000165425.1:CT868017:99627:101174:1 gene:GSPATT00032202001 transcript:CAK61970 MGGSKSKSIKKHAKVAFTDQELQVLEVYFELLKCHDSNNGFLTQKSMLPEFPENPDFSVK LYNWMIERCKNKQIDYTNFVITLELLLKEQQEYYLSDYKFRVLEKFELFALISLGCLENS KESINRFTVSYSQGTIVIKELLNMFHYNKEIKNSQRNDLAARSVANSIFEQKSSIPFNQF IGLVKQQLIYANKMCKQYFTKKFIDPYLQFQIPQLTSSSFILNDQVLALLQMSSPDFNRI SLLDLKFSSSATNDLEYITEIIIDSQQPLLFLFRNREDESQNDTFQQQVFGAYISIDETI ETHFIRKREKDPMGILYNPKDQISLYFGDEKSFLFSLLPKYQLFMSTFDVQSKQCFAYIN NRAFNLKVDQPYGLGFGGDGKGNFRIWIDEKVNGNAKNRINNKCDQTYEMGYLLEPHIEF LNLTSIEIWGVNYEKKHQKK >CAK61971 pep:novel supercontig:GCA_000165425.1:CT868017:101221:102479:1 gene:GSPATT00032203001 transcript:CAK61971 MNDNHILEFQNFPKSIQSKIHQDYFYGRKSLYYHDKTFYRYMSQKQSSDQYEKFDQKEFN FICYAPNNYLQIDASQIRLKFSLRNKESYNLIGSLQNLKYLNEENEEKKLKVCDQTNKFL CPFHFEIKLPQAMDDWQLENFQKQFRNYYCGVKLSSGITQQNKDYICVKDISEPNYQSYL LLKQDVKIGDIKCFALKDHNYPRYFVVRDNKNRYFFDNKNGEQELTFVVGVEEQQIINQY LFSYFILDEQDKDQIEEYKNIKKILKAYQNELQELTNTLRVKVSSFDFDLIVHSNTLNQY ALKQGSESNYEGIFDNMDDQIQIYETILQQLSFENGEWRLKIDPNENLMFWQEVEHYQYF YLKKGESALIRCPKANIDFSYIDTIQKDSNQQNNTAKQVNQE >CAK61972 pep:novel supercontig:GCA_000165425.1:CT868017:102508:104912:1 gene:GSPATT00032204001 transcript:CAK61972 MFQSMKSYFEIALLFLPNKVCNYISITKSAYEIVNVIQSKFLPEYQSVMDAQNYQTNNPS LYSQLFKGSVNLETIKRKSIALQQSCLKNIQQFLYNYQFTQELNIIKIRDEFYSKNLNCC IIDLNVISANLPYYLETVEVRQFNEEQLNQTINQLSKFLMEYVEGQFHSESIIKEFQQNF QDIVRDIYKSIIQVAKKQHKEIYDKLTKKIKQFYKNNLNNSALDGACKIIQENNIPLFQI KKFIHNFFAQNLKYFNDELEDFYKFQLSHVVILRYVCKIIDHSLFRLKSSLLIFTKQEKM QQELQLKTQHLFYQYFEKKDFLLIKNKIFAAKLFYLFLQVDLESREFGTILDTLSQYAEI TKIDYSQYGSESIIEFRKAYQNEIQSANHFWQKEITEQQNLQLIFDLFILLNGTQFVNGQ LLFQEYLSANKNILMKLINLMNDGYYRYFNCKLIKTFEKRIGEIRNQIFNQIEKQKQIVK LSVIIQDNRFFISIFDAYQQSQEQVFNRNQMYFNIKNSLIDNQINQLQSLLIQIQRNYLS KVSIPEKMHFESIQSKLEHSSVVTIFISGFTDIKVQKSILEGLKDQNLIVLNWNNPKEDN DVGENLSKVVKEFISNSPLEIAANLLKAYSNASFEKSCQEAKRVGKYLAYLLIKNKIFGD CQINIIAHSVGSLVMYEMMKELDNMQNTNLIINEILILGGIVDIRKLQKRRWNQVEGRIC NVYTQNDLVLKLMYNSFRLQDIFCGLNEVKQGFRRIENYNMSNLIQGHNDYGVHIQNILI QTDFQQYLRFLYE >CAK61973 pep:novel supercontig:GCA_000165425.1:CT868017:104943:106539:-1 gene:GSPATT00032205001 transcript:CAK61973 MYVKPISSLTHVGRYYVRIIKDLNVIKQIPAGSTVLVGGFGLCGIPECSINALKEAGTKN LTVVSNNCGTTDLGLGLLLNNGQLKRVIASYAGENHNLARQYFDGTLELELVPQGTLAEK LRAAGAGIPAFYTHTGTDTVVEKGGIPIKYHKGGDRVEIESKPKPVEYFNGKKYIREDSI WGDYAIIKANMADTNGNLKFVGTARNFNQDMVKAAKVVIAEVDTIVPVGSFGFDEAHVNG IYVDYLYQGNNYLKTVERLVYDQSVYDKHKDIKPQGKLNQNRNRIAKRAAQEFKDGMYVN LGIGIPTLIPAFLDKSMTIHFHTEIGAIGVGPYPKLGQELGDLQNAGKESCTLNPGATTF ESSESFGIIRGGHLDMTVLGAMQITKQGDIANWVIPGKMVKGMGGAMDLVASGSKVLVVM EHTAKGEVKFVKDLQYPATGMNKVSQVITDKAVFVKRDGQLVLTEIASDTTLEWVRANTG FELTVADDLKSFQV >CAK61974 pep:novel supercontig:GCA_000165425.1:CT868017:107766:108650:1 gene:GSPATT00032206001 transcript:CAK61974 MLIRQKYQMMKIKFKLLLRRLQIKKLSHPPNNQNRIRSSRIKQKTLSQKQQARMGQLQKK QAEQIQKEQQAALDARRIKPKKQFLRLIYNSDDENEQQEQQDEKTQDDSTTQQVQEQQVQ GRQEQVDQYQSNVLLIMQRIEIKAVVGRQILIAKKQGIKKKETEDLDTILNELGFTQKGD SMEEGVQEKRRKRKGKIKIQYLQKKNHNNLSSNNNKSNNKLNKQITTRKLTQKKVLAEKA KKHQAGAHQVDKDLERVKQEIEKRNQKSQKNKKQDLDL >CAK61975 pep:novel supercontig:GCA_000165425.1:CT868017:108717:109180:1 gene:GSPATT00032207001 transcript:CAK61975 MHLEKHAKALIDYQYSSDDDEIGDYKKKAMKYRNEFNDTDEQVRRLKKENLHNKQTITEL LADLEVHQKRIILLEQELLNQKKLAQDSQDQLKKAKIKKQEDRILKEQKKFQEKFALQTN DALEKLRQHFDQTLQQLTPKPDNK >CAK61976 pep:novel supercontig:GCA_000165425.1:CT868017:109205:110765:-1 gene:GSPATT00032208001 transcript:CAK61976 MQQLRSNHFQLGQTDQKNQFTSVMKMNFQGDQANFQASGVGDKLNLKGVHFHLGESKPLY NTIFKTDFQGKQTGQPAVLNQEQKNDLRTNHFVLGNQEIHKVSMSRAMYNEKPLQPGLQN EQEIQKNKMISHHHNFAETSHKMMQTNYYEQYQPQQLEPKQDLAEKARLLRVSNIFLGKE KLPMVTAQQEYYNRKEGGPSASFKAGFQTTHINLGTANPEYQTINQEYFQRQEIQSNPFA EENRQNLRATHFILGQDSQTYSSESMSHYRPYSENKVNLVNNTSALQGSHFTIGDPRYMN HMTETYYSSTMKPPQKSSNSLPRDQQMDRGSNFKVGTVNIQYTSETQCNFKNPSGKAAQL SEKLLKDLKSSHFGFNCKSDRNTFVTTQMETLKKQQGSPNKLDPLVSANLKSQHFTLGQN GGDLISQTHDIHRPLDGNPNVLNKLQANDLRRNHFELS >CAK61977 pep:novel supercontig:GCA_000165425.1:CT868017:110974:111270:-1 gene:GSPATT00032209001 transcript:CAK61977 MSNIYKGTLLFYRRMLKTMMKTFNGDPEMFHRVRIECRNKIKENANETDQIKIQNHIFFG EEAREFLENHLISGKLQPNGRYRFKAQPQHSMNDPIKQ >CAK61978 pep:novel supercontig:GCA_000165425.1:CT868017:111337:112393:1 gene:GSPATT00032210001 transcript:CAK61978 MMKDKQQQRQTKKQHKKQRGQARSLKGYAKHFQEFQDEMNQLGLQIRDVEGDGNCLFRSI ADVLHGDERYHKQLRKLAVQTMQENQEFFGLFIEDDMTFNQYLKEMSNDGEWGGNLELQA LSQALDVNFFIHMKGRPCMIIKSMTDERPLNEKDALHLAYHLIENVAEHYSSVRMLGDDS NKRAEPIPLDIFEGLLDTFQQAPLGHDDNFEQYEDLEQFEDPEEREYFQALREQEEAEKA AKEALRKAELQKYQLKQKKNKKCNCGSNKTYKDCCMNIDLEKAEKQKQPKQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQSHSEGPMKKQAVFI >CAK61979 pep:novel supercontig:GCA_000165425.1:CT868017:112416:112751:1 gene:GSPATT00032211001 transcript:CAK61979 MNYYNKKIAVKNDEHTSLPQIYNHRSLSLNKEIISSKDVNHKKYFSFVHLNQKSQDRYQQ KPQHMRNMQRKNQMLKLQLKRVSKQIKKVSPPRLQYETLEEIYFIRYKKMT >CAK61980 pep:novel supercontig:GCA_000165425.1:CT868017:112780:113795:-1 gene:GSPATT00032212001 transcript:CAK61980 MGDKKKKGDQVEDFSTEQLNKLYRKRCEINGVPLCKIFKERLEAVCSEGEHLQNVKLWEE MGPVGVRAMMESFTEIGYKHLKQLRLWRVKCQDEGVRTICLYIDKVRLLEVLDLLDNQIG VLGCKFLADILHPKCESKLVKLKLDHNQIGSEGLAELTKGLAMNNTLESLALNYCGIEAD GAKSLQDILANVNSKLYKLKLCGNRLKNEGAYELFRALEINNTLERIKLADNQFHSDPSD LTLINKILSTFELNKTLGYYDLKFNLLSDEDATKIIPLIEKNKSIFFIEISDQISKPLTD KLKSLTKKRKPKKKKKSKKKK >CAK61981 pep:novel supercontig:GCA_000165425.1:CT868017:114638:116052:1 gene:GSPATT00032213001 transcript:CAK61981 MIFLATQQIEASLDLSAEELGYLGSLVYAGISMVGLFGGKLFIHFNAKLIVSISYLGMLG SLLMFPQHYKSSWLFYLSRFLTGCAQAPMMIYFPVWVDNFGGESKTIWLTILQGVIPLGI FVGYVLSSVISNIWTWQLAFYAQVVLLIPCAICFILFVRTKDFEIKRARRSKIDKKSVNP DDVGASMLSVSSHKSYWQMMGELYSIKLWLCCTIVISILYFIVTGIQFWMTDYMIIEMHQ NQKTVNVVFAVVSITAPVFGCITGGLIAQKLGGYERTKSLYICVLYCFICCLSAAPVPFT DTFWFGALCVWFLLFFGGAIVPPLMGIMLSSVPKHLKAFANSNTTMFQNLFGFLPAPSIY GFLLERYNAKVAIFTLMYYSVFGLLFMFIAVYFKKQEIKNRKKNPTSVINRTESVLEDEE NFNITDRVLQYGDMPLSVSLAQHIDDQIPNYEYEGTKEQQQE >CAK61982 pep:novel supercontig:GCA_000165425.1:CT868017:116657:118501:-1 gene:GSPATT00032214001 transcript:CAK61982 MEFFEQFNKKEITEEKFVEQLSTLTTKIQKKSTLTPEQILQVNQQIEIIDDSCFNSHVEL FIKFALYLSNRGVYQLLYQLSWDKILFHKDNTDENLLGLYIIKRVYHSENHKVLIIFLND ILRQQKTVEKKFVLLEIFVQILPKIEKREIHLAVVFPVILIVINEGLQKYLKYKQQQIQN DLNEDNKFTKLYMANFQNWTVSFFKRIFDSIKKFPEIKTQSVAIRELYGIDSKYDYFDNQ LSRKPEDPKLVVKHYILLFLSDLIQGVLEAEQSMKDSQLDPEVIQSLITQANQMIYEIHD NKLEIIENYINWIRFSIQFDQELPQQYQHYTNEQTYNPAFICYLTKELLTNSSLESILTV DYKLKILIGVLYEQKKLTAFKCDIQSKLIGLIVKYLNLRDVSLKFKSANHFTTPFDIILL LIMEKAGSGLTDEKPYISAWKQAQNSFIPEVWTRIVEQCINKSQNYVLLSYLVNEIRSAY TQLDNQILIKNYDILISAIFRKEVGNIDAKVLLFDSATLLIQQLNLKKIDLHKIFDQKWK PLLKKYQKDIQQSIDYLETRKKEGEEQKLKDDQVFQAILGQENNLRIAYYNLNKVLE >CAK61983 pep:novel supercontig:GCA_000165425.1:CT868017:118528:119818:-1 gene:GSPATT00032215001 transcript:CAK61983 MDVPINNFKGVMLCNRPNENVMIVKEKPFCSRVQPQDQWGLTKKFEEAKALPIPINPVLE RHKKWLEEFKLQNQLKKHAKEEQQVREDEKFQRVRDLAKKDREVTKKMKEEYKQMNDIIK KELQDDGPKSKTVQLTAENLKKLEDRDAIKLQEAKTDNKLDPKQGDQKKRYKTKPVWAMT KEEEEEHIKQEEDELLNFVENLDYDSYINDLEVLYLITCKVNVMLKALQQRVSDIKKEGN WKEKLEQGEKKQEQREPDMYDKISQSLGKNDEARSVHSEKTQNSINQLRKRQEQIEQGKQ DWEKTTTNGDQKASLEDRIAKHVADEILNQYKNLSNIHSNSSIRKILEREAKKNLQEQSI PGPVISVIKNEKMPRDPNTLPYLHRNPAI >CAK61984 pep:novel supercontig:GCA_000165425.1:CT868017:119987:122050:-1 gene:GSPATT00032216001 transcript:CAK61984 MSSTNRAFRMKQVQSPRLFSDQPLKTLPDERTTTVFNQSRKMESLSGYDNALENRLYYIE QLAESLKPSTSIEQTRILNSLQEIAKTLKSISKTEIELNQKNKQLGHVTMESVMQKQTIQ KLKDQQNHYKMDNEGYLKQLTLSQQDQHKLCRDLLQQKKVVEEQYKKIKFLEKRIEMILE KNLYSHSEELRNTLSELIRDNEQLKRDLVKKEKENERLKDLNSKLLQQNNRLTKKLDSLK TKKVGVKDIVTENQQIINYAFNPNSLPSNLEFRLNDLDNDDCDFLLDLIEHGPEVFTNQV VTLDSIQQKKDLINLVASQFISARDFSERINQIMNEFITMISYKNIQDFQIHVSKAFRPI FGTEIVHLWIIDGMTCRAQTYDCNGVQHVALLTDGIFSQLVFEDYGIRSPSKKQELLYIT ENNEVFGKNFLLLPIMGNSSKPCGILEIQNIQENLFLDSQYYGLLINMLSKSVVQSILDF EALVKELNYRDLFYRCFNRLIQCKDREHFCSALQESAMQIFQIAQTKLLFIENNQFWISG RTYQLQAGCAYQIYLKGKSQIFTQITRQDHFDENTDISSILPVFIAPIYLNDKVAAILQF ILKRKQMIDKHPFGNQANIGFRLDTIDQDAQKFYEIVQNAFQIVFK >CAK61985 pep:novel supercontig:GCA_000165425.1:CT868017:122061:122796:1 gene:GSPATT00032217001 transcript:CAK61985 MFLFYFFHLISSQIPEGFVRLKDIDSTILQEMRYAQYHNFVGRPIKGYLLEECVLTIEAA QQLAKNQKEAIKQGYLIKVWDCYRPQKAVDDFYQWSLNDNITMQEEFYPGEQKELLFDHG YIAKKSGHSRGSTVDLTLVPYHPDKQQEYKPGDQLIPCFQDNRFKDNTIDMGTGFDCFDE KAHTYCGDLTIEQRNNRDQLLTLMQNFSNYIDEWWHFTLINEPYKNIYFDFDIKD >CAK61986 pep:novel supercontig:GCA_000165425.1:CT868017:122818:123972:-1 gene:GSPATT00032218001 transcript:CAK61986 MLQRSSYTHHLINEGQQKITLKKLVKFTHYETIFKFVFFLVLIVISLYSYDIIQFQQFLQ EKNFRRFDWRDLKWLFVGLILIHITKEINKRAVTGFVERQLDSKYIGADRQIRVQKIVKW IYDTVYYSSATLFAFFAFRDEKWFPREFGGSNFKETLYDFPNIPENSWVPIYYMVQASSH VHALLLLMIYGTKIELKYWEYLLHHSLAVSLLYFSTMYNCENIGIVVLVLHDISDIFLAL GRAYADLGKTKILVYLGFSSIQVSWLYTRVYVFPIKIYDCMVNHPQFLPYWEQTKHAYYN QIGLMVLLLGMHIYWTIFMVKVGLGIFSSGRYKNIYDNRENKLDHKKEN >CAK61987 pep:novel supercontig:GCA_000165425.1:CT868017:124099:125560:1 gene:GSPATT00032219001 transcript:CAK61987 MKFILILVFTFGLNALMLRSVNEKLTEHVIQQIREQVKNPLSQNYHSAYDRLAYYVDTFG PRLWGSENMADAVDALYKDIQTMGFDRTWKENLGEITSWRRGEESVTLFDPREIPQKLNM IGLGWTPAGSVKAEVEVVHSFEELKSKDVKGKIVCYNFEWNGYDSGVAFRYAGPVEAEKA GAIGTMIRSVASVSIASPHTGMTDYDNIKYPAVAITVEDADMLDRMRQRGQTVVVEIKTE GQQYKTTSDNVIAEIKGSKYPDEILLMGGHWDSWDVGSQTGANDDGGGVIVCLETLRVLN SLGIRPKRTLRFIAWSGEEMGQYNNGAVHYARNHGKENHIIAFESDLGSTKPYGFGITAG QQFTQLVTYLAQEYLTGIGAERIFPNDGEAVDSGILAEFTGTPMLNNRIADNKNHDYYFT YHHTAGDSMWMMNPEDMDDNVVAIASIMYLIADYDGPIPKD >CAK61988 pep:novel supercontig:GCA_000165425.1:CT868017:126023:128674:-1 gene:GSPATT00032220001 transcript:CAK61988 MNQALMSLTLTPNKMIIDPDFQLTRISNKLIIDRGNMKMWESKEKFDQSFQPRKIFGILG IFEVSGKPVLLFIEDADMIGILEGWPIYQITKVGFVDKKHQEPEHDIFEKDQLEKVKNLF INSFYFSYGIDLTNQSNYQHNRFWWNSNLCKMFPPEWQLKIIQGYVGIFSITLRNLNQIQ YCLISRRSFYKGGSIRNDTGIDTEGNVANYTETEQLVYMNNEKAKQILCRGSIPLFWQYN IFNNQIVMSQEDPKKAMNKHFQILLSNFIKIHFINLVDDGSQLPNQLDRYLTESEQMSIY KFNFKSAFLNKDLDSINKYLEKLNECVDYSSTLGHRQQNIMRINCYDCLDQTNIVMAKVA MMGVQKILQTFKVDILQEFDAQNLYVDLDIPSIHNIHPFLLYFKSKWMENGDQLRLIYKV GQQNSDLNQFYKNMVEEKQLKEVIHRALGFPISESQANENIMMLERTMKKRENEYTQLLQ KSVYVVTWNINAHQPNDKSLYSQLFQFQTPPHIVAIGFQELVKLNTLTVVGRQNRDVIEN WKQILYNCLNTKAKYVLAAQQVMVGTFILVFVLDDEKLHISNVKTEIVKYGFGQSLGNKG GVIIKLRFYDSNICFVNVHLPAGQKSNDDRMAAFDYIHNRAELNQCDWVITFGDMNFRIN LAQQSVVASISNYQNIQNQEQKEAILKHLKGIKFQVLKEYDQMHLEKLKSQYLKQFHEAD IQFLPTYKYDIGTEIYDTVKKRVPSFTDRILLKKIQKVAIHSVEWYDRIQGNFQVSDHRP VGCLIQTQVCEIDKKKKENLFRELIQLEEDEQIGHQYFPKIQNIKNFEPCTKYKQRASQL NVTLKPDRELLMKLCNPEFE >CAK61989 pep:novel supercontig:GCA_000165425.1:CT868017:128761:129176:-1 gene:GSPATT00032221001 transcript:CAK61989 MKEVSDKSKVVDGKRKRVMSVNGVMSVVTKELLREQKTPVPKVQKTVVKKQENHKKVSEK QQYQNDKRVKITQSALRELIQSHFIVVSDNEEALIQSSKYFKNSLVGNNQKASSSSDSQS DSSSSSRYK >CAK61990 pep:novel supercontig:GCA_000165425.1:CT868017:129683:130209:-1 gene:GSPATT00032222001 transcript:CAK61990 MICRPRLMKEQHDLQKLNDPTMIIQVNETDLLNWSVYLFGPEDTPFENGIFKIKVSIPQN YPISAPTLHFQTRIFHPNVHMDTGEVCLEVLSQKWEPRWTIESIIRAVRLMLQEPNPDSP LNCDAANLLRANDLIGYKSIAQYYTKKYAIDKHEYMNKVNAKDKKTQ >CAK61991 pep:novel supercontig:GCA_000165425.1:CT868017:130627:131862:-1 gene:GSPATT00032223001 transcript:CAK61991 MKRSYNIDITNDPLYEQLQSKQTTRRNKRQNDLRIQTQPSVDMERVYDALNFQEDLQLPS TATNNSLLKYIKKQEHEVQLVKNKRKQTSASRDNSVWLGDLQESVPKTDDIPDVQEFLDG RTRELMIRTSHENKKRISNIMLRLEDNQKELVSRHKSYVNELRFSSYNKEVQNQELEHYI TLGQNDNPNLNIKLISSKILQSGRNSSYQGHRQPFKQLNLQQVKEKIILDMLRKDVNCKS DPLRMQFFNLYRIIMQNRTIKSRKEKEVMDLVNKSESQRKETIYEKRQLWNLNRMRFTFR EKESNRSLLNKSLDERMNKNQIQKKNFKKFLEQLKTSQLYLNQCMKEQLEILRQKLLNQQ ILMMNDIRAFERTPLHKLLISYLKL >CAK61992 pep:novel supercontig:GCA_000165425.1:CT868017:131872:132864:1 gene:GSPATT00032224001 transcript:CAK61992 MKQNYYRQQRGSAGATSNIVPPLQIKRQQSTNKIAAQPSMGSHLREIMKQQFVPTTSTNN IQNEIIRSQETYLQEVPVELQDIKMYQILNVLGHGATAEVKMARHKMLDFVVAIKIYGSN ANIQLLEQEVLILQLLSHPNIIKLYYQLKTTQSIYLIQEYFSPMTLDTYLKGRTIKRLSE DQAKIIGKQLRNAITYLHSLNIIHRDLKLENILIDPSTLKIKLIDFGYSIQTDKELSIQC GTHQYMAPELIKQQNYDNKVDVWACGVILFRLLTGLFPFKGNNDAELNKKIIAGKLEFPS FMNGSSKTLLQGMLNVNSQQRTLIGSDFLQ >CAK61993 pep:novel supercontig:GCA_000165425.1:CT868017:132892:134943:1 gene:GSPATT00032225001 transcript:CAK61993 MFQNKIEQSKTAFFWDILLGTYSPQLPHPSFNEINKTSVDQPQYRTLKMDIPRTRSQLLQ PQMHGILEKIIVFFCQQENISYKQGMNEIFAVVAVFNESGLSWEKVYEYARKIILDNNFF KDEEFLSLQVAFQWINLLLKFHDFQLYDYLDKNLLSPELYATPWLLTLFANKMSLECTYL LWEMLYIKKDQLMIYYFVIAVLIFFREKLLSIDSSLLPQTLSGIYIDNVEILKNIYKKAV DLKLNTPSSACIPTKIFDLPKEELKILMDYYQQLDCLTLHYTESLKLETNYCRLCSNNGC VQCRLVRMPPEMKCFIYSNKHLQDLVQYVGGSIKQYKLVDKVQNHQNLIQIIKYSKFQSE QQIQQSYICFQQQQSNCQNTEEDTISTRSQNSLYSSQIIVPFKFNKLQLQQQLQKQPDDQ FDSMRSQEQSNRQQSVSYRQSSIIECNILQNIHLNNTSPVEIQPLILKKDSRANSLKQDP IKRYENKGLFKKMIVDINMLNNIKFHIFRCKLIGTQKQRILVLNQGFICLVKEDKQVFSK LQGIQQLKPKLPLKTEQQNQAIQIQYLYYMIFLKRISSKRLNSNVISFYFKQPIVNSQQN INNRFFNLFKEFHMNVDNDYINEAKRMIKTEYKITLEMLTTQEAQNCIEMGKQYYKQCQF >CAK61994 pep:novel supercontig:GCA_000165425.1:CT868017:134959:136231:-1 gene:GSPATT00032226001 transcript:CAK61994 MQVPCRTKNVNKYYKVIAEVGSGTYGKVYKAKCLKTNDFVALKKIDTKDQKIMAEGFPIT AIREIKLLKIMNHKNILRLREIIVSKASHRNNFRGSTFLVFDYYDHDFAGLHRQRNIFTL PQLKCIFKQLLEGVKYLHDSKIIHRDLKCANILMNNKGQVTLADFGLARTLSNVSNPKYT YKVVTLWYRAPELLLGQTNYNTQIDMWSLGCIFTELITGDVLFKGDIEYRQMEKIYELCG SANEQNWPNCVNLRQWEEFKPRRNYERLLTKHIKELCQIQNKQIDQVTLDLIEQLLILDP TKRLNAAQALNHEFFKQDPKPCQQNEMPQFDKEFHETLLKNDIRLQQHRSDKVQYRPQPM VSQKFQKLIKDERNQAKQQTKEQSPQRDNKSNQEVDYGDLLKFEIEEKKRVKLN >CAK61995 pep:novel supercontig:GCA_000165425.1:CT868017:136680:137820:1 gene:GSPATT00032227001 transcript:CAK61995 MSNSPLTKVPTSALSPVSALAFRKPDQVPQALSKQAIDLQNTLNKLKEAKQKKLQLSNSN IVVQSITNPVSSPQKVKDSEESEPMQIQYKSLFKDIESPLMSQPKTYNKMNDQEAEQKYE QRKKQIKEQIDRTKSNSKIIQKPKQKQKKTVENQIQKIDLSKNSNQKQKQESVPEVKTIA PQKPKEVLHDQLKVMQNKLKQDIAKLDKQIKGENQTCLFEIKNKGHDLYQQGLQMEDRKQ FKQKIHEREKKQKEMQECTFKPQISQPKTLNNQSRQVQSRIQQNESKLQLKCQSHRDGQV TSKRLSCSPSQDQINSTTMTQTMNNTNDIHSYLNRIQDKYQQIVNRANALKIKGL >CAK61996 pep:novel supercontig:GCA_000165425.1:CT868017:138092:139565:1 gene:GSPATT00032228001 transcript:CAK61996 MKVKQLCINSYKSPVQSQSWASSLFLNLYRCNSQQISRHLHRLKVNKVVKGGQSFIFGDE KVGLAVGVKGSCYNAGGDVARGARKYGFGRVVLSQNELSIKETSQVISGLIQANYKYKLL GDAAKEKLQNDENNDKYSYIQELHVQDNIFEDPYFQQLIRLAQTKLYARELANTRQEGTP QFFVNEVKTLFKDNPNVEIKILEGKQLQECQLNLFYAVGQGSIHPPALINLTYRGNKDSQ QLHALVGKGITFDTGGLHLKSYGHMEKMYLDKSGACNVLAAFKGAVDMTLKVNVTVTLGM AENAISNTSYKPSSILKSHKGLTVEINNTDAEGRLVLADCLSWTQATYNPTSILEISTLT GACKAALGENTGGLFSNNDQLSQELQEIGKELQEPFWRMPVTDEHRDMMKSQFADLCNSG QPKVCGASKAAAFLFNFVDEKTPFAHLDIAGPKFSKADKGIHPPGATGFGTQVLLKYLLN KQE >CAK61997 pep:novel supercontig:GCA_000165425.1:CT868017:139582:140224:-1 gene:GSPATT00032229001 transcript:CAK61997 MSRREQIEKDKQALLAKPWVKNHPIFTYDHIAEFYEMFILYAEPRTKKADVRDILVTAKT LGLTDKFPIIAHALDDLASSYDDAVDFETFISDLTAKLGNPFDQKGRVQLFKLIDVDGKG TLDKGDLHKISEELRFNLTEDDIEEIIHNVAGYEAEDVTEEKFEKYLGKRVQRRQVEQEI YRNK >CAK61998 pep:novel supercontig:GCA_000165425.1:CT868017:140559:141185:-1 gene:GSPATT00032230001 transcript:CAK61998 MVTFMCYHCDRTLTKPQVDKHVKTQCRKPPSLICIDCKKMFSDNHVEHVSCLTEKQLYWG PYANGKKPQQQQQNTQKAEQNNQKQKEIENSKEIEAPKTEWKGWKKSIDSYIKSNQLEEV RMNEVKENLVSQFLSIYPEYEREQAEQLFDEAIKKSNKYQVEYVGFIVKKVKQE >CAK61999 pep:novel supercontig:GCA_000165425.1:CT868017:141238:142321:1 gene:GSPATT00032231001 transcript:CAK61999 MKSFRSEEPMSTTSKNKGFAFETGNQSTTRVYKKVQQHQKQHKIPFQMNSLNTEECDNDT YRSQEAFKKLKNLEFQNDLFMMEMHHAQRRKEAESNRLKFQLSSIKSDIDFMKSQLSSPV AKAKPKQKRSQSIDSELSSDGSSSSSSDNSYVKCPIKFKQFEPIQKEQVKIDSYETIENR FRKLFQISDVNEKLNWMVKQYFNSFHSIQMDLQNNLLPLLLENTLKLLIEIIEKLNSIKL DSLQFKSYDPFSNGDKNIKRFSSDLDVEAINQEYLSQGNHTLTDYCQKFTEQPQKNQFST PQYKQQQPEQQQSARQLSNMMKSKPDSSCQTSNNQTLDKKVSISERSQKKTSN >CAK62000 pep:novel supercontig:GCA_000165425.1:CT868017:142615:143564:-1 gene:GSPATT00032232001 transcript:CAK62000 MKYDITSSSGMQLSRPEFGGEENETVSLDSLKNYSFQSWETGLSKIWGFINTYVYRNCLT KDCLGRFEGMGCEIPSSNKSHTLIVHLLIQYMPPKGNQIHVSNIQSLAKNEEVEQMLSSI GPLAEWTPKREGEVKFSCTAEYYDEFTANIAVETLNGYKFQGRELKVKLHTNISTYKILP YAIEKVNYKTSNTYSMGLPLEEFYGSLTSAKKLAIVIDLKQAFQNREELLEKVLLENPRM SEFILKVQKDVMKEFKANNTNNNDISHNSHYQQFQNHQRY >CAK62001 pep:novel supercontig:GCA_000165425.1:CT868017:143796:145115:1 gene:GSPATT00032233001 transcript:CAK62001 MNQSTNLLHDNENQFALNAIQLDNSFGLKTVSSSQLSDQINQLADELVTLVKNYLNDISY HERHFTFDERENDNRNRQQLQRRVLQKALENLNLRQNFSTSSPISKRSNVQHSLDDFNVD YQELLSKIEELTDQNDLLKDKLEIQDLKLLEQYEEVKELRIQFVDLELKNKSLLIDLDSK EQEIATLNEQLLQLKKQLDDQIKIGQMQTQKISSLEQQQMIEKQSNSYYYTLKPNSSSLN RSFKKEKKHTNSFHEVCSSPNGKKIMIVNSSTNSSQQSPRNLQISNSKTLNLDDTTYFGS VYNEKRQGYGNLKKGDKKLYMGFWKDDSFNGFGHLNNETVDFGIIDYRNLDSVGNKWISY QGEFQDGLFHGYGIWTFSDNSRFHGVFQLGKACGRGAYYTNINTIQGIWNKNIKM >CAK62002 pep:novel supercontig:GCA_000165425.1:CT868017:145136:147431:-1 gene:GSPATT00032234001 transcript:CAK62002 MTDQEKSCDVKLTSDTQKNVQKQKTGLKQINLDNLVYNKRERKQTGTQALQPMPVIQHPK VITQNKQPRKKKNTELQTPPREEVQQNQEPTQIKQLPISCEQLVLESDNSSEFDPKIKHK KSKKFRRTHKHIDLEDSSDEVQYKSRLILKRVNKIFKKSYEQKQILSQGSEQENLVKELF KNIYVKIFQDIPVTAAELKQLTQPECSTGQEGDGKQLEEEPKQDDEPLRVLTENGTDFET KRNLKLLGIENQEILSKLGEIKSYINCDIRYFNIDFLVEKVGGFDVVLMDPPWRIKGGQQ NDSSFMFTNSKFSLDYNTMSNQEIMDIKIEKLSKKGFLFLWILNTQLNIAYEMASKWGYE IVDQIIWVKLNPQGNNVYLSTGYYFMHSFEICLVGYTNKHVEYHSKISNNIIFSPVRNKS QKPIELYEIIELMMPGSKKVEIFARNHNLRHGWFSIGNQLGETFQKWLVQISCNICGISL QPGICRYKSKKIANFDICQNCYNQNIHNGDFTEKEMFFLANKADEEVLHQYHQCNGCDQN PIWGARFECVTCDNHDFCEACFDNLLITGDPAHKDHDFKVIELPTFAEGIPCHDAKCNGC FQKPILGVQFVCKQCTNFSLCQNCFFTRTQSELNGQRHKADHRFEPIYEVQIYQKKTYKC QGCELEKSGSVYKCENCFGFYFCEECYVLKKDDWKCYVATSHKNYHTFIKI >CAK62003 pep:novel supercontig:GCA_000165425.1:CT868017:147499:147795:1 gene:GSPATT00032235001 transcript:CAK62003 MDSEDYHFKFRIVILGTILMYVKVRNQLENQPFQMVNQIIKFKVLAITFGKIIEQEASDE LNLKTVGYMDDDTLYKIAYWENPWQASQS >CAK62004 pep:novel supercontig:GCA_000165425.1:CT868017:147853:148289:1 gene:GSPATT00032236001 transcript:CAK62004 MENIHQIVSKRQTFEKIEHWITENEKTEIPVKVLIGNKIDLYASNKGGVSKSEAIGMARK YGMEYFEVCSIGDTSIAPVFDYLFSTIIGQIPNPPTPLSLMGKGILIGKRLLNSSKYQLA LCDIASLYE >CAK62005 pep:novel supercontig:GCA_000165425.1:CT868017:148303:151812:1 gene:GSPATT00032237001 transcript:CAK62005 MYCGLNNLGNTCYMNSLLQTLFMTRQFREALFKWKYDETKHPKKEDCIPYQLQYLFTKMQ EGYENRAVDARGLVRSFQWDPQESFAQHDVQEFCRVLFDAIQQSDDQDFITRLYQSHSQS YVQCLKCQSESVSNEVFLDLSLTVKSDFLNVYNESLERALYYYIKPEKLDGDNKYFCQNC NDKTDALKGFRLKALSDILTIQLNRFELDMNTFERKKVNDYVSFPFVLDMNNFLKPYDQI LIEDHPDLLEERERLKIQYEQEKSQGCFSDPLRRKNRKPSPDERVQKVHFDDTPQIVQPD DKAQFKKQLLEAHQEFQQKFQSLKSNNTSSTQFTSQTIPNNPNLILTADEAYDIDSDMLF GNNLDNLGDLGEGTTANTVKALKEVQGSAKTQNYEEKEIQERIENESHNLSVKQKIQELL QNGPNVYELYSVMIHSGGALGGHYYAYVKSFENGDWYCFNDSTVSKISWKTILSMFGDKY PKYNMGSSAYFLMYRRAGEELSTQKPQIQEHLKEAIAKEIQEQKEREEKWEREKKEREME LKIRCFYKSNDVVIKTRKDTLLKDFKLQVRQELNVQEDDENTRIRFYNSNDKIPQETFTN KENETLQCLYFNDYKSVLLETKAPHEQFEEYDPHLMLIKLCVWRNDIESLDESELKPLKF FIRDDKTVDQFMDAITQRLGVPKDKQIILQKNWINGATNYSIRINQQYQLEFTLYDLKIG RNVTLYLEEKQDDANLPLNWDQKFECEKHKCRVTFNDPRKNLLPLESPDYCFELQIDNRK TMKELKEKMSDFLGIDIDSFIVKKSNRYGEEIKDLSTTIKNNQAIGRAAFCLMMGKPSTK GVYKIKVGMGGISQKYSDTIDFEYQDLFEMEINSEWYVKELKEKLCQKVEETKQITLQPN LIRLRYKVNTKLSQVYHNDQLLKNLRLFDNQTIVIEKLEKEDEIKENQLLGYVRFYNPKD FTLSFPPSEIFLNSTDTMHDTSVVISNLFNINQDAIEAIKINNIFSFTITDLVTASFDWQ LLKFNENVVSKGPWFLLKDSYFIIVRDAREVPKDMTELRQKVDEILAKSSDPAKIQQKIP MQSIKPKEKALKITVVKKQDEQQKSEEQQKQEELQKQEDEQQGNQQPKEDQLENKGGIQE NTNETSKDEQKD >CAK62006 pep:novel supercontig:GCA_000165425.1:CT868017:151844:153102:-1 gene:GSPATT00032238001 transcript:CAK62006 MDQQIDEDVYLKQNYKVVREMGRGGYGVVYKALGLNQGQIEKTKKYAIKVNFSTVSPELI FAEIGFLKLVYGKENMPQLVNLFLKDQKIYIVIEYFTYKPFITFFANFDMMQIRKYLYEL LKALNILKQNGIYHRDVKPGNFLYNPKTCKGILIDYGLSEIDRSFVAKLIEKEKDLSKNN PQSDEVQEIRRKINLYNEIQKTIDQIGQNKIGTESFMPLESILHYHVLYKIKFKQDQSYE VDMWAVGVIFLQFLTKKYNLFSNVRMINKPVNTKNFFYVNFILELASLFGSEQVRQICDL FEYDLKLPSVSATKPIKWKQIINMEGFDDNAEDLLTKLLCLHPKQRIKVEDALKHPFFQT VISQEAQADTNLQLEQEFNVIKQIEI >CAK62007 pep:novel supercontig:GCA_000165425.1:CT868017:153196:154790:-1 gene:GSPATT00032239001 transcript:CAK62007 MKLSNTPQTKLSRLDDSTSTDIHNMCYSRVNILTNEVEKLTDVLGTASQEMEVLKEHNRD LQNQLQEMEQSTQIINQEYDNLCDRLKQKSIESEEYAFQVQKLTLQKQELSQNLNKVLDE LEQTNKIIEIKDQEIQAGKQQFIAKTEELQQSLQQTYHLDQALRVVKEEKLKYIYEYQQL QKVVKEQADQINELLETKNAYELLKIDLDVLRVNYSNLLNQNIQEKKELEAQIDVLRDMH GYTIEDSEMKFANQIKQEIENYKQLYDEQYKAERMNYESIIDQLKNQVNTLQLQLQNAEL LISTHKQNLMNQTQLTMFEKNNQVSELRAQNFVLQESLRNMSRELNELQQQMESGNIIRF DDDVKKQIEYKLDLLQQIQKERDEMKKDNEQLKRENRLLKENNELLSQQMQQQSNVSQLP QSIMTSQIQSLSNAPGQKITDNEKIKYLLKAVDQLQNAIKDRDLEINKLSHLASTNAGLS QLINRPKSQIRFYHLNTEDMTQFDKQQ >CAK62008 pep:novel supercontig:GCA_000165425.1:CT868017:155232:156905:-1 gene:GSPATT00032240001 transcript:CAK62008 MKNQQLLKDLETFQKQLKLALPSIINHATKDICNSQYFESLFKESKPNNIQELYSQSLLR LDPHISRQKPQLEDDIQIINDFIHVYFESHFSTLQKMMLLQQEVKTQMELEEVQKTAIQE SKQQPQQLTQKEIDQNSALEYDTVNMRDVCLKLKQKYYKIPKQPICEDCFCGNQLHYKQI VQCQLCDKYLHVNCLDKSYDERQVDNFTCPICTLSNMDQFCEVITTLVDPCPFKKNGLTN SKLVRFKTDCEMVDIRCIRLDSPQAAQEITWPDLGEIQLNGKKVFEFVPLSLQSCLHKRK DEKLFCTIPKNEECTLIFKESIPGIDQKRKFRILSEQLYYFAIYKTKQYTSKQLIDKIID DPQNWMSLEQSRDFVILQINYSPAIKIIKLHISLLCCFSSSLIQTPVRGIYCTHVQCFSL ESYILMLDQQIPRKWRCPICKAKIFKLQIDALQYAILKSIRQFGLQEKYSCISLDFQGNI LDEFISKSIDYNLIPEIAKQINNRIPQLEKLSSSINEKEDYEIQSNSKLNYPKSIVIIQS RDFLFLNSCQWLFCYNL >CAK62009 pep:novel supercontig:GCA_000165425.1:CT868017:157007:158000:1 gene:GSPATT00032241001 transcript:CAK62009 MKITITDLKILCKFSLASGNAVIPFISFLYLGEDNVFSLKSFSLLSGSLFMAMASQAFNQ VIEWKQDKLMTRTCNRPIPIQRLTRLQGGLIGFAFYAASNVLMYNYLPTNALAINNLIFF SYLGIYTTLKTRSPMNTLIGAVIGALPVVLGAACVSNDSLYNLGMWGNFGFMFSWQINHF YGIYWKYQKDYLRAGFKMVNDSAVASRHMKMCLAINGLSWFGTASQHSQPELKFISCISG LLSLYFWNYRAIKLFEVDPSKNANYLKKASYNFLLVYYSIILMDIGIKRYQKQQNH >CAK62010 pep:novel supercontig:GCA_000165425.1:CT868017:158006:158707:-1 gene:GSPATT00032242001 transcript:CAK62010 MSKGIGAHLDKAVKQKIYQQRANKYNAEFDKLEEQGHDPDKIPAILAEEEYHKRHSNDPQ EPLPFERSDKVLPITGSTHLGFDKAHTNLSATKQMMQIQEQKMQKIKSIKQSGGKLPVDE VHKAQKEHERNMKARIQYDHINDLHQMQQSDPNAKKSSYQQHHVFTKYMQDECDHQNFDH HQMKNDLKQYHEAFVICQKTLRK >CAK62011 pep:novel supercontig:GCA_000165425.1:CT868017:158756:159279:1 gene:GSPATT00032243001 transcript:CAK62011 MTDEIVRLQTIEFEQEIEKRFSKSRQNHFYVFEREGCDASDDDSLDSLKNIGVYNLCKPL RNKLIETERTIPKSSKTLLIQLLKPKKQPQFNENKSNNTSLYKSRSQSKNATTRLRTEGS VLRESKNVTLISPKIPKSPNYIQIDNLIKSTKNQNIYKINNYSFVI >CAK62012 pep:novel supercontig:GCA_000165425.1:CT868017:159303:159979:1 gene:GSPATT00032244001 transcript:CAK62012 MSQKFKSPSIQSKVHPKVLYNTQDLNAKVVKVFSPFEYMHYIKRRQDYLNKQQELLANLQ LSQENYEKLTFSVKQIGVSDEEGRVGALSQRKYLLKSMESTRLQKSESILQSQTDRIQYQ FRAKALPISRREKTSMSQQKSKLNFLTIPEKSENHFEFKTSNIDKIIKRSRSKKRYLLSQ PQPPEVALAKQYQNQKHLSYSRQLQELQGTLDFIKLL >CAK62013 pep:novel supercontig:GCA_000165425.1:CT868017:159997:161003:-1 gene:GSPATT00032245001 transcript:CAK62013 MESWVQETQKLYFSGYPFIEYGVGGYIILVSVLLALKCLCNCQTKHPANLNVVKFKIPSS NTNSNSSSNSQELINNQTRVIQQLNEKLATMQQGFDKLAKEHVQLRTTLSDLQSQVLDIL TKKKSSSTSSQLQVKSVKSQIVQPILNLQKQEVFEGQEEQRTQKDQQQEEEQSQQKQVEQ QKEAQSEQQQQQQQQQQSQQQQQQFQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQNEENLSQRQFQEQEINQQNTIIAQPQEAVNQSQTNPFATEQPPVV RKTQNPPTPRRIPPQLRPK >CAK62014 pep:novel supercontig:GCA_000165425.1:CT868017:161029:162126:-1 gene:GSPATT00032246001 transcript:CAK62014 MTSIKRNQRKQTNRQLAHNQIQYPKLDDQNVMKKLKEKHNMIKTVSKFYNQEAFIEQQRV NQHLIQKIMQPQTSKTRSQHNQSRKEFAKINFDTKRISEENRSLANRITNLPPVIDAKKQ DMEYRKHQSEVVLLQKYHTTKLIEQYDQKTINIFNKLTSNKFSKSKFANFKFLSTINKQT KFMMVLISEMELYGVKHSSSLMNKNPPTYQCSIFSDQKCLFKSKPLEYNEFLENIIGFNC QDFTSIYMVIEKIKQNEEFQVGDVIYCESYSHMHTHHLKDNIIIRISDEIDGEIRKNISE EQVIVGKLKYKILQLCQQSQEYKLQVPPASYAIQIQHSTPQPSVKEPEEIPSQQLI >CAK62015 pep:novel supercontig:GCA_000165425.1:CT868017:162368:163346:1 gene:GSPATT00032247001 transcript:CAK62015 MKSPTRIINGLYIGDQGAAHDLEFLITNKIKKIINCAGKQIPNHWESIGIEYLTYGWIEN DYQYAIDHSRCFSFINDTLEAGEAVLVHSISALNRSVFVIVVFLMKKFKWTLQKTLQYIQ NLKHNPDIKQNVMQQLVNFEKWLLLSNLANNQDFNQYYDEVLARNTYLNSQKPQQCEPRR RKEVISKRVQWNRTIISQYIPPYYQIQFKNLSKLQSDPVNQVQIKNPQLPATPRRQTTDN WMNKKLPLNQIVDDKKLNLFNIKSERQSIRPQLRPGTAPQKRLVKNTWQSQSHRVLVKQS >CAK62016 pep:novel supercontig:GCA_000165425.1:CT868017:163379:164040:-1 gene:GSPATT00032248001 transcript:CAK62016 MNQNLENAIDLENDELIIENYNNDDQDDQLVSQLQEILISEDFEKLSQDFLREKCLVFEE TESKEYREIFKLYQSAIQLYLNEKLKVELTLEKLQSLPLDEAMNETLASLFDYDLFKDIM VETRIRVEEEERTIKKSTNKATKGYKQAPQQNIFSSGQAIQFEKKPLKNQTPQDLRPDLF FNVVPLSTPKVNRKQA >CAK62017 pep:novel supercontig:GCA_000165425.1:CT868017:164044:165354:-1 gene:GSPATT00032249001 transcript:CAK62017 MKTLNIFQIFMSNNLFNVLNFSDTEEAPEQHQKKNKKNNNKEQVIPAEQVVKENTQHHNP APKTKGVPAEPHPKDRQSGTGRGKEQRKEGGGRNNWGNYKDDLKEEKYVAKEKTSGDAHQ EGKNEQTTQPTQPPAPEKTLADYYQQRGANVDEVLKQVEVKQQAPKKLDEEALKKEKLFV VKTREDEKREQESKQKKTKQQQSTYRSELNTQAAEYLGFTNQTQEKNERRGERGERRQYE QPQQQQQQQQEGEQQQGERQNEQQEQGQYKGERQDRGEKQYRGDRQYKGDRQYKGDRQER GDRQERGDRQERGDRQERGDRQERGERQERGDRQEKGDRQDRGDRQYKGDRQYKGDRQNR DNNTRDQERGEKARRGGDRQEKKPVQRQQEQGIQLDDKDFPAL >CAK62018 pep:novel supercontig:GCA_000165425.1:CT868017:165689:166021:1 gene:GSPATT00032250001 transcript:CAK62018 MGCCQNIPQKEKETILLTQLSIKQEFFPKPLQIEPLSLNLIKGDVDYIERLGSTCADNIL GEKQLSRVDKQFSDLMQKEVTIDLRQAPSPSLLIKDRSSRNLNNKFIGML >CAK62019 pep:novel supercontig:GCA_000165425.1:CT868017:166460:166771:1 gene:GSPATT00032251001 transcript:CAK62019 MGCCQHQSYTQSQQNVDVQLKSCLKERKIEPLQISVIGDSDRMEFMDEGPQFEATSLKEI QSPNILKFPSESVIIDLRSGTSLASPIRRKSSKNLNQKFIEML >CAK62020 pep:novel supercontig:GCA_000165425.1:CT868017:167618:168846:-1 gene:GSPATT00032252001 transcript:CAK62020 MAFLKKFFGQKTDSQSSLYKTNLNEIYNKSPFQKKQISSTIQDQKKLEQFELVLEQQIID LEALKKLTWRGIPNEYRAKVWKLIVKYIPPNHMNKIINNTLRNYYEHMNEQERDENERKI IKIIQNDVLRTQPDYKLFRDPRIQEMFKRLLFIWNMRHPMSGYVQGINDVASPLVVVFLN EYVPIDFNSFDVPSNFDKLPEEILIDIEADIYWCLCKIIENIQDYFTANQPGVQEAYVKI RELIKILDYPLYQYFEDQQIDLNHFAFRWVLCLLIREFPLSLTIRLLDTYLAEGDEMANL HVYTVTNLILKCAPEIKSKNMSEAVIFLQNLPTKEWTEVQLEMLLQEAFVFQDHFEKTKG HLKLNQGNTNRSSIL >CAK62021 pep:novel supercontig:GCA_000165425.1:CT868017:169070:170809:-1 gene:GSPATT00032253001 transcript:CAK62021 MNLNENALLQLSSRVAQPRRATVFSYTKKGLNLRPDSPKTREACLALGYDPSIFKLKKLE EFGGPNVTEAVQKMRFDHYVKKMEITFKEISKKRKQITKKNKGLQNIQLEKSFHRDEGLI NDLIETYNKKMVNLKIQEEENDNSYESFDEEDPVLVLEEQLEKEIRKYKRALGVKAKEVH HQLENEKRRHLLQQDMEEREKKIAELNEKIRKEKMLKKKKKLEAAQKKFNEIKNKEKQFN LKQIDERKRQLERFEELGKKLQLDEQIKKKEIEEHEKTLRQKFETVRANKRKFDKFMEDK MTLTLSNLQVKQNECNTNKEKFTWESKLEKLTMRSSHYDEKLRKIKLQTEQKEAETIQKV VEKMFNKEQELQMLEKQKQINEQAKLKEELTKRKKAQDSLKKIQSLQLQRVTDLEKKFLD QDELTSRRKDEKDFQKYIRKEKMKIKQQDLIENYQRQERLKDLRFKQVIKDSQQLNEQKA LEKLSMELVRKAQQELQRKLKQENEHLDRSLVSVSQADNKSMGYLFLTIYTETL >CAK62022 pep:novel supercontig:GCA_000165425.1:CT868017:170818:172401:1 gene:GSPATT00032254001 transcript:CAK62022 MSESPLITPLLQQYQVELQAKLNECFENNEVKGMLQLIDQLGQDIRDEPQLQDLLNLPLL RKLMKHLSSTNPQQVRSSIIILDAIMQRKIIANRLLHQRGAERTMVDLANSLLNLQQQLR HTSLELHIDELLVGLQVKYVDKKQSDLSALKQALKQFQDNNGLFIRQLETLLSQCESIID QYYLFGSPLQELIYEYLLMIRQMEEKQQVNYLTQLLGIYERYILDVQYTIQEMQQRTYYI KIEKQMILHEISNIYKSCAQLLNMILVLPEEIGLQKRIYLMIKVLYKYVPDLRVALMGPI QLVMRNLSLFLSKDAQEYKEITIFLYQLIHSSDYDEKFKQSLLDDEDLAYLRENKYFSVK ALSYVDESQSVSSLRHLNIQAAFPCYAIIQAASIYCYSFMVDKPNSLIFWSFRTLDYDVS FGLFKLQTIEDLGIIDYVNERNGVKSLIKLQRIESHKQPIIGVTVISNPGLYRIVFDNSY SYLRSKQLFYSIHLLETK >CAK62023 pep:novel supercontig:GCA_000165425.1:CT868017:172501:173462:-1 gene:GSPATT00032255001 transcript:CAK62023 MERAANLILTAGSVLVGGGILFKSFFYTVDGGQRGLIFDRFQGVKENVYGEGMHFFIPVI QSPIVAEVRLQPKTVASHTGTKDLQTVDIAIRMLHKPIESYLPEIYKTIGLNYEEKILPS IANEVLKAVVAQYDADQLIKMREKISQEIKEGLIERAKEFKIVLDDVSITHLGFMKEYAQ AIEAKQVAQQLAERQKFIVLRDEEEKNAKVILSEGESEAARLINDAVKQYGTAQIEIKKL ETAKHIAEQLAKSPNITWVPTGNGVSNLLNLKTF >CAK62024 pep:novel supercontig:GCA_000165425.1:CT868017:173946:174363:1 gene:GSPATT00032256001 transcript:CAK62024 MQGKKVLVADDEPFAQNLLKMLYGALQVECVVVSNGKEALEAYQQSPNFVHVLMDIHMPV MDGYDVNAQINSQSAKQIRAHEKAKGLPRCKILGLSGDGDPKTKAACITAGMDDLLVKPL KKDQLSQFL >CAK62025 pep:novel supercontig:GCA_000165425.1:CT868017:175027:177090:1 gene:GSPATT00032257001 transcript:CAK62025 MNILAIGLISISILTFVIYLICYILSGSIVISVAILLLCLYKIAHLICTLITFPGSVKSL YYKFTLLNLSDYFVSRTLQNLTIIINSMNPHRYTPQTIENAIQFLNIQCRSLQEMNKRND LQNKYHVQLKLLSEELMQIPKNESLDKLQTEKKQRITSQSKQLQIFLEHYAYQMSKQSII RRFFQHEFLCTLEQRRIEILIQNNNVERHLLKNASSQETIDCLFIKHNENGPTVLFCNPN AGYYEYMFFDCDWFRFYAQMKFNIILWNYRSFGESTGSISIENCIEDGRYVAEYFRSKQK IKTLGAHGQSLGGMVAAEVAYKMKLQFLIVDRSFSSLGQVAAAMFSSSTVRFIYNCLVSW DKPNYLAYYKYVGPKLIIQDPKDEILPYESQLQTAVVRCHFTDQPIKYSFKHSYFNQEST QYLKYFYSKILSKKHVLELCTSLQYIINLAIKINQAENKQESDNNKQYIELQNNDDDLDA AKPILSQVYSLFSLIHYCGNSLLDVMNRQANPEKIALFFGSCFAFDQQDDGEFEKCFQNF EVSCTEFLESSHYYINWGQIRTHIRIIRDISRSIIGKFQHIKQSNDYSLEMTGYTNDSDL CKKNMLGELVSVSCGHNNNLSREDTYLVQKFLLKNKLR >CAK62026 pep:novel supercontig:GCA_000165425.1:CT868017:177092:179326:1 gene:GSPATT00032258001 transcript:CAK62026 MVDTPSVQFLGYVTPQLSSHYTIFEEKDNVLIYLNYFEIDNQMLILLSPCPIEYSQQSFI VFSSTIRPRYRVSQKLMVSLDQFKSIVSFNILLFGDLYLTNKTQFQPFNARNFQTFISPN PLPQINILVVPGVYSIHFDQIYEFLESKLAQKNEQIQPQPKFDILVYTTNPYRVCNIQYQ FDKTTQLIDYFFYLIDNQIYTDINEIQQQFMLEDIKGTLFDFLQGKNYPNSTPNIQSIRK NFTYNAQDTKFYILQQIKGFNQIHQNADFVKIFCQNCEDGNKCTLLSSQNQQIYFAGQSS LFKYPLSVKFLDLVSRTFSQLICQLFLITNAQNATYKINRLANLDRVEEAISCPSFNSQR NYQNLEMLGDVVIKYLTSAMLFEDSKYKTENTLSSARVRLITNKHLSSIYGNLKLNAMNF KINSKKLLNHMMMIINEHEDSKLSQKQQADIYEALCGACYIKNYQFKDLMDFFKLTKLEF QGTVPQYYKGNPLIDFSIISQDPGKDNDYPLKKQILKRPFSETVKFDQPFEEFQDYLGCK LNLIAEAMTVDQFERLEFFGDAILELLVIVNVHKECEKLYYNPRQQQLCREGKITQKLLL CPGMLHTAKISLLDSGFMGTMAIYHNFHQYARNLPKEIQNSIKKALALLRQDQFTDFRRI NQYSQQIPKIMSDLWESVAACILVEYGWEKVIEIYGEMYKPYIKYFVDNISLIYDFCQST GNLKQ >CAK62027 pep:novel supercontig:GCA_000165425.1:CT868017:179362:180617:-1 gene:GSPATT00032259001 transcript:CAK62027 MSDYQIKYQEIKGSLAILMQQNNALKQQLKDACEELQEKQLLKEEWAEEVQRVSETINQL QNENYNLQQENEQLQRNCTESKYLIEQQIDQITILKDQNEKLANQLDQCRQQNLQKELHI KQQRDDSIKQIEQLQQSQLEEIKKLQGIIDNQSGLIQSYEKLNEIEKQDTQHLKQQHQIQ FEDQLIELKNRNIIMQSKINEEKQKYDLLYEQHRDEVQKLQSVIKEQKELLSQYERIIER DKCSAQHLNNTPVHVQYEMTQPQQMKQIISQIPQQPLYSQPNLNQNIYQQPLTSPVLQDK CNQPSPTYLHTQQNYAQPMLQRPPKTFFTNNKINHQLQQITREKQNSKEYHQKRSFHFDD EEDHNNVEKEFQEEFLNKFQNVIGRMEDKLYQMQNELNFSGISEKPKKLK >CAK62028 pep:novel supercontig:GCA_000165425.1:CT868017:180788:181843:-1 gene:GSPATT00032260001 transcript:CAK62028 MLQVHEFFSVATQLAYNAAIIINQIRQSADIGQKWKGIDDPVTIADVKAQTYIVQQLRKH WPQLRIVGEESTVYDDPIDLPDTHINLYTEDIFNKTPNNAKVRTEYEIEDLCVWVDPLDG TLDFVTGEFDCVTTLIGVSYKKQALIGIISQPFVKIADKQYEFKPKIYFGHHPQKRVFYT YDTTSQIPFELLKPNYDPSNIVLCTQNKRIDEQKLQKIKSLGCSLLQMGGSGKKSLTLLE GKASLFIYLGVKMSKWDICAPDALFKAFGGRFVGLEGQIYDYNFEDKSYDNPYGHLASIY NELVDKFLQKTTGML >CAK62029 pep:novel supercontig:GCA_000165425.1:CT868017:181862:182966:1 gene:GSPATT00032261001 transcript:CAK62029 MNSDEIISVVADIGNLYTRAGFTGDDFPRWSTFSKCTETMQFGDEALGYGNGQVLDILQP FNPDLYENLLKKMFEKLGNLLLANNFQTKHDLQKVVEILFESVEIPNFFTIRNAALSTFS AGRSTALILDIGAYSTTASAVHDGYTLLKTQIQAPIGGEILNDPFRQFVVGEYNQFKKTC LARDIKQGLLTYEQIDSTQQYELPDGKFVSMQQAQLSEIADKLFNSNDNFKGIQHMLLDI INNSVNDIKQQLCSTVISTGGSSNIPGFLNKLQTTLSALAPPMCKVKLVMYPSNQNRYHS VFIGGSILASLSSFQSLWVAKNEYQESGRFSIIERKCPN >CAK62030 pep:novel supercontig:GCA_000165425.1:CT868017:183368:184444:1 gene:GSPATT00032262001 transcript:CAK62030 MAKDKQGEIDVDNIIERLLSVRGSKPGKNVNLTESEVRGLCVKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMTIDESLMCSFQILKP AEQGAQGASQQNKPPSAKFVN >CAK62031 pep:novel supercontig:GCA_000165425.1:CT868017:187255:196156:1 gene:GSPATT00032263001 transcript:CAK62031 MFWIFYILRLAQSSCSYTNFDQFQLNSASFYQEKQYVRGNPYAFGVWTQQAPLRKNFYGN KSKPYFDSTRSLDGFHILNFQSGGSIQTLFYQQPVYVTGYPILSGVLSYKIQQGLFYYEG YWVFTYFSFSYNNKYNFASYNTGDNRFYNFLITDRRLTVQTTNVKLEHGGQGLYVIGTSS YNLNLFSGRISIITTFESSITFFLNTDKVKFQQFISNCYVPPACQKPVELYFFNETYNQN NFTVANYYVDIPGLIYKLEFWMKRDSYKISSQKEILISFGGSFSTLDRFYQLVLFWKAVD QDVGLLHQLDFYELVPGEKITDNSFSDETYFLKPSKNFEKWSYFKYQFWKFGQSQIIQLS LYQSCCDTWQVVDLFDIPNSQQYSNYQAIITIFTQQKDVTVLPFEGLISNLRFTYCYNLE YVFGQQSNQNCNALCKTCTGPTQYDCASCFDEQNRYLQTDLHQCYCKSNYEEASGLICQK TFLSSISEQKELYKEFSIIEVQNKYKCSYGYFEVWKELEFLGCIICPYFGQGHNSVNCIN CILNSHNWYLNPICNIDYRTDDSKFYYNQQLDYQSQEIFIINFDLMVTEQCPGCEYLTKT KNSQSIIKNVNGLNTYYKCKQYLYPKDNSCKQCEMNCINCKDAENCIECNQGFYIKNDHC YQCPQGCLSCILDAELEQLKCLHCQQGYSLENDNCQKCGDLCQICQKKLNKNTGKEYMKC LKCNYNAYISLDGESCYFNNLDHCIYPFQFSVMSNQINTIYYDFQPQESQHIKTGCTFCS AVSLLDTGNNLYTCTPLDFYSIETGCQQSLVISGLRICALGINNLMLIGVGYCTHLIPNC LFCYQNNWESSYICFKCEDGYYTDYYTSFCKPCNPECATCIQVDSSKIVKSAILIFFLTI TNEKQLYYQVTQNPNKNEIELICTKCSDGYFKHFDKCIQKCPDNCKECKIINDQQVCISC IQFNDSHYNVYNGRCIQCPQNCNICHLRNDDEKLMINPYFNNKDLEYFSHKCIAPQSSDL YYDQDIQQFIKCDDINECQKEIIIDIHIYCNQIDLDNYLNQLNDKQKVIQRKFHILLENL DLSLYEYYDYFLYLNQNFVKIVTYQLTIADNQLCSLKKELKIQSNLQTNIFNLIELNLIL NGSGNTLQLNNHLSLSNFTHIRIQNFNLQIQTGFISMNNIISYTVQFETIKIYQDQTSIS SQECLFQIRESSEITISKLQILNINVHFLNGLFVINNQNINTKLIALDININHVKIMNTS IINYNTSQKVETKISNLHILNSQFINTTLMEQIYQTEIMEMDNFIFENSILLNAQSFLNF EQIQNVELNRFQLLESILQNSNFISSSKILLLTEFDLQKLSFVGQSTFLINQNQVILELI QINHGKIIDNIYSNKYAFILLLNSEAVYLNNIEFINNAINTDIQKDSLFKNIELSLINIQ SNTVIFDTLMIQRGIGYSEFTIKNTNTFKLMNTVITLHQKYQFQSLFNNQECNLEPFNQF MYNNLVNLQNSSFIYVSNTNITDIILYNSVLFEIQMLSQISSSYFFINCNISSNILHQVN KYEKVSLISINSNYYLNLNITGVTFSSNLLNLLKESNPQKQSLILLVEAYQAKSTISQCL IQKNMILNGQGSLMYIDADLINLEDTKYFQNSAFVYDTLKQFLRWGLAEEIFLEHLQKLY ISSSSGGNGNFQARSVILNKILLYDSHSIQGAAFVLKMIEDGYIQIKNSHFENLQAELIE SSLGGAFHIQSQSLYLRIDVISTIFLDISSRTGGSIFYIIPSTKQFKISLLNSTFENIFS IQGGLIYIEKSIHQQQVIQMNKCSLTQNLDTFYAFINSLQEVSNNELATLTQQYSLIHCY DCQFQIRDLQVTNYPPTSLFQLYGSANLKNISIQNIIFSNQLIQFSPVGQSDQIIFHNIE FYNCTQLQINEMDIPSKRNANLLNSSLNTTCNENLIDAPSSIMSFNESFSNINQIALLQT LLSQILSSTVAMILFQFTDSYQQQKIKISDITLQNNECTKCSNGLLKFENSKKISIIISQ MIISNSYCGKNGCISFISQDKRILQSQVSNGSIANCAIRIEDLQCINNQAQYGGCLFIQN QIISIIKSMIAGNTAVYGGAIFTKGINSTLISDNVVITNNSAQFGSGIYSQDNLNRNVKG IELIDNSGQNQIDEQPQQLYLQIFKDQIIKPTIVQNSQYDQKSQIIGKDGQNSIIHIPTG IPLSEYKKFEIEKNKYNYQTMQMRIYAVNSQLEIVRNLTNTYCELQINNLNSSQQQNLSL NKNKIYFNQSTFSYNLDDLIFYIPSDSNQTFEFTIKCNSIYIPIINNKNHLIEGYHQNYV LSLLIKPNDCQMGEYSQSKEDYCHQCIVDQKQYSVIVGATSCQIVDDQKIQEITQAQIFL KKGFWRRKVTTSTIDLCLNNQLNCIGGWGFGNELCQQGYLGALCEQCDYYNERGGGNYQR ESFFQCQICQNDSLQQLFNLLITILMQKILLIQDFYVYLQQLIIYPKKGFNLFTYIFNKE ALEGQSIKIILFYFQIISFTQELSPVFSDQIQQLIHFLGDPFSLLGIYKECQLINLEFSV IYLQILQNFIFLLILMTIFVCLILAKTFMSKQKNLKMELFNFIYLIYIFVVGSFLRSMIQ LIAFRDIQDVYWIYKNISYDYTNTNHLRLVLFLFLPIVICLGIVLPFLLLQKSKSTLFLS KPIPYKNFGFWFTSYSKDHKHWEFYKFLLVNGLICLIIMIDANATIKGALSYFLLIIYTK VCQDNNIYYQKQMNRFESQLNIISIIILISCTLFSIQENQGSIFKNIILIFINSIFILSG VFMILHLWKLNSFYLRKMFCKGFERLGKFNKIFIKYNIFQAHSNSSIQLRNQRFELLKAF LKQQSKTSLSIKKEQQSSQEQNQTQGI >CAK62032 pep:novel supercontig:GCA_000165425.1:CT868017:196343:196558:1 gene:GSPATT00032264001 transcript:CAK62032 MSLQEYSAYGYGFWFQFLCTHHIFQDDLNAYPKFEGFLILREINPDTSKYRVFFYQDWTN NNTNQEKYLYL >CAK62033 pep:novel supercontig:GCA_000165425.1:CT868017:196679:201495:1 gene:GSPATT00032265001 transcript:CAK62033 MYDIWNDYCQIINYQSSINLEMRETIMTQGGEIKLQLSLRDEVKQFVQFPGTISKVEQFK NLKFLLNNNLQHNHIVKFQLIQLHKISNYTYHYGLIQLESWVKIDYNYNVNLSASVFGAE ILSLSHFQDYQKLVDLQYCLIQAWQQGLRNGVYFQTLNQIIPDLFKLDGQFFGKSEFAFH TLKQDLRQWHYVSILYGFDNAQVPVSKMKMWFNDGDVYEAQYHNRTYHFSELVIRIRSGV LLEMSVNGLQITRESSKITVCLPESFDLMKSKFFLINKECHFSCLDCNGPYINQCISCDQ NSNRYLENSYCKCSLGYIENNLGNCIQFLDFYPTSIQDYNAKNQTLSQFGYFPIKEVDNQ IIYIRCPQFNEINQEKVRCIECLTQPESFAKLLKCESDYVFNSYGQYELIQREDKDIELY VLDTSTSSLTLCVGCKSFCNYNIDLNCHFHTFLQVYIQCQSQFYYKNGECDVCNFKCKTC VDFFICTSCYDQQVLNPLNNECLECPKECLECEYNSGVKCKQCIGQYSVFQGLCYPCSKY CLECIYVQNPKDGSYYNRCINCIDNSKYYLSINAVDCIQNLDLNCIYAIQFHKSWFMRNR VNTIYHMYEKGDYNSDQLINYCALCAEGYASLLSGSCIRIDTIPDNIENKQYCTQMYQME LYQQFQLNKLQYQCLVYSKDLNQIVSMSNDCENILFNCAYCFKNICLFCLPGYYTELVTG ECIACPSELHCYKCEQRSKQWKNGWKIWYAMVHYIMKRSSIYGDIFGNEPQDKLEVVCKV CTVDFEFYQDKCIKKCADNCELCIKSNGQNKCVKCKMYEGRRLSLYDGECIDCPRYCQIC KPKSNLQLQSANPYFTNSNVQSSTHSCLLLQTELSFQDYYYDTKFQQFFKLSETGADPNS ITLKFNLYCNSELFNQHLELALDKQLFLQQNVKIDELLTNNKSQSNFGRIENLNLYTYLN IQQIQEVELQFSFIQHCTIELHSYIFTTLIQNIYFVTSAKITLIGNGYTLFLNSNLEILD FESISMRNLIIQPQSTMKIHLQSLSALKVLFYEVVIQQQLEDQNPIIFNIISTNLQQLQI QNLSIQNLNLQSVTSLFYFEYTVQQQYININIDQFTIKNSNMHNSNIILLDNYFQQTTNC YINNILITNSNLYSSQFMKIKNNQYLVMQGSISQISIVLSFLSDIQPLFQTFCYKSLNIS GISLKNSTFQNFILFQTTNNQINKDYQIKLCSLENSSIINFVQDYQFQSIILQNIEVNQV FHDYKTILLNLVSNDFTSTIKMSNIILDTITIFDNIQLVSSLQSISSIIIIQSNFIEISD VRIIRSSGITELLLSSAMNLVLSNFTITLNQKYFFKSIITDEQCSQLNKYSKYTSTLIIQ KVQNIRIQNFYISNLILLDFPMIYIISIATQIIRRSESVIIDFMNVDNCIIQKSQTINQI SGILIQSEQQQDIQILNSKFNNNIYYSYYKESESDSALIFYIIAQNSKIQLINCQFNQNY VSQSENSLLYINAEQINVIDSSFFSTNYIFDSFLKHINWKYQPYTLTLEQIKNQFQVQST SGNGQLISSSVQIQKHKY >CAK62034 pep:novel supercontig:GCA_000165425.1:CT868017:201543:202951:1 gene:GSPATT00032266001 transcript:CAK62034 MKDIYSSEILYLKISTLKYLKNNHLVVVFMLLIEALISKLIYQILFMIQLLELLKPIALQ VNFNFTNVTFQDVFSNDGNIAKLNFISYNQLLYMQNCRILQTIEGYSKFRSLFSSSQKYE TTMFSVQQGYLILRDIFVQLYLNIFLQLNYQSKVSLTSIEIDYSYYYQNSIMQISFSADQ QCKIEITNLKIKNFKLYKNDPKLQKIQIKQVFGYQQKLSQDCKNQQLLKLINSTYELINL SDYIAEPQNQIESLVQITNVKNKDSISIKSSQFSNNQCQFCQSGLIFIKLIELQSENVIL SNIWLMDNQCGENGCLCIVQEFQSQDQKKMSTLTLDTMFCYRNQAKKGGCIVSQQVGLKI TNSILSENLAVEQGGSIYYDGEQIYYYRITLSCQTQHKKEVQYIQATKVCLNQTKLQTIS IIILHMDMVTLVHLTQLNLQQCTKIYNFQLQIL >CAK62035 pep:novel supercontig:GCA_000165425.1:CT868017:202955:205272:1 gene:GSPATT00032267001 transcript:CAK62035 MGGINAYLTNQSNSSQILVLKLPSGQQIQTYQYFNIQKQKYEHQHLNFRILALNAYHEQQ FHLNNSECLIESGHQQGSQETVFTNNYTNYDRKVFDQETQDYNLDDLIIYYGTENNEFRL ILQISCNSVQIPKYEDIFPFQIMDYNSKYQLILQIQTYPCQLGEYKNLTDNACYLCDVNK KQYSVTINATTCQFMDEDTIASITPAQLQLKIGYWRPYINSEIIEHCQNRIENCLGGWKQ GDETCEIGQLGACCEACDQYNIRGNGQYSLKKLYYCQKCDDLDKQIIYIILITLWNLLSI YLSTNGVEKLILELQKNKVGTRQLIINNNKQTGPIMKMFTNYLQILAIIINFNVEIPQAF KDYYNITGNSQTMLLITTDCFLAQNFQEGIIYVKIIYSIICPLFYGWIYLSVYFLLKSLK KIVYNEVVSKTCVLYLFCYFQIQVIQLLISSLSFRTLSDIKWIQGDLAYQFDTSLHQQWI PYLIVLTIIIGAIVPGIFIIYLTKYKNSLQKYYIRRQWGYLYLEYQHNAYYWEIIRIVSR ELIMIAITFYQDNIVIKCTLLLIIQLAYFFTNQIVLPFKTKNLNQLEQKSTLLCTFTLFA VLSLSITSSFSTIAILSIIITNIYLLITFIQSLIHGYLESMEELIDKIKDFIREKLKSKL RFHQLLDSWLVNKGQRRKVVIERYKKIKNYLFGISKQRSRIERQRVSQISSNRSLLFTGH FALTLLRPQQILSTNSENNTINQPLTNLINKTKSEE >CAK62036 pep:novel supercontig:GCA_000165425.1:CT868017:205544:207971:1 gene:GSPATT00032268001 transcript:CAK62036 MNFNDFQTERIITCRGELTKPDTHNFADNDSPENSLVEGFDLVWKRHSLQIFCAAIKFIV KLRKAVDQYHLRQITHRIYQIIGDKASDYLFYQSKGLVNQKWSFNQYIKSLLIYNFLVDM NIRVIKPDSKVKLLVDCTILILIVMNIFYIPMQLSFSLQENAQTVDFLFSTIPSWVFLME IVVNFNTAYYYKGMIHEDRSKIFQHYIKGDFFKDVLVVIPFLISQYNIPYLNFVLLLRMT RVNKIFEQIEEITLIREKFAAPIDVMKLMLFLVFVAHMSGCAWHYIGIQELFYNNTGWLI KYGYGEKDWITRYVASLYFGTITSFTVGFGDIVPQTLIEQIYLIIMVLITSLVFGYTISS IQNIFGQLREKTDQHRNKMAKINSYMKKNKISPMLQMKIRKYFEYFFTLDESPELLMDNL NDDLKLELRTSIFIPIMIKCKLFQKFDESLLNQLCTIVQTQKFIPGQIIFQENDQMNKAY FIIQGEVDIQINKVSIKQQSEGSLGIREFFLQKRIHYVTRATQFTEIAYIRYDDFYRIIR EKQSNQEQYCQMKDDLLYSTVQTSCEICSHSHNFNRCPVVFYSPSTYKIACDQSDSIVQP RYQNKRKQKKKRKTFEDLQLNITSAIDYMCDNETLSEGMNESILKRYGYVDFQTKQQTLE DDKLKSLKVIQKLQLNSNNNGDTPRMKRFVYKSLIKKEDKSQQKYQESQLENLHQEFIQF KKEDLNQFDQHVEFSHFHKDKNISKVLNNFQKQQHSKPREQFYSKTRLGKTKMTHAINII QNTQPNLINNSNFKTIFNNK >CAK62037 pep:novel supercontig:GCA_000165425.1:CT868017:208878:210755:1 gene:GSPATT00032269001 transcript:CAK62037 MFWIFYIIGLVQSTCSYSNFDQFQLSSDSFYQEQNYVRGNPYAFGVWTQYAPLRKNFFAH KLKPYLDSTRSLDGFHILNFQSGGSIQTLFYQQPLYVTGNPTLVILQNTQSGVLSSKIQE GLYYYEGYWVFTYFSFNYDNKYNFASYNTGDDRFQNFLVTNRKLKTQTTNAKLEHGGQGL YVIGTSSYNLNLFLGRISIITTFESSITFFINTDKVKFKQFIQQCQVPSACQNSVELYFF NETYNKLNYSVGSYQVDLPGQIYGLGFWMKRDSQKINSQRENLISFGGNFATLDRFYQLA LYWQMIDHDVILMHSLDLYYLIPIEKPTINRSYTAELYFSQPKKNFEQWSYFQYFFKKVG QTEIVQLFLFQSCCDIRDLYYIMDYPQFQQYSNYQATITLFTEQKDVKILPFEGLISNLR FNYCYSIDYDFEMYSDINCNLQCQTCTGPTKYDCTSCYDEQNRYLQTDLHYCYCKTNYEE AGGLICQFLFPNKQSCIKSSIQLNYGYFEVWKDLKFLGCIKCPYFGQGHNSVNCINCILN SHNWYLNPICDIDYRTDDSVFYYDEQLDYQSQEIFIIDFDLMIYRIVSWM >CAK62038 pep:novel supercontig:GCA_000165425.1:CT868017:210874:217780:1 gene:GSPATT00032270001 transcript:CAK62038 MNCINCKDAENCIECNQGFYIKNDHCYQCPQGCLSCILDAELEQLKCLHCQQGYSLVNDN CQKCGDLCQICQKKLNKNTGKEYMKCLKCNYNAYISLDGESCYFNNLDHCIYPFQFSTLS IDINTIYFDFQPQESQYIKTGCTYCSSVSILDIDNGLYTCTPLDIDSIKGGCQQLFMING LSICFLGIDNLMLIGTGYCSQMIPHCLFCNLNNWESNYICYLCEDGYYNDYFASFCKPCN PECATCIQVDSSKIQKHQVILFFLSISIERPQYRLATQNPNKNEAEIICTTCSDGYIKHF DKCIQKCPDNCKECKIIDDQQVCLSCIQFNDSHYHVYNGRCIQCPQNCNICHPRTGNEKL MTNPYFNNKDLEYFSHKCIAPLSSDLFYDQDIQQFIKCDNGNECQKEIVIQIQIYCNQID LENYLNQLNEEQMLIQRKFHILLENFDLSLFEYYDYFLYLNQNFVKIVTYQLTIADNQLC LLNAELKIQSHLQSYIFNLIELNVIINGSGSTIQLNNHLSFSNFTHIKIKNLDLKIQNGQ ISMDNIIRYTVEFETIKIYQDQTGISSQDYLIQIRESSEIYISNILIQDINILLQNGLFE ITNQKFDVKLVAIDLIINHIKIMNTSIINYNTSQKVETKISNLHILNSQFINIVVLEFLY QTEILRIDNFIFENSILLNTTNFFNFEQIYKVELNGFSILDSTLQNSNFISSSKILLLIE FNLQKLSFLGQSTILINQDQLSLELIQIKNGKIIDNIYSNKNALILLLNSDSVYLNNIEF INNAINIDNQKDSLVKNIELSIINIESNTVIFDTLIIQRGIGYTEFTIKNANTFKFMNSV IKLHQKYYFKSLFNNQECNIQPFNQFMYNTLMNLQNSQFIYVSNTNITDIILYDSVLFEI QMLSQISSQFVFIECNFSSNILHQVNKYEKVSLISINTNYYLSLNITRVIFQQNLLNLLK ESNPQKQSLILLVEAHQAKSKISNCLIQNNMILNGQGSLMYIDSDQIILENTKFFQNSAF VYDTIKQFLRWGLPEDIFFKRLIEIIYQFQQRRKWKFLRPINYSISIQGAAFVMKMIEDG NIQIQNSHFENLQAELIESSQGGAFHIQSQSLYLRIDVINTIFLDISSRKGGSIFYIIPS TKLFQISLLNSTFENIFSIQGGLIYIEKSIHELQVIQMNQCNLSQRLDSFYAFINSLQDL SNDEFTTLTQEYSLIHCYDCQFKTIDLYVTNYLPIPLFQLYGSANLKNISIQNIIYSNQL MLFSPVRQSDEIILQNVEFYNCTQIQISEDDIPQEVYAKSLNNSVITSCKENLIDAPLII ISFNESYYNINQITFLQTLLSQIQQLTVTMISFQFTEQYQYQKIQLSDIILQNNKCSKCS NGLLSFDIKNKPSLIIQKMAISNSYCGKNGCISFISQDNRILQSYDFNSSLANSAIRIQY LQCINNQAQYGGCLFIQNQVISIIESLISGNNAIYGGAIFTKGINLTLISENLVITNNSA QFGSGIYSEGNLNKKINGMKLIDNSGLNQINEQPQQLYLQIFQGQIIQPKIVKNLKNGQI SQIIGQDGQNSIIHIPTGMPLSEYKKFEIEKNSYNQKTMQMRLYAVNSQLEIVRNLTNTY CELQINNVNSRQEQNLSLNKNKIIFNQSTFSYNLDDLIFYIPSDSNQTFELTIKCNSIYI PIINNINHIIEGFHQNYVLSLILKPNECQMGEYRQSKEDYCHQCIVDQKQYSVIVGATSC QTADDQKIQEITQAQIFLKKGYWRMKVTTSTIDLCLNNQQNCIGGWGVGNDLCQQGYIGA LCEQCDYYNDRGGGNYQREGYYECQICQNDTKQQLFNLLITILIFACLLLIINYLSNKRL QFIRLHINQFNESFRQIIFKQKEGLVGQSIKIVLFYFQIISLTQELSYVYSNQVQQLIHF LGDPFTLLIIFKECQLLNQAVSVIYLQILQNFIFLLILMTIYVCFCFAKTFISKQRITKM ELFNLIYLIYIFFFGSFIRSMIQLIAFRDIQDVYWIYKNISYDYTNTNHLKLVFFLFLPI VICLGIVLPYLLLRKSNSVLFLSKRIPFKDFGFQFSSYSNKHQHWEFYKFLLINGLICLI IMIDANATIKGALSYFLLIIYTKLSSNNNIYYHKQMNRFECQLNIICFIILISCTLFSIQ ENQDSTFKNIILIFINSIFILSGVFMILHLWKLNSSYISRMFCKGFERLGQFNQIFTNYN IFQAHSNGSIKLRNKRFEMLIAFLKQKSKKQLLIKKEYQSTQEQSEKIQ >CAK62039 pep:novel supercontig:GCA_000165425.1:CT868017:217846:226903:1 gene:GSPATT00032271001 transcript:CAK62039 MIDFYIWTASIILIGLIRPTTQCDSKTLQGCLLGETTETTKISLLDYSAYGYGFWFQYLA MSFILSDDLAKFPNLEGFLLIREINPDTSKYRVFLYQDWKNNNANQEKYLFLQYESNSQM IQLAKFIFDSFDFQGKWIHIFVSYQFPYQRQIIMYDILNDNCQIINYQSSTNLELKETII TQGGEFKLQLNQNDESKQFAQYPGRISKAEYNFDKNNVVFNTVKEFKNFIEQKFIPQPFC QTSTYDTSLISNYKYKFGLIQLESWVRIDYVFNVNFSASLFGVEVLSDIDLEGYQKLVDL QYCLIQAWKSDLANGVYFQSLNQIDPEFHYYEDQFLGVSDVVFHTLKQDLKQWHYVSILY GFDNSYVPVSNLKMWFLDGEVYDVQYHNKSFLFQESRIKMRSAFLINLAVNGIQILAESS KITVCLPESFDLMKSKFFLINKECHFSCLDCNGPYLNQCINCDQSSNRYLENSYCKCTLG YIENNLGNCIQFLDFYPTSIQDYNAKNQTLSQFGYFPIKEVDNQIIYIRCPQFNEIQLEK ISCIECLTQPESFAKLLKCESDYVFNSYGQYELIQREDKDIEIYFLDTSTSSLTLCIGCK SFCNQNIDSNCHFNSLLQVYIQCQSQFYYENGECVVCNSNCKTCEDFSICTSCYDKMTLN PNNNECLECPKDCLECEYNSVFQGQCYPCSKYCLECIYVQNPKDGQYYNRCNNCIDNSKY YLSINAVDCIQNLDLNCIYAIQFHKSWFMRDRVNTIFYMYESGDFNSNQLINYCALCAEG YASLLSGQCVRIDSIPDNIQNKQYCIQMYQMEVYQSLQLNQLQYLCMVYTKEFKQIVSVS NGCENLLFQCAYCFNNICLQCYPGYYSELVSGQCVACPSEPNCYRCEQRSKQWKNGWKIW YAMVHYLLKRRSFQGDVFGNEPYDKLEVVCKTCTVDFEFYQDKCIKKCADNCELCIKSNG QNKCVKCKMYEGRRLSLYDGECIDCPRYCQICKPKTNSQLLSANPYFSNSDIKSSTHTCL MLQTGLSFQDYYYDTKFQQFFKLSETGTDPNSITLKFNLYCSSELFNQHLDLAQDKQHFL QQNVKIDELITNNQSQSNFGRIENLNLYTYLSIQQIQEVELQFSFIQDCSIKLHSYIFTT LIQNIYFVTSAKIKLIGNGYTLFLNSNLEVLNFESISIQNLIIQLQSTVNIHLQSLSALK VQFNSVVIQQYQEDLNPIAFNIVSTNLQELWIQDLSLQNLNLQSVASFFYFEYTVQQQYI DINIDQFTIKNSMIHNSNIILLDNYFQKTTNCQFNNILIVNSDLNLSQFMKIQNNQQLVM QGSISQISIVSSFLQDIQPLFQTFCFKSLNISGISLTNSTFQNFILFQTTNEQINKDYLI KFCNLKNSSIINFVQDYQFQSIIFQNIEVNQVYHDDKTILINLVSNDFTSTIKMSNIILD TITILDNIQLVQNLQSIMSIIIIQSNFIEISDIKIIRSTGITEFLLTSAMNLVLSNFTIT LNPKYFYKSIITDEQCLQLNTYSKYTTTLIVQKAQNIRIQNFYISNLILLDFPMIYIISI ATQIIKRSESVVIDSMNLDNCIIQKSQTINQISGILIQSEQQQDIQILNSKFNNNIYYSY YKESESDSALIFYIIAQNSKILLINCQFKQNYVSQSENSLLYINAEQINVIDSSFFSTNY IFDSFLKHINWKYQPYTLTLEQIKNQFQVQSASGNGQLISSSVQFRNINIEGSFSTSAGC FKITLENEGQLLVKNSVFKNIYTKISEEQSFGGCLYVIDRSINIQIDILDSIYDTIIGTA EGGVIYLKPIALQVNFNFTNVTFQDVFSNDGNIAKLNFLSYNQLLYMQNCRILQTIEGYS KFRSLFSSFQKYETTMISIQQGYLILRDIFVQLYLNIFLQLNYQSRISLTSIEIDYSYYY QNSIMQISFSADQQCKIEITGLKIKNFKWYKDDPKLVAIQIRQVIDEQQKLSQDCRNEQI LGLKNQTYELINLSDFIAEPQNQIQNLVQITNVKNKDSISIKSSQFSNNQCLLCLSGLIF VKLIEFQSDNIIISNIWLMDNQCGENGCLCIVQEFLSQDQKKMSTLTLDTMFCYRNQAKK GGCIVSQQVGLKIKNSIFSENLAVEQGGSIYYDGEVTNLLLQNNLIVSNTAQEGGAIYLG NQSLPELNKTLNYFNNNTAYGYGNISSSHSTQLTVMYKNLQFSTLNSLNNGRINAYLTNQ SNSSQILVLKLPSGQQIQTYQYFNIQKQKYEHQHLKFRILALNAYHEQQFHLNNSECLIE SGHQQGSQETVFTNNYTNYNRKVFDQETQDYNLDDLIIYYGTENDQSKLILQISCNSVQI PKYEDTFPHQIMDYNSKYQLILYIQTYPCQIGEYKNLTDNACYLCDINKKQYSVTINATT CQFMDEDTIASITPAQLQLKSGYWRPYINSEIIEYCQNKIENCLGGWKQGDETCEIGQLG ACCEACDQYNIRGNGQYSLKKLYQCQKCDDLDKQIIYIILITLWNLLSIYLSTNGVEKLI LELQKNKVGTRQLIINNNKQTGPIMKMFTNYLQILAIIINFNVEIPQAFKDYYNITGNSQ TMLLVTTDCFLAQNFQEGLIYVKIIYSIICPLFYGWIYLSIYFLLKSLKKIVYNEVIPKT CVLYLFCYFQIQVIQLLISSLSFRTLSDIKWIQGDLAYQFDTSLHQQWIPYLIVLAIIIG ATVPGIFMIYLAKYRNSLQKYDVRRLLGYLYLEYQHNAYYWEIIRIVSRELIMIAITFYQ DNMIIKCTLLFIVLLAYYFINLMVLPFQTKSLNQLEQMSTLLCTFTLFEVLSLSLTSSFS TIAILFIIITNIYLSITFIQSLIHGYLQSMEELIDKIKDIIRENLKSKFKDNRLLDSWLV NKGQRRKLIIERFKKIKKHLSVVRSKLSSIERQKFSQNPLSSGLPDPRDFTLTLVRQQQI LFTSSDYNTINDPLTNLINKKKSEE >CAK62040 pep:novel supercontig:GCA_000165425.1:CT868017:227562:227774:1 gene:GSPATT00032272001 transcript:CAK62040 MNTFYIHMHYNLQLLQKYVNPFISQYQFSFNLRLYQVTLHTTSQAVEDGYEFLCNDNWQL CSQHRIFVVI >CAK62041 pep:novel supercontig:GCA_000165425.1:CT868017:228154:228531:-1 gene:GSPATT00032273001 transcript:CAK62041 MSSGHKFNKSNKLRAQITFQNNLYYEGEVITHQEVHSIEGQGTLFTDKSKFQIIFEGSWR NNSFHGTTTSIRLKLLMIGILIGRQLKVQITFQTQAIFNMNDIIEGKVDFYQKEDVIADK IGHLK >CAK62042 pep:novel supercontig:GCA_000165425.1:CT868017:229085:230185:-1 gene:GSPATT00032274001 transcript:CAK62042 MKQDNNQEKEADKKFKKGKEALTTGVFQWKKDYNLGAMQFEEAAKLYKECKNSQKEKEAL KLAIECNEKLNDTWAVGRNYEALLNSLIDNSSNDYKELIEWTQKAGIYFKVSDSGMKSIQ VLNRVAKYLIKRQQYEMAEKVILEALAEAEDQQAPSTRTDIISSYVEILIETQQYHKVAD LYMKEITKFREEHMKYPLSQYALIVIIMYILQDEIIRANQELEQLIIQVYNFHKSSEFRV VDQVLNSYEKGDQNQFNEAITKSCVTSIYPPNIVRALRKVKVRVINIHQKQNIQQQELEQ GQEEVDYEQELAKKIL >CAK62043 pep:novel supercontig:GCA_000165425.1:CT868017:230674:231228:1 gene:GSPATT00032275001 transcript:CAK62043 MRSRKLYDGDVGYELRGQSSQKFRRKGQVKKQNEIEIEIPNGDLTKGRIFFNHNCAGCHD LDQDLYLGPSLRTVYLRRNGSKKFSNYGKDLTAYKFYWTRKKLWEFLENPEKMFPDTNMQ LDGVKDPFMLASVIDYLQYLRVFTCDIRGLKQII >CAK62044 pep:novel supercontig:GCA_000165425.1:CT868017:231464:231811:-1 gene:GSPATT00032276001 transcript:CAK62044 MSKQIQKKQLHILSKSKLARVKERNEEESIFKSFIQLHIDQRENYHIDIRDQQLKDKKEL QRLCQSIKKNDVSTRFHKQRQSQSLQQSPQIKVWFQTPLLQKVHRKFQKTPFPKQ >CAK62045 pep:novel supercontig:GCA_000165425.1:CT868017:231841:232455:1 gene:GSPATT00032277001 transcript:CAK62045 MRTFVDFMEKYKVVCSNVILENTLTSGTLYLGDFYAALDKKWQQKHQLVAVLTVAKDLNI EPAQGIAHKVIDAIDDPSYDLSQHFDECYEFMSIWLKRGPILVHCAAGVSRSAAIVIYFI MRFFKWSYIKSFQHVKAKRSVICPNEGFIRQLKKHEKLLGLVVFRESTLEEEQKRQTQTK PSRGVIRGRSVVIRTQKQPLTRFK >CAK62046 pep:novel supercontig:GCA_000165425.1:CT868017:232886:234601:1 gene:GSPATT00032278001 transcript:CAK62046 MINENRRQEIMSRLKQEREQRKKLIEGGNVETVYIDKSLIETPSIGDEEYSETQSQITDQ SKNSQFSRQTPDILKVQQEKLQMMKQNLFKNKETKKPTYFDKLAQPKTIVQEERENQKLE QEKKMLAELSFRPELSDQTNLLAQIKYSKFEGIPIHERLQMLEQERQAHIDELKLMINQQ EEEQPLNKAPRPYCDQPPLYERYQQVMQDKKALLDYLKAKQLREEGDLFKPRINIQSQIL VEKNRVDGLNVVDRLIQDGIRKQNIVYSDDLPYDFQPQTNQKINDQIVKGDFNQRQEEFN RKLLEKNLELESKAYQDYSFRPKLNKQSELIANRKISQDSLFDRLYSEYQTRQSYIEEKK QEEINKSNFNPELNPKSMEMAVERTLQDLIKNEYGEYRKQLLKEKYDDSDKECSFYPSVS TSMRYSQMHSQYSFDDCHEKIKQYQTNREQRRSQLRKQQEDEQLKQCTFKPQINKKVIDN TKQIEVYGLESFMHKVDMTNKMKDQQQLVEQKLFKKHEQYDYNRHQERTNCQPFKLSSNQ TSKNSYKK >CAK62047 pep:novel supercontig:GCA_000165425.1:CT868017:235298:236405:1 gene:GSPATT00032279001 transcript:CAK62047 MGQTCNGCQWQHHTPESIQEINIKKKPKKKVEKQDKSEKTEKSDHQQEQKQVEKTDQSIK KQRKISQGDAAIKIQRNWKKHKKQKEKKKEKAGANMKQQYFKEEIANAQPPPDPNRVKNP PYKYTIIKHRFQSGAIYDGEWKDKKRDGFGIQQWPDGAKYEGQWVDNKACGHGKFYHADG DIFEGEWKDDKANGWGVYKHSNGATYEGEWKDDLQHGRGTETWQDKSKYCGDYIDGKKHG KGRYDWADGSYYDGEWKDNKINGFGTYKWADGRGYTGEWLDNNMNGKGVYQWQDGRKYEG SYLNDKKHGFGIYYWADGRRYEGGWKNGKQHGNAKYYLNDGTIKDGLWEDGKRIKWLDDA >CAK62048 pep:novel supercontig:GCA_000165425.1:CT868017:237347:238190:1 gene:GSPATT00032280001 transcript:CAK62048 MIVVVASNSQFVNFATIVRRVLIIINYCKCVWIKNKSSTSKNIIIRLVKRGILIFLIKIL SSVAFESYTAIKVENSMEFYTVYQAAIMAVLFVNSILFFLLIYFGWRVYGEIREKSTFIQ TSPDGFRMMEQLSAIQQQEKSLKKDEIKNPTPDQPELEDKGSINISINENMSPAMLKLKS SLSPSPGKYRSGKILDFLEKKISHAKHSQKELSNGFSREFNKKFNTDQCTIDLIIKVQIS LDFGDQEDVSSARNPIDVEIQKHNFSKDVKFIS >CAK62049 pep:novel supercontig:GCA_000165425.1:CT868017:238591:239368:1 gene:GSPATT00032281001 transcript:CAK62049 MTELIDKFRVPFLTSLANYKLRQSNDIWINFRKLLQLFEGCEPWIVNVNKWNQYKVWSLL EKPQKLIRLVQNFRVNIQKMHPKNIEIAIDNLCSGDNQYSQYLAALVQYIIEKYEILINE EQIANYLDDSDLIESRMKTQESGLDFQEQPSMDLSCSNSKPNSAMRKSSCTQRSNTTESR NNWNQTKNTIENLQKHKLHLQQQIEQQKEILRELQYQHNKTTQQIQKLSSNSKIDKILNN FNKSRRFVS >CAK62050 pep:novel supercontig:GCA_000165425.1:CT868017:239538:239944:1 gene:GSPATT00032282001 transcript:CAK62050 MSLQNHIFQEAPPKKPLSAYFLFLGDERHEIMKNNPGSKISEITQIAARMWAELDEQRKI EYQKRTGVLQKEYEVKKKEYEVKYGEIKRKSKKKQRQIDHQEHEKSVQKKIKK >CAK62051 pep:novel supercontig:GCA_000165425.1:CT868017:239960:241513:-1 gene:GSPATT00032283001 transcript:CAK62051 MRIQASSFRLPALKLQPKDSQFSLSYGDYNQSSSSMIKYQSSPQRYYGPSPIKKRVRGMT IAIYNSQEKLKTAEFRYGSDLITQTQPANQSSSVINTVSKYRSKLKQLMYQLPKEDGSLS RDPRIYSFKEPAASIRLDRVTNDRDDQQLKDKITQLMIKVKLLLSSQQFYQETNQQETFQ VTMVCLKDEYDCLYQDFNDYYVQNKKLDNQVLYLYNHMEAIKTLLKPKKPVMARKESAIL FDQIQQEDQIPETTEKIEETPQQSQVIEVPPLQPHETPKIAQEQSPKESVFTPLTSIQLN EDQPPSDLEKEETNNNSPSSPKRKRIKNKRNPQKSQKSIILQPSVRDLSQLELNPISSLQ QQLSSRSKTLLEEIPLKSVRSTKSEQLPEMEKPEVTPEFEKTPLKRQISNSGSLNKKRNT ILENKQGGRSQKGATAISEQDDSQSDEGEDENQQEEKVVEIKEEETHEENYTINDDYNNL LDKLIESENIYRYPFYQTWEYHIDQIIQGTIFGEFDL >CAK62052 pep:novel supercontig:GCA_000165425.1:CT868017:241556:242197:1 gene:GSPATT00032284001 transcript:CAK62052 MQQKKKDSPLVQLSKTMSYFLRHGALKEGIPIRQDGFVLVQDLLKQPSIVKLKADLQSIR NVVDNNDKKRFELKEIDGQLYIRCVQGHTIEINEEELLQKIVDPQQFPVIVHGTYFQAWD LIKNTGLNKMARQHIHFAVGLPGDDAVISGMRNTCEVIIEVDMEKAMKAGIQFYVSKNGV VLSSGVDGVIAPQFFKRVTNRKGQDINFQQQQM >CAK62053 pep:novel supercontig:GCA_000165425.1:CT868017:242785:244738:1 gene:GSPATT00032285001 transcript:CAK62053 MNQPIQLNCQNPKKGYLIQRGKSKASENPKERQSFAEYVNSLSESQQQLHTHNKSPRKES VPKRHPTLPKLELPSPDVLNKRFVVNIGKCPVTNFQSPIYRPSLVNAALILSNQNQFHNI QSPRHNSFETRKSLIPQQRLLPIHRTSVNNISGRSVLDDDMSLFYYKIGGAETINQLNEE FHRYSIQHEMISKIDDQERYKQRFKTFLEYIMGKPIFYNLEQLKEKHKDLRLKNRDFNQF KNYLITCFLKVNKAQLDYVFEFSSMIEQYRYCIINSNTPFAIIYNQRTEKLNTKDVPDPI LQLAQSSYQKIFQDNSLAPYFIGIEIKQQARKLGKILSQLMAWEQTNDQILVEMRQSHKG MHLTNVHFTLFKQHLVESMRQLNIQEKQIELVTTRMDGYRSYIINQDSLLDYYGEQSSLL QVQQKKYVQLLKRDPRMQNYPATAMERHSQFILRYLTHQHIPTLTKNDLWTIHSKYNIAT EWIDSFRDNFFLLIKSLNLNALIIQDYEDIWYKLRNNLTQYHSIESKIGKMKVNLVIAKV QIKLQDNENYTEYFKNANYQMNLHLHRIIAFILTDQHIYNSNDLKVIHQSVKIRESTFNL FIQFLKNAMQEEGISSSLIAQAEEICNYYKKSVCT >CAK62054 pep:novel supercontig:GCA_000165425.1:CT868017:245768:247282:1 gene:GSPATT00032286001 transcript:CAK62054 MSDSENEQQKKVVLPQIIMNVYDTQYQVVRYVGQEILKWKLISEPESWDWDVYWTDSGVH AEMLSKMKQHQKVNHFPGMYILARKNNLGKQLTKMRRRFPKEFKFFPFTWMLPSDSQDLR EYMKGKGRDEILIVKPEASCQGRGIFLTKSLDFISPTEKYVVQKYLGEPFLIDGLKFDFR IYVLVAGCDPLRIFIYTEGLARFATEKYLPPHPSNFDDLCMHLTNYAINKNNENFVFNED VQRMDIGHKRSMSSVFEKLKQEGRDIDQLWMDIKQIIIKTLCSAQPFLKHQYTTSQPNNL MNNMCFEILGFDIILDNSFTPILLEINHSPSFTTDSPLDQYIKANLIEDSLVLMNVNREE KYRLIQEKHQEMQKRILTGRNIKMTQEDRKAIVEQYQLIRDAYEDEHLGGFEKIYPCDEE YETDYYTQFLNYASDIFEESTDLIASKQKNNWKSIKINKT >CAK62055 pep:novel supercontig:GCA_000165425.1:CT868017:247423:247892:-1 gene:GSPATT00032287001 transcript:CAK62055 MLNFLSSSFISIEQHLNYWNFLRSEAQPLRIIHFAIIFTRNFLIQYYQYIIILFKTISSE DPTNKELNNFVQTLLKQMSERFEEMQGTIVSRIDDMGKRIDDIEKSVTELMNDLGFSDEE NEKQRRIKIFIKYIFIFIEVIPSISS >CAK62056 pep:novel supercontig:GCA_000165425.1:CT868017:248401:250142:1 gene:GSPATT00032288001 transcript:CAK62056 MLTEFTSFFDNFDVLYKIKHIWSKFQQPVYENDSISFESACHKICRKKKKLKPISIQLGQ EQMYLFKDKSPHGMLQLTVVIMSIYKTDYGKAIRLKRNGQYIDLITSDPNVLKQLLQQKC LQTTFHDDFTVSKMIGKGSFAKVYLAAKKSTGVQFAIKAFNKEFMQEQFRGKESLENEIR VMRRLNQENLVHLHEAYETQNSIYFVLDLLEGGELFARAKISPYSTECLQKLMYNFLKAL LHIHSRKCIHRDLKPENLLLRTQEYPTDIVIADLGLATFLNEQIIFKRCGTPGFVAPEIL IYKQDDPFYDDKCDIFSAGVIFYILLTGKQPFQGSDYKTILRSNKNCEINFNIKQIQQSS QQLQELLRKMLQQNPKDRPNAEACLQHPYFKEIFDKNDLIEIHENLNEQNQRLLLKKGSF ESQVGSMELITRSPVLNGRIDSVGSLSYGSVLGSSTRLEKPQLHQQQYQSKFSQFCQNMK NVQQDQNGSPLASPLNKRKDSQDLHKFALKNSYQQKQLSKDDEDVNEEQTHLESSIQKLN SQSSKIGLLKKSASFQGPKTIQE >CAK62057 pep:novel supercontig:GCA_000165425.1:CT868017:250529:251547:-1 gene:GSPATT00032289001 transcript:CAK62057 MNNVGQFIQIQSLKMCCYNYLKKRSKTSLKMVKQQATRYSRNVWNFTIRFYIKKEEPVKL EGNSLPIKTDSIKPVERVNSEQQQEQSQLTKNQLRNLQRRQKKKQQNLQKQQEIENLDEN LKIQNKENAEEIRKHQIKQENVIDKNNNNLFQIDKKSLFKQIQKNDFSVKIADLGNACWT HHQFSTLIQTRQYRSPEVLIGTRYNATADLWSFACMLFELLTGDFLFEPRKGAKFLKNDD HLAQIQELTGKFPLQFSQKGLKSKRYFNKEGNLQRIPILNCWSLTDVLIEKYKYIPKEAK ELASFLGPMLNPYPEMRATASQSLIHSWLK >CAK62058 pep:novel supercontig:GCA_000165425.1:CT868017:251566:251703:-1 gene:GSPATT00032290001 transcript:CAK62058 MIVTLCNCQILLFIEDHMDVIFVWFLKSWKLICQKQSRDLKQKKY >CAK62059 pep:novel supercontig:GCA_000165425.1:CT868017:251710:252135:-1 gene:GSPATT00032291001 transcript:CAK62059 MNNENMYSSDLFQSTVMSKSYYQVLQDSNLIESQVLQPVCQMATSQYMTPSMYKPKKMEL FHQLDDEHDSEDEGIEDYICQLCGYARILNLTHMLLLRYRNPRRIIWRQLMMKWKYYKKW RIMLQIKNGLKNQNNINPIRD >CAK62060 pep:novel supercontig:GCA_000165425.1:CT868017:252640:253077:-1 gene:GSPATT00032292001 transcript:CAK62060 MCDNETLSEGMNESILKRYGYVDFQTKQQTLEDDKLKSLKVIQKLQLNSNSNGDTPRMKR FVYKSLIKKEDKSQQKYQESQLENLHQEFIQFKKRRIQISLTNTQNFLTFIKIKILVKFS IISKNNSIANLENNFIVKLDWERLK >CAK62061 pep:novel supercontig:GCA_000165425.1:CT868017:253390:255130:-1 gene:GSPATT00032293001 transcript:CAK62061 MDEDTIVSITPAQLQLKSGYWRPYINSEILEYCQNKIENCLGGWRQGDETCEIGQLGACC EACDQYNIRGNGQYSLKKLYQCQKCDDLDKQIIYIILIALWNLLSIYLSTNGVEKLILEL QKNKVGSRQLIINNNKQTGPLMKMLTNYLQILAIIINFNVEIPQVFKDYYNITGNSQTML LVTTDCFLAQNFQEGLIYVKIIYSIICPLFYGWIYLSIYFLLKSLKKIVYNEVIPKTCVL YLFCYYQIQVIQLLISSLSFRTLSGIKWIQGDLAYQFNTSLHQQWIPYLILLIIIIGATV PGIFIIYLTKYKNSLQKYDVRRQWGYLYLEYQHKAYYWEIIRIVSRELIMIAITFYQDNM VIKCTLLFIIKFAYLFANQIVLPFKTKTLNQLEQNSTFLCIFTLFAVLSLSLTSSFSTIV ILSIIITNIYLLITFIQSLINGYLQSMEELIDKIKDFMREKLKSKLKQYPSLDSWLVNKG QRRKIMLERFKKIKKHLSVVRSKLSSIERQKFSQNPSSSGLSDPREFKLTLVRQQQILFT RSESNDINDPFTNLISKMKSEE >CAK62062 pep:novel supercontig:GCA_000165425.1:CT868017:255309:262450:-1 gene:GSPATT00032294001 transcript:CAK62062 MIDLYIWIARIILIGLIRPSIECDSTTLQGCLLGDTTETTRISLLDYSAYGYGFWFQYLP WNIFLIDDLVKLPNPEGFLLIREINPDTSKYRVFLYQDLKNKNANQEQYLFLQYESNSQM IQLAKFIFDSFDFQGKWIHIFVSYQFPYQRQIIMYDILNDNCQIINYQSSTNLELKETII TQGGEFKLQLNQNDESKQFAQYPGRISKAEYNFDKNNVVFNTVQEFKNFIEEKFTPKPFC QTSTYDTFLISNYKYQFGLIQLESWVKIDYILNINISTSVFGIEIFFELDTKIYYKLVDL QYCLLQAWTSDLANGVYFQTLNQITPELQLYQGQFLDKSEIAFHNLKQDLRQWHYVSILY GFDQTQVPVQNLKMWFNDGDVYEAQYHNRTYPFDGSQLQIRSGIMIDGSINGIFVTSESS KITVCLPEPFVLLKKCHFSCQDCNGPYLNQCINCNQNSNRYLENSYCKCSLGYIESNIEF LDFYPTSIQDYNAKNQTVSQFGYFPIKEVDNEVIYILCPQFNEIQLEKISCIECLTQPET FSKLLKCESDSIFNSYGQYELIQREDKDVEIYFLDTSTSSLTLCVGCKSFCNQNIDSNCH FQTLLQVYIQCQSQFYYKNGECVVCHSNCKTCEDFSVCTSCYDLLTLNPLNNECLECPKD CLECEYLSGVQCIKCLEQYSVFEGQCYPCSKYCLECIYVKNPKDGFYYNRCINCIDNQKY YLSINAVDCIQNLDPNCIYAIQFHKSWYRRNKEILILNIILIIVLCVQKVMLRYFQDNVL EQIQFPDNIQNKQYCTQMYQMEVYESLQLNQLQYQCMVYKKELKLIASISNGCESIFLNC AYCFYNICLQCYPGYYAELASGQCIACLSELNCYKCEQRSKQWKNGWKIRYAIVHYMMKR GTFYNDIFGNEPYDKLEVVCKTCTVDFEFYQDKCIKKCPENCELCIKRNGQNNCVKCKMY EGRRLSLYDGECIDCPRYCQICKPKTNSQLLSANPYFSNNNVQSTTHTCLMLQSQLSLQD YYYDTKFQQFFKQSVTGTDPNSITLKFNLYCNLELFNQHLELAFDKQHFRQQNVKIDELI TNNKSQSNFGRIENLNLYTFLSVQQIQEVELEFRFIQDCSIEFHSYIFTTLIQNIYFVTS AKIKLLGNGNTLFLNSNLEVLNFESISIQNLIIQLQSTVNIHLQSLSALKVLFNSVVIQQ YQEDLNPIAFTIISTNLQQLEIQNLSLQNINLQTVAGLFYFEYSVQQQYININIDQFTIQ NSKMHNSNIILLDNYFQKTTNCYINNILIINSNLYSSSFMKIKNNQYLVMQGSISQISIV FSLLSNIQPLFQTFCYKSLIISRISLTNSTFQNFILFQTTNEQINKDYQIKFCSLKNSSI INFVQDYQFQSIIFENIEVNQIQHDDKTILINLVSNDLTSTVKMSNIILDTITLYDNIQL VSNLQSISSIILIQSNIIEISDIKIIRSIGITEFLLSSAINLVLSNFTISLNSKYFFKSI IADEQCSQLNPYSKYTSTLIIQKAQNIKIQNFYVSNLILLDFPMIYIISIATQIIRRSES IVIDSMNLDNCIIQKRQTINQISGILIQSEQQQDIQILNSKFNNNIYYSYYKESESDSAL IFYIIAQNSKIQLINCQFNQNYVSQSENSLLYINAEQVNLIDSSFASNNQIGDSFLKHIN WKYQPYTLTLEQIKNQFQVLSTSGNGQLISSSVQFRNTNIEGSFSTSAGCFKITLENEGY LLVRNSVFKNIYTKISEEQSFGGCLYVIDGSINIQIDILDSIYDTIIGTAEGGVIYLKPI AQQINFNFTNVTFQDVFSNDGNIAKLNFLNYNQQLYMQNCRILQTIEGYSKFRSLFSLFQ NYETTLISMQQGYLVLRHLFVQLYLNIFLQLNYQSKVSLTSIEIDYSFYYQNSIMQISFS EDQQSNIEITGLKIKNFKWYKDDPKLESTQIKYIIDDQLKLSQDCRNEQILKFKNSTYEL INLLDFIVEPQKQIDNLVQITNVKNKDSISIKSSQFSNNQCKFCQQGLIFIKLIELQSDK IILSNIWLMDNLCGQNGCLCFVQELQSQEKKIMSKLSLDNMFCYRNQAEKGGCIVSKQVG LKITNSILSENLAIRQGGSIYYDGEITNLLFQNNLIVSNTAQEGGAIYLGNQSLPELNRT FNYFNNNTAYGYGNISSSHSTQLTILYKSVLFSTLHILNNGQINAYLTNQSNRSELLVLK LPSGQQIQTYQYFDINKQEYQHQHLKFRVLALNAYHEQQFHINNSECLIESGYQYGSQEV NFTNNYTNYNRKAFDQETQDYNLDDLIIYYGTENNEFRLILQISCNSVQIPKL >CAK62063 pep:novel supercontig:GCA_000165425.1:CT868017:262509:268552:-1 gene:GSPATT00032295001 transcript:CAK62063 MICTQCSDGYIKHFDKCIQKCPSNCKECKIIDDQQICISCIQFNDSHYHVYNGRCIQCPQ NCNICHPRTDNEKLMINPYFNNKDLEYFSHKCIAPLSSDLFYDQDIQQFIKCDNGNECQK EIVIQIQIYCNQIDLENYLNQLNEEQMLIQRKFHILLENFDLSLFEYYDYFLYLNQNFVK IVTYQLTIADNQLCLLNAELKIQSNLQSYIFNLIELNVIINGSGSTIQLNNHLSFSNFTH IKIKNLDLKIQNGQISMDNIIRYTVEFETIKIYQDQTGVSSQDYLIQIKESSDIYISNIL IQDINILFQNGLFEITNQKFDAKLVALDLIINHIKIMNTSIIKYNTSQKVETKISNLHIL NSQFINTVVLEFLYSTEILRIDNFIFENSILLNTTNFFNFEQIYRAELNGFSILDSTLQN SNFISSSKILFLIEFNLYKLSFLGQSTFLINQNQEFLELIQIKNGKFIENVYSNKNALIL LLNSDSVYLNNIEFINNAINIDKQKDSLDKNIELSIINIESNTVIFDTLMIQRGIGYSEF TIKNANTFKFLNSVIILHEKYYFKSLFNNQECNIQLFNQFMYNTLMNLQNSQFTYVSNTN ITDIILYDSVLFEIQMLSQISSQFVFINCNFSSNILHQVNKYEKVSLISINTNYDLSLNI TGVIFLQNLLNLLKKSNPQKQSLILLVEAHQAKSAISNCLIQNNMILNGQGSLMYIDSDQ IILENTKFFQNSAFVYDTIKQFLRWGLPEDIFLEDLQKLYISSSRGGNGNFQGRQIVLNK ILLQDSISIQGAAFVMKMIEDGNLQIQNSHFENLQAELIESSQGGAFHIQSQSLYLRIDV INTIFLDISSRKGGSIFYIIPSTKLFQISLLNSTFENIFSIQGGLIYIEKSIHELQVIQM NQCNLSQRLDSFYAFINSLQDLSNNEFTTLTQEYSLIHCYDCQFKTRDLYVTNYLPIPLF QLYGSANLKNISIQNIIYSNQLMLFSPVRQSDEIILQNVEFYNCTQIQISEDDIPQKVYA KILNNSVITSCKENLIDAPLIIISFNESYYNINQITFLQTLLSQIQQSTVTMISFQFTEQ YQYQKIQLSDIILQNNKCSKCSNGLLSFDIRNKPSLIIQKMAISNSYCGKNGCISFISQD NRILQSQDFNSSLANSAIRIQYLQCINNQAQYGGCLFIQNQVISIIESLISGNNAIYGGA IFTKGINLTLISENLVITNNSAQFGSGIYSENNLNRNIKGIELIDNYGLNQIDEQPQQLY LQIFQDEIIKPTIVQNSKNSQKSQIISKSGQISIIHIPTGMPLSEYKKFEIEKNSYNQKT MQMRLYAVNSQLEMVRNLTNTYCELQINNVNTRQEQNLSLNKNKIYFNESTFSYNLDDLI FYIPSDSNQPFELLIKCNSIYIPVINNKNHIIEGYHQNYVLSLLIKPNECQMGEYSQSKE DYCHQCIVDQKQYSVIVGATSCQTADDQKIQEITQAQIFLKQGYWRMKVTTSTIDLCLNN QQNCIGGWGVGNDLCQQGYIGALCEQCDYYNERGGGNYQREGFFECQICQNDTKLQLFNF LITILIFACLLLIINYLSNKRLQFIRSNINQFKVPFRQGIFKQEEALMGQSIKIILFYFQ IISFTQELSSVFSDQVQYLIHFLGDPFTFLEIYNICQLLDLEVSVLYLQILQNCICMIIM MAIYVCFCFLKTLMSKQRITQMELFNFIYLIYIFVVGSFIRSMIQLIAFRDIQDVYCIYK NISYDYTNTNHLKLVFFLFLPFVICLAIILPYLLLQKSKSNLFLSKPIPFKNFGFWFTSY QNDHQHWEFYKFLLVDGLICIITMIDANATIKGAFSFSLLIIYTKLCQDNKIYYHKQMNR FESQLNIISIIILLSCTLFSVQESQGSMFKNIILIIINSIFILVGVFIILHLWKLNSQHI RRIFFKIFESLGQLNKIFIKYNIFQSQSNCSIKLRKNRFQLLRAFLKQSGKTQLSIKKEF QSSQEKNETL >CAK87028 pep:novel supercontig:GCA_000165425.1:CT868603:99:290:1 gene:GSPATT00039792001 transcript:CAK87028 MTQSQRVNQIPNYMKSLGSSFYIYLADALFKIGKRDESKQWYINARNAGFDKDIIDNIMK ELI >CAK58832 pep:novel supercontig:GCA_000165425.1:CT867999:1661:4482:-1 gene:GSPATT00029462001 transcript:CAK58832 MVSYGIQSLIHIKVSFTRIASILNIENQEMKNLDQTHQKQEFNTNSQFYTGPRIQLQNFT SFWTNSVTETTKPILKNLTLDIQAGQSWAFIGRVGCGKSTLLSAFLNEIPAYKGRIKVFY RQRMFEQKPFIFPDTIRRNILFGRTYDKALYQKVLLASQLEEDLSQMKYQDRTQIGERGI TLSGGQKARIALARALYQQADLYLLDDPLSAVDANVAKNIFQMAIKEFIFQYQPIVILVT HQIQYAVQCEKIGILNDGELIAQGCYNDVKSNLYMINEDFAQQLNSNLEQKKSQELIQQP SQQRRKIQNQVTNNLIGDEADQQQLITLKTYLRYYQFWNIIIIVCILLLEVGSEVIINFY QRVVSLFQLFQDENDIDTAYYILGMLTLGLFLCNLVKYSLNTYSVQTSIQKIHQQMLKSL TLAPISYFDVNPSGRILNRFSNDLSLCDNLTNYVSLDVLEIIGNFLFSLITLAILQPYFL IMAAFIIIIDVYQFNYAKKIISQLNEIELMARSPLFDFLKKTLGGVIQIRVYQQQDWFRQ QFLEISNKCNLSALAYYYSSRSFGFNLDVVGFIASTVGIFVFLKLNYENIAILSQGLLIL TTYNDSLQWGLRQLITFETQIISYNRMFQIIDILPEPPHNTEEDFKHPNFPKDGKVKFEN VFMRYRQNCDLVLKGLSFEIQSGEKIGCVGRTGAGKSSIIQAIFRMSEIENDSKSKISIS DLNITQLGLHKLRSNIGIIPQSPFLFTGTIRRNLDPFDKYKDEQLWQALEQTDLLSHVKG LSKGILTDMSDANSVFSVGQKQLICLARIILEKRKIIVLDEATANVDMKTDEFIQETLKN KFSDCTLITIAHRLNTIADYDKVMVVSEGQVIEFDTPFNLLANSVNSIFVDKATEFSKLV KNTGEQNTQLIFDIAKSKQLKK >CAK58833 pep:novel supercontig:GCA_000165425.1:CT867999:4535:5670:-1 gene:GSPATT00029463001 transcript:CAK58833 MNNRIYPIKSHNHLIFKKVQDQAFYDYNIGRRGRQVLSLPSWIKLFIYFKVGLFRFNSIF IISEKKYFGAKVIKSMKSIYQNYQILRIQNKPIKQKKQIKLGDLVKIIFFGQLKWLSVGC FFCHFFEAVARNGVSMVMSKVIISAEKDEKDMAYGFAVLLVFLNLICFLMMHHGYNFAMI FSSKARMTLINLVYQKLTELSAYSIVQANIGKILNLVSGDINQLEYVFITIYYSSVCFVS MIFGCYILWDRFDGPIGIIAFAIIFLVYPIQIALQSFNSETIKNSKPYQDQRLKLTNELI EGIRLIKMYAWEQAFFKMISIMRNKEYVCLLKINFRSALDRLFSLISQIWSSFIFFVILY YGGFRKNMKCS >CAK58834 pep:novel supercontig:GCA_000165425.1:CT867999:6569:7249:1 gene:GSPATT00029464001 transcript:CAK58834 MKFLRSMDIAMKYIDQEVFFQLYPYQLIYLKKQIIDKNCMGCHIIKQNQTASKQKIAHCN YQSLSNYKLCGIFYSLAINSTSTLLVAAIDCEILIFYFKMGNLKQLQVIQLQAYNIYLLT FFKQKSFFISAQSDSSLAIWNSDLISKPNYICKLFGHSKEILCIAFHPLIEDLIVTVHMM AQQNFGQSNSGGIVNRQFLNILIQQPLQHLTQLETNQSLVAMINQS >CAK58835 pep:novel supercontig:GCA_000165425.1:CT867999:7270:7734:1 gene:GSPATT00029465001 transcript:CAK58835 MLWQIKQHIQLPNYGFRSSYISDNMFIFHPNTHEDDVHLQIYKFNPSQQEYEEFFSVPIK GKKQFCYHYFPCIYNNSKYIFVTKNGCFVNILTIIPSTNKSRFYFQLDQTIEFENKYING ILSDDGEFLIILDEESELLQIKQLQQFIQSNFLS >CAK58836 pep:novel supercontig:GCA_000165425.1:CT867999:9496:12400:-1 gene:GSPATT00029466001 transcript:CAK58836 MNNCNAEDHILKISNESDNLKDEDLILQALNINQEEYQSAIICFRDVGTKSLCANRINEL ELSYQCFDCSKDTSHIICKECFIPEIHKNHAVQIVQMSSLMQGYCDCGDTFMLDRNSMCP KHLQKEMKVENKQIEKNPVFKKYEKFLLDAFGLFYKKLAQFIDYPDDLLENIENIIKHLK NEILDIYFNSNNIYFVSIKDSISEAKKIHSLIFRTLQIITNDNIIWGYLTGKILKQEFQI LINKQQFKSTSLLEQYLQNNARLEGCLKFEQDISTFFPLFFQDEDFRTRLSTCVIQNFKN FYALVCSYELITNKNKELTFQIYKDTKDQKLAVLVEKILACSQIEEIKSKNSLIQGAIIQ KFFLFMQRGYIEMLRLYKTVQSPVHYQLFKIVSDLILEIPLIEFESLKICSSYSYGIELM AKCKKAINGQINQIQEILEEDFSAFKFQLLNPNSNAFQKLLNESLLIYSLTRRHPCQQLK SCVLYYPSDIQQKEMCLNYSLIISQKMLSSFRYDLKSILLTFDHLHSFDVQNILRIILNY FLNNLVSSFVLKNSCPIQLIAFNYVLNDRNFIIVLAIYLLNYSNSQDAYNNVLELSGLAK SQFNQIMHQILKRTLLNYIIFQSPESFEYYKGDQDYWKNLENEVSLQQVDVTYIQLYAFM FQDQGINHIIQGCIEIQQHLKQKINLSFLICKIAQTDYDYIQCVGSITGNQFNEQFQKGL AKLFQTVFYTQTFYNLNEMRTLLKEFRYENKIDLETLILSSCEINQDNGQLQLKKELKLH IYEPIYAAYNENIKEKINDQLQMQNDKFVELFGSSLEYELKYYGTPKSTLTNIRYEILKA ISQDQNQYLLEIILKDLENTLQSNTGFIKQLDASLKILQENAIYINLLYLSDQMFSSNNA KIQHLVDKIQHYSQVFILLDKFIVQDFKTKASLRI >CAK58837 pep:novel supercontig:GCA_000165425.1:CT867999:12751:13251:-1 gene:GSPATT00029467001 transcript:CAK58837 MEIVQKIYTDQSNFDGIRLMNQKAFELINLALDYDIECHKPKKLEERSVKLNNILKFLNI FNHLDLEKEVKIASIQLAKQQQNSFKDQHNQHFNQFVEKLCQLEEHSEENNINWKSGTLD ALVILNYLDCCTSRILEAQVKTLKEIFMEAVKRNQMLQIKGQLQNQ >CAK58838 pep:novel supercontig:GCA_000165425.1:CT867999:13401:16173:-1 gene:GSPATT00029468001 transcript:CAK58838 MQSNNTQQSQREHKHCIKEQKIQELFQATLVNSNNILFPQIKLKEQSTQDALQIINDFLH LFPSNSQALTIRGSFNFLTQGELFLKENLNDQALNDLNQAIELGSLNEVTLYNRGKIVLI KSATVFQRKKMFQESQEDCEKAIQINPNYGLAYMRIGNLKHEQGQVYEALKYYNKAIEVD EKCCYAYIKRGEICIQIGQKQQALKDYTTVIQIDPKNAEFYYNRGVLNHDMGNVESALLD YNKAIELDPMDPKFHFHRGIQNNEMGNKEQALLDYNQVILLDPTHSRTYCNRGFLNLYKI GIVQKNIGNKDQAVVDFNKAIQLDPSSSMFYYNRGVIYYEMKNYDQALLDYDKAIELDAT DPKIYYNRGILYQDIGNIQQALLNYTQAIHLDPMNPKYYYNRGNNNIYGLGNLYGEMGNK DLALLDYDKVIQLNPNDEKAYCNRGILHKDMGNQEKALLDYNQALILNPMEAITYINRGI LRFIKGILNNFMGNKEKSLIDYNKSIELDPLNSFAYINRVGLLYDELGKKEFALVDYNNA IFLDSKNSKFYYKRGVLYESLGNKEQALLDLSISIQLDSKYALAYMSRGSIYFEIGNKQF ALDDYSLAIQLDPLKAINYYNRGVLYHELGNNEQAILDFSMAIKLDPNDVRSYCNRGVIY INRGQYNFGLSDYLRANHLQPDNPFISQVLEQLYSLQQNQPNSTDFCQITKQKNQSVEHI MDIQEIENNIKLLRLEISSLAQPLQDQQILEKIQESVSMIEKELQVTQLMDQSESLEISQ KTEELKQIQEKIIKLKQELPSLHQIKQIIIKGQWSNKQNYV >CAK58839 pep:novel supercontig:GCA_000165425.1:CT867999:22250:24529:-1 gene:GSPATT00029469001 transcript:CAK58839 MLLCEESEHDQREIILVCFNMNCHLKRACCLNCVENHSQHKQELQTFKEISKWKKDLFSC YQSHEQKMNQFVDQITKEEKYLSLDLEKSKEEITNDEFEKYISNLLSFSKMISPLNQIMS NLTKQMESMTQLFTKVDNQVQDRDNEKYSIQNQQNNQFKYRGQKQQEYLENQGQFGNQED NRTKQNMKKYKYNDLIQKTYKLRKENDFDVHQYPIYYKSSIENLQQYKTIILVGTLTSKK QELINLFLNYYLEVEFSDPYRFEIFDDDIDLVKEDQNNEYEQMKVYYITPQYGKSGLRII NTPDYSDDLTFNDQQIFNRIYNVIQNSVSLNQNILISFVIPQQVQIGQFFMLESILSNFS NCLINNIVFLFPDCSDACPKQKDILQSSTEIINGMPSPVIKMIPTMNNSWYLKFNTSALF MEFQTQENQFLWDMGKNSFEQILENYLQNQLNMNKFNLMRNYYNQFLNYLSTSFIQSQWE FADIKQELQNQFLELYKRETFGIREFNFNIEKRENQIYQQIDKNSKQWNHLWNEYIYKIK NGAKSSSIIEKILKEHEQELNEVQTQINRFETLVGEIDLKTYKIGFEKFYDLFSRFINSI QEHLLQVYNEKRQYLKFNSLLISLNPFQSKYYEQLIFIETNVKQEGWEERVKVLTQKYNF YIYSDDYIKGSKSQKIEQILQEWAQYQFPRLKRSSYRYQKSDWKISIDSSEFHCKSEQIF FKEFKTYKCDQLIGLRISDDADRHFLSYIENFRILGSIN >CAK58840 pep:novel supercontig:GCA_000165425.1:CT867999:27047:28664:1 gene:GSPATT00029470001 transcript:CAK58840 MDLENNIKIKFRDKYVLVNDTDDSYGEGCFGKIYSCKRIDDDTNTPLCVKIIPINIDAER IAENEIIIKRTIDQLKSNQQQQANTIKVFDIYFDLFALYIIMEKCDEDLSIEFDKKLDEN SWYTEEEVFDILQQIIEGLKYLHSKNILHHDVKPENILIKYQTGERKIYKISDFGICDLI KKLTQRNEVIRTGSPNYTAPQIFESAAIQSQKCDIFSFGILIYQICYKTELPYDCSSMQI RYKSLQNLKENNLKTKPLSYKREDILRNLIQSMIVYDEDKRISYQDLFNHAVVKNKYQQN TGSITLDNKEATSSKQFDRSINFLKKTKNQVLTILERLQLLLDLFLDKFKICCQLIEQLS NEDDYLMVKLNIYLIANHQLLYALALIYIRPLEMHPSILKYNDNLMLIEKLRQAEKGILL YQQDTQGFKKLADLIQQEYHKFKNQFIQFTSQFEMRKDPNEFIQFLKQSKTSRIELKVLI ENIKTHLNIIPQNLKPFLDQVIKFESLAPVNLQQKDSTPQYQLSQQIGQI >CAK58841 pep:novel supercontig:GCA_000165425.1:CT867999:28970:38592:-1 gene:GSPATT00029471001 transcript:CAK58841 MIQPNFAIFLYLNCIIKVFGVMEVISSSFQGNTFSDADNWVVSGASPQFTDCMGTLLFVG YNAFGSRTTVSKIFTLPPHFKINLQLQFWKIDSWDNEIAQVYVDQQIGWQLAQFGTQGTD ICGTVMDEYREMSFNLNLIVQHSGPTAIVVVTSVLNEGRDNESWGMRDFIISVERCPDGC QICKETDTDVQFGPNQVMMKYNPMVGIQFQQLLKLHIVDLLLYLVVITKQELVQLQVGLF LNIPQHARIKIQFLWVKIDSWDSEHAYMRLDGTLIWQKQFFFDQGQFWKICGDSHDDHRT LFTRIELDLNHTSTQFEISFTTNLNESPDGESFGIRDFVLLYSQCPQGNYNTGVAETGCQ LCYKSCYQCNGPNIDDCIDCGDPNIYYKQLVSKQCTCLDRTIEITQNDGSTICQPCHPRC EKCSKPLDNTANQYCTMCIAGQNRDVNNQFICACRAGYGEDGISDACFKCHYTCENCNGP LATNCTACSSSSFRNLSSDYQCLCNNSYIDTGTNDMVCKCKLFNITILQTIVIILVLVVS YLQKINAQVVPFQGNQIEQEPHLNVAAKIQIIIVMANHQNVKHVISLAILVMAVWIVTVQ LATQLIVNIQCSNVFVLMDIMILVYYNVPLAILHVVPVMVLLRINVQHSHPVQQKYFGNK HCSDNNREFKTNLCACLDTYMGKTVGDPMCYKCSYRCANCSGTIDNCTACPLYSYRDLGT NNSCSCPDKMYDPPNNPICIPCYVNCLTCNGSQQNQCTSCYTQIMRQLDPSGNCVCMSTY YDVGTPECQRINQNNAQHAVLIVWIVQMLLIIVYLVNQIDIYKGMFVFARPSQLELLSLV MKLQEKLIVKVLSNQFNIIDCHYSCLQCSGSKYNQCTSCMASDGRVFTNSTCVCGPNYFD IGKPKCLGCYYSCETCAGLDTTCLTCLSNSFRTLINSQCICQKGYFDDGSNPICQKCHYS CSECNTISTKCNVCPSASNRVFNGTLFTCNCIDSYFDNGTQTCQKCYYTCLTCNSFGSQF CQSCLDKTTSFRVYNQGACFCLPGYYDDGISTNCNKCLSSCLTCLNTADNCTSCESPRYL DGSACPCSVGYFVNNLSKCSKCNQNCLNCSLTSTTCIECDSSLMRVLDSATKTCICKPGA TQINSLCQECDITCQACLNSITSCTSCKVLRQLSNNQCKCVDGTYESGSDKQCLFCDQTC LTCINQANYCTTCAVDKFRIFSTGNICICKDGYYEEPISLDCRPCDSSCLTCKVFPTNCL TCDPSYNLSLNTTGRCLCSSGYFFNSSTSTCAMCTVLCRECKSLSQCLECESITRYFDPV NLKCPCKDGYYEVNQKKCSCNNFRVTVVCNFSCKTCQSLPTNCLSCEPTNYRLLNSSNQC ICLDGYYDIGIELCQPCNPICQTCVMTSTKCTSCNQAQNFRLLNLNQCVCQNGYYDSGQL VCQKCSNQCLTCKGRRDFCLSCDINQNRLDQSVINRCPCLTGFYQDINEQCQKCHYKCST CNSQRDNCVTCFQSSTSNRLTISQNCICKDGYYDDGFQVDCFKCSARCRVCQNSSNNCLS CFGNLREAPPACSCKLGYFENSSLNCETCDNQCQTCDKTSSNCLTCKEGRSTQLCICEDG YFEGGQPLCEKCAFQCKTCQESSINCLSCKGDRIKFPLCQCPDGFYDDFSNESCQTCFWL CKTCNLDGCLTCKANRVLSPEMSCDQPPDSVSHLDTPWCSTCQVAVLDVRFSDDLLYISV KFDFTLNSQFFTTQFQDNVCLQILDDKTYKLLGKNPICEIDPIDDTILQIGVGQQPKILP GDQIFFQENYFGHQDCDQRLNIFIFNDVKSPLNPVSPIAIYDLPTYLINPCDDNNIALKS KLNDGLRGFIEIKWTYTVSGTNGKGDIENFVNSLTKFQMLELVIPIQTLPKQSNITFELE FQNFVAQKSVQQIKLETHSGQFPTILWVSKPTYYTFEAIVLEFKIKKKACSDLNKTQFDN SSYTLSIVEVHRNNSNSRSSRVNYSEITSGSSFNVTIPKYTLTPMIAYTFEQTTLDAVLN FSSNRNITIDISSGGILCQFNGTKKIQNYRKETQIFISCKDLDAQYDWNEDSNIDIDVQC LDLTMNSHCTDVNKKEIKVNHTDTFQTIPKQLIKPYTIQCWTVVAKKGLRTYKFKQNIVF LDNDFKILNVTYSKGYLMRPVNNYENLEFTINIPFQDRQYLVDYSLVIIYNFEVIKILQS EYFKQSFHIFDYYQEFTKGNTINLKFLAQFSNEIIPSQEDLVITVNLPPTCVVSLGSKII QALKPQQIVTICEFSETAPFTYQLRYFLKKQDLTDYLSRTNDYSLIVSSYSSANNIEGYF PFSNGILLIQAMDSKGSYLNIQKQLNVTKTVINCSSINIVQYNLRYQISLLLEIVLNHYD QQNCVNLSKQLYQNIKTYLNAENSDDQLLVYQTTKLYKRAIQENNSSTTSMRLLTDNSEN CFQNSTQTYYFRSTRPNATSNVTPFILQAELLQITTLTQSLITKLTDINDQISFNDVFLD EKLYQGKIAVLDSLIVVQLLIDDIFLKIPQATIKSNQDQEQIIIIAEGLINLIERISIYV NVQVQVNGLQLINNGEILKWQLSKITKEMLNKQFNIERDLLDGLIDFVQKEQIELSYNHL NLSQKLRTQLQTFYNQTLLEINENSYKKTNLKNHLYNNRYLDYQDAIKKYLIDMFQTPYC QEQVPDEKLYSFECVNINKEGNLTKCNLLIEEIDNKTVQVSCISEELGSIFLVQYPNNSA LQLNATPNIDRGDGIDNRNLTLSEQPILLFYGIFIAFTLFIYFELISIESKSKQLPVQTR IESDISIDEVLKQTKSKTINFYPGNFAIFKLSFQFIHEVLSCFYTENHALTKSYRFLQLS IKISLFILFSFFQISLQDHIPLFVILFLNCGTFLLIRMTLKIFQAIYRFGGKWSLSIIII YLATHFICYLEFILLMKRCQRDIQIINIEVSLCFIGSLLLFYVIVEPIMIFSRIYIFRRI AQSIRNQTIQPLNQLIYFFIQHQKLDELFHYYAQI >CAK58842 pep:novel supercontig:GCA_000165425.1:CT867999:40591:42606:1 gene:GSPATT00029472001 transcript:CAK58842 MLSKQQQQLQEIVSQSILKKSATKTSQKLSQSFNPNGLIGQSLSPTQKTNQSMGLKKGNN LKQYQQDLLKLMTSHKQLQSGTKKANMSDLMSQQKDSKRISSGNSQPQKSQNSNKISFVN PASQIIIIVNHENQQIKFSIDPQKSTGWLEEYLKQEVKKHSIHQYSSTGSQPDERKVTCG TGNESSDLEIVSFNTVDKNLPIDYYLQQANKSLEIFSGQTLNLQPFYGTPQQSKITLKDF IFVKCIGVGGFSRVYMVKKKSNGRFYAMKLIDKEFIIQHKKQGIVQNERDIMTVLDHPFI IKLEYAFESKNFIVFVLEFCSGGELFWQLRQVKRMTEDQARFYFTEICLAMFYLHSLSVV YRDIKPENILIDIDGHIRIADFGLSKPNMTEEDYAYSFCGSPEYMAPEMLLKVGHNVQVD HYCMGALLFELVTGLPPYYSRDTDEIYESILNEELTFPEKLNLSPEIKDLLQGLLCKQPS ERLGANKGLTELLTHAWFKDVDLVAILQKQIPPPFKPNQLKFNYDSNDLMKGELETREKL LGKTGLQQEIRIFKAFYFDSYEQKQMKTEQAKILQQHFMMVTQQQLALNQKFKPKRKSTE PKEHQSKPASPQTRNQKQQKFTPEQFQSLQKRIKSQYILSSKIDNHL >CAK58843 pep:novel supercontig:GCA_000165425.1:CT867999:42877:45392:1 gene:GSPATT00029473001 transcript:CAK58843 MNRNKDLDALLFQKNTELKQDLEKPPYSHIDITITSYSEISDGTPNKFPIKKVYTEQNCF QHQKNRILTQEEQIHQQNQLQVSNSILESMTPQRMNKLIDWSKYNVTPGDGKNIFPQVQG PSIEFSNENSLNFKQPQKLNINSDILLNKYIEIEERLKLLQAKHKDFSTLEALRGKNLQI ASQKIVSQQEVIRSKDKIIDELNLEIKKRKDYDIQIKDLLKEIEQKDQILSEFENKQDKD RIIENQEQQIQILTNRLKKEFEYRKQNENMLSEIKLKEIKRSTVFELNTKCQSCSLSKQE LKNILQECSIEDDKNIFESEMSVPIQIREVVTLLLTKQREQIDIAFQQYEEQLKELSDQI EYFKEQNKNLIDDNNKLHNQLSIILNERGEQEQKLYEEFEKVKKINYQLIQENSEFKQQL KSPRNQDHQKSIDLENLGHFKSIQTDRSGLNNEMAQIKQQFQNQIKDLLGKISEQEKQIL QLKNDSFKNLSSSKKNKNFQSQNLQNEMKHQEQKLKEKDKKNIELYSQIKQLQQVQKDYE LTIFELKDELEKQKKKLQQKNTEVKQLIDQNKTFQDKNTNLNQKIILLQQQCIQQESDMK LNDTKLSPNNKSIEQDRVISQYISSNLSQQQLNQLVDEYKSYQQEFVDLKQVFTEKLRQH EILQNRISRLQQDNNYLAQQNQKLMMENQSQKDDKLTKRTSEEITTGVRCSAATGDSINI KSFIQLGDEESLKRKQLNILDLIQPELMSTEETLYILKEILIRSVKSIELIKKIYQISEI KELLFIIQQVDEKYQSSNKKLTKVISCILGEKRKIKYTNRSEKPDFYNS >CAK58844 pep:novel supercontig:GCA_000165425.1:CT867999:45408:46334:-1 gene:GSPATT00029474001 transcript:CAK58844 MSEQYSKGESQENSSVLSSQEEQQKKQVKPAELVNLPKGSSQSLIINEQTSTREERKIKQ YLQRIQEKERQEEAATLKRKRSKPNPPLKQQDSQEQPTSSENKEEEGNQQLAQSLQLNPL LVPQQSVQQSETSSSQTKLRLNPKLKMKEQHHIYLYLSDCQDRSFEGMQDFQASATQFYS PQKQSKDQTSLSQINQEIFQETQYLIKNIKNQYQQYIEPIQSVNSNDQKDFYNKYFDKKY VESVIRESRLQIHKKSGLEKQTSIGKLIPKKH >CAK58845 pep:novel supercontig:GCA_000165425.1:CT867999:46788:48096:-1 gene:GSPATT00029475001 transcript:CAK58845 MLQQQQVEDQNEPISQFKQIPRYQSFKILNPQVYYYHVAPGNNSKLIIQTMKKRKNWQES ENNLIHFQWNMSDKNFKYLTLSQSNIQIVNHLQNHRELTMKCNLIRNLKQFCQSNKRYVW DVTPLSFIIDLYSQESNLNRVLQEFTYFYEQFKPSSDKKSQHNCPSKRYQQYIHPKMHYS FYDEEDNYIWILKPNEFNRGRGIQFFRTIEELKQILKDFTKGTSEYQFSQGQIKSSSFVI QKYIQRPLLLDGRKFDIRIWVLVTYSFEIYVFNQGYARLSSEMFDLNQLDAFIHLTNNAV QKHSKNYGFFELGNQISYSELDYYTNGEFTKTVLPKLKSIIVFSWMAVQNTFKKFKYSFE IFGYDFMLDEQLKPWLIEINTNPCLEESSPLLKELIPKMIDDAFKIVIDPLISKVSEPTG WDHLLQL >CAK58846 pep:novel supercontig:GCA_000165425.1:CT867999:48112:49386:1 gene:GSPATT00029476001 transcript:CAK58846 MIDNQTLQSILNYQNVTEGYSYEELSELKNFKTMFSNHKIYFGQVFNSKKHGKGVLWSKQ GHIYEGEFNNNRKHGFGLEIFQSKSRYCGSYVNGRPEGLGKFIWGNGESYDGEWLNGFKH GYGSWIGLKGDSYSGQWAYDKPHGQGIHKWINGEQYQGEFKECLKHGFGEEMFSNGDRYV GMYQNGTPDGDGEYFYSSGAYFHGQFLNGLKSGYGEYRCANYTYKGYYQNDKKNGEGELI YANGSRKKGKFYNDLFENIETNKLTINTQPERSPKNIVNITNSKPPLYLSQTKPAKQQIE SSSMNKTVNITRSSQENKIKNLINRLPVMSIKLRSNSTRQSNQDSEPNSSSQRKNNYSVN SKQTSPKYSVINQALPTTMTIYKSNNQQECSKKFDSTLGKFNNKIGLKEKQSQNHRGKSS SKQN >CAK58847 pep:novel supercontig:GCA_000165425.1:CT867999:49414:50205:-1 gene:GSPATT00029477001 transcript:CAK58847 MPIYFLSLLIIASGQCIENVYLQIPKSVQKQQSETDIVIRLEGEYIIGYGENFSINDKSK IQIFQSSLIFGSQNFEIYCPAIHIIPDEEEAKCEIIVNMYQMNTTEIDNLAFAQLVIPVE TNNNIRENPTLQIQNNFQLRLVDLAEQLKDVDYFVQYENCGRKIFILSDSLPITAEQIAN LQNYSKSLNSAAQTESITPLLKGLIKVENFTVDKRSTIHLIALFTIICGVILLACIRAFK KRVEENTTQNDELMNQQEMNVLK >CAK58848 pep:novel supercontig:GCA_000165425.1:CT867999:50259:51051:1 gene:GSPATT00029478001 transcript:CAK58848 MKKLQQIENIKPVNNEFRLSDLNYLLQGGRPKEKYLMHQVFQHFHQDSELAKKLTNLLLK FENPNEYDKEKWTPLHLAVRYNQNKAVIYAQQIGQFDFHVLSGQQGLSLMHLAVLKSNYE IIEILIEEKVSLFTENVDGVLPRRFALQSPVNLKMIKKYENQQILRFLIDLEDIDDDEST EANKIQNLNVSQQSIKQGEANDVLITRPKVSLTYHVQLKQFNPSYLQCERFYENALNIIF EANDVLI >CAK58849 pep:novel supercontig:GCA_000165425.1:CT867999:51665:52456:1 gene:GSPATT00029479001 transcript:CAK58849 MNKSQQEAIQKNHYGKLEYWERRYSENDKPFEWYQNYDNLKDIVTQYINQNSRILNIGCG NSNIPEDMYKEGYQWIVNLDFSKTVIEFMKEKFKSYPAHFQFVLADARELPFANDSFDCV FDKGLLDAVLSGDYSAQNSKKVINHIYRALKKDTGVYIIVSHGFPEQRLPYLSKSEYNWK VTYSKVYKPDVRTKSLEFDATDLNNYHFIYVCKMDRYQGQTAAQVIGI >CAK58850 pep:novel supercontig:GCA_000165425.1:CT867999:53366:53793:1 gene:GSPATT00029480001 transcript:CAK58850 MHNQIKTKYNLDRQNLYFADNKISLAFSQYERMRPFGLKQHVEFDSDYDDPPTKMIDITK LPNGQFKDRRYMHNDESFFVQMGGKNRELIAQKIRSRLESRQSPVTHRGNWCFSYDQSQK VLFMIKG >CAK58851 pep:novel supercontig:GCA_000165425.1:CT867999:54083:55380:-1 gene:GSPATT00029481001 transcript:CAK58851 MSKHSEKKTKVVENRYDHPQSYYQSYIPTPYIAATYEQPTVVIPHIQGTQIITAEPTVIR ERRVVQGESQFIKQDHVIQEQNCCMSCMPCVPCCDKEQKVVTRQVVLKEKQPIVQNRNED YERKLDEIEKLHIQEVREMELKMQQQLEILQNQKMQDEQQRQLIDLKQSLFDIKHQLSQR GQQTQPQQQQTQIINPYQIERLENQLDKKKKRIKDLKQIINNMQIDLQQKSSQIQDLDLR LRQPIPQQVVHVPVPQENYELINQVRYLEQERNALLREIDSLKFSNSELSSLSFREDPEK IRLKQDYTRMINDFRFLEQENIKMATIIGQKDELIKSLEQRISIELSRQSILLQQQSPQR VVLEQTTTTRPIQAQYVIDSSQSFPPQPIHKQYIIQDQPIQTIQPTLLQQQQQQQTLPNV KIIS >CAK58852 pep:novel supercontig:GCA_000165425.1:CT867999:55417:56094:-1 gene:GSPATT00029482001 transcript:CAK58852 MKNISNLVQDESKINQLRVSAHRKHPSPQIQIYDKLKHSIQVECYNSYTIKLKSPTSCDK KLFEYKNQRDLELLVSLVIQPCLHLDNCIHEQLYEKNQQGKTFRQVCEFRVDWTKKIKKA ERYFENSIPHKKVQQIQCQNNDQFKIIILEAINNKQGALKMMIDKSKKESQFIEYAKRFA IQQRQRMDYSESLLTQFEDVQNRKKYTHPPSFWSILDCSCNATVL >CAK58853 pep:novel supercontig:GCA_000165425.1:CT867999:57401:58361:1 gene:GSPATT00029483001 transcript:CAK58853 MIIIDIMKERVSDIQNIYNNSMAIKQIKYREKLRQIQEADIMSSLYQFRKLTQQDLAQFQ EGAKQIFKIIAPGFDTRFSEITLTSTSKVFIELYQHFGFVQKSDALYNELLHNSKQQIFL CLIQHLIDLTKIALKCAVKRFLDMKLFCIGKEIEKPPILLSKRVEMIQLGAVKRNKIKRV MRSESNSLHQSDKKQDLRIIIDKKDRLSFHDVISHYDKSEIIKKNIRNYDEINVDNVSTT CNRNIQRYQSVQKNTEPTTQRLQFPSIYKNSNSALYKVLRQRNQSISLTISNYIKELQDK GTYKE >CAK58854 pep:novel supercontig:GCA_000165425.1:CT867999:59265:61615:1 gene:GSPATT00029484001 transcript:CAK58854 MAVGVLLGLSILLDLLGSSRLENNNLKVIAIYMSFCCKYLGIWFLGYSGGVSFLVQGIMC QALKCKSIFDHMFLYELIGMFGLILNIFNQERIVMENRAILGIEAGIALLFLIQFCKIMT KKCSGHRKHNLIQNQVIMDMIANHKLKQDYESTPCQSPRSNLQKLFQYNNFIIFKEDLEI VESNFDLKIHWINESSPNNNILIEQFLYLQVISSNFGESEQIYSIKEFILKFKDNLKTQF ASFIISKSQVFDLENVTLNLMPFQRDEGYNFIVTFSYLSQSLIKRLKDDSNNQVLMDISR SLSHELGTNLNSIMVFSSLAMHDDEVPELVKQKYIQSLKINSEQLGLIVSNIRDYNLISL QQFNLKLEEFNINDEVKQIENLLIDTIKNKQINVVHDYQLSNSNIINDRQRFRQVYFQFL HNAVKFTTRNGTIRIKIESSRSQCQIAIQDSGPGLSEEEMARMQNILIGKNQFVQISPHS VGSGLGIGISNSIVKRLNGQNIPILCDQKGKGTTFTFLIKNHLHEMSNYDNKKSIELRSS KSIIRLISGNSYIESPFSSSVKFILPSISKSSDQINFKSSIVEDDNVLKQPKLLLVQDQG SIPISEDEFVIVQEPRNMNPKFQYEIIECSIQSNCCSRVLIVDDEYFNILSLQLLMQKHR AKCDYAYNGKEALNKIHLPEIGSSLSHLLIFIDINMPVLNGYQTVKEIKSLIQNKTIRRA WCVANTGFTDLDTKIQSFNSGMDYFLTKPLDAKNLHDLIVQMFPLHK >CAK58855 pep:novel supercontig:GCA_000165425.1:CT867999:61642:68736:1 gene:GSPATT00029485001 transcript:CAK58855 MFITELRDVYQYMQSQYFVLNKETILSIRTLQDKYFDQKLLELLLQSEDIVRKDVLEQFQ STLQQLQECQLGGNTFIRNVRTMVKVVTHSIAMQMQSNVDSYGSINQILNISEINGQQIA SQSLIELCNKYVAKFIHLRVQGTNENIQNNNSIIIENVAKSVHYKLLDPAICQTFMDNIE NIKEKDEETFNNSSNLEQIYTQIKSTYSLIMIINSITINNQIKLEQLHYISKISTSPIFL QFIIKLLKQQRDEFGGWQLDLIQKNKVQSFIKEMFEVVANNLHKASIPQIYEFCQGLKYF KYLGFDVLYSINFYFIMHELHYNFGSFLSKVLIQKQQLQQIIDHQLLQELFLIEQKLNYI LKSIQHVFVQSFYHEENQNKVMNERKIHQIVQLWNSFFENRTEFVQQDYFKQMSQLIKLN YNSNAKEEQLLRRISFLRLANTFLLRDKQQEVSFSSNAIIDLVQPKLNLYQIIQQVLLQQ NKQDKPNIMVMQYMIKRLYQFYLNLLANLKDKQFREKALKELSKSIQLFFDGDDLFSQSK IQQNVLDYIADKIYTNYFLCDTQEQVQFFIEIMTVVNQQLLKIEEEFLTQVEQCKGTQEG MRSLSLFRQLVQKAQGQNYQNLSIGSILEKKVIDYKRVFQIIPLLSKCSQIFNDSSQVIA QRLKAQQLISEFWIYITLNNPSFFLSKKDGQKEQLTNEEGKLLNEQIQPLRELYKTMWLP LNLIAFQTPNLLAGSKTSLLDLKIIMKLVENKDTFTYNFFYFNSLARQMKILFRIKKKEL KDKHLEPQDLVYIVTLNDILQRCQANIIQDIKQLQKQQYSYIMKLFNFLKQTNDEEELLQ NKEINGIKLTHQQLRNQLNKQVKFQSLLKESITRAAVQYNSFLLNSKGTLTKEIICKDFV YLLQQSCSIEKTLSEYASDMVIDFIRNFPFVCFSPEVIKVCSYLLTVISQKIGREYDSKS KQVFNDQKVMLNTDQKILTQNIVFLMNCMLKLIFRGLYIDHNFVKVFCLHLIRQETSLLS ELPFGYKFLKFLVNSFKVDKEAIETFKDQADRYNPYFWLVKEVEFDTRISSSDMSMFLSD KGLLRLQKINIEQRIPYASAQEILKLINYSIKNEIEFDFHAEDLLQINYVQVLKDIQKCC QELNIIHFIYNNKSQVASKLKGRMQQLRINVRESNYKKAKQEIVREMLQHVTQLKSVIIH SGAQIKTQILTKLLDICKFYLQPQLLKYILMRLIPFLHSISQNYFDSTFFFLLGEMLHYI IYQINNLGNLKYDKKISSQDIFQIKINYFNQLQDLEAEEFTDFYLNKLEEPYINFINLAQ IGYYEIRWQCLCKLHLILIRFLNDNMQIHLVKNVKLSIIANILKHNFMTDRKLVEFIPLE NVCQVLKGSIEIIQLMKEFKEKFKDTQDYEVKIGQNNQLHSMLMQQNLAVKFNIESFYYK TLKFGLSHFAKNINLYHEPLFIQCQNQSRLIKRYQLYCIVCKYLAKDKLNELNEDSKIPK MKRVKKRFNSVIFKSHRQIKMTTNPKEGQARASILMQGNTSQIYRKLQAEIAYNGQQTYA ISLQKLQEQRPLEVRPYYLRKALYFLLCDEIDKIKAFASRLQDELQTDYSLMTQNKLSDN FIQQILNEIPDKNLNLAYYILKKLYPIMQTELQLNDVLYKFLGHKISSNIQNYYDCSHFF DIIVTHNMSQIENYTKILLYWKPPHIQLLLKYITDQFENYPDVQLCFSKIMKRLHSEQII FYLSQILQSLASKSGQLIKTFLIEYAQQSVLFAHQLLWLARVESKIDVDHKRPPSPKNKG QHQNILRTPEYQQFVRDLLNQGRIQRKQYQISKVAPFIISKIIRNMDSEQTSFFKKVDTF YESVTAISGQLRPQMSKPEKRDIIAKKLREIVLTQDIYMPTNPRYQITSIKLDSGTPMQS AAKCPILVSFLCRKYEGPDKYFERKLKEKREFGKALEIELTKQLKEEDIQKMLIIFEQDP LPDDEEKGQLFINELVRPLRSNGQIQIMSQSMQSNYKDSRIHKQSQSFEKHSFKQPTPRG SLKKQTTNYNNADQQQEIKSCIFKVFDDIRQDNLALQIISMFKGVFERCGLDLHVFPYKT ISNRTGKSLNVGGIIEVVPNTISRDQLGKTNRCTLYEYFINKFGAEDSLQFQIARENFIK SQAAYSIISYILQIKDRHNGNILISDKGHLIHIDFGFIFDISPGGNLKFESANFKLTKEM SQIMGGEVSNNMLSYQAFNYYVDLTVRAFLAIRHYYEHFYYLVTLMTNSGLGCFMKDSMK NFTERFQLKKNDLAAAKYMQSIIEDANDKITTRWYDNIQYYQNGISR >CAK58856 pep:novel supercontig:GCA_000165425.1:CT867999:68793:69645:1 gene:GSPATT00029486001 transcript:CAK58856 MITGNLAEFVWGSVELALNVLLYSRLKLPQKVMSPGLLRMSLVRECNYNFGVDTKIVRYV VASILTPNIVRHFRNSNDSYHFIYGCFMCFDAMIVMDIFPMTDTIYAMILILRLFHLLEN IFKMAKAAQSIKIHMKKVIKIQCNSVNQVNTSNQMSMVSKKLEVSPPNSSQTTNASSLNE VESNQKSKKIPQFPNVYQIKIQTSKTTKIDILIDEPDKFDLLFDQQLNMDRRMNLQIKLN LCAQVYQTLKKQYPCEWLLRQIAQYQAELLLLDQ >CAK58857 pep:novel supercontig:GCA_000165425.1:CT867999:69677:70921:1 gene:GSPATT00029487001 transcript:CAK58857 MKLLVLLCIIQGLTFSTSSVNLTSEKTILQTIQVQENNVERVLQFIRQLQVDINAAQTEH TTYLQNRNGQITQYITEADQALAKAKQQKAQDEAQLPLKEEELNDKRAQGETKFAEKQRN LDRIAALTAEREETKKAYDQRRTEIVGLLAALNQGKKLIQQIKTGSVFTQQEIFADIEHH HKKFVQRFPDRRGFNSLVSLSLAMAQDSTLKSDQSALEKVVQVIEDLADSLFQLQKQEME ADDAREAAFQQAIARLEIANQSLEGAVAYLHAQILRLEQSLLELQNDIATQAQMVVNKQN EKADWLNIQRDETKSYGKQTENRKSQLDLLGETENVFSKGPLTPEQQSFLQHLK >CAK58858 pep:novel supercontig:GCA_000165425.1:CT867999:70931:72942:1 gene:GSPATT00029488001 transcript:CAK58858 MKINNNNNNDTQNSQAQKNFNQQIKLEADDFKRQFKFIDKEKLFMELQNYKIENNNLKEE NTKLRTRIQQTEKELLNYERLCENMTEQTPNKKKANELLLIQLKKQNKDLQQSLKERTVQ VDHLKKNTKVTKFQELECDRKAYFDETVRMRKLIEESQSQFQSMLSKYNQSLQLESEVQK LLKTNENLIAENKALNQKSQQSDEMMRQLQVFCPYIYLAIVQTQPIETINFGQTYLRSKK SNKELQTDKTNLKQTIKQMQEAQYQHLQQPQPKPKKDPTPRQPQLSSLILDELRFKLIYR AITVEQFAEMLEGLKQQAREQNVTVKVDDLRHIFAQEPFTYTDPNKVQSILNSLNGHGNQ LVENLKSLIGNYKTFMNFPTFDFEQAQIKMKAQLKQNEKKIQEFWSKQKIKGDVVTKSDV RKMLNSLSLNWQEPENLFYFLQIFEKSGEDLQHIPIIDTYDPFTIDDPSQQNPMTGHQNM RISEESDEELFDKQSQDYSLDENAVKKKKEQDQGIVFRDEGHIVQQQGRQQQEFDKEENG DENENYDENENYNENEDENQHEEEDHQQRGSEQDNGDGNDHYEQDEYEQNEQDGQQEGHQ ENEQEDQNQENENNNHEEQQNEYENEEFD >CAK58859 pep:novel supercontig:GCA_000165425.1:CT867999:73030:74684:1 gene:GSPATT00029489001 transcript:CAK58859 MYAHSNVYKYQFVYICQQLIQSMKVQSTTTQQDDHFLIADYDYKSTNIEKNGDKYIAKPQ ITNFKFKTDTRIPKVGVMLVGWGGNNGTTLTGGILANKFNITWNSRRGTHQPNFYGSLTQ SSVIKIGTCNTEEVFVPFKDVLPMVNPCDIVFGGWDISSLNLADAMGRAQVVDYDLQQKL RPYLEKLVPLPSIYYPDFIAANQGDRADNVIPGNNKLEHLNIIRKNIADFKQQNNLDKVI LLWTANTERFCVEDPNVHGTAEKLMKSIESSHPEISASTIFACAACLEGCSFINGSPQNT IVPGVIELAEKAGVFVAGDDFKTGQTKFKTCLVEYLVGAGIKPKAIISYNHLGNNDGKNL SQESCFKSKERSKKTCVDDILESNKVLYPTEEELNIDHTIVIKYCPETGDSKKAMDEYIA EIFLGGRQTFAVYNVCEDSLLAAPLIMDLLLLCELFERIQFSKDSSEFQRFDTVLSWLSY LMKAPKSESGITTINALSRQRAMLENLVKVCAGLTVDDNLRLEVRYGASRFQQ >CAK58860 pep:novel supercontig:GCA_000165425.1:CT867999:74702:75131:1 gene:GSPATT00029490001 transcript:CAK58860 MKIIDDIKQQVEKEMKKFDPRLAEKKYMKITQSIKDLFLKAVVSEKQTIKAAAHSIGINY SSAKAIWAEFRAKQKMKKNKKVDGHDKQASREVVKRCQYKILNGCNKIKKLFMEIKSSVA QRLNSTYMISLEQHI >CAK58861 pep:novel supercontig:GCA_000165425.1:CT867999:75649:76038:1 gene:GSPATT00029491001 transcript:CAK58861 MEEMKFSHRSQSLTDFQSEIYTRFLDMHEELSKSSKNINYLINHSLKQLESNQQEARFSF SANSQNQQELNSFEEMVIEEDLDLETQPSNNEREQILENKIKIYESALNTFQHENFKKDQ EIIRLTNQQ >CAK58862 pep:novel supercontig:GCA_000165425.1:CT867999:76311:77803:-1 gene:GSPATT00029492001 transcript:CAK58862 MFSQIFILSPRGDTIINRDFRSDLPKSTPETFFRQAKTYSGDANPLFTVDCIQFAHIKRG GLYIVGTSRFNLQPAMSLELLDRLAKEIKDFCGVINEEVLRKNFILIYEILDESFDFGYP QLMATEQIKPLIVNDPIQPQPDSVMNSLRPKIQTFNIFVPNTIGSQAVQRSVLNKNQANE IFVDIYEKLNVLFNSSAYVINQSIEGCIQMTSFLQGNPPLKLALNEDLQIGRQQGQYSAG VTLDDCNFHECVNANELDMNKTLRIQPPDGQFVVMNYRISGDYAAPFRLFPIIEEVSSSK IEVTIKLKACFDAKIIASYANVRIPIPKQTANAYPELVKNAQLETAEYDSNKKMVEWQIK KLCGGQERSLKIKLTLQATQTAHTARKEIGPIAMNFEIPMFNVSRLQIKYLRIEERGNTT NPHRWVRYITQSSSYVCRI >CAK58863 pep:novel supercontig:GCA_000165425.1:CT867999:77806:78701:-1 gene:GSPATT00029493001 transcript:CAK58863 MSGLFKNYSKFVSASVFASANKLIINPARWTFNSANLFYNPSYRYTGLPQGHELTAFSLA QIASALTYEAQQFNTVDALKDEAQLNYQFSAQCAKEAIGFIQNNNWGQEVETSAQLLYVI QNNALLKDVNKDILLSNLSRNAKYLSTQNIVDVVVSLQDHKDAGFWSQFFTGIKSKEFLW ELQPIDYHGWETVQFDYLDQVKHSFKLSNTWAVLTAQDSQLKRFVLEGVLFGYTNLWLPV LCREKRIKYVFDDRLVDRSAFKNALKKINEVHNVQEFLARA >CAK58864 pep:novel supercontig:GCA_000165425.1:CT867999:79162:79727:-1 gene:GSPATT00029494001 transcript:CAK58864 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFKRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCREEFVKRVQENDKKKTEAN KKKQHISTKRQPVLPRGAEIVKPAATVFQHPKAFVEII >CAK58865 pep:novel supercontig:GCA_000165425.1:CT867999:79780:80236:1 gene:GSPATT00029495001 transcript:CAK58865 MQQPFATFSKQELKKRLSDIQYRVTQEADTEPPFKNEYYKSKDPGEYFCIVCGDKLFGAQ HKYNSGCGWPAFWGSYDSKNIKEISDFSHGMNRIEVRCQKCNAHLGHKFDDGPAEHGGIR YCINSASLQFKKENK >CAK58866 pep:novel supercontig:GCA_000165425.1:CT867999:81056:82550:-1 gene:GSPATT00029496001 transcript:CAK58866 MSIHNQEGQFQTINNLELDQGLSEEIEASKLLLPNTIPKQVVDQFLEIIESHQFMQVSAN DVLNIKLESEDIISLYVELFILKKYLTKYNLEEYEDHDMNILNAQILIPKLIHLIKTNEI PLIQYDVLWILGNIATFQAFDKLIIQNDGIDIILQYLNSTYQQIQLQANWTLGNIATEGE LQQVCRDQVRQKGGVESILRLLSKLKDPKTIEQCIWSLTNICSDGITHLKEETVKEIILQ LCNFINNYDDEIIIQTCLVFLCEIIPSTYEKSLYIVQSNIVPKLLRLVVNQKRKISIKSF EFLYDLFESGGQVCDHILSLKFLDVAETLLKDKNSRIKKMDVLTCCLLLCKGTEKQQKLL IENQMVFQEIFKQVQLKNTNFIQSFVNLTLSKDRDIILVLINNQIISNFTILFEQLQDNE LLEKMLKGLENIFSVVKTEIVELNPKQLINNSELNQIKELFYFHKVTSLRVSAEHIIQLL E >CAK58867 pep:novel supercontig:GCA_000165425.1:CT867999:82764:86039:-1 gene:GSPATT00029497001 transcript:CAK58867 MMITFPNFEVIINHSNPIIRFDFTTNLDESPTTESFGLRDFKIFSRVVKYCGNGMKETDE QCDDGNIYAFDGCFNCEYSCVEGCSNCIDGICFECDIGWIFYSDFNRCIPIVDDTQYQIW EECDDTLQYEICLNGKFFCPSNCKSCQFGICLQCKLNYELINNRCESICQNQLILNDIQC TDYNLQPFDKCHQCYYDLQQGCQLQSNGFCIQCLNGWILDINQNICIPICGDLVILGDEQ CDINKYTKSSFGCNQCEYDCQDECIDCYFGKCYDCIPGWKLKNYQCESDCGNNSIQGQEE CDDMNSIRFDGCNNCRNDCQRECSYCQKGICLDCIYGWHLTDNFICESECGDNLIALISY EECEDSNYDQFDGCYQCKIECCHYCDFCVYGYCYNCEYTFTLIDQYCIPVCGDGLVTVGY EQCDDMNEIPYDGCYNCNYQCREHCKLCIKGICQDKCEFGYYEVDYECLPRCGDGIIVEE EDCDDQNDDLSDGCYKCKFHCPDNCEICDQGKCKLCEQGFELNVILNQCPTYCGNGMVSQ EEECDDMNKEDGDGCSRFCKIESDYQCRNQELSFSQCTYSKQPRFYLQFKEERDQKQYVS LLFTQQVKYLNQDLYSKYIQMNLIDIDIDIYQLNLIVIQEPVEYPKNVEYIIEIQINQTL SIRPYLEVTLDEQLYNSEDNTLFNQYDKIQLKQPRYMDDQKQEAAATLNQANKYLMNSVG GIGILAFFLGNSFIFSSILEVLQQQSYLRFINVVFPFNLFIYFEASNIITMKPILQFFKI DSLMAPTFDSIYIESYEKLKFYEINADLLTNIQTQVFLIIILIIIYYSCALLIIIIDAIK LESLLFIGKYGYKLLIQVRRLCYNYQKEFRKDGVKAFLIANCWDLFFTCFLQLKSSQSYT TFRSIICQLFAYLIFYGCLLILRQYIYKNELIKQNCFSYWISKMDLFFTLKKLIFIFILV CFQKNEQIQTILLTFVCNLYLFYAIKFKPAISQQDYCNLLMMEGSAFIFTLTSAMYWTTF KTIISSNFQINLGWFHIILLLLVLLVNLLIQIYGTFHTLISKISEKVCKKQKKQSIQANP YPFFSVIKFKE >CAK58868 pep:novel supercontig:GCA_000165425.1:CT867999:86061:87103:-1 gene:GSPATT00029498001 transcript:CAK58868 MYMDQKIKNISDKQLIKSVFILLDCDMRFLPLIFLILILTYVSCQTLFYEAFSSIALGQM EGWITTNAYGSFSDCGGTQLFGGFDTFGKQTKVMKFLQLPPHYEVQIKMRFWKIDTWDNE YFYIFIDGIQAFSQQYTSILSTSLCGNIWGEEILSITMSIPHVYQSILILMTSSLDEPTS NESWGFRDFQLFLSLCPSNCVTCTYDDIKKDCISWSLLDSAFLEINSSQTGFKVLAIVAV YHQLVVIKNAVLIVQQQSNQIYRLIKNLSLDFVLLLWTLGIMNTFIFIQMEIQFSLINIF FTKRI >CAK58869 pep:novel supercontig:GCA_000165425.1:CT867999:88245:92474:1 gene:GSPATT00029499001 transcript:CAK58869 MTLYYEAFTDPSFITSEDWVLQNALSTYSDCSGTRILGGYNAFGYQAKAMKLLLLPPHYM IYITMQFWKIDSWDGEILYIYLDGTLSYTAQYSISGVQQCGATWGDEMYSLTITQPHVFQ SVVILITTNLSSLPNDESWGFREFKLYLDLCPPGCKSCQAKDSILECRSWTLASSAFLSD QLPTFKTEGWSITNGIQDTTVCSSIPIFGGYGKCGASSVITGTISMPQHYLIKIRFRIAY MDSWDTEKLYFYADGNLIFQKSHNYNAGGFRSYCGSNTYDDYLPNYEIIMFHTKESLVIT FTSTLNEGFTNESLGIRDFEIFTYDWEDCGNGMKESQEQCDDGNIYAFDGCFNCEYSCVE GCSNCIDGICFECDIGWIFYSDFNTCIPIVDDTQYQIWEECDDTLQYEICLNGKFFCPSN CKSCQFGICLQCKLNYELINNRCESICQNQLILNDIQCTDYNLQPFDKCHQCYYDLQQGC QLQSNGFCIQCLNGWILDINQNICIPICGDLIILGDEQCDINKYTKSSFGCNQCEFDCQY ECTDCQFGKCYDCIPGWKLKNYQCESDCGNKSIQGQEECDDMNSIRFDGCNNCRNDCQRE CSYCQKGICLDCIYGWHLTDHFICEAECGDNLIALISYEECEDSNYVQFDGCYQCKMECC HYCDVCVYGYCYNCEYTFTLIDQYCIPVCGDGLVTVGYEQCDDMNEMPYDGCYNCNYQCR EHCKLCIKGICQDKCQYGYDEVDYECLPRCGDGIIVEEEDCDDQNDDLSDGCYKCKFHCP DHCEICDQGKCKLCEQGFELNVILNQCLTYCGNGMVSQQEECDDMNKEDGDGCSRFCKIE SDYQCRNQELSFSQCTYSKQPRFYLQFKEERDQKQYVSLLFTQQVKYLNQDLYSKYIQMN LIDIDIDIYQLNLIVIQEPVEYPKNVEYIIEIQINQTLSIRPYLEVTLDEQLYNSEDNTL FNQYDKIQLKQPRYIDDQKQEAAATLNQANKYLMNSVGGIGILAFFLGNSFIFSSILEVL QQQSYLRFINVVFPFNLFIYFEASNIITMKPILQFFKIDSLMAPTFDSIYIESYEKLKFY EINADLQTNIQTQVFLIIILIIIYYSCALLIIIIDAIKLESLLFIGKYGYKLLIQVRRLC CNYQKEFRKDGVKAFLIANCWDLFFTCFLQLKSSQSYTTFRSIICLLFAYLIFYGCLLIL RQYIYKNELIKQNCFSYWISKMDLFFTLKKLIFIFILVCFQKNEQIQTILLTFVCNLYLF YAIKFKPAINQQDYCNLLMMEGSAFMFTLTSSMYWSQMSNLFTYDTQVLLGWGHIVLLLS VLIINLLLQIITLFQQLKMYVKKKLWKTDQKHFMNSNPYPLTNLMEFKL >CAK58870 pep:novel supercontig:GCA_000165425.1:CT867999:92579:93919:-1 gene:GSPATT00029500001 transcript:CAK58870 MQQEIGNHLVVLLGAHGQGKSTVAGLIVNELNYVSPYALVRIDEHPQVQENPHLRYAFLM DRLRTERKTKQTQIFSTFHFTISNKKYTLINIPGQYQYINQMQLGIAYGEIAVFVLSGVK EKYVQDFKGQSTLELQLRLWMALGKKHIICAINDMDLVEYQQDCYEYVVNDFSQRLAKFE INPKQISFVPISLIDAENINTKKQHMDWYKGPTLIEALDQIQIDDIEDLVSKPLRFVMHD CIKIPGVGTVALGKLLYGTLMPNQILSFAPVPLKSSVKAIENHHFILNKGFPGYLIGVHL SNLSHKDIKNGYVFSDIDNNPALECATFVVKLKLMEDFKHQLKPKQYYTIHFLTKRMQCS IVQISQKTSLNDQNQNIENPQDLKAGDVGVVEFKPIKQITLENHFDYPQLGKIAIVDNRH MIAYGVILEVKKKEINQKPLKKVQNQ >CAK58871 pep:novel supercontig:GCA_000165425.1:CT867999:94122:95078:1 gene:GSPATT00029501001 transcript:CAK58871 MNKQSLQEFKVNICQNASCQVRQDHVLPLQQMIQCQYYHNNSDKRRNSNNYKPIYISDSN NEANDFCNNIIEYLYHPRIYGTLPCKFQKSQNCKAQHCPFKHDENYIKQAFQYKIFTKLN QILESKSKENDLIFNSKKYIQRIEIDQFEDLKHEFKQFKEIRMDLIMNYICAFLNSQGGI LYIGITDKGIAQGIKMTRKQKDDFQIEFDNILRHFDPIVLPQQVELMFVPIYKDELIMIQ DLFVIEIKINVVDKKQLYFTNQNEAYIKRNATINQLKPKEIKDLVLLKLMS >CAK58872 pep:novel supercontig:GCA_000165425.1:CT867999:95927:97268:-1 gene:GSPATT00029502001 transcript:CAK58872 MLPHSLFYRYFSNFGEVDKILIFEKGKLWKCFVEMATLQQARFSQQQLNGCQLYDQTIMN VYYSTLQNVTFLNNNSGGVDYKSIRQGNSTKTTNNYEHDYHSKTFSQPTIFQHQQDIKQS FVGIWNEGTRASLNDKYELQEQKNEWEPSRILTSLEFIDDGDSPRYNQFSSYKSIQCDDN EHDPIDEDIMLAFSSEKNIYLNSYMFSIPEVEDKTKNQDIDPYTYVSPQFLRENQPSKVV YIRGLINQNITPLNIFNLLSNFGNVLAIIQIKHKASALVQFQKLSHAQNALDHLNNQVFF GSKLKIFYSNYQEIQLPLPIQSPQTVVFVPQKSQFRFSESKSISINPPSQTLHLSNIKGK ICEEESYIKELFKGIGNVQAIKFIHIDKQKHMALVRLSSLEEALNGAALLHGKEVMGRKI NVSFTKSKLC >CAK58873 pep:novel supercontig:GCA_000165425.1:CT867999:97560:101067:1 gene:GSPATT00029503001 transcript:CAK58873 MQVLINSIYESSGDTRIELINLMQEDIKAKKGIVLGDLSRMFIMINQMLMDTNKQVILAT HGLLSSVCLLTQYVKLIDYLLPAELEGGLKHFENQLVHNLADSDRQIRKSTKAIFLKFIA KTRNSEQVLELLSESFKNKNFYIRERAINLIPDIFIADKSIFCNRTGINEVRKVIESIII HLNDVNESVRKASKKVIQNLKNIENFELVYRRLAIQHQNVVDKIQQEMPPKVDKFIELNF KIQEHTDPLIDQFVPFEFIAKTNLTIDDFPYDLKTQLYFGFLPKEILQDTLNQKDLAIRE KGITELNMQFFEDESEPQNYDQKTVDKIVRGSFMPSFFKYISSLFFSQDKKIILMILNIV GKVMQVPGVQVNVYLPLCLPGLIQCLKDERVVIRQKALFLLRKLTNYISKKQYFQNLIYF LEQNMDNWHQKEEILNLISYLVLMIHPSEQWFYGVDLKEMNIKQEKQVEELSFNLREVDF KKSLIAIASYLNDPIAKVKFASLECLSLLAYLDKKHLEYLHEVLNNQVYEKLNDKVDNGI VYFINENGNLELPQFNIKKLEEQKIQSQIKLSMVQSVQSEPKPQTPVESEKSEESRYKIT YYYDKFQMSLNDKIIKSNASIDSLFASEHKNNQGTKYDMIIPIGQFQQTKANEQFKLINS NIYLEKEKVDDNPYEDEVMHSDEEELQSIEFEYPTRTLKYRGHAPDQITKSKYQPIDDIK SRVFHTIKQLNEDINVDLQIPKVIKANVGAYSGYKYENEEEADDEEIFIDTKLIKQQHKL TQPTQEESIEFEEKLVKENLVDNQIEYVQEIIKNQQLIYTPEQRYQKRLDEFLLSFEQRD GKNKGRNARKLKKKLKEIAKQEELPRVFDMPVYIDNARAETAALLINLSSLNLDLLAMSL HKFRVLLQNHLSDVYASQVPLLQIVNDVCRILSTQSNNPLYAIDYSQYKKNIPPQIVVRK IVFIALQTLSIAVQTLRSTLIQVQKMFTVCGVWVQDENKQVSQQAEQTMLDILRFCDDSQ LQNILPNLYNKQSFNSKIYVGVLIEHLIIKYQKEIFKSVHFVNIIQLISQMVIDQSFDVR RIGKKVFFKILTLGKYQIDEIVMQMHLQEKNQMKELMQRYDELVTDHQIGIFDQEEYMGL QVMPQKFNFEYEMIDDQIYMK >CAK58874 pep:novel supercontig:GCA_000165425.1:CT867999:101304:101891:1 gene:GSPATT00029504001 transcript:CAK58874 MEEIINDYSLCQQIKNESQSSQQDEKKFQRRIVPFFLNQFKHWAKQMDYKKVECYLKVIQ SRKTSKQQKWELGDLQKVFGPINPRTKCIQIETQQRWKEFLDQQAETTVIMNNKIKDQQT KGKYIDAILQLKQELSKKTPYYRFLSIPPSLTCDYKINTETCLDEQNQNDVECFIENSDQ ERLFTSFIEQDKESN >CAK58875 pep:novel supercontig:GCA_000165425.1:CT867999:102511:106780:1 gene:GSPATT00029505001 transcript:CAK58875 MSTFSQILYHESFTSNSFTTSEEWVLKGQTNVYSDCGTVRLLGGYDGFGKGASATMFIQL PPHHTIQITLEFWKIDTWDSEIFFIYLDQVSAFQQAYIMGGTQTCGKIQGETIQQISITK PHNFQSLFILMTSDIDETPSNESWGIRDFKLYIYPCPTGCQTCISTDSRINCIIWSIVEE SLTSLDINTFKPDGWTIERGYKYTSNCLSIPLLGGYDYTGENSYIYKKINLPAHNQIKIK FRFMFIDSWNAENAYLYVDNVQVWTDSFNYQSREIQDLCGWAYDDIPHNQEITVSHSKQS ITLKFTSDLDQVPTNESFGIRDIQIYIPCVFGSSFSQACGTVCGNGVKESQEQCDDGNIY AFDGCFNCEYSCVEGCSNCIDGICFECDIGWIFYSDFNTCIPIVDDTQYQIWEECDDTLQ YEICLNGKFFCPSNCKSCQFGICLQCKLNYELINNRCESICQNQLILNDIQCTDYNLQPF DKCHQCYYDLQQGCQLQSNGFCIQCLNGWILDINQNICIPICGDLVILGDEQCDINKYTK SSFGCNQCEFDCQDECTDCQFGKCYDCIPGWKLKNYQCESDCGNNSIQGQEECDDMNSIR FDGCNNCRNDCQRECSYCQKGICLDCIYGWHLTDHFICEAECGDNLIALISYEECEDSNY DQFDGCYQCKTECCHYCNACVYGYCYNCEYTFTLIDQYCIPVCGDGLITVEYEQCDDMNE IPYDGCYFCNYQCRERCKLCIKGICYDKCEYGYEEVDDECIAICGDGIVVEQEDCDDQND IQSDGCFKCKFHCPDHCEICQQGKCKLCEQGYELNRILNQCLAYCGNGMVSKEEECDDMN IEDGDGCSQQCKVEVNYVCKNYQYSFTQCTYEKYPSFEAAFIKQDYEIQYVSLHFDQQVK IQDDIIFSEYIQLSLKEIDPELYNITLIIVQEAYQYCTYVEYIVEIVINSTLSSPPVLEV VLNEQLYNENDAPLINQDGSVRLNIPKYLDDQKKQSAQVLKKMGTYIMNSMGGAGFLVLL LGNSFILRGVIEVLQQQSYLRFINVIFPLNLFIYFESTNIITVQPMLDFFNFNYFSSELV ELPFVESYEKLKFYDINADLVNNIQAQIFLSLALYSVYIGCHFLIEIFRVMEVHHFLQFG ESVASLLLKFFKSLTTLKRELQKDGLKQFLLANCWDLLFMSFLQIRSSSFKDLRSIFSVI LGYSIIYTCALIISCYFLREDLRMPLFSQYWIKKFNLFLIFKKLLFIAILVLFQQSQEIQ SMLLTLVCQIYLIYIFLFRPFQSKTEYINLIITEMSVFVFCFTVILYWNQMESRFDYDNQ VILGWFHIATLLSVLIINLAIQLYVVLGKLTSVVMKKLQQSINHHQIQQMDPYPLRNVME YKL >CAK58876 pep:novel supercontig:GCA_000165425.1:CT867999:107025:107659:-1 gene:GSPATT00029506001 transcript:CAK58876 MASYVVNSVLNDSIRSIKSNQNDSKQKINWDDFNYPPLIKVIHYNIEEVQPEYRLVVRSL WLSSILIMAYTLLNIIDNSIQAGYGNDGIRILYSFMFLFSFNPIQLQNILHSQSFIFYRG YKGVVSDPYLLVLYKWVQIILILCWITFSIVDILGFNGFVVLSLLFEYLPFCGVLALFED IIFLLIVFLSGFALFRIWKIKE >CAK58877 pep:novel supercontig:GCA_000165425.1:CT867999:107782:108396:1 gene:GSPATT00029507001 transcript:CAK58877 MQREIINRLKPQEQLNLVAVMKEFIIFERQIVDLKNLMLITQDISWEAISKLIGTKLNFQ EFLGLLKKFKHDCNVEVAKRLFSCYDKEEKGFLDESDLKLMILPNSLITQNINDTGEIKI EVLSKLFQVILEVQLKQLKCLRNYKFNAELAFRFLSQGRLTVNQNHVKMFLKTNGIQCTN NELMLLHPFDLSLQQFIIKYECKI >CAK58878 pep:novel supercontig:GCA_000165425.1:CT867999:108479:110061:1 gene:GSPATT00029508001 transcript:CAK58878 MEGNTERIQLNKQFGSDQQTSRFSFRGRQQGRETNDRNQFEGFESNFKDYSNNYDKSYKL NRTKQSDNLENYQQTQNIDRQSQPYNFNDNRRSQQRDRNNVNSDRWDKIQENDEDQQKDV REFQFRKTGRGRGRGNSQFLGQKRDYANYGRGSGRAEFQRNYDNKGDAQDEAFNVQKRVK RNDVIVKKRAKILKLEQNLEMYEFVKVLGYYNEQLIILKANQIEFYNILFKRNGTDILDD SLRTSLDHWGKVFLNGWVQQKQDGTLNLTIEFLNKGETIKNLLIYPNLLKQQANLPINDL TLEQQSHLLINEVTLKNIVFVDHEQDRILTFCQDGLIRIFQLTGDQYKYVQHFNLELTIE AVIKVGSNYLIGTRNQKLLLFDGQTIQKINYQFNKLCTQMIVDYNRVILKMDNENETSIF ILTQNLQVIGPIHTGSKINSLGIIRSYENDKLFIFSVNNTIETFIEIDNALYQFNKISDQ SIQNIQKFELTNENMLIQKYIIGNNGLDIKIFSIVPEE >CAK58879 pep:novel supercontig:GCA_000165425.1:CT867999:111574:112309:1 gene:GSPATT00029509001 transcript:CAK58879 MNQSLIQIRQQRRILPNNKKYTKPLPSFGSKRNATLIYDRSVLTNERINKNLRIEKNIFG ESSCHCDSCGKRSTLMKKIFQLYQFPQTKYHITLKKQLMEKVKINSPIQKTYPLYRIVKR IKTKKKFTIKKVLTKQTINEQQQQKPSTIQSAILELKQIIRVDSTQQSKRNKPKSKDSKN SYTSLLKGRRNLRQLFFIPQLESPIKQFIIKNSKPNTVHHSPMQSLYDFKISSSFRGL >CAK58880 pep:novel supercontig:GCA_000165425.1:CT867999:112467:113711:1 gene:GSPATT00029510001 transcript:CAK58880 MQVLQPINDHQQPVKITADYLFQDAPQTRCIYHPQYIRHFCKEPSCLMPLCQDCIQVHPH QHSRFIDSIESTLTQVYKGYASRANEIATHQTGDILQIRQDLHKLIDMIVDDVLNKADKN YQYDLIVQWRNLIKKLERLKDPNYCLKETILYFADQESQNPQLKYQGVPIQVNYEALEQA KFHLHHLFMVTLEDGEYFFRPGERTGDNPIRRVSRMVYDPVLSTHADYQPYNYSPQRVMV SPPVQPIPQQIIYQTQAPPPVPPPVQQPQIVQIVPQVQPYTQTFIQQPQQLTYTQAPPQP QPIVINKPEPPQPLVYYQESPIKMVSPKQEPVIQQITVPQPTIQQQIPQPQIIQYVQPVV RAPQQTIPTAYTVSTAKPFAPLQSGEYSHPYPLVQQ >CAK58881 pep:novel supercontig:GCA_000165425.1:CT867999:113722:114086:-1 gene:GSPATT00029511001 transcript:CAK58881 MEKPQVIITTVKRGDEITYPKKGNHLRIHFEAFRPNGEKIETTKDADRPFEFQIGVDDVI PGLQQILYKMTIGEKVKAEIPPQFAYQREGLTGIIPSNEKLIMEIELISITF >CAK58882 pep:novel supercontig:GCA_000165425.1:CT867999:114261:118560:1 gene:GSPATT00029512001 transcript:CAK58882 MNEKGLMFYDFECILKYLITIYLFVLMRRLFLFINLYITMELLICRDEMGLTLQIDKSVQ IPQYNCTLNVSNEKLMNMTSSGGQLKVIGTELLLTQTVILAGFKVVEFQNITIDTLTDTI DIIGPVLLSNVILLRNCQIRSTTMQIRGSQMIGMTYQNTSAFQSPMEIDGLYISDCTFFR SQLSSQMIQLRNVIIDQVSFINSTLSPQTQVNRLTLNNSFVVQSILIETDISQEVTFQNT YLTLSTGILAKGALYTTVLNVTLSQSVLIQLQSENQIKLSSLKINRVISDSQVIVASSQY VYLYNSTITEVESKAFFNMDSQNIFLQQIIISSLVGPLLVSKCDQTNVMLNYFTISNSHQ RGLFQIKGAFSLSKGNFNSMFGLIINSQTITELNVDQVEFKQINNSILINLKESSLTQLT NVLIEDCSSIQIAKLETVVYLMIRNLTINNCNECNFLTLNASQADLTHIKMFQISNFLTP LIQALESDINAKGLYLNTITFNNKELITTQNCSKLVLTDLQFTNIDCPQCNGIIVIRQST HIEIFKSTFKNTQSFSGFISVQDSNNFIAYNSTFTNISANQGSAYVISNTAIKIYGNQFI DLTSPEGGVIYLNQSSGLSHYIQQNLFNNCSMKTDKHIFMLTSEIVEPDILTYVTGPVYL VVNNDSYLFDNLIKRKDIIHKENYKSGQTLELKIAILDSGRNRICDFKNYLAIEEKIFEF DELECQYEVFYTHYQQQPKNETIQVLLEFQSLTFYNDTFKLPIYLSLIQCEIGEEWENQS CLKCPAGSYSLDNFNHCQQCITSIESCPGGSELVLKQGYWRANKTTDSIEYCQSSVSQCL GGSEEFTCSQGYTGALCDDCDYYAEHWNNSYTRGFGGNCTLCQNDVFNIFKIILSFSWIL IALFISIRGSLRLVRAQLSAHYLRMMGIFFATRSTMVIDQTEILIKLFSSYFQLISIVQV IDFDFPTPIEVIAQAIGNPLSTLGYSIECFLLNSQLQIEIVYLRQFWNLFVSLLFVFSFV FIYSLIQLCKQSQMENSIKTIFISCLIQVNFYFQGDIIEGLLQLMFCIKASGQYYILAAT SYMCYTEEYYLYLKILIIPTLILVGIVSPLFYIIKLYRNKNKLWTCQLRMPYGYLYVEYK DNYYYWEFVCFFIKSLFYLLETLLIQDIKLMFLFAILILLVYLELLNKHHPYIEKQYNFI DKISTQLAMVTLILSYSQDKNPYTSLVYILSILCSVLNLLYCTYIFSKIIREYLSSLKQQ HIERIVNLIIRYPCIKYCIKKPKNYYNRRKACLLWKKVRVYVMEFIEKLKLQKSNNNLNQ DYQLQSSTHRPNTSSTNTTISLLNSMAPQLLKYIKHNRNSSRVQPETDSRSSKKNLDQND FNSVVSAYIDPNNSVDAETPQLPKKSTVSSIFSYVVNNHGQTPL >CAK58883 pep:novel supercontig:GCA_000165425.1:CT867999:118709:119926:1 gene:GSPATT00029513001 transcript:CAK58883 MSISTTCEFDHSNKTVHRKFKSLHFKTKQDPLITVKPFETPNHGHQFQRNFSHYLKGDLN VSTLIQTLNYPRLTAEKLNPRKEEASLKMRQQTEQRRNYLKSKLLKVRNLIKDKVAIKKP RNEEMRQGNAQTLAIVQTILDIANHKENTITYQKKLMPKSKSLKQYRLNYDDVEPICKMQ RDFIDAIPPRQYLKSVLENNAQLYLQELQSRAEQHENNINKLVRLNIQNKKKDFTLFHKA AKTDRRNQDGILTIGDVQLYDYLYNNTQGVRIEKHPKYIQNVIDSGVDFRQNLTRRLLST RNKKTHYNQDPPENSDDSCASSLQSACEIKLDNYYEETREWKRKQYLQLPRRIKQLIALE ELNQQLLFYQLGRPSKQQLINCNLNHDNNNYSIPYNNQLINDLHT >CAK58884 pep:novel supercontig:GCA_000165425.1:CT867999:120321:121192:1 gene:GSPATT00029514001 transcript:CAK58884 MNRLLITQTGEQLRNEMKQQVYSERTGKQVIKKEKRLPELVRQPTNLFIDTERDNILYQK TLSEVALSNLNRVASLSLLGQKSKNKLETHIREELNLPHNFQYLNQNLDKQVNKILSDKD LKTKRKRHLLTELKRIEKKRTTSHNEDVSLFSSCIEEYDKNQKMTAIINAEGKLAQLTRR YKQKELNDQKLKLNLNISLEKMQEHKHMLIDAINPLSPTQMKINSQRQFDDMFYDNSEER FHNRLQEKHVKSICQVWNQYQFPKQTKRWTQFIENAKSQKKQ >CAK58885 pep:novel supercontig:GCA_000165425.1:CT867999:121224:122340:-1 gene:GSPATT00029515001 transcript:CAK58885 MIKILRMAPRFNYLPRFHVGQMGPIAPSPQIQQKMEALEQNQPFFDRLIFFDPDIMASLP GPLQVFDPVVDFGSGILTYLHDCHIPWVGVLSLTCIIARSTLLPLIYLQMKRTTRLATVI PAIAQMKRLIDKTNYSKPKKFFTLMRLSYKIVHSQRLKWMRLFLYNVFHIPMLITLIWSI RRLLIDESFKTTAFLWIPSLSNMDPYFIVPALTVICYYYNLQRFITPENKDTLPSKLRNV GQFLLILWLPFLANWPCAIQIYMLFNAFFSIIQTSIMLHPEFQKIVDPKIFLYQMIIRMI EYDKNTSLSLIEAIKSGEETNIEKSISEEQLLDQFQKSLVELNEGELQEDAAKISKDKPN FY >CAK58886 pep:novel supercontig:GCA_000165425.1:CT867999:123225:123975:1 gene:GSPATT00029516001 transcript:CAK58886 MIYKDRKSPLKRDNSQTKKSSSVRKEQEPIMRHPKRKTLGCGHEIDVVDSSGECLVCTAQ SPFDEFKSKSANVFKVLQSLDNDDFFRALQQLDDPLTISLSKQRCQSSTGELFKDPMNYS RMALAEQQTNIQQIIRKCLRCNKDIQNHDSKNISYFCNSCKNF >CAK58887 pep:novel supercontig:GCA_000165425.1:CT867999:124497:124992:1 gene:GSPATT00029517001 transcript:CAK58887 MDVFQALNWKQVRNKQNKRQFKLQILGRAQLKREEQKQDSVQYFTIKDYNLQPNIQRYTP QGCLQFQSKSNNKSLIDTSVHFASDNEQSRTRTPDIRVLKRKQNGKVIFQTIPKMRFPQQ QITCKIDQNLVIQKLQLQTQQTVRKGLFFSERNGKRK >CAK58888 pep:novel supercontig:GCA_000165425.1:CT867999:125040:125558:1 gene:GSPATT00029518001 transcript:CAK58888 MQDLEELLKKPEIYENLSLFKEFLKGVKKLCDQFEEKIKMIPKQEIQEDLAIRKKIDKQM LKRKKKRDQQSQSDTPIKASKKQRQQAIDVDQLEKLNLKDGSMVEIQELNQSFEFQMNSK KQSKRINQDVKREEEDPKGIQEEDSFGGNIVQEYQ >CAK58889 pep:novel supercontig:GCA_000165425.1:CT867999:125616:127142:-1 gene:GSPATT00029519001 transcript:CAK58889 MISIKQNFSQFRRLDLFGMDVRLLAHGQQAYRTNLGAFMTLFLFSLLAYSCNSFILEMNK GKNAILNQKESPLLQNEGFSFNSSQFIYAIGMVDSLGQYIPNENNRIFQVSFYYCKKSLL ETACEVFPAVICGSRISEAAKQMNVPEDYQYITYCPDDHFAQTHQDIRFQGSQRMDNLTL LGAYIQKCTNSTETQNCAPEEEITKSLSNANLFYSYSFYQFNKELDLYPYEKVQNLDVTP CYPNIRKYIKVFYQYSVTTSEYNPFYFFPSTIDQDAVEYQQTTIDTFLDYNLDNTFAQIE ITLNFKKKLNYVTYQTLMDVAAKIGGFFTILKALFYLLLYPAQVIVYRLYLINSLINQQQ TFTTLENLEQKDTQTKFAKLRIRDLIRYSRARSTYFNYLKKVERLLDITEVLSNPLRLTR QVEDIQGSIRKFDPAKNQFQVRVALDEIIANQDKNDIQSPRSVNQELQPVIRQSIFLNRL QPK >CAK58890 pep:novel supercontig:GCA_000165425.1:CT867999:127298:128271:-1 gene:GSPATT00029520001 transcript:CAK58890 MQNSDNSDEYYSDDQQESPRFLYSFREISLQNKTLLAEIRIICKNLIYVIGLAPSIAKEE LLKKPEYFGQATLLIPLPMLPTLHTEMNRKHRWQFQYTSYLKFMACERFPLHDRYVKASF GTTKYCTNFLKGQQCKIKDCVYLHQHPKDKESTQVIKKEEMNNSKWLFSYSQKIAQDNFK KFYSKINYKNALQKSVFLNTQNILDRMIEDNIVDRIPEQPQPAQQQQIVEDTLPIEIPVS QKSLDIEKRLEAIIQNSIIHLLTQVDGDSNSRFKFTNGNCPQDYEAIQQLKKSLLK >CAK58891 pep:novel supercontig:GCA_000165425.1:CT867999:128308:129104:-1 gene:GSPATT00029521001 transcript:CAK58891 MSRPYSPTRSRAEKIVGQLSELTLGHKDQVCDFENKGGRRESGDLGNSHKGSQGDFGRED LQNKGGLGICGIGVERIRTKAGMDEQQMVEDMKKAEESVNEKLEELSKQREESQQKFNEQ VEKDIQELREQISQEKSERNQSIKEINDCLESDLPQLQEMLQQNIKERDEMDRNINTRLT EELQRLSQIIQQEKVSRQQGEQSLFEMLKDVVNRIKKEIDEEKGQREVTENDVLSVLEET LNKILAAQDH >CAK58892 pep:novel supercontig:GCA_000165425.1:CT867999:129310:130943:-1 gene:GSPATT00029522001 transcript:CAK58892 MLNEFRQIHSNTSNVAKNVGIRCACSVSRSRVRSSVQKMGTISQFTRLLQPADLSLYPIN QAVLRIICKSQDNDLAQNQDKLQQCPQHHKLLELICIDDKCRICSNCALFGLHKQHNIQN AESLDKSIHENLQYTQQLTHTIQDKLKLLKLPLINKKIHDQIGLCIKQQQTLAEEQFKVS YTNNSEEIINQILAKQQKVLEEIEQKYKLLEDQFFKKEILTITSILDKADLWILGSNQKI QEYQSTKVKGDIPYTLLLQDSSNKQGKQILEEIDEYLLKFQNNLQDIVSAIPCANQYEQT YFDEPNILKDLSIIEQSFLKEIDEKPPHNEFLGSSLPESTCQSQPSSNNISQNAISPQQP HQKRASQQFALVSPKVQSRQQSASPKKPAAKKKLNTKVESILFNCNGDYLDLSQQDLGDD GILILEEQIRNKKVKIVKLMRNRISDVGAIKLMELHCQVLYLQSNVITEKFLDMIMNAIQ KQIQIHIKTVYLGQNLINQFRVKKKIEDLKKLGISIQI >CAK58893 pep:novel supercontig:GCA_000165425.1:CT867999:131014:133335:1 gene:GSPATT00029523001 transcript:CAK58893 MENIQVAVRIRPDPEPSIWAIHDQHIFLNNQMRKPPNGQGRTTFAFDHCFSQDSKNADIY SKEVKSLVLGSTNGINGTIFLYGQTGSGKTFTMLGKENDEGVLLQSFKDLFAKIEADLNK TYVLRCSYFEIYNEQIFDLLKPSSKLQETLQVNEDQKKEFYVKGLIEQSVSSINEIFEVL KRGEINRHYAQTAMNHNSSRSHAIFRLQVQSITNNFIRQYRREQSQHKFPTLEQLEAENN QLKGAMVTESVLNFVDLAGSEKVSNHFEDAEIQDTNRRVKEGQYINKSLFFLTQVIYLKA EDKGHVPFRNSSLTKILKSSLDGSSRTLIILCINAAALHFDYSISTLRFGMNAKKIETKV LANINYQNDDEALKILLSDYEKKLHDFEKIRVEEKEGFEQQLNQLQIENQSLQNRISTQN AENFKCIPKLYKEPTWADRFKHDFHCDGAGDILVTNTKVKKPPQHDCQGKLVLNALKASE QNRKTLLSNYEVVKKQYLQLNEYYNQSTLRLQQQNEQIQCLNLQYNKLYSYASEMKQQLN FDVKQLENQELEQMISFYQSQLLKLQIEQQARGQSMDQKKQYDVEQFRLVDQKEDVVDVQ QYQQIDLDEIMQSNMVNVEMVKEKEEDHQNQSVESEEFFKIEFPTYLHHSNNFTKQTMNE TASQLTVQDLSEINFKNVLQSFISEMDVLQPSVSTHHKNNSTSCNKKQTAKYKTPDKLKK QSTSQTTIRGKQNIEPTRQSFNNIPKPKVRPRQNGNANEELSMLLNNTEMSKN >CAK58894 pep:novel supercontig:GCA_000165425.1:CT867999:133360:133618:1 gene:GSPATT00029524001 transcript:CAK58894 MEEARDFSHVGQKILTIDKLCYKKCLKNYERAMNVKEEACLKNCLAKVINGIDFLHSINN KGGLDRAPFKTESGFWSK >CAK58895 pep:novel supercontig:GCA_000165425.1:CT867999:133648:134336:1 gene:GSPATT00029525001 transcript:CAK58895 MTDQPYLSLSKTKSSHSHHGYHKKLILQLEEEDQQHIPMFRQNTSKSRHLDKGTLSKSKI QNIAQLQEMFKQFKHFKCSLQSQTPAIQMMKSSQISKKPQSQSKSTIDAKEVSFEDKQKV MIQARKTMYRIVMDNKLRSQSIKDYGFNLRPLFNKVIEKNDSVLEQKIQEHRLEKRKSDL FSRSPFSNAYYATKALSKRSRMNVYERLAQNLPADSRFHYE >CAK58896 pep:novel supercontig:GCA_000165425.1:CT867999:134349:135116:1 gene:GSPATT00029526001 transcript:CAK58896 MLGLGVIGTLYKYKLESNQIQKREYYLLTAGKNSEGSGLINTGYNNDKLARQGMPLTQMF YSYLHAKDIVVSRSRDQNYRKYFEMRKKYQIKE >CAK58897 pep:novel supercontig:GCA_000165425.1:CT867999:135126:137506:-1 gene:GSPATT00029527001 transcript:CAK58897 MSKSSVIDVFLRVRPTKNPSNLFKLNKDEGMAEFIIPKNPDQGYINNQIEKHTFNFAGVF PTDTTQEEIFDKIAKDVVDSAIEGYNGTIFAYGQTGSGKTYSMTGNPEARSSAGIIPRTL YHIYDYARREQELIIDVTISYLEIYGGSGYDLLVADNRSRNLHDLPKVQAMATANGQTIL QGLSLKKAQTEEDALNLLFIGEQNRVIAETPKNDASTRSHCIFIVILECRKPTSDVKTVS KLCLVDLSGSERIGKTGVEGILQREATGINLSLHFLELVIDCLNRQANGENIHIPYRDSL MTLVLKDSLGGNCKTRMIATMSSEPDDLPESICTCRFAGRVANIKNKVTRNEAVDPFIII EKLKRENQQLKAELAMIKGQSIKDHLESYEIDECKKKVDEFLNSDDPAAILVVNDHLKMN ECFFQLKHMLKNLNKKASSQPVAQQPQAITTNNNPVEFQQLNDEVLRLKQLIIQRDNEIM ILLNLIQKGKGSNTNQSLVLQGPISEQPEIQQANTSSILQPIEFPKQAEIDRQSQIFTEK PAVKKQNFMQGSLDSEPTSQITKTTTAQFKELNGMLSEPINISNDQLLDRNSALEMFRKS YRKAQAQEDLKQELQEKMMTSKELGLFINNQRNIISQLKDKIEQTRKEQVLLGLVSSDPN QVSTEEEKLIAEYNKQKDIYKQSFDKLKQLKAEVERMSTQLKHSREKMQQDFEKWIDMML KQRNLNMAATSVLSDFKNLSQKGGEDVNSMVQQFYKNKEICNQLLQK >CAK58898 pep:novel supercontig:GCA_000165425.1:CT867999:137595:138634:1 gene:GSPATT00029528001 transcript:CAK58898 MLYLLLIPALAYVQEQYLMEIYNQVNSIKESISQLEYYSALEKLEWKSRQDREFEEEGMA IYLEHYADIHKLLKQRINSEKKEKDSLELFIHETYLKVANLLKRLIIEKDKLIETDIREL AKLKHQTREDTQKRFQEYNFLLEKIKEAVDSPNIQDLLKTLELLESKIMIGLFKSNPSQE LDFFIKGVDRLFKQSNHSNSKNEALQLLSLMHSVIQETIIEMMNEQLESSFDLLDKELFY SQSKSTHQQAKESLTTLLNKLEVTGANFWNEQLKLIGDEDHLIQILQIIDQSNIINNYQT L >CAK58899 pep:novel supercontig:GCA_000165425.1:CT867999:138708:139628:-1 gene:GSPATT00029529001 transcript:CAK58899 MEIIIHTFERFFGPVDWKRLKTDVLCQSLVEEGFSPKEAKELSWLYGHRNIGRNFGFMLG VGVAYCCNGWITRWTYNIPYLRLHRSSLWVSRALVVFGSLQLFDYYSTARRKGGDSGLGH DLMWVNTRYTESKERFIRNFEVLNRKLSDIEKEILTARQIQLNSPIKDRRWVYNPYIHGS DEEAFWSNVRRNFLDKLPPWERYDVQQQIAADNKEKIAEGEQIRLKPYKLTDDVDTSGVK FGFRRNPFVNNWTPLQ >CAK58900 pep:novel supercontig:GCA_000165425.1:CT867999:139645:140875:1 gene:GSPATT00029530001 transcript:CAK58900 MPTTINDFIIIDKLGEGSFSQVYKVKRIADNQFYALKKVNLSLQTQNEKLSTLNEIRILA SINNQHIIEYKDSFLDSDGCILYIVMEYAPIGNLIKLQQQNLITTQEIWRIAVQLLLGLK CLHDNQILHRDLKLANILVVNSPIGYQYKIGDLNISKIAKGNMAKTVIGTPLYAAPEVWQ GTAYSYPCDIWSLGCLLYELAKGAPPFNGKDLQDLNLKIQSGQFDPINNQDLNQFISFML KVKPKDRLNCDKLLQSSLLIKNSGNLAVQTIVDGKIQIDLLQTIQIPKNLKQLNLPSQNY IAKPKRSESCQKLKTQNSIQTKNNTNQISQLPPKKSGQTEIQQPPPLAPNRIARPILQNQ ATAHRHNPLQITSQRDPRQITRQGSAGPLSRR >CAK58901 pep:novel supercontig:GCA_000165425.1:CT867999:140885:142121:-1 gene:GSPATT00029531001 transcript:CAK58901 MSEEHPAVVIDNGSGQCKAGIAGDDAPRCCFPAIVGRPKHKGALVGMDSKETYVGDEAQA RRGVLSLKYPIDNGIVNNWDDMERIWHHAFFNELRVSPEDHPALLTEAPMNPKVNREKMT QIMFETFNVPSFYVQIQAVLSLYASGRTTGIVVDSGDGVTHTVPIFEGYSLPHAIQRIDL AGRACTQYLVNILNELGISFTSSAELEIARDIKEKLCYVAQDYDAEIKTYKESAANNKPY ELPDGNVVVVQNQRFRCPELLFKPNFIGLEVPGIHELTFKSIMKADIDVRKDLYANIVMS GGTTMFPGIPERLSKELTNLAPSSMKVKVVAPPERKFSVWIGGSILSSLSTFQSMWITRS EYDETGSQVVHRKCF >CAK58902 pep:novel supercontig:GCA_000165425.1:CT867999:142554:143401:1 gene:GSPATT00029532001 transcript:CAK58902 MIGRFVGASVGKWNQQLFKLFLGSLRMEVIKQMKQSNIKNCTLTPFLIKGNIYSSNIKMP PMFLIKNLIPMNKQAKLQYYFQPQSSYRKTIVGLCSGKILETGVGTSRNVKYYPQGSDIT AVDWSSNVLEVALLKSASNINISYRLEDVENMSFKDNTFDTVLDTFGLEYYLNPEKAISE MKRVCKPGRQDIITHIWQKHL >CAK58903 pep:novel supercontig:GCA_000165425.1:CT867999:143413:144584:-1 gene:GSPATT00029533001 transcript:CAK58903 MKTTLLTVLTLLVLGNSQQVDIHAKDVYFIVKGVVEGVQVDDHVEVKEIVSCLNDSEALI ENIVKAITNLESQTFDGVKEGIKLIGVAIQQIPDAITACESGSEEMVALSKLLTNMLEQL RNPWTFSYKIGYNLIVNGLDIYQEISTAIKDWKSEIYEDFGKQIGFVLVQLLKETKNIEA VILDDEVVGIIFEGLLDGIPRTLKLLNVAGGIVIDFEKAVRLLEDGSVSSVIQALQSFVE GISEFPKALETCQSSSQEAVKLAEKIKDLIEALSNPSSFVYHIGKDLIINGKDIYQEIFA AVDDWKYGKWSDFGFQLGKAMEQIFVGLEKERLYQL >CAK58904 pep:novel supercontig:GCA_000165425.1:CT867999:144933:145472:1 gene:GSPATT00029534001 transcript:CAK58904 MNKKLAYSYVKIKMILENFLTTCYIRVQLNEKQKCFEQILELYDLTIQKYPSENEFLHMK ATVLLNFNKLDESLELCDQIISQSPQQLNGYILKSKVLIQCGKLDEVIELWRQCVQQFCE VPEVYRFLSLLQQNLFSYSIREKKILRGASYSVQQGYIKVS >CAK58905 pep:novel supercontig:GCA_000165425.1:CT867999:145618:146349:1 gene:GSPATT00029535001 transcript:CAK58905 MKSFNNQIILVKSLTKYKKQVSKNLRADNIEDELIRIQDSINGQMKICYTQALQETDNEC LELERIKFLLEINEVQEARIFLDNMIKKYPKNIEAYLYKGIREIYLANILEDEKSFDKII DLFDEAISLQPENVQLYENKARHLEKQQKWVEALKCINQALSKSPDSLHYYLQKFKPLQK LNLIKTARQCCRMIPNVYYDTDQEMEQCDF >CAK58906 pep:novel supercontig:GCA_000165425.1:CT867999:146360:147236:-1 gene:GSPATT00029536001 transcript:CAK58906 MVEITMISILFFMADPYCLWKTQFTEQTFSSLPSNWYITGAYSGNRLSTCSGTTIIGGYG IAAAGSQIHGSYSNLPRHSAIKVRIKMYYVDSWDTEVATINVAGYVTQRTWFLDWGPSNT CGVGYRDGVVWETLQGSHTADSFNIQITNGLDEDAGNESIGLKDVEIYLIPIQCIQFFSE CNYTGTMKELCQGAPNLDRRNIPQKIKSIRLHGCGQVKLTRKGLGSITITENTPCLPEFD FPPVENLNR >CAK58907 pep:novel supercontig:GCA_000165425.1:CT867999:148078:148524:1 gene:GSPATT00029537001 transcript:CAK58907 MKLRKIQFFSELASLKFINYSFAYIDIFYFIITSIYVIFISEFPTNSQFSILSDSFTWQL NINTEEQKFTQKYCNKVWQNFFMRKQDNKKRNQSLEQNITQHNIQQFKNYRNTQQQKQTK KKNKLKKWDLKKQKKVQKQNFEEGQENN >CAK58908 pep:novel supercontig:GCA_000165425.1:CT867999:149679:153725:1 gene:GSPATT00029538001 transcript:CAK58908 MTLYYEAFTNPSFVTSEGWTLSNALSTYSDCSGTRILGGYNAFGSKAKAMKLLQLPPHFM IYITMQFWRIDSWDSEFLYIDLDGTLSYTAQYGVGHVQLCGGAQSDSIYSLTITQPHVFQ SVIILLTTNLNSLPNDESWGFRDFKLYLDLCPSGCQSCQAKDQFLECQAWTIVSSAFLSD QLSSFKTDGWSIISGIQDNTACSSIPIFGGYGKCGVQSIITTNITMPQHYLIKIRFRIAY IDSWDSENLYFYIDGNLIFQITHKPIVYGFRSYCGSDTYDDYLPNYEIRMFHRKESLVIA FTSTLNEGFMNESLGIRDFEIFIYNQQDCGNLIKESHEQCDDGNIYAFDGCFNCVYSCVE GCSNCMDGICFECDIGWIFYLDFNTCIPIVDDTQFQIWEECDDTLQYEICLNGKFFCPSN CKSVQIWYLFIICESICQNQLILNDIQCTDYNLQPFDKCHLCYYDLQQGCQLQSNGFCIQ CLNGWIFDKNQNICIPICGDLVILGDEQCDINKYTKSQFGCNQCEYYCQDECIDCQFGKC YDCILGWKLKNYQCESDCGNKIIQGQEECDDMNSIRFDGCNNCRNDCQKECSYCQQGICL DCIYGWHITDHFICESECGDNLIALISDEECDDMNEIPYDGCYNCTYQCRQNCKLCIKGI CQDKCESGYYELDYECLPICGDGIIVEREDCDDQNDDQSDGCFKCKFNCPDHCKICEQEQ CNFCEQGYELSPILNQCLTYCGNGMVSQEEECDDMNKEDGDGCSRFCKIESDYQCRNQKY SFSQCTYSKQPRFYLEFKEERNQKQYVSLLFTQQVKYLNQDLYSKYIQMNLIDFDINIYS LNLIINQEPVEYPTSVGYIAEIQINQALSVRPYLEVILDEQLYNSEDNILFNQYDKIQLK QPRYIDDQKQEAADSLKIANKYLMNSIGGIGILAFFLGNSYIFSSILEVLQQQSYLRFVN VEFPINLFIYFESSNIITIEPILQFFKVDSLMAPIFNSIYIESYEKLKFYEINADLLTNI QTQVFLIIVLIIIHYFCVLLIIFIDANKIQSLLLKSKFVFKLLFKIRRLCWNYQKEFRKN GVKTFLIANCWDLFFTCFLQLKSSQSYTSFRSIICLILAYIIFYGCLLILRLYIQKNELI KENLFRYWISKMDLFFTLKKLIFIFILVCFQKNEQIQTILLTLVCHLYLFYVIKFKPIIN QKDYCNLLMIEGSAFIFTLTSAVYWSQLSNLFTYDTQVQVGWAHIVLLLSVLITNLLLQI ITLVQELRMYIKKRLWKNDQKHFINPSPYPLTNLMEFKF >CAK58909 pep:novel supercontig:GCA_000165425.1:CT867999:154065:154862:-1 gene:GSPATT00029539001 transcript:CAK58909 MVEITMISILFFTADPYCLWKTQFTEQTFSSLPSNWYITGAYSGNRLSDCSGTTIIGGYG IVGSGSQIHGSYSNLPRHSAIKVRLKVYYIDSWDTEVATINVAGFVKQRTWYLDWGWSDM CGAGFNDGYFWETLQGSHTADSFSINLSNGLDDDAYNESLGLKDVEIYLIPAQCIQFFSE CNYTGTMKELCQGAPNLDRRNIPQQIKSIRVDGCGQVKLTKKGLGSITITENTPCLTEFD FPPVENLNR >CAK58910 pep:novel supercontig:GCA_000165425.1:CT867999:155198:156117:-1 gene:GSPATT00029540001 transcript:CAK58910 MNKKEIKQDGEQAPLTKTSLLKIESREGQIIYIFTKLFDHPIRQQIKFAFGQINVFIEKQ GIKCFKSEMNSMECLNQGIDWDQKYFDKIITCLERPDKDFSYSFKRSEGNEQVPCDYVLI LSEKVHKLNEVILLRVYLIENPSFSTLDHFYRVCKEIQDKKEIMYKSLEEKFTNLTQQRQ KLQTDIEFISNQSQQREKEILKKFVLLLNEKKKEICRLNQINGLITNQIIEEEQQNNNNY INVDDFFEEKQIPVKKQYEEQAQSSQFPVSQIDQFLFTQDTQFKKDLREIPSKKTKL >CAK58911 pep:novel supercontig:GCA_000165425.1:CT867999:156781:160371:-1 gene:GSPATT00029541001 transcript:CAK58911 MNHIKKKYKEMKNIKPFQVKSFIKIFLNCQIVNPAFDSQTKETLTTKAQKFGSTFEVSEK FLDEIIKKTEIIDNVILQAKSRLNKQLSKQLSAKKQQRLLGIDKLEDANDAGTKNADLCT LILTEGDSAKSLAMAGIEIVGRDRFGVFPLRGKLLNVRDASIQMIMKNAEIEQLVKIMGL QFNKNYENTKSLRYGSIMIMTDQDHDGHHIKGLIINFIEKFWPSLFKMKGFLKQFVTPII KVTKGKEFHSFFSIGEYKKFIAENPREDYKVKYYKGLGTSTDSEAQQYFSNLTLHRKVFT YSDQTDSDYIDLVFSKTKADQRKDWLSEYSWKQVEDTLDYSKPNVRYRNFVTDCLAMHSI SDNLRSIPSSIDGLKPTQRKIIHTLLNHSKQEYKVSSLSGLVTTYAQYHHGEQSLQQTVV AMAQNFTGSNNINLLLPIGQFGTRSMGGKDAAQARYISTKLSSLTTKLFNEDDLHTLNYL DEEGYTVEPFWFTPILPLVLINGAEGIGTGWSTQVPNFNPREICQQIKRKLRGEEFEELV PWYKGFTGSITAGTNQYIAKGSFIQHKNHLYITEIPPKKSVKEYQAFIQSKMEVAEGKSE PEILDMKEYHAGNKVCFELKVTDEVLKQDIEKYFKLQTTIAMTNLVLFNEKGLLKRYSNV SEILDEFYQTRIKFYQLRKEYLLSKYQNELELVQSKSKFIEGMIDNSIQLRGLKKMEMCK KLQSLGFKGISKMEKVKSTRISKDFDADNLEKQYDYLLSMPMWNFSEEKITAFKQQIKQL QDQITALNKKTPQQIWSEDLDEFLEELTKVEEQETQEMIKRVEKNAEKLLKGGANYLKAV VLKGKDDFAQQEDYDSESDGDGPSRDPKVLKKVIKEFANFGIKSQRDVPQSKFKLQIEKQ AKKKDSKENQDDNKSEKPISMDLEDDSPKRNKKVVDSQSEDEIINVKKNTRRIQKQNDVE EVQVQTKDSKTNKVDTKPSKAESKLEKAESKVTKTNKSKRIVPQMDSEKKASEIKRKAEQ EKAEEDQKLIEQEKEELEKQRVPVDSLKVPNQDKEEMDLFQKYGFGDFMKYLTPANGKDN NPIPSLADRIFQRTKQGEINFDQIIADKKQESALKERKDSDNEIEDFLQLDLSEDSDKKQ KVAKANQKTTAERKSGKKSTEKQQQQMTATPAKRKQRKQIIESSDEEPLNKKPKKK >CAK58912 pep:novel supercontig:GCA_000165425.1:CT867999:160628:161293:-1 gene:GSPATT00029542001 transcript:CAK58912 MSKNVEDVYKMVSQVEHILLRPDTYIGSVEISDQELWICEDSDQISFKFEEFSYCQGLYK IFDEILVNAADNYQRDKSTDRISVSIDNDSIMVENTGAGIPIQIHKQYNIYVPEMIFGRL LTSSNYDDSEQKVTGGRNGFGDKVSQTCFSSIFQGWKPFINPKKVHNGLDRRNMKETYIA RKSPNTNEKPLHKKQHSSLIQRTSRQDRLSDIVPLLQKTCN >CAK58913 pep:novel supercontig:GCA_000165425.1:CT867999:162500:162982:-1 gene:GSPATT00029543001 transcript:CAK58913 MQREKRIPSRAWRYEEYSPPRRPSQSMNRRQRKRELCYYKIQKISQNSQFTKEEDESILQ YVKELGPKFVKIASFFPSKSYSMVKNRYYKHLRNRLFERKGSQELNPNNQDTTQQLKNSI LQPNNEKLEELKQLISSINLFPEITEITKSFIGELSKHLL >CAK58914 pep:novel supercontig:GCA_000165425.1:CT867999:163378:164465:-1 gene:GSPATT00029544001 transcript:CAK58914 MSTSSDFQPPSPSLSPNSQIKCYQEQLEKAHSMIDYLEVMLSEKQALKENFNSFNMPKNK GHMKKQSLCLDNIPQAIQIQQFVTPRETNQQMRFSSTNMPSYISELQQKHQEILSSNKEK STVKKLQIQEIQEKVQDLQDEIIICKERQKGTEFAKGQAEEVLEQIDVDILQAKQKLKSV KSQGEQIYDSMIKLEDQEYDKKYYMGRTSTLKRKRNEIQPPNQIKNQLTQLKNEIEQLKQ SKKEKEEFYSQKIKEIEHQLDQRKTNESVHDDQLNASLSPDRQKVRVYRGKQALLQLTLN SDSCSRSYALRKSQENKLNSAFDFKQFDEHENVSIDSFKNKDKKNRTCDPCTIF >CAK58915 pep:novel supercontig:GCA_000165425.1:CT867999:164512:164676:1 gene:GSPATT00029545001 transcript:CAK58915 MFQASKLMGNNQERRQIEEVIRQIKGPYQPFQKKIKLKNLVQILQEIWEEEEEY >CAK58916 pep:novel supercontig:GCA_000165425.1:CT867999:164926:165143:-1 gene:GSPATT00029546001 transcript:CAK58916 MAKQFLWLQLGTENLRQIDLIAEKHICQANIYEPSFVLRERGVEFIHCIQRLKLNKKQLF RINT >CAK58917 pep:novel supercontig:GCA_000165425.1:CT867999:166371:166902:1 gene:GSPATT00029547001 transcript:CAK58917 MTEISTQVNVKNHERTKHPSILKCIATILEEIVEETDKLDSQQTLFHASKVPVITLENYL IRIAKYAKCTDECFVIALIYLDKVQELNPDILLNSHCVHRFLIIAIVLAIKFQDDDYYRN DYYSKIAGIALKELNQLESELLELLNYDLFISKDLYNIYLEKLKQYQEQ >CAK58918 pep:novel supercontig:GCA_000165425.1:CT867999:166963:168140:1 gene:GSPATT00029548001 transcript:CAK58918 MPKVGGRRKKTRTHKEATEEDLDLIGQTPKAFILKRGKVSSTIRQLIDDYRDVMYPFTTM NLQESDKTKMKDYIQAAGYFLISHMIIMTQTNKNSYIRFIQNPRGPTFTFRILKYANRNE VLNAQRKFKSFSRVFSPPLLVMNGFQTDFQSDDPKKPTSDHIKLVGNMIQSMFPAINVQN TNPKTQKRVILFSYKNDKIYIRHYYISFNLKGIDKKMKKIIKANKLPNLSKYNSFSDFLQ NNHQMFASDTEQSDLEELEFENKQHKKQQMSIRLHEVGPRLELKLYKIEEGFMQGNVVFN RVVSKTNKAIEKLRKIKRRKMLLKYKRREEQEQNLQKKTKKQDIEEFDNVNKKVKQQ >CAK58919 pep:novel supercontig:GCA_000165425.1:CT867999:168870:169139:-1 gene:GSPATT00029549001 transcript:CAK58919 MDYLQAKKVDTVITNNTPKKQQENEQNLDESQKQGNIFPNIIQSELDKIVNNSKKMDEKL PSSEYIIHLNESLSIKTLTKHLIEKEENN >CAK58920 pep:novel supercontig:GCA_000165425.1:CT867999:169911:170983:-1 gene:GSPATT00029550001 transcript:CAK58920 MEGGFVNLIEDGGVKKRILQEGQGEMPIDGSRCKILFKGTLDDGTIFDQYLDKERPYKFR IGNEILIKGFDIALKSMKVGEKAELKITPNYGYGNEGDQYKNVPQNANLTYEIQLLNFKE GKMQKWEMTTEEKQQEAINKRTKGTSLFKQQNYKEAYKIYKKALSYCTLTTTEGNELKAS LQLNLSICSYQLEQYKDSIDYAKKALDLKTNQQQKLKALYRKALAHIKITELDEAQADLR EALNIDSTNSAVIEELSKVKQILKETKMKEKEIYSKLFQQQLYDESEIEPKEIEIKEAKS ECSSLCDPNENIEEETQAKPTDENIYDQKPKTDNNENNRKISEESAPV >CAK58921 pep:novel supercontig:GCA_000165425.1:CT867999:171921:174028:1 gene:GSPATT00029551001 transcript:CAK58921 MPPVLSKILDVKQFMLKSTKRKVISGAVIAVILYLLKIRLTKSKTENMKVKRDEKKGKGN VDRMFLKRILKLLKIVIPRLNSPEILDLVLLTASLVARTFLSIYVANVNGQIVRAIIEQN LNMFLQRILKLGVVAIPASFVNSFLDYLNKSLAIRFRKRLTQHFHDIYLNDMIFYQLSNL DSRVANPDQRLTADIEKWANSLSQIYSNFSKPVLDIILFSRKLAELVGYQGPMYVILYYL ISGFLLRFLSPPFGKLTAIEQRLEGDYRACHSDLVYHSEEIAFYRGHAWEKTRITNSFNN LIGHTGQIILKRLYMGVFDSMLVKYGAVMVGYAVVGLPVFGSRREEYLKSVNNDPSAITR DYVRNSSLLINLAKAIGRLVVSYKEIQQLAGYTTLVAELDEVLKDLINGKYMRTMLQSNE NQPGYFAQKQSLVSMNRGQIVETENTIKFEEVPIISPNNDILAQKMTFEIQPNMNCIVTG PNGCGKSSLFRILGGLWPISSGKLYRPHIDKLFYIPQRPYLPAGTLRDQIIYPHTKLQML RKKVTDDDLTELLRLVHLDYLVVREGGYDKCNDWNDVLSGGEKQRIAMARLFYHKPVFAI LDECTSAVSMDVEATLYQTAKMLGITLFTVSHRPSLFKHHDYMIQFDGEQGWNFKKIEHS SE >CAK58922 pep:novel supercontig:GCA_000165425.1:CT867999:174030:175694:1 gene:GSPATT00029552001 transcript:CAK58922 MSRISDFEILNRLGEGSYSQVYKVIRKSDQQVYAMKKVKLFDLKEKEKENALNEVRILAS FDDPNIINYKDAFIDDNMLYIIMEFATQGDLQNKIKQAGNQLFPETEIWKALYQITKGLK KLHDNKIVHRDLKTANIFISNGNYKLGDLNVSKVTKKGFAYTQTGTPYYASPEVWRNEAY NSMSDIWSLGCVIYEMASLKLPFKAPDLQALCNKIQRGLFECLPKQYSRDLQSIIVQMIQ VQPMKRLSCNQILQNPLLINNLKVIPPIEKQNSKAELLQTIKLQQIQLPKSNYKEEPTKK TIEQPRINQRAHSALPGQIKDKNLSPMNNNQGNYPSAPRKKGEPPSTPSTRIQSSAPSQR DREKMLQEQLKIELQKEQQKLINQRQSIKSNNQPIATEAIRQQIVQQHKKEEIKNEEKLS NQRQKSVDTKKERLIVSAQPKQQLQQTVQAQETQYQTQPSEFIVAGLKNINPQSIQSILC QPVLEIGESPFPQRAKVKKQEQINNHQHYFPIQEEFSDRKLVKGKTNV >CAK58923 pep:novel supercontig:GCA_000165425.1:CT867999:175785:178148:-1 gene:GSPATT00029553001 transcript:CAK58923 MSVNVIPVVNPHQKMEGIQNVRPEEIKKIIEKAKNLPSKQEGDFVKLQNYEFQVDEFEEM EDPQKNNKKNKKQAKKDSKNDVQESKDKEQLDQSSDIDLKKQQKPKAKEIKKQEKPKAKQ KQKEEIEEQQEEQEIQDNKEEEQPQEEEQQQDEEQPQDEEVQPKESEVDQDDMQKQLDEY DEENNQLQQSQAQENSQIQQSQVSQKSSNQKKKKFQSDYAAMMDDLLNESEVSINEEQPK KQKTEEKQMASSQIDAKKEQEYLKIIKQYQQKIKQLNEAIDQQKQPVLSEIIEDQIEEFQ EQLDKTEESISNKKHLYPGIAGIGISLYDEFRLPQIVEEQVQHRLKELGVQERQTEEAEQ KIKDLEEQHQAELDRIKQNYEEQLQQMRLEIELQQERIKDAERLRYAESEISKVLDKDEI ERLKNDPLFSVMSSNLVQSVDSNVSQLMKDESMYLKYRDLLQKYKPKADQLENCMEIVNS KIHDLITLKEAQELNQLNTDSNISVSKVMDIDGAVRLLDQNQNVNSDIDSIISPSEQIKF KKDPKYQEPSLPNSSIDFSIPDNYKLQRMVDSDYSNFNMNINEDGSLFIELQKELEQNYP ITESNREIIYQQIIQDQKQEFDKQVKKLQKLKPTYKSKLYFGESIDSSIGSLANEQVSKN ISSNISMISKSQSNESIIGSVVSKKEKAELQEQCQSKESSISKLQISKNDFEQIGQISSG ISQLNSIVDSYKSHDQTVSSGISKVLNDKQLQKEKYSNIDSSLNISQGGSSIKSFLLLKK SMISKKY >CAK58924 pep:novel supercontig:GCA_000165425.1:CT867999:178210:180347:1 gene:GSPATT00029554001 transcript:CAK58924 MSDRVTRKGKSQPQKEEPKQAKKQKVQKESYDVVQLQDIAHQLRIHSIEMTIASNSGHPT SCASMAEIMAVLFFTKAGMHFNPKDPGNFGNDRFVLSKGHAAPILYAAWSMVGYIDKAEL LNLRKIDSLLEGHPVPKLPFVDVATGSLGQGLSVAGGMAYSSKFLDKIDNRYWVLMGDGE TAEGSVWEAAHLASHYKLDNLTAIVDVNRLGQSEETSIGHDTNVYKKRWEAFGWKTIVVD GHNLNSLTDAFEQCRNVKNQPQVIIAKTFKGKHLEMENKEDWHGKPVPQAQVDFVKKQMK QQDGFTLAPEVPTSIDRPQQSHFTVEVNYAVDGKQSTREAYGKALVGLSKSDANQQIVAV DGDTKNSTFSIKYKEAVPTNFVECFIAEQNMVGWAQGFSCRGKVAFASTFAAFFARAFDQ IRMGGISESQVKYVGSHAGVSIGEDGPSQMGLEDIALFRTIPNCVVLYPSDGVSAERAIE LVANHKSACYVRMSRPSLPILYPNNEVFEIGKSKILKQNDDDKILLIGGGVTTHEIFKAA KQLSEQDKVHVTVLDLFSVKPLDHDGILNAANKTALKTILVVEDHYSEGGLFEAVSSALS LDKQIKIHSIHVDKVAKSGTPAQMLSLYHLDAAGIIAKVKSIIQ >CAK58925 pep:novel supercontig:GCA_000165425.1:CT867999:180347:181635:-1 gene:GSPATT00029555001 transcript:CAK58925 MQINFCTPQYFFNISQKYPFIVYDLREHQHGFLKNSIHVTNIHQVETVEDVQKHFQYPDQ QSEKKKLEKLFQTRKRNYNFFVPFDTSDMFSLLLKDRIKGEGSEISDQFCIPLESVAKWC HEISKKLPYKQKHKIRSRANTMIMQDDDDELVDTDSQQTILHFNKHQTIASSKKVIELQE ITISNGPKIKQRSVSQPKCIGHGEGSLYMNSELFGAALKIYEIYHKDKVRQLFIMLDPIQ IVFGSHSFLNYEISKNRGFLDKTFPNEIIENKLYLGGGDHAQDTEMLVDILGITHVVNAT IEIKNYCDQLKYLNIKIYDEPHIEVKQYFEDVYQFIENALQMENGKVFVHCAQGKSRSAC FIVMYLMRKFSWGFEKAYEFVRECREVVCINEGFINQLIELN >CAK58926 pep:novel supercontig:GCA_000165425.1:CT867999:181696:182965:-1 gene:GSPATT00029556001 transcript:CAK58926 MICHKKYLIFKESFTVELRSNEVVLQNIKNQITNILKLQENSVVNWKLDKRNGRIIGFGI QIESQWEYFYMDKQNLTLLKQFLDGKVRYHNIFKLYQIIGFLGSGAFGTVFKCQSKYDGK LVACKSIKKCRKYDDKVREFSIIEDFLNEVFCMQNLKHPNMVQLKEFYIEQKHFYILMEL VEGDTLRRLIKKNQLDENEKLIIVQVQFQMSQQLLSLVNYFHQEGFIYRDFKPQNVVFFQ NNIGKLKLIDFGLTISINEEVCNTDQLCGTPGYMAPEVFEKNHNYNFKSDMFSLGVMIYE LQTFIQIDRFSGEYLIQHPDSEELLNTNKIFKFNKEILSTIKNSTIQRLLQGMLQEDPTL RINSREAVEIFKQMNCSCDEFLTTQHRLIYLCVSKYLINYLRLDINV >CAK58927 pep:novel supercontig:GCA_000165425.1:CT867999:183338:184762:1 gene:GSPATT00029557001 transcript:CAK58927 MSIQMYIVLIFQLALAELFTIKKEELKTLFALPINGQDFELQTNNDEWNVCQLQEHQLKL QYTQYDGNNKSNLAMLSLSQHSVLVLTDDRQINYFQDQILFWQYEIPSSVDIQNATMRYC QVTYLVYFISNQVYRFNPYDKNFQEFEMFLNYQPQQIEICDQYIFVLNQGLLTIYSNNDQ VYEIKMKNSDNITHFAVINNSNKNGYNIYILDKQTGLQKIEWILTTHTITQLDLIQNGVT MGISNENNIYVAYRRQYKYIIAQYQIIDSQLQIVRKLQSKKSIQKIIALKEYTLFLTSNK IDLLYENKIYKILKFGIKDIQIIGNKIMGISIFDIFQYQFEAVPNSVQCFYHLDEEIPYP LDYSLTFNEINKTIHKKRIEFSVELFIHKSNTMKIVLGFLLSGILLMLILSYTFLTLKQK NLLINEMESNLKKFKVKQVPLFKSLECVISPKGQHSKLQSFDQTSNFDLNKVRN >CAK58928 pep:novel supercontig:GCA_000165425.1:CT867999:184778:186412:-1 gene:GSPATT00029558001 transcript:CAK58928 MGVCLSKKENANKQQSPVNKNLEKTFQKTKFYQEKYMKEVFDSEKQGETRMINVKTSQST DAALNNSFQRRKALSKSRIGLSTKRKGAIPILNTKQVSHKDSFKQKYHKVIGLLLCNLEC YCSQFKFECSIEQLEPLRKNDEKDQSFIKWLKEKNIEHDNVVGVQEIQVDDQDYYVIYEY WVDHQLKNKTELEKAILIHQMIESIQYLHENDMRHSALTLNSFKMINSTPFGVLKLIELN QVHEVSELTLENWMYLSPESLSALGNRSKARDVWALGVIALELLMNEHPFIDSSKDNSTR KISNKIKSLSRQQIEQMLNNYHKDLKQLLSGMICIDPLLRYTISDCKTNLWLNTYVNDYI QSLQQPLYNFDKHQNNSFNKIMARYYLLRILDQKQFNILFILFQQIDLNRNRIITPKEIK NYYNSIYLPSSCKDDLINCLYSLPKTMEIEVTEFIGLTSDIGVSKLKQYYTIHNLGDLRL NCYLNQLNIPKRVINLITSDFTLSELEQIVTLDQFIQYII >CAK58929 pep:novel supercontig:GCA_000165425.1:CT867999:187179:187682:-1 gene:GSPATT00029559001 transcript:CAK58929 MNHRHKTELTERHLNYDINYDSIIIVKDQEQKNKTPQALLSLELYGKQTPNQSTKAVNHF RHTQRITLSSLKKAQGIYSPNFNSKQKNRIPESPANFRIKQNNLKFNSHNPSKPSLHTPS TKTQDSQLEWQTPLDIKTTISKIRQILSPNNPKKYQVDFTKFKKSIY >CAK58930 pep:novel supercontig:GCA_000165425.1:CT867999:187711:189220:-1 gene:GSPATT00029560001 transcript:CAK58930 MKYYYQFKAIISGAKAVGKKTILKSLDSSTDLKTVQLHDKMQETLFIYEFQKDESYIPDA LCFLIVCDQSSQSIQYAKSKIQIILKQLNRSYQFIVLINNKVDSQLSLQDELENYCAQNQ INFIATSAKFGTNVILLQNLLRIKAQLIMKKCQIQPFPLESNREKEFETIDHQIKQVTQN RPLPQTPQSSGDKENQSDYKSIKSETVESFRAFELIQDKSTQHNDTINILTQSTSYQNCQ IQNSQVLPSTKRAYLDLNTNCRLRSSSPISKILMCLQIELNDQIFKVDVYFDDSYPLIVE RICSAMQKKVKQTAKKQLMSTIETHVTSFIQKLNQQFQEKRYLRVKDCYEKFISIHNQQI QQRPVIGIIALLIQSQIIKIQVHESDEPYDLAFNSIVQYKLKKSCISALVQKIKFLQTQK NVAILGFFDITPHEFIVYKGEDIQKKLFQFFMQFKLDYEYYRLLSQIQ >CAK58931 pep:novel supercontig:GCA_000165425.1:CT867999:189898:191217:1 gene:GSPATT00029561001 transcript:CAK58931 MSLSMSRKRKICLLRIHQQCDQIINDVIKQLSMIKKLFYTFSTISNPYKVLGINKGASPQ EIKQAYYKLAQLYHPDKNAAKDAAKMFTQVNNAYEILSDESKRRMYDTCGVQEDNSDEQD FEDELRGNANSYQQKARRKQSKGKYYSKFHQAEYETIFSEFDQFFQQKHGKKKAYYAKKK GDDIKLDLNLSFKDSVFGGKHKLSYNRQKTCDTCKGSRCAPGKTAQKCFSCGGSGWLFYV DGGSSMEVKCNNCDGWGKVVRDPCHTCEGSGIVEKEFDIEIELPKGIQNGTIIRQSRYGH ASQYQGEPGDLLIEVEVEEDNTLRRDGNNIISELELSVSEMVLGCKKQIQTIWGALEISI PGAQQPRTKLQIPQYGIQYGQSQWKKGDHIVILNLEIPQQVNERTKQLYYELLKQL >CAK58932 pep:novel supercontig:GCA_000165425.1:CT867999:191264:192959:1 gene:GSPATT00029562001 transcript:CAK58932 MQSSDLDTVKQLLTDLKTNVQLDIEALDAAWELHKKNKQSIIDALGFSASEQRSECAKKD EDVQSKQRDIKITNDFVAWMQKRQGLNSQRLGVLEVNRCQASNNYVHDIKNYKIALALVK FLREQLDKLDSNATPAQKQEFLQKVHKFVQIYQTGKLLTMVEQIQMNDDGSYVLPNIEGE EQDLTESALTQTRGKRNANKVLLQQQQTTVISSSDGDDKADSAVVLIPSQCDDSINTVIV VQNDDGTGGDDDLHIKFTNGGGSGTQGGSSKPKPQPKPEPKPEPKKEEEKPEEEAEDEEA SQEDDGQHTDEPAGEEESNDDNKTDDQPTNPTGEEDSHDDGNDGNGDDNGDTNGEGNDNK SEDKKQPNKDNGGEKQGEEEEDLSDIRKVLDAIEKHSKKSLDLEQEDEVRSSMIYIDFKL HIELENQYFDKQVAGEKENLIKLTNQLTSRVGIARQCNARLKQIDIAYQVSQDDYNDSYQ HYLELRKQKEEELATFDDIYRIYTTQVV >CAK58933 pep:novel supercontig:GCA_000165425.1:CT867999:193264:194244:1 gene:GSPATT00029563001 transcript:CAK58933 MSITVSTCQQICQSPLNLSPSKNLWTFSKSERFGKLANPVFCQQAFYNLPSMIEKRSAGI GKGNKVDFTKVVVPSPSPQQYDLGSDVQNNQKKNKGFKFGVSRDKMASTGILGTLNLKTP APGTYDLGNTLSDIRYTMRPKPQKSSLISNAKVPGPGQYESLPAINEKGRYPISKYNNSC ATLFNPKSSKRFTTDYSTKVPGPGQYGLDKTGIQQDGRYFLSKFHDSNVRSFPKEARRTG SNEKYQTPAPGNYRLPSEFGYYEASKSVGHTEPK >CAK58934 pep:novel supercontig:GCA_000165425.1:CT867999:194491:194841:1 gene:GSPATT00029564001 transcript:CAK58934 MEKQWIILDSKNRIDKSKQQLKVGLKQNRQTQLELLRKMQLLINIKDFKQKESKYPWSAN LKAKIKSLRAGEKEKPYSKFKKIIELRKKVRMITNSQQQRALKSLYNMN >CAK58935 pep:novel supercontig:GCA_000165425.1:CT867999:195013:196887:-1 gene:GSPATT00029565001 transcript:CAK58935 MPKILESISLTLLKLKNELVYQIDIFGQLPSFTVLNRSKYTSKFGFVMSILIGTLSAYYL ITEINSMISKSKPSIYQTEIQVLETDSYYLHNENFTLAISIANRFSEPLIGINKYFNLNV SQCSRERIRNPSTGNITVSLKCIEMPIEPCNMSHFTTDLQKEYFKTIRFGGVQCINRDYI KTNPPVLKGQFNALEYKYLYIQFTACQNTSTFQDCAPQEEIQDILQAGHYNVYKSDYLAQ LDNPGEPYKQIITNEFSGFSYSTSKTIVQSYRVVQTTTDEGLIWDVENGQQNIQQTDWRE ISDFYNQQYLILHFIRLDFKQTNNIRTYIKLQTILGKLGGILQIFIIIVALISKPIIDNL MKLEIANSLYRFQGVQEKQIQPPTQAQIIQTDREFSSPINLNNHPIENLSIDCNQKLNQS MWETFLIIFGFQKDKHQQFVKARTKIIKNLDIVTILKKLQEIDLLKKILFTKEQIEILKN LPPPLINTKLPIPQKLIAHDLEKEKDNQLNISPSQCKHLQSSQSQFVPKLNPILSKSYIN NQLKLYLDHCNVFKKKNQQDSQKQKGKLSTSFIKSPCSPLSDIQLIPCDEIEEPTPQKPK K >CAK58936 pep:novel supercontig:GCA_000165425.1:CT867999:198557:200694:1 gene:GSPATT00029566001 transcript:CAK58936 MKNRTVILLVLICSAVTVLGEPVVVSQSFTGNAFNDADGWVVAGGPAHVTECSGTKMFGG FGKFGARAVASKLFELPPHSSINLKVQFWKIDSWDNEEGYIFVDDQLVWSRKFQYNEGDG QKCGQGGDWKEMTLNLNLNIKHTGATAVVVFTSNLNEAADNESWAIRDFVLAVERCPEGC SACQVDDKAENCQFWQSFANSWGSLDSNQLGADGWDVAGGQNAATNCGGVALFGGFDKTG SKAVISKVLKIKPHYKLKIKVLWAKIDSWDNEAAQIKIDGKLVWERRFQWHEGYFGKICG CPVFEWKSMFARTEVDIDHTGEQAKVEFTTTLDEAANNESFGLRDLYIFYASCSENCDEC TGPKDSDCKKCANNWALVGGKCQALPNFILLEQSFLEDKFTGINGWVLNKNKGGRQINEC SGKSMVGGFDIMGVGASATKTFDVPPHKRLRLQSTIYKIDSWDGEFMIIKVDGTEVWKTS WNLQTGGANFCGQGVWFDGITGVDQIFNHQAPKAEIVFTSTLDQDAADESWGFRDFKLWY EPKEACAVFYSECDYKGASFEFCSKSPDFQKDNIPPQIRSIRVPPQGRVTLYESTDYNGK KVTYTQDQPCIQNFDFSLIQMSANVEGGWIEVEQ >CAK58937 pep:novel supercontig:GCA_000165425.1:CT867999:203151:205044:1 gene:GSPATT00029567001 transcript:CAK58937 MLNVQNKFENFELENKLTSLIQRLMEPHIHNFYKNQTLITEMSQKYKAQVNEVSTLKENL EPKYNELKQKLQFLEDKLQDNFKLCITQAQEKQQQISQIKNQIQEYSILNKQAEKQYIES KRELEDVKANNTLFKSSIEQVVNKLNENNNLQLQELKKSQMDIDQSQRKLISQISNQENK LLVESMKINEIKEELSKISLKIKQDFTLDKMTLKSQLQQEEIKNIKIKLQDQDKICNRMN SIEIYLLYYQQYHVQRQINDALFICQPVQFFYKYASFEKQKLDEFDKYILNMNNDISIQE LMNQQKDIVKNATKRFQKVSETVKNMENNDQDSNLEDQKGEDQKIVNHKEIQNQQIKKRK QSANLVYFEEQLRQLREYFDLQMEEKSNQIDSLKQKIKQLSDELYLSQIQFQASLEQNIE KQSINQKLSITNLQESLYEVQNEFQRSDYKKLNEEINLLKKFQQNMIKLSSNMLCLLKQD EQDKEGLKLLGAKDIQIDSALSIMNSQINLGNKKNSIILNTDCISCSGSPIQLFQFFKVA CLGYNPSDVEVDGIYFKRTEIIQQCLNIVQTCEKKNRDLRPIKQRQIRQFDQRSKSIQAS PRFFSTENRTKLAKYSQMKIFQ >CAK58938 pep:novel supercontig:GCA_000165425.1:CT867999:205171:205808:1 gene:GSPATT00029568001 transcript:CAK58938 MDSNSNKEKDKDIQFLQKRVKLTQQENELLRKENQLQRQQLSQMKNTFTNIISTKRLKTA DGRSNSVSERFQNEDALRQVLEMQRNRINQLEKDNDQYKQKYEKILFQDKSQPYSQTQVD DSTIKELYDLRKKNSELEQRIKDMISQHAKELANYRQKIAQQDAQFMVSQSQGFSTPLST QSQKLEPLNNGGYQILKQGIKKY >CAK58939 pep:novel supercontig:GCA_000165425.1:CT867999:205814:206059:1 gene:GSPATT00029569001 transcript:CAK58939 MILINIKLCKFNINQYLLNVTKSISHIRKNLFEKSQMGTTKHLKGMEYSRVQDIGQLMGV SLLITALSFKDYIINVVVLIN >CAK58940 pep:novel supercontig:GCA_000165425.1:CT867999:206100:207033:1 gene:GSPATT00029570001 transcript:CAK58940 MKSKDIPFLDDVQKNVQKKKLFCKYESQVRNIWNLAWSQKCLIYFKKHINQLWIDNREVQ LKKIFQNNYELLQKQFICKNRFQGLNSYTLQTIGGKLPVYVTPAPYGLVVIQEWWGLNSS ICKTADIMSSYGFKCVVPDLYRGKIAKDTEEAGHLLSGLDWKQALIDIEESARYLKEELH CQKVGILGFCMGGALSIAAITTSKIISAAAPFYGVCDLSAFKLSNANGPILAQFGQNDEM VGFSSVQDAKRLEQTAQSENMARSRTCILQSRQTRSIQLSSSQVSPRFGSKVLT >CAK58941 pep:novel supercontig:GCA_000165425.1:CT867999:207069:208916:-1 gene:GSPATT00029571001 transcript:CAK58941 MISDSQQSFKDFVLNYAVKQYRTSKKKSQNNYHESQRTLFESQIQGLQIEQNLSYALSNR RASNGDPQTHLRFKELSCSKTSRSELPLFTIKQWSQKKKPSYIIRNSQIFNDVIRKASHQ NSQQQLVPIKSQDQIIKSYLQKLSVKRKVAPKPQGTEDLLNFEVDQIYFDITRKDTMLAP KFPNKNQNGVKQIFRNLLATAYDQQMFLDLARLRVIHNGMQLTHAHFFKFKYHFLNRFML LNIPTEKMFNSCEKIENMRPYILNEVLEYEIYGGEQGIQAITKSMYKKILSDVTLAPYFE KIDVATQEIKFARLFFQLIYHLDSPNYSCETLRERHVKYALTNVQLTNFKYYLSLTLQES NIPWKNIRLLLRRMDIYKYAIINKKDLQYYVNQIGFHQFIDNFVNSCQQDTMLSELIIRR GKQRFTAHCCNIFHYFFRYNIKAITRDDLHLIHCKKAIINEKIFEKLKQKAIQEVKLLTN DNLIIEDFKEDWDEIRPIILGEARGQLIVNLGEDYLISKAHSILEYEFAQRNLNQVYETE EANMSQSILCKLNLLLYGVRFFKKQDLQIIHKRFKITYSQYYDFQQSFKVALEDYKVLDF VHQLIEEYEQFIVSD >CAK58942 pep:novel supercontig:GCA_000165425.1:CT867999:208934:210989:-1 gene:GSPATT00029572001 transcript:CAK58942 MHNLSTSPRCPIKFPTEHMKQDSKSMAFRDFIQMQQTKDDMRFQNTRRLSPLQGSPINSK KERWEKQLNTLLRSDQQNELVIKHSSQCQIALKDFVNKAKNFRSQQQSPAKTAYQLPLIG DSQDIILPNSPVHFKFTPISTKTKVSSFAGLRNVQDQLEQLRKPKIHSHHRELKQQPMTQ TEIISKFFETKFQRIIKTQIKQYGCNELLDQHSETLYSRIIQDRQLKVKFQGKSLKYLKD IYKSILGIGYTQEILLDPFRMRSIHAPLFIKKEQFIRFKYFFINQFMDMETPVELLFKGC YKLENFKPLILNEKSDFEIFGGEFGINEIAKNTYEKIFKDYTLSPYFKAIELEEQAVKFA KLFAQLIDHTESPNYTLEVLRERHVKYKLTHVQLANFKFYLSTTLQKLGIRFKHIRMLLR KMDTYKFAILNKQSLQESIFSSPGGYREFIDSFVRLCTSEPILFDLVQKRGKQRFTAHCE NVFHYFFRDNVKSITDSDIESIHKNKTIISEKVFKKFKEKAMQAVSKVTNDPILLSDFEE DWEEITPILLNRPRKTIIKQLGGQHVINRIASKLENEIMQRPLLYKVFEDNESSVGQNLR CKLNLILYGLHFYKRTDIEVLHKRLRIREQPYFEFQQAMKIVMQDEPQKLYFILDVIDDY KKHIVFD >CAK58943 pep:novel supercontig:GCA_000165425.1:CT867999:211789:212773:-1 gene:GSPATT00029573001 transcript:CAK58943 MQDPELYVRSFQEEISQRNKRKQPQQYPDDLRLHERPRVRKIEPPSQIIGQSLLKNQSYV PDPIKNFTKPLINYQITSPSGRDPQRYNHNFSYLGQDNIFRKEPYNQNQKDYAPQRYSQQ SNEQRINYQNVGNMLIGYDSQQQLKTQTQLQNQQMTQSQLQNQQAENVYPQQHQNYQYQQ EQQLQNQQLQQQQMHSQQLQQSQQQLSQSQLPQQQYQLQPSQATQQQYQLQPSQSTQQYQ TYQLPSQNIQQNQYFSQQYNNYQAGAPNTFKLKSDSNHQPFNYYGSRALKNLDSTQIY >CAK58944 pep:novel supercontig:GCA_000165425.1:CT867999:212926:215201:-1 gene:GSPATT00029574001 transcript:CAK58944 MGATDSKPITKLYDHFRQINVYNNDFPECLILQHKRDPHQKLILRTLNITDEIHFKKAVQ QYQVRHKINHQNVLNLSNYFYQFEQQLCGQFYKVYLLFEYPTGNLELVPALNETQLVSYL KQAIVGLACMQKNEIPHNSLQLKYLYLVDDTIKVTDPMYFQHNTNFMQVLQNPNCLECIY LSPILVKSIQLNNWQPKHNEHKSDLFTLGMLFLHLALNQPSSDCYSYEQGLLIEDVLNSK LQKLRQRYGQQFCDWITTMLTIKEDQRPDFLQMEQYITNQQVQNKQQYIPNVIQRQIIID PTYQSNTLQTIQQQHPTIANTTIQPSLTQVNSLQQIQSRRVQQVQNIAPLEYVHQYSIKT DTSQRSLTPLGKILVPQQQLIVRDNRDSSVPRLRGSRHSSKDVNQTYTYAIPTIPTDLSI LSNKSGQHQQIYFPPKPLSNKMSNTRTATQQQSRMNYTTQQAQEYQFSSQKGSNIQKQPA PIQALQPDQCDTLHFKQPSETISKQSQFDENIDPQPDEDIEQTTQRQEYFKFSKILSDST NLPQQITATQTKEFSLPYDIPQFKADSVSRPEFVVEHYSNGSRYEGMKANGMRHGQGKFY YQDGGLYDGGWKENKMHGDGTLYYATGQPAYQGQWSEDQFQGHGTLYNEHPQPLQESFDY RDFDNVEDYWIKYSGNFDQDNKEGQGTLYLTNGERFVGTFQKDFINGPGIFYCMNGKIMD GRWINNKLVY >CAK58945 pep:novel supercontig:GCA_000165425.1:CT867999:215560:216119:-1 gene:GSPATT00029575001 transcript:CAK58945 MNCQEQIRYSDILDGKYEIDQLESIHRTEKYRSTSSVQTNHYKDLIQDLIQVSYYLIQQA QSRCADNINQLGYMLNQQSSKRYTQSDFSTPKLNMSQVSQISQIQTPNKPIQSTPGSPSL LNQLNNDNLLDQIDKLIKIRKLDQSILLRIIRKHMNNCPYFVQLVKQEFRNSINSLQ >CAK58946 pep:novel supercontig:GCA_000165425.1:CT867999:216137:216513:1 gene:GSPATT00029576001 transcript:CAK58946 MDCIRFWTIIFACGFILFLSLFLILKYQIEFYRYPVKNQTNSETTAIVSACVYLALTIGF IIWGRRRAEKHKLEILQQYSNLPANQFEAAPEIENEKKMES >CAK58947 pep:novel supercontig:GCA_000165425.1:CT867999:216522:218927:-1 gene:GSPATT00029577001 transcript:CAK58947 MFFPEQEYKTSTKSEDELKKLTLEEDLSECQRSYQILIKGQQLQKKAVTPMVYIQIYQNL HRILKEPNAFEILFKVIVEEIQQQEEDNQIIAAKSLHKLIKENSLQITELLQIYDLTAQI LKIWSLPVLDEWIHTMDALLRVISLNIILNPVQQLILLLTDASQPTISRQSAAKLIGTLA QLLGNEIKGPLLDRARNLCSDHDKEVRLVMAEDVIVKVCQQLSSDLIECYLLEKIMELYY DTDIIVKSSGMRLFFTIANLLSVDEIKNRCTKLFIDQIQSLNEDSKVVMSKMCGRVYMLV KDYLNVNQTSLFLNIYVSYAKSKNLDVRINYISNFPAILSLSLKKFEFFQEQYMLCCNDP NESLQRSILSSLHEVVLLSENTDILVQVFINFMKSKCVAVLQLLIARFTQIVNSFQKQQQ PLFGQHALELLNSLIAKNQWDLQIDLLSKFSECQQIFPEITTFLNTMITTISKGIPKTKQ LCCLNIAKYLSNLGDLRKRKDWIIQLFELYFKSDSYFNRITFIDIVQEFTQFISRKLFKQ YQFYDILIFSKDPILNVRVRLIKILPILYKKIDSEDASTLNMFNDAVQDCILSGSRSFQY MIQQTKEELLKPSDENELNQKDQEMLEHEEQIFKNDQKQRQLLLDQERDDLEINKIDLND YLTKYKKKYPLSKIKTTNSTLHSQTMLIKKPQLQNNATQAFLVKKSVDFDSNKSPLLDAA SSLKKPVLKSKTPTTKSICDIRKQFKLPSIKK >CAK58948 pep:novel supercontig:GCA_000165425.1:CT867999:219695:219922:-1 gene:GSPATT00029578001 transcript:CAK58948 MCLFQGKANTLKAGEDKAENEQMIIYWILQQDSKAIQKKTNEIKFSLGIYEKPYSAFNLD YLKWQYYEILVYKFN >CAK58949 pep:novel supercontig:GCA_000165425.1:CT867999:220346:220561:-1 gene:GSPATT00029579001 transcript:CAK58949 MRSIKEIRDDIEKAIRQIEKKIRLNKKIAIKNYVKKYKRLLDEFREIERVSDSNFKWND >CAK58950 pep:novel supercontig:GCA_000165425.1:CT867999:221633:222247:1 gene:GSPATT00029580001 transcript:CAK58950 MNQLVSIDSTLQANLERLSYLMAQKKEKKPDFRDQFKTQTNSKKIFVNLRAFVKQPQPPS PPSQNQYKEHKIPSQTITTQTQTPKTPTYRQQFIRQQSLAQLEPCFRRQEISASNIMSER TDVKASYKNWVGLSVIERNELFLKKKQQKLQKMRVDKDEQELSKCTFSPHFYNPISIERP SSCCQNKSYQDIHKQRKNQNEKLY >CAK58951 pep:novel supercontig:GCA_000165425.1:CT867999:222399:225315:-1 gene:GSPATT00029581001 transcript:CAK58951 MSIIVKKFLKTNLLEKQHFNKHTERERRRLEYINKSISDRKAYLCLSPTEQYILNDKSLH HSRQEQRMYDFKTPFDNDACVERKEKSKEMTLTMALSSMKNSNSIQQRTKAKKRLTFLES IVFSPDGTLKILWDFLCMFLIFYEILSIPFRISFDFEISNELSTFITAIFLIDIVVTFNT AVWIKGTINYQYSTIFKQYMKLWFWLDLIASFPYDMIIESVLLSDAEETDSTTKNAGSSK TLQQSAQILRLLKFFRFIKIIRLLRLAKLKVIFDKIEEQLQTYTTINTIASFLKLSFFVL FWSHWLGCIFHFVGMQEDPNHNWLVVAGIYDQPVEVRYVTSIYWAVTTMITVGYGDISPQ TTTERFCGIFFLLVACGVLSFTMNSIGNTMQQMSQKKDQQKKNIAEINNYMQKVKIPKHL QQRVRKYLQYIWDSSRLIKLDAITVNLSQELKQLLTVHVNGNILATYTNFCKTFSRVLLL DITQILFEQTAQPDEYIIIEDNPKNSHHLYFIQDGLINIVLPKTRQVVAKLTNKQIFGEI NFFGNVGRTASAKSEGFSDLFVLKREDFLQILQKYPKDFEKFYLIQEEVNKHQFQVLQIH CFACELPGHVVRDCPQLHFLVDLYVYNKTKNRCIRQIMKEYVRKDRVHFNAIKHQQLIKK EALSIQTVIPMTGFIVEECNLDDGQFKTIQFKSVPQPFKIKSKFKEDRSRRREIQKVMRA QQNQVQMINQKQNDLYNLTKSLSQLIQSSTPSLNMQSSSISHSKINENESSEEESDSETI QQKLDEIILEYQVQKENQIQENLFTQNKGQQTYSLIDHFEVGFDFEIYNTHNNLSVVLKE VQKYYNGAQIKPKIDTEYDVNVFEEYLDYYAINIEDINRFRLEVKPERLKQFLPFTTLVE IKQSRQFKLNQGRMKNPQRQSEVSSSEHYSKKMWIS >CAK58952 pep:novel supercontig:GCA_000165425.1:CT867999:225325:226968:1 gene:GSPATT00029582001 transcript:CAK58952 MRTTRIAQTKQQGNQNKLTSIKNTLKFQFDDENDKNIDRTTSPSVTIKDLCQEDKAKIGD LIKRLAQEQEENKKLQEQIRLKEEECKKQVTKYKQLSESAKKEQKQTEEKFKESLEVIKK LQQQEHLIQEQMLLTRVNKFDSFTQYEIENKENNSKVSQAPNPPPIPPPKQQKSEILQLK AEIEEFTNSLKQLQFESSSQERQEISPLRKVIKPEPKQDKQRVIPRHQTPVNVPKHDQRK HIEVQTIDPGNHPNPHQNSADKQIQTETDSFAINSHTSNFFKKEELIQTQEPIKTPGTQN TLQTIRFCEEFKNLENKLKQNQNQYYNGNKDPSVQSDSFQQNQHFQDDESSDEEFTIAQE LLKRKNQRISQQYQKRSSVGSQRGQQVSDVSLQKQQQFQNSYQQQVQQPYYPSSQQQTQS AQQQNLIQSNYFKTNTLSFNLTNQEYTPQQSIQKSAEQFGSSVMQTPQQREMLSQQLQFS QQEEEIDSVITSLNGRSFNKPNVFQQEQYPMIEQQQESYSSESSIPKEEYQKLIDRYMKD NQDSDDD >CAK58953 pep:novel supercontig:GCA_000165425.1:CT867999:227040:228790:1 gene:GSPATT00029583001 transcript:CAK58953 MNDENLNWILKYPNEFSREDFESQHMHVLKQEQFGQLKESDEILNAQLYTFWNGTLEYSK FILMPNLLIKVTIQNGLLQIPQSQFNQSGATYYLSLSECTITQKMIQHRSQQAFGMLLTN SIGTTYLFFSNFVTFRTWYKQMKQFCKLTGFLDKYKLGDKLLPGFYTCTKKTKKTQYTVQ IYKSDDFEQCQELEDAVYNEIQILRSIKHQSLLELKRVYENNKYLFIVYEYYKGETLFNL LNSNLQLHEVQIASIIYQILQVVKFLNQHQFYHGSINPQNVLINTQHQMLQITLINLSFK EYKVNDKLDWILNRAVESFLAPEIFEGIAPNISTDIYALGCVLYFMTYYDSKKYEIKNED KDFYNVMDNFEIQKNRIDESEQQLKVGFQQNQQKSKVSSSQLDLLRKMLETDANKRLTIK EATKHHWFVNVKSKIKSLKVERKRKKPLPSLRTIIELREMSEMDVRMTIIQQQQSGLNAL NHMNSKLQSTPSNTKRTLIYTQQPSKLIQYVAKNEFDDDYEIPDEELYLEVPVIRRKREP KKRPSNIKLL >CAK58954 pep:novel supercontig:GCA_000165425.1:CT867999:228866:230726:-1 gene:GSPATT00029584001 transcript:CAK58954 MPNIMEKFSKGLLNLKNEFMYQLDIFGQLPSFTVLNRNKYTSQLGFVMSLLIGTLSIYYL INEVQQMLSKSKPSIYSSEIQVIETDSFYLNNENFTLAITIADRFSEPVIGINRYFNISV SQCDRVRIRDQSTGNITVQLNCNEMPLEPCNMSHFTTDLQIEYFKTIRFGAVQCINREYQ QKNPPVLKGLFNALEYKYLYIQFTACLNSSTYQGCAPQEEIEEVLQAGRYNVYKSDYISQ LDQPGKPYKQIITNDFSGFSYSTSKTIVQSYRIVQTITDQGMILEDENVEQNIQQTEWRE ISDFYNHQYLVLHVIKLDFKQTNNFRTYIKLQTILGKLGGILQIFMMVVTIIFKPVIDNM MKLELANSLYRFQDSSEKQNQRSTQLQINQSEREFSPPNSLKKIEGQNSQKNEKLNKSMF ETFLIIFGLQKEKHQQFLKARKKIIKNLDIVTILKRLQEIDMLKRILFSKEQMEIIKNLP KPLIDQKQFITQNLIENDIEQDKANQINLSPLQSQQSRLNYFPKMNTVLQKQQIDTQLQL YLDHCNDSQKLKVKLQNSLLKSPSSPLSNSQIKCDDIDEPVAQKPKK >CAK58955 pep:novel supercontig:GCA_000165425.1:CT867999:231402:232230:1 gene:GSPATT00029585001 transcript:CAK58955 MENTNYLKRRFRSSGSLDMRDTMSSSQGFFSTNKLFVNQQGLSSNISSSTLRHTALQFSF PRAHRFNSQSETNIQALELPSQLGSKTTSQGFGGRIENFQWMWQESNAKEMPSPDRYEVR EIPGKDKLKRSFGGPWELYSKTYLPYNKNQAPEFAKFLPGPGEYKVRKDLGQHRYKFLLK GKGKMLNDNPENGVPGPEAYSPTTKLTSPSRFKEITQGIGEKKDPFRSTSTTPGPGKYEL PSAFSKKLRVRGGDKRAFI >CAK58956 pep:novel supercontig:GCA_000165425.1:CT867999:232928:234860:1 gene:GSPATT00029586001 transcript:CAK58956 MIKYLLFVCLITTPFAQTSEAVVTHYDLEGNLIQEDNSLQVSDDIDSMNSMTMNQKDVYS NDMDSIGTDSMDYQSPEQESVESSNLEAPMNLEQTENDSMNVDPNSMSALDSMTMNGSLE NSMPEQDSMYSTNDPNNINAVEPNSVEEALPTQNTEVSNLADANSEVSNEIQNTNLIADP NEINIVDPNNLGIPQDNQIDVQNILESNVGFTTETNDPNNLSQNEVDPNMAFEVLTNTDN LQSSSELENGFAQSEVTMEKNKVKEIPEPKNDEEHGSGQGEEKSEEESEEHQNGTQQSNH GHSQGQDNSNTPGLGSGHHTDQGDGDQGQGDGDQGHGDQGHGDQGHGDQGHGDQGHGDQG HGDGDQGHGDGDQGHGDGDQGHGDGDQGHGDGDQGHGDGDQGHGDGDQGHGDGDQGHGDG DQGQGEENQGQGNGDQGQGDGDQGQGDGDQGQGDQGQGDGDQGQGDGDQGQEGGDQGQGD GDQGQGDGDQGQGDGDQGQGDGDQGQGDGDQGQGDGDQGQGEDNQNGVKGELKAVDSTTS KSLVQGNCIIIYSQCNFKGESLEACNSLSEIEEFKAQIRSIYIPDGLGLTVYDGENFTGN LHKFKSSQECLKTPLSFAQLANSGNQLRGQNLRSRQ >CAK58957 pep:novel supercontig:GCA_000165425.1:CT867999:235140:239823:-1 gene:GSPATT00029587001 transcript:CAK58957 MKNKQQQRQEYLVQVQVIEVRDLKGGDKTGACDPFVKISVGNLPPQVTTTRKAANTAVFN QSFTFTGLLMNSIEFESFEIKIEVYDLKQFGQNQLVGIYSVGISTLYRNPAHEIFNTWLP LVHPKQGLEPQGFLLSSAYVISPTDRPPVHDMNENNVEEEPDEFGGVPDDQLNPEQLQAR IERKNRIAVVSKPEIRGKSYQLMVNIAKAEDLALMGYPTLDSFISVRAGGTAQITSVMKN QQKPQYLSRLMFPLHFPFFNDKIVVRVWDRRTMATDTFIAMIPEVPTENDYFNINFLQSK GGVMPFRWFNLYGIPQDERPGGFQQAFLGYKKSIIGTDFMGRVLMSINLTQSEKPELMVV PLTTQREPLIEKYLLRFDTYEIQEAKDCGEKITVKFKVGGIVFKSNEAYIKTEKKSKYKQ KAQTENKEIQGISYTWGKQIQTKDEKLDLPQDTQQIPDLIVSLHNEKGKRVAYLRIPYSD KDLETNRPKWYTFKSVKQTDNNDIPHSFFLVNIIFRTESAVFKIPKIIVKRDQQVYYHLY AQFYAGYDLCPDLYSEEVQASFVMKIGGQYLELDKPQSGKNPIWNKAFEKIVKIDQNLEF ASNIIITFTNQKKSNSWFSNNHIGDICIPALVCKYEGDPIFGFHHIINQGQSAGRILAAF KLRELGKNKEAFSEDPTPIIPQEGFTQKWAQFPVFGVRNLKQKMKDPKLMVQVPVPFNMV DSSVENPSYYNSEPCEIIQAQKDLLENDKSWFGGGIQDPVFLKLVNLKVFLPEDIKFYPQ LEIQLSDTFNKSERYICSIPLIDVAQTKDQILIDEARNFFEQGKNFDDIQLNVGKNEDLQ EDNENVIVNHDAESQKQQDQKDTVMPKETQPKETVKQSVAPEKMSQQKQSNQSGKSQKYS TMSKLSVMAERPDLKIKSTLKNKGVQNVEVTEMTHLTFELEDIIDKKKENKEKEKKLLGM IKTYKTKYKKFDQLKLLIKREVEKLKGVEIKETNYFKGTNEVDEDEGYDYGREVLKGPYE EKLAPKIPFRRFALYRLSSTKYGLIGNPTDAVIKGDIRISDYGGTVIDNQKQSKKSKVSK TSKKKSSVKDVPDKPDDYSFNLFDEGFLQFFNQPYRLKVRLYILRCLNLAAQKQDIDAYH KMAGLQAVCSADSYPEIFIGESGHDNQDLIKHITDQDRPIENTLSPNFFRMYELDAELPT DWKMKLHIKSKGMFDSVIGSIQIDIEDRVVGEEKLKQRIAYTVFKERFETEKEALKYDDS QNAERRKGYLSGQITDLQQRIENFDKKFKVPVEYKDLKIDGRQQASQGTVEMFLEVLPID IAREIEPAPLQAPLPQEYEVRLIIWETFEIPKVATKKVVDIMVTVAMDASATGKDAEVAK ETDVHNGSDNGDGSFSYRMRFPLVIPCPFPRLKMTVYDFSPFGSNESLGEATMSLKPIIK RLSRDGKYEMPPTKIKLSHPNYPGQNRGQVLIQMKILTKQQADGQPVGDGQDEPNEDPYL VKPTEGRGLADFFKGAGFGMGNFMLYVKIFAGLFITVVVVMILFIKPGILVN >CAK58958 pep:novel supercontig:GCA_000165425.1:CT867999:240460:242248:1 gene:GSPATT00029588001 transcript:CAK58958 MASAFPKLPGFVPTQELDKPNFRKVSSVKQEQNREIKQLPNKEYPVPRKQPVQIPPQSGM FNPDYLSTTHAMHMPKNVANDSEELYQPSWVKMDRHVLRFSGYFKEAVVESALENYRIRK LTIFYYLEDHSLSITEPKQENSGVPQGAFLKRQKVLRADGSKTFITPEDFRINQDIEIFG KTIRLFDCDQYTREFYEGIGQQQAPSFNPGCDSFETKTMTKYVPQKDTVMKDYLEHKLGG GKVTSQKQFLENDRKVLKFYVFSDIDYVLHYYLADDTIEIKEINSANSGRVPFPMMLRRQ KLPRKFSLNQPGQTYAEDFIRPQDIQFGQPLIIYNRKFFIKGCDPFTRYYYQEKFNVDFP LGGQEEYQQEQKSNIIIPPHNGIGDEQDSLGYIYRLQPKPPKKDFFKWVDNQVNLRFLAM FNTTKPEDKDRVFVITYFLNDDSLLVYEPTVRNSGIPDGKFLEKRKYKNVHNNNEYFIPR DLIVGNEVVINGWRFQLLDCDEFTKKWYAENFK >CAK58959 pep:novel supercontig:GCA_000165425.1:CT867999:242349:243209:-1 gene:GSPATT00029589001 transcript:CAK58959 MQQLISKEDYQTYLKGVGLPADIKLSVSVETLYFIANRQIIYNFYQNSELHLKELKPISL EFGDILQRLCVQKIGGLCYEHELLLYYILKYLGFQVELIRCQVREPNSPYNPDLPSTHAF LCVQIKDETYLVDPGFGTRSYRFPIKVNFQDLTQTHDLFAQEHYRIQENETHYQFQHHID DNWVTYYDFEKPLKFASVEDIHNDYLNLFTCKQFLGVRDSRFVVCRNTEYGRIQYLWFRL EKEFTAFKKILKYNEVIKIEFQSYDHLKEDVKKEVGFELPEHGLLR >CAK58960 pep:novel supercontig:GCA_000165425.1:CT867999:243508:244374:-1 gene:GSPATT00029590001 transcript:CAK58960 MQQESKISQEDINTYLKSIGLPTDIKLSVSVETLYLLANKQLIYSFYQNTILHRKDSKPI SLEFSEILQRLCVQKIGGLCYEHELLFYYILKHIGFNVELIRCFVQEAGCPYDPEFPSTH AFLYVTIGEDTYLTDPGFGTRSLRFPIKVNFQNLDATHDLFPLEHYRFIQDETHYQFQHL IDEKWVTYYYFFKPLQFATIEEITKDYHYLFTYEKFSGVRDNRFQLCKYTEVGRIQFFWF RIEKQFTSIKKVFKNNEITKSEYKSYEELKDDVKKELGFDLPEQHEVK >CAK58961 pep:novel supercontig:GCA_000165425.1:CT867999:244574:244968:-1 gene:GSPATT00029591001 transcript:CAK58961 MISFPLSISGLQRMKPSTNYSIQLMENGFQTLEEIKQDYYNFLTTKQFLVGRDNRFQICK NTESGKIQFFWFRLEQKFTAFKKIHNFDKVTKIEFANYEELKEDVRQEIGFILPDRNLIR >CAK58962 pep:novel supercontig:GCA_000165425.1:CT867999:244992:245432:-1 gene:GSPATT00029592001 transcript:CAK58962 MQQSISQEDFKTYLKGIGLPADIKLSVSVETLYLLTNKQLIYTFYHNTDAHLKESKPISL EFVDILQRLCVQKRGGLCYEHELLLYYVLKHIGFNVELIRCFVQEAGPYNPDYPTTHGFM HVKLQDEIFLIDIGFWGQILEISSKS >CAK58963 pep:novel supercontig:GCA_000165425.1:CT867999:245718:246976:-1 gene:GSPATT00029593001 transcript:CAK58963 MVSNNFNQNAFGHILKLKVNGNTVICQLDNINVIQKLRHYQLMDKKELKALTSILPRTPD PLYIPPKLKKQKRIWSFPISIWFKDFRFENEEFLRKCFEKDWSCSKIMKVIKNPDELNEA KNLLWKDYKMIKETYRWYSSYNPTGDVWSISSNVITEFSSATELVDNKTFKLSDLDLKFI ATCAASIEYKGNYRNPERALCRYQFMEFLVRVSDDKYLKQKQASSMLESVQMILQQCRPI MEQYNAQKWRDERYFNEQCDDCLKYFKPMLNQVYNRFSSKKVKPGQKKFMCLDELHDICG IAGLFDERFVDRDADLAFNLSMMMQIDELESDRIFQMSFIEFMEAVARISEKVSLPAAPD MSWEQRQQQPLHIKLERLLILIAQTCASEEYKQQFGNPQKSIFDIQPEDD >CAK58964 pep:novel supercontig:GCA_000165425.1:CT867999:246984:248565:-1 gene:GSPATT00029594001 transcript:CAK58964 MHIGLSNKFSIYNSKRKITSLHSNETEELRRALSIANSPDLHRKDTPLPQLYSPAAKKPM FQRVPRSSVLQPLIKEDDKANDKDIIKQLQESPEQKLEDQIQKPLVGSQSARSYFQHYKV LNKVKQQNEYHKINESIQTQMLKQAESSDALPCKLGMVKMNGNESQVTINNHHYGDKYIK MLSEGLRQNQGVKEFFLSNNRIKQNGAVSILSQIGKQAIVLDLSKNEIGQLGVDCLCQQL QLRENKIEILSLEDNKLGDKFVIKILKCLLSTTNKVKSLNISKNYLTNEVADTLKDTILQ LDLLEELYLHWNQIKGSGGQKIFEALIENKTMVVFDGSWNCFGIIEKSNCTQKICEFLIG NKIMLHCDLSANQFTLQDCKLIATSLKQNRTIYGFHFNGNWGVIDPRGFLIIDENSQQKP LGETPRIKGLDQITTLRYESVCWICQGWQEQMFEWTPEGECDPLFLHMDFEDYKQVYIPK KLDNLYKASINGAFRTDVISIYYY >CAK58965 pep:novel supercontig:GCA_000165425.1:CT867999:248588:249470:1 gene:GSPATT00029595001 transcript:CAK58965 MQNSQQQVNMPQSRMIGDPAQSQYLQNSMAQDPQFLSYQNYRNEIQEEGEIVAETAKLRK LYFLMLLQFFLVIVFSYVSPLLNPTQLRLDSLEDYFEQNSYWIIILSLGCFLLSLAAYFT NPENTAVNVVLYVLFTIVLYFFFISLTAITNIEQSMMVAFMIFGQIFSQFLSVMQSRIEM YYHQQSLYVLAGGLIIFQLFIIYSIIPFFEMIITLVSGVVFGFLLIYSTQSNLSQIKSGA VNGSVRVYVDLLGVFFYLNQLMADLFRKEKQIDK >CAK58966 pep:novel supercontig:GCA_000165425.1:CT867999:249488:252205:-1 gene:GSPATT00029596001 transcript:CAK58966 MGTNKQLNRSFKHTSTIFDQLFQQYSQIGFKKTHILKAWQQCGNNQQTFHEELIKISEQE NAQIMNEEDLFNSQMQLKLQLQQIEQQDLKIAIQLSLDQNAQYYLFTNIHRSKPIISEDR TNKSTPIGLKNLGNTCYMNAMLQSLFNVLPFRKFILELELKDNQSLASNFLLQLQQLFLM LQESNQSYTSPYNLFEALQKFKPSAMFIRGIQNDFNELQNSFLDAIEQALKEEEFEQKKK QFCEMFYGEAKEILSYKENDKEIIKFNDTTFGSISIEAADKDLEKGFLNTRVLIIDEYET DNKEKTKAKIDQNIVQAPFLISFYVNRVYYDPKQKSVCKNNSQFNFNSSLNINQFKTINQ GQKNFELQKLDEEEQRILDQLKSLGDSKDEIEENFNRVIKLFKRNEGQIDNLVTIDGFCI CDEKTANPNIIPSDQMLFQLESYKEKMMITVQLLTDQLERIQEQKKKLLKSDQNMYLLTS VLMHDGSANSGHYYCYILDKKDLTTWWKCNDSTVTKVDFAQVYKDASGSNRVNSNVSGLI YEQEQWLKVDKIQINQKLLSVLQEDKDKQLIAQDEQKVLNRKPIILQQIQQQKNRLVKYR DQLEREFERFSNFDQFLNSVNQNVYLYYLTLTTVQQDISLIKDQLNKPQEVNNYIKYFLT QTNLITTNYENDLKKLKDEYLMINSLLQQLPLRNITNTNYPEIIRQYYTILKSTSEIKSC SALASHLRRMYEVLLLKGCFFVDLSLQTNNIQACTEISQCLLIQINQNQFIDKLIFQQVL TNLKVSSEQAKLITKTTEVADQIIKIQSKGEQQIGLKQLEFKSLESEIPQLQRLRNDGLK LWVNQYEKIKSEQKLLDQNDRQNDEVLLYFG >CAK58967 pep:novel supercontig:GCA_000165425.1:CT867999:252226:254470:1 gene:GSPATT00029597001 transcript:CAK58967 MQQQSTQQQQRQDTVVLKEREERRFEEFFNKFSRNGTSLNFADILMFALDRSLVTNGNNF SMFLTHFDDALGDVKGERALNKPQFYFFLKQLAKKIFHNDPLHLEKMLNEILSEKSAVRD HNVEPNRIIVLDETNKRLLAESSIQAISLYERELKNIFTIYMQENLTHGIIMLRWKEIWV QNKKMNMVSLVKFLRDAEIVPHILSIEQLEEILMKIIPPINNKEYDFFQKGHFIQIYEKN LTNCNDTDPQILIHELQLLLARISFELGLKEEGGGNQNNRKLDVEKYIRKFFGELMLFRK NESIEGPLPNLNRKLIKNLEKFAQKLLNDLSDFEDKDSSDDEPDGTDELQRIAALQGSLL FEQVSLNIPVDQVIKMLDKELPLIPPLPQQEKQMPKWDPEKRVVIGNPKPESPKNKNAKP KPTKKQQQRRKAGEPEPRKVIFEQKTEPKQSEFYIQELADKLKFEQKLISDVERGSLSDV QVAPVLIPEVLYPPNPPLDVQFLVEAAINSHNEANFVFAIQNYDDARKKWITSTGRDLTD GLELYFEFSKATVYESAGRDDLALVAYLNARQFSTKLPTNNPDKALAYCGLGSVFYNTEE YDWALRAFLKAREYRENSIGIETVDTATVYNNLGCCMYMLERNKESYGYFKLAHAILESQ LGQFHPRTLTAARNINKSKNCWFENKPEFPKLWVEYAQDPFAGGKKKKKKKGKK >CAK58968 pep:novel supercontig:GCA_000165425.1:CT867999:254945:255735:-1 gene:GSPATT00029599001 transcript:CAK58968 MNFSQCPDEFQIEEQQFIRVPQRSSLGSFNFEDLDTKYKFTYPTNEKQNETKRNQLNQTP PPSIKQNLQYDIERNYKQQIELPPQLSKSPKQSLTFRDQGKIQVGNQQQIEKPQKEIKSI LNFQVDTKFINMKPSKKRNQKPILPQFQQQQQCKRPLMIQSNPKYQRVSPQNQNKRVSPQ NYNRSPIQNNINKNYSEITFQQEPKLLIQLKLISKDQQSGLVTKNFAKEKQVERLCNLQR LHNRM >CAK58969 pep:novel supercontig:GCA_000165425.1:CT867999:255885:256485:1 gene:GSPATT00029600001 transcript:CAK58969 MPKGQTTNTQKAQKAAKNARVAKKVVRARKHFQNRFHTEKPLALSRKPKFTRLTRQLTPV SKGLDFQNVLRHPLITEKDMKKMEDENTMVFYVNQKSTKPQIKRAFQKIYEVKVRKVNIL NTFGGKKKAYIRLGGENDALNLANKIGII >CAK58970 pep:novel supercontig:GCA_000165425.1:CT867999:256488:258101:1 gene:GSPATT00029601001 transcript:CAK58970 MGQVQQSKQHFLKNQQKLDQHQSKIFESLIEVYSNQQEQVAVIRKLYQNDDQYQIELHKL QQYQQLSNIPGLIKLLEIHKQEEQYLCSNFYKIDAIFEYGTPFTSQLPYYQFIQQVMHTL IELQNRDKYHGDLIPSSFLFKNQVKLFLPNINHYSRLLNGSSEDCYLSPELLEYLGRNSY RPEHNKEKSEIFSIGLITLELILQESIQKIYNFETYTINRDILNDLLSRADNKLITKMLE LQPENRPNYLSIYEESLVEMMQQSAIKIENQQPSGFIEIPIQQAKEILPIKQSKSLHIKT QIIQSTNTLKKTNPTQRSVQIITEDRTKQLKAQPKQTQKNQVKKIPPPIQEKSPSHHLKL NSKQKSNNFANLELLQVQENQDSNLLSPQNQSMFPNSLQYLTPSQSPNNRYSYKKSHQSS AIKHQSLEPSEYAFLHEQIHVPYNPGSNFLDSSRQQELFDLVSPKSQRQTSTLKDISNKK LQINQTKANSNFKECLQKKPQLLKVQRGNSKSPNVARIPGKK >CAK58971 pep:novel supercontig:GCA_000165425.1:CT867999:258157:258681:1 gene:GSPATT00029602001 transcript:CAK58971 MNVGTHVSDDCVTEFNKLKLGKQYRYLTFKLNTETNQIVVDQIGQRDSTYAEFVGHLQNE SRYAVYDYQAVTDDVPPRQVEKLVFIFWSPDANQPVKQKMSYAAGKEALKKKLNGLSKEI QANDPSEVEEAEMRKLVLN >CAK58972 pep:novel supercontig:GCA_000165425.1:CT867999:259414:261193:-1 gene:GSPATT00029603001 transcript:CAK58972 MKFCSLFLKNLRKLLLNIDMFGSQINLQIKKENEYHTIFGMLMSLGILTLIYYSFLSLVI EMIERKSPNVLQNIQYQAFPDEYKLEQDSFIFYIVLSDSYGIPIVQKPDQLVYTATMQAC SRITDSDNQIHNSCNNFTLKSCATVQINTQIQEQLNISKALLGSSVCLDPQDLNSISLSL QGTPQSPIFKSLQFKIEKCNNVTSGGKCASPEYIDKKLTQGYLGFFVSDSVLNQQKANNP FSLVSKLISSSISSYQYKSMTVWMRKSTLYNKENFFYYFENNKEYKTLLFERQSEQVFNI QQPQFIDITLYLDDREAEYYRTYNNILDILGQMGGLLELMLFLVGTIVKPFNKLSCDLFL ASEIFYFEKSHGQQKIVPQVQGLGQSEGLVNSSQLAQLKKYFKLKAQQIKLYIYQYLFTC GPDRQLIQQSIESIYNQIDIIYIINKLIEIDKLKKILLNEDQQILFNFIHKPKIQLGARE KMKESINQNQYDLNKLSFEEEIIQAFNSYTMIKESTHKKYQKINQSILSLLDKDVKMIFE TNDNQKAQKQTSILQIQQVSSFNDLSLQENRVDI >CAK58973 pep:novel supercontig:GCA_000165425.1:CT867999:261494:262802:1 gene:GSPATT00029604001 transcript:CAK58973 MFRLNQLAGQFKEVKFLADPLEYLRLYDMLENDEKDICFAVRKFAQEKAAPTINKYVEAA EFPTEIVEALKPLKLIHKTNTYVKTGLAYLELAKVDAGLSTFYLLISSLVPHSIETFGSE DQKQKYLTRIKDMDILGGWALTEREYGSDASSLQTTVKKVQGGYLLNGNKRWIGNGNKDI LVVWARNAENNNIEGFIVENKWAGVHAEPIKHKLALRIVQNCQITFKDVFIPDENRMPKA KDFQNGVTQALQHSRVGVPWIALGIQAGVYENVVKFITRRKQFGKHIAGFQLQQERLTRI LTTFQASFLMVIQVSRLAQEKKATLGQIAAVKAWVTDKTREVARLGREMMGGDGILVENY CIKALTDAEVVYTYEGSYDINSLIAGREITGLAAFK >CAK58974 pep:novel supercontig:GCA_000165425.1:CT867999:262850:264928:1 gene:GSPATT00029605001 transcript:CAK58974 MNKKSKTPQQQSQPQNQQINLPSPLMQPMSLQSGPSPSQPDTSVLDESGGESVKVALRIR PMNQLEQGRGDEQCIKAISDSNCQLYYKGVAKQFRFNSVLDERCTQQEVFLKCNIQELLD AALDGYSATIFAYGQTGSGKTYTISGVEERLAREKYISDESEGIIPRATRYLWQIMAQRA EQFYVKASFTEIYNEQLRDLLNPASGILHCRWNLQNGFFVEDLMIVECTSFSDIQAVLHE GMRNRKQGSHELNKDSSRSHSILTAYLIGEQNIDGQIVKRYGKFSFVDLAGSERLKESKS QGDMIKETGNINKSLFTLGKVIKSLSDKKNKLPYIPYRDSKLTMLLMDSLGGTAKALMIA CVSPSAAYYEETLSTINYATSTMNIQNKPVISMGEKDQIIYNLTRERDLLKMENQYLREQ LQRYTNGLPIEIPNFNDKNGQKKQLPPLQSRPISHNQILNSQQNGFDQQVNSNNSKIDLP VNKILHEYQMEINKLKQENDELRNARDVSVKNYHIVMNENNALQLKLENLEQIFIGNPIT KGDQDKSKIQEEYMSSALLIENSDLKKKVASLEEKNMELAQIARKNLNGKSSDPNDLHEI VQLKQTNNQLQQRVEFLQARERDLLEQIMRLQRQPKAYAGF >CAK58975 pep:novel supercontig:GCA_000165425.1:CT867999:265205:265952:-1 gene:GSPATT00029606001 transcript:CAK58975 MAIKLNFKVRCETTLCESVCIVGSVKELGLWNPSNSLQLSTNPDIYPFWVGSISVDVNEN QLIEFKAIIRNGNQVSWEDSDNRVIQIRYQSQSIIFSYNSQLLQVIRIQSLYDLSDDESI NLDKIKKIKLQNVLNPFDQEGFTSESDLESDNLSNLDSVGFSPIVKSLSIQFQEESFELL VQQ >CAK58976 pep:novel supercontig:GCA_000165425.1:CT867999:266419:268463:-1 gene:GSPATT00029607001 transcript:CAK58976 MNIYRLNQKLRHIMEVFDIIQRDQLQTLNGGYLGVHQDYLIHQVIKFPNLFDINYDELKL NVVNFFQMLKEHNITPIVILSSQIHQKINSKIIMKCQSEKNKAQFRELIYNDAVIDTLLP KRMIIDWLRELQIQFLVVPDAGSQIRYLFTNNLISGILAEISLLEKCFSFKELNYLILNI FENSFEWIDIKLLTAKSQLSLDSLAELYQLHDYCTRNECIGYNEQILNQFSQTKFTKPFS ELPRSDQFNVLYNIGQQFFAGKLTLQQRVQKFANFLVDPVKITQFMQQIKDSPYIDINFD ELRLQTASASAIQLPTEILVFQSNGIGLFYKEQSLLQPLEFQEYEFIEPSQMAFEKLNIG YFQGKNQIKLNTSFNLFKETIKNPTLLRSIHFYYKTLKLTSRKSSFELGEQSQQINSDLI QLNLNLRFLHLQGLIDLDNQKGSLYASALSYAKPQYFIPFFIYLKLLETPYKPILFGHFY NPQNEKDDEIIAPFADKKENLITKQLINLSEHYQEEDEKILLLLRFFVINSVSKLGQLDY FGDFISCISKNYGQQYDAILIGLYFELNQRGLIEQFINIGQQNPFYKKYNYNEEFYQFLK KKKSKQEFMANHNLQHYIDCWTEFIKLFDYIHQKKGMRFDILLKTNEYFQSLIK >CAK58977 pep:novel supercontig:GCA_000165425.1:CT867999:268787:271088:-1 gene:GSPATT00029608001 transcript:CAK58977 MIQKEGVVKECIKNEHKGYQILAVDLSEELNKENDQKKYYCVKCLIEKIGIKKIVLYEEA IKNNEILNEQLQKTNNQQTQEKLDYFTKLQTQFKLVEERFQKSIQSVFNYIESKLKATQQ KIEKVPEPTILDDSIKLLQNCYEEDGKFVIPSPDLDLKKTYDFSQYMLKFRQLISNSEQI IEEETGIQTIELKQQINLLFDDKQKRTPAVNLICESHNMEIIMFNLNQTEFEQTNPFLCV ECAQDLLIRGNNAIAKTISLIKAEEKWNEYIKDQSEKRSQRQSRLGSAIGFIKKLQEKYN YELNKMIQSLNDQLKQQPKYYEQLKKLKTTRLQNQDKQSLHEIVKILGQTDQQKRCEQIS NQEDWQFFDNQKKVLEELIKENLLVENQMQWLQNFNACIYLEPQDYSDDCKDTSQNDLEI LEFLKRSSIQDQYFSFFENSFNSLRKFEQEEQALKQKGKLENLTQLKQETESDSILLQQD LQAKLKSLMNVDELQTSKEQYEKLLLLENQYKKKITDLEFLNKKQKTEIENGLIQIEKLN NLLAQNKNQITQNKNQYLEQISELKQAYSFSELSWSTGDTRILLNDYAQKIYRKIEERTR RTIKKKYLIDSSYNCNQNTFSALMSRINKKSNLLMIFKSRTQYIFGGFSPCQCLISGSWQ TDEQQQSFLFSQTHDEIYTQKDKSYTMLFCQSQIQYGYQDIIIMNDFQKGSSNLGYAYQF SQYGQAHAKNHLFGSPEPNIMQFEAIMLIFA >CAK58978 pep:novel supercontig:GCA_000165425.1:CT867999:271799:273464:-1 gene:GSPATT00029609001 transcript:CAK58978 MTIEQTCSINLLMDDKQKRTPALNFICESHKMEIIMFNLNQIEFEQTNPFLCVECAQDLL TRGNKAVANTISLKKADEKWNEYIKDQCEKRLQRQSRLNQAIGLIKKLQEKYNQELNKMI QSLNDQLKKEPKEYEQIMKLKDTRLQNQKKQSLYEIIKILGQTDQQKKQEQISNQEDSKF YNSQKKKTYLLKIKFSGCKTLIHVQYYIYLEPKRSNDSENEQELVEFLKKSTIQDLYLSF FEMSFNSLSSFKKEEQELQQKGKLTKLQQQDLENQNEALLNQQKWYKLFQENQTKLESFK KIDELQRTQLQLDELLLQDNQNKQKLKEQEELVEKSNEQLLQAKAKIKDIDWLSDKIEEL EQIYKIRNHSFVKGEISLLTKDYASELYQYLEKRTNKKIKNKYLLYSSKNQGLYTDIFIK SIDKMSNLLFVFKSQSESIFGGFSPCQFLIQSGAYQIDEQAQSFLFSQTNKEFYPIQDKS KAIYFTKDLFAFGLNDLYINSGFQSGSSQLGVSYQCNQYNIHNVKNRLFGSPMPNITACE VIMLTFV >CAK58979 pep:novel supercontig:GCA_000165425.1:CT867999:273502:274023:-1 gene:GSPATT00029610001 transcript:CAK58979 MIKEEGVVKECIKNDHKGCQILAVDLSEEFNKENDQKKYYCVKCLIEKIGTKKIILYEEA KNKGKFVNEELKKNTVQKHQQTKESFQKLSEVIKLIQGEYQTKFEDLKKQLDAQINNEQK KLQSVQESAITDDIKLLSSCYQEDGKIASPSPNIDYKEITEFLIEIKKIIYQF >CAK58980 pep:novel supercontig:GCA_000165425.1:CT867999:274767:275182:1 gene:GSPATT00029611001 transcript:CAK58980 MSLYCSDPFDCSDNSQHDEKIQSNQMALYQNHGTSQQVKIKSSDNKFKVSIKDKEQVVYR QNESDYDSQRIQNTYKVSQTNYQNPYNGQNQVVTKYKVTQKSQFN >CAK58981 pep:novel supercontig:GCA_000165425.1:CT867999:275598:276337:-1 gene:GSPATT00029612001 transcript:CAK58981 MNQEIQVEQRVSLYDIVKEKREEKQVPISRMVYATYFSESAIQKIDVEQVLTKQIKELSD TQGQISGFLLIRGLFSLHLVETQSHVMNLWMRNLYTEYRKEKNIYSMINIVTVNEDNPTR CFDRWYCENLFQTGPQLSDMDKTEAQCQERVWELYQQVCNAGIKHSAKTQKDKQQNKIAN DVPINLDDINMLLHKRFMSIEEYNELYLGDIKIELEQEKVYPQTLPLTRALEYKDLGE >CAK58982 pep:novel supercontig:GCA_000165425.1:CT867999:276386:278517:-1 gene:GSPATT00029613001 transcript:CAK58982 MASQVQNNKDYNHLLTIWNDYFNKPAKETIDLQDNVHNMIILFYKLFQENDSIIEYQHTP NLIECKIDIQQLFKLIDDGEQLQSLFINDPQDWINSISLAISSLNNNKKVICRLHNIPLS KKLASQDIGKYIAIMGTIITTGSSKLLLERACFVCISCDEYEKYYVNSDGSIPKVNQCNK CGSSNTMKLDRNRSDAVLYQKIKLNELQIEVELRDLYVNCFMSGDEIIVHGIVKTIQDEQ KSSLYVKYIQAYHVKHEQINESFTRHEIKTVNDLAKSGELFYALINNFCPSIYGHEIVKA GLLLSLVGGSTCNRNASHCLLVGDPGQGKSQLLKFAHLLSTRSIYVSGTAVSQCGLTCSV NHKNDDTIIDAGALVLADNGVCCLDEIDKMQSQHYALLEAMEQQTITLAKSAVMCQFYAR TTIIATANPAQGHFNKTKSLIENLKIQNTLLSRFDLIYLLIDEPDMERDQKLSEHIMNFH NMKTSRIKFNNTDDVKTPNAYRTLNERLHSNQINQELPYQLMKKIIAHVKNIKSVLTLGA QKLIASYYLKIRQTAFGMPITSRQLESLIRLSQAKAKLCLRQEVTEEDAQFAIDIFEESR FDCFISGLGNQSTNKKGQASSINNSKNIGTLSKPKQTQIFLDELHKVSLQKDSYEFSMEE LKNTARKINLQVGDLGDFISKLNYDCMLIMRGNNVYELNYKR >CAK58983 pep:novel supercontig:GCA_000165425.1:CT867999:279023:280489:1 gene:GSPATT00029614001 transcript:CAK58983 MQSYDKALFNVQVIRKHFFKDKVYQLYLFADELVMTDDLTKTPKYNLKTNLTTTIKWICE NKRIVAFEFSYNGRLKEVYGQKLNLLKEMLAGKVFFSPVSTFYQFHMEIGSGMTGSVYRC ISTENSEEYFAIKKVDKMKITQNDGAIPQLIHELSLLNQLQHPSIVKLKETYVDQQNYYI VMEYINGKTLYTELQSRNYGLSVNESIKIMKELLEAIIYIHNKGIMHRDINPLNIMKAET VKLIDFGLARKVRNQLIFPSSGTPGYMAPEIINFNKDKPYDEKADIYSLGCVLFKLLTGE NLFTTKQSKQTIYQSNKQGVFELKKQLQHPECNSNKMDQLFILLPYMLESNPQSRLNAKV CLTILEEIETNNLQVERLIKKILIRKQLAFNTSEDYQQDKKSDEKSQKGKFRQSIDALSK RSLDEISVFTKNVMLQNQQRVILPQKKQP >CAK58984 pep:novel supercontig:GCA_000165425.1:CT867999:280800:281333:-1 gene:GSPATT00029615001 transcript:CAK58984 MLRSALYEIAHDYIIIGEDSLQIQETENYQPNRTPLSTKQENVVDPFQNFSNLLQAQKQK KQTRRALISIDTNFNTISGSPEKNQNVVNCSSKHKSTYSSPKNVVFYDRQIRWLNQVKQT IKVKEVIQQQRQSVECPFKPKKCPTSLPQTTKNQNNKPKKMRKDSYSTIHLLKQKLY >CAK58985 pep:novel supercontig:GCA_000165425.1:CT867999:281360:283171:1 gene:GSPATT00029616001 transcript:CAK58985 MGCLFGKQEQAQNRYQMKEYDKFKVLQLSIRYGQYHLSSKQYIYNQIGRMVYDIIKLVGI TGFIRNVMANFYRFQVHQDQQVFAQLFPINKQNFKLALSNLPKPLFYQIIQSDEAFLKKN MINKEEVFNEQYSCFDESEIEMEQEPEIDLANQSLDLSGIDNNNNNVSPIKKANFDDENI NHQKLILDYLDHYCPQTEEQYYKWLISEKVIQYLQQSLFINLWFNQLDLEEKFVWQKSNT NAFVKRKFQLIENKLLILYGSEHQDIIEIIPLAYSIIQEIPKQQYVNKFGIAIKCDKLKL EVQLFFDTQLELHQLYCPYSQKYQILNNNNKQHIECVNKSLGLKLSIQQFKLDNSSISNL IIHDSVSNFKGVIKCHEIQFENTTTIHQICENIPFTLDEYLSQIKQLSGMTLVIQLEFQI RLIAKQLLVIFHYVHMMGVIIVCLNPNQIGVLPNKQEPDSIEKVAICNFSYSTYSFKLYN RQKLSEFACPEASTGQMFDESVDSYLLYKLLMYIIRGQQLSPNFKDLLNKLEKRIPIEKA LQHDLFKDETITKKAIENMVILKN >CAK58986 pep:novel supercontig:GCA_000165425.1:CT867999:283211:284642:-1 gene:GSPATT00029617001 transcript:CAK58986 MILGYWLLTSFIVPDYDIHSKFTIFLFCLTFSLSTMALGMFIFEIFKIGQSQTRPKLWSF TLFSDVLLLLYILPSLSLIFIFHSIQSIPKGIAGFLSIILIILYLVQKFHPTNIDFKLAS QIDFITNIGTYMIGILSGFGAVYCPWAYFQMIINKVKQGKKAIVSNIYFILAEIEKSVVK LVLINEQYRHKLIGEEKPTFFWARITSWFKKTPTESQQRKDLKEELRQLKAIHSQLYDHF KDYIDEETRYYQSKSFYGKFLKRLAWIVLIYCIYKMIMSTINAIWGRKKSIDPISRILKV ILPFFGFELDQITYETLAMYGTFIFMGYLMFSNVRSFSLNLVNIFNTFMGMAVLQKLPYE IMVLFIAEVFGVYLLSTVILLQTSLPDSFISNLKEYSQGIEILSHYEKIDKLFLLSGFIS TLIIYANYHRRKSKLENFEKSDLKLRD >CAK58987 pep:novel supercontig:GCA_000165425.1:CT867999:284783:286091:1 gene:GSPATT00029618001 transcript:CAK58987 MNYNSYQSYKPYSRNQQQTYHNNQILSYVEQVLQKSRTNYTQHKIPESNLKSNSNLQNIN TDPDGKAILNSPKITPQELKIAQKQDTTPIKTIPLHQSQQNIDRQPLIPLIPLMQNLKEQ FKQKQAFQQQSPPKKTEERFKNFKIIKKLGDGKYSEVFLARHLQTGFLVALKVIQKKQMI EEIMEAQLAWEIKIQYLLDHPNITKLYTFFQTQTEIVLVLEYCSHGQLLGLLQKQQNQRF QEKEASNYVHQITFALMYIHNNDVIHRDIKPDNILLSFGQAKIADFSFCVYSPHAYRQTQ CGTIIYASPEILEGDMYDKKSDIWGLGVLTYELCFGKPPWKEHQQELMKTACFLIPSSAS KELREFIESLVKRLSRERLTAKQAYNHSWLQQTQQALPQFIQQNVTIFN >CAK58988 pep:novel supercontig:GCA_000165425.1:CT867999:286127:286735:-1 gene:GSPATT00029619001 transcript:CAK58988 MKKKSKKHHKKKHHSSSSSSSSKSSKSRSRSRSRSESKSQKSKSNQFNKEKTVDSAIQDQ STTRPYKVNKFALGSMLFENRRTNDRMDKNEVQHANTQLKKIDKSGRDAILLSAAINTVQ EDNKREEYQIELVNGQYIKIAKPYSCGFSNCKMRFVTTDELTKHLREHDRVQSMKNTQRA QKFMENL >CAK58989 pep:novel supercontig:GCA_000165425.1:CT867999:286880:288204:-1 gene:GSPATT00029620001 transcript:CAK58989 MAEKVLNENQQKYDQQQRKQRYKEELETDMKLKQQQKIIQHQQDQHLESQMLETLRQRES KKLKEMEQRKIKQIETSLDNLNHLLIRKKMNQERSYQSLLLEQEDIKQEKLKEIKSLERI KILKDEESRKLRENYDSVIQQKLNQKQQIKSKLLKVQETDPDQLAQAQRGDAQSYQNELN KQLEEKKRKILEIQAKKQEERLRVEQKIAFEKQKILENKLKDYNMHKQYENENYELSNQR KQLGHNPSLQSYNIKLNDNSQQKLPQIYSDNYQAQERNKQLHLLDQDMLKYQKMQQQLQQ EQTYNPNQISNQILKPQQLAQTPLKTPLSQSSKQSKQSKKSKQFINPVHQEGPGYSQIHQ SKAQTPKSKQSKKSLPQPIEFTDSSNQQSKPKFKNYNILTGILHIQ >CAK58990 pep:novel supercontig:GCA_000165425.1:CT867999:288478:292150:-1 gene:GSPATT00029621001 transcript:CAK58990 MVGLEMNEQNTSKLQPKFCLLFVQPINFFAQSFSKVIFIGIKQKSQKFSDSNLTILVQQI LGKSPIISKKIKKKLLLNLYGKRTGNLLNDKIQQENRSPLLKQRFEPFSIEETKENVVIE QEDKFDQFKDFVFGIQIQKCQRDVYLDGKVNPINKQNNFIKNSKYNAFTFLPLVLFEQFH QFINLFYLGLTITQCISFLKVGFLIDYLGPLALVVAISLLKELYDDIQRHMRDHQINNYE YGLITENGIQKITSGNIKVGQIVEVKCNERIPADLLVLYADDEQGNVFIRTDQLDGETDW KLRKAVKATQNLIKNGADPSSLISQNSYATCQEPIANIYQFSGLFNYRYQKESLSLEHVM WANTVLATGRIYGLVILNGKETRMAMNSKTPKTKFGILDDEINWLSFLLFVMMSIMAGIV TAFSGQPATASVVTVAYIRYLVLLSNIIPISMRVNLEFAKLVYSYKINIDPQIEGTITRN SNIPESLGRIQYLLSDKTGTLTQNDMIFKKLSLASAQFSEEDKEEMKKILIEQCKLNEYP LQDVVNFLNKNNSKLRLKRERDQVFRDLMFALCLCHNVTPIYDGENPKTFQASSPDEIAL VKIAEEIGIELLSRDQIQITIKDCIQRKQKYEILNNFPFSSETKRMGIILYNREADRYIF YVKGADAVMKSLVQEHQRGFIDEECENLAREGFRTLVITQKLLTKQEYENWKMKFDQANE QIENRETSIQKAISLLEKDMEFLGITGVEDKLQEDVCSTLENVRNAGVNVWMLTGDKIET AICIAISSGIKSPTQQIFLIKETSDPEKFQQQINTFGVDTNNHLLVIDGTSLNTALTYFE ELFFTIATKSLSVVCCRCSPTQKAQVTECIKFYTKKVVACIGDGGNDVGMIQSADVGIGI EGKEGKQAALASDFSIIKFKYLNVLLLWHGRLSYKRSALLSQFVIHRGLIISVIQAVFMF VFYFLSISIFSGGLLLGYSTIYTYLYLLKARMFPVFSIIFDEDVDIKIALQYPPLYRSLQ KGRDLNAKTFMVWVWKSIFQGVIIMILALAMFKNIFLEIETVAFTALIFNQYALTLSELH SLHIVMIISNIASAFIYILSIMWFPNQLLVSAMTFQFFFYVIVIVLVSWSPCFLAQKILK KYDPSDYEKIMANVKRELINTKIFK >CAK58991 pep:novel supercontig:GCA_000165425.1:CT867999:292720:294954:1 gene:GSPATT00029622001 transcript:CAK58991 MIQEEGIEKECIKNDHKGCQIVAVELSEELNKENHQKKYYCVKCLIDKIGVKKIVPYDDE AKNKAKSEELKKNAVQLLERNQKLILAVKSIEQIGLTQFEELKKQLEIQINNQQKKLISI IEITTTNSDLELVSAFYQEDGGLARHSPIIDYTKITDSIIQIQTLLSQLENFEKLQEADQ EKMKFEQQCYNDLLIDEKIKRTPALNLMCENHKMEIIMFNLNQTDLEKTNPLLCVECTQD LITRGNNAVFNVISLKKAEEKWNEYIKDQCEKRLQRQSRLNQAIGLIKKLQEKYNQELNK MIQSSNDQLKKEPKEYEQIMKLKDTRLQNQKKQSLYEIIKILGQTDQQKKQEQISNQEDS KFYNSQKKKTYLLKIKFSGCKTLIHVQYYNYLEPKRSNDSENEQELVEFLKKSTIQDLYL SFFEMSFNSLSSFKKEEQELQQKGKLTKLQQQDLENQNEALLNQQKWYKLFQENQTKLES FKKIDELQRTQLQLDELLLQDNQKKQELKKYQELVENYNDQLLSAKAKFKDFDWLSDKIG ELEQLYKIGKHSFVKGDTSLLTKDYASQLYQYLEKRTNQKIKNKYLLYSSKNQGLYTDIF NKSIDKMSNLLFVFKSYSEYIFGAFSPCQCLIQSGAYQIDEQAQSFLFSQTNNEFYPISD KSKAIYCTKDLLVFGQSDLFINSGFQSGSSRLGVSYQCNQYNIPNVKNRLFGQPEPNIKI CEVIMLTFV >CAK58992 pep:novel supercontig:GCA_000165425.1:CT867999:295405:295902:1 gene:GSPATT00029623001 transcript:CAK58992 MIQKEEGVKECIRNDHKGLQIVAIDLSEEFNIENDQKKYYYCVKCLIEKIGTKKIVLYDE AKNKAKSMNEELKKSAFQTRQQTKESFQKLSEVIKLIQGEYQTKFEDLKKQLDSQINNEQ KKLQSVQESAITDDIKLLSSCYQEDDKIASHSPNIDYKEITEFLS >CAK58993 pep:novel supercontig:GCA_000165425.1:CT867999:295916:296623:1 gene:GSPATT00029624001 transcript:CAK58993 MYQFENFEQLQDVDKEITTIEQTCSINLHMDDKQKRTPALKFICESHKMEIIIFNLDQTE FEQTNPFLCVECAQDLLTRGNKAVAHTISLKRADEKWNEYIKDQCEKRLQRQSIFYSAIG FITKLQEKYNQVLNKMIQSFDDQLKKYPKEYEQLIKLKDTRLWNQDKQTLHEIIKILGQT DQQQKQELIQNSEDSQFFDCQKKVLGELIKENLLLENSIQQLQNHHL >CAK58994 pep:novel supercontig:GCA_000165425.1:CT867999:297926:298297:1 gene:GSPATT00029625001 transcript:CAK58994 MIKQVNQLIDASGSIRNCWQWLANFWSKSIPKDNSIAITFSNYPTVLKGEKVIHQDIQEH GGGGAQIVLAFVEFENQLANISVNQKLTAIFISDGADSMVTTLDRKMKQNLSGNLLNHRI NFI >CAK58995 pep:novel supercontig:GCA_000165425.1:CT867999:298334:298881:1 gene:GSPATT00029626001 transcript:CAK58995 MNLRQLYHRGDPQIPALYLIEYSSELAFFNKFETMKLYFLPARFLYWIIHKNLSLYFFHF FVYREQSPPILKSIKSIFKKDSKSYQRVLLMIIKLINSPQKSNKIIKGQVDHIYLLLKLV IFSLNRRFILIMLEARKQSQKTTAVFLLLHLLHLNQIFFIQFSYNILIDIQISLY >CAK79921 pep:novel supercontig:GCA_000165425.1:CT868351:4353:4594:-1 gene:GSPATT00039371001 transcript:CAK79921 MPREISNVIFSENDLVEDVKNAVFSILGLEKEEVCCDISIFGQSISSQKRQRSLLQLSIK SNTTIEARLRWI >CAK86795 pep:novel supercontig:GCA_000165425.1:CT868594:721:1333:1 gene:GSPATT00039783001 transcript:CAK86795 MYKYLWVIICGIIYQQFLTNYKQFKFQNQMKTFQISKDSSFRILVPQNRIEMEEETVETS IQLVFKEYLSTNQDYYFLLQISQKSLQIQSKLFINERSCNCKKSQCLKQYCDCFANGQMC SENCNCVGCFNNTLNMEQRKEAKVQIINRDPGAFKQSFKGCNCKKSGCQKKYCECFLSGL ACTHLCRCDGCLNCSK >CAK83654 pep:novel supercontig:GCA_000165425.1:CT868475:1292:1790:-1 gene:GSPATT00039553001 transcript:CAK83654 MDFQIRFQCFKITQRFRYAGVFIQFLVITLLSIKYLFTIQNLYSQQPVNQFVLNRVIIIE NQGWIFLNFQLIVNGSISPFLTVELQISYYKRIQIAMLIIQQGLFEYLITKSQIGNFQGE FEKRHIKNQAEITVSVSATLKFQFKKLKNDLIIHIYP >CAK74565 pep:novel supercontig:GCA_000165425.1:CT868191:334:1411:-1 gene:GSPATT00039020001 transcript:CAK74565 MELGEKFDLSKILNKKNACLQVKIQIFQMAKGVQELHKLGFFHRDLKPGNFVIGKDNKIK LIDFGISKKLKRNPKPKCKEHINIWLQKYQKPKFMTIRLIFGLWDWFFMRSSQGEMIDDL IQIKQIQINEKLRANKIQNNGNKNYYNEKKLNKDVKRISIDEVIRQLEEVDELNKLDGNS GYASDDKSIHLQYLKQYNPNQFNLGEKTKNTIEQNNIQKCIQKAIQEKIVEYVQLKQGLK LSINQLGAKLNGELQYYELGEQKYDYQYKKIIFIGEKDVGKTILINSFVNYFFRITLDDN FRLIVANQEPTTKISHYYLGSYQRQYGINLIHTWYLRIQQ >CAK74566 pep:novel supercontig:GCA_000165425.1:CT868191:2168:2368:-1 gene:GSPATT00039021001 transcript:CAK74566 MILDLPSQDGRNMSIRLWDVKIGQQRAKLDCYSGKFYSVNFSPDGTTLISYQFLRNISYF EVLFLH >CAK74567 pep:novel supercontig:GCA_000165425.1:CT868191:3787:4068:-1 gene:GSPATT00039022001 transcript:CAK74567 MISVENLNYDIEYLKILYSNVYFKDSTTDQTFYFDNRVPQGSCLIQYLQKDLLIVIQNYE VFNLLLTLPQLFSIRKILENGIFCFNHIQVVIL >CAK74568 pep:novel supercontig:GCA_000165425.1:CT868191:5573:8852:-1 gene:GSPATT00039023001 transcript:CAK74568 MNRLEVALQNYNSAIQKNQEYSRYYFNKGIIKFNDFLAITLDKMNRLEEALQNYNSAIQK NQEYSRYYFNKGIIKFNDFLAITLDKMNRLEEALQNYDSAIQKNQEYSRYYFNKAITLDK TNRFEEALVNYDSAIQINPENSKLLQQQRYILQWILFLAITLKKINRFEEALVNYDLAIQ KNPENSSYYYYKAITLNKMNRFEEALVYYDSAIQINPENSSYYNNKAITLDKMNRFEDSL VYYDLAIQKNPEDSDYYKNKADTLDKTNRFEEALVKYDLAIQINPENSSYYNNKAITLDK MNRFEEALVNYDLAIQKNPENSSYYNNKAITLDKMNRFEDSLVYYDSAIQKNPENSDYYS NKAYTLDKTNKFEEALVNYDSAIQINPENSSYYYNKAITLDKMNRFEDSLVYYDSAIQKN PEDSDYYKNKADTLDKMNRFEEALVNYDLAIQINPENSSYYNNKAITLKKINRFEEALVN YDLAIQKNPEDSDYYYNTAITLDKMNRFEEALVNYDSAIQINPENSSYYYNKADTLDKTN RFEEALVNYDSAIQINPENSSYYYNKAITLKKINKFEEALVNYDLAIQKNPEDSDYYFNK AITLKKINKFEEALVNYDLAIQKNPEDSDYYFNKANILDKMNRFEEALVYYDSAIQKNPE DSSYYNNKAITLDKMNRFEDSLVYYDSAIQINPENSSYYYNKAITLKKINKFEEALVNYD LAIQKNPEDSDYYFNKANILDKMNRFEEALVYYDSAIQKNPEDSSYYNNKAITLDKMNRF EEALVNYDLAIQKNPENSSYYYNTAITLKKINKFEEALVNYDLAIQKNPEDSDYYFNKAI TLKQMSRFQDALENYNLAILKNPEDSGYYNNKAFEFTLK >CAK70225 pep:novel supercontig:GCA_000165425.1:CT868076:1113:4107:-1 gene:GSPATT00038327001 transcript:CAK70225 MATKCSDQKSTICFNAIEGVCVVFNSICIRKGCDTAPSDASHNDSECSNYSQACTVARAG GCQVRTACSLYKTQLQCKLDMNDKKCYWNPTVKSCVDLVCANIEVSNLFNSHNACYTVDE YLLCTVRAVNKVAVLGCMARGPCSSYTIEDQCRVNASRIDCAWNTNSSLPEPACQDKSCT TAPTSILTHNDCFNYYNTINYQMYGVCQSRGCQQTAACSTYIHSEQCKINDVGDPCGWNG KECNDKSCSTAPATSEYDNDAKCKAYFNNKCTVSLNGQGCIDIPEICELMNEQQCRYNRA GQPCYWNKSDCITKTCENAPEEIATAEQCNNYLYGCTIDVIKCKIKICEDYALKTDELCN QALSTCTTNGINCVTRGTCVQAQNKAGCVVSSTGQSCEWIPDVVNSQNVVITAAYCTIKT CNTAPISLQTDVDCAKYFTNCTTKSGGGCVTKSSCSAATINAACITALNGTICAWDYTLN KCRDKDCQDFIGTTHAICQTQRQECTAGPNGRCARVQSCESTTIREACIEGTNGPCLWIE KFVNSDGSKGACFAYTSCKSLAWNSDESCKLISNKCTTNGTNCIGITTCTETNIDGGCVT GYDGSCIQSAPALNSSDPKICKPFKSCADAFYATHKDCQIANKKCTTDGTTGCIPLGACS TYKSQPGCQVNDKGSVVESGVITSTGVCTWDLSTSGCRDQICSDLNGVTHSICNCLIINM YFRCYSTQIICSTAVGNDGICFWEVGSTTNNNTAKCRLLSCADIQNGISISVCQAALQSC LSNGTICIPKAKCSTYTTKAACNFGGLDGPCVFNQSTSTKAITDSGTCTLMNSMFICKQG LNCLFSQHIDKMFMDVQQLLIHTCATNNALCGTCSRFFNWDKQSQQLCSLINGMCRATDP STLNQTDCFRLSGYAYTWDSATNKCQVCTKQDQPSSNVNNTINDTNNNNTNTAQRLILTS LTFILGYFII >CAK70226 pep:novel supercontig:GCA_000165425.1:CT868076:4344:9108:-1 gene:GSPATT00038328001 transcript:CAK70226 MHLEGQMLQETMFNGFDFSKHFILNAKLIIKVAHQVILEKDVLHYLSNLRCKIKANKKSC GWTGSQCVNGTCSTAPKTLSQTFECLAYLFPCVANDPITVNGSSTIQGCQDLPKTCEARK SPYNCNITPLGYPICLWDPLAKMCVEQSCTTANIKGGYNQYAGDYPILDGQFTFRNCQKY MILCVSHNYLGQCTKIVYPCIQNNTQDGCMAKPTSCSLLVQQNCGDQTKVDGDCLWNGTN CVDKLCTNIIQTTHDDCNTILKSCTVNDDGTACFPLASACSSYKIQDNCKITSTSLNCYW TGTFCRNAICDDTPDSDLFDSDKECLNYNTQNERCTIIAKIGGQGCVQKQPICSNYKISS QCHQTLSNLTGLDDCKWINGICYSLSELATGTCSTFKGTQDMCQAYRDGCTNEANARSFT TCTLDCTLKIGSGLTFQDCQNVDPTCSVKKNGSGCIIIQSTCAEYGTSEANCYKSNATGT QANCVMNKATPPSCQAVSSASECSLISGSGLDHAKCQAYNIACTSLGNGNGCQEFKENCT AYTGNTVNCTVSQQGKCYLNGSDCIRFSNCSSITGTNLTHQICNGYNINCTVNKQNTVCQ ERRATCALYRSQEQCTVSAASLKESQCVWSGTACIALTVVATHCSYITGTNLTDSFCATY NDNCTVNYEKTGCQEKKAQCGLYFTKSSCTLSQAADTAGKCIWNNGICSIMTLLATIAGS IKDNQMSSARCAQFNPAFAAKKDGSECFIKQGSCSIYTEEQYCTTSLALGTAASCIWDGA HCQPFTSGSLCQYITGTDLTASYCPSINPLCTTKITRDGCYGKKPNCSDYDIEGDCQQSL ASGTAGFCIWTGSNCTYVTDPANQCAKVLTSLFATDQQCANYHASCIPQFSAVGCQQIQS NCNQYSDSKTCYKSLNDGYCAWIDNKCIFLTSPYQCASVSGTNLTSTYCNGLLKECWSTS NMKSCYQMKSACAEYVNKAQSCIRTLTNGNAGLCGWSKDAVCYQITSASQCDTQNVAIVN PSFTTTDNFCATFNAGCIANAEKTACQQLLSSCTSYSDISKCSYAGNSQSKVRCIWKASQ CTTITDPATQCTNILKPANEQFSDATCVSFHSGCTVKADYSGCQERNAICANYTTENSCT YSAAASPFNVCLYFNSKCVTARELLTDCAAITASSGLTDSDCSGYSSTCTSNKNGTACQD KKSTCSDYQNQDSCSVSSSSKCIWKSSCTSISDVTTDCVYVVGTNLTHDICISYNNGCTV NKAGTSCQEKKNSCADYTKSENCTRSQDSGSAGYCLWYSTCITVTNVTTHCAYVTDINLT KDICALYNPNCTVNYSETACQEKKSTCSAYTLKENCPIGCIWDGSSNCNDFSNPSVQCSL VNGKTGLNLAKCQQYNSECINLKDGTGCQHAQTNCKNYTTQNQCVALTNGTSCLWYENSC YQITSPTCSKITGANLNHNICQSYNKGCTSVNDGTQCQDYKSTCEQYSGTTASCTSSINA KCYLYNSNTCITILNVSTDCAKITGVSLTYEICQSYNLGCSVNRAKTACVQKAAQCSAYS TAMSGCYQARRRIMYCIYQQ >CAK70227 pep:novel supercontig:GCA_000165425.1:CT868076:9157:9955:-1 gene:GSPATT00038329001 transcript:CAK70227 MHLLLQILMILVNYSKLMDHVQQNQMVDVSLEPPVKLLQQQAACIKNSTNEDCYWTGTTC VDKICSNAPTTLTTNSVCAAFSKGCITKQGGGCVLNGDCSAANISTACVKKHQQFRLYLG IQLAKKKHVQMLQNLILNHDQCTSYLSTCTVQSGGGCQKRTCDNAPITLNTNDACETYLP ANKCITKNGGGCTINTTCSLISIEAAIWCFWHVASGSCKDKICVNAPSQQQQSRTLLIFF EYLYCKFYKYRMCRKNM >CAK70228 pep:novel supercontig:GCA_000165425.1:CT868076:10007:10677:-1 gene:GSPATT00038330001 transcript:CAK70228 MIIKVVILTLLVALVTGQQYSISQCDCSQLLSEDDCRENDMKKIRHVKNQDTTTNPVINY AKYCDNFKELECPKQKPCSNCGSYSACAWVEGQCTFFTDCTAFNKTTDSDCQAISNRCIT DGIHCIEIDTCDKYKRQQSCVKCLFGSLCYWDSKNNNCVDADTCDKLPIKFQTDKECRHE IQSCTAKSGGLDVLIVEFNCSELKSLVKFNVFGINQ >CAK70229 pep:novel supercontig:GCA_000165425.1:CT868076:12573:18344:-1 gene:GSPATT00038331001 transcript:CAK70229 MTDSSGTVTLTGKFAKQHSYQIIAVASTTFNNIQASAQINGETHHSGLIVFNGKVQFTQS DVYVKDEFQRGLIFIKTLSNSKPLPGVFIQFTAIYLDDAKQTKEVNFIAITNEKGEENVR FLLPTTGGSFSILYTASKLEYWNTQQLDRNSQNFVLWPEQKYELSVNIDLQPGQFQYLLS ALIFDQNQKPLQGAKIQVTGTNLGSNQQLIENDLISGPDGKIAITYNLKATPKLHLKEVA TKDQYLSQSSEFDQDFNLNIKKDQFTRDLIIKLQEASDLQISGVITDSSKIVPFVQGAEI VLTITNIIEPIKVITDADGKFNVHVLVPSTQNLDIKATVKAKEFIDQVITPKQINIPSKQ PYQLKLDIVLDRKVNEITIKDQLVDPSGKPVQNASIKIIESIPAMNDKSFYNKEIIGNSD GTFQYKFACYENQKFTATFIFKVQEFVPITYKTPQLECKNQDLIKTQITLSKSTSLLTIK GQVLDVDQKPMKGFQLTFKSDPSLTIANSNLITDANGYWQIDNLTVIPQNNYKFTVEYMN DNKQLTLVSSNYTPSMDKQQTYTFPVIGYQRYVKVKLTGKITPSDGKAAVPIPLLITCDS KGRDGNPISVETTTKEDGSYSVELETLAGSDKPLQCVVQNAPVKLTGQPGQTGQSGQSGQ SGQSGSTGQSGQSGQSGQSGSTGQTGQSGQPGQSGQSGQSGSTNTVSSVQPIKINVEVKA PGWSSSTNIPVTYNTVDITIKGVVTDKTKAITALENVDVILIITPQDVYVQNKHLLEQYL FYKRHNQNAQTQSKISTKTGKDGSYSFSFKAVQAYTLKFSIQASNPLFKTENKIIEDKCL QSITLTENLELERITMITKLHSTLSSSDKKPIPNAIIKLTSSDPLMKDSKYYNIATNDDD KGSFFVNFECYKELEYIVSLQIDIPQYEEQKVKSSKFKCDSPQIELNPITLTLQKIEIKA ILAGKVIDPHGKPVANLSLTITTEPESETLTTKTQSDGTWTATVPKIYPITEYKAIISYQ DINKQNQKIEQKFQVQSDNQKVSVQDINYIDLVDAKIKGKIDLEKGTLSQPVTLNLICQG FKDSKGPINKDFTTDKNGNVDISFQVLAKHDDKIVCLIKPKDVIQDNGYKVELIPPNFEV NGFSIKSKFLTTLFTINGKLIDETKIEVKLPGIQMSFSLKQQDPITNQQIKIKSANDGTF TFSIELLRGINYDGTIIVDGQPQFQNANNNIQLIGKEEKQTINLDITLKRVIIDCTISGS LIDSKNKAVENAKVEILSSIPQMQNAQLYNKYAQANKGEFSIPFQCYNQVPTTLKLDMVS DAFPKSPLPPQVFTCGEKDAKLKPIQIITKTAQITFSGVLTDKSGITMNVQGADIEIVLN PSDPLASNLKTKSDQNGKYSLTFGAVFDQSYHAVIQITHSDFTPFKSPSIAISTNKDQTI TQDAALDRIILQATVSSSLTDPQGKPSPVSTLTVESLQPIVKGTEKNKITGKTDANGKFN LQIPCYKNSEGSFILDVTADKYKDTKTDKISFQCNGPQIQVPPIKVTQELTPVNTKLTVG GEIVDPKGKGKSGISVKLISDPVTKEYEAKTGQDGKWQLLDDQLQFGVKYQLTEQFLDAT GQIHKVTLPFQTNDEKSQLMFPKQFYDDYLPFEVDGELTSEGGVAPSNIQVSMQCDGFGK DNRPIDIRTKTDNAGMYQIKWDMLMNSNSKVQCLVITQETAKFKQTSHKFNITPDSGTVK VSTQAEVTPEFKGQLNKYYQYSSISGVVMAEFDCDGALVWRGLEGVNIKLKQWNGITYND LKTETKSDKNGLFVIKYQVLKSQIQNEMRLEFNKDNYYPASADFNIYSFDPQPDGTYLVL LSNIKMVKIGVADKCPQKKRKH >CAK70230 pep:novel supercontig:GCA_000165425.1:CT868076:18763:19714:1 gene:GSPATT00038332001 transcript:CAK70230 MLYQKSTQRHTYVEHLTVHSASSYGRPQINNGNKILLPASALQQLIFIKQNGPMIFKIQS TQSQKFTYVGVLEFVAEEGSCIIPDWLFENMNFFNRCWVIVSLEQSLPLGKLIKIQPHET AFIDLPDPRAILENQLRNYICLTQGETITITFNKIKYMIDIVSVTPKTDKLAVCINEADV EIDFLQPLDYTEAPPQKLVKNNSTLGMEQDQQQQQSAVFTGKGVRLDGKTGVAQPRKQSE DVKIVAEPYNPRKNKLVNGIRQAEDVQLFTGTCTKVGAQKKQL >CAK70231 pep:novel supercontig:GCA_000165425.1:CT868076:19721:20622:-1 gene:GSPATT00038333001 transcript:CAK70231 MIQIEQQENIRQKKKVRNLIETLENHLRLDEKITNLKIQLEKMRLQITTSTDKNSIKEKI SQLEVAMFNYEEEKKISNYTDEERSKLLDNIRNIILQIRPLPFSCDNKRQIHKNLKNLNR FEEHFLILRVKSNNEKFVIEASKILSLVCDKKREIKKVIDQSKENSNNDESQYGNILENQ AEIKIVDENQKVHQQETPTLGGNIIEQINLDSQNKLLDENMIKQEPIEIGQENEQICESE KCQICFAKKRKFVAIPCGHFIYCQVCKELVMQKLKCLLCRQNVLQMFEIFA >CAK70232 pep:novel supercontig:GCA_000165425.1:CT868076:21145:21829:-1 gene:GSPATT00038334001 transcript:CAK70232 MFIDDSTFMIMAYNYLKLLIRLQLQSFRRFLKQNFSHLAYLDKNQNIFDDDNSLVHPYIT TQQQFKTQYSKVNLSFNTQSSYFSLFKKCQKPFNIQVSFTQQKAHLHMGNIDLLNENDII HNFLRTVSKYQKQQCVKTSFGFSIQNSYILVLSISNSLGFASEIYTNFLLDINFPFKVLN NNKYQEKSLQNDSLKENKAIQPLFRKINSQTILGSHK >CAK70233 pep:novel supercontig:GCA_000165425.1:CT868076:22703:23404:1 gene:GSPATT00038335001 transcript:CAK70233 MFIVKLRLINDFQIDNLFISFQNTSFLLKLILAKVNHHVSTSFSIILLICVRFNQVSLSC YSRKVYSANFSYILNLKNGKIHPHLKCSKYLLIAQKLNYLDLLCKKVHLIKFQKLVKYIF YLTKLPSIFKLFKKYKESAFAFTLRQPHFLIILQFNQFIFTSKYIILLLLKHKEQHLCNL QFENSFLSNGQKSESSNKTQRINEGQDQLMTLFIKIRVNDERGRMIEWNIQII >CAK70234 pep:novel supercontig:GCA_000165425.1:CT868076:23773:24900:1 gene:GSPATT00038336001 transcript:CAK70234 MNIKVLILALLVALITSQQYSISQCDCSQLLSEDDCQKNDMIKCQWDSTKKTCKIQDTTT NPVINYAKYCDNFKEMECPKQKPCSNCGSYSACAWVDGQCTFFTDCTAFNKTTDSDCQAI SNKCITDGTHCVEIDTCDKYKKQQSCVKSLFGSLCYWDTKNNNCVDADTCDKLPIKFQTD KECRHEIQSCTAKSGGGCVDSGVNCSDQSLEIQCVWDQSMKMECYWNGTQCKDRICDNAP TTLNTDDSCQLFKTDGSCTTKQNGGCITRTTCQAASIQAACIKNSTNEDCYWTGTTCVDK ICSNAPTTLTTNSACAAFSKGCITKQGGGCVLNGDCSAANISTACVKNINNFDCIWEFNL QRENMCKCSKIQYYS >CAK70235 pep:novel supercontig:GCA_000165425.1:CT868076:25030:31124:1 gene:GSPATT00038337001 transcript:CAK70235 MYNQKWRWMHNKHHLFTYINRSLPCFWHVASGSCKDKICANAPSSNNSHELCQQFLNSCT VNSTNTGCVEKTCENSLTLSICDKDLNNNICIWKARCYKRQCAMASSSITSHSECQAYYS SCTLSNTGKGCKLLARQRLINNLVGWTGSQCFDKACNTAPKTISTTSDCQAYLSSCVANN PITVNGTSIIQGCQDLPKTCDSRKSSENCNITRAAFPICFWDSSSNKCVEKSCTTANLSG TPGALSKGYMSTCTTTNAQGSCTQTSYPCISNNSQDGCMVKPTSCSQLVQQNCKDGSKSN GDCYWNGSNCVEKICTNIILKTHNDCYNIFNQCTVNDDGTACIPLATACNSYKIQENCKI ASTQKNCYWTGTVCRNAICDDTPDSDLFDSDEECLKYQTQNETCTIIAKVGGQGCVQKQA VCSNYKTSSQCHKTLLNLNAQDDCKWINGICYSLATFATGACSTFKGTQDMCKALQIGMH KCSQCQYFNCIGLTFQDCQNVDPTCSVKKDGSGCILIQSTCAGYGTTDVNCYKSSATGTQ SICLMNKATPPSCQSVSSASDCALISGSGLDHAKCQAYNIACTSLGKGNGCQEFKANCTA YTGNTDNCTVSQQGQCFLNGSDCVRFSNCFSITGTNLSQEICYSYGPNCTINKQKTACQY KRNTLSGTDSEANLCVWSGTACLTVTDVATHCAYITGTNLTDSFCASYNVSCIVNQEKTA CQEKKASCGLYSTKSSCTLSQEAGSAGKCIWNDGGCRIMTLLSTIVTSIDSVALKRATCA QVNPALAPTKSGDKCFIKQGSCSMYKEEKYCTTSLALGTEANCIWDSDSSSCQAFTSGSL CKNITGTNLSESYCPSVNPLCTTKQTRNGCYEKQPNCSDYKTQDDCQLSLASGKAGYCVY TGYACGNVTNPSTHCGYIQVSFPTTEILCASYDTSCIPLFGENGCQEIKSNCSSYSSQKN CYKSLNDGYCIWKDSSCKVVSDPNECASASSSGSKLTSTYCNGLHKDCWVTPSKGGCYQM LPNCENYDHVSKCDKTLTNELCSWGTGCYKNSSASQCYGQYFTDIAPSGTTDAICAIYNA GCIADTDNSRCYELKTSCSSYTEMQQCKYAGNQQSKVGCVYKVTGCVQLTDPVDECSLIR TNPFSDATCVSFHSGCTVSSDFSGCQQRQAVCADYTTKETCTYSAAASPFNLCLYFNSKC VAARELLTDCAAITATSGLTDSDCSGYSSTCTSNKNGTACQDKKSTCSDYQNQDSCSVSS SSKCIWKSSCTSISDVTTDCVYVVGTNLTHDICISYNNGCTVNKAGTSCQEKKNSCADYT KSENCSIFDDSGTPNYCIWHSTCISFTNINTDCYYITGINLTNDIFAQLIIQELLVSQIQ AVVKEYGLQDGCPQQQCIWDAAECKSISNPSVQCSLVNGKTGLNLDMCRQYHSECFNLKD GTGCQHAKSDCKNYTTQNQCVAQLNGTSCLWHENSCYKITGVSCQVITGTNLNHNICQSY NKDCTSVSDGNSCQDYKTTCEQYLGTTESCIQSVKGKCYLYNSNTCITILNVSTDCAKIT GVSLTYEICQSYNLGCSVNRTKTACVQKAAQCSGYSTAMSGCYQAGEGLCIASTSNDSAC VPASSSSTCEKVFLGTGNYTHDNCSAMKAGCTNLCKCYRFITFNHDNCYSWLKTCTVNQT NNGCAIMTAKCSDQSSTQCLNAIEGVCLVFNSICIRKGCDTAPSDASHDDDTECSNYSQA CTVARAGGCQVRTACSLYKSSLQCKLDMNDKKCFWNPSVKTCVDLACANIEVSNLYNTHA KCFAVDSNLGCTVRALNKVVAPGCMARGPCSSYTIKDQCITNDSGIDCVWNTNSSLPEPA CQDKSCTTAPSSTLTHIDCFNYYNTSTIKYANGGQPILRGCQQTAACSTYIDSEQCKINA AGDPCGWNGKECNDKSCSTAPATSEYDEVIQNVELI >CAK70236 pep:novel supercontig:GCA_000165425.1:CT868076:31190:32402:1 gene:GSPATT00038338001 transcript:CAK70236 MNQKQCYFNSTGQSCYWTGTECITKSCENAPIETATAEQCNNYLYGCTIDVIKCKIKICE DYVLTTDEQCSYALSTCTTNGVNCVTRGTCVQAQNKAGCVVSSTGQSCEWIPDVVNSQNV VTTAAYCTIKTCNTAPISLQTDADCGKYFTNCTTKSGGGCVTQIKLFCCLCKCCLYNCIK RYNMCMGQYIKQMQRQRLLRFYRNNSHHLLNLKIRMYCWTKWQMCQKACIEGTNGPCLWI EKFVNSDGSKGACFAYTSCKSLAWNSDESCKLISNKCTTNGTNCIGITTCTETNIDGGCV TGYDGSCIQSVPALNSSDPKICKPFKSCADAYYATHKDCQIANKKCTTDGTTGCIPLGAC STYKSQLQDVRQMIKDLQFNLVLQLQQVFVFGI >CAK70237 pep:novel supercontig:GCA_000165425.1:CT868076:32482:33271:1 gene:GSPATT00038339001 transcript:CAK70237 MYFRCYSTQIICSTAVGNDGLCFWEVGSTTNNNTAKCRLLSCADIQNGTSISVCQAALPS CISNGTVCIPKAKCSTYTTKTACNFGGLDGICVFTQSTSAQAVADSGTCTLMNSCSSANN DQNACVAAQDRCSWSPASSTITSICTNHTCGTYNQVSGTCSRFFNWDKQSQQICSMINGI CTATDPSTLKQSDCFKFSGYTYTWNSSTNKCQVCTKKDQPNGPINNTINDTNNTNTAQGL LLTFILGYLMI >CAK70238 pep:novel supercontig:GCA_000165425.1:CT868076:40669:41106:-1 gene:GSPATT00038340001 transcript:CAK70238 MLYFCFVGIHSYFSKSFKHNQILKSTLIINQINEHSCCQDNTMSRLLADSYVVTQLQYPI QMHYLQLFITNQWQIDNYTINIYSNHPLLLLTSRYSHFKYSLLFYKSYYLNIPFYHSSSF IIYSNLDKQCHQLILAFINSLSFVR >CAK70239 pep:novel supercontig:GCA_000165425.1:CT868076:41156:50254:1 gene:GSPATT00038341001 transcript:CAK70239 MNIKVLILALLVALITSQQYSISQCDCSQLLSEDDCQKNDIDQIRHVKTRIQQLIQLQTM QNIVIILKKWNVQNKNHVAIVDLTLHVLGVDGQCTFFTDCTAFNKTTDSDCQAISNKCIT DGTHCVEIDTCDKYKKQQSCVKSLLDNFCYWDTKNNNCVDADTCDKLPIKFQTDKECRHE IQSCTAKSGGGCIDSGINCSDQILEIQCFWDQSMKMECYWNGTQCKDRICDNAPTTLNND DACKLFKTDGSCTTKLNGGCITRTTCQAASIQAAYCYWTGTACVDKICSNAPTTLTTNSA CETFSKGCITKQGGGCVLNGDCSAANISTACVKNINNFDCIWDSTCKEKTCVNAPKSNTT HDQCTSYLSTCTVQQGGGCQQRTCDNAPITLNTNDACETYLPANKCITKNGGGCTINTTC SLISIDVACIKNSLGQSCFWHVASGSCKDKICVNAPSSNNSHELCQQFLNSCTVNSTNTG CVEKTCENSLTLSTCDKDLNNNMCIWKARCYKKQCAMASSSITSHSECQTYYSSCTLSNT GKGCVTLPLKCEAITIEAACKIKANKQSCGWTGSQCIEKACNTAPKTIQTTLDCQTYLSS CVANNPVTDAKIYLKLAILENHLKIVILQEQHFQPVFGILHLTNVLKKSCTTANLSGTPG ALSKGYMSTCTTTNAQGSCTQTSYPCISNNIQDGCMVKPTSCSQLVQQNCKDGSKSIGDC YWNGSNCVEKICTNIILKTHNDCYNIFNQCTVNDDGTACIPLATACNSYKIQENCKISST QKNCYWTGIVCRNAICDDTPDSDLFDSDEECLKYQTQNETCTIIAKAGGQGCVQKQPVCS NYKTSNQCHKTLSNLNAQDDCKWINGICYSLSTFATGACSTFKGTQDMCKAYRLGCTNVA NANCTLKIGSGLTFQDCQNMDPTCSVKKDGSGCIVIQSTCAGYGTTDVNCYKSNATGTQA NCLMNKATPPSCQSVSSASDCALVSGSGLDHAKCQAYNIACTSLGNGNGCQEVKANCTAY TGNADNCTVSQQGQCFLNGSDCIRFSNCFSITGTNLTHEICSSYNTDCTVNKQKTACQDK RATCDLYRSQEQCTVSAAESKESQCVWSGTACLAVKVVATDCSYITGTNLTNSFCATYND NCTLNYEKTACQEKKASCGLYSTKSSCTLSQVTGSAGKCLWNNGGCTFMTSLTNFANSIF GTKITNARCEQFHPAFAVTKVEYGCFVKKSSCSMYTEDYCTTSSASGTAAYCFWDGSKCQ PHTSSSLCKSFTGDQLPESYCPSANPLCTTKLTRDGCYQQKANCSDYGVEGDCQQSLASG TAGFCIWTGSTCTYVTDPANQCTKVNTTIITTETLCASYHASCIPYFGEGGCQQIQSNCA SYLAKNSCYKSLNEGYCAWINNSCIHITSPSQCASVSGSNLTSTYCNGLHEECWTTLRKN GCYQMKNTCAEYLTNDSICVRSKNEGLCGWFDTSGCYKITSPSQCDTMVIMQIDPNFKTT DAFCATFHPGCIANISKMGCQELLASCSSYKDLDKCIQAGNSQVKMELAIRQQILLINVP IFHFQLIQLTPNVHPILVVALSKKHKITVKQEKQFVRIIQQILIVHIQLLHLLTNLCVFI NSNCVVARELLTDCAAITATSGLTDTDCSEYNPTCVSNKPGTARQDKKSSCSDYQNQDQC TVSSSSKCIWKSSCISINNASTDCVYVVGTNLTHDICVSYNVGCTVNKTGTSCQEMKNTC AEYTKSDNCTRSQDSGTSSNCVWHSTCTSVTNASTDCGYVTGINLTKDVCALYNDNCTVN YSGTACQEKKSSCSAYTLKENCSANCIWDEALKCISVSDPSTQCSLVNGKTGLNLDMCKL YHSECVNLKDGTACQHVQADCKNYTTQNQCVAISNGTSCLWYENSCYKITGTTCNKITGT SLNHNICQSYNKECTSVSDGTQCQDYKSTCEQYVGTTESCIQSVNGKCYLYNSNTCITIL NVSTDCAKITGVSLTYEICQSYNLGCSVNRAKTACVQKAAQCSGYSTAMSGCYQAGEGLC IASSSNDSACVPASSSSTCENVFLGTGNYTHDNCSTMKTGCTVNGSTGCMARTCANATGF TFNHDNCYSWLKTCTVNQTNNGCTIMTAKCSDQSSTQCLNAIEGVCLVFNSICIRKGCDT APSDASHDDDTECSNYSQACTVARAGGCQVRTACSLYKSSLQCKLDMNDKKCFWNPSVKT CVDLACANIEVSNLYNTHAKCFAVDSNLGCTVRALNKVVAPGCMARGPCSSYTIKDQCIT NASGIDCAWNTSSSLPEPACQDKSCTTAPSSTLTHNDCFNYYNTSTIKCTVYAIPDANGG QPILRGCQQTAACSTYIDSEQCKINAAGDPCGWNGKECNDKSCSTAPATSEYDDNTKCRA YLNNQCTVSSEGQGCIDIPESCESMNQKQCYFNSTGQSCYWTGTECITKSCENAPIETAT AEQCNNYLYGCTIDVIKCKIKICEDYVLTTDEQCSYALSTCTTNGVNCVTRGTCVQAQNK AGCVVSSTGQSCEWIPDVVNSQNVVTTAAYCTIKTCNTAPISLQTDVDCGKYFTNCTTKS GGGCVTKSSCSAASVNAACITALNGTICVWDNTLNKCRDKDCQDFIGTTHTICQTQRQGC TAGPNGRCARVQSCELTTIREACIEGTNGPCLWIEKFVNNDGSKGACFAYTSCKSLAWNS DESCKLISNKCTTNGTNCIGITTCTETNIDGGCVTGYDGSCIQSVPALNSSDPKICKPFK SCADAFYATHKDCQIANKKCTTDGTTGCIPLGACSTYKSQPGCQINDKGYVVESGVITST GVCTWDMSTSVCRDQICSDLNGVTDSICNSQLSTCTSDGTKCLLKTNCSSYYTQVICSSA VGNDGLCFWEVGSASNNNTAKCRLLSCADIQNGTSISICQAALPSCISNGTVCIPKAKCS TYTTKTACNFGGLDGICVFTQSTSAQAVPDSGTCSLMNSCSSRKQ >CAK70240 pep:novel supercontig:GCA_000165425.1:CT868076:50426:50650:1 gene:GSPATT00038342001 transcript:CAK70240 MEYAEPLYTSTLIQSDVLKLSGYTYTWNSQSNKCQVCTKKDQPNGPINNTINYTNNSNTA QGLLLTFILGYLMI >CAK70241 pep:novel supercontig:GCA_000165425.1:CT868076:51743:52708:1 gene:GSPATT00038343001 transcript:CAK70241 MNNNMHLKICFKISNRYNIESCEANLYGCTIIHEILKHFMDELFSTKFVLNVIFLFTFEL INSICFSIGICCLIQYLKWKINVHMKVIFNFDANSNELVILKNKRQRCFHGVTLGSFPHH YFQHLLTVLRKNYVINNFLGIDQNDLYEEGLGFINEKYFGFLLDILLISQNLNLQINAKM DYYENHQKINCILKLSINQRKQFIFCFYKSFLVQLMADFRFYLDEILSYFKRAIQIISIN LEIIIKWFLYIEFEMEKENIKAENIEIFCHFMERENLDDKVLNERLILEYYVLSYQLCSY LKINQNIIITKDIQIIIQISS >CAK70242 pep:novel supercontig:GCA_000165425.1:CT868076:53750:54355:1 gene:GSPATT00038344001 transcript:CAK70242 MTSLLITIYIILKLCFFLKLIPAQATNHVSTSIFYYSFDLCKIQLSQHELLSQYLFRQFF IYFTFEKWENKIKCISSKMLQIFTNCIKTNLPCPIKQESTSNQILKVSQVHFLFNKTFFN CQIIQKIYGEGFCLYSKGTSLFDNFIFQPIHSSKKIYNITFIKAQRIAFVQFAIQQMVFQ VMDRSSNHLTKLKEQMKARIS >CAK70243 pep:novel supercontig:GCA_000165425.1:CT868076:54408:54675:1 gene:GSPATT00038345001 transcript:CAK70243 MGYLDNMSYKEGENTQNQYNEKSKAIVDGYYICLFYEQLMIVHLDWVLQLSNYVTVSQES THYVVLTARMFINLINDEG >CAK70244 pep:novel supercontig:GCA_000165425.1:CT868076:54797:64546:1 gene:GSPATT00038346001 transcript:CAK70244 MNIKVLILALLVALITSQQYSISQCDCSQLLSEDDCSKNDMIKCQWDSTKKTCKNQDTTT NPVINYAKYCDNFKEMECPKQKPCSNCGSYSACAWVDGQCTFFTDCTVFNKTTDSDCQAI SNKCITDGTHCVEIDTCDKYKKQQSCVKNLQDNLCYWDTKNNNCVDADTCDKLPIKFQTD KECRHEIQSCTAKSGGGCIDSGISCTDQNLEIQCVWDQSMKMECYWNGTQCKDRICDNAP TTLNTDDSCKLFKTDGSCTTKQNGGCITRTTCQAATIQAACIKNSTNEDCYWTGTACVDK ICSNAPTTLTTNSACVAFSKACITKQGGGCVLNGDCSTANISTACVKNHQQILIVFGNST CKEKTCINAPKSNTTHDQCTSYLSSCTVQSGGGCQQRTCDNAPTTLNTNDACEKYLPANK CITKNGGGCTINTTCSLISIEVACIKNSFGQPCFWHAASGSCKDKICANAPSSNNNHELC QSFLNTCTVNSTNTGCVEKTCENSLTLSTCDKDLNNNICIWKARCYMKSCAMASNSITSH SECQTYYSSCTLSNTGKGCVTIPLKCEAITIEAACKIKANKQSCGWTGSQCLDKACNTAP KTIQTTSDCQTYLSSCVANNPVTVNGTSTIQGCQDLPKTCDARKSSENCNITRAAFPTCF WDSSSNKCVEKSCTTANLSGSPGALSKGQFTFDNCQSYMSTCTTTNAQGSCTQTSYPCIS NNSQDGCMVKPTSCSQLVQQNCKDGSKSNGDCYWNGSNCVEKICSNIVSTTHNDCYNIFN QCTVNDDGTACIPLASACTSYKIQENCKIASTQKNCYWTGTVCRNAICDDTPDSDLFDSD EECLNIKLRMKHVQQLQKVGAQGCVQKQPVCSNYKTSSQCHKTLSNLNAQDDCKWINGIC YSLSTFATGPCSTFKGTQDICKAYRQGCTNVANASTSTACTLDCTLKIGSGLTFQDCQNV DPTCSVKKDGSGCIVIQSTCTGYGTTAANCYKSNQTGSQSNCVMNKATPPSCQSVSSASD CALISGSGLDHAKCQAFNIACTSLGNGSGCQEFKANCTSYTGNTDNCTVSQQGLCFLSGF DCIRFYNCFSITGTNLTHEICIGYSTDCTVNKQKTACQDMKATCDLYPSQEQCTISAAEQ KASQCAWSGTACLAVTVAATHCSYITGTYLTDSFCATYNDNCIVNYRKNACQEKKATCDL YPSHDQCTISAAESKESQCIWSGTACLAVTVVATHCSLVTGTNLTDSFCATYNDNCTVNY EKTACQEKKAQCGLYLTKSSCTLSQAADTAGKCIWNNERCRIMTSLATIGKSVIGEKMKN ARCIEINPALAVTVYGDECFVKQTSCSMYTIEKYCSHSSASGAAANCIWDGSHCQAFTSN SLCKYIQLWGMVVDETYCPSVNSQCIANLALDGCYGKKANCSDYDTKIECSQSFAPGTAG FCIWTGSICTYVKDPSVDCAKINTSINTTEILCASYHAFCIPYLGEKGCQQIQSNCSSYM NIKNCYKSLNDGYCAWVNNNCIHITSPSQCASASGSNLTSTYCNGLHKDCWVNAAKDSCY QMKQTCAEYDRGQSICIRSLIDGNAGLCAWNSVSGCYQTTSASQCDTQDFDGVNPSSGTT DAYCANFNAGCIAKLNKFGCQKLLTSCSDYTQDTCNYARNSGAKDRCIWMDSKCSKLTVP ATECYNIDSPTDNQPFSDNYCASYHSGCTVGNEFNICLERKAVCEDYTTQFFCFYSAASP PFNVCLYINSICVTARDLLTDCAAITASSGLTDSDCSGYSSTCISNKAGTACQDKKDQCS DYQNQDQCTVSSSSKCIWKSSCISVSDATTDCAYVVGTDLTHDICASYNNGCTVNKTGTS CQEMKNTCADYTKSENCTRFQNFGSASYCLWHSSCISVTNLTTDCAYITGINLTKDICAL YHNNCTVNYSGTACQEKQSSCSDYTLKENCLAGNCIWDEALKCISISDPSTQCSLVNGKT GLNLDMCKQCHRWYCMLTRLDRLIHVFHWLMVFPVYGMKIPATKQHILLVVPSFRLIQII IYVIHITKAALQQVDGTSCQGYMSTCEQYSGTTQSCTQSVNGKCYLYNSNKCITILNTST DCAKITGVSLTYEICQSYNLGCSVNRAKTACVQKAAQCSGYSIAMAGCYQAGEGLCFAST SNDSACVPASSASTCEKVFLGTGNYTHDNCSAIKTGCTVNGSTACMARTCANATGFTFNH DNCYSWLKTCTVNQTNNGCTIMTAKCSDQSSTQCLNAIEGVCLVFNSICIRKGCDTAPSD ASHDDDTECSNYSQACTVARAGGCQVRTACSLYKSSLQCKLDMNDKKCFWNPSVKTCVDL ACANIEVSNLYNTHAKCFAVDSNLGCTLLLVAWQEDHVVHILLKINAQLMPLEQICAWNT NSSLPEPACQDKSCTTAPSSTLTHNDWLQLLQYTKCQWWITNFKRMLINWLACSTYIDSE QCKINDVGDPCGWNGKECNDKSCSTAPATSEYDDDTKCKAYFNNKCTVSSDGQGCIDIPE KTMLLQQNCTTLLCYWTGTECITKSCENAPIETATAEQCNNYLYGCTKDIIKCKIKICED YILTTDEQCSYALSTCTTNGINCVTRGTCVQAQNKAGCVVSSTGQSCEWIPDVVDSQNVV TTAAYCTIKTCNTAPISLQTDVDCAKYFTNCTTKSGGGCVAKSSCSAASVNAACITALNG TICAWDYTLNKCRDKDCQDFIGTTHTICQTQRQGCTAGPNGRCARVQSCELTTIREACIE GTNGPCLWIEKFVNNDGSKGACFAYTSCKSLAWNSDESCKLISNKCTTNGTNCIGITTCT ETNIDGGCVTGYDGSCIQSVPALNSSDPKICKPFKSCADAFYATHKDCQIANKKCTTDGT TGCIPLGACSTYKSQPGCQINDKGSVVESGVITSTGVCTWDLSTSSCRDQICTDLNGVTH GICNSQLSTCTSNGTKCLLKTNCSSYSTQIICSTAVGNDGLCFWEVGSASNNNTAKCRLL SCADIQNGTSISVCQAALPSCISNGTICIPKAKCSTYTTKTACNFGGLDGICVFTQSTSA QAVPDSGTCTLMNSCSSANNDQNACVAAQDRCSWSAASSSITSICTNHTCGTYNQVSGTC SRFFNWDKQSQQICSMINGICRATDPSTLIQSDCFKLSGYTYTWNSSTNKCQVCTKKDQP NGPINNTINDTNNTNTAQGLLLTFILGYLMI >CAK70245 pep:novel supercontig:GCA_000165425.1:CT868076:65748:67752:1 gene:GSPATT00038347001 transcript:CAK70245 MQIQDGNNLMLNLSNGPIDNSRRSCTNVFCAIFYAGILLSIFGIGLYMNQSGNVLLIDRG YDPDHRPCGIDTLRDYPFIYFTTLNSDFLWKTVCVQECPSVPVAKHKHLQFNPPTTTTVP TTTTPIGTPQNSQLKCAVNSVVTSCSQATLYNSIKFDQRVCIPTDPDQFKVVQEALKMGF LHQLISDISGAKYTLFIFIVIGTCFTLSFTYLLKWCSKTVIWFIIFIIVVLSIFFGYYSY LQYRASSSMTIGLSPTGYLLQSIIWWCFGLGTIILTICFYKRINLAIAIIKSASDFVTKN VSIVIVPIFSTLATFIFTIIFIYIAFLICSTGTPGDKQQQWPFGQLKYTVLQYFSGFYLL FATFWTYALIIGVNSFIIAGSVCVWYWQQGKSGQEHVQPLNSSWKRCFVYHFGSIVLGAL LLGLISIFRSFFEYLYRNAEYMRNTDGCQFCFKCCACCIWCFERFLQYLNQNIYVQINMT GDGFFHAAKKGLDIMSNNPSIVMQVVGLGDLINNIARIMITLSISMFFFRSISELPQLLF GGIVINPYNPTLLLAIIIFVIATVFTNIFGVAIESILHLYCIDQEIARAKQSSEDAEMCP AALREFLNDKVFTQQK >CAK70246 pep:novel supercontig:GCA_000165425.1:CT868076:67990:68421:-1 gene:GSPATT00038348001 transcript:CAK70246 MFKFESIIESLVEMVIISNHIYKKMQKSKSVNNDKELQKEELTQQIICFIEKIIENTIQA FIRPIEEQLRSQETQILQLQSQFISLHHPFSPKNKEIVKVEKLDSPMRSNEIQSIPLSQY YLRQDGRIGNKSLINHKASGDLQ >CAK70247 pep:novel supercontig:GCA_000165425.1:CT868076:68425:69341:-1 gene:GSPATT00038349001 transcript:CAK70247 MKKYTEKDFDEKVEKAVDKYLSKKAQQQQQKGYIHHNNECIIDKLVEKEKLMASIANIFT EVAKITCNELVEPIKQQIDQLESDLAKIKELVIKSFNQFTPVMRSVGVSEKVIESGKQIE TDEIDRVRKKLQNHDNMISLLMDTQAQMKQTIQIFNTSDDIQSKMLSQFDDKIYQLKQEL NDTKVNDTVRQVKEKCNACWVVLGDVNDRVEKMQKYLDEQVEKKKSGGLLQKAASYIKAS >CAK70248 pep:novel supercontig:GCA_000165425.1:CT868076:69370:71440:-1 gene:GSPATT00038350001 transcript:CAK70248 MTSYIQSSFSIQTKTNFDFLQQPSDSDSDSESKHMLKGAQKQTQQRDVKKQKKLIDIDSI RNELGLRPITENSNPDNYYRRYSRKSIATLTNMIIPTSSILIEQLSQIKKIKEIRKEVPP ELLGRIYSEFRFQSVPQLNPVYRQGDQNKRFYIILEGKVVVMKPKEKMVGSNKLDYLENS QSKLIKKTEQDPYGLNSVFPDYIILKVLFQGDSFGEAAIKLDTARSSTVYALEQTHLIYL SEMAYLELINPYMSAALDNKINYFSKTPLFQFIEPQEFMGIILECKMITHHAGEILFKED DKSTHIYFIIDGEIELSKKVGEKQIILSSYGQFQGFGEVEIMLKISRFTKAKVITPKLHV YRIRKRQFFDNLGNYQIFENMKKNSNIIFKHWQKKCETAQQTIHQQDEIFKAAQDVQQNK PNFQAKHILNKKLVESQGQKLSQVKLLQNITEEDLKSIKVVNTQNQSVLQKVYSNTLQQY QARLLKKPQAAQQSHDENCIRNKFTIKTQADLLNENSTEFTSLTSRSSQPIIKTENVHQS FGQLPSLHIPQNPPLQTVLDTLSTLPRVHKDNLVLSLMYQQAFKSENPEKKAKQIQKVIQ ASYRNVQKQFAQKNHHQSEVNSVSNNQSIDKLRLKFKSSVTNDLNSTRSNYMSFVQQKQL CSYIN >CAK70249 pep:novel supercontig:GCA_000165425.1:CT868076:71693:73342:-1 gene:GSPATT00038351001 transcript:CAK70249 MNQSAFEKSHVLSSISDTEKLQFYNSTRLWHTRFNYQLFDTEELKSVHFEDNKKFITIIK SIQNFNKEIQASLKQGRIIPTMPTRYNFYQNIVNLLREAAIAKGRDSQLIFLEKVYGFFV QNNREVIKTQEKDVGNIASSDDARFINQDQSMPLPSKLIDDQLRDYREKNRTMHTNCDPP QLRYLKLLVIRLKQYKRRQFNQLNNIVPQIQLKSTFPKVISQNQKEQDENLQQSQDQTEG EIVIDGDLLGNEEQTEDKKKILINMVPKIKVFQKDDVNLEFKDLNKQFENKQNYQLYQPS NQEIELNLQNRWIQFRQKEAAEKKMDEEIVNSMNVWSKNKARIEKEIDRRIDSQYYGSRY AEVDKRRALSLGLQNQQDSKVINLKPLPIIVQSQNRQYDSAVDTSFLENKASAQRVVNAK RAYKDLLNLSATDTQLDEQPSSLSIYATKLRSNSLHSKFSQLLQRNSPKIVNDQNLQEVL DIKNRFAKHKIPIQIDSLLAGIMVPTYQEDFNTKLMDVGQSMLVNPFEEKKGKKKKKKKE >CAK70250 pep:novel supercontig:GCA_000165425.1:CT868076:73384:75695:1 gene:GSPATT00038352001 transcript:CAK70250 MNSKQQHMTACPAILKGDDLPDDTPLKMQNSNIPKPIISKKLMPQVNNEILYERLNQEAE IFKQNNQMLKEYYESEELRPCSFAPQTLNDGQEKRSLYQFLFDQQNHVMKVEQKLEQIKQ NELERDLQHPYHPKTNEFNFSNRNETIPTYERLYNLNQKKPSEPQMQQSESTVDYKPLIQ QKSQNIVRNQKVEDILYQDAQRRLQKQKEVQDKKNTTKVVTVNVKFTSNNSEKIVAQKFI REFETVIDWIFDQTGQDRPSNVSFSIDYLKLGEILQRLGFLNQLQLKESNEKAVEFENLR LSEERALLCEIWTVLRGDELGGISKRNLCLFLLTLIGITDFKIKELPSAQNEEVPNYQKS IQPQQHKIVTAHQPNDRPKLGTIDKDGNIIFTFEETKKIQKQFDILYRNRLGCEELKKIN WKDENPYKPQILPQSKQLANQFREKVLEQTANLIDNQLIKVNIPENGQITHADLLVLQKK AVEYHKEQKKQEILQQQLDKCPFKPQLMSNNNYSIDERKSQSKKSEKYLQLYSLAKPTTS KRDRTTEEIEYEKQQEECTFQPGLVNKGSQQQKQENHFVNKDVDKTVQRMRQARQRREEV QGMLERGYKSNKPVQQQQQSNQQSNVSRSQSQNQLKQTTQSSRQKSLQSQESQQMNTFQS QNFHMDESQPKEEQSVVRSGKQDERIPLLFVDVNLGPSKTERIVVYEGDQSCDLAARFAQ EHNLDEFMQDKLKELLDYQISGLLTKIDEEEGALSDNDQ >CAK70251 pep:novel supercontig:GCA_000165425.1:CT868076:75720:76089:-1 gene:GSPATT00038353001 transcript:CAK70251 MEQQPLTSESFVSYFGNMYDQRILISIYLLFPIILIFFFLSLRDLERLENQEKYDMTHSH YQPDVYQNLVSQWTRQGIENLKNDQQYVQWYQNKERELELKSQESSKQSIRQSQQ >CAK70252 pep:novel supercontig:GCA_000165425.1:CT868076:76539:76685:-1 gene:GSPATT00038354001 transcript:CAK70252 MDFNDLVIPSTKPINILTLDTLHSTNEQIYGYSVCIYKELNTQLTHEE >CAK70253 pep:novel supercontig:GCA_000165425.1:CT868076:76702:79072:1 gene:GSPATT00038355001 transcript:CAK70253 MNHRAIRPSSAQQNISHVSDKNVSFHKKYYISFTLSPYKQPNVQNVSQYRVKESEKLFEE SLQLKLLMNQLKDENIKLRTRNTNLEKDLQKCQKIIEEVETTGNMKRFYAKPSTDNQMIL SFKGQIKELRLNLDQREQEMLALKKSAKYTKLTEMEIERKMLSDETIRLKQVIDELVQQN LYAQQKEHDQQKMQDMISQRDNLIKQMQLDIESFETDNKNLQSQLQQLISAYQDLDREYA KLDQSMQNKLKSKDKQLIELKTQLTRLKEQYDKEKKMLQQPKFSPTLKTHNKVAKRIQSA KPPEQITKPIQLDEVTHIIQEIKYKLIALSLHSKQIDTLLFNQSAQQTTIGYLTEKLIND PFFLNKTDANNFARFLIESPEQKYPYIPNLSIAQDNGLIRGMFFKAIGFWACYDKVEKRV LESSLAKLFKGSLSQVEKVMSRNVYTKKDFVVQILKILSKKQIRELEITYLISKIILQSK SLNTLKGDALINYLRELDKLDDDEDIRAEELQQYYDSLGQEKGFFFYKQLRSKQEQLIAL LETKEDKVEEIETNQLNDGAPQKSVQQYIIKGGLVRSHSDPDPLQMLQIQKDEEEAEEEE NYEQEMQQEYLNQQKSQKDKYPFNFDEQEGEYENNEEENDDYQPDLNNAQIDEQDQQEEE DDDYNINVDGVFEEDNDDENDQWEKVENKGNFPVRQDRQIPNSRPTSSYVNKVNRSNSDV KASLASELHPTKEINEEEYMEEQFEEEN >CAK70254 pep:novel supercontig:GCA_000165425.1:CT868076:79099:79626:1 gene:GSPATT00038356001 transcript:CAK70254 MKRTKETIFREHPDWQQRQGVSGLKEFDGEDLQFDSRNKFNKDQQKQWIFEQIEEKRRRQ EQEKAEEMAYAQQTLEINRFRGMLQDNFASRKTDMGVATKQTNLQLAKEKKDKEEREKQE KLAAERAERDLLLERGRKQPYQG >CAK70255 pep:novel supercontig:GCA_000165425.1:CT868076:79960:82295:1 gene:GSPATT00038357001 transcript:CAK70255 MNQNKTNLYGILVDISGSMKYPYETIEKGSNLDKKILQPNESRLASVLQIIQGALGKHDL KDDHLFLSAFGCYHDVEVIDLVPFLKSIINIVKLIITIRGLQQYSQNCYKDYPKLLYDLV EGNGAKNLSQFYKLQEFRTDISNIKAQLLYNEFKRNKKQLNSFIEKLPKQVKMTSNTYSA QALLLGRKFQSTLNPIISKKQKKIQQQLLNLDNCIPFDYFFGKIQECLQPILDEIQKLNN KNNNKADDLENKLQQILEKVKNQQQQKSIEYLQDKLREIQNIQENIIQVLKQYSFIPNDL INEQINLEKFQIISIEEVVKDIKIEEYQSKYQMKKEDLDFFKSFESICYGCTPLKKSLEL TLKTAFLEFKNKFLFIVSDGDSTDGNPVEYATELKNKGFIIFCYYISSSNSASKYIKGEG AKTMFEISSEYSNFEFPLRQIENYTNIKLSQDGKSRLFLQSNNSESFKQFLDYFMKISKD NDKLIEIAGRITIEKQMSSSKGFQPKRQIGGTCYATSIATVYSIMLQKIYKREGDYPGFF RIRDLLIQEYGKHGAITSKVVQETCSYYRLQCRKLKSISKIKQALHQQRPIIARFALCDD QWNANTENQMGFIPFFERNPFGILTTVGPQSNKNIGGHSVVLYSYTEDYYLFINSWGTSW GDRGFFKVQSLDVLGEMEFIEVFWETTDLTESEKKVFKEDAGQKMNKFYQNYLSSIGNIK YTCPKCFQQSFINQYKGNYYDAECPKCNQQFHSESLGSLFVDYLNGKNI >CAK70256 pep:novel supercontig:GCA_000165425.1:CT868076:82364:83531:-1 gene:GSPATT00038358001 transcript:CAK70256 MVDVLQGMMDLAFNLVPALNSSDPKICKPFKSCADAFYATHKDCQIANKKCTTDGTTGCI PLGACSTYKSQPGCQINDKGSVVESGVITSTGVCTWDLSTSGCRDQICSDLNGVTHAICN SQLSTCTSDVIQPKLFVQQLLEMMDFAFGRLVLIQIIIQPNVDYFHVLIFKMGTSINVCQ AALPSCISQMELSCIPKAKCSSYTTKTACNFGGLDGICVFTQSTSAQAVADSGTCTLMNS CSSANNDQSACVAAQDRCSWSAASSSITSICTNHTCGTYNQVSGTCSRFFNWDKQSQQIC SMINGICRATDPSTLIQTDCFKLSGYTYTWNSSANKCQVCTKKDQPNGPINNTINDTNNT NTAQGLLLTFILGYLMI >CAK70257 pep:novel supercontig:GCA_000165425.1:CT868076:83543:84335:-1 gene:GSPATT00038359001 transcript:CAK70257 MQQLFIWMYNRYLQDAKLNYVKTMFQEQMNNVVMRYVHVQEMVLIVQREEHVFKVKRKQD VLYLLLDNVVNGCEMSQILKMLQLLQHIVQLRDVTLPQLVYEQMQIVQSILQIVQLKVEE GVQLNPSCSAASVNAACITALNGTICAWDYTLNECRDKDCQDFIGTTHTICQTQRQGCTA GPNACELTTIREASIEGTNGPCLWIEKFVNSDGSKGACFTYTSCKSLAWNNDESCKLISN KCTTNGTNCIGINYMY >CAK70258 pep:novel supercontig:GCA_000165425.1:CT868076:84369:85113:-1 gene:GSPATT00038360001 transcript:CAK70258 MNDKKCFWNPSVKTCVDLACANIEVSNLYNTHAKCFAVDSNLGCTIRALNKVAAPGCMAR GPCSSYTIKDQCITNASGIDCVWNTNSSLPEPACQDKSCTTAPQLSTLTHNDCFKYYNTP IQMLMVDNQFQEDVNKLLAVQLKLQVLSIARINAAGDPCGWNGKECNDKSCSTAPATSEF DDDAKCKAYLNNKCTVSSDGQGCIDIPEICELMNQKQCYYNSTGQPCYWTGTLLYYQDL >CAK70259 pep:novel supercontig:GCA_000165425.1:CT868076:85257:85919:-1 gene:GSPATT00038361001 transcript:CAK70259 MALHVKIISQHANSIQEQLNLVLILQMENATSIIQSTCITILNVSTDCAKITGVSLTYEI CQSYNLGCSVNRAKTACVQKAAQCSGYSTAMTGCYQAGEGLCIASTSNDSACVPASSSST CETVFLGTGNYTHDNCSAIKAGCTVNGSTGCMARTCANATGFTFNHDNCYSWLKTCTVNQ TNNGCTIMTAKCSDQSSTQCLNAIEGVCLSVQFYLYQKRM >CAK70260 pep:novel supercontig:GCA_000165425.1:CT868076:86287:90628:-1 gene:GSPATT00038362001 transcript:CAK70260 LSKGEMSANISTACVKSINNFDCIWDSTCKEKTCVNAPKSNTTHDQCTSYLSTCTVQSGG GCQKRTCDNAPITLNTNDACETYLPANKCITKNGGGCTINTTCSLISIEVACIKNSLGQP CFWHAASGSCKDRICANAPSSNNSHELCQQFLNTCTVNSTNTGCVEKTCENSLTLSICDK DLNNNICIWKARCYMKQCAMASNSITSHSECQTYYSSCTLSNTGKGCVTLPLKCEAITIE AACKIKANKQSCGWTGSQCIDKACNTAPKTIQTTSDCQAYLSSCVANNPITINGTSTIQG CQDLPKTCDSRKSSENCNITRAAFPTCFWDSLSNKCVEKSCTTANLSGSPGALSKGQFTF DNCQSYLSTCTTTNAQGSCTQTSYPCISNNSQDGCMVKPTSCSQLVQQNCKDGSKSNGDC YWNGSNCVEKTCTNIILTTHNDCYNIFNQCTVNDDGTACIPLASACTSYQIQQNCKITST QENCYWTGTVCRNAICDDTPDSDLFDSDEECLKYQTQNETCTIIAKVGSQGCVQKQPVCS NYKTSSQCHKTLSNLNAQDDCKWINGICYSLSTFATGPCSTFKGTQDICKAYRQGCTNVA NASTSTACTLDCTLKIGSGLTFQDCQNVDPTCSVKKDGSGCIVIQSTCAGYGTTDVNCYK SNATGTQANCIMNKATPPSCQSVSSASDCALVSGSGLDHAKCQAFNIACTSLGKGNGCQE FKANCTAYTGNTDNCTVSQQGKCYINDLNGSDCMRFSNCFSITGTNLTHQICNSYNTDCT VNKQKTACQDRRATCDLYPSQEQCTISAAALKASQCVWSGTACLAVTVVATHCSYITGTY LTDSFCATYNNNCTVNYEKTACQEKKASCGLYLTKSSCTLSLATGSAGKCIWNNGSCGIM MSLLTIAESINNYEMSSKICAEFNPAFAAASDGNSCFIKKAQCSMYTEESYCTTSSASGT AANCIWDGSHCQAFTSGLLCKYIIGSNKLDSYXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXMLDVSTRQYQYCYKITSPSQCDYMDTILIDTSFRTTDAICANFNAGCVASL ELNGCQEIQKSCSLYVDSFKCIKAGCIMKNEKCTSVTDPATDCQYIPKQLFNLSCESYHS GCTSNKYQSSCQVKKAICGEYTTKETCVRSAAEPPFDWCVYLNSNCVPVRGLLTDCAAIT ATSGLSDSDCSGYNSICTSNKAGTACQDKKSLCTDYQNQDSCTVSSGSKCIWKSSCISVS DPTTDCVYVVGTNLTHDICVSYNNKCTVNKAGTSCQEMKNTCAEYTKSDNCTRSQDSGPQ SNCVWHSKCSSVTNTSTDCVYVTGSNLTKDICALYNPDCTVNYSGTACQQKRSVVQVIL >CAK77669 pep:novel supercontig:GCA_000165425.1:CT868275:24:1335:-1 gene:GSPATT00039222001 transcript:CAK77669 MNFLIIFILEGLLQFSKSQWQSYVNYLTKNTKFSYDQGKDFYEHTGNFLISSNQSTANFI TCTSPETSYITLNQIYPSAQHYFSTSIVNEQWILMDLFFHGTWLSENVEFRIGQFSYSYS YTSPTTYPMKIGFCDSIPFEVKTLNFSISQTVGRMNFTSSNQNQGFVSLRNIFIYTFKCY PSCISCTGPKQNECTRCYYGLPTNNICPPCPINQYQSKYQTCRDICDIDSPLYSNGFCKQ YPINLIEAGDVDYDVASTDNLRWNIKYDEKHLDLSPTPTQKYPEFYGTFKFNSGIYRYFN KLSTYIYSTYLVGFKIDFITFNDIPMNCGIQFKINNTYYGSIYRNASGIQTHRFKIFDSY EQSSFYNQSMRYHLIAYFDIPKYSVLFSAIGNYTDDTAGWGIILLKITSGYCPSYCLLCE >CAK77394 pep:novel supercontig:GCA_000165425.1:CT868274:2145:8130:-1 gene:GSPATT00012879001 transcript:CAK77394 MLFNRFYFLLLLQFCLISSSEISKTCICRHIQSQKDCKNSGVCIWENEYCVLGSGKTYNS KIKNQNPCKNYAQEDCRELEQCGFYYGLCIDFVDCNIFDKDNCQESSYKCVSDGQKCVQM QECSDYKTENACSNKNQYGKYCVWIWGTEKKCTDVTMCKLLPSYLNNHTMCKSGFDGCTI SEKGYGCTEQMESCAQYVNNFQCFESKSRKDNCFWDSKNNKCVEKVCENLPFTEDYECKS NLSQCTTNGVHCVLRRQCSDVQNKVGCVTDILGQKCDYHKNQCKIKSCNTAPESLTNYQQ CQDYDNLLDCVTSENKGCKIRPATCDGYVYEMDCYSLEQQDCVWYKKKCEQRQCYHAQAH YTHKDCQGYGNCMGKLNGGCQQTPNLCEEILQDQFCEFTYNKERCIWVKGVCESLECKKL KLPTYNNHKACQKESSYCTFNLNSLGCTEYTCENVLEIEDCTIDSNGQICTQNQGCIEKK CMTAHPTLDTNSKCEGWMPKCTVNVQVLSNQKILIGCVDKRSICELAVQEQCQSTLSGHM CKWNGSSQKCINQLCQDASPSLYLTNEDCKQFKVLSGPCIIGPSGVGCYQWPTNCTEMKS QQQCQLNIQNGTKCFWTGTYCKQQECSDAPKLYYTNNVQCNNWSSNCIFDHSLGGCIDRI NQVACSSSPNVVMYNNHVECYARNQNCTVVSNFTAEGCERKKETCYEYIKRRNCKTTLSG YLCYWDDKENKCMNEDEDNNGVVDCHKRLNGELTHQDCEDFMPKCTLNQITLSCRQLSDY CNYKYQQQCIINSYQQPCKWNDLKQICEDVVCADNSTSQTESECLMFRQNNECQLKINSE GTYGPGCENRPKSCQEITDPLICKLTLTTQNEKCYFHSSFCMVILSKYCEQITESQSNEF CQLYNPNCVLQSSGQGCYSIYECGDLTSSVCNNAIMRSNSRCSFLQGCFRNIRCSDKINA ENCFRKTFFGQQCELTRDCLHCQDICTLVQKYIFESLTPLDTTFDGLNQCNDISTSLIYN TECHCCKLIVSCDFAPQSLCNQSTDYFGNQCQYNQQSNVCGYRECEQLTSDQGISELICY QWKSECVLGATSCISYNNDCTKIGLIQQCYSYQCYWQDGKCVSYVNCGLNTTAVTNRECL LSNSTFCRFNYTKGLGCAFISCDQIKNEAICSQAQLANGAKCKWVSSNCTHMECLEYNVQ SDCEKSYGVYQYLVLKCYWCAINFLQCTQNAYCNLSMMTSPKSHQDCYSNNVLQTINFII NPKCIVKQQQCSDYKYQEACVSTIDGIDCYWYSNACIWYYEAAVQLISTYTHSSCHSWKD SYMSLDNLGCQPLDCSQLTILSDCSIFTTKCFWDGQNCQIIMECNKYSNGTQCLNTSNSQ GIPCFWEGTKCIEKTCSNKPTPSISQDDCSSWLINCQYNSNNNRCLEDCTQADISNKTHQ LCESYYLNKSCTVKLDIIQCVDLPIACSFAKKTQCFKDQSGNECFYQESLSKCVNLTCSI LQYTTHEKCNQKLNSCTVNSTLDGCQQLNVCGSYSIKEQCQIDSNNVECQWMMNQNKCKI KDCSTAQLLIYSVHNCNQYFGKSCTVNENLDGCVIGQSLCMNYPYHQCKSQGQMNLGGVE CFWNDEKNICLERICENGPSLAKTNSDCIGFLSTCQKGGCRIKDCFDYNYAIDSACASIF QSKKCVTNGYQCVFRMSCEDVSVIDGCTFDINLNPCVWIDEKCYTKSCKTASTSLIKHQE CNAYFPTCTVKQGGGCANKQNCQNYQIKEACKIDSENGECIWDDYLNQCFSNQCTDFCGD GIVSSIEEECDDGNYLPYDGCYKCQIQCPQGCNICNGLICEDCQKKGWLLINGQCISICG DSHTVGKEFCDDGNDIEFDGCYQCSYSCHQKCLNCFQGLCLQCENGYIEDGSQCRNICGD GVLIEQLEKCDDGNSQNNDGCSDICQVEQNWKCQQENNISLCSYIIQPKIVLTKLKKTNY DYEDKYV >CAK77395 pep:novel supercontig:GCA_000165425.1:CT868274:14526:15365:-1 gene:GSPATT00012880001 transcript:CAK77395 MKASVMKFKTLFQSSIYALRKHFLKKIKQAFADKHLLKFLENLMQKTLKLKLKLAASKKS FLMILEKAKQEELRKLSEFINLDEFLLSVIEDFPKRNMRNKVNLNVLLTELQNLEITDID FEFRLSKQKGIIKSLLFKQTINQKLIEDEGKEIELIGKELGKLFIEVSPSKFQMLKIKKI FEDVKIDKSLRKLMDEKLNSSTLKLEKEKYMKAKIICKNLISKNGLKKEHNYLILEIQIF KQQKLAKSKKILVQPKQRSYSISFFFHSLL >CAK77396 pep:novel supercontig:GCA_000165425.1:CT868274:16366:16614:-1 gene:GSPATT00012881001 transcript:CAK77396 MKGKKSQKDLSGIIGLIIQMKPNEELLESLFEGACHLYKLHFVSHNRKQFEVYFQIDIFA MGDNKLFQERQIVKSRRNTYTS >CAK77397 pep:novel supercontig:GCA_000165425.1:CT868274:18527:19735:1 gene:GSPATT00012882001 transcript:CAK77397 MNKYVIFIFKSPVTLAGDPINGQCCIRITQELPKCVINLSFYAKQYSKLIQKRKLPNVNP NSIQDKQVEKIERIQVSMDSPQKNQKQKKYELNGNVIHKIIRQYGVQRVLVSSLELFKGQ VNIGDYKFFFSIPTNESMQSSFFYTSQDGLKSGKCSYKITLQIQNPDESLIILKESAEVN INARVQSSIEIQRQSDANIISYFCLSGGIVDLKIQLNKSNFTPGELAIIQYHLDNTFSSK PVTRVEIRLINKLIFIDDDQIDRVILNQVVFSKILPGANQGERLEAQIAKFEIPKNLKAS VKNQTILNQYLLQIEAIIDQLFIKLSNPILCQIPINIQESRFQQVVNLDGWNFVPILNAS VNAFSTLTVQQSFIPT >CAK77398 pep:novel supercontig:GCA_000165425.1:CT868274:19916:20280:-1 gene:GSPATT00012883001 transcript:CAK77398 MSNLRYILMAIQDFELLCKLHHFDKYIKKSKSLIQQLGTLRIQQSEKLISLKRCNFIIFL NNQEIVLDETILLQPLASESTIQRKSFLFFQIIEQQLLLIVQVGTFLSFIIAYY >CAK77399 pep:novel supercontig:GCA_000165425.1:CT868274:22994:24672:1 gene:GSPATT00012884001 transcript:CAK77399 MAFLFEEDRGLTQIKDPYPHLGPGVYQQPEAHPRVAYAPFLSTGKRQTELTNKLKILNPG PGQYEVSQNMGDSGVQAYMNKSTIIVKVQGNGSSAFKSGIGRFQEDKKVKEIPGPGYYEI QQVNTQQKQPLFLNQKIDDIRSQNLRRRINSIPEPKGQIGMIYEDIETLAEHQKVMKEEK QQKVVCPTTYDVLQQKQYRGLSWDKSTRPRFDQEQTNEIGPGKYNIIEEKKKRNGSPAFL SESVRSYYDQLIYKTNREINAGLRKQINYKDQYSPGPGQYNENRVAIKIQQKAKEFQFFG SSLERFKLVQDSSVGPGDYRVLDSSFDQQIRKKNYTNATFLSTSIKGQPMILEEQQPGPG AYEIQRDLYTDLVRKQDRGLNGYFGGKERRFQEKISVCQAGPGSYDVTKGEKKRAVSSCF KSSSKRDYVNKSFGPDIGQYRLDQDSIGNRVQKQMKFIQNLQKIDVLKPGFQCGEQRFKE NADIQSVPTSYNFQAPPASQKQITAPFKSQQPRLAYIGRQFTPGVGKYQVEANNWKINSF NTHYNKVLQES >CAK77400 pep:novel supercontig:GCA_000165425.1:CT868274:24730:26597:-1 gene:GSPATT00012885001 transcript:CAK77400 MKMQSFFSNPHSQFEPQIESCLVELKTYFKNMETWDQESPNIQLYFDRLQELWHNFKKKK NSTPQNLQWAQQQLKEIISEYNEIENYQSSDYRSENMQLKNEVNMLKMQLDCMQRKQQKE RIDTQHFQRAAEELKNKNQTIKKLQKEVQRLEEKRQEDQKRHSEMIQNVKKNQIQNKPFD VKQLEEENMRLKSMNIELMQEVQNLKEQLKGKDFLIQDTRELEKKREEVQKLQTENRSLE EYISQLQDQLNLGKQNDLENQIGQLDTKIKQQSTQLQKANDENKILQKRIEQLKQQNQKQ IQKTLEPFGIRILPEIKGVQSHFNAVMHLLEAITPFSAVLTVTDKVSSIILKFITYIRKE AEQFSPQTAIQLQELLRIELQNDNRANDFLFQIIDHLSKAIIKQDDQKSQIASIIQTQDS PIYDQFFFLQRVFPMDLEIQQNEVPLINSIKHIRYFDAIIQPGIEFFTYLQGLIKFEGQE NVIDNNEGDFNFLIFPQYLILNVCELSLQKADVKISFKLPSSCLNQNSQDFEYSLISIVH CSDGLDGQPTYSITLCKNNNWVQISNDNAISISINELLTFKQPQNDKELLIYKRTKK >CAK77401 pep:novel supercontig:GCA_000165425.1:CT868274:27253:29308:1 gene:GSPATT00012886001 transcript:CAK77401 MLQKCKDGFRQIDIFGQSINLSFRQEEQYKTSMGGFLSICIIGTIISFFYSNIINFFARL NVTSTQEFTFADDPDILILNNEHFMFAVQIEQDNFTTNPFFNITVEQRHYNRFPNGTQYR YPNKYIDLVPCTIQHFQPLFEKYSVDFREQFEQQNLQNFLCPNLNFVHSLNMTVGGVWAS ADYYFLKFSVTNCKDSSTSNFTWKPTCKTSDQIQATLNAQGSFRFQVYTTNFLINPNRPE NFVQPYLAVDQFYTFVPDKMFVQSDIFFRTKKVTTDQGILMYPDKQNETFAFRDYGDQRE QFEISRITPNYYGAFYFQRSPYSYQINRKFLRLDELLSYLGGFTQFMIVVVGVIVRFYNR QHLIISIANDLYEYDMSQGRQNTQMHLKNLLDRTQRGKEMLKSKMSKKIETIPQIQTMSA FQNKPDMLQKRKLNIEKKQSIEIFQPTQQALQSRLSAMKAKTLQYFDDFKEFLKKKHIIG LGFRVILSSIIPIESLKDDDCIVLEKAIDQVNKELDIEYIIKQLHELTKLKKVLFTSEQV TLFNFSRKPKISLIQDKNNRRSTRCIIDGVTTAEDYGMMKQFTDLVNSYDKITGSELENT TQEQSRFNQRLIILLGPELMKVLEKELSAQQQQQMESENNDPKEEENLFSDREIQIHQRN >CAK77402 pep:novel supercontig:GCA_000165425.1:CT868274:29693:32749:1 gene:GSPATT00012887001 transcript:CAK77402 MFEEIKVCDIIQDEIQHSEITLSGSPSNDFFECTDYQTSLEIDQIAYIMYLLNVICFRKL ANRQKVSINIYNMSEIYKRQISYTSEYLNFSSPITQNGCTLIKNNNTPYLLVVTQVGHIY YKNLITKTSTLLKNLMIENIKIKCFVQSMILKDQFQFYLMTDADLLYHVTLDVVGGEFFK NKYQISFGTKFFSKYFNRTVQDWNVAFQLNDNQILHFSQNTQFSLLEYREPEFQTIKLQC QDSIKTQQIHIIQQTPNGQLFVCYQFNNNLKMCTINQNQLIVNYVVDLSKIELNNPYHLS STQDQVILIQEFKIYQLDQAKRNLELINENQYSVIGYLSQDTLLLFYKTQLTFIQLISDQ ISKKQNQLRSEVARFKNNLGKEQNLIDDEIIVQKIAFHPTSQNVLNQFQQLIKIMHKNLD QKKVISIMKQQHNNFLPDLFIENLMDEVEDIYIFIKSSFKSQILDPIEIQAELTNQLEIV NFNLAEFFFSNCRINNPNTQAESNSNIFPIVKSLVIYTLRDYYQMQLEVILGFGVLQSLY QQPLSPDINFKVFYETMCLLSLVEDKRLIHIQQILQNQFQHVKCLINFKNLNSISQDLKL YINIIYNEIFQCLVIPDEYFCEQFVKNQIIFHSHHINSKGIIRHCRIAQQTNQIPTDVIK LIKENQNLNQELKLKISFTIQKQNPKQNEDQNIVLDRLLYYANQLKQHHLLFQIINLNDQ LQIKDTLKLIVDNLLSFKLNVRTTDKMGEYLLQFSREKYNNLQNKNKILSYFSYLIRIES KAKAYTLLFEYIVNLESLLFNLQQKDLVWVIRTQLDCINLLLNQMQLHSRHDRKPYAQQI VCKIKDEIYRQFLNPSTLEELREFEQYSQRNIRVINIEQIELFNQYKKIQCFVSMNYSPQ AKLQKVVEELILNNNIDLIINLGRLRIDPNYERNVAFCYYWNEDSREQLIQGLTRIMKER ELSIVLAQICVYCNLKTIHCQELYCKAPCQNSFLQYLISVDKEQIVQEII >CAK77403 pep:novel supercontig:GCA_000165425.1:CT868274:32782:35482:1 gene:GSPATT00012888001 transcript:CAK77403 MLENNKNALMSCSAFKESGVLKGWGERLLIYDLIQQILRYIDVKGQTQKQLLIQNYIIHP VAFHQGKWAIKFKTQQEIEPKVARFGWNNQSEALQWYDFFNGKYLAIQIKKENQEKKLQE WDQFMPESERVINQGVPSMAIKPSQVSRMRQMIPLLPQFLQNDIEIILENTHENLQGFSD IHSFKILFQSQNKQLYQDPNDQLHMRFFLKSEMPPARIFDELSIHTFVDNWSPQVSIYQI YPTKKDCAIIFTEFNMEQKQLHNNKLKQNKPRSLKDIESEVFQNDSFSKQPKSHLSNQNE KIRLIYTQKHFQIDENAYCIMKKYIGNEIHHNQIDKDKVEGTDEYKITRSCVLIQAKQED KFKTQIIEDIYLECEDRDKGEEVMKRFLLNLENIDNQILEADHYIKQQTEHVLIKNEDDI DNLQISFIQLVPEVPRITSEQHQQQSIQEYKEILDLVSTGQFIQKSISRQFNQNILHGLD KFLETTKEEGHFLLTKYYEVDPKKGGLIYTNKKLISDQRSVLLDIIKRMGSNLLSGKSLM SVSLPIQVFEARSFLERMARGQGHAPVFLEKAAQTTDVLEQLKLTVSFHLASFMMGVQQE KPFNPIIGETFEGRIKGCPIYLEQTSHHPPISNYIMHGRGYKLFGAFCPMVNMGTNSLAG EQQGHSQIHFQNTNLKFYYMAQPFMLYGVLLGQRSVNCHKRSYCFQPDHQLLVEITFNPK DKNAGFFSSSSQKIDQFIGKICKVTPECIQKCLKAHKTNSGIKIKIQPQEILDTYNINIK GRWTSFLQFDNVTYWDIEIHRPYILELESSPLPSDCIYRLDLLYMKMKDNSKGQDVKEQM EVDQRKDKQLREKFGNKNKKKKKSS >CAK77404 pep:novel supercontig:GCA_000165425.1:CT868274:35718:37384:1 gene:GSPATT00012889001 transcript:CAK77404 MYKMRVRVLQSIKRLFIPKKYCQQNFKVPPFTAGITVLSTTLILHLKQKSEKNEIQNNQQ NNNPPESYPPEIPNSENNPSQKIDSQNKDSQAKEAQKNNHNNSTTLPDNHFFAYIQYYFV QLHSLYPQNTLSMPYSTKKTPLWIKDFLIDKILFYPTYIAKEDQEYRTQQPEQPPKPPPQ SSQSAQSAQSPQSAQPTQPSQPAQSPQLAQPVEPAQSPQLAQPLQPAQSPQSAQPSQPVQ PAQSPQPAQPAQPAQPAQPAQSPQPAQPAQPAQPPKPADPSQQPQPKEIELIKYLLDNSS KKRNVDENLKYYLIGTLVKGEFQNYYSLKKLEVKNKLLRYCKKMIHVRGDGNCFYTSFGY QLLRLVIYQYSDQEFRVFLNQNFTIPFKMQLKNEIIGSEEQGSILLQNYKERILYLRNLE QNYPQHVDYSQQLMIHFRAHEQQNDQDGYLQPLTVLFLRNLCMYLVENCEEGQNVFDKEQ LLKWEEECNSNEQVVAILAKHFQIHVQLLYFEKEDFKLFEYSTEYQNQNRTIILLIQPGH YNIGLKN >CAK77405 pep:novel supercontig:GCA_000165425.1:CT868274:38568:42192:1 gene:GSPATT00012890001 transcript:CAK77405 MAQKTDFTNVVERPNFPEEEVRILKYWEQINAFHKQLELTKDFPRFTFYDGPPFATGLPH YGHMCAGTIKDVVCRYFAMNGRYVERRFGWDCHGLPVEHEIDKTLKIQHRGDILKMGIDK YNHECRSIVMRYASEWRRIIGRTGRWIDFDNDYKTLDTPFMESVWWVFKQMWEKGLVYRG CKVMPYSNGCSTVLSNFETQQNYKNVWDPAIVVTFPLVKDETTKFVAWTTTPWTLPSNLA LAVHPDLVYVKLLDKASNTHYILAESRIVELYTDAKLYEVVEKFKGSELVGTEYVPLFNY FLERKEQGCYRVLAANFVTSDDGTGIVHCAPGFGDDDYKACLKAGIIVPSDPLVPIDSSG RFLESVKDFSGMQVKDADKEIRKLLKTNGRLIKDGQVQHNYPYCWRSQTPLIYKAVNCWF IKVTSIKDKLVVNNKKARWVPQSIQDGRFNNWLDDAQDWCFSRNRFWGNPIPIWVSDDFE ESVCIGSIEELRQLSGVENITDLHREYIDHITIPSKQGKGVLRRIEEVFDCWFESGSMPF AQQHYPFERKELFETIFPADFIAEGLDQTRGWFYTLNVIATALRDDTPYKNLIVNGIVLN DKGEKMSKSKKNYPDPEIEIINQFGADAMRLYLINSGLVKAQSLNFSKDGVQEVIKNVFL PWYNAYRFLIQNLQRYESVIGKFEFDENAITKGDNIMDKWIVSSSQTLVEFVRTEMEAYR LYTIVPRLISYLDILTNWYVRLNRSRIKGDQGNQEWVVSLNVLFDVLLKITLLMSPYVPF ITESFYQNLKKCIPQGKNYQESIHFLQIPEAKKELIDPKIESQVEKMQIVVESARKLREA HKLSLKQPVNSLTILATDEQLLQSVQFLSKYIEEEINTPSVLVEKNIDQYIQLKAEPDNK ICGQELKDKFGPDLIQQVRNFSQEQIRTLKTDGKLQLKVKVKKEKKVEEQVQQQPDPKAK KGKKNVEYIEVELDCELLLKHVKITDQFNTEKHKQLLFATEDGFSIIIDPSQTQELKNLG LAREFTNRIQKLRKKLGLQQEDKILIFYQFEAESELSNAVQSGITWIRNQIKKPIFSSDQ KHQFLHVIGKEDTDVDNHKFSIVITKNSPVFNKQKLLESFNEKEVDTIIRTLVVLPNLQQ NIEFTLDGKKVTLGPEHYTISQ >CAK77406 pep:novel supercontig:GCA_000165425.1:CT868274:42725:44954:1 gene:GSPATT00012891001 transcript:CAK77406 MSQNKDDKHHYMKETKSHSYRVEAIQRDLKNKSNSTINYSKNTQKAISQKKINIKEISLQ QKGYYNKQSQKSQPQKQERQNHHKQQQQRDQQNHLKVTPKNYFSKDTKINQNNQQEKYKS QNQRVQNLNKNRYNLSNKTQIKQIDQGKQWLQKSLPLKLEYKNLKYQKNKSQICGKNSQA KKTTNFLLIKKKKQTQEQSLMTPVKQKQHIQRDSYAKQQTNKQPNNINNQNEKSTQKKQK QVYIQKYIQENRPIHQNKEEQNEMLIIEQSNQQSSNAKLQNKQLKAEQGNQQLNKIGLLN KSPLNAQKNKSPINAQSNKSPLNAQSNKSPLNSQSNKSPLNAQSNKQPMNSQSNKSPLDA QKNKSPLNAQSKKSPLNSQSPFNAQSNKQPINAYTNTQPKNKDEADQQKIKVEQRNLQPI TNEQSTQQPIQAEQQDDQFLDIEQINKQALNTEKQNMQSLNVELNKSLQAIKIVFDQKRL EKSVEICKLLIQMSSGQRTVEQNYSYYLQQYEDIQGLFSHYSIKHLEVKTNLKKYCQKIL YVRGDGNCFYTAFGYQFLRLVLISYNDTQFNEFLNFAIQIKFKIYYKDFKIADDKIEQLL NEEFLYKLQEIRNIENQQDRIDLLYKIYREFDISDDGNGCFYFLSTLFFRNLSNQLQEHS EMKAYVEDRENLLKWETECNNNEIVVATLAQQLKINIKLLFFNEGQFVFREYEQHEKDEM ILLIQPGHYNIGLKN >CAK77407 pep:novel supercontig:GCA_000165425.1:CT868274:45452:46875:1 gene:GSPATT00012892001 transcript:CAK77407 MAQITKEAEKTYYEQDEEETQQKEIADEPEYAFEDRRSKREYRQTRIKDKEDRATVEQCL DPRTRVILMKMINNKFLKEINGCISTGKEANVYHGWDFNNLEYAIKVYKTSILVFKDRDK YVSGEFRFRNGHCKSNPRKMVRLWAEKELRNYKRIFQSAIPCPEPILVKSNIIVMRFIGD DMTPAPRLKDATDVLYEDAYLQVVKCMRILYQDCKLIHGDLSEYNLLYYKNKVYFIDVSQ SMESDHHSASEFLKRDIFNINQYFKKKDIMTFTLRQLYKFITDSHLQNINQEIERMQEER EQINEDLEEEQDKVFVGAIQPKNLSDLPQKIIESELNAFKKGGESIGKTIADFQNANLEL QKLNEGNELDQNEDSNEDNEESDNDDQEGNSDSSSDVSLLSDDDDQEEESENQNEDGEKK KKGGLCKYDGLSKKERKQKVKEEKREKRKTKLPKNIKKILTKKQR >CAK77408 pep:novel supercontig:GCA_000165425.1:CT868274:46972:47709:1 gene:GSPATT00012893001 transcript:CAK77408 MQNINQISARLQLNISKQLSARTDQTDSQFLNTSNTQTLLKQINLIKKSDKKNKALKIIP DKQSLKFQHLTEPKQININQLIQKEHTYEEEKKNSAVISNVGSQTWRIETQMRQSLNFQD LHKLDESIAIDILNRQIGKTETNFYEYIPKTSRKTKSQSVKQKNLINLSGTPSKFKKTNK LNNTVNAFKSTNKDQIYYKLPVSNVGVPIIKTQRIKSQPRYHHPFSTMNNFNYTRLNKSL WVSDF >CAK77409 pep:novel supercontig:GCA_000165425.1:CT868274:47881:49355:-1 gene:GSPATT00012894001 transcript:CAK77409 MQHHSDEVTKSKERNNFELENRDRKEIFRRLKQFFDKIIGFEIKYNFIQQRLNEIEEKFN KQLTKNESKLDIQSNDQIEESQQQNPIQKQQQQYNSLITEIEQRVNNQLKVIQESNLKEL KQKEEQNNIWKDKIEIDIQNLLKSIKEEQNRELKESILQIKLKDEQNNSWKEKVEMDIQN LLKQIKENYQQKQCPSNDNNVTNQSNITQRQSSSNEQNGEIIKNTIEDIYSQTTRRRHVP GQYQPFIHQMQSQSDLAKYYNLKLEYKKTICQYMKGFRQVRGDGNCFYSSFGFGYLSLLI VHYDQNQYDEFLNSVIKKMRFRIYYQSTKIDDEKIETQFREEFIKRLNCIRNLENTEMRQ DELFKQFSAYEKQEDQQADGYFYALSTIFFRNLSLHFIQQSEYKDNFTDKDTLLPWESEC NSNEIVILILAQQLQINIVVFYFDKEQFKMMEYNKEAKRKIILLLKPGHYNLGVPNIENE DNI >CAK77410 pep:novel supercontig:GCA_000165425.1:CT868274:49797:51055:-1 gene:GSPATT00012895001 transcript:CAK77410 MGNHNSFQSENIQDIYKMQMMQWHVGVEKEVQKLGFNINVRSDHLHKQNFMQLYSIVKPQ HEEIATLSTTESLFATEFENIKRSKKTLDPFKEFYVTTLIQENDPSLQEFERQVLQQLST LNGCLLEIFQTFRQTQLPNCSQELDKFIVMFRDSFVQILIHYYDLNLFASLNKCHFLNTS SLQCLVSNMIFNDQVASHVYQIKKLEQIDENEKIHKKLQFLKDKTLADFGISIKYCLDCT TREYIQSKLSSKANTGSQSQQIMKKDTFETLIIEDVDTIQLPSRPQAKLLQGTFFLQSPF QNAIEALKLIQFRQTPHHKVKQLVACFRSIYSAIIDYYNQYTKQPSIISTDEMIPIFHYV LCKSSLQNPYTHFEIMYKYLGNLDGIEGFYLAIMEAVFNIA >CAK77411 pep:novel supercontig:GCA_000165425.1:CT868274:52039:52923:1 gene:GSPATT00012896001 transcript:CAK77411 MILQSRSIYQYQQHLQQTLSKCLSGEFSNVNAQEALKKIILRMQHPQKNITALKSYYLVH LLRPYLAPYVTDKTIELSQKSTADAVLQSVAFLYCSYLRSFSNNYEQINILYKMHRSLEV LILKLDVPIIKCIAIKLIVDVILLYESLNKQDDQVKRIRAIKQVKRFLEIKKIIQLPEEL LQKINTLNFYEIEQKITFQMQPIVNNYVENKPLAPQKELLKLDVQCLNQRKLSTSANDWE DHSDSKYQQIQIHKSLQPSPNRRKMTSLTPNRAVSIRDNFFVPTQGPLSFIMMS >CAK77412 pep:novel supercontig:GCA_000165425.1:CT868274:53701:55385:-1 gene:GSPATT00012897001 transcript:CAK77412 MIFLSTLIKVASVATIVLLAGGSTYYYLKNDNNNATVTNHLKGNHAHNTNIHHAKLEQED VHICNHGHFIKEIEIEEPERPAEIPFEARLSHEQMLIKNHKQGNWQTIRIKTDYSSFQAS QQQADFIENKLVKAATGFLKAAIKVYPSSKLFLSGQCGSVAIPRSYKNGVSNVDLVLFVT ATTQQDSWVARAGACRLDPTTLRPTAGTLEFNLKYFNQLDFSKLSEGKWFKWIQTTIHEM THVLGFSSGLFPYYIDPDTMQKLGVNQIVKTQGGRDWIILPKVVNAVKSHFGCQSAWGAP LENNGGQGTAGSHWERTTFGNEAMTGSEFPDSVITLFTFNLLESTGWYNMDHKQSEPFNW GKDEGCPIAQGKCVQGLREFCTPGSEGCSSDFTGIASCSTGDALTDGCGYWRAYGNSDCR YNSDFIGQLAQYGGYYGNDGKCFFTTLPTKIGFQGLVSDCFKATCQNNVVTFSISDKSYQ CVTSGQVINVRYSYYSAKVTCPDIKHFCSTQRICPNSCSGVGTCRGTTCYCWSGYSGADC SISE >CAK77413 pep:novel supercontig:GCA_000165425.1:CT868274:55427:56342:-1 gene:GSPATT00012898001 transcript:CAK77413 MKRNIDKKMKNLNDLNPPWQMPSLIDILTQHELFKSLSQSNILKLLEGSREDSFGRDQLI FKEGDRANEICIITRGAGNEFSDRSKIRERRTLNEVTPIYMLVAPSIRYQTSLVADCVIN ATFIKIQHMQTIMKQIPEFEEAIWRISIPLLCRIYSDHLKPLCNLKINQITEVVSKSVFQ KIKKNQLIRFEQGGILLKGTLCEGKESILEASSEDEDALKIQEEEKDSTQRDALCLISPT SSPLRARTGIIVLLFKQYQLLEQYNQLNQNINEGGKFSNRRSSKSDLQKRSLTVIH >CAK77414 pep:novel supercontig:GCA_000165425.1:CT868274:56542:58565:-1 gene:GSPATT00012899001 transcript:CAK77414 MLRVGQCQDLPIPTSQDISGTALSMFFIFFFLGIGSFMKEINKKLKIPFSPMLFVLGLFF GFYWDSLGIIGQSCKKVSEIEPIGVLLIFLPTLIYESGYNFDWHLFKRLFAQTAILAFPC VIILSTLLQLSVKVILNYGDDYFTWESAFMFGAMLSCTDTVAVLALLKESGAQKKFQSLI EGESLLNDGACVMLFQISYGIVRGRSASAFDVGSLFFTLCVGGTLIGLVFGMACVYWIKK IANDEILVLNITIVSAFLVYFISENVDFGVHISGVLGLVSLSLFMAAFGRSRISHEADHA LREFWEYVVFASEVIIFILGGIIAGIRVFKDESEITQLDFYKMIALWWCLMGCRFISIAL FYPWLKNLGYGLSWSQILVLTYGGLRGSIGIAFALIVAKDESLPTKWRDIILFHMSGIAV CTLVVNGTTLSLLIKLLGLSTQSDIREKIYSNFLTKLNEEIEYECKKNNELKYLKEADVE YVKTLSGYKVYQSDCNQIIDKLAKHEKAQKEIQMKNIHQPLMDEEEDQELDQNLLTEIRR IFLMALKGIYMEQFESNQCSPDTIILLTESANLDLDNDKEPMNSWEFLQSQFSERYINLL FYMKDKFLIGILARNHLFQYIYTIYDAVSVYIEAITILKEEASHYHFSQNLLLEIS >CAK77415 pep:novel supercontig:GCA_000165425.1:CT868274:59070:63008:-1 gene:GSPATT00012900001 transcript:CAK77415 MQLVQSNVCVASFDLAQEAIKVQITERQGDSKQANVKLQINLTEDSLITHLVLKWSDTPI KGFAFTSLECLDCFDQTYNRLINSKEVVNTEQCSGQYKIVGLGFGRKLRLSFGVCEPPQQ LENKLKAKLTIQVFGYQLYLSPAANQMVALLNISHIFKKNNREINQAEADILLGLSLLSS RRFVQAAEIFKKVANFYAEKAQILVKQKDYYFLRASGLQILIADSINQPSMLNEKLQALK QAVQYVNVQYDRTQMLYTLQNTDSYECVLRLSDDLIKIISRVLLLEDNQNFDALRMANMR CLEFMIEQLGCGLGGQIIQIFKLFLKILNQQQGQLIVEMIYKIVNMILQELSQATLKLIQ EIWNQIVIQGLFDVNNEGQKLIMKVCEYLLQADQAHFRIDIIFLKQLNQVEESKIWNLVL QNIIPKQNEKKISKIIAWVNQQLIEQLNFTSYNNLQQSQQYQIIKLLQLISIIIRQQKEE SQIPFFEEFLNKQLTNTIKIKQLYTTANQNLITLKPILIKLLDQTVKGKIQFDCFELVWN ILIEILIEGNEYDQIYELINPFLQNIFLICQTTSPGQDILVVLDKILKIIQFQCPQLMSK FESQIYSYVEIFCQRVPHSIHDETFKIFDILIAFSQEFLDQALIEKCVMALIDQYTVKGQ AQKQSKQNFIKMIVQYQTHFNSLIQVCLIENQEPQIQSNLISENKKDFGFDRMKDKKEFR SDNKQLTQEYEIINEKVQFICELFSEMGQQFKVVAQLILNNSNFTSSLINLMKSLNSKIR QKGHLILQLLVECYINIETSTRIKFFEDRIIFIKFIKDILTPSLQDKTDSKCILNSLLIL NNIFNLKFQKSEYSKVLEEVSCLWNEVSDLIDTPFNSIYVLFFDLLNQWGQLLNKIPNST KLDEFIEKIFLWTQEKLDCQEPWHQYNILKFCGYIFGLDSGKNFRVFPSINSSFFEQASK LQNSQNKQLQLMSIVMIQLCTPEQIAIRIIQQMAEQYNQLRHRQNKQRDQINRQSENIIQ QLFQRQNSAKDLMMQSDTFGSTSMDEFELNQEDFLWIRKVDQEVLSQCLQTLFMYQVQQE SKIKQSDILDKEISMKQSNNLNQNGHKNILDDDPIDLDDLGIIEVDDEEWNEYDDLRKIP ILKERIKTISPHIGSRTPNVGIGKQNQERRSSGNKQVFDQRKGEVEDQVLKTLRPHNQVF RPLTPPIGQQPQQNFTGTTEIQRDMQLFSDYMLQNPQQFQKIMEEIKINNNNTNSQSQLQ QPIAQNEQMDLQFDNLRRRVDELEFDPQFSNSSQDDITDEPQLKSNQQYTLF >CAK77416 pep:novel supercontig:GCA_000165425.1:CT868274:63927:65685:-1 gene:GSPATT00012901001 transcript:CAK77416 MNNQNDDYLPSLLKEFQGTENAEGQLSEQQKVKLGNLGLMTPMSNTLTTDFEQMTLDTSP KESVVNQNNCLIIDTIDEQEENIFKLQVQGTLSAQKHQSFKVPREQKRKSPKHKTCEPHL TEPLGQGIEENQDAILEMQSPSSNDTPLLNAQTLQVIKELWNSEKNESEYFQDIIDGHSI PQGLSYDYSYLNQPLYSPPLESQSPQVKFEPDVEMDLQVTSLVDQLWGNQIVSRKLQKML EQGTQEQKELITYKLERISPQIEKDVFGNYVVQKIFECTNQKLQLRMFNKLKSHFYDLSK NNFGCRVMQKLIEYTYNREDLQLIVLQQLQSNMRSLIYDLNGNYVIFKMLETYDKLKMEF LIPIVEESFNYMGQQIYGCKIIHKVIQQYTPQQISRIIRLSVQNYSILSQTEYGNYVLQH ILQYWKPSQEKGYLVQLVIQQFYQLSVNKYASNTVERALEVLGKQELVAIMNWLVCRNPN QYSSNFVILANHQYANYVIKKFLVLSDHSVQKYISDHLQQNQSELTAIKSTVHGII >CAK77417 pep:novel supercontig:GCA_000165425.1:CT868274:65869:66862:1 gene:GSPATT00012902001 transcript:CAK77417 MSDDDVEIIHSSQYGDSLPQQVLGSQIIPNLQSDNQKLQQSNKSLETEVKQYKHLLEQTL ETLRQSERDQNTLKLQLSQKDFQLQAIQANVEERQKSAKIESHQKLKDSNSNRDSFGNKQ TNVSSIGGFLSSTQSPRCQCDGFHTRGQTLIETMKKIQFSLKQNEQSAYSQDLFKILQEL EENLKQFLTLHEQFAIEIHRNRIDQTEQQSQNKQQSTHSMSDQFHSLEDQNTNQNPNQQI LNNDELDTSQFESEQHIKQQFECFEMSLAKLNEEEKQYWKQKLLQLINTL >CAK77418 pep:novel supercontig:GCA_000165425.1:CT868274:66881:67920:1 gene:GSPATT00012903001 transcript:CAK77418 MEEEKSDQVTIEPLFFPSKENEQKLIQFLSQAKSYIRICVYTFTNKNIVAKMLQMMKENP NLKIQVITDDAQTKIPSQKAILDQILEEGKGQAEIKLDNSTVSLMHNKYLVIDTDYIATG SFNWTKSAVTTNKENLLLIKSKKLVQQFDENFQQLWKDFQFMNDRILQQQQEEIDKAERQ KLKAEKLAEQAAKKAEQEANADPNNPNPTPTEPQTKPKKAPKEPKPPKEPKPPKEPKPPK EPKPPKEPKPPKPPKPTKEPKPPKEPKPPKEPKPIKQKIRKVAQPKKKRESQEDEDFSDD GEEVDVQNDVEDDDDDVAEEEDSMEDFLAEDDSECD >CAK77419 pep:novel supercontig:GCA_000165425.1:CT868274:67962:68627:1 gene:GSPATT00012904001 transcript:CAK77419 MNYSSQNEYYVRDSKIISESLNQKKLKSQLSLAKSPFKDKRKFINESEGAIKNSYQIQLN NFVNGARVAPQPMFKTTVQKHGVIKHVERIHSETQKYHQNLSNKRSERNIFYNKLISAST KRSYKKIKQNYCKTNDKTDCISRAEITYLQNSYIDAKPTVADTSLQINHHQNKLIRKNKY QIISYAKKEEKYNILNQTENQMNDEDPSEKQVLPFKSKRKL >CAK77420 pep:novel supercontig:GCA_000165425.1:CT868274:69071:70192:1 gene:GSPATT00012905001 transcript:CAK77420 MLLLFHQHTSYNPEYEKQSLKPNCSQNVILKAMHASLYNKYSYAQNYYYTNNLNMIINNQ GRPSIIHIYEKEYFECKEYLNKYHDKQVTQKLKKLISIHKQTLNAPRYFLEPISLDLLVD KNYTKKRKLRQRNLQQKQQTQYNNNNNGKFNLVNQFLKMKLISFDPILKDLNDSKPTTMQ FVDMLKEYNAYNKQYRNAQFIIEMEQHQKQSVLDDNVKIKQFNEIVSFNKNSNLSGSTRL SSTRIQKEPNIRNILMQHYTSLNYQNFINQRILQQDEKHLSTNKAIFKNTTNQENLQKCN NKVQLTQYKKKQPQPIDVMMESMRSQQQQQQIQSSRANPENKRIQSKPFLSPKGQVTSNI NFRRVPSNITKLR >CAK77421 pep:novel supercontig:GCA_000165425.1:CT868274:70281:71946:1 gene:GSPATT00012906001 transcript:CAK77421 MQKFRNIAAFSTIPSYFTLDPNQISARNPHKAQNFLRGKWIDSHKYQTIIDPLNGEPFIT VPDVQDKQELQKFIDSAKECPISGLHNPLKNPQRYQLYGEVCHKIAAELKKKEVHDFLVR LIQRVVPKHFAQASGEVVVTERFFQNFSGDQPRFLTRSFNVAGDRPGQQSSGYRWPFGSC AVVAPFNFPLEIPSLQIFGALITGNKVFFKGDSRVNVVMEQMLRLALHCGLPATDVDMLF GNGEATEFILKQGNFRNTQFTGSSKVAEHLTKVLNGKIRIEDAGFDWKILGPDVPDVDTQ NYVAWQSDQDAYGSTGQKCSAQSILFVHENWVKAGFLDKIKQLASTRKLQDLSNGPIITW NNTQIKQHIDNILKIPQSKVLFGGQELKNHTIPSIYGAFEPTALYVPLDQIQKNKAVTTE LFGPFQIVTTYSSDQINQVLDVINNLENHLTAGIVSNDVNFLRHVQSNTTNGVTYSGIRA RTNWCSPKSLVWTMWRSKRSRYRHS >CAK77422 pep:novel supercontig:GCA_000165425.1:CT868274:71981:73258:-1 gene:GSPATT00012907001 transcript:CAK77422 MNQEYFENRQQALCLKYQPTSASNYRHQAFLQPQTENLSNTLMLAQRIFREYKQNKFQVR KVSVIQPHQQDKEPEQKKQKTTIMDSKRFHMYSNPKNTKVKLLNSTANNSFYSKKSSEKY QQSPIKPKTAVSRAKRILPQQISITPLESVAPLVSAQSWCVINGKNGQLMGGYNQYKSRQ MASITKIMTCWLALKLTQQFQLDLDNTYFTAERIGGTTAQLSSGDKLSIRDLLYGLMLPS GNDAAISLQHNFELYKGCDFIQQMNQNAQDIGMELTSYANPHGLYHEANYSSAYDIGILT YHAMQNLQFASIVKTKIYFSEIEDKFGESKEIFWENTNRMLYQGFRGVKTGITKEAGPCV VEYFEDNENSYIIVLLNCRSVDLRWQDAIKLLDWIRQ >CAK77423 pep:novel supercontig:GCA_000165425.1:CT868274:73428:77264:1 gene:GSPATT00012908001 transcript:CAK77423 MGNEISNGSPGDLEQMKRFMNMSPGQVKLIKEKFELMADEDLTIDRNGFIQLMKLKETEV DKVFQFFDISNDGRIDSHEFVCALSLLSQATLKEKANIIFSLYDFDHNKTITRNELVILI KTTLTALGAMSQKGECTIQEAERISDELLRKYDTNKDASISLSEFHSLLSKDQDVLKMLL SYGLCSLEDLRSDFGGAQQGDVPYPDSDLESETQRRQLAYDQKRELRKIGIEANLDDGNT QLQQDNNQKALKMTNKEVWKNQVKNGYPSSWKPGKGDINPPSTFMELDYIYGFRSFDTRN NIKFVDQELVYFTAAVGVVYNPQTNQQRFFFEHTDDITCIDVYDKYVATGQARGQVPPIY IWEVKDQMQPSRAAFKGILRQGVQCLAFSNDGKKLAAVSMDDDHTLVVYDVDKGIDARLK GPRSFVFDIKFDKVDKYLIVACKNEVYFCSYDQNQIRLNLGIWDTKTSPFSSVLCIALCD NNVITGTYRGQLLIWKSNRATTAVDAHKSAVLAIHTKRSQEGGVVSGSKDGTIIVWDVNM KAKEKINVLNLNLKIFNFKVQSVTIGPNDQDKSVHLVFGTRGSDMVEVQGPKNKILMRGH SVGVLRGLAMHPKFPTFYTIGEDNILACWLIKDKKMSSCMRLEYPSSAIHISKDYKYLAV GSTNGTVLIIDPKTLMPTFNFKDRDAEVSCLKFSPDTEQLAVGHDAPSCDVIIYSIKNHF KKTNVLRGSPARVISIDFSSTCRVLQINDWSQQVLYYELGGENNQKVQPDGAQKYKDEKW ATYTAIYGWHVLGVWPPLSAGSDINAVDRSNKGDIIVSADDYSGIKIFRYPAAQPGQGFQ RYVGHAAHVTNIRFSADDEYIISLGGADKSIMQWKVSHDKDAQIEQDQACESIQDVQISK DYYRLNLNEEENNLCQKPLNQPILNLNEKKYNVLPQGNITLDYVLGYENRLLNYLENGKI AFSCGTVGVIMDPNMPVGQQYCTQTYFRYHQDEITCIAIHPRGRIIATGTKAFALNEKQL TAICVWEAESKKVLSMLNDFHTKMIYCLEFSYDGVFLLSFSSEYSIAVHDWQIGQLIITV KTMRSNIYGICSKSPTEFMSCGQRNVTFYQMNGRNIKCYPGILNSNQFEPMLCCCVAFKE SYEITGSENGNIFLWKDNKNSKHYQAHRSKVSSLVAVGKTQLYSSGLDGQIKLWEMNGNN LNNVATVIDITEALHQPQLQ >CAK77424 pep:novel supercontig:GCA_000165425.1:CT868274:77324:80621:1 gene:GSPATT00012909001 transcript:CAK77424 MYHAPLKNVQNLKLLLDCHYGGEVWGIAASRNSQTIITCGGDGVLRQWDINQYTLVKCSS PFENDIRAVDWSSDGKYLAVGDVRGCIYLKNSKAGSLKQSTQGKTFWIEDIKFSPDSTKI AFGAHGTQSQVEVWEIEGGKFSKQSSINISLNSSLIKLDWAMDSIHIVVNSSTYELKFAN VQSLKDVPGPQVKELDWYTWTCLFGFNVQGIYKKDEYSVTAVCVDNQRQILATGEYNGII NLYQYPAVCQFNQIHKEYPGHANAITRMRFSFDDSKLISTSGMDRGIFIWKTDWSKSMRM PMENSMRILMIQLLYQFHRKRLEDLDLDKYGKVRRRDNQFEKNEDQQNYNDQNEKGDEFT IIRPWMGAIKEPSNYYKDPLNQNKQPLVELTLEYVHGYRSKDCRNNVKYLKRGQIVYNAA ALAVVLDPNPNPNTNSNAQRFFNHHTEDVVMFGCVATGQLGANPCIYIWESNTQAIICSI RGYFTKGILQLAFNDSGDKLAAIGMDDCHQLCIFDIISKSLTGGTLLVKDQIGKDIVTDI KWKNDSEFVTCGLNHLKFWKLGHGGLTYSKAYPQKPLSYKYLCAAVNNEDYLLGAVDGSL QIFSGAKFTAYYQYLEANRSLEAISVSKDLYLYSSSLVFSSEELILRLSLQIPRLTNSVL SFKLSECMRNSLGLEVKSISLGLSDQKTLLISTNAGDIVELVTKDAKININSKFAVSKTL MKSHCSPNKRSLNEIWGLAINPQDSDQYYTCGDDGTLRSWSISQKKMLNCIKTNLDVNGA EIKQDEVGELPDNTKGRCIAVSLDGISICVGFKDGTFRIYDKEFKQKYVNRLAKEWISDI KFSHDQSWIAIGSHDNSIYIYSFPDMKQRYKPLKKHSSYITHIDFSIDGNHLHSNCGGYE LLFWELQTGKQLPNGANQLRDEKWLTWTTPYGWPVQGIWPDIQDGSDINAAVRSNKTYNE KDKPPDNYHLIATGDDNSQIKVFRYPCVKKESAYILGKGHSSHITNIAWSMEDHYLFSIG GEDNSIFQWKISKLR >CAK77425 pep:novel supercontig:GCA_000165425.1:CT868274:80666:81895:-1 gene:GSPATT00012910001 transcript:CAK77425 MSQHKIFAKQDVRKLYLDEQGNVDFNKIQARWDELKNIKVSLANKHYTQAVVEKVKTMSA EDQQRFLDIVIAGLTNDDSQVGISATRPEDYDVFLFYLEPIIREYHKIEGETKQEHDWNI PVGEYVLTKIDPALEKVSMRARVARNVVGYNLPSSMDKDERIKFENQMVTVFENFGIPGN YYSLTPGHKNFISDQKADELRKRHFLFIDMTSDNHLMSNGVASDWPFGRGIWISQDEREE DQLRIISIVQGNDLGKVDQSLHELLNGIEKSGLKFAEHPVYGIITTCPTNMGTGKRQSIL GKFPNLSKAGTDEANLKDKAKSIGLQARGIGGEHSSVDQEGTADISPSARFGVTEAIVTK RLFEGLIVLYQIEKTTVPEKARNNCCTIF >CAK77426 pep:novel supercontig:GCA_000165425.1:CT868274:82094:83773:1 gene:GSPATT00012911001 transcript:CAK77426 MSVHTSPKSSFLSSARTRPQTAVTSPRRPQFQLSIPNDDQDQQLLINKYTAATSLTPRSL LKLQEKKVNVGARSENVSCNLRVTKEQAEIDPPEDYFEKEVQINQDEYEQFKKNFHRIVF DAFGNYIYTKPGPIDEEFKLQVSSALNTQKHTSSSLKKKLQIKTQSFQPKKTIENLVKST SEMAKMNPRRRAILEIKQKAENSLYTMINNLAKEKVLSESKAQLEQVTQQMLIYIDKFTR ENQELVDQLEMAKGKIFELQSSNESLNFKNIQLLKEIKLSKQQLDELKRSTVEIEKFIPQ FNIMTKRFENFQAEKFIDKYDYFENQNLILTKRVSDLELDNIQLEKQLLHLQKDLEIKQQ VSKHQYDMDFKSSSNKQDAPDQDYEQYKDMYLNLFKKILQIYSDWTSKSKALLPDKMDGG PRANLVEPLEMLQNMEKMITISSSEKLQSYLRKIIVSANQLQRKYLTENVNEKFDPDKIY ERIIKLVDNLKAQISNLQSQLQSQKQSQNCLTQVQTQRSKSFLHEKEQN >CAK77427 pep:novel supercontig:GCA_000165425.1:CT868274:83839:85838:-1 gene:GSPATT00012912001 transcript:CAK77427 MNMPSQFVVSDRQLFDFKKPQNKSDSEEELQLQQQLLQNYTRRQKKLLDIEAIKQELNSR PQIDSEVSPRIGKMSSRLRAKSPPNFILPTSPLLIEQLQSVSSIKLMKEQVPVEIMNKVY SELRYSYVPANTAVYRQGDSNKKYYILLDGKCVVMKPKDKMVGFLKLESEQEGKAKQQNM RRNDPDPYGLKSAFPDYKVLKVLINGDAFGEAAIKMYSPRASTVFAIENSHLVFLSEQAY LQLLDPFLSKSLDDKINYFTQTPIFKEIDFELNEIMGILIECHQITYKASEILYEENERS NHIYFIINGQVELSKQLKSKQIVLSSYGEYQHFGEVEVFHNIPRFTRARVISPRLTVYKI GQSKFFDNLGNIQIYENFKSTSKVILKHWTLIFETAKSQVNQKDEIFQAAQDQLTNRRNE SSKQIVNKQIIEVQGDKLSQVKVFQNLADSNIQDIKSIGNSPIKTILQRVYSNALQQYQA KLLKMPLQTQCSDDHCIRSHKDKTFFYTERSSPERRRNSQLNPRLPTMAQNSSSNSKVDL NQVFESISRLPRIPRDNLVLSLMYQQAYKSENPEKKAKQIQQVIQASFRNVKGDLKSKDK VVSGWKYKSSDQIIKNLKQSDASQEKRFKEDYMVFVQSKRLS >CAK77428 pep:novel supercontig:GCA_000165425.1:CT868274:86037:88818:-1 gene:GSPATT00012913001 transcript:CAK77428 MNNRLLYFGFCDAAISLVAGIVSFIQASCCFNFSIIFIAELILMIAFIVLQYKRFLNDLI RETFLGVSYLVCQILQIEFLGSLQSSEYIFFLSLRLFILTTSIERMPFRITIFSITYFYI CIRLQFYMNMFPYLGLAFIPFIFIVDQSQEILSSSIIDFFQKTLTNPVLILDAETKEPLF HSNQMEQEFNYTSTNQDYFIHCLQQFQDSSQKTLKSVFEEQQQQIERKSRFQYCRLKEIS NEDYQFIETQQNKKITTLLQDEINIEKQDFINIESPKVQIENQKFQLSNQFNDDTPHGKS KFRFKDSQYKLFGINELKQKKMNITVQHCIWKNKEAFMISLKDLESQKLLQILTEELEES KRQNDNKDQILATVFHDFKTPINGICTIVEAMEERYNLSAPSKYYLRIIRKNVYLMLYMI YDILDFARIQKNQLRLSVSDFYLNEIIDEVVELVAIQAEQKGVVIQTHYDIPSFQIYSDP NRIKQILMNFISNSLKFTEQGSITISVESPQTDKANHIVRTISSKSVLHQQQNQQSVGQL RKQLSGKSVKSQSGQGRMVYTISIQDTGCGISDLIKPKLFNMYATYPSKDVQNKSGTGIG LMVCKKLIKLLGPSEKIDLWSEQNKGTRMSFQIYSRLPDDPKRSPNYISVFKQESSSKNF NLDSPSLIDEQEMQQKFVRSSILRVYSRPVDKNDTEAGFDLNPEHEDEGQQKLQNINILQ NLKSPTASRIKIKEQTLDDSQEIMDPRSRLQKTLQNKTFSILIVDDQPFNVLALKLLLQD ISLNVSFLEAYNGQQAINKLITFQKSKNIKYVLMDLFMPILNGWKAVAKIRDMIAKKVIE DVKIIAISGFDDESEQERCENVGFDAFITKPVKLEMIAEVFAQLEYN >CAK77429 pep:novel supercontig:GCA_000165425.1:CT868274:89745:91322:-1 gene:GSPATT00012914001 transcript:CAK77429 MMSKFQFRSSNLKSSGFTIYEATSSSESYFAYFYTGTYDQAFRSQDLEPRSEYLREPLMI TNPGSKYIFFKYFGSFRLADISKKLLEAEVINILGQLISAIYNLHKSKLMGRCFNIYNIL VTNIQKGSFCIKLMDFGFGCPLEYQPLDGELNCYDYQFDLFLIGRILYFLLTNQDIPEHG SFKSMWPETQKKIETSSYSKTLQELCKNLISPSQQNRYCFANFMTDFLGLKGKQQQFTEI KKFYSEDQETLSSINQEIKKRDDNSQVVDIAPPILLDESLNNLIFFFKPELNSIHKYLNF RLFNFTIIDQAIENVKLKFISSDHISVPLSIFVLEKIKCIFFQKIFLMFESQQFLKFNIK EIENLIKSQQYQACFELLKNLKYLQQQFMPNYLDNLYKSLQIYYPDYQNHLMYQEIKKFI SIDNFNLNFDDVKNYYRLPLNNLLKQIENLEENKSNRQFRLLIFMCILINKIGDVSNLNK HYQTIIKSQQLKEINSPLQVNDFLARANIEELDEQFDELRKIYFS >CAK77430 pep:novel supercontig:GCA_000165425.1:CT868274:91392:92068:-1 gene:GSPATT00012915001 transcript:CAK77430 MSNPELSEENRPPKLLLVFSKCSEFSEIDLENKQSPKILKLRKLNSAIDNNDQLHLVINK QIDIDEKKQESPINESSKWQPCSCTKTYCLKMYCSCFHNGQICGESCKCEDCKNTNMHFH QRDEAVEYIKKKAHRNKKVPQEKLFETKDIWGCNCKKTRCLKRYCECYIRQKTCTVECNC NHCENGKDEDLYNEIRRQNEQPQQSRRQRTRRQDNS >CAK77431 pep:novel supercontig:GCA_000165425.1:CT868274:92453:93835:1 gene:GSPATT00012916001 transcript:CAK77431 MHEVSVADFEILRKLGQGSYSSVYKVRRKSDGQEYAMKKVQMTGLSIKEKQNALNEVRIL ASLSNLHIIGYREAFIKGETLFLILEFAGGGDLQQKIEYTKKKGFGFHFDEQLIWNYLIE MLVGLNELHNNGIYHRDIKCANVFLTQDHKHIKLGDLNVAKIVKSNQLANTKAGTPYYAS PEVWKDEPYDQKCDIWSLGCVIYEMAQLQPPFLANDLYHLQKKIQRGIYEPLNQRYSKEL SYLISRCLQVSPKTRASCEDLLNLEEIKKRQQYSDSGQLLTNGSKLLGTIYLPRNYKDIT LPRPRYQTDNSDASLTLNKRPSSRVQRTKSNNISNDSDSPSFKKIKIKNKLSNNLNIPSL QRSISQNNSQLKENIPNSSNIYQLLPLHQHHPSHQGYQSNNAGQCSPRLRKQLSSPQQQK QKLQSILQQDFYLPRIDQKQLNKYQKINSKCYISDIRKLL >CAK77432 pep:novel supercontig:GCA_000165425.1:CT868274:93911:97445:1 gene:GSPATT00012917001 transcript:CAK77432 MSNFRQNEIPQYDEFDPDNLDMDDIIKRRWKSKSGKVVGFQKNNGLPSFKKQFLDVKFML YFQPQQLASQPMPEKVKDFLKANEIAIKAIDGQPCPYPFLTWGGTQFPPQIQNVIDGLNF RAPTPIQSVVFPLILSGYDLIGVAETGSGKTFGYLLPGLIQIKCQNYGSNFRNRINGPEI LILAPTRELVMQIAQQVSLFMKPNNLTVATAYGGQNRDQQAQQIKRNPDILVACPGRLKD FLQEGILDLSKVTYLVIDEADRLLDMGFEDDVRFIVQRTRQDRQTVFFSATWPKAVRNLS LDFCAEDPIYVQVGRSNLTVNKNIDQEIICLYNNQKLQTLLDILDQLKINDKVLIFAETR ISCEQLSVDMTQEGYYAVALHGNKTQGQRDSIMECYKKGDTKLLCATDLASRGLDVSDIT VVINYDFPKYFDDYIHRIGRTGRAGRKGRAISFFAIGKDQPQMARELLKFDKINKMKLDV QTMQDISNGIKLERNTNFQGVAKYGGQIMTHQNNSKFVVPNLSQEEQAKYFLQPHQLENI HSKGRQNHGRQDQPRNNKGYQHNNRNIQEEQFYQNKYQMNNQQRGQQHNPFQNSYRQEEH NNFQGQRRQDYLDDGRNNGRQNFQKNQQPRTGHQDSDYHRNDQKWHSNQQGEQERYYDNR RDHYNPQRGDKSDFQYRQGQQQQGFAPQNRNVWQRESQQNSRPQNPYENDQSYNNQRGYP NNSSRNINYQRDQTRNPYIDDRNQTNRNNHQDQRGYQQPQDQGRGYRGDGQSDNQRSQYN NQRQLDNYDNNNNRNRFPINEQSQQQEKITPPDNYPERNQNYPERNQNYRERNQNYPERN QNYPNQQNTNDRFYQDGRQGNKNFGQQRNLQEPQNNQRFQNESSDYQRENNTRNFNKPQD DIQNIRKDSTQQPGPNIQPDFNNYRPSEELIQSRDMVQDGRDQEINPKILPQSKQGELQH SQHSSFNRLNENFKQPTLEQRQQFAQRQDQNNFVVERFQQQQQWVDMSQNHNQRTLLDQK MDQMISNQDNQAKYDNRQRQVQDNFRLSQPDAQSFNRNERVLDQQDDSENNPDFTRYSRQ VDDNRKRFELEQIPKNNQQELNNSRKNSDSQQTMMSEQSKGDSQIQY >CAK77433 pep:novel supercontig:GCA_000165425.1:CT868274:97506:97982:1 gene:GSPATT00012918001 transcript:CAK77433 MSRLYTLEDEQLDYGLDYESVLVVKDIDQKRQQKGNDNDLQKVLSLQFYSRHQQQSKTTV NLRSIQRLITEQKENNKKLKENKPINYKIKTPSLKPYQGSIITPKYQTKTPQLTKSLKST ITITPLNFQDSLQKIKMLVSKQQQKYQLDFNKFKKSIY >CAK77434 pep:novel supercontig:GCA_000165425.1:CT868274:98326:99060:1 gene:GSPATT00012919001 transcript:CAK77434 MQINVKQSSKSRILLTMIENYHKSPDDEALLETSKNNSVAQIEKRFKKSQVNHTYTFPRT EENNQRLNSESPIAKQFSPKEQPQQKDNFKDLLKKIYLSNQNKNSRKTQLNQEFIKQQYS ILQQSNHKSFQTANKSNLQHSLSQNRLLTDVGLKQSYSRGQSPDNKLSYSNRQLGTQLSK FMNQNVKEKQRSQQNFNQQNSCRSFELRYQFNKSPYSLLQNKSFDLIKQLSQFSSLQKVK SKNN >CAK77435 pep:novel supercontig:GCA_000165425.1:CT868274:99105:100034:-1 gene:GSPATT00012920001 transcript:CAK77435 MDDFLLEKIYITDEREQVFLINAFENVIKLNLPNIVQYFEIDYNEKERCIEVLRRFYQTK LLDYKIRNKFLVVTITQQLFQTLASLEGYGVHLLGLKATNIFFENDNIYISGFVRSEKFL LSQPIFQSKHLKGFIQDCITTKKYNITQRYQRKSHAMIYSALAVLLQFILNEEFEQIANI IRWLDNGEDNLKAVPSTQKLLAIIEEKYDCMRIFIEQAKCLLANEFQNPTEDLAIQMMLL ASELRFENNIIVLGEYYSLKQKVEVKQQDTVIQQPKESNTQVINIKQKAKTTKSKRCGGG FDVNQKKKE >CAK77436 pep:novel supercontig:GCA_000165425.1:CT868274:100237:101180:1 gene:GSPATT00012921001 transcript:CAK77436 MKKQQQSKSLSSRKPQDNAKSVKKQEKLTKIQEAFNFNKASKYIFQSGFQERAWLIKRGY QDRIDFKDQEIAELRKYFSSLDGDGSGAIGIEELEDPLIALGLVNSREEVEKIMSEVDED GTNEIEFKEFLTIMRGVQKGGNVDQGEKNPIYDFFKKMSNGQLEKGMDKHIPFKLNVSLF RRKQILNAITGDQKELKDKGQKILQAYKRQLLNYKQQDRINRGEDPNDISLDQFPNKDNG PNPRNGFPKLQRVIQQEQTIGHNHKHYDK >CAK77437 pep:novel supercontig:GCA_000165425.1:CT868274:102044:102619:1 gene:GSPATT00012922001 transcript:CAK77437 MDRYRNSKYIKIFRKLVYCLLFIIRYKIVQNIRYRQRQRMKKAFKTRIDHPKMTFLNILD FSSNQFHINPKFRSATNFNTVYIQNGTAVNSPQDSDEEFYHLKPRKSLVHKFSIEEELMR KKLSKSQQKLYLMTGSNSVLNQYVTKKLNQTYQKSIEKPQQNVILPQNSKNNVARQNQSV YLPNIRIKKLN >CAK77438 pep:novel supercontig:GCA_000165425.1:CT868274:103228:104336:1 gene:GSPATT00012923001 transcript:CAK77438 MELNFMIFPAPRLSYREEHLRELVKIPYYDDLFKFQQTSPKTRIQFHKMDPFKPPEEVPN DVSQLSIYDDQVDELPSQRIPHMNKTISVSRQQNMFTVQENRNNMRVSTTPRVSSSSNTF NQFNNNRNYRCSKQIPCLYLKASIPTKKTILYFHANCEDLLSSYNLVDFIRHNMKMNVLS VEYPGYGLYQGYTNEENILKDAEYIYKYVAFHSVVEEKNMIVMGRSIGTGVACHLASIFQ PGLLVLISPFLSLQEIVNEKYPLVKKMVKERFVNKDKIQQAKCPVFILHGLKDNIVSVEQ GKKLFDLCKSACLLRTPPEMTHTRFQFENDLTLPLLNFMRSLNLL >CAK77439 pep:novel supercontig:GCA_000165425.1:CT868274:104373:106343:1 gene:GSPATT00012924001 transcript:CAK77439 MIRIQQLQSQIVEPAGLKGQYYEQRPQNKLEWFKNNGWGYKDTKFVLEQDGAVRLTGNKY RFSNQRMMKFKDWAEAKVGIDLSLNCEAQNEIPANPPIINEQFMNAIQGQFNEISFDNAQ RILHSHGQTMQEIYDLRNSKLARIVDCVVFINSHAQAELLVKLAVEYNVMLTVYGGGTNV TWALQCPQEERRMIVSVDTSRMNHIRWVDRKNMTALVETGVTGKDLEKELSRYGVVCGHE PDSVEFSTLGGWISTRASGMKKNRYGNIEDIILSVKVVTPTGTLSQSLDYPRVSSGPDLN QIVLGSEGTIGIITEAVIKIKAQPEVCKYESILFHNFALGTEFMYKLSRSKVWPTSVRLV DNNQFQFGMALKTMPHSKREEFMDKVKKYFITQFMQFDPDQMCLVTVLFEGTKQEVEFQE KKVFELAKFYKGFRAGAENGERGYFLTYMIAYLRDFAMQFQFIAESFETAVGWKNVPAVC ENIQRRIVEECSKRGVEKEPFVSFRISQVYDTGATIYVYFGFGYKGIADPVKCYSEIEDA ARDEIMKNGGSISHHHGVGKLRKQFMQKQIGDTGVEILKRIKQQIDPKNIFGNQNLI >CAK77440 pep:novel supercontig:GCA_000165425.1:CT868274:106370:109315:1 gene:GSPATT00012925001 transcript:CAK77440 MNKSDTTHNQENIDPNIVRNSVSQQKKFTRSSGQKTPWSAKQYSLQISISTGEISHSTND DIEISCFQVGPTKISSLSTNQALICQTRLEQYLTSNNVPDILSYLDEFEDLDWVKQNYVT HIIELIVKQEREYLKQRIVGLTAILTSKCYLLNRQIIKMIFSVSSRIGSQEGFYALHEIQ NLMETMIFQEELQQYNQKQYYPLIVYCHTHQLLYWGLAGECWELLKLKLTKQIDESVKDE FEKTAQKICDMLLRQLIELSSSNNQWYPIFNQVLYEGVINLHPTDIFFNKLIDYAFRKSQ VEMAEYLLVFMRDQAKIQPTIVTINTMIDQYFKNNQREKAWKTFENLKLTQTKPDNFTYT TLINGLKNSDNMDLKLAFSLFEEYKQYNQPDQIIYNCLLDACINAGDLNRGFQLLNEMKQ SQTIQLDEITYNTLIKGCGRKKRLNDALNLFEEMKLIGIKPNRISFNSLLDSCVKCNKMN IAWRYFEEMRKQYGIFPDNFTYSILVNGIKTNHSNRDELLRAITLLEQIQETGQFKPDEI LYNSLIDACVKFNEIQKGMELFREMKNKQIEPSSVTYGILIKAYGKQNDLNGAFKIFEEM KQKKIPINDVTYGCLVDACVRNDRLDQALQFIDQMKSQNLPINTVLYTTIIKGFCKLNQT EEAIKYFDLMKQSPKTYPNLITYNSLLDGMVKNGLLNQADKLFQELVDSKIKPDLITFST LLKGHCRKGNMKRLNETVQIMTGLQISPDESLLQLILEACLNQQQYHIGVQIFDQFCHQI PQSTQLLLTIIRLHCLDKKLVQAMSLLNRLYQLMDENRVQHQFLEQTINSLLLYQLDEQV YPIVTNIVIIALKMEFNISNLETLISSKNSQLTTLLQNTSQLPCNKLLQIIPELANEDQN ACREYVRKNSKNGLKEPLNEQFGQVFLQCNNNNKKSKVQERSSPFNNENKENVYHPKRQY NNYAKNDLDEFMPNKQYARRR >CAK77441 pep:novel supercontig:GCA_000165425.1:CT868274:109337:110633:1 gene:GSPATT00012926001 transcript:CAK77441 MNNDQSLEDIDQFIHSYAPQQSIPNILSQAITQDQLLVQNVRLLQQLHEQQSILNLQSLM FLNPMVQTQVNHESKKIKKTNKSIQVIPSTTNVKENAQHLLEIKGNVKNAYIKKITSLLS VEGDKLLDDDFQEDLEIESCSDLHKQDKLSGLLNSNNDQTQVKQKGRQSAKMSRLRKKVY LKLLEKKVSDLDQQISEYKKTTRQSFEYLTQILQSHPILNSMIIGNSAAIDQVMECSSPE QAQLILDSYIMRYGICGIKRRDYFKYAVKNIQKNFLKGNYGLLLMSWNNRVHNYDQEFNQ YVEIVKEEAKLEDDNQVYKVLPTVDRMLNHRRLSQQLLLKFNQDIRNLRSLQQEVEETVN QFTQSLSPTQHLDFIKLIEKQGSFNRYTK >CAK77442 pep:novel supercontig:GCA_000165425.1:CT868274:110644:111802:1 gene:GSPATT00012927001 transcript:CAK77442 MSSILNSIAKMRYKTKAVFSGVTDVVVVDQGEEKYASTPFNVKFGKLKFLNAGEELVIET LNIKEKSTIKQMSLIKLVIFINGEKRDDIQFYLDDDQIGHFAYDQKADIEELKQKYLEQT KQNMNLQQQQESKLIPQNKFLVLSRVPTSKTIQSLKLKHGLNTITYEVECKRLGLQHIEC QLFMIKQNQKIFISDIDGTITKSPTKGMILSTFGRDYTQDHICEFYNRLTQRNYLILYMS ARSMVQYESTKEYLLRQQQQGIQLPPGPLFLSPQELLEAFTIEVIKKQTDILKSQMLNDL VFTIGVTGTIQGGMGDRLNDIQAYKMANIEYERILLINKKGEIVRVNNEMKEEKFTIKEI IQKMDQIF >CAK77443 pep:novel supercontig:GCA_000165425.1:CT868274:111834:113563:1 gene:GSPATT00012928001 transcript:CAK77443 MSAVGIRGERDQGQDVRTSNVTAVMAIANVVKTSLGPQGLDKMLVDEIGDVVITNDGATI LKQLEVEHPAAKVIVELSQLQDKEVGDGTTSVVVLAAELLKRANELIKIKVHPTTIISGY KLAARQAVKYIQSHLVHKITEDDTEILINAAKTSMNSKVIGPESHIFAKLAVDAVRLVKT QGAISGKPKYPIQSINIVKSHGQSSNQSELVKGYVIQLQRASQQMVTKVKNAKVACLDIN LNKFKMQMGVQILVDDPNNLEKIRKKEMDVLKERIQLLLSAGANVILTSKGMDDLANKYL VEAGAIGLRRVPKDHLRRIAKATGAKVITTFANEETGESFDASSLGEAEEVYEEAIGDND YIFFKGMKREQSASIILRGANELMTDEIERSIHDSLCVVKRTLESGSVVAGGGAVEMALS IYLDDYSRTLDTTEQIAVAEFAEALTAIPKILATNAAKDSIDLISKLRVLHSKSQQLQVD EKGFKYSGLDLIKGEVRHNLRHGVLEPTVSKVKSLKFATEAAITILRIDDMIKLEPKKEQ MPGRH >CAK77444 pep:novel supercontig:GCA_000165425.1:CT868274:113725:114490:1 gene:GSPATT00012929001 transcript:CAK77444 MNRRIITEVGDSNRKDLKDECNANEYNFISNKRYLHLKSNASESAVELKRQSRINPMAFQ QENVSIDQTKLYSMAKLSLQGQTEQLKNCQWQDLNLQKKSSCLFKNLDKLEHKIFVNQDQ FTKRRSQLLKQLMQIKQSDRSKSNYNLQIKDGEKIETILQVRSIMNAEGRLEQQQRRFQI QNQSVLRMRQRLASCLEQSQDRSLSRLNMNFSALTIQRKELINKNSSQLRIRMQQNCCNK EINTN >CAK77445 pep:novel supercontig:GCA_000165425.1:CT868274:114515:115366:1 gene:GSPATT00012930001 transcript:CAK77445 MNRLLITELGDTMRTEMKRDLNKTIKSNRTILKTSFPEIKNNFCSSALLTEIDKTQNPLY QKSLSDQALLNLSKVANLSLFGPKNRQSLENHLRDDLQIKKQKDYLNLCLDKQVDRILDD KNLMSKRKRQLLNELKKLEARTKLKHFHHIPTEQSQFSSLIEDNDRGMKLMSIVNAEGKL LQLKRRYEKQQLVDLKTKQQVKLNYFNFKENQIRNIEALKIMEHKDMESDVKDIFMNKDL SEIRFSERLNEKHVKETEQIWKQHHFPQHRKWLSN >CAK77446 pep:novel supercontig:GCA_000165425.1:CT868274:115398:117412:1 gene:GSPATT00012931001 transcript:CAK77446 MTRAINLKLQDKLILIEMANEATLSDLYKRVIIQFKTQIKEHPLTPNPNQLIGLKTVSGA LTLDYILSRPEDRSLLQLNKLKQNEWLVGIYKQQTGQLSLKDFVFEKCIGKGGTSEVYLI RHKGNARLYALKMIKKHYITDCRRLEQVLREKKILSNVLNQSPFIVPLYATFATREHLCF LMEYSPGGEMFFHLQNYRFTEEEAKKYFCEVICTLEELHKHKVLYRDLKPENILIDIRGH IQLTDFGLSKLDLMNEDVTHSFCGSPEYMPPEIVSRQGYSYPADFYTLGCLLHELLLGLP PHYSQNTEEIFQKIINEELELPDDLTEEVTELLIDLLEKDVNKRIKDFKVLKKYQWLSDV NWEIIKNKQLEMPIEIDIYETHIHGEFLKVDVAEFNQKNETGDLKPQDDLFEFFHYINPM YQDQFLLESKQQNVKNRASSDMIIEKKQKLGLNDRQSNVNPTQLKKCNSIKQSLKSASSP RISNQLFSTGHHSTQNSPKKQLQLNLQDIDNQLNMKTPKQPKSLAGTTPTAATLALLRKS FQTLLKKAPQLRNQDNNPTLRTLLSERSLMDRNYVNHINYNQIQKILQLQHSSPTNRELS QRPPQQLQKRISSTSTHLKSQLSQLSLFTLSSQITQRGSTSHRPKLKQ >CAK77447 pep:novel supercontig:GCA_000165425.1:CT868274:119089:121013:1 gene:GSPATT00012932001 transcript:CAK77447 MKQIKSQFNYQLIGRIPKQLSSSYKKMNNRILAYQNKIQVTKDDNQFDFSIITPGYLKCN KSQYMFNWKINFFESQLCQFSKDEFYQIYLYNCFLLGDCYKANSLSYMQQQFRSFQSYFD KRSGNRYNMLLQGENMKQFNEFKLQNSSQNEFTDFNRIYVNKRNPFVQFSVYDMVNSNEK AISYFQKLIQQKAFKNIDEALQYYGVTLKEIEELNVHEFLHLEKLVPGNIQTYRQNYQNF QEYIAFCAYTLHINQFHRNVPSMAFSTNECEQCNSNNPSEYCTSLKPIQPLKQPLLIPIQ QLQEYPLNYKTYDIICILYNSLICQLNIWSHQDSVESILNYQVPEEAIVTSSFNFKRNYE NLEVLGDSVLKYVITADIFKQYKLLDEGEMTSLRSRLIMNTFLAQLFEQLGLEQFIINQD LHFKQIKNPLVNDIISSENKQLQISQKADIYEAIIGGVFETTQSLYEYITILRRTNFPIS IYKDILFDYEPITAQIEQIDIRDYKFNNPQLYEIAINPQYYDRLEFLGDAAIELLVISYA FRVAREKQQKNPNWSLNPGLLSTWKQYLLGNKFMGEQTIKMGLKPQVNEIPKLYGDIFQS VAAAILLDSGWRGLNQVYGSLYKKYLDEIIEL >CAK77448 pep:novel supercontig:GCA_000165425.1:CT868274:121034:121510:1 gene:GSPATT00012933001 transcript:CAK77448 MNKKNLGWDIEEHQYLDSLIPSNLPRIEKRHQESKNHRIKSILDSKIIICSSSVQPNQNK KSMQICTQTTQTAFQNTLTQSFYSPMALTNYQALRYPPIQDVVNKSSKKSQGPLKRRKYR CSIINLSQPSIQSPQANNKLYNINQYLQQKYSCINYFD >CAK77449 pep:novel supercontig:GCA_000165425.1:CT868274:122076:122808:1 gene:GSPATT00012934001 transcript:CAK77449 MSALEIARKKISFDVNKLSHFIWEGEERFKRFMAFQKLCSSDPLLRNNPKDIGIGRAETY ELYARKMKKLLEVADLRDIKEFATLIYPEALVSSLNYEMFMPTIMNLGTEEQVKLFYEPA SRWEILGCYSQTELAHGSDINRIETTATYDKQTKSFIINTPSLKATKWWGSDMGITATHV VTQAQLYIDGKRCGVQNFVVQIRDVKTHLNTSRHFGR >CAK77450 pep:novel supercontig:GCA_000165425.1:CT868274:122836:124203:1 gene:GSPATT00012935001 transcript:CAK77450 MLSKYVNVSDDGQFTKTGDDKVWHATMQLMRQHFLDSVWKCLGIGLTIVIRYSLQRKQFK DSLGQEIPIFDYQLQQHKLIPLIAEMYGCMLGSKKVFAMAHDNVARIKQKNDFSKMMEVH VVLSASKAYYTWNCFFALEKVRQCAGGHGYSYYSGIPPLITEMSPCVVAEGDNTVLSLSV GKVLLMYLNKAMQSGKAPNTTCDYLEDIFNYLTELKQPLVSKECTRDLNKVLAALRYNVA FNVANAGQKLQTLMGDKGLTFKESTDKHLGILLQEIAHTQSNYWTYRTFLEEVNALTDPN IKTVLTQLCLLYGLNKILEQQGQLFEYGVLTETRDELISNLKDNALGLVEAIAYDDNSVR SAIGDSQGNPYEKLYHWAKDLNPLNEPEFHEQVFKTIKTIRTASPHAKL >CAK77451 pep:novel supercontig:GCA_000165425.1:CT868274:124285:126246:1 gene:GSPATT00012936001 transcript:CAK77451 MEGYLKKWTNLFSRWQDRYFILNEEVLVYCDSQGGQIKGQVHLKVAALILVPEDPLRIII NTGTTEIHLRASNINEKIDWINALKQAQDKCLSRQNEIKFEQKIQELLTDVWQTGAIFDE TLSMLIPKLEKNSQIKEMADRLESIGKNLKTKITLCAQIVEEEKQKLSNIDNGTVYESFI HQNSAQSVLREESLNHQHHQSHGQQQLMSVSEIGQQIREHQPIKYNNLINNPAFQKSKFT NQSKRDRLPYRKDPNEKINVWLLCKELIGKDLGRFSVPIILNEPLSMLQRLAEQMEYSES LEEADKIQGDSALRMCYIMGFGVSPYSANIGRTKKPFNPILGETYEIQTQNCRFISEQVS HHPPISAGYAESKAFQFWAHTDVQTKFNGLCFQVNPVGLFNVILKSSNDHYQFNRCTTKV QNILWGQQYLDHMGQMKFVNLTTGDNGVLELIEKSGKSESEMRGYVKDKNGNEKYKLKGF WNDRLIAYDSQREIIVWKRHQLPQDSDWYYNFTEFAMQLNHLTIDMIKDLPCTDCRLRPD QLAFENGWVDLASSEKHRLEEKQRARRKAMAAANQVHVPMFFEEMIDPKTNLKWYKYKGN YFQQQWKQVGSELLDLF >CAK77452 pep:novel supercontig:GCA_000165425.1:CT868274:126340:127675:1 gene:GSPATT00012937001 transcript:CAK77452 MFKDISFMFPLSTVNLTNTRIRTLSSLIESNGGEMRLSPDALMIVGSDATVELCQKQFIK FNLDFEQYRYQFLNADWVSQSLLHKKLQQKKKFQLFPQVEFQPVLEEVPELTTKLVYVEA LAKTVPVRDEMTQQDDGDTHVYNIKDVELRTNYEKRMEEFRRDIVKEYRQILDYEYDYDL DNFHNQIDDGYEYLLEDLSILKKEEPQILESEKFYGDDDCLITETRKVQFDILDGLDLGK SVVNVDQPILSAQLKETKQFNPGLKRKQQFWEAKKGYFVCEAGAAHKCQNNEIIEELEKL LKIYTNEKNKGRCIAYRKAIGLLKALPYPIKSSDDLKDMPTIGDKIKKEDNRNYLEGQEK NVAIGQLSRVWGIGPTTAATFYFKGIRTLEDLRKNKHLLNRNQQVGLHLVEDLEQRIPRE EATLIYEIVKERNR >CAK77453 pep:novel supercontig:GCA_000165425.1:CT868274:127752:128193:1 gene:GSPATT00012938001 transcript:CAK77453 MDILITRCDGKNADDFLLNLITRLEGKLLTHHLTMPKRTEQDCETYMGIGRVSNQAVHRR IDLKLYPKEQYGCAVLYFTGSDHYNRSMRLWAQKNGYTLQGAHNKKLWKGEVIPCEEEMD VYKILGLKYKPPKERSV >CAK77454 pep:novel supercontig:GCA_000165425.1:CT868274:128198:129112:-1 gene:GSPATT00012939001 transcript:CAK77454 MQQNNINLSGMSTSQQELFEYMSETLQTSEKGLLEMQQSNPQNFQKLVEKMKRTMRREKA KQRKNKEEPEIVSSSKKIKRKKEDDDPLRDNDKKTIQMIRNRISAQNSRDRKKQYLHQLE SQAQKELDYNNDLRKQLEDLQEKHQKLTNKSSKIRNQLTILQQLGRGSRLGKISLASLDP CVSVFKQQHQTITTPLVKIEEMSSESAMRPINYEKSYSIIDTNTFFEEEKLGVGVSEESE NCYNQLLPISLYRLNSQIEYELGQHS >CAK77455 pep:novel supercontig:GCA_000165425.1:CT868274:129747:130642:1 gene:GSPATT00012940001 transcript:CAK77455 MRTLILTVLICFGASQMLRGTPKALIEEEQAIFEAEQEDQSFYVGRDDVSAETLQGDQNQ EVEASLAVFKSDDTPMVYVEESTDSTQLDGVEQQESLKIEKIEDQVQAEDLQEATTESAN YVDKAISLEGDTEAEPIQNSSTDVVLNIAPKTESLSSSESTKKHVYVYNPLAKKPFVGIK FPPMPVTKDRNYLSNSDTLTIKLPPWSEQSNHRVEDDLEFFQFIRPSDVSVNEY >CAK77456 pep:novel supercontig:GCA_000165425.1:CT868274:130642:134788:1 gene:GSPATT00012941001 transcript:CAK77456 MQQEDKKKADSGKLETIKIMNGFTDKEWSNLDKIERIELTLEDFGRMNVIQMFKNLKSLT LINVGITVIEGLDDLNKLEELNLNENQILKLSGLKGTTNLRALYISHNAIQKLEGLEQLT KLETLWLCDNKIDTIQNLDQLVNLKQLWLAANSISNLRTSLDKLKSLYDLNISGNKICSF KEALNLNRLPNLKVLAFYDPHFGDNPICNLCNYQTYVLYHLRNIFKLDTLMISDDQKSFA EGTFMKKKMYYNMRIKTMQRTFSTLCKLLKKGKKIKNDSLCEDISNLNIKLAQEQDKERQ QLLENKQEEYENQNEIYNSIKKKVYDYCNQSIHKLITELETGGNIRLEEGKTNEKWYGSC VDLINSRFHAETMGKYGIKDIQIKRVVRIHNKFIRNKFEEKMESLVDVSSQSHKKSLEYL FYGVDPNFPSEIYNVIEEGFRGCQESQSIGLSPYTPLVNSILGADASRIQHLLNGQDHAQ KLSKRFIKRRLYYQKYNVIPPGVILICKVLMIKSVADQKQPYFNPEQPWSEMLQKYPIDG KQYQDEYTVYRELESDSKHKLWFVLDNNLVLPEYFAEFEYVMQSPLQNKIADFGSALGIL EQEGDDFITPANINKCRDNINDLYNQLADDLNSYQFENLEEYPTYELKAQDLDRSESASL KPALVNYFKYCLSRSTLYELNPNLVGTPNLNEILKNQTLFLNLSNCSVQDITFVKGQFHT LILSYNKISNITGLNELPNLTRLDLSHNEISNLNGLQGLSHLEVLDLTHNNIQDVDQIAI LKYNQSLKNLCVVFNPISEYKETRKEIVMILNNLAFLDHLPINEEDREPTTNQKQLITTG MLQTFSKVQHDWKQHIQTVMITHQKLSSMKGLEGLVQLRHLNLGHNKITQITSIQDSVLL EELNLEKNSIIQIQELDNMQYLKKLELGGNKIFQIDGISNLINLMQLSLEDNAILNLKEF PDLKSLMEIYLGNNNITNQKEINNIKHLQKLIILDLSGNPFARDTNYRSYVLYIIPKLKV LDGISIEASEQQMAKNLFTGRLTEEILFSRLQGQPANKITELCLQNCELRDFEDVFNVQQ FPQLVELDLSQNLFTSTKMLGFLPQLKILILTSNKIETLLYPTDFNQKKGLNGCQQLQIL DISQNCLKEFNGLQYCLLKDLKIMKCEKNEIIRVDYLENLKQLKELDLNYNKVRQFDPQS FAGQNPIKCLKIDGNGLKNFQNIQKLFKLLHLFANSNRINDLPDIEHLCALTQLKELELV GNSLSRRPGYRQMVLRKLPTILYLDGREVTQEERERLELVDRQAVLPQMQIQQQPNTKVP VKLSSINFDGIFSK >CAK77457 pep:novel supercontig:GCA_000165425.1:CT868274:135562:136492:1 gene:GSPATT00012942001 transcript:CAK77457 MFQEFLDGLGSLKHKPVALKQVKKILKIEEKSLPKNITNYFVEFTKHTSHKWQRKDKMIF IWTTLKYLEKQGRTDLKPNDDDWIALSEILGAPPELLNSKWIGMLKTDLKQSPWTPEEDD LLRKVMTQKFISWTHVALEYNRASPIMRHAKQVRERWNNYLDPELNKFPWTESEQIQLLQ LVQNQGKKWSVISKQIKGRTENQVKNAYNSLINSYRRNHMQTLDSDETIVSKLLSKLDPP LLQANASTPMIQPMPPLQQQLLMQALLFERLKSMAFFAQLPGFLAAQQQQS >CAK77458 pep:novel supercontig:GCA_000165425.1:CT868274:137383:137832:-1 gene:GSPATT00012943001 transcript:CAK77458 MAKAAKDTRPIADQATSETACTYAALILYEDNQDIDSTKLAKIIKAANLRVEPIWTKVFE KALKGKKVGDLLHGSSGNAGSTPAAQATATPAAVETKKAEPAKEVKKAEEPEEDVDMGGL FD >CAK77459 pep:novel supercontig:GCA_000165425.1:CT868274:139933:140589:-1 gene:GSPATT00012944001 transcript:CAK77459 MFKGFKKSTSNSSQDSQKTQDSNSLNAEKAMRQLECKQSDLERIIEKYCEKLEQLDKQCK RYISDGKKSAAKGCIMEMQTLKKQISQYHQKVNIIIQMKIKLETISQDQDLSLVMEQATS LFKNSQDVNDQLTESLQNWQEFLETQKETDDIFQEMENKFIDKQEIEDTLNQYEQEIQSE KLRQKLDTVPSQKIQPLQNCQVNRQIQVTNAKVELLLN >CAK77460 pep:novel supercontig:GCA_000165425.1:CT868274:140677:141361:-1 gene:GSPATT00012945001 transcript:CAK77460 MGCVAMKSRLDKIKLNLRKVEIKLKKLTQQIKQLIKEIEILDDEGRFDEADLKELELQQL SKEKRILVNETKSRKKTVAALEQVMKNNKTLKEQNLLKEKQIQQQKEKNQNIKQQEKLIN AIIKEKDEERERLQNIQDLEEEENEEDYKEQLVVRKKDREHITNPNHDLNLNKDKVQQVE KTYAPPNAAYPFEELKADYSHNNHRIQQAQISQVQSFLQN >CAK77461 pep:novel supercontig:GCA_000165425.1:CT868274:141603:142621:-1 gene:GSPATT00012946001 transcript:CAK77461 MEQQLIENRRITSLFCQSIKSCKKISIGHIVYCICKIIIAVILLTINATQSCVWEGANTW MTIVIGESILELVIFSVIIQLIKITPTLLNVGEELHQVIYAENSQIESYGDISEFLANSI DDQTTQIPEREFEKKKVLLAQQVLQEIFKIHSPLKHSRHLVFIINQGLLIWGFILGFEIP YDLNSDCRSRLIIAIYIFLFYTICYYLDVYILIIIILIALPFVAMFLIYKKLTQPKGKKD TDQIIEELKKQYLVKYSPNLIEGVPECKICMQTYQLEEEVLKLPCHESHNYHLICISAWF KVNLNCPVCRKSYAEEENNEQNLEDINQVPQ >CAK77462 pep:novel supercontig:GCA_000165425.1:CT868274:143140:143586:1 gene:GSPATT00012947001 transcript:CAK77462 MEYILNLCFILTSFYSFCYKILQIKADFQSFQILIKICNTLSNILEAILDLNNKITKFFS SQYSLYIFLRICYINNTKFLIGCDMIQKAQFILPIINYKIQIFITIMVAITYWHTPLSLI SKTIGFSYQFLQQIWELTQISLSNQTNL >CAK77463 pep:novel supercontig:GCA_000165425.1:CT868274:143890:144307:-1 gene:GSPATT00012948001 transcript:CAK77463 MGVCGGKNSKRPQRETYICTQKTCDVARRYSEEVVNFSAQKLNNTGAIFTDSNITNEVNL AQTNTILISKKKNYNGDPLKYHLDCLKSAQDRNSYDIKIGVPIRQKNQFSIEIEGSCQKL QYK >CAK77464 pep:novel supercontig:GCA_000165425.1:CT868274:144332:145011:1 gene:GSPATT00012949001 transcript:CAK77464 MVNLRLQKRLAATQLKVGVNRVWLDPNEASEISLANSRMSIRKLIKDGLIMRRLRTIHSR ARARRFLEAKRRGRHTGTGKRRGTREARMPTKILWIRRQRVLRRLLRKYRAAKKIDRQQY HEFYLASKGNQYKNKKVLIEAIHETKQEKVRVDKIEKEQNDRREKNKAQRVKKTQSKFAA E >CAK77465 pep:novel supercontig:GCA_000165425.1:CT868274:145069:145670:1 gene:GSPATT00012950001 transcript:CAK77465 MLSNLIQADTKVQPAVQQAKAKKGKKNQGEDQPQQVVATLGPNVAGNELVFGVAHILSTW NDTFIHITDLTGRETLARVTGGMKVKSDREESSPYAAMQAAKDVYEKLKTLKINALHIKL RARGGIYLNHFNPGPGAQAALRALARLGLKIGRIEDVTPIPTDSTRRPGGRRGRRL >CAK77466 pep:novel supercontig:GCA_000165425.1:CT868274:145772:146206:1 gene:GSPATT00012951001 transcript:CAK77466 MSNSNTKIQSQTDMKQKLLNILSEKNSKVTSQQKPCSSLDYYSLQRKLSQRSHKLPVEMT KFERERWLFEMLNTQDLAQQEQELKEIYVRTTKLITRYRNKEIKWNKKKEALQNEIDLLR SLLEQS >CAK77467 pep:novel supercontig:GCA_000165425.1:CT868274:147535:148663:1 gene:GSPATT00012952001 transcript:CAK77467 MNSERISYMTCRDNLEQDKFYSVFDDQLPNRECRPTIYVRPQQKNRNTLQLRSIQESSTE PLLSNGIQGTQLSELVPRKRQVVPIGQAKRNRIETLLLRSRPQSKGFQQECSLDTSAALI KLMNKNRWVRYLMNLYIWLNIALRIGYVAIVVFYVIQNDYNEVQDENSEEIMTQFYWWIP GSFIGIRVIFILIIYKLLSVGEQTRDGRIKVVYDTYEAWFNKTINERDQLLMKCNSMVIR NGQDELELRQLQQQVNSLNRWLLILKTYIVLIPPECQFIFLKQKSNGFFQVQNFIMKSLE ILFFTPQLMYFLLIEEPLDLELDRWSAYDVNNICLLVLLADIICFVFMTLLLICLGNNRK YVNNLRTN >CAK77468 pep:novel supercontig:GCA_000165425.1:CT868274:149206:150267:1 gene:GSPATT00012953001 transcript:CAK77468 MLTGKEEQPLLLLRSNRGNQESKELPKYLRSTFTDTYQLSEPAKEKKGKKLLPQYAFGNS LESPTYFRSANTLICRESYDNPFIKGQLKPKSKWDSLRSNTLNNYDFYNISEQKFSNLPS EGYQNVKLVNTVTNQVNIVKTPKVKNQDYTFQQAYRTLQNTSHFGQLFTDFIEKNDNQNV YGHLNDRQRPKGHLRDVKNFSMNPQEQKLQHKYTFNFNIASPTQKSPRKQQFPRVIMPDE QVEKYFKRDQNFLNAFGNSIIATQIDNKMKRNKQDRYNADPVVQDYQENEIGLIEVFEKH LEFEKQYGN >CAK77469 pep:novel supercontig:GCA_000165425.1:CT868274:151172:152288:-1 gene:GSPATT00012954001 transcript:CAK77469 MNNSFNNFRGCYNLPGLRSFNSQSDTSLFRNKQSSFKNDRHNQHSSNEELFADIERSCNM NVSGESLPTSEEEHSLIDQAIDLFSDTKVILPKQQTDIRDVQDQMIKDINHVFVDPLYKS NLSTEILEPEFLENNQSLKDQFYENNHRRKRKTISDEDSHNFIVRIDGIEGDTRTTVMVK NIPNKYTIQMLKELIDYHHSASYDFLYLPIDFKNKCNMGYAFINFVDSRMITSFHNEFHG QKWPHFNSEKICQLRYARIQGRLALLQHFQFSSVMNQKDKKLKPVIVPQNELQRIQQMIQ MQKQ >CAK77470 pep:novel supercontig:GCA_000165425.1:CT868274:152564:153986:-1 gene:GSPATT00012955001 transcript:CAK77470 MSNSPVVLICIQNPDFINITVTHVYSNFIQFGTIEKILIFERNKPIWKALVQFESQKSAE NALQLNNTIMHGLSILVYESNRKGLDFQARNQYARYYIAQQTQGVVHSTELPRNDQFSKW LPHSQALPQSLTGMPPLPSQLADTLNFEKVDGNSQYDSEEEATDDKQEDFSQQFVNTIDN NLTQLLKFSEGHRICTSQQLPSNMQVEKQIFQSEILPQKKLITKVLYANWFDPKQTTMPM IYNIFSTFGNIQKMIFFKTKCNVLIEYSTEVSVKFLLTNFNEGNPTLFGQKLKVYPSNYE FIFFRNCEEGQLPKNTEEEEFYLGNEASFRYKDNNFKYLVAPSQQIMLTNLKKEFCEEGI IYDTFSKFGPIEKIKIFCEEKNKNKCLIKYQDLESAIQAMAIMHNYEYNKRKIQIFFSKN KS >CAK77471 pep:novel supercontig:GCA_000165425.1:CT868274:154395:154958:-1 gene:GSPATT00012956001 transcript:CAK77471 MNFNQQVYPAQIKSQKSLNSQHQNSKDSNKRSILNTPLLSKHPKTNRIHTLDDLNNKEKF LDLKNLRTPITKQISLDTEPQYFQGKRASDIDKANFLQIQTARTMRSSQIDRNNTMKTQA IQQIPTEQRNKNYFKRESISNDKILDLLLMNTQQLKGFFQKEKEPSQRAKPRINNAKGFP SDFFSIL >CAK77472 pep:novel supercontig:GCA_000165425.1:CT868274:155193:156224:-1 gene:GSPATT00012957001 transcript:CAK77472 MYEFINKYKNKYVNYEDLKEKAVFIRKNDKTYFGEILNQRKHGKGIIASGNYFYAGDFQN DTKHGIGYERNQDGTSYDGEFVNDQHQGFGTYWYTDGSFYEGNWCNSLKHGEGLYRKGDD IEYYGDWKFGQVDGKGYLKTKKSKYEGEFKNGQKDGFGIETFNDGVCYRGQYVNGKAEGQ GLLIGEGFKYEGEFKNGLKHGNGKLIVENVEFEGSFLNDQKSGYGEMKWPSGSHFKGYFL NDVRQGYGQMFWQDGSFYKGQWHNGKQQGHGRLFNNHRLISTTFNTNKQNIEEPNIPQLS TIQSSNIRQNITRSRISTRSRISTSCSSPKKKFCQRNSTVQNN >CAK77473 pep:novel supercontig:GCA_000165425.1:CT868274:156378:158177:1 gene:GSPATT00012958001 transcript:CAK77473 MSKTPNRLLLPELENKSFRDTKQIQDLRRKLEKQQDTIQELVNLVKESKTESYKPSFNQT IIQPKPEKDEVTLQLLEEVKTLRRQINNIEQGPKQTVQHPIIIPQYLPGPPQQQQQQQQQ QVQPMMPPYMYMNPYFPMPPQFMYPYPQSSNNDEPYKRIIMELLQKNNKGNRDLNYKKRD SYSSEYTDDLYSRNNSRQHRHHSNVDRRRHPNDRYNQRRNRDRKYIKDNNQSKSRDYDGS DHHRTISRTSMNDSQMSYNSNQNRRDKRRKNQRNFTDEQILKLRRKLIGLFWYIRIGLVL RKYLKRVWLQRRQEYYETEAQQLIDKFDNEFNYKEVLILFVVECNKNKYFTKNWSFFDNK EVELKSKLIFSITTALFKKIPFMTKSTMTDEHKQFIKKISSPGGYLLPGHPQFVTDRVEL RPNVTIGQISAEVSKLIQMDYVYIQILVQRVLLIYEWYSQFYKIPSYKEAMKIFVTLLHQ LFIDHFINLPTYENPDSIFNQQQIVYCDFNQQNYINVATSIDSKHAKYQPTKNCCILGLG TQDEMRPLYEQPGYKEVQLQFKEYCEFFYQSLNF >CAK77474 pep:novel supercontig:GCA_000165425.1:CT868274:158738:160969:1 gene:GSPATT00012959001 transcript:CAK77474 MLNSTTQLANQLPVFKSQNYSELKDYQDFLKLIQKFHIQKFMLKSKEQLYHYLSNQCQIQ NYNSQSDSDSSNENQGSGQQTEDMSRNKLKNKSDKIQAKQQDILEKQYPQIQIENEDINL KNQSFENKKNDQQKKEHGGKKSKESTKNNEILEKLKSIPLIQDFDDEDIRQMVNDFQQED KKQSKQNKNSQTFKDTSVRDDYQYKWPQSRIRILQALTILIENKVAVPKILQDFKILQKT YIYSLYLKDCDDLANQLWQKVGENVNADDQIKYFRTNYKTDQLELILEMVKLVYEKREQH REQIISTCSGIIFQKKLRQLKDKVTAKAKCADKKCELTIAYLERINNYVLTGPIINKYQH GGCSEAQLLHQKIIKKAAKTLLYSAVQLDVPVPEVLQYLSLRKSRYNVQDRPEEQGIYIL PSVELVKLIVDKQGMETIAQQLKMNDFDYLDFKGLDQLNFEHSNKNIEERDETVLYSVLQ LVLDRIDELDQLSFVINDRKFKALQQKLNELYKDEIFGEPNQQQDIPQFVGCVMNKVESY IKSSKSQERVKTKLCCIKSKFFDSEIIVKQNYENLTAEQIEFCKQLFILFLSDISSKDIA FPEILRYFKFIDCKLFFDFNEKLIGIMLLSKAIRQYFISCMEMDNEFDKQTTEDNQIIIN SVPLLKLTIKEEFLPEISEIFKKQILILSRHKEDLYVDIRELFKYQPICEMLKQQDLEDE YEDAILDLKCLYKFVNEQNSDQD >CAK77475 pep:novel supercontig:GCA_000165425.1:CT868274:161509:161983:1 gene:GSPATT00012960001 transcript:CAK77475 MSQKFKVRKLRDQKPEDLLKDLEKLKSELIQLRTVKVSAGNAQKLGRIGLVRKRIAKYLT VINEQRRNQVKSTTKSSGKLPVDLRGKKTRAIRQRLTRSERAQKTARQWKKLNNFPLRKF ALKE >CAK77476 pep:novel supercontig:GCA_000165425.1:CT868274:162239:165817:-1 gene:GSPATT00012961001 transcript:CAK77476 MQPEQVVTNNQGQRFSTDNAELVELRSNQNENRTQGASQVLLPKIEQQETTQSVIQFTQA PQQANQQEPELEGLNTQNNNIHEGAVTDDRLNIDNEVHTRMSQQELINLINKRFNEVKRS STIYKYYTKILFQILIYISTILELIFTLLYGKQNNISDEPVYEGLYYFSIALTVLLHVYV WIYTYKYMNVQRHHLCWDLLNYVYYAIIGGLSYIKLLPFFVFYSRDKSIQQFSFSQINKQ IRKSLWVVQEKKQTNKYFQRLNIPSYSFNFDDDYFPNIINSHAFIQGFYNAKVGRGNDYN NFNYFLLVVNILYYLTELQFIVFTTTYRQITVDIQERLHKIGIQKIQETKQLLKADESYL ENVQSFYFLIDTSKFSEYEKRRCIIQIIKFILRLRFLKLIAISNIDSYQPITLQYLANAF ECIESNKIILCYKNSERLDELNNTFSHIQGCEIVHEDAINLGGIWNNDQQEETQGEIRRT AVQVNTNRQSNIMSQITGQRNRRISTIFYLMAPDQLRPRFIMNDALNRELMRLEQDERER LQSACNKRDSLEEIRQENDYTILHTPKLELLREQLGCLRRLADCYDYYERIKTMNVFQGI LQTIVSLLNLTFQIMQYVYFIQVEKDGLIWALIILQILNPILQLISFIMFYAKLYRNSSI YQMISAAFLFFIFNLLKIWDLVIKIIFLCFNEVIQQTERRLLAINVKAYIRFKSYAAKFK GSIGIVVFNYHNAQLDPNSLWDISRAPTYQAIIWRTSVDEALNKIPQFFIYILTVNKDYA WGFSIVSSVKDGFFAVKDLLAVVTKDFFVPALILRKVSVDQFFQSMSYLSSTQQSTLIEY PKSYAIISKLDRFILNKRKYKIDFTKLDYSYFENLRKKKLQAQLKLVLVNIASSIEIEKA QEFLYLGEVLIPIFQCLQVSELQQLKLNFQLDEIAPENLWLINIILLYCPKNSLKQLEIR VETRRAIYLNLEVERKKSLTAFCYSYYQIREMIRQNRIQNAETIIIDKQFLKLDRYDFSQ FYLEVGGNLDLQNCQSFFNLFTNVQTLEIALQSDSADQTFSLQKNIQSKSLTQLSLNFEN IQLNTQGYSLSKLKEFKLTLINCRFDKQDLQRLLLTMSQGHIILNLRQALVRNCERQSME RSMMRSQRTGELGFTDQEFEDIDKQLSRRQVKISILL >CAK77477 pep:novel supercontig:GCA_000165425.1:CT868274:166733:167695:-1 gene:GSPATT00012962001 transcript:CAK77477 MKKISLDRQAIQNLFANIDNQFKIRLISTNCFSLQYIPASEELNTLFNTFEKSNFSKKGA WTPCQDKLLNIIVFGMCLSNRVLPHDLIIQDWERISRLVIHHNWKACRNRWLQEKQAKAS WTFEEDQALVQLYNQHPNKWCDIAIELMKKCQTPYARQGKQCRDRWVNKLDPNIKKDPWT KEEELMLFLEVKKKGKRWAEISLQIFQLRRTENTIKNRYYNMIKQEQNKIKLGKMSNDEK EYYVMNKIIKELQDKLYENQHYCLDDTNQKKKFNQNYKISQFSQQLCNIECIVMLKGRKL IKLN >CAK77478 pep:novel supercontig:GCA_000165425.1:CT868274:169010:171546:1 gene:GSPATT00012963001 transcript:CAK77478 MLYSKHCQSDFDGYEKVQELGNGAYGKVYLVRKDGELFALKTVPKGIFNDLSEQQLQKEI QIHKKLVHTNIIKLHSYYQDRQHVHMLMEYADGGSLYQKHLTLDEVQEYFTQICNGIYYL HSNNIIHRDLKPENILLKNNVIKLCDFGWSAEVGYNKQRDTLCGTIDYMAPEVSQGKYSF KVDTWSLGIILYEMIHHSVPANPRKYNCPELVQDLIEKLLVPQDERLNITEVLKHPYVKQ GQEERQSVLSEHSLNFSKYQVEGLELFNELDVYLEQQDRAIIRMTDIFKPRQSRKVAEQQ VTQQTPKKSFFNTILDTLGCMNRKQNQIISMINNSFRKTLVGYLHPFSWLSFYYNVSTSP QSESISPRARIMRPSKQKFNISFKEFAKHCQRLKEDEQSEITKHINLIPPATSNEIQEFI NSNKIEGNISNIAKDFEFLPAEYQQILISKKITTPTPIQKAIFPLILEGRDVIAIAETGS GKTLAYALPGIIHSQAQPKVLGPRILVLAPTRELAQQIQSQYELFTRTCCVYGGVFKNLQ YSEILGIKESRNKINLPSVIISTPGRLLDFMKDGLPLNSITQVVLDEADRMLDMGFEDQI TQILSAVRDDRQTLFFSATWPNEVQRLANSLCNQDPIMIQLGEQGLSVNKNIQQEVIIVY ENKFEKFAELTDRLKGQKLLIFCQKKLDTQKLEYRLSIHGLKARYLHGDLKQAERDQIMV EFKSGAINCLITTNLASRGLDVSDVDVVINYDFPDTIEDYIHRIGRTGRAGKKGQAISLL EPAFFNNRLKNDLVQVLQQSDQVIPQELSSLRL >CAK77479 pep:novel supercontig:GCA_000165425.1:CT868274:171847:173926:-1 gene:GSPATT00012964001 transcript:CAK77479 MGCGVSNTYQFMRYSQEKVTENEPGSLVNNQGQTTVRRNVKYLNQLLMIPHQGITTLQEM LNNSVAKFGNQPCMGKYEGDNFKFMSYNQVNEEAIHLGSGISNLNLVKEVQEYQHFKLKL IGVFAKNRREWMILDWANILYGCTMVPFYDTLGPESIPFILDQTNIETMFISGDATKSLI QCKEKHKLKNLVLLDSISEQQIAELKAKGYQLFKYEEIVENGRKQIVQPVQVSPSSVYTF CYTSGTTGNPKGAILSHSNFISAIASTQVTDAGINSTDVHISYLPLPHVMERLIILTMLY TGATIGFYRGDPNLLKEDISKLRPTVFASVPRLYNKFYDGIKAKINEVTGLKKTFAERAI RVKLENLRSEAKYTSGLYDKAFQGVRDLFGGRCRLMITGSAPIQQEVIDFLKISACCPIL EGYGQTESTGLSFSTGTWDPKSAHLGGPAANTEFKLVDVPDMNYTSQDVINGIKTPRGEI CLRGHGVFQGYYKDPEKTAEAIDDAGWLHTGDIGLITENGGVKIIDRKKNIFKLQQGEYI APEKIEAIYNRVQGVAESFIYGDSLQSQIVAIIVPQKDYVEKQATEKQIQGDFEQLCKNP EIIGLFQKNINDYGRANKLNSLEIVQLNYLIYQAKLIYLEPQPLQNFGCLTSTFKLQRHI AKQVFSKQIEQLYKTQV >CAK77480 pep:novel supercontig:GCA_000165425.1:CT868274:174034:175884:-1 gene:GSPATT00012965001 transcript:CAK77480 MIGQFCVGFYSAYLVADKVVVISKAVGEQQYRWESQAGGTFFVYDDAENPVQLTRGSIII LHMKQDNLEFLEEKRIKDLVKKHSEFIGFPIELQIEKTTEKEVSDDEDENKEKKAEEGEV QEEKDKAEKKKKKIKEVSTEFEQVNKNKPLWMKKPEEITKEEYANFYKQLTNDWEEHLTV KQFSVEGGLEFKAVLFIPKRAPFDLFETKKKKNNIKLYVRRVFIMDDCEELIPEYLGFVK GVVDSEDLPLNISREFLQHNKILKVIKKNITKKCIEMITEISENAEDYKKFYEQFSKNLK LGIHEDSANRTKLSEFLRFHTSKSGEEQISLKDYIGKMKEGQKDIFFITGESKASVAASP FVEALKKKDYEVLYMIDPIDEYMFDGKKLKNCTKEGLDLDQTEDEKKKFEEQKSAFEGLC KLVKEILGDKVEKVQLGQRLDQSPCVLVTGEYGWSANMERIMKAQALRDPSMSSYMMSKK TLEINANHPILTELKKKSDKDKSDKTVKDLIWLLYETALLTSGFSLDDPTHFANRIHKMI KLGLSIDDAGIEEEDEKLPQLEKKEDANTEATKSKMEEVD >CAK77481 pep:novel supercontig:GCA_000165425.1:CT868274:177206:177967:1 gene:GSPATT00012966001 transcript:CAK77481 MAANKFWKKSELTNLEQQVGVALTQIEQSVTEAKNLKVSSVVDYTAKINAKKQVYLVFIP HPCLSIYNKVSSKLLPELEKRLKATILVVAKRTIESKWVKSHRSQTRPNSRTLTSVYDGL LDDLVAPSTILGRRTRVRVDGTKFYRIFLDEQDKNEVEPRLDAIKAVYKLLTTRELEFEF RRDDVFYSKRGGAKKATKK >CAK77482 pep:novel supercontig:GCA_000165425.1:CT868274:178083:180426:-1 gene:GSPATT00012967001 transcript:CAK77482 MNFQKERRNVILIIYYILVFLHTCILAHTPKNRQFINQYIKSVVLQYEKNRQLQQALLFQ GISKLDIFGQSITLRMNRQSSYKTVFGGCSSLLLLIILILIFSSNIRSFFNKESLSATVL TEFEEIPSLSLIDDSFFLFAVQIDQDNFLSNPFYDIKIHQYHVQKFLNGSVIQIEKEIKL IPCTLDRFNKIFSQFGRDMTDQFNQFKLYDFLCFDYNQTISIQGTYSNLEFDYLKIQVHQ CSNKTNCASNEELQQEIDKNGAFKIKLFPINKVHNRIIQILNPYKPEDNYLQTFLDDSFF FRFLPSNIYKSVDLFIKEYEVTNDQSLFPFSYLEHSQFYTLDQSEIKERTEIQNNSVQSY VQIQIRKSPYKIKIFRKYLKIDELLSNLGGIQQIFIFFIGTILTIYNRFQLLVELANKLY EFTLVSFQKEKYYQDNLELVNQMIHYKQDKVPQQDKPRNSDIDLEIQPMHQSTINQKSKN ELLKFSEQISPQSQKRNPLKQQTENMMKKNKFIYEQEAMAFKLNCSNGLEYFQLQIQNLI QRSQPILMTFQMLINFLTCQKVFRHKKHIQLMNKAIDQITEQIDLFNILTKLNDLEKLKE VIFSQQQLLMFNFAPKPLISLDNTKEVFNRTVVEERTRSNNTKQQGLSLISGMIPPKSWN PQIKYTTISKQMRRCMQRFILYSLLIYSKAYDDVLQEAENDQDQSICISWVLKYKLSLNY RNLQTFIKIRTTPEGEG >CAK77483 pep:novel supercontig:GCA_000165425.1:CT868274:180707:182975:1 gene:GSPATT00012968001 transcript:CAK77483 MRVRGPPKEQESMGKSLDNNSVHKKRQELWEKQMGSNQACQGYVSNTQGSAESGIKQFLS SMRKNGSFQQIDSQFRPNVTENENETPNKSQLKVTDTQLLTPLQKNAQKNQTTYDILSQI YELQNRKSRNQSLLEELMRKTKQANDNLTFQRILQRKKYYKRSQRCQSVAQKEYELEQLK GQLIQVKQVQVQPIQKEQTPKQQEKLVPLLIRKEKTKSPPSKDNDRKKVQGPICKAKKIV IDDTRFWDIGFTKFIQNPSYQEINQLINFCNGIQVTPALHQKYYKLFVGRGNNHMMIKGI FNLRPQWSIANSIDDDAEINFVWTQKFIDLQPSEIKPIPQTIISEEINSWIDSQQMSLIR QAWDKVEGKSKKKLNEYNIDSPSILNNLHNYKELIQLNHQTMNIRIHNHLKGGYQLGDKK WLFHNLSEYCSEQSIDVWNYIPLTYHIHGPTDREFINFQQTFQHLALDKDIKNIWIIKPG EDSNRGNGIKVTNQMSEITQHITQQGHTFILQKYIENPFLYQKRKFDIRGYCLITIMNGV KRVYWYKKGYLRTSSSFFTLESLDNQKIHLTNDAIQNRLNGYGKFEKGNKVSYDQFQAYL IEQNKQNNTLYSFDELYADMKALTKLASQSAIDKITNDDQILGFELYGLDFMISNNFKPI LIEFNTNPCIETGCPVLTKIITGLMENLMRFIIDPLFPAKRMGNDDFTNKNDFELLLSC >CAK77484 pep:novel supercontig:GCA_000165425.1:CT868274:183110:186904:1 gene:GSPATT00012969001 transcript:CAK77484 MNFDKSLKNRPTLSFTGFSPSKSNINIEASRKNLQSSSFGSPHHAQSLEVQLKQEFEAQY NERILTMRSFYDMKYQTLFETVKEALDKIMNDELIDTMRQDITSQEFVYQRVREMFEDII LSEREVLIEKLSSQYAYLKMEFGKIEQDKRKLAIELQMIQDEQGDKEAQAQNQVQQAQEQ ISCKDLLFKLRINKVSQLEQKLSSANQTAKEVQQLQTTAKEYNILKEEYEQLVQTNEKLQ LINKQQVQEIEQLHKISENLQLKVQQLNKIYQELEGEVISYKKKSTDSESKTQFTITNLQ NQLEAITLQFNQQKSESEKRLEKEAQTYQNEIHKLSQKLQKKKEKSTFHKQNAKQLQIRL DQLIRDHAEQLQNETQQFQRKINELQSQNQQQLQQAQKSIDDLKESHDKNLYTLRNQFEQ INKQQCDDSDKQKINLLNELDRVQTELESVVLQQQQQIESNYIPKIQYEQQVNELQKKIS DTNQKLREQDALMKKQQDEFDNYKEQWNRQQNKLHLDVKDRNEIQEQLLSREETINNQNK QISQLTQQVKMLESDYQRLQERSKQQLEYIEELKNDVSNRQTEVLKIQKQLKQQKDELME QYRQLEGENKTKNDKVQLLNSQLERLLNESSENEKLLNYKSKELQQLEDELMQYQNFKRN AQQLSEENEQLRIQIDKLINIQELQEQKQEDVKRQLDTELKQKNQLDSHNESLMQRHQKY VKTIKEQRKYIKRRIASELQKMKQEHASLEQYLRQIIRMKNNEDNLLIASIVTKVKDLWN RKEIQHENEILKIRNEIFDQYSGSASHLRTAYEQTLDETQKQFEQQIENLRDAKEKMEDE NKELLHELQKVQVILEDQSALITNLKNENKTLKSNGMLIENELSSTLKNMNDMKDSFEDQ IQELTKQLKKYERKQQHQQDLKGQVDTLQNELEKLRTLNRSNTQETENRIAKLVKQQQSE VQLLVNQFQREQIKLRQESTDLKDQVSQNEEIIHKLYQSLDDVNQIVNQKDKQLQNLIED IEQERASDQQKINELQNKLYIQINIRLRKEEDEMKVARQKGQRDIEKVQIQIASAESENS QFKIENKQLRMQCEQLADELEKKTKQFDQLNREYEDQKIIQNQEIADLHRLLKIQVQNDD MSNYYSESKELAQRVKELQKINSQFSDTNYPRRQESSVQKFDSSKKLNSSNNLKPRLPNY TPMTKK >CAK77485 pep:novel supercontig:GCA_000165425.1:CT868274:187535:191674:1 gene:GSPATT00012970001 transcript:CAK77485 MQKAEQVEDNQLLTNKSTAKPSQQTESLATQKMVPYKELFRYATSSDKFLILVGIISSAG NGVTMPMFSVIFGDMTDAFSGDDPDKMLRAAGIAAIWFLVLAGCSWVLSFLSFSTFMISG EKQCIRMRKEYFGAILRQEVGWFDSINPNELNTKVADETFAVEGAIQEKAGTFIMTFSTF ICGFIIGYSYGWQLALVITAAMPCLAFSVVIMTVVVMKSVKSTQECYSTAAAESEQALNA IKTVKMLDGEDFECEKYSRQLVVAARTNVKYSLFSGMALGSIFAFMIWTYALGFYYGAKL LSDQVTNTNTGLIYTVGDVMTVFFAILMGSFSIGQAGPCYQAFAKGKVAGAQIFFIIDRI PKIQNPINPKQLKNFTGEIVIEDVDFFYPARPDTQILNKCSLKIPKGKKVALVGESGCGK STILQLIERFYDVNEGRVLVGDDKIDVRDLDLRDYRTQIGLVGQEPMLFATSIRDNLLYG KTDATEEEINRCIEKSECLGFCQQNGQRTGYLCRNGWKSIKWWSKTKNCNSKSNSQKTQT TSALDRTNEKLIQETLDEVSQGITTIVIAHRLSTIQNADIIYVFAGGKVVETGTHQELMN LHGKYEQLAKNQITSHQKEEQQAHNLNQNQHNHQTKDQNDQQDVDVGSVLSVNQFGSDQP HVVEKVIKEIKDIKQLNIQLKNKVQNNFEEMKTQSSQNDSNDAQIMGRLFSYGKEERCVL ILGLVAALINGCIFPCFSLFFSDMITLLAENVKMEKVKNDSADIALWFFLFGLGFLVFQT LESFFLSIVAENLTMKLRNFTFRKLLRMPIPFFDKPENNAGTLTARLSVDCKTVQSLTST IIGFKLQNASALICGMAIAFSSSWALTLIVLATAPFRWIGMKLRTKYMGALAGSNKGESF KDAGNLIMEAVTNIRTVFSFGNENIILDDYTKRIQEPLKECTSKGLSAGSAFGFSQMQPM LINALVFYCGALLVKYENLDVNDMFRAIFGITFATMGGARDSHFVGDVEKGRTAAKNIFE ILDSVDEFQIEEQKQFKKLKTQIKGHIECKNLTFKIPNQRKECLYQFKFNNSFWLKGCLC WIKRVFYDPDQGEILVDGLDIRDYDIRHLRKQLAIVSQEPVLFNGTIKENIQYNSQNITM EQIEQAAKKANAYDFIKQQDFGKGFHKRVGPKGSQISGGQKQRIAIARAVLRNASILLLD EATSALDAKSEEIVQESLNNIMKENTTLSIAHRISTIKDSDIIYVFDNGMIVEQGTYNYL VGLKQFFYRMEQGIAINSTKNS >CAK77486 pep:novel supercontig:GCA_000165425.1:CT868274:191915:192472:1 gene:GSPATT00012971001 transcript:CAK77486 MGCAAGYSLQQDEDQSLLLQHDQVLLLESPVKNLYQPKTIEFTSNCQSLQWCDDDDDLEI LFQECSRVEEDLVNANLRILKKDQKLLQQNQYQHSQSNTFSQTSICLNRKRNQFSNNCKF PDKNDQLPKSILKRKRLKESKIYSGKIRSKITQEKVVRFSKCYIRVIPNILTSKGTINVQ QLVDQ >CAK77487 pep:novel supercontig:GCA_000165425.1:CT868274:192689:193946:1 gene:GSPATT00012972001 transcript:CAK77487 MYTDPVAELLRPKQSNLIKSSDSVGSYRPKSIQSNRSNKNIISQQQQRPPSQQSQIGSNG GKRIVHIGRNGKKIEQIQSIAPAKNTYDLCYEFDPFVLDQISPEDPIWRQILPDNMPLDE QTLEKLLEDNPDYFYHHLGFCACYRCKCGRCRCDVSNQVKLKINGSFLTIYDKDFIPHKS SYNNLTPLNSKTYTTKFLDQKTIDFTTSHQQDYKEMPLQSTESFKPPYRPQLGSMSNLTS YRSNYSNWGNNYQRFSRYPHISTSTDIKFIGKTQYQDSFVPPSSWKVENQNYFKSELSPM PTGPFVGMTTSQQNFQPFKVARSPQKPTHHKYETTPSFDGQFTSTGMKDFTQQQDDYCPA KQFQKIFRKKLAQKVEKKKENFIERRINNAMKV >CAK77488 pep:novel supercontig:GCA_000165425.1:CT868274:194498:196455:-1 gene:GSPATT00012973001 transcript:CAK77488 MNNLQSRNFVGKKIDDYILVDVLGTGAFGQVFSAQNIITKESVAIKSVPKSKLKEHNGLV GQLLKTEVQVLAQCSNINVVKMNKYLESENNCYIVMEYCNQGDLEQLWKKKNRIIPEVEA IQYIKQILNGMRGLHEMNVIHRDLKLPNILISNNVLKIGDLGFAKRMESLDGVVKEALGT LGTMAPEIIEFKPYGILADMFSIGAIYYQMLFGVLPFSIKSYNDFLKDVKTNQPNFTRNN IKISKESQELLFRMLNPEPKLRLQWNQLYESPLFSNQVVNPALCQLTVEQVVAKQVDLKM NKQVYQQNKQKFENITNQDMINKLQFDVPKLEHKQVMDNSNDEKLLQEALQQKQLQQQKT NDLNNVFEKYLNERNTLVFLGTVLNEIHQRKHTQNTNVFLPAFIISKKLIIEMNRFLEVL KTQRNIYQCSYFFELYTFPQFIAFIKLCEEDFQIQMTHFQVIQSQLQTILFQMQNQANEK YISELQPAPTGQFEENYRDILINYYMQLQDEKGESEDQDNAINNLSIYVLDTILFDRDVK KQLLNQKVDDYLEKFNFLTSKEKEEMIAQKFQQIYSF >CAK77489 pep:novel supercontig:GCA_000165425.1:CT868274:196553:199998:-1 gene:GSPATT00012974001 transcript:CAK77489 MNQIQQDTEEPISKLNQLMLTDEPIGEIQDFLNYSLSDGNFSIQGSRVNTNNNIPSQSSK HKAHESKRVTFDSSLSSPTNKFLMNKKSLKTSELQQFPQQQIFSVIQFCFIKRFINRISW KKKINSQFNLYQYNIIQDLGSSFNLKLFRDSALNLKPMVSQFSQSPEGLQQFVKKKELSN KIKTQITYLKTCKDNLIKKLEQEIEKIPLITPESRLKIVWDCIVMMSRLYFLFVIPIDLA WDKYQIIYGELYIPTILMILLLVFDFILSFNSSFYQFGQIVSNRATIAKNVISKSYGLEA ISILILIIYAMISKSSQYEFNLLTDWNIPKLISQVEETLNLSKPSSSLLELFKLLLVLFF VLHCYSCLWYFVGYYSYLYSEKGSWLEFYHVEHETWQVQYLYSFYFSTVTMFTIGYGDVV PISYLERVVAILYMMVCSIQLSYSVSTVGTIIDTISAYGQEKMRKMRKINSYMQNRKIEY QLQYQIREYLNYYWESQNQVENDELNDIMNQLSENLKEKLMNQSNSLIFQECPLFKNNFS DALKSKLVNKIKQAVIQPENIINFDSIFPQQTPGQLFVCFVEYGEIQIFIQNDSVDQVLD NPQIAEVFKVGKGSSLGIISFISGKQSQERFRSVGFSKLLMLSRDDFLKVIQDFPEDYER FRNLYDSLQFEDLSALQMKCFSCNSKAHRVLQCPLLHYIPDKELIIKRFCYSQSQNRNSK YGRNPFRQRGYFAARFDQEVIEQEANSFNNNNWKWAEFYEEPEEDSLKKSDNHQQQIGPV QEQSNQNLQTQLLQQSQNIINTIQPVSDQSSNSKINLELPTIKKKATLFKQRTKTIELLD IDDKQLKATLMSKARNQNIFNNNLMIIKEEDNQENSPREKPMLGGQSRGSFSLDLFKKKP KENFQKMKKVVQMITNMNRMKKRQKLKKETKSIINQFLEVMQSQQLLKGLLAKVRIRIKQ IQDGKKQILQEKDIQDTLLLEIKLKMEIEQINEYQQKDQDQIMESYKKYKYYQIQNNLDQ ILEKMYFYKQQNTFDNQLKSYQSKLLQYMIYPEIFFEKYRYQQVIIPKFEVEESRRGSEQ DSERTLKRSRMIKKSFRNLKLSQIRPMSDGTGKFQM >CAK77490 pep:novel supercontig:GCA_000165425.1:CT868274:200642:201796:-1 gene:GSPATT00012975001 transcript:CAK77490 MQVSIEIATWTHNNHGLFDYESKELKTSKINVKNTTNLILNEDNSDTIVQSDKFYGDCIG SISFEGNAIYFQSNSDFQDAYVKLDPKQKQQLLVGDLFKFGRMEYFVSELNNGDKVMMAD DHYNLERHITIQKKKDPRQCRFCLMDDQEQTEDPKNPFLQDLCSCKGLMAYVHFECLKSW VNFQNRISCKQTQNTVQYHWNKVLECDVCKDPLPARVYIENQPEPLQMIQVEKLDGPYII LEQITRQESLSKSLTFMHAFGTCSVSIGRGHNSEIRCQDISVSRNHANISYEKFWYIQDQ GSKFGTLRIIQNKLQLLKEVQEIQIGRVLLKIKII >CAK77491 pep:novel supercontig:GCA_000165425.1:CT868274:201834:202587:1 gene:GSPATT00012976001 transcript:CAK77491 MNEEWEYRNNLQYTKNEIFQVLLLKNNVWLSIREIEDILKQRPDYGSIHIKIRDAIEDSM NNDKNKDEYQIVSNKLSIMNTNNTTGKYRLKTENINDKKQLEEYFQDKKCILYVNHIWFE SCYPQMRQDIIALESEAKLLVSKGRDNKKTYIYINSNFANFQEKVQFKKDKRMMQMIDEA KSNNQETVASNQHQRQNQIKAQETFRRNTAIQNYWIAELQQELQRK >CAK77492 pep:novel supercontig:GCA_000165425.1:CT868274:202613:204781:-1 gene:GSPATT00012977001 transcript:CAK77492 MLTDSPSYKHSNKENSPKHCPIIRKSNISHKLINEVLNSGSDYLQDQLEQIDHKIIQYQC NIKQKITTLLGESTCSIDQQLFQNKLQDLRMEEQNYQQMQSDIDKQQSTLYDYYETEFNK LKSQYELVTQEHQQFIKDRGEVHQTYNSILETKAMLKEDLNAKLLQRAELVGQKEELEEF VEQIKNDHPQLTEIVESIYQCDQKTQDIAKNINNITNQIQLLYFQQDEKKKQLSSYNQTT LLEVQVHQQTQKVKYLKNKIVPIQKSLNLQHPDSILNEFIVYYGSQPIHLESIEFQTKLT SFIQQFRNTLFKQGNQGNNWGSMKEFVFQLEQFIFASLSQRQSQNQLSDLKHSLKQYGDE QLLSNEVCTIDYQVEVKKQQIKEFEEEKSQALYRREILYDQFQERVSQQSEEAFQQYQDQ NEQELTHILNEFGNVEYRSILDQTFKQMQQLMQDQEEEQFNNTYKVLQQYYLFDQAIKIN IQMVDQEILPQLKNIGQSINNAKKELDKLSGSERPYIDRQTKIELDIQYLEQEFKRKIDY FNSQEAELQRCLSATKLAIENTQEQLLSKDKPNKALLEQEILQLNNMLIQLQEQKKQIEA SQFQFSKSPQKNDVSRRSSNGGILAISKSLNQFSKLRKEPTVNSFNNSVVKGITPSKESS NLYHIAKYPKPNCKTSQELRLKSNVSLKSIK >CAK77493 pep:novel supercontig:GCA_000165425.1:CT868274:205035:206578:-1 gene:GSPATT00012978001 transcript:CAK77493 MQRYKSEESDAKLKSVQLPSIKQGHTVLGASELEQIRSHISLTEQKSKKSIDNNQRVWAQ RIEKQRSQKEKEKFEKFQIEEEEKRKMDKVETEYQEKLKQDQVVDANNKIFSQRGDVRNM KSKMLMSENEKINDALFRFNKERQEMQMTLEAERQKDLEEKRLMEEQAELETTQQKDQLK RENYAVLAKQHNEMKEKYFKEYTKLQQEGQLIKRQAEQEEQEKEKKKQEEMLKKQKLLAE RQKFMEVKQSIQEEEYKMLDKEAKDRDHFDSKKERVLEMRKQREAQKLERQMQLKQKIYD MRVVQLKEQEDNYMQIVQKHAEELQRHEEEVVRQKELEKIQMIKEGAAFRQRQLKLRETA KEKDEVDVQKEQVTKINALQQLTEIEEQQKEILRQRNKELLDYQKIQIEQKKQARRDQYM NELKQSKQMEQRAQQDRDTFISWAKQQVEETREQGLNLYPLMKAFKL >CAK77494 pep:novel supercontig:GCA_000165425.1:CT868274:207133:207536:1 gene:GSPATT00012979001 transcript:CAK77494 MSKKITLFVLLACVVCIATLMFSFSAQDGELKMKKSHSFSASKNHEWMDGYWSSDTDCQA KCKSAGGMSCGNYQQNCCVGAKCREEENFFSTSLICDQRIPVQGCTTPK >CAK77495 pep:novel supercontig:GCA_000165425.1:CT868274:208330:208683:-1 gene:GSPATT00012980001 transcript:CAK77495 MNSEANNLRKAAKKTNDEIIQEIIDSGNKNEFDFIRQVWEPNETSTSASIESSSSAGYVK KIKIGSYINKFLDSQLQPQVYYKLKELY >CAK77496 pep:novel supercontig:GCA_000165425.1:CT868274:209453:211518:1 gene:GSPATT00012981001 transcript:CAK77496 MILKQFKKVFYFSFMLQQLNKEVLLKRREALEQVIKRRFIYQPAFSLYGGVAGLYDYGPV GCAIKTNIEQYWREHFIIEEDLFEIAATILTPEPVLKASGHVDRFTDLLVCDSKTGTGYR ADKIVTETLENRIAKEGDKLAADVKARYLAVIKDVDTFKEDKMKEVIAELQIKAPETGND LTDPTPFNLMLPTIIGPSTKLPAFLRPETAQGMFLNFARLLEQNGGRVPFGAAQIGLGFR NEIAPRGGLLRCREFQMAEIEYFVDPTEKSTFKKFNKYINLEIPLLSRQLQAEAKQHQPF KMGDAVKEGIINNETLAYFICRTYLYLVEIGINPVNIRFRQHQADEMAHYSSDCWDAEIE MSSGWVECVGLADRSAYDLNAHSEATGQKLQAARKFKVPQPRQVLQVTLDKQKIGKELKK DGMALIKYVEALPDDEKQELSDYFQNHEDKVFNIDGKDLTLNRQLVKFEQKTMNVMEEKF IPHVIEPAFGIGRILQAIIEHSFNQRDDPQKTFFKFSPRVAPVKCSILSVVQSEEFDNVI FELTSSLKKLGISCKTDNAGVALGKKYARTDEIGIPFAITVDKETLTAQSVTLREIETTK QVRVPIAEVPRLILELSAGLILWTDVLTKYPLFAAKEEEA >CAK77497 pep:novel supercontig:GCA_000165425.1:CT868274:211790:212739:1 gene:GSPATT00012982001 transcript:CAK77497 MNNKKDQNLTAQGTQLKGTTKQPAHQVQQQKPAQGHAPQNQAANKQQQQKQTTQHPANTK VQPKQQQAQAAQPKQQQAQAPQPQQQQQQQQQQVHQIAPKKLEPKRAIKLQAMLGAMDQD KEEGKISLLLDKTGNAYTFFKYKGTIIDISQFVISEQNQGKNHEDNVEKARKAVVYGLEV GDNLVFSTDLQTTNLNEFFKSDWYKPEAIFNYQEITNPKYFRAHLLKPEEDKDGFGNKGQ FWPKKELHIVILYTQKEGELDFDQFLTNLGLDKLKNQFAITIIED >CAK77498 pep:novel supercontig:GCA_000165425.1:CT868274:212889:216177:1 gene:GSPATT00012983001 transcript:CAK77498 MLVFKGNDVNQGQIYQFQQIRIDFYMNLFSHDEINLLEAAQDGKLEFIKNQLTSLTSRQL QDACKKTDYYGRNALHYVIEPLNQAAYRGHYNVVEYFLDLQCININSSDNKGNTALMLAC VRGYNSDINTLKDGQKFAICSLLIERGASVEQYKKKGINNPLHWACFFGDLKTTKLLMYI EPSLSNPINQFIVLFTNDRDQFPIDLSLMTGKELDDRQEDERVLEYMIMKFLAQYLDNEE HKKKLDAPDEEYESFRKLNKHSLNLKSTKQLAQVGLRYLFWASTQGRLDLVKPLLKCKYS PFEQSYKGRNALHAAIYHNRLELVVFYLESEESRMFRKENVINLVTKDKPQTALHIAVER GHIEIVKILIKKGADPNYYNFRNHRAFDQSRLNEIKQLKRELFHNDEKNYLRSGYNHILV GWEKSKNQLLEQQFNNISIKKNIEKGQFKYIFYESIDKQFTYYCLKVDERLKNVVADENK MMIYNSREGYLSPFNLSIQEQFENFHHIHNQQILLTLLYDEFDIEQFISDGLLLEQFPLH DEEEKELIIKFWKNERINILFEPFQLKQSTTRTFSALSTYFGPEIGFFFVFLCFFSTWLF LPAFPGLVLGIYAFIDKETILAISPIYTICMAVWATIFFEFWKRKQSETMYNFDMHVTKE QRRNIPQYKGSFIIEDVTHTIEIMDTRNVQWKYFKSNTPLVILAIILIGGQQTGYFYLKQ VYEDDDFYQTLWAILLAFTVLITNEIFNYFAKHTLTYENHQFQDERENVYILKVFAFTFL NSFGRLFYRSIIKPDQDELHLFSISFTITWSLVHLIRYTILPWISFTFIKFKFNRDFNRY KQQNNKSINTLQKIGDTETSGINKSFDKGVSSLYFLQQIELNKRMIDPPDHVEQFTYFMT QFCMVTMFSAGSQIIPIITLFFNLLNIEGLLYGYRRFVKRPLAEPKKNIGVWNDILQLIG YIGIVSNCLTIYQANQSQLNYLVGASESSNQDQTNLGLRNFLLLIVAEHIVIGIKFVIEG VIPDEPEWVELVLKKEEYLSEKNKSNSKRNESSIKPLENKIKND >CAK77499 pep:novel supercontig:GCA_000165425.1:CT868274:216664:217372:-1 gene:GSPATT00012984001 transcript:CAK77499 MMKIKKQKNQRSKYKCLNSSERALIIQYIEEYKYSTSHVSLITGHNISTIKAIYQVYKKE GRIQKKEKRDKILNSIAQVQLFVVDDMNEKLINLGYQTEEFKVSNDDDSSAKEFKEQMLK ELIQKKQSQILSLLSNQQAVLRFTNDINTILQQKTITNEFSFITPNSTLNCLEKQQIQFA RTQNQEKCSNINKIPLMLKYSLNSQILKILGEQHKQMKT >CAK77500 pep:novel supercontig:GCA_000165425.1:CT868274:218220:219713:-1 gene:GSPATT00012985001 transcript:CAK77500 MGNRSSNNQHSKIGQEAKCPNCDRKFGPSTTYFVLNSHIDHCLLLQQPIHNVEFQMPQTQ DQLGDNYIWVKQNNQWKRIQSQVNGGQIYVRTFYQFYQNLSAQEIKNRSFPEKQMWFNLQ LEKFRIPWQLGSDKLNVNLNDLLQTSLVSARSVNFYKEVKVVFLNDKVQDAGGLLREWLT LIFKEMCKDIFTLTETNDVTYKIAKQSQYFDLAGLAIAKALFERMTICVEFDRPLVKKLL GQEISFQDMQCYDKSLFLSWKYLLENQFDENQLQQYFIICKDDEIIELKQNGADILVTNQ NKQEFVDLSIQFYSEKLISKQLAQIQNSLYKYVPQDYLNIFTAEEFEMILYGVPVVDLAE WKQHTIYKTPYAENSQQIQWFWKVLSEFDQDQLKKFLHYCTGSYRIPVNGFSKLESNRGM YSKFQIVPIDYKNGNSFPIAHTCFNRLELPKYTSQELMRKFLRSIVLNDLEGVFGME >CAK77501 pep:novel supercontig:GCA_000165425.1:CT868274:219765:220655:-1 gene:GSPATT00012986001 transcript:CAK77501 MFRTLDDLKKNEKDEKQKKTSNSYAGGEKSGLSIENPPDFDELINKAKQGGSRPDGEEDP KEWCKITLWNNGFQINDGEFKDINDPENKKFIAELKQNQVPTQLRQQYAKKGLSVKLEDR TQEKYVPPPPPKYVEFGGAGVSLGQQQFVQQQQQVKVDLSKQGQIPINPNQPTTNIQVRL STGNTITLTVNTTTRVTAIQQHLLKMMNLPPQKQIQLISGFPPRPITNLNQTVEEADLCD SQITQTVV >CAK77502 pep:novel supercontig:GCA_000165425.1:CT868274:220694:222023:-1 gene:GSPATT00012987001 transcript:CAK77502 MDQSSSILNVKREKFRTAIRKEFIEQQLKKKRIIIPEQEDLDLNDKLRIIKKQMIAIQEN IENDFPKAMIKYYDYLVEQDLMNDLLLQFELNCQQNYRITIYWILCNLTLGDKVGSRFLF DTNFDFLKILYTDIRNPIYNEFVIEIIQNLLADYDIDVFEYLMKDDELLLVLNKNANIIS EETYKKLLVHICVKIVQFKSILSNYLVETLCQLMPQHIAFQCAYILTSRMEFSNHHLVLH AIRYLLKNDDNITSIILRILQEITDSYLLYQIFQQKEINSFICNLFLTSKNPHCIKALLT LFYNVVELEEIQYYVCQKEVLNLIIEISLDSEYLEKSLNVLECLMKDDEFLHDYPQLIDE NYYCSQLLNLNADDPNNQIAILKYVKRILEFGDTMRDDVYYNILYKYKNKVEFLFMSQNE DLSSIAKQLLTCFD >CAK77503 pep:novel supercontig:GCA_000165425.1:CT868274:222039:223119:-1 gene:GSPATT00012988001 transcript:CAK77503 MLRFIYQGFSTLAKQNPLKNGFRFENQNLTWTFGEFDTHSSAFAYGLIEQGWKQGDKLLL LLGRSNTSEAATTFAGAAKAGVITVPFRSDDRNEIEKTISIVNPKGIVFSPNQLVGDTKF IEILKNLVPETTQTHSGESLKSSKFTNLKWLIHTGFYSYPGTYKFRESLVYASKNFNRLS LPTVTGPVTAQLRNGQLQTYTYQDLAKLSDKVQGSKHVIVSGDPQTVTNFSIVVGGLERG YNTVLTGGEKVNKVQQILKYYDNYSLVVDDSVLSEQQQSVDVSNLQNLFTVGDVNKAQNV FQKQAIQI >CAK77504 pep:novel supercontig:GCA_000165425.1:CT868274:223154:224277:1 gene:GSPATT00012989001 transcript:CAK77504 MFLLNWFGGNSNQSGKNKKKKKKQNVVQNLNKTLINPMKEIKLFGHSKMGYGPKKTECQD SYCTMERFTEDCYFFAVYDGHGSSGKEASQAANDYIQTFLEKNPKRIKALQNDKQRESFL KSAFKNAEAKLRSSGIDYSNSGTCAISIFVVKNMCYIANLGDSRAVLFRQTAKEKLAIEL SYDHKPTRPDEKERIIRCGGKIERLIHDGQPVGPYRIWADDEGPGIAMTRTLGDLQAKKI GLISEPEVQHIELTKQDKFMVIGSDGVWDVMSSAEVCGFVLKHEPKESVAEAIVTECRSR WDEMNKQKKTNSKIGDLPYLKFGCDDITAVIAYFTFIDELEDNYFQQQRY >CAK77505 pep:novel supercontig:GCA_000165425.1:CT868274:224335:224756:-1 gene:GSPATT00012990001 transcript:CAK77505 MKNAFVNLAIPFVKLTEPGLVPKKKINEKVTVTLWDIWAHEITKSTTFRQLFEILNQQYD LHPRDVFLKAQPVYMEIMYAKKPDEKKHFLDQPIIKVLEIQKYVDLTINFTLNQESQEII KGIPQVRLALK >CAK77506 pep:novel supercontig:GCA_000165425.1:CT868274:224794:232834:-1 gene:GSPATT00012991001 transcript:CAK77506 MITPQLQNELQIYHRVIQSYLGCKQKLLMNELLQFTLNLAQQQVQQNQTCSQIIEALLPH LSQNDIKHIFIVFSQEVQQIYLNNVNILLKYIGSYLPYLGGMDGIINADSRLIEQLELCQ SMIYLLLQSEQTLAQLSESPDLNRLFEWYCLNSYSGNKLHSYAGKLLKQVSDSLDQLFEL LPCKQYMLQQIFQQQYYIDDVIVNQYENSQITSYTAFTYTSQILEVIQQQFDKYLISDDV AQQFQSDIYHYLINKIIKEKQITQVQFLEEEFGNKMKDKIYPIRIGHCTRKERRVDQLQS LSHEGMRSEYQMVFQLDNEIEIKNIRLGIQTFTADFADKYLGTPSSVLLEVGKSLEELYP IAEMQLINDEYYSQYQVKVFCYNSQLMNKQQLPPGQSVKFISFRMRQQYVEQLDVSKAIK KLCLGISFISVLGYASSRQKRRRLSIFYPSSVNPITKKTLQQLANDSIVLEQLQNNINKF MNALNSYSFQLSPLILAIAKYNHEVGDWLVVKLMEYPEQYLEGEHVHERFLKLHNHLFQK LLALQINNHQQQDLLWYEKLAQHFIESYCNVLLLQKSKLKQRELSLNISKNDIIHLIELF QLLHNKHARHLLIKLIVTITYLPHPYEQILKKTQPYFFEVLGPLALGNRVSIDWIINQLD SIFGKFELNYVIQFFYTLSTNKKIADHLLKYLLPLYNSLIEEPSCKTILKQFDDKTIELA AKFISYMVQKYHAKFIIEALIRDIGRLEGNKDMKFVRSLLVPILNSEDYVFLKIQFEDQG KFILDPKFIAQKQIDNTQKQDELVFESLLLTIKQRDQLFAHISETYWNKVAFQKNDGDSD MLPHLEDKFFNSSPQMMVINYRINNVDKTIILYHNVKCTKSDKLDLTYSWNQGKMKVFYF NENNLIVNEEIDINAQIEIYDEQGTLTFQNNDKENHPESIWHHLIQKTREVQTIQRLNIM FQGVRPQKQKKTLPQINIPQETTSLLFPNYETNQSLLYYSVVPVYQLPSQLKLCELKQLI VFNKYGLKQECNIFENQQKLNELPTNQQNIIELVINAREFIDNIHPKTSSFTYPQQLTIF PLFEELNGVNAMLSVIREGIGQWKNQQRANSWLQFLNELQSFCQLPNFFNLFMKNQQCVN LLFDLIAGPPDSSNNKKLEEEEQNAVKFIYTTLVNVFSASSGPEVRIKALEQNLIKQILD RIALVSRETKREFRNKVEEQVVQESPQKNNTLQKSTKQKRGVGYASDNTGQNQKWNTIEY VEKKTQKSQQLIGLLGIVESFFDFQHWHPSEELLHKLKNTLFESALLPLLESAFRSGSLL EISKEFELYCKYLKIVQSMSQHKVLASVFLKIPEQYYPPQTQSLFELLSALADTSRIFMN CVQNNRKKNEEEEHSFEIAKMIIDTHKRMENCINKMNDSGSSSNEEDETEQLKKQKELAN ELIAEILSKNLPEAYRTLLSDLRFSYIDMKVGGRYKHHYSGNISTQINQDKIVRLAQEFA DMSTSLPIEHTNAIFVRADKERVDVMKALVMGAKGTPYAHGAFLFDIYADDSYPNAPPKM NLSTTGNGKVRFNPNLYSCGKVCLSLLGTWRGNASENWDPKISTLLQVLVSTQAIIMSEE VYFNEPGFEQEANTDEGEKKNEGYSNIVRYCNIKYAMIDQIRDPPKGFETIIRRHFYLKK QEILEECNKWVELADTKEAVYTGLLNDHNSSWCSEFKKSKKAYHKKLSEAVKELEEELNK IQPPSAADLEGSRVLRTSQGMANLDEVDVTYTKIQQKEFEANDENVLDRWSRYIGAMGMD AVKKQANSCVLVSGIGALGIEVAKNIVLSGVKMLTIHDQQKCTQYDLNGQFFIEEKDIGK NRAEVSWEKLQQLNSYVRVNYETSELLNIDLTKYSIVVICATYPNDVLFKLSTLCRQNKV KLIISSVDGVFGRVFNDFGQSFIVEDKNGEQTVDYIVKSVTDKGENKLHFEITGKHEFQD NDIEWQTQMEFQLTKPFKRLNLGDTIRMDIQKYIRNGTIKLVKVPVELSFQPYNQEFIDK PIYDPNMSEYDFIKLQNTEHLHNLYNNKQTKDQDFESIFKHYSVQGEFSPLSAYLGGFVS QEAIKGITNKFTPVQQLFYVDCTEVLQKEISKDVKISERSLSRFLGTEIAEKLEKSKIFM VGCGAIGCELLKNFAMLNLGVKGSITITDPDHIEVSNLNRQFLFREKHLRKPKSQTAAAA VIQMNPYLRDHIIARLDKVHDSTEHIYTDQFFEDQDIIANALDNVAARRYVDKRCVNARK PLLESGTLGPKGHVQCIIPFQTESYGSSNDPVEEGEIPYCTLKMFPEETFHCVEFAKDKF GKHFSARPKQLIKMMADDYLPSLEDNKPLREGIKLLKNKPNSLEDCLKWARGKFQKYFVN DIKQLMYTYPEDAKTKDGNLFWTMPKRPPKAIQFDPENEIHQQFVSTFAFLRAKMFGLET DKDWRTKAYRQQVAKQANLITFPEWQPSEEKKKSISDKVKEQGQKEEPEENETTQAQSTQ EETQLLFKQFKSLLPITLASDEFEKDNDQNGHIDFIHSFRQSKGCKLQIRIYGLADCENQ SWTYCTCFGYYYCCCCWPIDN >CAK77507 pep:novel supercontig:GCA_000165425.1:CT868274:233023:237556:-1 gene:GSPATT00012992001 transcript:CAK77507 MLPINEEIVQEEKKDEFQYKYSIEQCRIAERILVQLIEQFEEVRSIESAKMRLLTFADHP ATENPFNVVQGVKYAYCDDEINNNGTKHSKLILRSEIQAQGERILSKSDRYFSLRYQDSI SIYNGEAELFKVIDVDLRQLGPYQKNKKKIDVQSEETPSHIYKILENYITGETEQLPLYD QAMLEKLVAMDFEESACKEALIQTNNNFDKALDIVSTSGEWQCSICTLVNKETNQNCEAC NAQKPDEQIEQFVIKQQLQIQQDLVEVDENEEISQDLKDKITESAIDYVTVIHWNSNNTM FPVLVASIHNKNVLSIKFISYSQKYLDQFFINDDGYYCVLTNCWSKNKDYSLLLQSETSR QIVETLAPLYSKHCKRLEMIKADHKAIQAEFDIKTIESAIWNNRLYVFLIGDQAISIYEI TQNSKIEFQKQIFIGVNYDLVISKTKCLIYSQSKNFIIDSQLNVSEIQEPISNAYIKDNI IWYESNTQHKQIEDNSEILKNNIIQSEEVQIFQTVTDDIHIQGIHIGDNFTHIHIKAVFE GPIEEPKQILLMNSPLTVSDPQKLPLTVHSFKGASFNDQTFVTQMLFDSNKPFSSNYPKT QFIFRSQFDEAMLVDHVIIKSEVTNVFRGFPIGMGLIFISKEEHELNDTSEFDNFDKQQY EKWKSTHQSLHANEPVGYFEFEAESKEAFCQLEVIRPCKYIMLKPTNFRKTPHDHTAHFQ TNSIEIKGFAAFGKEIPKTQSEHSTVGAIQECQLLNQLRKRIDLPEKLAVQCKYQGMNGY PSNGIIEFDTVYSGDFTIKPHDDSQYKLLKVTVTGKKYNNLQINQLDSLVEQVYQKKPGA LTVLNLFMKKESKKVLEVINLSKFILGNVLSQDVNEQVTEFFRQLQQHDEFHDILLQVAQ QIFNKIEKVVLTESGLEYFLGLITYTAKFKPQEIIDIAMKSLLFALQKLKSMQHKDMFLF STKYGGPQGQVQIQEIDEISVEQTGNQKSLICQKDPLSQILIMQLSNKQQIRRFEVNLLG EFEIEKLSLRFQQTSNSVKFRVQVWVDNLVLDQVYDEWTFVQFTDYVHKCANYHNYNCFH IGLNGVRARKLLVQLTLGSDNYYSKQAAQLQIPQNYLTVIPEFYGSLLNAELCPLDITED FRYKTTLSIGETLYYVYQSDKSILMDSYNVIKKTDLTDHYIVMSTKSLRRNADYLEQAIY KLQSEMQLKEKCKLQTSHLQSLIEQTQLEFLKVAPPRNYENNSNFLTVFSSLLMRMLIAI SGRVPDALEFIHLIISLGDLKGITDIALQFIQKKVKMQIPEEQWNQLIIEQFTSENSLKK KLLHQLPIPILESLQKLIELNDDILYDALVTQLQRLPQIKQTEDGNPENERMLGFILEFL INDSKFRLNLLQNALQKCSTLQIKNSLNENVLTELFSKGMQYPEKFKTLLDLLFQPKQLE SRYTDWAATLLLSDQINNILQIEKDSLIYISKIPQYTSVKSTQKTTQKNQRSIICSYYST F >CAK77508 pep:novel supercontig:GCA_000165425.1:CT868274:237583:238659:-1 gene:GSPATT00012993001 transcript:CAK77508 MVSSQYSNRSILLQYTQGYNLINRIPLIQNEQLNLKSFFGMVQKKGESWIGMLSQDIKND IDKQVYFILEHQSKYLFRKYQGNYLIYVLFTFNTTYSGIALRHNNLAAQSSKGLQWSSNS EYMLQRGRSLLGNRMPFQYRRSESKAQSQLQIGISKQQSPSENFEGHLFEFKTTTQRVVG VLLNFNEQSLQFYLNGNLSTNKGIPKGTLISGPWYPCVRLSQFGNSVHLTMKYEDRSVVE QLEQVQLQSQQMQHSNASFTMNKYRQYDIDRNEIHQLQDRLVSVRNCPLVGQQIPKAELE VLIKMKLVMCNYQEDNEIVYLILDEEASKKLKRIRQYLKHHVRKLKEKFESKPQEKGE >CAK77509 pep:novel supercontig:GCA_000165425.1:CT868274:238689:239287:1 gene:GSPATT00012994001 transcript:CAK77509 MSSDSGSHKKEEANRIYVTGYSAKESEMEIKNAFARHGEIQEFSWKGRFCFIAYAKPEDA SDAVRLMNMQDFNGRNLIVELARAKKKDGACYQCGKQGHFARNCRQNRRSYSDSRYKKL >CAK77510 pep:novel supercontig:GCA_000165425.1:CT868274:239294:241719:-1 gene:GSPATT00012995001 transcript:CAK77510 MFQTTSSINSQQSPNRFKFKSKVSFFNDNMMPAMTTKITLQYKEFLRNMISSIQEKTSFV NFLEFDQLKSQQIGVSIQSCYNPKFKSTGVDETKEAFQDLLDEIKQTINRKYPFIKVFRQ QISHPDHYLQIQLYQPEVHSVVLYSRQYSKEQISPKLIADLVWLHLRKFNLTVNVDCPIK NVVPVSEEGQQRNILEGLQVTVRQREAPTLQSAQTPTKQIVIRTSFCQQGSAQKSASKLD IYKSTENIRDMEKSVRVYFSPTKSQAWMTQTNISQIDLSGQKQRAQSPEKKFTVKLFSQT VPLSCFDEAKFIDLVQQVNAYEIEITGNCIQTMKTQLNVDELLDDNTDTVYVSTRVERND VIKCIVKAPEDATVNVTKNITETLTFDSNEQAFVFYGRDKQEYVIDVSKKDCFSQKRTVF LQGNTDVKIEFDLVSCVETTLQFRAYNILLRGGQHKDIENCRLEIFQSDQTDQEPLIGMT NDQGQFSCPGLMFKQVRVHAQRKGFLQVSYDFDVLANSTGQLLNIPMIPDYYSLINQYHI LIYVPNKNNFQLDFALVCPDGTKIDSKNRQHNVMKSKLEINRINQQTMLWNFSVHVQSLD PFLPDNCFQFFINNQKPKRTLLCQEPLSPQCKENGVSSAAKASCFKTVNLNEMFLKTRVM QTEIKTFVRQESDVIAQKINNYCAEESVRIFVTFGHKVLETFMIQSNLLMHNEKCFGVID LDKRKFIRDNEVSQQNIKRNLSKMPTFKKDLKGGNEDRTMTTILQHITNQRFQE >CAK77511 pep:novel supercontig:GCA_000165425.1:CT868274:241973:244083:-1 gene:GSPATT00012996001 transcript:CAK77511 MRNLLKKADLLVTPYEFNINQRQKSLTVIGTQILLFKVECQQYQLEYLVYFTQLESFIKQ FQIKKLSMLVRKIQFQIQHIQIATTQYLHLRFLIKMEIFLKTYQKFNSVQYVEQKRDAQK IGFEKNSTNLLLKKCQTTTVNQFNFDVISISNQQKQNLYCADGDYYIKGQYFDAFFSYLK IQIKVCKNITNINCKPIEEIQSFIKSGLNVDLFVRGSRLQQQFNLSSPLEYYPINIFWKF VIGMSNNEDIFIMPFSMDLKSNSIFYDFLSLKLEELLFQTTTFDKQERRLEPTEFTEGSN LCSFFIRSSPNRQYFFISKSDLFSIFSASLSQATALAVAIMSFLKIFYRSYNQHKTFAVL MNSVFKFDLESVQKKRQSSIHYHDKTKTIFQQQRPTNISQTGQLQQILNFEIAYSFIQYI KYLVYKLFRSIQGDQSVKTEKEIIISQIAKSKIQYDLDLTNILKKLYEIDCLKLFFFDDD QLVLFNTFCSPVFQDQMINQKDLFDILTNQQQQQKLLSNSQQTEKEKDKRLDLTFVASSE IPINVRLAKVARFFKAQLGASNAEGLVRTFQRINENQNQNFILNQKLLSFAKQNSSLFRL VQSQYESIQQQQAMHNVPNEIDSSDKNSIDKDSAWSMEVQSYQIESEKRSAIISQQQV >CAK77512 pep:novel supercontig:GCA_000165425.1:CT868274:244440:245632:-1 gene:GSPATT00012997001 transcript:CAK77512 MQSSKEGQRNFSTQVSQSTDTNENGGVEDTILLERIRAAYKDTFTQSSFSQLKNYQFQND IVTGEPTKVLSCAFDNTTQFLASANSDGLVNIAYLGKDYNIVPLVDPKQKPGPATCVKWR PGQVVEQLKHTLLSTYANGSIIYWHATSKQSLFNLEDKDNQINCCEFSLDGDKFVIGGSD CHVKLYDCGKLSKAPTTFVEGQSAVHQNRIFGMKFNQGDHNQLYTGGWDKMVIQWDLRQK KSTGYIFGPCVFGDSMDSKGFQLITASYRDEKQLEIWDTRTLNKLQTIEWAEYANNVQGL LPKHNVSKLYACKFDKRTNYIYAANAGSVFSEIRQFDSQYRCVDTYCCSLSGVMTIDHNT SYNKLSYGTSDGKLGVLNLVN >CAK77513 pep:novel supercontig:GCA_000165425.1:CT868274:246456:247599:-1 gene:GSPATT00012998001 transcript:CAK77513 MNPQKVRNGPNFMQQVNKKKHVDCALSSNSDSSDDEVEEIVAIKKDVQKQRFSVSAEAYG QFNKKENFKAKFIPKSKDQSERIKQRMQAGFMFSALNEKEIEIVVGAMEEKIFHKSEYVI KQGEEGNVLFVVDTGELDCFKNYGKGDVFLKTYYPGESFGELALLFQSPRAASIIVKSDK AILWQLDRETFNLIVKEASIKKRQQFETFLENWDLLKEIKDPYDKLKMSDALFEKQFKKG DVILQQGQKSTEIFILEQGRVSVNKNNQKLFELIKLGDYFGDQSIVAGTVESFSYVAEED MKLMYIPKKSYSSTLKEIEATLMKNIQTKYGKYL >CAK77514 pep:novel supercontig:GCA_000165425.1:CT868274:247620:248823:1 gene:GSPATT00012999001 transcript:CAK77514 MKEQLLGKIARYRIFLQQGLQIPDENGDDLRCIDFNFDNYIEQQLQIEQEQKVDQEDQKI VQTDKKIPELELKLNDQDLEGLLKDLENVQFDGEIDFTKQNIGDQTLIRLSVLIQKLPIS SLILADTKISYIGGLELGKAISNSKLKKLILTNCNLGHSSNILMEQLQFLDEVDFGVLGN KALRYLSQQKHELSHLGFQEDPKEVWDVQTKELFLKSIPKSLLSIQFQIDNADHKKFVGI AEIKCQENRDAYLRKKANEYKSKDLDPDHPDYYDCDDFKVNAFKFSVKSYLSNVFESLLD ESLYYLEKERQNVLRDEAIYHVTNELLPQSIMEDICQADGSLIVLAKYLLTKIKK >CAK77515 pep:novel supercontig:GCA_000165425.1:CT868274:248828:250996:-1 gene:GSPATT00013000001 transcript:CAK77515 MIRNFIKKADLLVDPYEFNISQKEKSLTPIGGFISILLLVFTSFYIVGVFQRSIQNQNTI YAGTESDKSNEFVLSNENTIFSMELSTLDGKILSNNTNVKDYFTLSAQYIQQQRVEGKMG FTRNFSSLNQSKCSMEKIEQFNFNSASMTEEQKENLICFDGDFTLQGQFYEPYFAYIKLT LSVCNNSTSHTCKTSKEIEDFINKGVNVDMFIKGSKIKQSLNYSSPAEVFSTNIFWRLTT GIGDNEDIYMQPIQMDLKKIQFFSDIFNIDNTERLYNGSVVQRQERRQEPISISSGVGLC TFYLRASSDTSFYFVIQQDLPSVILSAVSEATALCLAVMQIIKIFYKVYNQHKTFEILMN SVFKFDLKSVQKRKLSYVNQSQTPGKMQASAKNSKKLLQQIFDYTINYSFYQYVLYIIRK YFKSCKKNIEDEKELVISQIAKSKIEFDLDLTNILKKLYEIDCLKLFLFDDDQLMLFNTF CSPVFQDQMTDQKELFDILTASNKQIKSINQLQQQQNNQSHIDNNQNIIRYAATSTIPIN VRLAKLTRFFKAQLGAENAQDLVDNFQKINENKNKNWQLNNQLLQFAMQNKSLFNLVQQN YTTILQQQNEDEQRILEDQIRLDVPNELDDRKISEAMGSKESVQSLKDLEIHQSPDCLQN RLQQS >CAK77516 pep:novel supercontig:GCA_000165425.1:CT868274:251121:252505:-1 gene:GSPATT00013001001 transcript:CAK77516 MLPKFQNSFAQDKNLQTLIESFNQSQKNPNALQRSRYLTYMPSGSIRPPPNSTQTLNILQ EKVTFTLLTNPSINSTLTNDQQDIILKFQIGNRNLQIVTLDKITRDQKEEEQNQNPYIVS DANQIDIPILQQQQQQQQQQKQKIDFKLEDADEIEVNGNDNILTISTKSIIKYNKIEQYK KPVQNLHQEIQNKNEAQMIQIHFKFVRDWYTKAGNNQFNAKKALQEFLKSLAIPKIKILA FDQQQSLPEAIPDPEKKPEKNEKPEEKEPEEEHEQKSEKDLQNLFPHVTEEFVQRQREAL EKKNQTTDEKIKRLFEEVRIRHFKSQPYNAEKNIFKCPYHKCQKVYKKPNQLKNHLKTNH QKLSEIGLTIDDNGEYKYNERILDYCLLLWKVYPNFVKSVINEMRQRKDQSST >CAK77517 pep:novel supercontig:GCA_000165425.1:CT868274:253109:253925:-1 gene:GSPATT00013002001 transcript:CAK77517 MRKQIANNSPKQSKQSGVNKKIVKEPKPEANLRILHMTEEQYQEKIENLESRIAELQGPD NKTKRKRLYHELAQLKRNVKLIDPNVIEEKKQKDLERRIQKKLSKKQETVEEVQKEKKKL KMKEKDKVCLVCKKVGHTAQHCRENVQPTTDVICYNCGSQKHTLKDCQKPKSGSLKFATC FVCKEAGHISRDCPKNPKGLYAYGGGCYICSSTHHTQANCPQNPKNRIISQQQQKQQDDY MSD >CAK77518 pep:novel supercontig:GCA_000165425.1:CT868274:253939:255024:-1 gene:GSPATT00013003001 transcript:CAK77518 MQLYLPRFCMGQIFSRFVKPVAPKNVNQKKRALQIAIKEKQQQLEQSGLLDENIKQERFT HTTNYNFETYLKYTKLVKAEKEKEVQVIQPKQKRVAIYDRPKFDLSLTDYYVWTTFHDPY YKPDEYSEIVVCKIYLSPKGLIHAFGMGMDPWRNKLATKEFDFEDSNLDKFCLYDAKQTT KHWGPNRTEQFYNKQQYLKPIYRKQKWPTFEEFWTQEEKAPFRVNCTRYGDFRKFKEWIQ QEIERCSKLPSFEERVLKKYGQPEFYADYSKNYQCKTEPAVYKYTREYFLEKGQKLDQSN VLNIPLQPPEHLGEEYRVPNK >CAK77519 pep:novel supercontig:GCA_000165425.1:CT868274:255070:257154:-1 gene:GSPATT00013004001 transcript:CAK77519 MQIDYAFLESLKKGIQVDFEKNRYVRDQLIPHAPCKNLCLNKQEQKLALKNALRYFEPNL HEKLAPEFLYELQTYGHIYMYRLIPNYEMKAYPYDKYPCKCKQAQVIMHMIMNNLNHEVA QFPHELITYGGNGSVFQNWAQYHLTMKYLSEMTDHQTLVMNSGHPQGLFPSHADAPRIVL SNGMMIPNYSTQSHYEKHYALGNTMYGQMTAGSYCYIGSQGIVHGTTLTLLNATRKYLKT DSLNGVVFVSSGLGGMSGAQPKAGDILGCISVIAEVSEKALDKRLKQGWVKKKITDVEQV VAEIKQAKQEKRAESIGYLGNIVLLWERLAQEEINIVDLGSDQTSLHNPFNGGYYPVQLS FEEANQMMVKDPEQFKKLVYESLIRQVDAINKLTQKGMHFWDYGNRFLLESRRAGANVNA EHDEQLFRYPSYFQDIMGDIFSLGFGPFRWICTSGLPEDLKLTDKIAEQVLMDLITKSPK IVADQLTDNLDWIRKAEENKLVIGSQARILYADTQARTEIALRFNEAVKQGQILGPIVLS RDHHDVSGTDSPFRETADIYDGSQFTADMAVQNVIGDSFRGATWVALHNGGGVGWGEVIN GGFGLVLDGSDDASRRARMMLQWDVNNGVARRSWCGNQNAQFNIKRQMEMEPLLNVTIPY QSDVVDKLEF >CAK77520 pep:novel supercontig:GCA_000165425.1:CT868274:258110:259755:-1 gene:GSPATT00013005001 transcript:CAK77520 MGCGSSNGIQEPQNKVTKIEDTKFAYQGFVTEKKGKITADYNLIQPCLGKGAFGEVYRGV HKVTNQVRAIKLIRKKLMTEEDCLMLTREVDILKQLDHLNIISIYEFYQDSEYFYIVTEL CQGGELFDRIIQEKNFSEKKAAEVMKQVLGAVTYCHEKNIVHRDLKPENILYETNNTDSL IKIADFGTSQKFNPDKKMDQRVGTPYYIAPEVLDRKYNEKCDIWSCGVILYIMLCGAPPF NGDDDYQIMEAVRKGVFKFKEQEWKKISNDAKDLIMKMIEKDTKKRISAKDAMNHIWIKT YCSKSEDDLPSLTEALQRIKAFRVEKKLQEAALMFMVNFIATKEEKRDLLKQFQALDTNN DGRLSREELVNGYKKVMSDIDAEAQVDEIMKKIDADGSGSIDYSEFVYATINREKLLATE RLLQAFKIIDKDKSGAITKDEIKQAFGQNSGISEEVWKQMIQEVDQNSDGKLTFEEFKSM MMRVTYNQS >CAK77521 pep:novel supercontig:GCA_000165425.1:CT868274:260543:262520:1 gene:GSPATT00013006001 transcript:CAK77521 MNQTQKSFDVSKGGEKLSDIESLKRRTYKKILMMSSMNKNIMKFIQKAFQLGPKYLTIEC LVEDVKTTPFTELERYGATILDKDRLKELQELLGKIKQMIDQDVKQLSSDQLVQLIKYFV LSPVEKTYIQKIEAELAETKNLDEQIKAAQSRQKVQQDQKIEEYRHEKNGQQIIKQNLQS QQHQISVHENKLEKANQKLNEIVAHNKQLREKINQLRKEKNMVEEISKNLEKELEDKKKN VEETIKSAGQAYYYRNKAEEELTKLQKKAEAQKKEFEQECESLNEKIQHDKKFKAFIQSK KKEQEYLDKLEKQIAENQEIIRQKSASNAQIDKEYMLSASKEQEIKDAFERIKQETGIHD KKKERESKQLLTVFIELYQNNQIMSQFVKELQETVEELERQIEEKKEEIQMYSTKGATND NQRREQKMALSNKIQQEEKKKVILKAQYEKSIETINLIKKYLEEVFQAIDVDDETIKKLK SAAITEENMVHFLGILEQKGLDAIQEYARLIAEQLKLEKGEVHGLSSQVDDLNNIIAYEN ANIMNYYSQASQFRQECPDDVLSFNEEENDIKQRWFQEEEFKKQAMESISKRSGPKKPIK PKFKEREQKQ >CAK77522 pep:novel supercontig:GCA_000165425.1:CT868274:262610:263242:1 gene:GSPATT00013007001 transcript:CAK77522 MTSLTLILQVDGYEQQIQLEQSVRVNELFDFIQSIMNISSRTEDWTCFSSVQNRFMSRDE EIQGQQNDSLIIETKQQSAKIDIKQEQSPQIPLSIIIENGNSREEIEGNYDIQTSLNEIA ESILIHCQLNQQQNPPFVSLMIQNQAYNDVIKRSKSLAQLQIKNYTKIEARIN >CAK77523 pep:novel supercontig:GCA_000165425.1:CT868274:264214:266496:1 gene:GSPATT00013008001 transcript:CAK77523 MFTNLRSTDNTIRQQAEQELYAQVAANPIAILEQFLIAMQNKDDTEFVSILLSKTIFENE ENISKLEWTHVEAVLKFCISELKQENKVSHLKRMCDLAVKGLLKFTKYDEMIMLLFEIGR SAQTLNQKLATMYYIEIMCEFALCDEQLIKHSQQLNEIFSAFLNDQSPQVRAATCQGISS FLVSIEEESLLNRFSNNAVVLLQQFAQVMQVDQEASVQALQSINELLENHPKFMKNVYGD LLNIYTQLLGSQSTVSLKKTALHGLQTLCQIAPAFIRKSDQFKTQSNLMIMKMLTEVDRK DWENTFDDNCLQLNDLSSVAEDCLGKMVRDLGVKYLLPIFVPLIMQALRSPVINEQHAGL IAMATLSDKAAEHFQNELPSIMDLILPLSQSQNKLIVYDLLTCLAALCQEFTPKIQINYG SQILQLIVTCMQQKISQKIQYISIACLVDFTRELVEDKEAAKNVLTPVSTFMIEQLYSVI QTNLTGSIDQQQQQILEQALSAFSALATSLQEHFTQYYDQMMPYMMQMMQTVTVNEVKSL LLECIGCFLVSISTTRKEQCKTDSNQLVTHFIQLQNKMESDDPSHSSIFFFYTQVATALR CEFGQYLEAIFPLVERAMRLDVGFSVNSQAEGKNITKVKLDLKFLGVKSLSLNTSALEQK VEGAHTLVNLAEQCGKSFYPYITKTIVLMKEFISYKHSSQIQKSMAKCAEYLLAACTLET DMAQVLIQVTPMLITEFTVFLNSKTYDRLLQKSQKYWLIA >CAK77524 pep:novel supercontig:GCA_000165425.1:CT868274:266541:267371:1 gene:GSPATT00013009001 transcript:CAK77524 MYSTSNKALAAIVKTKESITYEDEEQFEADCEEIDQLLDHITNIVTELISNHKCESVQTM MPTYYSFLNTKSTKSQTINSIAFFNIVLPLCNESVFQQAQNEILKCYSSFAKTADLEMKQ NLLFGYGNIAKRLPTLDTTQVIELASTIIEQPDCKHVDKVASYETALTTLGKVAMYCNVA QKEQLLNKFLDSLPHEYQDSQETHLMFIREVQNNNPTLMQCKDLVIQALNRIKTQDCNNP ENELLCDEGRKLISQLLC >CAK77525 pep:novel supercontig:GCA_000165425.1:CT868274:267619:269026:-1 gene:GSPATT00013010001 transcript:CAK77525 MIEVTIIINNISLQLELSTEETVEKVKDFLIENLDLNFGQNGWNCYSEQRGCLLQPKQSI GTCDNDTLIFNNTENLYKSQKSRFLIGDIKKYRLEDQYYKNLEIQVEFLTQKQFFMIEFD VTIQEIFDIMIQHQNLIDSKANIKKETHEWICYSKQRNILLQFDEIVGYYPNDILIFYLQ QDLQNSQIIFKESNFNSESTNIKLDQEDIEEIVIDTTIQDFQSENQCDLKKNSEFGDQQN NKLLSVSQNRLKCYELSKQIQQIKKQINDRFTSIKESLIEKLNQKEKQMTDLLLKDYEEI FQLAFSLETPRNSSKLQEFILKKNIVNFQIQKNVSLLKNLTSTWKFEINKIGNEDILEVE NSRLHLQVERETTGIELLKYLEQLYTCQQNYKVGFECYSLKNKNRINMTCELGKTILNDD IIVTNQFLLTIQSDGLEEFLITVEKLRGRQHCI >CAK77526 pep:novel supercontig:GCA_000165425.1:CT868274:269463:269756:-1 gene:GSPATT00013011001 transcript:CAK77526 MTKAEKAALIFGLGYTALLAVYSIVKPRITQRSKSESLIERRNEILNKDNMRVLYSIIPA NEHPSFYDQLYTQQNGLKEVTKIGKVKIPENQYNYFC >CAK77527 pep:novel supercontig:GCA_000165425.1:CT868274:269856:271906:-1 gene:GSPATT00013012001 transcript:CAK77527 MWNYCSQFYEFGILHNTLNILLGIEFSGLATTPAKFVQLLLSKHFYISQNYLTPMQVKEF EDALSKCDEFDQRKLGTVIGMILLGAIGFFVFGFALVGGIGGGVLGVFGGHQVGKRIKKT LQNKQDITEIDIYDIRIRTILKWAQQQQKKYNYNLNLQRFVIDKILTEIKVALHFRYFSI INQIQLQKLLKKAFVFLKQDLYLCSIELSLLLLQEQLKILKYFSLQDQNIYLNQGETQLV NEQSHFRNQLEISILKGIDNVIKPVVTLFSNQLPDHKLPQIIKKAREFIYSNEIAHLSKQ YPDPQMSIYYIKMLNSQLNLKVNTTSLKLYVQQQNFRNLENVGQDIQFIMQKQNTILNLQ QEDEIKLNIEQIQAEEQQCDMIIQNNESQVELDQIKGKSQQIKLKLHSHPNKISFSKIDP KFYLNPTPLQSYDDIIHNFERENQQPLYPQHYCQKTIEKFNLFLQLIHEPTNKWDLAINN KDAQIYKTLKEGSDSVFIKGFGIIKDTTLELALKVVYDIKLRREWDKLLRDFQIIQTETE DIDIVAYYVQPPISLVTPREWVQRRILRQDFPQTGQITLLFYSVDYPQHPINSKRIRAHT EISSMIFEVHEQNNVKISICSNNDIKGYIPKMIVNRASASGPIDWFKSMQGACNKYK >CAK77528 pep:novel supercontig:GCA_000165425.1:CT868274:272304:272988:1 gene:GSPATT00013013001 transcript:CAK77528 MFLIQYWVQQEILSPELLPYFDVSKIQDALKGQLDSIQDLKKDLSKHNKQRDTQYLTLHV IELERIQYFYQQYLRTRMLKLISNAFYYYNNIGEYQISLKEKEFLKALVEKKLTIYSQIK FWSEIGQSKLIIQSQQDDKINVQKPDVNQYVVIHVNTSRTIHVGSIALSENDSALVSYDD IKQLSYVILRFMVPIKSVTLI >CAK77529 pep:novel supercontig:GCA_000165425.1:CT868274:273020:273598:1 gene:GSPATT00013014001 transcript:CAK77529 MNIINQLLAGANLFRLNFQMCNNKNAIPQPKEKEEQLNLLQNTIFSNLFMASQMKTAQQS QTQQAQVIQKSKKISKRNNNCGHPEKEHYAKGMCNNCYHKYGRTKKPWICGHDKLYAQGL CQNCYINKYNQKRREQGDNDILKQEDPNPQQLEQQQS >CAK77530 pep:novel supercontig:GCA_000165425.1:CT868274:273630:274313:-1 gene:GSPATT00013015001 transcript:CAK77530 MVKEQKPKIYRTENKFDTYMTKDAFCKFISDELIVKDGLKENFQFQNYKVIFGLFMIANV IYSHYHIIPYPQDYYILIACIIFYYVSTYIYQWFEKVKEGDIFIIYDDKKTKKTFGFGAS QELYQRFIVLRIYSMPNKALLVERKIDSAEYLDVKGYIVQPKMRNLINELLQEANKK >CAK77531 pep:novel supercontig:GCA_000165425.1:CT868274:274522:274764:-1 gene:GSPATT00013016001 transcript:CAK77531 MFNQLLIMISHNLQLLMYIEQVEREELVNKGRPQLFTLAIVLKVSGCLVPEWLFQLPIAK MQLKKKRELFPVKRENIEDQ >CAK77532 pep:novel supercontig:GCA_000165425.1:CT868274:274794:274973:-1 gene:GSPATT00013017001 transcript:CAK77532 MTLPVLVFVQSKIKAEALTYEIEQLKIIKVNCINGDMEQDKIRYCGIISQGYNLDAYLY >CAK77533 pep:novel supercontig:GCA_000165425.1:CT868274:275313:275630:-1 gene:GSPATT00013018001 transcript:CAK77533 MNQIAKSGYNKPTLIQMIAIRIILQKKNLIAPKGSAKTCAFALPTLHNLESHKEGRPRCL VFAPAQELADLLYKEFNKFNKEFKIKQLQEMIREKVGIQICIESS >CAK77534 pep:novel supercontig:GCA_000165425.1:CT868274:275771:275914:-1 gene:GSPATT00013019001 transcript:CAK77534 MEYVLKKRKRMKNKVVASQQESESEEIPNIVEQLSKKKKKKRKFRLK >CAK77535 pep:novel supercontig:GCA_000165425.1:CT868274:276139:277245:1 gene:GSPATT00013020001 transcript:CAK77535 MQTKSNDFRVKTSRQISKRPFQQTSQQGIQAVSQQTLKKKLSPIRLNKYNVFMDCLNDLT QQKFEKCQSRILFQQIEEKVKKNQLLCILFIQNVTVYNKYDLPNNKIPPKFSWEAIYRGK TLILTTPHQYTKTCHGIVDFMFKSNAKYPLKLKLLKVKNYEEQYIGEIDLSVSDFVDFEV VQEYQGKMIKETFVQKEMFKVYNDQSASIGQVSLKIIQFCSSSVPSNKSSNIPGSPKTLE QRKKHQQIEEGKAKGFDWGSSKDEEIIIQLILECQKEMASKINQNLEVVVERIRCKYWYN KCQRWRIHSVDYQKKAVLLQTILEEEMHWLEQREQENYWITRSLVQ >CAK77536 pep:novel supercontig:GCA_000165425.1:CT868274:277272:283479:1 gene:GSPATT00013021001 transcript:CAK77536 MLVQLRLTTKQGRIKKTDIESALFHFGCEAAKLIILTVDDFGMCLVDYMLDSDSLPEILY FFNQLGLNEDVQLEIFAQSLFQNIKERLRKNHYLKALAYFINALNMYPNIGLIIAQYATN PENSQILSPFLLEFNIQDYQHVIVLSKLRKLCKKFLNPELPFMQSMEEQYRKAKQETYFK IPILPKKVETQEKFDLLQLPELQQNVFHEIVRRKRWNLFARSWKQFEQFVYQQDHQGISP IMMFLENAPLDQILNLDSLQNQTSLSGQTSLHFLIMNPDLSVNSLYACINKFNMLNDKAW LGFTPLALFLDRLVYKQKKHKSRIIKELKAAQENNTNKGVQVLEILSQSAINIAKHFNFN KYLLYCQNVKNDNFFEDFKKEKFKKPFQLQQQKNKQIIEWDHFYYQHTVPAMILCKLDES IQELIWQQFDWINWLCSKQNYPYETYYNQTLMVSIAKTTQTQYIYQYLTKDVQITYKDND QFSGDKNNIFDFLPIFQSIYQIGEITTKLKDDIQKKYKGIQDINKKKDDEDDSPKKKIKL MYQNLAQQKYQQVLDQLMQEQQSTEGMLQNNFKYQGVVNDLMVAKGLNFGKLFRKAYDSK FHDMLNFVDILLKALYYNNMSAAHLALFFAQLRLNKSQRVAQLKTNQQIYLQSLNTHWCI ELDFAQFQAMINDIKEICQQNEVNYVILILAMNGRFNKLKQIHDRMTNQNYIIQALPIAA HQINTVRNALYYESLNKLLQECDVKRLYPEDNLDFFKPPKEKEKPKPDPKKPFDKAKPVF QKWIIVQGALTTQLQQIYKFAANSYQRVKSEFSILSKQYQQLWYQEYSYKLRRLFPRQNF RSVFYSSKQKESDFVKTIELLIEKVLLLKLTPSDDFFNYVHVHDKFSELLSQSPNQNICI VQAFKSMINLFKYEQSNEVLSRCINFLKKYLNSKIFKDLNEPDTFNRESQLITNYLSKSD PSSTQCKFACEVLILMDQNFTTQEFETLNLIQQPQQLASLLLKYKTLEKRTLGFIQKYLN SSNNQAKQALPFNESNMRYIVDLAKQGINLINENALEFFITKGLFNYLKDYFLSSLKPKG MLNDDQKQFYLGFAFSSGSQETIQLCLEDLCSLKSSELKQYMLEGNLLARAIAKGSQETL LYITRKIMKQMTFNGKEILKLLLISDNVAEPFQLDKQRRSLFTTIFLLNYDIFYKEFFVD YLQKILPQDYKNIMIKILNATVCKLDENNSWTAFQLAINCGFFDVIQTVLQLNINIDAQH LKDISISNLPQRWLFQTPQQLENLLKQEHYKQLYKQSALRLYRICKYHLCRQEKLKELEI CLNLAPLYNKIGLQLQSQDPIINIIMNQKIDPSKQPLIYLLKLHLDQVDKANSFILVESF CDKHPQITHDKIYTQLIKNREAYELLRIYYPEQNEPGNANFDFDLPYGDNIVKEYLLIQD PHQFLRFITTNQQLVFSLRVLYDKNDDLDKFCNSLVEPKHIIICGLLLLILNPNKHSEVV HSKFKQAFELRDDPSASQVTNIFRAILRYFETIERAFVFFFTLIQSLLNNQIYQQLIQIK PIGDMNNQISTEKQFLEDIKDALIYDTFTLQDNVWGQILGQSTKVSHALQSDKWFQGLCL IKSLLHLCYQAESIIHEIQTLSKLDDYISSDFWGIQSAMIEIRAELHPAEQVAQHKVIVF KQSENNTWKIKYPLGMVKDTSFIYPSILSSQKGTEYAKNQLIQQVYEQTKSDILPKIENF TFEGYSHENIQQLIKETHENVAIAVNSYTFQGDGIQYNGKQLTSNYQTKRKNKKELIMIP ITNQIIIQVVSKDEFIRREKYSFLFNQIIEDTQTKYWTFFIDKDCVSSIKDDLIIMELYE MTKDRLGYHVQFQSFVECFYNKLANGLEKATKKSISPKLCVDIGLQWDMLTKVIKHISSY LKFADNICFEMAEIDQRKSINIKLEGFDSIFRFGQAELSVSNNVLIVKLNIAMMSNGNFQ QLFQTQNRLKLNSSEIKESIDIQQYLLSIFNEQQLSQL >CAK77537 pep:novel supercontig:GCA_000165425.1:CT868274:284174:284734:1 gene:GSPATT00013022001 transcript:CAK77537 MRYKGLQKMQTPMKLQFQSGIDFMLVQVLAHMYIFTCKCYRLQPSKAKVLQLQDIIKSIL ILVQTSNHNLQILVVINSVVILQSLSTLITPSEFNEMQSIIIFILYTTFIFHQNNQNIIQ VFCIQLHSQLQTYQNIKFDDTEFNIDLLFLISCNNSQLLRYLKLLNQLTYQQQNLKFFIS SNKLYY >CAK77538 pep:novel supercontig:GCA_000165425.1:CT868274:285579:286171:-1 gene:GSPATT00013023001 transcript:CAK77538 MMMDNKIILQNSNNYKNYLDFENVLEIEMIFEKIKTKIAEVIYNFLKYWNAIDQEKILES DLLRLDNKIQSGILECEKMWQNQHEIHYLSKKPQWEFLYIWYKRYVLNQKMKGQTIDYQI SNNLQQNFCDEDSDSDSDYENHYRQAFDPKKLFYSMIATKENGTIVKCMNILDRSLDYKD SVILIRLLLIL >CAK77539 pep:novel supercontig:GCA_000165425.1:CT868274:287924:288421:-1 gene:GSPATT00013024001 transcript:CAK77539 MSIQYDQMILDVNLIIPNQMQQQSLKFVLFIQLIKSFVVKMDLLKGGFQGDNSDGDSLRQ SWTTEDSLSRTSSYDASEEVNDLEERICQYLNDLNIQSFTNPTLKEEMYNLQLKQKLFSK IISLSNTNKSTLLKKRSLLFAE >CAK77540 pep:novel supercontig:GCA_000165425.1:CT868274:289073:289558:1 gene:GSPATT00013025001 transcript:CAK77540 MQFKFILMTLTFFLISQQFVITKHKRSLFFQPQQLYKNLQINKFDNQNILKILQISLFFK FILKILYFLCLQLYQIQILLSTQIYQIITSFLKIGIVLQISDTQSSLNFVYLQLINQLLA KIGIIQSLLIIFTNLSNFELNPMSSGIFQQPIYDNQLLYKK >CAK77541 pep:novel supercontig:GCA_000165425.1:CT868274:290806:291448:-1 gene:GSPATT00013027001 transcript:CAK77541 MQMNTQVFFRIYCKTLFYQHVKVVGNKPELGNWNPTYGLQLLTNESMYPLWYTDYPLELP AYSKLEFKVVIIDDQNILWECCLNREMNVQSLKQIVIVNYNNPSIQVLGIKSLVSEVELP QIEQNNARKVSIELQDYMSYTDSDSDEQNSKKSQLTNGNSLFPSISSFNSCLSPNQDLEH DQAEMD >CAK77542 pep:novel supercontig:GCA_000165425.1:CT868274:294967:295371:-1 gene:GSPATT00013028001 transcript:CAK77542 MQNFELPHSKLFWYIQELYKQQLIDDHEKQQLKEKVIIEQVEIFQQLEQYEQKKDDEMLT QQLISLVRQKDLAELFGLNIRKNLYHDNSEYEFPGKVQRSPHSGPKSRQSEDLLPPEF >CAK77543 pep:novel supercontig:GCA_000165425.1:CT868274:295460:296974:1 gene:GSPATT00013029001 transcript:CAK77543 MQPFFAQFSMFRQFISEDPNLFNTSSSDFFQSFDSDISIQFANQSPPIIHIENSGIQMIS IIRNEGRSGQKKQIQEMYTRLRKNEIQNNELNKNEPHIKITVSKNQNLQNSTSSIEHYIN QFGMIGSIKNADSEDVIIGRQEKLENYQGQLIFPNDIILRETERLISRIHCKIIFRNFFR KENQILPLYQQTISQLKLHYNIKQRISQFLEEPKDCYIQDIGSVFGTHIKIDRNYVKLKK YQQYSIGSETTIQILEIHNLGSELKQRDIEFDKLIQKLRNIKYKCSLQGLDLKLYERSLQ VDQSFDQILTNLRCYNIPFIMMKFGGSGVTDINQNLFFAKDPNTIFSIGRGNDNNIRINS NTISRKQTRFRFNRKENNWEIADGSQDKDSANGTWMQLQSIQEREQKLGSQAYPLKNLSE IKISDYTLKVELFKGNEKEKARCQVMKSLL >CAK77544 pep:novel supercontig:GCA_000165425.1:CT868274:297280:297911:1 gene:GSPATT00013030001 transcript:CAK77544 MYDFSEEAQTDKQNVQQDGFQGDQIAASEAFSYETSTQPITLNIYVSPEQQQRKDKLRIL EDERIAQIREKDQQERLLKQQKKQKGLEFFQEFKKQQEMEITQRRSQNKQQQEIWNENQK NRNQYKNSWDQIASNIALKDGEYPGEKDVTKMRQAILNKRIDLTK >CAK77545 pep:novel supercontig:GCA_000165425.1:CT868274:297995:299974:-1 gene:GSPATT00013031001 transcript:CAK77545 MIKKKFSKQLSMPQLCSDINRQKLSVQDDRTPTEKREVYDSTFQDKVEYIDKMVQKRTKN IFARLAIIFLVVSSLLGIVSLLLQTVLIQNNFNNYITDVGQLSIKNDILQPMLSFFILRF IIYDKTNLLNQNLITNEQFLNETDFAKQYFKLEFEHFHEEIKKLFQSKLLQDLLFDRYYQ VIYLQDDYTYKYENFSARTFFMKFFDYQQFIYGVYFNNELPKIITPVTFQMLNQKLLFEI CSYLSDQVYNNTITRNSKQINDQIIVIACFLFVNFICLLFSNYYYRKFAKQRQLFGNIML NIDKYALESELEYSYYLINQIENHNITQFYTFNEKQKESEIYSLNKQTKTNQSSYQKSIY KKAKVNTTKFLIFIYTMFIGFLIVNLSNTLILSEFIKKYKPTATMHKNTSDLCFNIALTY SLKEQLNNQVFFPYLKDSDFKYMIYWLNQSSLQIKQYQQELLNKNQVNLLFRPDFVSYFL DQDTNDICQLINESNNQQIQQICINSFEGSFLLGIQAVLNHVSKIITQELITEDFSQRKP INALEVEGAYLFAEFLQMSSVRAMRNFSEQVLNQKSILEKILVSSISFTLILVAIIMIAL ETNLKFKLTYAIKFIHLVPREVLFLDDAFERKIRMAILKNPNL >CAK77546 pep:novel supercontig:GCA_000165425.1:CT868274:302956:303948:1 gene:GSPATT00013032001 transcript:CAK77546 MKTKYFFKSINLLIYPISCKRKKKGLQAIQNSSQMYFLNKNQSSEYHNIINVLESNNGFI ILNLNEFQSQILKNHFKHSNMASFKRLVMNEQTRQLNMYGFEFSRTQEGLMKFHHNQFDS RNNEGLQMKQNTAKSTLTPDQELYLLKLQVKDIQRNQQIMQRQIREIIDKQQEIQKNIKK AMLDYVRIRVNGQTKGKKVGKFVWKFHRNITNKKYKRFLYQKWKEANSYQECLCMGYVPQ QTFIKQFYTHPLLNNSIFDIKIPNLNNRSPEMLELCDTQDQNDSSDLDLMEVQSVSNISN YFNL >CAK77547 pep:novel supercontig:GCA_000165425.1:CT868274:304099:304968:1 gene:GSPATT00013033001 transcript:CAK77547 MVKQRNSKNGFMFKLKSILLNNKYSEILQINLDKNGFIIHDLDKFKNQVLKENYRHTNFN SFRRSLNLYGFSSKKYKKRKILYMNPNFNINAEELLRIQIRPAEIQDFKSELIRDYNTLY DIRESQKKIMQQVQQLIEAQNILHQKIQRQYLAYLKERIFQRIRFRKLERFCFSFYFNIQ DQKYKKIIERSLVIVAKTLSQSSESQMILDTYNLNQQQETYQQQFSMYLDRNLLSNGNSK ALSNSPGEFDLNQSFSALSNYFEF >CAK77548 pep:novel supercontig:GCA_000165425.1:CT868274:304985:305975:-1 gene:GSPATT00013034001 transcript:CAK77548 MQKILSLLATALLISGLAFYNQNEEAHSFKTWQKNFNKFYTSNEETYRQVIFNQNVELIN KHNSNPNKSYSMAVNQFADLTDEEFQSMYLGKPTYVKIDNIELSKGNTLGDADWASKMNP IKNQGNCGSCWTFSAIGAVEGFLAIRKGFKGVLSEQQLVDCAVDAGEGCNGGNSDLALDY IAEVGSVYERDYEYTAKDGVCKVKQGKVRIAGRENYGPNEDAIKKGIQNYPLSVSVDATY WKFYNQGVYDGACRDDFHNHAVVAVGFDYAGNWKIRNSWGEGWGEQGHIWLKPGNSCAVM TRVDGAI >CAK77549 pep:novel supercontig:GCA_000165425.1:CT868274:306215:307928:1 gene:GSPATT00013035001 transcript:CAK77549 MQIWKLLNQKILFQYARQIKPRIPIQIDELNRQFEQQKLAIREQAEKQIRHAHSIAELIS LNNDVSKYVDVTEPMLKRLSKLKITSEEFSLNQEFIAKLVNNNTSQLMFNLLQFYENDRI EKMIFDAYKNKQLSITQENNLRLLQIIVKHGQQQDLEQWSQNWEFQLNGKNISKFYSILD SLEGKLPDREIIVNKIEQSIYKYTHQYDYETIYKILKYRSFNEKVINEDLLIAILRRLYQ IELNLEQLTNINQYLTVELQYLDAQWLNQINKSVLQQLYPNQQLFDQIDNNLDDQEEEII QKNTENNTKRETKTDINSEVKNELKEDELLKLVKDLELRTEPFKVTDIEKLFLKLMDQLS KAVIVNDLNLIALSFFKELDVYMMQFLNHLTPDEQLICFKFHAKFIETIYNEQSQDPKQY KKSCHKKLEQMNNSYYEITLQCLKELNFEQTLTFLEAVSVLGVSKRKVCQKIMKVGFEGL QKLDQCKLENHKKNYALFAYYKLLQLFITQEQDILKIEKQFQEIKINTLDLQTEYSHLGV KRQKIQELENQNKNNEDELLI >CAK77550 pep:novel supercontig:GCA_000165425.1:CT868274:308224:308631:-1 gene:GSPATT00013036001 transcript:CAK77550 MGDSDETQNNMLLHSLVNQSRINESINYINLLDLMNKYNPGPPIKKTIVNDQDFVDELEQ FLDQLDQPKKQKFYEESMILFDDNDNHSIFELNNLNNNHNKLETQSSKYQDGWLDKLPTK HEFDDLEELEKILSQ >CAK77551 pep:novel supercontig:GCA_000165425.1:CT868274:308764:310853:1 gene:GSPATT00013037001 transcript:CAK77551 MFKKKISMLKSCLNISKLTFRSLFYFSMDQPQVPPTGEQAISKSQMKYLKKQEEKEKKKA EKDAQKVQQPEIAADKEKKPKKAEQQVEEEVDPRLFHENRSKQVLALKQTKDPNPYPHKF QVDLTIAQFRDKYGPLCTEKGKIHEDFVSVAGRVVTIRSMGAKLMFYDLQGEGTKIQVMA NEANHKDQEYSFEKVHTLIKRGDIIGVKGNPCLTKAGELSIAPGFIQLLSPTLHMLPTAH FGFKDHEQRYRMRYLDLIMNKKVRDIFLTRSSVIKQLREYFDGKGFIEVETPSLNVIQGG ATAKPFKTFHNSLHRDLFMRVAPELYLKMLIVGGLDRVYEIGKNFRNEGIDQTHNPEFTA MEFYWAYCDYNDLMTVTEEVLSSIVLKLKGSYKFKIHKGDNPTITLTEHDIKSGHFKENE EDFIELDFTPPWPRVSMMAELEKKLGEKLPEVLESEEANAFFVEQAKKHKVECSNPRTTA RLIDKLVGHFLEVNFRNPTFLIDHPQLMSPLSKVHRQYPGLTERFELFVNYHELCNAYTE LNDPFVQKALFQKQVEDAAKGDDEAMGYDEGFIKSLEHALPPTAGWGLGIDRFVMLLTDT QNIQEVLLFPAMKPEMTIEEMQKIQKEKEEQKQKQQQQQQQQQQQQQ >CAK77552 pep:novel supercontig:GCA_000165425.1:CT868274:311707:312434:-1 gene:GSPATT00013038001 transcript:CAK77552 MSRSQQKQQSAAQPTQQANKSQVQQAPAATKGKGPAPVVSQQPAASGFDPSKYVKPGLSK EEVQKIKECFDIFDDDKSGSISPNEMKNAIIALGMEQSAEEIVNMIQDLDQDGSSLIDFE EFLNIFGFSGTIEDEQVLEKLYQEFDSSGQSKVTYEDFKRINDLVSERYTDQELREMVEY ADKDKDGSLSWDEFKAVVQKEYPNQA >CAK77553 pep:novel supercontig:GCA_000165425.1:CT868274:313239:313870:-1 gene:GSPATT00013039001 transcript:CAK77553 MAKQDAKNNKRDRSPVAEMKIWQEAVRKENQHLKVYEHFTINPHKLYIIQEKPNNSIMLQ KHLEKTGAITKPAFDVNQITDDSPPLEKEIVDKLNTMNRTPRQKYQFPQTSNQELGWHSN NSNSLSPSKFTYPRKLCKETNYANDYFTMNKISPYSNKFK >CAK77554 pep:novel supercontig:GCA_000165425.1:CT868274:313962:316531:-1 gene:GSPATT00013040001 transcript:CAK77554 MENLEQLESLVSNFFQYQQNIENLKEDLTNNKDISDEYIGKLTQYWCARYNWFEAPKQLF TVTQQKRQEFVHFQLENDFHERQLLIHFFKQKKQFVIFSLQTIIGQSNSLFEFSKVNRFA LDTINLITDLQCCFVQHLKYENSFQYLDNQYYTNYLNILDQYQNSKLFRMKFLQNQKQQL LFLPIPNSIVRSIKKQYTSQMVELKKQQAKDHILVQKGWLKIATNYLKQNIKQLNDKYSE TQITEKLKSYQQNNQLIKQCIQEMKQLHDNKIQEAEQILIKQEQEMKQQPREQFVIQNEF IQRFPQQNEDQLIESPLDKMQCQLCKKFGNRSVSGRLLYVDDVRWVHTNCVLWNEDSKEC ELTVKALLKNNNFKCEYCQQVGSSIICGKCRKRCHFYCGYKEQWAFTHSKRVYCQSCFDN QEQCVTSFMIWRKIVVQQKQDKKSQTVLHRYSNTILIALKPSEQRGQFEELNLLTLRDKI LYISLNMKEFKIRYAQVSKQQFLRECEKSDKNRQLQFSVTKLVLDKYPYKEYKVQNLQEL VDSSKDNNIKGIEFAENLGEFFLLYTQQVRQQLLDTKNIQKMCNSVCQFSQFKEWFYQQS FVEDLPLDERLQILQQISRVITETQVNLNTVYDNHPMLYDNLPTIKHQNSKLSRKDPQQK IVTFNPNDILEEANLLTEELKQIDKQEELEKKLKQESKNQKQKNKLYVAPSHIHKYGLFT KQDFKKGDFVIEYTGEVIRNALADYRELTYNEQGFGDCYMFRASKTKVIDATFKGSEARF LNHSCQPNCDSLLLDEKILIYARKDISVGEELTYDYQFEIEAESQKIQCSCGAKNCIGRL N >CAK77555 pep:novel supercontig:GCA_000165425.1:CT868274:316735:318828:-1 gene:GSPATT00013041001 transcript:CAK77555 MSQQLNISNGEYFKLLEKGVKITRGAVQKQQTYTDNQNISQSFNEQSSGEQGLSSSHHQS GMIQLSSHNEQQKKSQKQKKSHHKKLPNSCSSCASQVVENSLCNECLIKYENNKYCILCK KSMVERDKAENICECRDCGLYVHKKCEPLFKDRKPIFDSYRCPKCRIELRKVIFSELIRY LTYFDDEKLLWQYDPSSVKYREVIKNPIDFDTIHKKNKKGEYLDNPELKLYADIKLMCSN FLEFNLSNPKAHRKGSILKILYDILFQQFRGYLKLTDEDLLTYKNNIHLFTSENVQLAFR QFLNYGFGGKDLVNLEGINNYIPLWEPQLLSITQPVPPRNVKSSLIQWEKTINLNLDFEN EDEPLIKLNMIDFLKQQVQREIKIKIDINKITQRHPYLDYLFKLEPPQLTDDSIDSPQLQ YIVKDLLIKDLSTVNLVQPFTMKVGCPELIFEYICCSCGGYEFLDNLLMCENCNKTYHFY CQINNSQYHQQRVMKSLQNWTCNNCVRCKECDKYGQKNDLFCCNCNEFYHFQCVFNNFIA PTDGLDYWKCKNCFKCANCQTTKLFGPELLSRIKPTTTSNTVHISIEYFQNFQYCLSCGL DVAGFRFCQFCEEYIQIYNDQQSDDCIEIENQKLGSLYRLYFQDKAMLIKYIQIRKRSLS NNINNVQNVNNSIMLLVC >CAK77556 pep:novel supercontig:GCA_000165425.1:CT868274:319230:319675:-1 gene:GSPATT00013042001 transcript:CAK77556 MQKISQDNIIDGKRKRVMSTDGVLACVTSNLLKKSVQKKPVKPKTLQTQKKRTVNQQESD SSVVHVEHKTQKKKDEKVRITMSALKELISQHFLIIDDEEQKSQQPKKHIKYQQNESPSE SSSENISSSEHIPKRNKHK >CAK77557 pep:novel supercontig:GCA_000165425.1:CT868274:319783:322708:1 gene:GSPATT00013043001 transcript:CAK77557 MIEDTHYHSQQANKNQNQFDRRRSSVQFQDASTMINPSSTHLSRNASYSMPHESQINPQI LAKIAAMVPYNSDNKKKFFRVDHVLKPKKKPDPLEEEQENEIRKNLQLSPEIVKEDLLNK PLVGPQAVQLYYQHYKKVNKVRQQNDFFKISDSVQTAMLRQAEQIDVLPCKIGVVKTKGS EQSLQISHQKYGDRYASMLSEGLKVNPNIKDFYMANNRIQSSGATQLLNQIGKVAKILDL QQNNIGKIGTDSICQQIQSRENKLEVLNLEDNKLGDRNVTRILKALLNSNNKLKSLNVSK NYLTNDIAEILKDSIIQLDNMEQLYIHWNQIKGWGGQKIFEALIENKTLLVFDAGWNSFG IQERKDVQCIQKICDFLITNKTVLHFDLSSNQFSLNDCKLIANALKQNRTIYGFHFVNNW GVIDARGFLQIQENELQRVLGETPRIKGLQQTTTIRQENVCWICEGWQEQKFTWTPEISG EGEADPLFIHLDFEAYKQVYIPKTQDQISLTLMIPTNTTQYIYTMNDTQVIAIDQPNVPY GHQLKINYNNNYISVLMDNVNQVKKDKHFSIFDQVEFKPLISVLPRTPDPVYIPPKLKKQ KRIWTFPISIWAKDFKFENEDLLKKCFEKDFSCSKISKIVKNPDELLEIKNVLWAHYKLI KETYKQYSSNSPTGDVWSISSNVITEFAQQTELIDGKSLKLSDLDLKFIATCAASIEYKG NFRNPERALCRFQFMEFLVRVSEDKYLKTKVANNMLEATKMILEQCKTYMSTFDAQKWRD ERYFNEQCDDCLKFFRPLLNHIYNKYSVKKVKPGQKKFMCLDEIHEICSQASLYDERFVE RDADNAFNLSMMLQVDELESDRIFQMTFIEFLEAIARIADKVSMPGIDAVDLTWDQRAVQ PLHLKLEKMIIHLANTCSSEEFKLQYGNINKSMFAIVEEDD >CAK77558 pep:novel supercontig:GCA_000165425.1:CT868274:322709:324818:-1 gene:GSPATT00013044001 transcript:CAK77558 MIKVYNPELLISNASDKLTQLVRDDFKPFILRCEQHKLCVLENENLILFSLLFDKCQPII DEAQLTITFVNQKDQSKIIAIKFADKKTMSYLQHNINSRKTYTQYMGPLAYQPVRKERTS LLSTESPEQNFRGILNLGILILIANHIRLIFENFQKYGLLITNVFWKFEMMNINGNPIMQ ALLILMINLFIGFGIQYLQFKRNLSTGIIRTFNITNIVLTIIIPCYLIQGSHPGLNLILL GVQFIAFMKLISYAHFMRNTYFYIQRIKQVKNKKVPLDNFFAEYEVNAENLKILEKYSQD QSLILDFKHFLYFLAAPTLCFQLSYLRTQSIRKVWLIKRIIEYIFVILFLVIIWFQYTEP LVENTYKMLSKSPSLMVLADRLLKLAIPNTYMWMALFYGQFQCFLNITAELLRFADREFY KEWWNCKNLEEYWRLWNLPVHHWLVRHVYFPCLKSGMNRTISNMIVFLVSALGHEYIVSA SIGVVEAWAFVGMFAQAPFMLLQKKLEKILKLQDSQLGNLMFWMTFCFIGQPIMIFVYYF RYLQKIGHPI >CAK77559 pep:novel supercontig:GCA_000165425.1:CT868274:325089:326406:1 gene:GSPATT00013045001 transcript:CAK77559 MSISKRSERLSQKQVENKWIDKVVCKNYKIISPLNSGSFGHIYLAIHQIKQEYYAVKILS TGKNPILAQSVKNEVDVLYKLNGRIGFPRLYYFIQNGTENFIVQTLLGQNLYQLLMQTPK KVFSLKTVLMFLDQAIERLQYLHMNDYIHRDIKPENFMTGLKEDEIYLIDFGFTSKYREQ GQHIERQKNENIIGTPRFCPICSHLSLSQNRASDLESLGYLAVYFLKGSLPWMHIHAETP EEKIKLIGQKKMNTSIEELCFGLPKTFEDYFKYIQNISFDGDPNYDYIQQSFKKLYQTLG YPFDQKFDWTKEEIRNSQDNEINTKQQQFLEVGRKSFTADVNIEEIPSQIQKKKIERIHH LKIQEDLFLTTDLQQDEIQEFEPGLTLFERMQQINKQNLKKQK >CAK77560 pep:novel supercontig:GCA_000165425.1:CT868274:326428:328213:1 gene:GSPATT00013046001 transcript:CAK77560 MRQNISFQPQTDDKNVFQSNSSNIYGTGRFGDIRPKNITFQGNDSQHNMVFDPNKVQSSQ HMTFYKQNDSNRQSGAKVGSQIDSQQKGFAFSQSPNPFLQQSEPQEKQQFSSQGSDKKKG ASDKYFKNGNHQQQFYNKNAQQPNFEERICEQHGNAAKFICTTVGCLVGGLCEECQHQCP NITDIEDYFRVLELKLANQQLDVIQNEKFKSLSSIRDYFNQIRQDIINQIDELEIKFIAK VINETSFNIELPHQFVQELFETNLIDLTRQTSQLSKLIYNDFLKVSEFSKVFVSDQSRFQ KKLQKNIQLLNQLFSNFIYCCEQQLLNCVVDHSELNLQLIDQQSQFHQSKTFENQMKNLK SYKTIQNKSKNISDICLISNSMLASAEENGEITLWKLPYFVQIHKFQHGVECISLAAIKD NQNTQFLLSAGKDRDEYQIYLWNVDLRSRVGDVIGHSKQLRKIVPLAYSQIAWCQDDSKI RVWKLNEKELAHELNVHSAWINDLCKVSRTQIASCSRDYTVAVIDYLTGKTKFIIKDVTY VNCVTSINSELFAFANYNGQLNNHNLYNKSKYR >CAK77561 pep:novel supercontig:GCA_000165425.1:CT868274:328461:330254:-1 gene:GSPATT00013047001 transcript:CAK77561 MKIFLLILFVISSGQTFLQDFHNWVQENQDLLDGFVEESKNPIDAFQLQSVQLNGKQFIS LTNGWLSQETFDSSYTKQYFTIITSKLALLAVDVRENIKFVIDKEQTNPQVVMFEQENYR GMNIKTNSQNRLVIYPRVNEVVSQKQQENDQLIEFSNIEQEQFLIYIIYGTETDLRDAQN LFNFITEFIELIESKQPPKYKQCKPKSANKKKSTKSGSKQSQKKPQQSGKAGQSRGSLKD KDSNEKLKQSSQKDHQQQKGDDFNKKIEDLDDSGLQQNGSELNNNDQLRDQEKGKGKGDN ESGTGADNDGLGTDGQNSNKAGDGLNDNNGDDNQQGTSNGGNGKTDGANDGKGDGSDNGK GDNGNGDGSNAGNKNGTDGDGKGDGQSGDGKGDGQGGDGQGGDGSNGEGDGQGGDGSNGK GDGNGGDGDSTGGDVDGTGVDGDGTGKDGDGINSNKGDGSDNGSDNSQGNDNGNDDGSQN NQNDNDGSNGNADQNNNNDQNLQDDNDDKNSKCVNLYSECYFSGDSIELCGSHAEITDKL QNFKIKSIEMDDGIEVSFFGESHFENQVITITDDVECLDIPIILSPTDIEELIQILK >CAK77562 pep:novel supercontig:GCA_000165425.1:CT868274:330819:332316:1 gene:GSPATT00013048001 transcript:CAK77562 MKRERLIKIVKVNCRIYWDDYKILIILQYKYLECKVIKLLRWIDYKLITKKGEGTFSEVI KAQSIKTSQLVAIKCMKQVFQTIDQEIQALRKLQNHDHIIKLIEVLYDEPTGRLALVFEL MEQNLYEHIKGRKVSLKQDKIRSYTYQLLKAIDFMHTNNIFHRDIKPENILLLGDHLKLA DLGSCKGIYSKHPYTEYISTRWYRSPECLMTDGYYDSKMDIWGAGCVLFEITALFPLFPG SNELDQVHRIHNILGTPNPKVLDRFRKHASHMEINFPSKAGTGLENLIPHAPKDLVDLIK QMLIYDPEERINAKQALRHPYFKELRDQEQQKLLETSLQSIKLLKKPDDSLIEEEQNTSH ILHKKTLFNQTNKILQNSFKTRNQQLLDSVKLPTLTKKQADLKKAYGPAHFQNKDIKKKS VQYEYVLYGKKANLGNFLNTIRQK >CAK77563 pep:novel supercontig:GCA_000165425.1:CT868274:332361:332963:1 gene:GSPATT00013049001 transcript:CAK77563 MRKVTAKVLVVGSSAVGKSALIQLYTTGEVNFLKDYQLTQIAEISTKLIPFEQEEKDVEL YLFDIAGSEIYEQAILKSNILKDANFVFLCYDMTKETTYEAAKEWFERVTKANGKKLPGV LVAMKSDLQAIRKIENKQGMQLASQLGLSFFSLSTARNQDIDHPFQHIAQQLIK >CAK77564 pep:novel supercontig:GCA_000165425.1:CT868274:332982:333683:1 gene:GSPATT00013050001 transcript:CAK77564 MANILDIFKKSIKQKTQEQEGKLMEFDLDNVKIVKLDKQTAELLESQSHLESLSLVECSL KTLEGFPKLPNLQNLVLETNQLDGEAIKFIGNTYPKLLCLSLAENQIKTFADLEPIKQLK KLQQLDLSENPIAKLPGYFQKVFDLVPGLSVLDNKDKSGNDIQYSEDEDELVVDDSEDSE NEIDDEDDGLDDDDDDEESSPKPTKKAKQ >CAK77565 pep:novel supercontig:GCA_000165425.1:CT868274:333698:335422:-1 gene:GSPATT00013051001 transcript:CAK77565 MLDNPKIQLETDIILQGMEYVISMQASDHLLYIELESKYEPQIWKNTYTIDYIEELTRKT GNPKKFNIFLSMLQTALQKTNENVLFEILTYQDLENLKQQKSQDQSNLSRTSSNNQKVNK RYLILSYQTDFEKIHYPLALNYEEQIENSRLMTKIQNLKTELFDYKLQKSNDADFQASNS FSKSKRDIQTPDSLVNQNELLKAKVKRLEEALTQKKGAVEVDQLVRDNEDLQNMFTTSKL LYEDKIQKLEQQLNQKSTEIVMQMAEINAFKKELTQLIGKVEMDSRIKDHIRLMNEEEES KLVKAQKTIQKYEKEVEGLNQQIDSLKKQDTVQKRRINQLETELSQSMKKFSYKGVTDRL YSPYSNHSNGSRKSNYSVPNRLNSNNSSGNASPNVRQASPALSKNSRTSTQNSAAYQKPK FYNTSPMNKQPIQKKPSPARPQQQMRPTPNRASPVAQQKPSPGRNNIFRQPSPPRQNYQQ QKIQPQQQVKQRTNQSANNYKQPMKQVHQYERKSGNVTDLDNKINNLKNILQRAKR >CAK77566 pep:novel supercontig:GCA_000165425.1:CT868274:335458:336066:-1 gene:GSPATT00013052001 transcript:CAK77566 MQTNSRSNPNIKPVFRLKQFLNTIVTPEFGKDNTNRIKNSIESSRFASYHNISNSVRKNI IINQQYVLPSITNRKSLIIEPTQTPTVLIDHLHLEQNMKNNTKQIKKHFRQLKNLSIAQN SNDNLNSTNEELLFLQSNKNSPKKYKTSNKQDQQKSRKQKLIDFLKGENQGLQFTQLLQK KQSKQQTLFPSFTIKKYQELQF >CAK77567 pep:novel supercontig:GCA_000165425.1:CT868274:336110:337847:-1 gene:GSPATT00013053001 transcript:CAK77567 MSQPPQASQQQSQKANTFNKSEKTKDIRLTNIQAAKAVSDAVRTSLGPRGMDKMIQDAKG QVLITNDGATILKQMDLVHPTAKMLVEISHAQDVEAGDGTTSVVVFAGALLKSCEVLLEK GIHPTTISEGFQFALENALEALDELKKPVDLDNKQQLIECVQTALSSKVVSSNSTQLAPL AVDAVLRIVDPLKPNNVDLKDIKIVKKLGGTIDDTELVEGIVFSNQKASQTAGGPQKIEN AKVALLQFCLSAPKTDVENSIAIKDYTEMDKILKEERKYIIDLVKKIVASGANVLLIQKS ILRDAVNDLSLHFLAKKGIMVVKDIEREDVEFISKTLCLVPVAHIDQLTPEKLGTAGLVE TVFLNDESKVLRITGVPAQSKALTILVRGSNQLVLDEADRSIHDALCVVRSLVKSKGLIP GGGAPEIHLSLKLTQKANTLTGARSMCVRAFAEALEVIPYTLAENAGLNPINVVTELRNR HLKSQKFAGIGMKKNNIVDDITTEQVVQPILVTRSALSLATECVRMILKIDDLVISAR >CAK77568 pep:novel supercontig:GCA_000165425.1:CT868274:337854:338357:-1 gene:GSPATT00013054001 transcript:CAK77568 MKAEQQQKGATKTVKKVKVNKKAPVRLWVKAAFTGFRRSKVQQNENQALLKIQHVDDVSS SRFYWGKRVAYIYKAHSLKNNTKFRTIWGRISRSHGSNGVVIARFNRNLPPRAIGSTLRV FLYPNRA >CAK77569 pep:novel supercontig:GCA_000165425.1:CT868274:338393:339114:1 gene:GSPATT00013055001 transcript:CAK77569 MKPTQNASHKVSVHNHNQPSNPKIGKYHYAMFLSSYGSPIYLTQYKVEYISLICYWIYVA FTVAFDTFPAQNVVTDKIIFDHRVPYVIYWGPTYIYLALSVYAISTFLGSYRNWIQIFGI LVYLWHLAYFVVFWAAPSVMLPKSVDNFQASCGYYWTELMLNLIMIIIQCGNTQATMNYP AYLQNYVTKVNNDIVEYLAVEDVEELNHETTPIKAQTPNQKSQIQSQKQIQG >CAK77570 pep:novel supercontig:GCA_000165425.1:CT868274:339146:340381:1 gene:GSPATT00013056001 transcript:CAK77570 MGNTNICCVEQIDEPFDKRKFLFHKKLNSLNNQLMTTIQYQDIIIFHKNVVAQLILNCSA PRLVSITEKDPETKLEFYARIKQEIELNQSNFFNLLIANYFLHFNEIYADEEVIDIIQQF DTISQMLRLVLLQGFQQDLKLYFGNDLDKLPEQELLVQRVLQHYLLGKTSPIKNKFNKML NLYYQQIQYDELNQSCVPEKGTVLEQNDFEIDQALIPIQGGDIVNLSNDSFLESSGGSVK PTQQQTIIQIDNIIENAEKQFKHLPYATTFEILSQMIKTTKPWKKFLLIEKFDNLIIKTL LTNRDQSQLQSMQDILFAEDSRSDIYLYIFHSYGQQFKVDFKEHLLNSLKLMWEFDGLLG SDIKYIYCPCFLRFTNVLEMYVKSVSV >CAK77571 pep:novel supercontig:GCA_000165425.1:CT868274:340571:345362:-1 gene:GSPATT00013057001 transcript:CAK77571 MSTEVKRQQNFSRAQSTNINKAAELWIVDTLAMDIRFKTMEEVGISRHQLMGRGLRKDTI DRLYKGLYVYSKGFNELLNQCIGDDILIVKGLIWKVFLILLERYNSSDYQMMMQQLMEQH FKEVKQVIKDNETIGVQNQELQNKLQEEQEKQEEQILNHNKIEQNLKYQLDILNNRYLLA DDAAERELEKRFRLENKTGQIRQQFSELVCKQQLLLDELQYLNKQLQQKKNQNDDLLEER QNFLKKLEKLNEENNSLKIKIIDYTSTISLKNELLRNSDELITKLQNQIIKQQHQATTFV TNPFLQLRQKDDELQQLEEEKLKQENQELRNRIMVYQQQIDIYIQQKSQDLLNQIRLLDD SLSKYKQSNQELQDKYFNKKQKLSNLKHKYNSLLVTQEITKGNLDINFKKIENLENANSF QIVNNSTLSQYVERLTNCIKKMEQNEKILNERIHSQFQTIQALSQQVDVTSRQNQQKIDN LNQLLLQKSIQNDELQLLYKDLKQSHQVMQEQYQKEVLSLQVQNEEQKQKLQAQEIAYYK LEQNEIQMKFELENQTKQYQEYIQKKEEQVKLINDQASKIRKLEKDNARIAQELNWVTNQ NQGFSDKIKKQQLIIKDLQKDLDQVKIDYSQAQREFEDMKFDYDEQIIFYQQNIQSLVKQ RKEFEEDLVIKHQTISKQAQIMADQDEKLKRQELNLMNKDSDIKLIEEKLMLESQKFNNL MIQKEEQSDQPDKSTIISQNIQDIIQKKLIQKIKQKRQQGNSNLITIIDSMENDNSIQEI IEHQLQNDSTLENLLNSIVEGPKFQTSSTQQLQNNTNNNNGFQKQSNYQQKSQNILQTQN QTKPKSNQIGNKPIKPIPQFKQQQTIEILPQILNKSNDIISNQSSRNKTIKQSQKRPSKS MQRSETTQNYKSLQQNQQNPIILIRQQFNLIIKLIKKLEFVLSKQMQIHHFKKLHNSQLN LCNKHIKEGNIQILIQFQRKNYMGMERIRNKEDNHNQEQENHLQLNQNSSLSFIKWQLII ILNVNDQLYKQSYNLVLYLLNDCQILQIRHNQIYIQMQISKKAHSSLNLAKNDLLETPDK KPTTHHVNRSNKQDESALKDPIEVDVLTYNHPPNLSKLIPISNIFGAYKRVKNVITRTPT QKSMKLSEQFGANVYIKREDLQIVRSYKLRGAYNKIISIPESERHRTVFCSSAGNHAQGV AYVCNLLKINCIIYMPTNTPSIKFNAVKSWGKQYVQIELVGDTFDESFRASRDRCNTENG IYVHAFDDEKIIEGQGTIAVEILEDIPESKIDFVFFPVGGGGCGAGISSYFKQINPDTIL IGVEPEGSPSMYEAIKQQKVVELETINTFVDGAAIKKSGAKPFEILNSVLKDIVLIPEGH VCTTMMKLFNEEGILVEPAGALAISALDRFKDEIRGKTVVCLISGSNNDLGRMTDIRMLS EIQQGLQYYMFVNFFQKPGALKQFIIQCLGPTDEITNLEYTRKNNREKGPALVGVKVKKP QDFEAIKNKMEELKITHRILQPNQEIFKMLL >CAK77572 pep:novel supercontig:GCA_000165425.1:CT868274:346008:348071:1 gene:GSPATT00013058001 transcript:CAK77572 MQQSKLRTVSPMQCQYVSTPSNCSVGGALKRRKTLKTQSPYSSQHKESLNNLLQGEPDKN KNRCKEISIEVAKFLQQINKNESTFPSMYSSIIGIETKNQEETQLTQLQMLQQQNQILKK QNCIKQQEIDQWKQKYEQAVKQLNQLQSKYDEDIKILNQEIQAVNERITSCEQQRNYSFF DQKPTGDNLTNMSMQTFQRSINENENFIEQNKLLDEISEKNAIIKSLTFALEKSKMEISE HQLRSNGEIEQLKSKLNQIQQQKMRMEYCQSSEIQNIKIVQSNQIDILKEEINQLKCLIE TKNQEISTINNQNQRYKHQIDQENKTLKQENEILKLQLIKKQQQFQEDINQIKCDCSILQ QNEINSIKQSSQQQISDLDIEIKDLKEFLYTSNNTEQQQQIVDRLRQRDHYEIENQRLNS IIEEQNKRLLSQRMQTENLNTESIRKIQDLQMQCKMLLEETNQKMEQINRENTFLKNQIL LKDNEINKFEDIYQKLNNYKENLLKLQEENQIILSHYEQQERQIQKEKDQQIYQLQKNFE SQLKQYDFQLQNLINEKLQYMQMLEIKNQECADLNLEVKKLQIQQQNIKIDNELLYSKLI SFCNSQESNRIINDPLNNTLQMKRMTEQISLLQNELLIKNKEVTQIIDKYQQLEQILCKN QIKQADQNLNEIMKNQIKNQGQVVINE >CAK77573 pep:novel supercontig:GCA_000165425.1:CT868274:348771:350066:-1 gene:GSPATT00013059001 transcript:CAK77573 MFKVAVCALLVLASTAINVQSSIWTSKDQKAFAQIHQSGWGKFILNYAELHLTTGGILSE LNSEIEKLVDEMEEELAGVHHEFNRRTDVHNREVSRLEQEIQDKERELFNAHDFYDNVLI PQGERFAAQLEQLQENIAQNRRTLEQATVQRANDHETFESEVVEHNEAIAAIDECLQLLS TLAAPSLAQVKKVQKNLAKIQNSLKKHNQFQIFVKVLLEITSDSNFDDQGALRDIVVAFN NLRVELVDSLNQITADEAEQVAEYNASVIQLNQEHAEFQRAVVVKNAEIEANTTKQEQTL DLIDELDQDLATLNGQLQAENDDYAFATDVYNATVAEYNKEINAANQALELLNQPRFQDY VKSQLKGA >CAK77574 pep:novel supercontig:GCA_000165425.1:CT868274:350232:351214:-1 gene:GSPATT00013060001 transcript:CAK77574 MQNQQKLTHVENLNNIFSKNSNQQVDKHYLANDENPQQFAIRSSNDIRLQEALNKMQQKQ IRQLYLDIGPIYDIDENYETRNFQDGSCYFGQLMNDQKSGTGIMVWSDVGNILDGFWVNN ELNGFCRMIYSNEDIFQCNFKFGKANGFGLFQNKVKVVKGLWTNNVLNGEGQEIRSDGQK YYGQFKDGQKNGKGIIFYKDGCKYEGEISRNKINGKGILVWNDSSYYEGEFRNGIINGSG VYVSGNGKSLSGYFEEISNKDRIQRIQCINNNGSENLIEKIRQL >CAK77575 pep:novel supercontig:GCA_000165425.1:CT868274:351389:352385:-1 gene:GSPATT00013061001 transcript:CAK77575 MSINEQELQNNVEKIVENYMKRVKPVSRQNQLLQKNEDYREITQEIALKELQKSSLLDNL LKQIELIVDNLIQKYFFDFQNQLLHISNELYQLKSKSSIFGSQESAMKYTPVLSKNSSFN DINDQFLFEDSIKSVKEKIKAVKQELNKKIQNHELLINMLSDQQKEVKENFKKNQLDGNV KQVINKVHQQLISVLENKCNEVFEIKYNQLKQFVELNNQKFKTKEAVLWTIVNENKSEMD KINAQIDKINTQMNVMNKFIQDLKENNNWFANIWK >CAK77576 pep:novel supercontig:GCA_000165425.1:CT868274:352468:353712:1 gene:GSPATT00013062001 transcript:CAK77576 MARYAKKPINKYTQPTDANRRVQTEAADVDDIDLQQAILKFKNLQEKQKTPLKNQRTKSK SPAPQQGNQPMDYSQFTFTSLKVIGQGSFGIVYKAKVNETGEIVAVKKVIQDKRYKNREI QILQELDHSNIVETKHAYFTYGDSPDEQYLNVIMDYQPETLHSFNAQFLKQQQLLPEIQA KLYSYQLLRGMAFVHTKGICHRDIKPHNVLINPDTNVLKICDFGSAKKLSPLEPNIAYIC SRCYRAPELLFGATNYTTQVDMWSVGCIIGEMFNGLPLFLGASAVDQLVEIIKILGSPSK EEVLSMNELYDIKQYKIVQIRKKDWRKVFQTVVDPQAIDLISKILTYCPRTRLTALQALT HQYFDDLRDETTFRMYQSKIQIPDLFDFTKEELSNNQSLANKLIPKWYQKRNKC >CAK77577 pep:novel supercontig:GCA_000165425.1:CT868274:353800:355576:-1 gene:GSPATT00013063001 transcript:CAK77577 MKTQLQRSSYGELSSIRSYPTEKLNTQSDSELVEVDMDKLNFHSFYQVSDPIQSQSNQVE GELFIRDFIKRLIAKVSKKITENEQIKMLPVIGYQSSIDRMLHPIQQYFRQHEDPSFDYL TGGLEEDEEPVRFQQEQWLVQKVLSIPAKIMEVEQQSTNSNRQSLTAQTFKRSRVLIQDQ AQMNNQKNVKEKLPGIVDLNDPLDISLTEELLRAQKERDYKIMQDLKEQERRRKQKELEE QQLKYELISKDKKSKSYTYDYDGKLISVTTLKGHKFPPTAATLGSKFEDGQTKVNMFNRR KTFYQSQSSQIKKKQDDEKEKDTKEQIKFQSIAPLVNENMHLQSGVLIIQEGRVREGPRD HPINLDKMSDPTLRMVRNEYLSLTQQINASHNQSSAQLVKAEEAQIKEFSNDQDKKQILN RIRRLSQPSITSDGNIKINSMQMYEQVAQVIFENKEQLPIPITKSNNNTTKDLQMMKSPT DQYNISLYKNTAWGKEVPSTQSNTTKLKGSKAKVKDILSTVGIVVKRTRERRGISEIQLP RMKNISQI >CAK77578 pep:novel supercontig:GCA_000165425.1:CT868274:355640:356225:1 gene:GSPATT00013064001 transcript:CAK77578 MYVLNDECAQIDICNFKTRNFDSVFGINKLIMRTLVALCLVAAVFAIDTNKFAVLLQTGT RGNDAVESVYNLLRDLKTENVNVQAAADKKNNTDEEIFSQVIGDLTNVASLNKQQWERLG AVRTDVEAQVRDGYSWLAWAEARLAEIERRNAQLQDQRCWANGLFCQIIG >CAK77579 pep:novel supercontig:GCA_000165425.1:CT868274:356234:356942:1 gene:GSPATT00013065001 transcript:CAK77579 MQLQSSNSYHKNVAGWLTNNAGVELVQKAETIADKLSAYSHLFQQDAMQKFQSLAEVKRD GTTGRTTDLQAELEATLATLQEQEIHAAFALAKYVSDTNAEVAWLNSEHERRTNLVEKLE TQLPAVLAQQAKALKLWKDSLNAVAGATADLEEKREFYASETVRRQEENAIIDVVIQLFK DQVRALASSTSLGRK >CAK77580 pep:novel supercontig:GCA_000165425.1:CT868274:357237:357930:1 gene:GSPATT00013066001 transcript:CAK77580 MLNSSIYSNQSNSVVKIKGNQTNLLSTAISKLGDSSFKSRNYESKNISPVRLRLFRDSPK TYKGLEGCVVGQYHPLAPTHVVFSNDKTPSPYRSSKTFRDSPEGAKTKLNQFKDWNSKLD IQLQIQNNQIAKLNNQLFQQSHQPYQHKSSKQNLFSASSPSNFQKFGKQSDISSLSSRLN QVPISQITTYQIGHQSELNALQQSLDRIMKTSRI >CAK77581 pep:novel supercontig:GCA_000165425.1:CT868274:357996:358163:1 gene:GSPATT00013067001 transcript:CAK77581 MQIIKIAIQKDVYICSRPLNRFEDLRQEYQSLCFQHQNRDDQTFQQYMGEQDQLC >CAK77582 pep:novel supercontig:GCA_000165425.1:CT868274:358337:358882:-1 gene:GSPATT00013068001 transcript:CAK77582 MSAPFYTVKDVPAQDFIHAYAEYLKKNNKIKIPEWGSIVKTGLGKEISPIDQDWMYVRAA ALARKIYVRGHWGVGNLTHMFGSVNDNGKHESGSGKVIRYLLQQLETIKVLKKDNKSLLK KGSRIVTKEGQQDLNRIATQVALAARK >CAK77583 pep:novel supercontig:GCA_000165425.1:CT868274:359070:359471:-1 gene:GSPATT00013069001 transcript:CAK77583 MFQKRIDQMLIMYILIIINYDLNIQISITHHSSFTQYLLNNHISFQSNFTSFLSYLTIIY SLFYLPYSTSSKLQFSHSILHTLSHQPFNLTFSNSIIIFINFNPFLSIAIHQLIKSKLNQ IVRHFNFYYIQFN >CAK77584 pep:novel supercontig:GCA_000165425.1:CT868274:360261:360740:1 gene:GSPATT00013072001 transcript:CAK77584 MLNHGSNSTPQKLENSEERLAGQQAWYAVQSEIYETQTAGRAAQNEIVDRLQEHISEKLS TTAQFISKRN >CAK77585 pep:novel supercontig:GCA_000165425.1:CT868274:360782:361704:1 gene:GSPATT00013073001 transcript:CAK77585 MKFLALISGGKDSVYNIIRCVQEGHELVLLVNLYPKQIGIESDSFMYQSVGTNAIQAIAQ AMDKPLMTREISGTPKITNLDYQSKEEERIGDEVEDLYLVLKEALTQYPDIKGVSSGAIA STYQKLRVEDCCNRLGLASLAYLWNQDQFSLLDQMLHNNMNIILIKIAAMGLTQKHLGKT IQELYDYFKEINKKFGFHPCGEGGEFESFVLDCPLYKKRIQINETEVICHEENSFAPVYY LLIKKYELIEKN >CAK77586 pep:novel supercontig:GCA_000165425.1:CT868274:362633:364467:1 gene:GSPATT00013074001 transcript:CAK77586 MLHHLWKYTYNTSKALPLEQITRWGLHNTTVVRNASTPELYEIAAMDPLSPDPETRQGSI SSTGAMVAYSGRRTGRSPKDKRIVFDEVTEQEIWWGDVNIPIPKKSHNLLEQIALQFLNT RPRLFVVDGYAGWDPHSRLRIRVFCTRAYHALFMQNMLIIPTTEELKRDFSDDVDFHIFN AGPMSAPKLVQGVGSETCVSVNLTDKKMVIMGTQYAGEMKKGVFGVTHYMLPKQGILTLH SSANEGMNGDVTLLFGLSGTGKTTLSADPKRKLIGDDEHGWSDQGIFNIEGGCYAKCVDL SQEKEPEIFNAVKFGAVLENVEFINKESRVVDYHNISITENTRVSYPLDFIPGAKNPAVG SHPKNIFFLTCDAYGVLPPVSLLTPEQAMYHFISGYTAKVAGTEMGVKEPVATFSACFGE AFLPLHPTVYATMLAAKMKEHGTKCWLINTGWSGGKYGIGKRMSLKNTRAIIDAIHSGEL ANAEFENFEVFNLQIPKRATGVPDQILHPKNTWINKSEFDRTLQNLGEKFKKNFQKYQSK ASQEVINAGPKI >CAK77587 pep:novel supercontig:GCA_000165425.1:CT868274:364731:365891:-1 gene:GSPATT00013075001 transcript:CAK77587 MQSKQSETRQSWSYQEDKLLLELIKLHGCTSWNHIARELQSLGKNPLKVRSSAACRERYQ NILNPDLNKSNWTQEEEENLFNLQLSCGNSWARIAAQMPGRSDLLCKNYFYATLRKVLRR LSKAVGLDQSSDLLKQIKPSVLGVIYSRDNSFKRFNIDEKMKTEFQQLIKQYRYKEKEEL KQLQESDVVYIKSMLNQLFVMNNNYMTQMKNYSSRKNSQQDVFVAENNSKLTIPNNVTVQ NQKISKLESKLLNAKNLKLDSQYEFDQPKTNVQNVQQENQSIQVYQNLNQNHSEFSSINQ FQVKIISIQGSFCAPQPVFIFCIQQNLISQPMQSIQPYYIPQYLTYPQPHPMIKQEFLQM M >CAK77588 pep:novel supercontig:GCA_000165425.1:CT868274:366628:368028:-1 gene:GSPATT00013076001 transcript:CAK77588 MQTVKETIQAICNILQAFGINNIDPNTFRQAKHNLSEAVTMTQSFYQIKPISSIMHNLLI LDLFDFEYKLNEITNTHTDPDGLEIILLALRNSPFIIYKNGKPGMDYSSSRDLLLVVGQI LAESDIFEKYKRLIEKRVANLKIKLVNKTKEQEVEISTLRFVSEGNQVKDFENLNRIIRH QFKQLSCLFKRKEAQQTQIDKIVQQMNLKDFTVDQLLSLSDPDQLNEIIANLKEIMQFLE FETSMHRHQEIFWAWLVRNNVIQESTVDEDKKDVRDHADYGFPDETIMFGPGQQMIKLIE HTQMKLQQLSDQFELFESTSSQFENAWEKVSSLLKEDPGSEQKLQQLQLQIHKIVAQNNI KLISLKTFKEQVFSEKDLLYCQANDFIKYAIKHNFTQSSNHNESNGFEQKIIYQQEQFVF RIEQLHQQIEDEAGKIKGHLKELVFYPQK >CAK77589 pep:novel supercontig:GCA_000165425.1:CT868274:368135:369631:1 gene:GSPATT00013077001 transcript:CAK77589 MEGFDNILLTQTSFMPQKKKVFLEDNIKHGKEIDRDYNKLQDILDVKNLQGKIDKIIKSQ EDDFMIAYREQMTDVQKELKNMKRKIDEEALRQKADEKKRILEEERDYFKEEALRLDKLC QEQLRTIEELKFKLKITLEEKQYYEGFVIDSKKENKALKYELLYLYKQKSEEQKAGAKVS QVVDVGHRNNMKKILEIRPDMRPMTQDGTHVSSTKDEIGEGSKRGFSSVKKTVKTQLSTK FQDQGSSLHDFYKRDLSSQQQSRQNQDMQQIEEISKSNVIQDLKQQLQKEKQLTQQLKVE LSQQNCQRGELEQILLECVAEKKKEVHSRQCLQKQVLNHRYLDVLNQDGDTNFSQFTHTD KIALLRKYIESEEFFDQLYSITFNTQFSISSSLKLNEKWKMDADEANKKFNHFKTFKFKH ITSQPILKTSLVKKNEREIISISNNFTEKTKGLIDKIIKN >CAK77590 pep:novel supercontig:GCA_000165425.1:CT868274:369674:371127:-1 gene:GSPATT00013078001 transcript:CAK77590 MQQTQRGRKLKKSQSSKKIRAQTEDIKKKSLNKKTVCVRQFYVGPGNNGKLIANYLSQLQ GWKQLDQEISKTNNDFYLKWVQTNSEIQFQLFKEGQQIINHLPNHMILTSKQLIIQTMKE YERSKQCIDTIFKSELQFSSSHFFPETYRIDLTSEQLSLEEDQFLKTDNSAVWIIKPTYF NCGRGIKLCSNAKKLKQEFLKLKQISNQIKAQKGFLQNGIFTPNLRKCIVQRYIENPLLL EGRKFDIRCYVLIATTRPLFVLFQHGYLRLSVDKYNVEDMDDEKNRYKHLTNAAIQKKHP SFNQSKESTIWSMQQFEQYLKDKKNVTQERIELIYLQMKKIFAHIIRCSSDKFEKRIGTF ELMGCDIMIDTNLKVYLIEMNTNPALFLDTTTQAAVIPPVIHQTLDLVIYLNEERNKPIE KNLQNYSKLKLGNWEVIHNEVTNYNLVTQSN >CAK77591 pep:novel supercontig:GCA_000165425.1:CT868274:371272:374285:1 gene:GSPATT00013079001 transcript:CAK77591 MSKACKQIAQLLPFQSMWHLLLKQENLFFYMLMLQSMFGQNIKMLLELIIERFAPITQFQ IQFLNACTLVFSQDTYLLSDVIKSQLPNIYKECITQLQFFIKQIPKNDSKEGQSVAEQIA QAYKTILGNIHDQKVTIELYTSLQQIVQKWPLIHEINPLVFHEVEEIIAMRTPRNVEIQF YEEPTECDSPTKSNSIRKENQIVVELQRWLSKMNYPIPVISKRIASSVINSQNMFKLKEN IEIMLSFIYCPLKYDPANCWMKVEFDHKISLKDIPNLKDCYSLQSPAHIYHIRSIRSLQS LTDEENHQIIAKVNPAYLKIAFSYLAECINEDHLKNCNFNHLTQLMIFYLEKEQDISIYF CLHYNIPYAFFYYINYEQSSTFLINLFLQINSLGHFVTEHQQKLYDQVWSYFKAAKLFED IVNLLLNPKSKQDLLFKCSQNFRNNNMLEAFTLHPDFHLKEKVYQQNENNFNFTVEEKIH LKWDIDQLKPFVQKKTIKQLSFFNKVSNKILPPANEKPASMMDVIRVAIQNAEIINEPHK KRQSRATREKLIVLRSASVMFDLDEQDSVKDPFVPYNYNPNPLELRVETKESNTKYDQKS VSFIKDSHKNIQKKHSRNMSFQLYPLSLKDVTLGKWDHEQKQDQYQIENLMKDEPFCYNL LQFLSTIIQQLILGDHKLFSKTHSMGSRQQLLGTILLDENCKVFDNLMKIYLFKIKLTPY VKENSSVICGQLVNLIIKKKPEYMNFQDNGQTYIDYLCKVIINSLSWKTSSTQVIELDIS KTLLCETLYLLIEYGMSRGQTQLLNRITATTWSCLIEICKQCFPIRPNQTFQGIFTKLLK LNLEFGSEFNIHTIFMKLNLLSVVKQLAELTQSNQVQNKKFNYLNEFIKSICNLICKFIN KQSSKECFRIIQSLDSWNYLKQQYKIITHNHANSFYSVDSRQINNSTPATSQSFTKIRIR KSNHSQLSKE >CAK77592 pep:novel supercontig:GCA_000165425.1:CT868274:374290:375247:-1 gene:GSPATT00013080001 transcript:CAK77592 MDYKIHQYSPEELYENKARLFEQLMTEWNNMTATDQEKFSLKVRFTNGGEKSKYRKDESS SETMYETADEKPTKVIQPIIEEKSESKEKSEEKKSQEVIKEEQNNKHVASNRELKQELVI SYEVISEKESNSQQPLKSQTSINQQIIQEKQIDENEENKSQEDSRENKQNQENLTQENQV DQKPGLKRGRRISKGQRPSQKGNNDTKKQKGGQISKRALSISKQKQLDSQQSEKQFSVND TLTLKQLKDQIKNLFKQIE >CAK77593 pep:novel supercontig:GCA_000165425.1:CT868274:375745:383299:1 gene:GSPATT00013081001 transcript:CAK77593 MAFLDFNSKKQGGIEMEKQKSLIDVQNQKYRQLTFEYGQSYEDEVRRKQWLYEYMTGCLK EKILELLNTMFGDYINDLFLLISFILILVGIVQEDNQEDIISSLILFIVYLLPFLIEATI RAIQLFLEQKQSWQSILQQTRIAYTRLKGFKREDMVYSDTQNYLRQGRSSSIRSIQQLDS QSNVPDVLPASNVEDVFYSNLKRGDFILLSRNQQCPGDLVILDMSDRHGYFYSKSYFKQA FLQRKEPMKTTKVEMNAPNKGDLNYLRRILKGKLTFNNNYNFEQFEGHIKLSKDPKGEPI LPENILFFGQALQFSEWVFGILINVGGQSLYSRNLQSVKKQQRNKNRYKNLSILQLFLYI IMPIIASLIQYYGYQSHQSFSNIILHCYQQFLAVLPSYLNLFLHLFDVFLIISENRSQQQ QSKDCKELILENKQNTKQQSINYPVNMTQIMDTTHVFLNIQILSDAKIEALCHGDNIYQI DQTLIPDLVINQSFKKTQFYYNLTKQVNDENIEILQEKIETISPNVPPQKLSINSHQQYN VIISKETAQNKRPFFKQNTQTLHSPVLNNPNSARPSLEIFHSMDGGGFISSTLAGNLLNQ QQHSQVSQEPEEEQVKQIQIYRENTLVELGLNQPFQYFELFSVISLCHLTRSELMTSGEE NRSSQKNSKLGFSERERIRKPKFNIDQYFLAEDEALLKLSKLFKISYKTYGFNRQEQLCY VLKINDLEIPYQIHYRLYHQGFIDYDETKNNKMFKIPSRFIAMMIQDNNFQLKESNQLVL LCRFCIVTISDIPGYEKFDLVKKASLQKQIQYLINRGDIIICFLKMSIKEQDLQESKSLI INDYDKTIQLIELISENQYDIIGLFGISQNDNQDLIKESFITNRSRIVIQSEKPYELVLP FCIKNSVLHNETITYNFQSSSRDDILYQIRQIISSLNQEDPKKSSTLTNRRNAQIQNDKI KINNNALIIDGNVLDLILNDKYLTNHFGFIIHFNKIIIVYNMNNNLKQKLLNFVTKYDSE YYSSLCVNYSAGSHGLVKMSNFSYQRHEEIESDVMGFINQYSVNIDELELFDNLVIKTGF QLFQIKDATQRICIQWNLCFALLQMTLYCFPDYNGQLLFKDRLLILQILVPAIILIYSIK TIFVEQEYLTVKINTIKQKSLDLKNNHIVELLLETLMDVFLLTIVRYTCYMNNIQIELYN TKEEIAIFFSFILIIHVLKLAFLSKEIYLRLFLSLSTLLLILLHITIEMISLDYVLLRVL LIQPTTIMSFLSLLGLKIVMNLFGQFSIYLYKNFLERSQQDIDFNLILEIITKELQSVKN IQYLIKKVFQDSEIDLSVKQILGDNKNQLIQLNNYTLNFEEQVYNLEFIQMYISKWKFIG IITNLSYFFGLDIFLLIHYGLRFQKINTALFSIMLFMTFCQVFLINQSCYPSYKKLIIYF GFLQTIYRLFIISVDVYDQENKMYAFIFYYLVIFSLTQQINYKYVFIVIQQIITLVSGIV MLYANQKQHADDVYLGEIIVLFVSFSIISLQSRYQDDVTQREDYICWKVLEIERSKHQSV MSLLLPPFVLGRLDQGHLDFSENQGLVGIIFVDMCSFDVIVSEEDQNIVQLMDNIYRQFD QICSSTNCQKIETVGKTYMACSGLKCVEKFQPKGQHLKNPIERLVELAFGIQQEITNFKW GTNQKSFGLKIGIHYGRVLMGVIGSIKPQFSLIGDTVNTTSRLCAHCPEGLIQMSLQAYD QIKNVKSIKFTTNKIEAKGKGIIDTFLIEKKRSFEQSFNNKQKKSEMPHIDQNNSKIPFE ILSKQAFQQLDRRLQDIQLTNQFLQKQEEIQQLNPPQNNQTQQPPTQQMISTQQQGTGQK AGNLNFTASQLQLFRKQTKRNLMNNYINLPSMNQITSPQALNQMQSNQNVLAPSNKLIHQ KSIFSHQQQQTQQTQLDKIDKYQLQSSIQIPQQDPSNLNIISGIPDIPLDQSLNVMDSSI KEQTPLNCRKDDQKKFIDTTIRMIKFNDIELFIKSKPEVFNKTIPLFKIQEFFLEGKSTT IQENNNNKWHLISKEFLFEAFKNSDDVTKYYENELENGIRSVMISLLLLLIIHIFFIIFS QLQSVNTQQAVIRALGCFFILIELYFLQTHFFEWKRKRLLQVILIQLVSQCIILGVFYDQ DKDKSVILSIESIITSCLLFAHKWLYVQEKILICAFMFTVWLIIISSVFIIDIWEVFFLL ISIALLLQRELLSYLFSYKQMLNQQQIEIKKEDKMNLLSCLLPIHVLSQFLDDSTNSRKF SDVYNDCTILFADIAGFTKYSSSVQPEEVVSMLKNLFDEFDKLTQIHNVFKLYTIGDCYV VIGVTDNFKRDPIQEAFNVVEMSLNMLEAISLVRNQINYHDLHMRIGIHTGNVIGGIIGT DIIRYDVYGKDILIANKMESSSEEGRVLISETTKKLIEDNFAQSYIFNGRKLINIPSINT TITGFFLETAI >CAK77594 pep:novel supercontig:GCA_000165425.1:CT868274:383698:385717:1 gene:GSPATT00013082001 transcript:CAK77594 MSSVQKELDNSNCNGRGLYFDQVCECDLGYFGTECSQKLEDIHVVSYYAFIGFFLILFIV LLILTAKQLHLSVKTNKIPQYQRYFSNNNSIQRIKLSEEFSRFPIKLHFVFNGIVQYFKN LVAFLGSFQTICNINHKKQDYDKRVFERILAEVVYTILFYIYGILLMVWYTMYDEISFNI SERNKKSKLQLLFGDELKVETRKWIFVYYKDIMKIRLFFVLLIQLTISTLNGLRLSQKYK TILYIAYSILLLNFMQYLSTIQSSFILEFYIYGRSLNQCIESQLRKLDGDSDKEIQEKSG QVNQQQQIVYSKNSFGIQQEEQLQSPETQDQQVLRISSQSECEDNNEQIQAQRAFLSVER YHLTNIKKSVSFKKQLNTIKEIPNELSNVKVVQSSQNLETENELQKSNLKTIVSEDSQSS KEEGAIHQKMNSCLLKEDDNVQESIPWDIQKNRQNIRNIKTMQIKIVEKTKQQVSEIKKF KKATIKNINREALFDTQQNEDRKSKILSAEDFQTEMQAEQKQIKTANLNADKNVIIKIQI LIYAGVFLEICFGLLSIVILLTDLLKTPTGTLCYLYISAMLQYLSLMAVLKLFKDVRSPE VLNLIWIQKVGNGKNKVNQKFFFTIPQLQTKVDDSKKKFEQRINLHIR >CAK77595 pep:novel supercontig:GCA_000165425.1:CT868274:387331:388294:1 gene:GSPATT00013083001 transcript:CAK77595 MKAVNEEKIAIYNGEDIKYFVFPDKFDHTQSGVPGNPCYNFRFRIFVFFSYIVLTQLFQL FCTPTEKITGVWDIGHQITTPINNLYKEHRWFSIALQLLSAIILDVAYLYISLYWVLYQR NFRLFAALIIFYVVRAIHLNLVKLEFPQNYYWEDPSVPSLVVKYGFFSDFFYSGHVGYLI ICGLEMRKIGKKYVSALFFICSLYQAFVVITFAIHYTIDVTTGCIFAHYFYNQVCYWEVK IDFFLKMIANLFVRTPKQPNNKYEVQGDKQIGVITA >CAK77596 pep:novel supercontig:GCA_000165425.1:CT868274:388784:390296:1 gene:GSPATT00013084001 transcript:CAK77596 MITILSGFCFFYNAYLIFQWDYKITIIYCCVSSFTIMVHRLLKSRSLSQYCCFNIFESLL LISYLLSIVYYIEIEKVNINFISYAQLVLQFLILAITVLINKSTSETESQDQSLQIQEIL ISAFKSISALQLVFISLRITEQITWGWIQTLIIIWFLLGLSFVIFICLLVDILQKCRNQQ DGIEEEKKRVISKNPLYYLVQGGIWLNLILFGITILPFSTIFGLALSYDFKFKSLNQYAF GLTIFYYLLQLAYYFKFKQKLIDYLLYYDIAQSNLYVDQQLQQVNQNNQQIENRIELKMK RMHKLSISKVPQFMVKLSQTYYKCALNADSQCMSSKIQQNQLNQKNQFQENENDNEQSKR FDLLLSSPRQRLEISSSIGQDLTSRNIDKKQLEDQSTIKQEQQNQNSQKLCLVCYEKESN MINMPCGHGGFCNECCEQLLSKSELCYLCRKPVTHSLQIQEVQNRESLVEVVEVLEQQNK >CAK77597 pep:novel supercontig:GCA_000165425.1:CT868274:390605:392309:1 gene:GSPATT00013085001 transcript:CAK77597 MGNACCNHQEIHQNHFSSHTQILIPMCKQSNIWDSFQDDDENISPIKQYITPSAASKVDL DFSSDTNQSKMKQTIQLPGTRKSVKISYNNFVTMKQGEWSEQYSILKKLGQGSYGSVWLG QHKKTGILRALKQIKKDSLLFEDQQRMLSELNILKSLDHPNIVRVFECFQENDQYIIATE QLVILSRYLPEGELFERIKKLQCFSEKMAADYIKQILQAISYCHDKKIVHRDIKPENILL SGQGQEIKVIDFGTSRYFSSNNNMQKKLGTPYYIAPEVLNGQYNEKVDIWSCGVILYIFL CGYPPFTGKNENEIFEKVKNAKLIFDDEDWSTVSKDALDLIGKMLNIDVDKRLSAKQALL HPWVQRNAKQEIISLQLLSNIEKFYVKSNFQKAMMTFMVNQTLQNQEISELKATFHALDK NNDGNLNKLELIAGYQDILKSKELAEEKVNQILDDLDLNHSNLIDYSEFIMGAMKFEKLV SIERIKLAFRLLDSNGDGYISKEELEDNMGFLEPEIWDSFLKDCDLNKDGKISEEEFTNI LVTL >CAK77598 pep:novel supercontig:GCA_000165425.1:CT868274:392348:396503:-1 gene:GSPATT00013086001 transcript:CAK77598 MQMNPKGYKYQVQIHIEKLEMKSNLSFKVQVVLKYGKQQKIQTQQLADFNQGKAIVNETL AIQTNVYQINGIYQEKKMELLILLIAPTGNKKGGLCFFDIADYLNQYKNDINEELLLQDC PDKKAKIHLKIHLAEVGEVDFDAINSQSSIVQEQTSDKKTGIFTPFKEAFESTKKYFGDS QSGQSPNLNKSFSKSQSNHPTVTDVSSQLGSPKQQQNSNMSNQIQLDLQHLHEELNQQKI LYMEIKDQNNKLQQEVQTEKVKKEALVLQLQEQRKQIDKMVDQNIYQEQICQYEQQLSQI QSQLRQAQKDNENLTQNYKKAMQKYNKLSINSEEQNTSSLKQRLQELEEICKNKDQLYSV LERSNNDSQHRNINFENQITQQNQQLLQLKNKLDEKDILIDSQKESLNNLNLQLLNLQNQ NCSLQQNEKIHNEKIDELSKQIIQQQEQINQQSIEIKKQCEDHLSLDNQNIENLTQQLNN KNNELAEYQTQCEQQKQKHQLEVEELLKQIQQQKQEKEQIQADLEQKYMEKEKNFQNELK QQQEREEELSTKVQVLIQQTNQLQQLINDSTNQAQVMRKLFLDPQNESAEADLPNQLMEL NKAIALKFCKLKQDLEQNSKQIQEYEIEDSKNSYNQQSLLIEQLQLTTIELNQAKQKIDN LQSENALLQKQMQTNSEFLNNLNQLTLQQNEKIQNLQNLEIQNKDLIQQSISEKQINQQL SEQNIIFDQQNQDLQIKLQALQIEILKKEDLINNLSSKISQLNQQNQEQVIINEQLQFQI KESIHNTQELQQENQISKTQYDQQNENLTMQNSTLEKEIIQLKDQITILDEQVNNLNQKI KELEILNSLKQDEIQQQDQIQQQDQIQQQVQVQEQDQTQQYDQLQQQVQLQDQVQQKDNQ DNKQNEIIQQTYQEIGIQTEQLEQQQVINLELNSQLAEPLEINKEQHSKLQQQIEELTIQ LNQQTTALQQAQNDIDQMKIENTSLVKSHEMQLLREGEFTLQIEQLIEINEQKSSKIEEL ETQIKESQLQYQNDIQELQQQLFTENDEWLKEKEIIQQYIDQLEHKGLELTNQIKVKDDE IQNIQKQNQQLSDQLEGLQIVNEKAQQLEKQCLENKQKFEQQIDEINLKNILKNNDFIKQ IQQLQQQSQDDQVKLLELKKQLEEKEESIKEKDGKHAIEIQLITNNYVNRLKDKDDVIQN LQQEIQSYQQVELDQQNLIKFLENVKENLEQQKSENFEYIQEIQLTNEKIIQQSEQQELQ INQLQVTIKELEQKNHELETEKINISNNYQQALIEAQSLNQTQDNSNEIIEKMQEEVVLL KCRIGDMFNATLEFGGPKLVDKLQQALGIKE >CAK77599 pep:novel supercontig:GCA_000165425.1:CT868274:396678:397292:-1 gene:GSPATT00013087001 transcript:CAK77599 MLDDTYAEICFNYRPKKKIITPYKQQTNFKSKQDVYDYVDRLQAQAKLPPIEHEFYLNSF RSSNSHKYKPIQCSKSVLVQKQTKNTRLTSLMSEISSTLEEGVITQQEINDSYSKEKRRL EDQESKYSKLLQNLEQKNHITNSNWKNLIKHHFRNLNEYMDYAIKDIKEEKHFVYSHQIS TRHHYLFVDNEIRRNFLKRRQTKT >CAK77600 pep:novel supercontig:GCA_000165425.1:CT868274:397336:398961:-1 gene:GSPATT00013088001 transcript:CAK77600 MQCDSFAHYELQTILSETDYSKRATKIICTIGPACWDVPTLAQLIDAGMSVARLNFSHGD HKVHGETVAKLREAFKQRKDKPVAIALDTKGPEIRTGLNKEHKQIVLKKGQKLEITTDYS FEGTSECIPCSYQSLCKTVHVGSQILIADGSVVTIVDEIKENSVMVTVQNDASFGEKKNM SLPGAIIDLPTVTEKEEEDLVKFGLKHNIDIVFLSFTRKAQDIEDVRDILGPRGSGIKII AKIENQEGMQNYDEILKSADGIMVARGDLGMEIPPQKVFQAQKWMIKRALNAGKPVITAT QMMESIITNPRPTRAEASDVANAVLDGTDCVMLSGETANGAFPIIAVETMGRICCEAEKC VDNEKTYWNRIHDRGYLEDTEALAASAVQMSFETKAHVIICFTLSGEIARLVAKYRPRAP IIAISTEDKTIKGLSMTSGVTCLRVPSFQGVDTLVDYAIKSAKTRGIIKTGDKGIVLLGG SEENPDESNILKIKKIN >CAK77601 pep:novel supercontig:GCA_000165425.1:CT868274:399063:399749:-1 gene:GSPATT00013089001 transcript:CAK77601 MFSINIEKKDMYSRTSKQYIRLKRQTEKIPRSDGQEVCNLLFKPIQDQADSSCESSPQIV TKIQFKKTQFSKIQVAENTINQYLTPRGHCDQNLNILNRKTSHSVIAQYVMDKNKKLKSS VREEQKLPLIKQPSLNEFNQYFLAQKRSIDVGRLSQQLMQSKSQDNGDKQIQLNQKQNQF INKQINMLIQQKSDPQINQNIRIRTLPNDINASKTKTSLLRKLRPYLK >CAK77602 pep:novel supercontig:GCA_000165425.1:CT868274:399828:400423:-1 gene:GSPATT00013090001 transcript:CAK77602 MLILNTTMKNRLITNIKEGETMPESLESLNQNRKRSHSFDLPFITLLSANQLSKMQPKLK NMLLIPNSSNYRNSARKSQRSEKPDIMDAISLVSNVASETPQQKANIRRASQYDYISLKQ RRLDSFAPYVYVSQHCRDMAVYKKKKLNRIKMQIYEKIKCQEAVESIEKQKFSIAIINQN NVSESQNSQ >CAK77603 pep:novel supercontig:GCA_000165425.1:CT868274:400455:401087:1 gene:GSPATT00013091001 transcript:CAK77603 MIGLFVVEAIVGMRKNLSKIEYQVKWLGYSKDENTWELQENLVQNCSDLINQYHQNQFFQ KFKGLITDYKEIKGNLRTDRPFQIIREFETQFLIAYYPRKNRFVGSSLINKSEAPIDLLQ EFYCKQEEDAKQQEYNNQQIGCVLEFSEKQSYVVLLESKERVFVDNEIIIDRQPELLLDY FENMNWITTVE >CAK77604 pep:novel supercontig:GCA_000165425.1:CT868274:401302:401617:1 gene:GSPATT00013092001 transcript:CAK77604 MQQQTSPFFQCQEYVAELSKPISLIEFAKENMQNQLSKEQLSNSHNLLSDHKHKKSLQDD KNSQSIQFKLGQRLAQQTLQSTSQMQWQQVGYKESG >CAK77605 pep:novel supercontig:GCA_000165425.1:CT868274:402041:402310:-1 gene:GSPATT00013093001 transcript:CAK77605 MSSYLPFHNLAYCPKQIIEFVQRPDMSLLDLHKMVESLKLPRNHSKILRKMQILVQKQET FLNEENSNIRTYVDEDSISPMMIFGKLGY >CAK77606 pep:novel supercontig:GCA_000165425.1:CT868274:403093:404774:-1 gene:GSPATT00013094001 transcript:CAK77606 MTIDTIFKKIGTLILQVMITGKFSKGEKQQGELKSVKLWIKKIIYNQVQQLRGDSNCSRR QGVVALGLFTQLMIQRRRNIAQRNLKILILKQLKAINGFPELIHYGKDSQYQYYICTLLG ENLEVLLQKCGGKFTLQTVLQLSIQLIDRLEVFHSMNFIHRDIKPENFLISKEDTSLVYL IDFGLSKYYRVTDGRHIEFTQKTGVIGTARYASINTLQWMEQSRRDDLESLGYMLIYLVK GELPWSNVKAADKDDKYEQILQIKMGLSLNQLCFNLPKCFIHYFQHVKSLLFQQQPNYSH LRNLFEKQLLEYDHQLYDWELKTIEKQQQQELYIDPNSIPDIRLQNELDVVPPVDEEGQV IRLKQEHQHHVKFMKELHEKYYDHNSYKQNQQIQLQKVTSIEHISEATSKQNNYQTSNHT LIQIESQPHILNSVPQFSKRKDSKSYTSFKQSQEHKENRKFRQSNDDYDLEMANEEAANF DQNNLEVNYFSK >CAK77607 pep:novel supercontig:GCA_000165425.1:CT868274:405271:405669:1 gene:GSPATT00013095001 transcript:CAK77607 MGERLLKWNKRVSYQGNLVNGKQVGKGFYIEIMEIILKRLWDNQRKRNSQLNIRENQYQG VQIQGKKEPNEIDGNIQIIKDMEKGQRMVKLKIMHGDWIQDKRTRNGQKNTHRFIDEYHN SIINLNRVNWQI >CAK77608 pep:novel supercontig:GCA_000165425.1:CT868274:406840:407082:1 gene:GSPATT00013096001 transcript:CAK77608 MTDPTQKQLEYYHNNKVLIASQRKIAVPKLQSPQIGYQEPKFLSLRKAVSQQEICVYKQH AFMNPNNQNRGNYEQRITLQ >CAK77609 pep:novel supercontig:GCA_000165425.1:CT868274:407152:407915:1 gene:GSPATT00013097001 transcript:CAK77609 MIQSRLKISTLNGGIWTLNNHKQAKLDNYNQHLLSREIWTFNFLTFLQLPDKQIQNHKVN FKLITRDQENEENNIIQFQPKYVDQCLIQVIKKLGIDYSLSQILSIQVIISNYVRNLSCS VRTTPQQDTLNKSISIPKNENLYEIDGTKFPYGLYSMHKKRRDCIDDVFHNSKSQRSKNT FSNYVDSRISQSDQTQKENKQKEYTSRSFLDNAYFSIICAKNNCKHRIKIKIRDSLPKDS RFQQS >CAK77610 pep:novel supercontig:GCA_000165425.1:CT868274:407964:408698:1 gene:GSPATT00013098001 transcript:CAK77610 MSENLQNQKDFIQENQLIKQSLLQYWLKISKTLDEEYEEILQLGSDSDPIDIINALFIIE DARQEQLKSDKQQLETLKNQIESQKQEIVDLQQQNEKLPQSNSKPEFNSEVRQLEIKINE LSLALVKSKEEKVIYMEQAQKQIQLLKEQELELKSKLQMMQFEKSKKSSFAEIEENVRKG SKDYIEEDISRQSVSPQTQKIKLDHSKPCISQSLKKLTKVDQVQGASQSSFRFGNK >CAK77611 pep:novel supercontig:GCA_000165425.1:CT868274:408935:410633:-1 gene:GSPATT00013099001 transcript:CAK77611 MKRFIKPSNLGTKYPKLFNWQPENYGLPKGFAMTNFTELKGCGCKVPQTQLLKYLGQIGE GDIGKETPDVSVYPVKRTQDFHTISTIDYFYPLVANPYAQGRIACCNVLSDLYAMGVTQV DTMLMVLGVCTKMTDEEKQISTSLMLEGFNDCAKEAKTSVTGGQSVQSPWPQIGGVGIAS NHKDYFIIPNFSYSFYYLFLTKPFFFQLSFNTYQFITTIPSKFYSIKFITNYIQIYLSFY QSTKINFTKKSSSSPFQKNHKNKSTTYLTLTLNPLQSIKPSISKQXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRYNIRLILLGPKCINLFRFIRGG VGIASNHKDDFIMPNGAEAGDYLVLTKPLGVQLAVNTYQWMTTIPSKWDAIKGITNESEI NQAFDHATKSMCTLNAAAASLFKKHNIKASTDVTGFGILGHARYLARAQRRKVQFVIDKL PIIQNLYKLDKKARDFRFLEGLAAETSGGLLIATKDPDSFLKDYKHLIGEWGWVIGRVEK SQERDAVISKNVKIQEV >CAK77612 pep:novel supercontig:GCA_000165425.1:CT868274:411230:411803:1 gene:GSPATT00013100001 transcript:CAK77612 MSSPQSIGSPTLQAHFKPSLFAPKTGSPYSSNLIKTKQPSSNRINTPIIKTERARTLNFS DSESKPESKRWDAVCKVEKSINILRKTKGKVDFSFQIKVEEIINEMMVICGIDEKVSKLF LQIKEEREQRKQSQLKTLKFIKGQLDQIEQLKRRCQEYY >CAK77613 pep:novel supercontig:GCA_000165425.1:CT868274:412175:414917:1 gene:GSPATT00013101001 transcript:CAK77613 MFNQKKDYIKKKYKDVPPLNIGDVNRILQQYKSDEDKFRMFASILEIQKQHTTRTHESNT EEVLRKNVQRLIELYGDMDINYDALNNIYKKVDENQDIQTQIEKIEYSNIFQGDMNSQII SVQKQIDKYDHYLNVIQTKLSKNVFTNYSSYLQALTHIDSIGNVSQTLIIKVKESREKMQ KTQQTVIKHSKQILEKRQQILNLQLLIETLKKVKDQYVLPYESFVLSMQNGYIDASTYLP TIINIEINDGFQFIPKNYKEDRIEYVRKLTCKGIIDQFINYDEKIYKIHYDSYLYMEKNN IVQPYDQIVHILILRAFEAKLQIVFDQISQILQIDFYFDNLTDSLNQISKEQLTEFYREL CCQTVHFFMNFHLIIRFHIENTQNSQIIQLRKLIYEKVFDRICDFIDQTTSWPRMNKEDM ICFFGMLTILISKCEVFGGQNLQKYKTFIEDKFNNYLETRGNDKQIKESLLNEDCKKCMK ITLNQTQNILQIANKQSKFHIFDYTDPLPDTLNIFKSIEYKKIIDLIMGTTVSMKKQNNT SSLIYQGKERTLLLTSTVSQLYIIIQQQLMYIHFCQQHKIKLVEELIFLINYYIYTLAIP MILDEHKRYIFDDTLNFNYDQKQEPDQYTQQFEHISYVILYREKYVDLIKYLKKILIPKD LKVKKTYQFDLMDRVVYIESILFLINEFDSIKDVFTEFQPFYQELNSIIGQLQDIVIRDS INKEPYASLVLCKYDLKQNDKEDQQRIQLIDKIISTNEIKLQGLSQQQLTEVQTIYYDEI IYLFAVVYSRIKKVNNTGREVMLNDYQKVSKTFNVENSLYESFVKILNSTQAETIIEYMN NHQKIFPYKMLMGLFNAQGLQNCKKQLRKDQLFKIFQNYQQ >CAK77614 pep:novel supercontig:GCA_000165425.1:CT868274:414953:416647:-1 gene:GSPATT00013102001 transcript:CAK77614 MTSQYDYSKIQFECVVLRKHFIRDVKYYCYVLDKYLLMSTKPKDEKPKYVLFLQLSQQVG WITEQKDNKLIIKALTIRYQSKFKDFTGKTEDLHIIRQQIKNKVTFVKISDFYEAQYNLG KGSSAKVIQIKEIGSESTLLAAKAIDKHYLQKSQFGMKAFLNEVEILNLLNKQCINAPFI KLYETFEGDYTYYLILDLMQGRTLAEEMELWKELFPIKSVRIIMQQLLEGVRILHENNII HRDLKPDNIMFREQDQYDTLIIVDFGLSTFTEVSKYQFPKCGTPGYVAPEVLNLIDRDQK YDKVCDVFSCGCIFYKLLFGHSLFYGNSFNEVLAQNKKCNFILDGRDMDMIPLDAQQLLK RMLAKNPLERITAKQALESDFFICPVTQSQQNISKMLKTNNSSNIFQPTTEGQLSEADSP FQRVQNTNNEQIDFDSDISENKSSSIQIRQLPSVKKPSFSKMEETNLDSFYTKDPLSSFK KINAISLKSLNESQDNKIYQSEIDQMQRISLFNRYKDN >CAK77615 pep:novel supercontig:GCA_000165425.1:CT868274:416666:418164:-1 gene:GSPATT00013103001 transcript:CAK77615 MIKRLKFNTEQIRRASTVLQKIDLRMSQRDFSNYQDIIDIMIFILLKPCELRLQADVELL KQATRSLDFFANLGSDLLHEQCCRNLRHKYIPLGHFVFKEGEKGDNFYFIISGRVSVLKD FNPSDPQASIFDNEIKQLTNFETFGERAMDSDALRTASVRAIENTHLAYLNKESYQIIAK SVKNQLKKAYFEEFANLDFFHNWRFQDIKVFYDRAYVKRYAMNATVYKEGDPLDYVYIIK KGEFKIVKIIKTSTIDLNEMFKGDFEKILCGMSTPKTYTISERLEARFQRKKYGNIYYLE KKKPVTIKYITAGQMFGEMEFLMQNNNVRTHSVYSVTDQSELYMVKRECFETILDKVPSI KNALIQLSEQKNNNFMRQLLQYEKHFNDLTEVKKDLERNINLDFLQLGSTEKRLPSPVLQ QIRSSQPVVKKVLKIPKIEREVQRIETKKKFLMRRRKTSAFKIHDSNSEMDGSQYNFISS IK >CAK77616 pep:novel supercontig:GCA_000165425.1:CT868274:419257:420301:1 gene:GSPATT00013104001 transcript:CAK77616 MFNTLNLSQYLHSQMNNSMNPQNFTQPLTSSQLPRHDAQEMIQSVINQPEEEMNIEKLDQ NNSGDLDGMVKRESYACIPAQQTIKQEFKRESPHVKISVYKQHQLASQKFYILQDDKIIG GNQVHKNEIQIIRRKKAEDNNLDFGLSGQESNVELIPCKILTKYGFLYSSRITSEILVLL SLKYLPQGQSLHSKIAKLPSNVFRLIHQFIKVKPKFFVQDCGTSLKTLVRIQKDKPKRMQ LNNKYLIGSDLYFNVVQLISNPKSTHKQNLETDNDYFFQTLVREHARDRSRIHGLTKEEQ EMFQCIDI >CAK77617 pep:novel supercontig:GCA_000165425.1:CT868274:420428:420831:1 gene:GSPATT00013105001 transcript:CAK77617 MAVFIAKEGQQQIFKIGRSQDCDIIVNINTVSRKQTQIKFNGNQWEICDGEGVKQSANGT WQSLQQYDNPLSQKSKQSIPIQIEDKMELKISENIFKFEMVGFGISKRRKLNNSLYKELT NIEQL >CAK77618 pep:novel supercontig:GCA_000165425.1:CT868274:420980:421945:1 gene:GSPATT00013106001 transcript:CAK77618 MGKFSRNQKQNQGLKVRLESKLQLGQLKVQIKKPEIKKPEIGKPPGLNKISMAQPKIWST QQRDINIIEFLINEGRHFLLHFAGAKKNLKNEQNQYKRMLKQEKYNEQKSNQPLYEFLES FSENHLGQPSRNKKKERIVVFFFRSNCLHNLKNRYTKQMDIQNENWIKILKEIKFILDET FYTELIELLKKLEENNDIKWITEQLIQQFSETDNLKDIQVIFKNLLIQIFKGRLDEHLYW DKVQNLPPDQILKIYKERIPHYQPQIMKFVKSVKTLFDGESKDNNQNFQYQDIEKDHFDD FDYEQQLFKDYSVINFHSEEF >CAK77619 pep:novel supercontig:GCA_000165425.1:CT868274:422005:422919:1 gene:GSPATT00013107001 transcript:CAK77619 MAQQSAEFAQKVDDSHKGQDDDKNQPQEVKQQNEEKNEAPKTENKVPSLFGSLINGQNLF TNNQTSSIFQGSLFGSTQPTTGIFSTGPPVLGNANVDLTKPGPSLFGISYTAPKSDDSEP GEDDGQDDQKPEDDEPQQIVMKYDYTQNTDQLVKVNVEKFRKNTNDILEKGSVAIEKTKN GNAYYLVYRNAIQQSFYTGQFVKGFSEIKPLGQKPENLIIKAISRKEKQYRRQDEQGEDE KKSISVDILKVMFTTKEGSEEFKTEFAKIFQ >CAK77620 pep:novel supercontig:GCA_000165425.1:CT868274:422923:423289:-1 gene:GSPATT00013108001 transcript:CAK77620 MGNSNLTQTCNQDISQSCQQNSGLTEQEIEGIKNVFNSLDPKDGVISTETLRKLYRDSYD APKLNDQIGNRESLTFQEFFEVMRINMLEKKKNFPNVEFNDMDGNVQCFFCQP >CAK77621 pep:novel supercontig:GCA_000165425.1:CT868274:423323:424954:-1 gene:GSPATT00013109001 transcript:CAK77621 MQGTPDLDEPNVNNAQQAWGKLISLNGGKFNSQDLYDEEITIGRLDTNKIVINESRLSGL HCKIKWDSANNLAQMQDLSTNGTFIGDQKIGKNNEIILKNGDEIYLLHKSKVPITDIIGF TLVIKSVKEVKVEVQLDEQQQKKIQMLEDMQEDMHCPICDDLIFQCVSLVPCLHNFCGAC FSDWMAKSKTCPSCRKDVQSVNKNSMVNNVVERYLLMNPDKKRPAEEYKEMESKNKIKGD AIVFNSSDPIPIVQPVTNVAPARGRGRPAAQQQAPIVQQDIQTETHKQNHISDYSAEEDD GPVTKDCVTCIRSINGYQCQRRSVPHLNCGNCASKMPKFDLNEKRLVFRCQLCENYFCTL YNKNCQQLSKKNPNARIERHAVPGNVDVQCFRQNQFELQAFLDYMKQKGLTTQDIFKYML DNHISKGGFKYVEGKRTHKDPKRTIDMLILNETPVCNQCFTLVWQQIVFRYRISIRDQMA DKIKNRGQCWFGINCNTMTHNPQHAEKLDHICEQTKF >CAK77622 pep:novel supercontig:GCA_000165425.1:CT868274:425023:426482:-1 gene:GSPATT00013110001 transcript:CAK77622 MGAEQSVAQGIVQSKNFKILGYKENEIYGSFKLIESQSKQYAMISKTCQSYEEYTSLCKI LNETKSLNEIDHLVKLVEVDTQEETNLCSTFYKVTALFEYYDITLRQICKQASINCLFVL KGLTETLSKLYGHNIVHGNLTPDHVLIERIQGQIKLNNCTQLTGYNHYKRILSGDSLWYL SPELLAALGAKELRPQYNHEKNEVFQLGLIALEFWGCGNIQEIYDKQNYKINEDVIQSMI EDFGVRNGQVLKNVVKQMLEADPNCRPTMTELNQSFIQLFQIQQQVDEPKVEQYEEQENQ YEQTTNLQEINKETYKIQDEVLQVHKESFQYLEGGQSNTQKNENSPRDQFEESPKKVEKQ SGEFNIQSVKSSVNQSMKEYYVTGSIAAQFGQLHLLENNEEQKCGGITQLQENGDVLQEK SQNHPTSPKGQDSIKPKKSVKVNTASANKKGVSIKRPKHEIMDTKLPKQAVVVTKKR >CAK77623 pep:novel supercontig:GCA_000165425.1:CT868274:426993:427697:1 gene:GSPATT00013111001 transcript:CAK77623 MGSSHCCSLQSDDKSEIVLKIEDTEKSSLRSSRKSPKKQQETPSQKEKLLVHPNKCEDPA TPGFADLQSFGSKHSEQSCKDIQLVAEIVMNLEDIPKKIEEVQQSPITSQRSLKKRRKND SPLCDFQPKNSLKSFDSKKLNKLKSLSEHRVKFEEKSDNESNRSNSADNKSVKSIMKQEL KYSQFRKMQTLGDAESRKKVHFQLNK >CAK77624 pep:novel supercontig:GCA_000165425.1:CT868274:428888:430485:1 gene:GSPATT00013112001 transcript:CAK77624 MKTQTVNSLHRIYGKKERQPQNQQTYSESNQGIGHKFKTSSGLDEVQMLQQQGSPNNYQG QKQTIFENDFYKKPFKPSDFKTSSLFNPFKRQSVNENYAGLQPLSENRVKIGGSKSYRFR KQDNWKLQNWEITSRDEEVKDDEIQEQYIDSQYQQSQQGNSFIQIRQLKQKEDELNNFIQ KTGDEMNDLKNQIQEQEEKINILNKKAEKEKEVAKKMKDQISTLSQKNNRLKTQLLQQKL TIDQQIQKFSVAEQEISDKNDEIEKLLFQIKEMELKHRNLQIQNEKIEQFKANLKQQNQE NEQLKEELSNLNQEKDNQNQIIYELNQQKENQCNINLEKDHIIIDLNEELENMKINFETQ NQTIQELTKNYDQLSQNYDASKQQLNNEIKTKQNEIIDLQIELDVLKLGDTIITVNVKSF STNVSQTFQMLLRDSISDLYILAVQKGIHKGSPLDCCLLVPSKNIKYASSEWKQRFQEIF IPNDYTNKTITLEFCQSS >CAK77625 pep:novel supercontig:GCA_000165425.1:CT868274:431802:434301:1 gene:GSPATT00013113001 transcript:CAK77625 MIEENQRLLTLNSPYMSRKSLYNLRLFNNQVSHHRASMSNLPDQEELTNQLNGKKEQNNI LNEENKKLKTQIKQYERDIKSYEELIQTMQNKINFNMIANQRDQPLNKKDNRNQFFQKKS PIYENKQKIEKLNTQIRELAESTKAKNLTKLSVLIIYNQIQRNKKNQLLLEIEIRNDIIK GLDRRIKEIEQLVDGNQNLQNLQRVAQQSPSLEQKQQEVQTEEDMKKKKQQMFQDLIVIK ISNRLIQQLRPNPLQKTSIIEITKQRSSSFQISNESQIEPRRDIKSNSIIQDDNNVIKRN FQLIKSIEDKYVQLKQANKKQKKEFLDLKNKFTNQMQQKENEKMQFSNNAQINQNTIARL TTDVETKNDVITNLKQMIKRQPCISPPWQMQTPRVXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXRDEEVKDDEIQEQYIDSQYQQSQQGNSFIQIRQLK QKEDELNNFIQKTGDEMNDLKNQIQEQEEKINILNKKAEKEKEVAKKMKDQISTLSQKNN RLKTQLLQQKLTIDQQIQKFSVAEQEISDKNDEIEKLLFQIKEMELKHRNLQIQNEKIEQ FKANLKQQNQENEQLKEELSNLNQEKDNQNQIIYELNQQKENQCNINLEKDHIIIDLNEE LENMKINFETQNQTIQELTKNYDQLSQNYDASKQQLNNEIKTKQNEMISLLIELDVFKLG DTIITVNVKSFSTNVSQTFQMLLRDSISDLYILAVQEGIHKGSPLDCCLFVPSKNIRYAS SEWNQKFQKIFIPSDYTNETLKLEFSQSR >CAK77626 pep:novel supercontig:GCA_000165425.1:CT868274:435249:436510:1 gene:GSPATT00013114001 transcript:CAK77626 MIYNHKLYFYFKYSYFYNWDFKVSISSLSNMGTKDFDFSMGLDFSYSLISFLLSINQYIY MSRILKNSVISPMFKRKETLTFDHRDQSRRSTKRFHSVVPVRKLVSLSTPHINLTHQGES RFERKLKEKQKTMITPGTQLKNDHGLQDYLDHLNSVRIFRSPMEDDATIKKIKEEVQLFQ TESQTTLQRFKSLKEQGEKRKKVSLLETVRNPLAQQYKKFTEQVYNLIHNLHLLKDYLNN SRKLFQVVKNLIGMKKNLYPILINPQTEELYEFAEISRLCCIRDVQFINGEQRVIERFDL SLMDDLIYMSDSIKHLLQQNQLKAIERMRDNIKVERYEINKLRGQLGMKEEHHQLSIGTS AMQRFKPHLELESIESRLGLLNQIPKQARWTSQLLQDILDRLNE >CAK77627 pep:novel supercontig:GCA_000165425.1:CT868274:436628:437158:1 gene:GSPATT00013115001 transcript:CAK77627 MNLQIQLELKLKDFEYRKLQQQLNAMKSVVDEKAMRFDSIKKQLAETFSALIKYVQLELQ NLKKELLTLINTQREEIIQFQNEQISLKYIQELELLNQQYYEEKEQFKIRIDKLTQQLIE SEKNEVYLMMLNTKYLKENELLNQQLLSKSRQMSQTEQNFYPNKTGFISKFLNKYT >CAK77628 pep:novel supercontig:GCA_000165425.1:CT868274:437171:437869:-1 gene:GSPATT00013116001 transcript:CAK77628 MISQQPSNILNHSDIIDEAEKLTQNNSIIQQMFKELSLSTEYPDFSSVDYWNNRYSKQKD KFFEWLQTYSTLQPFIHNCLFGRFDISQILYVGCGNSQLQDYMQLDGIKNIRCVDFSDVL IRQKQQQTIPYYLMDVTTKIDFEDEEFDFIIDKCLLDSLMSGSSFFERVSKYLSECYRIL KPNGTFMIISYGHPDIRTIYLKLFKIQIIPIEKTKIEQFNDIEHHYIYMCTK >CAK77629 pep:novel supercontig:GCA_000165425.1:CT868274:437888:438797:-1 gene:GSPATT00013117001 transcript:CAK77629 MAMMVPPQMNPKYKTSLCKHWTTTGNCSIGSRCHFAHGERELRNPNDPLPQLPSQNLQDP KLLQVYFSGSLGIHNYKTTLCKYASNNTCRYQEMCHYAHSPEEMIPFEKVRNVTTQVLIS NYVSLIKSHYLLNSHSIPGFNINQEQVELALQMEFQKLIVAQQLKFTLNHLDKMDYTQSE LRLKLNTAHELLNANNFPGCSQTISDIINRPNVPLDEKKHYQDILFESAQEGFKIVEGYQ KQIFEFQCEQAQQLQETYQKSENPQTLFGNAPTLPTTSIPGYRF >CAK77630 pep:novel supercontig:GCA_000165425.1:CT868274:439220:439672:-1 gene:GSPATT00013118001 transcript:CAK77630 MASQLLEKLFQNNPHLNEIQKDQIQETLSYLEKHKISLLFEVTFEIIYKELLSQLIFEMS NQPRKTLLDALKNHKNQQFFDTTDYEVIFEHFDTFNDKTVKINSIIQGLQIIGITKSEEE LNQKYTAILKKGFVNKNEFVDILKDEYSSR >CAK77631 pep:novel supercontig:GCA_000165425.1:CT868274:440102:440398:1 gene:GSPATT00013119001 transcript:CAK77631 MKSFIQCYSKEKIQQVDKLGQQLEEQNQKLFKDKSTVDSIKFDLDSIQIKIINQFYEKAQ EMEKQFSQIDQTYVISLLFYLGIIIALRIETKGSAQVK >CAK77632 pep:novel supercontig:GCA_000165425.1:CT868274:440473:440601:-1 gene:GSPATT00013120001 transcript:CAK77632 MLANVEFNMQGKSYFNYHLNQELGKCSQICQLISQEIHNFKY >CAK77633 pep:novel supercontig:GCA_000165425.1:CT868274:440644:441088:-1 gene:GSPATT00013121001 transcript:CAK77633 MKSTKNLNTIIGQDQEFKRLDEELILSCSDEFQQEPYQQIPLKTNVIQTQVGAMTYRELN LQLEEEMIIKNKSFCVPALKFVFEEMPKSSQASFCFSNQFIGKQKSKEYAVPDIQMEQKK >CAK77634 pep:novel supercontig:GCA_000165425.1:CT868274:441815:442485:-1 gene:GSPATT00013122001 transcript:CAK77634 MKYSQGQNKLLNSKRTRTTLTLKLRNKLVFSLQIKLGILQFKLSILISKMLIRFKIRFIF LINKTLRKSIDTKKVPKTVFQGLGDTIMKSTSQKSIKFHKEIFKRITQSKKDRLIFQDDK INVYFVKEVNKFIQACDTKIPSQDINNREQAIRQIIISKVSIKRPIAFNQNLLQARITQG ILSNPKHLFSVSNKRLKQIEMNPQILILNLPYVNY >CAK77635 pep:novel supercontig:GCA_000165425.1:CT868274:442838:443622:-1 gene:GSPATT00013123001 transcript:CAK77635 MKTKTGEDIDKLDLDNLAHTSQNCEYKKSRFPALIQRIKDPKSTALIFEAGKMVITGTKG QNEAEEAANKFKKQIEKNNRISIQIGDIQTSNIVANSQLPYEVNLMKINDDRSLQGSISY DRSAFPGLIYKMQNPKLAALIFYSGKIVFTGAKNETQIKDASLVLLFKILKKHQQEKNNK >CAK77636 pep:novel supercontig:GCA_000165425.1:CT868274:444156:445314:-1 gene:GSPATT00013124001 transcript:CAK77636 MGKKGNKRDKKPAQFKKIYELLSKYTQVIIVGLANVGSKQVQDIRRILAKKNALLVIGKN TLFKKVLATRVQELPDTHEYFEDLRKFGNAIKELDSLKNQVAGKVGFVFTDTPVFDLKPI IEENKVETPARVGAIAPIDVVIPPGPTGMDPASIQFFHALQIPTKIEKGQIQITKDFVVL KTGQKVGQSQAVLLQKLGKKPFLYGMEVLSCYDNGSILNKQQVSVNLNDILAKFQQNVQN ISAISLSNGWVNEASAPYVLANAFKDLAAIGLQSGIHL >CAK77637 pep:novel supercontig:GCA_000165425.1:CT868274:445393:446576:-1 gene:GSPATT00013125001 transcript:CAK77637 MGNQCGQCECNNGKASLQEKEIVVLENKEIKNSIHQSRGSIKSNKQTGEPNQFSKEQDKR LINKQVSLISSNKQELGKFNELCNDNSNSIKAAIKIQSRYRGYLIRKRKQQNKLKIVQKN AIQGANKNYMQENFEVINLPTQRNDAQLEVRGPYQFKSGAIYEGQWKGNLREGLGTQIWS DGAKYVGEWKNNRACGKGIFYHVDGDIFEGEWDLDKANGKGIYKHSNGSRYEGEWKDDLQ HGQGKEVWNDGSKYCGQYNQGKKQGHGKYEWPDGSYYEGEWQNNKINGRGVYCWADGRGY NGQWQNNCMHGYGVYTWRDGRKYEGEYKNDKKDGHGIYYWADGKKYDGMWSDGKQHGQGL FVFADGTQKHGIWKEGKRIKWLEIAP >CAK77638 pep:novel supercontig:GCA_000165425.1:CT868274:447743:453262:1 gene:GSPATT00013126001 transcript:CAK77638 MSQQFQSQSMSILGYVPALVVQHLLNLKMNKLLRKLPEKQRIRSVVMFADISGFTRLTEL LSQLGTEGAERIAFAINRYMELLVQGIGRSGGDIFKFAGDAMIVIWPPPPNDQNFQQNLE TLLKQAIQSALLIQEKLTKAQIEQGIQLSVKIGFGVGEMNIIHVGGVFNRMEYLATGDPL IQAFHSEHCLTEGGKIIISQQIYDMINNFFECQKVVDHEGHYEVIKLQQAKVKMKADALL IKNNITLSQFQMIRNEIQSYIPAALLPYIDINEEAWSAELRRLSIMFVNLKMDLNSAKNA QGLEQIQRVIKTVQKCIYMHEGSLNKLLMDDKGSTLIVVFGLPPLSHQNDPVRAILTAQL MNKELPKINCGCSIGIVTGTVFAGVVGTSGSRREYSVLGDSVNLAARLMQAACSETTHKI LMCLETAKSAGNCISTAFLRQTQVKGKNAPVHIYVPLDKLVEPTQGNLLPNFKTHNYAYG FAKKSDFIAVQLFGREEQHKKLLNQIDKVIKGVDKKSLIILKGTYGCGKSILAKKVLHRV QEKINSNQYSPWKYGEYPHLLVQQLDPITRTFKLNGLRSILKQIFLLYAKRVEKPPNMEL FNMMVDVNIHPQNMILMLQEIVGLNDNRHLEKFPARQQEEDKEIEIKRIVFQFLSNFFEQ IPSKFSELYEGRSPDQIGWDVMKSSVQRQINYSIKYTNIIAPVVLCLDDIQNYDQISFQI LRQMVKTYDRLTILALYRDSFQEMIIQPKVAEKRKSQEDIAIEGITALEDRMDGNPFILM QLRGVERKGGVDDEFAKMIRFSFNIQKFTPEQECRQSIGEGSIRKRNTSSIHDVVIQENQ SREINNQFLFCENKQKLIKTDVELLFMQWIYMKTGGNPLMVLNFVQNLIDLDLIRLSPKN ATITNELVNLINYEESIIVDAPYCRIEVNGPIIDKLSCLEQLLLKSASVIGDIFDIQLLN KTYPFKNAVNNKLQKFLDELEAKEVIEVMEVREQNIFYRFTCPFMRDCLYQRITFKQRRQ LHKAVAEAIQQLPLPFETEELVECKKLQFQWLMAESNAYQQINFENNDDNKSNSKPNFLV NLINPKCTQDTQKFQNMSSKALKSIILKQIGNKFNRSQNHANIILRGGVLIKKSKNRVSS VPRYVILDGKELKLYQNRQDSLNCELPLCSIPLKSIYSVMALESEKNFTLQIGSTYWYKN LKEMGDRKFLFDAKDNEDLQLWTIYLEFAKALAIYEDFTNNYGKICFPISNQYEQYDSQF KYDIRIENKSIRGIQIEKTQKNNKESIIQRNSRRSNRHSKFTVSSKQFKFMEKNSTDELQ IQSAQVVDMQLLKERVNLFLQKGILLLFSHLFEQSIQKADDFKILGNTSIAMRKLNNVFK IDKQLINEHNKKSSAFLQQQQIMMEDKQETKLQDNWEIVIQKVEMDNDLTNNQNLNQMLE EKIEKTDMIFELERKSSQDQEKLSELENDDQTPKLNLQVKSFKDLKENIDEEEVDNFQLD ESALRKQQHLRIQRLQNFKGSFGNDSFQKMHSESVLRSSPLMKQEDTLTEVLATQSQTEN SKRESTDLYQSTQQPPQLLTKISEKESITDASCQVSRHLSICQVDKIIPVSNNQRSRQTS NINNQQNRSPPHTQKSLTPTNHSQKNTQFNLLDECKSKAKRDSQLSSQLNSGRNKPAHLL TNYINSQKTFSKQNNIVYGTAINNCKPITGDNFILKPGYKVIVQRIDKQAQLIECLYENL IGIFRLRDIAVIEDPTIEQKIEITSLLSKQPSKSPLKKQLQSNRPKPFLTPTKKN >CAK77639 pep:novel supercontig:GCA_000165425.1:CT868274:454011:455089:1 gene:GSPATT00013127001 transcript:CAK77639 MYCTYHVQNQVSLICIATHKCELNRKMCVECQQEHGVDLKQTLPLKQVEEMVKNKFKEFQ LDDTSDITKERMKYKAMLSQTESSIKNILDEISKLFEQTFDRVEQESKSFTNLINNNPNL ASISNSELNKLVEILQWNNLNDFIIQKNLYLVRLENSRFWWEQEVQAFGEKLKTVMNQYI EFFRQQFSNFQSVKPVSLDADLIQELEMKNQAILEYKQIFIDKKQLLNNEIKTNQNEMID LQIELDVLKLGDTIITVNVKSFSTNVSQTFQMQLRDSISDLYILAVNEGIHKGSPLDCCL LVPSKNIKYASSEWKQRFQEIFIPNDYTNKTITLEFCQYDIQTNRQIYEGK >CAK77640 pep:novel supercontig:GCA_000165425.1:CT868274:456040:457506:1 gene:GSPATT00013128001 transcript:CAK77640 MVKFEEFQKLTPKEKKKAMAELHDLRGPTYQIFKEKPESKTQLWNIFSPKIFYLIQYMDH FLRFINPHVFLGCVQFLQLQTSKENEALLGTRLFERLRKIGVPQEKIDQIMQQDNLNGKL SQVSELLRLRSRALVDYVQENLWTVEEAYQALIILFDQGEYERATEIVDNLYPCVEATEK LNHLRNGFLWARLNCKLIGLFKNNNNATACIEAIKDLLKQEDQIKYNFKQRADLLHAGVL VCFISDDTNAFLELFSGDQFLEVVHTIAPYLLYYYAVALLINQQFVGNTSLAQIGANASK SVMHFHLLNYVEEIIVKFQFDNAIKMVNDIATEIDKDFIVKSKKAIIINACKLYFFSTYI KILNGVQIKQFSNYLQLNEEETESWLVNAIRTLNINAKIDGDKIIVQKQDNNAQNTQLLK QLRDLQPKSNMLISNLQRIINIK >CAK77641 pep:novel supercontig:GCA_000165425.1:CT868274:457573:459200:-1 gene:GSPATT00013129001 transcript:CAK77641 MKMKSQIIILDMMILLIVLSVCTLGIYVEAVIFYDISLESSNIMMNVTDIKQIDSLGNQV EAYLIKKHKRSIQLLDNIASFFFYLANHQKQFLVNQDLQLCLTEDDYKNSRYIQNIPQFC YQIHSEQYQQIILNDNIKLLYQGLKQLDQFSLLFNMQWPNFLQVVDTSPIIFDSLYPTGL LLNNYNPQDRIWYQNHMAQANNTKNEFYFFTNVYQVLYGTDKFSFSITQSLFDQQKEFFA IVKTQVYVTDQNLQKIQFNVLLINQDGQVMHYGMENPIRNVEALYIYNETITGFNFTDWK EIEKKANNEQSSQNQYDQIFMLYNKLYQQFVKIKCKKFIKENFTLILFTNLTQQNILEQR ILDEQKGFYLQYGYAVLIIFGLAILLFCLSVFFINLICNPIVNLRLKISQHVLEMGNNTD KIMFKMQSCKKNNNDVINKLNEMFMNISDTLKLDSNKKNKQCRLIEKMQYNKRNVQGSCQ KLNQQIHSLSDFNLRYVDFNQFNKEVLDLLMNCTYSQL >CAK77642 pep:novel supercontig:GCA_000165425.1:CT868274:459486:460866:-1 gene:GSPATT00013130001 transcript:CAK77642 MFKHAGTSENKALIEVNVHHLNINISVPNCEVMIVFQRGDRKAQTQPVQLVNKCARIEQI LRVPATMYYDVKQKEYQKKMGTLIVLIRYDKGMKNAGQIEIDFSSYLNQRKGKIDELSPL SKCPDPNATLSYTINFLSQEQRSKTPEANINNIREDQSKAQITQLDQQNKQMKDDNTRLQ EEVDHLRVQNKEQQIYIQQLLNQIKQTQVTQLNSNSSKPISDTSTVQPEDCQKCIQFQLE LGIYYQIDMVESFKKQKKTKPLPCDKCSNLEQQIEQLKKQYSQGLKQESTDQKFELLNQA NTDLKEQLYELEQQVARIRKENQDLKNKLTIQNQQLIDCRERISELENDDEDDQRVAEYQ KLVEKSNDDLLDVQQKLFSFQTECDQLQREKNEYEQQLGILSKKYDSLELQLFQQKQRFA NAMHAFLSQGDTNAIEVLEKYSGD >CAK77643 pep:novel supercontig:GCA_000165425.1:CT868274:460902:465917:-1 gene:GSPATT00013131001 transcript:CAK77643 MKFVLLLLYTISFQGILSETVNEKNKCECSKIYTIEECNINCEWNQVAGECQNQTLPLIR TSYCSYQKDNCSKTIGCANYNGICVPFTGCTAIKQNTNYACQQYSEICITDGSKCVEKSV CANYKTRFSCRNNYVNYEWQEYCFWDKKECRNAQACNELSFSLKNDAECRKQLSWCTFKK GGGCEDSGELCKDQKFQQQCITNRKGDVKCYWDGQACYDFSCKYITKDCVKNGCSLDKDS KCIDRLECNQYTIEQSCTYNKSNIQCMWENKSCQIKSCENASLTRQTNQSCQEINSNCIT QFGGGCKMNGECDQANNKMGCQQNIRGENCYWNGYQCVLKQCQWAPASFKTATLCKNFQS NCVYNGKICIEDGCYAQQTQQTCQANRQCSWQSKCDTKTCETAGRDIKYTSHLECWNYLS TCTLNDQGMGCMMIKYSCNQYIREIQCYQSTQSKCSWYKNQCLDSKCEYLHYTTHYECNN YLSSCTTDGTTCISVKSLCKSYTSEKSCTINSQNQPCIWQNGKCAEITCNLIPKTEDFNT HSECNLYQSQCTVLEQITGCIVSPTECGLLNQSQCYGSKCIYSNGVCRDKQCQDYKGDIT QSNCEAFLEGKKCMRGPNLIPIGCVDRVDNCKNITNEYQCNEGNDKNNNKCKWVYSFCIN WNQNIFKQVSNACVYPAVQIDQSSFCITDKKCIDTGIATVEYTDEICENYLISCKKDTAS ACKSKSNETTPSQCGYYVSKTNCIALSSCFWSNTEGCKVIQNECGAFTKQDDCDGNKDTS GTFCKWNTGGSACQSICLTLTPVNQKCSTVDSKCVINGNVGDTNKCIKKKANCSDYAASQ LECVDDDRCVFNLGTSQCVVRNCTDLTDNLTHQQCNAYKSECTVAYPGGCSNLLPNCSDY LNQRQCNLNDKSKICYWYQNKGCSDKKCSEIVYNALQPKTQCQAFFNDLVCNLNNSENGC EDLRDSCSKYKIEKQCFKQLDGYECMWLDNTCIQASCETTILSSYTHTDCSQQYSKLRCT VNGTNDGCMYQSTDCKKYKTQDQCKKTIQNEDCIWNQLYQECNFKTCKDEIPENQKCSDY LSTCIEPNYTCRNSVCEDYPYDNDQDCKMKDSKCTSNGRFCIQRGTCDQNQYQQACNIDI NNKYCGWNSAQKQCKFLQCSEAPLELIYTQDCETYFPKQNCITKLGGGCTIARSCLDYTT QNQCDDSQLFECIWENQNCRSKVCKDYKSGNLLNCQSKLQNCTTDGSTCVEMRNCAQLSK ENCTIGTEGNCLYLNGQCLPYQKCQSISYTSHQECFDSLQNQCTTDGTKCVPITSCDEYS LKVQCIKGIEGDCAWENNKCSKFSSCDSYHYKTHQECYSINQSCTTDTVSQCIKLMECSK YPYEENCKFDQSGIVKSNGLVTKLNTCLWKDRSCSNITCIDLYGTDHSRCYQQLSTCTSD GVKCINMENACSSYLNSACSSAFSQEGQCVLVDSICTVYDCSKVTVETQCKTLSHCQFIK NLCQPYRKCQEYTTEKDCTKGTDGQCLFKNSKCSVMSSCTDTNQQQFCDSKSCHWNTTSS ACQSHTCQTYGLQNPCGSFYNYQKTQLTFCAYSNADHSCKDISPTIFGSADCYTKSLGYF SNRNDSCTSCVYQPQTNTTIPTNNETKNDTTNNEIGGILVHVILIGLLITI >CAK77644 pep:novel supercontig:GCA_000165425.1:CT868274:466113:467696:1 gene:GSPATT00013132001 transcript:CAK77644 MQKQTEELEFLLKKSPQHRSKAEIDLLVQLTSKLPFFKQYMKQENGLQIQRKCCKSMYCE KFKANEIVFHIDSVGTKFYIILDGSVTVLVRKTTQSEMEAVRVLNGGESFGELALLHKQP RLATISCNTDCIFAVLDKHQFKHILQEEQQKQLDQNIDYFSQIRIFSHLHRTQLKHIYLN SFLYEFEKNQVVIKEGQKADYFILIKSGSFLVKKIMKPNQININLFEIGELQVFGFYHLS INIPYEYSLVCNTSKGYAYKINRTSLVERMFEQQGDDLKQNRIELLQLADLRTETEIKTA NIYPQYFRKRIRTDVIEEHSQVTQPNKNAKLNLFQYQNQKKQRMNQFKLQMDLQSLKLRK KPIKVPQQVSPHTQSDIFLKPHQYYNFIQQETESQMQQQQQQQQQQQQQLINYSKVPKKL LIKTHLAEEFTEKLSCDSPLSTTQTQMKSVNGLKKMILPNQQNRIKSRTFQCQLSSSSLF KTMSCVLQQRYQSITSNNHYL >CAK77645 pep:novel supercontig:GCA_000165425.1:CT868274:468146:468763:-1 gene:GSPATT00013133001 transcript:CAK77645 MVNPQYLQVDLYADLYEQILTNYKQFKFQIQMRSFQVSKDSSFRILCPSNKIEMEEETVD TQIQLEVKNIYRPIKIILPPPSLPKIVTSTKTCNCKKSQCLKQYCDCFANGQVCSENCNC VGCFNNSLNNSQRKDAKLQIQARDPGAFKQAFKGCNCKKSGCQKKYCECFQNNLQCTHQC RCEGCVNCLK >CAK77646 pep:novel supercontig:GCA_000165425.1:CT868274:468894:470897:-1 gene:GSPATT00013134001 transcript:CAK77646 MLKIPCKYHPNSFVERICASSSCKRDPTLCWECMIDEADHARNHRDVMIDFDNFTTRLDE EFRNVLEKRKKDQQLAIEGHLKLLQTKQDFIDRYESQINNRKCWVDYIIADTTNLLTQLL EKVKSELYEHYNERFLQYQNSLNFLVQSIQHRYGLVPLPTKEEMLQTLEELSKQNKECNT YVTDLKVKLLPPEDLGKNLAFIDTFQEFQIQNEIVEELEKQWADTPQADPENPTFQQEQN FVEIMNSIRQQCEVLMDEFKVQINLKDLILSRNTQYQNPDESLNILSDVRNQNSDQKLTK TQRQYDSPKLFETVQPNSPVYNAGIENTNSQALKFQSSQQSRISTSSRIKFYRSVETAQM RGVTSLLQVDQRNIASGSRDKTIRINNLEVPQQSFTLEGHVDQVTALCMFQEKLLISGGG NLDSSIIIWSLSTRKQINKLTGHQSGITSLIELSDNHSILSGSYDNLAIIWNVTSGKSLC ALKKHTAMVSCLQLVKRDIVVTGSWDRTLAIWQLYFEGEEIVSASYLRDIKTEGAVLCVK KYSERSIIFGGTSNKVSVVDWMNGEIKLKLNTSQFGICDIICSDYLLGLGASDSTIRLWV NNQEIEVYRDHKIIINNYNTNPKMIQVSKNQIAVINNKDEQPHFNIFSIE >CAK77647 pep:novel supercontig:GCA_000165425.1:CT868274:470941:471587:-1 gene:GSPATT00013135001 transcript:CAK77647 MKKAVKEAERISSKISSPMIVDLFESQGSGIMPYLKNALKTRLALNQTESCFIDFKRSQF PLFAKDRYFEFLETYNRKDKVDLIRLLSVPLYDIVKVSLKDNKPLPFKLYKEMTDAQLVQ ARLFSQKKMALQSSQTWHQITVKFNFIDPETKKDVVKYNVLERRESDSSEKDWRICKLD >CAK77648 pep:novel supercontig:GCA_000165425.1:CT868274:471596:473080:-1 gene:GSPATT00013136001 transcript:CAK77648 MSLQQIQQHINQIKTSYQIPNKEFQNTFKLFESCVPFLKSENQEQLNQVYRALKRFRRRL ENELKLNQTDYHAFVKKWKSLNITFVPLVYDVKLDFSKKIATLKYEDLINVNFNHHHYTS REMLKASMMIFQHLEVEQFANYNNLENLLTHINLNYNIVSYHNFTHAFALFQLLFCVYEK SDLKLFVSKQDIFAALLASLSHDINHKGVNNLYKVKKSKKLNKNICEQAVLESMHVSTLF NILAQNQQLNFLNYLPNAQQQEFKRILVSSILATDMGQHFNIFSCFKDRVNATIELRESQ DITDPLIRYRGFNKENFDDRKFILNVLVHACDISNPCLEWDAYMKWSFLLAQEFQDQTIK EAAKGLEVTAMLQYKDKLTFFNGQTFFLNTFVLPQWQTIATLYPSLIELPNEVKRNLEIL EEEKKKIAN >CAK77649 pep:novel supercontig:GCA_000165425.1:CT868274:473178:473912:1 gene:GSPATT00013137001 transcript:CAK77649 MNRIPYCLSNFSSSKSTPIQWIKNKLNLKNTRGQLIFNDIEAYIFGNQFNSKIQCDQILI RYNFLIVHIWLVQQALQQHYLKNYLIKQQLESILYKSASNYIYQFDDNYNQEMKQELERN QEVLQFVLDDYYNYQFKKKSLEQIVFEDILLRQQCEKQKVDKICTYITNNYNHMLKYDQG VLRGENEKILWVE >CAK77650 pep:novel supercontig:GCA_000165425.1:CT868274:474312:475995:1 gene:GSPATT00013138001 transcript:CAK77650 MIGIQLCCLSEDCFRVLTNQDNLNNHITHRIVTSQQIIEAYKQSLLEELSDGVYLDFLEK QSHKQSNLQQFIKTLTTEIYDFQQNVIQQTKLLIDHLNSIDVGQLVDQEIIQNYQNILNR SIDCNDVNQLIKIVQPQHSFNEKQLKDGNNDIQGFGTSLNINIQNKIKIMKPKCFYKDIQ EKSQIQGERIKFIQSKLEELNVDFTSKLNQWTKTVESLFSFQQISQFTLIPQTKSKVIKS PAVARIELHNLCKDTLIYAHSNQIHLFDIDTMKMSHSVKFNHSIIDYTVDSEKLLIAIMI GIDLLLYNLISLKKIRQFKITIDTNKGVALKFFENQICVSSGVSLQFFPIFPSSSMPQFN QSLNFHSYWDKIQVLEYCVINKFGVQEMLLVLGTTSGLISLFNSNFKELFSKQFHQGPIN QFRYQQSKKYGKVLLSVGDDLRVNLYQIENTFLKCIQMITFESQILDVLLFKNYFLVTTK NQNLFEIYMINENTECQRIEMDGDFECDWKDRLFNIGEKVVVVKNQIYFCKLL >CAK77651 pep:novel supercontig:GCA_000165425.1:CT868274:476077:477690:1 gene:GSPATT00013139001 transcript:CAK77651 MPRKNPIHRLFCSQNWTIKSQITLFSILYIAFCILLTTTLLMIFLNFSKDSLSESTLSME RLETTNQMSRYNTLQTQSFNRVLNFSYQTLSNIDKLNHFIEMQGQNNFNIIQPTNCIDNP QLWDKYIFNCSLCYKFCNKTMQIDESDYQQYLSLISLITQTLPILNLYRQTFFTSTGFEQ FFTVFKGGNYTKFVAHIRPWYINHMNRTKYENQRFYLSDLYLDWINKLAVAFTVSLTNLK GEMIGVIGYDLAFDLLPYFVDQRLKMEIVNSTGHLLVSSYYEEFKYPTVIYNTSLTGFTK YDWQKMKQFGDDENLGRGTLRVKNRKTMEYNEVSVYKLVYPQFYIIMYSYVLTSYQTDDQ FIKDQQAILGNLDKFDESILQGTIYFSLIILFSVLLIQFLIIKYVLSHLESLVLITKQQI FLKQRIKNKSVAINPDKDNTISKLCRSFLRLNQNLTNFKWKKSKLCRTIQRINYPKKNSG IDFSMCENYNNKIKGLTQQLENYEDDNFAGFSQDLFKRLISRNKYLHKLFIQKYGTQ >CAK77652 pep:novel supercontig:GCA_000165425.1:CT868274:477745:478943:1 gene:GSPATT00013140001 transcript:CAK77652 MKIIVLIVLLGAALARDEYFFAQLRDSEFGKTIIQTLQVQLLQEHPADTVVHLLKQMKDD LLNEQRAEDEDVQVSLQSCQTASEAAAAVITVAKERKATAEDRLPLLQQEQNDKKQQLLD KQGEEQRNLDRISVLQEARNVQRGEYEQRRDELVGLISALQEAKQILSQGITALKKTSFA ELKSHHQSFLKYYPHKKGFHTMVNMLLEVLQDEGTQESAAQKVVKIIDTLVDSIFQVQKE EMKADDARELDFQTQKERLLLANRRLAGSIADLNARYEVLGQKVLEVRNDVSTQDAVINN KQTEKGDWDQTCNDTEKAHRQQTEGRNSQLEIIVECIDIFETRFDMETKSYIQRIRF >CAK77653 pep:novel supercontig:GCA_000165425.1:CT868274:478957:480246:1 gene:GSPATT00013141001 transcript:CAK77653 MDFDQQIEELQSSKFGQTILQTIMMELQTEDPVVSNLINMVQGIEKTLENEQQRDDDRIV RIRQNCDIDITTLNNQINQNTIASLGLKSQLDSLNPQKVQAVASLERKNTEITDLKAELQ YQSHKRETETSAYETILDNLEQALFGVNQVKGYFNSYLDILVKNRKRFEKPSFLEESYSF KYEESEQDDSESRSLTSLTQVAQKVNKIKHHVQLEGYATMLEIMSELASKAADEPSQAEV LTRKVLSILKQIENYIQSERIREDQAEALRSSNFDLLNTLLSDQLVQANQDKTYMEGLVA SLSTRITQGTNEKFEVDQKVAIKTKELENRKTDCRLKNNEYETDTQNRIKQKRVVVVAVD LISSKLGQLKRKLLEN >CAK77654 pep:novel supercontig:GCA_000165425.1:CT868274:480249:482263:1 gene:GSPATT00013142001 transcript:CAK77654 MQSQDEYISLPKVKSNKIDNQEIDLHWKNLTVTIKMVEFLYIQLQKEQSRRPKVTDPLLG LNNEKTILNNVSGYAKAGQMTAIMGPSGAGKTTLVALLSKRYKPGPNTTISGEVLANNNK YKRFNDFGAFVIQDDLLMATMTVKGIQFLMMSETLMFAANMRLKDDLAQRMSRVDQIIKD LNLRACQNTLVGDNIIKGISGGEKKRTSIGMELIGDPPVLILDEPTSGLDSFTAFICMNI LKKITINQKRTVIFTIHQPSLDICQLFDRMIILNNGQTIYQGNYGFLLDYCRQINLNVDI HSTPLDSIMNNLNEAFNQGDNKVTHANYKIYLEEDVLKFHSQYQGYHKRRTQTSFCQEMK FLMKRQFINYVRSPNLLRSKIFGSVFMLLFLGWLFWMVGKDQPPQDENVSIDDLSKYLNN MRGACFVCGTSAIFSALGPLLMLFPIERNIFLKEENSKMYRVSSYFLSKVMLEVPMNVFI QILFSIALYAAFGFIWELENVILFTVISVSVSLSGNGLGIFTGCLFKDAKQSASLGPILL IPLQVFSGQYANLASIPKFISWVQYISPFKYFLEGFGRTQLNDAMFHLDPSGVELSPWTY YGMDFGMWNCAIVLISIAVMFHVFGGIFLKLLVNKINV >CAK77655 pep:novel supercontig:GCA_000165425.1:CT868274:482367:482863:1 gene:GSPATT00013143001 transcript:CAK77655 MSNAFKSAGNNLTKGDLGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQI LKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEKT ALQQQLLGLQRRIAELELQVGQEQA >CAK77656 pep:novel supercontig:GCA_000165425.1:CT868274:482865:483735:-1 gene:GSPATT00013144001 transcript:CAK77656 MSIKIKSVTDIINKYDHFIFDMDGVIWTGGQFIESGVNGVKHLIEQGKSVYFLTNNSTKS RQSYFEILSNIDIKTDLEHIYSSSYLTAVYLKMNNYKKAFNLGVTGITEELSALGIKTRD SEEFKDNQYVTYDIFNSIQPDEDIDCVVSGHNPQFNYYMLCYASLCIQKGCKFVAANPDS YIKVQNRLMPAGGCIQAILERATGQKSLLVGKPSPTALEVIMKQNKIDDKSKVVMIGDNP ETDIEFGWNCGIDTILVTTGVTSKEQAENVKTTYVCDHLYI >CAK77657 pep:novel supercontig:GCA_000165425.1:CT868274:483757:484649:-1 gene:GSPATT00013145001 transcript:CAK77657 MDYLSVYQGYNRAILMEKKNIIKKCLMNKFLFTMLKKEEIWQSIKMFQKQNRRELDQTRE SEDQSSFISDNEKENLFKNKQQSCQCKQQVLQLKKECMLQIRELKAQHQIEMENLQNQFQ KLMIEQKQKYEQEISQLKEDLEFYMCEQNDKELLQLIEQEQQKDKEIFQQQLEYQITEKL KLEQKLIQCQRELKQYQESTQQIQSSQHTQPNYQQLQQSVHKNCAPQLFRDTNRKVKLQS EGIDRSIVNDDNSNRVGICNSIKNFNANIKHMEKSQQSQY >CAK77658 pep:novel supercontig:GCA_000165425.1:CT868274:485247:485882:-1 gene:GSPATT00013146001 transcript:CAK77658 MDNIVSFNSNTSNSKQPNRKKPSIIEEKESNEPRSLIKFKQYDQSVAESEIVPIQIPEIL LEKTPQNKKIFTKQQFHFPRVIQGQRLNICGIKQFKSNHVELAKNIITTYLEDRTMYCGQ YLQRNIPGNGAISKERLLLDVGERKQGKKSGNGVEYYTNRTNDKIQEKQYWKIYNGTFEM IKFIERSIGINIRSMCIRRICKWTVARIIDI >CAK77659 pep:novel supercontig:GCA_000165425.1:CT868274:485894:486874:1 gene:GSPATT00013147001 transcript:CAK77659 MLRSKESKEAEIYDCLSRDDSIIINDMENLGPWHKYGGSIHQELQRISSLQLCKSVSEHH TDKIINSTRHIAQLQSGRRLSQENIKQTQFPLDDKIMKSTSTHYEQKSRTQLMKQLRSPK PKDSSLITTKQEETKTMNIKAEKTNVGFFVQCYPNRNKFERSKLSNFQTSKEYFEKIALK LMYGLSRDQTVETIQTANPNQQFIKQQEQQERNSQSRVGPQTIGRNYFYKKELKFHSNNT SQINLNKTINNSINSILNQPQNIAKQRIPFHKLINKKTVISFASQNQLLEKQYQQSQQQN KKIQRMVSS >CAK77660 pep:novel supercontig:GCA_000165425.1:CT868274:486933:487825:-1 gene:GSPATT00013148001 transcript:CAK77660 MLLSTSKLTTSKLTKSQLSSPQRSTINYLSQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLASEKPKDEQKFKVIKDSVLKLQDQAHNQKSDREAFDDKKEKDF RTLSDNIALSFEQERNIRGQGETKLQKQIDERFAQITLTITRNTHQYEDRSQAKIGEVLQ QIQVVKNQLDQERRSREESAESLSEQIDSEINKFSDQLLVEKKVREETQGKIFRMIEDVH GKLQQDINFERREREATTEALLKLLEDACIKIDKNFRSF >CAK77661 pep:novel supercontig:GCA_000165425.1:CT868274:487908:489595:1 gene:GSPATT00013149001 transcript:CAK77661 MNQSQTISTLNTSIQKAKSDFQQITTQNRSLISQMKIDNSEYTDQLINQKQKNTVVDTQL ALSVIKAQEECALIAQKIEIETKKKQDLENLVNAMKTSAKDISKIENTNSGSELTQVQKA VRDAELKLQQIHIKHNEQLAEVEAEKEQLNLSRRERVIYSTVFKGIEKDAIFKQREYQNC IRQNELLKEEQKFLQDQLKLIKELADKEQNKFQQEYEALFKPQKDEQQEQQSMASDQKSQ VQGPYLTEQGDQSKPILKERSESQQEQKDVAQQVLEYEAQFNKLYLETGFNNVEAILKQY YNQEIWIDEIYKEINEINQEIEQTEQKNEKIQQYLQRFKQMAKAPIKEQVNSEDSKIERI SRGIDQNKKQIAQIQQQYMRIQEQIGVEIINSDDDETTLLPKIEQLEKIIDNILLVSNQY VPKQDQKKQKKGTVKFEDDRSEVSGTKQQTELKSLTDHQLELDIILTEVEKKKTLAESRH TKEELSKESADQMVFSLNKKSNSKRSKHQQSQY >CAK77662 pep:novel supercontig:GCA_000165425.1:CT868274:489857:491410:1 gene:GSPATT00013150001 transcript:CAK77662 MNASHTEFIESYIDQSNNYSKTKQIDKAVQNNGIHMKQQSILRYLVLFLSIWIIIPSFYC FDQPVAIYKTLQQLFQEDSTINFDLYFASLYIIYAFGNAFLPLFTGGMRDCHGDRIIMTY IVVIMIVGQLTFTVGVYFKSFLLMILGRLLLGWGIESLLPLWASFLAPFFKNSISIVLSI LQLFSQIGLVLSIYLTPIIQKKYNLMASLISGIAFILFGYILLCLGFLIDKKLENENYLS SYRQLQPSSDIILAEDTKIIYKLYFFKFKDFKIFPQMFWLLLAFNSVFYCSIVTLVNVSM YVMASVLFDINNQIEELDIALFWMLGCLSLFIIGPLVQYFTYRRYLIIVSVIIIIIGHIQ YLTSPHIGLIILAVGYCLSFVCTWSAIIYIIKMKSFGKAFGLTVGFQNLIFVFMPFLLDI VNRNIMGTFKVLISFSLFALIIAIQILVEDIRCFNILDNKLAPMQFAKFNNASNEENNNN DTDLFAEYLEKPQ >CAK77663 pep:novel supercontig:GCA_000165425.1:CT868274:491541:494322:-1 gene:GSPATT00013151001 transcript:CAK77663 MRAQSPTSKFIPTSTITTTRLVSSPHSNIRDQRADSSVNSRVTKITTYSTRPKTTITTTT TFRPVIETRTVRLCTDKRCQGHEQHIEQLTQENQQLHQRIFELQQEIESTSICQRQHTNT YSCEIDNIKRLYEESQINHKSEIEYLTQEITQYKTQFQHSDDQVKYLTQQLKKQSNNENY ENQITLLTTEMERLNMIILEKNTFVEQLTQKINELDSELINKENIVENLENNSSKLRKQY QEACNQVVLIRSELDRQLETIQQQERNIFLLNQDKQAIEQYTFILEQKEQQLQQLRSNIQ LLEMRLQEQQTTIQAQYKEIDNLMLRLDSANQQIDYHQAESDDLQKYRNILQGELETSKQ ENAKLQDQITKLKQQQTDLNKTIEKLKLDLNQQLSSIQQDFNFELQSKNDELEQNKSQYQ LELTVITKKFQEENYQLRINNEDLQNELNQLNNVNSILQNTVDEKINDISSLKNQYTQLY SEYNTYKMKLQDENHKLKLEIEDALITSNQLQQKKNSLESTITELNLVISSLQQQITQQQ NELNSLRYKSGEEYSNLRIQIEQLDQNNIQLQTIRSRLQKDYEEKLNELKDLQDKFNQYK QTTQQAIKDLEKKIYEYEEKLSLLSSEIQRMLFQINQKNDLIQEQTTVLDEYQREIKLLK QQLEESELQAEEQQKKIEFQMNEALTQQREKLFRFESENLSFKSFIEEQNHVIETLSKQI DGMTGDLKYQQQLEEELSKKNSFLTKQLTDLQSENAENQFKVDHLLVENKALKQELEIRI DTESELKFKLDKLIEELAKKQQQINEFEQRIKEYESIQSNEVVQFEEKITYYQNEVETWK KKFIVLNKDYHKTQEDLMMVQAEFDAFKQRGNATVVKESTSFEVRKSSLYKENITNTKSS QLSQSSQIIVRPLKEVNI >CAK77664 pep:novel supercontig:GCA_000165425.1:CT868274:494983:498672:1 gene:GSPATT00013152001 transcript:CAK77664 MSNNFNRSSSEIIIAYVEQYQELQLLDAQREISKLEEEIIERRKRLLTNADNHGIKANIE KNTNVSTLLNMDEHYISFEELEKKLQTSIQRGLNSDQIEEKQKQFGKNRVTQKQKSPWYI QLLHEMTNVFSLLLWGAATLCFLAYGLSPEDPSQLYLGIVLVGVIVIITLLTYFQNRKSE AIMAGFSNFIPPEAVVIRDGQQLKIPAIELVPGDVVIIDLGKKIPADIRIIESNQMKVDN SCLTGESIAIIRTIECTHKENPLETKNLAFFGTLCKEGNGKGVVISIGDKTVIGQIAGLV SNAIVEETPLKKELNQFTIYIARIAMTIGILFFVLGFSVGYPVIQNLIFAIGIISAIVPE GLLATVVVALAITAKKLASLKVLVKNLEGVETLGSTSCICSDKTGTLTQNKMTVENLWYN RKQVKGANKERFGASYNYEYQTGEQCFDLLHETAILCSEATFDTSLPQNISMKIMNDVGL SQVQKEIKLEEAKIKWQNNYQRLSCQEKPTIGDASETALIIFFQPIHDILQTRSNYQVAE GKDNQLARKPFNSTNKYAIVIIEQDLDDSYYCLLTKGAPERVWKMCNRIYNQGKIEEINE DWDHQFKEINNKFGNQGERVLGFCRLDLPKSEYPKGYSFNMDNYNFPFEQQIFIGLISLI DPLKESVPLAVQKCKSANIQVIMVTGDQPVTAAAIAKQCNIITEKTVNEIMEEKQMTMED AFHLSNALVIHGDLLTKMALDDEGLPESEKGKSLQKWLSKPQLVFARTSPAQKLIIVTAC QKRGHIVAVTGDGVNDSPAIKKADIGIAMGITGSDVAQDAADMILLNDDFSNIVVGIQEG RRIFDNFKKVIAYALTANTAELIPFLAFIIFRLPLPLTTILVLCVQIGTDIFPSMVFVFE DADLDIMTRRPRNSNEHLVSSQLIIYAYAQNGVLETCCGFFQWYVCFNDFGFTPQSLLFL LNKEGVIPKYNDIYDPSDPWFGNSNLRDLYPNKICEGTQLKGSQEIDWIYAKHGAYDLRM TLLKCENGQIVSSIEWGSCNIEMISPVTNRPYCYHTEASNYAQTSFFFGVVLGQICNYQS LRQLKNSFIYNGFNNIHMYLAYFLELLITLCLSYIEVFNAGFGTRDVLFIHYGICGLPFG ILIIIWNEGRKYLIRYFKSKSSYPSWWERCVTY >CAK77665 pep:novel supercontig:GCA_000165425.1:CT868274:498679:499185:-1 gene:GSPATT00013153001 transcript:CAK77665 MLNTKYLDQDNLFELIIFQGYKNLVFYWNFAKGMVTTKSEIQDLLKQNRIQNIYGVSEGL KGFSQAFSSEPITNFRYFLTNYFKFTIYEMCSGVKIILLSNISDQTDYSETLKEVYTNYL EIIKRNPFYLHGEPLDNPLFIEKITDIFEPFQKKK >CAK77666 pep:novel supercontig:GCA_000165425.1:CT868274:499288:500193:-1 gene:GSPATT00013154001 transcript:CAK77666 MQQKRYDSVRKNNLQVHYSQPLQLQYSSQPKQIPKQFQIDKIGKDVAPTIIPALIDMINQ ETDVYYLFDSFHSILNSLKQAEEDTNIIQKNKQHTLDWWKVTGSSQSWTGVRNIQPLSES HMKQSSRFLDSYNSRGSAIIENLKRQIGELTEEQKFKQNSRIIGQVTIKLFDEQELYVEM SKQGRTLITVYQVQNKFHKCHMGFCIYSVFGDLLEKESIINKMYVAKWHRKNGNFLNLVT EFIQYIIKNEVVSKIKIKVNSTQGQLIRHLQLLNFKLASNVPLINQIKILTFVLISKEFK I >CAK77667 pep:novel supercontig:GCA_000165425.1:CT868274:500611:504313:1 gene:GSPATT00013155001 transcript:CAK77667 MNYKIVYQQLIDQNTDWQQLLNFLKQKDFCSQNNINQVITNNLVIIQKLKEKLFALLNLS RFTQIDEYGYHYLEIFKILLCFVESNKNLDEQEGKQLEMIIGTLLEQQILIQWNQSNLKQ QKKLLLQYKLKGKNIQSFQKNYHLFSFDQKQFIKLCTISSAEKLLENVKKQISLLLYCQN FKWEKEMDKKKIDQHLLQDQGFESVYFSLIFYFLQQYCYIKYNDFNQLQGKLSKVKIDQR QRWLNTFISKAKSNNQDQIYLFMINHTVQFSIQKEEYFLKENIFKLLEFNQQKQNQIWPL LQKNYEENSQFDFFCQLKFLPLFKFKKIIAQFKKMSVAKPEIQNSTNLNQQFTNQYFNQH STESQLFTIYLLFSNPSREFTNSIKRALVLTFLSNENRDINFYINELIKHEKNIFYGDVV LLLGLLEQKFKESIASIIKLYNIDSSFMQLKATMILMKYFMCHQKLANKKESFQIFEDLL EELSLKNEKEMLSLKLLFGKDRFQILFVELINYYLISDIKNKKRCMKILKNLVPISLGKS EEKIQTFKALYLCLSPWLLNYLILLNTKAIITQSMFKRTLIIIFTIIKQKEQFTKFLLTN LHSLINKPCYHFDQVLTARERQISDKLNFKLNLIQFLNSGNYHEMLLKECVLLYKEDPVF YEDNLGKINYFNNIKLHSAYFLQKETFEYCPQKGCFSLEDFNISLTETSQEQEKMIVQDW LDDIKDISKFKKLIPFFFNIIKRGESSKKLLSIITSYRRKVQQSIKITNNMKSLFQLFFN NSNDELQVMLLKLYSSMFPVPLIFQNPYLQHAKRETDLYVFNEKMYYVFQQSFSIINFSL SSKQTQIGKTQLINEIFYKQEKFETQDTCQLNNNTIDIMFDTQFNGSRNFCVADAHGQIP IDILIKILPLFRLWIIQVDSLKELKDTQDKLNEITRIVPTINHKICIIIRNHKETEEIIK EFNKIQLKYESDGIRIHKIIDLDQKGLDKSIREMELQNAQTFIFKEIQYRNKITASKNDQ EFLNVIKTFDTDQRLISKQFIEDRAIIKDLEEELNRLIQKPFGFYDQEAFPIRSIEYKLK VLKEKQNESIQQINNQGQNETQSKINQKNVMLSNNQLLQQDIKNQITDLEVQIKNAQLSN ILKIFCKIFNQNQVRKFNEINTYKLQEENQEINDSLMKLKKERDILKQKKSELKKESSLV IFEDEKNKEYKKKFR >CAK77668 pep:novel supercontig:GCA_000165425.1:CT868274:504376:507290:1 gene:GSPATT00013156001 transcript:CAK77668 MFWRELIAINQRSNANTIIDPTDKVYEMIKKGEPFEFLDGDSLQINERFLESLKSKFTGL GNERVLVLSVLGPQSSGKSTILNKIFGCHFWTSVGRCTKGIYLNLLKIQFKEYFHNLFDY ILILDSEGLQNPNQVDPEFDKKIALFVLAISDIILINVKGDIHQQFRNLVEMCIFTLVSL KTNLSSIKQLTWCFNQNNDANNFAPFLNQIQGIASSLNTEYNGEGEEKNATIDYNEFLNI SKENIQILGFACIERLWRQNEGLGIPKDWRQLIINETFSEEAYIFGIRMIKNFIQKFQSQ AADTSQMQSLSLFIQNINTNWQSICNLPDLLEFAELMQYKQDQQMKKYFEQILSSEKNKF SFLDEIGRDIVDQIHNNPAKNLAEFDKISNDKNQDLINKLNQNEKVIQEKLLEFKQNQKI SKKIYLKYMRQLNQHINSLIKTSEVTLFEEIKNRETEYQNKRGFKSLDEYILGVSSDPKK LAILKVNEAQIIQAFNALWDQIRNEQWQKQGEIIREYSIKQFQCISTSFNEYLLKTENEK NYISSFLQNINNNSPFRQDFDERGQIYNIFEQELKKQNQTQFRTIPLLKEKMRYERIFNY NLEQRMKKQKTKYDVMDINNFYQFEIKIDFIEKNSLFDYLKSKNGKAKILEDLEQIFQNA EQNEINKQKHHNQQGDQLIQKAQYIISQVQNLLQFLDFFDCKYDNQLKIVVENTIKDKDK IWFWQNYNEIVIKSVKQLLASVQTIANKNVQSLMNSKINFVKHLQESNQGLNLKSVERIC RIEKEVEEFISNFQHLIINIEKKIIPQQKYKMLEDSLQYIQKKGAYDQHFKQYFVHDFQK IMFEENQLNLQKENCQRNGFSKFQHLNSWQMMYFSIYELIKSEMRKPHQKVKNKKEDSDS EEIAEQNISLIKYIMSKIELEINEYNNSLANFGIILTGIGERYSPAIKNQIKV >CAK80221 pep:novel supercontig:GCA_000165425.1:CT868357:1843:2020:-1 gene:GSPATT00039378001 transcript:CAK80221 MDSITLEKIEVKNNFESSKITQFGVENLNQKQNTQTNLRESNYSCFFI >CAK71391 pep:novel supercontig:GCA_000165425.1:CT868097:1811:2817:-1 gene:GSPATT00007937001 transcript:CAK71391 MENGLNIKQNLVSIKQSLSISFSQVIYVGQYRYGQKYDQWIAMYREHSQTEYQIVQISHP YKSVEEESIMKKEKSMESGMSYLQITELILLENIMDYMKMDRNKESGISSLKIKECKIFQ PICKSGGGDYNNDGVKTGKWIELMDNFYRQCYVSKYFSQSQVIYVGMYQGGRKIGQWQTL YRWNQANQFTEIGGGLYDKNGQKKGNWIDIHEQFWNWMQVKFRGGYYKGLKVGIWDTIYD EKAIGGGLYNQDEIKTGKWIELAENYNKYLFALVRQEFVQQLLQVNISQEQNQEDGIF >CAK71392 pep:novel supercontig:GCA_000165425.1:CT868097:5543:5731:1 gene:GSPATT00007938001 transcript:CAK71392 MDIYVPPLKILQPFNWIQKQITIIYDLQSTEVLLEQLQEQTRSSKNDNKQPERKTRARIQ RQ >CAK71393 pep:novel supercontig:GCA_000165425.1:CT868097:7243:8358:-1 gene:GSPATT00007939001 transcript:CAK71393 MSKAKQNLRKGQTLPVEDLDNLVNAVQNTISQRTRRQVITLKLEFPKVIQPLEKQNGNKK NAKYIKQIDKGTNKKTSKKCISQKLKKQEVFSDILIDEYVLENGNKNVFQRAQMDDKSSK QNENNKLQSQNLMQQNFILDMIALQARSELRLQLDWNNHELWNEEISQKNENLCKILQDE SHDQDSVMCYSFFSNDNEIIKNCVIQKKNKKEIQRLTYNIDKLEEFAQDWNQIKFEENIS YSKDQNHQNEVGIFQTLKAEDQQKSFQQDDELNEAKIFQHFLNEATYENPSRNSCKEIHK LIRLRHDKNCVDVYKIDHLWSELHNSKELNELSFQQFLKCIIDMHDQDLLWLDQQKRRVY LI >CAK71394 pep:novel supercontig:GCA_000165425.1:CT868097:9200:9526:-1 gene:GSPATT00007940001 transcript:CAK71394 MKHIYVIIENPQNAVTHAKKDLKKLLIKKRILVQLEIQIYQLLKRIMVMYSSQIIIQFIE TQELKKNSRSEYNISTSQFSSNEPYRDVVAQPKQIGIKNVLKNVSQIA >CAK71395 pep:novel supercontig:GCA_000165425.1:CT868097:10271:11575:-1 gene:GSPATT00007941001 transcript:CAK71395 MKTKKLLFSGLVGGISLTLLAQKLYQLRNQKNNQIKKKDYSLEEEQQLLQEQLVRNIQFF GESQSKIETAHIMVFGVGGVGSHVVSAIARSGVKRITIIDFDRVTLSSLNRHAIATRKDV GKSKVEIFSDFIAQLNPTIKLTTVESYITPENIASFFAEDKPDYVIDCIDNIDAKVALIT YCVQNKIKIIVCCGAGMKCDPTRLQIRDISESQNDDLARAIRMRLKKVDIKTGFNVVYST ETTDRQLLPLQEHQKHDPDKYRVMPNYRLRIVPVFGCMPALFAYSLAAYVLCDLGDCAPT TLCQNDIKMPYFIQQIQLLAQQAKKSNVDLNLDIEDLVVIYKAYNGQCLVKDKKARPLEF IQFDKSQDITPKNVILLSSDEAKKHKQGQTKFTQIILDRKQQYDQLIQENLGIRIFN >CAK71396 pep:novel supercontig:GCA_000165425.1:CT868097:11653:12456:-1 gene:GSPATT00007942001 transcript:CAK71396 MIIELTKEQLAYIKTRLPFDYYLMRKGEQRQDSDGLNPIQDEERNKCVKILDDLKRTDQY KQLTNKEMTITFNKQFTRQFMKNDEQYKKMKNEQLVKMTNIIARFYKDLTSLFSRSKILS THSESMKLVEELEQKFKILFEPLQKKCQEQMQKHLQQLLNGEIKNKIVIKHKQPQSDQEK KDLYEKLSKLSASSLRQILKYLNVKTQGEYQFDIEKLDTKIRKKSSNQTAIKILQRAKY >CAK71397 pep:novel supercontig:GCA_000165425.1:CT868097:13537:15367:-1 gene:GSPATT00007943001 transcript:CAK71397 MSEFYAVILADNYDNQFNHLTNTLPKSLFPFVDDLIIEHQINWLSKNEIDQIIILYRNEK IAEYFNNRKRLGRKTQNIQLINILDSKSSGDALRELYSHGIIQQDFLLLFGDVITNISLK DAINKYHDQRKEDKMNILLMVAHQGIQQYEEERFLYVLENDDKLFQLIDLQQKQIKFNKK HITLTKGMPCKYVIRSNLIESGIYICNRDVLKSFQENFMWAEIKEDFIKYMTTTSDIQEE KIHLYIAPKTQVCQRIFHPQSYHNACLKYLSRFFFPYCPQQQYYVDFFKYFAGRVDQKSK ISDQCFIGQNTDVKPKVTITKSIIGKNCKLGIGCEIINSILWDNIEVDDNIIIKDCIVAS GCKIKGSIQSQIVTMENQKNVKQLSNFQKYDENAFQSEDSDQESDSHELHQKKPKENDDQ GLKEDMIDIVAKCQTVSQVRQAIINVDTIKVNSNIAFENIVIAFMAAFLDTLQPDIDSLK NAYALWEEFIVRFVSETEVVCYIKSIETFCRQNQQYHLNQFLQLSYKHQILSEQVILNYQ NQMAAVEDDQFAKEFTQSSQQFKEYLENIIAEQEQQQTQEEQGDGEYEYEYVYE >CAK71398 pep:novel supercontig:GCA_000165425.1:CT868097:15440:16042:1 gene:GSPATT00007944001 transcript:CAK71398 MSKQDEESKLQLIESAFECNICLEIATEPILTNCGHLFCWPCIYSWLNSNQEFLTCPVCK NGCSKNSLIPLYSKDEAKTNKPRDPNIPPRPKPGRNDPVRNNNQIGQNNLANGAMIAGYG LFPSLFNLICIKDGDIEKDERHENEATVEIENVRKLKAIQFLLILCLIMMIVFYF >CAK71399 pep:novel supercontig:GCA_000165425.1:CT868097:17640:18159:-1 gene:GSPATT00007945001 transcript:CAK71399 MPIVMKLLTIKKNCHSLMQGIWQYQKQQLILQALSNMPISFQQKEKSLQMENAKLKNENT DLQSQLNKLENKHQELINEIQDLKQLVKRVYQEGEIQISYQKQKNQQLEYKNESLTKALV NLQNNLETFAQLKNLSGFLEDSEISEQSAIENGSIQQ >CAK71400 pep:novel supercontig:GCA_000165425.1:CT868097:19231:21007:-1 gene:GSPATT00007946001 transcript:CAK71400 MKIGQYEIDKKDIIANGSEGCIYNCKDGYNKGKELCAKIVQEMTSENFKKQDVILQKLFQ HNNSNLVKIEYYQFDESTQSLIIIMEKCQSTLKDELDKRKKVSEFFSDEEILDFLKQFLS GYQILYNLKILHRDIKPENILINNQKGQLIYKLTDFGISKVCSSNSMYMTQIGTPIYAPP ELNEDPKQEGKNNLIDINDPNPQSKRDVYALGLILHQMMKGELPFADKKLVEFRKQIEKV PFKIQNTNRCQILVDLAESMIQYYPQNRISIPTLNQRVQFPTIQRCPVIPNNFMPFKVQT QDNNNKQQHLNTFNHNSQSLANLLVTQTDNQQTKDVTKKINDLNXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXCDRTETNFNAKIINQKDNQKFRTTTQAQNFLNASV NIKSSFTESQIPNAILQKKNQDPQTQRERVQTEYCSQSQISQRGIKTIDVIKQYIQEAMY ELNDIRINYECLISEEKLQKLKSLNQNQQKLSEESERLIKSIFKYEKDKISIYKPESLLF YYCLNNCKQQFILLPSDQKECINYITKNM >CAK71401 pep:novel supercontig:GCA_000165425.1:CT868097:21416:23707:1 gene:GSPATT00007947001 transcript:CAK71401 MQAFIVIKVLPKEIDLLFLIYSDLNSRNIENLVNKTAFTDFFTMIGLWGDLVFDYFNKDD ENENLINFEQFLKGVAYFIKCDEEQKIDHLFKLYDLENIGIIKKAEFLQMLQNYPREDLI RLLDDPMFLEDLKILKYYEKKEKINQKKAQPLKADEQEFTESVHALQRRGSQQSGEIKSL SRQQSVECQSYQSSLPNFNEQSVIMMFPNESLVGPNGVPMGQFGQNIQFQINGKLVELKR VNVNYLVRKYVDMIYKNKGKQEEELSIEEFKQFVKMHPKIFDGLYKAFNYDIWGVNSSTL VPLFVTVYKDLEGQLKKVSRKNPKQMKDRHFKLIQRFCLSYKKLDQAIPSKIFCLDGLTI QEIANSQEQIYGFEISHKDKVYPQRLYYCKDFEDFKKWTNSLQMFQNRASVNDYYSILQK IGEGKFSIVYLCEDKKTKQQLAMKIIEKFKLSKQEKLMLAHEVEIMKLLNHSCIIRFVEI IETKTHLNIITEVVRDGDLFDYITNNENLNEQEASLIMSQLFDTINYVHSVGIVHRDLKP ENIMIVLDQITKTVKQVKIIDFGFANFLTNIQNKEGEALCGTTNYLAPETLSQKRIDFKV DNFALGVILYFLLSGFLPFDASFPEDIIKNILDGNYDLSDKFWQHISADAKDLITKLLKK DPDERISLDDALNHPWIKNRSTLQTKKVQRQKQRLGLF >CAK71402 pep:novel supercontig:GCA_000165425.1:CT868097:23827:24369:-1 gene:GSPATT00007948001 transcript:CAK71402 MNNVLSSFSPFRNKNSNILTENIEFKMRLLHFEPLNINTQPIKQTRLKIERKLSPIQNQN YPALFLNRIQVQDMPLKKAKLSSSIDYRAMPQLYVNEYSEFLQPTTLVMPSTQRNNKKHF SQSRPQKCKDNSFVESEKKTQFKVRLQLPKIEKCIKIDESRSSLNRWTMNSSTSFLQAYK >CAK71403 pep:novel supercontig:GCA_000165425.1:CT868097:24553:26234:1 gene:GSPATT00007949001 transcript:CAK71403 MRPDFFMEKNIRILEKIGQGAFGQVYKGLYKNEEVAIKFLQDAQIQETSIMENLQHKNII KFYQVVYFIQFKYFKQGNCQYLVMEYAAGGSLKELMKQSLDELTISQIMESIFTGIEYLH SKQIIHRDIKPDNILIKNTEDLSSIKIADFGLSYQYKPEIRYYQTVSKQCGTFIFMAPEQ ILNKTYNKAVDMWSCGIVLYMLLNQGKHPFFPRIFTKKEFINSFPDFKYEQPLHVSPLAR DLLQRLLQNDQDSRYTAAQALVHPWITRKFNDPIPMCVKQFGICQEQKRKNFQLLQSVLF IIYLQQISIKQSPNLSKKDLNEFKILIAELEEDKQEQQQNLGNISPKNQQIPFQLSIARP TKIFKAYRTLNRTPSKEKHYRLNAILNQKNESSKELNMFKFNQQHSIDNDKRIVPFDPDS PMKNSKSVQPSKVLLPALSESTQTSLSPSKLTKVPIVKHTSFRKTGCSFFLGLNRINNNS VQESETVRSKEDIHDKGSIINTQRSTFKQQSNQFSSIFKSQNKTVEQSFYTKKKILI >CAK71404 pep:novel supercontig:GCA_000165425.1:CT868097:26737:28551:-1 gene:GSPATT00007950001 transcript:CAK71404 MISNQYDELMNSEFDVREFILKNFVQANFEINYKKIEQSMPQIAQIQSQTCEDIASSVDN NLEDYVEISTQLTSLQSQLDNLTLQFSNITDIIVLTQDNLQQRIYQINVIVENLKLIQNL QYSMKDLRKLINVLESVDFSKGMSNYNLLETSELIVKSRQLYTELDKSPHCRQLMLQFDL LQIIQQNQCQFQNLLEKEFVDCLQNFNSIKFACILRSFQKMQLNKTPEKLLVQFLLKPAF DMAFAKLNKNLLTKDTSNLEQFLQSVLQIYENNIEIAKVETETFSFKNILVELLYIYLST KECVNIYSLGVLDLFQQNYQNIQNVKQTLKVNFEKGSEVEKKFMEKWNLSTYFSMRQAEI IKKLESELSIQLTNNEYNFHLVLQNIIENCFSQKVYISLLRQKFYTLAIQIITRFCNFIK SNKNSIQTDKIPIIINNLSKINLSVLQQDIIMLQLDKIRSVISELIDIAIVQIKIECQQN LEPFSGIPAKFRMTNRDMPNQPSNFCINIFKPLQSYLLKLEDDSTKKLTCEKVCNTTLER FQVIKKQALDTCDKNEELMAKMGVKKNDALDNQKIRMQFQLDEEEIKNIMITKLYQ >CAK71405 pep:novel supercontig:GCA_000165425.1:CT868097:28577:28720:1 gene:GSPATT00007951001 transcript:CAK71405 MFFLQPQQEKQQATREFRSKQLKEVFKAAILFGVLKLAAIAFKPKKA >CAK71406 pep:novel supercontig:GCA_000165425.1:CT868097:28753:31632:-1 gene:GSPATT00007952001 transcript:CAK71406 MLPKNPVSDISTLVLKQYKKMYEEICLRFKFEKEASSKELEIWKDKYETLQKRWDTLNQL KEKQDGKTKQDINDSKTLNQVPKTSGHQQTSLLPNTEKQQFQILVQQLNEQIAKQKKQIQ ELSDRNEKHSIDNKKLEIENKKYSEQLNQFRKTSHHKFNDQQMLQYEENVQKFIQTIDEM KQEKNSFEKQIEKLTKENQSLQQSNDELKKSIQEHDKGQQHKNQFQLQISELGLKNNQLE KLVKDLEKQSQHQQDLSKQTAKQMDDKQQEIQSLQNKVQEYQKELSNLNQLQDVYNEQQL QLQQLAQVIQELEGKLSESTNPQSYESEIKQISIKYDDLYQQYTDLQTQDIMKQEWLNLQ KSQLEDLKQENDQLSQKIEELQKQNDVLIGQIEIQKDETKLSSSQLQDQINSYEHIVSDK NEEIHKLKVELTKQKCSLKNDDYEEKIQQLKTQYEKLESESKMKIEWMEIQNTELEETIN EYEKKIQNFVEQLNQINKNNPEDQSQKITELKEHLQQYELIVNNKNFEIDELKKQIKEIN QKQNDEDFEKKYLNLKTQFEKLETENQMKQQWQNIQEEEQQEQINTLNAQIVELNDQLNS TQNLYLKLQTDLQQEVDKNEQLSKQSQQHLLDIQNSFNSGNIRNALRKSIKKEESFEDEA NIKKNADEKCINDLKEKIQTLNEELGEYEENQSNLQQQIGELTEQKNKIQLQYEEMYNYY SKAYDQIQNFITQVEDLNKIILDKENQIVENQYQIQQLEDQLLQMNEINKDAYTENQDIN QMKAFYEQRFLEQETLIQNLQQQLEQTSDQEYYQKMNQILHENEELKQLRSENQEELNKK DQLIEELQMQMLSQSQVTGSSDLQERKRRQELLDKVKDDKSEKSESSNEQLVKKIGKKTS GASAKLSLKLDYNQDGSKQTKPKSATSLDFQE >CAK71407 pep:novel supercontig:GCA_000165425.1:CT868097:32146:32699:1 gene:GSPATT00007953001 transcript:CAK71407 MKKLPKSYLEPKIFDNKYIIKNQLSSGSFSGRSCSQIRKGEHETLDQEVYLLTKIQGIQG ITKLYWFGAEQNYNVIVVELLGRDLGYYSRTYKQLSLKTGLQLLDQLLTIFKQVHSRGIV HRDLKPENIMIGKQNQSIIRPFKDRKSFIGTTRYASIAAHRNLKLVRRMIQNQ >CAK71408 pep:novel supercontig:GCA_000165425.1:CT868097:32703:33437:1 gene:GSPATT00007954001 transcript:CAK71408 MSLSTQFQVSYHGRIYKLYGDKDRTVAVGEFKINTTIETLCQELPNQFADYLRYLKTLRF EDQPDYLMLKDLMKQCSLPNTYDNRFEWTDKYQSKDSLLEVKVQGSFLVVPGNDCQSNVS NLGSSLSNVVKYVPSFQDAVQLKQPSQTQIKIAASQPHMDLNLHSETVDFEDIEENINDH QTLDLMLNIKKKNLNFSFCNQCF >CAK71409 pep:novel supercontig:GCA_000165425.1:CT868097:33541:34695:-1 gene:GSPATT00007955001 transcript:CAK71409 MRNRRELGQSFKMNAKIPKIDKTPVKRRSCECSECGLVNKKMKYTTSIIQQFQPYFNVAY KLFENERKNQEKQTKIQQQKQLRLRNKRHNHNKDMLKKAVNVITFQETFSNNKKRNVELP PINMCSLKEIKNNQSSNLLSVVPQRKMWDKRQTTIKFQYKQNTGHNQRCCLPATPLDSHL VFRINKVIYQQYILRHQQGTLDDFHFKLRINIFDEKTESLPAKHQLLVPPIRQEEDYDED ESIVESVQQLPIKHKPIREEQYKQILKYNKRSFANLVEQASLTERKAIQNLIKISNSHKI LPLIFDHQPLPIYMIHSNYLDAQKTSKSSKLIKLEKSQPMLKSQSQHVKLVPISKHKKNQ TVGSVLLRL >CAK71410 pep:novel supercontig:GCA_000165425.1:CT868097:34744:36738:-1 gene:GSPATT00007956001 transcript:CAK71410 MKLIEIVQLIEAFQKEQYYPEIVYLLSKNWIQTFINSVKFDNQQNIPMKPINHDIVDETK SNVLQYQDDIYNSFLKDNLRRNVDYIYISQQIWSHLNSLYDGYCIKRQLRNLNQINEFII FHFIPVYQFQQHFIEGIQQFDSSWNMSQIISHLENALQNQLSFQTPKTNFISLYTLKNEN QTSFVQIVQEVKEKRIEVENIKEITQLRNKKYILLDIKLLNKGFFFEKTQQINQDKNEGL LNQEYIENCRRGLSLFENQQTLLSCMLKCYENIDAIRNEILCNLTYKYQNLKQIIQESYY GFTDYVYYDLMDYEFLEEKQVLITKSRYDAVYIYNNENRIIINNQRNIKQLVESLLIHPQ LQCDFNSVLFECSNEYYTEEIDFAKPAYSLKEGYKYQLRKLMWQERNINNEDKIEIRVHQ CIYENIGYQQYKPFAAILKCYISQQAKFSDLHLLVANLFEEINFENYKELRLNKRYSLYF QTDQQGSIKCTFCQQKLCNNCQVPFNDKSLKFGSRVINIYVVYEEMLSVTSAYQPEIFDN YFLRQYFEVDWAKDKILILNINQEQIKPYNIKYYFDSHLYQLQGIIEKVSQNEYQCYCLK QQQWYKYTKNKFQMVDHLDDDLNVVKLFYIRK >CAK71411 pep:novel supercontig:GCA_000165425.1:CT868097:36770:37955:-1 gene:GSPATT00007957001 transcript:CAK71411 MKNNSMFGGISFNCGDRYKLIKLVGTGAYGSVVLAYDLLNKNHKVAIKKLNLIEDAIDAK RILREIKIQRSMNHHNILKIYDIIYDQKPESFGDIYIVSPYFPADLHKIIKSNQDLSVEH VQFIMYQLCKGLYYLHSSGSIHRDIKPGNILANENCEVCYCDFGFARKQDEIQDQIEDNM TEYVVTRHYRAPEIMLSSQQYSKPVDIWSLGCTFAELMTRKILFNAPNYIQMIKMFFDIL GRPSDEELNQLVTNENALSFIETLPHKPKQPVSKSVPFPDAKARDLLDQMLEMNPKNRIT AQKCLEHPFFESIRNQAEEITFTGNLECDFENDDTITLENLKNLILIEINHIKAKNKEDL IKIEEEMKRIGIIQQYHQ >CAK71412 pep:novel supercontig:GCA_000165425.1:CT868097:38122:39462:1 gene:GSPATT00007958001 transcript:CAK71412 MRSSNKSPKQMKSNMLLNNQQLFQIVQAKRRREQERSRLEEREIKQLMPEIDDYHKYINK LDLMGQCKCAIASCPKDDIDSIKISSRSIQISIKQHKNYQGQTSDKIEAHIQNDLDSGVI KNSINSKISIQELSKNLIQTKTQMPDSVVQTLHQLERKTLPLIQLPNGTQYEGEWMNGMR DGIGKQIWPDGSFYEGQWKLDKSNGHGKLVHANGDIYDGEWVQDAACGKGIYLRQNGAKY EGDWLNDCQHGKGFETWPDGAGYEGDYKFGKKNGHGILTFNDGANYEGNFVDNEISGNGT YKWPDGRIYVGYWQDNKMHGEGILKWPDGKSYQGNYENDKKQGNGIFDFGDGRKYIGAWN NGKQHGLGIYYQNETQFKIGLWQDGQRTKWLNESEIEDEKNSISNLQKLVMN >CAK71413 pep:novel supercontig:GCA_000165425.1:CT868097:39501:41961:-1 gene:GSPATT00007959001 transcript:CAK71413 MFQEVQLNYFKVPSVKFEETQLLAILDCSGSMYNYWQYVAKYYNEIRHKVKLHCAITFDT RVKTIPQAELGSNINTYGGGGTNITIAFQELNKLLYSLKQKKNVTVLFVSDGQGDFSKEF IDKTRPAIENLNFICIGVGKGFPTFISMDLREMYHNGDKSIPPLFLVDIQDGDQPLDKRF QIEMLAAAEYMKHQKQIKISPCYQFPWDQLTDKVWPDSWVSFYSDELETEDGILQKIEVK GEEIGLIAGYWLQNLQLMSLKKKVAQEAQMALQELNKFVSGKKLTFLERVQEQKTALNQV IQELKFLASAQTLQELDEATAAQRLKIGTLIGKYHKKALKFAGVSVEAFQTYKSEFIAMI EKTKFVNHNFPTSILSLQNQVDILTDPELIEGIKTCETQYHLVSSLPIIGYALYVKRSDQ SRINPYTVEVQNIAKINKYIDSLAIIDSANHEIEFNIGDNEKEKFNCLVPLYQHEVLIPF LRSNIFHIQMTFVLMENADTCFENAYAALLGNTLLYMICQDENQWKYEMIELINSSFMLT YAESSKVKYHMDHLLSDPIGTMADENLSSKTQCEDLSKTILMINQIKNNFKQDQFKIIIQ RLVIEAISRSTKAYNNLFKRVMEVDLQLENETVNNIKSSFTEEEFENIQDYETLKQQIEV KIKNLNIDFNTLISKMEFNKPLFIKIIDEQKFNIKTLQSVYRYFLNEELPEELYIIAVYH SRIEKSYDRAKKEVEWNVDKIFNELLVQDQIKEKQYQISQAVFDQLRIKCNQLQKIKKNK NYNGQKMPRVERRRIRKQKLRAQKLLLQQQP >CAK71414 pep:novel supercontig:GCA_000165425.1:CT868097:42478:43704:1 gene:GSPATT00007960001 transcript:CAK71414 MRVAFVLLVCLVLCQSIPVHKKFLQLDGQESDVEYTSENLQVVEEKSQSKDVQILAAQES DLMINQLDPSEKSDTQQSLDDETPLNTAYFEDEENNNEIIEDASQNIVEDLKLIGQSENN NIIDENHQVIDTQQNINDNNENYVSDTMNVNDEIQQELSDQQNGQETINNEDNYYDDSIE NNDTLQVSDSASQQQLIAQTNDVPDLSNMDQDQITQQFTQPQYEVQPNQIEQTNQQDQTI IVDNQLEKDENQPEAQIIVVQEQTQMQSDNSSPKQVNIQQEITSEDPNNISDINEEQTSQ CIELYSQCYYKGETMKACNDLGQMKDFPYEIKSMKIPYGTTLTIYDHPNYEGERHTFSKN EECLANVIVLTQITI >CAK71415 pep:novel supercontig:GCA_000165425.1:CT868097:43735:45218:1 gene:GSPATT00007961001 transcript:CAK71415 MGTSSSTFQFNFSQYQYLQEILDPRFGEVKLYQKNETGELICVIEKIQTQPPKPFKKLEH PNLLKVHYYSASTFKEVCSTFTKLYIVYEYINNDLKTIISNRYKKQQYFTESQLWAIAYQ ILDVLNYLKRNAIFPMEMRNLYISNQGYLKFNSIFDNHMTSFQQVLSQFSEDFHISPEEL SLFKNQSNFNNLNPEQCQIFQSGLTILWAASLNDPSTLFNKIELRLNEQDLYLRISDLQY TDNFKTFLIKMLQINPSERGTLDYLLHFTQKFEKNDDLLKIHKLDPIPNNHDIVPNNQDL IPNNHENDEYEYQSQSSQNQSNRKQKPNLQSNKILQFQKQISLIGSITLKSENQEINKNN QIFTNKLFQYDNQQDNNNFYQQQKSKSFLQFKTPDSSPIQTSRKPPSISASKNQLNSTSK PKIPNQYQLNKEKICDTSYFSQQSLLSNNKSQRSLLENRIEEALMKSKLALAKFDQTIKQ NNYYKH >CAK71416 pep:novel supercontig:GCA_000165425.1:CT868097:46402:47159:-1 gene:GSPATT00007963001 transcript:CAK71416 MNSSESVIGVKTRSMNICERVLQPRIKRDYCHITKEKKAQLIQTVLKQKCKIKKIAKDLN INYATAKTILHCFKKKKMTFESDLEVKRVSVGSIKEDSKFWVQVKVADQTIKHYEYFDEI KRIQ >CAK71417 pep:novel supercontig:GCA_000165425.1:CT868097:47888:49436:-1 gene:GSPATT00007964001 transcript:CAK71417 MQRKIECYNKGLFNSMLQFEPKQRSNGKTSLGTGSFGTVNLVQNLKSQQLYAIKSIQQCN IQTPYEQEGVEREIKVHLKCRHPNIVNLYDSFIEHGNVYMVLEYAENGNLYNYVQKRKRL DEKEACKYFIQTCKALQYLHEMNVFHRDIKPENLLLDNNNDIKLCDFGWCAENIHLKRKT FCGTYEYMAPEIVSDLPYDYRIDIWSVGVLLYELLHGYAPFKGKEYKEISQNIKNGLIRY SSSINQDAQELIKNILQKDPSMRLCFKDIYQSAFVLRCFPQQQQAQLKISISPKSSVSVD QNSIQKYQSPLITHKQYPNGRQNQINTNNNVNNSSSQSIKSPNIYKTTLDSKKQSLILDD IKKKIIYEQTSPLRDATNMHKRNQVSLTNLIKSSNTQFNAIKSPQPKSIQLSKYGSKSKN FDENGSVKLLEQFKSMPSFTIEDQQQTYEEEQRLNLKTDRVKGFKKEPQSSLDIDKILKM YLSMCSSRS >CAK71418 pep:novel supercontig:GCA_000165425.1:CT868097:49910:52210:1 gene:GSPATT00007965001 transcript:CAK71418 MNVDSKTLNSQIRSTNTKQILNFFSSQEFTPELLIYYYMATFNEPGPHQYLTQKLLQMPH TFIENFIPQFSYLAIKKGSKSMETFLETLCQTSIANFLKISWCINAYSENEKQNEVTCKQ IEFFQRRLEKSMVNGAIKYSKEEILKNMNNVEFQIQLQNQFSEKELRSSYIGMVEKLVMN LIKLSLTLKQIDPSERKHNLFKEIKNTNKALKRLRNQYSEIPYYWGTMLPFQRKYEGQDP SNLIVRICEEGHACFNTKTRVPYRILVETISEEEIKQKQTKLNQDVSTDVDQDDLLHREI SDDLESQLRYHTKCKLEDTIFNQLPIYKYDKSTNYDDSLPDSPNKLEQNLIQKSSFTSPK IKRRNSWSSPTTITDFAVTLTETKQSKECDDLKRHQRQRTSINLPTPSKNNQLLKEINLK EEEYLLEQEKKSKERKKILVKMQGPWGEDWDHKLELIKDQSPFKEFESYQIRAIMVKGGD DLRQELMAMQVIQKLDSIFKASGLNLYLKPYEIIVTSENSGLLEFVPNTISMDAMKKYLK SNKFKSLREFFKFYFGEDFHFAQRNFIESLAGYSLLTYVMQIKDRHNGNILIDNQGHLVH IDFGFILSTSPGNAGFETAPFKMTQDYVELMDGTSSKAFKYFTELMISGFLELRKSFAAL LQIIELMMEKSNFHCFSNFDIKEFKDRFKLQDSVEKCKKNVITLINDSISNKRTYCYDKF QQLTNGICP >CAK71419 pep:novel supercontig:GCA_000165425.1:CT868097:52582:53467:1 gene:GSPATT00007966001 transcript:CAK71419 MEKCISTLDQEQREKLKYEEDEILDFLRQFINGYKVLYDLNIIHRDIKPANILIQYYGNK KLYKLADFGIAQFCEQNNLWLTKLGSPMLASPELNVLNKDNNLRQNLVGMKKQHQRSVVD MYSLGIILNLMITGEYPYKDEHNSIIDFIRTLENQPFKVNQFDSEIIQLLVEGMIEYYPE KRMTFQQLCEIFNRLCIVPEYKLRDAFSSQSNSIKQNQLNYAQLDIPIKQVSFDHYIIQN HFSISKILIKNKTQVFHLIKNIWLNKITQVFDQNYKIWLFNKSQDFQ >CAK71420 pep:novel supercontig:GCA_000165425.1:CT868097:53932:54410:1 gene:GSPATT00007967001 transcript:CAK71420 MQSHPMVMVHPNIQKQNCQIKKTHQTQNFPSKLTQVLQNSLFYIDGRLSKELNECSKHLG ITRVDVIQQDINHQSDLSYLEDHIKNYDVILQKFMYDLTAMDARISMVNRLAGEEMNNIF VCINKVEVLLISKALLLSCRRKSLGENQITE >CAK71421 pep:novel supercontig:GCA_000165425.1:CT868097:55068:55370:1 gene:GSPATT00007968001 transcript:CAK71421 MIDQEKKQIVLKIEQTHMEKELKQDIETNYRQTDDFKRQISELNDNNSLLEQKLTDHEAE LSLAKQSHYSYLKTLSIKKSVHWQGNVKINQKNWLKQKTL >CAK71422 pep:novel supercontig:GCA_000165425.1:CT868097:56936:58769:1 gene:GSPATT00007969001 transcript:CAK71422 MQLIFLFFLIQWIKCWNVITSKKIIESIKNDSLILEEDYLIVANGSYSIKYEGVKGYRRL HIMFDAKSNFSQVEILIKVNGIKRNFLVVEKDFTEYHIEFEHQAPNIIIQFILSDQIQFS IRNFNLFIDECPQNCKYCLSGFCELQILDQCEKLRFKDLCVDECPKGYIAEFRECIKTSL NQLGLNQKSVKNDFGLTKKFGENQELLIDFQQNFEGYLEILFNCYGKQEEHYNSILKISK ENDQPETIHPFLPMYYNTQSYKYCKPRFELDCIQVKGYFKMEFQEQNNITIYSYSKSVEN PGYWIIEEIKLYDKEIKSYDCPIYECVQCSFNKVCSKCNQNLYLYENQCIKQCPYYTIQK DTQCITIDEEQQYVSFLVKLVSPFQKFQEIFGQKTFDMKELISFRYENRTTFIGGGLKDS WRRTTFTKQLNLGKHYQIRLMFNISIENSTSDSDCFTYTIDGKTFLVHKNVSYVDRTLYH NKNILNMNLGCKISSNGRCVISNYYFMTMKCSPLCLQCVGSLAQDCRAFQKDIERFDYSK LKCIDGYYLDENGCQKCSFGCLTCESYNQCLKCKDDKDGQFYCSPYN >CAK71423 pep:novel supercontig:GCA_000165425.1:CT868097:58836:59803:-1 gene:GSPATT00007970001 transcript:CAK71423 MILPPSDQILHSVQSKQWFNSRCQSKVKLQYLKSSREIMNDNVVTNTFRLIDRDQSKTLE IEELYSMFLKNGYPININLLRGFFEKADKDNNKSIELEEFKHIMYDEQATQSFREMMRKM REQAKESNYYSTDFVRLLRYLSYCQDRNSLQFQININLSQLIQLNHQYNQDQQMSDEQLQ PRPYKKQNNERKILPPLHRHYVGNSNPSYIAQSQLKLNLSDVQKNSALSIPSYKSPQNTD RFSKQSTIKCQNYIRINNKIFSNNKK >CAK71424 pep:novel supercontig:GCA_000165425.1:CT868097:60114:65239:1 gene:GSPATT00007971001 transcript:CAK71424 MESSTFHLWTNSNNIPSSNLLLVCDLQPTLNSKILQGDISLTRNILMSPIRGFSKIRISF DIYYFGLWNSVEALTVYANSLLVHYETPNNSQLEDYPMRFCMSRPFGRSNSEKISRIDQA ISFNDPQLNIEIVHEQYTTGLNSDYGITNFVLQVFYCDDLCEECDEYKCLTCKLGYELIK SQCRCNPFIHYSFFNPDLQCLYECPIDHVPDENGVCQLATINNIYMDLDQDNFNIYKFQY IPDKYYSSIQLMTQTIGTKSVAGMFGNTDKIVYNDLSLPANGQYEMRFTLYMTGQMGSMM LEEILIKFNQFVVVKIQDFQLVFLFSYFKQGNRVTPKEICNSPDYQQCKSYSIYIKFDLV ETVNEIEFDSKFRLNKASRKWGIRDFVINEYQMTSNQMNCLNNCYTCKFNKLNICLSCMG SRNLFKGMCIDQCPDYTSAINNVCVDSQIVNNNQEYLTNMFHDLNHQYLESYSNKLSLKS YTYFMNKIILGGLNFWSNEQVIHKLKNKRPFYKIKLDFKLVFIDSKNNQIQFRTSVNSEI PTYSLFGSGVSVGNQIGQSEIEVIQSVSYMKSFQSTNELSVQLHCIRNIDSEAYCGIYDY RIIIWTCQGNCLECDENGNCLNQIDTISTDFNGCKLGYYMNNNGECLICDIGCSSCNGHS DCITCLDGYELRNKICYCSSLKDVIEYCSQTNCFHNCQTCLNDRVDVGVIRPKHPNNCLS CDESKNLWLNVNQCMCLDGYYMENFSCYLCYPTCRKCQDRARYCLACLPGQNRVLESSSC ECQLGYFQEDNDLVCSKCSDLCLKCNFTKDRCSQCHPAHNRILANDTCICMDGYYEVGQL ICQMCPSTCKTCLDANTCTSCHDNQFRVMSINNKFCTCQSGYFQRSSVVCGLCHISCLEC SNNTNQSCTRCPSTREPLITNNTLEFSCKCRRGYYESNEKECLSCNDYVNPPTTHYCYSK CGDGIIQWKEDCDDGNDNYRDNCYKCLNGNSFCLDYTCTACDAGRCTACIDGFYLTQDSI CLPCDQSCKTCIHQSDNCTDCIVYQPDGSGCVICNQDQGYQIQGDQCVHICGDGIKVQNE QCDDGNLENNDGCNQQCQIEEGYQCQTLCEKIIYPSIIFEQNPYDNKYDSQRIVRIKTDQ KVSISGSINSIMKFKINNLNQYEISIIDLTQYSDLYTQLFLELNIQFQSVVSSPQLICQI IDQDAILNQQGNTFKEKNYVTSLLEFQAPSNSTESATDGLMKLSKYILYLLFGFAVLAFL FGGLNIFWNLLDVLQLVSYLQFLNVEYPYNVKNYFTIFGFAQFDYIKQYINLEQYISEYV NTPDADPKFRDEGYSTVFYVNIITVLTVFITTLITFIGCRMLFSTLTKISHSFIYAPIDL QEQSVCTFFIYRITRNLQQSLLKINQQFMSGVIRTFTAVAFDYNLALFLQIKDVYLRDPI LFSSFIFCIIALFLEMAFIYSAILFMSKPDYVFKQRVTQNNYGAIYEGVKLEKNPFTYYF NIILLIKKMLFMMFLVMFYSMPYIQIGLVSLLNMAMAAYLIKVKPLEDKDELIKQVGSEM IIWLAELFIMGLAINEQSNQLEENGKLNLGWFVIASTSFLILFQLFIDIKQHINFLIHEY AIIKKLIDRIRLFVSKQEPEEEQNIFLKGRRRLGFENITTFNNLNSTKNNRSTCHFKQGR VATFTVSSSSS >CAK71425 pep:novel supercontig:GCA_000165425.1:CT868097:65256:66393:-1 gene:GSPATT00007972001 transcript:CAK71425 MNLFTPFTLGSIQCQNRIGMAAMSRQRCDNKEMIPTAMMKCTAGFIITEGLPLNLDSIAQ EGSSAIFNIKQAEAWAQIVSELHEKGCKIIAQLWHCGRVTNYVNNPLAPSAIKIKNSKYN QPKEMSLDEIQLVIKQYLECSKLIQSAGFDGIEIQASSGYLLDSFLKSSANKRKDQYGGS FENRCRIILEIVDQLKTVFDPQRMGVKISPITRQYDTYDPNPKELYKYLLEQLDLRNVGF IEIRDDDYPENWLDFGYPSSKSDIANVFQFCRPLFKGQIIGNGKVTPEMGNELIQKQICD GISFGRLFTSNPDLVDKLKTGQKLNEVDNSTLLSQGPKGYIY >CAK71426 pep:novel supercontig:GCA_000165425.1:CT868097:66454:66960:1 gene:GSPATT00007973001 transcript:CAK71426 MGVGKPRGMRAGRKLKDHRRVNRWADKEYNKAMIGSRYKNPFMGASHAKGLVVEKIGVES KQPNSAVRKCVRVLLKKNGKKISAFVPKDGCLNFLNENDEVTVAGFGRKGHAVGDIPGVR FKIIAVKGKSLLALWLGKVEK >CAK71427 pep:novel supercontig:GCA_000165425.1:CT868097:66963:68246:-1 gene:GSPATT00007974001 transcript:CAK71427 MDNDIFANNSIVIDMGSSQMKAGFGGDDKPKLIFNSYIGRPKYKALPVANSQEFYVGNQI TDSTRGMMKIKYPYQRGVIKDMNEMDQLWKYTFQELHGNPKECPILLTEPPYASQSQKVE IAKQFFESYNCPALFFAVSGVISLFASGKTTGVILDVGDTVSQSIPIYDGFYIQHSAQRV DLAGRDVTENLNNLLRRAGYTFTTTAEYEIIKKVKEKRCFLSPAMIGEDKFQEERKIKDQ YMLPDNSTIELSFEKQRAPEILMCPEKYGLELNSIPEILANSIQKVDLDLRKPLYSEIVL TGGTSMMQGFPERLIGEVKRLVPKEAKVKIWAPPERITLSWQGGSILSHLASFKSMWILK KEFEDEGERILIKKQL >CAK71428 pep:novel supercontig:GCA_000165425.1:CT868097:68878:71331:-1 gene:GSPATT00007975001 transcript:CAK71428 MKPVWRLIVILFQTYGLGFSIYYYLKSLENTNLAYLVIQALSSLLLFFFQTKNKPTLFIG SLILIQLSLCLFLIQNESNSQIECTAYVVILHFITTQLIEDLLRFQKQLFRCRLFWLVLL LQSTCLQIFYISQAQQIDISFYQCIIYLLFSLFINLANGLQKVIIPKNKLSVQQHNQKID YIITRKDRASSLSDTDKLSPKSKEFPFYHFLLPDYTFQKQVLNTNILDVMMEFTHEGLVV MRLNQLNQEMEIVFTNIASKTLFSVNSAKEILDIFNSLNNIQYQQHHSQDDNFLQINQQK QLCKSMAYLKNEQINQSNNPHYQILLELNQEKKVVNQFKEIFSKFKQNSLQNEFFTLQTF YPHNIVAMSQNERKTEKMLELTITKRENNLFFILIRDITHKQKIKYLREYDLQKSKMLSY VSHEYRSPLNCIIQMIENVLHTNQLTMDNSEMLQAALDNSYYILNLSNDLLDLAQIKNGK FTIQQTRFNLQLLIKECLKLFALKAKILKLNLSYVYDNQAPSLVFSDRNRIKQILVNLLS NSFKFASNKITILVSSIDNTTIRIGVQDDGIGISNEDQKQLFKQFSKVNSEESRKRNENG VGLGLVISNQIASSIGFGGLQIDSKLNQGTYFFFNLQIQQTRLKRVSNYRIKENQGQSQD VDEGMTFLLEPPKSIDLEPPLTRCPHILIVDDEIFNVFTFTKLLNKKQILILIVRNILDI DSASNGSECIEKLKKKKCCQTCSGYRLIFMDLEMPILNGFNASKEVLKINPNQIIIACSG YTDHKEREECKKIGVLNYLVKPIRDQELNDILKEHYF >CAK71429 pep:novel supercontig:GCA_000165425.1:CT868097:71784:72442:-1 gene:GSPATT00007976001 transcript:CAK71429 MYQNLYQNFMPTPQGQYYPQYYPQYYYPAQNPYFQQYQIMQAGPTLAIKTKGDPIKQEEK TLETLPKKSVPFIDFEKTSEQIQTPSVQIQSDEKGSNLKPNLLVDSTNIQKNFSKAIVQY ALRQKKTVFQILGEEKGNQFLLFMSELVNQLRNLFHLVKYTQDEEYLKVIRILGNNFLRK ESTCYIYNSKIRQKTSHIKHKAIVKKVLLKL >CAK71430 pep:novel supercontig:GCA_000165425.1:CT868097:72730:75654:-1 gene:GSPATT00007977001 transcript:CAK71430 MKLGSVFNPRGVLEINYKISSIDAYQNVLYTGDEKGTLYRYQLQPDQAMIIQANQAQSKS LTKSRIDQIKVFPQAGANLLVLSDQTLFFVEEKTLKGDQISKEKVSLFALNEFSKINQLE IVIVTKKKEGFILQYNQKAGKFECLRERFLLPDIPVTIAFIGSLFYFGISKKNYSVINLD DKQLQVANLILDIGSNPYLKATDNDELLIITTNNVGIFIGKDGQMKQKSTIQIQNKSIQI ITIFKQYLIVLFDNLIQVFNLLDSKPMSDIQLSSSAKCITQTSNHLFYGSSSEIIYLYQT PPEQQIQDLLKQGKVEDALQVFQQYNQNQDAQKNQQLEQLKLDCGWALIRQMQFQNALNY ILQTNFEPRDFICLFPDYYYAVEKLESVNPNPSQNTISLIIAKYVQEQNKGDPKKSQELK LQARDFLIEILEKKRAVLTSTQYAYSMKDKLNLLTSTQIFNQNQWHAIQCEQLLELIDFA LIKAYLEAQQLPKLKNFLSCNQIYCLSMYAELQAIFQNNKAIEQQQGILARFYESFNKID LSLEVWRTIGGDSLNQQVQQEACEETTRILKQNPEKNRIFKFIQWVLKKQFKTGIQIFYV SESIISPDQMLKFLDEEKEQDLKRKLKEKYLEVLVLEKQTEEERFHTQLVYSYIDFLFTI FPKETQPAQIDMKKNQIAQESYSSLKKFLKNPNAKYNSSSILEKKVKDSWMIGEVILLYG REKRHEEALSQLLNLGFYDWAEKYCCEYTDNLLTKLFKKYKELYFFLEGKQKERSTDQQT LFAFNQVKITINNFLKKYATHSQLNALEVLEMIPENWILADQGEDDGLFQFLNSVISHTL HQKRSTKAAFHLSDMDLLNVECLNASTKQANVRITSEKKCAVCSRSIGEKVFVVYPNGVI AHHTCIKSNTICPQTDKDFEKYFKM >CAK71431 pep:novel supercontig:GCA_000165425.1:CT868097:76190:78399:-1 gene:GSPATT00007978001 transcript:CAK71431 MKNVFISGGCNSVYNGLDVCQFEPNSHLIAFPCSNQILVYDIEKLKVIYSYSTFNKRVNY VRFLDANTLIAADGEGKIAIFNDSQILHETKLSESIQLFKVIGKHLIALSIDGLVTVLDL ELKELSKLEFGNNVMENIDAIAFDNFIFVALSGVDTQIHLYILENNQLQYKASVKGHQRS LNHLQFFQKNQDYLQLASASKDTYTRIWSIYKVNEVEAKIRSFRIGQQLYSFKLETILQG HNEEVSTVNWFDENTILSGSFDYNVIIWKQDKDTGLWLSVSRLGQTSGNKNQIFGLKTSY DKQYIICYTLTGAIYIWKQQQDNWVEQPVITGHYAEVTDLDFNDYLLTCSIDQTSRIFAK WIQNGTYHEISRPQIHGYDLNAIKQIGNQVISGGDEKILRMFNPSPFTINQLNYLNEQNI NSSVFLNKNIPSQIVTYNNRQFNEFKLATEGIQQALGLMNVQMQFEDEEDENVKANEVEV NLEVKYGQPPNDALLAKKSLWPETNKLYGHGYAIQAIAIHQNIAASSSVAITSKAAEIII WDTNTFKIKQLLPCHNYTVVQLVFSKSGKYLISVSKDRCLGVFVKQDDDTYQLLSKSQPC SRIVYTCSFNNDESLIFTGSRDKKFRIYNTKEASLPIKEIDFPDEITAIDSVQLNEKQIV AVAYGQGQLETFELTQALELKLLSAVDKYHQHSKTINRIKFNNNLLASCSDDHTVRIYEI >CAK71432 pep:novel supercontig:GCA_000165425.1:CT868097:78433:79572:1 gene:GSPATT00007979001 transcript:CAK71432 MDYQLQLQLEVPQSKLFEIKNQQPKQVDAGILQLYQSEQLKLTFLKFGQFQYTLQKNIPV MVALIVNGSKMYIFPGLNDIFYGIIVEKQDQVSDTFEHILSVNVRLVISPQKQHQNVMSK SIYGGRNDMKQLNEQQKLTQQELMLRTGIMQVNLWEHVGQGEKPAGVSDYIKQGGNAIRQ GLISVAGFIGEGIKKGGELISEKITDKEQKEISEDTLNKVKLVNKGSKAILQFTKAQVDA LINLGKLIADEAEKQFENSETGKKMQEHKYYDDAKNVGGAAVVAVVSIYDGLTEALSVLL DCTGQATTEVIKNKYGDQASELSKEGFQVAGNVLAFDKIYVQAAAKAVLEQQQQQQQQQQ KQ >CAK71433 pep:novel supercontig:GCA_000165425.1:CT868097:79662:82345:-1 gene:GSPATT00007980001 transcript:CAK71433 MSTHRTENGLLQDCYFGPSIEQSEVNLYKKNPSSKNSILSETSQNEIQNEKESPKKVGIA SLNYQILKAKQQFTKKNQNLQTSQSNFIKGSRRNLFEGKIQQIDRVEKSSKQDTFNFIKT ILKSNLINKFKNNLLSSSYVLPYRMKNILGNEGYLQEQKDKVKSANYVIERQQNKNQQQK SKTLINLLMPDRNFTLVWDLISLLLLFLSLFLCTLIASFGQHLYTFKPAEIIINLYIILE ILFSVYRPIILNGEVVFEISQIWKNYLQTQLLEDLISFTIWFLVYFDFDEQKYLNEIMVV LQFVITIRKIDRKFNILVEQLYLKGFNSNLLNIVTLVIIICFFAHTMACLWHHVGNLTQK YGSWLTYYNIIDEPFWVRYNYSFYWATMTMVTVGYGDITPKNQFEVTFATIMMLLSSCMF AYTMNSIGVIVKTIYDQQTKFKRTLILMNQFMSKNEVDQQIQRRVKNYIKYNIENDILEN QEDTTKIINDLPVNLKKQIEQDIQYRAILKIKVFTDNFSTSTQNKLQNILNEVKSTPNDF IYHTNDNKDKSLYFIKEGEVQIVEEQSLKIIKVLKAGETFGEYQFFTGQTTKESIISVGF TQLFKIDRDQFITIIKQNSKDFERFHKIKDNIFYSKSFSAIQKKCQFCGQSNHLQLECPY ITYQPNLYIKILKMNQSQTNYRFYQRRSGQKSKSIMISYSIQQATKEIQEDYADSLNTDN MLNYQISNSLSYLQESQLGIDQIQLSNKSLSKQEQNKNVPSENRRESLFPKKTESEKQNK SQIFQKKKPSLIENDKRKTLVKETLIFQQLDQFRGYIHNSELEGFDKIHNYNEYLPHNNF NNVIKQLYKEKQKYRNTKQLRREFSRRDY >CAK71434 pep:novel supercontig:GCA_000165425.1:CT868097:82743:83564:-1 gene:GSPATT00007981001 transcript:CAK71434 MQNRFAQFGQQSIIPLKATGKFGDQFFDLPQIKPFSLKLLKAKGRPNQLLQRKGEEVIPK EIRMDKPFFEVFNPPNQNYMSMIHSEELRPQISYYYHAPNNQPNEQVTVDKDNAYLVESA IKNQHADIRKLEALQQRIILKERVQKEIKQEMQLKQLKKEKQMAELQESTSNSNLGFSNV KLKKPQNYDTAIQQSKSQITLQSQFKEIQDSLLELQRIQELNKQKEQLIKQEQEESFINK IESFQRYTQKNWKCSSQELRALIKKDKQKKQFS >CAK71435 pep:novel supercontig:GCA_000165425.1:CT868097:83622:83852:1 gene:GSPATT00007982001 transcript:CAK71435 MGNCSSQKNHKNNTTTTIKLEEFIKTVDHNNVHDQALVILYHSRNQRLNHLNEPVLSGFG KQFSRTSDQSQQRNST >CAK71436 pep:novel supercontig:GCA_000165425.1:CT868097:84053:87135:1 gene:GSPATT00007983001 transcript:CAK71436 MFFNIIDDFLKQDNIGMQEANFSTTRYIAQLLISKKNVIDSPLSQQIEQVYQEMSTKENQ CEEIISQIIDQKYDKFNPMINKPQIYGKAYDELSHNVKQSRKRLQSSKELLSSKSAELKH FYYQNIYYKEIISTLNKLKTIRSSIKLIQTQALNQPYEGSLECRKIKSLISIFPKQESFQ IQYINKGFNLGLSQILGEFQNQLKEFFFLQRGSILKSTDAQKYYDLERKVRDLKSLSEIL QFIKANLPEVTLQNDDELELCLIDQQLNSNELIISYNQLKRFIQMSFQFNDFDAVKQYVD NNTSKTGDINLNINTQQTSLLNIFLCIKGCTIIRKTNELLNKHFEDFKYNLQDLFTKSLT IFIKRQTPNDNIKISLFGELNFSSFATYKIISQFFKKLIAYAMIILRKQVLFGKTLHCLN VDVDENTLLLSLSELCRQLFWQINVVLSMFYKSSKISEQNKGRDVLDVRNEYHLFIQTTL QQLIKPSPLYYLLQRHLLDEVLQQCIESLKVFMRVEPIFKEPIDNEIQLQQELNCIKQLN EGVKNVVRNVVSLPKRQNKSFIPQINYQLTQDVQDQQLKQMLSDFHLINLKMQIPESIQF CYLIHELNVIDQITNLSIDFIQILNWILNVYLQEIRIKLKASIQGSQSEILMYNQDKQAL QKKLLSYMEWKCKFQQSNPLLNDGINTQQRLQKAKGDKQFRFQLKQPMYQGYMEYLVNQV DESKTSPLIRSKEVLHTLGALHDNIHAFLTFTFQSIEQYLDKIDQQYLIKQLDIQQKDVP LEQQSIKIQLLKIFQLRCEQQSFQLEQFKNKNAIEIQYNDNVQQSFYRFLKLCNEIFLVQ FDVYLVLRFEFHMRHLLMTNIQEFNFEYINFSQTLKESIQDETFNIITQDFWTIFKASLK AQFIRQRDFNPKKIQMILKDLNVMKDEMNNQGKRISHLRRYFKLYLVNGQDIEKFLKINA YKYKFDQIQEYCKLVKVENAESLRKYCL >CAK71437 pep:novel supercontig:GCA_000165425.1:CT868097:87212:89871:1 gene:GSPATT00007984001 transcript:CAK71437 MNKVLVLSLTCLISIKGFEIDFSLLLQTGSESNYAFQSVYDLLNDLKTNNIEAQGVADEK NISDEVIGQTRIVLYGKRWLNKKPLIIAKLRIEKIDVQLRMAKDQIALATQKLVNIHKRP IGLQEFRCLSNGLLERAIKQPLGCFESDYGYLTGQSSSLGQINVHNVSDKLKQYSHLFNQ DAITKFAQLAAEQAQGDAELNAVGQKEGASSDRLPGHNIGQLVQNVLSDLEDQLKGSLAD LKANEIAAYYQFADSLADTESEIAHLNEEIQRKTLLQDMLVVQRKAALAVHSYAFRALRD SQNTLNAAAASLQKLRDLYETQLNRRNGKEIKLIFKWRMPQLMRLSISSSNKCGKWLIKV LMERNDQYTYILIVKIGEKYQRTFYIILAIIWVNCQFDQFSKHNPIYTPRFDEVLTELVG TLGEAKWAEITRQMKTIYGLSIPHKPMVQARWRAIDPKINRQIFSAEETVTHWHICVKYK CHWDAVKAEYEAIGQIRDKCFLSQKFYAHFQFKLVDLNRMMGKMVAYNQPKIENMRDTTK KRILEINAREASSVKDQSAREIIQSCQMLIKLLTYVVDNHKEDYKVITEELKKMITPEKF ARVLQNIFIIDLIVLIACNELKPSDETPDFIKMDERKKIKYKKPTKVKRWDEALLLSSSP ENTEDEDVLRTEQEINKVTGGTNFTKADMEEIKQSPIYCVCNTDQNQTFYDWYNEAKIQK ATLKTDLLVDVMDIMSNKEKQKSEKSKPPVQKRTALLAKKQMKLIEKKKNELMKQDKDDK IKTVRGKIKIDKEVFPGCYTKFFDKEDEDDYGDSQDNPIYSAIDADQIYQIIMQQKQAQD N >CAK71438 pep:novel supercontig:GCA_000165425.1:CT868097:89981:98428:1 gene:GSPATT00007985001 transcript:CAK71438 MKSKIIAYFVLISLVSSQMTLVSEDLMNFSVSDSNWNYATACDWTYSATTTSQVAGCTPS NFQYSYVGQTYSRQMYTVTSMPPHFQVKIIVDGYFINSGNNMNTINYDCNVSTTATKTFQ FKNNGANSVKNYQNVCNASWGNFQIQTYILTYVHNDNDTLQFRVCGTLNAGQTYGTRSLQ IYVDRCHWSCMKCETSSASNKCKVCFNNPTVTLATASTCGLCPTNYLYIEYLNSSKGCFT ECQHYRVPDSNNVCQFNENMLPFTTYLDTSTFTTASPWVFVPDPFNFNIVNVERISQIPC QTTKNYLGPFYSNEGYKLQLSLPYNLTYIRFRVTLLKFGTWTDYSTIRILLDSDEQASIY TISNAILNRNGQALLTDTNCTSPVVTYYRMEAKLRSNVVSPILWIQGNMDTAGSQSWGFR NVVLDIMKCQSTCSWCDYDLKCYACSTGVLYKNRCVPSCPPYSTLSSGKCLDFDEVVQNT RYIVKAFYDSTNTTETDIPAIIGTPSSSASDFQTTNTFIGSGPTIYFSYYLGKRALGGPL VWNKAIFTQNFVLNPHYKFRIKFTLVLGDDFVNAGDFRYTVGGFSQQIITYAQGATTSNN IGALGVDKFIQVDRTENHSLSSFQVILQCNASSSQSINDNFCLIYNYFILILECTQYCTA CTGPTWAECTGQSAIPTGMLTPTSCVDATYYLDSSVTPAVCTKCSPSYCLECTNQYICTK CATNFYLSNGTCQCYSWTYLTATNTCDNCHDQCSSCYGSAKNQCLSCKNSQHRYINNNVC LCQNNYYDDGVSNKCQSVCGDMVVTDGEDCDDGNTTRFDGCNNCKYECQKECSICVNGKC SVCSTGYVLNSTMKWCIPLCGDNIIVGSEQCDNTYNYPIRYCFNCLQQCQSQCLNCQQGL CYSCDNTQGYYVNQTLLRCETQCGDGIIAGVEACDDANITSFDGCHNCYFQCDSFCDICL FSICTKCQTGYQLYSKTNQCLPICGDNYITFYESCDDGNLIRTDGCVQCQFQCQDECTNC ILGQCYECNTPGYTLNQKLLKCVPVCGDGVTTQFQEQCDDLNSEFEDGCYQCRYECQAEC AVCAAGICYSCKSNYYLDQNNICTPLCGNGVVSKYEQCDDSNTVLDDGCNQCVFNCDQYC DQCIFGVCKKCITGYNLNYSQKVCTSICGDGIITTEEQCDDIQNEQLNHEMECISCQLQC QQECEFCVLGQCQRCKQYNGYYLDSETGTCVSLCGDAIVSLNEQCDDQNSQLFDGCQYCL QVCDDECYDCKYGICFSCNSGYELINQNCISICGDGIVTKDEDCDFGIETINDYGCISCK FECDEGCNQCVNGRCLECKNEKGWHINQIDQQCNSQCGDFIVSNNEMCDENSSFCDSCAL VCDDNCSQCFLGICSQCQNGYYLDENKCSSKCGDLIESDNEQCESDDLIPFDGCYLCEYS CQTQCITCLNGGCIECDEINGWYINKEQCHTICGDGIKAGDEECDIDINLDQGDISLNTC FDCKILCVENCKLCDKGQCTKCIDGYELNDDKECIQLCDSQQTHQKQCEDDNLEAFDGCF KCSFDCEDLCQSCVQGVCQSCKLGYQMNKNGKCESVCGDGYLSEIEFCDDGNNIEFDGCY QCKYSCPQHCQTCVKGQCKVCEIGYHFEYLNCITDCTDLILSQSETKCNKVQCQNQCEVC MDGQCYQCRQGWYWNQMKLNCESLCGDDVIIGDEQCDYASALDQINPKCNNDCQFECPDD CLQCEFGVCKKCQVGYFLSGNICYSQCGDLLINSNEQCDDNNLAPFDGCYLCSLDCQSQC EICVQGLCEKCLIGYELIDNNCIPICGDGIVTKDEYCDDKFDDSLSCENCKFSCDMYCQF CQYGRCVFCKIGFELKGNSCQPICGDGLISGYEQCDDENSLAEDGCFECSYSCQHQCLTC QTGYCLECDSTNGWQLTPQGMCIAICGDLKVTGDEQCDDGNEINFDGCFNCRYICQVACT KCLSGSCYECNTPGWRLDNFFCWEICGDGLQVGIEECDDGNDIPYDGCYECKSQCEEACV LCDAGKCLDCAFGWKLNAQNRCETYCGDGYVIPRYEDCDDGNLIPYDGCYECNYQCEQLC TSCLKGICYECNVPGWQISEFQCIPICGDGASYGNEQCDDGNLIEADGCNNKCEFQCHPA CLLCEKGICKECDASQGFFEYLNQCASQCGDGLWEPLTEQCDDANNFDFDGCSADCKIEI DWFCQNHQLLVSNCFYEKQPIIQLELIDQQDNISTIQISFSTKMMLSFNIDSSQVFLENQ VEDGNNNDINLIDLKIEDLNENSYSYSINPIAPVSQKPSFAIYLAYIELKTTISQEKINV IFIINNKLIVSENKTKLIKNVESIQIPTQTYISQSAEQLIKTFSEFQTFQSYSFLTISLV SVFTSGYSNFYMACDTLQYLYYSKYINLPFPDNLQQYLNILKESQLSQIATKKLGLSMMK FEDKQTPNTNSSQMPQPFENDSLNYDFFSNVSTSLTFFSISFAVYYSSLIISKLLHHITP FTLNSLGSIVGGSILTIRQKCTKFVNNFTFSGIIRVITINFYELAFSSLLQLSHINFNDT NSLINIIGAIVTLLSQFVFIGLLFHKIQQIQTKKTTDFKSSIKTLFLQLDCSHHQKMWVM QYNTVLLIKKIFYIHVIVGMQQSGLYQTIAVALQSSIFCVYLVVQQPLTKIDDLRKALVT EAGMFLNSLSFILYSVNQQFQFHQETLFYLGWINIGIYTIIVSSNVLIDGFAQFKIVYSK VKKTFNNFVQSQLPQQSRIQPIFI >CAK71439 pep:novel supercontig:GCA_000165425.1:CT868097:98539:100261:-1 gene:GSPATT00007986001 transcript:CAK71439 MISRFTLKFKSNQIENDYRQEQHIHLKSEFTLFSIQTIVQQELIQLFFVAYILYYFQEET FNLIVVTNILVFMVLILLWKWLIDKQPSSNKYILPFLQIYFAFIWNLDNFFPQQVAEDDY SYSPFTYDYNWYYGMQAFYFHFAVMQLGYQIWPQAVALLIIYFQYVTYYPSKTLEYYAMC LTILLVFIGLIMMKYTNEKTRRMQFRNSREQNNWIKTIDQILEQSVLVIKFDEKQDQLIL SSINDLSKQRLKISNNKDMRTVLRDMLIIQEANKNIEAKKQNLEEEIRDVIKNQKQKLIQ YQLEVKSQQQNKELKVKLIQSIMQEEECVILIFEQNQKNKIRDFQQVMKQKETFILFLLQ QLTTQLKTIKTRLQIVLLVINLQQIRLFFNYYDMRNRKGWIQISQIQNQMNLLLQKKIEF NVHSELTGFITNQVYFFSILISLAQLFDRLQTIKLKKRNKLNQDRLKVSLEGSMIDMILN SKILKQNLNFSRNPEQNYKIILSNLQKTIDDKSLDIKKKAQIISIYLTKFLLWNAWRECN INIKVNFGQTILSFEFSL >CAK71440 pep:novel supercontig:GCA_000165425.1:CT868097:100558:101810:-1 gene:GSPATT00007987001 transcript:CAK71440 MKFILQCSICLQSLCNPMSLSCGHTFCHNCIHNTLDKQEQSVCPLCRQPVLISQNKSDEL LSIVQQIYEKDGIEELLNEFPSLIICLCCGLTPINPIVLPCQHMFCQKCIYESLQEELLC PVCSEFSFSLKVSINQKYKDLINWYLKQFQIEEVQQTEEIMGLDNVNGLPIFNFDQTVIV HMETQFTFFELRYQEMIRRVCSGSCNFIVSGDFIYGDLVKIKNIKKINKGYQVLVEAIAR VKIKSVYNYINGIKTSYQPQNAQSFWLCSYQHVKDQILKENSIQQYNNIVLTLDEIYRNI KSDLHSLFDSFMKKLHLVSSADSSLILLATLNNQTISQYYDVDVEKRIQLIQNHFCTLEQ HIKGMYNQGEQKQSAKYQQQTIEIILYPEYDVATQYFLNLFNLNKVLCF >CAK71441 pep:novel supercontig:GCA_000165425.1:CT868097:102842:103294:-1 gene:GSPATT00007988001 transcript:CAK71441 MNPNPIQFNPPRSTITSQVPQPGPNIANNSGFNTIQNKNMFPIANLIPAPSNMPIQGINQ MQMPNQAQNNMPIQGINLIQMPNQAQNPIFKTQDLNQKEKDREKEEIIKQLNIVVQEAKE RQDQIKQHYQKHYD >CAK71442 pep:novel supercontig:GCA_000165425.1:CT868097:103403:105096:-1 gene:GSPATT00007989001 transcript:CAK71442 MGNCIYKIQIDQKAQTHDQIEQKIVDEVIKDEIIQTPPLIEPKKILTQNYEVVVQENSDS QTPNVFSSQSSNPQVKYQQDLQLSPDILVRNQKEGEMFSLHYEIIRKLGQGGFGSVYQVK HLKTNLIRAAKVIQKQAIDDEQLLISESQILKDLDHPNIVKILEVFSDAQNIYIITECLC GGELLERVRSITNYNEDIAKYYMQQILSAMVYCHNRKIVHRDLKPENILFDDKDINSNLK IIDFGASEKIISKKLTTKIGTPYFLAPEILGSNGYDEKVDVWSCGVILYILLIGKAPFRG KNQLETLQLAQKAHLSFSGQRWNNISPEAIDLVKHMIQKDPQKRISMKDALNHKWIQNQS KQSIQFDQDFFKEITKFKGYNNLRVAINQFVTVQISKKEEKYKFLQIFKSLDKNGDGLLS QQEILQGMINVKMDKIESKLMVKEIMEKIDTDHSGRVDFTEFLTASIIQEQMFLKESLKS AFRLFDLDGNGTISRIELEEIFGGIQIDNSAWQDILAACDNNKDGLIEEDEFIALLANLE >CAK71443 pep:novel supercontig:GCA_000165425.1:CT868097:105345:106185:-1 gene:GSPATT00007990001 transcript:CAK71443 MHIRDKYIDRQNFKESEKIDNQEQFNRANEFFSQFPKIDLQAEKVRCPKCNLLHKVYCLK CGELLVNKELIPKVELPVNLFILHHNKEKHQKSSAIPAKFLSDQVEVKDFPGEFEFDEHT YILYPHQNAKYIKELSQEEIQGIKKLVAIDCTWHQTGAILETISKKYPNQKFLKLEDYQT YFWRYQHHSLKCLATIEAIYYCYQEYSKAMNIDKNYDNLLFFYKYTFQQMTESIKKEIGN PNVYEKIEQKKQRILQAKQDAKKEKQFKKLM >CAK71444 pep:novel supercontig:GCA_000165425.1:CT868097:106930:108440:-1 gene:GSPATT00007991001 transcript:CAK71444 MKKQSAKYSKTEPSARRSNKSPTSPSGNPKPEDMLTQSQVNQELLKTQQSFRSSTGHQGQ TPSISSKVTQSVTSPGRNMQKRPSQIISKQQLQNMHERSVIKVLGMNMEAVCPKKNHEKN KMLYICQYGDCKADNRLGCSYCLVESHNDHSQQVMEVQTFCKIFDDRKKQFKNLSDTILQ VPDKTAQVSLFFEQLRDQIMDRLKQIEKNILQSIQEQLAWKPLQKEILYRVEVLSSKLIF DMTQDELKESLEFIQGKHFKELEYIKQETNNYLSQKIALVEHIWNDYKIQLETDITQQLN DYNKVMFLDPQSKEFAEYKMQFFQQKQLKVNELSQPFHQQLEMLKEEERKVEEKKQEEIR IQQQIEQRKKEEEVKKEKERQKQVQEQILKQKEAQKNSERQSERDKLLKTFPYKLYTEDC QHRTKCNTIPIFSCCNKAYPCSQCHGFVAHPPRIQVPSYRYCMKCLEIYLVMYPTNQAIN CLKCQK >CAK71445 pep:novel supercontig:GCA_000165425.1:CT868097:109058:110036:1 gene:GSPATT00007992001 transcript:CAK71445 MKTSPLSFQRENDETVWHTRKLRQETEKDILLMKNRLKLLKRGDAQLSKRIDETKKKTKS MIELKMNHHAQIEQKKLSQKNDEEILKDKQKLNYSLKKQQEEQLEMIKKAMEQIKLDEYK RIKEISIKNSEAINKQKEEFLKKNKEKREHIKEIMSQSKTNISLYWNEKLSHIQKENEKA KLENKRACEYNKVQQEKMEMEESYMMQKLMRSQEVQKKLVARLEKAKNLPHDEFNHMIKE EEDHSHHHHKTNKSAEAPRWLPTPEKDLVVSQNLDSEPQQDSDQQKKDDNHEDQQE >CAK71446 pep:novel supercontig:GCA_000165425.1:CT868097:110132:111427:-1 gene:GSPATT00007993001 transcript:CAK71446 MFIILLFLIHYSQQEQIIIYYPPFCAQYRYTFIKLANEFNLSILNIPSEEQSAILLNNSN SIIQYQWDVFELDIKYWLTIYFHQQLPILNMPVNQLNLIQENLLFFYGLNQSYYNYLLQL AYEYPLYMFYYANPHNHKIYQEFFIQNYSIQQDYLIIRRKQDNYDYHLLILSLDQIKPFL EKYSKPYQQQYFTMKHIAYLKQNQNQRAFLYISFNLYQFKENKLIIQKQSDIYRQSNQNR NVVFIITEPIFMAKTLLQRFLYKNIKDGPFLVYLEFNQFKFNIFNKEWNYYQVSQWMQQY IRNEFQSQLLTLNPYLQQIPFLTLSQQKVQAEIQNKLHSIAILDLILQKPQQQNILLIRL IPYCYKSFKLFSILSKFRNDNLIILYSLNSLIYDEFEMLMITKNQTLIIDNINDNSLIQT LKQYIQEQFIL >CAK71447 pep:novel supercontig:GCA_000165425.1:CT868097:111863:112750:1 gene:GSPATT00007994001 transcript:CAK71447 MFRITTQALYNQQARKLVNRFCVVSKNKPEAGPSQGHLTDKYTVIDHTYDAVVVGAGGAG LRAAFGLVELGFKTACISKLFPTRSHTVAAQGGINAALGNMTEDDWRWHAYDTIKGSDWL GDQDAITYMCKEAPKAVYELESYGLPFSRTPEGKIYQRAFGGQSLKFGTGGQAYRCCAVA DRTGHAMLHTLFGRALGYDCIFFVEYFALDLMMDDQGACRGVVCMSMADGSIHRIRAGYT VNSNRWIWESISIMYICPYLHR >CAK71448 pep:novel supercontig:GCA_000165425.1:CT868097:112761:113992:1 gene:GSPATT00007995001 transcript:CAK71448 MTIRAGLPMEDLEFVQFHPTGIYGSGCLMTEGCRGEGGILRNSLGERFMERYAPTAKDLA SRDVVSRAMTKEILEGRGVGPEKDHIYLHLNHLPPELLHERLPGISEAAKIFAGVDVTKE PAPVLPTVHYNMGGVPTNFKTEVLNQVGGKDQVVPGLLAAGEAACASVHGANRLGANSLL DIVVFGRQAANLVGEKWKPGQKQPDLPKNAGEAAIARIDRLRYHEGSQTVAQVRKDLQRT MQKHAAVFRIEKTLQEGVEKVKEIYSRKDDIRIKDKGLVWNSDLVEGLELDNLLLQGKMT IEGALNRKESRGAHARDDFPDRDDKNWMKHTLARIKDTKNGDIELTYRDVITKTQDPKEF DTVPPKKRVY >CAK71449 pep:novel supercontig:GCA_000165425.1:CT868097:114269:115039:-1 gene:GSPATT00007996001 transcript:CAK71449 MHTRSTEQIINLKSKLLRTMDIKQLQQKTILCELQKSSSLCNTQKALEFKKQLNNYYQQQ GKNQKIKLFLMKFLDTLQLAFIVFVSKQYYIQIKRNQIDQIFFSRHLQNIKDTIYKQLIE NDNKFKNQRLQIHCKFLLKKQLIYKDLFRIQSIDQNQQIINNRILEIQFRVLLILFGLKW KTIKKIIRNSIIEFNHILAQNIDATNLSNKINKQQQTEISLFSNYIIILASLISII >CAK71450 pep:novel supercontig:GCA_000165425.1:CT868097:115047:115370:-1 gene:GSPATT00007997001 transcript:CAK71450 MNVSTPLYMSPQTIIKSQYNAKSDIWSLGVFFYEILFGYPPWQAQAQQELIFKILNQLIS FPDVPKVSETAKDFIKQWFIVEQYLRLGIAKLQRHPLVKKVQKSRKI >CAK71451 pep:novel supercontig:GCA_000165425.1:CT868097:115403:115778:-1 gene:GSPATT00007998001 transcript:CAK71451 MNYQFSICDIIGKGLKTITKEKVAIKIKSIYRVQILLNFYEHFTTQNNIYIITQYCRQGD LAQKQKQFGYLSQDHSVAIVRQIIDGMYVMTQQNIIHRDLKPQNIIINDDCIKIADF >CAK71452 pep:novel supercontig:GCA_000165425.1:CT868097:115897:117988:1 gene:GSPATT00007999001 transcript:CAK71452 MIKTFSLQYVSQEIEQLYRQSMLTGLKHRREYLYAVVSIYLILIIIKYQNQMPIIFASVS LALIIICYIAMKKYQQYKEIFVVTNILIMSAVIESLRLFGQESSQWSYGYHSSVLKMFIY LSGSSFIFQTFFFLLTQMASFYKLQTYDPQTIISHCLITILIVLLRYQYEMISRNHFLVN LSKVQYENILEDLLPTWVVIVKYNKQAGQLDIEKINKHLKEKFNLQNNEMLRDFLRKLVF FDMENQITQQFIKIEHEIIKELKLKNEDHPIQKYFAILEDQHNSKLWKFRVTQVYFNTFQ PQVLLFFEEIQEDKYDYYVNAIEQRDKQLYYNAKLNLKQINNQLENIQQFYHEIQKHECL THVLQNLKQQLQLNYFLFNLNSNLFNQYQISYRQIKSDVSIFKLNQFIKDVCLNLQIEQK QDKQEDQNLNYKDIYLQTDKQKLISIIMNLVQFIKLLLSIIYCETNILYQAQPLNKPIQI SIKQSKDFDDSLQFSITHPSLNINNSIVTQLQDIQPFSLDDDKRNWEYKNYFDQIISINQ TLQQMLNMYKKEISSFQCISVENSKLSNPQNQKVNNQISQQEYNTIGYIIAQYFVSRLGP QNKFNFKQTCYDFEKFNTSQFIGLQQTKIQFSIYKNYLSFQKEIVSNGLDQIFENDAQIQ SERMTNQEIFQKLYQLTRKSK >CAK71453 pep:novel supercontig:GCA_000165425.1:CT868097:118593:120551:1 gene:GSPATT00008000001 transcript:CAK71453 MSGQISSSESEETSFIQTEQERILKSSNIFKQKEQCNNICAKHPNKKAKYYVQSDNSRLF CSKCALNLALKGLKIEETLEKQPEIYRQQRIQRFQEQLSEVLKQCSNKLFQLRNIEMNSS KQLMEQKENCQKFFESVINTANQLKLTYLSKFETDHITQLNQITEKISLVQQIDTQLKQY EIDISKNHENIVKHMEMKPFEDIMNRYEKRVSQTKEQLQEFNQEYFQKSIKFENNQILAD MNKMCYNLLLKSEDSSEQAPKQIKLETSPKILQKTTNSPVDMKVFELLEGEDIYQSTCSN PIKDHIQSPVKISTQLQQQQKSKVNTYSNPGSTIQNSRRESNANTPESWQFKACLDRKNH QVTPNDRESFKHNISVGDQTSNVQYLNNQFELNERHFNQQNEKQKTIIEGERLDEKSNQL LINNINQLYIQKQTKDTERKSVEDREFTPKHQKNLTTAIPQTFKLLTNHASQKSQYQYPL VNNNLLEQKSQKEFSKTNYDSQPQQKSLTPLHQEPQSRMNNQQNHKQDNRRSNSKQPKQP SLDQIDGKRQFILANMNIQQQYTSQPTASEDTLKDRILKELCSHPGESVYNQVLKLNCQQ KLKNQKQISKENFEQSTTVRMKNANGYLCIKKQSYQQ >CAK71454 pep:novel supercontig:GCA_000165425.1:CT868097:120606:121350:-1 gene:GSPATT00008001001 transcript:CAK71454 MDSKNDQKQRFTRPNGQYSKTINNQHGLNREEPQKTNFQQQQQQQSYQQTQSQASKVAPL TEIEKIKKENAMLKSELLISKEQLKNLTEDYQNLQQRYHELQSQVRYSPEDLMLLEMQRQ MKHQQYYEFMQEAMMPQRQFQTDGMSYEELLELQNQVGHVSRGLTKEQIKKIPKRTVYLR QKDGCSICYNDILTHDNIRELKCKHYYHSKCIKKWLMNEKKCPICQTEICI >CAK71455 pep:novel supercontig:GCA_000165425.1:CT868097:121383:123752:1 gene:GSPATT00008002001 transcript:CAK71455 MNYSTVLSKKPFPPQKQDQAINLLVYGCEIQKITSGNKFEKLFIYMSHEVSYQLIYIINQ YTNYIDLRKIRFITDDIRDSKKFTDDKSVLLQIFVSEECWYLKFANQTLKELWWQGLQYF YTKVKEDLKEKLQIQLMAWEMFIKFDEDRDGSLNKEEQQGFLNWIKLVYPNKSFIENKCI QSSFQNKLEFMQFEEIVRLLYKQSILIKLNKLYLNSQNLLIEADFEKFIRTAQRQQDTDY FFGFPMTADKFNDFLFSQQNSIFEMGHSDDMTKPLSHYYINSSHNTYLTKDQLAGDSSVG QYVIALLNGCRCFELDCWDSISDRNPVVYHGYTLTSKILFEDAILALDKFGFMFSSYPII LSLELHCSIDQQEVLGQIMRAQFKDELYIYEQNLSVLPSLDKLKRKFLIKSSGILEESYS LHKNNQEIHKTMQSQKIVKTQKTLELIQVDQDPEPGLVREIAQKQRISNKTLKNLNYENK QKQFIRHDAICDFKMIGDQIDLVIPVSMNVKHHPQFLSCISLFNSPFEINKKRSIWNISS LSEDKVDKIFKEKKVKEVQQHLNNYLVRIYPSGMRVDSSNFDPIPSFILGAQIIALNFQT KDEPMLINRARFSQNLGIGYVLKPKYLIEGLDQVTNFESPDYPKKLVTLEIISCQLFLLH TKNVINPQVIVKLKGNKVDENGQELQTNVIYNNGLNPEFDQNYSKTTFEVKDVDQAIFIF KVFNKSDDNKQTIIGQYALPFKNMREGFRAIPLKDENLMVMEYCYIFAQVTIQ >CAK71456 pep:novel supercontig:GCA_000165425.1:CT868097:123784:125353:-1 gene:GSPATT00008003001 transcript:CAK71456 MIEIPADNQQLSSQQTLEMLQFYFETIISEYTAFNHAQIVYPLYQTFLVQLNQELRTLEQ SNMGDISINQTYQNLKVLQKKASDDQIIQEMLFEYDEFIHPIQIQALPKLINQKQKQPQK QKKAVSCILDENCDKQEFLKVGSQSVLLHHTKEKVQSFSELDENKLNVIKEFDEKSYFSQ INFERNPLSDLKAVTEQRLAQSKNYYYNEAQLDDAYYVIQQAKMELQDNELLLKWFYETY GEYVTSIEDKMNTMQINLNELESSGWIIEKNTKTLVIKYKIDSKNSTVTLFMDSVFEANV TKLMALINEIELYQNYVPFCVRSSMPKKIGKCCKICDIQVYFPLISDRKAVFVGEGIDRL NINGTIVFLCKSIDNDPEFLKVHNIDLSKDKGKFVNLILNYYVFELTPISENKCRVRAVT NSDPQCRYIPKALVALVARKMASTLFEKMQKITQNFEKSPWYPKYVENQEFYDWIDNKVQ VYFSKQKQI >CAK71457 pep:novel supercontig:GCA_000165425.1:CT868097:125468:127603:-1 gene:GSPATT00008004001 transcript:CAK71457 MLIFLIFTIAQAFNAENTYTIHTIERDHQDHRTPYPELYAVQHVFDSIGKEITLQDGRTV LCQIPIPQLSKPLNVDELSIARILIEDEANSILFPLIDLCVHHTTKEFLYEYCFRKHVRQ YDDLDTIQNMQKILKEDFSLGYSNQFISKNYSYAGFEPPNSSYYLDTNYHQIRQQIDRLI IIEGCLAKLRNYQISDKFEYQLNISTSDKMYTFRVIQVIYNELLLLSSCSDVVIISDYYE IIQLKKQKSVIKQNQYYQYKDIIYGLDLQAQTIFHPQQSLGVILTDKPFLVQVKKVIDYC AIQILGYLPNSKDQKSPSLIQKLMVMDTNAIYELLKGSVLISENTLFYHQDNRININDFI TLFDNTASAFTYVESFRVSSEHNGIAILDKKLTKGLRYEGRAFIRRSGQFNKLSNVIPPQ LDTFEFDDEYLISLNKLEYDLVQVHIFNNPTQGYQIKQQYQKYMGVTFSIYQLNNETACA LFINLISHMYLDTQIIILTQPQPNIYVKNKYGRYVQMESRFQNSSLLNQLYWIIVDTETD VLYVGKGNLIKLSNTLIEFKIERIRRKTYSPIIFEFDKKSSGLKIYDIMPLPLIDFGLFT TNLSTQYYHPLNFTGEINGVFEEHYRFGSFCNPINSTRQSRIKLECYPGDLMRFISVTEE ETCKYQIRIGTYLLCDKKYEILEPGEHPIMCMLKQ >CAK71458 pep:novel supercontig:GCA_000165425.1:CT868097:127608:130164:1 gene:GSPATT00008005001 transcript:CAK71458 MSVGQVHPSCSSPIDTKDYKTGLDSLKLFNTIRQSRHTSKETTLIISPKHGISNRAFLTP KRSQFTSQDQIVEEDDYFRDRRAKRKFDTASRKLMILLNFFRHINQTKDTDKTSQIKQIH KKRKKLPFYPNQLQIWKQFMSVQTLITLMLYPIYISLSDYKSLDVLTILILILDSLYLVD IILKQVTCQIDKNHNLIDTFQAIFLFNLQRWLIFDILSIIPYKLFVNDFTDQLCLNLLKL LRFIKYFSYNERQIYQEAQTSQDQSQYFERLFQLDGKLLSILKIFKNMLILISLFGCLFH SVLLYDGISQQEDSSKIYIQGLYWAIQTVTVIGYGDVPMTTSTQYNLTIIWIFLGVGFYS MTIGNLAAILEQQSANEGFDEDLEALETLMSQILIPEELSAQLFSYYYYNIENNPFWNYK KIIETLPSQLKVFAIAFCQKQLIENVNIFSFDINFAAAILPYFSIYCFKQYETVYFNGSP SLEVYFLVAGEIRLCDKDGNTLLNIKEGYIFGEIEILEDTYRKQAAIASKDSVVIMCPVF QFLQLVQDDEKLLFEIEQLGLRRRLLLQESISKIKKSAKQIKRISALDGQLMNQYVTKKQ LLERQFKRSIIKDSYQQIHKKLIRFIFGLKQEKKWKYQAIFKMAVRKIIDHRKQALLLSR KHSMVLGKQMLQNFAQVREDEKNLQKKILNNKKSGKYQKRVKIKDILNHHRQSRIAPLLI TPSPYYEEIISEVKEEKQLFKQKQNFIVELSNKLIKINLTGQLKIFNTLYEELMKSIDYL DEIQYQTNQSVEEMELIYYQISSLIISIF >CAK71459 pep:novel supercontig:GCA_000165425.1:CT868097:130231:131079:-1 gene:GSPATT00008006001 transcript:CAK71459 MSKVNQELYERAIQIINQDAQIYQKLNATQFDKGLDLFEIYSECYDINVIANNNVQRIIT SIDSESKSIYEKEKEFEQNDALIQLQFLLKQIQNQYSSDIRYQKIIAATEELISFIENHS DLCKRFRIQSDQLIYLRICGQDPLQSLILKSGLNLLTEWLVAMLYKLRINKKIEFEAKTQ ARYQANVVLEFVLKTQDSGVKNKKEYQQRIRETLLADSSLTAEILSEGFALSKLTEYLVG SLQGGCCEISQWVKSVKQIANLKLNGTSNTSENQ >CAK71460 pep:novel supercontig:GCA_000165425.1:CT868097:131632:131877:1 gene:GSPATT00008007001 transcript:CAK71460 MQEELNSSLDSLELELYHKPNTKIKSILTYLRQQKIQFCTDPKRKEDNYYSKNDKENDSK DFGIFKNKFYKRKSSTHANQL >CAK71461 pep:novel supercontig:GCA_000165425.1:CT868097:132346:136789:-1 gene:GSPATT00008008001 transcript:CAK71461 MIPANKSPQEVEIFFCYQQAINNLEQGRINDGKEQLKNLLHSKIVQSQENKTIHYATLIS LAEICGRSNEIREKCEALRYYHESNAIIEDCWETNRKMAIIFRQIGLNFRALHLTLKALE SCQQVQGKIIMYYQVCCLAFLINEVDYFNQYLEKMPDENKLKQQLLELDQYIKESKTTQF VNELLEERNQISKKLEQIPKEYLILDYFYQEYECEIYMDELDLKKFFKKLRKLLINNLHI DEDTEEIHQRDISILLKTVFIVKSKKDKLIPQNKPKEQLEKNVKQRLKQKLNLDLNNKEK FSLQSILQKENSKFIEIMKKCGVNFDSISIYGKNTILHNQQNQETEQKQTKPVNNGQIGQ YLVYKLSPSKFYFLNLINQLTELLYKLSDELNFDQEAVEQAQIELVKCYIWVQYFITDLT NDPKIDLKILYLLFNEFKQRIKNEKNKTKMQTIKLKNYQKLILKLNDKLLFQISFKKSQK DQLISQTKEFKMIMSQIHNEFNILKNLPAYCYNQGQLNRNQQLAKLLEFSLPEILEYWEQ PIYQIEKGDQVQTQVAKIKNFLKDESKLCDQKETYLILNACIKQLYYRQTKEQCKQTFQL IKPIFEQFFKLTQINSIIAVCLLKLTFIYGAIDEEASQPLLLFLLFYYKKLQSPQKDLFL ITTLQLKQINLCKFLETFNLNQLIKDILDLRQKMKQEYQNILRNFTERFQDSKDVQYYLL NYIGEKSGVYGHCTVQKIQMHFETQPAENTKTLAPPEIYSSESEDDYDYISPYNRYFKDI KLTNEQLTEPFKIGSNQYQFSIEKQKYLLSHKLSVNLGDPKISNQIKRVSKELVKQIYSF STKDLKKSFIVYFFENYYFSPHYVQKELFENEIKFIGYFLPICYNKFSNKKAVHNFANTV ILKNIPKKIDSQVYQYATALNQLIFSKQSQQQPPKLNEMKDLIAQQKLQNDYLANIYYLL QLSREDDKNNMYICIAIALNEVPFFWNYLYMKIFNQAYNQLNQKAQPQEIDWFISQKKIQ VHLQKFQTLAHLFEYRFIMDLLKAQQRQLEYESQPNEKNYQKVQQAYQFLLSQNQFTIPI DIFDLQHNFRAILLIEKKILRKLRSVPIERIIQSITNYSSINKLIIQMKDKQMNYFYNSA EGEVESISDLSINVYKYLRRFIQSNNVNGLCKLLEIIEIKFPLLMENIKDNEEFQYILQP ELRFYKTQLQEKQSQSSNQFIISKDFQQKINMVMMNLCLFFEKIKMRIDRAAPQRYVIEG IYYFCHIQLNNKNLSKEKLENLLQIISLIFSTQNKDLIKYYKKYGEEYSEQDEVLRQYYH HDLIFQYLKQKVVKIVVKIYILSKKWNELVELLEVMPKQFEIRGYQICLALIENWKLLED PQLLKDAICQIDYFLKKNIQYFHLNENKDYNNMLLKFYDTVLSKEEIIKDAQSEIEKIYQ AKKFMNNQIKILKKFKRDTQQNQEPQNFIEIG >CAK71462 pep:novel supercontig:GCA_000165425.1:CT868097:136833:144813:-1 gene:GSPATT00008009001 transcript:CAK71462 MKILFWLISRCYLWLPRKIQKSVQKSLLLQQGIDQTQKVKFQTQIRLNSRMEKCKRSPIL KLQPIISNQLVYGNVLFVQIKTLIVIFIEKIISVENIIILGILIIALSGQTYTHDQNEEV IVYYTLFCYLFKLLLSLMNTSIIAFQRKTQQNTINSQQCTIIAKFPDVSSKQLSNQNVEQ IKQLSFNRLHSYEKITWSQLTVGHIICLQQNEQSPADLLILDSSKEQVLINFDHRTPCSC TFVSLNQTIKGNILDFKTKLSGTIQFTATDLTIQGTIKLKNDPKLTPFTKKNMIQRGERL DSVDWIFGMVIRVGNDCIAQSNFYNENFKPPSWIHNVYQKIILILIVLFLILLVPNIILY SFQSYEKYFYSSICYCLLIIPQNLLWLNQFWLLINMVKNNIIFNKYKNAQNVQQNSMNRL LSEINENQVVIISENEKKVLLPIQKQFKINLLPIQQTEIQLLKKKKDIFKGFLTLSQINI LDMIKGDMILLDNPQEIFKNKPQIMQIITKDQKQYIFNYQKLQELVKKASPTLKTNYEKL LIDTNRQQTNDEQKTQDLEVLLAEKKVPNLRNSNEGLFQIKKLQLASREELVNKTLLGTQ KQQLPKKKSTRYIFDQSFAKKSLDRVDSMKDLTKLNNSTPSSLQKQRSQFFGKGGTLIKQ CNNNSSLQVSPTKQNEDQGVDRLIGDYYNEQDFIDCLYKKEDTLHNEILLMILITNNILS VFDDQTRELQFNFGNKFDEPLLEFTKVFNYQLLCSTEIENSRLDYKLKSYIKKVISIENQ VKVFEVLAFLEPTENRKNTLSVLVRDPESFLLEEGSILYTRIQTAELKNIFKGKNDRHNK QPEYQSYYNEQLQELLWDGQSTFVFSKRQLSQNQTSEFLEKLSQLHDAYGNRSQEIEIEY QKLEQQNEILFCIGIRSGNHHNTSQISQNEFQMDSLKEEKLFQTLQMQNIKICLTTSESY DELIIFLRSHQVVQKEQIVHFYEKDVQQLQYRFRQHIQYMLEEQDIMGSDVQQHLEKYII ISKESFKIILQDDYLKYHFVFMTEFASGLGAYQFTGKRNGQLVKLLKLNKKKVISIGNSL QNNYLFNKSDISFTLTQNNPFFCITQPNFVVRNIKQVFQLFFFYCTQYLQNYISFLEIQI YRSTLIGLSVFGINLYQSDISIFNFMIFFLIPSNISTFIFQSYLLINQVDYDQKYFNSLT KRLTILKTESILKQLIKIIIIAIFDSALLIIVEKALIHLTYGDGKINQTSQILLEKSKLL FIVFDAFNDILYKIKQLLIIISLTFILSICYLIVQSIQDNQEISLQFNNPGLQVFIFMAV FLVGLSFVLKLMLQMINMEFSFPIDNSQLEVHMKEINNIKLNLNSDANEKGYFQNIKKMI ETLFENKDIVDEQITKFIKVDQTTIDYMDKNHGFYDRRTENDFMDFFRQQNWQKYSLSYV FVFYEGTIFISRLYEIMMNDFSTSILIIIIIQLMLQLLIVIFQFKFINNKTSQQYLQIVS FGLRFIFKILIDLLYLDDRKEFTAFLYHTLFSLSFALTTQPKINIYLYAALQLLMYLFDL VLDGFSIKYDNINEAIFCSFKYFFIIIAISYPIFNYIQKIQFLQRSSYVYQNRLSKEQKK INSVLGLLMPRFIQERMNKGQIQISQDQGDVSVLFCDIYQFDKVIKDQQEKIIEFLDTIY RAFDQLCQNYDLQKIETVGKTYMAAGGLKDYDVVINQKNANSTSRALETAIQMMETVKTM KYGDNQDVQLKIGIHYGRVIAGVIGVHKPQFSLIGDTVNTTSRVCSTGEAGFITLSEAAY LHVKDNTKYQFEKKSVAAKGKGMIETYKLILQQKESTRILTPKVSTSEYQDKNSVQSKEP KKIMNFKSSTDKKNIFKRPSVQPAQMGALNLNDHAKSPKVVIRQLHRETQSSVMPNMILK KKPSIENDLDGKRLNSIKSKSFNQNDDVKDNNHSSNAKTPGFQKNWIQKRQSAVEEKLPN FLAQPNNQQDSITQIQFQTDIKPQVQAVSQSCQQQLFQSGVNLSHQSNQQQFLHSADQLI KVSDQQLDNNKQEGLNFIPRPQLRKKGTIILGELIQKKGGIIKSSTKIILPEERDKNQIV KVSGAGVDSDSQMEQKKQVKNQRSFKYQHLQDSSSALKKSPESMNERLEELEIAKKKLII TPEQKFDYQTLETIKKFKLDYDEKSTFEIDNSQFKTNDQQLYHSIYEEYKEQEKLQMRNI LVFLTTLKLFKGLLLFIISQQFNDTQIELLVVQVCQFGMCLILTISYQMILDRVNLAMLK IIIWIYFLSSSSLSLLIINFEKKEEFEVVLQAISISVLYINVYLSQILNYEDRQRFIAMF IILITIMIVYEAYILELLIYLMGISGLTFFCQMQEKDLLFKNYLISLQLSTQIAKYENML QYLMPPHALRRLLNPDQENTDTFMDVLENATVLFADIAGFTKYSSSVEPETVVDMLRNLF SNFDQYCQKAEIYKLFTIGDCYVCMGVLDCKKRDPAGEAQKVLAFGFSMIEIINHYKKDP QYQHLNMRIGVHTGRVLGGVVGTDVVRYDIYGEDVTIANLMESSGQEGKILVSEITKNLV ENEYEGFQFDYAKDVYLPSKNLTISTYYVSPSDVEYSQND >CAK71463 pep:novel supercontig:GCA_000165425.1:CT868097:144920:153363:-1 gene:GSPATT00008010001 transcript:CAK71463 MQTIRILFSIFVISQSQWQLVSEDLLNFSVSSSNWNYGNTCNWDSGSTGGGGSVSGCSAN DIEYILVGSYKVRAYYSVQNLPPHYQVKVVVDGYFLDSDRDNIYYVSYEVQGSTPTYSLQ YKDSTLQGYEDACRGSWGRFEVQTFIMTFSHTDYSEVRFRVCGTLMDSRNYGVRGLQIYV NQCHWSCLKCSSNLITGCLACFTAPSTTFATSQSCGSCPTNKAFIEYIDDTKSCVVECHY YRVPDSNNVCQFNANMFPYTTYFDTTSFSQSSPWVFVPDPINYNLLYSKKISSIPCDTSK NYVGPFLYNEAFSLTLQIPYNISYIRFRATIVKLNDWVDYSAVHVLLDQVEFASVYTIGQ VFTSRNADYLYSESICTPTVGYFRFEAKLKSNITNPVLTLQGSMDQFGQQSWGFRDVVMD IMRCQPNCDWCDFDQKCYSCSSGFLYKNKCVLSCPTHSVQQTGVCKDFDEIVDNSKYLIK AFYDSSNTTDTDVSNIVGTITNGGSDFTTSDGFLSTNIKVYFSYYMGKRVLGGPLVWNSA TFTKPYTLNPHYKFRFKFTLVLGDEFSGDFQYTIGGTSQTLQYSDSGFALTSKEVGRDKR DKYITIDRTIGHTSPSFSVQMTCNSDRSIKNNFCLVYDYFIIILECTQYCTACSGPTWAD CSGKQALPTEMSSPTTCINNAYYFDNTVTPAVCRACTPSYCLECQNAYICTKCASNFYLQ NGKCQCYPWTYLTVSNTCQSCHPLCESCYGSNSNQCLSCNSYQHRYLNNNICVCQNSYYD DGVDYKCQSICGDMIVTEGEDCDDGNTIRFDGCNNCRYECQKECLSCVQGQCSVCAPGYT LQKTMKICYPTCGNSSLVSQEQCEDNNLTPYDGCYNCQFQCQPQCTNCIYGKCYECDNSI GYYINLATFKCVTYCGDQIVAGGEMCDDGNNNPFDGCNSCAYSCDSFCALCIFGICTKCK SGFQLLNQTGQCAPICGDKLITYYEACDDGNEVNSDGCSQCTLTCQEQCTSCLLGVCLEC NTPGYYLNPKLKKCTSICGDTVLSVLNEQCDDGNNTFEDGCYQCKYECQTECLTCAAGIC YSCKPNFYLSSDNTCLPICGDGVISKFEPCDDYNFVIEDGCAQCSYQCETSCTQCQFGIC KLCQSGYYLNQSIKKCLPICGDTLILGDEQCDVGIIPFEDTVKNTTGCISCQLKCSPQCE ICTQGQCQKCKESLGWYLDYQTSSCYTQCGDSIISDYEECEDFNSQLNDGCSQCVFKCQQ ECSICIFGLCQQCNQGYTLINQKCLPVCGDGIVAGDEECDTGIIDFEVVYCVNCKYRCAE GCNNCVYGTCLECKNNYGFYLNQNHQCESKCGDLIISNNEICDENSEACESCNFVCDDNC NNCKFGICQGCNLGYELLLNRCVSICGDSIQTSIEDCESHDIVPFDGCYLCQFQCQDECQ QCINGECILCNQAMGWYLLNKKCETKCGDGIIAGLEECDVSLNYDNGDVSNNKCFNCRLS CAQNCSNCDRGICQSCQDGYLLTVYNECVNICGNLNTEDFEVCDDGNINGFDGCFSCQHD CQSECQECVNGVCILCEDGFELDEDTQQCQSKCGDMILTQHEECDDGNYIPYDGCYNCKY SCTENCDICVKGVCQSCSQRFVLSFPKCIPDCKDISYTQNADECYPQKQCQSECVICIKG DQHNCESMCGDEMIVGQEQCEGFLNYANDDPYCNNECQFACPSNCVTCSLGVCQQCQRGF YLIENKCFHYCGDSLMTEFEICDDNNLTPFDGCFQCRLDCEEQCTLCIEGVCQMCQRGYE IIDGHCFSVYCDDQNSIKHDGCNQCRFECDKNCSFCEFNKCIFCANGFELSAQTCISVCG DGLIVGNEKCDDGNLINEDGCFECQYACQQQCQTCLYGSCILCNEDKGWYVTSQGDCQPL CGDNKVAGLEQCDDGNDQNFDGCYECSYICQKSCTKCINGLCYECNTPGWRLENYFCWEI CGDALKVGIEECDDGNDVPYDGCFDCKTQCEEACVVCSEGQCQVCAYGWQLNENHRCGTI CGDQYVVPRFEDCDDGNLLPYDGCYECNYQCVQHCTDCRESVCYECNTPGWTYESITQKC IPVCGDGELNGYEQCDDGNIFDNDGCSNTCEYQCHIACLTCDRGKCLECDRYLGYFVSNN QCASKCGDGLWEQNTEQCDDGNLLNQDGCDKNCKIEVDWYCKNEPMQISNCFFKRQPSVE LKLLSQEERTSKIEVTFSTQMMLQKNYILFENENNNPTLTDFQLFMVKVDELNQGDFDYT IEPVVGIQEFPQEVKYIIDLKLLKNISYDQINVIIVVDKKLIISEDFVKLEQNSGQIKIS IPFIQDELSQKVVELFSNANEISIYTTMCVSLVSIFSTGYSNLFMTLDTIQYLYYTRYIN MEFPQNLQQNMDNLKKSSVSSMVTNKLKQTGLSQKMASQPKENNEQEQGMPNKFKQDNLH YQFSSNIQTTAVALSIGLSIFLTTLSISKLLHLIPPHKLNDLGGIIGGGILKVRSKCTKI SNDFVYSGAIRLITVNFYEMQFASLIQLSHVSFNSYSDIANNSGAVATLAFTCIFTSLLI HRIRQIQTKQSLTMKHYIKTLFQSDEKSYLKSTWQMQYNTILLVKKSVYMFIIVCFQNQG LYQTLGVATQAAAFATYLAVSSPFSNSEDQIKQLITELGMMANTLSFSAYYTYEYFSISK ESLSQIGWFNIGIFTTILTSNLAIDFSSQARVLYKKVKRSFNKFMENQMPTQSRVQPIFV >CAK71464 pep:novel supercontig:GCA_000165425.1:CT868097:156156:157848:1 gene:GSPATT00008011001 transcript:CAK71464 MGCFQPKGINKIVAMQTTNRAYIFTSTADIHKIFSFGKVLGIGAFGKVLSAKRRNNSEKQ YAIKMIDKRKVKGRETMLANEIYILQKLDHPNIIKFHEVYQSELYFYICMDKCAGAELME SVPKNQKSYTEGQARDIMVKIISAIAYIHEQGIIHRDIKPENIMFTDRDIRSEPKLIDFG LSVKYDAFSYNKLKAGVGTPVYLAPEVIEGTYSEKCDVWSLGVLLFSMLVGYPPFYGKNR QDLYENIQYQNLIFDRRHWRNTSDEAKDLLKRMLNKNQHLRYSAKECLKHPWFQLLFKDG VCRPPRRSTGFSGSQTEDDQRTLYQMLKTYRICAKFKKEVMKVLVNQMNEKDLGRLQQIF KNIDVDNSGTITVQELHQALQQEGSLATVEEIEQIMENIGYDFDELEDLSISHSHKSTTK PLIIKYSDFLTACIDERRVFTREKLWSIFKYFDTQNENYLSREAIRESFARHGRSLSLEK INQMIAEIDPNNQSKIGFEAFCQMMGVAGIQETLEFKDETKDPQSVAPSIIL >CAK71465 pep:novel supercontig:GCA_000165425.1:CT868097:158475:160308:-1 gene:GSPATT00008012001 transcript:CAK71465 MDQITNKELMKKINMKLKSAVTVYAKIQIVNYLLNSTPEFIDMFGWTGSMKMITQYLKEA LKCIQANEELIDKIFMLLLKMNLNDEMLKESEMGQLLIKIKDKRLLEDQKVSVNDQILQK WLKIKARYRSTLEDFKKNNNQKDKYEERKKKVKRNLSSSSNESSNKKKKNKKKNVRFARE DFLMNYKFFKRDDEPNQRGMTIEEVVTFQKQYAGELRRLYQINGYDIKHRESMMEGQEHK LQLDNIQQMIEQIPFIKPLSKLLNLLELRHTFLIPYDTNFKETQKQQMRTESKMSAFYTR DVNISTNFNLQQIPDQPGYNEISNTQAGMQSRIIHLDPPKREDLMFMVQIICDRGFTELE QKHKNANTKQIKGILKKPQEEQSKQKDVMLNQAKQDLQPKMQQLVQMVEQKQNHSQESIL TLLHDIIQKLKEIGEEQYIKNFKSFLETKLNDKQSIITEQERIKSQQEQQRTRIEQLQSI NPIQAQRLRAYKTKHCHNFHSPIGCTRGDNCNFIHDSRYPGRPAPILQQPNFLNKTLYPQ PFMQLNIPPLLRQLSPAFLLGRLNKYDSL >CAK71466 pep:novel supercontig:GCA_000165425.1:CT868097:160485:161409:1 gene:GSPATT00008013001 transcript:CAK71466 MAEQQQNYSDLVNQEIEQPNKPIKWEVDGMPQTRVGQMKKEAVYIFWFEFLGTFMLTFSI YASNNNLFVFSCAYGMLILVAQNQKCSFNPAITTVLSGNDKGLWVSVAIASQFGGAFLAS MLGFFCFKNYVNEVPYLSQTTFEEYFAVIIGEILGSLILTAGFLFQYDDLMDFTSDRLEH SLIISGLYGVGRTLSYVAKSSLNPAIAMGLVFFECCKDGHWARFWNLWIYAGLPFVGAFI SLTLIRILYKDCYEKQIKAGLKY >CAK71467 pep:novel supercontig:GCA_000165425.1:CT868097:161588:162859:1 gene:GSPATT00008014001 transcript:CAK71467 MSSKESRRRSNRRSNRKQKYSTTTSSVVTDDESNGSSSSDDDQHYKYRRGEYIREYKVKR HIFDGTFGRVLKVKRRNSKTYALKIVRRNHVESAQSEADVLFHLKRKGLSRYFVELYECF HHRGYYCMVFERLGPSLYELMKLNKNHGIPMSLIRSISKQLLKYIGQLHDLKIVHTDLKP ENILFSKNYKLKRGQQDLYLPQDDRIKIIDLGGAVFDYEGHDCIINTRQYRAPEVQLQCS EWNHKSDVWGIACIIAEMYLGHLLFQTRKNEYEHMALVEKVTDQNFPYWMASSVKGSLKQ CFTKNSTNGKYYIWPQENTTKESIAKVENQKPLRELILDPLLRDLLQKMLEIDPDKRISC HEALHHKFFCH >CAK71468 pep:novel supercontig:GCA_000165425.1:CT868097:163326:164724:-1 gene:GSPATT00008015001 transcript:CAK71468 MNLLEDLENNGTQQMDLLNFDHQANVIPNVDFYNAIVVNEFMNNRIVEVKDGDVVKEGYI TKYKLYLVRTKKLGGEWDTEVSRRFSDFEWLYQELINKYGGYIIPAIPEKHLLTKVNLAS YEFSEKRRKDLQQFLQRILHHHHLRYVPELRIFIEDKEKFISLLKGELEQNKTIEQKYDN LLQSVKTLWHGSNLTAKGQDPAKMFDGSEKDLTYDETLLLSLSMKGTKLKTVVEEHVLIL REEAKSLNDQNEALYSWNGLGSASEEDISQFKQLKYNNEIRQRKINREIEDIDRKFIPKL QNCLFEIEWALTACKRRRNLQSQLLVDQQRIEQLKMQYTDNSSRRDLEMDVLKTKYQKNK DRSDKMSIIMQDELLSFITEIKQQLKAIIEEWRSIMKTLSKNIIEDDNE >CAK71469 pep:novel supercontig:GCA_000165425.1:CT868097:164756:166419:1 gene:GSPATT00008016001 transcript:CAK71469 MNTKSTSLYIGSKQLNQKRIRSPLKKQSMIFKQNSDEEDNQSEDEKMEVINLNYFKAKKH QKKFNLQQMLQQGKLAEDEVDHNPHFPSPTINTKLIHNIFQIYHSDRKETFIEDKVHGTA EKISKQTNQKLVNQILFNKMRQKRVVSQHELSNSTSNVLQSFKNLSSMFKSVNQIVDYHA VANQIRQSQAQITINREEHKNLERQQFNFNYIIEGRLNQIKLHSQEIYQYQMSLQKLRLE NCKLRDHVSRLGEEIDQIKSKYNKQRQLALHQEDKLHPTKKWDRQQYFQTIDQNEQMELA RIKTFYMEYQQQLQFNQSQLKREEEILQDIKYTKKQIKQDLAEFLKQVLQSEEIQQLIYL QYIEYQDLSQSFVTQADFPCYLDNQSRIFLISKAKLEINNDYLKLQIKENQIKQQQQQLG NRVNYEKKLFQVMNSISNMKKQKYTLKSFELQQQSDSKQDNLSQKLSNQSLQINNLDNQI IELEEQEIQRLQILYQGKQLPNSSLQKLIKTVQAEFGYKQMNQKLSIIIQNYDTIKSQVN KSIK >CAK71470 pep:novel supercontig:GCA_000165425.1:CT868097:166897:167496:-1 gene:GSPATT00008017001 transcript:CAK71470 MQQNQLVQTEQNILQQTQSEYEHLTPKYTQLNQQITSVKKQTQQLVKKLQQVHRHQKIFT EFNVMYHQPTQKLISSPIEGRALLNNLIFPSHSKMLLNLIDLRQDQTIQKENQLTNRKRF YVQSYKELKVQKNEQNSQIQNVKFPLNKQNKFIQQDQNITKQFKRSRYISLQMSRTQCQL SINGTQSIVTSRDITPKKK >CAK71471 pep:novel supercontig:GCA_000165425.1:CT868097:167505:169743:1 gene:GSPATT00008018001 transcript:CAK71471 MNKFEDELKYAQKATSNEFLSWLQNVSYELIKQKEYSYGQEFFRSQQNLYELIFKSNGIL NNSFRTTQQRYGSIEDTFFFIKLLNILSPEEMSFYSALPSEYPYHSVSLDVLPAHIQLYF KDPSLANMNQQFQAFQDIFQENIQVEKSQLKFCVSAKMIVLDFIYQMGAVNFKGQCFVCD RQQFKNCQDCALNKCKRKVQHLRTTYQNSSTPQSHTQFLLDEFQNYMYTKNQRKQLNIKP PDKTIVDFHFSIDDLYFYKKYTLYEHLYVNKLFELNLTIDQQKLLYKIKPSSIVLDTQMM LIYLTIYFNSLYGTDLETIYQQFKPFLRNSDIFNKSSQFIYQNRSFFFECGRQKQQITYQ QGLFKFFQHSFEVYPNERQCNQITLYSHIAAYVLFLKWQFINKEQSIYNQALIFDQALSS CVASQNQILGQLQKKRVNSYKGSNPLTKFIVNELLPLYQVCKNKFGDCPQTVLFKYFKPH LIEQRFSQFEYRLDSQMRELDPQRNLMKNSIRLYYPFYTKLLITIFQCLSNLNYLNDSEY QGLIHLFAFCESNDQNSFFCDSLKFIKQKPFQIPAYQEVKNFCDMYVKNSDEGEFLIIEN KDTKKFVTQAIELLMSYQQRIGIKNDKTVEFLGSYFNIQTSKINAIPIKNISPQQSLGRL SKSRIVINEWKAPLRDFEIYVFFIIMWYFSLGLDKLKGLPQSEVPQTQWPRRFASPINLF IVSIIIYGLFKIAFMFI >CAK71472 pep:novel supercontig:GCA_000165425.1:CT868097:169850:170311:-1 gene:GSPATT00008019001 transcript:CAK71472 MDISGYLYHNLPYQLENREEIQDGCYQQASKLNFHKSLLRIYSPEPSIQGDSNSNENDQM YEIGIREFNIHKEEKNGNLNLQENCPSKKVQKTKKLNKKRQYKNRPLSEEEFIDIMKRIE QFQCVMKMIDHMTMILKEYQNQLLHQNINRTLR >CAK71473 pep:novel supercontig:GCA_000165425.1:CT868097:171026:171493:-1 gene:GSPATT00008020001 transcript:CAK71473 MYSNLFEDEQFNNNTWDEHSDQMICPSPLLLGHPQIKKFNDEDERSLIHLQYHTDNMDDD YSQFNQPSQTNQTTYKKRLQKIHKRTSIKKNKNPPSLNRVLSDFISYVNLSGDNATKQIQ YCQQMRHLIDNLEGILSKMKSQILSQCQIQINQEN >CAK71474 pep:novel supercontig:GCA_000165425.1:CT868097:171655:173371:1 gene:GSPATT00008021001 transcript:CAK71474 MIIAYIIKGILAPLILVILYSNSKALQNQEQQVRSFWENIFGEVYQIRKQTFVKNSAFKA EYNQSRYNVAESPVYCKKSDSYKMAHPDIVLQDYYVWRDYPPSNLPKKITLDRTFAVLDK VNPSKTASYKYENQMPLQLGFIYTTVSTLFNNYVWGKGLGCALQKYSHIPGKSSVAMKNQ LIDNFMKYVRDFEKKNITNPECKRNASFIPETYRLNDENDCKAFFETFKTTDYQKRFKKY GPQYILKTNQHRGEGITVLFQNETNELLEEYNHGKECGNISKQVIAQRYISNPFLYKGHK IEFRIYYTIISTKPVIAYSYSRALIKRCAKPFSVHSTEKGAHVCNTAIVKNLVKTDKDDD EIEDEEFFIDWYLEGLEDLLIKQGRAKKGWLQAYLYPKIHRSLIHMTKATYHSFARDSTF GEFFAVDFLLDDNLDVWVLEVNYNPQVLSVTPDRIKRNYKMLEDHFELQYAYIKSKLYRL QQLGNALLKKDAKSILNQSKQVEELLRDKLESQFELSKDNLYIKIMDEGLPGTQAYTNLI SKECLK >CAK71475 pep:novel supercontig:GCA_000165425.1:CT868097:174482:176607:1 gene:GSPATT00008022001 transcript:CAK71475 MKKQRVQQQSRFPMTARPLQKFDLETEEQIEQRFNEFLNEAQNETIKLVTVQKGKQRRLA LNQNKKRTIKESQMVEQSSYDGENQSHEDEIIELETKPQKKISQKHEEFYKFFVDRYFHK QEVKQSASTQTLTNDVNFLVNRYGDFLQNSLMSSLIIDTLKHKFQNQVPMYDHIGTAQKI LQSFNVSAVTLCNMKTPEERQTYLLNSFQQKCDSLMNEWTLKIAKKDKQLLQIDKQFTLT RMKNRKEQNDLFKWLTLKSKYQVLQKKLREMLMEKINEYENQIKIAEAISQNKKVNLTGI ETIQTSQIVKNNNFYSQTNTDIFQWNPLLESKFQKERLKAQYTYNSAINSSNFNQVAFMQ IFDQVKIEDPVYLQREVKMQKYMNGDWLTPQEMKFLANTSELLNKCVDQKQVKKVVMHIK ERQLYDLENYLAQQIIQNHQSLNYQIQQARSIWLKEQTKIRKQQIKSHDQQIQEQIKHAK TLKEHDKKVKKLQRICKKLEENISIKDQVYRNFFALIFVRKVIQKVEYLRFIKMEKSNMF VKETLAEQEKLRLHKERLKQLSIPYNYEEDKRRKYHPSSKVGFVPTQGMTADDWESLEGL KLAERLKILKEKENQYRLGVLSIQNNKKENFMKFYSSGPNKAFRQDPIFDPLNPNDPPKL TDKELNSIIMIQRAIRKRIAFKKLKELQRAIFSKQTQNLS >CAK71476 pep:novel supercontig:GCA_000165425.1:CT868097:176660:177335:1 gene:GSPATT00008023001 transcript:CAK71476 MTLQLISKPQIRIKTSSNRIKSEVSLSKSTRSKLKTEETAEPSSIGFGRQGRLVTTQNLS KVKQLLVKHERLLRYSKSNQPNHILKSGFYYTQDDIDVMDQDGNTALYYASMYGNNILID FMLKHGANPNVLCSDGTPMHMAVKSNKIDVIYLLLNNGGSLNIVNQQNCTPLVYATDKIL KQLGMKSKGVVSVSKQFTEIKNDEILYSKDFALQCD >CAK71477 pep:novel supercontig:GCA_000165425.1:CT868097:177461:178027:1 gene:GSPATT00008024001 transcript:CAK71477 MKNKLIIKSLQKNQIVLWQTLSKLQCAFFVVHSGSVTTLFCIIVLEHVILRQRSLKAQEV HFGKYYSFCSWHFVDSNLQIVCCAQQDVFIALELPFRHIKEAALGQILFIKWSWNLVVTS KGKQVTFLGKCLNIDYDYCKNAQLSKFPIQYISYLFDIQYQK >CAK71478 pep:novel supercontig:GCA_000165425.1:CT868097:178772:181366:1 gene:GSPATT00008025001 transcript:CAK71478 MQLNDDQQIDKEDQFNNNQFISIRQVSLRLNVYKVHKLDFNYQKRTKVINQFINNEQNYQ MTEQCNKYEQPQKNQYQNFTKDHKINTIMGYKQIEDDESFLGRIMQEILWLTKLANNESS KQEGQDKYQAATSWMNEMAQKSEEISDFCQQIQEVYKGINQILKNFSQKSDEDKAKENFQ HVVLLNQNENKYCWRFLHVSSFVQNLLFQDYSQNKLKSLRSIYINFTGETYQKHIDFWSL SHHKNKKLSKFITLYPLNYNASDQQQENEFTNENQNKAQYNSFQTMINVKKNTNQQTIFP IFYKSILSQSQIQIIYSTLLLPIINSFQPQFIYLDLQISNKFQIQLEGVEYLLRQLQKKA NLIVYPCYKVTINNKDVLDTELQNSYLNAVLSGISGFKMMKTKTLNDNTPQENFNWANLM YKSFYQSDAFMQKQTQFLKTQAILYDRFTQYYEVYQFPIRLENDLMSWIIPFDIYLSCKQ FTNYYCFYHLNYVILFDQNTKSIFYQEISTIPKNIINGLIKQKFLHANVPKHLIEPSILI IGKYLIIVYGHEKSLHESKFFDGILIFDFEELEEFKEYQRVPDENTKDLLGCIRNRRCPQ ICQNNGFKIEEHQLSFFLIGGEFMKQIEQLIRSDNNKNYIEVVNVDLKTQKFKSYPIQFK LVHSQASLKPWPYQLVFEYSFQNVHLYLIQTGSYLLKRNKFQYPIDPIYHNYSQLLVQQD NQYSLYDIRVVKKKDKEYYLKIEQLYSPSRNWILEISQCSQSKIVWKAYLTRLVKLKNPS KWEILKTYKPLFLDINCNTYFQICIELTINLIIPNNHLDFKNYTVELDYEIMSLQKIND >CAK71479 pep:novel supercontig:GCA_000165425.1:CT868097:181389:182400:1 gene:GSPATT00008026001 transcript:CAK71479 MNIQDNRRISYHKKEGQMKKTTALTYFSIIAFSLTTFVFLIKGSIDSYDQSNLSKSECPQ NYTDLKGTHKLLARINRDNTKNPQYTQGLLFVNQTFLLESSGQYGKSSIHYLNLDDINNL IFNYELPSNQFGEGCDIINNKVYQLTWLERKINVFNAEDLSFINEMKLDDKVEAGWGLTH RVFNGEIQVLITDGSNKVHIADENFNVKESIQIFDDKKKPVTNLNELEYVNGTLFANIYL TSKIVAIDLENKKVIATYDFQGLVKDANRKKRDECLNGIAYNEYTDSFWITGKNWGFIQE VKLQ >CAK71480 pep:novel supercontig:GCA_000165425.1:CT868097:182709:185571:1 gene:GSPATT00008027001 transcript:CAK71480 MGNAVLCQEPKKKPTRGKQSDRAPKKVEISESAPQSQRVQQQQQVKTPKTQQVDSYNCQV HSDEPIKAVCMCDDCQHNNKILCVECLIDYPCSKKLRISDYLRHLRSCLSKDAQEAFMEL FDEKNQVKSDLNRQITAVIEMVLTKLSSIGQQYVPILITNYYWASQKLPLKLKESTIHQL ELILENKANEAHSETLDFAIYLIQNKGVVETMCEQIERKKNKITEFSNDFVFKFNQVVNK YLTAIEVIDQHLLQFLFHLLTTPMEYLLDEDQKPQLMNKRVAQNKDQSNIQSRIKQNEDK SNITSPKESQQLNNQQNQQQQQKINFKCLAHNQEVLAICVKIDCQHKDRKMCADCLIDTK CVDRMKMSEFSARLSRIMNKEIIEQLAIPWDDLSIHKGIEKLFSTAGDNIKNTLTEQIVT VKDMFVKYHLIEDSTPKELRGQFTKEYFDQFIHMMTVQYIIQITFFRKIASNNPAVILGI KFINNKQLIDNMLSAIVKTEQVLPKKADKLQHKLVSVSQLFLEKIQNLMDLYKQHGEIEA IQKQYQLLNNEPFCLEESIYKIKQDGKSLSEWEPFHFFKPSDKNIIDVCFVNENLAAIAI IDGTIIIYNVNLKKPTQVLKHGIFVFSLRAFQFNGQSVLLSSGYSPQTGNSLCSWDLKSL KPTFIVSQAHNNSIRKIKYLHINPQFSQNFFSNNNTTVGQLGSQVSQTGSMNSIFIDIDE QILSCSDDGIIKLWKIDTNLKLVEEQILKQGQQVLDFTLLNGCILVSANNDKTVSISLPF SNINPFQYINDDCLVYAVSPIDQLISKKKQTVQQKFITGNEKGIAKIFQWQNGRAVIMKQ LNLHEDKITKISMLQPGFIASVSNDKSISLTLIQQAKVLTKIEKHEHGIVSFAYLKANGF MITADQGGNAILWR >CAK71481 pep:novel supercontig:GCA_000165425.1:CT868097:185805:186433:1 gene:GSPATT00008028001 transcript:CAK71481 MSDQLNFNLKLFRERITDKYQRNSSNTKIGQSNQLSSQRKSYKLKAPNLDSFSSRWQNGS VNQFKPDSRVCSPKHLQSPETYHGMQQKIKTITTSPQRFDSNRFGHPLRSPQSLLIPFQG SYQSPKAQTDRNEFQELKRYNSNNDITEMVPFYDLVNIRNKLESCDIKSAHISPTYLNEI VKLAQKINTQLQKK >CAK71482 pep:novel supercontig:GCA_000165425.1:CT868097:187051:187559:-1 gene:GSPATT00008029001 transcript:CAK71482 MQVMLNLNLNEDHQVSIDNLLMQTFCRSQIQSQIRSSSLTTRRQNFKSFSLSQYTSEDEI SRQIRFDPLIQQLDFMLKKRTPKNKNIQTLESKFYNPFEFKIKNYQMINVRIIPNNEKGK RKAVTSYRKQLTSFKGREKSQQTQWQRVSQEFSDTYM >CAK71483 pep:novel supercontig:GCA_000165425.1:CT868097:187886:188317:1 gene:GSPATT00008030001 transcript:CAK71483 MLSLSYFQQRNLNLQKKDIQKLRIQFRIFSYSYIYLLSLLYFFLAFNFSMRKKIKSFLFF PFILFNIRNFNFLSTDRIKSIASYIVGYQIIFILINHSIKLKAMMIIINTIDIKIQMFQR GRKTYKNAMLVVNRQNQRSQSNI >CAK71484 pep:novel supercontig:GCA_000165425.1:CT868097:188695:189864:1 gene:GSPATT00008031001 transcript:CAK71484 MNINYCSPNFQFENRTPLKDYTSNVDNIKQAKNRIAELQHIIHELNKSNGKKSVSPLAKS PVKVNVPIEYHGTSKKQSPRFQTPETKQREMRIVSENVKMQTENILEQGILQGVLPDNLL EKSIENYTLSKVLGLGSYAIVRLAQKGSLFCAIKTYEKAKINDQQKRKNVCREVKILSKL RHPNIIKLVGACETQVQLHVIMEYYSATSLNSFIKQQPQKMLDENEAKYIFIQIVDALRY CHHKSVVHRDLKLENILIDPLNNQIKIIDFGFSIAIDPQSRLNIFCGTPSYMAPEIVNKQ TYSFPADVWALGILLFKMTTGQFPFKGNDDKDLYKSINSGKIEYPQTMSFQLKNLIKKIL NTSQNERPSLKEVALDEWLQ >CAK71485 pep:novel supercontig:GCA_000165425.1:CT868097:190441:190913:1 gene:GSPATT00008032001 transcript:CAK71485 MGKVHKQKGYRLENGISKKGFVEFRKMLGMPQFEMAHENNNLMKIQTLQINIENDDKWIN TYKPTPEVKLQKYLLHCKNSDQSIISSLNKVDRFLNQQGRHKTIGQGKDEEIQKKQNSLS TEVQYSNKIA >CAK71486 pep:novel supercontig:GCA_000165425.1:CT868097:191569:193018:1 gene:GSPATT00008033001 transcript:CAK71486 MKQLMLNMVKTYKQMNKPDDKQNIKKYGSIQYPECSLGGNHSGEILSLICLEKECQQNQI LCCCICQEEFHKGHQLKPLKLLLCEYDQKQREFQSVTLKQSEKDLLVKKINEQEIISLEQ TRDLQQQIVQKLNKIQDHQKQFFENLRKLVKLREIDNGNTSLVIENILNNQENSQLFGKF VKELLDALIIQELPDQDLGYEELNKAFDFHIKDQSDCIRQLEKQIFIGVQQQIEFLMQKT SKSVVQQSYQFQFLPNNKHHQVDIVEPKIVKASQSTHGYKFAVMNPSLDKNKVTVFGFKL NNVHSSNWLAVGACHLSVVQSKQFGFAFQSLGHGGYLVSSNGGAWSSTTANQNNVVKCFK FAKGDVIVCTYDPKNETLTFKKQKTKTIFKLDVPKSDNDLHPCVLFYYALDEVEFMSSDA INKLNPSDAINILNPSDTINGL >CAK71487 pep:novel supercontig:GCA_000165425.1:CT868097:193054:193540:1 gene:GSPATT00008034001 transcript:CAK71487 MDNLYAEEIRQRYHSSMTYQEYLDTYDKAPPKPQIRPKETPQLLEPLILEGHRGPKIQQQ KLTVYSPDDVIFEESETDEKPKVLTHVSCQRALKEHNIRIRSQSENLGFSVFRFLQQKKS KFP >CAK71488 pep:novel supercontig:GCA_000165425.1:CT868097:193898:194504:-1 gene:GSPATT00008035001 transcript:CAK71488 MDHIIWGINIGVLNEIVSLKAKSTIKGYKDSFSLAKALLKLLKSFFDYKIIYDKEMELVN EIKEIPDKLIFEDTIAVQCANSLINSRQKRRIKQLNFIVTSLRIVMLLRRLKLFGLNKKI SKIFYSCSGLTITFINIMVQLINNNELINQKLEKNEKEKEKKLARVNSFIPQNHQLKKVK SELDRSLRRRLRK >CAK71489 pep:novel supercontig:GCA_000165425.1:CT868097:194645:194960:-1 gene:GSPATT00008036001 transcript:CAK71489 MKRSIASVKDLADYFNVAIQHRANNTIVAGQYVARKVSIVSLFMQTTKGRDKICCLIQYL ADLYEACIKFSNIPEIQAASSDMFSKKQLLVFESQ >CAK71490 pep:novel supercontig:GCA_000165425.1:CT868097:195323:195995:1 gene:GSPATT00008037001 transcript:CAK71490 MHQLGKSENSINFKDYSILKKVQSFSRHDDAEKEKVYMLKQKSFDLTTRRSSSNLTQDFA IESILIHRITNIQNPIQYIRMNLLQQKIWERIQKFSQKYIDDQSNLIIIVLKPDQEFEFR YNISNLSCFSKIKQLTNSLTNHFYQLTQIYPYLSMLIGKIKTQKLDNEMRLFELLNIIMN GKRMLVLQSQMYSYA >CAK71491 pep:novel supercontig:GCA_000165425.1:CT868097:196072:196664:-1 gene:GSPATT00008038001 transcript:CAK71491 MKQSDPSFVFKRVKERSVQIVVEKVKEWRNLFKNGKYDSQGNLKKLTLQQAAEEVGIPKK TLEDYRQLIKKAKEIQPIEQLYDHKMGYLRQLIKQNQNLKQHIIERETEDLEDKDFNFDH QNPTQIEQIECEFEKYFNLGDDYHFDQNSAVMTVHTRFQPTQVIHKKVDPQENETLSCDS NSQTDDEEY >CAK71492 pep:novel supercontig:GCA_000165425.1:CT868097:197063:197703:-1 gene:GSPATT00008039001 transcript:CAK71492 MRQLNEEETKIFFEKLGEYIGANIKLLIENEDDPHVFRLIKNKVYYMSLQIANWATNVGK DELLHVGTYFGKFTKTKKFRLNITCLEILAKYAKHKVWLKPSGEQSYLYGNHVIKAHLAK MSENIPQYANVILFSLQENPLGFGVASRSTLQCKDLDPTTVVVFNQADLGEYLRSEDDKN VEK >CAK71493 pep:novel supercontig:GCA_000165425.1:CT868097:197852:199275:-1 gene:GSPATT00008040001 transcript:CAK71493 MKRTDTLVNKTYCLILFLLICIIIALIPPQNKIDNNTFEIFEVEVTEQEVKYYLENDIAF NDTDQFGIETVQNWLPIQSELAQLNLALDYFQRLNLDKLIKQHYEYQIQVDQCLQLSLNQ DLIIFLNKFNSTTNNDTLIVDQVNNLLSTRNQLHELYLEKKNQLENYQNEERTLKSLIIE YEVNDQEQIILNKKISNLNKLNYMIDSSNKELKDLKDKIIYQKSLEEDEDLSEYYKKDQN QARKLRELFKPACTKSVEIHNEQLYDLVNVLDQNIKQYKKPTEVYFNGLNFSPELRRNTL EELQLQHSRIQNKLESQLKYQDELDREIQEIQRQKKEKGIQYSNIMKKLEIVRNNQKGLE KDYLSAKLQIEDITKEIKNFMPMSNNENNQLMNIQNQYKQYFYEHLDQDQKCVEQCIQYD SINQQLYMGRYRQSLNLIQRHFYELDKLFNEFKI >CAK71494 pep:novel supercontig:GCA_000165425.1:CT868097:199414:201403:-1 gene:GSPATT00008041001 transcript:CAK71494 MSRKRIEAPPLTERSQYRRISQKSVDELNQTLHARINSMVGLDEQLTSKIRQLQSASLTP KDLSSISLSLQDMVSTLQKVSYNEIMYNQLVRENEKLKNDQSYLKAQLFKFNSLIQKMQK EHSVLLEKIRQQEMDINNLNKKLIAEQKINEETCKIYIEIFSKEIKEIRIKKSNITLQKD QLKNNLIEALSENEHIKKDAIQKQKDYQKLQMANNALNQKLQRLNNRFYISGKAQNEEEF IDQEKMVITFTEIPKNFVFRHVFQNQECKCNELKITIEFIQSALEFSTEDFILHINSLNQ ESKKMQLTWLFNHMVNYREFSLAHNHFITKLGQLVQLTNFDEMYSFITTLSSFFKVSHMY LWLRDFQTGFFINMCDGKQRKIMCTKGAFRDSLESRESVNKLTAHKHMMYQSDKGEDVFQ DNTYIFPVITDQALPAGVIEFSHPTHSNNYSDIQYFASILGLFVKLTIQKIDEEIHQKFL LKQIDILQTEFLKLMKANDKFNFCELVKVMQNKILQLSTSEIVFVEDNSLWKYYNQNIKK LENIAGVCGQVAISQSPAYFANISKELNYNEIIDMYSIAPIFIYPIVHKNKTHAIIQLSL TNKQIDKYRFKDPLISLTQISNQARLFCDYVTTAYINRFL >CAK71495 pep:novel supercontig:GCA_000165425.1:CT868097:202641:203456:1 gene:GSPATT00008042001 transcript:CAK71495 MSNHKSFFASLSNQVIFFSYHLASSCRFIQNTSQFSLLFHLITRLRLPYYDYHGRLEFQK HQLFCFFGKFKKRFICNQESIFHFKFNVITFIHLLLFRKTLLYKLNPTLGLALSIKLASQ RRTILGFLKLPYFLCEKPLVFHERFRPADISIFFIVVRWVCTEPIQNRYTPSQFIFFPSY FFLCQTKVHNSYPTGQRRHVQSQDKLFEFPQDDGGLSKKYENSSIPKTHVKNQANEIQKG FFICFYQFKIQLSSFLIQNQSIKIKGVLFTL >CAK71496 pep:novel supercontig:GCA_000165425.1:CT868097:204478:205239:1 gene:GSPATT00008043001 transcript:CAK71496 MIAHGIIRVTNVTYYYCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXKAISINPKFDIAWSNKGFAQILRNNNCFYIIQRNIRKLSIAMIKLCLFVLIL FVQKEKLIHYLSCSRKKKLKSFISMHQTKDQMRRIIYQDNQPNYETPLYQQIKSLIYSFS NYKQYFLRIPSNKLISSKSKFSFVLNFSKQNLFPFTRIPNFQTL >CAK71497 pep:novel supercontig:GCA_000165425.1:CT868097:206467:208594:-1 gene:GSPATT00008044001 transcript:CAK71497 MIKQLLAIVTIGIISIAPYLDNTDRNSWFEDDTFIVSVEGKINRIRRSDPDWKPQSEFEQ YHEQIYQEQERQRKEKMKELLESTANVCTNGKDPSQLSLQDYINEFIAGPCQPVILVPGI IATILQVKIDCETLQAKRPDIFENCGWQTCSASKFWLKKPNEEYRLWMGSINSPFTIAMT NKKSKCFGDFIELYYDKSKKDPKERYSAAPGISITWYGNTPLSADAECGTTAIQELSTDS IIKSSMCDTKGYHTFSDTLKNMGYIPGLTMQAAPYDFRKSIVASESQKYIKKSIETFYKL TGKTTYIFGHSLGSLHATEAIYNMTPEEKQKVAGIVTMAGPLLGATKTFKPQVGGDDSYM FKVLSIDAGINWYAQRKMSRTSSSVVDLYPKDTYFRFRTETWMQQILDRIKWDKEFLSTG KRPSRPNPLNWFPEPDQICGADFEERSNHCQLLMSDMSEHFLRVVDKLYYSTEESMIEAL SENINSDALQQLLEYVQETKRVKADNLEHPGVPMVVIYAANQLTPAQFEYDKQPQPIVDS SDDFYLPDHIQKAYGDATVLASSAMTPAIKWIYEHKNGQTNIPTKLVEYCSQYSGDAVSS IYDSTDEQQQKQFTESGYLGLTCSCKYGQTGIDNCSHGCIISDALLIQFASQVLTTNWKS TISQTTATEIELKAIKEGCTNLH >CAK71498 pep:novel supercontig:GCA_000165425.1:CT868097:209084:210245:-1 gene:GSPATT00008045001 transcript:CAK71498 MGNKSGSEFGGIMIRTEKQLYFAGDIVQGNIYLHIIKQGFCGKEVKLTILGKEKTNWETG SGTRRRTHEGKNIFHQITVVAHTFEDDNLMVGSFIFPFKVHLSANLPGTFYYKDDVLASL TYKVIARVVSTSKSINDIKNKQVLILREPIKEIISSKQKRESSQIVSGCCIKRGTSSIEV KVEKNIYFPTEFLNFSYDIDNSNCKLDVDKVDSVLVNRLRLRSNSDSEHILEFQLNYQHH KGPQKGNKIQPIQKQDYQLQLINLKNPPQHLTPSIIGNCVNSIYYLKVQPHYEGCSCCSP RPTVKIPITVLAIPPKEYIQPLIQPENWNPQVFQPIIIQSNTQGQANALNKDLQQMSNPM KN >CAK71499 pep:novel supercontig:GCA_000165425.1:CT868097:210464:211028:-1 gene:GSPATT00008046001 transcript:CAK71499 MLDKYKESEQWVDKVLKYDSNYVNALRCKGEYVYIIFKENAQITQSDFIYSLDIWYEQII TILVQSLSIISILDLYSSLVFLKRQLFLLIRYQKSSLIIQIYCFVKVSKFKIIQAHSQRL LGKFNEASLSQKGFSFNYAKVCVYKSYNDIKRLLFVTRRLQRSSQIMDGLFVEKNNVKRH >CAK71500 pep:novel supercontig:GCA_000165425.1:CT868097:211127:211839:-1 gene:GSPATT00008047001 transcript:CAK71500 MSKGKCLEIKCQNSEHEYNIKMICLNEFCKDFRLFCMKCVQNKFHHAHLESWIDMPQFYE QLSYQEQDCLKLLNNISEQFESIILSFEKFKQGIKLKYILNKERVGRMNVQQLNVAIGEM IYFQEYKVQAQYSITNCVKNLQNYLTKLIFKLRIEDLNYCLQNENNNKQLEMNGIGGKQQ GILNEKEMLESTIKILNQSQVLNAENLSALSKITWPICRSYNMGRQSFTN >CAK71501 pep:novel supercontig:GCA_000165425.1:CT868097:212189:212879:-1 gene:GSPATT00008048001 transcript:CAK71501 MKQSFRQSMAFTSYSDKTYYIYSIIQVEESINVVVMRNAMQIPSMYRVRTYDIKGSEHSR QVLKKNKKTNDIELKKITLKDIDFQNLEQQLHIPQQYRERLRKCLINDANFFSRIKLMDY SLLIIKMDWYSYSWHNPHIKEADIPNYFSSDLLCIPCINAVEKGIFITLAIIDYLQEWNA QKIIEKHTKKAIHVNIALNTSAQNPDDYAKRFIEKVANVII >CAK71502 pep:novel supercontig:GCA_000165425.1:CT868097:213296:214081:1 gene:GSPATT00008049001 transcript:CAK71502 MEKSERRSLGGTVTTLRSSRGAVLVNQDGSYAYVCPMKNESIVQSEKVIQEPQQIMLPVF GDDELAFYINPQDLPDLVDEVLDTYLTHSRVFLVFMCVQMVVEIIFDSMVWINQDRIEKL LALIYNKVPLADIHQMIILCSCINILFQCIYYASGITGAWRKSYKTLNVFGNLSILGMFL QIFLSYTYQFNILIFAFRVLSCIYAKFLSHLILSLILLPH >CAK71503 pep:novel supercontig:GCA_000165425.1:CT868097:214385:215206:-1 gene:GSPATT00008050001 transcript:CAK71503 MERLYQNQNKKLQLQEERFTEIERENRILLEKIQNIMNNERKRATSNWQTSNQPAANFNQ SQSVHRRYSLNKEKRKKELVRITIENERLMNRLVTRKPNYNTKVILKQTSKQQQLVQQIA EYPLKRSRIHSSYKQKSIDLSKSSFIGYLPTQPNQTPNNQPSKKVMLYKQNKTINDKQYY IEVFYEDDLFKITVDDKECPNTKVFEMPQEDGQLVLKEIYNNNIIQLVDAINVDQNIHLA GLEQFEESQ >CAK71504 pep:novel supercontig:GCA_000165425.1:CT868097:215383:216554:-1 gene:GSPATT00008051001 transcript:CAK71504 MIDFSYLKPPVDVYQIKHDPFYVKHGEGKNHVRKIFFHQEFDEYEQQKIKELTESIQQHK LTLPLDWCHELTLKYCYSGGFEISRCIQRLQKHLEWIHDENYQVLSAEAEQYLKQGYIYQ FGRDHQYRPVVYIQLHMISKNKVHVPTLLNAATAIGNMVTKFMYARGYVENWIVILDSGG SGLFDFPFSTLSMINEFFSINYTSSLHKMFILNPSFFFNSSWKLIEKIIHPETAAKINFL KASDFYKIQELIPAEEIEIKYGGQHPNLTTFWPPINTKTQINQPNDEFQHIQRPHTLTKR TQSLKESEYFSIDNNSKEFYNNSKMKEYRIHEQFQVIDQQHAKCCSCKIM >CAK71505 pep:novel supercontig:GCA_000165425.1:CT868097:217339:217923:-1 gene:GSPATT00008052001 transcript:CAK71505 MNVGIDQNTCVHTNLIFPLHMLYFAQFMNSIKSEIEIKLEENEEKEKEVVCKESQKINKN NITNEITQKNNFCHIFPGQSKNYYKNMGQKIAQFILQEFSDDKEILQEPAIKKFLKMESQ KFNRNSIELLIKSKKGRRICRLFFAQYKWVKPFLVQNKADLGFSFRFNKLLYSNRQSTSE KANRVEDIQIKQEN >CAK71506 pep:novel supercontig:GCA_000165425.1:CT868097:218353:220018:-1 gene:GSPATT00008053001 transcript:CAK71506 MQSIKPIVQQISYARQGINEKKNISENIEKMKVAFDQLAPAIRNQQDYIQNMNPSSIQVK DGAEKLQEQKRYFLRFLDLMNYELTELLNIARNTMGLNDKITNPDPFWEKILYQKVKFIE SIKDQLTPETNYFELLFKTLNLRDNFIIQFVKALLHRKLLLWKDMNIRNEPFVHAQIKAL LNNIESKQTKGQIVSEKLEFKEIDFVSETIPGVWRYQLESKEESYSIGIFLNLLKDTYDD LITSLLNYCNLSMDPAALKQVTNNSKQVQSLRQQIEFLVDENDKLTKQLNELKVTKRSNE FVEIKRLQQVIEELEVNLSRKTREIDELMANSKGSLSLIQNLREKLKDVEEENHRYINIF FPKQKDIEQQTAKLLDEFLQIKKDIDTYSSLFKMESQIREKALKSKDQSDDQVQKLVDML GRSKQKNKELQEIIKQKESIINKILEAKRQNVEEIEILKAEINTHKDKVQYEQIRVKEVQ VQLDILEKKYQDMFEINTHFNKRIYELERQKKELLDILKSHDIEPDPTKLSFLNEPQQQ >CAK71507 pep:novel supercontig:GCA_000165425.1:CT868097:220045:222517:1 gene:GSPATT00008054001 transcript:CAK71507 MQIQQKKAHTLLQTERHLSTNELISPRGEIQKLLSKSPLQKDKLNENRISKTALHDSKKS RNIAMDLQMKKLNIQRRLAKLFRKVYLITIFLSINQQYDKKIVNVRFNQRKYDYLIYPND NFKKFWDIFMLFILIYSSIYIPYVVAFENNRKAYEVTQYVFSVIFSTDLIVLTRMCYYDK HNNLVQDQTKIFLNYLKGWLMIDVISIIPIPFCQLIKVIRIKRVQEIISSSSLNQNKLIE FWFNFLDLQKATLFQILFFSVIGFHYATCLWAISIQYSQQHVPNYLEAVYWAMQTLSTTG YGDSIPTTPFEYLCAIIGTFSGGIFMSLIIGNSRRILDDMDTESHFNKTANLYKTLFNQI NIFPEYKDRINRFLLVNHKQNLSWTLIQEEWFNLIPRDIQNKILLFVARKELLKISLFRI SIPFSLKIIRHISLMKAKAGEFIWLKGDPVDEIYFLIEGLVQYRNQFGKPLLQIKKGVIF GEQEYFLKKQVIQVQQQRQHYAMAIQDCYYLIINKQNFFVNLKEFQALQQYLSILALHRL DQMNIQYKQYEIQANKIRLNQQKLELIKQANRIEIRNCFLVPNHDQNIIYETRQSNTEGI LDKLLNNKKSKILMLMDRFRKVVQMIIFANRTFEMKLKKQKAKQLKRKVKPHQIVPIGVL IKIRQKFIHIQNVKKIALEKKNEFNKIVQALSLSKIQNQGCFRRLSSSKSEQTKYGIILN HNPHKLLNDFFQQMGVLENSLALSQWLVREIQKDINNELIVINQVFENNDLIRSQ >CAK71508 pep:novel supercontig:GCA_000165425.1:CT868097:222763:223115:1 gene:GSPATT00008055001 transcript:CAK71508 MSKFNGENETLFCRYIIVKSQVERQFLLLKQIQLHQKKDSKIQDTSKIIKVFEIVFYYAV ENKILLLQYQEELIFCQIWFAISLDNDKRHFQVREIHTLN >CAK71509 pep:novel supercontig:GCA_000165425.1:CT868097:223293:223833:1 gene:GSPATT00008056001 transcript:CAK71509 MSQNNNRQLQARPNRKTEQTFDFFKNTKVSTCSYIKRKGDDFIAVPFYYKPNQRNLDYLN KSMYSTSTNHDAYNNKRRCFSSMRNKPLSPYDPLAHRSRLADQSLRISFSNVCQVEIGDR NQKMTKHYITQYKNHLGNFGQTTPCSNPQIMAERAKWNHKLKDK >CAK71510 pep:novel supercontig:GCA_000165425.1:CT868097:224020:224870:1 gene:GSPATT00008057001 transcript:CAK71510 MQNSQKNREDNNSSRSRSESPLSQEEQERRKKLPVYERTLEEKIMSKKIKAVEKDRLIKV FNALILLEPKRIEQEMDGNKTTEGDTKLGKQKKKKSNEPKFSAKAIYAMMKKLKYIPVGE EVERMIWEIDEDLDEQISWYEFQLMFKRCIKDTSYLEPRGLFNFVQFLMYAYDKEAIKNT ITVEDTLELLYVRCGRQNLDGEIFKIFGQDEKTADGQEKEITYSEYLAQMKKKDFSNWTE LENKKKKYDPSKEQNLNKKD >CAK71511 pep:novel supercontig:GCA_000165425.1:CT868097:224924:226217:-1 gene:GSPATT00008058001 transcript:CAK71511 MKNLNLNKQEYDSAVLMLKEKQSFDKTVVNEIKQVFEKFHHLNKSVLQQILVNFRLQNFQ YDVQQLYDDATKTLNKTNTEYVYDIEDKIKQNRFKSLNGGHESEASIVSITESPTHLISG SDTGNIVGWLKPNYSLDFRMKANEGLSALAVAKLQDQYYLFAGGQGIITAFSLQSKLKIY NLNGHTQRISKLQSYSLRNLISSSQDGTIKLWDLKERKYFKSFNAHQGPVSDFVLDKELV SVGQDGQLNFIDLASQTIRKSTQFQIPIFCIGQIKQGKYALGMGDGSIKILKGTKTRDYK VSEDVIYRLLPLNTKQIVCYSGDGYLCVINLKKSTKIFKSAIQKDGQWQELCQIDKQLIV PHQGNIKVYQK >CAK71512 pep:novel supercontig:GCA_000165425.1:CT868097:226626:226985:-1 gene:GSPATT00008059001 transcript:CAK71512 MKGLGVFLIGIYIAIYGIQLLTDPWTQLHYDRSVRQSGMKNFQQFVALGLKVRSLYGFAH IFAGYCLMNKISSMKLIISCIFAIDIIIQTGFQSIHQIFQDVVLIGIVNYV >CAK71513 pep:novel supercontig:GCA_000165425.1:CT868097:227187:227731:-1 gene:GSPATT00008060001 transcript:CAK71513 MYYPSSTTYTTQSYRKFKKQEDKVENTNAIQDYHLQRTLKQVEQLQRDLEQAKMEEQNSQ KQRRQAEEKLYHFQLKYDDMNKECMNLREKIAKAEGDRQVIRAQGEGEVKALNVQINYLE KQISELKLDNEKLKKENEQLHHQVLDAKNLSKKPIQNIPQNPQQQQQRPQSYY >CAK71514 pep:novel supercontig:GCA_000165425.1:CT868097:229176:230921:-1 gene:GSPATT00008061001 transcript:CAK71514 MQTQDGEQEMCKLTHHNRTFICTNIECIQAKRFQLQCHKCLTLQHTNQKGIQKLKNTKTV RHLDDFTEIKDILNQLELKYQGRFNFLQEVQQKLFDFQKEQIQKIEKFPFHDLNFIKLAK SEVDTLIQMFANELGYHQRILNEAKQYNNLTQKSILELYIRNDEKFHNDIINKQKQVFDK VRSNLAKKGLDFQKMFTNQEEKIAQAEERIKKLENPYASNKLFKACLIIATLVIISNQFS ILTSTNQTQNQKKESILIESEISQIKEQWSDFQQDNMIKIEEIKNTLDDKLTSEIEYLKL YNQKLKVEMLDLQKQFKEMLVFQNQTISLLSQELNLLKEQVSITQNQIQNFNGVNIQLVN QQKELNHKQDNKELIDRFDNYYHEMNDKLNSTFYEMRQYVDYRILLNKHFFNAQKYLLGN IKEDYPIVLLQGFTLYLDQYLNKSLTHEQIYNLSNSFSLTGIACFGGISLQKPTHFALMA CDYAFEIFTVTTSREKARKSRSSKDLFWYFVPRISIGFAPNENVNLQLADNVDPEDPYRF SMWLDHSQGGRRIGNQTSLINTTEYKMALFAIE >CAK71515 pep:novel supercontig:GCA_000165425.1:CT868097:231176:232485:1 gene:GSPATT00008062001 transcript:CAK71515 MYRNYSLDDKYNQELLNVVEAFGGANCKYWTQVARLFYERTGLAETKSYNLKKRYQILTN YSDNINLEQEQILYETGIKCRGLTKAGLREFQNKTGCNLYLGRYTQKIARFLQIGIKIIS SAYIHLKNIKKKRFGSQFPRISYVDINIMLKAADIKEKIDDPIFVELQNSAIKLEQLFYQ HVKCFDKNMEERYDHYMKVANRLQFKKLMFFLYFCGELKRISLNIFDKTSSFKHPLIKND IELNKQSIYYQLLISQSDWKQKYDLFFNCNNQLQTNDQFSMLIKQAQTDEIDEYDLIPRN QKQISNKVANAQIECIGKQKKLRYFRGHYVQRGQIKTTGQTTIYFDHDVDSEEIENEDFE KVLQKQKEKEEFEKQKEQFIKIYNQMFAKEEEADKFKGQKEKDTENQKNNKLKKKARIL >CAK71516 pep:novel supercontig:GCA_000165425.1:CT868097:232610:233260:1 gene:GSPATT00008063001 transcript:CAK71516 MNPRYNYPKRSVSSMDRQQILKALRSNPSDKEIEYLAQEYDLKPRTIKGWLKQQSKKYNK ASESDEDIQSNGRKTKADKDLLDFERNFNQNSDGYHYEITNMDLLKTAKNLNQQEYEKPQ VVQTQKQQSTQQQSIKPLSSENFFTMKIDNQQQQQSQKCSLQNFDALLLLLDQDDEIHHM VTLLRNQEKQIEELKKTQSDILEYMCSHLTKKPKKI >CAK71517 pep:novel supercontig:GCA_000165425.1:CT868097:233279:234102:-1 gene:GSPATT00008064001 transcript:CAK71517 MFNEMFTPQIKTVVLNFLNLSQITNIWRFFQFDQNGLTFLRHPINISQLYQPINTRGLMI CKSLGLFLFKCSISIMQDTQAIKKAMQKLSYIFVLKIYGKIKSKLGINFLLIRTYIKSVQ RHTNIFTISKQYNLIKFKSDVMQTMNILWDSSQLFNSILYLCIFVPLYLCIFEFFNLFQG ICQIHTLFDTYQNW >CAK71518 pep:novel supercontig:GCA_000165425.1:CT868097:234296:235485:1 gene:GSPATT00008065001 transcript:CAK71518 MFKVLIALKSQQQFKCSVTMAGKTKVITLPQSEGLTFNVDQLSAIQLKYNDEIFRIQMNE DITETTGEYKFTNVSGQYFIVLIQDVEKPFKLNIKQNAQTSESPLKKNDTERSLTASNKK ISFKTPTNRQKSESNQKLIQSFVLGDNLMEQLKQENKELKQRIIELENQVMTLQEKNEQR SDKIDQSKQEVSANDIRNDFQNLLRLQQRQAQENKQLLKQLMDLDTNYQELLEKKSLVDQ EVTKLKQTISQFDCQITQLKSRLTQFEIDGLSQNIQLNLQIAQPIENDEIVDNLIKLILK MRATGSQYIPIKEDKLDSKLAQIIAKDHTQQYQFIRLKQGLYLIGEDQYQLFLQDNKIMI TTEDGVSQLQDFIKSRKRVKSINVRKA >CAK71519 pep:novel supercontig:GCA_000165425.1:CT868097:235591:236205:1 gene:GSPATT00008066001 transcript:CAK71519 MQNITGSGVMVFIKHKEDTLVLLLQNIRKRQLVLMEPGGNQEVGLSSMSNALKELYEETF AGLSKSDLDEEHKVLVNYQYLCYAVYIKIDDLNFFQEVMGMNQKILQMANSRSLHSFNEC KGFNIANLKDLMNVDFQYYEKDNNKIPLHIRTQASLKEFQAKKIIQKDLSIQLPLFQCSL DKIRIKNPKSPLHVLNNQYTYVIH >CAK71520 pep:novel supercontig:GCA_000165425.1:CT868097:236537:243876:-1 gene:GSPATT00008067001 transcript:CAK71520 MAKFFDNVPVHEIIIKGLETHPIEDREQLEEVKIELVNGEVKSLTGYGKSYIGNKVQSFT FKQHILEVLSFLWRFEILMIMVYIIISWVLQDDAASNLVVLFQSIVLLLDYTLYVTKCFL KFRNFRIVESQLNEQRYSILENFIHSKEPLASDSSEDINTYFYPQKQVLREQIKVGDIVI LQKTHECPADVLLLESSHPVVQIDQNEFGISTPKITIPCQSTFIQPKSDTKGLLVEFKLT LNGQIQYSYSFGKKSSLMGMIKLKNDPKVFKFNQDNIIFSGEKLLNTDWALGIVLVAGNN CLRIYKPIKHKRQKSLFFIGMIVLGYVISLLGNLIYKSSYGIHLCFNSMLIPLYSIFYKE FVQIFNYIKQPSIQKKVKPINAIEQGNNQGKDIVQDDKHIWIEFNRVKNMTEIYSQNKLS KYDDIKDFSVMMQQTNYWKCSKVNLVCLELNQQYFQRKRKIQSIYIDDKQYTFKYKQLVT VMNNNQIQLGDNYYKTLLDTGRENDIFDEDKKDYSELINSHPYSPHNQEERQNYGISSNL QFSLSKKTIDASQQKKLKNSLGKTISFRTKVVKSGSDQSSSINQLQKQQLNEQQFITQLF DDFDNQYHEKVVALLLLCEIENKYNEQKKTIETYCKNPQDYILIEFCNLLEYKLKCSIEA ERQIYDIILKSQLKIIEIQGKLKQYKILSENKQTKNRIGVYSVLLKDPEEFNEDEGALLY VKIETSQMYENTNMNINVLETNSTLYYMLKQGQQPTLYFKKQLKKSEAKQFLLNKMNIMN SYTADEDQLELLYLQLESQAELLMIIGTHAKIDQSALLTIDAFSQANLNTWLVSAENQFV VLRFLFDAKFIENENDLNQFNCGDERTLKFAIQSACSNLIKIFEAQDFEKKYILLSCEAL LTIIKDEYLLNHFAIIVWFSRGLALYDSMEISKVKLFKIAKKLNMQIGYIGSCANTQRVY QMSQISIDINPKCYTQSKPCYLVNSLRCAESILIIQCISSSLLAQQILYFWLYEMILFVL INLINQGQFLTQSCNVLLLLSQTFTVMIQLISHNKRFKTSDVYYKGLIPQRCLVKSLFNK DSLIKIFFVGVIDATIVIIPLYATKYLYGDSNYLPISQFAFIITLELIVKIQLYFQIFQQ QHKQLLGLLFLSVFIVALVTFLTIPDYSDYKVTYSDLFYSPGYIIRSLIFVYIFAFVFKF AVTSILQLYNLEMFQDTVITDEQNFKCIEQTKKQRTTKQSLQLSIQQRKKFIKSILADNN QMDDSIYKALNNNINNDEQIELKKNLTFNQKEFEIRYQESMSQNSILYIIFEATIFVNLL IQVNYIEKNIAIYILWGVQLFYSITIYILQYLEITPHKIQQNLQLISILSRFLFQFITTF LLDQDYILNQIIFTSIFMIILLNYSIKQSLKFKGTIILMSLISLGVVLKFIIPKYQYFPQ KQIMFVLALIIICSLSIVSGYYLCYVNEYLRRQNFLLQEQLEKEQKKLNDALGILMPRFI KERMARGYIQIQEDQGPVTILFCEICDFDDIIESEKVSIISFLDSIYRTFDTICNQYNIQ KIETVGQIYVCASGLKDYDIIDKQSKNSTQKMIECAIAMMESTNNLKYQDKQIVIKIGIN YGNVMAGVIGQHKPQFSLIGDTVNTTSRACTVCEPGRISLTETAYIRVRQMKYQFQVKEI NIKNIYVLYSLVINKKSTFATKVDKSPIIKLVSSIQFKKLDQNDKPLINDLMTNAVNPAG FQFDIARKSSMSRNKSVYQLPVEQFGKVIQDVITANKLICNYLLLNCRGYIKQTVTRFKE VNPQMMSSHDQVQQQQQQQQQQQQQQQQKKDDPLGDQFGDLFDNLKVADSQKNIDDLLPK SPQNNVKSQKSLQNNNNNHLFDSTLQQEEKIEIPKLQQLIGNQEKIETDIMQELIRVKCD FGTNQARRVYFRQFKYKEMIRIYNHKLFKDTIQYCLILFIYLMIFQLPILFALMEYQQYL GVNLTIEGLKIAFYLMSCIVVPFCLRDAYKYIFQISMIIGYFICILFTIITIIINDPIER SYITLILAYTLCLGYFLRALPYFTSRHRKLLFILKYINVIFILAFSNQWNETIIFILTIE VFILVQRNQNEDLLYQNYNIHDQLLQQQQEQNKIISHLLPSHILQQYFKEQDTLPDVFEN ATILYADIAGFTKYSTSVDAQQVLQMLSSLFNKFDVACTENNVYKLYSIGDSYVVMGVLD ANNGIREEETYNVVNLGFQLLDIVNLLKKNIQYPDINMRIGIHTGNIIGGIVGTDIVRYD IYGSDVALAKKMEQNSQIGRIMVSEDTMKFLDATYTGEFSYVFANQIEHRNRIIQGYYID RVQIEE >CAK71521 pep:novel supercontig:GCA_000165425.1:CT868097:243916:247421:-1 gene:GSPATT00008068001 transcript:CAK71521 MYYFKGKTHSPLPKLTDRSQSRLDKLKTFFLPERSVSTRTFSRRAENRNEQNQMLTEYQG DLVEIIIPKKATKRSCNLKKVMPQVFQKPRIVIKEEESINLESDSAPKSPDSQQEVHLDI SAIKQAMLSINNKLNADESFFGFIKKKEKLRDIAENFGDLEQNFTNPGHFYCKNDNLFPK RIDDASHLKEDKLINLTNLGVGEKYYPLIKILLQKQQNVKQLIFQGNKINDKLFLSLVQC FPPIMKDLNLKDNQLGSKGVHILAEHLSRFQNLKILNIANNFIGDHGANNFFKNLHKNAL LSKLIISENNLSDAIGQSLYDLLIKSSGLEVLVINWNQLGSTTGIFIAKALLVNRVLKVL DLSYNRIGSNEKSNCISFWCQALLNTQLSLVHLDISYNQLSEKQIRQLNDALLKNNSLYG IHLEGNKFEGFIDPYGFIQFQQINEEFSQIKYQIDGVNYIPESTRITTQNCCWICQGWVE HRFQYTPDIEQPDTPIFLHLDFLNYKPIPMTSSSELKAQLIEQQKEQLLKKDVQQKQQNS PSTLNVSKPQERKSSILSKEILDELQKFCYSTYQMCPPKQRILYFFSNPLIEQYFIEPSQ DNIPSPQDNLTLQGKDPKHQFHYFSDGTVLKFKKIAFVNQLFTKQEFIIDDKNNYKPLIR LFPRSSEKKYVLRRMGNNLKRKQLNYHQWNIEESLFKQQFQQDNEEILNECFQFDWNCSK INRFVKNDYEQMKLKEYLRENYQLIKDIYKFYSSNGYFQSECFSISFQSYIKMIQQIGII DNESVKLQDVEIDLASIKNNIDPKYLYNPEKALIRFQFLEMFFRLANDKYVRTNIVKNHA DAVYRLIREFKEHFKNFDTCQKWRDERYWNKECECLIQFKTPFLKKLYDYVTDLNNRKWY FKMKWVAIKEFKDFCKQMGLMDYINEKLIVIIYNFSMMSQADELTQDRHIRMSQLEFYEA LARLAEYVSPGPVGEITEATSSSRYSLPLHVKLETLLTHIYFNVVKRSPQFTVFYDLFTN ISKQDWLPKIKVSKKTEQEEEPSYLNYQDTLTVYNAALYCAMHKQPYLNPNYQSFLRKGF LLVEQSPRRSTLLSPKSPVQILRIMKKSGFGMDEPRISPPLSPRARSPSLRKQGK >CAK71522 pep:novel supercontig:GCA_000165425.1:CT868097:248982:251341:-1 gene:GSPATT00008069001 transcript:CAK71522 MDNRAAQQRIGQIRQQIVQEIDDKDQKLEYAQLWAGFYKKTLQQRLDQLNKIQNVNVEHF KDGGLSLQNANLMVENCIGKIGMPLGLGLNFLINGQYYIIPMAIEEPSVIAAASAAAKTI ADCGNGFETYSTRPVMMGQIQLLNVENYSKAECLIDSNKVSIINRGNQACANMVKRGGGI EDVKLRQLGEGQASVDIFINVCDSMGANIVNTVLEHLAPLIEEITGHQVGIKILTNLCLN RKVTSQFRLNIEKMNYKNYTGQQVAQMILNAYKFAELDYFRAVTHNKGILNGIEAVCNAT GQDGRAVNASLHGYACLNGKYKPLSKYWVEGNEFIGELTIPISVGTQGGVMSQNPLYQAV LQILEYPDSQRLAEIMACVGLAQNFAALRALTVEGIQKGHMSLHAKNIAISVGVPEHQVE DAVLFMKNRGSFRKETAKEFLKAYHLFQEIGKVKGKKNQSLCTFSAQFQLEGSQEKVELH VVFDCHSNKRIDVDFLSKSEISIKLFGSKSHTWFQHLFKIINIVKIEEESESLPKKGLTV KLKVISILINLLSYNLLIIDYEKTRNYLNYVLNQQHIDFPDGEIEFKYGIALLTELLHIF EYNIQQFIGEQVLKNALLNEQNLIIKSHLNSFELWQKAKLDKEFQMGNFWLYRKKRLSCT MMLLCDCINFKTIDEKLVELMKQLGDVYEIEITLTRDTEKWKDATLKDPNIYTYWLMQHD QLLQGDRNCVLDQFKNESSAMLEMKRQRIQPLLPSSMAHLSKQATTAVSLLYQSRF >CAK71523 pep:novel supercontig:GCA_000165425.1:CT868097:251377:254060:-1 gene:GSPATT00008070001 transcript:CAK71523 MEQHLRLQRIGPSSLTLQLTSKQESSSQIMLEDEIQQESLREMNENKSFEMNMSRFESKS EFRRKGQHPLRTLKTLQPQKQSNFIQNFKLQLHVHRFINNIFTNSYILRNQQKIKITDQL FEKSSASQKKENVQDTLSWIPIFLPSTNAIIIWDIFGFLNNLLMLWLTPFIGSFNNYQND IISIIQQIILIFQIIDFLVQFNRGIFISAILITNRVTIIKEYLKSNAFVDFLRLFIWFCL KHDLIFAEILEITIILEIILIYQNIERYLAEYFQYFYMKGGQNAILDLIQLIIQIYYFAH IIACVWHYTGDKTKYLGTSWLLEHNLTEYSSWHQYNASFYWATMTMTTVGYGDISASNQV EMLISSVIMFFSSYAFAYTMSSIGIILKNLYDAKQTYKKNLIQITQYMHKNQVDESIQGR IRNYIRIHSTSDNVENQSEIDNIIGLLPNNLQKDLNNDIQTRVLKKMKLIINHFSKYTQQ QVAKNLELISFLPGDIVYKQGDVHEDNLYYSRQFSYFIQQGDVNQIEMQTELKLRNLKTS QYLGYYSFFTGFVPKETAVSQGASQLYRISRKKFLDIIRQNQKDLEIFHHIKDKMIYKQN FVLFDHKCSFCNRYVHQEIDCPLIQFKPDLERILKKEAFNEEFNLSRYRSRRQSKHNSLA QNQMIEQSLKLYQEENQLNEDLTSHHELQNDKSVVSEQQFPSSQYIQSEKDIIPDQHGLR QNQRRISQNLQGKGLKKLSYLKVIPIVQESKQSQRRIVLSNDEILIPKQYNMIEEIETTL QKSLKSSLNIDSISLKSQYYMPQYQIEAQIKLWIKSQRKRSNKFWNIYEQLKKYTFSSFV KDAAIQMIPQGKRMYNTFNFDRL >CAK71524 pep:novel supercontig:GCA_000165425.1:CT868097:254164:256011:-1 gene:GSPATT00008071001 transcript:CAK71524 MKKSFTSHSPSSDHYFIGPREMKLPKFEFEYPPSCYGLVVSSTKNSNKTELISDSSSESL LPPLIYFKIKEKKIQKEQDERCVLVGNTLHQMPKKIIKPKISYQPDIFPLKALKKKIVKQ KFNGIYFEDERYSQDPQFQLNYANLRTYYNAINLSNNLYVQPTINSYKAYIGKGNNGQLV QWILKRRWWWSIVDKEKEQVNFLWQQLRNNSYIDSIPESNQEILQQQYNNDEQNIVIQDI QYQNLLPNQLGLQIQKLRKKAGKNSKIISYDQAQKVSQTLRLFEVNANTKLHNHIQNNTH LGSKKNLFYNMKKYYEQQGLNVFDFLPQTYHIKNKEDLQNFVQQNQNQSIWIVKPAELTN RGHGIHIFQTINEVQQYLRSIHHHKNGAQKTFIVQKYIENPLLYNQRKFDIRCYILFTSV NGQHKGYWYKDGYIRTSSQEFSLMNLSNKLIHLTNDAVQKYSEDYGRFEKGNKVSFEEFN NFLPIDFYQTIYNQMRNIALHQFKATYGKLDPKRKENSFELFGLDFMIDDKFKVWLIETN TNPCLECSGPLLTKLIPQLIEDLFKLVLDPLYPPPQFYTQKKFIYDSFENKFELIFDSSM LDVPLKFTQNLIDEQ >CAK71525 pep:novel supercontig:GCA_000165425.1:CT868097:256311:257032:1 gene:GSPATT00008072001 transcript:CAK71525 MAKPQGAGSIWNPNSWHWEEKNYTTIARQLIEQKIKAIKVESGDIILTNIELKSISGDAQ VNIRKGKQVLVYDFDIEVEWRGSNESDEAEGTYKIKDLNSLDNDFELIHINSRSKTKISD KCKDMVKRDMHMKLKESFKTLMQEIGQFESDPEKLKKDQEARKHAEEQIKQAKEQNGELK ERIFQEQKLKEMKMKQEFTQVSSQ >CAK71526 pep:novel supercontig:GCA_000165425.1:CT868097:257033:257446:-1 gene:GSPATT00008073001 transcript:CAK71526 MSRLDEVLMFHSQKTLKFQESAILQDDNSSKIKLDFNEELKQSQLSYNYKERPSENQKYD KDKKQSKHTANNSPQTNHKSHNKENIEVEFITKKEKRINNYSFSACSSRSHIRGMSIKPA KKQVYQDKHSSVKQRWK >CAK71527 pep:novel supercontig:GCA_000165425.1:CT868097:257493:258170:-1 gene:GSPATT00008074001 transcript:CAK71527 MGADQSKLDYNYGQIIERDNLCQKRLQYDISAKDVFLQSLTSIPILKSFEEVDKITFCGS PNEIKAFFSYNQITLHQFVLTQEFTLKETQIVGTIKQILSNMNQNKVQIHPKEILLPEYI MLDPELFLQKSDIQRIRQGNIKHQDYYLAPEVLSGKCKSNIAAQIFSLGLVCLFIMTKLT PFDFNIYDTTSFQSSNLQKYINKINTGIYSCKFNMIFRIAQKCSD >CAK71528 pep:novel supercontig:GCA_000165425.1:CT868097:259275:260384:1 gene:GSPATT00008075001 transcript:CAK71528 MLLNTEFYLYFPEGHEILIKFKKYEIRKFFDGQIQFTQNELQSIQQFRQKYQVQKEDSFI LRMLYATKFKFDKCQEAIKTYDEWKQNMDPKVNPMSQELLKQGVIYMHGRDNRYRPIIVV NARKVAGVKEIDVLLQSMTIFLDYVLTNCMLPGQIENWIVIMDLGGLGIMGLPKQDLYRI MNYLSSNYRSRMHKCYVINCHKALSITWAMIKTFLEDITVNKIHFESCPLPLLQYTNPQQ LEKKYGGVANDKQDNFWPPQEISPNYQIAVDNIKLIDQLTYVELYKSGKLTKNRICQELI KQQDLQQNNQQIKLAQKSEDDFQSCEEDNDL >CAK71529 pep:novel supercontig:GCA_000165425.1:CT868097:261555:264110:1 gene:GSPATT00008076001 transcript:CAK71529 MKLIILILICTTAFGAIFEQGYVSSSAIPAHKIYRQDIKFNNNFAEIPKIVLSVIGYNSN YNSLDFFSRVTDITNEGFQLQVISDSEINEIRFNYLATEHKDVQTICNNIEVQKDSIDIK FNTAYDEQPQVAAFLTGIHRKNQDDIILEIKSIDKNSVHLTTQSKAESSLGLCLLIAPSD VFSRKELPIMQISQGLPNTCFYGISSLSGVTDNGIQLSLNQQQVENSFEIGLTLVEINPN YITKQEGEGDNNTVSFDDLVNKLSPEEQQVNTDIFETGSNTSMNGIQITQEELDSGSQIN SISFIYPEQQKQLYIEDEEQNLSSAQEDGQEIMTISESWLQHDLSVVDSSEDQSEVSLDN TSTQAQINEGQQFLTNLIKPQDYNTNSIEQEDFQSFNRQPENIAIVDSTQSIIDENYNRN NQEFESENSISMDSSMSYDVENKIEDQIEEQIDSSQQQTVVEDLQEQIVEMQQEMQNLQQ NSESNSSSELDPFKIIEQQQFIVEQQKQLVEQQQQIIQQYQRLFEEQVKMLSDKQPDNQL NEQGDQELKVESNEDTKQEQIENNPTQSENAKQNQETNQVEQKQEESQNEEQLSQNTQDQ KYVLQDIPKLSLEDKNDLEKDLESFDRLKQRKDQIDEQKATKILKEITKNLQNDDKLEEK FNQLEDGLSDVLQMKQSNVVESNQNNEFTNTASNKRVEFSNVQNKLPTSELQDVSNQVEN ISLQEISEAIFPQEYEMEQAYFDWTMNQGFIKNRNEQSNNQELIQQSIMPNQQSQEELQK QIEEFLELDNNDSHLSDPLFDDFHSFFSVNQQVKNNLRKRKVLNV >CAK71530 pep:novel supercontig:GCA_000165425.1:CT868097:264812:265345:-1 gene:GSPATT00008077001 transcript:CAK71530 MLNTNQVLNKILFLNLLLIILGNCCQKSKIRSIIRGNYQNVLSYSNGPFLETIQTNFLDG GQKNGILFRDQIYLVTTSTGFTYCYIDNSIIISLRQVYELNTFTIWLWDRGNRRYSLIVY ISYNDQEQVIYDSTVASGILKLTFPNQYISSFRIYNRNGNEITERTNLIKAEAYFKL >CAK71531 pep:novel supercontig:GCA_000165425.1:CT868097:265657:266730:1 gene:GSPATT00008078001 transcript:CAK71531 MNVKAISTQGAEKDFPIKGTNFGDLIDELEGGQKFQDFQFYYNGNLLSNIAKGTKLEKIC QANQGPIQIQRKDNSSKILTNPSQFIQPQQVNPINFQQPIQTDVWATSVQQQPIDEILPP VNNNVNNSANQTHTKPNFFNSVNASGIVFNPQKFAPAPSNLPVQQLQPVQQLQPVQQLQP VQQVQPVPQILPNIQPINQNQPPFRISNQPPVTPPPTQSLRKEVIYRAQINDEQKIINDF RVRITDKGNRVELENEKYDMKAVFLEENANEKIQTEIKSQLTKEAYAQDIKLVVKCLVGE QNQSTKVIFKKNLDGLAIQWATGLITLFDFDLSI >CAK71532 pep:novel supercontig:GCA_000165425.1:CT868097:267090:268025:-1 gene:GSPATT00008079001 transcript:CAK71532 MIDTTPIPKFLQQEFECSLCLTFLTNPITIPCGHTFCKECISNAVKQIPRCPTCRFQNFF NFRGTITIEIKNLKENLLIKSTVNELKKHLSIADNPQKQQIENKEENNQQSEKLIILYTK EVITPYQYQRIVLEKGQFKSFPKNQELIKQIFSNIKKFVITFQSKQNQDIGYLAQLQLVQ IIDGQITAQILTEDIVELSNKEQTEFQLNNDGQIKYFLPIATAKILQEDYIDLNDNDTSY QISTLIQQIKDVLLPFIQQLSNGQSGLSLYLHQSGLVILEIIKKAIIFTTQSIKY >CAK71533 pep:novel supercontig:GCA_000165425.1:CT868097:268159:269316:1 gene:GSPATT00008080001 transcript:CAK71533 MNISRNKPSLLSKPSMNYSYHSNNTKNIFDTSVPPDLHQKFGPQFNDKGLMKHSIIGKPE WFLKVAKQRDTQLRFINDDDSLLHQRKAFHKQRSMENQQLKAINQYDSISNVSSSLRTRK FSDHKEKASDSTNTCNINPINFDVVEQFNLIQQMKKIGDQIKVNTKQQEQIIKNLSIQKK LQEMKNQKAMNQYQKYNTQWQEFNEKLKIKIAQRAKQPTLLDQQQYYSTKVETLNMLQSI QNQLTDDEEEQKKKLNPLGNLFMKQRKILKLKTEPQSIKNMIHNLIARDKLFYMPNSQKL KTSILSNEPIARDRCKSEFDELNVSGASVYEKELQSIKQLPDRQNQRYKLFKHKLIEKSS DV >CAK71534 pep:novel supercontig:GCA_000165425.1:CT868097:270086:271477:-1 gene:GSPATT00008081001 transcript:CAK71534 MFDLILFLKNRFGQNNKINLYTNRINLFKGNQNTYVCNILKKESTKTIYFQIEDNDKVIS SKLNQQSFQSCVFQENDSVQQASQYDQQELNTNQIIQQSSANTYLVLESTNNTGQIGQLN SQTISKLNTQSITSNQSNHSFNEFNQYYTDSQICQLQDGAMNGNQKESDIENAFILLQKK YVSLQQKFKTLENDNQRLKSQNEDLQKACEEQKNEILNLRKRVDIKLKKEDQNIQNTQLQ ASIENLRNENFELKTKIQKNEKIYFDQKSNQDKEILELNKIINDKDNELNKLKEQLKGLL NRIEIEQPKIEKQIREQKVEYQSNLNSTQLNQNDIELNKEQDQYSCNKSLREPQIKRQQQ QQQQQLQQFQDIQQKEQTVQQQKQKLIIQEIEQKDEYLTQCGHKIQWRKLQAEIRSTSNL GKPLKCPQCLKIIDLEYLYNNKTNVQLETTTQKSEDQKKMQIA >CAK71535 pep:novel supercontig:GCA_000165425.1:CT868097:272083:273120:1 gene:GSPATT00008082001 transcript:CAK71535 MSDAFVKASTSFQPYNSQKQDAVSVDVSMDPFRLQRPVIRVQTSFEKIMQYQSTDKPFSP IPHCNIYFIIGVELQSSYDGQEMCYLMNSQNKYSFNNSLDSKIWFQRPQEIQNSQCKQST NIQPQFASPRASQGNKNEVAQQKQNQLRSQKKHFQKEQLKFFKEDSVQSEEINHNEESSI EFKPKKQKKIKNKRQKHTSDSSESFRICRKKKISKVNDTKNITKNYSKAIISYIFNNPQV VQQLMQKHRYDDLVNFLKNKKNSMTNIKQLRDLWVDGGKNAEYNRVFRIISQLFLKTQAV SYVYNSRISNTQWHLKYRYNLLRALKEPQNFKFIKDI >CAK71536 pep:novel supercontig:GCA_000165425.1:CT868097:273193:274731:-1 gene:GSPATT00008083001 transcript:CAK71536 MNQSLLHLIQVAQKHEYKFGEQYLDDMIASCRQNGQNDRYLILLLIKIWKNNDEFLGHRF KQWVQLQKIGNSKSHFDFLKNGQVQECIQKFEQNIPRNTFEAIKKHNFFPKTDTQQQEES LKRVRDNLKQYDDHTLKQINKILLGDMDSILEVSKADWISFVMLYVQFCDYKVTPQEMTY ILRKLKFSIDSDNDNLLQLFGEIVLKPEDVNIINSLLKYDKNLTYFVYLHFENQGQNQLR SRYEIYKSKFQLIKSILEEIPNNIQFEKEILYFFEEIVENIRMLREHKNDKFKEDFQDIY NNKMNGIINSSNNFKKIMNFVHCVEKIQQEDQAQIIHTSLLQKIFSQDLLQLEERQNALN IIIEKFYYDESFRQQVKYEINKNINNPSFDQLFRDFLNNFILEQYREYFQQELIKLQFKF GLKLYDIQLTYKIESPEFLIMNISQEFIRNIIQSDEQFKLKMKIILSNILDSCQHIQDEK LNNRKNIIRLLIRRL >CAK71537 pep:novel supercontig:GCA_000165425.1:CT868097:274786:276294:-1 gene:GSPATT00008084001 transcript:CAK71537 MDYDDLFRLLPKPPKKWSTQEVLVWLKYIGYSQLDSYFGTNVNQIIVNECVDGSCLEILT DQDLLDIGITSALQRKKLLQWIQIGLREFTNYCKSHARNDVAPQMVQTFMEVQQISSPRV EIPQQDRIPLKVIQDRILQSRHEYDADIEERTTLGQIPIEPLPSPGGRQKQQFILPAPKS LQKITEEDLAKQVQQSNKKNIFAELQKQQIQKPIILSPQKQQQLQESDYHLPQFGTPKFD KQEKKDHQEIQQNNSQQLFQIQSPKTQNNQLNIKIKKEGIPTQKKIITKNATIGRNPEND IQLNHESVSRTHVWLTFKEKQFYLQDRGSLIGTFILLETKTQILQGYIIQMGATEVVIQS LQKSGQQCNIVLASQMGSVQFTLSIGKSKMIGSQTFGDQAMAYDHALLEFTSEGLSIEDQ KTSSGTWMRLSKSGVQSQSVLLCRRRVIKIAEYILIIEPE >CAK71538 pep:novel supercontig:GCA_000165425.1:CT868097:276380:278272:-1 gene:GSPATT00008085001 transcript:CAK71538 MKQLPKGDMPINPLTDQSIDEIQYPLYTNQNLMETVQSQSSFKPQLYKNQNLYEEFIRKT ETGKPEQKHLKWRTNIHLRLNEEYNELQQKKEQLKENYQQLELQQCSFQPSISNKSKQIG SYFGSSPRYMSPKSLQTQTFLPQNKEIKPSSRKSNKENNPSNVWDRLSKQKGVSQTPQSV QLQPQSTRTSQRQTPERVEAISNRLYEEAFQPKKFKFNYQETLLVSPKTVTQTQKSEKHL VRHFVKLFYYSITNARIWTLDHLDENLNKIDFQFEIENDLCLLTIDELAITLDHLGFAPY QTYDHTNQDNIVFKIFRFLQCPYELDLILSRNLLTFLLAMQGFQVDFQLLPKYNNNYNIQ FPKQYKNDLVEFEDGNVIIQNQESISKQFNQLYLNYLAYKKQNKKVQEQESSQLQLKSNS QTNELANKYRQRVQSQITETKPINLNDYDHYYQKKKEQLKQEIDTNIKQKCPFTPKINST QTSPRYHLNTKKVLSPKQEETPTFQPILNKSQLSYMNDQVPLPEQREAIKRMVKGRVEKQ LNQIIISQGTCYQKKRKNIEQQLYQEEINKLIQQPIMYINIALENNTTKKIAIYQGDQPR KLAEKFVKDNRLDPNLIDQVTQLLEEQLLI >CAK71539 pep:novel supercontig:GCA_000165425.1:CT868097:278417:280933:-1 gene:GSPATT00008086001 transcript:CAK71539 MHNQKIATNNDESNCEERQLVDQSKNNEQQQEIQRISDSILNATNLNEYDYYNYPPKLEQ AEIHRQAYYLCPDQYVLNHYTKESCECCNKKFHRSPFNWIFGDLYEATVDEYGLAAPLYF TIIKFQLLVFIIVFCIYGIFFISEVHRICNSNPQLNCDVENNDLCRTCEFQYSYGFIDIV TIQEYLHQEKGSHYQWFQVSAFLIFLINLHLPFFYDLLITYIQFKYWNRDPYNKQNETIS SIYIRGMSSKLSQQEILNLIKESMQRNNNQIISSQHKLLDTAQIEEVVYIYNTHEIKQLQ QKRQNCLLDLVITAQQIKELELGKVISTYKDAEVKVYKTYTKDFLSQRLSDLMKQLSEVN DNIHKQAIGLQINNMPMNNQEICKLKLEPLEFSHKAIIRFNDPEISQLILQNFQVSWFDK FRIKLNLIPNPKIVDKLAVRKSFRINGMYWDNIGFRSQERIAAKVKSAITMLIACAALMT AYEFIYLYKNDPEYKVEKNNGRLSVAQRVLTNIFTVAVPIITTIAILVVIINQKESRKNT FAHLERSFMHVLIVVNYFLVTFLPYIFTFELWSGKTMSAAVYDLVTLTQNKIITKHVFHT VHIRYMGFVFLRRRIQKNCKEYFQGQLNNLMTPPTFPQRSRVCNAMYSLTVGLCLVYVCP VITIISFIFNCYIYLFDRYSITHLYSIDKKFTISLMRHQLKIYSLSFFPIKIYLFIKLFY SYGWLIYAGVPTCCAFTLISILFREKIVFFLISKVFRVSRQEDSKHTPETYTSNYYQYIK KLNIDEQNEITRKILNSQGCEIV >CAK71540 pep:novel supercontig:GCA_000165425.1:CT868097:281094:282105:1 gene:GSPATT00008087001 transcript:CAK71540 MGASCSHCNCEKKNNDRDVQSFQIEECITIPTCQSNLEKTQMVKYKKAIIIQKYWRGYLI RRTIKQEAATKLSASKTIPSSLTIDIDSLECVQKPPYKFVGGVVYTGQWRGNSRDGYGVQ VWPDGAQYQGEWKKNKANGKGKFIHANGDVYEGEWEDDKANGYGIYQHIEGPKYEGNWVN DKQQGFGIECWPDGSFYEGIYYNSFKQGKGKYVWSTGQIYTGDWVQNQISGFGCMIWPDG RKYQGEFHNGTMHGRGLYTWPDGRKYEGQYFRDKKHGYGVYDWGDGRKYEGEWEFGKQHG KGCISLNEQILNGHWNKGKRIN >CAK71541 pep:novel supercontig:GCA_000165425.1:CT868097:282154:284517:1 gene:GSPATT00008088001 transcript:CAK71541 MKYQKNGHLFEDGTYIEFSINNNNNTKKTFIYQQIPDSATAQLFDISSDFNQIDQLSESR LSPEIKSFQARKEESIFQKCLNKIPVFHPTSKGLIAWQFIMVIIILLYFFYIPLKIAFTD ELNGLNPNYNEMVNIFLIFSIIVLGFDLLVSFNTGFTQNGQVNMDRKQIVNNYIIIEFEL DFIGVLSLILSYIFDLDFIRLLFYLRIYYVIRFDNKIDHKLLLKKTFKGIYLLIKLIIVM LFVAHIMACIFYGISYSELLKDENNQVPYIDTWIVFNGYVIDNEQIFQSSLADRYLVSFY WAITTVSTNGYGDITPKNNSEIGWTLLTMIIAGMVFAFNISSIRETLMDLNQAEIMEHNF ETIISRYMKMKRISISTQEKVIDYFQYIWKEEKNRNREVEDMLISKLAPELKLQLQYETY VKFVNCRIFYMMYFSDEFLYQLSQYMEEHTYGPKEELYFEDKQGDQYLMYLQKGEVLIFV ESYQNSVDVKTRIDYIEQGKCIGQQSFFMNERFPFRAQTQEWCNIYRVSRIKFVEVLREH QKDFELFHLVISKRYSDPYEFYTKLDLKCFTCMSESHQADTCDVTHYYKSNLVFKINVKD DKLKREQFERKRKKQYKSVLIQHQIKRIAQDCMMRMKSTKFRKQINSDSSFEDSEEEDFY QQQQYQNEIGEIRQMLGSILKELGEDVNLNQKQQVKLFIPERPQFDPFERSFCIDEVKNY EYFYPMYNLITIINKIF >CAK71542 pep:novel supercontig:GCA_000165425.1:CT868097:284521:286857:1 gene:GSPATT00008089001 transcript:CAK71542 MKQPLLDDQNSMEFSIRASTNNKSSILYDIPAIAQIQLFDVSQDFDSLFDKCRCQQIFSK QIKMFKAEPKRMFYSRILNAIPVFYPESSSLLIWQLIVVIIIFTYLFYIPFKIAFTDQKD GLNPNDIFGVKSYLIFSIIMLGVDLLVSFNTGIKKQGDVILDRREIAQKYVVWEFELDLV GVISLMLSLILQNDYIRILFYLRSYYVIRFSEKIDHSLQLRSNWKAAYTVLKLIIVVLFV NHLMACFFFGVSYDEYQRDEEGILNIPTWIEYNGYLENDQTIQSLSYWSRYVISFYWATT TVSTVGYGDITPKNSYEIGITLITMIIAGMVFAFNVASIREVIVDLNSQEIRYNSYEAVI NRYMKIKNISVQTQARIIDYYQYIWQDEKNRNRPIEQFLISRLAPELRQQLQYETHINFV KCQIFLNLDFSEMFIRSLVQYMQEESYGPGENIHFNLLHPHLMFLQNGEILIYVDTAHTF INIKTKIDLVKSGQCLGQLSFFTEQRFPYKAETLSCCNMYKLSKTDFLNEIINFPKDFEI YHSLISQQYKDQQKLFNQLDLKCFTCQSDNHLADQCELTHYYPKYILAHIKVKDDQQKRD NFQRKKRQQQNSVFISKKVQLSIFEYNVRIRQLKRIFMKQLIQFEMIEEIEEEENQEQYY DDIGEIKSMISLIMNNIGIKQQQPFKPHNVFIPQKPKFDPYETSFDIDLVKNYEHFYPKY NLDNIINRLF >CAK71543 pep:novel supercontig:GCA_000165425.1:CT868097:286970:288414:1 gene:GSPATT00008090001 transcript:CAK71543 MSIADFAEKIYVELDKMGLHSSSIRVDISEIIDFAFRMQYEKEDIERKLQTEIDRLLIQL SQKQKCLLHQTKQVIFDFEKIELLLYEKNEYKMLHDCIDFIKACKLNIQKRNIQNWDSEQ ISDDAFLCSNSLNMQKTIQFAQENKKLQEIIQNINKSSISLSQKEQLVYNIIKSQTKTLS PKQHVILDDVLNISYQPNNKKGNLSILSSIQFPDQINTKLNKLGDKILLLTNEGFKDCAS PKFQFSSLSPIKPLQEIKDKSIKSHRSSLILGSFQNTENKSQQQFIIPRIQVEKLEDLKE KDDTDYKEINKKYGSFNSQDKNNKSNNMHTNKKKNPKQLSLFEKQSFYDRSTFINIGSNI PRSKETKDTDNRRSDSQKSRERNQTFHCGQPQQSKFLKELMQKVYSRNNPIKKPYKISEF EKKQLSLLIGKAKKQQSLHN >CAK71544 pep:novel supercontig:GCA_000165425.1:CT868097:288849:289371:1 gene:GSPATT00008091001 transcript:CAK71544 MRSQSPQITQEPYSRSVDILLIQMFCQFFHTYQRQTYFLTIHSETQYLKQGLIQQFQQRL LEKQKLIGTSGNYYQGYGKLKKETFYSNVLFKSGYEQQEEIKRLNKENESLKEIIKKQEE KLQQYLEMGDILERNQQLQEQVERSEQAIQQGNQKENSQKLENE >CAK71545 pep:novel supercontig:GCA_000165425.1:CT868097:289423:290045:1 gene:GSPATT00008092001 transcript:CAK71545 MKPVKITNNENVNPLSQRQKIKRINIALELFENPVQLNISPLRLKVENDAQQYLEAISAF QTVELQNPIVNERAKSVMEDNDFGAWQEQKYIQPNSNQYRQSYKKQQKSCQFKIRQQSPK IQQQNKITNKIIQYPLTGYLERDQNQLFSRDKYFKIIQNLNGATHRKKSKKIFPNSTQTS RAQSSLSFQL >CAK71546 pep:novel supercontig:GCA_000165425.1:CT868097:290104:290856:-1 gene:GSPATT00008093001 transcript:CAK71546 MKKFFSSIKNATTQFAKGLFTSNEGAQQQEMTPEEQTQQFDDYIKSYLDSDKDLKAKILT NRLYFNTAVLDLSWKKILDIKNLYANERRINFQPKLVILEQQHWERTVIQVAELIQSYYD IQIYYDKYELVKMIKQKVLEEQCIKILFSKEEMKDYFQFYEQSEILLTQLKQQFPQLTFE YSQCQIKEIQKQYLIDKAFELFKFDDCDEFKGIKLFHDDQEVLQWFKRKQAVSP >CAK71547 pep:novel supercontig:GCA_000165425.1:CT868097:291381:292225:-1 gene:GSPATT00008094001 transcript:CAK71547 MNSQFSPQPKQEQEAQQQSNSPPPPPSQDRVQYYPIFYIKHDNQPFHSSIQQTLTDHQNS WFVYHPVMQNDPFFPYISNFQNFLPKPSTINPVIPIKIEDDEEFKQSKVQQQTSKPDLIQ IQEKQRSKKQKVQNKFQRDIDNIADLEIQPCNCSQSNCLKRYCACFHSGRMCLDECQCKD CKNCTDFYEERDEAINHVYKKCHRDKKVPVNELLSLQMSYGCKCKTTGCQKKYCECFKRG QICGEQCSCEGCLNIPSAQNQKELKRQKTVLK >CAK71548 pep:novel supercontig:GCA_000165425.1:CT868097:292313:293377:-1 gene:GSPATT00008095001 transcript:CAK71548 MSNIPPSQHPASQPGPYQQPTFQPGFAPQYAPAPVTYGPPLTSSPLRYSQPLYQAPVVQQ PVYAQPVVQQPVYTQPVVQQPVYTQPVVQQPVYAQPVVQQPIVTQSVVAQPVVAQPVVAA QPIQGESRIEYVPYEKTVIEYEEVRQKVQVPREKYVTDYYAVEYQTEYVPQVYQEKYTEY VPVDRYQERVEYYPVERQVVHQQVQPVQQVVAQPVVQQPVQYVQQPVQVVQPQPVQVYQQ PIVQQPLVQSIPVQTVRPPVGPVYAPTTTLPLGQTVSPRHPGAPVTAKPLDKTQGPNQPA QQQNKPKSFLDRLFDRD >CAK71549 pep:novel supercontig:GCA_000165425.1:CT868097:293425:294962:-1 gene:GSPATT00008096001 transcript:CAK71549 MSNFDNEIQDNQIEIDLSINRQIFSFLQQGIDQFNIFMGDIPPMRNDGFFEDGDNQIYFS LPRPLLRDRLSPREKPQNIQNYLQKRTDPIQNNQFDPTLPHIKVSQLKNMNTHHHYFINE FGLVDSQKNTNSVDILIGRQYRQNQDIIPNDIILPEDRVISRIHCKIIYNDYFRKQQILD PIYAKTLELIKLPAQIKYKISQFLENPKIVQIQDLGSIYGTYLRIFRQEPCVLKQGHKFS IGSDTFFNILLNHNLNPNLKDIDDEWYSIIKHLSTLKSSQKHEIHLSDLLNQIGILEPLE SIKSLSIEDLYAKLREYSIPILIVKFQGQGVYINRSINLFVGQTQTDSIDFFVGRGSENN IKINSNTISRKQCRIKYSQKLSAWIINDGFQDRDSANGTWISVQTAEQSEQKAESNLIEL HHNDEIKISDSILKLELFQGKKQGFGNIISKFLRE >CAK71550 pep:novel supercontig:GCA_000165425.1:CT868097:295073:295434:-1 gene:GSPATT00008097001 transcript:CAK71550 MLKKIVLLLILIIAIQARHHKLRHTKSVVGHNLAMKPGDACLPTTNLGGQKKEETAANQA RKHLTKFADDLTEENRKATEKEPFPNLYTYQDDNCVGKAQDPPKRDRRMRRS >CAK71551 pep:novel supercontig:GCA_000165425.1:CT868097:295485:296607:1 gene:GSPATT00008098001 transcript:CAK71551 MQQLQNCLLDKDMILQSDGTLYIGSFNQQHLEGYSLVINPDGSYYYGFFKRSKPKDVGVY KLKNSCLKLFWGDDDTIKSVENIENIGFIQKIIPFKNNCYGNKLLIRINPLSYGVTKNQK YDGFTVMHYKNGDIYYGNVYKGKQNGEGIHYNNYLQEWYWNKYTHGKLVSHQLYGKNLVP RELISKLFNKVNFKPSQITMVSLSNIQQLIINQLLLNSEQNHLSLASTVQSAHPAHRENL SQILIDEDDINNADELEILQDSQIVSNDQDLQIYNQNDQQDELEAKLSKCQTEKALLRIE KQRQNKFSTQPSQSKSPKNIRQQNTLTTMQSAPKITIYKRSVSPVFTTIRHLFSVIRI >CAK71552 pep:novel supercontig:GCA_000165425.1:CT868097:296643:296943:1 gene:GSPATT00008099001 transcript:CAK71552 MAQADRKAVVKNADMSEEMQQDAIDCANQALEKFNIEKDIAAFIKKEFDKKYNPTWHCIV GRNFGSYVTHETKHFIYFYMGQVAILLFKSG >CAK71553 pep:novel supercontig:GCA_000165425.1:CT868097:296965:297837:1 gene:GSPATT00008100001 transcript:CAK71553 MEDNQCLASLFADLLQIVKPQSADIQQFKEVSNERLRKCILELVRELTTLVQNLKVQLEE FNDNKYEQEIQRLENTIRQHIRVEQQQRLHIEALTQKLEEEYQKYETEVKNQNEKIKQLE NVCSQISINKVQNLNQTNFIIDPNKKSPTAYDRLNQLVSAKTKQSTQSASIINSKQLLKI YSNPQQLFKKMQDHKNIEQMQIRVDTQQSDKEQSLLKLRRCVEDNQSGMFQSQRIESTSL EKYNRNSKLMRNSTRQSQVMGNSFDLVSKTMQHYKKQQKSIGILRQSWKK >CAK71554 pep:novel supercontig:GCA_000165425.1:CT868097:297877:299160:1 gene:GSPATT00008101001 transcript:CAK71554 MSQLVCSSCLLNFPEEPAYKEHYKTDFHRYNIARKMINLAPVSFEAYKEKFDKISEQKII TPIQSQTFKCCNKEFKSSKTYQAHLVSKSHQQNQLKSPITTNRSQTGDSLLNSNVCLFCD ELCDTLEDCLKHMSNHGFFIREQKCCINIEGLLKALSEQINKNNTCLHCFQTFKNSHATK DHMLDKGHCFMPQQEYKVLSKYYNFEEKLLGILAEQKEQQLQIEAKKQQLQLKQEQKEDQ QDKKVENQVEEEGDWEDDEDLDLDSDEEEEDLKLKQKQTDDLQTDEQLRQKRLKQLLKQI SKHKATLTKTGELLLPDGKLLGHRDYRKYYKQNHIPFKVAEPEKVEQLDVADENQLALLS NDLIVGHLRHFYYQKTRTLAHGQTKIGQRNNLIQMRWLRKSC >CAK71555 pep:novel supercontig:GCA_000165425.1:CT868097:299218:300316:1 gene:GSPATT00008102001 transcript:CAK71555 MITKFITFKLDLSQFNSIKQIVQLTNGYAILGEQFFEQKLSIKLHLIESTTIALNNQLFL YFEVQNGCCKFEEPYQIGQGLAILSNIVSNDKFLVALISRQGNRSLIQFDPKQQTNSFLI PHHPYQQYQLDCESTFKLFSFNEQIILIEIHHSEQLLILVILSHPKAQRIEMQCKVGQNI QIQKIHNTNQILIVVDEDFHIFDGSNEIMKMNKQMPTQFKVKFVIEIQEQQILILKDRVD KFYKFCDFRFDSDYFFTLKSKELLNGQVSIETEKKQSINLSKFKGEFYIWISTIYKCKDE DSKILYINAFKLNNQLQAQFIENYKFWKSQSIEFIFKDEFVREYLFFRDQQTVKGLYIS >CAK71556 pep:novel supercontig:GCA_000165425.1:CT868097:300368:302375:-1 gene:GSPATT00008103001 transcript:CAK71556 MDSKKQAKGSEQVQKSRGNIDMLIRTKKEELYTIKQKNDQKQKETRAQEEFKRAERANKI EDESKKANSKNAELEQEWCNLQEKDECEELNKLINQQKDKFAQIMHAKDELIKQFLDELN KKDDDFGKMIKEQAIDIQTLIQKMRSQFFQLRECIHEDLESIENEYIEERANLLNNYQTE IRNLFDKRTEKEKSFVDERERKEEEYTKDIEKLRIQGLKSYAELKISMETEIQNLEKCYE DMKALYQLNTEKLDYNLKVLKEKQISHTHTHDDLKRKDQNLNNRLRSLIKDYNEFDTKFK QTNKELTQEYKRITRQFKELQRKFKHFDKADQKAYADIHSMNELEVRKLKAKILKCDKTI HIQQLGVDWEEPQDQKETQEKSQIMAEEEVQLPLSEDKLEEIVEILIEEVDFLIDDKMRE TLEKAEQQEITSVKLGVIKKCLNIDSIDEMLIFIDELIKNCELKVQEEQPQQEAVTEAIK KVQIEQPQTVPDEAPKINYETFIINQNSIINFLMHWMKTNEQRKKQIEKMSSRRAAKQET ERQKKERIAKEGKKYWEKLTQVLPEKTFRVWRILDKQLSKYYELLLKRSKLVEETGQIHN HNEELKNLLNQYLQINHELKIPPTRFLKLEQQQDNVKLQNSK >CAK71557 pep:novel supercontig:GCA_000165425.1:CT868097:302404:304765:-1 gene:GSPATT00008104001 transcript:CAK71557 MNSQDKIDDNINNIIQAMKLFGFLQRQTSNEHHIIYSNNDEYHGEMKDNMKHGKGVYKFA NGNRYEGEWLFNQKHGTGKYFYNSGELYIGQWQQNKKNGHGQHFGVYGDRYVGQWVNNCK HGRGTIYYAENSIYSGEFSDNKKHGPGYFYNSSTRELTYQLYDNDKLKEQKVVDQVPCEF ENVFSAVLVKDNQIIHPQPLPNTQVEETHQIQQEAHGFDTLQAMNETVTVKGKKKMQDWN IEEVCIWLDCLGLSQYKENFIKNHMIGDTLHDLTDVELKEELGIEILGHRKLILQQINMH KKYYIKKMAGQLHRADSENSADQSSKYDQLYSIIQKIEPAVESPGIEVLKQKKSRRKRSS GSEDKLISPEQKEQQQETAKFSPKQGNQGQSLKVESKQVVSNFNINNATGSESSDESSSS SNEKPQELQLESNQSSSPSKHCILSEKNLQQKHQEKLMSLLQDLGINEKLLINYQEIKQG PQIGKGSYGIVFKGNWLGQDVAIKSYCKKKEQQKHKQLMADFLKEVQVISNLRHPNIVLY MGVCIKRHNFYLITEYMENGSLYDHIHKKKTKNLNFIQIIEDITLGMNNLHGRRIMHCDL KSSNVLIDQNWNVKLCDFGLSRIKSKKTKSMIGTPHWMAPEIMRGEPYTEKSDVYSFGMI LWEIITGKIPYENLSITQIIGTVGWGHTQVEIPQFSNPPILAILAKDCLKREPSQRPTFA KILEKIQESQKQECKNKEKAKKFLIDFLSN >CAK71558 pep:novel supercontig:GCA_000165425.1:CT868097:305084:305772:1 gene:GSPATT00008105001 transcript:CAK71558 MNIKELNQFMLDYELKPTQKLFKYLVIIGIQAMKTKTSDISIETIKLIASSCKQQKLRDG MAELKEKVINIQQSLSPQKGNFNFYQPKSVLNSKDQLMNSQFSPILKKKDDLQIKSKKAQ IQQDIESNLKSLNFNKKENNQQANQFQQKNKPYQLPQQSNNYFTQKKVSLDQIANNFLSS PLVKNPVSARNITQKESELKHFFQNSWKLI >CAK71559 pep:novel supercontig:GCA_000165425.1:CT868097:306592:308048:1 gene:GSPATT00008106001 transcript:CAK71559 MQSSNHQAIKQSSCLDYIFDSWSKSIDTSRTKEPQQIKLKSPNRRQNHFQYKSTLDQNYE YDHDQISTTKSQLHQKNYNVPLNSYINNLDGRKDERKDVQFLSIKRIIKNQVRAQTINLQ IKVELRSECIANYSTIFSQPIKNSQPNSLSYSLNCKLILPKIKQIKTQKVVGIRKFQVIC KVIGKFILLFYHILPSTSPKRILINSKVKLALNLRKTFKFDRNIKQSLSKSFKQWIEPSL QKIFYYLQNSFPKIFQENIFDQREVQDSESIWTLNFAKFLFQNLELITRKGNIPKEIINA MSQSIYKENNQFVQLFVAQRTHFNKKPFSIMELQLLSSEYILFNGIVIQLFELANNLKYQ SFNHNVNCKIQVLKLVSILNLYYIRAFQDMPLINQNIQEDQLYTRMIHITPDEDQLLQLL DTKEKSKQESMILGLKHEQQIQLVLQQREKQNQKLELLFKKFIHNLGSQVVIF >CAK71560 pep:novel supercontig:GCA_000165425.1:CT868097:308296:309783:1 gene:GSPATT00008107001 transcript:CAK71560 MNPNKPMFLGQNHRSHLLQPQEIQPRSYSVQIPNNRYSIENELKQNHLAQSHLEHQERLQ QFMAAQNQQLKQQLIEIENRQLKLQNELLSQQVQKIQIDLENTLKLISKKKKNPSIQQNL AKLSQSSNFSQKYQKQQSLSIASSDTKEEETQRFNDQISNKHEAIEFQISDNFENQNPKQ LYNNNRQSHKTQKSLKKRKRLKKYLIVVLACIRLLWKQRMKLKENRLKLKKLKEKFEIAK QKLLAIPEDNIRNLLSTWVNDLLEDVIQMLNNEQFYAHCDTPNYNANKDENIIYRQELII KITYNFFDRLEYMTRDKLLPSLIIDLMYLSLFYSQNPKVSLFVAKRTNFYVKNTLKLSNQ QEMLIISEYIFFRLIIQYMLKTFNSLKYKNDTHEKLCKFFVTIIASFIQILYIDFFEDMK FVKQINVQLYQRKIVLDEKLKAYLLEDDKIDEGENLILGLHDRQQFESLFQQQMEWITHL GKTFYQILQNLHNQL >CAK71561 pep:novel supercontig:GCA_000165425.1:CT868097:309866:311996:-1 gene:GSPATT00008108001 transcript:CAK71561 MNILEQARQQVSFDVDSVTPLLYGSKEQAEQFLAIFRHIKQFLEKCKNIPEVQTSPDYYN WNRGIQFLSKGDQALAFQKLLDFKLDEIPLYLGTIIYSATTNYYGVYFGMVLPAIKVLGT DEQINAWYKDCLNLKIAGCYAQTELAHGSDVQSLQTTATYDQKTDEFIIHTPSVEAVKFW PGELGFLANWALVYAKLIVNNKSHGVQAFMVPIRDFNTHKPLPGIEVGDIGPKIGYTSKD NGYLKFTHCRIPSFNMLAKYIKVTRDGQVIRQGDPKVSYSAMMIMRKLIITVYPRTAATS LTIAIRYSIARQQFTNDQGQENSVLEYQTQQHKLLPLLANLYSIIFTGLKVGQLVDLNFS QVQKQDFSLMAICHAIICGTKANFTYFVSNCAEWCRLSCGGHGYAHYSGLPAIYQDNCPN ITLEGENQIMYLQLARFLLKLYNQAKQASKKINETYFGLFNRLQAITDYRCTQFTREQIL QLLESNVIHSIQITNDKMMAHINSGLDPKSVWDYKIGTDLWSSANNFIEYYKYLSFLQTI DQADNNNTKRVLRDLADLFAASILLEGSSVLIEAGVINVESIKLIREFYTQQLTIIKPEA IGLVEAFRYNDASLRSTIGCSDGQPYEKTYHSAINENTLNKTDFKPIVNQLKNIKARL >CAK71562 pep:novel supercontig:GCA_000165425.1:CT868097:312312:313627:1 gene:GSPATT00008109001 transcript:CAK71562 MNDWLIIVIGLAIAVLLIYLKQQYITKPKNERSYVRHPYNTKDQKTSQQLSTSQPIPQKI ENNKVQDQKQTLDRQSPQKVSQLSVEQNNKVKSRVLSLDLKQNQSTIGQKDSSGFKVKFK DDYQESRIQHRVHRAEWINIENQPSQYSSDEFLMSNFRPSYSQERQRPDQAAAPIRNQNQ SQITNNGVIVQQKIFNLYNISCRIRNSDAKFLGSAILSKNYNDLFIDKDTKSQLNLFLKG YRQVRGDGNCYFTSIAFQYFEILLQKFSQQEFEEFMAQIRNMPFQIQYGDYFIEDFYQSM CAERLVQLLQGLRNRPQDLEYMMADPNQEFYGLAIIFFRNLAQFLYIQYNSQIINEYKPD LSNELLTWEFQCNDSEMINSSLAKIINVYLIDQRKSEVTQLNYGQSKKYQIHLIYIPGHY DIGIPV >CAK71563 pep:novel supercontig:GCA_000165425.1:CT868097:313695:314116:1 gene:GSPATT00008110001 transcript:CAK71563 MSQPSMSFFQTRQRAIYSEVINPENSVLYQDLSYLPDITLNTTMKQRVPKAYSLKKTTNT SRLSGAHSIQKISEELVVEPKVLEPYEPIGDNIPRKVAINRKQKLYASFNILQLLDQEVW TSI >CAK71564 pep:novel supercontig:GCA_000165425.1:CT868097:314142:321839:1 gene:GSPATT00008111001 transcript:CAK71564 MTNPQVQWLSLELFDDNSNDDYPNEDWIKRRVDEEGRNRKLYAICLLKEDGIYEARRCEI MEYRDGLFYGKLKEKDGRDIKAHRLYICFDAEDPRKFVKRLGTALKNRELADSRVRYNYF IDGMPIQDLSELDTEQKRRLEQLAKTKKLENLDTTALIAEVNYDYMRTMNKIIFDRYLEY EDEELNLQIDEDISKQVPYYGMFPVSKEKQLEMYNPREITQIDPKNFRETFKDFCFSTLF IKTEVIRALQEIRYECNKMEEFEIFNFQIDKVMVLEEFKHVQESSISQMLYSLRGSWIQD LLKIMKTYFSGVGKGWFNMKESNKITYDFGKLKRFLTVVRLMMQDTVQSLVENNYNRFVN YLKSFIPDEVNVDDPSNIINKYKLVGVKPIFTIDMIKTNDDKEFLYSTSPMNFVNMIIQL FDKTLDEITKVPDLEPKLLTDLYKQKTDSFIKTPVKPKERPQTPNPNERPKKYPDENKWI WLLVEHIRDELIRGIKPLEDYLKIFEKFKPILQMNPDDEIRRIEMDDNPWEIDQLKEEIF KYNKMEEELKTQIPDIVEVSIFQVQCREIKMYLCEKYSMISKLLVDMIARRARKKTMEIF QEYQRIQNKIKENPNNIEKLTDIKEFMQNLPNEVDKLKIETQKCFDIYKILDEFSYRGFQ KEDLDRKWYVFGCPKDTFDLVAKRQKDMEKEKVKFQDEMKVQQEEFKESIDNLERTIQNF SQHQALKSHAEIAQTAQDVNKYLADFQDQARKFNSREALFDQEQTDYNKITLLQREFLPY SNLWITTNQWFTNSESWMKDELPTIDAVSAEKWVEEAIRILTGVCRFFKEKDLQGVLRIA TSIKQQIEEFKPKVPLLVALRKQGMKERHWLELKNRIGFVKPQPESEFTFTKVLEMGLMQ NVEVCVEVGEKAQKEYMIETMLDQMETMWEGINFQLLPYKAITFIIRGYDEIQQVLDEHI VNTQAMSFSPFKGPFEDRINNWNTTLKMTSDVLEEWCKCQAQWMYLQPIFDSPDIAKQLP AETKKFKTVDQTWKHTMTQAKTYAQVLRVCTQEGLLERLQEANKNLEIIQKELNNYLEKK REKFARFYFLSNDDLLEILSQTKEPTAVQPHLRKVFENINSIEFDDAKKIHAMYSAEGEK VGFVKVLDPNKKNVEDWMGEVEDMMKLSVRQALINSVSDYQVKLRHEWVQLHPGQCVLNG SQILWTKEVEQAIKSNNVMEYWEKTLKAQINKLVELVRTKLTKQQQTTINALIVIDVHAK DVVEKLFKENVTDVSAFEWISQLRYYWENEDCFVKCVQTNFPYGYEYLGNTLRLVITPLT DKCYMTLMGALKLNLGGAPAGPAGTGKTESTKDLAKALAKQCVVFNCSDSMDYLMVGKFF KGLASAGAWCCFDEFNRINIEVLSVIAQQLLVLFGEKAKGTPQIEFEGSIIKIQATFSVF ITMNPGYAGRTELPDNLKALFRGVAMMVPDYALIGEIMFYSFGFELGRELAKKMVATFKL SSEQLSSQDHYDYGMRAVRSVINAAGILKQQNPTMDEEQLLLRALRDVNVPKFLKDDLPL FENIINDLFPGVEKPVYSYGDLLEDLNICCQQQNLQNVKVFIDKCLQLYDTIQVRHGLML VGPTGGGKTSTITTLQKAMSCKLKVHTHILNPKSITMGQLYGQFNEQTHEWTDGVLAYIV RETVKDESGEKHWVVFDGPVDALWIESMNTVLDDNKKLCLNSGQILTLTPYMTMMFEVED LAVASPATVSRCGMVYMEPEALGYQVLIDSWINRLPETILVKSKIIKNKLVNCFKQYLDP TIEFLRKSIIEIVTSSNNNLVQSLMRILDCYLAQYVDSELKKIMVEEMEQLEAALEKLFF FSLTWSIGATGNNRQGFNDTLKSLAKFEKPEDFYEVYLDISTNTFIPWNQMYQNFSIDSK LAYHEIMIPTADSTRNMYLLKLLLSNNKNVLNPGPTGTGKTQNIFSLLTTGMGDDFLYIA LTFSAQTSANQTQDTIDSKLEKRRKGVFGPPIRQRMIIFVDDLNMPKKEQYGAQPPLELL RQYLDYKGWYNRKELSFMKIEDVIILAAMGPPGGGRTFISNRVVRHFNVIAYNELSNNYI SEIFSSLITFFLKRFNEPIKNSIQTLVQSVLTFYQQVRSTMLPTPAKSHYTFNLRDIWRV FQGISSAAPKSTPDVVALVKIWYHENLRVFHDRLTTEEDRQELKNMLKIGFTQFGVTSEQ VLDSERVIFGDFMQSRDADIKVYQQIPDLHQLVNRMDNYQEDYNSDNTFIIGGSKKQMRL VMFVDATEHISRIARIIRQPQGNALLLGVGGSGRQSLSRMATFVTNYKLFQIEVIKNYSM RSWRDDVKKVLMIAGIENKPATFLFCDTQIINEQMLEDLNNVLNSGDVTGIYQEKDFEDI TQACKQECIKRQIPPTRMNIFTQYLIRVKKNIHLIVAMSPLGNQFTTRLRMFPSLVNCCT IDWFTEWPEEALIGVGKGQLADYEQELAIDGKIPVLVEMFKNLHKSVEKLSQKFLAELRR YNYVTPNQLFRITSIIQNNIIRQEKRSQSIDTASQRRFGQVDSCQ >CAK71565 pep:novel supercontig:GCA_000165425.1:CT868097:321874:323041:1 gene:GSPATT00008112001 transcript:CAK71565 MQPKLEQASIDTIKMMEKLKVDKQEADDTQKIVAREESEATKQQEEATRLAEQAEASVAD ANKTLELTIAEVQKLKKEHLVEIKSLGSPPNAVKVTLAGVVILMQDYIKQNGGEIILTMK EGQIGGKKEENFFETARKYLLSDPQKLLEQLLKYDKDSTNPAHIKKLEEKVIPQPEFNID AVKNCSFATKFLYMWVKAMYDYFRVYTETKPLRDQLIAMRKIVEEKTAELKIKKEELERV NAKIRELEEMYNQKILEKEDLQNKMKECEIKLERAQKLTEGLSEEKERWGKRYQVFVSKT AGMVAYSGPFTSHYRTQMEGDWVLKLGVVGVAHSEGVTMRQFLGRWSGRFKHGISLDYQK MTLQLKTELSLIKVEDGV >CAK71566 pep:novel supercontig:GCA_000165425.1:CT868097:323083:326219:1 gene:GSPATT00008113001 transcript:CAK71566 MGRDNAEGIDVVKISDVNLMRTLELAIQFGKWVLLENVGRELDPSLEPILNQQLVKSGTS YTITIGDKQLTYNEKFKLYLTTTIPNPHYSPETFVKVTIINFAITASGLEEQMLAQIVAL ENPALEQKKIEIVKKNAADKKQLLAIEDSILKSLSDQKGDISEILLDETLINKLQTSKRF AAEINQRVKDSKITEAQIDEVRESYRPVAFRSSLLFFCITDLANIDPMYQYSLQWFTKLF VLGVENAQPSSVIEERLKNLNDYFTYSLYENICRSLFERHKLLFSFMLCVKILQGAQMMD EKQWRYLLAGPQGDIKIAHNPTAWISDNSWPDIYRQMKGMSTLDAFKGFDQFFVDNSDQF KGIWDSSQPQKEQLPEPWQGQLTQFEKLIFLKALRPDKLVPAIQDYIDQQLNPKFTIPPT FDLEKCYKDSSPMSPLIFVLSAGSDPVADFLKFAEEQNMAKRFDSISLGQGQGPKAERMV KDAIQRGGWVLLQNCHLAISWMNDLERICEELNENIHKDFRLWLTSMPSNSFPIPVLQNS VKMTIEPPQGIRANLMRTYKNLDDKELSECTKQDIFKKLLFGFCLFHAIIQDRRKFGAIG WNIPYEFTNEDLTVCKRQLKMLLDEYDKVPYKVIQYLGAEINYGGRVTDDKDVRLIKTII KKYICHEALRDGYKFSESGIYVSLASTNQEGYLNYIEQLPLNPDPEAFGMHENAEITNSQ NTTRVLLETVLSIQPRQSSGTGKSREETIEEIATFVQSRTPEVLPFDDIFKKYPTSYEES MNTVLVQEVIRYNRLLATMKESLINVKKALKGQIVMSDELESLANSLFDNQVPLMWADKG FLSLKPLSSWTNDLSARINFLQSWVDNGTPKVFWISGFFFPQAFLTGSLQNYARRHVIAI DKLNYEFKILDTLSPQDIEEKPEDGCYVFGISLEGIRWDYKKHFITHPRPKELYSELPLV WLLPCIEKEYPKDLVIYQCPLYKVVSRAGTLSTTGHSTNFVTFLELPSKDSEEQWIRAGA AAFLSLRY >CAK71567 pep:novel supercontig:GCA_000165425.1:CT868097:326980:327871:-1 gene:GSPATT00008114001 transcript:CAK71567 MTQILFETFNVPSFYVAIQAVLSLYASGRTTGIVVDSGDGVSHTVPIYEGYALPHAVLRI DLAGRACTQYLVNILNELGVSFTSSAEMEIVRDMKEKLCYVALDYEEEMKKYKESAANNR PYELPDGNVVVIQNQRFRCPELLFKPNFIGLEVAGIHELTFKSIMKCDIDVRKDLYGNVV MSGGTTMFPGIPERLSKELTSLAPSSMKIKVVAPPERKFSVWIGGSILSSLSTFQAMWIT RSEYDESGPTIVHRKCF >CAK71568 pep:novel supercontig:GCA_000165425.1:CT868097:327879:328268:-1 gene:GSPATT00008115001 transcript:CAK71568 MSEEHPAVVIDNGSGQCKAGIAGDDAPRCCFPAVVGRPKHQGIMVGMDSKEAYVGDEAQA KRGVLALKYPIDNGIVNNWDDMERIWHHAFLQRIESHTRRPPSSFRPRPQ >CAK71569 pep:novel supercontig:GCA_000165425.1:CT868097:328833:329402:1 gene:GSPATT00008116001 transcript:CAK71569 MQQQVQINFDNFSNNYSLPKIKSLDLLKPVSLKAMNNFHLPDRKILQQHMKPKKSQLQDV LQQLNNKNNFNFNIHTYNRTPTLEKEIPIKIPKIKLKIIPREENDSKLSLDKFTFKDPNT PRPNKIYMKQKGLDNQQKSLCSNSKVSLKLKTQQMEQLRSVQSTVLYYLSKQNDKLDHHF DQLENQLVF >CAK71570 pep:novel supercontig:GCA_000165425.1:CT868097:329726:330496:1 gene:GSPATT00008117001 transcript:CAK71570 MIDTGKTNKYLHTPFEMFLMVIITAVSNGVMIPQIIYTYKIKNVHTLFLSGLLFVSSFMY HLSESIGVEPIILKEVDWHQLDNIASICGLMELFNYLMQNNRSTRKSIQWINFIFVVIIQ ESHAWNVWATVIPILISLIIFIIKQTFYPKKGQIVNKDYLHKGLFYLFIGFIAFYFGLDE YKDYLRLWHGLWHLAMNQAYFHLYQLFNPKVYTFLECWDLKQEYAKE >CAK71571 pep:novel supercontig:GCA_000165425.1:CT868097:330692:332219:-1 gene:GSPATT00008118001 transcript:CAK71571 MNNQLKNLNCQFCKINQNKFIQKEIHQKYFEQFNFYFAKPITEILANVPVDHVILFKDQL YLHDENEYIKRFYQKEEQDPRIKLLSDFYYEQNKIPQPNLCKVNAHKFMEKRINKLLKLQ QKAQNNQNQQPITKKMIPDHIFSENYVESVSDSYPKEQISWDSKSHNIYEISQSLPQQAQ PQNKTPNQYPILNHSGDHNKLFNCENDQDIDTLICEVNTQTSRQLSSITKIPLKKKPPSS ANKKKNQSIQQPSQPKVPIPPLRLQESAKSSTTTLPNNKSTSIQSLMKKYDQILQKRFQV KEILTERNETRCAAAFGSSCGNGTNRGDVGSSKKQITDEMLLKLISNMKKSKNKSDNYQF HASYKSVMPHPQTGPAQSCTFEKENEKKKKYQVYLVSYQIQQEAQANQKKSFLDVITNHS LKVIQFLYCSTCSPSMLLRSLSNPSMAPSPMRRLEIKVNLNKLIQQQEQEIGYWTQRCKV R >CAK71572 pep:novel supercontig:GCA_000165425.1:CT868097:332274:333923:-1 gene:GSPATT00008119001 transcript:CAK71572 MEQLLEQYKELEKRNTEELEHDQSITHLLYIEGFKWDDLDKIKKINKISQSKIEKRITLV QDVRVSIMQFGTLRKDAEPYARTNEHFQSLVKDIDMHINCIMFEIDKLEQLKQKSQRFLR LQSQPNIKPETAPTDAMAQTGGLLSSTSDGQTRMYQSSFQFYRAQRDQQIQSHNQKWIEK QYTIQKKLIESEKDFQKFRYEIDSKQKKAEMNLQEFQLWQQRVLKEQEEGNSKRLQLHKE RVLKDQVQRQAVLEQKMSRKELQLQKQMSLLKDFHEVQKQQKEVHFLKIIQKSMTALNDK DKFNQHQKELNEKKEQQNQHKLKYIEESKEWTKQQLQRSNELKQKKIDRANQILVSQDLQ RREKFESKEKKKLAYMDKYKQMFEEYQNHINKEKEGKIHQFQINKAIEDASREQLIKYQF ERKSQSQAEAQRLRDRRIRIYQEEKAGEQLMKQIEINQRLNQIQSELEMKRRMQELMEEE QKQRKLMIENDERQTIKEREKMARKLEMEKEQKDKEMKKLKYSKF >CAK71573 pep:novel supercontig:GCA_000165425.1:CT868097:333991:334758:-1 gene:GSPATT00008120001 transcript:CAK71573 MQNNKQNQPCPKSLRTKESTITKHSEAFSIGESRLLDPKENLELQVLRYPHPHLYQNPSV QLMPSFSNFLFSNQYNTTIADQLLQAQILPQSNAFQVMPLKSLAAEGMRIVCEIDILMLR HYYHLREQIFNKHNQTASKRMDNNSNQIDWIKGRFQYRFKEKISKMMCKLVDEVKSLLNN KTEDDQQINIEKLRFLPEELIQLDKELKEKREDSSEYLDPYEVCRRIAKLIEMYFRSSDQ >CAK71574 pep:novel supercontig:GCA_000165425.1:CT868097:336351:336634:-1 gene:GSPATT00008121001 transcript:CAK71574 MPRCPTDIVYSEKYTDGEFEYRHVILPHELYKKISKIGRLLTEQEWRSLGYKRIPWMGSL >CAK71575 pep:novel supercontig:GCA_000165425.1:CT868097:336815:337141:-1 gene:GSPATT00008122001 transcript:CAK71575 MGCCAIEPGHNRNKEKVFCEQIQQDTLNNQQSQDQTLQPKYQEQTMEKVTCEIQIVQKNN KNHLVALKENQYAVYNKDDLNAVEDQFFQDKHSQKPQEVDKANSDDDY >CAK71576 pep:novel supercontig:GCA_000165425.1:CT868097:337173:338855:-1 gene:GSPATT00008123001 transcript:CAK71576 MKFIIVIAILIVGTYQLMPMPKQFVKGSKSMKVINKCGIQFTNGNQYPEHVIELLRHYHS LMTSKNECNFEQALNFNLKNQGALKFHISLQNDEQLYWVNKTQQEAYILKIDEYLNIQID AHNHWGLARALDTVHQLTENDRIEFLPLIIEDEPAYSFRGVMVDTARHFLPLKTLERTID ALAINKMNVMHWHITDDESFPLLLTNYSRITHTSKYSENEYYTKSDVSYLIEYASIRGVQ IIPEIDSPAHVQSWGRNISDLEYIILNCGSTIKQYGQFDPTLDLTYDVVKSVFQDLSDMF SKVQFIHFGGDEAIKSCYNQRPSIKEFMDKNGIADYIELQTYYRQRQKSIWKNEIKSKQR IAYWYNKDDKLPAEDEDIIHWWGTTDELELVANRTNDFILSDYRPLYLDIGVGNAFGNTY QTYQTWKDIYKWTPKAPEGFKGKIIGGEAPLWGETNNENTHFQRLFIRSSILGDTLWNPF SKQSEKFYEFADRLGQMEDRMNKYGFPVSPFTHDYCKRHTKICFPILYEEEEPSIGQQHQ FKEL >CAK71577 pep:novel supercontig:GCA_000165425.1:CT868097:339529:340578:-1 gene:GSPATT00008124001 transcript:CAK71577 MINYRHHIDDVSQFIHIYFHYRNRVAYYLIGQLIYKFYSSKFEQFLEIYLFTILISLNVR QQNQQMNLSLLSFKPSIEYNLVYNKEKGLIKNAFKYFNIFVIPRLDQTSQSISPIGNIKA KFFSSHLHYSIFHLNISLWNKNQINKQNEDQIIDQQYQQQSSLCFNFFKLKNHSKKELLY KIILQTSQVIIIQLFKNYLGFINNDQYMQYMRKSKNQYLINEQMQLVQYHYLKQISKRLR KLVINVQVKYHIDYAFI >CAK71578 pep:novel supercontig:GCA_000165425.1:CT868097:341194:344795:1 gene:GSPATT00008125001 transcript:CAK71578 MAEATPEITLQIYAQALENLEKAYDILHTPYSNFMVESTKILVSPDYPVEIRINIGVVLR NILIEQWELIQPSRKVIRELLLNGLVMNVSNMPIIELISSIIVSIIVLDPSHIWPSPLME LLNWMDDINAVESSLELFLQLFGRLSETNGEHQNLLKEIVPSVLEKGFGIFAQPELNEML REKILLMVYLVLRSISFADGTDNSLVNKCMDNTFQIWMSLFLSALQTSPKSHIFIKKLVL KILIVIFRDFGVYSRKSLAISLIPIWKFFNSITQLYIGHIVYQIDVDHIDALFVEEAKEL PISNQRIINLRNDIEYKYLNEDDDYENHIEGLCAYSIELITILVTKPALYNLIKFGTFPL LNTLSTFLTATKEQEKQWVKDPSYFILNDEEELLQKSVRTLALKLINDMIEKYGDQFIQQ ILIVGEKLILNRDEKEFIDLAQSIISKLNFQELKGQQSKDFDQDSVMQFMKGSIITINNN HYLKSFIQKRKETGYLLLGSFSEDIIVFQQKHQSTFDIKKCMQNILYELEKQNSHSLQAR AIWSTTRYSDLISHQFKELFVPLFESVIVYLDSKFPITLRIISVKALGNYASKIHKYSIP FEYKQEFMESVLTVLQEATQDQMISILESTIHLVKFSPNLATTLAKSGSKVLLQFFSVFH SEQSVIKPFNELIVRICQCKEAYPYIFEVIPIQLFKVFCPFILDCFQVFYEDVHKIQDKS KIKQSDITLMSAIMNITSTFIKFCSDSKAQDAFINLLPSMVNLILINEDPQLQVHTSQCL KNFIIIETGQILKMNLVQDVMKVNLKLLEVSQNSANESASLFAGNLVMITINNLLDGNPD LNLLKAVVFKIYRSRMPSTVQSLVLVYARMIIEKPKESIAFLTSWSIDNRMALKVLIDKW LLQQPLFRGKGTKNATFSALMKLFLVKDKTLENLLVIGYNPSHQNINSDVYAPFKILSLL IRCLDNEIVPCKQENVDQQQEDDRLEVDNDDDDFNDKRYQDQVDVDLEKIKDDEDQDIAD RFAVFFRHLSIQLLDPKEKKDKGLADLETGSTLYMSEFLDFNQEVGEECDETTEEDLTYL KDPCLNINLVDTLKEFFTNLQKNDQEYFKFCLRHLLKEDILLLQKHIKLNS >CAK71579 pep:novel supercontig:GCA_000165425.1:CT868097:344830:346289:-1 gene:GSPATT00008126001 transcript:CAK71579 MSNEAVAGFIAIKTLQNRPLRYFDANFNEFRDKNMTMYIQKKYADLKSCIYQTNNLERTG VPELPIQTFTTTQLQIKPKANDGLKEVKANYELDEKVIEIMQKVIDQEELEGILSQCQGQ SNTNKQKNWKKKAISVPQKLALLMNDQIEQRDLDTLFDQYQRQNLKSSLDELKMSVKDPK LIYKKLGKEGFQKVEFNDQEQVNFFMKKLCEEQKLDACSVLEIKNDNIKFKEDACDEVER WRNIVQKRITQEQMQKILADEEMKQNKQLVQIFKDTRIDQFDPDFFKKKVKGKTMKRVNE AQDTQYHKFFVQTDTNKNTFGKEDFLRKKFDYTTPKSKVESTLRSTRPTTSVSRSHRVLH RSDIEFNKFIKQCNVVEQTFQQSNTKLNERFQQLDKMMDKACQYLEDDKPQEEKEPNQDF ERFKKQRLFKKKFVTYLIDKVEKQSDLLSQKIKTVQSRRLIEKVLSENL >CAK71580 pep:novel supercontig:GCA_000165425.1:CT868097:346749:349312:1 gene:GSPATT00008127001 transcript:CAK71580 MSNFEKVEAFGQLPQARFGHTITYIAKGKAILFGGATGDTGKYSITGDTFSFDMQSKQWK RKRVVQGTAPSPRAAHSAVCVDINQIVIYGGATGGGSLASDDLYLLDLRSNDDLGQWTVV PVVGTTPGRRYGHTLTFTKPFLIVFGGNTGQEPVNDCWCVNVEKSPITWVRLECKSEQPL ARVYHSASICTNGSANGMVVAFGGRSNDQQALNDAWGLRRHRDGRWDWVKAPYKIDKEQP VGRYQHTTLFVYSMLVVIGGRTGNVGETLTIDVYDTETSEWSKFNSIQRFRHSSWLVDTS IFVYGGFELDSPNIPTDIISKINLNRLLLPSETLTNKLAQYQHAQRNVSPQSLSPQMTPI SPDTQASPLNSSLIQKKPGQTAQNTQKQQKPFAQNQTDKSQFKFINQAVVAEESRDSKAP NRKPRVPQSAQENNIAHLFISTLLQPKTFINISENAKFLFQAQHILMLCDQAEAVLKEQP MVLRCKAPIKIFGDIHGQYSDLMRFFDLWGSPFVDGKDSDIEAFDYLFLGDFVDRGNHSL ETICLLLALKVRFPESIHLIRGNHEDKWINNGFGFSEECAQRLGEDPNDEDSVFARVNRL FEWLPLAAIVEDKIICLHGGIGSQLNYVAEIENLQRPLEVIHEVTTPEQQLVVDILWSDP TDTDQDFGIQPNIIRDPAGTGNIVKFGPDRVINFLIKNNLSLIIRAHECVMDGFERFAGG QLLTVFSATDYCGRHKNAGAVLILKRNLEIVPKLIYPQNLNAHNWIEDEEALKKRPPTPP RWKNQGQRRSYD >CAK71581 pep:novel supercontig:GCA_000165425.1:CT868097:349335:349592:-1 gene:GSPATT00008128001 transcript:CAK71581 MIKTRTKPVCKTHFNLSSKQISRYRKYILNLVNRKWMRQADDVSTDKCKIRFTENKVHHF VPSETMIKKVIRFNGYPKKGILKTK >CAK71582 pep:novel supercontig:GCA_000165425.1:CT868097:353189:354175:1 gene:GSPATT00008129001 transcript:CAK71582 MQTPQIPAVVSIRPFTVPSRFLATATNKSRALGEDFDVRIANQASESSYFLLEHVNGAVY RIKPATHPDHFVFCSNDNHRKFGNDFDVRTHHFAEPRNNWIIENVGWSTFTIRSETNPNF YLFAADDGSNAHQGELDVRTHAHHEERNLWFIVTIPNIVHPYPLLQQPPVVSLRPVLLPQ HYLTFAETHQQFQSDFAVKARGAKTDKTQFFLDRVQGNVFTIRSAASPLYYLFCANGQAY NQWGDFDARFHTHKEPRNNWIIEPAGPGYWTIKSATNPNHFLFGLQDEGNGHEFSVRTHP FVEDRNKWAIDGFNG >CAK71583 pep:novel supercontig:GCA_000165425.1:CT868097:354728:355546:1 gene:GSPATT00008131001 transcript:CAK71583 MFVYAIKSSAKPNQYLFCSNDNTRKFKDDFDVRTHSFKETRNNWIIQCHGWSVFTIRSET NPDQFLFVATDKSNNHDKDFDVRTQNKENQNNHWYIVTNPNFSTPYPLKHDLIIVTFNPA HLQQNFLTIGDSHKSFSDDFSVNVKQNRDQHSKFILDRVTGDAFAIRSFFSPRFTQLLII KKNQINFMITMSDFILLKNSEISGQFNKQNSIFGPFNHQLTLINIYSQSKMNLKMIKAFQ LELAHSQKIEINGQLMDYYNNEYLQQDTILLI >CAK71584 pep:novel supercontig:GCA_000165425.1:CT868097:356050:356582:1 gene:GSPATT00008132001 transcript:CAK71584 MSLHQFITSNNKGLQKQLEFKNFIVKNQTISIRRPKKFLNRHSRQITFGSTNDREIHQSK LPSLPQYDVNYQQVDKHQRTVSIKADRESVIQFTQENTNTSPIKQETWVRQRKGSLNLNE VKVKMPKMNLQMPLNYFDFEIQQPLSLKQVYHLFDILKEKHQIKTTREV >CAK71585 pep:novel supercontig:GCA_000165425.1:CT868097:356998:357710:1 gene:GSPATT00008133001 transcript:CAK71585 MGISRDSRHKGRLTGGRMPIHKKKRAFEKGRQAAMTKLISGEKRVRRIRVRGGNFKFRAL RLSEGNFSWASQGVAKKAKIVEVVYHPSNNELVRTKTLTRGVIVQVDATPFKQWYAKKYN VELGPKKKDKKDAPADQTKKSNSLQKKLAQRAKDNVIDQLVQEQFSNQRLLVRITSRPGQ SGRADGYILEGKELEFYVKKVEQKKK >CAK71586 pep:novel supercontig:GCA_000165425.1:CT868097:358184:361038:-1 gene:GSPATT00008134001 transcript:CAK71586 MKKISSQIQSLMNRNSFQEPSLSNDIKSSRSNLKTAKTERPQSKTKKNDLLDYFKKERNT ITQMVHSVKNTLQGSKSIDILHTQSQKSERLKNGQLNYVDLNVQTEDGNNTKRQILKQKN LSLAIVSLELLKSSQTTKQSYNQSPQNQIKSPIIKQKDQYLFDMQILKNTKTSQDDYQQN SQIKQNKQSILSDDPSYHRQSIEDMLYNKKYFNQVQTQKHFPQKQCENQKAKQINQIHFK TEACSSQIQQKQQNADETLNYDQDQQLKIVLYYKQSKYHYLFKYQTKTTDDLFNYLIQQI ACIEKQCTKLGGGTGSTDGDSIQQELNKICQFQTVSKDVPFDYYLTLHEMTLDVFKGNTL QIQPLYSQSIQTKKVGLKDFALVKCIGVGGFSRVYMVRKKDNGKFYALKLIDKKFIFENS KEIIVQNERDIMTKMNNQFVTPLHYSFETKYYIAFVLEYCAGGELFYHLRKLKRLNEQDA KIYFAEICLGMAYLHSQNIVYRDIKPENILLDLQGHLLLSDFGLSKPEMSPDDFAYSFCG SPEYMAPEMLMKTGHNYLVDCYCLGALLYELVTGLPPFYSHNTQDIYNSILTEQIQFPNY ITISPLLKDLIQSLLQKVPEDRLGHYNGISEILNHKWFQDVNFDAVLNKKVKPPYKPYPL KYNFDEEEFNKGDAEFRKQFQINLQKEFQNVDTANYLLDNFYYSRDSVYGQEKSKRTNVL NLNQLQNQALIAEPFSINQQTKIQSPQAESNEQLFSSSPEDSKRIFGTKGKSDLFDNSKK QESMTKSSQLQHQTAKLGHTYSKTMQQAQSSLQELKQIKLLIDQSRALQTSDRITTMPDQ NNKKVTERVKTEQFGNLSSPKTTTASSSLHKMSNFQALFGSEKNKKKLF >CAK71587 pep:novel supercontig:GCA_000165425.1:CT868097:361656:362599:1 gene:GSPATT00008135001 transcript:CAK71587 MLVSKNSDTENENNTNSQTPRHNLSFNAIQKEDWTQIMEEESINDFRSKDLTLALFYSAH LTTSDHINLIHIFSQFKDFEMPNPQEPSAYYAELIDRDVGRTYQWIPYFKDKQNQDQLRK LLLNYADYDPDVGYAQGMNFIAGTLVYFQVPQPQKIFNELIQNHRNNYIAGTPGLFENLA KLKFKLKTSIPKLYKHLQEIGLNDLGICFSPYYLTLMLQNTPFQYHMLILNIYQLMGQKY ITKLLIAMLKLSKNTIMKLKDVERVNKYIRTELAVNFFQEIEICRKEQRLIQLLVNIGLI KRVNQY >CAK71588 pep:novel supercontig:GCA_000165425.1:CT868097:362782:363970:1 gene:GSPATT00008136001 transcript:CAK71588 MLQKAYQKSLVDQQTPQFIKLSNTFQNLRKQAFHVQMTVCNLIKERGNAFYKQNDFQTAC QIYEELYQFLEFKECKSSTLFLVKYNGKTPEESTLLNQLKIAILNNMSATLLKLKLYQQT IEITTYVLHYDPNSAKALFRRGKALLSLTPLSNENLSASIEDLKKASQIQEDELIRSTYQ QAIETMNNRQLNPINLIENANLNYEIDYSKEVPEEINEVKQFTEIKGMEMLKDLQKQGKI KEANEFLQELNQIQEAKKQLERISRINFDKPKPYLYETIKRLGVNSLQLQQEFKNIQYQQ LQDIRNKLKQWNYCYSKKDLNINNQGLQGEQQEYPSENKTQNILLILGGLILFILIGVFA AGT >CAK71589 pep:novel supercontig:GCA_000165425.1:CT868097:364002:364915:-1 gene:GSPATT00008137001 transcript:CAK71589 MTDLEENLFQKPEAIKTQFQALQEKVQNIVEELKVYNDQQQSLQIANLQYNDLQGSRKQF KIKADKTKELITQLDKIARGNEQNNIANKLKQQYLKEVDRQKRIMKSFVNSSFEQKFSKI SVIRQSKAYGQETAQEQLKYFVDQNYVKVVPKDQRVSNNERSNLSQVHAEEATRIKTVSI DEDFVENDMIDLENMLIDERQRELDIIEREALQLNLIVNQMGELTDQQGQELDFGQQNLN EAKANVIGVSNELVGAEENQKQSMQKYKYVIGGLIVVIIVLIIVFIVK >CAK71590 pep:novel supercontig:GCA_000165425.1:CT868097:365149:366282:1 gene:GSPATT00008138001 transcript:CAK71590 MNHHGFIILFSLISTVFGAPTSSERWGYGILASFGVSMIGFVVSILIIILKKFSKGETAQ QVMKVLIGFAIGALLGDAFIHLIPHSFSSHEHHNEEDHNEESEDNRLLDEEEIVEEEEED ISKEFLTSLLLLLGVLSFFLIEKAMRILQSYVMIKKVQPEDQNLEIGQQETNMDNKNTVD SPGIVDQVTRIQEMQFEKVQQLPISEQLFSYESWKYKPTLGYLNLVSDFLHNIMDGLALG VIFATTSDDNFDSTIATLIAIIIHETAQEIGDTSILLENKFTNSQALFSNGIINCSALIG AIIGLGVSSMDNDTLVLAFVAGNFIYISCVDMLPEVLKEENIKISILQFVAFCAAVAIMY GIAYYEHSQE >CAK71591 pep:novel supercontig:GCA_000165425.1:CT868097:366522:367713:1 gene:GSPATT00008139001 transcript:CAK71591 MQVQDSTQVIGAIIENLELPNLEQLNLNTNFIKEVPQLQLPRLKVLNLSFNKITYIADQN LPSLEQLNLSGNQLIYLPKLNQSPRLRLLDVQKNNLISITRLMGTCQYLQDLKMGYNQVP GEYIDELCAVIQTLPSLDKVDFKGNPVSQVNGYEIRLLKAKPGLKYIDNIHLSENIIQNL QNMDKTKSFNELVEVTKEQYYKWIQEREEVRRVMQKQLNQQKLRLDFQFEDKEEDNIEEM KEFFEFIKEIEAKKKKGEKINVDDQNIQLWRQRLQQSENQRIQAQQLVRQQQIRNNEQQI NNYVSTKTMMQKLFEIAINEPEMWKEIKRKELVQIPQEQSDEPSSGMRSMNSVETPQESG SRSGNYSVRSGKSRF >CAK71592 pep:novel supercontig:GCA_000165425.1:CT868097:367742:368389:1 gene:GSPATT00008140001 transcript:CAK71592 MNQSKVLRVDIVDQKNHQAIMEMPKDYRKEHFIIRKNEIRIKKEEVQPPKKMQRFILCCR DADQYNTKEYYQQRKKNMLIIRDDREKQPYQTQSLILLKCKGKYSLSFVSQKSEVYKHVF QLTQLKISEEFQNKLFKDIDKGLDARKNQENRDKVDIREKKQIAEYKNDLSDSDEEDSFS NKKNQVEKNKQRKNITQT >CAK71593 pep:novel supercontig:GCA_000165425.1:CT868097:368667:369775:1 gene:GSPATT00008141001 transcript:CAK71593 MDYIRTIVSGKKKRFISEGYNLDLTYVCDRIIAMAFPAEGFESCYRNPIDKVVQFLENRH GNNYLIMNLSSRTYDYSKFKNQVKNYQWNNHHAPQLHLLFNMCKSMQEFFNQKQENVVVV HCLAGKGRTGTLICCYLLYCGMFNTVNDVLQYYEKSRFNDEGLGVNQPCQIRYIEYFNQL LQGEAIYPSLKYLSSIIIEGVPKLNIDDGSKISAKIYQNQQLIKDTQILKIIQENQTNCI IMTPNPIVVHGDILIEFYNSNLVKTKLIMRISFNTSFNTITFTKDQIDPFKIKNDSRIPD NFKLHVCQSEYCNNCDQTTSFHEKCPDCKQALNQERQNWHQIKDALKLKGVNVIRFFPQN F >CAK71594 pep:novel supercontig:GCA_000165425.1:CT868097:369995:372525:-1 gene:GSPATT00008142001 transcript:CAK71594 MDQVFITPSQRSPRVSIGPFDVIKQIYQKEKMPSFIQSEESLINCLNEGQQRQTIPQRHP SRTKLQQTYISRSSILKLHLKPKFLKFIQQKQFITRFLSNLYQRAYVKQSNNDYLQENYL SMNLKNKNDQELLQKDNSYVIQPGSFFLIFWDLVGISVIALSLWLCPFLACFLQQHKERE MDQEIFKYLVAIIALYAVFDFIISLNRGFIQKGEVIYDRLQIIKNYFQYTFFTEILNLVM WSLFYFDYQVNEFITIIQMLIIFKQIRKKITIQYEQFYLRGGLSQILDLINVILSVYFVA HTMACFWYYMGELTSQKFGHSWLIKEHLLDETLWLRYAYSLYWATMTMATVGYGDITAQN HYEVVSSIIMMFISSCTFAYSMNSIGYILKLIYDQKQRYKQLLELNPRKSLILINQHMRN NNIEPELQSRIRNYLQFHYQEDVFGSQEDIDKIYAYLPSSLKTQLTVDIKMTIMKKIKPL QLFSLQTQQFIGQESELQTFLPGDFIHDFDSSLYFFHSGTATVMEKQSNSQIEILNEGDT FGDYSFFTGFNVNVKVKANTLCKVYKIERSKFLEILKFNQRDFEKFHQIKDDIIFDSNLQ QINLKCRFCHQYHHISLNCPNNFYKPDIERIIKQEYFKQQQRRERNIKRQSRRQFNTLLA QEEIQNCAQLFDDNESIKILSQEDQRNSYTLYESKLDHKSQKISSQKHIIEKQSNSCQII DEGYNTEKQQMMLDEFKTKNLSVTAEIDQYYCFTVYKQNNNIDNMVKEYEKQFKKYPKYF QQKIDHASRYTFSYWAKFNSVKIRQILEKPI >CAK71595 pep:novel supercontig:GCA_000165425.1:CT868097:372612:374049:-1 gene:GSPATT00008143001 transcript:CAK71595 MNRLKVNQSNESPIILQFQNLQPNTQYFYIFEFYDIENITPEYLKTVYNKEKDYEFTTEF EQPMFSFKTFGLIGQPYTFNFSASGCARTASISTIFLDIYQNTYPQFFFMLGDLHYRDIN TDSVDEFDFAYKQVFGSTTQRYLYQQVPIEYQFDDHDFGKNDADGNSPTRNTANLLYWKY VPHGNLHNYRPLFQDEELPQFPQEVKNIEEINEENIGSYRYQIIGRTIIITVDLRTFQNL EVLGDQFGNFSNARSILGKQQREWLITILDKVAITDWIQAVIFISSVNFHGETWDMFPHE QLLIADKLQNIQEVLEKQVLIVSSDSHMTAMKNGYNSYIQHLGLKYKYGLQETMCGSLDK SGSCKTQPLTFGPWLGKNHYTNIEIQDSQLRTCIIIRAFEKSSQLFFYNTCDDRLKSLEG KVKCPFDVLMRVVYVIASLLVSFGFLIIIYLIVKKCHKNSMRYSLVMREK >CAK71596 pep:novel supercontig:GCA_000165425.1:CT868097:374276:375393:-1 gene:GSPATT00008144001 transcript:CAK71596 MSLSQLSGKQGINYAKLRHKKSPNDQQSFGLFEDMNRNNLQYIESLIQKRKPSVDLKHQR AKSTLDNKQHSYRHGTPINDMEELEGEKLFDQFFKLNNSRSDSDFMKESIQRDSVNKNNE SDFLKFVENAIEYHKHSDVRFFRLSVNKQSQLEQKLKETTLSNRLLANQLNQYKQKEIDL KSKIKMMQKEFTIQYQKLVQQNAWLEELLAKQKIDNQNSLLAVKKTVEMLQIKCKCQKGN QLCDKILRDVKNQERVRQQYEWEDESKPTHSSSELSNNTQEEELEDSTDFNYQLYDKNRR KSISKVCHNSIQSSEEQQEQLVQSFINGKSKNLREFPKDMFLRKKQTPDPYYIEEENTIN SN >CAK71597 pep:novel supercontig:GCA_000165425.1:CT868097:375557:375919:1 gene:GSPATT00008145001 transcript:CAK71597 MMPKLSRENTISNASCLPRHLNIQGFQKFNIKSNSPRTLQACQELGIDPIVLELKEESDF KQNDLDEEIIQLRYQHYLNRNCSQKQLKEGKRQSRGRDKNY >CAK71598 pep:novel supercontig:GCA_000165425.1:CT868097:375926:377535:1 gene:GSPATT00008146001 transcript:CAK71598 MPQLNPQIDQRQSSCNNHSINQRFVDKLIQSITEQFPSCTRRANLQPKINLQEMFMISIN WKGESKKRLIDTINIKNKKQQIIKTYSSTQREAQLKLQEDAKRLQLIDKWKQKDSQILDQ ILKRQYAFKQKMKASSSGRKTPLQKIPQFTDPKSFKRDSETKIDKGDTSLQKTMYRTRID DSKIKQRREMVIQRKEVLNKLEDLELQKDLEKLQMKLTQSEKLSKQQAQIKVERIKEQYF REQQLIQQQKEINVVQSQEHLSAMISKMINKEQEFRGLLQSQLMSELEKKQLQKEKQQKI KQNQNDLFKDQDKKLKQLNDKFSKIEEQNKLRKQELDHKILLKQELRKLKEQDKLDNYER QKRQNDYKMMTLYMKSKFIEEKNQLKQYQNEVIQKTSMEINKQEIQERQRIYSQLQELSD NLLNYKSVSQHESRQKQDQAKFKSVKLLKKFAKLEDPNIEQHTQVLLTMLQPKPVETNNS NRKLSVHKK >CAK71599 pep:novel supercontig:GCA_000165425.1:CT868097:377716:378159:1 gene:GSPATT00008147001 transcript:CAK71599 MDNEIQIQTKVKRIKERYVHEAMFLVEQWRSLYQQTYIENGRQLKLTLDKAAEIVGVPRK TLEDYYYQLKKAETLIDLQNFKNCKIGVIRRIVKESKKQQETTTHLIDTNDFFLQENETN NYRKNSFEYDD >CAK71600 pep:novel supercontig:GCA_000165425.1:CT868097:379140:380052:1 gene:GSPATT00008148001 transcript:CAK71600 MGKEFQSPLQYEKQSNYKTTKYSNQCRQDKNRSKSTPPSLRELLTDTFTITLTERTLPSK KLSILLPFKNAVEKIRSKNREILTRRKSCYGTLFGNNQQKQMINFQTSIEMHKKINGKEF ALSSFADLLKKNQRKSTKRPSLTRKLSKQMSLVEYDNMERPPSCKTPRESKVILFKKKNS TTQIKEKYIQGQRLKLSHFMNDSKSRKMQLNTIPFKNQIKSRGETMKLKTCMTELFSTQR SPISSNRTTTYTRPYQKQNELLSKLIRTEHKSRSNYFPKSTINAFGF >CAK71601 pep:novel supercontig:GCA_000165425.1:CT868097:380107:381996:1 gene:GSPATT00008149001 transcript:CAK71601 MQDYRGNFKRIEFGVQQTPVKSTTNMNDFQSSQKLKYEQMSNKPQSVNVSFVNAPVTMVS KELFTLQIPVKVKQLTDDWNTQLTLKMIMQSNQQAHGQTLRIELTDDTNLSFLQILDLNE GEFLALKNEQSLHVDFATFPMKLADLLQLCINSQRDEKVNFYVSLETKNGESTLAVIENN EFKKLTHLSLRLRSATDDILKYFLANKLAIEQQENEQLYKKTKKLTEQLEEKQWELENLK SEVRKFTEDNNAALQQVQLDEQKKLNDFREQALSKETNFKRESENEKQFIIEKYEKIVLE LQNKYTQLQQINQDLSEQKIQLTQQEKELKNKFAIIQQESQQLQKENSEFRVLNKELDTL KFNQERQIIELRIQKEGLEKILRDKEDFLNNKQQLVDNEKKQNVILEEQTNNQKKQIDKL EQRIAVMSDEVNKGNQIIEKLENELSKQKEKIKLKNAVVLQQEQTVQQLQDANDQSSKQI NEQKDSLELKYKDQENTNLDLKNKLAESQKLLESNNQMIQYLNKCLNETKVQAPAQMPGF STLKSQTFTKYTSPIPLQEDRSFRNQSVTYQQNLNNVSQISNATIQNKFNF >CAK71602 pep:novel supercontig:GCA_000165425.1:CT868097:382135:383273:1 gene:GSPATT00008150001 transcript:CAK71602 MDQGTFKAPKVKLTDYEILQTLGTGSFGRVRLAKHKQNGEYVALKMLKKAEILRLKQVDH IISENTILSNITHPFLIKMLGFTQDERYLYFLLEYVQGGELFTYLRNKGKLENEEAQFYA SQVVLMFEYLHTKNIVYRDLKPENLLIGADGYLKLTDFGFAKFIDSRTYTLCGTPEYLAP EILLNKGHGKPVDWWCLGILIYEMLAGIDPFNDEDPMAIYQKILKGKVKFPRNFDKEAKS LVKHLLVADLTKRYGNLKGGANDIKAHRWFQSLDWEFLIQKKLQPKYKPVVKNKGDTSNY STYPDSTELPKPVKPTDDPFINW >CAK71603 pep:novel supercontig:GCA_000165425.1:CT868097:383393:384934:-1 gene:GSPATT00008151001 transcript:CAK71603 MLCSYKYCGLSNKAQSDGVIACPLCYQTRYCSSRCKDLDWTIAHKLNCKGKQQGRQTDVN DTQSTLKSIGKNIDDFEFIVKDGKSELGKGSYGQVKLVKDRQNGQLYAMKILNKKRIFEY WSTENLKREIKIQRRLSHPHIVKLYHYFEDKENVYLILELAENGSLFVYIRRRKRLPEKE AFVYFFQTCLGIEYLHKKNVLHRDLKPENLLLDKQGNIKVCDFGWSAEANQQSKRTTFCG TLDYMAPEMLLNKPYDFKLDIWCLGILLYELIHGYAPFKGKTNQEKGQNIINLQTIEFNE TCSFEVKDLISNILKTNPEDRLSLLQIFEHPFMKRNYASYGIDLNQYLNKEEKIENRSLS PQQEQLKARNFTLPQHNSSHELNHPQFQHTFSIQSKASVVPNYKSVNQPTTGTNTSISTY SQDDDLKARVSRVSQRQQMAQQQREIGSLRQTNSDLGFMDRVFQALGCLNRDKQQQNQQF >CAK71604 pep:novel supercontig:GCA_000165425.1:CT868097:384987:386210:-1 gene:GSPATT00008152001 transcript:CAK71604 MLLSKQSYDDFQQYNIHKTMKQEEFQIKQMKYEVMKTSLEEQRQLQEDMKRRNLELKQKE DNDQNQRIQMMNKELSEQQKQKKLQTQESLSKKYSEIIDQRNSIINQKRRDDLNIENQLI DSAQKALQEERQIQQQKKQLQKQIYNEQMKLMEEKKQRERMNKVQERILFQDQAIKDQER ILQQEENYKKYYQRLAERSEHLQDIYRKNVDDPKSSLDYIINRQIKEKMDREQEELKTKR QNDKNLKDQYLNTLSLQLKEKEEKRKNQDMQAAQNQQEIRKAAESFNDEQQQERRKKREF QSKYYDQLSGLGNGQNQQDKIIDNVSLSQSQVYNPLTNPNPNQIQNPYILRQLGLNKSNH IQSPHQSQSKLAQLGQSSLFK >CAK71605 pep:novel supercontig:GCA_000165425.1:CT868097:386248:388808:1 gene:GSPATT00008153001 transcript:CAK71605 MKFSLTKSYDENENVPFKRSIEKLQIKPESHVQFCNFDWIAIQKDSDITLYKEGLQDATE IDLTQLFGKNQSGKVQIYFFKFANEQFDQKQMILIGNNFIIGYDVIEQQKTCKIQMNDDY RLRRKLQFQEVQILEQDQTLYILTDHFDLITLNLSNKENRFNHQTLQKRGSFISNLLFQN NLDFYIKGANFKFSVFEDTLLIIQQTQSNQIKLYQCSLNPYNLITQTQRDFVCEVSNSSY QVNINNFHLSRTSKGIIWKLFAIIENKDQFDSFSQLKLITKEIEFIDQRPDQSNLDKLIY EDLNELQLQGNKIRSYFFQNDSDLTVLYSVLDTNYYHNNLSQSLKEHKLFNGNLQGVGLS INNGLSQLKVCSNGKIYILQENYHERPEQLVTHFQGRCMHEIIFNLLKEGYKDFIDHSNS NSAFLKLEFQAFSEQIICQVINQIVWDFMETPISKYSDIKTQLKENYKNLELWKKYTKTI VKNQQIILNLIRSKICLKIGEYIKQNENSLKQLIQECLNKWLVFTQYEQQFYSKLEDLPT IIEQLMGLQGQEQHLFKILMIIYTDINEEMEFNKIQFPKFWTNEPEWLNLVIQALSKLSQ IQKNEFFSLFKFLITDISIVFKFERKISPLQFQVIQQFSNQISEEQFQDILIKNQLYDIL FQCYLQTSLRLDMVFDLISKDEKLCQNFIDLCFDHEKNLNYQDQIDQHDENKFLHQNQPK RFYQILDQIEEMKCVDQVLKCVKKYPKLYNILLIRSMRLEEIQDFAALQQGNDPNAKYYR FLVDRGQYIVDKQRQQQIDIEQ >CAK71606 pep:novel supercontig:GCA_000165425.1:CT868097:388934:389530:-1 gene:GSPATT00008154001 transcript:CAK71606 MASFKKQQQKTQAPQKKKVKSELTDDQKQEIKEAFDLFDTDRSGAIDCHEIKVILRALGF EVKKQEVQALMKEYDRDETGRIEYSDYIELMTRKYCERDPQDEIFRAFKLFDDDNSGKIT LRKLKKVSKELGESLSDQELQAMIDEFDKDGDGQINIDEFLSIMKQTTIY >CAK71607 pep:novel supercontig:GCA_000165425.1:CT868097:389687:390210:1 gene:GSPATT00008155001 transcript:CAK71607 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKDDAEVEVKEEKKEKKQQPKEEKSKEQPKET KKPQTKKPQAKKQ >CAK71608 pep:novel supercontig:GCA_000165425.1:CT868097:390500:390966:1 gene:GSPATT00008156001 transcript:CAK71608 MYKLISKFAKGLSTQVPVYSYHFPNGSVYNNPAVTAKRIIKVVGERLRKIDPERWESTPI TFNTNWNDAAGYVDVATCIHIHDALEKEFGIEIKDRAFLVSSIETAFYIVNIHHDSH >CAK71609 pep:novel supercontig:GCA_000165425.1:CT868097:391358:393737:-1 gene:GSPATT00008157001 transcript:CAK71609 MSSHSNSEDYYSNPQILASPKGPQISLKNTIGINQPDSQVCSLYSEGNEAIEKNLKKNQQ QQSIILNSDTQLEGHKTKTPLNPLQKLHQLATKNILVKQFKQSLFMNSYILSKDYYDKIM QFEQFLSNKNLDNFQDKSHQSIIPVFEAFSPQMKIWDSLMIIQYLLSLWFLPFSISFYRL NYNIIYLKEILSIFTLFNIAITLNRQIFHKGEYIASRQKIIRQYVKSGLMGDLIQLITWI SLIFLEQKLEDQIYIVILGIFLVFSCIISLLRKTEYYIDSYYNKGNLSNFLDLFILIIQI YYVAHYMACIWHFVGDLGSYLEESTWLTEYGFINESISIKYNYSFYWATMTMVTVGYGDI TARNKYEILTSNIMMIFSSCIFAYSMNSIGIILKSINDSKLNYRRTITSINSYMQQNQVD QSISEKVRNYIKYLHQREQDSFEDSENSLKYLPKGLKNEMKRDIAQKLIQKIKILQSNFS HSTLNALIHHLKIQNYTPGEYIYHQNEQQHFLCYINYGQVQINEEQSQTQIQILKQNCTF NEYAFFTEQQTKTNAQSLGFTQIIKINRKTFLKILKDCQKDLEQFYNIKDTMLLYNDYSH LQKKCYYCGLMKHESINCPLLTYQPNKLKCIKRQFISSQNQNRKSFKRHYRHVPSRIKFI EIKNTISYARDYYFSDQILSEKNIDKNSGKQIKDELISDSPNELISLEKNFKEDCDNAPQ KVSKIRIGSISVEGNNMTVKRASKLQNIQLPNTDYNRFANNQLDQFLYLETDLKID >CAK71610 pep:novel supercontig:GCA_000165425.1:CT868097:393784:395345:1 gene:GSPATT00008158001 transcript:CAK71610 MKQQSIFVTVGSTNFDELIKAIDNQETQNQLKQLGYNKVVCQIGSGNYEPKTEFFRFKSS LTEDYQKADLIICHCGAGTILECLKLKKKIIVVNNDTLMNNHQMELFNALTKQQLVCGIK STGQIQSELIDKIKESSQLKAYPLPEPNKIDSIIDSIGDCYLSEQLIPRYFNLQKGTLWI KKLKQKYIIKIIKQADQCDHQHKYFKQSVPLQLSQIINIRLNQPRQEERRYHSETLKQQA KAFNINKWQEMVDKEELYQMSLTGHLIKAIQLQDGNATLNNLINVTEPYLPFLRKQNGKN FTGMATRTVKGCLSAVVFKRNDESTWSVDETKVEEFISQANKKLFQFFEKLKKNFPIYDI IKSESNGRKTKKRIKIEQRRDDDQFNERFQPDFNQKLDPQDNIKIENHYQNFDQNANSKI NSEWHYSISDNIFKSLYCLRSGEKIDDQFLGNLIGFYNIKHQLDRMSECQRYID >CAK71611 pep:novel supercontig:GCA_000165425.1:CT868097:395983:396890:-1 gene:GSPATT00008159001 transcript:CAK71611 MSQSNISVNENGWIKWYCNLEDHHFFCEIDEFFIADQFNLYGLKQLFDHFEEALQMILSP NTPTDEDLEDDQYQMKNYTSELYGLLHARFIITPQGLTLMKSKYLQGRFGVCPRVLCERQ NVLPIGLSHDLRTSRVKIFCPRCQDVYSPKKQMSDVDGSFFGSVFPHIFISVFSELNPTQ PANEYVSKIFGFKVHKKKGSKFQIQQNQTEMYYYAEDHIKRLSQIQTSQQQQSQSKQSQK NDDEAEKKQKEALNAIQKLSKQKQKK >CAK71612 pep:novel supercontig:GCA_000165425.1:CT868097:397333:397575:-1 gene:GSPATT00008160001 transcript:CAK71612 MFDNQYNQNDSNNNEINLNFKPMNMLRQTKREKIQKFSEMKTLQTVNGKVQATKMPFLGQ YWDREVDLQHNINENMKHMF >CAK71613 pep:novel supercontig:GCA_000165425.1:CT868097:397767:398661:1 gene:GSPATT00008161001 transcript:CAK71613 MSARTPIPTFILKTYQMLEEQKHAHIVSWTAQGTAFIVYNQQKLEKELLQNFFKHSNYSS FVRQLNLYNFKKVRSNDGQIFKHKCFRKGMKSMLQFIRRRNQEDLVTPAIQEEPTIQIKE EQNLFKECAIDIKETNNKLKEDMKLLQETSSYLIDQMQNLNHFVYNQSVDIEVKFKQVGQ MLHAINEELRQENKSDTQTNKFSEEFKQIKEDDFQESKIGSPNPYVDYNSIALNPLDYEC FIDSFL >CAK71614 pep:novel supercontig:GCA_000165425.1:CT868097:398722:399312:-1 gene:GSPATT00008162001 transcript:CAK71614 MKYLLIISTLIVLGQSLSTQLSQQPSCFLVNSPEENSNLTVNYIVSGLDENQTDVFIRKK DGEILIKIQNSRDGRIRELLKDKDNYYVCFQSRDKSYKMVSFDFDIEGVDKEYAQSEQFD EMSKELQNTQKNFQNIYRNQHWISDRENTHALVLDQTEKSVQWYALIKVGVLIVISSAQI YIVYNYFKDKDFSGQV >CAK71615 pep:novel supercontig:GCA_000165425.1:CT868097:400073:400576:-1 gene:GSPATT00008163001 transcript:CAK71615 MQNINPESSIDNSISKYPELPNFGLKRKNTKKSSRQIHKIPIRIQQLLFVQVFQEGKQIK EVADNLKLNYSSAKSLIHYYKNNKRPVPFQVADVLNGKKPCLYKSMQNYQNEYNNLKIEV WLKHQFIQAYNFFERIKTQEQLYTSKCLQQQSFIFGNE >CAK71616 pep:novel supercontig:GCA_000165425.1:CT868097:401039:401515:-1 gene:GSPATT00008164001 transcript:CAK71616 MSKTSFENLLESEISEDNQSQSSEYKVELKKQRGNQTATADAKRYHKIPLKTQIILFQMV FINGRRIKQVAKSLGMNYSSAKSLIHYYKNNKRPIPAAISSILNQNKACGIRKTKSGNDK MLVSVQVQKNTIHKYNYFQLLKIQQSLQNI >CAK71617 pep:novel supercontig:GCA_000165425.1:CT868097:401959:403537:-1 gene:GSPATT00008165001 transcript:CAK71617 MDAKSTAFHLYKQTLIRKNTNPIGQIYSYEQKDKIGQGAYGSVYKAIHKVSKQVRAVKVI NKLNIKYKERLLSEITIMELLDHPSILRLYETFEDAEYLYMVLEICQGGDVFDKVLEKGC LPVEDAFKIYIQYMRAVNYYQGFKIVHRDLKPENFLFQKKNDLSSLTLIDFGIAKRCIDK LKTKSGTAYYVAPEVLDGLYDHKCDVWSAGVVLYVILCGYPPFYGENEKEILTEIKTGTL QFDGDEWQGISQEIKDFIRSQVCSAEKRLLPKDLLSHKIITQYNQKFVQDNKLLSMLTLN QWVKYHPIRRLALFYLATQLDSSELVNQKNCFFFINTSQTGLITQQELSTYLKVNKQDVQ KLWPYIDCNSNGYLDYFEFVAMTLTQQEFLKQLQFMFDFLSQQDKVISQKSIKSIFDQNA NFNNKWDSISDTKNHLAIVNQHEQQINVKNILEKDMDFNAFKAIMQ >CAK71618 pep:novel supercontig:GCA_000165425.1:CT868097:403899:404404:1 gene:GSPATT00008166001 transcript:CAK71618 MNKIIILSLMTAYVVANNQSYYRRCSKAVCAVPYQTCQNDVACQQTFAICEEQCSSGQEN CIETCLLSSNSLTVQRLALCSLNNMCMNSMDCKADITCPATCKEPVKLGNDKCSTPSTYA SQECISDFLIQIGRDECADRLCVCKTGGSLEVFITGCYC >CAK71619 pep:novel supercontig:GCA_000165425.1:CT868097:405532:407033:1 gene:GSPATT00008167001 transcript:CAK71619 MDTHRNYENLDYAIGFGNHVETEALKGAVPKGQNSPQKCAYNLIAEQFSGTAFTLTRASN QKTWLYKIRPSAAHSPFVEAPEFGKYVKNDFMNDHGVTITPNQLRWKRLPLPEKPTTFAE GLVTVCGAGDPSIKQGIAIYLYAANKSMTNSSMFNSDGDFLIVPWEGEMLITTEMGKLTV KPREICVIPRGIKFSVEMNKPIRGYICEVFKGHFKIPDLGPIGANGLANPRDFLVPVAFY ENTEEEFQIINKYLGKFYKCTKKGSPYDVVGWHGNYYPYKYNLDLFNAMGTVTFDHPDPS IFTVITCQTDEPGVAVCDFAIFPPRWMVAEHTFRPPYYHRNIMSEYMGNISGQYDAKEDG FQPGYGSLHSLFQGHGPDHESFEKWSNVDLQPIRYPYENLAFMFESTYMLKTCSFVMDDQ VSLDHNYFHCWDKLAHNKLGDKP >CAK71620 pep:novel supercontig:GCA_000165425.1:CT868097:407265:408185:-1 gene:GSPATT00008168001 transcript:CAK71620 MSEESQYSEHPGGWIEWFCQLPQNQYLTEVDSEFVQDPNNYGHLIKQFNLFQQALQMILS SEQPDTLDLENDKFLELYTEASDIYGLLHQAFIQTPKGLAIMRERFLNGRFGHCPRVLCD KQNTIPIGLSESLKTSRIKVYCPRCKEAYAPRKSQADFDGAYFGRSFPMLLLMTYPDIHP KFTIQLGTELFTPFQPTLYGFKVRDDRQIQQPSPQLQQQQQQQQQQITTQQPNGIVEKEN HHQQEKQNAEQESKQSKKKKKNKNK >CAK71621 pep:novel supercontig:GCA_000165425.1:CT868097:408355:409907:1 gene:GSPATT00008169001 transcript:CAK71621 MILSGLVITLNTLTQNYLIFKDQILNPEFQIGNYDVNSCGVPQLQQVYNDNPKSNNLFIQ ELVIRANQQFKLEYSVENIIDNDFALNQSGMINLTLSNEQVIYITHECPEDLENYNFWGL IRVDLSINDESMKIYYESICSKQYEPKKFDFSYLIIVISGVLFVFLTSRFGHIASLQKAN KKFQGVLINYWYFIVFIFTLFALVGFSYINVDVEVYILACISYLSNIFFLIDTCCFLKIH YWNHLQDILFYIIGWFLGSIPMITFFVFGFSWIVNDVIFVLNLGTFFKLFKVKSFKDCIT IYVPFLLFYCLLNYAVYLKWEYGYKVSLILNLTQFFSLQAPMFNYIPTRKCAFIEINTLF LPGLMIAYTVRYAKASQSYVYFIIYFLGLFLGLIGWLSITFLNSKYQITSLLFTVIPSSI LAAILCYYRNELSVFYKGQFYDQILEDPFVASKSIKASQQTNTELINQSNQSNQELPVIN PALFSGLYEL >CAK71622 pep:novel supercontig:GCA_000165425.1:CT868097:409982:411620:-1 gene:GSPATT00008170001 transcript:CAK71622 MSKALQYNTISKGDYLTQKSIPNSAPMLRPQSEMVKQSQVSPPVQAPTDVIASQPIYKEK QEKPQKDAPFERSMFPQSEIPLQSAPIKSTMINTQQQIASQSNIPQQQSNVSNQNQIQIL QQLKGLQLENQELRAQLINQTREIMNAGALKSENAALRERADELKIIADHVKEADAQNQA MKRQVTELQTQLGQLKAQNGELQKVADEVEQVRKEIATYKAFEMQYKELLRQTLQLKSDH DALNKQFNKLLSVQDENKRVSQQYDQQVTENEQLRKQLAQQEKDNQLLLNDNISMKQLLD QLNFDHRSLRSQFAKLQQQQQSSYEENQKLKDNISQLELEIVQLRSRNDGLQKFVTAVDT REQEIGTLKYHLGMCENNMKIVQQNYDASLKKLELQRKDIEQLITDNNLLKGQLEHLTLQ NRQLNELQWKNKEQDHQTKQLTEEVKRLNSLLLVKNNECNQYKNDAQRFHSQLMSLQNTE NLNKRMNEQIQQMDQDIQFLRKMNQDQQMQIKQLEEFRYNTIYNLKSQDFSSKQNPY >CAK71623 pep:novel supercontig:GCA_000165425.1:CT868097:411957:413089:1 gene:GSPATT00008171001 transcript:CAK71623 METDINIPKTKAVNVFFQFARMQFYLTVFSNLLPIIISQTNQVCSNEGIKLIALSYKGDI VLLILNLISLLFIWLKEPFARYCINQCTRLEFFVMYAINICWSGIMIGQTYLDVTTQPNE CEGVILSQKLILFFHLKTHLEVLFTLFSPILFAFRITNLPNSFYAIYLILTANQQQQLCS RDIPNIEFGLIFVALISCLIGLPLNIGLFCTKKVTIPNLFRFLLMLTIIGQSGLIALIYQ ADADLRSECSPTHLLFRSYFYIIPITILSALSLLFSMPVQDHDEIRQLLIQARLKPLKAQ LPVQQDFTKPQVQQQQQEEILNRSAIDGGKSQIGAKSQVGAKSQYGTISRRG >CAK71624 pep:novel supercontig:GCA_000165425.1:CT868097:413113:413727:-1 gene:GSPATT00008172001 transcript:CAK71624 MKLRSISTIDHPKEFLFPIEPDLSASKKITNTNIYQIYQKNKQATPNRHPLQSQFNFTNT FRLENNTQASPLRLYPEKTELINLQSKRSLTPSSKPQQIKVKGLSEKFNEIQLKRTLRHQ GVGIVYCRQNYNKINNKGDGTGEIMLEDIQNLNYVKQRLKTFGLELENEAIHHEVKHMIS EKFARILQTEKNKTSRNQYNYFRA >CAK71625 pep:novel supercontig:GCA_000165425.1:CT868097:414369:415397:-1 gene:GSPATT00008173001 transcript:CAK71625 MNFIDSEEYDIPMECDDLLFQSNIFNNLPTPEHSKSTEDLEQKFKEERKQRILKKRIEKK QKMKAEAIQTMSKEELRKLRNRNSAQLSRDKKKIVFDNLVQENKNYSILLKKKDEQIESL QIENNHLRLRVRYLEENNQPINSTQSVQGEEEIEQISRANVITKSKMMNYGLLSLLAITC ILSIINNDYQYNPKPIALSQLSNQRYDFLAPKYSQRNQTALFSDESNFVIPAHTFQNQTL FYNCTGKDKECQNFLNIVKAENADNIYFVNDETDHVPASRDHNFEMGEDVYLMKIKQDDE DNFVIFRARCQITENNSLALQRNTYEAYNNSHQY >CAK71626 pep:novel supercontig:GCA_000165425.1:CT868097:415521:416074:-1 gene:GSPATT00008174001 transcript:CAK71626 MNKARRHSFNEGDGQKLKTLNVLYPNTFVKQHQLDKIREHERKKMERLALQLRKKNTILS SCQKQAEANFRPCQRQLILHNHEIKIQSLSSRHSSYEGIKSIFQRKQFVESKYKIDKLIT SPQTNTEKENLCNIYNLRPNLVINKLIDSEFQRKNSLKLENPIPLITV >CAK71627 pep:novel supercontig:GCA_000165425.1:CT868097:416104:417815:1 gene:GSPATT00008175001 transcript:CAK71627 MGTSQSTMILGQPLKQTKVGTHYPGGILERKIQLTDEQVTTLTNFIRLKEKNMRQPIFLK IHALQKDDSGIFCNSNNTIKIYTQFSEIDLSNEIRRRRIASQHFTNQELVYNLKLLIEAM AIYQSFNIHYNLSSNTLLFDTIIKIMDPYIFDLKSPQLPHYKDQSGSVFSSWPIGIILLE MITLNLQTNLVNMDGTLNTYLFSNLLEQIDEPYLKQCLLYIFGGIQRPDYIQLRDELNGQ IIRLLPAFQMQPENMQHPTNFSIQEQSKYYEAISQQQQIIKTQSNQPLPLIPLAQSNLII NSNNISQKKENITPISTTRSNNQSVLQEKIEQIRATYFNHKNQQQPSQMYLYRKSPEKQS ETSSTGSEDLKSFSNGLQIDLQSDPFCRERESDVNTLSKQFNFQNEEYICETYLDGSIYE GFKKNQKKNGQGSLYYSDGGFYIGQWQNDVMNGYGSLYYPSGKLAYQGCWVNGKFQGKGM LFNENPKDCQDINFEDFDSISDCWISYSGDFVLDKKQGKGVLIFTNGDRFEGQFKDNMIN GPGTFKSGNKVISSIWSKNMIM >CAK71628 pep:novel supercontig:GCA_000165425.1:CT868097:417893:419557:-1 gene:GSPATT00008176001 transcript:CAK71628 MEDCTSLKLYYLILILQIPCFIQILPLYLLKSILAEFHPTFQRCKKKINAISFNINTHQQ IHYSRNKIKMQIMQKCFMIFENSELEKQYQLERSLSIKKPVFICTLILTFVCNVITIIIQ STSRTIESQYIYIVLTLITILEYVTIITMKKIQFLQLGITFTNIMLGFIQLDVDSSTATT SEFYAYGNVIMQLQAALYIISSFSHAIIQVTSHLILRILVTIYQSNQVDSLLIGMAFFGA LMTLVTAHYYESRSRLSFIQNLQENKLEDAYSFIIQRPYFKISLSEDTMLFNLNTRHQIE QFPGFDEYYCDGCNARSLMRSYTTEKKQSFESLLLSQKLENNGYLIITFKKQTFQIKYCK VDLQQQNYLIILQDIDIQDKKQIDIEKEQLKLEYFLKSNQDFKHKKLFNWGVLSILMLNN KVIKRIDLKTIISKLIHIYKKYLFPELNIEIVCCEQNFNIYSFKSQLKIFLIQIFEIISK IQYFEKEKIEIVLQRKGCDALIKIQNVNQMQFNFSYAQNFFIQHVQSLLINYIDFSDCIG LLIRNHPIGTFNRK >CAK71629 pep:novel supercontig:GCA_000165425.1:CT868097:419948:422999:-1 gene:GSPATT00008177001 transcript:CAK71629 MEARNNFNQKALQPSLHTKCLSKQHSIKSEKRIKTSQTDLIKSVDTQKIMSDFYDKCKNR LKGTQNFMHERNKSQQLQSDSLMHSIDSCLSFRNQIKTTQSSPPDKYFLFNTCEKVLHQK SNGSKRIQTKQAEKLLLQQINQNNRADLLQKIEQYIRNFFTEECKEMANLFDTFMRELNQ QISDQKYQLTLHEKQLEIQKIDEIIRMNTNHVWAHEDMEKQLISLKSQNKNQLMQIQQNE NDMKDLRAKINQQKQQLELKQNEILIKNRKIKELGFEILQLQRKIDYLENKAHKDHRQST QQIRYSVYQSQQIGSNTNISQQGNTTSNKENKFSSLIEEQQEDSSPSITEEEDEEEKELN QIEFNTERIVETREKESQVGLDLLLNYTTTKEVQTHLNLTEKKFDDLNQDICDQAINFID FFNIPKDKTEGDLSQTNISFLNINAIDKLNEEEIVKFVDSQMSQSGIPNNTPQLVRQTSI KTNTKRTSIIIEKQPQQTSMNNIKVKNMITFIKLQGTKLIQLENTIKNSNSAIEELKTIN DKLENQNRELKEQNSKFIDQITNLHIKVSDFENQIMSDAMDLNQVLAQQEKKPQNQKQNT LVQRKIKKIAPQLGQKVTISYDFQKNQSKLLIEKLKSKSVGKFNNYLPLKLVLKMISTFY LEKIANQKENKLLRDQDMAAYIYNYYLQQFGYTKVTEQRFMILVLSVRKYVQIVRVNIFA KFMNILEEKSNYRVEELQRYLEALEYVHSIQNLGITIKDNEQEQKHYIPYVRALAYLGQL QNFNFTQEELNYLKQDLENQKEHDPKNINKAGIVDFDLLMIRVLTIFRNNVEKTKLYVIN AFAACDLDGNGMCNLDEWLLLIRHIEPDKFEEEKFEEIFEEYADLVEDDEKNLSFDRFSI LCMEHELFSDAQQNKFLKVKNNTDAEQNYEQLKENWISVYTEQLKRLNEIKMDEIDKQKW QKILNVLNRKIASEYSSKKPLLIAFKIFLEESK >CAK71630 pep:novel supercontig:GCA_000165425.1:CT868097:424189:425638:1 gene:GSPATT00008178001 transcript:CAK71630 MQQRTSSNQKIFNNQYQIIKKLSSGSFGVVFLGVDLLTKQEVAIKVEKEENEEVRSLERE VQILKKLDGAEGFPKYFWSGEDQGYNILVIQLLGKDLSYHFKQLKKFSLKTVLTIGIQAV QILERSHQKGVIHRDLKPENMILGIGREISKLYLIDFGISKIYRDSNGKHISFKDQKSFL GTTRYASIAAHLGHELGRKDDLESLMYILLYFLRGQQLPWQNMVNVTDEERTKKVGEMKL SMEREVFKDQPGELQRNFDYIRKLQFKQEPNYKMIVQEFRRAAESSNISIDGNFDWTEIK QSTHYQTDSNQNQSRNNIPTNSNEMKKSIEKQLSVIQHSSNNLLAPPPLGSARGTFQRDD IRKNSSLTQQSSINYCQSLNPNYQKSICEEPLQEDNRYYDFDSVEISENYKADSSLYNKY HKIKLGIFVHFLPKQIKLK >CAK71631 pep:novel supercontig:GCA_000165425.1:CT868097:425839:434700:-1 gene:GSPATT00008179001 transcript:CAK71631 MNQSNKSLNSTMTRIKQLSTGPPIEVVPSEIIFKDIQINQTYEITVFVRNLTQTARRIRV FQPHSNFRCDYEMQGAIAAGLSMKLIVTFETASLEQYQDSLKIVSDGNYTIDVPLFAFPP QAAYFQHLIHYSIVFEPFINLGFVRVGKEKVDNIYFKNEGRSTGKVELKIDRLPDFRIEP TSFSLGAGQEFAVKVFYKPKDAGIFRGLVEVIADGQSLQKTIDINATSIEFTRFLIDDTG VQNNFFDFGQIYYGQFKQIETYLVNNTPKQQKFKVKLKKGLHQQEDALKLQTPAELGLEQ TERIMECYPEEGVLESYSQVAIVFKCKPKVSEEQQVWTRSFALSPEQLKPDYDEYHYSAI FDFQDNEPLMNHLQVRCICPTIKFPPTPILQFGLCPANQNKEIVFEVTNKQTDLPIQIHW PVVPYFQVNPTLSILQPEEKQNFWVSFKPKHTGNFTTVINAELLGGIFKIPVRLAGVSQQ FTNKQQVKRGPESLPEDFEMKHTITKEQSISNIKSIEKVQTLDISQSVQSLVQQGISLDK IEELKQKSLNKEIYNEYLKMHREQRLKKVKDKKIKQKFTQMNERLKEIKQEPVKPSGATK KQEQEEEPKPEPPIDYEFAVGMQDDGYEQELPLPEANETLFVTKPIFHYEPLHQNQLKEG KQLKPFEPDLRNIQKKKFPFEPKTHSEIRDTSAELNADQLQKIQAGPVKIEFGNVYIKST VIKYFYVRNDLRNSISVRLHTDKEDFNQSYIKPQIIPSGQTAQFDVILCSKQLGQIKTHL KYVINENHIFEFLVQANIERVFLEMNRTQIKFGFNEDNNEMETAEIVRLTNNGNAEAKFR WITTEKKIFYVQPESGVVPSGKYLECQVIYKPSQLQPLPEVKQPVTSQQQQQTDKNTQGQ QQQQQQQQQQQQQQQQQSIIPQQQATVATIRNEEEKLLLKTEDGLDQNIKCTGVVSEPKC AIKQAVIDFKEIVVCKQEAKVLTLKNLSKSSAVFQVQTTKLPEGIEVYPIKGKISSEDSR DLQVKFLSKDERIIKGDIIILIRGGKQLQVPVVGSAIIPKIEIVEEEFDFGNITTLGTSN QLTMTLVNNSTIPVDLVLDLRHQNENPRAPDGIDCLEVKPQDEDDTILHSIHPENEDEPA PKLDESLDNQSEKSEQIDLETKEYRSYNLTILPGRTQVFLMKFSPKDVKHYQFDMPITLA RFNQNLASLTRRVSCKGLKPKFLVEPQSIEFKKKIITSPDKCYPTVEEIKLSNPDRKDVK WRIDTSPLKIDKIFTIEPSEGIVGSGQQVKIRVKFNPYGPGVFQSTVSLYIESDPDIPKT LPYVDLLIQGSGAYPRLLFDKKEVILPVVPLNVVSKCSFKIINDGYENLNLKHNWSSETS NFYLELKFPEGQTLGVAKSKLKVDVYFSHKKPISFTTRAEFQDEGRVYSIYVSGTTDNCL LTNQIYLQRIQKYQMVADEKKPLMFQEDDGADSDNEKMKRNHTMSVKSTTSSKGTSHLGY RPLDAETQNEACDYIMRWMNYHVLTQPINKFPEDIVTSFGQQIFELLTFLTGKVNFQYKA QVDQNWKRQQKAEAQFKQYDELVRQLKIEGALLNHIRSEYLLGHNDYNAWLKTLPKDKYE FVPDNRLQLSQAKYNYLHYDAWVSTFYQIIKIYYLNRITAKSFKQLPGIPAERLNIPDYY LDGSNIISHAEGVILWFYEVCYEQQHTGQIRRISNFASEFRDSLLIADAVTQFIGASMQK FFSNLRTNLQSEDDYRHNADKLMPALSDYGLQSHVQARDIYHKPYPREMLMMLVQLFFSL PHYVPQKEPIIFQCILGEEVIKSIELRNPTPKPISYFVKYEGHPDFQLEGDESIKIEPDI PYNYKVKFTSRISLPVTGRVIFTNKKETNVQAAALVFDLKSNITGRKSEKQWNVSSILYE IFDFQIQITNKFPQDGEFQIQVLHEKKQVEQRGKKKKAPPAKDAPPEEEFPSFFCTIDKQ RIRKNQTINFSLTYIPLTIDTHKCSLIFTDPNVGEFQHDLQGTVELPNIIGEFKPDKSLY VDSTQTISYTIPFRNEQLARARSQIQQLVQMKQKYRQIKGDKQASTLTASKLNFPGSNLD IITFEVEIYPQTQYLNLPPTLQVTDLNKQTKKPGTENIDCKLPILYAFKNATKDFSVILT LRNKFDIRRYKLSAIVLPKIVKAMLEFRVPARQSVIQEIPIVNQTDRDWQIKVQLSESKG LFSCPQRDFFVKKKATSNFPISFSPSWLQESEAKLVLTNPTTNDVFEYDLKGYGEEPVAE EHIILNCQARKITKKEIELKNPTDKAIIYAVDTDLIYATGPSQIVVQPQKKATYVLQVQP VLSGQYTGSITFTDEQGHYLWYTVFMNTESPKSVQTLEVSCLIRQATVIQLTLANPLTET AIYEVMINGEGLIGEEQFAIGARKEAAYELTFAPLKIGRWRGSVAFVNRLLGEVWYEFIL TCEDQPIIRLNVLKASLGKVEQQVVVLENPSDQKIQVQCRITNPTNFDIYPDELHIQPYD SLKVALRYTPSSLDIIEQSDIIFTSPIGKWHYLVFGSGLPPTKFPATTVSIGLNKDYSSV IHFKNPFKEPIQVSISLDAEGHNQEVFKLLLVRNQKNDKTLVPGMNVLQVPFSFVPREIT SYYCEVVISMNEKIQWHFPVKGVTESVSTQTLFHFKAKCREKWEDEIKVGLQGIAQSLQA DDKFDYELGGMPLDLQTMIQKCFTIKCLKNHLDSPHDNLLYFVRFQPMKPFKTSMEFIVL RQSGGRWKYKIQLEATEPEEDDTILISSPLNKTTSVSFKLTNKTKNYSKFTAAFSPESDA EFSVIPKIGDLEPYGREGTTFIISFTPVEYGKIRKGKLIIQTEDMYWSYSIRGVLPRYVP PQIKQSLVDNKQLQQSQIQMSMTLSRNFVVDNIKKARQLSPKSARNQLSLPLIEKK >CAK71632 pep:novel supercontig:GCA_000165425.1:CT868097:435691:436383:-1 gene:GSPATT00008180001 transcript:CAK71632 MNQNGFVSFMLEKDDSYQFQPTENKLKINNDDEFYRQLSQEFYGQQQQNQYYFKNNKKQI KTIDSLKFKSKPHFQMSESQKSQHSFTNQQQSQQLIQQKIYKLFTKQTPQTQTQHKQNDR SSQKTRNLNDITPQFTFKLEFSNTQNNQHRQSPQNSYRFKLNNDDYQGFQQQHSHRNTLN TSEKSVKQQDKISDIYYPLKINSYKEQEVGNLFQLSFRTKQ >CAK71633 pep:novel supercontig:GCA_000165425.1:CT868097:436422:437182:-1 gene:GSPATT00008181001 transcript:CAK71633 MKINSELIQGSHHFINPLNERQLDLRAKKITAIENLGATLDFFDHIDLGDNDIKKLGNLT LLKRYTTLNLSNNRITKLTDVSDSLPNIENLILMNNRLTDINELYQLKHCKKLKRLILHG NLITQQPDYRYKVIAILPNLKILDFNKVTQSERQKAEESFKPDELTDYMNLINLKDATLD KEHIKKLLENAKTFDQINQLEMLLKQQQVKHLSILNEQ >CAK71634 pep:novel supercontig:GCA_000165425.1:CT868097:437204:438281:-1 gene:GSPATT00008182001 transcript:CAK71634 MHKIKQTKQCAQCDAMDPQYQLGKCDHHLCQNCLKQILSNTIQSKKSKFQIKCPIDSCKQ QIDLKELLKYLTLPNTCKRCNLEQPYTCGQCIVKEIEEIAKINYYSKLLKFDTLINLESQ KELHEEIQKQELICPVCREFNQIPIIVCLQGHEICKDCYYNIRNIEDVRQVCPICKQELL SAPPNSLRAQVLIKNLSIKCPNDNCKQIVKYPDFVQNHYRKCCEQLVECQDCHEKVEQLF QDIHKSNYCMGSVCPFICTRKLGDHQNNFIMEHIQSTMRQSDVAHSFLIRMQIVEKFLFQ GSFGNCPQCDFQYAWQVDVRGIQSTFCFFCFRFTG >CAK71635 pep:novel supercontig:GCA_000165425.1:CT868097:438317:440570:1 gene:GSPATT00008183001 transcript:CAK71635 MFLNFGRFFGFGSQSKFDILLKQDNLTLETILNEEDILSELKNSSSGKFADFIIQHPKEY YKMIYYINKDVTDQEYNSKYPFLISEILGSENDKLINFLFEKQEDTPQEEVQNPLEDQLA LSQFQEEHQENEKLRQNLLPDLLSLLENDFLLITLAGYFTKIISAIIHKRGDDFWEYLKN HPRIISNLFKHLSIRQITEIFEKLIILDKGYEEHHEGFYIEERQNLIARMLKFLKGESHS NTIITNICESLIEVYKRALISLESMVVLREILLKIEKPLFFMSLALQTQNSSIYSLLNIQ FEFYNKMSQLQDKPYEIELSVLYKPILDESLKALTQQDIFKVSFQTTSGNIIRPLGDSKL LLIQLIIQLIQKKELALVIENGEIFQQIINLVFEYQTNNQLHVLFEKLIVAILDSQNDHL HELFFEDTNFLNLLIKINGSEERKKKHGFQGILTKITNYINTPSVLQKCQVVQTAIDSIQ NEWLKYLEELQVVNEVEQSWLLGVNPRFREQINVDPYSPPIFWPNNLSSYQNNSTDNSNS QTNENQEETQVETNNTSEKQEIDQNADEFDSEEAQIEKQSPIEVVQVKEENIESLDEQQP EKYEQKIVDSPVYVVSDEQIKENPVQIAEFQEEPNQSVQEEQDIVQQEEQLNDQNQNQQN QLQEQPKQEEQSNTQEQPKQDEQQDLLQEQPKKEGQQQPQVEQEPQVDNNAIQEQQEQDQ QLKDNV >CAK71636 pep:novel supercontig:GCA_000165425.1:CT868097:440812:444440:-1 gene:GSPATT00008184001 transcript:CAK71636 MTHVLYFGVGDFALQMQTIMNDNQKLQRIVFPQNKYSRDPAKTSKKGEQELCFISAICCE QNTFLIDTQYDLWGFGNSQFAQLGLQQPSVSMPVNISQITQNSYKLVNAGTGFVVAYSTN KKIYVWGNWHQLNYGEVLKLSEKQGFTEQIDTFYQISSLDITYNFSINRYNELERRSSHL PVHQAEVVALKCVGTTTYLLTPNKLFCLGLQQQFAVQFIGIACGQKHVLAWDDQGKVWSW GEFADGKLGYMDFIQKEQLVPKLIEDFSTKIVSCVCGTNYSIALDVKGDIYGWGKGPFQM DLSKAVIPAKLCQKQKPFIKIMAGDSHFGALDLSGQLFGWGINIKNCLGDLDDKVRYPQL IELKNVKVIDAAMGSTFTVLIVPANSKYKLPSLNVDQYTSHQQRRVKEEAAFMKDFADKK NKIDQIQVQTSIQQFCDTVESPLKNTQYEQTAGLLRKMKSQVDSLKGASKNKWNTKSLRL DTDIINYHTQVNQDYDDVQSKLKQLEYQNYLYIPVCNTSTPTSQTWEQHKDIDQLLSHEN DVLKYQYILMVKSQDESKFMKTLLNDNNKQSFENQNNLQTHSKSSKNKSDDLNQSDKKKY HDKFDQFDPYFLHNVKRDLKQLSQKRKEIFLKKQKLREELNKEITDKMQLKKVPIQNKED IIKAIQTQAYEREMKLRIVQQKKQDNFVEKIHHIQQQIQEKSPEFRYKKRLEIIRQQQNM QFFRMILTYFNMENIFQMMQDTSQRGLELKRLMFKEHMKARIIQNTIRKRNIIKKIKSKL GLRQKKILLSFIFRFKIHFRIKSKYTYIRKINLYHLRSSLFVKFRVNLKTITAKTLTIQR FWKWYNHNFQVQLSYLNYKWDEYLKQSFKGQMTEKDREELKLHELPHLLEKQHHILQRLI APQKSQNWNDLKFKLNPVFKATELRTNLLKKVKTFVQIDEDIKDIDPKILGRVLLPYTKY IDNIALSVAAKIRFDYQMMIQLQVHEKVFMEELVVKYEILKEHLQKIRREYTLQMREFYT KINDYKETHKQQINTDRGKLMIRFKADGPEIKQLKAKDDQEIKYILQKREFSRRRNGQNS VVFKEIIFNKIRQLPQDQQPFPNLILKLAENMCSDIKPKLKLRLTQSEWSRLFNQYQSEL KQRYLAIMNEARKAAALRTKHLKQNKLIKKKRTQKTEQ >CAK71637 pep:novel supercontig:GCA_000165425.1:CT868097:444695:446095:-1 gene:GSPATT00008185001 transcript:CAK71637 MQSKSALDYEGRVFRCFVVYDCNKQQVLISIKRHCGNLFTETILKVKEYVKQNLYDSQRN QVNIPGGSRCEWHGILDEKTNCYFLMMTFPGSHQSAILQSLLELQQIVLYVPNYQNLNES NFPPTKKNDLLAKLDELEQQYVKDIGPEGQPDETEKGIQQEQQRSTSNQFLVSTPQYLLL LKSLELIQLDNLHNNPRFRIYRCFMIYNVVGNKTLFCLKRGTSKSFDDTKGSIINQLKKQ LQETTKFEVFAQGNQRCEWHGILDEKKCKMQLIFKACYFLMMTFPSTTKKNVIEALEDLK KTFIQIPNYDAIQPNDLERRVKQDVQKQIDNLEIKYFNLNGNEGVASDNEQSEIRRDFIT SNQITQTTKLNPPSAIKESDSYNPPEIQESGKNLYDTLEINAKKELEELAKFKPMLQDAQ TYIYVGIGITFTLFLTLIIIIA >CAK71638 pep:novel supercontig:GCA_000165425.1:CT868097:446325:448026:-1 gene:GSPATT00008186001 transcript:CAK71638 MGSCQGTTQDIEQDLRMREIMSQFQVPMPYTDEYKIFQTLDWVEIIQHNSLPNFGLIYSK ATDSQNKLREFVRKWRTKSEQHNHPNLLSIHNISIIESMKLFIPYTEITLLIDQPIEPLS RHKKVIMKQQFTQNEILFLLDCVVSAFSFQQANKIYPSGFDIDDIVMVKTPFNVDVFKLV DRYQKPIRQNLFQEFISFYDDKQTLSEMKKTAFLSPAQIEAIPKKDKYLKHNRYKSDVFN FGLMIIYLIQAKKPEVYDWKRWTIDEFQLRKVQDDLITTNFNEQILHLIELMLKLDESER PDFIQLDEMVNKLFLDLKKHRLLEQHQPQEFFVNGYNKPSSFDNLLHQNIFQSKHPSGQA TSPIMIRSSQHLSKNQQAEQQRNKQQDMSQASIKDYGRTQETRNTFENAITQGKLQYSGG FYYIGQICNKRRHGQGTYYDSENEKVTEGMWVFDIPDGEVTFFNKPKQESEKSYKNLARK DWIQYKGQVKHGQKHGIGVLYFADGSKFMGVFSCDQAHGKGQFEYQNKECYAGTWQHDLY IS >CAK71639 pep:novel supercontig:GCA_000165425.1:CT868097:448856:449360:-1 gene:GSPATT00008187001 transcript:CAK71639 MNWGKCKPYLYMDECIPVIVLGPNFQLFLWAYIAYAIYGFIILILLYSRHLGFIRLSLSV VSYTSTLTNYFLAAFMNQGVSNYSYKYFIQPTKYCEICKQRQQKQTEHCDACGVCIEGHD HHCPWIGKCVGRHNKRYFYVFIFSMFVFQISSILMFTKIL >CAK71640 pep:novel supercontig:GCA_000165425.1:CT868097:449383:450170:1 gene:GSPATT00008188001 transcript:CAK71640 MKNYNYLFKYIIVGSTSVGKSCLLLQYVEQKFRNAYQVTMGVEFGTNLIKSNGHVIKLYI WDTAGQESFSSMIRSYYRNAIGCILVFDLTDRKSFESLVKWYNEVLSCTGNDIQIIIVGN KSDLQNNREVQEREALDLAKRFNGKYVEASALTGSNVTQIFETLTEQILQEIQSGKIDPQ NEIYGIKIGDFEKRRNSEFVPKTQRQSVQVSYPIQSQKWECC >CAK71641 pep:novel supercontig:GCA_000165425.1:CT868097:450322:451103:-1 gene:GSPATT00008189001 transcript:CAK71641 MLSLKELIPALTKDFTQGITRVDQHIYIHPKKEHKYTFIWMHGLEDVPESFLAGFNNPEL NPFDNQTTKVILLCAPVRPLTKNQGEMMTSWYDIMIPSWKQYWGIKSDKELWGVDQAIES RNFIWSLIDQEPVPKRNIFIGGFSQGCCMSLLAGLGYKESLGGILGNSGFLFPFTEINNK TPIQILHGEEDEVIPYQFAEKSLEPLVKIENEFHLIKLKGIEHAMMMENFKLMKEFVIKH LQ >CAK71642 pep:novel supercontig:GCA_000165425.1:CT868097:451127:451595:1 gene:GSPATT00008190001 transcript:CAK71642 MGTCSNRPEQKQISENLRLRAIMIFQKIDVQNKGYIDKERTLQFWKSNFAKINTDALFSQ VDYHKSGNITVQEWLAFWDIVKQQGYTEQEISDELDELQQGKAWVQFQKVEAFIRADTQR HKTQIDQIVQMEKKTLLKSKSFNQN >CAK71643 pep:novel supercontig:GCA_000165425.1:CT868097:451808:454664:-1 gene:GSPATT00008191001 transcript:CAK71643 MFSTEEFIRQYQNLANADKTISHQANQYILKLQQSNEAFTIAKELLDQPNIQQEYQFIAC QMIYRRLKEECNIAIQPYLLELLARPLPTVALNQVCSSLAVIIVGNRSLWEGILQELVVL MKAKMTIGIEILTQIAIQGKEWYKKSEQLRLQQEFITQENTLSEIFLNLLWVQDLSVFNQ TVYCIECWVQFSYNIFKDLKLTQQILSLLHQTLSTNATEYSDKLFALLNEGVIFSQYNRQ QNVNSIEAGVLQNLNLILEFIIKIYSPQLSKGYASFVTKFICDFYAIVFNSTYEQAVFNL MGNIVSNPSRKIAFQTFEFWSQMREYNKLQGFGLNILQTMIDKCRMKTIKLTKEFLLGEP EEEDADYNVKYYEGGQHFISTSDFREHSKDIFYSIYKSAEMNMQSDQYFQIVLTNLVISS PDSQMQIIQSESALFSLCSIIDEADIQINNQLILQIVQFILSLPVHQNFDIIVKTSLQMF SEFTNQLQLNSEILLQITQYFFKYMLHPLLGPLAGVAFEQICNLSTLNNVQLITESVKFL ELHFSEFINQSQVSNYIEGIIKLCYRLFTQGSTECLIQLFNFANHQFQQVKNPQVTEKEF NYNNTLVITILQWMNLNARENDQIKQLLDLLCQSIFEPLIVVLQGQQSCSLYDEFFKLIR IILKVSNYQNQQQVIHLLQISYQVFHSDPIQKHNWLQLITQAIGRSADHQFVAQWAKVND YQIHLFCIEQFKCWKDPDLMKVYVEYVKECIRCCQSVLFESPYLQKIIDVICDAFLTLNS YEMQREILYFFKILFQCVENSQEYFNPLVVKIIKTLFMSISDINPAIVFQVVQILTLVLQ KQVNPNELEELIYQTLQQSWGKDKPVQKVKLVSQAIISYLIKPEQGEVSRNLKLLLENLR PMSEEEINYMQLEIAIKRK >CAK71644 pep:novel supercontig:GCA_000165425.1:CT868097:455302:455727:1 gene:GSPATT00008192001 transcript:CAK71644 MGNACYNQPQNATQMHTQEEESLVKEGNLIVIQNMCPIIKKHEYQLENLNEGIGVGTITA IIIKPKDQSQVVEILRGIKNTNTEIRYNNRQDYNFGTPSSKNDSKRSTMGKCNSERHVHF QVPQMQIVKHEHKQLKYSVKK >CAK71645 pep:novel supercontig:GCA_000165425.1:CT868097:455948:456749:1 gene:GSPATT00008193001 transcript:CAK71645 MDQPQKEALQWDQIQDEESQPQQPEQKHYTSNKPKPKMQSRGEIERLIDECPDEEILLNI VKCHFDATLEEVKLAFPNFEFLKVENYNPGSFSLLFKNKEQAKEFLFTTKETKIKDRGFW IKFPPRFQKSSQKLPDNLNKQNPLPQTGTKFVDAPQKNRDQYNRKEHDGKQFNRSDGQKN YKKKDDEDDGWETVMPHRMPKPYKPYRKPQQQQQQQQGVKKN >CAK71646 pep:novel supercontig:GCA_000165425.1:CT868097:456756:460432:1 gene:GSPATT00008194001 transcript:CAK71646 MGVPNFYSWLSKRYPMCKYPFTKHHGHQIDFLYLDMNQVIYKCATNQTILKDYMIEKSIE SLWTSILNYIDTIINLVDPQLVIYLTFDGVAPRAKANQQRQRRFASSKGDAKIKQLLKQL DLEQQNHTFKNNQITAGTEFMYELNEQVKFFINRKFKEDEKYANLQVIFSGSDVPGEGEH KILEFMRGIKNQQNFNPDWTHCIYSADADLIMLGLGIHLKYVSIIREQSNMDQIKTQIAC KRSIETVKFELINLQIVKEYLDLEYKTIQMKIPYNIDRVIDDFLLLFFLIGNDFLPRVYC FDIKQGTVELLIDTFKSFLEQCDEYVTQDGWINWKSMSLLVQVLSKFEIKAIEKRVEELK KQIKDTDNPEYQDYKIQWESELKILDALNIQFSNNNSVVARKLFYESKCQIDIDTEEGKK ELDKIILKYLEGFQFVLSYYYHGVPSWEWYYSYYYSPMCFDLCVYLSKNQIEKIQFGQSK PYDPFQQLLLILPPHNANLLPAPFRGLYDLESPLRKPFDYYPDEYEIDPFGAIWEHQFIC KVPFMNSDLLISEYKKIDQSQLNELERERSKFGHAYLFVQTKIKGDSIQSKLPKIFKNKK YRTEQIQLNGNIHQDAQEVLSRIKNQKVKQNELPILPSLHVLKINKTWLQNKKDKHKNQR VLKVELASKNLDFLDKIESASDQTQTFDCYCGYPQNYYGEVALVVSKKSFLFLKNYQLKN MQILSEFRDDILDEVKNDIYDHLKYTMQTRLENQGIFLESINAIAVVYFYKEIIRNPDRT LGYNMLNVREEVMPLEWISDQKIVELVDYRLENQIDLINQKVIVVNNDKNQICGSIGCVE KKINQDQYIVKILRQPILQTDNNKIKVQKFFDIGFVSEKLNIPPEIIHYILGCIKIFIGD KKKQTDIIVQQIDIGFNAVHKGKNQLVPELLRINEAQFDSEGYEKFKQFEKAQLSETLVT DLEEYAKRLPELIKFLKSVGKEKLRSLQQIKITDIFKDDVQAGINTILKHYTWLLMLPTS QYTLCNVASSTYSSQTIKALEESKQSQKVQRTLFTHKFWLLNQEKFYVPPLMTEHPKIHK LGDRVVALNNNYTNFGAYGVVVGVIDNGEIMIEVLWDKPRFGQTDLGGRCSPLRGSLVRF LDIFNITQQWQEQLNTYSGGAKGHQVESWTYKQKVFVPSHEKPDYKKKKQHQPKQGQTQ >CAK71647 pep:novel supercontig:GCA_000165425.1:CT868097:460576:461801:-1 gene:GSPATT00008195001 transcript:CAK71647 MDNQELTQKLNQLNNENENTESSQSSRVLLLIFDAIENFEYKYYKIAGCLYVIIVSAVLT FILTMRDKHFSTLPNLELIFISVLLMFCANFKMISQSNILPYIQENGLNWKVKHASLSLI IALVLLICSLKQNDRFVSVFFFVSVWPLNYVYENLRYKQELRKELLLPLCGIFIGAAFST ETLQKLASNPEALQLNGIIYSIVGGLFLCYSADCFKKVDSENLFTITHVIGLMTVIFVPL FFPIEGLLQPGLMEWIFFAIVGVLLIVGLPIMIRTFAIETATIILAQLGWCFPFYIFFKF LFGLQIPSFGQLCGIGVQSLCSILIIKYLNQQENKTQKLLQKGQSEANKVVELQTLTQQA >CAK71648 pep:novel supercontig:GCA_000165425.1:CT868097:461967:464339:-1 gene:GSPATT00008196001 transcript:CAK71648 MQDIEIFVRNKTIKYCLEFIDLFKELQSNDQIRFFEEVSKRLEEKGEIGEISAQLILLLL ATDAKNRDQEIADKLLELTIETNNYKIDYDRIQSLIDKLSDNNKIIDKQGILHSFILRLI QLSNSCIDVKETKQQINQIENVLKLIQIIINQKNQAQQQTFIKQIDNIMQITSYFLNKQE EFLDTPFVNILNGLFKILVFCLEDLNSTGRRNYDKIPFQLTKKLTNQLDYLIIFIEKIIY KIHPKTPFVKTIFTLSTLLDLRYTKKYENIYSKFEIVMKLILVKAEENPVSFQFGLIGFC QIFEYFEYFITKTTKDIESFLESMQTLYNSLLEFSKEKAAQEEIIFTLSKMWEKLPQLMF ESSEFLKENLKENTFQEPIIIAIYQIFYESILEANSQAQKQQFSQIVDKSQLAFMIKDIF NVVFQQHILHPNKNIRVLVLKLIELLFDNQLSIALQFGDYLIVALMNDADIAEPILKKCI EVRPEHMLLQFPKGIKLMIQNYASLKPYFTGLDNLLKNYTISNNQVLCHSIYYDVCAQKF SDFLLYLDESYFLNKIDSRTYFNQAQFILYQIIHLQYLSKQEIKLILKALLNRFDDFLSR IEKKLQQLSVEKDYQIIKAILLKLFYAYQLVVKPEQIFGESSRTLRQIYQMKVIKRKSQK RLSKKIEKEILCFEICPQHINKFNQYVNSSEMNLQIQDSDLSDSEQDKRQSVGKENKYKQ ELELIDELLDFELGDSQSMFKLFDELGIFEEVEERAETKLQEEVVEEPEEEAQQPKKSRR KTTKRRPRKY >CAK71649 pep:novel supercontig:GCA_000165425.1:CT868097:464444:466000:-1 gene:GSPATT00008197001 transcript:CAK71649 MQNIDKHINFLCSKIKGDEGVKYPKENIEYSSEAQQYFKEKFPLVLSKMKFKLQKNQFQE LTNDEDFLLTYMKLYKLDSSHQIFNNALQCFISHINNPEILSFVMDEISKEYQFNEDWAE ETVPKLIEYIMKEYHVSDMIEIIVFILKNTNFNLELILKHITTTLKLAKPKKSYQKIDDM HPLLNLITKIVSVFSPSLEQAQQTYNLILAAYRHFFRDLLRNYVAQSQASAVGEFLIQFS TQLPEKIDSIFCATQLITLLVNEIANTISYLQYEKKLMSQQKLKLLELVINLYNADRLYM INFYFNFYDIKQLPDGDCYLCQYKDQEMYQLIEIETEKKEKLIECCKGCFCTQLQLSNNY EDQVYLPTITYGHACKTKCNWMKLYYENYLITQSVNSGKQNKKSKSISIQKLDEIAQSLE QMCIDYSRLSDIHMYLWYFSYMSLLFQQLFQTYQNIELILLAFFEDNSIQVRAKVLHQFE NYCLKVVKMQIPKNYCNCYFKYQNSVYLILRIQSKGQN >CAK71650 pep:novel supercontig:GCA_000165425.1:CT868097:466405:467042:-1 gene:GSPATT00008198001 transcript:CAK71650 MAKQLPNSSRDRMTLIDLLFDECYQKQKTLPQNVPKINMKPSLKKSAPIIQSLEYKIQAK ESSIKQKDKASNVYSINELIIQNPQKQLKEYQQVQNSQNKLLELLKPINFKKQKKKENFR LHNSENCSLNSYKLPNVSSRYINQNVSNSNSYRIQIRQSVQDIIKCKICFTYIDYDNYKL FCKHSYHKDCLREIILFELYQLQ >CAK71651 pep:novel supercontig:GCA_000165425.1:CT868097:467232:468101:-1 gene:GSPATT00008199001 transcript:CAK71651 MGCFESRNKSKEVSNQNTEQPQTHEDVKIDIQNKQDLENNTPKDIQKQIVIEPSISIDDD NSSNQPMKHFDEQIRNAFLKKQAKPKIQKKNIHRDLSPKRNQEQKLTDLKRYSSQKCIEG YQISKNKLLHQKYRQINRNRMQNRINKHIQEQQQTNLQNNQIQINQQMIIEPLEEQEVAG QYSRKNSESQCSLLEIDIICYICQTKIQTNIFQPDCLHHYHQNCLYELITQQISKKQLQI NCICNKVIPTKFIAFVLKQKVESDHQNSAQDYLETYFKNQYDFLTQNLF >CAK71652 pep:novel supercontig:GCA_000165425.1:CT868097:468330:469622:-1 gene:GSPATT00008200001 transcript:CAK71652 METFDNEKWIDLQAQIDDQNQIIKHQQDEINQYKQVISSYKTQINQMENRMNQFTQAEAL LRDANHRNDILIAEIERLNVIVFQQGNEVEEWKNKAQRLDLALQEYKQFELSNRDMVLKA TRLAEEVERLKDLLTKKQLDYQQLQIELSQTQQELEDERNKVKLLEDRLAELESETPTEK ALKQILIQKTEIIRLQQIIQSLNNKIQLQQQEIDELNTKYNNQLRANDDLRTSAEANEKK AKKAELDLQKALEEIEKLKKQLADAQNAKQAVQAAPQGVDQKKVDQMQDLIYSLQKQLRE TEDNLQDTLNKLRDAENRIKQLERQLKDLEAQNQLAQDRLKAQQLLQSQMSNANNNIKQQ QVNASDIIADYERQIQELLKELEKLRNAKPFDDRMLKRQIQDLQSLIVLMCAEIEALRAK IR >CAK71653 pep:novel supercontig:GCA_000165425.1:CT868097:469675:470325:-1 gene:GSPATT00008201001 transcript:CAK71653 MEQPEQIPEDPKMLLLTMELNRMAYERQIIKSKEELSKNNNNTSNKDNHQIKEYENQIKK LKEALEVKDKKLEQLTQELNQERQKNEHLQKQLNSASKQFQQPKDVKSQPQLDPKTIQQL LSKQQNTKPQVQTVMQNVPIKGNPTIKQYPSHQQQQTPYIQQHQQQQQQPQQQQSSQSKH IGNQSKQVYQSQPLQYPQQQQPMYIQQTHLNYQRRP >CAK71654 pep:novel supercontig:GCA_000165425.1:CT868097:471237:471795:-1 gene:GSPATT00008202001 transcript:CAK71654 MSSQEQEIDKELDQEQENGGDQEDQEEQDRTLSGKKKQPKKYERITEGLSNRKPGQKFTP EEDRLILELVQSIGPKFQKIHKHFPGKTLAMVKNRYYKYLRYRWEVLDQQNNITEQNKET NKQNHHSNESYEILCEKQKKISSLLHQERNDLVNSIAQRASTPNAKIFVEYLIDQML >CAK71655 pep:novel supercontig:GCA_000165425.1:CT868097:471945:472225:-1 gene:GSPATT00008203001 transcript:CAK71655 MNSLQLSEFSNRVFDRYDKNHSGFIEKDELNTLLYNLAMEMNSQQPTKKEIDYMLSYLDT NNDSKISRTEFQRLGELMVKVLGNK >CAK71656 pep:novel supercontig:GCA_000165425.1:CT868097:472292:473459:-1 gene:GSPATT00008204001 transcript:CAK71656 MSEQFQGRSSHGQLEKKFEPTIENPKHTQQTRNINVTGVNRDSKTIHYPKQPKQIQIIKV PQRCGSNQTVCSVQGFSTLTYDDIRPKEKEESVINKIMRLFTREVDPCKCLPYQNNTCIK AKEWLGNYDTEKLKSKYSNLLESKCEPKIIKQINLDVERTHPLKNYPQKLSDLQQVLSAY SKYNKIVGYMQGMNFVAAGLIYHSDNYVAFELLRKLMDQLQMNDLYSPMSPGLSKHIQLI DYLILTKMPDLYQHFCINGVKVDMFCASWLFSLFGMMIPIQKQVKLFDCIFRYGWTYIYQ LIIGFLLYHQEILMSEDMTGIICILSQQNYRIDDNELEVDWDELLDASLNVKISKTFIQE MHMRFDTKIQTFKI >CAK71657 pep:novel supercontig:GCA_000165425.1:CT868097:473555:474522:1 gene:GSPATT00008205001 transcript:CAK71657 MYSVVRGETGAWGIEGYEVPKKYCDPLKQVQEREFFAGKLKKPPTITKRGHFLDDIAKPF RNRKVPVQYNVEYKWVNEKDKERKRSKSELLKRNTFIDDIFLQNTKQNYPKPGPGKYDEN RSIENQAKKWNGKAKIQYGDKPDFVQDYQHLGAVLPAGPGSYNPHPILPKLKHNNTNPKV MIAKHKDFDKFKLEMIKNYPKPDCTKYKPYPVDYNTFGRSLELLYDKKKIEPAKIKYWGN PSKPVTRNKSEAKIPPQYNLIAYWPGKDDGSAKNKEQKKFNWMNKVTKGIQSSIYY >CAK71658 pep:novel supercontig:GCA_000165425.1:CT868097:475324:475796:1 gene:GSPATT00008207001 transcript:CAK71658 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGGMEPTIAAIAKKYNVEKKICRVCYARLPPKAHNCRKRKCGHSNQ LRIKKKPKD >CAK71659 pep:novel supercontig:GCA_000165425.1:CT868097:475808:476190:1 gene:GSPATT00008208001 transcript:CAK71659 MNPPQQTNPEYFPPPTYYCNKSGHQLTWKSSSGHPCAECKNKNCRSRYWCQQCSEAFCLQ CMPPPLFGIMCGAGHPMKLSIVPHHVCDYCSETIQNQAHRCQICDFDVCPNCLLQKED >CAK71660 pep:novel supercontig:GCA_000165425.1:CT868097:476325:476751:1 gene:GSPATT00008209001 transcript:CAK71660 MNKLYIESIKVSAELIPIDIYFLLKIGEHCQFSNILSLQQQSQTCNMCIQYQAREKQPIS IECWAYDQHLVPKAFFGKETLTNTTRKITLDGMEIQIRQSQQERSRTPEIAMKRDASPFG RRDLTPKREKK >CAK71661 pep:novel supercontig:GCA_000165425.1:CT868097:476816:478397:1 gene:GSPATT00008210001 transcript:CAK71661 MSVYRDESILKGLLRGKTIEQGAELHLFILVEQNVRKIIQEAIKYQRHFRKKQLNSQDIE LAIKDQNLLKSEIYGFQYMDSINLSKRMDEYVLNDQSLDLRDLISHQMRTVKIPLGFPSL SIFNVMKEYQMINSQETQSIMQYKDIMQTESFQNVESKKTFNIIKDNVMSILTVHQQSIV KNFKDLFEKEVISLKFNFSQEFVQLLSDLESYKDVAQIVPFIVQYLYSQQDQVQLFYYKH RCVIIECLNRLIINNQINLEFQLHQILKILVKFLTAKIIEINIKSQIELQIKTARCLNYL LDKFNLKYQALRQNIDRVILEKFERVKSKIEQKCSHKSLLKAYSIVQYFIEQNVSVQHLK FIEQMSELIRKMEQGRKSITELNPDFDHIAGLICLSLGTILIKIINGLEYLQPNYENQFY HIINNTRQLMQEMGLYEMLIMQYMKRLQCNSMFQEHMIL >CAK71662 pep:novel supercontig:GCA_000165425.1:CT868097:478456:479296:1 gene:GSPATT00008211001 transcript:CAK71662 MSRRYDQKTNTFTPDGRLKQVEYAIEAINQTGSALGVLTNDGMILATEKQEVSHLLEHSK HSEKIYPIDRHIFSVVSGHTADANILINYAREAAANHRYQFQDNIGLEQLIINICDYKQN KTQVGGQRPFGTAFLFAGYDKENGFQLFSTDPSGNYAGWKATAIGKNNLAANSYLKQDYK DNLTLEQGLDIAIKALVKTMDTSSPQPSKIEIVVISKLGKEVKSKTYNEKEVLSLLQKNG FSNEQMQQE >CAK71663 pep:novel supercontig:GCA_000165425.1:CT868097:479307:480236:-1 gene:GSPATT00008212001 transcript:CAK71663 MNQGEGEVTEHDQHEKVVKYIVKNTPFGQANLVIKDLCKIIGNINPQHAIMLQALRDHNE EHLALIKGQNTQILTSVLNSQEDFYVDQKNNETIQVDHEKLEIISRSQVELPSDPELNVL RDALEQQLNLYLSQQFNEKVCGAYVMYQGDASDYTFYIGITAKTINLPNFFCGSWISTWE LTKTQLKGDLKVQAHYYEDGNVQLKNVNAFSEGINVDLSTASESAKQIVHTIAKLENKQL AGMDNLYNSMPDFFFKAMRRPLPITGTKMNWNENVHKLVANLKQ >CAK71664 pep:novel supercontig:GCA_000165425.1:CT868097:480516:482904:-1 gene:GSPATT00008213001 transcript:CAK71664 MSLKRRDFIKLMKSMNIDPTTFSEEDIRQFEQGQVIMSDSESDSMRDSVSIADIENFQQK RHNRGGDTHDTVNMKNVLQLIQQQNYSSVVGMQEKQIAFLNAEIKRIQAANDADRQRIFA NHRIETDKLLSEIKQLKSKMIYQESQLPGIREALAQVRDMLAGLVPEVVYLRLRDMNEKE MPIQDWVLVQVWEIVYPFKKEGEFQKKEILALREELRQITEKHQFLLNDLEHGQKMMVDR EEDIRRHKLNYDNARKALELELIKSQEELALLREKGARFDELSRDYKRLEQEKFLLEEKA GFYQNNQAGDKARDQYKAQDDAKRKGDLLQQDKEYLTKENIQLLEKVKRLEDKLDRTEKE YLEAKNQAQEYLFQLLNSKTDQTQAYEKRIYSEIADLKEKHHHELEIAKQNLVDIYETQI KFLKDAKEEIQLRKDNLEGQVKEKSILYDHLLIDYRNLQRKIDGDLSEQRIQLRLKVEEL DRVQNIYEDTLANLKAMKYENEMLREKINVLKAEYYKCQVEAKEQMSGINAQLQVAKEQL KNYEGIEREIDDAIMKSAGNEYDAMNPLLGFMGTVPTSSKRRIQQALNLAQRLQAKQRES EELQIKLREKQQEIEKLQEETKLQRDVLDKTHQPHSYLVAHIEERDREILKYKSLLKKYD QDYQILKAENDDIANRFMRAEEDLQRLRIKRQNLQNIQNILIGIATGSQNDMRQNLRSAI NEMSEALNTKTYIDPQSAMQKKSKKLDLFLDVAQNNQQKQKEQEGPSWYSKLKQKQKR >CAK71665 pep:novel supercontig:GCA_000165425.1:CT868097:483295:485974:1 gene:GSPATT00008214001 transcript:CAK71665 MSQTRTSSKHKRKSETRFQQQINSLLKNVSHPPSPLSKQWIKDREGEQKSLFEEAKQCEF NHKNQTLTQLTDIGKKLSRMKKKFRTFITDDNRKTPVSENKSRKSTKSKKSQSKKGKSSR SQSKTQLKSLEQSRSKSSKKLKKSLKSSNLQNTPKLIQKTFETKLGSFSKEIQQQRDSSR RNVRIQDSRNSKNTVGRTSSSSRKVGSSKKKIKNQRIESPYEESSSFTDSSDTKEIMKLK MNPSTAQSRYEVFMDNDKNIKEFETGQFNKEENHLLTAKLESVTKEKLLKEYRILYYRSK EVKNLLTEYYEQNLRLTQEINELQQIIQDKQLENKQARKEVKSLTKEMKIMQSEYSTKLD QLGKSYQQQEMNSVPLNNFERLQQENEKVLIENEMLRKDYKAICLKIQQLENGVYLKRQY EIPEQEISQRFQRELESVKDQTDRIKEENMKLKFQLDREQISNRELSQQIQQKKNELDGN TEQKQYEIQSLKSHLQTANTKIQKLELQLTQMKYQLNSEQEQSFQIREKILRQEEELRIS NLKIENREKEINEQRSKELILKKKILDLESKIQNQTQLPQQNFEPIITFNKPKNSESIIN KELQVERQILAKTQEQLLSIQGENDQLKRNLKQIEFELQFERQQNEQIKLKFSQLQQEKE FTFKRAQKQFEETESNRFKEFQFTEQQYKNQENQLLSAQQRISELENELRTYHNKEQEYK DLINDQQIQIEKQQLKLEQNSTNLSELSNQLKTVENANKILDENNRQLKIQTQQLIKDLE QTDKQKDNYKNKYLNNVYENKKILDQYHEKENNLKQTYTNELEKVKCQKEQLEKVKNQEE SQRQQKDKKLKVISELQQMIKGHKMAFDK >CAK71666 pep:novel supercontig:GCA_000165425.1:CT868097:486029:486750:-1 gene:GSPATT00008215001 transcript:CAK71666 MKQEKSKSKPKDQREEILPVNKIIQKCEQTLEITQFEQQELKKMFSIVSDKMHQVNLKIQ TFEREERLLRRENDEWEFYQKEKTSLLKQLTLLIDLISGKDQSFEIDISTNPAYKEIQTF PIHKKQQGEDLVKTIQNDETSIIQLQGLLQEIEETSKLLRQSGAFWNCVRCNVTLKEGFN EETCVFHPGKLKYFSCRTCGGDEYFTCCNQCRDCNPGCKKGLHKP >CAK71667 pep:novel supercontig:GCA_000165425.1:CT868097:486819:488496:1 gene:GSPATT00008216001 transcript:CAK71667 MKSSDHQQQNSVGWLLNLVGKFSPKDLEKSNLKEIGEEYMKKILLYPQLQSGNVFSYNQQ KQEFSEKLFHIYPNILICQHDKIHTHLILSNCSIQKELIQYKEAKTYGLIISNNLGNTYL FFSQFIQYRNWYKLMKQYCKLNDFFGKYKLTDRMLPGIYQCYKKSNNAQFTVQIYKMEDF EQWPEIEEVVHNEIQMLRSIKHSNLLQLRRVYEDDSYLFILYEHFKGESLYNLILTNPLH EVQIASIVYQILQLLKFLDKHQFYHGNLNPLNIIINSNNQLLQIFLINLSFKQYRINDKL DWILNRQIEGFIAPEFYNGVPPNITSDLYSLGVLLYFMTFPTQLPSEKHFDKYEIDTTKI QRLLQKKLSQIPNDATSPLDKSIEKNISLSELDLLSKLLETIPKQRITISDGMKHHWFVN IKSKIKQLNVVKKKKVELPSLRTIIELRSQSELDLKVQSQLSNNRRQSRLAPIQVDDFDV VPDEGHPLEQVADLDFCQLLRSSTQLRKMQTLSNKSIG >CAK71668 pep:novel supercontig:GCA_000165425.1:CT868097:488557:489195:-1 gene:GSPATT00008217001 transcript:CAK71668 MYKIKPTLSGRIASFSLVGLGFISMDYITSFIDNSYRSKYSEQFQQIQDEYDISDPFKIN YFRNFTYGFMSTNFNSLLHVKLNQFLGQRQYSPKFKLVISPLAPIPYYLFAGLLENKPMG IIFSNLLNDLKILIPFRIILDYLRINNFFFQKPQSGSSLVPNKALFVCYVTAKMMNSSLL ICMYDKKIIF >CAK71669 pep:novel supercontig:GCA_000165425.1:CT868097:489265:492069:-1 gene:GSPATT00008218001 transcript:CAK71669 MILEKIWPAFITLVKIYAIIGLVYIIIYNSLYFQSFNRQLTTYYFYICFVGVLLLVYVVR NIIAHLKEQHRLTLINLTFILIETSFQLFLTEIFLSNESDGKILTICQMFINLLLILGIV RTIKLRIVLLLKFYIYFAIRNITFHFKSLHSLNIIIFIIMILYFWDQQLISLSKVSQFAD QTLRSIPSAVCVLDSYSKDVLFTNSFTKKMIHQLNNGGLTGTKSLNVFQNQNLPSQESFI TFSLNEIIQFFQGLYLNQTQLQEQFNVESQQKFEELKEINYLNQINLNQALDIINNVDCL SNGNIYQLQCQYENNQTSEYPVMIEVRIKTRLQYGMNKNAILLNFYDISPNFKSSYYKNL NRFKSQVIRSISHELRTRLNVIQGFLEVIQYKSQLFDKETNKLIRAAFNNCRIQNLIINS IIDYNLIREQKLVTKIQKCKLIQVVQETIDLFQEEADLKDVKIIFFKLINQTQYEMLDYE KFQSILIHIISNSLKFNRSNGQIFITLSKDSQDKHLQNSKEYEKKLIQPSNPSQLFKSSL DINESSFHFPLRKNTNFRQYINPSSQFSNNNQQTNTNNYDYYLLEIKDNGIGINQQKLEA IQNLLKNEEEFFEEQNSDAASGIMLGLRASNTLIKFLGGKEEENYITIDSIVNQGTTVCI HLKCRIAQLTEFMASDLYNKEGSQIQVEGEVAEFDTFSHKYNAWTDPEISSRTNIFHKVK RSPQLNLNFQNSSISNNVKKGSNQLYSGGTSSIHRNSGGSRILFQSAPCNCDQKIVIVDD EPYNLLVLESLLKQLGFQSIKADNGQQCVDLIEKSISQFEDHKCQGYKAIIMDYQMPIMN GQEATKQLQFLFREQPKFQIPIFGLTGFSGEDDLINLLSAGMKEVYIKPITLKNLEEIIS SIQLIENKETSHFSSKQLHCLELDYDDEEKFVNE >CAK71670 pep:novel supercontig:GCA_000165425.1:CT868097:492516:493381:-1 gene:GSPATT00008219001 transcript:CAK71670 MIQDQYLQLLKDNSLSNQIIDAYLIQKHNLISYFANIIVYGPIALLNAEQICSTKLSQQY VTVLRKNYETLLCLNEQHDDKKLISQTQDSFKTYFQEQILDYQYLNFLSDLKDNPYIKNL TQDQWLDQIQEFIEISTIQEINQTQILKEQFQSGYQTKERKRFEYLYFLVFSIMMVMKRE LHNKAKKMNKIRTRYVEQNQFQYQKSKKDKDVQNEEGDEEGYEASNSDHKTKQTKMSRRR RKQANNQEFDTDRENKQKV >CAK71671 pep:novel supercontig:GCA_000165425.1:CT868097:493430:494091:-1 gene:GSPATT00008220001 transcript:CAK71671 MNNSRRSLNADLNSYVPSQPTWTQKCLKNAKRISFNDQSDSDKRGSMSTVATLTTMSTDF YVQDDDPIEEMTSSSDESQSHTKFKTEMCKNWSLLGRCNYSNKCQFAHGENEKITRQSNM KYKSKLCRSFHQEYVCFYGARCQFIHESRSVEQIKKDCKSQTVFYQPSSFSLRLKSLQSI TNGWKQQIPLKECLQLWKTKLLIQINLSSSSE >CAK71672 pep:novel supercontig:GCA_000165425.1:CT868097:494264:495416:1 gene:GSPATT00008221001 transcript:CAK71672 MIVRMHFNAIKMAYAISNKLAANKINKLSSRIKQKQSVEDVHNLQDFLTTQIQENKLYNT IHRTSKYDDGFDEFLTEYKKKHKDILDLTHYAASPLNKTNQLREEEFRQLQSFLDKRKRQ LKKEIIIDQSQLESDPANDKFVEKKLGFQYPKNVNRSGFKIKDQFFCILIDRDTNTQVTT LQRINSFRQLIFIGNGNGVIGYGKGKGKDFETALRRAKVDAQRNLVPISLDLWHTCPIPL TGRFNDAEIEINPRPLGFNAYGNPQIASMLMLTGIHHCSFKVRSRNQLPYSLLYAFMQAV TNNATAEEVSEASGMKIYRQNWGKKIGRTAPLTFDGI >CAK71673 pep:novel supercontig:GCA_000165425.1:CT868097:495895:497384:1 gene:GSPATT00008222001 transcript:CAK71673 MNEQFNQAHYDIKQQITHYEHDLDHFWTTLTGAVVLIMQLGFAFLEGGCVRYRNIQSIII KVYANTAISIIMMWIVGYGLMMGKTNDSQFNGISGFSGFRFKDHPESYADFVFNTALAAA ANSIVSGGAAERMSIPGYIALSVLFSGFIYPICIHWAYKGWLYDLGYHDFAGSGAIHLTA GIGALVVTFMLRPRTNRFNPQFESQFKPSNTTFICLSCLTLYMAWMCFNSGSTLALSDGS VFTVGNSIANTMVGGASGGLFVFFYHYFTNRNTDSRFSLVMVCNGNLAGLVAVTGSNDEI EQWAAFVIGIIGGIIYVLVAKILHKLQIDDPVDAIPIHAGCGLAGAMCPGWFDRRRGIYY EWGAYQWGVQVLGCVCFVAWSVAWHYVACKILERLNLLRVHNRIEMEGLDPTICGGHAFF YEPVREQEMKEPYSFQRDIQQLEQKSISFQIS >CAK71674 pep:novel supercontig:GCA_000165425.1:CT868097:497415:499401:-1 gene:GSPATT00008223001 transcript:CAK71674 MTFFDLLWDGKGMTYDHSFAMEVAKVVVLVSMGIFVVNVILSVIVSRNKWGRLILLIHFL RQKKNVRKFILLILRKTIVGNYSGINQYSPLALALVSYAVFNLIPSWVCGLLIFLLGMFG ILVQIWRHSNFWKSKSFKKRCTNAAQYFKYLLAFEVTTVVFFSIFLPLAFQKGCLCVYNK AYGVDTFLDLQFSSKWTRSNWLPDEVCPKGTLCHIYATLPEETSSEVFINVHSGTDIEEL NAQFKFQDSKINTKCDKIPFPSSVEERGQRNVFTCLLTGLKSYTLYDVDLIYKEKVLNST KYRTIAEQYSDDDVVILFGGDWGYQKKGLMIVDQITKVNPDAILIGGDIAYDNGNVHCYY SFDLLLSVFETQFTKVGRLIPFIFSVGNHDVGFNDYAKYNITVSDERGPLYFTYFPQRKS ANNTVLPIKHRVTFNIQKMQNLLLFGLDSGYLYPHNGTQSNWMISILQNEAYAQLNKFAH YHVPIYPTCYNNNSFMNSWDNLLQARAVWVPLFDTYEFVGAFENHVHQYKRTYPLKANKK SDKGTVYFGDGAMGIRTVNCEEESKTYLPGRPFDDIFETVITNNSDHFWVLKSYGKNQTI DFNAFQPDYTFIQNSTYVVNARERKIKIDL >CAK71675 pep:novel supercontig:GCA_000165425.1:CT868097:499753:501361:1 gene:GSPATT00008224001 transcript:CAK71675 MQCWIHEDYCTYLTYNKISITNSNINKLILEDECSNFEEKTTDYIILINELFAKKMLDDH QNIQQKIQMDIDNLQLFEIIERISDSKEILTQEEIEQIYLNFNKYKLDIIEKQYQNVQAF IQTHYTDFLQQSVIQQPQYPQELRYENYGNNLEILKSIEKYIKIQETDETKLHNLQRGLY HLRLKKGKKMFIILEITSKKKQNAYIINYFQHYKEASQIIHKLQNNFNCYYIRTIKLQKA QEAYLICKHLKLKNEFQQKQNQIIEIYRAESFQQINLEIENIMKFIFQTQQMIRNFMSKI INNSKDVNQIYTLNFVKSLREKKALEITLIERIQFNQNIKSIQYQPSMLGAILEDQNKIT QGVVLYFNRRIILFFLNNLQYNYQQELEKEPNKFVKIINTKVKNIEAEAAQFYITLLLKN QTKNVARDIKSFLEQKTTAWVSILKFLEEKK >CAK71676 pep:novel supercontig:GCA_000165425.1:CT868097:501384:502545:1 gene:GSPATT00008225001 transcript:CAK71676 MHNNNSFFQNDISQQQIDQALLRLNALLKDEPPKQEKQKFKKPLLINQEDASSIVSSQPN YTYQTKMTSEQINHFVDRMNDKFKQTQNKIIELRKDKIYSPIKVNEKSQSILKQKIQNGE YQSLYERAKIRQIEKQEKIKENQRLKLLDEMMSMKTKCSESKSVDNFLQKMDYWQEKKTQ YIQNQQQEKIEKELEGVTFKPNLNPLSTKIAKQQNKDSDLLERFQKSNQNKQLNEQRLLK DELKKTPFTPKLNKNSILINNKVQKQQQFKNHNYFLNQQALNQSKDSSLINFNSTVQKQQ LSNDAFHSKQSKSLTPDNVRSRYSTEKIKDDNSIKKRSTTPLKQNKIIPNVQEVKYNPNL KFLMTLAQKDLNYSSLF >CAK71677 pep:novel supercontig:GCA_000165425.1:CT868097:502763:503584:-1 gene:GSPATT00008226001 transcript:CAK71677 MDNQPLEEMSLEDIRKQINEQSFLGKFIIQDVEDIIPFVCIVYNNKYPGFYFESIYNHFI RYSNKARSSLWLSFNDQPIQWHIPFFVQIDRINEEVEMVVHFKKRPENVLPISENIQVDI KNRYFWNLKQACMIRYGHKWERQIFQTITIEQQYKMFQNYSDHNYRPQFYEFFDKIWDLT MLKKEQQLSIPIRIFIDGNMIQRSHVLKDAKETLQNVLQPIFEDSLNYNELNVNVLGLQV NLDFPVRLLVSTFINPDGFCYIIIK >CAK71678 pep:novel supercontig:GCA_000165425.1:CT868097:503969:504726:1 gene:GSPATT00008227001 transcript:CAK71678 MVKNSAQDPQDNSLQMKTRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIKFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK71679 pep:novel supercontig:GCA_000165425.1:CT868097:504802:506081:-1 gene:GSPATT00008228001 transcript:CAK71679 MYCIREHLLFDRSYIIYLKQDSIDIGESTQKIKYVIPMNSQTMIDWKVNEENQKLVAFAI FWNGQERYFYADENVLLRVKEYMDGKVIYYNMDLFFEAIKKPESKQQSSKIQLIKRIDHE QIFALKEMKKLKKNSQQLFQNEVESLRQLKHKNIVKLIQIFESDSTYQIVLQYLRGGSLS QCLKYCKLNSQEVIIILHQILEGINHIHKNGFVHRDIKPENILFYDLGQFSQLYIIDFAS AGKLQELDKDKDMKFGTPGYMAPELFDNSQKVSEKVDIFACGAILYQMLTGLKLIIGSNQ KEIMENNKNFTVTTQILQKIKSNDYQNLIAQMLEENPQNRISASEALNYLNLMKIQTFTG SLSIQIHHNQEPVQKLPNFKKLINKQ >CAK71680 pep:novel supercontig:GCA_000165425.1:CT868097:506541:508084:-1 gene:GSPATT00008229001 transcript:CAK71680 MNNFVLFLALLSTSFALQVLADDADKVDQKELNRLFNINYNGLVYSGYLKANTEGTAQFH YMFYPAPEDPLKKPVILWLNGGPGCSSLQGAFNENGPFVFKAGTSEFEMNKYSWTNFANM LYIESPITVGFSYGPQGEQSDESTAKYNINALVDFFNRFTEFKKLPFFISGESYAGIYIP TLANEIIDYNAGKAADSRINLQGLAIGNGCTDPTECTDEADPFQIHVYKFYGRHNFISQE LYEKILAVQNECYGSQDGICKELADRVEVEVSGTKEDNIKFNPYNIYGYCFTYTPEGSTM SQKFGGMRSLKEDSDIPPCADVQGLYHHLRSAEVRALLKIRTESAKWAVCSRTLGQYNVN PLGSYYLYPKILKNQIRILKFSGDVDAVVPLTGTMFWVDKLQKELQLATLKPWRPWFVPP MRDVDPDQNAGYVMDMDGLTLLTIRNAGHMVPLDKRLESEIFMVKFIKDEYFP >CAK71681 pep:novel supercontig:GCA_000165425.1:CT868097:508158:509022:1 gene:GSPATT00008230001 transcript:CAK71681 MGNDALSSCTKKQKSSLVQRESNITISRQFNSTMCSILQGDIYCQWIEYSKFVHMNLQIL QSLKHLEISILIIDRVMRSEQVYSMFDLVHVGDVILTERQNLFLLHAKLPMYSEIKDLQK VYSTYEKCLEIADENILSEITFTEQNALNFAIPKQYNAECLIRCIINYISLNPQTNLKQI NFLSNDYTTINIFKLELDNILEEMKVPNLAEKNRKIFFEFLNSTNCEEAPYFLSTGLTTS FAHLTEKSAE >CAK71682 pep:novel supercontig:GCA_000165425.1:CT868097:509106:510739:-1 gene:GSPATT00008231001 transcript:CAK71682 MGLCSGKTNSSKNNKSQSESDVNKKQLFQKIQNVSSKQQSPPGSHFQRNNRFGNLSQPNS AQHQLTVILESYQMQKEKLISSPRSIRSHQTLLISKRNADMNKLQESDFIPSEELNKADK CIYKYFANKFTGRIYYTSSSSQGEETIQYSNIRLNKSALSHPHIIEILEVENEESQQKDK FYFEYCSQESLQDYLDKHEDINLEQISIIVSQIISIVSHLHSKQLYHNNLDMQSFHFFVN SKYHYLKLTNINGIFHLKKGEFSSTNFDIIDRNKSSELDIWQIGLIIYTMIAKLNATKIS NYKDQDNEIWLESIKANLRLPQNIIGINLRSLILAMLQLAPQQRIKIDQIKENKFVQSGN QEFLKLHQLKLIPQNLHKKINYLQKCFTFYLIQSFVPHQFLIMKKLYDSLDKNEENNLNL VSLCKLLQDLFQDQNVGTDYQQLIESNDQVSFQDFLIMNSTLSRVLTIENLRKGFQILSN KSQYISKKSLVRLMQTDQQSLNKEFEKHCLKWRFTYDHFINFMEKIK >CAK71683 pep:novel supercontig:GCA_000165425.1:CT868097:511896:512796:-1 gene:GSPATT00008232001 transcript:CAK71683 MEQVFKTDFNFPGNIIDLTDSQQEHEEDSLQNKPLDGLTERILRKRKNAPLQQTLYEQLI QDPIYQSCVQNEFLFIKHLESLGFTDIYDYLKYCNKNGLLLEEEALKKNNLKPPQQKYNI YQCNIIHDKNTDFKNIQQILSGMKQLKKTMKLEEKCKKNLNIEFFTSNDTNSFVIHQTKQ HRYLAPNLINKMLYEVEPLDQNIDETLIQYCWRQYRRFYKKCKAEYEALVNANENEFEEF SETHQIDYDQISHDSLAPYFKVPPYKRKPQYRSMNLCYKIQHLKIVKFSVI >CAK71684 pep:novel supercontig:GCA_000165425.1:CT868097:512860:514641:-1 gene:GSPATT00008233001 transcript:CAK71684 MSEFKLMEIESYILNFKVRHTEILKQKLQKLHEEESADQLQPFYQAFLKNCRDYLHQKLK LTTKKVLDEDLLELLKVNIFLAELSYTICKDVPDLKSYPLTFSEDIYECLSLSELQDYFN NLYSQIATISKFQDENKYVLLRICNSMLKRLSTTHDTFLRGQVQIFLTQIFSTIHPSLRK KPINIRDRGFDEEAVKVNASLPYSFYKNFWTLQKYLNNSELIFRNKVEFDDIGEEAGQVS QNVSEPQRTKNLQAVIKVIMRALQYFKENPIEPEIITVKRFPKFLTKYSLFKNQLNDPYF RKLFLNQVLLFIFVAELENQEKQFIQNLKIEVQKQLDELGDKLGEKVQHLIDTERTWRLW VTEKQCFDDGYEKLSIKASEKAKKKRQEIQKRIYDDLKYKQTDAFQYILQDQGRPYKIET NPIEPGLNYYLNEVFYQLDQNRKIADNERCKNMKDYAWKSVRVISKFMLKELKITNLITQ DLKPLPNLEDIAENLKNAGNEMTSERLQQSNNNNNNNNNNNNTNNNNNNNNNNNNNNSVT IKPVHINQQIPNQK >CAK71685 pep:novel supercontig:GCA_000165425.1:CT868097:514690:517308:-1 gene:GSPATT00008234001 transcript:CAK71685 MKQSSQNQHQPHANDQDDCNDQETHGQRNQSKQQQQSQPEMQKQSQPSQHQYLHNDQSHH QYSNHGHMDGDHDIHHSGVDHHEGHYNPLQMPCNWEIAKKHALSRRTAQEKKKDPLKTLQ IDTCPCCGFEVDREDIPYCSDPMSLSFLGSGFTLFYNYLKYCIIILFIQLLIKQLHNLYT NYNGSYCSHIKREKMEGHFIEEPYCPDSIFLQLSLANKLDNREALEMMQLLNFISIFIIM FVLIYFRKSQRQIDTTIDEEQLTPADYTICVKNIPTGLNVDYKYELKNIFENYSVLDSTK QIIVRKVVLVYDIEEIIELEKKLDQLLQSKKDAIKKSNFDFHHESVKKIDEEIEHLEHQI HKIEEEYETHNTNFAGIAFISFDDESMKQVVLQDNPHTQWERMKSHINRGQLKSLTNNDL QWKGQKLFLEQAPEPNDVDWEFIHITTSEKIFKRLRAWVYYILFESAAFFIIYLISHRLA LLGDEAHEEELKGKLDEDTKRKINIMSFSISMTIVLFNKFGVAKIVHYIVDDEKISNKTK FQISFVYKYALALFLNAAIISFLVDIVILKNVKGAGGFIQNESQIFVLNAIFPPFIWCVD PWSLCKNIWRKYIMSKGDKALLTQQEANKLMEEPDYLSAKRYSDVMKTMWFTFMYGTAIP LGTLFSAFGILIYYFVDYYNILRRRTVKESISIQLSTEMIEMLEYIIAWCAFGEMIMTYT FFKEVSKIDILLIILAVLYQQLPMEDISEYLFPVENNEEIKPYAEGSANFDTDYDRENPI TKHKALAEWNKRQQSVDNPNPKNQKILAQQDEFGYAGDGHYGRR >CAK71686 pep:novel supercontig:GCA_000165425.1:CT868097:517953:519446:1 gene:GSPATT00008235001 transcript:CAK71686 MQQEFKLPQKIIQLYAKYQNKSQKVKKFQLQEHTFMLEDNYSPVEIIGSGAYGCVIQADD KNAKVEKDRQVAIKKIERAFEHRLYAKRTLRELKILRLMKHENIVELKTLLLPKSREEFE DVYMVTELLETDLAQVIKSDQVLTDEHIQLFLYQILRGLKYLHTAGILHRDLKPRNLLLN RNCDLKICDFGLGRAMADPSSSNNANIMTYYVETRWYRAPELLVSFKNYTPAVDIWSVGC ILAELLLRKPFLRGDSTKRQVKLIFELLGTPNEAYIQSFQDEKVQNNLRKVIKETGPKQG IPLEQLFKNASKNALDLLRKLLTFDYRQRITVQQALEHPYLAQLHFEADEPSAQLVNQLE FEFEKYEMTREQIKDLLYEEILLYHFPEFQTSYEAKKKSGQSLISHVVNNENAKIFDPTA DDDLDRD >CAK71687 pep:novel supercontig:GCA_000165425.1:CT868097:520093:520991:1 gene:GSPATT00008236001 transcript:CAK71687 MEDFVPSLNSLVKYSTPVLVSVAGKNTGEKKDAQAKQQVRVNSPGRQEKMITQEILQQNL PPKEYRLKNGQLWVQTVLSTPATRIEVVQLQQELDKRLVQRGARETGICPIREELYEQCF DELIRQITIDCSQRGLLLVNSKRIPNSIAYGMRKMIDAEQKRTDKSNKIMFMDRECQELQ KAVEDLEQKIQYTKKVETEKLQKESENHKNEIEKIRAYNNSLKDELGRLLEGRR >CAK71688 pep:novel supercontig:GCA_000165425.1:CT868097:521260:526712:-1 gene:GSPATT00008237001 transcript:CAK71688 MNSIDSYQSKATINAQSIISKIATRNGQADNSSLIAALDEETVSAAWNAFGLHISRQLRM GRGVAVNKFGTFSFSAPDVVLDGVTNPVDRDKQPRQPVFLVAKEFVNGFNLKTGIAIGRQ LRPYKVQTSGKIQHAIVNWAEIALYASQNKDSAKMAVERVLKSLSDIVRNRDVVEVEIPC VGTFFVKAHSAAVQFLESLMDGCKEITKRPLSERKSKGDMRLTQQYLQQLSKSSHPEENN FIIDDNAKNYLTNSLGIELPETRPKTAKHSQYSSKKGPLMSKTVNFSLIVHQIDVRPSTQ QNSRVGFSRSVNDKVYALERLKYYIRDHALNIEDSFLDLCQQAFGKTSERKVRMNFDDFK RAVQQIQLPLNEIQIITLFQTLDVNNDGFIDKFDWLKAVVDKKSHVNYIKDVVFKFQIHT DDLLQRMNLNRDHPPINLQQLKLALMHLDESLNQHKALKVAKEILDGRETISMNDILILF NTVEEEDKLYDLSWFKDTLHKMRDHLINPQKLKVFRQSFEYFDEHQEGNLDTANFKTVLM ESQLGLNVQDINRLVRYLPKNRESLINYYDFIQMIMDVNKQMDQKDTAKDLIDFAQKISK YLAQKKFSVIQFLQQVKSGYGSCNVESTAQYLEKNIFTQLPHEECLEYCREMDVDANGVV SDEDMNIFIKRYSYFNIRKDLSQIDQIAESMKIKPQFGDTLSAIEKTCVQSKSIDLVTMG QKKMFQSLVDGMNQQKSLFPIEELPEAKFDQILKDLRIKLARKGMSYEELFTFLDTDHNG FLSISEFYNIDKITTLSQPAKDGFFAFMDKQRIGLIDLNTFAKFMGKSIIQQMPQLSEDD WDWELEILFKIRNWCQRENITIEDAFRTFDKDFDGQINKTDLRTFLKDILKIEEKEITEA KINRLFKLMDQYKRGKITLMDFRRFVEEGFFYGKNKQIFGQNATLAAKQQQESRSSFDWK MNARQQIGLIISRHYPNVKESFDIVSGYRKKLVFQKFKKWLDEKNVLAGFDLTEKLVYEI FSDLDSHKKGYLVESDWLNAFAQYNWQDQMIKEIQDALSTYFSSIQNAIHYFQMEHSHII TKESFYKALQALFPKRFVDGDIEILWNRIQKNGSLSNQAFALIFGKGGKIYEQPEGQSQQ LAIRPATQGGLSELPLDERNQVNISLLDKIRRFLRNSNKNINELFKQYDSDNTGFITNLE FRQVIRSLNMGLTFQDVDILSAMLDTDRNSMVNWKEFSKKLDFRQADNKILERAGIHLQK VNDHIYHYLLSPKDAFRQIDAQHTGFLTFDKFKDMIEMLYRLATEDIPPFAIIKDLFEFI DKRRDGHLDLTEWMDAFSKFSNPNEKKRPLSANIRVKKNSQKSLMAQTDKNWLNKQRSPS QQQFEGLTDDGLINAANKFKTFLRKPPAKQSALQYVGNVLYLNNVENGIWESSKDFDKTI NAIGKNRKYLLEIFKHLTSNGQIPLTEDRIKQEMDKMLRSQGIVVRDEQWPQLISWSKKN GRIDYKFLLEVYKDHRGRTSEYLLDQLAILQYQLNKNFKKRPYQLFKNKRLPQNRKMSSN KIRVLKKSQTYNSSKCLFVPKNKERPQYYITPPSKYKNPLTNIEELNIDSNCSTDSSQRL ENLSPVYYCPKGKEPCGELQVVLNGDLIQVISQTKSRKKSRKFSDQETYAMSNFIAGPKC QEIPCPQFL >CAK71689 pep:novel supercontig:GCA_000165425.1:CT868097:526731:527568:1 gene:GSPATT00008238001 transcript:CAK71689 MKAYLRYEGISKQQYPQSPLQVEPKPLFTMMPRRKTAQVPFRRSLHQNKSPTNFDRQFVV EELKLANASLQEVNKELQQLNESLQEQVQKLQETNKVLQLQINQMTIQIDDLKINNRHLR SQDSSVHFQTKTFDQRLQDQNSHIGYLYLQLQLKKLNDQLEQKRVLESKPMKLQQQALRE LDSICLDIKSFIICKLCHQELKDPVTVIPCAHSYCRSCKKGYMGKCFICGPEEQIEATYA NMLLIPMIELFKKNCEIRELFK >CAK71690 pep:novel supercontig:GCA_000165425.1:CT868097:527594:540193:-1 gene:GSPATT00008239001 transcript:CAK71690 MSNLIASPSKKAQFKFSKERFQYRSLYKQEMPIEEDYDPNANQKVALSKEEYFIQKRDEN NSIDLQQLLLSKGVDFNDPTQHLRQLPLQIHDDVGLEQVFVDDRIEFENVLFDEQPYEVT AKIVICNGNDVTFERGQVIGYDEAKRKFKCVMPSLGKRLIPRVYLCFDHENHNKWADRFA YAWYHRIVAHSLLKKSAFIQCMPRDHLPDMPNEQKKKIEDLIRKNKKLEFSEISALMMDA AIDYQLLMNRILFDYHLTANPTDLVPHGLVLPEVPPKKDPNYYGMLALEAKKGAKEIYMW TPHEVFFNEPKSFTEIFKEFLLNSIMASPESVKCLQLITEKCNQLQELEFFYINGQSQSP YKLEEFKQNNDSQLVTSMSKLRKDFMEDVEKIIIKQFGDKEQQQKEKDKEKDKDKEKEKE KEKEKEKEKEKEKEKEKDNKEKEDKEKPKDKEKEKEVEKDKYKSWYTQYKWFNLKETDRN NYEKGRLKKFFACVRLMIQDAILNLSQKSFNNYDKYIRSYIPNNVIINGPDDVINEFADG LVLSQKNPDSFYDPRAVKPLFTIELLKAINEDNFCYSTMIRNYATTILIIFNKALEDLAK IPDLEPKLMKEIFKGTRSEMYYKVPQKSQITNDPFGNQDPYFFDENIWVNKLFDALSEHL DKSFVALDEYLKCFQVYKEILMIRPEEYIKTVDNEEKPKETELIRDEVLELQKKEEKLKL QIPDSIQVGCFKVNCKEISQLLTGKYQQLYKLLIEVIVKRAKQSTLALYDEVQKVKLRLN EPPVDIEKLTEIKQYMANLPQELEKMKEDIDKSCELYDLLEPFKVRFQPEDIQRRWQVYG GPKEILELVDVRSQALEKEKSIFQDKMKQEQDFFLEQVKSLQITISTFYSYQNMDDHESV AQRVRGINDQLQKFQENARQFNSRELLFEMEQTDYSVIQQMVKEFRPYSDLWLTTNQWFK NIEHWRNDDFEKLDADYCEKFMEEAYKTTALVNKHFNDKDIQPVLKIGQNIRSYLEEFRP KVPLMVALRKQGMKERHWEQVSQKLGQEVKPAPGFTFTKAIDMGLMKIVEDCMEIGERAY KEFQIETMLNSMMAQWEQINFDVVGYKNISFIIRGFDDIGNTLDEHIVNTQAMLFSPFKK PFEGLLNDWNSTLKKVSDILEVWAKVQVNWMYLQPIFDSQDIIKQLPLESKRFKAVDQNW KLIMINSKNTLNVLKVCSAEGLLEKLTEGYNSLEQIQKELNNYLERKRERFARFYFLSND DLLEILSQTKEPTAVQPHLRKVFENVNQIEFDGSKKIHAMFSAEGEKINFVKVIDPNHKN VEDWMNDVEDQMKQSVRAALLNSVHQYVEVPRIKWVTMHPGQCVLNGSQVHWTKEVETAI KGQNVKTYLELLQGQLNNLVDLVRTKLTKMQMVTINALIVIDVHAKDVVELLQKENVEDV SAFEWISQLRYYWEQDNCFVKCIQTNFPYGYEYLGNTLRLVITPLTDKCYMTLMGALKLN LGGAPAGPAGTGKTESTKDLAKALAKQCVVFNCSDQMDYIMVGKFFKGLASAGAWACFDE FNRINIEVLSVIAQQLLILFGKKAEGAASADFEGSSIRLDPTFSVFITMNPGYAGRTELP DNLKALFRPVAMMVPDYALIGEIMLYSFGFKKGRELAKKMVYTFKLSSEQLSSQDHYDYG MRAVRSVINAAGLLKAADPDQDEDRLLLRALMDVNVPKFLKDDLPLFSNIIKDLFPGIEK PEVDIGELLVQIQEACKSMNLQCTESFLVKINQLYDTIQVRHGLMLVGPTGGGKTSNYNV LARALSALQTTLYYKVHTHILNPKSITMGQLYGQFNEQTREWNDGILAYTVREVCRDQTS ERHWIMFDGPVDAIWIESMNTVLDDNKKLCLNSGQILTLTPYMTMMFEVEDLAVASPATV SRCGMVYMEPVSLGLQPLIKSWLNTLPPHCRDRPKIVTQLSMLFEQYLEPTLEYMRRNLK EVVGTFNNNLTQSLCRLLNCYLHQYKDTEIKKVPKEDIDNLEQQIEPIFAFCLTWSLCCT VDQDGREKINNYIKEQFSKSKATFPQEGSIFDYLFNERTKQWTHWDDQFKSYEVDPKLSY SEILIPTTDSTRNMHLMKTLLSNMYHCLFPGPTGTGKTVNAMNLLIQRMGEDYQYMSLSF SAQTSANQTQDTIDSKLTKRRKGYYGPPPPKKCIIFVDDLNMPKKEEYGAQPPIELLRQY MDHKGWYNRKELFFMNLQDIILLSAMGPPGGGRSAITNRLMRHYNIIAYTELGQLTIKQI FGTLVSSFLRRFSEDIRNQIGNLVDTVLQVYERVKRELLPTPKKSHYTFNLRDINKVFQG ICSASQKYCTDAKSIIQLWFHENMRVFHDRLINTEDREYLISILEELFSRFGQEKSNILS LDRIIFADFQQGRDVEPRHYFLVNDLKKLSNQMYEFQQEYNADPSFAGLGGKKSMKLVLF LDACEHICRISRVLRQPQGNALLLGVGGSGRQSLAKMATFISYYRLFQIEVIKTYNMRSW REDVKKVLMMAGVENKGVSFIFVDTQIINEQMLEDMNSILNSGDVTNLYQDKDMDEIITS CKGECLKRNLQPTPNNIFAQYLARVKNNIHLILAMSPLSDKFQTRLRMFPSLVNCCTIDW FTEWPEEALVGVGRGQLTEYATEFGFSEQLEKIVEMCKIMHKSVEQVSVQYQQQLRRYNY VTPTSFLELITMFKSVNNEKKNELKFQINRLKSGLDKLIAANEAVSEMQIQLKDMQPKLE EAAIETEKVMARLEVDKKEADETQKIVSVEEAEAQIETKKANEIKREAEESVAEANRILE TTLIEVQKLKKEHLVEVKSLPNPPRAAIITLGGCVILLQDFIKEQGGEIQMMKDETGMKK VEDYFSTAKKYLLNDTKQLLDQLLDYKRDNINQAYIRKLEQKIIGDPDFKLERANICSLA IKYMYSWCQAMYDYNKIFLQTQPLRDKLAEAQKIVDQKQALLRVKKEQLDAVNAKIKRLQ EEFDEKVKLKEDLSKKIQECEIKLVRAQKLTSGLSDEKTRWTADVDKLQLQEPLIPGNSL LSAAMLAYAGPFVSSYRQGLENKWRKNLIELAIKHTEHISMVQFLGVPVKIQSWNIAGLP KDDTSIENGIIIDKSRRYPLMIDPQNQANKFIKNMGKEHAEGIEVLKVNDPNLMRTLELA VQFGKQVLIENVGKDLDPSFDPILLQQIVKSGSSLTITLGEKTLSFNDKFKFFLTTTIPN PHYPPETFVKVTIINFAITPSGLEDQMLALIVALENPQLEANKVQIVRKNAEDKKQLLLI EDDILKSLSEGANDINEVLMDETLINKLQNSKKFAAEINQRVADSKITEEQIDQAREGYR PVAFRASQLFFCIVDLSGIDPMYQYSLQWFMNLFSMGVENAPAAKEHEVRLTNLNDYFTF SLYENVCRSLFEKHKLLFSLMLTIKILQGYKQLNEEEWRYLLTGPMGDIKIKQNPTKWIA ENTWPDMYRQVYGMSQLPAFKGFEEFWITNTELFKPHYDSTEPHVMDLPGEWNSKLDEFQ KLIFIKSFRPDKVIPCVQNFISHKIGKQFIVPPLFDLAKCYKDSTMQTPLIFVLSAGSDP VADFLKFAEEKEMLKRYEQISLGQGQGPKAEKMIRAARQKGGWVLLQNCHLAASWMSELE RICEDIDESASKDYRLWLTSMPTNSFPISVLQNGVKMTLEPPSGLRSNLLRSYARLDDNE LQSGCKKPEIFKKLIFGFCFFHAIIQDRRKFGPIGWNIAYEFTNEDLNVCKRQLVMLLDE YEEVPYKVLNYLGSEINYGGRVTDDKDVRLIKTILRTYIKKEALLDNFSFSKSGTYFSPP SGLQADYMNYIESLPLNPAPEVFGLHENADITNAQNQTIMLLETILSVQPRTSSSAGKTR EEQIEDLANFVQGKTPPVFDYDGIFIKYPTDYQESMNTVLVQEVLRYNRLLEVMIESLVN VKKALKGLVVMSEDLEKLSNSLYDNQVPKMWAEKGFLSLKPLASWTQDLNDRVAFLQKWV DGGTPATFWFSGFFFPQAFIAGITQNYARKHVIAVDLVSFEYQVRDDLEISKIVDKPSDG CYVYGCYLEGCKWDSIKHVLSESTPKELFSDLPAVQLIPKKNRVIPKTGIYNCPLYKVVS RAGTLSTTGHSTNFVMWLEFASDQEEDIWIKAGVAAFLALRY >CAK71691 pep:novel supercontig:GCA_000165425.1:CT868097:540935:550088:-1 gene:GSPATT00008240001 transcript:CAK71691 MDEDEQIDQTMVTFGSIIAISDFVDQTAFLFSDGFLKPEVFLKNFVHKEQKKRKTFDGLD NDSFYKCLFQVLPRVSDTVKTRVLQDYNVTDIKKGDKDGKDDKIKMTTQRMNEELSQLMN EYKQNIDAIDKSRCQKLTYHQPFQLLHIASGRFLACHDNEAQLENQNYLVKLDDFSSDYT VFKIVPAYMYQSHGEQDVNAGEMCAITRAMPISNRFAYINCSQLEISEIKGYASSRSEHI QKKEINASVEQSHSWKIVLFQQYISESSRNLRVGDCIWLYHSEAEATLSVKKYQEAVDKA NFTFYHLSEWLSIKNLYVAITKSQTESAQGYQGSTNGLWQIEGEEFLQGGFVKYEAGYRL KNVTTGYYLSVVEIPGDKNNKQNKKQLQYKYRLTQELDKSTIFTFVDLKTQPNQKYLKGG SYTYLQNRQSQNWMDFQNDKQNHEYIPILKAPNEKTEHAVFKIHMATRNEVWEIQFLQSC FRRLAKFVIQIQDPKYPGIDNFSSKRKWFGVFQQLKSCIAELENFVNNKSYTTSAEQLFG SINQYRQKLMREQFYIDILIKILERIITKGELEFYQKLEIELEKGDKQSKNIFEIESNII FEDKALMLHEQKTENTLKTQYYNYVKSKVELVDQIYQFLGSVCKLNRENQIYTYDLIPYF QLHTKYLPKAIEAIINIVSSNKYLLYKLSEDIKIEFYEDDEIDNQQIKILINLYQFDDKE EQIEIKQHEKIMKKPVPLIEYFINLLWDDEAKNNSYYLKFLRNVCSHSEFPIQINQENIF KLYKKNNTKQPKLGVETKAEESKSNDGTVRLTSKAGQLIIPQDCDLRDINKSSRDKFMYI IEQLTFFSEVALGRNYSWKNELGAQFEKSFLFRNIWFKHPIDPKYIDLQPGFARLALTLY IDQEPLRIKKAPIMCQLFSECEKPRDYILFKSSTKAGSSNIQELNTYKDLVNNLMNYVNE KGSEIYEVMCPPANNQGGEDRDSKKFQNQVGPDFLQEELILNTMRILDKIMKLNLIWVLD YSLGETLQPNLRPQNFIQSMVKSCLDIFTYEKYELNLIQAHCQTERQKVQKKLQDKQSQH KLTAPNFMNLLKKQNKEEEDNEEQRGESQTTNDQNIYLNPVMRGYLKLSSIMQTINFLDP KYVENEVQLKIKICDFMEYLLDLRQDFMMQNCIVFFKTYLLQGDHIENNPIAYSQYLQKE NKKKPRSHRIQYEDLNQRQKNLLIIQRLLKHHALGLLPNLASTGIKEIDEPLKEENSLTS FTKNLMKMNQQEEKAKARFYNYINNPQIPEILDLDQYLSLALGDINANDQPVASLLPTFL HTFYHVKDKELEKRCLQLLLRLFTQKEEFKRNLQNMQVIFDAEKTILHKYITTNLEKFQT LNERLDTWISNYIFEDRVSSDFEQAQKYLNSFYHGLKSDIKLEENELISCLDVIDPKKQQ LYANLGAHIAVVNLLPNGLRYIHEYIMDRDLEEEKKIYMIEFFRLAIDVLKNFCFNNNEN QIILYEYLNFYRYMQYDLGQLELVESIFQNNKTLLVQKVDQQLIDMILQLILKEGRQRRF LKVFESLMIYQQNYIFDNQILIQNSLLPVEFGEKDIKILYCDGSRNSDLKLFLDDPIQEP DLKFVDKLREIDYRDTFRDEPFYYHAQLLDILIQTTLNNVEKRKFNANTKEEDVKKNFNI SVSKLKRLFTAAYLLEILCTNDAFVKMPITTKSQIQYIDSNDKIKGVSLIKLQVIEFLRL VHISSERGQVQHSQLFHCRQQIIDFITFEINRLDKITDLQLFTQDLKNYHIQGIFPFILA YHDRFLKTIEKQDLRKDLQAIEKFLQEWLKKVKTLTSESNILHPIITTSEDAKILVRCLQ TFCKVFYEKTVSQKEDPIWNQVKIKLDSLQETDQDQIQFDQDNNIPNSKPAIEIIGGYHS KKSSLTSKQFSNPDEQNDKKSSKKYLPDKKKIHVFSDKDEGEELLEGYDSTKSIKVEKLW KLFLKELLNKDQFIKEAEKERETIANAILNISSLLKPEFSKNLTNKPDVKSISRKLISYL QSAFSDPNCKGSIQTLLHILKKIIDTDPARKVEMQNLFDKLGATQMVLLVLSENNQDKKL MMSFIQFINTLLDGGNDQVQGTIYSFMINFSQSENIFQKIYFIIRKQIENLEILSKSKGG SEDESAGLLQIDYHEFEEDLSLVLEVLTFLQNAVEGHYRKLQNYFREQTNSKNNYDLTNA ITDLFKTYYYDGRIQKNYDNMLKCLDTLNELVQGPCSDNQKAISESKFLDIAADLFSQQY ILSPPAEIEQIGKRKSLLDQPLQRWQICRLMNKILNLIMSLLEGSEISQNNPILKRIMRN LPINLLEKHCVNEYNKYVKIYGDKYEIESLEHLSVDPYKLRKMKESKFDRIKQISQQQPY FETILQNGFLLFFLMSYYMECDPYIVSPIIKITRTNEVWQIFKDSFIYMLIQFTIALISN LFGTLNSMKNLATDQFKNNQVQPDMSEEEKQRIKEEQYRQDLQMAINFYQENSAHIDVMH DENLEVVYFIKLPSTKYLPKEQKILFHDQVDRSTTQSKVQGLMNVAPTLIEVCKHEEYLK RLFDRQKYLALLTDYVKLWRELAFFLTLFLNLFILFSFDGTVGDRVQDYIFFSQYESFNP MITKTIIYIIGIVMTCLSLFVVSFYLLKNAPLILKRAWLQKGLFDDQDPNPLFILIDMFY KFIQTILSFLQEVEVMYYIAYGLFAILGTFYHPLFFIFHLTEILFRYPTLKNIILSVYRP RTQLILTFFLLFLLVYVFTIFAYWRLSNDFAGYCDTLLYCFMMNVEWTFRGSIGDYVQQE LGKNNVARELGVDRFFFDEVSNITLGVIMLNIVAGIIIDTFGSLREEEENKLKDMVDNCF ICGNLKADFDRLQSKSNGGFREHIKINHYMWNYVYFFAYLKWKEKTEYSGIESYVDQKLK EEDLCWVPFNQARELVDLDGNKGIREKELIQKIEEKIVFVQEQIIDMGKLMKVEFKKNN >CAK71692 pep:novel supercontig:GCA_000165425.1:CT868097:550405:551454:1 gene:GSPATT00008241001 transcript:CAK71692 MKSIYQDMLNRAYNTLFHPSVQWFRILTPGYNIFRYPAPASEQINHIEHDFKTPYRDSKY YVRHDEPVIERVSKFTFTDPLNETPSEKLVRLKLLTKDQVNNSEAVQTATQQYEQKYGLP LSQRVYCNQVGGGDALQDFFQGENIREVMASFVRQQWEEVNENALEDLNCTNLDDDYHPV LNEKVGFNLQEADPLFRQLTVDLHTLVKNIGEKAKQEGHLTFFRGDPNYWHVLDNSFPVE SIRLIQNTLGDEVSQLDPKALQKQQNREDYHIEQKVQLPIENKYYS >CAK71693 pep:novel supercontig:GCA_000165425.1:CT868097:551457:551669:-1 gene:GSPATT00008242001 transcript:CAK71693 MNQDRVAQQEIKKKTKRFDKFNYPILKGVSAKAVTFRDQIEGTPIQDIYIVERLQYQQQK KKCSCSCAIQ >CAK71694 pep:novel supercontig:GCA_000165425.1:CT868097:551744:553361:-1 gene:GSPATT00008243001 transcript:CAK71694 MGCLFSKQDKTGTSFKDSLKKKINQSKKQAAEIRVKTQENNLNTSKYSFNLQISKSLEKE QLGSNLELKINEQDGSSKDVRVKDFISLDSLINYFIVNHNLTQSVRYVRQLNWDCLTKPA VVEYVQKKKLEHINLPEIYEIYSDPKHYYLVEDYCSGNTLSAYLREFGSVSEQKCVQIMY QMLTLFEYLHSQHLYHGELQLESFMFSDESQNPILKLVDIEPLFSKTVIHKEGEQVYYYS PEFCKTKQKHKHCDIWAIGMIGYQLLINYHPQKTGTLLTIQQIMKNIIRGGMRLDCIDFE RSSENCKNFMKKLLAYDFKQRITISQALDTNWIKSINNSQKTVEAFKQMKKVKNLKNINN VQACVLLLMVNHFNQQQKQFFASIFNTFDTNRDQKISFEELQEAYRSLYPGQNYEEIIEL FKKVDFDKNGFLDFHEFIIASVDKSSLLTHQHLQVTFKLIDKNHSGKISIDEILNICSLD YNCVKFNFDKKSKDQNNNMTFSQFKELMLSLL >CAK71695 pep:novel supercontig:GCA_000165425.1:CT868097:554171:555378:-1 gene:GSPATT00008244001 transcript:CAK71695 MKYLLTLLFFSLVLGQQVPEENGVLILSDQNFEYVLKKYEFVLVDFYAHWCGHCHHLAPV FASSARQVRNQNVQFAKINCPQYEHLCRKYQVTGFPTLKLFGDGQLLMEYQGDRTEKAIV DWMRKKTNKGSVEAKSLDQLKKFSESPNLVMVFFGEQKESYEFMQYYQFSQKNKHIPALH TFNQNFANEMRAQVPSIVVYKPYDERKAAIFDNFEISYIEQFVKKHSYPVLMNFDIQTAK RIFKGDQPTLILVQNSQTSQAEKHLRLALSKIKDQILICIANTDNKYGLRLMQYFGIQND YLPQIVAFNPISDSFNLLSEITKDGIINFTQSFLGLQQRSQKSDL >CAK71696 pep:novel supercontig:GCA_000165425.1:CT868097:556032:557269:1 gene:GSPATT00008245001 transcript:CAK71696 MKTVILALALIVLASSTQADVIATIKKIDQSPFGRTLFDTIYLELQTGDPLDRLLSTLTD LEDRYVAEQKEDDAKNQEYQGACTVDISAFDKDLAESNRKKIELEARLEGQLYPQRSILE GLVAQKKAEVKGYQKDLDELDAQRAEEHEDFEEKVLEHQEATAIIAEARRLFADNIEHGS FIQKGKATKQTHKFTKEVASMIQKHFTTSAKKTAKFQHRKGYSKLFKAFATIASKVEQLA DAGAVQKIIDLADELLAKIADSLSLLRFAEDKRVEAYKKSRNFIVISLNVAGSALANATS DLASLNDIIAQVEASLDTTEQRIENVSADRHDRFTQCEEAVQDYQDARAARTSDRDVVSQ TIGLVNKELRTLREQLALRQQAGEEI >CAK71697 pep:novel supercontig:GCA_000165425.1:CT868097:557300:558788:1 gene:GSPATT00008246001 transcript:CAK71697 MQQFDNQEILMKLKSSKQFWDIKKKLKQGLGDQQKIGVNDIQKLAQSCEIEDQLIKLISH ILKKSKKRETLKEDQIEFLNTSKIKWTELLFKNLDIVHQKTKNQEEDDTNTDSKLYIYDE KNLLAFLQTFKQQQQSNALYQNVQFQSNKLLNINIPLKSSQSILDKYQYLLLQEELQDDK INKIRKQIENEHLDIELEKIAQTFVPNGERCLLYLKYFEFDHQETVLQQNFAKLQKECLN QLFYDELLEIDGHEISEDTFYFVFQEQILTCLKCFIRDRTIKENIRIQLQNGVKKEHAEF QLPVTGFFPFKNISKYVAPLCYLSTKIHIIYALFKEFFCRYFCFLHAISSENNSILSLCM QIEEIVSTRSPKLFHHLKVIGCDTLKLIIHQLVYCFIGDMDPPHILTIYDQIIGHDSLEI LVILCVGFLNKYKPKLLLAKNQENVQQIFSELREDRFQELVQFAYKQ >CAK71698 pep:novel supercontig:GCA_000165425.1:CT868097:558815:559634:-1 gene:GSPATT00008247001 transcript:CAK71698 MTEDLNHFAKYQTYSSYLTIYNDTDSHSKTQRLNRNPLEYYTKRGLDPPKTIYGDPAQIL NPQAPQKQDQGNEANAVGTIHYFANEIDRLCFEVGKLLQKPQAIKEQLNTKKKMSQEQHK NHPSSDMQIQTLKQIKSLNNLNPSIQRLYTEQLLQEQQQDLLEYRIDGQKQDLQIVLPTQ NQFMDWQSPNVQNQLQRNEKPYLSQQKSVRQLYQRSHKSTMIPEEGTKRVKQNKRTQGGS LAELALHKDEEIQKAIKILQGGLKK >CAK71699 pep:novel supercontig:GCA_000165425.1:CT868097:560498:561091:1 gene:GSPATT00008248001 transcript:CAK71699 MFQAEKGANAIPGYTGFAPTQNFDADLLQIQGNRNHIPNYAGFVPGIKAENLFGKTFGKI TLLSGTRQNHQGSNLPADLRYRSEVKDAYCDQNHQRNKDKLLYGKLDSETSKALAYSLSN LTSTQVPKTTKSRVDVKERVSNVSYQEALKQAGK >CAK71700 pep:novel supercontig:GCA_000165425.1:CT868097:561103:562887:1 gene:GSPATT00008249001 transcript:CAK71700 MRIYQLSHNYLFSNQIHYNYEVINKNNLMSENYNFSDFVKFRKGSTFGIGKIENFSREED WFEYFEYILSEELPTGWFIYCIFYCIQILHNTHFPIILIIVFFFFINFYQVNNHIMDYQL KKGKMTDIIEKVQLSKLPEYVQMTEKKGYVMRQQFISKDLMPLLKQCFCGQYVNPDQVLV LCTSCEKPFHAECLIKQFEQGNANCDSCREILSNLQITDRIKDALQTRSSTIVIQTNQQK THEQRAIQIEEEEEEQLDRNEKRIDLDKIVKKIKTKDGQIHSKVQIVKQDTHTNGNSREK NQTHEAIPQANPPEQQKQQSLPFKNISAQAVEKMKSWVERYRQMESNASNFEKKRQEVRE KFFSVIFYGIEELKDMYEKDPNSITQLEKEIISNSDTALFQYIKNLALDIEVYTHIKFNT QYKTKLEPLYVERCKLIYLHMKDDKNLELRRKVISKEFQAQDLSTRDERDLYNPEKRRQT QEIAMRVIELNQKDKDEEQKIIKDIEEVSFSRNQSINEEIQITTQKKDGHSTKNLLKEKM MEYSVEKSIMRFKKRIADELNDKERLQILASLEQYQLHQ >CAK71701 pep:novel supercontig:GCA_000165425.1:CT868097:562985:565048:-1 gene:GSPATT00008250001 transcript:CAK71701 MIKLESDVTPNFQPQRDILKQMEETMMVLQKPKPLHAPLIQQEEFSQQSMLLKEIDFFCQ KLTTVKKAKPKVEDVSTKQFTCSTPEIQKLQMLNEPDEMDEQQHQVKFADEYQQDLEKVR TIPNESVFQKRTGIVRQVQPKLIIKKLEFELENPPSWIPVKIEKKLEQGLKLNLNLCIFW PEEELANLSTQNINILSLIENMKNINYFNQSIKQKKNNNIKEFTLLSIQLFQQLKIYSII LCLNYIYPIRELCIRANEIKKELLSEALYERKKNMLLLEQKRITKKMFLRYLFLRIKYKS AINKILNDTTQIRQMINQKKCFQKLYQYSQRNKSKNEFYELVCDHMRVKTLNTIFQNWRI FHQCLARQRQAAKSIRKILLRQSLQAWQQITYYLTQQEQRKRQLEKEQKEKAQRVENQKE DDDNDDNQVLSQFLTDEKPRNMYEGPNCLNDIYTVDERQVQAKKIKLIIFELKEDLKLCP NLMQKVFIKWKIAFLQRHRQKEFIQIMQLRRIQKGFQFWKNDKEYHPFYQFLLKRKGLEG FRYNQLTSKQQKLQDQLKIITLKQQRQQIEEMAIEVYNKNVQKKAFQAWKYLLQNDNLHE LWQSLSNQKLKQDFQIVKLKYDIIQKKRKIKYFKKWVVNFIKSRRSIKNLQQCEGTAKEK AIESLIRIAFETSMKTQFKWLEYYKDN >CAK71702 pep:novel supercontig:GCA_000165425.1:CT868097:565362:566461:1 gene:GSPATT00008251001 transcript:CAK71702 MAFVKLVKGTPYFKRFQTKFRRRREGKTDYYARSRLIVQDKDKYNSPKYRFVVRHTNTKI ICQVIYATLKGDKVVAAAESTELKRFGLTSGLTNYAAAYATGLLLARRTLKTLKMDKFYE GNKTIDGNLYDVAEKENPERRPFFAVLDIGLVRSTLGNRVFAALKGAADGGIHIPHNNRR FPGFSVDNDKKEKYDANVHKDRIFGVHVDKYMALLQKEKKTNKDGRSKFDVQFHNWEQTL KTAGVKSVQELFKKIHDEIRKNSDRVKRGAKQNPKRDHTKYRAKRLNAKQRRANALKKID IATKQAAKLKKKA >CAK71703 pep:novel supercontig:GCA_000165425.1:CT868097:566607:567649:1 gene:GSPATT00008252001 transcript:CAK71703 MSTQYRIPSNDNYIRDLENISQRERHGLFNQPPPLLIGDQYNDALKSQGKEKGVLVNKKL QKKHEPTLFLEPGYTTLNDPYKDQFKAKQIYDKERELAIKNPNCFKPNDHVKSIKHSEFE HMKEFNDKVFNTRTPKGDVRTQARNFLTNPAKKGLGRTTTNNLFGQIEYIPDPYDRQEEL DRQDRIKHRSKFLPGTTRFITTSHGNRPFTADGILLDGAGYLSAKKRPLYKGAPFRPANQ NKNGFQGTFEVLQYMEEGVPDPKTKQNTFQKLSATQTYENPWRPNSNGTFARPCPSVSQQ LRHRSVGSNQKS >CAK71704 pep:novel supercontig:GCA_000165425.1:CT868097:567743:568231:-1 gene:GSPATT00008253001 transcript:CAK71704 MQGLQKQPLHLLLGFQQPKHKNVIPIIDLVIKELQQSKTEHLTIYDQFGILEQRQYKVGN LNIKSENQKMFDDKLYDFYQSQKQLQDYFFNKQFPQQYYIPQAIIFSQKCVLLGLPFEYL KGGEIQFCDIDQFDSQKLYEQILFVMRRIYRKYAQIEQREGK >CAK71705 pep:novel supercontig:GCA_000165425.1:CT868097:568743:569614:1 gene:GSPATT00008254001 transcript:CAK71705 MDEESELVNKILKEIQIDSNMCNEQVQLMIDNTPVYFPHQPYEVLKVYMESVINALNKKQ NALQIIKITMEDWNHQLQQVKPYPCSVPPQHGQRRTEKINRILISLRILRLYIHQELTLN QNKQSTNPIFQYLPQEINIASERKVAEGIDFSDELCRAIFLVGVPYPVIIDNRFIEKMNY LDRVFNDLEFNHQQRIKSSKWYTQQAIRATNQAIGRVIRHINDYGIVYLCDKRFEYKEIR QGLSKWAQPAIQPWINDDDVIQQTKSFFNRSMLE >CAK71706 pep:novel supercontig:GCA_000165425.1:CT868097:570091:570505:-1 gene:GSPATT00008255001 transcript:CAK71706 MEEPRFQKNLTKEQPYFARKLFQIQMSNYFYARKELLPQQYLHETFFLVVSNAALLSWYF SKQISKVILATCYASFTVMAYGQHVEFNKSLKYDLPQSIFTRLQYREKFDEKQFDYLNQN FVKRFQLSKQ >CAK71707 pep:novel supercontig:GCA_000165425.1:CT868097:571001:571471:1 gene:GSPATT00008256001 transcript:CAK71707 MSQIKAKLVIHLLVERSFLKLFNSHNNLQMIKTLISAQSKNQLYNNARMIIQELMLELKK TLKQSLNIIYQNDVHEALKVSSSFILIRLILQFKLVQTITKLQIVKIDNIKYLMNYLNTK N >CAK71708 pep:novel supercontig:GCA_000165425.1:CT868097:571781:572787:1 gene:GSPATT00008257001 transcript:CAK71708 MNKKIVHTYNKISDVFSRAQIKNAVESLDNYQDRLKLIDSVIDRRQQIIQVNDLSKMSQY AHLFRRMIHRYRSKKEGEAANERLQMRMVVSVFASQEKQSSPCLQPKNLEQRNLDQKRIR INEISDQRRDAVQFKVNRTQKITNMNSERKSFNQRSNTKEGCQFSNRTQRVLSSIDVDNR YQEKELYLLTGEDQEIKSLQSKIKQSVVLKTRINLNQNTKDNENLVRLLQKQHSSLSRRQ YKPYSQQQIQDNCEKEQEIKRCDKIQNILNQEESFLSYSENLLNQLYKKSSQIQKKDSQS QHNHQKLIKTNKEIIELSKIKLALQVS >CAK71709 pep:novel supercontig:GCA_000165425.1:CT868097:573066:574326:1 gene:GSPATT00008258001 transcript:CAK71709 MILQQFKIQVISGFNCSVLNNQNGFLFMDESKSINQKSDAKNYKQIQLYVYFLLFKIKDR INEKEKFKNKYNKEPLVIQLFEFLLKNLKQNQAYYCKVKQFLKIIIQVIQESIFRQLFMD KQQIYSFRISILMLDQVEKIFNVRNSSRLLFINQYQNTLQRWNSIDLSFFYIYPFLSVQM LQKRMKGMQKLNYFEQMQELTSISQNNDDELNSTCQSIQVPGFKRHFGNNLTLFHIWGEP LITIGPHWPLTLCMIISFGGASYLLIYEIAIRKGLYVYYFSIFIAALQMLSYLITALKNP GIVTSTREYKGILQCIVCNNPRNIGSLYHCEDCDVCISGFDHHCPWTGKCIGRGNIGSFY IFVTMIPIYIVYFVIVSLL >CAK71710 pep:novel supercontig:GCA_000165425.1:CT868097:574344:575902:-1 gene:GSPATT00008259001 transcript:CAK71710 MKQIVKELSMFIEKDGQRFIKEGKALIQTKRIEKKEGKLIEEEVFYNPAQIINRDLTVLV IDTFQENRQPITILDALSASGLRSVRFAQEIQNVKEIYANDLSLASLALIDENIKLNNVS NVKIYNMDANKLMKEDIKFDVIDLDPYGTVCPFLDSAIHSCDDSLLCITCTDSRVLCGPD TQKCFAQYGTARTKMNCFAENGLRTLLYTISQAAGRLGKAIKPLISFYGEFYLRVFVHVT KDKVQTHKLFSQIGNLFFCQSCQSFHVYPYGQKEDKGYKINKKPIPSKCEVCGSSFKING PVWIDEINNIEFVKKMLTNLETRHTHFQTFDQIQGKLTGIINQNEVSSNPYSYNMTYLAS NLKCTNISKKEIFAGFQSLGFKIAQCYLQVDLYKTNAPNQAIYDIIKTWKKIKYGENYLK SIQENTPAYTILTSETTHTPDFTFKPTFNSAKMFYPNLEGYGPKSKAIQRKIVELEEDQA IKKQKID >CAK71711 pep:novel supercontig:GCA_000165425.1:CT868097:575956:577628:-1 gene:GSPATT00008260001 transcript:CAK71711 MFHTQLRKQSFRFSNIAQNYINGVWKTSNGGIALERKSPLTDEVVGITKQTTQAEFNEAV DAAKTAFKTWSQVPLPTRQRYMFEYQAKIRSKVDDIANIIVEEHGKTLADAKGDVIRGLE VVEAACGITHVMQGETVENLAKGVDTYSYRVPLGVCAGVCPFNFPAMIPLWMFPIAIVTG NTYILKPSERVPGAVTYLTKLLEESGVPKGVVNVVQGGFETTKHICEHPDIKAVSFVGGN KAGDYIYENASKTFKRCQINMGAKNHGVIMPDADKEDCLNALASAAFGSTGQRCMALSVA IFVGKSREWIPDLIAKAKSFKVGPGHENIDIAPVSYKELYERIHYLLGTAEKEGGKLILD GRSFKHPKYPKGYFVGPTVIDNMNTNMTTYKEEIFGPVLNILYADTLDQALEIINNNPWG NGTAIFTQNGSIARKFQNEVNAGQIGINLPIPVPLPMFSFTGNKRSFNGDANFYGKAGIR FFTQMKTITSRWKEPSDSYTLSTAMPTMK >CAK71712 pep:novel supercontig:GCA_000165425.1:CT868097:577898:579675:-1 gene:GSPATT00008261001 transcript:CAK71712 MKSAITLVTIITIDIAKQMELLKKTDTQLYGNLRWHSVCLIDRRLVYMNCKIFSLGYDFR RPFWNLIITNIQLARKKCKLEIRNFDDDISLSDCNLELLKFIQYFIDTLLRANQEELVEM MQQLLPQQQSEIMGLLQNQINDIGSFQECGYFEHQTTNTIISLEENINTKDNIIAQQNKQ FELMKTEYQSKIIDQQNKITQLNELYEQLYDSELFCLQKLNCCDFEEVFNKFEEYIKEIE KCKQSIEDLNQVVQNQQKEIAKLQQKNQTLKSQLLSCPPTARSIEPEQQQILVKDPKEQK TIENLKAKNQKLIEDNKKKLEWKEFQYEVELKKLKGQLYESEKQAASFKKKMEMFKFELE EYQNMNQYERKQPFESLRHQGVYSSNNSYILEIDQRFGQSPKSTCFDFFQSQNKSVIDHA ISHNNQSLKYTDETNVTKLQLQLIEKSKQITLLEKQLSCYQQSSHSRRNSMAKQLERHQD QQVNQEQLKYYMQQSEQRDREINEIREQQNSNFIDLCKQLIKQNEQIQKLNSIILKNKSS DQMQEMREKHQNDLQALNQYYVDALNNKDEQLHLIISLFYDAVK >CAK71713 pep:novel supercontig:GCA_000165425.1:CT868097:580458:580980:-1 gene:GSPATT00008262001 transcript:CAK71713 MFEYYAIGKESNKIHDIHKYLLTLCNKKMVDKLLKTQNKQQRVSSSVEQKTRPSISYRPK EKYPTLIGAQYKYFKAQPKRKQIYLSTLQNDQSQSTPRLKANSIIQEQKIENQMNSARAI QTAYYQIERNESSQKAEKSSKNYYLPKCDSNWITHLKSIRSRQEQQ >CAK71714 pep:novel supercontig:GCA_000165425.1:CT868097:582051:583531:1 gene:GSPATT00008263001 transcript:CAK71714 MNLNGNQFNLFHTRHINHSIHEQFDRYLNIQIPPYQAVQQVFQELNKINEIIQLVIKIRK DIIYTQYKESNTNDTCSMFGTYQNLQNYLGKYFEIEMKPQYRKYQLTKYGIIDLLVFSFL HKSRSFHRVITKGIAPQISCLYSWYQEFIAEFEINNNIDPNYLPRKEKRVQIQKNKQQKS NPELIESIKDDKLIIFKDLIENGASIYTVSLRDKEKMLVPHLVCAFARLNYAQYLQSKNV DWECEDDDRMTAFIQYAIRSESKEMIEYLLNLKIELHFIMLVHQDNYVIIRFLVERGANI NARTALGRTPFSKASFLGLYNVIEFLLQQPNIDFNYADKQGRNALHNAVGTWCYDSPLIA QLLLEHGMDVEAKDKDENTPLHVAASSEALSSIPVLIAFGCDINRRNKWGETALIIAAKF NHHETAKLLIQNEADYFFENEGYTAMEIAAKNDQFEIK >CAK81649 pep:novel supercontig:GCA_000165425.1:CT868412:1:273:-1 gene:GSPATT00039467001 transcript:CAK81649 MNIKVLILALLVALITSQQYSISQCDCSQLLSEDDCSKNDMIKCQWDSTKKTCKNQDTTT NPVINYAKYCDNFKEMEWSKTKTMQQLWILL >CAK81650 pep:novel supercontig:GCA_000165425.1:CT868412:715:3066:-1 gene:GSPATT00039468001 transcript:CAK81650 MQEFKILASIKSKDSTLKIRLAGLTTNYKSPVYIYLVPGNESISFQLNSPPSEVQFKIYP FVGESLDYFNYSIQNLQPGNNFSIYYYFEIYILQIDVIQQSIDYGIPLVINSQELTGSFQ LPNGIVDDAISILCQIESSKGSKSYLVQYIQVNRKNYQINKLYQAFNNQTNFSNLQSIHT MTKLMEIEQQQVCLKQCSGVGTCFDKKCKCPPEYYFDDCSGTLQEHNNFSNLILNALQQL IKIPITNDDEFRLFSQSLLYLSTLIDLNNTITNLDCQQILEQYIQNLNSRLQKINQYSIN LQYQSTTYLNYSQIDIRSFKNQNDLHTALKSTVIMWAITLFTQDSAVYQLQSRLKDFLSA IIELSLFGIELNESIDYSFDIAFLKIQRVSNISNITKERILVETTGNHFSDSEYYDVVQA IYIRNYFSFDGYYPYPLQLYPLYDYQIRQQNRKQNILLSTYISYKFKALNDTTNLVCLMR NSQTYEWSNHNCTLHELNTSYFCNCTTLAPTTICNDYDYLYLRSPQFQLKIPNLLYIIYF AQLIILGIFFIQAGKSQRDKSIDNNKFGQVMKLAKRSKAAIQGNKIIPIEDEVREMQLKH RLRQTTQLIISDPLASISFVKTIKTTHKQGINCMVQFDDDFIATGSNDRTVKIYRYRVYE LFKEIQFKSDVTSLAVQVRKDFRLNSQKLVKYIFYLTKLSSNCQIIQKIYGEGFCLYSKA TSLFDNFIFQPIHSSKKIYNITFIKAQRIAFVQFAIQQMVFQVMDRSSNHLTKLKEQMKA RIS >CAK67963 pep:novel supercontig:GCA_000165425.1:CT868056:1:879:-1 gene:GSPATT00036746001 transcript:CAK67963 MKFVNNALKDTFWENMNANVKYINNPLACIQGCINCQNGVNSCLSCSSDYLLINDYCCGN NNFQNNLVQKQCELYNESCLCTKCFNGYYLNDTTCVDCSNHCNNCESGTQCNSCDSGYQI VNGQCEACTSTQYYSSGHCYDCSTTCKSCLNSVSNCTTCNSGRYLEGNQCLPCASQCVEC ESSSQCTICIPGYWLNNSTCTKCNDKCTTCTSETTCSSCTDGYYIDGTSCQTCPTGCTKC NSASECTSCQSNYYLDGTCKQCSPGSFISGNGCSDCNSLCATCETSATNCLSC >CAK67964 pep:novel supercontig:GCA_000165425.1:CT868056:2325:5078:-1 gene:GSPATT00036747001 transcript:CAK67964 MNFDQINSEPDEPNVDTIQNKEKSKQSPKELMTKRNKRNALSDYNKLQQFNEQLILERQI QNRGSMLSFFIKNIYMKRFLNRLLQRKKIKQQYQQEIFNFIDDKSAQYTPISNRIQQFPS LLNLDFFQNVTNLMEKKVYFVIKQYISMIPIIQTENYAKITFDVIGTTARLYFLYLIPVD LAWAECAFLFQSLSVITIFMISILIAEFLFNLNVAYYQEGNMVTDRKQIAKNLICKSYGL EIFSTIILILALIFPDIQTQKQDSTPQNLIFLIFLVHKVNIDRIVTVYETAINLSKKFSS YLTLVKLLMFFFYVVHVFACLWYWVGKYNKNNPENWLSRAEIQDDSWDQQYLVSFYYACV TMFTVGYGDLTPKTQIERVVTILFIILSSVQLPYSVNTVGNIIQQISAYGEERKNKLRTI NSYMQRKNVPYTLQNQIRQYLDYFWQLQAGEESEDEKQIIQQLPENLRDTLMIKANHSFF NKVSLFKDNFSIAFKQKLLKKINHMVVQPGQIIEITNEKPDCTSLFYIEEGTIEVFLNSK HKQCIRKVNKQQALGIDNFIVGTTTTEIFKSIGLSKLLILQRNDFLSVLSQFPEDFETFC KLKDDIIFNQDIQLFEYNCIACGMNSHKVVNCPFIHYAPQKDFIIKKFNYYKNQKRRDHR RSCKRIKTYFQLSELNFLKKQISIISNKIASPFLVQNKQQQKSLTQSKNLHGNPPVKSSN QLKPIKEFVEMQPLRLVDYGEKPTIKYNLRGNTQSTCRINQKQNTKIIFINAVKKVIAIQ RWFGRNDLSMIDRFENSFDCKLIRELIVDLKSAKEVNQKDLDSIEMLLIKTKNLNKYERK RMDEFEQAREFQVYFQSFNLSKIVERLNFTKYQKYYIRKLQKLLPYLKYPGTFIKQYKVK MKHKKLKRSHNNNVLLY >CAK67965 pep:novel supercontig:GCA_000165425.1:CT868056:5142:8035:-1 gene:GSPATT00036748001 transcript:CAK67965 MSFHYTNYQSIQVNKDRIDTQRELDRQFTRVTARKNSMVIKTKSIGQRILNFVGKQMSVD YQERLVLKSLQAQNVNAKKFLEILLKNKHNLKNLKHKHLAIINDKAQGNIDKIQTQSTFK IFIDRLLKKRLLRIFKPIVDCFSSCIIAIPLLYPENRRIIVWDIIAILSKLYFLYLIPLE LAWTNQSLMFNRYYTSTIAMLIILFVDFLIGLNTAYYNAGSLIIDRLNIFKHQISKSYGL EWISTLLLAMLFIVFKSLAITTINVTQNPSYLILLTVLSHQTSVHYKASEYEQALNLSKK ASSCLELLKFLLLLFYVIHLFSCLWFWVGNYSRENCDLNWLDSLRDIPILDWTDEYLQSF YYTCVTMFTIGYGDIAPKSGLEKSTCIFLILVSSIQLPYSINTVGSIIEKITDYGEDTKN KLRTINSYMNKKKVPYNLQNQIRQYLNHYWESIDGQDTEEEKVIISQLSENLREQLIIQA NSQIFQKVPLLQKNFSLQFQQNLLKKVNSLQLQPEQIIDLDSGDIQLYFVDEGEINILIE SGQIIETAKKDDIFGLKNLFVGNIIRNKKLKSVGFTKLLVLSRNDVLQELKDFPIDYEKF CHIKDDLIFNSKSSYIHRICESCSSSTHEIPICPLLHFIPQRDLIIKRCQYPLFQTRKVF CRTPFKSLRLQNQLIENQDLNEIKQQYIKKYHIPQQQQATNNNINTISLQNGIPEDYKES RELKTEHQLNSTAQASLKRDSVIALSQIPGDHIEQIKRTINNHNRNRNRTIKQISNKQID YQLDGMGILKQNSLASRRQSQGLNIMNIYQLGLNNQNNNSSFKQNYAQELLASTINEINI DEELKQRYENLKQIKNMNISDRESIELLYFKQKNLQKYMKKGLTDFEVSKIFHDYYPQHN VDRQIKRISKNTNALLQIMIKKYISYLQYPAEFIKAYNLSLSYKYGGNKITEEENS >CAK67966 pep:novel supercontig:GCA_000165425.1:CT868056:8082:9945:-1 gene:GSPATT00036749001 transcript:CAK67966 MKKYKGINSQIINERLFEKYCQSQNYYYTRDINDILSDATTKGVIRYKDWNCYDEEDEYL KRSYKLGEYPSKIALLAEYYKFHSDIARIFQEPVASMLNKYYDKKRKYEYYRIAKLIEDE NKKNPHKPPKGIVGDKPSPLNSQESNQNNEEEQNESNLQNIQILKELSWLNQLQQHGEKS VKIKHDVSQTLNEICKQMGNYHDQSSLFIPQNVKSEEMKLENFLTYLNKKQINQKKYTSQ PSDQLIQSLLQLQQPKNQSNIQKKIEINYLLKTQNNEYPNNKNYNFIKNSIDYLNRKQAK NKISIDEMATKIDSRLSESLKQKPKSQLITNQINNKNASPTAQFNSKITIGSFANIKTQQ SQPNQNKNYIAKLLIEDEQISPDTKWKNGALTHRPISGTQNFFNSDRNSPFYKKVKSDNF KFQKAKTQHQYNNNFNSKNLVSNQPKSRKTTSQNIHVRQSSNQERFMTFHNNDINEYKNK NARLLFQDLKQSSCHKKHFSDSRGLHNKEQLNDLVCLTERGSNFEFLLKNNQTQQCSPKG HHFKKMNSGTFNPPKPSIPLEKGTLIQQMIIKVAKQNQQKQINNNNNNKITYKHS >CAK67967 pep:novel supercontig:GCA_000165425.1:CT868056:10197:14393:1 gene:GSPATT00036750001 transcript:CAK67967 MSDSQNDINSTIIMITFCFQRISLLFSFDQFADKPYEDYKLQFLQKFFNWFTLQTPIHMI NSQHFSKIAMYIICVYLWLIIIIMFTKVKWKILLQNFFAFYQLLIIIPLIEHMDTRISQN EDLLIGIVTLIPLTLCYIFFFLIHRNYLLPQKNIYMRRYSYSNILMIVFDISIMITIQMV DELISSILIIFMSILLMIDSFLIQPYSYQVNMKYISATSFIFCASTIKIFSFKISQEETF YCLILLVPMITVIFTQFFQRLVHKQIFDDQLGLAKLLAIIDLINDKKTNHFQMISILNHM KQQHKIIIDNDNIYVKIQQTVIQLFDIVIAKIKTQDSTILEQVQLYKILYITNTCKRVSL GYIELKIFIAVTQKQSLYFTIISKLLQEKMSKRRKYFNDQEQRLSLIDIRKAELLYEDSI NLIVNILDMKIKFWIDLEKGYQRIEEFALSAYNLSEKIWQLRDFFFVQFSIEPLKLQSRL VHFNILELKLLAILYSAILNDYHATLDIEQKVEEIVQNEKNSQNEYINNITLINDDLVMM AVSFVKQKNQILNKNRGQIAKFFGYENEKDFLNIISIEQLMPPYIQQSHDTLLRKYLKKG YSSFFVQSKEVYIKMKTNFIQAVNMNLLHMYEQDSDYIITGALQKVKQMYDFIIFDRKGK ILGISEQIFKIFGSGFSIEQLLNRGYIYFWIPNVFEIIQEEKGQLLENTEIQSRSMLKQQ FLFSINNFNQLCQDHELSRTNSTVKNQQSQKFYTELNETEQMISVGNVNSIHFLKDQNVS LINEFLTKYNQSRYVDQDNLYSMKYSISFNVIGGDLLPQFVIQINEIEKVNPKKQGQTFS VSLFSTIKKSTINDRVTESCFVLEHEMNDNNLNIQINPPIINKMSRFQDSKLEDSSNKYP IYNQSNEQFKLISPRGNKEILIGIDDYEDEEDDQKFQDAPKSSSQQHNNQPDVIQAIQQY KELDQEFKENAKQSQSSGTSDRTQMSVFNILKNLQYSQRYQTTLIKVFINTLFLFIILLF LIVLQLVISRSNTNNLQYQIPLVGLPDEFSRIYNTFTVLGQMDIEIKILNMSHGVYYDHR IEVDSTILRENLLTLIVEIEKEFSKLEQQGKLNQAQVKIVTEYSFDSYNISILQFNQMVN EYTEALDQLFDYDNQQDVVNEQIRLMFFKANLNNLLDFVSNLINNIVNEFFTNIENYELL YLIFLFIELLIIIVAILMQFNLWVDPYVYKQKILLMIQRVQEKEIDLLLLKYKTFKEILL NDINKWKQVNYFKEFFTCRISQLRKIQNLKQQHEINKKQQKLQQRAKINTRIQETQYSVI HIYFMLLFLWLVMTSFVLSSYLYQYINITNSYPELNLCMQFVRFKQEFDATMIISQLMKN APLLNQNQIESGIFNKKS >CAK67968 pep:novel supercontig:GCA_000165425.1:CT868056:14462:15135:1 gene:GSPATT00036751001 transcript:CAK67968 MKQEKIIIRVYIRILWLPKKQVKLIRIYYQDYIKMIYVFILLKNCLFAPMKTVNSHIFPI TLNQKKSTIIENVQNKDSMEFIKTLFSSKFIKELNGELETNTDEIKNFLLTPEYSQILLP YFYDLNKAIELFYDTIIATSVDILEVDYQNYLIYTILYGFSVSSMLFYVIIYNSRRLQRQ IRAIRQALILLPHESLLDVQVYSAIKRFEQGM >CAK67969 pep:novel supercontig:GCA_000165425.1:CT868056:15290:16692:-1 gene:GSPATT00036752001 transcript:CAK67969 MLLQNKIFNNQFIVHKKLSSGSFGIVYQGLDQINKQEVAIKIERKENEEVRSLDREVQIF KRLGTLEGVPQLLWFGEEHGQNVLVMQMLGKDLSYHFKQLKRFTLKTALLIGIQLIDVLE KIHQQGVVHRDLKPENIVLGSGKDNGKIYLIDFGISKAYKDANNHHIPFREQRSFLGTTR YASIAAHLGHELSRKDDLESIMYIILYFIRGQLPWQNLQNVTDSERTKKVGEMKILMQLE IFRDQPKEFQKIFDYIRQLSFKADPNYKMITFELKKAADSLKLNLDGYYDWTELRSSTNF DSLIPHNSVEMKKSIEKQLSGILFQQNSFNLLAPPPQISSRNTFNGREEQKRLTVASLQG SLQCLSLNPQYQTSRQCSNDNIDYETDLISYQKNEIFDGDQTLYFKYSHLQTKKFLLLST LN >CAK67970 pep:novel supercontig:GCA_000165425.1:CT868056:16774:18038:1 gene:GSPATT00036753001 transcript:CAK67970 MDYYEAQLEEKEQMLQKAAQVIESLKLDFENLLIQYQKSEAEKTQIKASFSNWDQMQQFE QLKLLLTQEVINFHPEQFKTPFTQFNYKNELELSLQREAKFKDFLLSMNENVKLLIDAYS KCIDEPITQGIQQILFEFEIWDKCQEQNSVLQLQIAEHQILTYTKQISTHQEMSNIYNQL IEYDQQKELSNQECFQNQQLQQILNAIQENTQVTQKMALKIAEQQAKIKENAQEIVELKK QIEELQLLNQQINNQKEELQQTFNQSKQQQSKLQQQAIEYLQMIDEYERIFNSEKVDGEE YNKVTELFEQSLIDLENLQTKYEKKELQAIDLQQQLKCKQLQFDNTLKEIKELLDKYQLQ KQESILHKIYQICNKGKSNCQNVPPNQLLLQLSKSLQKRSATPQNAQKIIN >CAK67971 pep:novel supercontig:GCA_000165425.1:CT868056:18084:19585:1 gene:GSPATT00036754001 transcript:CAK67971 MNTLSSNPKKTMFYAAAQNMLNSNQSSMQNLKRSLFQLALFPSSHQKDQLNLSLNNNSDR AQANYSLPRKSNIFQNDRDRSLSYKQNISMEQNGSVERLQNAYNIKGHFQLMNEDPNQIV EKEQKKLELKEYLLKQIEEKKNQQKYEQERKRIEDAKAEQLVKKQLEEMRARQHSKFENS NIQQSQGSIWLIYYYIRKTQHNQYKQRQYNNNLCHLRKKAYSFSQQTDILQKINHLQNDI KSLQITQQQQNDVNSVRNNIKLMMKKQSSMNPEQINKIQKKDVQQLKDRQLDCETIFIPA NLKLNTDVDILQQNENTVQDVNKSTNSLNFTKSSQNQLILNNTSVISNQLNNISLQQVNN QIVNHSRLTISQISSRNRSLSYEKQVLPEAIQIDNTQEETKLVQKQKKPKQNNLQLKLPH RTTMLMQIPSKNQIQTQKSEEPKSSQRN >CAK67972 pep:novel supercontig:GCA_000165425.1:CT868056:19654:20844:-1 gene:GSPATT00036755001 transcript:CAK67972 MQVKQCMFCQKLATDGSFQLILKRALYSKYSQSQNFFYEKDINDILEDKQVKSTIRNKDN AQIGEEKEFMRRFYRYFESDDRIPSLLEYYKYHINIPRNFHCKVINKRMEKVREIQYCKV KIELGLYEEAKQQTPTKVKDSSDDCSVSQLKYLLKDLKLQSTDISRISNTTVLKDLVQMI GEPKTKPKPFQIFNQNKQIQNQFKPSQEAQRLIYQHCQGTLKKTIEMQLKQTKQINSPPL TQRIVKPIQTSRQSSAHKQPSKADNQAQTIKKPPQLKQRQASLGNIEFTKSCLTTARNTP QQNELLIELAKKMFSTKMTINGSNQKKKAIHLNQHQNFFVKGRTNLANSSKNFEDLKSSM RSLRSRHLTPSQICVSNHGTPKIKKK >CAK67973 pep:novel supercontig:GCA_000165425.1:CT868056:20886:21756:-1 gene:GSPATT00036756001 transcript:CAK67973 METTAALTTNTFKITGNLKPLDKDIVQLQQDYEIMKKNREQAKADLQAKFDEVYDKITQT KQMFVKLAVELNGKIQAFQKTNNTNISNLENRHNEQHVTFKTLTNAKLTTLDSDIVKLDS AIEQEKLDRIRTEKENIENIQKSIDQLFGNFELEKQTRVANEKKILNTIKDTSQSIDQAI KNEAVEKEQKHQELIKNINHSLQSENRYSEGFRNNTVKEFHLQIDNIEDEINNRFDQQDK VVDDLRSVIRTIQNTLGILGKDV >CAK67974 pep:novel supercontig:GCA_000165425.1:CT868056:21800:23263:-1 gene:GSPATT00036757001 transcript:CAK67974 MSLIRENVKNRGKVYRCFAIYNCSRQEFTHVQKRRCNKSYESSYQDVKEQAEKCFSTLSK QLNYKNAYSEWLGILDQHQKCFFMFMLFPQSNIQLVDDALNQIIKLVSTIPNYYHVICQY KQLTSEQLDQLKRTEIRKLIDKLENEYIENEGIMGNPSDDEQAGSKIITFNSFKSNPQSS FRSNIQQQPSKNDTRDLAQTKTTDREYIEFGVYRGFAIYSVKLDKIIFQLRRGSKQAFTQ SINNIEKLLKSKSKQPQQNSLFIEQVNSRAEWHGKYHKPDYSIECYYLIMTFQNADPEQC NSTLKLAISRFSKDPNFVQYSLQELNDKYLWDISNMLKSSEKHYEYDQGHLELPSDDEII PKLDKLKQSTVGITKNYSSFSASKMELIQMQILPRSQLIQLGETELDSPIQTQETILTKL NHFEPKVDDTEDILQYGVIISLVILLIISIYLILQ >CAK67975 pep:novel supercontig:GCA_000165425.1:CT868056:23441:24619:-1 gene:GSPATT00036758001 transcript:CAK67975 MQTDYFSQRSAKPHSQSTKNLDQQYRAPKVSASTIYSVPCMNCENLIPINEIDQHTMKCL SVSKNVTAVLKSNRILDEINFKISKLRDSIQQLNAKESKQENSKYLIRADEMCEQIQTIQ NNNQIEFRKLQDLNQELRTMTESYRGSLAIALYLERLHSLGLQKQTQLEKEIRTPRVDVQ KHNINQTNTKQPSNVNGKQLGNNYNSQSQSSSYFFQQPSEYSRGSQLPSEQNRNSQLPPP SSFQNSPYGRPTIITKFSGSQDRSQMYDMKSEILTKISTSQLDESEANQNDSDLNNSNQQ NQQQRIFYSKCLAQKTKLPNTHPSQKIPLCILHKEMLQRKIPSSMWDKFILDALNNPHQY LDMNKVQNTQGLKNQLRSMTQEHQFKQRIHNI >CAK67976 pep:novel supercontig:GCA_000165425.1:CT868056:24720:25055:-1 gene:GSPATT00036759001 transcript:CAK67976 MCRILKYKSCNQRYDYKVVALGYWWVIRSIQIDYTLLQKRVLALNQLRSIGAIVVCDMTS RIGYLALLQQQALIEDVQYQQQDQEIPKPQSLNWNAAMQNKKVEKKQDGCC >CAK67977 pep:novel supercontig:GCA_000165425.1:CT868056:25193:26382:-1 gene:GSPATT00036760001 transcript:CAK67977 MSKQHYINLVRQGPPSKLRWAAWKSILLKNEYPFNSKGSSELINKDIKRTLINHPFFQST QDDYTIGQYQLYNILQALSNYYPKLGYCQGMNFLAGFLLLVSGGDEYYSYQCLVTLLTHP KFMLYFNYDSNFQLLGFLEFITQKLLQLHLPSLYKHLYRQLNYPESFWLTKIILTLFLYN FQLQHCLRFWDYIIVEGIFYLPSLVIKILDQFQSQILAIHEFSDIAMWFQQLDQINLDVH SLESSKKYKLQKHHIQHYANLYSKQLPLIEQLKSYPKGLSNFLTDALN >CAK67978 pep:novel supercontig:GCA_000165425.1:CT868056:26398:28825:1 gene:GSPATT00036761001 transcript:CAK67978 MQKISDLPKRPARSQRLEQISRRINLISNHFPIKFIQQNQAINIYSVDFDPPIADDARKL REEIVKLATRDLQTQRLEEFTLRGRNIWSSINIQDRLIATVTFQGQEYRVLVEHKKEYTL QDLSETTVNPVTQSINVAVKRSLREMGMIEIGRQSKFYDPRDIDCNRHGLKVWRGVKTSF QMYQGKPYLQIDFASRVLRDQTALQFMMSLNTRNIQDIQNEMIGLSVLAAYGNCRIYKID EIDFTVSPLHSFQLQDGKSITYQEYYKQRYNIQIRDLQQPLIVNRDKRNQDKIIYLVPEL LTMTGLTDRQRSDYRCMQSVAQYTKLTPQQRDNEIYGFYQNLRKYLNKQNIQLSDDQNVG GFQLQAPRIFMGNKEYQTDQSGFFMIKDPVFQGSHIRDWFMVYQSRGKNDDDDVDFLVSE LQKQGDRIGIRIEKPYYVVLKDNNIQNWMQRLTAEIGDKPPQLIVTFINEKDKDRIYGQM KKYCFQEHGISHQNILSKFLKSKNPSSVASKIAQQMSMKLGNPLWAIPKPNGISDKTMVI GIDIYHKLLTNRRSCMGFVAYLESECLNTFARPIIMKEGQEMCHEVGRITVEAISAYFER NGKKYLPDTIIVFRDGVGNAQIEALKQTEILQMKNAIRSINKNYNPQFAVIMINKKINDR FFMVNGGGGQNQQKQTLSNPPSGSVIADKITSSNFDYFITAQYVTQGTCTPTHYRVLEND TNWSEELFWQFTYYQCFNYQNWTGAVRVPSCVQYAHKLAYLIGDTYQGTLHKRLAHLQCC L >CAK67979 pep:novel supercontig:GCA_000165425.1:CT868056:28906:29932:1 gene:GSPATT00036762001 transcript:CAK67979 MQQYQKTFIVKPVDRNLIVRSTLKLPQIRQDIRIHQSIGMMNIPNRSSEHIRNSRLHTKT KTRDTIKAVVKERPHFKQLPTDRTMPTLPSLNSPRSEDEGDSTHKSLFSLRRTVIDTLSL RQSRRTSVEKERFKEEKKDEKREEKNEEERADAKLSGRHYVKSEEQQTVHQQLSKSHGEL NENHMNLDEVLEEPVEEIRPKKESQSIRPKNESVSSQFTQITDEIHSVWDNVDLYLRGVQ FDEFEQQAQLQSFLQLYKMRSGGFPNLSNEEVIRRAAEYAKGNRQVFKKYFPTKQVVFEN EEDYTQSYDVNSDANFQKFQKYIQKYNQQ >CAK67980 pep:novel supercontig:GCA_000165425.1:CT868056:29986:32425:-1 gene:GSPATT00036763001 transcript:CAK67980 MLRSQEMSLYQLIMPRESAWAVMDQLGYMGKVEIIDHDPSIPLIARPFANYIKRCDDLLN KLNLLIETAQKLTILKQFQISAKTSNKMCPKIHTHQYLDTLEDQINSKVNSFLELNRNHE QLLEQENIIIDQLDILQECRIYLGDDFFVSRDSKIDYFIGTLKQDEIYQFQRMVFRVSKG NAFVHIKLQNSKAIYIVMFPDQGMMLKKKLQKVQESMSLNKFSLPLNLKEFDKISNELTA KLKEIKQLIELTNIQLNSFIQELLKQTEGVRLIDHYNMYISKEKELYIQLNKLKMQGNLF LGELWIPKKDSAQLNEVLLIVKERNRDIPGCQISQKVPHTTPPTYFVLNEFTQVFQQIVN TYGIARYREINPALFTIITFPFLFGIMFGDIGHGFCLLTFGIYNIFYKFEPFHEFRYLIL LMGFFSFYSGWIYNDFVSLSLNLFGSCYVVDGQMTPNKPKDCTYPFGLDPAWGDNLEFDD SFKMKLSVIIAYFHMCLGICLSGCNFINKKDTYGFCCKFLPQILFLTATIGYMDFLIIFK WVKSFSPEDAPSIINTMITMVLSFGSVEGPSMWSVNSQELIQSILIIIAVVSIPWMWFSH IIKGYQVFQRKNNVKIKNSTSSIEGSQVIELQLQTIQDETQQEKSLLQTHDHNDLSPDEE FTELIVHETIETIEFVLGVISNTASYLRLWALSLAHSQLADVFYSLILSSPMTEGSIIGA LLRYPIWALVSFGVLMCMDTMECFLHSLRLHWVEFQNKFYKGDGVEFHVYSL >CAK67981 pep:novel supercontig:GCA_000165425.1:CT868056:32494:35732:1 gene:GSPATT00036764001 transcript:CAK67981 MFDSDSIIRNNLPDGEFKGPIHHLLSNRVVLQEGDIQGGSFNSFTVPENIMLQNTPPRTP KNMERFKTMGGRKSEFQSAYEGEEQDSVKDSKPQFLRLIIAKSLQNNFINNLWNRSYLRK LHQLSAYQIEQLDDLQFESDAFSNIGHPNQRSLIQTLAFWNLIDVFTPYSKFIVIWDVFQ ILTYIMIFFWLPYKISFEIYYISELFNGDSGSKIIEVVLLSILALDVVVGLNLAFIQKGQ IIKDRKRVIINYFNQYAFVDLVNITFYLWSRYPQFQHLSSKDSNMLVIQIVLGAIFYILR ITKINKILAQIQEFFNLNGSLNDMVGLMKLLMIIIFIAHICGCTWHGIAHYTTAYSWLDA YNLRARTNGTRYNYSIYWATMTMTTVGYGDITAQNDIELLINNITMFVASIVFAYSVNSI GIFVSNMYKGTMEYSRSVTLINTFMSKNKIQFDLQTRIRSYLEYIWQEEQEMNDDEVGSI VKKLSRHLQDELQYQLRGNILKNCKIVMKLFSEQFLKNLLQCMEELSFSPEERIITCNQL DDCSLYIITKGEVELLFSGKNQLGDMIKRNSIKFLKQYECFGEVAFFTGNPRTATAISKG FTRAFKIKRENFIAILQSFPNDYEKFCDARDRLINNEFSSLQLNCYSCNSNRHLINNCHI LHFCADQEKIIKKELYPVEQRRSRAFNRIKKTKAVCAFTMQKYYSGRAHDLIQDIYQQER GGTDLEDGDSHTMPMNDAYEDDDLPSSINQQRSQSRTMSKLSSKAQQQQPQQQDDEDEEQ YNKGYLRKSYPPRQTVQTAGFGGGNTKNKEITWNVEQLSDSLDSSEEQDQVIPLQQQPHN QSKSSEQNNKQQQPLKRQLSRSGSTDDPSKVPTEIRVAKQQALSKNQSDLEIRHALSREQ ARKITGRTRTHNDDPTLITDNLTQNNVGQLQQAPTITTIVLAFDKMCIFQFYQPLNNYDA VIKRFARAQKFFGKKRLYPETSLYSFYFMAIKKGFKLKKLGQSLPNGLQRFSTGAKLLKK AVKRMKQPTLAEKSDIFVQIK >CAK67982 pep:novel supercontig:GCA_000165425.1:CT868056:36360:37693:-1 gene:GSPATT00036765001 transcript:CAK67982 MQTESSNLLNPLELLKNSGIPKHLLYQSRIEVDHLICPICLNILWKPIACGQDKCFQSFC EVCINKWIQDQAGDEVLQESLYSQSLIIAPDSGSGSQYSSQSSSFSEMPQQIQIETTLPN CPKCKRQFKKTSIPIIHNLLDSIQLSCIYEECPTKPGYEQFQKHILQCPFRRINCSGCGL QQLQNELEQHENQCEQVIIECPKCCNKMSRKLFSLHSIDDCVQQQFNNKDNRIAQLNKKI EELNQKNQQLEQKICKMLKGDSFDTIHQFSFKTMFTQNYQFTGTQAVEAKLIRPSFNLQK QKNDFLHFYINHKVKTQAIQGLIWRMRIQTLKGNLLIGICSTLCHYSIDFIINKLGELKR KEWNENKYKLLRKGNFTFSEGDTLTFQFMPLQQCLRITNENRHIVCRFEPTEIIEMGDSF FSFFSLENQGDSIIFI >CAK67983 pep:novel supercontig:GCA_000165425.1:CT868056:37725:40107:-1 gene:GSPATT00036766001 transcript:CAK67983 MESESPVMKKYPIENILNDASGLLKKNGNRKVILLLDKHFMSYSHNEMSTLNIQISRRLM RAAQNLLSEYIQGENIQKVDLLLDRCNLYTAVTYINIQRMLKNERGTEHFEELNSAQIEQ KIGLNRLRQRIHQTICIPGSLIKVANLSKLKEKTKVRYYLNECLTLVMKQLIIYARLFKS TNEVQNSLKVMHKIEKLLQIKEMKQTKNIGLIKIFVDHYQNFGQLYFQLCEFNNSLKQYQ IAYSYLQQLIFLILKKRNTPNVIDDSDLKPVEQYIMKLVMILYLQSFCYEYLSEYSKMKE CIHLAQWFCNEVLRLEDEHQLRILIFSRAQDIQKYIDHILAECEIRHMIFTFLADDTNPE IKAIQLQVKDDYQKALNEKFYLKFKMEQLNKQQYFKLNPVPVKPPSPYLLYNVPKGSRTE RELTQQQISKNYQSFTTQDGYDQHRLVTSESESKLIKQNSISSFSRRTRPTDFTQYCKTE QSDYPKLDQELAGVIVNQLKKEQAFYSLADIQRTCKDELNENQRQQQDYELGKAILMFKR QFSKSAIAEPKETDSLKQLNNEIKTEFQLVSGYLEAQERLKKKRQQQQLFEQSLKPKPKT KTPFRKILIKHCNTLGLKQTKKKLQTLEEESALMKLYQKISGQKEDQKQQQEQQQNNEDQ IIRQMIQTNLNAIKLIMDDNQQEQENAQKQLKSKRHISEQMPSKLEKAPNNQQFRESFKG LANSTQYLSTKGQATKNVVSLLDLSNIKRKMNHLKSKKLVRYSELFKSQL >CAK67984 pep:novel supercontig:GCA_000165425.1:CT868056:40273:43568:1 gene:GSPATT00036767001 transcript:CAK67984 MNNIKELTQKLLSISPYFNFHSSLFQNLLFSKQLRQLRNTSSSTFGIRNKSTSSILKWIQ TFQFKSNCNFWILLEQYNPNLESKIFTTQIFQTPSSMINLFLQARWIQLLIHIESIQIRT FNVQQILVNFYDQIGLNLSMSLDIYIYQFMLILSSSKRCFIKLTFLDRLIPLQILYPKFK QNEQLLRDIPSAFTKQYDSTKVLIQLVKILTREEQKKIKALKFDTQTLFNKGHYQWSRVI NYSLLIPPMFTNAFYQAISSLSIILVIFAFFHILGSILLAFVRAVQGNRAKIDYEPLQIS VFFNCCDIVLIKMMDSFDFINNRSLAFIVLHIRVLKDQQTTQESRRYLFWNVFGRIDYDV TLVSKISIISIIDSSNLLLEGGIKKNSAFCLINLVAFTFSVILIYSYTIQLYMHMIVRLI FTLQAKKINKWQGHLATWVISLLYALISLISFQESHCGVTLSSTAPFTFIILLLSFFAMA ITTRQYIKQECEINSTNKLQKEGQNFMQYITKLFIVQSIIMTSFITFAMAAYLLDQSLKL QVNCTNATPITWLAYLGFFAQISAVVYPIAIPLTRFTDPFIKKHLIKHYFPSKSLTGSRD ISMIEQSLEGTSIQYKKISLDGLSQSLIEQNSFQVSQEFSTFRKPYTQSDYLRTNTVSVG RSRQLTMQNKQEDPFIHQLTNQLRSEIIKRIMALILIQEHDKVKEISQNKQFKKILRHQA NQDYDDQIVFNVNDMFLKEHFREQFKVQNKKFKMISYAPVIFDILTKEDQEHLDLLNCLD LETNQEQIRECAQTSGGKSGEFFFFNHNNKILLKTISMSELQTLLEIIEQYFWHCTNNPN TLIAKILGIFTFEGFEIGRISMILMKNIGKINKMAIQRIFDLKGSSYDREVIKTIKSSGE FNSQNVETISSGQVLKDLDFIKLEKQIHISPELCTQIYEQLEVDTLFLKSLGFMDYSLCL MIVDWQSFYQSQLSNEIQDEELIQKIIGQKLTRLQHCYPSTVQNGFYYHIGIIDYLQKYN LQKITEKYAKKFMKLDSNLDTSSQNPYEYRKRFLIFLKRIL >CAK67985 pep:novel supercontig:GCA_000165425.1:CT868056:43804:44903:1 gene:GSPATT00036768001 transcript:CAK67985 MWADDRMSYFSKDSKYYFFALYDTDQLQIISLESRRGTITYCSLGDRLKETAVSLNPLYF CTVTPKQCLLWNQNTKKVDKKIENTLDFICCCFKNNDKELVTLSYQEQDATLISCWCLKK LKVLSQWTCKYISHSAKCYVIEETQILIIDYQDVHEHYFQIWNILGQSLIRILYEAIDSF YILNNFGSKLIVDCEDDEDDDNDENADKSDEYKWISIFDILTFKYQRFKTFIEQGQSFYV QEGALIIQNRKNNSEDVGIFINIK >CAK67986 pep:novel supercontig:GCA_000165425.1:CT868056:44957:48073:1 gene:GSPATT00036769001 transcript:CAK67986 MSSQLPQLMITEQPMDEYQDFISHSLSDVCFSVSKFQSKGNNVSENSLDQDYQQNMNSNP LSPNNINLTKPSNDSSDQKQIIQMQQRPSVSSTQERIYKDFFQYSLIQKFVHRISFKKKL NSMFDKYHFDVINDLGATFNLNLFRENTIKMRPIIVKQVQKIENRCFIKFNRFRSLFLLK WNFFINKIPLIYPESKLKMLWDAIVTLARFYFIYVIPMDLAWETQSFMFDILIVPTSLML TLLIIDFVLSFNNAYYEFGSIVTDRRKIAQYVFTKTYGLDILSVLVLITFLIISVVQSQQ VRLTENWYHLLLLLFLIQYKNISKLSEQVEEALNLSKQVSSLLELGKLIFLLFFVLHIFS CLWFWVGTYSYKNDHKTWLNLKNLEEADWNIQYLYSFYFSTVTMFTVGYGDITPQSTFET VLCIMFMMICSIQLSYSVSTVSAIIDKISFYSKEKRKKVQTINTYMQNKNISYELQFKIR EYLNYYWQCNQQEETEEEKNIINQLSENLRESLQFEANSMILNNCPLFKNHFSVQLKKKL VKKIKSIVVQPENIIDFDHLFPSQKMNQFICFVEEGEIQIFIENEMLQNHVSYNSISIVN TVSKGSSLGLMSFITGIKARERFKSIGFSKLLLLSRDDFLKIIPEFPEDYEAFREMHDDL IYNSDSEFLKLGCFSCKSVSHKVIDCPLVHFIPDKEFLVKKHQFSKDQKRNEMCKFKFKR NQKRTHNYFQVFNDLDLIQETSDLFQADNYKQCLFYEELEDDVEKLKIQQINIPRPSCYF LQDSIKEEPEEDFFMPMNLLNQRKSQINKITKKSMSLLVDDDLMPLQHFRGINKFKRAVE IVKSLNKIINRKSLKAQTKNILASLSNENYKDSEQKQLLNSIKKRLKYIEDHNIEWRESD LQEMEFLKMRLQMLIQLNAQKPEKFDQIECVKQYKFYNIHNNVDQILTIYNEFYKVKLKE QQNIPQVFKQFVKYLMYPYSFIHKYKFKSDNFNMREIKQEETNQQKKKNQFSKLLTLHKQ SKNLRKKLNVKKAQIQPL >CAK67987 pep:novel supercontig:GCA_000165425.1:CT868056:48092:50643:-1 gene:GSPATT00036770001 transcript:CAK67987 MGNQCCGNQPINRDANEQAFAQAIPVRLQQKLKPESQRFHQVLISSGENDKKDIFSNERQ QDFILNLKPYSHLATNDSVVVSIRVVCHDYSYKDELDWYIDESVIIDPNCFETSLLRVID KKTGNKYTLRVINFNDYEDYEFKQALYQIYIMQLIGNKCKNLISLHDCYILENEDKESSK GSIILLMEYCDSTLHEIISFRKFHNWQWTSDEIRHVFGELAQALGQLEQLNITHRDLRPH NIWYCSLSKTYKIGGYEEAKFVKKAQTLHNQLSLNNLGINIQQENEELLNTIRGVPDYLP PEVQKYVDASGAQTVGRYNPFLADVYQLGLNILMMDQLEVNFESTELRNVVKKLNNQRAG SRGSSNDYHDVLKLMLVGDESSRLTPAELSLFTKSYLSEIPINEDNLVESMKYKKKYAGI ESTKVYQLIAVAYYDLFEWEKWLEKMEEILVTYENAKDDFHAAEVLFDIANGFIDINNLS SAQDYFMKASILYNEIGHQLREQGKLNEALDNYERALDCVRKAHNGDDSYEGALLLENLA NGYRLQGNLVRARSFLEEACRITEQEKGADSLEFAKMSINLGKVHSLLGDYKHALKRVKK GLRVTEGHASGNQNLQQSMLSYNNQNQQQQNQALLMKAQGLTMLGEIQRLKGTLGKAKKN IEEALAIREKLQGSGNLEVASTIEVLGNVFFEMEDYHQAKSQYEKALVIKKSKLGENHVE VALTLNNIGNSCKHLEEYDKSQKFFADALVILKQSQSGDDHPLVATTYGNLGILLKQIGN IQSAQQCLTKCIQILDKTLPKNHPDSLFYREQLQEIQQ >CAK67988 pep:novel supercontig:GCA_000165425.1:CT868056:50715:53085:1 gene:GSPATT00036771001 transcript:CAK67988 MEPERSKEILSNLLAKQIDMNEQLQNENEELNTNLKRLLNLLNQKDSQLEDIKDRIIQVD QTETQYQTTISNQTQQIKLMQSENQELVLQIQSQSEIRYKMEQELAKIIESFEKMQKINQ QQQEEINLLSERNQQLEETQLTNSIQIEQSQKQIKLLVSSNEDYEKQTDILLKQTNELKQ IQIKLLYNLDAIQKEKDEMTTQFDEKLIQFKSCFQSTAENTKDLSNQVNDLTNQTQVLQK QNQSLIDQIEDYQTLSMKLEQERDKYHKELEITVQNNTRLQNNNSNLENQLNQLSQDQES SIKKMKYMIEQIDELEQANDSQLKQNNELRQQIKKLQFQQDQVLKEKEVIYEQFEQIQKN AQHDHEGNRDKYIKLDRSYKELHCQYDELQNKYKIQNQTFDEQQIQIQQNIKEISELKQN LRIIQESERKHSYNYEVISKEKFMVTQKLEESYQIIKDLRDQCQILQEKLQKIEIYNKKL LMESEQQQKQIKAQESKIEEHEVHIELLQVQINHKQTQQAEIDRQQAMKQSTTKKSQSVN KENNNNELMRLKKQNTELTKEAISLNEQILELKNVINKLNDQLAQQNKVKAGLESRIQSL IKVVEEFQQKQIQKQQDSLTKEQFQNQVEQIKKNCYIQIQQLTRENEELKKLLQTQANQT FNSTEEVSSLQDTVADLNREKVNLIRELNQEHEAKVKSEAERDKLKQQIEQYQLEIENCQ NQLDLYVKVLKQMDEKLKQQ >CAK67989 pep:novel supercontig:GCA_000165425.1:CT868056:54569:56997:1 gene:GSPATT00036772001 transcript:CAK67989 MEFATALVFIFLSCACGIVWAIFNWMAVHKVEIHHKHEGLTELLQGAEQEKIETLLEIGE HIQEGAQAFLKEEYTDCTVFLAIMAVLLIFISPWSSLAFVLGAATSMLCGYLGMAIATAA NFRTAFSAITSLANAFQMAYRGGCVMGFLLVSISLAILSLIIIIYNAVIVKSDENNYEDL VRMFDYVAAYGLGGSTFALFGRVGGGIYTKAADVGADLVGKVEKNLPEDSPKNPATIADN VGDNVGDIAGMGADLFGSFAESTCAALVVSSTQLRVQSEGGYSIEIGQLMYPLMVSAFGI GICILVSAYAVYISKVNHINKIESTLKLQLLLSTIALSPIIIGISYWALPADFVMIAADG SVQLSELKPYHAFLCSLLGLWSGLLIGYFTEYMTSHSYTPVREVAQSCGTGAATNIIYGL ALGYLSTIVPIIAIAVTALLSMKMLSFYGVALAALGMLSNLTIGLAIDAYGPISDNAGGI AEMSELGENVRESTDALDAAGNTTAAIGKGFAIGSAALVSLSLYGGYLTRIQTYKVGAKI DDPVIFAMLLIGAMLPYAFSAFTMKSVGKAALQMVEEVRRQLHERPGIYAGTEEPDFRAC IAISTKASLKEMIPPGLLVIVTPTAVGLFFGPQAVAGLLPGALVSGVQMAISASNTGGAW DNAKKYIEAGFYRNDAGEVKKKGSDEHKAAVIGDTVGDPLKDTSGPSLNILIKLMAILSL VLAGAFCRTGWLYQG >CAK67990 pep:novel supercontig:GCA_000165425.1:CT868056:57001:58519:1 gene:GSPATT00036773001 transcript:CAK67990 MVEDSKPKTYTQKQQKSKQKQRLQQKIQSNDSDDEFLNQMILKNQENQKLQEIQEQKEKE QLQIKQSKGPAYDFDYPLIYSTFVDNSHLRNLNNWQEKEIKLQTQPPTIPISQQFKDQKY PVSHEIPYLGEKSTRISSDEMREKDQIHEKQLKALRKAAECHKQVRQYTQQKLLKPGMKL IDICEQLEDMNRYLIEANGIEAGVAFPTGCSLNFCAAHWTPLIQCDNTVLDYNDVCKLDF GTQVDGWIIDSAFTVAFNPRYDKLLEASKDATYTGIKTAGIDVRLGDVGAAIQEVMESYE VELDGKTYKVKSVKNLCGHQICQYKIHGGKSVPNVKSNDNTLMKEGELYAIETFASTGKG VVYDDLECSHYMKDFYCKQPVVKNPKAKALLNHINQKYDTIAFCKRYLERDKQSNYLLAL KNLCDLGIINALPPLCDLRGSYVAQYEHTLFLKPSCIEILSKGDDY >CAK67991 pep:novel supercontig:GCA_000165425.1:CT868056:58526:58936:-1 gene:GSPATT00036774001 transcript:CAK67991 MKYLILLVLAISVFADVKNEGKVIELTSDNFKSIVLESKQDVLVKFFAPWCGHCKNMAEA YKTLAANLAENQNVLIAEMDWTQHKTDAVEIKGFPTLVFFKKGGENPEQIKYQRARTVEA MAEFIKENTSFQRDDL >CAK67992 pep:novel supercontig:GCA_000165425.1:CT868056:60049:60171:1 gene:GSPATT00036775001 transcript:CAK67992 MISLGLQYQYNVEVEGVIFDIIAPPDLLDDDNLEPIEEDE >CAK67993 pep:novel supercontig:GCA_000165425.1:CT868056:60763:62713:-1 gene:GSPATT00036776001 transcript:CAK67993 MSEEIQQSNSGQQLITNYFNQNPENSQDRQRISRKRRIDTELPKKKVIQKLKSDDESSND NNQQALVNPPNCQTIYKYLKSTKDVSNEAGNQIKALQQKNTEEGKQKAQVNDKTVYAKPK ESKVMLQSVQKQSEDDANKLQQKLMEKEQVEKQLRQEKQQLENERQELIQQHNAFKKRTQ EVFAEALKQVEELKREKMREYLERERYRLGEFVSSRNNVRFVEEWQDGYEIKQVKQNLQK LENERNELEKQKSEIKDKSLSKIQKDKQTKLLFELDLQNGDLDNNQRKLRIQFQLSILKK EEEELKLKLQKLEEEKQQLAYKTRRFMEEQKAEPKWPLIAQRYQTLGLLGKGGFSEVYKS FDLQEFRVCACKIHYLNPQWNENAKNNYIKHALRENDIHKRLKHINIVSLYDTQEIDQDS FCTVLEFCDGTDLNQHLKKYKLLAEKEAKLIIRQVLAALHYMSCSPTKIIHYDLKPQNIL FHKGEVKITDFGLCKVLDYDTTRQELTSQGLGTYWYLPPECFLEQPNIQISTKVDVWSVG VILFEMVYGKKPFGDGMSQERIAQERVILNSYQVKFPQKPNVSQECKDFITKCLTYNMEA RWNISEAYYCNYIQNLKPTN >CAK67994 pep:novel supercontig:GCA_000165425.1:CT868056:62773:63604:-1 gene:GSPATT00036777001 transcript:CAK67994 MDYDNMDFTNYTNEQIGEMIKTQGLENITYEELIAKKNKRIKEFYEQDSKLQEAMLRHWL LKRKNKHLIDFNDKERSQLKQYFNSLDEDGSKSIGIDELEEPLISLGIAESREDVKKLIY TVDDDGSIEFKEFLEIIKNKNGDGKSNSKETMVIDFFKDMINGRLGHGSNQPINKNLPFS LIISTIRRQKLLDALMANDPKKKEEGEKVMKAYGKLISQRRAQKNDGSIQGSKKRI >CAK67995 pep:novel supercontig:GCA_000165425.1:CT868056:63722:66292:-1 gene:GSPATT00036778001 transcript:CAK67995 MYKERYVALFEDTYSKNDKVIKQTLKTMVQEFFKPFKPDSPYKLSWDLVGMLFIFVQMIT IPLVITFSIELDLFYDICNQIMDYYFLVDIIVSFHTSYYHKGNLITSHKKVFWNYFSSWF WLDLISSFPYDMIIELTLKSNSAESLQRNSQILKIVRVVRFIKILRLFRALKLKKYINQL EDQLMMAKSVISFFAFLKICIIILCLAHWLACIWNLLRIIEGADSNWYTRYQKYQLENYI IYQDTNYWVSQYLVAIYFSITTMITIGYGDIYPITTIEQSFGVIVMIFSSGLFGYIMNSI VLLFENQEENVVEILEKQDKIMLYLKQKRVNKQLIARIKNYIEWLQQQEQIQHSYEQQVL QNLSENLRREILEIIHQKITQSCLLFSQNFSSQLLQKLIYYFKEQTFSPEDIILQQGDVE NKQIYYILNGSVKIENIQYELKKKDYFGEIGFIANVPRSSTIIATNFINLLTLCRSRFLQ LLSPEDMEKYFEIKFQIEIEQDISALKLTCYLCQESNHIAIYCPYTHLIISKYDRINVIQ KFQNKFKKNRKHRQKQKTLLLFINDKYLRAQNRIEKEMLNIEYFLNKKKQLTKFKLAQKV LRDKQVKDSFVSESMKEYIRFIPKCNLGTIISIVNTKAEFNQKIIEDKREEERKKRTKES AERFLRMLQIKKQNQIKYNTQKQKKSRLSIDNILKFAQRDLARKPSAGEENRRRLLNVQD KRQKNQKSFNSFESGGKILLTFPAIPDDAFESPAQELKYFRKTLKSSHASTNVSIIEEQY PDVYQTIPKRCSSQENHVPKASQFYSTTCLENMIYIVINHKLQSQK >CAK67996 pep:novel supercontig:GCA_000165425.1:CT868056:66317:66750:-1 gene:GSPATT00036779001 transcript:CAK67996 MCRKNKKLHYKSKRKRPKIGEEDSKKLYKKFWNQKQQIDQSRIKDRKIKWKVTSKESELN LLRNIISLLNVEVERLSKSGEDLLIMEVGEKSTLGYKLIAYTRPGSSFRISEKPQQQ >CAK67997 pep:novel supercontig:GCA_000165425.1:CT868056:66798:67160:-1 gene:GSPATT00036780001 transcript:CAK67997 MISEMSICGRQILQILELNAYYRKKAYQFQYKVETEGVMKSKDGYQTIVKLNVSEQHKGI INTLSSQENLIKIIIRSRVGIQKFLEPLNQEIQKFQDQQATVVPKIRIDHLNDQQNELIS >CAK67998 pep:novel supercontig:GCA_000165425.1:CT868056:68643:69047:-1 gene:GSPATT00036781001 transcript:CAK67998 MKYILIVALLVLTITARSVHMGKEDPLTRCMARKCRPQASACAKTKGCAENIQSCADALQ SNEDLDKFDTCLQTVPTSYALMECIFENCADVQRESTVFEQILKKMN >CAK67999 pep:novel supercontig:GCA_000165425.1:CT868056:69763:71852:-1 gene:GSPATT00036782001 transcript:CAK67999 MGNSQNPKKQIIKNDMQPQPTQQISKNQEKPIKQKQKEENDLEAEFLKFLAIGDRKNKWK TYFKINKITSMADLLSSYQMSETTRTGRRLNEAEKQILNNYLLRQSKEEDIIINYKSIHD LKFLKYIESFDQFKEISLTNMKIRNILIVGITGQGKSTFINSFVTAIEREFKPRVKGKEL NLDYFVIIKVKDHQAQSDTNEVTSYKFIIDNHLIINLIDTPGLADTEGLQKDQERITQIS KYIEDQIYKKNQNLHAILFVSQSSTQYEVIDGNPSLLQLSMLSILKLFGKEMCAFTKHCL TFSDFTATSTQNFESRDSIFYSVKEQQILINSRKPDQQEHLQETFNEAQFKAINQPFNTE AENNEAENKIQEEFYFQFQNSVFRAIKHGFIENIHFQKNLGNYQKLFDFIKNEANDGFKL VATVQVIQQRTQIRTELGGLQHTLLQLIKVLKSVDENTRKLQIYRNKIEDSKMFESVIFL IEWKKEFIFKNKKKAFSTNCNKCNKTCCKACDSKILDHCIEMIEKNVKGVKQIICSRCNH EIEFHTQQEYFWKPEEVQKIIIDESLKQENDNAQKNSSKIKQLLEEQEITRNNTKENIKD VMIKMKECLQGLLSSALYRLDILDVEAYDFVLKFYPDYRKALEEFEDMDDLKVTTQIREK IKKDKLMASKTLLSVETQQFNLKQFKRN >CAK68000 pep:novel supercontig:GCA_000165425.1:CT868056:72313:73465:-1 gene:GSPATT00036783001 transcript:CAK68000 MKRYTNTEDSAEDLTTYWGRLKHFQNIISPANIFYSPEQLSEYGKTLANVDKDPSIRQKY TDQQLWKMRYVVDSNIHPQLKEPVNILFRTSTFVPVNVPLAFGLAVLPPTPINQLLAQSA NQTYNFMFNYCNRNASNVFSNEMLAFSYGGAVSSAVVGSLGTSWLFKKLNAPALLIRACP LFGVLIANTFNLFFARYPDFQKGIQVFDDETQEPLPGLSKEAAKIAFFRTLVTRYILPLP MFIPPIVIYYMKQAKCYPQGRAVGLALDLSLSGFFLYCGLSVGIGIFPQYLKVNPTDLES QYQNLTNSKGNKIKTIVFNKGL >CAK68001 pep:novel supercontig:GCA_000165425.1:CT868056:73910:75556:1 gene:GSPATT00036784001 transcript:CAK68001 MDIEKEIVKLSSCQKFLLIQSFRTLINIIDLENFKQKQINFRDAEISFIDYFDNELLIGD KQGKLYYKNESFQVHSGQFLQGIVKDGMLLSLGSDGLLKQTNIKTFQCLYSRQLSHHIKE GTLYLLTSHVLVQYGQKIVLINLENDVVETKYKINQQGPLIVKLNNLSKDYFSVLGKEGL LKIFKLGDSSETIQTIEIGSYENYELYYMAKKTTILLWNQDSIIGYVFEKELNKQYEVKI NNLQSVMQGEKLLIVYDNISNPKLKQIQNIESQKFKSLYQQEQLLRFNELQQQKQDDQNQ NKQQSNPIPIAFYDFAYFNNQSSLVKDDKIEPKTITSEQNLINVLKQSLIAKDKAYLEYA LERTLQSGIAESVNSLQLEQLQELQHFIVDKLISYPEQTKKYLEWIKAIVKRGVGDFSKL YYLLEERTKSISKLESICSKIQFNKLTQKPKQDKDYRKQQHTVYEEQQADVVIIQGNVPE KHVAEANYAEDQTSQIEDDFNQAYVKHKQELRQKAYQDIEEEAYDEEDLKL >CAK68002 pep:novel supercontig:GCA_000165425.1:CT868056:75586:76588:-1 gene:GSPATT00036785001 transcript:CAK68002 MQKRDKSPIIHVNLMKENIHTKPHSLNKNGKSSKASLNLVKVLATRRSDMNSLWEPPEDQ KQHSNRFDNYFQRHSTRRSNAQTSRERERDFSDSPKQSPSHHQCVRHINKTQQRKRIAQN LCCLPQCDTHLLCRECKHKIIQCKHDQIVKLTEFIEQPILIFLKKDNQQSELIGNLILQQ MQLFNKVKFQAEQSKQQMQEYLENIQDQLKMKCQVIQTEFNEKVDFQLRQIRTDIDQLVQ TSLVYKDDFDNRLNQNDDFVESVKLFKQQSEDPYEQVMNQVNQLQQNLNKIPQLNSLVLE LKTDITIKDFSYSKLII >CAK68003 pep:novel supercontig:GCA_000165425.1:CT868056:76635:79716:1 gene:GSPATT00036786001 transcript:CAK68003 MQEKPQLILCTEENMDDYKEPNLEESVEFVEIKHDFCDSRPQRHSLPESLSQNILTQINE LHEFLPHKEMQIKVQEITKKENRKFTIRVYYFVKRFLTKLSVSRRHKLFFKSIHFQIVGD KASGELEKLGQIKKTDKKRKRNENTFSCYNPLTGLLKVLPIIYPQSVSKIIWDLCLCIVL IYFFIMIPLELAFNNSIMYFQSIWLTVPFYLFLIIDYLMKMNTVYYEYGQPIIDRSLIFN NYLKQGLLIDGISLIVLLCGFFNDYFIQSRWLNLSLILFITQYHYFAQVVRNMEESIHLS KTQSSIVNLAKLIFTILYFLHIYSCLWYFIGSYANEIGMVNWLDSRHLSEAPYETQYLEA FYFSTVTMISVGYGDIVPLNALEKICTILFMFTTCVQLSFSVNTVGEILNSISLSTENTT EKIRIINKFMNRKKISFELQYQIREYIKIYWSQQLQQENEEEEKLITSLSENLKNKLIHE ANFSIIEKCDLFQQTFSVDTKQKLIKLFKTVLITPEQTITCELPEYNEPCLCFIDQGTLN ICANITDQSEGITFSQGQFFGLEELLTGQQPQLQLQSLSFVKLLILTRTDFINTLRDNPQ DYESFCQMKDEILLHQRDSKTKCKSCNCMGHDIQNCPIVHFVIDKERVIKSYQFQSEQIR VKGMRNRCRIRNQFNAIFDQWFLQEIASMMKNHEDLPIVQFYGIQCVDDSEIKSPQQQLL QSKDSMITNDRGSFSYVDQVIQNAVSPSPPKIFDHSIFHPQSKVQRRNYPKQSIRIKNFG IKIKFQKIIKKVMKMQNYSKAFKRQNDIESNHRFNKNIKFIVDNYFKTNSIKDYLNKVEY ENLYFLKMKCDLIQYSELVLSKPFEIQKEYQIYQVHNNLSHVIKKSDVFLEQQKSRISFK QETPKNDKLIKLNQEERRIILKDSLIRYLSYPNLYFSKYYDKNEPNETPQSIGQKKARAL FKAYKKRQIMRQNAFLLPTSQKNDSKGLKSSLAVGINVIVPEN >CAK68004 pep:novel supercontig:GCA_000165425.1:CT868056:79865:80568:1 gene:GSPATT00036787001 transcript:CAK68004 MQNKQEFSNEYKVMKDNKFQNKITNLGGEDTNMISITSAIYAFLKGRSTLKEPIRNTSQF QREKQNNIEPQRIITINPRQIEADRLLCTNDIDGAVPGSLQSQAVRNHDVAQKLRIQRDE QRAQRKRALYQSQIEQSQEVQERESPSPYRNKSLSVQLSPVQPTQPYEYMNKPLKLPPVS DRITTYTNPANNSKLQLRQNHQTFLSQEQEKTLKFIKKQPALRLFV >CAK68005 pep:novel supercontig:GCA_000165425.1:CT868056:80574:81143:-1 gene:GSPATT00036788001 transcript:CAK68005 MGTITIGNCQFQCYINYVSCDCSCDQNEIRTNRSNRFTEQSTRNRSKKRSPGDSSDRQSK FSSTRKPTSRSKSSNSDKSIYLLSDYCNITTESNKSTKKRKPHPTFIKSRSLYIFDVDID KNLSNNVEQSSAPLSYSDIGTVNRPKIQSLDKGVLKKSTEQVQQKKYVKWNLPQHYLRSN KQLQQEFYS >CAK68006 pep:novel supercontig:GCA_000165425.1:CT868056:81165:81940:-1 gene:GSPATT00036789001 transcript:CAK68006 MQGLIDIEPKQYLDFILQDNNLASTTLNIFNLTPNTLSYKVKTTTPNLFQVKPNLGIISP NNQVSISINTIQPIKEEGKLNSKFQINACTIEQDEQDLTTYWKQKDPSLIQQVQVRSRLK QPEIKHEIVHQELQQSIISEPHENISQMYQSVIDSQSKEKDEEIQRYQDQIDQLQKELSD YQLMLKSVKQQEVAVKHHANKFELKHILIIAAISLILGFIFGK >CAK68007 pep:novel supercontig:GCA_000165425.1:CT868056:81960:83970:-1 gene:GSPATT00036790001 transcript:CAK68007 MILLVISSIICLQAYEIDVNTFSNYLDVQNRHLHLEWLLNMDKKYINATSSYSFQVVGRQ INKISLDIYKLNIYSTYLKNGVLLPHTIDSPYADSDQGQRLNIQLDRTYYRGEYVELSIK YSIDSKSRAISFMTKEQTSTKTMPYLFSQCEDANCRALAPLQDTPAIKQTYTATIIYKDT EAKDVFMSADESKEQFKILNKPQDEATFTWKYKYFIQKVPIPSYLIAIVAGNIQKVPTST GGRTFLVSEPDKLAAYTEELKEMEQFLLAIEQYIGPYTWGTYTLVIQPPSFPIGGMENPL LTFANPSIMTGTGSGLAVTIHEMAHSWFGNTITCVNWANMWINEGFTVFLERKASLIHYN IPDDIKLNAIIGNTSMYQDMLGYGLESNFSSLHPDTTGLNPDDSFSEIPYEKGYQFLNYL ESIVGEQDFKMMLRAYLAQYKYQSIDQQEFQNFLLRYLQEQGVDDYSTKRYKILENWNRW VYSPGLPPVFVDFSTNKLTQALDYSNAYITADGKQPTNWQDYKTFLHSQKQIFLEDLFKK AENNQLKLTVVEQIDKDLKLTQENDFELKFRWFRAILTAGDKNRFMQIADFLGAVGRGKM VYPVYRALNKLDHDFAVQTFRSHETFYHPIARNNIINILGLKDSFIQ >CAK68008 pep:novel supercontig:GCA_000165425.1:CT868056:84777:91083:1 gene:GSPATT00036791001 transcript:CAK68008 MKKGRADNQVQPIIKNKNQAQSENRNHSYDNDRPHPYETPQKYNHTSREEDKRINQNQKV QSNRFQQEDSKLPYEINYENDFENQKISEYSLDSIRREDHIEDRINKHTLHKSTHFYSRL LKSSSQKHNKELKQEPEPEKPGIAMNLLNAFDQQKQPEEQAEYFNDVEYEKISRRHLKLI KRNPMKDPYYVRLVKLSEFEKLNYELQKYNDTSPFLQTRKHLLFSIYCIPILARKIVNNV LFKILMTFLILFNVVLYIVVKTNGRTDTGTIEQTVMLLFISEIGLRIIASGIFFNDYAFF RNMENVYDFILIFFTAMSIYYPDIIIIDVSPLRLVTLLMYLTNIFQGLNVMMTALKQSFK YLIEALMIVIMFSLIFASMGIFLFQGLFNYRCQYENGDETEGWIQCNQARCPDNMQCMYS EYTPKMPTSFNNLIGSLGQILRTITMDDWSWVMFFTMRIFHPWIWLYYLLIIFIGGFFGF NIVIAVLKVHYSEATQENKEHEEAKEIQKRLKEEEEFPERDLLETLDVAHLRDIGIYKVI KKYRTLLNENSLVDYAIEDPSSFKQSYQTTRTLSARQKQLESGIIKLPFVDFVTSFTWKR FLLPKFYILDELIKKIKIKNYTEDEFNMQVIEKLKGIKFSRLQPQVNKEVKQNFISQNDI LLKLIDQQDVIQQEKVFNLEKIRATKFKMIYHQIKLKGQGQFSKTNLNKSLFPTKSKTQS PFVSMMQKKMENSTDSLIQLDKTVDKHSKMLHSGNSEVVLKQFTVYKNGQLYVFIQGYYT KYDGVKEKINQKIPIINHNLVSNQFKYEGMRMKEYQHQKQISKHNWSGKDVLEVNKTRMR QFYSILMVLNRVDIIIWIKGLKGLYIMSQKYAYLIATARFSQFFFDLVILNNFTFLSLQG IVDISIISTVEDISTILLCCELVTRFIGFKLKDILKSADMILQSAIVILNFFELTMSDYM TTLSEQNLRLIRGTKCLLFYRCLKYNKMAITIGHIASMTFDQYIYLAFLMFLVIFMYALI GMEMFVGQFDQQDSLGQLHSYENIFKSFMTIFNIMTNDDWYGVYVIGSDVDHTFSIIYSF SLVLILNYLTYGLVMAVLLDGFGKYLDQPIEEIQNEIKKNINEQLQHITQNSDEIQMNLV ETIEEIIVSNKNISQEDPGKSKPNLIYNLLKSIKQINKKLLSKTPKLYEGIECEQSLYLF DKDNPFRIVCTHLTSSQIYVYLMDIVTYLSIIAFILKTYNDFEKDSESYPDTIQFSCNII QLADTIFNVISKGLYMDNGSYLVSTFQVLDFIYQVSNIIAFGSNPDDFKPILKILLYLGY FRPMKLMYRLSWLTNLREAIGRSLFDIFNVLITLLSVWMIFGVYGIILYEQQFGYCEDKM QFEVNKETCLSEGRIWVNYKHNFDNITIAVPTLFVTATLDGWGEIYQVAENSQFAEIGPQ GFNSYIVTYIFFLIFVFIGSMFFLSLFTGVLYTNLKKNQQEIENTEVTQSQKEFKEISNM LINDFPTFSTPPTSGIRKIASDITNSMTIQKFLFFLLWVDFVILLMFTSTMTDEYFRTIN NIHNGLSVTYFIWVSLLFLALGVNRYFDNSWRRFYFFLIVIAAADLIADYSVDWVMIYYK SNPNNEGYQLMRLFFSLRSLRIILIFQGFINLQRLINVILFALPYLGKIFSILIITMLVF TLFGCQLYGTIDAGLVMDDQLNFMNAASGMMTLFKCASGDDWRTIMTDTMHHNPLCWEDP KYCGTFYSQIYFFLFMFFSTYVLLTLFLLSLVEQFESFFQLQNSPIQTYVENIDKIKTVW CKYSSETQGQTMHYKFLCRFLLDIGKPLGGGEDENLWDVAKIASSFKLKCDHYGYIQYNQ LIYELFRVKFHAEVFKEGTPDSIKQIKQYNKETQLRLMYYRKNKHIERSNISSALQLKAN FNILHDYLTVLILFKTWESYSKLLIKKLAKKQNQFSDEAISLDAEQEQNNNRNPNHLIEQ DVFEGSGDEETENCQRVIKQHHITNESHQNSHLELPIYKSQQPFSIQEEDIKLILSPEPE RLKNN >CAK68009 pep:novel supercontig:GCA_000165425.1:CT868056:91357:92117:1 gene:GSPATT00036792001 transcript:CAK68009 MDNDYYDFLDHSFDYKPKKRGQRALTDQEIIVRERMKLVKNRESAKNSRKRKKMYVDLLE NKVAGLNQQLQEYKELQEQSQVLLRNTQIQLLFGKSQQKSDQLNHYFQEIYEQSIPKIAL HFKQEKHNPELDLCFSNFYKCFGDMNSIKEEMTQEMQKMEVTMKTAKEIPEFNKFLEHVS KLDFQKQLDSLEEELGNVIKTDDLQLSIKDTYDLYNKSMQFIKKPKLN >CAK68010 pep:novel supercontig:GCA_000165425.1:CT868056:93058:96153:1 gene:GSPATT00036793001 transcript:CAK68010 MSTECSVVFNVTVRTTLSQVVGVVGNQPELGNWNDKKACILKTEPASFPKWVTENPIIFQ RGTKLEFKFVIMENGNTIWEELPQNRKYRCRYWKVVLTAEWNNYEGREFIEKRFKSSVCL NQEVLSKVTRARMSDQFDPFDQANDDSEDSVEGFDSFAREIAGKNDSESSESDNEKKKPI VEINKDSDFQSLYQLYNENPKFRQLKNFNQNDILYEVNEDPLIGISDEDSLLISTFYLPI VVIKKQDGQYERRNFQHSFSLHLLFGVQQFKRTWFGLPIVLNEKGEKINDTSEELKTFLK QHGFVPIFIDQDCLDYFNQEFCTKLYQPIMNNDVSITKLAALEYSEHMQDCFKKMNDSFF QEIKPYINQSSIALIADYRLLYLSQIFVSHKFTRLPIVIFYNRLFPHFDNLKLIPFYSDI TNSFLQANVICFSNYDTANEFLTLMKDIYQIEYHSFKGNLAFHYYGREIYVKLQNPGIEI RACGDYRFEGKQKRILQENEIRIVGVDTYGHQSGVELKFRHLLKFVKETDIIQKNPHIKF IQIQLKAFEDNDVQIQRTQLINQIQQINQLLYPNNEDYFIKLIEEDMDSKQRFEEFSKAN MYFQCRYSGRHDFYLLEYIHLNAMPIALISDSSCFHRGCHSISTFNVFSHKDFKEKFSDL LDKILKKTYQFTQVHKIQVEKDQQTIRQNQTSQWIENIFIDAKKAASMLKFAQISIRNQD GQQIKVAHNMKFQNLDIQQVARVFQQAAKGIILLEFESIVTDQYVIDYKPHHFFQQSPHM KGSEEVIVIRQVQQEILQALKGISKAHKVYIISSGLYNELQSNFNGSNINLFAENGFLYR SDSIQWNALFNIDYQCLTQVKKVFNQYATKTEGAMVEVKESSICWQLKNQEEYAQQLIQD LVDNVQTIVDRYPTFQLIVKSNCVEVCPKNLNKGMILELIMQKENLQRGKLDFALIVARG IENEDVFSHFKVITQSRKYFTENANHFSVSQGLVPSYANYYLNSQLDLIQLLKAM >CAK68011 pep:novel supercontig:GCA_000165425.1:CT868056:96429:96957:-1 gene:GSPATT00036794001 transcript:CAK68011 MSSNFVFLGLVHIDNGKQGIERVKNIVKITDFPDNSLHTYLEVPHIYAEKRNELQNRNWP FITQFVNKSEYLIVLRREANQKWVDQIRIEEFIIQNLSSDLSQQDWRNKATQILLTWDLA ETNLRISDQNDKTSNNFAKYGQIYLLLAVISFIAINILKHFI >CAK68012 pep:novel supercontig:GCA_000165425.1:CT868056:97114:102410:-1 gene:GSPATT00036795001 transcript:CAK68012 MEQLFKLINLLIHPASTQLQLKSIVVLIATINKAQELELVKNFQFQYKTEMNPILSIISY CFIIDSISSTQQVLIILFFFIVHIIFLLMFTYYYFLRNKSTSLQHILHSFLTYYELLFQI PILYSALSFIYDQEICNLSDFCASAGRIGMILIGSLNIIFALILNSIHIYFGRCEKLMED NYILTLDYSIVFNGIMQVLLITSIILKSIDILPQLRFALLLIKSLTYIVTSINRINQFAY ILVESIAISVNISISFNFSIYELFLIILFLLYLCIQIQNRVVNYYITLKQQQNLVTLQVL EENNEMSAAPKSKIILSIIKKNHVCKKCKNFHQIVECLLRRMANTSINSSQLYVLLYCSY VANHAPLKALIRVILMTSNDIYYKMASHNLQQELYKRTQQFQKEAQVKNSIRNANEHLNS LDVQSAFNTSHSASILFPFILETVNSKINFWNKLINGYNDIDHCLHEALKTTSKMLRCKK EFEDRFDMTNNKLKGSQSQDILSMRIIQIYQTGIYSNSFQAFQLEKAIDDLLKSERYKQD ESLDNIQLIQNRLIILKSSLVRKRGELINTNSKQLSQFLCDSEDNVKLIKHCNQLMPVFL SGIHDQLMDNYLQNGHSKLMVHGESTFFQNLQGYIEPCNINLYNYFDSNKNDFLLNMILT KEQSNNETILFGIDGKILGFTKQFYDEALRSKTKIESSHMSKRSETTAQQIEIKELLLRY PLIQYYIPSITQQVEELRLQINSSSNYLMNNLRSYWIIPSNHNDCLLNSNLILSQFKRRS NGTQTQGNQRSYKSLTYSRYSQNSVNTNMDIYDDQEIPQEIRKQILIDNTPIMILHPEVQ ESVKKLVEFDTQSQYMQLGLFYSLSFKVLKYKKGTYAYFMISIKEMKHLQLQNSNQHFTT VPSQTTQQQSLYPTQDLNNSDLFLRNENAEIPESQNGMILEIKMMNQLKAVKQYDNDDLS NNLLDNSKSLEMSLKVKQSERSNIFDSNRQMIFQQPLYIKPRLLDKQCNQEFEQMENEMQ GLKERQLELLDENEQEIVNEKFESNSQTLKKNSILGKLRIAQLQKKENAMDFASNPSRTS TNSTSKESLLIVQQLYYNTQLITPLKKIGFLLSMISLAILAVDIINVQLISSNLISQTEQ VKNLRQPQDINYFYSSAMYQEWIQYVQSLKLISLSPFMSDRNTDVLASMYDFARAQMIDL AIQVPKQAQNLNINTVFNFKYIENGVIKYQDLPLQDFYQVIYQTAETSYRNNLGNESFIY PDMLTTGIIRVNLWQIIDLHNQLIQIIMQNTIDTQSSVRSYFLTVMMVQLFSVMLFIGLQ LKYWLFIDHITKSILFLVSRINENQSIDQINRLTLIKEQLENESTNKWKLFNFGEVMFEY SDKKQKKVELKQAISIQSTQNSYRATSALYSRIQKTYYLNRTNVIITFLLAITWSAFLMA GYLIHMSYNDNFQPSLTVTLKFVQFRHNMDSLALIAGLTKTEPLIPNYNLSYINQTLSTS LLVDYKDNLLPLINEIADVILKNANENNQKSIFDGVLNYDLCLSTTLDNLPVCDLSKQSL AYDKKDIYLDIIVNGALGFTAAFVKFINQEYDYEINNLKYSPNIEECRITAQSQQFQNFV LQYFTDIQTAMRQFLILFQQDNSNISSSIISVIQVYYYGFGISLFAIYCTLSFIWIYKQQ LTIQSLRQILVLIPVDLILTANIRSQAKEIHQMLY >CAK68013 pep:novel supercontig:GCA_000165425.1:CT868056:102584:106469:1 gene:GSPATT00036796001 transcript:CAK68013 MQNKEIAFLGIDSPVHSQNVISPRFDELIPIYSNENIDDQPMDEAMKLKRNIFERKNFRA LNDGHKSDNSNDDSSLSSLKYFHLYKISSRSQVEDGSAKSKTNSFIHQSLSQNECQNNGQ LQKASSGQIVDSACNLLYLTGKSNSKLEIYQSFVQQFEIQEDKIQRIKTQQAQRQIKAKE VQPKKILGRREGTIGLNQIWSQKGLMIIRLVSRFIQQLKTKTETIKFRLLTHKIYSVIGD LSSNFEFILVTHQIKQKPSLFLILKYNFQKQATKYLHYLENCQDFLSRNIIVIKPDSKFK ILWDILLLLFIVMNIFYIPINISFDITTSGIFEYLFDLLPSWIFVAEILLNFNTAYYDKG LMHEDRKSIVKHYLKENFFWDLIVVIPFLISNLNIPFVRYTLLLRLTRLNPLMESIEEML NLEENIQIVVDLFKLIFFLVLTGHFCGCAWHFVALTEHESFGMTETWLTHYDPAAYEYHW FDRYVISLYWSVITTVTVGYGDIVPVTTFERVFVIVVTLLLCGIFGYCISNIGNIFKSIS DKKTTYKFKLRQIHQHIRKRGLNLNLSLKVKKYFEYYFKLEQEEENHADIFLSQLTKHLR EEVLTDLYSNTLKKSRLLRDNFNEITINNLCQFVKEKKVLPEEVLYSRFDQPKKIWFVLS GALEYVADHKNENDYYEATETFLKKFTAGAVLGEREFITQQPYEYKARALKFTQMAYIDY DDFINVIAENDMEYEIFCMKRDRLLLYPAIKGSGNVCEICEWTHNFIQCPFVFLQPNTNK IASKFTSVKLNSRIKFPLRRPYRSRVKETLNKAQECALRIIVGNLTEQQLNKLIFREYTD EYLISLGFQLSPNLDDISPNNYESSQNIHDSKSISNSEKQNVLLQKNTRANFGITSDLKE SRDLDLDNQMRKSVIKFKRLQFGKERTRAIQFIKKDNFNSERITETLEQSQMLALPVPIQ IQNNRSSLKQYQQKARRSSNLEDLGLLQPSQKLGNLAQQLAQQDIENSKYSQRQLSKNSE ESEMKDSIKIIRQIENQERRVQNGGDQRRKVKKTTIQMGQKPKRRSYQNQQSQNQSPITQ NQQQLQQITAAFDSNKARASLMENKKNLHQNTQLTSSKLIEFKGEIREGSQIDSLQQKIL DIVHTNVNFEMDICKSTLLYFPEHNIETILKKIELYYQQNKGKEKKQYKRAMSNRNLFER IKGSKNATIKSLQNKSQTDVQKQDENI >CAK68014 pep:novel supercontig:GCA_000165425.1:CT868056:107079:108990:1 gene:GSPATT00036797001 transcript:CAK68014 MSSILESAKGIIKEARELAYLGKYPEAIMKFKSQIDTLSQQLEKNKGDELLYQEWSKLVT DIKEELDLTQILFDFTKGNSNSNFELNKIAQQQPSPQKPRVVQECPEFQEDNRQPRLPFN QIPFQHHHRENTSPRSKANQFQISPPKQKAQDPDEIFFGGLRGGNDGKKPNSNNNNNNGN NLNQNPSNYFNNNSNNNNNNNNNNYNKRQTNNNPPPKDPDVWDPPSKKVDKPKQSQKDQK SNNIFKPQAQPQPQPKSNQRREYDKPWKNNAVGEKKPTEGQRKTFHDHVYPDGRGPDSDL IQMIEREVLDLTPNVSFEQIAELELAKDTLQEAVLLPIFMPQIFTGIRRPCKGVLLFGPP GTGKTMLAKAVATTGKTTFFNVSACTLASKWKGESEKLVRLLFEMAKFYAPSTIFFDEID ALGSKRGDNDGDSARKVKTQMLIEMDGVSGAATSGEERKTVMCLAATNRPWDLDEALIRR LERRIYIPLPSDTGRKLLFEINLNSLKLSPNIIWDQLVKKCDGYSGADIANVCREASMLP MRRKLKEEGGFQKLQQKYEDISNVPLEQKDFDEALKIVNKSVSTEYLKEYENWMKDFGAG >CAK68015 pep:novel supercontig:GCA_000165425.1:CT868056:109195:110963:-1 gene:GSPATT00036798001 transcript:CAK68015 MQRVPQYIQRPVIQDSYRQSFKSASDKENYCVQFQKQKPIQAHHHQYQSTQVLQKPEMRP SSSQPRQPSVQAGPRPASASNDKRAFSNQKQPCRSSFKELKNSTYIQPQQATPQSRYFSL KQVPDTNLMKCSSDQQLPNKQIDQQEYKKLHEKLLFLENKINNIKSNIEISNSQLQKQSE RSKQKPLGLAAKFFQKKDPEINESKGSISPKELTKCSTSLNLQLNKALKQSQVIKEKDQP SINLDQFITQVKQIKKPILQQQSQLSRHQSLEINQSFNQITKPIINNKSYSSQKPTKDDN FLYYISSVARSIFLQSASKVDEVVRDHIVQTIQGLEYARNLSLEFQQDKVVNLPKTTHLK TIVFDLDETLIHCNESVTVPGDIILPITFPNGEKIQASINIRPYAQQILQTLSRHFEIIV FTASHSCYANIVLDYLDPKKQWISHRLFRDHCIQTDEGAYVKDLRVLGNRKMSNILLIDN ASYSFGQQIDNGVPIIAFYDDKQDQELLYLQNYLMKFRVVTDVRELNSQLLKVSSFTNYQ DPTKLIQELFPEQIPK >CAK68016 pep:novel supercontig:GCA_000165425.1:CT868056:110974:111483:-1 gene:GSPATT00036799001 transcript:CAK68016 MKTPQIPYEFFSDEQKQYLDMLVKFSGRTQRTRLVSSQAMPSKKYQFLKTNFILMSADEQ YDFNQADKVFSEQTTIGGLIGFGISALSMLYFINSRPLHKKLYGEMFTSGILGLMFGLSF YQYHNYQYREKIHQMYVKLLATKKFGRI >CAK68017 pep:novel supercontig:GCA_000165425.1:CT868056:112087:113689:-1 gene:GSPATT00036800001 transcript:CAK68017 MDNNIVIKKQWFIKSQSSKIEDAYEFDHKKLLGQGTYGQVVKAKLKGSKQQRAIKIIPKN KVRNPERFRREIEIMRNLDHPNIIKLFETFEDVRNVYLVMELCEGGELFDRIIDKGHFSE NEAKIIFLQIMQAVNYCHQNGICHRDLKPENFLMLTKADDSPLKVIDFGLSVIFHDNHVE KLHQGKVSMTTRAGTPYYISPEILDGKYDESCDIWSAGVILYILISGVPPFYGNTDPEIL DAVKKGVFTFNIPEFKKVSDSCKDLISKMICKPEKRIKSHDVLTHPWMKQQHPAGSFLSV NYQSLKNFTNFNRLKKVTLTYIASQLSEQEITELGKLFKQLDKNGDGVLTMEELTHGLTG LKKESQNEIMGVIKSIDTDGSGAVNYTEFLAATIEKSVYMKQEKLFQAFKMFDLDGSGKI SRDELKQVLGSNNPGFDDNALKALVKDADKDGDGEIDYNEFIEMMDKMKS >CAK68018 pep:novel supercontig:GCA_000165425.1:CT868056:114828:123540:1 gene:GSPATT00036801001 transcript:CAK68018 MNKSSLNSSKIKQLSSGAPIEVVPSEIIFKDIQINQTYEITVFVRNLTQTARRIRVFQPH SNFRCDYEMQGAIAAGLSMKLIVTFETANLESYSDSLKIVTDGQYSVDIPLHAFPAQAAI IYEPFINMGFVRVGKEKMDKIHFKNEGKAPGKVELRLEKLPDFRIDPNSFTLAPGQEFSV SIFYKPKDAGIFRGIVEVIADGQMSGLSLKNPIDINATSIEFTRFLIDQSGSQNNHFNFG TIYYGQQKQIEAYLVNNTPKQQKFKVKLKKGLHEREETLKLQTPAELGLEQTERIMECWP EEGTIESYSQATIIFKCRPKVSEELLIRTRQYAINQDKRMDPDEFQYSAIFDFNDDEPLM NHLSVNCICPQVKFPPIQALQFGQCGANQQKDMVFEVQNLSEELPILIAFPIIPYFTVTP PLKTLSQSEKVNFWVSFRPKHIGQFVSILNAELLGGVFKIPIKVTGTCQQILPKPQYRRG PECQPQDFDVTKDRSISGIVKTQTDKVQTFDLSRSMVSVAQQSLDKIDELKLTNKEKYNE YLKGHRAQRIKKEKEQMIKIKFTQMTEKLNSIKNESLLLQKKKQQATDEEKPDPPIDYEF VVGMHEDGYDQDLNLPDANESLFVTKPIYHYEPITRVKEGNVIKPFDPDPKTVPKKKFPN EPKTHSEIRDTLCELTAEQLLKVSAGPVKIEFGNIYVKSLATKYFYVRNELRNSISVRIY SDREDFAQSYMKPQIIPSGQTAGFDVCVNSRQLGQLKSHLKYIINEKHVFEIQVSATIEK VLLEMSRQQVRLTFTEDNSEMETVEILRLTNNGNADAKFKWITSDKKTFSVKPEEGVVAF GKYLECQIIYRPSLANNQQQYAVQASGQQQQYTAATTRTEEEKITLKTEEGLDQTVKCIG VVTEPKCSVKQGSLDFKDVVVCKPDTKIISVKNHSKSTAVFAIKSQIDCIEVMPMKGRIH SEETKDIQVKFFSKEEKTIKGEVVIQIRGGKLLLVPFSAQAIIPKIEIEQDLFDFGNVTT LGTSNQMPLTLVNTSSVNVELVLDLRSQSDNPKAPDGIDCLEFKPQDDDDTIMHSVHPDQ EEDEPKEEDPLDDVSEKSEPIEVEQKIYRQYNISIGAGKTQQFLLRFSPKEVKQYSFDIP LTLARFEELLARDSEPKFLVEPQSIEFKKKIITSPDKCFPTVEEIRLSNPDKRDVQWKID VSSLKSDKIFSIEPSEGVVPSGQQQKIRVKFNPYGPGQFSGVVQLYILSDPEIPPTLPYV EITLGGTGAYPRLLFDKKEIILPVVPLNIQSRCYFRIINDGYENLNLKYNWAQEISNFNL ELKFPEGTTLGVAKSKIRVEVIFSNKKPLSFTTRVEFIDEARVYSIYISGTTDNCIFTNQ TFLWRMGRYQLEVEERKPILYVEDENVDSDNEKNTKHNLSVRSSTSSKGTANLGYTPVRR DQFDSASEYIIRWLNYHVLTTSITMYPEDVINSNGQQIFELITFLTGKQNFSYKQNIDPN WKKSQRAEALYKQYDEVIRQLKIEGALLNHIRSEYLLSYQDYLAWLKVQPPNKFENVPEN MLRLNPTKYYYLQQDAWIALFYQIIKIYYLNRITAKAFKALPGIPTAKLNIPEYYLEGSN LISQSEGILLYFYEICNEIQSQMPRRIKNFDQDFRDSTIISDALTTFIGPSMNKFFNNLR NSCNHEDDYKYNTEKLMPALQDFGLQSHIQPTDIYRPQCRENGYVTNSIVLLITLLHSPK RTYILLLFKSIELKNPTQKPISYWVKYEGHPDFQLEGDESIKIEPDVPYQYKIKFTSRIS QPVSGRVIFTNKKESNIQAAALVFELKSSITGRKSEKQWNVSSILYEIFDFQIQITNKFL QDGEFQIIITHEKKQIDQQKKKGKTTQVQSVIQEEEFPAFFCQQEKVRIRKNQTINLTLQ YIPLTMDTHKCQIVLTDPQVGEFQHDLQGTVELPNLSGEFKPEKPLYVDQTLQIPYQIPF KNELIVRARQQIQQIMQLKQKNKQTGLDKTISQNKLVFPGSNVDQITFDLEVFPQTQYLQ VPQTLTVVDANKKQVERGTDGKLPITYAFKNATKDFSVILTLKNKFDIRRYKLSAQVLPK VVKATLEFRVPARQQVVQEIPIVNNSDKDWSIKVQITQSQDGLFQCPNKDFPVKKKSTGL FPITFNPLWIAQCEAKLVLNNPSTNDVFEYDLIGIGEEPVAEEHIILNCQARKQLKRDIE IKNNSENVITYKVETDLIYATGPQTITVQPGKKAIYQITVQPVLSGQYTGSITFTEENGR YLWYTVFMNTESPKSVQTLDLSCLIRQATVMQLTLANPLPETAIYEAIINGDGLVGEDQF AIGANKEGNYELTFAPLKVGRWRGSVAFVNRQLGEVWYEFILTCEEQPLIKLNVLKASLG KVESQTVILENPSDQKISLQYRISNPTNFDIYPDELIIQPYDILKVSIRYTPSSLDQIEQ SDIIFTSPIGKWHYLIFGNGLPPTKFPATTVSIGLNKDYSSVVHFKNPFKDPITVKIELE AEGHNKDVFKLLTSKNDKILIPGMNVLQIPFSFVPREITCYYAEIVIQMNEKISWNFPIK GVTESVSNQTLFHFKAKCREKWEDEIKIGLQGIAQSLQADDKFDYELSNIPVDLQQMINK CFSVKCTKNYLNNPHENLQFAVRFQPMKPFKSSLEFIVLRQSGGRWKYKIVLEATQPDED DVIIISSPLNKTTSVSFKLTNKTKGYAKFYAGFTPDSDAEFSVIPKIGDLEPYGREGTTF VISFTPIEYGKVRKGKLIIQTEDMYWSYLIKGILPKYVPPQIKQSNIDNHQSISQIQQSS IIDQSKNYVVENIKKARQLTPPTSKKQMFLEASFIKK >CAK68019 pep:novel supercontig:GCA_000165425.1:CT868056:123573:124173:-1 gene:GSPATT00036802001 transcript:CAK68019 MEDDEIRMDNIDNLTEEQLKILEQRYKEQYLPNYRLYLLVPFNIITAGFTMYYTIHFKHY SKKLFSPKKFGFREVIKYGTIQSIVFTSFYIMGTAAITGLYNPIEYMRGLAKIKGKQIDT ALKFDPNFQKHFLFNLLDYFGVSDKVVNDVNIFRDELLTQKNQLESKNYFSKETSKLLNQ DLDTK >CAK68020 pep:novel supercontig:GCA_000165425.1:CT868056:124335:125309:-1 gene:GSPATT00036803001 transcript:CAK68020 MGNCATCDDPNQYESRNELKPARKVPDNQENSNVKPKSQQSSQLVQHIRSDLKTLTSMLA ICNSFTLEALNRVGPYQYDDPTEDIFALPYSGVLEIETNVYYEGQWKNGMRQGRGKQHWA DGSVYEGYFAKDMANGKGRLIHADGDVYIGEWLNDKAHGKGTYFHKDGASYVGEWFEDKQ HGFGLEKWADGAMYEGDYDMGLKHGIGTFTWSDGSTYTGEFALNNIHGKGVYKWADFREY TGDWKDNKMDGNGIFTWKDGRKYKGQYFDDKKHGFGEFFWPDGRMYKGFWKDGQQHGKGV YRGSNGIEREGEWEDGKKIRWLDE >CAK68021 pep:novel supercontig:GCA_000165425.1:CT868056:125332:126917:1 gene:GSPATT00036804001 transcript:CAK68021 MLSNRSNKDTKEVKRISLIPSRQEQQQEQEYKYIQPVKSVNVENQDPKWSELKVDGKNIN HRAYTSITIHNDFLYLYGGYQVQLGIMDEFYRMNLKSQSYQWEKLTYKENPGPRTRHQMC TYMDRIYIFGGQIHQSVSTNSMWYFDLNSHTWVKCKINQSYPPEIDNHTAIIHNDNWIVF GGFFGGTVGLHSNYVYKYDFSSNTWQRMQPQSSLAPKPRDGAGIAMHKNILYMFGGSNGH LRFNDLWKFDFQVWTYIPVSSKDLPRIRSGHVMLVNDDKIIIFGGIHDITWELDDLNVFN LKKMEWIKVDEDSARRKDKQLLSPTKDNKQDQHSRRQFRKSTRTGSIRKTIKRTTVSPLK KPDQSEDSLESPTRNLSQAQSNQKTLDEKKKKEIQQKKMALLKIFEVDEGQKIQFRDNSP TSEKMRNSLFLVGNPKADLKIKQGKLTEFGKPLVSKFLQPLQSMQNQIVGKKPCARDGHS FTVYQSQLIIFGGDRHQMSFNDIYSLDLTKI >CAK68022 pep:novel supercontig:GCA_000165425.1:CT868056:127500:127909:1 gene:GSPATT00036805001 transcript:CAK68022 MKKILAIALLILCITAKKHHKQEEGQEEITPMVQCLQDHCLNEAFGCVFNEECSKTMESC DKEYGEGIKLEQFVSCTANDEAASALAACMQNNCASFEQMMRFFNKRK >CAK68023 pep:novel supercontig:GCA_000165425.1:CT868056:128920:131843:-1 gene:GSPATT00036806001 transcript:CAK68023 MQECLKFINKQDKSKTDLMAVSQALKKWPQEAQQFRQYCLNSKIFVSNEVPKHVYVVTKG EFVQVKYVKQQSKNPLSYISKIFAQEPQIQKQLRTFKVMDVIGMDEIHNDNYTYDVFCNS TIGEVFCIKKCVFDKIVPNPTMKKKNLQIMIENKPCQMIEIHSAKGATPINRLHLGEIPR QPEEISTHRHEKRTIDFTAFNMLFINRSLRNQRNLPQTIDFLVIDSAQIYIQFYYHLFHT TLYYSIIIFHSFLIPAKLSPLNLQTLIIYINIILIMSNHRNLKDLFPTKLNFIKPKPVRH DPNYNKEFQNDRPKQINKSPLGLSQFASFFQIAQNTTKNRQAPSSQRSATPNKIKIIQLN MQKQNESHLQIQSKHLRNQSATNINSSRNNSFHKENSRGSMFALSKLMSQHHQQDSQIQS QNRSKDHSPIKPAIFENLDIINRNTARKNSRSPNTSTKIISPFVYPKFSSAHQIQPPDNF INYSNSLVKENKPVEKVSLHINEAPQVHKQEFLMPSQFKYSKGFENLLNSMMNYQHNSRY ENSKSIVKQQEKQESSDDDDQSLMVDCNIQVNKNNFQFHYVIGKGGFGKVWKVEIKKSRQ LYAMKEMSKAKVIAKRSVNSVMNERNLLAQFKHPFLINMNYCFQDRDNLYLVMDLLTGGD LRYHIGKMRRFKEHQTKFFVACVLLSLEYLHNNNIIHRDLKPENLVLDKYGYVRLTDLGI ARIWKPENSQDTSGTPGYMAPEVMCRQNHTIAVDYFALGVMGYEFMLGRRPYNGRSRQEI RDQVLTRQVQIKKSEVPNDWSFEGADFINKLIQRKPINRLGFKGPDEVKNHPWLRNFPWQ KLLNKEIQSPYIPSEIDDNIEYLNQISEDNESQDDLIRENKLLLKKNSVQNLFNGYSYEK NLSKNTKSTSSTFFIG >CAK68024 pep:novel supercontig:GCA_000165425.1:CT868056:131894:133188:1 gene:GSPATT00036807001 transcript:CAK68024 MNSYLISKYSQSSHYHYKKGINDILEGKRCQLLIKLKDLQCYDTPQEHLTKYYHINQLRD KLHMLGEYYKYHNDIPRLFMIPAIIPLNYFHDRKRRLAFFRIARLIAQENHNNPDKPQKG IVGDSPIPLTSEQITPQDPSSSDEILSKNDKILQGISFLIQEPDSIEKIKQQIQSMIKLK KQPNTSSTKINSIGSNTTTSKSNKQSILKQTKQTQPQKSNSPSLKIQSASIQLIDVASPR DQKPSKHISPNNLQQFTKSKPKSPSVNMASPVQQFIKLSAKMKQSFNKQQCSSARNNTNI PNSANQRKSSVTHILNILKSPNKNSSHQIQHISYTPRDQESKRSFNNKKVHQLELQVMSD LQSLLQKKTCLTHRSSMTTKNQIKKKLNTRELNQFKCVRLPLSNRDNNNQQKE >CAK68025 pep:novel supercontig:GCA_000165425.1:CT868056:133293:134749:-1 gene:GSPATT00036808001 transcript:CAK68025 MISEIRMRLLFNMLYFSDFVNTFIAYQYYNLSLFSGINQLFFFVIMTILKIICPALGGFI IDHVQYTLNIFFISLFLTIFSYIYFLGYCWKLIQDYLYFAIGFQILAQQLQTIIIQTMIS KHFPEDKIPRQLALFYQYGYTGRLLCAIITLIIYYRLEKLNSISIITGEISTLVMSSIPL VILLSLTICFQRSFATFKVDAFQKIPELQLIPIQSKGNLLQALKEILNTDRAIIAIAAGS IMSIIQIWQSYDVTSLYHNFKSEIDLFFIESKNGYIILGFTIQEAPIIIYFVAIIFARYI AKNIQKQDNALKYLTDKTTDSLMYFLLSTLQLTCTNSLLWLRVFFFIVTLVMQQYIFTVV QVRMICNTILDIKCKFDWHTETKLQNLGVVIRVDLNVYQYLQYLGDQCFELIAQNKLQLS ILWIATVKLTIIYGFAFCYSVIGIVLSKMLQFVKQFNEAMKEGNQNQNQNQIRKQLLIK >CAK68026 pep:novel supercontig:GCA_000165425.1:CT868056:135028:138156:-1 gene:GSPATT00036809001 transcript:CAK68026 MNLWHIQACYMNFIVYRSYHFNLNGIISQNIMIFANSQFLILQQNYLVTIYQIDVPEKVL KEAILENDEKFDLTRFLHFDQSKSILFEFGYRFIAYLVDIPNLSGYFPKGQTSGSFTLYR TILDVIDPRCKVKINYTLLSENDSLIYETTQSDTSINYVVINKGFNQIIENYSGSLLQFS SQFSEMFVGDFELAILQSVNSTINLNFELASMMNLIQYQHKYKIFIAGIQNETLYFQYGS PSQVYQVYQQDLNQSDIQQIQMAYNLEGAIFVSVSIASKQILLFTYNLPISSASYIEVTV PPFQSILQNYNCIIVLTKRDEILVVTLSNIINFELHSDNIKQFFPFVSKFKPTAMALNQF DQSSILVIGNEQQILICSITNNAILIPLLVQDLDFIVYDIKVTKNKIILIYKQDNLLTMC FQVWVMVDMKSIILEKNLICTSYDEYEMSASDNQFFFVKLKSDTLLVYNPNLPQHMSLYY TFHYNQSYLACTYVEEFSQLLYNGNFYNLYPIMVMKFTPNLSLYHPTYEKVVSYQFNITS GLNKDTYQLTQNYTLRLINSFANITLNEFDQYIQKDEVVILRQENITNNSQVVSFNIVDS SYEHSQCILNNLLTQLDLYSYNQKYNILTAVNGQFVLQNQNNIVVLGQSPQKYTYNFIIK CLQSFTSYTTLYSICLNQLEQLVIISFIINGLDIQELPTYVLQQTPSPQPLQFIVLFEIY FILGEMNNQCDVFIFIPPTNSLLKLTDCKNCTYFSVTNFRNTTQASQRISLISVFYVCSY SLYYKILKYNQITQDLQIITKEQEIKLEKKIQIEQQFKPFQFLVVKNYYSEIIVLLTTAD FCTLILSLAYDSPKFQYQAQQIIGTIPPYGNSTLINSQLADGLLINTYKGENDVYYYTLF DLIKIKVTDLSQPLLMIGGLAPQNSQQMVAVVYDIKKQNGLLYQSGYNNGTLYNITPMSI TCKFNLSIFAKSEKFKYQLFAENAFGQNYTELTFIYQRNPNNWVYGLISIVGFCLIIAIY IYWKKLRTVKPINYDYGNEFEL >CAK68027 pep:novel supercontig:GCA_000165425.1:CT868056:139977:141005:1 gene:GSPATT00036810001 transcript:CAK68027 MSTIEKQIQKQRKLSHEFEQTYNSTEIHSNLSFFDEERSQDFDDDSSLIEEDFQEQWEMS SICTENSLNPQSIEENPTNKRFRKNLTPKQALKRGGSEGESIFVEASDSTRKNTNSGKGI AIDVLGHGSIGTQSKKVQKSQKLLNKQLQCNNNNNININNSNNSWQQQPYNQYQNYNSQS QSQQEQQQQRGSAQDDKQIKQTQKITLSYLLMEREIEKQARIRALNFIEKYMAKCNVDDV LQMISETYKIMLIPDQQLQQLVAVLNQQERQLQLNQSLLRLRLPHNSHPLASKAQDKLRK YFIHC >CAK68028 pep:novel supercontig:GCA_000165425.1:CT868056:141420:142027:-1 gene:GSPATT00036811001 transcript:CAK68028 MQEQRLGGTEELIKKIYEGLLPPALFRSNIQFYEEEKEMKRDCKSYHFGDYQIEIIQYQI ELDKIQMNKDRERREQKEKEQKEKEQREKEIQREKPLQNESNKNPQNDPNKVDVYHFSIK NLLPKSQLLEFTAYVKSEYGCKILKTKASTTKLELHLDPDTTKSQKQIYVFLGQAPGKFK IRLSNYVLLEEM >CAK68029 pep:novel supercontig:GCA_000165425.1:CT868056:142262:144990:1 gene:GSPATT00036812001 transcript:CAK68029 MQFNWILIKFHSINALNIVLGLILYLQDITQLGSLILLMLNITVMLTGTLILRRKLIDQN QLQQLILAILSVHISYCCFLNRQLNASSYLLLKFQEAYIIKKQSRIRSILYHIVYNSVLF SLLIFHSESIILYILAFYSTLLMIPNNKSNFQLQNQDFELPLHLSKPTTQRNSEVMNIVQ DTISNSWMIKLQNIPVGIMIVKKENLQIMFKNQSLLQILEGITDVESYLMNELQFQLQVK RVRKQVRESSIKQSKQLNKIQSNSFPQFQSQKKIPSQQKISNTLKSILAELQNGKLDQIY QKENHLELVGQISKQKQSQLFEDDNIRKIQCKVFCGQNDQEYFIIVDDISLQSYLQKLET REKFQVRIIDSFSHELRTPLNSAKLFLEALLNDPKLQDHYKTNCIEPAANALKLQAYLIR DIIDFTQYHSHLIKYNIQEFNFENIIQEVNDIFKPICLIKNLGLRINVKNSVPPLINSDF DRIMQIIVNIISNSIKYSERGLIILEISCYEKALTFCVKDQGVGIPQNKLQKIQKFLKSY NSSRDVSSQDEWEGFGLLVSQMNLLKLAPQNKSQLRITSRGQAEGCQVTFKIRTTQSTNT QLLRGNTFQRTSLKCAFTVPDLCMGIQGILIINNPKQYNSIIDNSIQQIPIRQQHSVANY FKPQQSTGALNQSVFQETDLIDDQDTDRGNPEEQLLKLNSLSKSSNLIITNSNTKEKQSK KRKQSSFSISDLDASSSNRPNTLISRLIQREEQEIEMEEQFQNLRFACKCSRILSVDDDI FNQKALQVIVSQMGFRLQIAYNGQQAIEVIQKTEKCSEACQLFYFILMDCQMPIMDGWTT TKVLMDMIRQNIIPDIPIIGLTAFNSTEDIERCLDVGMREVFTKPLNINSLKQVLLKLIN R >CAK68030 pep:novel supercontig:GCA_000165425.1:CT868056:145076:147411:1 gene:GSPATT00036813001 transcript:CAK68030 MSFRDLENPEQAVFISQDMLNESFSQMSQEQNAEQQQEFANNNENYPQFSQTQNSHILSE IINTPSQPKLQTKKSILLPQSGGLFSYLIDNQSRIYDIFQNFMHRLFQYYFIKKGMDQNI VQNYIDLQAVKRKLNYVQQVYNQTFCALKNSIQYYQDKILILTSEIDKEDPYSLLTQHEI DLRRGLHDFYQFIDSEDLLTSSKGMGGSQNISQYKTIQIEVFNPADLNSLDKVAQFVLNS IELEQINITPIQLLNDDLSLNLLKVTMQLLQIWQHLFRFLNQNDVTNIQFNYEVDQVNHN AAIVAAQTFLLVPLDISNKILKGQYKELTNYHLNSNNKLPLKEEYEKKREEKSQAKLQNT LHFDKSENIIKIADDALTNEAENFSFSNAEHSLMEEQSDQQQTIQKYNHKYQLNSEQYKQ TILDQAVELSFKGKINESHLLDIQPPKHRSYIAESGQQILRITPITICTLNCLKPNVEFN HVNYFTDPQKEFLHYFKPNTKFFFQWQIDAENVQKIVCDVEYQFTNELISFATKEGIIFL FNPFSKAADNAFYRYNELSSILQALPNMIEKRRNFRVAQVGHYIYLIGGENQNNQVTNTC ERFSLKTLEWQKIKSFQTPLTKVNLVVFQQRYLVRFGGLNRFDHFDKTVEKYDTKRHKWY PIKLTSGQDQIFKLNSVCLQINSNSILIFGGQNENDHSDATIQLLTIDEVDRKKNEYAAH LQELNVQQQLLSFIGQYDLNVYYQHDRIHLFRKNYSSLLL >CAK68031 pep:novel supercontig:GCA_000165425.1:CT868056:148382:149246:1 gene:GSPATT00036814001 transcript:CAK68031 MNILKESSKRITFQLRHQKLFIQKKVLVLDLDETLVHLNSRKMKIFNMKLFLNILKVRPY LNQFLLEASKDYEIFIFIAGYEDYCQEVLGFIDKKKQLLTILQEEAVTLQMGFVIKIYYQ SIGLWKIQYSLIIIQMLLQSTRIMDYSNDDDCLLKLLLFLKYMAKKIDVRPVEQYLRNYE DKIGTTVFSEAKKSIQLEQEEPDEDTLSEGKVVKRDQEVTDLDIKNKKSQTQQEIANKVK IHTLSDLQLFDCL >CAK68032 pep:novel supercontig:GCA_000165425.1:CT868056:149406:150364:-1 gene:GSPATT00036815001 transcript:CAK68032 MTNQQGPFSTPAFIIKLYDMLDEQVFQYDNTIKSTPQFQTIIKWSDDGEYLIVVNPKEME SQILPQYFKHNHYQSFLRQLNMYEFQKARNSENHEIFTHPNFKKGCKKQLSLIKRNPIKQ KVLLKKDKKNAKVESTEVEFEQQMEQELTFLKQRQHQFENDFKAISEQNQIIMEQHNSIW SQLSLSRQSLDAKIDRLSYLLSFFLKQQDTSIKENNNEQDLFKTNVKIEFEPSLSQTQQM ESLSPILRMMQQQLNYSMKKNLSTFSPNQLNSPLPIRSQQENQDSQYSNLSPLYQKSFQD YI >CAK68033 pep:novel supercontig:GCA_000165425.1:CT868056:151153:152627:1 gene:GSPATT00036816001 transcript:CAK68033 MNIKITMTLLFHLVYFVIFIDSFLETLYMGIFLFRGQYTQRQQTQTFYVILTALKFIFSA LIGFIIDHVQYTYNLLFGSQILILLSYFFYLVFVVKSVQEQYSGDYLYFANGFLILGQQM AAIIILTLISKHYQRNDVPYQIANLQIYSYSGQIFCSLIFLVVGLILYNSIEKYSFQTLI EIYKDTIIILSIIPLIILLTLMIFLYKTFIYFKQEAEELMPEIKKIPIQQKGNLLQAIKN IFKTDSAWVALSAGIILGIINIWLEQYQQSYVQSLLSDLSNVKIYQIFGNYLIIELPTII SLSLVQVIVKNFEIESISLQALIDKAIDSFVLFLLSILFATCFDEKESGSGGKSIIFLII EIFQQYCLTISGASIIGIQKQNYRNQGLTFGMLWMIQNGFAVWVVLAICFGQEAQNGIIY GFAFGYSLISIISSKMLQFDKQVNKLGHRVANVEVQIKNDEPQDEPQEVAQS >CAK68034 pep:novel supercontig:GCA_000165425.1:CT868056:152668:153978:-1 gene:GSPATT00036817001 transcript:CAK68034 MGAVQAYVNCNTEMTFTSDLNAQIQCCGTISHPAFGNIQLWKMKNKTTIEIFSLTRHVYY QDSMLLTIHQQRTQLKHPNLLQYYACTQNSPSFCGNVETQQFYFEYISQTLSQYLCARSE PFREIEVWKSLEQLISVLQYLQQNGYSHGKITSDNIMITQDQTLKTLDQITIQSSSQQIK QDVYDLAQVMVELMTKKKFHLSLKETVISLVGEYSQQLLQLLARMLNNTPEKRPDFIELS QILIKRQYRSTSTEKEVPQQTKLIRFQDPKHVMTQRINKLQTQNVYSRSPSPQKIISYSP QRLSPYKVSPQRVRVLSPIKTNQIYSAVNQFSGIYRTASPVKGNITGSQASTVGSYGVSM VDAYKTQFAFYTQRGSRPNKILIPQHKSDVKRKLFKDDLNQTSSSQPPIEIVQ >CAK68035 pep:novel supercontig:GCA_000165425.1:CT868056:154312:154978:1 gene:GSPATT00036818001 transcript:CAK68035 MSQQQGKGGKAQQPKTEAKPAAPAKVVKPQETKENVMRKIRIEKLMVHICAGESGDKLTK AAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDAAKDILFRGLRVKEMELK KRNFSESGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVILSRPGLRVAQRKSRNARLGTAQ RVSKKEAMEWFKQTFEGNVY >CAK68036 pep:novel supercontig:GCA_000165425.1:CT868056:154980:156805:-1 gene:GSPATT00036819001 transcript:CAK68036 MYILTLLEIILVTVYCAKLINNICCKDVGYTVKVTCLISWLTNFILLILLPLDIYITFRD QEQFSNQDGQEMHSREYEAIANLYQLLYWANFILCWTIIPIMQEYEEAIDLNKAQKIVRS LINNGKFYLIIGIAGIAFVVILVMTGQASDYGLAKLLKSMANSFGVALIIVLLGYSLIAV PRAHMRTSTLDVQLKYLYFKTAKITEEKDDAQHYLQEKAKRVVGIRNQEKFQLQSSKIYL QMSIDAIPKTMFNELKEEDAKSKAPGISYLQRMFFKEQPEATDEEVVEIYRDIRTKSRTF RRLKAAWKENCKKAYALENVINSIESPDKKIHYEVKTNRREGSCSQTLDTLEWYWLCHYK PQFKIFFSLALSILSLLVIVSETTLFLNTPFSIFGMPISLQSGVITLQIFCFVPLFYIAF CVYYGMFRIKIAGCYGLYDDHQTDAPSLLFATINFSRVAAPLCQNFLNMLRIKQRMNCEP AFKFAMGEMEFVPIFGVNVIQLMPAILLFLCFINYFDLYDRFMNFLGLKEFMFTETFSDR LIYRGRDALKDKKAYFIEKKSKKSRKQKEKQKQGDTELPIIQK >CAK68037 pep:novel supercontig:GCA_000165425.1:CT868056:157071:157684:1 gene:GSPATT00036820001 transcript:CAK68037 MFEQQFTRHSRISENLKKIHVQQKYTFDTLYDDIGVGFINQSFIFKLFQKRLKIKSALFL TIVFLLFLAAYSMVTSYLSHIMLIGYAILQTNYEIQRQKDSKKQLLIFWLIFSTDILIEN YFAKDKFTLIRYTKFIIVHACFMYRLYILSFILQFYNLLTIGKSFTGPQTSKKSYFQ >CAK68038 pep:novel supercontig:GCA_000165425.1:CT868056:157928:158633:1 gene:GSPATT00036821001 transcript:CAK68038 MYNNDDDFSNFEDEIYDSNQLNPLHSLFYQSACVQSDEKRVQNLNTREKNTIQQISSELS NPPIQTQINQYIPQGEEQDNFQEEKNETQKQKLKVIADTMIPGESKNLSKCYARYLQQFI IEVCENTVDPKLKELRHEKDIQKFLSNKAENLTNFDLSQFIESENGRIFAKEYFGNCLWK QGVVKESKTSVPVLYRHNIEQFSKAIKAKKIKE >CAK68039 pep:novel supercontig:GCA_000165425.1:CT868056:159283:160738:1 gene:GSPATT00036822001 transcript:CAK68039 MNIIIYACIIGYAISEVWFQVSCFQTDLDQAYNYLVENQDQLILGQWTASSDYLVYINII YDNQNLIMQVYPKRHNLLHEKHYFRLSYSLLNSSYYYDTVERYMTWQNIDVVVELQNSQK HPQTICKAMLQVEVSTQTIILHSQFDEQCNVTEERIRLFIFSNSVYEIQVLSYTILIIFI SLVQITSAHLYLKSDPAENLGASMTISIILTQDIFICIFSSLLFDMPRLYYFLPCLLCQL TAIYYDLKLKAKLTNMERNKKTLILLQLIEVSSVTFLFLRIRHSFELTLLNTFLIPQILI TFYSGERQRFNKYYVGSIFPRALLSIYARGCSQNILQLMQKVHVVYVIVLILLIQLLVYY CQNQFGWFILRRNIHNYFIKQTDEHQQSDCAICLIKLSQTPENTLSKGEPYVLQTINQAS RDHLLMNTPCVNYRQLHQNHQFHPSCLSQWMLINLSCPLCKSSLPQVF >CAK68040 pep:novel supercontig:GCA_000165425.1:CT868056:160882:161365:-1 gene:GSPATT00036823001 transcript:CAK68040 MDKLLQREIRNHFQNENYKADVIDMIEKDEELIKKCYDKMDDWQSQTEEDFLKKKLQMLG LCEKKGLDGQVMLYPTIKKQRVLNRDEFKGMVDRLYKVKKMSDNDFVHLNQTARKQIQQM KAKQQMIQKQKNKNTKQESFFDMISDSSEDQVE >CAK68041 pep:novel supercontig:GCA_000165425.1:CT868056:161390:162543:-1 gene:GSPATT00036824001 transcript:CAK68041 MNIVENVEDEYNEQDQGTYQQPEKLNDNIGRSVFFDSNNLPQVECYYHKGYYISNMCRAP QCVIPLCPLCIHLHSKEHVNEGTYPVFESLEVLLNQASDHVNNELKKFTNSYYDIKKHVN TFEVHAEKTIKRIREIKKRITDVVEQFFSGLENEVEHKQKKNVNNQERDARNLLQMIEER WSSMKQMLVTFQSSDCLTALIPYFTTTFQEDNQVYYKKIGEYINAFPTVSSEVHIDQHRA SELSLFLQSIIRVQHTSIPDFIGIHQLPTTNVTESTKIKSLQGSRIKEPLRVTIPEKKTQ FETSQHQYPKPPTPVKAHRSPQHFHQSLPLHPGHPPLPIHPQYFPQTHFIPPYHRF >CAK68042 pep:novel supercontig:GCA_000165425.1:CT868056:163761:164603:1 gene:GSPATT00036825001 transcript:CAK68042 MSHQVDQNDESGSNFSNVYPNDRFNYENECFNYLLSSLNNLNEEGLLKQENEIKKNTQFS GNKLFINNRIEGLLDEYKITEESMECNDGDNERNEEIECSNNLRNHSGGDKQKAQQQPLQ TTERIATNRQRYTKKDIQSYQLGTASPIKKQNKQQNQETRNLPKYFGRHIIKRVRRKLED EQNSQIKSEISNKLDRLEMKQRQEGKQKIKKIEFNLKLLRDILDESYFREQAIEYLTSFD FLDDLLQSDKQQDIRPQIKYVNRMYEGCYDRKKLLRWKDS >CAK68043 pep:novel supercontig:GCA_000165425.1:CT868056:164828:166859:-1 gene:GSPATT00036826001 transcript:CAK68043 MAEEQQLNEDSKRNAGQMGAKVVPRGSKEISNILGDRRSSYNIDGVDRVVVDEITDQKLV GIPLIDKVVIILVVLFTLLVFINFSFTSNDAKEDPDIEKTLFITKIIELVILILFVLEIS IRCIDVGPITYFSDLWSVFDALIIIASIVLIILDLNLEGDAFTTISKVLRGIFRFLRLFL VFRKVNFFNIIFEQYNQVKKINNAGTRYVVRSPVEKVIEIMRDLADQFEDPDIIKQLNWG ITHISNNTVYEPIIEGKKSEALGWLYQQQQQQHISQDLKRSMSSDTQFPDESHLPEQLKL DFDQNILNLDYDYFSLFQKYDSSILTHLMCYYFQQESLFSNLRISPESFKKCVDKIALSY HKDNLYHNVIHAFDVTHTVYFFIQKCNFKEIGKLTKIDYSILLMSAAAHDADHPGLNNIF LSNTRHDLAMTYNDKSPLEQHHAATLFRYIRETDLLVHFTLQDFKYFREKSINMILSTDN AMHGKDYNKLKARLASNDFDPGSKDKGICFDTLLHAADISNPFKPMKNYEQWTFRVLGEF WHQGDREKELGLPVTMLCDRRTTNVAKSQIGFIDFMVLPYYNTLSQILPQLSEFIEQITE NKKAWAEKIEHYQTLLNTQ >CAK68044 pep:novel supercontig:GCA_000165425.1:CT868056:167054:168051:-1 gene:GSPATT00036827001 transcript:CAK68044 MAGEKLQSSGKRKCQPKCPEHQFNLQPKSTKCWLKNVTNIDFCPLYNDALSCYENIVPVF NQDEQQCLPGFILEVDRNDYIKSMQKYKEDHQKKVQEYTTKKTKLQIPKPGRKHRYCGVC RKPYDDYLDHIKSADHINCFNRHEFVHVILKIVSEDYKSKDENKFQNDTCFDVVTSAIPK KRGPKPKNPGQQAEPKKRGRKPAEPSLTKRIKTQPVQEQQFNPYRPQPPPYFPQHIIFPY PPPLNQMIAQIPFQQLVNLPFQIQFGQDINIERKIEDMILDGQIEDGPRFD >CAK68045 pep:novel supercontig:GCA_000165425.1:CT868056:168215:170525:1 gene:GSPATT00036828001 transcript:CAK68045 MQYIDQGSALSNKNVPGRRLQKKNSLFYQVTPLQIEQQAVLENEEFTQRYQKNADELQVF KDDPYLEPHKQHFSVRNAKFFELLEQIVKVESSLKDFAKGYEKYGFQVSDTGITYKEWAP GAKEVYLTGDFNNWDKMQYSLTSDSFGNWEIFLPRNEDGSYLIPHGSRVKTYIKDANNQY QFKIPAWIRTTWQNQDNKLYDGVFYNPENKYEFKSNRPPKPRCLKIYEVHIGMAGIDPRV HTFKEFTQTVLPRVVKLGYNVIQIMAIQEHAYYGSFGYHVTNFFAVSSRFGSPDDLKELI DTAHSHGITVLMDLVHSHASSNVLDGINQWDGTDYQYFHAGGKGKHDLWDSKLFDYSKWE VIRFLLSNLSWWINEYQFDGFRFDGVTSMLYVHHGNGYGFTGGYHEYFNELADIDSLVYL MLANDLIHEIHPNAITIAEDVSGYPTLCRNIKEGGIGFDYRMAMAVPDKWIKLLKEFKDD DWDMGDIAHTLTNRRYLEKCICYAESHDQALVGDKTLSMWLFDKEIYSEMSTLQPETLVT FRGMALHKMLRLITFALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYHHARRRWDLADDQ FLRYSRLLQFDAEMINLEDKYPWLPNGEQWVTEKHNESKVIIFERGSLLFVFNFHPTQSY EHFKVGTKFESDHQIVLDTDDVRFGGHSRVSPSYGQNFPILKEEWQGRPNHIQIYLPNRC AIVFKSIE >CAK68046 pep:novel supercontig:GCA_000165425.1:CT868056:170525:172161:1 gene:GSPATT00036829001 transcript:CAK68046 MYSLQKLVPNSKLQIKPGAFSQHQKLNQSVDGVLPVNQQSLQQLQTQIQILTKKEPMRQQ NKKQELLQILENAQANQKQTKSMSPKRTPQKQKSNRKLNFNQITDQDNIFLNSSNAMANM IIENILNKDEYYEKIKLENQELKDQSSKLQVTLGDLKRKITTLERQNKEINTNLSNERQT YQNELIKINEKIQSMKTMQQNLQMEQKKNELLSKQLQDQITINNGLKSFICENCLQSIEF LTFFQKSVQTFQPHLSNAYEILISLSKYSTSFILEFISKTQNLNLPALRNCLLPEEFDVN GFFETLEELKMNESPELSFRNKNNNPVKQSNHANSNINLPMPEPQKQNKNTSLQEQFNSF LESSEPFSDSQLGSPYFTDLDTVDKQRPISKEKQRNQMPLQMNAAKRIKPEINQAPHYFQ SFKQNDNELTVFDSLMKEPPTNKDRCSSVHSLRYLDQQIQKEQDKSTKRNKENQQNNCQF VMAKYDYKAQKDIDLSFKKGDQIKLLKKTTNGWWYGEDKNQVKGYFPHNFVQLLG >CAK68047 pep:novel supercontig:GCA_000165425.1:CT868056:172184:175267:-1 gene:GSPATT00036830001 transcript:CAK68047 MSYISFKVTKETKYSQIVRICGNHPLLGQWNPHDSYLLCTSSDTYPEWTQESKIQIDPSF ILEFKCLIQDGDNYIWENIPNRIHKCDFRRNFLYIKFDDPQMNIRTFQKYEISHEFVQEE LIQKMNRSRDYNPFDMAIDSSDNESIPEYAKEVRGPTSIRQLEIDWRDLIKSHFESKQKL KSISKFRKISEQYGSPDLIFDYKRKRSNSTQILIDSYVICLSLKIPIKITLIDQFHQVKN KQDITNKYKFELTSDPYYANMYNLFSNRLSLKAIWIGWMGIQVQDENEYILIQQHVYEQY KCFGIQIDEDLLECFPQLISPIFNNLTPQLRLHSEDDYMEINKIFAKYVQEALNCTLFQN QFCHLHSIFIFDYHLYLIPIYIKEGLIRKNESRPRICIIMRRSFPNPKQFRILSCSETIL SSILMSDLISLIQLKDLYQFVHCLPQKYQKLTQVQGVSAFTIEVLGRKIILDYGSVGLNI RQLQNLITADEPVQEEQFTLLGVDSLSLLSGLQQKFKIVEQMHLLKQYRIQLIQILYTNN DEEYQSNCQIQKYLEEIKTIAKQINQKYQQELIQIKLDLSQSELISLYGKTDIFIKTPLR ESISSNYLEYIYIRQSRKKSAKLVLSQWCSLKVDHKKINPNNTIESAQAILNYLNDKKPT FINVPSSNDWLLRLNDHLSYCEDSWLDKQLYDSAKELTIGLKDKHFCSIETTNIVSKFSN SNHQDNQRIIILAYTKRFVQKIQNLDQLVQALEKLAQDENNTLIIVSDQESEVLELNFGS INNLYMIAQDGLFIKQNNLTSFQQIIEKDDQIEGKLQQLSLMEHLNLTEKNQIYTLSLKE KVKDTNAAANVFFSNLIKELKIEFDDYLVFQENYTIQIKHQYQQIEELLRMIIINETNQK GLVSFANIISFDRGWLEKIMLIFQSANMPLNKVLQFILHRNFIVSLFQIKLKRIAIQSQN LHKKLQNCQIDMFWKVKIKK >CAK68048 pep:novel supercontig:GCA_000165425.1:CT868056:176135:177636:-1 gene:GSPATT00036831001 transcript:CAK68048 MREIVHIQGGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGRYV PRAILMDLEPGTMDSVRAGPFGQLFRPDNFVFGQTGAGNNWAKGHYTEGAELIDSVLDVV RKEAEGCDCLQGFQITHSLGGGTGSGMGTLLISKVREEYPDRIMETFSVVPSPKVSDTVV EPYNATLSVHQLVENADECMVIDNEALYDICFRTLKLTTPTYGDLNHLVSAAMSGVTCCL RFPGQLNSDLRKLAVNLIPFPRLHFFMIGFAPLTSRGSQQYRALTVPELTQQMFDAKNMM CAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQNKNSSYFVEWIPNNIKSSICDIPPKG LKMAVTFVGNSTAIQEMFKRVAEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATAEEEGEFEEEGEQ >CAK68049 pep:novel supercontig:GCA_000165425.1:CT868056:178013:180426:-1 gene:GSPATT00036832001 transcript:CAK68049 MSQLVSCGKSILIHDQSMDPILRISPHKSSINCCIWNHNNCVIASCGNDGVIVLSRSTDG QSLLPLEHNGKAINAISFTSNSQYLASGGHDSIVRVWDLKKKTIQSHLKGHYSQINSLHW NSANTLIASASNVGDILVHDVSTQIAVSTFNLKGSKTPGFKAVKFMSKNLLCSGSNDGSV TIFDLNKNEYHCNFSSQHTSKVTGLSVTQSLVCSVGTDQKCHLYSIVDKKVTHTIACENP LNSVAMQNDDYSVTVGTLYGQIYVYDIRMTQKPKLQFRGHDNSSVNYMEYQNIEAQSQQP PASQRLLNESNLSVQSQLKINQAKSPTIQDIKKDSSKDLNALSNKESMIKIEQQKQMQYD QFQSRVQSSQQSKFDQSTSKIEDISRKYEVSRVIGGQNDFTDEQKKFIQQQINEQSYQLK KIVQDSVSSMHIDMIRQFQIQQNEMQQLLDQESHNQNKRINSQKDNRIEIHQQFMLQVYL VRCDCFKIQQLIPNRFFCILFYAICIIDLILLLFSCSFFILPYQQEDFQQQFIIEKETID WSLNLNQKQFGQYSILGELNDQKFRFIQNHYDPYFETNNTTYYQKINFHEFFGFVDQKLA NMMLPQTYCLQLYISKLKTQKIIKKLPQCNMSSGIPVTPWYWKGEKLYILTDICLKLSMK KDKLYFLRRVLLRMVLFIKNQQMMKLQVLKTYHCNQDMLMTHIFMELQFLEKLVEPFKHL LQLSLIYYSFLFGISGTIYMILIYFILSIRKRNEIQYV >CAK68050 pep:novel supercontig:GCA_000165425.1:CT868056:181015:181191:1 gene:GSPATT00036833001 transcript:CAK68050 MHSRQHVSIKNLDQPKLDSTQVRRKSCVCEYCGTTALKEDRLPNQLCEIAYHKQERIG >CAK68051 pep:novel supercontig:GCA_000165425.1:CT868056:181229:181687:1 gene:GSPATT00036834001 transcript:CAK68051 MRNDQKKKNFRIYARNPLFILRSQPDLEESMHLPTSPTKAHTPEKERLKTEQPQIAPYRS YKYIPTSNCFLQNMKQQVMRSNKTINRLLTDRKVVQSFQFSTSDKLPVTKSPQKNKKSFI KQLKPLPKQKLLQTKFDCMIRQFFNSSKAKQF >CAK68052 pep:novel supercontig:GCA_000165425.1:CT868056:181730:183207:1 gene:GSPATT00036835001 transcript:CAK68052 MQETEQSEKDMIGSLLDDDESKCSEFRSSITKSQQHSQSPIKSFKDLKESPVINEDPNQQ EFPAADPSNFYITVQPTFIQQRQHSLSHEFHSYMLPQQPVYYPCQEGYFSQKRTKKLQLQ TEIDSTISQQCQDQYASLIIQQSFIQGNEYQREKIFKGLVDDLLLLSKHKFGNYVIQKII ENSNQNTRTLIFEQLNPHILELSYDRFGCRVIQKLLEFILNQLKVQLINQLKPYALKLIF DQCGNHVIQKIIDLVTDADFIIDLVTNNVDKVVSHPYGCRIAQKCLEMFPNDQLQALYIS LIPLCERLSFCQYGNYIVQKMINSGPPKGFEVIGKFIKQRIIEVSQDKYASNVAQRYITF AQDEDIASICKILMNQTVPPMLLILINNSFGNYVMQNFYSKCNDKQKEQIQIQVSKYEEH QFTQFGRHFLQYLARFHAC >CAK68053 pep:novel supercontig:GCA_000165425.1:CT868056:183291:187275:-1 gene:GSPATT00036836001 transcript:CAK68053 MLNQFAVELLRDLNCVRGNPLYFDTSLTPLFESISQNSEMQQSPCINPNDILAVINNFGT DIHFLSDHIMKQKTNDYSKLISGYRKYISKLPYCSRLSDSTKQKFKSMFNKQINNPIIYQ QHLTHCYIHLYENSNFYLLLIVLQSKYVIVDSITQKNTGLHIQGWLNYHSQLSGMQFVDG DQYYELQDTDTKQKQITLDNQNVWLFDLFIDNSSTQILPLISHKFGNTPVLQFFCESQIG EVQLKEQKSLQSPSHPILKQLYLLSARDPLQPIKSNLQYKIEQIKGQKFTGFEQEHHPKV PQTTSKNLQLFRIEENQYEQSSAKPDDLDLYTKNLESKYLNAPKFPSLIDGLTSSDSQML DKHHNPYAPLTHKEQSTISEIRNQTVTTQDSAINEHLSNLLDPKQLQNIYQDLCREVENP SNNLQQSQQIFQPYNVESLYFGSSQQHTSNRNFETQELYSQQQQQNQFYNQQPQLFLNQN KDYQQQQQQQYQQQQYLYQQQQQQYYYEQQQQQLFQQQQQQQLYQQQQLQYQMMQYHQQL QQYPNNLQIQQPEEQYYFEEPQLEISPKKWSFSPQKQDESVIIKKRTSNPEPQNKTSYRY SIRQSIKQQKKSEFQLSNTQKILQKEGAQIKDNEIPFKCKLKINSIHPYDFVDLRSNRAQ KKMQEIEQGLLSQSTRYHKNHNFNALYRNEKFSDIVLLVNDYQYKSHKSVLIQVSNYFKE LLETKQCELILQVDNLQLFDLVYKYIYLGKLTDSEWNEVGIHQSIKFYRLAEKLELYQLI EQLISRVVIPMMINEFVLDWYVIGFRNQNSHLSYIFKFVYVYTVTYFSLNCRTIINQIRG RQDDSIIQDQQMQNRYKKIFDLMTPEEIYFLVQQPLMQTDFEGVDNLLWLITEKKQCQQI DLLKQLSMDHLSNCGFKYKDFTNHQFEQNLPLLNNQIIVYPQRLQETKRRRSSIFTDQEF HYSESLFDEYSFYQQNANTCKINKLVYEMKINNVVGNSIVISECFASQSLAWRLIIDIND QNIISIYLQERGSIKNNLKYMNLEDNLMDESLPQYTKLNFISVLVKVAILNKQEQSNERV MYHTYPTNQFHTVGFEDIQTVNSQLWLKVYIQEDPLHSALMHWCGYNYNEKLCQLPYYTL YSLLKSDMLRVSEEKQILGLLYNLQKSDQEQNLDLLIHPIRYFYVPIGEIFTLSKDCQSV RQNKLFQSILNLLLSHYLDGKQNNQKPRKTYSRIDTLNNQMDFKKEWLKWLIYSDNKQLK INTNDIKKEVIEKQKRIEKQQQNQQQFQVYNYTPKKQFELQTQQSPKCNIF >CAK68054 pep:novel supercontig:GCA_000165425.1:CT868056:187326:190824:-1 gene:GSPATT00036837001 transcript:CAK68054 MKEEQHKQKRDTTLIQLLVLFGACFTIGIVIFKVVGLQETQNDLSFEQASLIQEQTYLEQ QIKALQNSLTQPSFLEVRMSVNKKQHKQKIHSAEHKHVEIQKPTVDKKEYEYLELPNKLK VLLIRDPETKLTQAALNVNAGSWHEPDEFPGLAHFLEHMLFQGSHSYPETSYFEQLVAKG GGYTNAYTEGTRTNYYFTIDTSRTSEALNVFAHFFIDPLLSQEMVQKEANAVNSEYEINV AGDGWKILHLMSLMSDPKHPMSRFTIGNLQSLLKPHVVEALKKFHEQYYSSNQMALVIKS SQPIEQMKEFIESSEFLKIPNLGIEKPSLAHFGLPIKDVAKLVKYHINTRATTVIYFYQL EDSTKYFETKPIQFINSIVRSRHDGGLYNYLVSKNLIVDMDAQPFLGNNGCFQFYLIEVE LSETLIGQQKDYALQIGQAIVSYFEEQIHKFYDEEQNPTDFLEETYKTKKAMSDVQFKFL EKDIDIFKLSHNLNTFPPKYVLNAETSYFKYDPQCIYNYLADLMNPNNMLIMVGDDGYKL VQEEIESEELKASFLSFQQPSTLLLQQNAEVSDADFITQSELQFSNELYHIQYDVKPMEK DSLDFMMIENVPLSLPQINHYVPVNLAFKSLCDQQHISYIQSVDSDTLYDRKNRQNIFIE STHKMPFYFTSKKECKEHEFEYEQYNHFPQLIKKDIEGKTWWRLDRSYSPTVYAGMNFET AVDMKSLKKVALLQVFNSYVSNNLYKSLQQSFEAGYELSFDSSMKGLSLEMYGWSDKFEY FYKNVLREIKKEISDDDLLNRVKLSQITELSNTFEQKLFIQATSVILPTIIQGQPTPSQM IDEINSVSRNELAEFQKEMLENFRFTTYFTGNILRDEVQSLQNQIPKIFDESTSHIRIQN HVSQVSDLSRKSIVYSMVSKSTNQLDTNGVTLNYYQIGYRDQKQLAMMNLLYKILHNAAY AYLRTQLQLGYVVSVKFKPVGCLDSAQILVQGTAKPPYVVNQHIEEFLINYGRELKEMTD QQFEELKTTTISGLKEVEKNLKDKARSTWSHIKNNDLAFEEKDIAAQYIGMVTKQDLFQF YDKVFTSGKLSLQVYGQGMITQTMGISVDDLANKVKSNSLSKEQTFAVRDEVTVSTSDDF KQRITSNYNCSYKISNI >CAK68055 pep:novel supercontig:GCA_000165425.1:CT868056:191201:192726:-1 gene:GSPATT00036838001 transcript:CAK68055 MINRQSHLFLRLTSSPKFYHGGLKDQDRIFTNVYRDGDPYIQGALKRGDWHRTKDILTMG QDWIIDEIKKSGLRGRGGAGFPSGLKYSFMPKVNPDGRPSYLVINADESEPGTCKDREIL RHDPHKLVEGALCVGFAMRARAAYIYCRGEFWVEANALQQAVDEAYKKGFLGKNACGSGY DFDVYVHSGAGAYICGEETGLIESLEGKPGKPRLKPPFPANAGLWGCPTTVTNVETVSVC PTILRRGANWFASFGRPNNRGTKLFCISGHVNNPCTVEEEMSIPLKELIERHCGGVRGGW DNLKCIIPGGSSVPMLPKEVCETVLMDFDALRDVRSGLGTAAVIVIDKSTDIIDAILRLS KFYKHESCGQCTPCREGTSWLVDLLERMKVGNADFAEIDQLEELTYQIEGHTICALGDAA AWPVQGLIRSYREEIEERIEDYHSKHPVKSRQLRSNPQQSNH >CAK68056 pep:novel supercontig:GCA_000165425.1:CT868056:192797:193634:1 gene:GSPATT00036839001 transcript:CAK68056 MQFESKLDDMEIKNIKDQVLKDCQQLNTIYNNNLSSASSILMVDSIYTGKVRDNQKEGFG ECISYNDNKNSERQNKKIKEYYLGEWKDSMKDGFGMMVYSNGNQYDYYVGQFQQNKQHGS GEIKYSTGESYKGEFQNNQFHGKGILILQDQITYYEGDWSFNQRDGFGIEHGIDDNKIWD YQGSFSKGKRQGKGKLTIHNDYEIEGEFENDFPKNAVITYKQQECQADKYDGYLDINNNY ESIFHGNGTLTMKNNQIIQGIFSNHEFKGK >CAK68057 pep:novel supercontig:GCA_000165425.1:CT868056:193664:195044:-1 gene:GSPATT00036840001 transcript:CAK68057 MNKVFLISISLLLVMADDFSYNQKMYWFEHQLVDHYDKLNKNVFHQRYWVVEENFVPETG VVLFQICGEYTCINDIKLRLFIIQLAKEFNALIIILEHRYYGKSMPLGKESLKDENLRYL STRQALDDLAYFQRFMVLNKKHGIKSQNPWIAIGGSYPGALAAWYRYQYPHLVIGALASS AVVESITDFKMFDTQIFLSAYKSGPQCAKDVQDMNKYAEQQILNQGTKEEFKRSFGAEKL TDLEFLFFFADAQLLIIQYGGRSELCKQLKDKSITEQIDYFRSVIEEGSYMEYGSYYLKN DKYDENNLTPSRQWMYQCCSELGWWQTSPLNNSVRSTLIDIQFYKDFCNSIFGGIRKNIF PDDQLANARFGGNELNVDNLIMTNGNEDPWKWSSVLVNQGSILTYEINCENSGHCVELYT PKDEDCDQLKQARKDIISQFRKWIQDHYASIQ >CAK68058 pep:novel supercontig:GCA_000165425.1:CT868056:197583:199095:1 gene:GSPATT00036841001 transcript:CAK68058 MDDQTITNRAIKEKNIEKLFKLIPDSLPEQIQYALKQSVEDLSTVEADLDKLQDYISKVH SNDLNIQYLGLNRCRKLLNNSQLALPREQLIEILLQNNFLITTLQIALNNKVQLLQYEAL WIIYNIVCLTQQEMKNIFDNNAINILLLVHDSQIDEIIELGICILAKISGESVQFRNMLL QKGIVEQLLKLAPCYQLNNPDIFTSILWAMANLGKQKHILKKVHFSKKLTQILSEILLSV QDESQILYACWGLYYLSDNDDGQQYFSLKPPVIQKLTLLLNSQNESFITPALKTIGNILT GNEEQTAQVLNTGVLKVFEMLLTQNYSQVIQREVCWSLSNIVAGTPAQVDQILKNDSLLK SLFKQFQQGEAQIFKEMSYFLSNLISYTDLNSIGHFLTQYDCFQKMSIMLDINDETIRRI ILEGILEFSKKIQYNAKLQEYKQLMENSKIIDKVKIIQNNVANDLSEQAIEILQTLDQEE FV >CAK68059 pep:novel supercontig:GCA_000165425.1:CT868056:199556:199831:-1 gene:GSPATT00036842001 transcript:CAK68059 MYFTLFSDIFDLYSKICFHINTEKIQNKKDLRNIFKRLTKGYSKRIMNLVLAIKVGILLL TCFLAQPLIKYYAADLWYNQQQEFQSFGYHY >CAK68060 pep:novel supercontig:GCA_000165425.1:CT868056:200041:200484:1 gene:GSPATT00036843001 transcript:CAK68060 MKSTLNFKYFLSNKEIDEITSDDVTVSLTKVPADFSQQVQVGFQIILGPKEAFQNFLFID STDDYIQLIEEVQNSWFLSLFTGMGYPYTDAVRLDKQYWYQGASTYEYSLKTCKIFSQCQ GTVLLLLALILMDFFVISQIQSFILQI >CAK68061 pep:novel supercontig:GCA_000165425.1:CT868056:203299:205788:-1 gene:GSPATT00036844001 transcript:CAK68061 MEFNLMVLQMLIKLLIRMQRMCWQIINYQCYQICGDGLIAFNSIEQCDDANQIQSDGCFE CQLECDPNCLTCLNNQICALCQDYFQLEGQLCLPVCGDGIVIPAFEDCDDGNIESNDGCY QCQFQCQKGCLDCEKGLYCKKCDSQYILNNETAQCQEIESENVQNQDDIENIQNIICSKN FIFIDYECVNQCGNGILSLKYEQCDDGNQIGGDGCSFYCFEEDSYQCQNHENSMSMCSYI LPPDFMLQRLSSQSNDTQVIELRFTQKVKLLVDLNFEDIAVVFLIPKTNEVINIKPIVKL STTFDNPIYQFFINFREAINDPVLQVNLAQQTIINENNLDLKRNELQVSLGNPFVLSEST QKKVTQIVLLNDGMIYSMIGISGLSLLSGNAIMFFALLDLLQSLSYMRTYVILISTSFNI ICQHFYQININTNILLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXKTQYGYLNGGTIPFQSKKSNQNQLNPLNQFYLLNAKSCYFSIIISLITYFICSL LSSHRIENIHKVLLKKFKNNKRYLLIDRFFQQKIQKSCLKFKNEYLSFGVFKVYLAILHQ LLFSIFLQFPNYTFDSLLMAFNSVNAIIGLVIIIFISLKLLSITSIDKKDKNKWLYFYQD SKSEFWAANYKSFQIFRIMFYIFTIVVALDYPQIQSVLLSMSSSFYLIYLIKFKPLQSIY EYAKLISREVLFILITGSFLIYSFEFDQDKMLLYGWIHIALFSLILISNLIIDLIECINK AWKNYKRKKELQKKKIELFYIVKINQQKK >CAK68062 pep:novel supercontig:GCA_000165425.1:CT868056:205819:207354:-1 gene:GSPATT00036845001 transcript:CAK68062 MLIKLIILLQLRNLILIPIIKSLFLSNFGCIIYNIDKLRIDVWINQYFQVFVDQQTKYNQ GFTHLSSFPFCLDSSKSYLITDISITLYHNYPSIWIILKGQGLLWGISDFQLIIHECALG CDSCSETQCFNQQLSPINLSPTSMNMVTTQSSCLGILFCYLDYVYLQTAGTYMEMEIDLD THIRISSKIRFFLINSNPQTLTIKIDDQLVATQQQLQSPISWTIPFCSHIQILDVVITDL LHTNPRIKIRADVILTSLDFSLGATYFGIADFQLFMTASQELPFEDCQLEISQYIEGCEX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXQQQVNKSASQNAMMA >CAK68063 pep:novel supercontig:GCA_000165425.1:CT868056:210039:212617:-1 gene:GSPATT00036846001 transcript:CAK68063 MKQIQHHDFNTINYSTDNNINIKQVLIKKIGNNENIIEFLKYLVHLTSFDNNFIQIGSNS LHLLVEMNVDLKMQSFTNIKIKNTSLLRSNFAKSDLSGSEFDNVIISGINLNGAKLFNCK WKNLRINELQQLNGHFASVNSVCFSSDGNSLASGSDDNSIRLWNIKTGKIKLMLVDRSQV QQICFSPNGSTLASCGSSRSIYFWNLKTRRKTFELKSDFQVYKTISFSPDGTILASCHLD MSIRLWDVQTRQQKFQLIGHTWSICSVCFSPDGATLASGSRDTSIRFWNVKTGQLKLLAN IDDSPINHICFSPDGAVLACSSKKKSIWLWDVKKQRKQYQLNGHTESVQSVCFSPDGKIL ASGSQDNSIFLWDIQTGKKSQLHGHTGTVHSLCFSPDGYSLVSCSDKQDQSIFGMFKQDQ KNMNLKVTLIQSHQCAFLQIKKHQPQVVKIIPSVYGIFKQVNKNLNQMVINKQSIQFAFL QMVKHWHQVVKINLSVYGMFNQDNKYLYQLVIVRVSHQYVFFLMIQYQHLVAKIGLSGCG MSILDKKKYDVNSICCSSDSLTLASGSRDTYICLWDAKSGQLKSQLDGHFGWVLCLCFSP DCSILASGYDDNAIRLWDIKTGQQKFQLNGHTWGINSLCFSPDGTTLVSSGGGNSILFWN VNTGRQNSYLHDHNKYTMSVQFSPDGTKLVSGGNNSICLWDIQRCRQTFQLLGVTGSVKS IYFIPNCKTIATLSQDNQTCFWDSKTGKQLYISNIDNQDDFTKLLTKNQHFCLELIEEYG IDLFYLVNTIIISNYPIFSAQGALILKGEFTNYFDLDLLTLFKQKGACILESYFYEKQRQ AKIEYLEEKQPQ >CAK81131 pep:novel supercontig:GCA_000165425.1:CT868392:3:801:1 gene:GSPATT00039438001 transcript:CAK81131 PFNEATVKRANDHAEFESQVAEHNEAISAIDESLQLLSQLESPSLVQIQKVQKNLTKIQQ SLKRHSTFQTFIKTLLEIAVEANFADQGALREILTAFNNLRVQLVDSLNQLTADEAEAQK DFEARVIQLNQEHAEFQRAVVVKTAEIEANANKIEQTLDLIDVLHADLDTLNGQLQAEND DYAFATDVYNATVSEYNKELNAAHQALDLLNQPRFTDYVKSQLKGAF >CAK81132 pep:novel supercontig:GCA_000165425.1:CT868392:1976:2530:-1 gene:GSPATT00039439001 transcript:CAK81132 MANKKTTKENNNQSFQVDNNEKMPSFMHSLFDSDEKSVIAEKSNERSKKSEKKKVERISA IQVQKARDKLQKRNKPMSKVLQEIRQLQASSVLVCRRAGFQRFVRQTGIKVSDELGFKEF RYSSKSLECLQTLTEQYMVDLFEDSVQCTFHAKRVTLMAKDLNLTARIRGIEQPLQEIRN LKLR >CAK81133 pep:novel supercontig:GCA_000165425.1:CT868392:2985:3434:-1 gene:GSPATT00039440001 transcript:CAK81133 MNLSNEKNKVEILIEHFKKSEEGLNLLKYVENSKLPQEQKENLVQFLWSKILREQTILKP LGHEEDMPEHLTCPITFESFSDPVLTDSGQTYERLAIENHTKKNGYFDPCTRKPLKHQYI SNLQILWAVQFLKKKKKLHLQFIEAIQFE >CAK67027 pep:novel supercontig:GCA_000165425.1:CT868050:2744:4369:1 gene:GSPATT00036159001 transcript:CAK67027 MKLLIYLIQLMIYFGESQPTWKEIYNSKNWENPDPQQTKQSWQYAYAYGGPFSYCGGSKI LGGYSVFGKSSFVSSILKLPPHISVKITFDFWKIDSWDSEIFIYLFDNYVASQSFTGDQG GDICGNMGSFYRDHVETITSTLSPHLSSSLVLFMTSNLNASSENESWGFNNFKIEIEECP EGCMFCQDSTISCNQWINFVSYWPGSNESDVWQVENNQTIGYSKCASLQIAGGIDTLFKG QSIENLIQNLPPHFKVHVVVKLWVIGKWNNEVFDLNIDNQSKYSTQIYSLDSSFFCSEHQ VKIINIDANTYHTSQEIEIKMKSVKNSEENAYWGVQSFNLYVAKCSVGCEECDWNVVYKC IRCIKRWGILNSECIPAPPLECSLVRINEIFGLKTNSINLFELKINELDLVMKEIGQQQL IVNDIISNISISIQVQCQQNMEIFSFFRNFNECYGEKYSFSHYCTSQSKIFIYNAIFIQL VESQKELLIHMSQQKIEIIQVSILNDVETQVLILKIEI >CAK67028 pep:novel supercontig:GCA_000165425.1:CT868050:5971:6897:-1 gene:GSPATT00036160001 transcript:CAK67028 MNKLLTLGMLSLLMIGASQYFDASEVSIQQRFELYTTKYQKFYGPSEKIYRAKIFEERIK LFEAHNADKTQTFTMGENQFTDLTQEEFKAIYLRRRSPQKLVNEKYVPTNEANLTSANWA GLTSVKDQGYCGAAWAFAAIGAVESVLRINSVTNLDLSEQQLIDCDLENQGCEDGNLNNS LNWAQNNGVTTSASYPYTGQTDGCKKPTGQFKFKGYQKVEPDQMQAAIIKSPIAATVDAT TWLFYKSGVFNKCTFEELNHDALIIGFKDDGTWIVKNSWGQWWGEDGIIRLAPGNSCGIQ EQSYIAYV >CAK67029 pep:novel supercontig:GCA_000165425.1:CT868050:7333:8379:1 gene:GSPATT00036161001 transcript:CAK67029 MQKQRNNSPKSKQQQDHVTFCYQLLAQANYKHPDDCCAISISPNNNLLICSAYESIKVLQ FKNGSLQYLQQINKHHENITTLNFFRRKMNFLSSSCDGSMIIWSSSLISSLKFITKLNAH SDQINCVVVTSNLQDDLIISGSFDQTIKFWSPTCSLPSSWSCIQTIHEHTSLIYGLSINE DGNKLISCGYDELILVIEKSNNNIWEVKQKIKVDVGFRLAFITSNIFVFQPYKREQLNFY TYDQLSQQYIQSKTLSIKGSGQKCQQYFPQMFIPSKQILVSKNGHAINFIMFHFISSVEW ECNLKYVISFDQCVNGLIFGTVSENGEFLITWDQKSEQIQIRQFKCLL >CAK67030 pep:novel supercontig:GCA_000165425.1:CT868050:8742:9640:-1 gene:GSPATT00036162001 transcript:CAK67030 MKYETAISISEFVKSFPQRKLVREFDYLCQITETKEHEKNFCQNENIQHLNRYIDILPYK HTIVSCNINEKFYINANYIRGIDNVEKKYIATQGPIQQSVNDFWHMIWTNEVGVIIMLCK LVDHNFSQCEKYWPEISDNYGPYQVKLQKKEELKGGLMLNELIIKFQEQEKLIHHYQWNG WPDFGVVDKDSFFLIDLLANIANQSILENKKTVIHCSAGIGRTGTLLSICYIKQLLNQKE EKISIFSIVRRLREQRAYMVQTEEQYEMVYRFTLWLIQNLKYN >CAK67031 pep:novel supercontig:GCA_000165425.1:CT868050:10581:11709:1 gene:GSPATT00036163001 transcript:CAK67031 MMDKYKYAQSLYRSKNYKHSFITTQLCCRVKVQHIWEQTNLNDESETVKSAGLLCRYDDK GLIKFIKRVIDSADTYTYEQQKWLQKAIQKIYYESYQELSLHEIRFLIKEIQKEHENNNK TRTISCLQMLCQILLGLGRIDEALSIWPSFIGLEPNSLVGYQKQAQLLAKLKDEESSIEV WNLYLSNNKEEEFTFCHQKAQFLFIHLERMDEAVQVWNDYIQKNPNYLDAYINKADLLHQ MFDIEGVIAVYDDYIKKHQNDFKGYRVKADFLVALSQFDDAIEVWESYLQLFPKDRKAYE KMVMVVNLKYQ >CAK67032 pep:novel supercontig:GCA_000165425.1:CT868050:11777:16757:-1 gene:GSPATT00036164001 transcript:CAK67032 MHVAFLLLFIVHLVQEEKESTLSFQILHYSFIYYSSLIYTPVIYSALLTYYEIATGDRTF ITQNSNEVFLIISCTINLSMSILMKLVHLYFSESPTLIGDNNVIVLESSMIFNVIKEILM LLSVVLKIYKYQQVIRVILVFLKQGISLIEQLNKKNCFIHVLIKVVSMVTIFGLFSEVSI CEYLLLVSIFLYITISIVHTIRLKYIMTQNQANIITLQISLNLSNSQENQIFVQISFKLH KCSKCKQPQDMIQCLFKSIVRQTSQITYIIKFCYFLSEKYPIKSLIKLLEIQTNNLYFLG TTQNLIKYLRQQSDLLLELSQKDVYSKKKENISKQLRAQTIYECYFSSQEIFPSFERVII SKINFYNHLIKGYDSVNTYINEALALSQNIIKCKQLMNQKYDMINETLKNSSISETLSMR IIQIYHSAIYNNQYLSFQIEKKVEEHLKNERFRQDEPLSSIQLIQNRVFIFQSSLIKNRG EFINLDTKKLSSFLEEKEEELKYVKHCSQLMPLFLSDIHDELIDKYIINGYTQTQKQSRK TFILTYEGYVEPCVFNIYPHFDIDNNDFIMNVIMSKMQQHEMILFGVDGSINGLTKQFYE IAAKSNYHFMKIFRNDKTISKDQSSIKSFLEKKPLIQYYIPNIMFQIDQLAKQYQKTKSY QLTNLKSTWVIPNNHQQCLISTQNILEPFKVQNSYYERSYKKRNPQMSIFKSRFDASRSR QTFYDAQLVESNDKEIDGIPIILLQPYLQSQLIDIVDFGDVSKSQFQLALQYDLQFNTFE LPKKKIGYFVLTFTDFKVLSTQSIFSGPAPNEQNLMSGLFEDKICQNPILAQSMNCTNKQ EQQPNKQEQYDIISEARQEYKAVSDTREVQKDNEYLNFSSANQSFEQHLIKNQILQKSSE TQGQFDTYRTQLGPLILKSKFSENYNIEDIDLNDHNEQLKKQKPSKTDLQVSKKQDEKSK TKSHLKEFFSSKKEENNDFASNRSRSSVCSTQRETQFLVEQLYSKTQLILPLQKIVIILV MIIICIIVTSLTDYLVVVQNLDQQTTGVMNLLEPQNITYFYTSVIYQLLSNHLQSENLFK LSQFQETRNLETVDNMFQNARIYLRTLNVKVPKLAQDQGIENFEIKQVTNNILSTYNVSV EDFYFMLNEVVESAYRGNLNSPDPHYEELFTSGFLRLNILYVAKEIDSLVVQIIEDTISS QEQILANFQSLILIELFLILLLILTQLKYWIFIDKLQKSILFLVSHLNENQAIEQIVKLM AVKSIIDLKNPQNWKIENFSQIMMDNEGKKKNKQKQTESFGKPNSSESSLTSRIQKTKHV TKINYIIILLIIVIWIAYILTSYVLFVKNNGDFQPSLYATLRYGQFRIKMDIVTLFGGLI KTDNLIPENNLSFINQTETIILFKDCKDSMLSMINEISTTILENAQKNNQVSPFDGFLND DICQIKPWEEIKACDPSKQNLPYSSKDSVHNLILNGILGYTASLVKYIDQDYNWELNSLS YSNQDENMAAIQSQAFQNYFLSYFCDTQDTFLDFLTLFKIDNTSIAHSIINLMEIYYLVL GFFYFFFMIILSSIWVFWEQKKMMSLRQMLVLIPQDVLQNQNMKNRLKIIFEWLY >CAK67033 pep:novel supercontig:GCA_000165425.1:CT868050:21255:21982:-1 gene:GSPATT00036166001 transcript:CAK67033 MSGKAIIQKAAPFFKTNAWNPIKNAIESVQLTDFKGKYLLLFFYPLNFTFVCPTEIIQFS KLAKQFREHNCEVLGCSVDSVFSHAEYVKKPKADGGLGGLDILLLSDLTKQISSDYGVLT DSGLSLRGTFLIDGNQNLRHASINDAPVGRNVDEYLRLLQAFQFVEKHGEVCPASWQPGQ ATIKPDIEKSKTYWQNTHAKN >CAK67034 pep:novel supercontig:GCA_000165425.1:CT868050:22122:25299:1 gene:GSPATT00036167001 transcript:CAK67034 MSITHEYLRKYSYNRWKNSSRPIQEITQKKKESDPFFVEAQYETHEQFQKLNPEIQVSQE YPEENQMVVSNQIQQSNSYDPNHALSNAQNINKSQKHNIFQPIPNCNLIHQPLTIQNLKG NNFVIKEQFNIRIDPTTQNKLNKSIQLNEESLKEQVVPQMPQNQYLFLQTNQISQYIEIS QQVDEQNELKEVNQDFEEQEIPPASKQEFLLRSDFQSYDPKQEAEEQHFPQVVQMDVDYN KEEYLQIIDDYIEFIKITEYTDVQLIEHEILRLQHISSQKQFMLRIDPLIISMLFEQIPN NPYKKDSQTPYKQMDYLDLYDALKNYKSQLEQDLYIPDLSDFMHHQLSQQTLNFLAIKRQ QIQWNPQLFKALQRSRNELITIFSIYNQIKIPDIVLFDQDIDAFKIQVKQVGSNSINIFQ NLFSKYKEKTLKKLKDLKVSNQLNNKNKSTLVKSKYEYQNQYQAFQSQLDEFRDQIREYQ NKQPIDFKQAMSLIKKFNNIQQFYIQIKQQEHILHNQGISYVPIVFNQEQEIMKIFDLMY INLKQEILKTKTLLTLPLGEFAWEHHLQQEQEALNKITRRFSLLNQFYEQSVQKYQTEYQ FIRQLKKGQKVMNDNINVLVQYLDIKKIIHDQKLSMFSYPKMHLQQITVQSLNYHDLIVQ TNNRVIAIEQLNQYQFIEELNQILSQENYPSVELSLLKMKNQLNSLKFSKLIQDEINLLR TYIAQALYYFPKNLLDIFAQTNTYEEIELKLGNIEQFEALENSNFESQSKELFEIFKTFF ESKIQNQFDEIFIQLSEMSKEQINDWNPLRLAKRIDLLYEYQRSDIDTNQYVKKELVDQI IDYPDLRYLFQEAKSLFKQIRELLEEEQDIEKTNQSILSLILDFEAPEGIDSTLIDLKPL ERFHRSFKKFDKYTQLKNEVINLGLILQQEYSQYLQCCQSKIHKLVDCIEIKINTLDKGI LDNILFKPLHMNTPVPIYELHTLLNYRYSDFQSIQISKFENNQQRNIILFSQKLNQHFDE DIDIVLEVMYDKSKVHNVGIYVDQQLCFQCE >CAK67035 pep:novel supercontig:GCA_000165425.1:CT868050:26037:27283:-1 gene:GSPATT00036168001 transcript:CAK67035 MNYNLKTFDTIKWLRNRYKARVKDKYILYESELREQNMMKAVFNSIDRDKSKFLDRSELY DMFIKYGINITKSKLKEFFKSIDEDEDDKLNWTDFKQALQNQEALNMFVQLMKKIREDYE INHYDPSQQLTFVPLSFPQMIQYMNYCVLREEIIDKIESDNINNYQKHKQCVNLLTLEDS CYRNIKSDQNEEEGGDDQIKNIQKMDHAQLLQMKRFQERELKFKRLEKVKDISKRKFYTN PTQDLGVTDTVRLSDITQLRTNLTQEITRTRGNIDKFLAILNNEKLKQQKSRQASRVSSR QKSRDKKTTIFKKNTVLIEAQKELLNSSAPEQTKFKELHYGSIHRRKYPRERMLSLGMKQ K >CAK67036 pep:novel supercontig:GCA_000165425.1:CT868050:27283:28267:-1 gene:GSPATT00036169001 transcript:CAK67036 MGKRIRAQRKGKSNSVFKAHQNQRIGSPQYRHLDYAERHGYVRGVISAIRHDPGRGAPLA EVEFNDPYKYKKVTKLFIAPEGSYTGQYIYCGAKAQLATGNVLPIGQIPEGTVVCNLEEH PGDKGALGRATGCYATIIGHSDDGTTTRVRLPSGTRKTLSALCRATVGLIAGGGRTEKPI LKAGRQFHKYRRLRKCWPKVRGVAMNPVDHPHGGGNQQHIGHPSTLSRYAPPGQKVGLVA ARRSGLLRGGAQLKQMDEDLAAQQAKK >CAK67037 pep:novel supercontig:GCA_000165425.1:CT868050:28323:29072:-1 gene:GSPATT00036170001 transcript:CAK67037 MNEFIFDQLDQFKDDWKQEILLFGLDYWNKTKKLDDIKKQEIITLKDSVQIDQGLEIPIL QREYILEPNIDTEEIDKFYFNLNIYHYQKIVKCILLNITQINIIQQYSFLRYFICNNYLF LLDYILFIHWQYKHLRGKIVMELDVSFKIIQSLEDCTLIEQNYVIIFEDVLQIIKKLKIY IIFFIQGFKGLEIYDQQNFFIKQFKLVFHFTQSRQMAQNNDEMKQQFDNIHDK >CAK67038 pep:novel supercontig:GCA_000165425.1:CT868050:29110:31118:-1 gene:GSPATT00036171001 transcript:CAK67038 MLMKENVFDRHFENPDLQLTTLLDDDLIIGEFRNQNQKLMDFIQKKQVAELVSYLTEMPA DDSNSKRAFRYPFYSCELLVCCENPKILEQFFGEDKSQLHALFQFFISEDDVNPVLAGYV CNVMFNLLKMKTDIFLDEFYQYQNLVDGLVKQLQSRSVAELVIRVLTIEQETQADYTPQR IQLLKGVVGRILDISNFEVSTNVSHIIQEITQKAYVIFKAQPLFEFLYGESIDLFCSSIV HESNYVSLASGTSLYNLLTLLYKMQNRQGESSEGGVVIENALFIVNHQELFNKIESHLDK WLEFLQKSATPVFGQHKIKILEIIGVCISLNQESFVTKLYQQDIFKVYNSLFSKHEKHDI LHLQYFRFIVHIIEQKFDNLVQNLFNQNEFIQFLLNSTAEVSNNKNQRKEYLGFVTKLAH FLVEFSPKYPLLQSELETESWKKFREQYFDKAEKLNNHELGGHTRADYKEDVHFNKEDIQ TKYDDFLTSNHDEKLQDEAKNTQNLENIFDAFNISNTEQANPVDGQQQDWSNFSWGQEQN QAGGASEQQSNFQQFADNSNQEQRREQGTSVEQQQNTQQKHDYAQEEKDDEYNRFWQFQI HEHDAKLHDDALYEFEKNL >CAK67039 pep:novel supercontig:GCA_000165425.1:CT868050:31405:32642:-1 gene:GSPATT00036172001 transcript:CAK67039 MQQNQDDQEIESPNQSAIFKVKIGQSLILRPGGNIFILPTIMENQLPLGYQCLLYINQIG YMPIPQDFLYDRSVYRGGLDRDTFLPNGRGEIQSKTSTKFIGQFKEGFADGRCNIDNDDI MFHYSWGWKHGPFEEKVQNCKTTGFFKNNLMHGEVKSITEQDSVTDTKIMYYYNNVRVEY PPQDYPKQQTTRYLFMHKEFSINNYLFLGLRECNWINQLLIDYYLELIQDYFRVMNPDNQ IFLINTITSQDIFSSVISCSSSDISIPKKIQELILKNAFNRLIIILNVDRSHFVTLVFQN NTLYMLNSMNYCDEMILEKVSFLFLHLHLEKQIERRILTVPQQENGHDCGLYSIFNVLLQ YINVNTPVDQIDYNIETPERIAYLRQHLRNVLLNDYSHLIPIYN >CAK67040 pep:novel supercontig:GCA_000165425.1:CT868050:32729:33522:-1 gene:GSPATT00036173001 transcript:CAK67040 MQQKLSRAQLIAHYRQKPIEQMPETFKALCQQYPQAFKALSQNPYQARPIRGFNQEILVY SSNCATPLKTAGIYSSGGGDLFRFDEQQILTPQPKQSNTFDWSQQQLQSIKKEIVFSRSV AGQANHLLSKIWYYVDDYSKVQGINLFLDLGPFSSIQMDNWYLKGYFDDILRIGFKPENS ECFIRIYQLKTQKQSKNILKFLRKGSCPPALTASQETATQLKQTVQQQKQDLQQQIELQN QDNAPTIRVPTLRKKK >CAK67041 pep:novel supercontig:GCA_000165425.1:CT868050:33630:34203:-1 gene:GSPATT00036174001 transcript:CAK67041 MDVQMLLVVANVMGGKNSNIIQYYLEPSNASIKIATNRIAPSIITNRKGGSLSNQFRYVE QSSQVRVFKIVPRNRIVQNVFKVRDPSLQTSQRNQKSQDNSTSDQQQWLGHNLQNSFQYE PESDTVVECKNKGEHYQTALISILERTDSEELKDLMRKKSFSVDNIDDNEQIRGVLRMID MDS >CAK67042 pep:novel supercontig:GCA_000165425.1:CT868050:34224:35035:-1 gene:GSPATT00036175001 transcript:CAK67042 MQIPRDQMRNGFQKYNPNGRSNGNKRQQMQNFIAPNIPKGMLSMNSGSKTEKNTKLNDTE TTDVTHNNLGFSQQLQQQIRVEHLDLGFFKVQSCKIMGNHNHKHCPFFHNPKDRKRIGVE YSAELCQYVDKNSICPYGDNCNRAHNRVEQLYRVDNYKTKFCSFYPHNIHQCDYGKFCSF AHSEGDIAIELIHNLEYDDDFFMFYYKTVWCPFNLTQHDKSLCVYAHNWQDFRRKPQGFN YIPQSCPNWEYE >CAK67043 pep:novel supercontig:GCA_000165425.1:CT868050:35217:36239:1 gene:GSPATT00036176001 transcript:CAK67043 MLIKKTNLINLDTIQFYDIKSQHQNIYSPNATIATLKKNGDHQKNASQTQILCKRFEYNQ IGNYTVIKDLNQAGGIAGFIILKDYAFLFSHYQINLVKECLFSRNQQLCVIISSKFISIY ELQNLKLIQNQQIDWSFYYKSGAITSDSQYLIANTHESTIVLYSLKKLTQIITIYQSKAN FSQIFVSRTNNVMFSTKFGSHYVKRWQIKDDQIKMQTQFYLNQTYTLHYVTKHYILVRRQ FKTFESMYCIFSNLNKQRKLIRTIKCSFFENLQLDPNCESRILIDDGNKLEFLAQYKFYC IQTGHFKRASLEYNKLISKPSIEISKNQMKVEYYLQESQE >CAK67044 pep:novel supercontig:GCA_000165425.1:CT868050:36306:44355:-1 gene:GSPATT00036177001 transcript:CAK67044 MLKKITYGCQISLSLCSENESYLSTDQLNDNLLVHKVTHQNEILNFDKCIFMIIPVLNHF WMAKLVEIVKNDIDKEINKKESTIQSRQQTIKSYENNLDEEMTANISCFQKLQDTSLLFG TSTFHLVHKDSLRFVMIDQESVRLVEFPSDYTVLHFQPVYQYQKQISCILDSETVTLCAA KSIQNRKCYLRLISKDSEDQVSSTQINPTYLKMNLYSSLQENEDLLYAGDLIAINLSETN LFLNAKQPYDTFHEIADYQIQRLQNQLSSLTLTKIIYEDLKVTFDDVIERIGMKRVQKLQ SSSYWKIEKTNGQGGQIQFYQLVRLKHNQSSRYLELLNGDPILTNQLSKLSLFILIPIDE GQKILKKDSYFKIQHYQSGIYLEDQKNRQENRNINILRLRKIGEYEDTETKLLQYSVKIF IEAIELLQDINDQEVKCSVYDMKNKEGEIRLKIQFYFLYQKVKQILAYVSDFLMNKLISN LSPNQKYNQISFIRQDSFRQEPLFPLICLLFQMLKPELVDQIAQNDKDFFEKEEKFYQIT KTISRTDRDEGRIMYYYSLQLKMLQVQLIETINIICKDNIENQNCFFQFLPILHKHIILY PEFIAVLNSLVCKNRFFLEDLSKIRKISSNKPNKNVFILEWLTEKVSLNSAAKTEIPFSQ KTEILHLLATCCSFGVEAIFANQEMIFKMLINKKKNITLMKCVVDEEKNIIIACNGKMGP VSIKFEAYFEPDHKNSNPHYQEELNFLKAQFFLYSQLCLQRNYDSIKYFGEQFSDNSLVP LLNNNLIDPELRAHLIALCKNIYLDRDPLISQAKPTLIRVNIRNSQTFFDNMFYDDDDEV FDKVELETKSLYYVINQLKEIMSSYLKQMVHNLQEQQPLKVYNLLTLQIMRILFMLLQFG QFSNINDEMAKYRMDDSKEIEYVLERLIQQLSVLLEYDFDYQKANSILKQKNVNEKLAKI IQIQSLKFFRKAEKKKINKSSIETIRDPLLRKLQNVKRVLNRFYNKIFSAQTSTSDYEIE IKIEICKIFQYLLDMRLDFMIDNAIAFFTNTFLPSVSKKFIHSDKQSLHGSTENKSNLQS HTVYYKKKYLAQLQGLLREDLLKPGQKKFQQRGIKDHVQINRIRHFDRIIDRPFIEVLLK GFYFANDHVLQNHIVELIERFQNQRSEFCNYLKQIQMIMTNNLQIIYRTMYILVQKLKNN VQNSQIWLRLDIPIALNEKNEQTIEKVLEKLVEIYTIISKNEANEKSVRKIFLNCGGYQV VHQLIVKCLSVVDVNMDIFECSFELIDYDEQKQYQQELAEKILSIFQACLRLVGLSVRND YQSQEFVLIKLARPLLRYNMVNLGQIELINELFSNNQSLLPLVNSNDLYQLLEYVKLYGK YQSFIELFTSIVRNKQCQSLYKDIYQALIQCESKDINPFQENYNFQKFYPNQPNIEEFRQ SFLKIIEVVLIHDDRAALSVKMLEVLDFTDLTSYLLEKTQEMRNTNLDVELQDSITKIKF FVCRIIFTLSKKKKKLLLVHESYFLQIISIEASWLEQQEFLQLSAKTYIVEALLPLINTY NEYANKKNSFKTDIIQIRCYALSVLKQYSQLTKNGDTSTKYQVHRLIKAFDMKVPDERSE SDSDQERLMSDMYGETFKTEKFEEYQATEVSDGSDQGCNDLELWEFFKKLFENNPKIKEF TETDQLAQSIWYVSDMFTNDFWQDKEKRQLILTSDDILQKVLGFLQFWKSNKASKQSAIF AIKLLIQIINIDGNRIQILDQLGGTKVILTLIWEENESENDYIIQLLILIKGLLVSKHVQ KTTLTFLSLAISSDKFFQKMEMLLTIEQDLKISTLVLEIMELMCNDNNKELQNLIRYQKN NKKSYDLVQQTINYLCSLDIKNNYEQLIQCLKTIKSQAYACRPNQTLVANSKFVEFSIRV LNDSSLKSQLDDEQINQLKHQCLIILLVVAEINTKDDYIVQKLKKIVPKTVLVQHFVNVY HQMVNCYGDVNYSKLIFEDQPNFIMESGFNAYILYVILSELNYVVEDLEEFKKNEDEGQL VQDFLRDNILNELSRLGQSLMQHGLEQLNQIKQQFSGQVEEKINIIKKHEMIHKAFQFYK LNTLQVEFVKDDVLYLTYFPKLPCFYLLRQETKKQFLDQADRTSTKTKIISLVNFVDSAY KFMLHQEALRDYFKNHKFLEILARRGKLWMQLAFYNGFLINLIIILSYTQLAFPPGYQPK DPDVIQYYRLNEPRFLGDYNNTNTKYYLMILAYLNLAINFMVLFFYLIDKAPVKLQFVWN AWHASSLIGHMISGLIKFWSLISTQYTTFFRQRSISLEQQFIHFFLAFHLIHILYLEPLI PILKAVWLAKFKFIGLWLIIVLFEYWVALISYVYFFEFFVTDKNQNLCDHLWQCVYVTFD WTFKTDGSVGGMFESYLSNLNNYYGRFFYDNIINVIVKLCVINVLLAVIIISYSELRSVQ KQKEKDQNHKCFICGIDRLVFDKTSQNTGFWHHIKVEHNIWNYLFYMCYLRMKQSNFNNG VDNYIRAKQLAGDYSWFPIRRAKALSVKFQMIESVKHKNATSKSKLICMLHSLRRLVWIH KQF >CAK67045 pep:novel supercontig:GCA_000165425.1:CT868050:45022:45555:1 gene:GSPATT00036178001 transcript:CAK67045 MYTTDFQDIDSKRVKERLVESAFNKVQIWRKIFLEGLINEQGERQYYTLNEAANLVGIPK KTLEDYTQIFQKVGLIASPLSDFYGKKMGFLRQFVRKNKSKIRKALIVERLKQYERKREK LIQKHQAQRQHFGEENASSKDTESIDEKYSYNNFFNSDFEELDVYQESRVFLPLLKF >CAK67046 pep:novel supercontig:GCA_000165425.1:CT868050:45560:46845:1 gene:GSPATT00036179001 transcript:CAK67046 MQFLDITNLEKNLQNQKGKSVVEVPKSPKKKIQINYLDMSNFKFDWICLDEEEFEVMNQN NIKSYTFTIQEQFLWFQNQHTHFSYPLKVEHKNFTESLKKHKIDEYKRHSLLCWMGYVMG SYESASNETYFLAISILDKFLQLYPFVLTNQELLAIGLCCLALASKHKDVFPLTSQQLIQ LSSDKMDEIMLTKCQFTILDTLQFQVEVPNYFQIFDYIMRDLEYRYYKHISSDIVQNSLV SRQLKVIYKCSLHLLRFVSQYYDSTIFYQGAISYGSICYTIKRMELLKFSVPIDLIKVLS QIQTDQEINNTEIVLKYIYRLCQNGMSEKQQEFFRQTLFQY >CAK67047 pep:novel supercontig:GCA_000165425.1:CT868050:46857:47990:-1 gene:GSPATT00036180001 transcript:CAK67047 MKINSHPEKKLEGILKQEILFKSAKKMMKELKKDIQGLPSMDYEESSANLYNFVVNRKVE NTTNYSTRAHPLKRTQRTLSFELIKPKLSDGKIKHLRFGSREGKRIQSRNIQKIRRRSCC CSDCGHENTKIIHLMYKGATCHRQVEYAHQLKKKRDFAEDAFRFSQKIARKMILIKNKLY SKTILFRKRCNSCDTRLTKEMLIQHKQVAFQVPQRKDTHSKTQMRHTVFIKRQQTINDKL LLIPIKNLKVVSEIQLPIKTLPTQPSNTSSKILKKKNFNRTYVPVNRSILPQLSPSKFTI NNNKSIDYQLKSQIRQQVKELQKPLIESKKNSIASLTNPYRNISQLDYLLKVRRRQCNY >CAK67048 pep:novel supercontig:GCA_000165425.1:CT868050:48604:48954:-1 gene:GSPATT00036181001 transcript:CAK67048 MSVNVQKQSLFKRAFLQTEFKVACYPKIELNASKEEAWQILKQPQNGFERFALRFISKQY IVPRKPFVLSEVMKQICNEWSSFDQDTKYYYCNQ >CAK67049 pep:novel supercontig:GCA_000165425.1:CT868050:49112:51177:-1 gene:GSPATT00036182001 transcript:CAK67049 MSNNSPSEIDGFSIHFAEYFGHGAFSYCYKCSNPNYRIPLCVKIISQSPKTNVAFQREIE IVKKLIQVDCPNLVKILHITQREDLCFIFMEYCQQGDFQSVIQKYLKYGKKFQVQEIIQI LSQIINGYRELYKLGIMHRDIKPANIFLGNNGDFQLADYGMSKILENPNLEIEQSHAGTP YYAAPQILINGVYSNKCDIYSLGVLIYQLVYSKLPVDSHGMFQFIEALKRTKTHRIVIGG IPKELGGSASEQQLLLNFLRSSLVYEESSRISWNELFIQFPSNDLDIESTKVINRNISSS DQQLQSPVSSQLKEQNDRDSQSTSIDNNNISQPKETQFLRSNSKLQRANQTGEFPQARQQ SQDKQIFQPFHSDQLLQNRQDQVGTPVRQIRMQKKHSLCQLQTNVLEKILGFYQAKCTFA NQLSNKIENTFKYLQINKQTIFKSNYTIILYLLQLYLYGYQYSCFLNIYTLISKRFDNSL NLQISKELSFVDKKNLDEEIWTFLQCQSDQKDKIIQNYKNYKQLFLIKINQFQEDQKLIK DPNLLEEIKKFNFQDSLGSPQLYYLQFKKMFGEMNLNFDFEMEKDLLEDHTIEFMAFSIK FIKNEESNQNKVQFLMADPQQLAELKGSKQLLLKSIQQYFKG >CAK67050 pep:novel supercontig:GCA_000165425.1:CT868050:51810:52798:-1 gene:GSPATT00036183001 transcript:CAK67050 MRFEQYQFFEIHEETHFIIDQLTQQSRLAEYKQINSMLNNTFNSVLQQNNINYQQKEMHQ HFWNSIDQRKDSSPLTYDCWNQNKYDTILDNDNVELPQPQLKNMMSFLSENDIEEPNSPT IMKFNNQNQVQEMNSDANIKLPNIQIQDHQKKADDPCSHTLEQRSRRIRKNKLNPSFNTT QDPNQESDLHSQQQEPNTTPCKCTKSNCLKLYCQCFHQNRQCTELCKCFACKNCDDHLPV RQTALEKIKIKSQRQKHDDDLFDRSRVWGCKCQKSQCQKNYCECYIRNQKCSSSCRCKDC ANKKRIPVQLKKKKKIETVSN >CAK67051 pep:novel supercontig:GCA_000165425.1:CT868050:53225:53656:1 gene:GSPATT00036184001 transcript:CAK67051 MGSSCISNQKDSLFEDVASVQINSKEKGIQGINQTIKANFQQVKVLKETLDEGIQISNIQ TIVIRPRCESESAKIMSDLIKFGNQITIISKRMPDSPVSSMKSISKKGILKNKQEISVTK SADGDVFFPSLYRTSGKNIRFKG >CAK67052 pep:novel supercontig:GCA_000165425.1:CT868050:53732:54436:-1 gene:GSPATT00036185001 transcript:CAK67052 MASFIQTFLTKILHTLYFIPSTIYDMIISNFLTKYSYERLVFYMNQRNPKRILDIGVGTG SPLKHIWNQLPQNCEVLGVDIDQAYVLKANNIFRNYNLGGRKLEIRYQNFYEVSERKEGK FDAVVFSSSFMLMPKRIEALELAKSLLNPGGSIYFILTLQPDSKKNSTFLQFVEYIKPKI KYFTTIDFGQITYEQEFETLLTKTQLKIVNKEKLNKEFNIPTKIFRVFVYETKA >CAK67053 pep:novel supercontig:GCA_000165425.1:CT868050:54606:56207:1 gene:GSPATT00036186001 transcript:CAK67053 MSLLFLLQLFSLYNCIRFQQTYSYFDSIDKLELITSILLSGKPCNLEALNACALKFYMLN NDAHAISNLGYFHLFGFPENRGDEYLHFCQEFGGFSVPLLKQNESLAYNYFKLSNRYETQ VYIAFIDWMNFKRGGPVPRSIRKLKNLDYTLAKLAYFNYQFNCHRFRNIPELELSQRFDE MFGEVDCQQCDQLMLIGYQIGEAELQYFHEIIPKIELTHEDFLLIEQQANNGDPQAQLQL AYQFYAGNDNLGINRDLNQAMNIFSNMSLSGESLHNQALLHVMKGEYQQALILLQQAIEL FQMPDSYNLLGYMYLQGLGVEEDLKMAQSLFQQAVDRGFDAAAVTLGIMKMNRNVTEGVE QLLNQTEYNARWALIQAYVSFSKVLDQQFGCQRFLNITREFIKQIIYPVIQNEKPLPEDP KSQYIMKQFWAFLGIIEPIDLEEQVIYRFEGAATALAHDLTDQELQKKFYQSDLQPESYI SLSQIEFSQGNTTQAQYWVDQLIRQCIEGKYEKDSLFVAYMWKAWITLVNIFY >CAK67054 pep:novel supercontig:GCA_000165425.1:CT868050:56254:57044:1 gene:GSPATT00036187001 transcript:CAK67054 MEQKSSKRMCSMDSNFEHAEFISARTDTKQRLGELFIQHPTKLEMFGLRQVSSRIPKFKH KKPLFELPMSDTQRSLTHQTTSIIDCSKCSQSLSKMINNKTHHEQLVLSQPTLVAEERKE LQLQPPKRLASHHGRLRNELPPILAHPNISPPPSFQQSLKTQTKQKTLGKLSFGRISKNL DHDLMQVIQSELQKQFNNNLQKDYPIAKMLQKYVFMVVYAIQAKLKKVQVDLSQSGHQGF QEVGAVGGQVKYF >CAK67055 pep:novel supercontig:GCA_000165425.1:CT868050:57228:58403:1 gene:GSPATT00036188001 transcript:CAK67055 MQNKALVLKQRPDETFPQNMDFFEVREVPVPELNDGEILIRVLYIGVDPVMRVWLSGAKT YIDAVQIGQVMPAFGVGIVVDTKQPKWDVGQIVFGVLECANLCKRATKPLFKVPLFELGD PNIPLLLSIYGVTGLTALNGMKLIPQDHIPTQDRQRTFCVSSAAGSTGSIALQIAKHMGF KTIGIVSGREKREFVLGLGADECITYNDCKENDEIIVDKLTQEIKRVAPNGIDVYFDNAG EEVLDAVLPGMAKEGLILLCGATSTYNAWKNRGGLTNLASAITQQVKLEGIIFYQDQAKI YSGFSDMIELVNDGVIKHQEEIYYGVENFVQGLKRVFLGQNMGKIIIQIDQQVEHQAKL >CAK67056 pep:novel supercontig:GCA_000165425.1:CT868050:59423:60383:1 gene:GSPATT00036189001 transcript:CAK67056 MYHYTLQLPLILEFLQSLNLFIYLCGMTDYLKAVIETQLIGAFRVAITMPLEHVLDRIKT YKQSKLGITYLQSFRDIKGARGYLGLYDGFYPSFLRNMFKQYYRWPMMIFIPSFLKDHID NSSLNKILTGISIGLFESCLITPFERLKTLKMTTMSTGFGYLKYITLDSIYVGFRIQTTR QVVSWTNYLYWDHKLRYALKGDPSQKLSLVNGLIASFISSVLNIMAVHPIDTLKTLVQME SNQGYRKIPLFQAFQLVFQQHGLSGLYAGWQARIIAYFCQAALTTPTIDYLERNYGIAKR NDK >CAK67057 pep:novel supercontig:GCA_000165425.1:CT868050:61400:62010:-1 gene:GSPATT00036190001 transcript:CAK67057 MADVQNQRAFQKQEGIFQNSKKLLAKKTSKGVRYWKEVGLGFKVPKDAIEGNYIDKKCPF TGNVSVRGAILKGIVISTKMTRTIIIRRDYLHYVAKYNRYEKRHRNVPVHISPAFGPVKE GDIVVCGQCRPLSKTVRFNVLKVIPNEIIGNVRKQFVLF >CAK67058 pep:novel supercontig:GCA_000165425.1:CT868050:62036:62533:1 gene:GSPATT00036191001 transcript:CAK67058 MIRLEQKIETKALLPRLKQKSINDNTMYTIQLLRKTIHQMTNKYSEPQPRQMASKMLPAQ KVKRLNYSYTKEYSQNHQSQSIEQYQRASTQTITNFNIDYKKSSPDNFKMKVTKSPNLPI NSIQIFQQFRNRFQTMDINDRFKNLKNRALSQKYGKRTRSVSQQK >CAK67059 pep:novel supercontig:GCA_000165425.1:CT868050:63016:63770:1 gene:GSPATT00036192001 transcript:CAK67059 MGNNLCYQTGERSSLFPQLLLKYEMGNCEIVLTAGPVENEDVECLVIPTDSGYTNIKQSE RPSFKQINEKCVFLPGNKKRKSQILAIVIDKNFSIKNDDGEDEKQTIYATVQEALRLAEQ KLMKSIGFPVFESKDHTTSATIMLMAIKLSLTEQKINSLKRIAITLDVSNFAQSYKHIEQ VSSFKWVFQQVFKGSEQLKHSRTYSSSINTQIDSISIDNIKSKVKSNTKDETP >CAK67060 pep:novel supercontig:GCA_000165425.1:CT868050:64662:66791:1 gene:GSPATT00036193001 transcript:CAK67060 MFLEILLFFVILKNSQTQTCEEKAIDKNNFFISSFTDAFLIETDEADFSKINGIGYDVLI FEYSQHYELEGILISAKLLESFQNKSELQICNLINKEISYFIQCIIGLTFIQEEIDLNYS NKTEIITLPNEICQSIFYDQEQIFTIFCYSEENFQLISVNLDNQAQFYQLYNYPQDSELK LCTPISIQFQPFHYLIGYLNCSDWRIFRALDNNITLILDQGILNGYQNDVTLTNLVNLQI CQKNNMQRYLVYALFDTGYVKFEDIKVSVFLLKTQEHKITQLIFDRLCNVAMFVGQEESL IPFQYYSQYSTITFSKSIPPPVVHAHEQFKILQLEKTLQVFINQKFIQYYDISGLLLFDR TFNYFFAIHPSLNQIKLYKIKHLSRYLLPQRQYIYDFNLRNFNCCDKKAIKCTKIIKQDP STLLQEYDFGVNEQYLISQKQQSEIKIFIDYLEFMDGIPLFFEVPPEYKHLLSIDEMKIK FSSICQQQSTIQDSNILFFDASIKIFIFQDQKNIYFYNCTQQRLFRHEQIQNKSVFTTKN YVIIIDGMLNLINIIVMQQQSIKKHLIKIDSEIINAKLILNYIFITLKDKEPLIIEIQSD DKILYNSVSSNKDDILFYFKDYNSSQIYQVKDYLLIQKNNYILKIKFLDWKILGFNSNLN LFVLHEIDLIQLQKFAQQIRMRQYFYKILIYLIFPFINLQRTLFVILVY >CAK67061 pep:novel supercontig:GCA_000165425.1:CT868050:67259:68509:1 gene:GSPATT00036194001 transcript:CAK67061 MTCNQSVSSDCLFKWKSNNHSQISLFCLFFKKVEENNSTNNTNFILLSSSMCNWYQLRNG DIFYIPRLEQLTGEVPMYTYDIMSGSYVQYKTFNIQQRCTIQIRKIGDLMYCQESSQFYL LYVNRNFIKQIYETQNYFDMIYIENSDEEYIILTQNNYIDITHYNFSQGNVVQMQTYNIE KIKLLLIDQNENNFLINPMLAQTLQFNLVDALKVKFEIRLNGILDFENIKFYVTVTINTL NQSFETKIIRTFRSLDSIEKIIFIDERFAIFQGQAQFQCYIYDLRQDQEFYDPIVKMNMQ PAMIIKSYNSTHYIFFDCLKNEAFLIEIGYEISFFEKEKPKNCKLIAENEISKAEIQLII QQEEINDNINYKSIIIVSIIICILIVLIIFILRRQQLKNHNLKQFQQISIDESKLQ >CAK67062 pep:novel supercontig:GCA_000165425.1:CT868050:71436:73782:1 gene:GSPATT00036195001 transcript:CAK67062 MQELLDQFIELLKQTDEAIKNKIRALTNNNVDFQVPNNKWDEASVKLLKLLIQTYCIDQQ ISHHQVPDDIWSLISQLVKKSTIDCKLQFGTRKKIRLLQQQWSKKEDEALKEICFSYQAQ NKPYKWSEIAKELAQKLNQQNVKLTKFVRDRWLNKLNPNIQKGPWEKNEEYELCKQVLKH GKNWMSIALEMKNQRTESSIKNRYFNILKKLEKQEVPILSKADIEKELEKLYSNQIEKLD QFGQQEISFILYSLRNLEPKITKAKQEFEADKNVQKKSNVSTQNNGSKKLLKAAEKDQKL HANNIVKKVLQQYNQDENLDYNKMKEHYQDVVAQKGDTLELDEEPISDSDNLSEVQFAVM GKDSQNLHLIPKSQLKAVMELMKQKKEQKKEVQQSLSKIQSLPPPLPKLEQKLQQSQQQS SQQQQQNQQQVSQQISQSPQQQIPVQMPMVQSIMQPVAMQAPVIPIQQQLQGQQQQPQQQ QQSLQQQQQQQQQQQQQQQLQLQQQQQQYYQLVYPSNFMYPQVQMAQVAQIPIMPGQMMG QIPGQMIGQMPGQMVSQIQGQIPGQMVGQLPSQMIGQIPGQMGQMVGQIPNPMVGQIQNQ MVGQIPTQMVSAIPGQMPQIISQLQPQMMQQVQGQLPQMVQPGQMATMQNGQMQMPQMAQ IPQLAQMPLQSLPLTATQPVGMPQQFIPILYAPQPVYQQQQQAQGQSNQQQKTNQQQQQV PIMNYQIPMMPQYQDQFFQLMNMNQPNQQKQPKYSQVKQETEEHQQQQQQNRK >CAK67063 pep:novel supercontig:GCA_000165425.1:CT868050:73806:77112:-1 gene:GSPATT00036196001 transcript:CAK67063 MKEELQGLVESKMKLNNAKGYQKIVSPIFNTIMLIIVFCLITFMLTMMIQQQKPTQHDQT SFLQKQLIKKPLIDTNIYEQITLENGLTVLLIQNNDAIISQVALSVKAGSFQEPANYGGL AHLLEHMLFVGSHTFPDPNYFNNLIHNNGGTNNAYTDNYETNYYFTIQNSALHQSLDVFS HFFIDPILDQKMVEKEVNAVNNEYEIITGTDEWKIEALLKIISEKSHPFSQFSIGNLNTL LKDEISEKLKEFFNQAYSSNLMSLVIESSLPISDLKTYIKNFEKIKNNNLVEPTCEDFGS PIQYGTQLIQYRSNSDVKKVYITHQLSDVQQQYKTKSIELINNLIQSSKGVKEYLISKNL IIDMSSGILFNDHNGCFSVLALEFQVYSFNDYDRIIQSVFSYYYYLIQTLFDDQGDTVAD NVKLRALYNDYKKTASLKFNFKENIIEDIQEIAHNLNIYGIHDVLSRKYLYEEYDPELIY FYLTDLLDIDNLNVFLGDSQLNHPDVQYDKVNRVNYAYLDIPDSIIQKIQSYDEVEQPKF QLPSIHKYQPNDLKMKSFCKPYSESKIDEDPYEDLQNKNMLIQRNSEMVFSNVEECLQYE HQYEELYPLPEYLFKSSIGKLWWKLDRSYKTPAIFMGMKIDKINFQFNLKQQVLLKIFQS YTSALISQNLESAFQNNYNFKFQSSPNHIIFNIYGWNDKFFDFIDDSLTFFTKQQIDKQI YEQTLKTLINQYNQEIQSPLYSQIKNHFFLNTLIFGYYEPKSILQELKNINIKDYEQFHS QLFKGTNFQLYLTGNVLREEALSLFKSIEKKLFGKPKHLDYNPIYSKILKLSHNYIVPYA AESNENNGATLNYYIFGNRNRKQFAIMNILKGTFNSYAFNYLRTDKQLGYLVSAKFQPLE CLDGASILVQGSAQTPYQVNQYIEDFLQFFYQEVEKMTDFDIEELKKAAIQQLRQKEKTL FEKGQAYWDHISNNDFIFEEKDITIDQIDLLKKEDVLNFLNSAFKKSSKLSIQLYGKHML KGMSVEEFLKNQNPIKSFSALEEKISDKEKIKVGAMLYQCSFKLGQI >CAK67064 pep:novel supercontig:GCA_000165425.1:CT868050:77178:77393:1 gene:GSPATT00036197001 transcript:CAK67064 MLYATNSLYYRQFLTTTGKKSKAQYQPQHQQTIAKGQNSEHPAAQSA >CAK67065 pep:novel supercontig:GCA_000165425.1:CT868050:77600:78867:1 gene:GSPATT00036198001 transcript:CAK67065 MNLNNINICALEMKCQDDKPASQSYQFLDKLGEGLSSFGYIWKVKQISTGQIYACKLVKN TMKKERTLLQREIKILVMIHLLTEGFTQLITSGQDNRNTYFIMNLLGDNLEQVRMKCGNF DSTSILNTGQQMIQLLKDLHHSNIIHRDIKPENFVVYQDKLHLIDFGLSKQYIQDGKHIE FREQKGMIGTARYASINSLKGNEQSRRDDLESVGYLLIYLFMGTLPWNNIVADDKTVKYY KIQRMKEAFKPETYINLPPELSKYLEYVRRLKFDQQPDYDYLFKLFRRGEDLTGTPKLQI QQNHMSIKTNLVRLKNIDRTQCQTTRKLFNQVGNTSRRITFEDLSSAGADIMEELDNNER IQLKNLQVGIKQPNTFNKINKVRMGSDQIFEIENKLQYQVPFSLKQLK >CAK67066 pep:novel supercontig:GCA_000165425.1:CT868050:79242:81187:1 gene:GSPATT00036199001 transcript:CAK67066 MSITQLNRKINQLLNSILGKQEECIRLNILDLYNNFQDPEFDQYMFQLWFSYLFYVTDNK CIKELLYNYAFQNTVKFFRLEWMLKALNEDSKCQKVKIGFSTFLKQLQTEFDQKYWSLAG KSQKTKSANSPSIYKDKQDQIIFNLIEQSLRLKKISIEKQKDHMKTFLMLINQMITSLRF SGQPEFCGLTIPFNCDNVDSFLIVNLLEDEFTCFNTAKRVPYKITIETVNPSELELIQNI NQLTQNNLPQLIPIYDIEKEMRSISQVKIFQQYNIEELKKLALKKIDFQRMQYLQKQSKI AEKDINVSRSSNSSIWGEDWNITKQKIKQNSLYGNLKSHVVRQIIIKGGDDLRSELLIMQ MMRKINEIFNQKKNNLYLRPYDIILTSPNSGILEFIPNTVSIDKIKREYQGISLRKFYQM NFDNFQEAQKNFAESLAAYSLICYLFQLKDRHNGNILIDNQGHIIHIDFGFVLTLTPGNV GFESAPFKLISEYEELLNGKDSDMYMYYKIMIFSGMYQYLNNITELITFVKLINFSPNHN LLNCLENFSINEFKKRFHENVDQKKLFEIADNLVKQSSNSLKTQLYDYFQFQTNTIYY >CAK67067 pep:novel supercontig:GCA_000165425.1:CT868050:81388:83122:-1 gene:GSPATT00036200001 transcript:CAK67067 MRTNQGSSNDLLNRQNQARRDIDPLASNSPNAWKEMTSQSGFVSRDKYNKLKDLNSKLKA ALRDYMQEGKDQEKILQLKEELIQKYERERSDWQTKGNEDLKTQLNDMKNKVQKKKNKIK LLKDESKANDTKLEEQKAQFQVELDRVQRLYDALTNEIKDHEKRYSILKDENNVLKQAII AKEEQCRYLEMAIKEEKQNAQLLESNIKEQLEENKILQLTINNVNSKAEDLEKQIKINES RHSLELNKVQQEKLTQQQELNAQINKKKEKIKNMGNQIQQLENMISQQQKQEFALNNSIL EKQQENIKLQSHLDDQRNKTDLALKEAHYSVQEVKELKSKLESQKSLVQTYEEKLAQYDK QYQIDQEERGQILQDLDQLNQDNRRLQQLLYESDNDISYLNQQHLEKQSQIEKKLESLQL QLNYSQDELADSKRLIQEMKKQAIVSEEQIEIYKNKYLKTKQNQKTLQNEQRYLEEKMKL IENERIQEEREALKTKDFIMHQRQVQQSKIRVLDDIQNMIKQHKRTNS >CAK67068 pep:novel supercontig:GCA_000165425.1:CT868050:83248:86741:1 gene:GSPATT00036201001 transcript:CAK67068 MSSDNLRKGLINDSFGDFGSFVETQMPEEKKTMREKAGFFGKMFVSWIYPTLKLAQSQPL EIETIQPLAKTEKSKYLYEKFKKQLSITKRGENTIFEALFHTFQKRIIITFIWLIISAST AVVIPIMIKNIIDYITRQEEDYVYATIIIAVIMISRAINMISNAQSRLEIRAFGFDAQSV LSVELLSKSLRTSFLSNPRYTTGEVINLMQVDAGKLQFVTYYLGVALLVPIQLIVTIYLM FVYIGLSFLGGFGIMLLTAVWNTMIGKFLMKYQQTMMKEKDKRTNCANQIFSQIKFIKIN AFEEFFREKLFKLRKAEINITRKRYFGTAFYIFSVWLSPLLILCGTFLMHILLGHQLSAG STFAIISLFQMLQQPLLQLPIAINEVMATNISLKRIQKFLFTEELQSDCIQYDYYDDEKS IEIRDGNFYWSALKKEEIDEQEKKEQENKKKKKKQKKSKKFQVEEQSHEEDEKKQVLFNI NIEIKKGRMIGIIGDVGSGKTSILQAIIGEMLFKTESPPKVTVGGSLAYVGQKYWIQNLT VKENILFGLEYKEQKYQNALKYSCLQQDLKILIKGDETMIGEKGINLSGGQKARISLARA IYSDADIILLDDPISAVDAHVGNFIMNECLNGYLKDRTRILITHALNNLQYVDYVYLLEN GAIIEKGTFEEIKQSVSFNSIYQKLYKHQHQNDDEETIEQKVEELPQLVKQASQKEENKN DQNNELMLDEDRERGKLALETYKEYFRLTGYFNIALLVIIQICWIICYFGTSILIALWTS RYRQLQIFRGLFLFLFGTGNICVSETFLLVSAGTRTSDKIHTKMINCLLYAPQCSFFERV PLGRILNRLTKDQNSLDSEVYWGLSWFFVAISLLAANLTIYVYSSTAYMLIPLFFYFCLC WWIQQLYVTASRELQRLESISKSPIVSFFGECINGQSYVRVFKKESKFIEKHCDNMDVNR RVFLELIGSQTWFMLVLGLVSLVVNAMAIVYCVFFSFSNPSLAGLLLTYATQIDGNVSEC VQSFSQLQLGLVSFERCLAFTKIEPEPGYKELENYLDHWPKQGRIDYSNYSVRYREGLKP ALKNLNITIDPQDKIGVVGRTGAGKSTMTLTLLRILRRLWKAKL >CAK67069 pep:novel supercontig:GCA_000165425.1:CT868050:86798:87313:1 gene:GSPATT00036202001 transcript:CAK67069 MIMQDSTIFDGTLRENIDPLNQRTDEEIINVLEQCCLKDLVKQRNGLNTQISEGGDNLSS GEKQLICIARAVLKKSKVVLIDEATANIDVETEHKIQETILNAFRDCTVITIAHRINTIL HCDKIIVVDQGEIKEYGKTNELLLLKDSIFYGIYQEALRHNKK >CAK67070 pep:novel supercontig:GCA_000165425.1:CT868050:87349:94790:-1 gene:GSPATT00036203001 transcript:CAK67070 MKLSQLIYEYLHRKYTKTKQKNDDSYSKQIEFENGDTVNLKGFSSSELKNKISTIQSLGS IIWRYLRRPFNYIFLMINIICLSITQDNSQVIYGFVIPFLFGIVMYLIKTFYYMKLVNGE DNLINKRRVIVFKKLKKILHNKKIGLMEYEQKLNKTQMISTQRADKGMIPPSPQPLTELN ESTKVSIIETIRWEDLEIGDIIILQRNEICPSDCLILESNQERCYTEEGIKSANELTSLP KMHQFKGNGFEYRLLLSGKVTFDKDYKSECFYTGFIRLKNDPQAVDIKRENILYRGQQLQ TCDYVYGLVLSCGLNCKYYHLSKFEKSTKSFFENKIDTFYKFTLILIILLSFVSWMIESI RLVDQGWKIQYLADHFLIYLNMLPYYLIGLLDLLQILSSSQKLFKFNKQKGGQNSESQQE FNIFVNHKMDLNTVYSKLNATPIADLSLVDSVIFDKTCTMTIPQFKIKLILINETFYEIH NKTFKNTTEWKKYQKEVLDNQEMLFIQDQSLSPNQISSIKVSPHHRPMSPAKRASVTPWR LEDNLVNIEPNFEQDIRETSQQEEANFNAEEIADDHSKLKEIHRTKPPKLFQIDSWESGT DLGGMINQLNEQRMIKSQSPIKETARRKFSERRPILEKMEPKKKLSADRFYKTDEFNIQY DRQDDFISNEKEIFSKSLTPEYRGVIEESLFILIICHNTQTKYLKKQDSLQQEFNNQIDE LQINLAQNYDYKFIGSTFGKNKYIIEIKENLVEIPVTVTQLDNNKLSVITQITDYNKLLA FQFNDKFEYIQYIREDGLELIEGINMDKEDKDVWNGIINKIIQRGCRPIIYYKYNMDQNS YNLFVKNPLEEIKNKQNQLEVVLIVGVQEKLKKQLKDIINYYQNADISIWIASGDTKNKV LPIAYKSSILKENIQILKIESNDMISQQIKSHILWCYNNLIKQLREEQNDKKNNEKLLSS PNNKRKSINVARRASTRGVLIGMNNNLSKTKPFCIMIEGKALETIENDENLYHHLAFLVS FCTHLIGYSMNKYQKGVLIKILQKKQLQRRRILVVGDEANDSIIMENSDFAIQIQNERAS QKIKIEKMEQIERVKKESNINNNAQVSNKLSKYKHLQQLYSNRQLDKFIYSKVRHMSTAD LIIKDMNNLITLLFYDSRKHAEFLENLMIYAFYRCYLIMFCLFFQRIMEVYNEVPPLSYY QSIMFILPSLLLYKQSILNNRNITDFKQQLVYFYNQNWIQFRKHKYWLFIYKVLLLSIFE SFIIIIIQKYIEFYTDDGRDFDNSIQSLQMYSIIIVVEQSKWIYDSIVYWWILLIVLYLL TLVSYIQIFNETYYQILEHLINIQSVFYILLIILIIYTLQQLLFQFIPYTIDKLMFSVKD KIQICGLLKPIEIYYSKKKNNSSLLLNIQKYALKLFKNEDEMDSSIRSMLSGMALSEKSI KINKLTQQFKNIQLETKYQLNELTSILEYYRFYYPLSWMLVEGAILLQIWFVNKDRNYTW LLDFSYGYNGTQLLMALFIYTSVFKKYHFRLSKILILSRLLYKIVYDIYFFQQNDNSLSD MLIMQLFMLQPLIDDRPLTIIFYCIIVNISFIIRFSANNTSINQNINTYIMLNYYLIAFM QTALSSGMHLRVQRNLRQEFIQNLQLNQKINSISDTLSILMPKFIRNMINQKGEFDIQEN QGEVAILFCDICQFDTIIKVQQQNVVHLLDILFRQYDSLCNQYNLQKIETVGKTYMAASG LKNLISQNNINPVLRALQVAFEMQKFALSQSFGQTENIVVKIGVHYGNVIAGVIGYHKPQ FSLIGDTVNTTSRVCSTAQDNQIKISEEAFKQVSNCPDFTFTLDIVEAKGKGQLATYLVQ EVIKEKISRKKLRKFKDDMQIFLNRDDYPGKHRKSIFEFKNSRKPTIVQSSLKIVTPQLL HKSSINLDNQNLTLNNSNNNNNVSQHHSRGESDQLQPPTKEQQPLTITGQNLIEALEIKL ARENLMFEDSLEFPLVEVDKDKLKQIHQAEDEFKEMDVLILDKKRLYLDFHSEVDEEVIQ EFYQQLRKQNKILVILLELGMGILILIQNTSTILIDNIFREITVWYINIVQGYMIVFVKL IFICLLFNEQYCNHFFKQKYFIGFYIFILIWWIMHIFSVKEGIIGEVCIAIGIFLSFLTQ LNPIIKMKYKVVESIVMICMNIIAVVSNNWDNSLIYYASLLQVIFVSNQIYKFLKNVEAY NQKCKLKYKHEQLDQLVKHQLPTHMLDQFLQLQQSRAVLKDQHEDVTLLFADIAGFTEYS SKVAPEQVVFMLRNLFTEFDKCCQEKNVYKLYTIGDCYVVMGMVDAKDRNPALEAKNTVE MGFEMINIISKVRDKINNSDLDMRIGIHTGKIIGGVLGTDIVRYDIYGPDVLIANKMESK GERGKVQVSESTKKTLELCYPEEFQYFSHCIVEIPSIDRKTEGHFISIKTFQEPSMDVQN FN >CAK67071 pep:novel supercontig:GCA_000165425.1:CT868050:97086:98523:-1 gene:GSPATT00036204001 transcript:CAK67071 MFILFIISKFALGCQINGYESGVCSQRVQISDGVEFCNYELDDYICVPELRKLWPDHTIE SRDKEIRLDFVAYIRDRLVQEINGEVDSVLIKDDTCYKAYKQFLCKWNFPPCDQTTNLTI PICQSYCTSYYENCGLNLTPCLQYFQKLVQLIQLLLRNQVQIKIADMFYLQIFIIFMKSL SVSKYYNNNSLLTPDKRLSRQTTQSTQKKDALSVQSYFSEQSYLKSEASKKEIIRLIKST VDSIDKNIGMRELNVILTKLLAGLSSCINEQDMSLILIQLIQSIKQIIHNNQTNEKQVYD QERAQLLIQLQQLEQQSKENQLKFENKLKEYEIQHRVLQYQDELNQMKKNQGNKDNLHDR NKLKDQIKEMQQKMLTLSEKERKLIQLVKAVKSRGIDVEKIYKNLNVFSSKNSKRTSKQS NSEVEDISFTESNLADNSQLDYSVGNIKRHAKFVID >CAK67072 pep:novel supercontig:GCA_000165425.1:CT868050:99088:100472:1 gene:GSPATT00036205001 transcript:CAK67072 MSGRENKNKMNYLLILLYIYQSTILSLFTIIIPILLAEKGYTFEQQGMYSLISYPFYFKF LFAPIIDLYQFQFLGKRKSYILPINLILSVILLYLSRMDILTNYHILWIFGFILCLFLGI QDIAIDGLATDLCKQEGESAALLQNIGFTIGNSFLGNFLFIALYSNQICSLETFFMLLSI VGLILTIFLYVKLLEKEEQEKRATTFQDLLSVFKSFFKNENMITYSLLIFFERTALSSLD ATFRLKLVQFNINKTYISFIDTIILPLKIATGLIYHQYFYKFDFKYYGNIQYLRLISTFF AILTLLLIDKFELEGPFSYIAIFFSNMCWWVLFNITYIVRGNFQMKITTPGVEATTLTIL NSISQLGVKLAFSVNLIMANYVNYYWLLCAGWIIHLLYCFRYSETYRNLYMQPIQKWYLN KQIKQI >CAK67073 pep:novel supercontig:GCA_000165425.1:CT868050:100481:101781:1 gene:GSPATT00036206001 transcript:CAK67073 MNYLLLFLYIYQSSMLSIFTQIMPILLAEHGFNYEQQGLFSLVAYPFFFKIIFAPIIDLF HIRSLGRRRSYILPCTILMSFNLFWQSSLDIQQNYLLFCLIGLFNATFLGMQDIAIDGFA ADLCKKSNESSAHILNMGYTIGSSFLSNFIFHSLNKYQLCSLSTYLKMMSVFGLLMAVYL FLKVKEEPNSQQIKKLTGFRQVIRGIFGNENLITLSFLLFFDRYGVAPIESTFRIKLTEL KFNKAYVSFMDTAILPLKIAVGFVYHKYFSKYNFEYYGYVQGLRLISCLFATLTVFLVDV VKVNDTISYVALFIASTTWWIVFNLTFIVRGNFQMKIITPGYEATTLALMNSLGSLGGKT GFSLCMFLTEYVNYYCFACFALVAQLLFTLKSIKLYKRLYHVPQEKYSIIRQNLKSE >CAK67074 pep:novel supercontig:GCA_000165425.1:CT868050:101870:103013:1 gene:GSPATT00036207001 transcript:CAK67074 MAQDIYKLLVLAYTCTDNALRKDAEEQLMSGVIKNIQNFSSIAQIAKSQDNMQNQAASLL NSVVLKMLQNNFELQLEHAQLVFDVIISQQTSLKCKQLLQKSMSLLIKQNKKIKPEIENK MKQLLKSEQLWEVQSGLFFFKTLIDSLDLSSYNIVLNQGLDWIKDFFDLIYPLFKKWDEQ SADITEEYILTIKYYAQIVCEFCEKIFKQKAHNKESLIPLQTMMFKLTSFQITLFTIFKY SSPNNVIQSCIISCTNNEQFDNRINEVKMYGFKCLQILMNSLLSKSKNEQRNSVFYNQQA NLNQLLLYSIFAYTRQAKIQDILQQTLSYNQETISVEYLEQHAQIAGPFRGTG >CAK67075 pep:novel supercontig:GCA_000165425.1:CT868050:103105:105102:1 gene:GSPATT00036208001 transcript:CAK67075 MKEHPEEFVNIAIDVVDKQESDLPKTAATTLLESLCDHIDGSTSYLAQMAIVVISSSILE LSQSQLTKQQQQVILTDIQQISDKKLFQEFTPVDRIESSLMILTIISYLVQKRYDIIQLM EQLLSSNLVFFTNTTEQIIKVRFALFFGYYCDNLFKVESQFSLMLNYIQLLISYAKPQEP VVLYQSIDALKDIFEDDELKHKTGTLVVNVFPSLINGLIFSTYERHFEMIGNLLKKFPSI FFANESYIINLVQILVQRIIQEETLVKNNNDSNRYIHLNRCWNVIRQLPTINEFSPLLLK IEQAMQPIYQLLVIQDSRMNLYEDLILFISSVIQKLQTVSQFQKEILPYFSHVITKQSGR FVYLYETLNMYMYYGRSYFVQEQAQHIYFNLCFQTLMQEETFEDVDLAEGALLIQLGIQV LQNDLNQAILAQVFQNVLQLMAKESVTGIIRSRITSIFLVAFYYIPQQSYQILGDLFQNV YQKVLITQYHPGYDIKLFIITICKLIQSTPQLLNTELIQVIIRNLENQENDEKPDKTDFD DDMMDDDEEQEEILEEEERQQAKQQIEQLKSALNNIDEFTVFRNTILGFKTSQPMIIEII KQNLDLPSQQKLNNYLKFMRIDNSESARKVMTTSRRRQNK >CAK67076 pep:novel supercontig:GCA_000165425.1:CT868050:105174:106616:1 gene:GSPATT00036209001 transcript:CAK67076 MADIFGVPYRQQITLEEKVQKSVLGGISSVIVILVGFGYLIYVMNEWISSKILPKSTSTM KVDNYSQIIFDNEQLFEFCYWKYDPSQIDPFRMKRNILTPVGIYFIDGIPQKPFSLLQEN QKQSTYNTTLMSINNLSLIQNSNFNKELNATKELMIVITSCNQTLMNEGYECASQEEIQD FFRSSVNYISFWLNLKQYDPYSQQFEVVKKQYYLSFDYEIAQQGQLILTQTKATIDTGIL FGKYYSKSYVYNAQLVTSATSKNFWSTLLVQQSYFNLFVRLDPMSYDIQIVYPKLGEILA QVGSIISMLMIIQYGIQHYNEWLLDCNFIDKVLRFYFIDYVQLKQSKNKVDKETCQELIQ SARKKLVYTNVIYELSRIQLFLLHHFGRTSLEQTHHLGLYARGDFKPSCDMYEKFITIPK TEIEKQHVQFDMNRADFNLLCRAKSRTMILGGNSNNQGSSAAIDNVFSEVKSSSLKSIKT >CAK67077 pep:novel supercontig:GCA_000165425.1:CT868050:106652:108136:1 gene:GSPATT00036210001 transcript:CAK67077 MHQRERRRIYSLQNREPINLPKYHIDVSMDNLQKRKISTNELPQLIQNKMQMINYTNSMQ LQLKTKYEQLSMKILKEPYKATNSKQRIIPNHLMLQQKIQDITISDSIKNKQLDTDKTII KGIVMLPAQNDQQYTIPVSRQGSRVVKYDHYGLVFGGHSHRENIEIHAISLLNGQWKRKQ ECYYSQIEQLKQKQIANPNLRLGCYFSLNIDENKTIYAFGGEKNTNNRKTTNVITKICLE GDRLEWQQFQTSIGCRRNHSGIYTHNHLLVVGGIDCSDFITKYYNDFQLINLSKMQSSQF HPKFYKKQVLQNDHPFKLGIAYHSATLVGNPFLRLNYDFSQIAKKEEQVDQLFTKEGIYI FGGKDSEDNLYSELYQMVIDTYPIVVQIVETLGQKPPSRRSHSMNYDEKISALLLFGGTN EVQCFGDLHILLLKNYTWQKVQLQGYLEYPFRYEHCSVFNEDKLIVFGGLNQDGFLMYNP ITIQVKFKIIQKHN >CAK67078 pep:novel supercontig:GCA_000165425.1:CT868050:108184:109545:1 gene:GSPATT00036211001 transcript:CAK67078 MQIKKVSCFGAGYVGGPTMAVMASKCPEQTFIVYDINEQQIQKWNSKQYPVYEENLDEYV NKTIHKNLIFTSDIDLALKDCDIAFLAVNTPSKTYGLGAESQLDISYIDSCLQSIKKYPL TKKLILVEKSTVPIKTADYINAVLQNLNICVLSNPEFLAEGTAIQDLLSPDRVIIGGPLE SSKQLASLYEQWVQKDKIIFTNIYSAELSKIVANSFLAQRVSSINSISIICDKIGADVNE ISQCVGSDSRIGNKFLKSSVGFGGSCLKKDLLCLIYLCESLQLDEVAQYWRQVYLLNEFQ KQRFINLIISSMFNCLRNKVIVILGVTFKAKTNDTRESAALLIIKKLQEEQAILRIYDPQ GKIDKLEQCQSLDGIFKGASALVILTEWEEFTKIDYVEAFNQMAKPAYCFDGRNLLQSDL MKSIGFLYYGLGRV >CAK67079 pep:novel supercontig:GCA_000165425.1:CT868050:109598:111286:1 gene:GSPATT00036212001 transcript:CAK67079 MSEEQQQYWPIFTEQDETKTFWNKKPSNLDKQAIIYQQPMQRISWKSNKPTEYEFLLSEE GVLFYKQSNKIKGYVQLNEDISLKLIDLKISSKKTEAVKVIRIKRTKDIFLYIWNKDYSY TFQFLKHLCQFCFVQNLDQLYTMQDVIGKGGFSKVYTLTPNIRLPNQPFNYAGKVYNKNE LLSKKDLKKFYHFIRNECYVLKRINFPYVLKLCEIIQMEELLILVTEYIKGGSLYQYLKE RKQLTEMESIQILQKLALGLQQVHNLGYVHRDIKLENVLIDKDQLKLIDFGFAEKICRDR LVNGQGTAGYIAPEVFMKQPYQEVGDIFSLGVIFYSLLSGKAPFRSNTYDALLKLNKECQ IDFSEQRFQNVSQKTMHLLKAMLQKQPENRINVQDLLNQLTANQIFSPSHNIPSTQSIVE GSVGISFNHLQSKNTLKSFYQHSQNSFIDNSSQCSQKKPSKNLRDRRYKSQSMDKKGMLL KSSSFKPSLKSIYQIKIEQSDDNISIADYELPIPEVSLQFLQTSYQTLNRQKFI >CAK67080 pep:novel supercontig:GCA_000165425.1:CT868050:111313:113377:-1 gene:GSPATT00036213001 transcript:CAK67080 MQKQCYCEQNNILDSFICYVCHKNHHWQCYDYQITDKQPSQLRCLQCSLTANNPQMQFET FIKLNNKFNVAIIKKPAQLLEKVFTFEVNQYYEELKKKSIILAIFCTKTKEPKKLYEWPS KNVYVELNNQHHIAYHINDYGFIPSTCIQWGANTIKVKVGEDVESNSLLGIVIVKSIKIS ELKSKLIDVGREKIIDSIITQQKQQYQDKIKSLSLVDDTLQDSLFSVKLIDGITMQQLEI PVRGKQCLHFDCFELNAYLTMNEKPNENRWKCPICNQLVPYDQLQVDFVLIDILHEIKCD HPKIFHKLQRVQLNQYLEYKIDEKYIEEETQIKRILMNSQTLSQTVKINDVILEKATDSV VKFIQEFQRKDNYSSSLITFKSARKLLKKFHKKELFKNLETNQIQLSKFFIDMFDLSNYY QAYIFEDQIELQYYESLQDFELICKTIYQQTVSGLFIYYIKLFHPTVQRMTQSMAILCTQ LNVKPAVIHSKKMLELILATAYDKEQKTSLGYNFKQICCMSKMRRDEYYSNVLYLSQILS FVFYNTEISSFFIVRNCINLSNIFDLFYFQDKGKEDSQLDDYQLTIKLIKEVYSLKIIKE NPNILDILRLILYLLTKRDRKFENVLDSFKEYNLGDVKNKFKNMEFNGEYYYL >CAK67081 pep:novel supercontig:GCA_000165425.1:CT868050:113964:122648:-1 gene:GSPATT00036214001 transcript:CAK67081 MNLRGGGCGSTHIVTPFPIKTQTLKNSPGLTTQIKFHCDRIGRSMVTVLDQENNLMNSFQ FFQLNQRQIWNLISNVQLNQQSFELVSQSLDTLMSALQKVIQQHVGYSLYVLQIMTQLSW ILFMYYSTNQERYLDIDRQQFMLREMGLIREQLKIERNADKLFNNLYFEFFISKSIITIQ PTNSKESQEILVQFLSGALKSVSTFTLNDDLIQSLKKSVIYIYQEGVKYSRKRKLEVIFA LQQLKFYIIDELDNGRDAKYLATVLSKMYCEIIRESTDWEIWCSWIQVLSQLFQLRQAVD ELRIQNQLQQYFQGKEYTIPNFDGRNYVAINNFYIQNDELLKLIFENSQPLQELSRLQYY ILNGYGLLQSYEQYYFNKLSVIKATNSTLKNNSFSSSQISQFMNSVSAIQQGQQQLVELF KQLVINNDQQKIKEAEDLMKDLISKTIQLKRDLEYLAIIQQSIQGEINQNEFIYEFPETD KIQVMFLQKYLNCQLSSKQFQHIDFDSIFQENAIQSLLDQFTSEEISIELREEILKKTIN QVQIENLIVSLKMKKQQETTKNTTNENKWFYGFFKAFDLTQKSKCFINWNLQIQVILSIK LRMTNSFKEDEKQLNLKQEIIQLSMQMISYDPLQSSLQFMYNQFLKCQSSKILSKIPTDQ SHKFVKILKAITIEKFITINQIIGILTTHLFKETKLKNDVSIDQEICKIVQEELTDNINY LNQICSGFKMITDILKNQLDNNQEQKDDLIILRDQSIFHEIFPNFNTNSLLQSQIVLSFQ KLSAYQDEFLKSFEIMKDYIKTEDHEFYQYLENKRAAISNIIELIDIVKLMKITNEKDKE IAFYLCEDIQKQLSDCCRLIKSTSVKIEQKQFDWNEEIKFYEQLVDEMKQIKTRQQEQVQ QFQNIFTNSEKVQSQILNVITLQKLATKKPQFYLQFQESEKLLQESMSEYCNLTIEIAID YQQVQQIISLKEFNQYPSDLEPQIYFILSQIENKDESKKSNDYFDLIQQGINDLLSNNQW RIRQFLVYELIQMRRSCLQQNCMSLNSGLYIRFQVYETDKRIRSLFDDKSIQLSNQLMGK YWPSQETIIQNQLKEKLKDLNELAQQISIETQENFKQQLRKEYTKLEKDIQQMFDNVAQI QNSLGITIIFLQDLKQDLLRIENFIIQMQETLDQISKDIKYLKGRTFYELLELRMKKMLQ QRLVFNSDNVYIPIKSKEKLNDSQYSSEKTNLFTEDIFGDGEINEFIWKQSKDSFLIHGQ AGSGKSTAARKIEEFLWMFYQKNKKQSDYIPLIPIFVQLPQLRDPVYCCIEETLKSDNYR FNERQIQEFQEAVEQRKIKLVIIMDSYDELKSDYIGLNLNVSNKLSKWRCQADKNKFPKI ITTCRTEIFNIAGYNTWFLSESNSPQFYKEVKLLKFNQEQQKLYIDQYTLLCVKRDIREF YFSNYGTQDYSEYETFFTDLVKSLNLGKMKFENTFMLNPNVIQQILFKCSNFVSKEMQKS LSQLLAEIWSSAQYLKFIQIMKLDKVIETPFMAEIVMAVLPYIVRQRSEINNVKNRFIKK YIYFAKSEYQLLQFNQLEKQALDEWQTIIHNSNFLSEYLLEFSEIQVDKLITKYFTSNQK FTIIKNSLMLEPLSTYDFYSQFLEHYFKRQIYKLREAGEPIDYEQIGSELWKFTHHLANQ MTFRNMTQVYFQPSGLIFKKLESDWKDEFFDDQCQEGVYKRLFRKCMPIKQKNSIYSFNH KSIQEFLVAKWFVEYLSNIKFPIDENTKKQLFQYEFFKTIWEFEFLKGSITFICDKLKYN DEQKQQLLNFIYLTRTDDNFVIAGSNSMLLLNEMDHSFIDMDFSNIKITDVSLSGANFFN CDFTNSNLKGITLSSVNLNLSKIVNAQWTDISLNQLPKISTSLGNIKGLTYIEKERLLLA FDDQKPEMKQYDIYKIQEVNKLNIKIIPKQAILANNQRLMALLNENEILLYDLFDMKIVQ LIQYGQCFDKERTSCVFGFDDKSLIFGGYNGAHELKLNIEYVERKAQKKTTDKDKKKGKQ QNQKQEVEDKVEIIQQQKDLIVTIDSFKQLINEQIVNLRCCKIIIMQRLSKTIHLYSQQA QLMKQIETKCERVICMDSNLELNLLAIGGRKGEIYLYNLSQIEKPVSLSGHKKAVAELQF SNDGKILVSCSFDQSIILWSTQQLSQINQANFTAFIKIFSLTLITNTYLAVTGQNQGQIQ IWDLDSQDTQISNPQGHINSVQCCIFSFDGTLLISGSSDLLIKIWNTQTGQQIGQNLEKH QLPIRSLAISQDSNLLCSGGEDGYLYIWDLLTFQIRSEINHFASQISKVSVFYSEEQYNI VTMTEEKLIHLWSLNNLQEFILIYDYKDENKRIIPNIETKKLLDQCRDQQVNVIESYNIS NYLKIQNQYITALAISNNGLQKLIGTSEGYLLVIENKELIFKNQAHKKSKCDIIYVLNNK LFMTSGQNSIIFWKFSDFTQYSNYQSKFTKINDFFVWNDNLYISIDQTIRIWNISQKSTI KVIKEKKKDHIHSICMKNSNMIYAGTQNGNLLIIDLQKQDQYQVIPEIHNGRITKIAWIN NQNMFITAGLDGILKQWKDDKPIKVIDTGSTINSFYLSNDQNYFVLHTFEGLSMWISDDL ILSEKIVNLNDNQSILVLFNQRRIIWSKDDQLFYVPILQKKLKYSYAQESNNHFPTTFLV DENNTIYSGDKDGKLGFWNYKGEKTKDFLDLNQPNIICLSQSPDKSKLVVVFKELIKVIS AQSFEIIYEKDFKDFSINSLNYLNEKTLLITTNLKDKQVIFYDEEDKSMIIQNEHDEQVN GAAVSLKFNCYVTYSDDKSIRYYQKQNSIYSMKFSMSELLKFESQDTVVENSTIISKTGI DLLSLFKNQRIVMN >CAK67082 pep:novel supercontig:GCA_000165425.1:CT868050:123333:130841:-1 gene:GSPATT00036215001 transcript:CAK67082 MKIYKYLVFLMISSIEMRYISQYCVCRHVDNKDECQRSQICIWNGENCILRSGETYIQQV GQQDGDQCYKYIQEDCIQMDMCGFYLGECIRFTECSLFQKNQCQESSLKCVSDGLKCIEK RVCMDYDTEVGCQNKNVNGNYCFWDVNANPRCQDAQQCTQLPQYLMSDYDCRSAMQQCTV NDLGLGCEEGKNKCEQYTFENQCYFTYNKKTECFWEDELQLCFTKNCENKRLKTYAECNS YMSKCTTNGVHCIDKKECKNIGSAIGCVLDINNKKCVYHNGQCQEKSCITAPQSYNNYEQ CQSYDQLLDCVSAQNGGCKDRPIQCQDYCQELDCKSVDIQDCIWFENKCDKRQCFHAPKS FNHNQCKEYGTCMGTLLGSCQQRPQSCQDINDQQFCDITYDESRCIWINGQCNQLVCSNL KLPKYDNHQKCQDASRFCTFNIEIGGCIDYICTNITDVTACTIDSLDQVCNLNDGCIAKK CKLAPSYYDTNEQCESWVKTCTVNIYEYDNNFLKFGCADKPSECSIAKQDQCYSTYSGFH CKWQSNQCFNQQCVDADSSHTTNASCLQFKVISKTCIINSTNNGCVDWPSACSQMLSQTQ CELGLQDSTVCIWSSNACRQIDCIDAPIASYINNLQCHSFLSNCIVTSSYSGCMIRPTNL VCSQSPKNEMYDTHQECQAWNPNCTISDQNIPACQQKLALCSNYVTKNQCKSVLDNLYPN TKPESYCFWDYETSTCQSALQFDSGINTNTFQCGRHKMGELTHSSCENFMKICTINNISK FCVDLSEDCTIYTIEQACKLNKYQQPCYWDKAQSKCQNLLCSENTIAQTEAACFQYKNRY LCQLIYNEDGIAQTGCEDRPTTCSDVKYQQICNKTITTVNQRCYYYNNKCNTITDASQCK LITEASSDEECQFYFSLCLLQLSGTGCYSVDQCSNLVNTNCNSAVLYKNEKCLYYGNECR RNRFCHHLFPSQSSCNNVKTSLGILCSYQYVGSELKCVAQECVLSNLAGSVSDKYDVCHN YSSICTYDITTDLTTKSCVNIINCSDLTTQGMINYKWCNDSMTTSLQKCGFNFATLTCEN RQCVHMKSANVGPLNDQACYDWNNNCVFDGTNCKSFDNVDCTQIKLKHQCLQYSQCSLQQ NNCVSIANCELNTTAVSLYECQLMNKICILDYRSGQGCKYQKCDYISNMAICNSAHTSDG MDCKWSGGSCVPKSCNLFTIQLDCQAKYGVLIVNSKNISMKCYWCSGSCSENNPCDPTIN PALVKHEDCHNQNVHKTVSYSNGPICKLKEIHCQDYSFQASCVVALNGYKCAWNLFGLGC IDYCMSIPNTPMDNAECQTFDSTCMQATVDGISICIHVVCSTLSATDCALYIDICTLNAS DNCVDVTTCSVHKPTLCNISKDIQGYPCSDLSGICTRQSNLENCESQLSSDNHQSCESLF LSGICTVNSRYPNCTDLPTSCSLATQKQCYMDNIRNRCYWKSTTNQCIQILNCLDLGIEN DSHAKCQSYLSDCTVNSLKNGCIHLVDCNLYTIKEQCYFDSLKIQCEWIQTQNKCSYKTC ITAQLQRYSSGACQLYFDLTCSVNDNLTECELAKAQCLGYNELQCRSDGQHNANGTECFW NTERVQCVEKTCENGPKFALSNKECEGYMQNCQKGGCRLRICTDYYYAIDSACASIFPDK SCTTNGYQCIRRSTCEEVLIKDGCTFDKFFKECVWIINDCVTKTCNTATTNLTTHQQCQE YLSSCTTKRGGGCVEITECQYFSIKEACQSDKYNQGCFWDDQLQICFTDVCEGFCGDGII TNSDEVCDDGNYLPYDGCYKCQIQCSLGCLQCEGKLCQVCDSYGWKLADGQCKSICGDGI IVGKEYCDDGNLVEFDGCYNCEYSCDSHCLKCFQGECIQCPNGLYENQSYCQNKCGDGIF ASSYEQCEDANTDNNDGCNSNCEIEKFWKCYFVDSLSVCIYNISPTIKLTTPLNSYGQSQ EIILTFSEDVRLNSTSITEEIFMQLIQISISNLQDSDYTYEIIPILSIDYTLQPVSYKIK LNFYKSVVGPILIVKIYCDQLVNQYENQLASNQQTIILKDPFTLSSTQKMVAANAALFNE IVIYTLITVACVAFISGNLEIFWNLIDTLQQLSYMKYLNLKFPQNLSIYFEVFSLVTIQP ITDRIKLSGFIEEMFQYNSPFIPAFGRFEVFSINCYFGINLESFIIILVLGFTNYVIVYF LQLLLTSLKYYNWPIVFDQRYVETITKIIKAMHFIQKICKSYYQYFFYSGLVRIYLSNFY DLMFSALLQVANFQTYDSITETSSLLALIVLIFNFALMFQLYSYLSTVKNLSRRFSIFFE GLEIKGNYWNRQFTTLVLIKKTFFIANLVLLQTLPPVQPILTSLISGFYSVYVYRMRPFA NQFENYKIIITECLISAIAILFSVSESLLLFSQIEYVSLLGWVEIAGFSTILLACLFIDF YQQLGKPARQIFDILKKCGTKTTLDHSNSTNSILKEGVIQFY >CAK67083 pep:novel supercontig:GCA_000165425.1:CT868050:133032:135323:1 gene:GSPATT00036216001 transcript:CAK67083 MVLNYYDIFILINLQTNYFRLLKIYHDVQMQNQYTLDGIIQDVYHYSNYILLITKSQSLF LFDLNAELQIQLPLILNNLFLNIYLSNINHKDKAQRFCIYINKNLYFIQYYENCIILDNN SLYYFKVKQLQIIQIRKMNHQKDSYHIVAVDLTQNALQQYYFDLIELTLLDKYDFQNFKL INPLAYQEAYEFITITSSMNNNTYLHIFQTLGTYPFKLKQTIQTGSHHTFVSFQKLYYFD KKNQIQVLDIKYLLLKFKPLLTKWDNLNLEQTLIFYITPESNNDTNIQISLNLSIFVNCT ILIPLIRELPIILEEDNQIMLNLSDLYEGPIYNLKIQDNSNVLLEGPFLQSPWQDELSKF TYTIKQLSIKVSLTTIQLYSTNRQILQMQVYDEFNKFNEICGLIQLAFYISNNQILLIYF HETKTIASIFRINQDNLTLIQVSDEFTIKKLYEAKEVKQIKNLFLDRQNILFYVHNDTIL DLQNNNLIGNLIFIQNSDELYLQLTKSVYPFLRIQIFIIEQMELKIFNELAFNLDKIQKN FTKYIKQNNNFYSYKQIYPINATINKQTLDIQCLMILQDFCILQFIQVHLVSGDFNLKFY KLLRISYDFDSTLHYIDENFIIIKQKNSCFVYDFRVQKQIYDYTFIFECNQNTIWQYNTT HYFIYSQMNKNLTLGQIAYRISILDDKKQSETCTLIAENKISKAEITLKINKISNKISIE KFPYFGLVFFILTVIILVIYSIRRCVLKQQLTQHRRVYLFFGQ >CAK67084 pep:novel supercontig:GCA_000165425.1:CT868050:135899:137294:1 gene:GSPATT00036217001 transcript:CAK67084 MQSERIKSKKRVKHYEFLYDSKIGSGAYANVYMGRDIYNDQTVAIKIISNNLIKSDYTSQ QIKREVEIMKSFNHQNIVKLLDVFHSTNNIYIVTEYCNGGDLKAYLGSRTLSEERALQIF KQILNGLQELLRNGIVHRDLKPANILLQDGIFKITDFGFAKRVQMDSTMSSLVGTPLYMA PQILKRQPYTSKSDIWSLGLILYEMIYKITPWHSTNVVELLNRLDKEPLKFSFQPQIELQ TRQIIMGCLGKEEKDRWGWDQLMKAVQGNERQQMMNIYLTKELYYVTKKQQMHKQQSQQN LQEYCRTSSTQSSPIRSISTKRTKTIANENNSSVLLSELIKKKQQIYKIFELLEKQLEKL PIIKLDQCRQYLQEQRSRLVCANIEQIYNKEDERELIQQQKILQQYSANFGVQIMILLLK CYRKIIINNYQTGTLILDFADRQTIENCSVLVSNQYK >CAK67085 pep:novel supercontig:GCA_000165425.1:CT868050:137327:138074:1 gene:GSPATT00036218001 transcript:CAK67085 MSTSRLAIRLYTYRGYSAPWRVSIMLKLKQLQFQPFFINLPKAEQLSNMYSQINPLQIVP TLEINGQLLHESMAIAEYLEEEFPRNKLLPKSPLEKAIVRSMCETVNSGIHPYHASRFYK YVHNYVADINRQEISEPFLERGFTALNTLVEKHGGDYAFGNQVTIADAFLYPAFRGDDVS YLVNLDKYPALKKVVENLDQLSEFAYEHPDSQG >CAK67086 pep:novel supercontig:GCA_000165425.1:CT868050:138435:139599:1 gene:GSPATT00036219001 transcript:CAK67086 MQYNRLGNTGLLVSQLGFGNMVNFQPEDEEINVAIIKRAYEAGINFFDTAEFYQHGEAEK QLGRSIKILNIPREKLVITTKVFGNQAPGGLNVNRMCTLSRKHIIEAVHTSLKNLQLDYV DIVYAHQFDSETPIEEIVRGFNTVIEDGKAFYWATSNWNAAQIQEAINYADLHGLIRPIA DQGEYHMLERKRFEQEFVALYEKHNYGTTIYSPLCGGFLTGKYLEGVPENSRCGKDNGWL TKDRAANRFLLKYTSNPRYVEGLKQFVALAKELSVTPAQLALAWTMRNKDIDVAITGART VAQLEESLGSIELLKKFDKDLDEKLDKVFENAPKQELEFRTMKPFPNRR >CAK67087 pep:novel supercontig:GCA_000165425.1:CT868050:139847:140489:-1 gene:GSPATT00036220001 transcript:CAK67087 MLPFYLALTSRMHTNQPQQAWFLWQYSEIHHSPNHNIWHSALHRKSGICCGKYINEFLGV KVIDCDQIARDNVQPGKPAYKLIVQRFGLSILPIDAQKLAEVEFQDGQLRKQLQTITNKF ILKEITKFILKICFFQEDQFVIVYAPLLFEIKALEYFFFLIITVTVTNPEEIIKRQIYIV MVNQQKKSYY >CAK67088 pep:novel supercontig:GCA_000165425.1:CT868050:140608:141805:1 gene:GSPATT00036221001 transcript:CAK67088 MKSALLLLVIALATASTVGDLTQRLSNYAEHPFGSSMINLVSVNMKTGGSLNELKQLLQQ IKDELIALTQLQDSENATFTRRSQVDLAKLQATLEQAQQDLDNQRQEQSSLSNELATLQT RVKEDQAALDRNGRGSSDAQSRLDAENADFATKFSDYNDAILACKEAQRLLLNLRGEGAS LIQLTQDTKTNLIQTKENFQKIKEILEAHTKKSSLTLFQPIIEGLAEMTTKVNPETLNNV LSLVARLITALQEGQDQLEANHKTQVDNLSRLGDDLRNEKQTLQVSLATANNRLKEIQSR LNELDGLINISNAIVEVTQLNIQDATRINELEDQEYSNQKVSRQTEIDIVDRLIEYINQK LSE >CAK67089 pep:novel supercontig:GCA_000165425.1:CT868050:142102:144592:1 gene:GSPATT00036222001 transcript:CAK67089 MSIVNASENFININSPDNSRAILVRKRRKIDTGWKSNFMLQTIRNKAEKREVLVKCINRM RQSTQVKIGLQAQVKQFHSLTEKQLNVIDDFGSGHSKGTTTKQIKKQETQFESIYYESKL QMGKLRGLVLRYLEKHSKNQEWSIKPSHPFIIIWNMFKLFFMVHIFILFPLIDAFGVKLN QVIVRQEQIFLMEWIFLLFDIVLRFNVQIYKSGQLIKQHQYLALQYLKSGFFLDALGIVG FSLFLFQDILYIKYIYFFKISEIKKFIKFLRYELDPQNKYNNHIKLITLFVTIFLLAHII ACLWIVAGHTEPSWLSNHGLQDDQWYIQYLWAFYFAILTMTTVGYGDIVPVNEIELVACI MIGASFQCNICLYSKHHHDCSQRHRSEQELVQSGGQNHIKLLSKERFVIIFEISIEMGFG RNRSRMKIGYSPNQVGLCNKKSTYRIRVRCYLNYHSQLTILISSCIISLENLLIPKMEFT YCIKDKQKSVGSSQINPKYICDIFQGDHYGLLNLFNVDQSKYFLKSSGFSIFYFIPTQIF QQEVFCMINDQVIFENYSNLFLFLSTLQTRRSSHLAIAIAKSNKQSVQERQQYKRFCVKQ QILRNYKEMHVETCQFINDKKEIMKIKYKSIFDSDDADEEPESIELQSSQSWQFDEAQMD EQKVPIYVDENQFKPKIPQQKRLTLNKRQTIVGFQKKKTQDIMQINLSNLQEAETFPNHH NHIPDNSSQKSKDTFDNIDVMKSFNKYFKKYNYQNIIKQLNQRRKTIKKKIKRLERIY >CAK67090 pep:novel supercontig:GCA_000165425.1:CT868050:144879:146001:-1 gene:GSPATT00036223001 transcript:CAK67090 MVRLEKLVYEQLVNIRALNRQRIIGSPRKWYSEPRTPAMTLSAMKLFTQGWTGLIYKMVE PLFARFLYRWMRNIGLDRGLALEDLVLFQDRELRRDPLFEHIQREGFHPYTWILFNKRRA RFSKVERGVRGSTAPEWLQAEARERTLADSVQNIYEWDNYVYQNYMSDMTPTARGTILQK LLPLEWFLFFGLLRVDSWDRYFYNEVMKNWPTFQNHSKLNQLLKKAEDNLKVNVNRFIDL YPGSIVKEGEKFDFQRFYALEAYQQQQRLEQIRFILDFSTQI >CAK67091 pep:novel supercontig:GCA_000165425.1:CT868050:146020:146978:1 gene:GSPATT00036224001 transcript:CAK67091 MDQEALMQMLQTLMKDQGEIKIGNFVIQQQEPKRNNTTLIPHNKADVPQFSKSQQDIDYI ITKPNEFVNDLFFDEPLPDLDQFIEQEVVSNFTIDSQTKPNNVQKQLFSKTYQMIDKFSP NLKEEFESALEYDFEQLLKPKTSECSSDTMTTITDTTSSDPLRTFLMSLIPIKSSLSPTK QTQIDFLFLYSQPLLQITNNSTKKAVQKLEINKELNSIKEVFIDVKAEIKFMSTPARMDR FGEALDLNPKALHYAGHGIYMESNQQSYLLFDKSNSLMPPKTQISNPICICSQLSFQTHR RSLSSSWS >CAK67092 pep:novel supercontig:GCA_000165425.1:CT868050:147017:150184:1 gene:GSPATT00036225001 transcript:CAK67092 MKPVVSSARSFYHGLIKGQQTVCQAFLTAKNQVKVEGRFPYAEENKFLLLTKTTHQCSTW LLREGEFKDLTPQQNQNNLPSLVPNFTGRTQQMQEVMDKIIQNQLINIKGVLGVGKSALI KEISIYIAQRNIFKNGVVYLQLNQCDSFDSVTSRFAQIFMPNLTLQSNYEIKQLMVTQII QTIKNQEFLLILDNCDLIMHSNDRDVFVDFLELLLANCKCHLCITNRSEILQQGRVIKIE GLPQEESAQLFINSSSREITIQEVEELLGCNDLLNRYTWNDKLLIQKFSNHALFQILDGH PQGIILSSGLLENYTLKELYQQLSYKQLMDLPISSRVTEEERRSLRVSLNLSWGNLVERY EKAAIFFGMLGLLPCGIYNDELNDVWGPGWEKLATQLIKSSLLLSTKKNTKTHYCLYNFT VKFAESKLDSKQKRLYHHNIVKLLLKKSLTYYTQIGTQAHDINNQPNDDFLAIEQNIKSA IFREFEIISNGQFEIEPQKQENKDQENDDETFNLPLTKENLEMMQKKYENNSQKSHLSSK VGFTGSVISSFKYDQISDDYMTNAPKRKSFSIAKVGVTISEEDELQSNKDYSDNGMSINY VESKLFKINSNNVKHELIDDSSNPQECQTQSQFQQSSIPQAIQSDQTQVQKIVDPKFIKS SPNPNPLTQRVTFLDQQIKPLAQDMKKQKLEEDEKQHQPLSKRQAISKKGDRAKSGKAKE EPTLSAQQPNKKDKIQKLILYYCQILLLQRRYNECLKFINWSYQYFYGDLLFTANIIKTK ACVYYMLKEPKKSQQAFKQAKEMFIKKGCTLGVACCEAASGYISLQERSLLQAKVNFENA LQFYEQLNHDFGKHFLNRWLLLVKNKISSLKNDRAKHIQQEKMLIENLKQEFKKGIKVDN LIQKKHKGGVFILRWLGDTLSIFIEIATVEDSKQKDIMSILRVVEQNPITNYKRNSKKSD EIEIRPTTVSNAKPTSSSSSTATLKRFNKVQKPPPNSKYLNEIAENVQQEEDPTNTSLQQ LQKQQQQQQQPQKPFIKKNAQLQMMPCQKKTTKNQ >CAK67093 pep:novel supercontig:GCA_000165425.1:CT868050:150254:152462:-1 gene:GSPATT00036226001 transcript:CAK67093 MSKKQNSQSAPEILQYKVRPQNHYQIEQLVLNDMKKKILNDEMNSIQFYDIIQPDMVLQI YIQNEIVTTNPKDLTKKPIKLHKKVKRSDLESNLRSKLVNEPGGKLLLQEIYEKLDEDEN TQQVKPVLHKEEQFKGNRQYKPESDDLMVANLDQWWKQVKVKKQQEFEKKQLEIEKEKQV IAQLQKTVSISSFRRGAVDKRTGTTILPAFPKLMQSQSSDDKSPQTENQNLFQAKVKGSL AKLSNLKKAELLHQDMGLVKQLDKYLQQGSTLTSQELINIEQARQKQSHEEKQTDTLIFD YTVEGLKNSLHTRIKMQLTNFLNANERAVFYEKQTNSILQQINAKLEKQKKIVNKNDRDL ETLRMENHEIYNRLRSIEDLQKQLKEELGKVGSNNNSQVQKSQKSSNVDFYMATVGKQNE IETQLETMKDSYKKYKLQIKLNFKQIEKLETENSKLNKNNKELLKSIQGFLLELLKIGLD CRKQGLCWIVKAVWDTNEKLSDDNFPSYLDSKGREFLIQKAKKMIELNELFIRAHQLFGS YHGRLETSQDNLNNSILSILSKNHFSQIDSEDIKRKTLRDRRMKSSGEINLLLKGLNRDE KQSIQGTLTQIFQSKQTLNDIIYTEAQKKIQKMFKTEASVILEQSFISEDKEKLIREYQQ IQKDIEIKEGLFKKFEDLELQRVVKEIEYKNDILQLQDFLQNPYKYYRHCLDM >CAK67094 pep:novel supercontig:GCA_000165425.1:CT868050:152482:153636:-1 gene:GSPATT00036227001 transcript:CAK67094 MKLQSIQTIDFSKANKQIKNLSHKFLQSKMLRKNKENCNPNTISQENAAKLLLEALRKPI IRNLRELIRIKESMVFERNMFDEVCSLGVSENSDVGEGFKISFANLSIKQESIIDFESMQ QINAPILLFIVLQNIRTKKLIISFQEIKYFILQRNLTQVNQRLQYAKREQLRKILINKRK QEELLMQVYMKYWSQQTQLLIFFDHFGSKIKRIYNNKLGQYFDRMKCQIRRKEGLSRLIR FGNIKLFEYGQCLNRIYKDLHLQRCLQNVFKKQIQFSFKQIQSVTERSPIIFELLQQFQN KLQKQSIKILQLHSLRQRRKFRSFSYGFQDLEKVFKKIHWRWGFSKLQSKGERKRLMLPT KYLVLILKRVEDRQKNWVLLKLNQ >CAK67095 pep:novel supercontig:GCA_000165425.1:CT868050:154324:158085:-1 gene:GSPATT00036228001 transcript:CAK67095 MKSIILQFITPYLLTLLMLPEISCDKPKVFCNKNQIQEFQTKFFPIIFQSNEKSDYVYKE NPQFLEQIISFDEELLQEDDYILIFSSIQEYRLIQIYQREKRYLLQMKELRLSIFDSKEY NVQMELIYSLKFNIENCDKITHVYYLIKQKFIICCEQIENYQINIIKINESTVQIQQSFD VKKQSKCQFDVYFNENSSQFLVIFLNCLNWEIFMIEFNNDGALNFRLFVNNHYFIDQLIL RKVTFDNNYFFQFDHWIVSFQGQTYNKIYQNYESTILDFEIKLGRQVIVLQSIYEQKSSI QRMVEQNLSTFIIITKYIKPRQILMFSDSIVLISESHLECIVREDMIYIFQVQLQSIQTI SFYPFFIGKQNNQFIVFKKNEYSPFFNCNDYSNQTLVDLASSNLFQNAEFLQMEIIFNDF SMYGLRLLSIKKVIEEESFQFTFQPQEFKSDFLPFNIQFLKNDAIYCEEQQYEVMQDCLN EQKLEILWQVDVFQNRQVVKVIQLKQSLQIIVIMCYQNTNLNLGYFGEIEKENVYVFNSD VFLLTNQRKKVLRLNLRRPTLWKGIYEFKDEVINISYQNLGHQIVLKSCVSYLILSNEKI IEQQIYKLNSNGQCIQERIIYSDFLEIDFSRQVFIFKSENLTNTIRYQNQTIHSLHQFYF NKNSFLVISTIGNKTLAILYSYFNFQLIPITQIFNENLNYAYPLKHSINSFFLIIAAFDS QNNSVLAVYSLTHLYRSNLIDIIKVDNFTFTQASYNEIFFFQQQQLKRLTILEISLQCRI NIFTDFIKQINAPILLIDKLDQNHSQLHYIQFKLINGFQQLKSISTEVQQFQYHIFQQFI LFDPRRFVIGPIETISINAYELVFPLVQIDSIKRRKCKRVDNAVCINENNQSLTISNIYG APSYYKAFDLQQKIDFRTISSELYFIVWYQQGSDQFVELYLKTNLESIANFTLIHDERHY IINISIANQYCVISFQLLLSFYEIQNNTLTLINSYDCNYCQVNQIVNSNFVAIHERIIQN ETIEFIIYDIVNQVHFQVIVPINEFIYDSVAFQSKFEILFMEQKDDNQYYAQIILFSRPT FIQVLELSFNNESTTIERNGLIRVSATTMNQQILLMQNNQILIISYNDIKNHSQRINVYN ISEFNLINEIYILSKNISLVHKYNESHLIVVEDDDSQDHTVYQVDSYKIKIDQYQTKSFN LTFKNSLSQLEFQIDLKNENEENIFQIQLCIIIVCEIILFSFLLKRRRSKTVL >CAK67096 pep:novel supercontig:GCA_000165425.1:CT868050:158772:159980:-1 gene:GSPATT00036229001 transcript:CAK67096 MVKAGAFGIGTPACVTLPCYLIDEVAACRTGGSLQTGGANTECDSLETFSLQYDNVCCDK NFGKMNYAFVRFTMNFDGFVDQTNDATPIPMASLNVATSVKEMFKLYTINPWIVSQETTE ANFQSKLLAILNKYLETATRDVLLSQSRSHPFYLERTVYQSLQLLRDWTVIHRSTIALRN AILPKIWSLALVALTRMTTFQPNYYQTNYYFINFAIIPYFRNSLKISGQLHTLTIDWSAY SYTDKGYVMVYSYAPEQFGIIKAYTDVFCIRPFLGSTVAYSPTENNYDNLFASLKFTYYW SDTTLTITDSQVKLFKISDDTQTIVDTGVVFTCVPASRTCTNTGTYSPSPVPSDGKGQNY FIAHVNYDASQGDKKRAQCILAYKTWTTVCA >CAK67097 pep:novel supercontig:GCA_000165425.1:CT868050:160084:161193:-1 gene:GSPATT00036230001 transcript:CAK67097 MILILFLVGYVYSTPAPGTGECNVYTAEADCLSSGYCKWSGSSCGLYTQSQDCYRIDEIG SCRVNGKYQTLCVPLDLVSIEYKNVCGTSATIDFNYVRYPIVTTGYATYSISGLTVAQLK IAKPQMNFLYQILTVNIQVAQNKELQDILDLYKEYESAFLNITVHPFYLEKCLFQTLQNL RDDTTTLSTPEKQNTLIKFWGVASVYQKKMAIYSKHYQTNYYFLNFAQTTFSRLYISIEG QDHTTSLTWVKYERNGFVQAISYTPKFFGITDALTDVVYVNVIAQDGSNFVNIENMEIVY TQTSGTMTNSPRQLHFIADKSQIPHIKSSSITSTICDDVERTCKFILPSPLTDSQFIFYI QK >CAK67098 pep:novel supercontig:GCA_000165425.1:CT868050:161957:164324:-1 gene:GSPATT00036231001 transcript:CAK67098 MNQQQFIHSSRISTEIGDEKMLLHKDKLLQKIIEQVQLLMSNSNQQPIEGDQQFYDGFKY VIAHQQISDMKSQVSMSSNIDQPRKAFSNQVFTKMSQILKSYSTQQYKVSIKINQQLHYL ARDTIRTIQEAFEACQQVDKVQLCGKDASFKQYDKYIRQLDQLGFFWEQLPTLENRTITI NKETISSEISQFLDQWVETLIQRFDFKQTSQRLQQKYQAASIQSSKAQPQVDHRLRNAHE LFAGLGREKDQIAALQLYTKLAEENNATAQAIMGQICLEGITGPKDYDQAFSYFKKSADQ RNTFSLYHTSKLVLEGRVFDKFSDKESNHTIASSDAYNRNYDCNFALTLLKRAAELDHLE SMIYLGDLYSNGLQLQDYTLEKDYSNAEFYYKQAKNKNSTKAMHKLALLYQTMCKQPLYK NRSQLIQPLLNQAKNQDYLPAFYDLGLLLQQGLQDEVESNQVMADLIFEQGCLKGDLKCA QKLLSLRFQALTKVEVAIDDFLSLLDQIEENNKDWTITYYMRGKVYEKGVQVEKNLKKAH EQYRLGYMKGCQRCRVQLEKYQRDTIPTDNNPTNSQQSSLNQRQTINGFIEQVRQSEWRK PFQKQRQFSIGNVQQIFSLGDNDVQSTIQDRYTRLTVSITRPKNQTDDRKRGVSASELPL QDMGRSILLSQMKSPEKPHQHSQFWSPRLASQQSQAGSQITNSTTKKRFNLNKIRQSNFP SNQFENVVK >CAK67099 pep:novel supercontig:GCA_000165425.1:CT868050:165762:166936:1 gene:GSPATT00036232001 transcript:CAK67099 MYKLVALALIISSVFAVQKDTKTILAEIDADNFGNTILSTVQMYLQSKGNAEEILVLLNQ VLAGLVDDQNKHDNVIRVDRSACTRIVTDLENSIAYHTAQVAANAQMREDNEKALAEAEN DVRQTIQDIESNERTFAQEEANRNKAHETWVRKNEEHDDAIAAVDEATKLVQHLSLGATF AELKPKFEAVQKRLIENESHGALFQPIVTALTELATKVDQKAIQRILQLLSQLRQQLIEA RSVLEDTENRQAQRWVEFSTHLSNEHNRLVDRKNQLEQAIQSFKTNIDTATHFYEVHQLE LEQAQETLDAEHEWCDLQENTYSVQSSERTRQQEIVERILEHLTEKLTATSQYLGGRF >CAK67100 pep:novel supercontig:GCA_000165425.1:CT868050:166940:167511:1 gene:GSPATT00036233001 transcript:CAK67100 MGQQQTQEPRPLNVLVKDVATFNLLTKSPTIKQCLGKQLLAEVTKKKQDLFESFPFFKKQ DDLWIGVFVDKEYFVITQQKFQYCRQLLEDLQSILMFDFQNEEGTCQICFAKKIDKLLPC GHSYCQDCIDGWFTTKEQDSCPMCRSQITKLKMKNESYIVPNEAELIESFKEQLFMQLTQ QK >CAK67101 pep:novel supercontig:GCA_000165425.1:CT868050:167544:168183:1 gene:GSPATT00036234001 transcript:CAK67101 MDFEQSDQFTQRVEQIIRNFLHIPGEDNPNWKEIGQKLDQFQSQLEEAQMRILQYLKSSF EAILEQLQCDLGGTQIQNLSTSSINQQNHPLKTDDLKVNERINQIGLNVYEIEKNLDIKI DLLGNKVESIKIEREELQEQIKSIDARMESQWQGLANVSDQFWQFKKQFEPLLIGTQQQK KKFSLFT >CAK67102 pep:novel supercontig:GCA_000165425.1:CT868050:168187:168782:-1 gene:GSPATT00036235001 transcript:CAK67102 MQAKGKGKGISGSALPYKRKAPKWLTLSSKSIVDQIVNLAKKGLNGSQIGVYLRDQQGIP QTRFLTGQKILRILKKRGCAPKIPEDLYALIKKAVQIRKHLEKNRGDITSKFRLILVESR IHRLSRYYRRTQKLPSNWKYVSKTASALIGQ >CAK67103 pep:novel supercontig:GCA_000165425.1:CT868050:168782:169323:-1 gene:GSPATT00036236001 transcript:CAK67103 MYISLNSQNKTWWTHTSLVPTQTHQKVLDIVNGVDSFQNKATLISTYLSLEAVNRIPAAK KLAIYFKAAAVGATFFGTRIAAGSFYQRSTQSEIGKLLDGAPIWENKFDVPELDKKFFFI DDDNNFEPSLWHHGINSIEKPKVFYKHE >CAK67104 pep:novel supercontig:GCA_000165425.1:CT868050:170128:171449:1 gene:GSPATT00036237001 transcript:CAK67104 MKSVAGVLVILLLSQGVLCSPKKINTDFLNLLSSKTNVMSSQDAIQSVLTLLEDLQGANV EAQDKADLTFQRFENAILSDINEFSGIVNVNSKSAAAASQDLEAVDLKIQQTTDYLNWNN KRYKANELKLENLAEQRCEANALFIDTLREYKNALSVLDWVRSDAQSKQTNLVEKSHVGD YAEKLSKYANLFEEQAVQDFVKLGDDETSFSQQRQRGNSEQLVQLMTKDVVGVIQQLIDK LRETIKGLEEQEIQSANDFADFKTNLLAEQESLKQEYDAKAKFLNSLQNDKELASDILTK KKELQDQSMRILSLTQEEYNYKKKLYNAEKAKRHEENQLLEESLLIYREKIATVNEYLKK RVNEYVGDSLIEEHAVTKQQPVSTRKGQ >CAK67105 pep:novel supercontig:GCA_000165425.1:CT868050:171463:173288:-1 gene:GSPATT00036238001 transcript:CAK67105 MQQSTAKNLRDLLIKQQLNSKIHFKGEPIKVPLRKQSSTWECQCNLKHCAICARKKVNQS PMIRQQDSRRDVKVGSSISAHQSPNREKQSQILMNRQPSAKKPLYPDNVIYHKSNVSNSQ VYEHSYQLKSKVVPKKVESKSMLSSTQMSFKLKLASKYSSANSANSINSQSRSEQILNSI SESAPNSPKKDKPSYSRKSELNDKTQLVAQQVESLPPEIVGRQHFKFLYVIGKGGFGRVW KVEMKKNKKMYALKEMAKAKIIQKRSVNSVLNEKYLLEHLHHPFLVNMSYAFQDRDNLYL IIDLLTGGDLRFHLGKMRKFSEAQTKFFVACILLSLTYLHQHGIIHRDLKPENLVLEEDG YMRLTDLGIARINKGNNAGDTSGTPGYMAPEVMCRMDHSIVADYYALGVITYELMLGRRP YNGRTRQDIREQILAKQVQVRREEMPNYWSESAMDFVNTLIQRKPERRLGANGIEEILHH PWLKGFPWDDLLKKKLTALYTPGSVDNNFDFQNQISEETQLNEEQVLENQVMLRRDTVQA LFDGYQYDAELSKLQHQQTQKNSQRRFQQSPDKK >CAK67106 pep:novel supercontig:GCA_000165425.1:CT868050:173445:174305:1 gene:GSPATT00036239001 transcript:CAK67106 MGLRKSKVIKKIKMDMQQKALSKAPTTQQKVTIKKAEKRSDALKSTNSQFRKHQYITEKK VQLDQSKIQSVIDSLNKYYHTNNDGDRKIYLIAELQQIPELSEAPIIQMQPFFLFSPLKE AIHFKVAFVVHGNILEDFQKKYPQYNVMSQNAFIAGKSFPNLDLILIDYTLYNKIIEKKP SKKIYPFHPSKVPFDYKKHYNIGEYQEYINHLIGSTFSVMKNQVKQIIPIGKVGQSGTID NVLKGAIDFIAKLLTQSKGNNVEHLYLKTSKSIELLIY >CAK67107 pep:novel supercontig:GCA_000165425.1:CT868050:174341:174549:-1 gene:GSPATT00036240001 transcript:CAK67107 MKKRLIKANKQNRPLPNWFRYRTDNTIRYNSKRRHWRRTKLNIN >CAK67108 pep:novel supercontig:GCA_000165425.1:CT868050:174645:175307:-1 gene:GSPATT00036242001 transcript:CAK67108 MSNIKIVYQRKVHKLPPKVTTFQEIVETIKTLYPQIKEVHLFTIINPSRKLTYLLGEPDG IEEINCDLALTFLKKMYKQMKWPTIKLLVVENLNDETQLRNSMDLLNQSQIILDKSNYQD QPNNNKQVLEQNSKVPQKQVIDYKKDEKLKQAIVQIIDERLNHYNLLNAKDQETIIITQK AKKLSQMFTQYPENWLFDFVKQSGAHQSEEHLVSLLTEYN >CAK67109 pep:novel supercontig:GCA_000165425.1:CT868050:175458:176140:1 gene:GSPATT00036243001 transcript:CAK67109 MKQVPSASEYSILRKVQNLHTHTQPKESNLTLGRTTSYESGQFCKSFDIMAFRRASTKED INNALQGKNQGSPIETHAISNLNSINPSSHIEMDQLQTKVWKSLQKQSLVFDCNIIKQKA YLIDIIVLLQNQNEYEFKFDISQLSCFLMVKDLSNIISQAYWDRVNKSHLKFALPYLSIL VGKVKTQKLDGNMRLFELVHILLNGQKTLILSESTQQI >CAK67110 pep:novel supercontig:GCA_000165425.1:CT868050:176234:178371:1 gene:GSPATT00036244001 transcript:CAK67110 MQQSIHQQKIVEFTNQGEYVQLEDYIRVNQTVQMNFSQALVECIQYTRDSEEHLQCIQQI LKQQIDFNYKDNQGNTALIQAAKSGKISILNEIIKYKERFEKRQFKLALDIAIQSEQDNW DIVETLLQLTSLEKPQHLIKSMRKGNFKTAQKIIEQYGASGQDENGDTALHVASRLGNLQ IIKSICQKENLYKKKNQQHQTPLDVACNQETRNQLIEEEIQFQKSPNRKRRQDDLNENNQ ISQKPQKYEDFNEIFQNPPKVLHDQAIQTENKEKKDSESQIPEHNYINQPFYDQLISEAL VTLPQHRISLDDIVKQLSFEINTFSQELNKLLEEQRPIIDKIVQMVDETVQSVCSKSRAF LYGSCQTGLNLLDSDIDIVIETNEQERISLYKIAEQFKTQGFIKEVKVIDNARKPVLKMQ CSQEFQNKLIDITISKNDHSGRKTANSMIEFQKEFKQFKSLALILKFYFKSINLLNAYQG GLNSYCILTMILALLQIKRVRDLENEEIGKTFMDFFDLYSQDIDYFNKIINIVPSQSENM QVDEPNIYQQQFPQFDQGQQELVILDLHNKGNNIASSTFKVKHIKNALTLAYSTILNSQK CEEPCFFSRYNKPVCCILKQIIQQSKNHHLTGAYKSKQPFYFFNFNTY >CAK67111 pep:novel supercontig:GCA_000165425.1:CT868050:178468:179366:-1 gene:GSPATT00036245001 transcript:CAK67111 MSTFQERFKGKTTVMGRNGLESVLNSCQQPIKKDFEYQNRIPQIQQPLQQQVNVQPVLTQ IQYNQQQYHQPLYQHNPYIPEQQIQDSLQYLSQQQYFQPTYDYQVRQTVTEPQKKMEQRS QSAKQQQQQVGDSQRYKPYTIKDYEIMKKTANAKLGGLGPNISGDEWAKEKEKVQKRQEF AEQVRQFNSTNIITIKSKETKQPEINARQKAMEFARNIPKPVAKKKEDAPMKVMSNKPVS NMNQDPFDDEIARLEREHLKYLNQLDKMK >CAK67112 pep:novel supercontig:GCA_000165425.1:CT868050:179716:180604:1 gene:GSPATT00036246001 transcript:CAK67112 MPRNKKKCGRPSKSENSEEIEYQDEGYIATNQFALELLQLITEMANYKLLTITGPAPGKK DEEKKKYKKKCLKSRKEIEKEQKWLRSKLNIKEDQVFFLRNQDDNPRKYRLVDGKLVECG GESSNNIFQFQNENYEVNDFMRNILRVCNELNTENQKFIKQLVMIFFRSNKSINEFQEQF FLSFNSQSEQEYKELRQWDELFEISFDEKLSLMQSEIDQFKEKYSLNLNLEQSQLKVEQK RMDVLKNQQLNLEVFKSIEGPNRPLKLFTRRIHSLFYKHFDVEE >CAK67113 pep:novel supercontig:GCA_000165425.1:CT868050:181031:184018:1 gene:GSPATT00036247001 transcript:CAK67113 MKQPPKMSQASSPNNKLIHTLLLKQKSPSQYAIQSPAVSPTQVSLPKGLYQSKNTSIDLT KLATLMKQQKVKQPSDGQKDSMNQLIAKARAIAISAKTPTGPIHIRNDSTQVRKENKEPE VVSPKVADTKQMGKAAFTFEYVIGIGGFGKVWKVKRVGQQYAMKEMSKALVITKKSVNSV MNERMLLSQLKHTFLINMYYAFQDRENLYLVMDYMCGGDLRFHIGRMRRFNEEQTKFFVA SIFIGLEYLHTNNIIHRDIKPENLVLDEKGFVHITDLGIARVMKPENSSDTSGTPGYMAP EVMCRQNHTFAVDYYALGVIAYEFMLGRRPYVGRSRQEIRDQILARQVQIRASEVPPNWS AEAVDFVNRLLQRKPNSRLGYNGGHEIKLHPWFKSFPWSKLQNREFTAPFIPNPSDDNFD QRQIVIEDEENAELISQNMQLLRDPNVQQQFVGYEYQAHNNERVFLSLTLFTLLISIYCA VHREYYDILGVSPNASVQDIKKAYRKLSQQYHPDRNQGDPDANEKFSKINVAYEVLQDPE QRKKYDKGGVDGLNNQGMQHHDPFDIFGSFFGREQQGERKGPELKVKVRVTLEDIYNGKE IPVYLTKQILCPHCRGSGADDPDLVETCPTCKGVGSVQKRQQVGFGFFQTFQATCERCYG TGKIIKKKCHLCKGDKIIPGADNISLYIEKGIQDKQTIKYENMADERNDSGTSDLIFQIE QIPHAFFQRQGTDLRCKVEITLKEALLGFKKKIKHLDNHFVRIDKEGITKPGEVQIIKGE GMPQHEFSSQHGDLYVEYKVVIPDFNGEQLRCKYNLLSLRMVKILLMNIIQMYNLYVFVY LCASQQGIMPIIYYNINKLMYSSYQIVIESEPECVNLQDIPILYVNSIALQFKDEQMTEF KVIEFALIILSMLISMICGARYLM >CAK67114 pep:novel supercontig:GCA_000165425.1:CT868050:184021:184442:1 gene:GSPATT00036248001 transcript:CAK67114 MKLVNVSVSMTYMCQTTISILALLSLMLMILPSLTLCSKTFKMSLHMQRIAQLLYLSLLI MGAVVFFFFKLKLIKNVDLEEVGMLPFLLEILCCLMNLAYVSYLHYVTVQYCNKEQPIRM QIVQKIMNTIVPII >CAK67115 pep:novel supercontig:GCA_000165425.1:CT868050:184465:184710:1 gene:GSPATT00036249001 transcript:CAK67115 MGCAITNEKVALQKTMMMESTFNKEQREKEQRIKAHLILDEYRQTKTIRKQIKLQSDVKD ALLEQLTRNKTVTPQKSSIAN >CAK67116 pep:novel supercontig:GCA_000165425.1:CT868050:184740:185809:-1 gene:GSPATT00036250001 transcript:CAK67116 MQKKREKFRIETRRNDLERCFKQKREILDQGAIITIGEYCYNSDNLLGKGSYCQVYAGWK CQNRNKKVAIRVMLGNERVSSEIELQKSLKSKNIAKIYDDVKIDDKHYIIMELCDESLSK RYQEFSRNEAIQYFQQILNGLEELQKHKIIHRDLKLDNILLKKRQIKIIDFGFAKSISDN GLGTESVKCGTPETMAPEVHKSNKRTIYSDKQDVWALGIILHQLFYKIHPFESLECLLEN KRRDTREEEDEVVNDFIDKCLTEEDKRMTIKEALQHRIRKYQIAIDSDDQKTLFGQIKDC VQSKLESLTHYWYETVRGYLIK >CAK67117 pep:novel supercontig:GCA_000165425.1:CT868050:186026:186349:1 gene:GSPATT00036251001 transcript:CAK67117 MDLEDNDFLADGDNRNVRSRNKNNNNKRNNMVETVQRDQQKQKRQEQQHKDEEWSDEEEK KNNAKRLQQQEKKLKQAQKQLEFKKDEEEMQPKLKGKEKRQAHIHDL >CAK67118 pep:novel supercontig:GCA_000165425.1:CT868050:186350:189020:-1 gene:GSPATT00036252001 transcript:CAK67118 MSQLSRQMKKIGNYQLGDLLGRGSIGTVYKGLNLELGTLVAIKQVSRATLKEDQYKALQQ EIYLLKKLKHENIVKYIDCIETDQFLNIILEYIESGSLASILKKFGSFPESLVAIYVKQV LKGLEYLHQQGIVHRDIKGANILTPKDGTVKLADFGVATTLSEDTTQSNNIVGTPYWMAP EVIEMSGHLSTSCDIWSLGCTVIELLTGNPPYFDRLQYAAMFQIVQRDCPPLPEGISNEC RDFLIQCFQKDPTLRDDATTMLKHPWITKSWHIVQGPNLPEEVTNTIRNHIVDQSNFGTF ITDSFIEQVPQLVQCQSPRKIDKSSAVRDSQLDSLRQLKLRTSHQIKQNGFWGQNEDGKS MREINELITQLTEQSDNSQIIKILEQLKDTIPNDKCKEYFIHTGLTSLLEIIEKYMPLYC ENNSQDQSQSLQILKLIFELINIVVDQNPQLLELSCYLGAANFYQQITSSEFHKELRVEA AYFLGQLTYFKEGYLLSIGGLDNLLELIDTSDISDNIDLIGLALDTIILLYDLNTISQRN LSRLLTSKFITYRLVLIAEQIQNIDVNLMIKSLNILVILAKCDDKIVKQSLCEKEVITRL ATFLNQNQEQALLKTIKIFRYLSTEPNLQPHLDGFAIIPRALTLLKKDLPSQGPMIADIL RIIFYLTKLNHDKQEELCLYEGVPLLLELFKLPSEQENQISMKKAAISILCSLATSSERC KQKLQENGGISIYVGLLTTNLNITKLLDTIVKLIELDQTQRIPLSKNIDILVAYFKNNTV PMYPTLVKVLPKEFGLSLLFRKQLLELLIQKDQSQLNLKHLLQILYYLLESDSIKIKDMF KNSILQQLIEQEEHGLAVQGIVKQIKELILLKQ >CAK67119 pep:novel supercontig:GCA_000165425.1:CT868050:189204:189575:1 gene:GSPATT00036253001 transcript:CAK67119 MNLIPNERQAIEQLREVSELEPTQLNWGKDILLFNRNPNRKRRSTTREGEQRQRLPQVNK VPLKMPLQEISLNQDQSTPNYSKLPPLIKSIKPHTAKPNYNGIILGANFAHFERRILKKI NLS >CAK67120 pep:novel supercontig:GCA_000165425.1:CT868050:189640:192416:1 gene:GSPATT00036254001 transcript:CAK67120 MQPQQFLDLVQCAFFESNTQRSQAEQVLLKYKDECPDEFMVYCAQAFSNRGIQNRIRIAC STLVKRLVGLIHPPSNQITWLACSQQTKNDVKMKFMEQLIDPENEIRRSAANTISEICAI ELPRQEWPDLIERLTTNSKHTDILIKVSAIMTLGYICEALKTHQSSGISKKDSEVILMGI CVGMDLNEQTLEIRLTAIKALQDSLYFMNNLFKQQEIFSFVKNLILSNAVCNIQEIKHKA LQCLIDFVKQLFTYLPVYINELFQATQASFENQGEISIAAIEIWNTICAEMKEEIMQNGN QSTPESNAVDCCVQFFKNNYEGFLLPFMRNLLLDCGDVDDEYQGLSVPDSSCKGLAFIID FAGVKTYELVKNFIQNTLSHQQWEYRKASVMAFGALAEVQTKEIEMLIKSALSNLFSCLV DQNFKVKKATAQTLSRVAENYPQCFHEHDQANHMLATLLDQLNNKIPIVQHLIWVFVYLT EQLQLFSMSIFNREKFNILQHLASTSVRADIKNSEIAIIDTAFMAILNIIYSITDTKSCN DYLIEFFQQIQRLESGTQVPVEIKFHLEMGLMSAMHGCVVRLDDSTTPESVFESIMKTLS NVDSRVKNDYFYVLSGIAYAFKKKLSKYSSQLIAELNKPLSEPDDMESFKTALFCLADIA RAMEEEFVPYMKILNYFFGLIQNPNFNRELKLQVYNAIADIILGLKDKSFQFLGDLKEIL KLGFAASMDLTKSQQNVDQDYAERLKETMTSFYTCILHAYCEPNVPNFDLRDTVDWFIIF CTDMCNLKLKPTIEYVRLTLCCIFDCSHFFQTVPEMKIKLKDFITSDFVIELIRKMSQYN DKDYQECVSFAKQLLNDVYGFQLKLY >CAK67121 pep:novel supercontig:GCA_000165425.1:CT868050:192490:192897:1 gene:GSPATT00036255001 transcript:CAK67121 MSISSPYYAKLKQIESTQHCLSQSAQEKQILNLVDIRQQIVQEMLNHVETCGHQELVSAQ IMTETHNFSSFNWINDSNSHYESWNPQDCHTLQETTCDRGIHLNECMRLCCLNLEAFKYT EQGSDYIYVAFIMKY >CAK67122 pep:novel supercontig:GCA_000165425.1:CT868050:192909:193888:-1 gene:GSPATT00036256001 transcript:CAK67122 MLFKQNCSLFRKLLAQKCLMMPGAYNGMAGRQCADNGFEALYISGAAVTASSGVPDIGMV TLDGFCKTIKDVALASGLPILADADTGFGEGEMCSKTVWEYFIHGASGLHIEDQVFPKRC GHLDGKELVPSDVMEKKIQIAKNASIQCSGGEFVICARTDARGTHGLDECIKRSKAYMDA GADMIFPEGLHTKEEMAVVAKELKSKNPNIYLLANMTEFGKTPYISLKEFEQMGYNCVIY PVSTLRIASKAIDGFLKQLKKDESQVNSVENMQTRKELYSTLGYTPGKEWYFPNSKKQ >CAK67123 pep:novel supercontig:GCA_000165425.1:CT868050:196044:196364:-1 gene:GSPATT00036257001 transcript:CAK67123 MIGPKSIFQIPISDYQNQTNDDLECLDDYYSESMPKDDISTSQFIYHKPNHKLKLKKRVR FNLDIVLCQFSKDEPALTISKQTKQLITSRPNLMWVNPTFFNLKKI >CAK67124 pep:novel supercontig:GCA_000165425.1:CT868050:196532:198524:1 gene:GSPATT00036258001 transcript:CAK67124 MGNCNSYDKITLLSGRLKQMKLLTDRGDYTIYEHIQEEKQYEYWVWKSEQDTFEEAELTA QDFQSCESITKICYHSRGYISETFTKQYIFAMLMEHPSYNLWEYLNRQKILSQNQIINIV VNIIEAQRILRDSYQYLGFQNIYTKDGNHWMLKPFQQKKSFVTNNKQFEGYPAPEEFINT KFDVERATIFGFGMLLLHLILNKSNQDLYKASRIDENALSIRIQELLQKKNYDQEFLKII VQMLNIDPNYRPDYTKLVILLAIKNIGLSSQQQNKLSQVLNQSQSSKKSGILNGLVSVPL EKEKYIIKLLNSQQQIQKIDEEIEESMNSANKQVDEVINAYSVIYYNNHQILNEAFDYND FTNVMNYATRYEGQVKNKKKHGPGTLYLSNNEYFQGNFVNDLIEGQGQFYTLNKSTIRGV WKAHRLQERLSISRFKPLFQQHQQMSDKCNVRTQVQSVVDDVAEEICYSNVAPIFDASTQ FHYDNKQYKSQSSDQITFHSTVDLNNRRQGYISNEEGMVIYAGLFCGDLYDGYGVLKNLN HEQIDLVDHMDLNVAGKAWVKYDGQFKMGKKNGNGTLHFSDKSIFQGKFQDDQISGMGQF INSKNEVINGRWINGLYQQESK >CAK67125 pep:novel supercontig:GCA_000165425.1:CT868050:203148:205736:1 gene:GSPATT00036259001 transcript:CAK67125 MLEYFNKWKKIDDLPPIADEINFQENQNIQLCQQNMNQVIKQQGQEENENNLIFLDLKEF GIQELQTEVIEMSQIIEEFMQRIFQKYLTSIDTNNIYMINEGGLLDKKHQLNFYHIKNNS IIKFIKMKQTYPEKWIIIIKTLTGKTLTLEINNKDMSIEQLKMLIQNREGIPPDQQRLIF EGILLQDGKMLWEYNIGPESTLYLTLRLRGGCFPGNAPIKLFNGRTKIIKEIELGDIVMC YDFEQKQFKSSIVVFKKISSEKQQLIEIISENGSIICTPNHPIYTQTGWKALEPHPNFFI EKLTVTDLIFDSNERLVNILQINQLKDTEIVYNITTSYPNNFIAFDFLVHNMNKIFIQIN GFNEEFEILPYFLVQNLKVIIEKKKGIPMIDQQLYYQGILMKDHFSLEDYKLLQNGTEPD LLILKKLQYEINQNTNSETCVSIITHNSQYQLQIKKNQKIGKIKKILEFVESREQIERSF ILCNGKPLRSKNIDENKTDLANVQSIFLIDQQSGGISMRFTQEINDQQVQTASQLKDSLQ WLGNPQINEFIQANLEEINGNQQKKYYYQQIPLPCMIAVRLYTCDLIYRKLNNDLRTSDY RRWKQYLRYLMEGFRLMKYYKGVAYRGIKDYQNTTLYKKGKIVQWSEVTSVSLNYKIAQH FSNNKGMIFNIQLISGKDISKISIYEGEQELIMYPFSTFVVDEVQIKPNQPHIVTMRELP LPRSHCVLLWVDDNPENNFNYAYEVERQNNNISVIFCTSTKDAILIISKYNWMIYLSESQ FRVISDMVRIEDGKLNYNAGIELLIHLYQKMKYKNRTIIFCGDQKRAQEECRSRNIQGNF EITNNEHVLKQFLQFN >CAK67126 pep:novel supercontig:GCA_000165425.1:CT868050:205776:207163:-1 gene:GSPATT00036260001 transcript:CAK67126 MQNYAVIYPSLGMIAFTALSKFFNIENVYHQRALIIYINICFSIYVIFFDPLLDTPTMYF RGAHQMAINIINILGIEFLDSTMTIILLYSLRILHLVQNSPSIDITTIILGFGANLSLII IVYFYNKAIRSQFLLTKMDQRWENILKQILHNQKFILINYQVEKLQFQSITSTFSPTIQS QVEVMNFLREAKVDNISLEQCLFYKLQEFSQKYLEIVNDSLNVKFDKQLIQVDFSIFFGN QPTILIQTSQSKLHIQNQEANKVSQLYLKLLTVFIKIIKEDIPFNYNQFHNLANKIQLQD KITQMWNKQMISKILSLKKSVSKIQAFCNPKSKIQLVGSDIFLNTIPKIFNLLLACILDS STSELIIIKGESMENQLKIIKIQGTFNIRKLNQFTLKIKNYLLLICKEIKAEQYCINLEL NEEILQPFNNNIMPQLHFNYSKKKRTQLVNIE >CAK67127 pep:novel supercontig:GCA_000165425.1:CT868050:209263:209757:-1 gene:GSPATT00036261001 transcript:CAK67127 MFNLFILNLHLLLKSYNFLLEIVVKLTYKEVFSFCISLVFYSLTQCSQIYSIGDWKQLLG VTILPILDDIFLNSSLKEVHGQSIIQVSNVFLIKFYYQLKCLCWLNGSGCVKPTFAVFFF ALLVDEHFKYYSYYFIQLSSQYLNILSFISNQKIVIYDNQLFRC >CAK67128 pep:novel supercontig:GCA_000165425.1:CT868050:210014:210241:1 gene:GSPATT00036262001 transcript:CAK67128 MSQIVVLCSRHEELPIDLLFKYKQYGLFEEEQKINEKVEVFELTKENGNLLSKILDDIKK PLRRILIISLKGFNY >CAK67129 pep:novel supercontig:GCA_000165425.1:CT868050:210304:211610:-1 gene:GSPATT00036263001 transcript:CAK67129 MKFNSKKNAILGIILFGIYIIVDLFIDETLLQYTYKLIFSIKNPQFIEFSYLIKSTSLLL CEIIFLYLYLSFNKKLDVLLLILFSCKYIIKADPICSTQMFKQCIAELGKPSGHAICIVS YMISLYKADRFENEEEMKVPLFKAILYTIIAFIVCSSRVILGVHSIGQVVYGIIFTLCMY MIYLNVVHQYLKKFLYKHIKYSSHKLTFFTATLFLAFLTLSLSADFYSRNYYAQNSDLYY KFMDAVQECRVRNGLEKLNDETGFILQRSTSHSYGQYFIVIGALLGLVIFRGVYEEGLYE VQSKFRTRLTLFIRIMIFYLMVFSVQYGMEYFEPQQYESYLVIIVVGHIILGLCVTLIYP ILMYFLGLEIYGSMQCVNKSTLFIDYSNIWESDSLIQE >CAK67130 pep:novel supercontig:GCA_000165425.1:CT868050:211623:212247:1 gene:GSPATT00036264001 transcript:CAK67130 MQTCEYSKISSNSTGMNVTLRDLLDQPIHTKTVKVIEVFINEGDYVYNCYSALNVQNQGE LLELYNRWPGQIEEVYIKQNDLIGIDSVLYNIRSDFRQDLQVELIQYGVSNKRTQNIRIN IIKNKEEQIAEQVENIPLDPKIIIGHKENVFKVEMNDGRVNDINFLVMKNQYPHLVVQYL EKL >CAK67131 pep:novel supercontig:GCA_000165425.1:CT868050:212256:214554:-1 gene:GSPATT00036265001 transcript:CAK67131 MKSKQYVSQILDMDEYKMQIKLLEDKNERLVQKNYDLVREISHYQIQLKDKDQQIDQLKK ENGQLRFELQLALKQDEFYSAVSLDRYKKVAQTIQPQRKRIFETKKTTLHMNNLSPKKCN NEQDEVISPEVVELRKYSEDELHQVVVQEIQVQEYNYDNASKVDQIIQKYSKKKLEQCKG EESNFSSQQLFQEPIAMESQLITQKQSLQQEQQQQYSLFEEFIIISVSKQTLNGLTSNIL EKREVNLKPEILFQHSSATSCYKEQLILLPQQIHPYGLKVKLEEKTLSMSNLNSILMGGQ SYDRLAGAYVITMKSEQTFNNNKIINNATQINTDNISDLISITNIHHQLYCVCVDVPDFY DYNVSNNNSKLQKKYFYSLSKTYCFITKAPIIDFFIEVIKIIINTLKYKKAEIYSMVSEF EDTLGNIDNSFYTSFKPELFNFLTELQNSKFISECNYQFLQTPIKSQLIHSANVQNYEIE WAISYALSHIELPHYLILLINMMLEQNICIISQNRTLLSSLLQIQQIFNRMILPHMIQPF QHIHPTIHALTAQLMPILDSPVPIICGIVKDHDNGLRNLGIDDDETFFDEQPQVLFFDAN KLRFFNLAKNLTESKMYTTLFYNLFQTYQSIRQQSVPGHYLADNNEATLNLSLKILEDTK TYIQVNLLSLIPEVDGEINLDQIQEKTLNSTEDEIFKQIIKTQYFSYYIQQKFHPL >CAK67132 pep:novel supercontig:GCA_000165425.1:CT868050:214737:215591:1 gene:GSPATT00036266001 transcript:CAK67132 MLKNVKVLNVPSQPTENSFRSSNAKRILTQDSPQINKENSNFRLDQSSSQIEIQLKHYMQ ENKKLNDLVSKLTKEKQELLDQINNTDFNVIKQRVERLESIIDHQSDEIEDWKNKYKDVC QTDQTTSIIQNMETQIASLLKENEKLNNNNLSEIKKIGSLEQIIKDLEYKVADQNNQIIA YEEERIIYHEQPNNTINQNLLEYVQLIEKNITDMSQYEQDNQQKYENLREEFNNLNSKMN QINFQTNNVNQFAEILKELRNNINKQTK >CAK67133 pep:novel supercontig:GCA_000165425.1:CT868050:216716:219158:-1 gene:GSPATT00036267001 transcript:CAK67133 MKYLLQISRNLQPENAFLNATLNFRDQDVQNSFKTFQKKLNKCPMIFIETFKMFLELALT ISAIFKDNQSVESQILIVFPLSSLLQIWSIQRNSTCNDYWKIIHVVTILIYLSLYVDQFM INGYVQLYYMYVTQSLNNKKYLICYFTIMQFTYLYFDNIFDWILLPFEWIFILAISISIY LRERRKLICFFEKFNFSHDLSYQEVVLNHSLQQNLFVIKLNSDQNMQDQFEVLFVNQACN KEFATIESIYQKINQIQIINCNESNISLCNLKVNLTQELILNNLKATGEQINMIDNPQSL NYILYQYMSNSQDKELQESLPLKLTGLMYNNHHQTYDILVSPCIWKYQKSFVVSLIEITD RIKISQLEKLDQYKDSVLATVSHDLKNPIGVVQSMITLVQDKILEKIQSCCNYLEMCQTN VQILQSFVNDLQDFSQIKQQKLKLAISEFDILQLIQDVKNVFRIQTQKKNLQLEIVSILK STQILNNDPLRIKQVLFNLLSNAIKFTSKGKISIIFSDEPMDICKFCINVKDQININKSV VDVDPLIRNQQRLILCTVYDSGSGITQEIQRRLFRNFATYDDDNNTNKNGVGLGLIICKQ LCGYIGPLQYIYLESQVGVGSTFQFVIYKNYDKQQHHQQQDQFSVDSIDYDVSVHSPYKN MISTNQLAPFHKKINKKEKLQVLIVDDESFNNMLLKIQLSKIGIYKVDTAFGGQIAIDMV LKQRYDVVFLDFNMPGMNGLQCINEIKRISPTTKIYMLTAFNDMKTQQNCLSAGADKILQ KPPTSQDLKLLFI >CAK76066 pep:novel supercontig:GCA_000165425.1:CT868224:3:832:-1 gene:GSPATT00039106001 transcript:CAK76066 MQEKISELLLHQIPGVCRLTKQCVDHMSGMLVEDTPQNAADVQELMGEYFRNGGKLTTQE INKICQKIFDALEKEQLIKKEQKHTLAAERLPDEVILSELDFYLEKDTEIIKFEDLFKEQ VATNTNEQIQKKDRKEKLRQKEDIKAQEAYEKHIKTIKDQKTHIPPARVRHSKADQDGKK LDIVIDKLSIIVGGRALLEDTSLQLIYGQKYGLVGRNGIGKTCLMNALARYEYENAEKFR HVQVLLVEQEISETDKNPV >CAK76067 pep:novel supercontig:GCA_000165425.1:CT868224:965:2096:-1 gene:GSPATT00039107001 transcript:CAK76067 MLQTKMLISIGCGEHVKVFETQEVGNRLYNQIIENQANHIKIQLTIQILTKLELQKQIEI YMSYINGQQIVESQFFLKFLYIIGQRSLENLPFFYEIIGNNNYLLNWFRPLVTLLSFLFG QSQNSIIFLSFEYQSHLPMSIQRPPPFMWQDIKSFSCAQSTLFKSKSFYFVTSLLKSVNS NYFLEIKQNNHQSSILFIYNIQKIQFTKKGFLLIILCRVTKDIKKCLISEQHDSFDILMQ NNQVSYIFNFDLYTKSFPNIQVQLPFVPQEKISLYSHIYVYVLIIRLRIKEFRTYVLLNN IKQFNFIIENRIQNLLLKIVIPDNIVISQKKRIIFFEFIGIKRLC >CAK76068 pep:novel supercontig:GCA_000165425.1:CT868224:2155:4389:-1 gene:GSPATT00039108001 transcript:CAK76068 MKNISQTVDVKNLSKKEDKENLIFYSSIKDKLPKPNPLLIQQLQFNKVNSVLPLKKNHLE PNEQEATYKTPIQCQEQELLNQKPTTLQAFLKPLKLIYQKYQPQQNPNLYATLLHSPNHN LDASHYYQSDEDIKFDSLFESGNLFQVFKVPLSHLNQKSDRDYILLLQNDINTKGYTQWF YFSISNKNPLLHNIRLSIVNINKDMCFYRQGMKILINECNSWRKDSLGLSFKKNHIQRND SSFYYSLSFNYTFMEQGTVYFASNYPYTYSNLQTFLSTKYLVYDRIMKVKSIVTSQAGNE VQIITITNDNRDEKQGLLFIGRQHPGETPSSFVIEGIVNALLSAEAHELRNRFVIKIIPM LNVDGVIHGNQRCGLGGFDLNRKWGCNRDETLNTVEKLITNFNENYPIQLILDIHGHSKK LAAFFYGHSCNEFITELCNSDKRFSLENSRFMKNTKYLNHTARVFLQKLLNMKQNIYTLE ISYFGYKDQNLIVDFTLNDLRQMGRQIIVNLSKNIEAQMWAESILIDEQNSDVSFSDSSI SEDEISYEEIKQISSEPLKEKSTNLEKQYTRSSSIKQRQLKSSQSRNRAKSQSIQNQMKY QQFSYNFIDNSFNNCNVKNDNSDHQNLFIPQQKPKQSEYTIQPLVVMPSHSLKHQFLWQN RIKQQNYKEIKLQNLKEQRDLKIYQEFKDYVNNKQYSNKPLLPKYSFNDTDLFKKSQAKK QYLIINL >CAK76069 pep:novel supercontig:GCA_000165425.1:CT868224:4446:4742:-1 gene:GSPATT00039109001 transcript:CAK76069 MNDTIEFESSLNEVTPQEKDIVTYLQELNLTFLTDPQSKQFKFEQAKKQLIFSYRSLDSD TDGSDMTPPMIIKTQSLLLTKSPLRQKRLSIVSEHPLI >CAK76070 pep:novel supercontig:GCA_000165425.1:CT868224:4952:7537:1 gene:GSPATT00039110001 transcript:CAK76070 MFRQGPQKYQQFQDEQRVVSNKQEFPQEVQAGVLGGKEGSLDFPSDQIPPDFNLAELHRE AWNVGTTTLSKSQLRSLRLHQNAPNVSEEEKLVGQICKCCARQVPKDRVKIDCNNQDYSF LGAGMPLYFEYIKACILMLIVMFVTSGDYNIITNIAFGQSCQTLDKSIGIEIDEKAVCIF NWVTGLSLANKREDQEFIDLQQMLNLISMLTLIILFQYFRKEQRAFDTEIDSSTYYASDY TILLKNIPTHTEGLKNDDFDQDLKDYLEVYIERTYEKPSEVLDYEEELRQFLQRNNRPEK KLSRVVAVNLCYNIEEQTILEQEKQSKIAQKQKLLPELYDQGLLPGSEDINKDEQIKEID AQITEIESKLEALEKRFVEGKDVKQYFLGQAFVTFRWESDVQWMLIDHRLSLCSRILGRK SNLIYRGSQLQVEQPPEPTDVFWENLHIQTYQKIWRRILGYFLTFIILGVCGGLIYWLSA VQAQSADEQAKAVKNGDLNPNYKVKVIAQLASISIIVINYILSVVIRKVSLFERFSTQTG FNISLASKSSLAQFVNTAVITFAISTWVTKNIYGTGGLVYNQTYVFLSNAILPALIQLID SSSILKWLYQFFELRKGKSSVKTQKQLHDLFERPVFDISTSYATVLKNMYVVAFYASVIP IGLVITCFALLFFYWVEKYNIARRRTIKYNYSSVLSREMIEQLEYVLPIYCLTNLWWEYT FLQSISTEAIIGVCFGIANALLPMHEINKLLFRMKAKPNEHLPINEAEVGFLTDYCRENP ATAETERARYQERVTRHQKDRIAMEQMFEQ >CAK76071 pep:novel supercontig:GCA_000165425.1:CT868224:7641:8774:-1 gene:GSPATT00039111001 transcript:CAK76071 QNFESVDIPTTSHSIFLNSYKAYQDLYKCLDYANDLCWTDKGEIKWIKNNNLYLPQNLSE KPSTYEFNQLFDYYLVIGFSTKIWRGFLILLDYSFTYQLNRNQIKLSSPCIQGDNIFVQT YKQDMSQKLKIRKPAPDFTAQAYLNGSFKKVSLSDFKGKYLVLFFYPLDFTFVCPTEIIA FSDRAEEFRKIGCEVAAVSVDSRFSHMKYCQTPRNQGGLGDMQIPLIADIAKTISADYGV LIDDPQDGDFGVAFRGTFIVDKNGVLRHYSVNDLPVGRNVDETLRLVQAFQFTDEHGEVC PASWKPGKPTMVPDHGSQKLQQYWTEEHAKKE >CAK83400 pep:novel supercontig:GCA_000165425.1:CT868472:1107:1807:-1 gene:GSPATT00039551001 transcript:CAK83400 MISFIILQPKSFLSNQLFLYQSNITQSKCPIQSLIILFIDITSLAICRYLKKQFLLILGN NNIEYHLSLVHQLIMKQSYIHNGIIQLILQDKLKILILLIFDYLLVLIQLLISILCRSQI FCMQLNCNDMVVNLFQKLVFNKYLNTYQFNPEQQTRASMNFISTNHPKQLGSCSIPLLLR NFISFQIKLTTPSSFTLKEVKIALIPFELIRIKDFSCKVYTKK >CAK86296 pep:novel supercontig:GCA_000165425.1:CT868568:1:897:-1 gene:GSPATT00039752001 transcript:CAK86296 MIYQFQQIFDQQSLIPGCSYFKDGQCLKCFEGWNIQDLSNQCKPICGDNLIYGTEECDDG NLSPFDGCFNCKFQCAKNCKICEFGRCIQCQFGYKLKSQYCYPVCGDALTLDSEECDDGN IEKFDGCYKCNNSCQIECKLCVNQLCFQCQDGWQLISNMCEQVCNDNLLAILSIEQCDNN DDSYCNDCVQLCQDNCISCLTYNQCEFCRHPFELVNGVCTSICGDSIVIVGFEQCDDGND IQFDGCYQCQLQCSFGCILCQNNNICAECDPSLAKLNERTMKCEQIQDDIQLNEEIVEV >CAK86297 pep:novel supercontig:GCA_000165425.1:CT868568:1056:2097:-1 gene:GSPATT00039753001 transcript:CAK86297 MKQAEFDNLNPVYEEKRENSSNFKEYGYGYWAKFLLAYPKFLTNGKNEEYYFVSRLSADY LNQSKAMESRMQTVLVGKGYYYFATCDEANSNSNIANKVNYPEDFDGIWTYIYYSYSAEQ KKAFEFIKFGSGDFQTVTYEVQNPSTNSVRFTVGGKDNNRYSGFNVPNQVFSLILLVSCN NIKSPIDLIPELITYKLVSNLQNRDPDTKEVDSIVGISNNPQFPQEYALSGWFKWEVPEQ QKEWHIILRVKIQQPSHDLALGDRTFAAWVGQSSGGIIHLATYTYTHLEGSGNANVVQNI HHQNRHTEWYFVQFGYSRPQKKATASIQWKESAEKKEQ >CAK78557 pep:novel supercontig:GCA_000165425.1:CT868310:1:610:1 gene:GSPATT00039289001 transcript:CAK78557 VLFDNVRVPQSNLLGKEGNGFKMAMSALDGGRINIASCSLGGASFAFDLTKDYLHDRKQF GQPLAAFQGLQFKFADMATNLVTSRLIVRQAAQMIDNKICYQNSCFNIANEALQLHGGYG YLSEYQIERIVRDLRVHQILEGTNEIMKVIISRNLLK >CAK78558 pep:novel supercontig:GCA_000165425.1:CT868310:1164:2044:1 gene:GSPATT00039290001 transcript:CAK78558 MQSPLKTLESLNQTNAYQMPEYADYLKTRTCLDSLGFQQGIHIQERFEPISFGFPTPNVF RVYAADHLGDQIEMQQLFCLYRPKIRPFEMLVDNFGCSDNQLNKNQQFQSSDQTILKFKR EYQCTCCCCNRPRLEVYYVENAQNKLLGYIIDPIYCCRIGCNILDSDNQLRYMIKASTCQ SYFWCRCPCSIECNKIGFDIKLPTGEVVAPMLKQVKTCCNTDSFSICDNISAMFPQKATT EDKALILAATIMIEFMYFEKPRSRSTQ >CAK78559 pep:novel supercontig:GCA_000165425.1:CT868310:2619:3638:-1 gene:GSPATT00039291001 transcript:CAK78559 MYIYTSYEKNQPNQPLNYISDSQQTIQTNNTEQFEFEQIQSFDIEYILGSQQVEDSLKRA DYISSAYAAMIIENFIILAVILLGLYSELQYWLITKSSNIKDFCYCEMEGVSECNFGCVI SQKNELSIRPTYLFYCCISIGAILQIWLNFGILYFRKLYWAQQLILLIVVFSCYALMIST LCTLIAYNFGIGLIYLGWLNAFVIIFCFAFYTMKTKSELNYGIGALFIMSPTIFFLIMFI KINPNYVIFLLLSSLILMSFGFYVIWESKKMLSQQRIIRLSIIEILIGSEFLIAFVNQGV FRGVELLIKKLKK >CAK78560 pep:novel supercontig:GCA_000165425.1:CT868310:4169:5691:1 gene:GSPATT00039292001 transcript:CAK78560 MIQKGKKVTSILVESFEKLFKLIPESLPDEMKERIKSSIQSITQQDADLNKLQEYVSYIH SDDINISFLGLSQCKKLLSNTQLTRDLDAIIDIVLRTNIELKLFEIAKNNSVQLLKYQAL AIICSFACGTQNQIQNILDNDRVDVLFQTINSEYDEIIGLGVYTLTNISSNNIYFRDLLL EKGVVQQFISLATKCKGEKLETFRIILWAISKFANIKPMIQMHIEDLIKFLSEIIIAVDE EQQLIDACWGLGYLSQNDNQIHLLVKFGVIEKLVMLLHLENKNIVIPALRILGNILTGTE EQTDQVLNAGVLQGFIIQLQQSNNQAIRQDICWALSNIAAGTVTQIKQIITKDSLLLSLF RELEIGVPKIVQEIAHFLSNIVIYADIDDLDHLVRSYGLILQISMILDSIDKNVEVILEG IFQFIQRIIQVGRFQAYYQYFEESKLIEKVEYLQIHQSSTIYQKCSEIFEIFISKKKIWE QHLI >CAK87030 pep:novel supercontig:GCA_000165425.1:CT868605:1656:1825:-1 gene:GSPATT00039794001 transcript:CAK87030 MQPRMSLTQESSELNLMHYSIQFKTESKNNTIVVLSGLVCIRTSIHY >CAK60120 pep:novel supercontig:GCA_000165425.1:CT868007:4274:4765:-1 gene:GSPATT00030758001 transcript:CAK60120 MNSEISKFFGKLLLINSLQCPNNYNMVEYIIGEQLMKFNQQEIENNQNDMKEFNNDDVYQ SIINNQNSSHLKSNHYQQDEKQSTFEYIDYKIEEKLNEPQQESAILDSIPIEKNEIDFQS QYGVDQFNTFVETNFLSNYKFILRQHLDSKNNSYQIRTSIQPY >CAK60121 pep:novel supercontig:GCA_000165425.1:CT868007:5025:5787:-1 gene:GSPATT00030759001 transcript:CAK60121 MINFGKVLLRKSQITLKDMKQFMSLPIDSNNDQIPIYSYDENIKIVTTYDLEQSTKHIPI KDQVIHSIAHIEYNAMKSYIDTLIRFINQVPLQFQIEFKEDLGQIAYEEFCHFELVNQLC KYGSQPVHNNLQKRMILTTNSLLGRLAVLSIVNEGRGMDTGLNLIQKLEGDKNYEKVIKK IVQEESNHVKIGLKWFELLCSDQSPQENFLKLMNDYKISRNWKINIQKRKQVGFSDEWIK CLQNWN >CAK60122 pep:novel supercontig:GCA_000165425.1:CT868007:6318:7244:-1 gene:GSPATT00030760001 transcript:CAK60122 MSKSGLKLLQTMRKHKSLVYTLNFMKDRQQLVSSSLDNSIVIWSEINLSNPKYLTKLNDH KDSVRCLNYSSHFQSLIISGSDDHNIKFWYYQNSYSSWSCQQTIREHNDSVYGLSMNQEG TTLISCSSDKQILVMNRDNSNMKYWKVIQTIHVKKWGLRICYINNNMFAFLPHSNGEQWK GGKTLHIYTLTKDTKKFRKREEYKIQGGGQFCDAEFPALFVQSKQILFLKNGCTINLLKF NVFNNSIQEDTYQCKLQQFIYFDCKDKDECGALFGTISENGEYLITWDWKKGQIQVRRYQ EILDINQI >CAK60123 pep:novel supercontig:GCA_000165425.1:CT868007:8046:8969:1 gene:GSPATT00030761001 transcript:CAK60123 MQSQQAWHGLKSCKFNYSEKIHIKPQDCITDFAGGEIQQPLGRVHFDPKYGDLYTWVPHL KTKIPRPGDGKSQEFPPGKQFIEHHPQEKKPRAQRLHLKEGQSGHIEDVPYGIKTFPPIH CEKRHEIEEMMGKKQRLEYLYQMRNGLPVAALGDKIYKNPEYAADFFKEGGLITGSSNIQ RKVNINQLHEKEMEKKIQLIQSKIKKGTLWSDKVRMDQDAEKKQDIEVNIKPQFKDLDTW IETTLKPSNPNYQDPDKFFENLEKQQAQDPKKAQANQKKTGKK >CAK60124 pep:novel supercontig:GCA_000165425.1:CT868007:9148:9579:1 gene:GSPATT00030762001 transcript:CAK60124 MNNDQCIWKYRPSALYREKQYSIFRQVGHQSLKQLLPHEIQNKKKRHNFSLQNSFEKLPE LEKTRQKFKYELPKLEYPQPLKLMTVEEYRVILERRIKEEEQKKKYKWELPKIEVYVKPS KYSIKSIVDNEKLRIKMEQFLID >CAK60125 pep:novel supercontig:GCA_000165425.1:CT868007:9650:12423:-1 gene:GSPATT00030763001 transcript:CAK60125 MTTFEEPIIQIIVDEIAEEQGDHKNRKEIDDKKKNKQQLKAEKSQKGVRSNFDGKQQFGD KTICRLCSKTTMESVYNICNRCHFRYHQECANQQQYGSYNEETQKWICKKCVNRIEILTL KNKDKKKKKRKVEIQVQSTTEQSDNENNGSLTEFLLRFPTYKNQGQILFPILDEYLVAYS DLFHVEVKKKPIIKEDLDIPNQYFYQVLIIWDSYNNYDKIVSDILNIEEGNSDNQSQIGT FIHFKDQHQFYSQKSRKQIYQMLHTEPLKLVEFFSWFYTKQLIEDVDFEQIQKAQENAFW YLMGFLWYNNRGQYYEIYKESLQYIAHYLYQQGILCLNDDDLKLLDQNDKDYFLNLILIL IEGLPDLKKTPNLISYRIENLISNNKIKEQLAAQVKDLRAQSVEMQLNLDQLVKEISALK QTLSQGDLSKTDFVNTQSQIENINLKMIEKEQAKSKITKQLISVQERYIQLEEELHLVQI PNLLINPSMFLGFDLKNSLYYFFLNERDKVFVQQRNSWIDSNMKWGFYNFDDVEILLKSL NLKGIKECQLKLNLEEIKKQKLILSEPNPSKLTQYGNDKEVEERELKHKQNGKPQEKQQT EIQVIQLCKMLNEIDFNLTNYLNEKNCRWCSASVRSVFRKSYDENKDSIDCLKKAVEFFI ENTQTQERLDKLQEGDTLDDNLYIDFDEDSAFLLTRKKKIVEDEDVENENFKQLEALDAS KLKIRRMPMKLFGSYFENLRQCLLDQVRDKFCDLLQLKTCLVVLKEVIKMYIQRKQEECQ RQEKKKNTIQSQQLQPSLIIEEIYRDQVKKVQIQDGENIWEEQCKVCGQGGKVLLCDTCP RVFHPRCLKLKEIPKGKWSCMICLSYFSRQVKTRQTFKKLQTK >CAK60126 pep:novel supercontig:GCA_000165425.1:CT868007:13029:13552:1 gene:GSPATT00030764001 transcript:CAK60126 MSKINTPVNKSISKFRNHKRIETHRKQSLINLVISENVSTRQAAQKLQIKYSTAKYIMKN FKNKGNCLDEHQHPQSKQKSIIQNVNIVIDVSDGSILLAKNNQKISYCDFSSLQEEYKNQ NLLQTSELIYEKLGKISWHKFHYKECRDEEMLKKFLLRQHILMKQE >CAK60127 pep:novel supercontig:GCA_000165425.1:CT868007:13959:14462:1 gene:GSPATT00030765001 transcript:CAK60127 MKTKKVKKISLQLKQLLIESVMTDHLSIHQASKLHSINYSSAKYIINLYKKLGTVTPQQS KQRRKPKVYIIKTSVLVDYNSGEILLYKQNQLKKQNHQENQQICMRKGLIMTSKSIFHSL KIKEKIFSESNSDYKKLPTFTNISELKNLIQMQHKLMSS >CAK60128 pep:novel supercontig:GCA_000165425.1:CT868007:16767:17404:1 gene:GSPATT00030766001 transcript:CAK60128 MLLIRRLPKFLFCENQNIDKKNVTVNMEQIKQRIMERKTELPFKDPSISKPREFYDTKQN KRKTEYIFDFIDPTLHNKPFLQQVFGLGNGYFSVNDVWYPGSILIFPQQIFLWDVQTAAD IRAHSFDILEVIKPRPSYVLIGTGREKQDLPDSYFEKFKKFGIKVDVVATFEACSHFNFC SEEQRDVCAFMIPQNL >CAK60129 pep:novel supercontig:GCA_000165425.1:CT868007:17412:18509:1 gene:GSPATT00030767001 transcript:CAK60129 MLSQQLLSQWRKGPTNSVSLEPGHGRGIFCMDIMKDHLVTGSADHGLRCYNISSMKYEKE LYAKRYGHTEWVSSVQHLQNGQIISAGMDSKLCLWDAKGVRCNDLVGHQGSITKLMVDEQ SVCITSSYDQTLMIWQLSSMQESRKLFGPHKSAVLDFDWKNSLCVSGDKQGTVVFWDINE GEPVMSKHAHQGAVSKCLLYSDGGSNNLVITAGINDGTLIIHDMRTNKLVNQSQIHKGSI NGLTVNLQNFIITGSADATCKIIDIVAGFKPVSMMKAKDAVFSIETIYNMTIAGCGDGNI LFYDNDSGQCLYGFGAMNKGCVRQMKINDNKTKLVAIGDDFSPMVLQYS >CAK60130 pep:novel supercontig:GCA_000165425.1:CT868007:18631:19303:1 gene:GSPATT00030768001 transcript:CAK60130 MSFRQTRWRFLAVSLAALILLGNNYSFDNPQALQTQIQQQLGINIIQFNLLYSVFALPNI FLTILGGMIIDRLGVRFGIFTFTLIVTIGQLIIALGGKFNQYSLMLLGRAIFGVASENLI IAQSTFVSLWFKGTELATVRYSNLIQAMGIIMTVPELGGALNSLLTPLIYNSSQKLSVPL FVSVGFCLFSFCCGVVLVYLDKYAEKYDQRCEQQV >CAK60131 pep:novel supercontig:GCA_000165425.1:CT868007:19354:20306:1 gene:GSPATT00030769001 transcript:CAK60131 MEKEEGEEDEEEQEKQEESKEEISLKDLKQLSGTVWILLMICTFSLCIFIPFLDNANSFC QERFNLSNISAGRAIIITYLTPIFVSPFIGYVVDKVGYRRRWMILTSLLFILSHLLFAII PTPEDGSPQYAAVVPLFLLGVSYAFYSCVMIPCVQYLVEQRIMGTAFGLMGLFESIGACI FPLVSSQIYNTNGDYRQVGFFYMGIGCVNLIFVLTLYFVDKKGSEVLDKINPLEDKSDKE SSSTSDDSVASDLTDEDEREYIEMKSNINSLKLQPLETNSDTKSEPGDIKMQRSLSFEYS MQVTKIDNQ >CAK60132 pep:novel supercontig:GCA_000165425.1:CT868007:20772:21790:1 gene:GSPATT00030770001 transcript:CAK60132 MELSANKSLNKENNNPVLVPTQYDMMQKEGIFAKTSSSIHSQHRFYYRKQKQPIVQPIQN LIIGHSNSSQSMHKDIEKIAKQLQSQHIQTMTQFNFPQPLKERYPTQYSHSSNTQIAEVL EMFEKENLMKIQQIKPCTPRRLLTPQVNTKLPTLHIENKSNDQENQNEKTSHLLKNKLLF RRTINPSVDKIKKLNQPATEVRHKRIASQILNNTENLSLHKPLKDRINKVLKNSHQILQI CNKIPEIQEQISEEQLLDLINSDHFKIEFQHLVNNSQGSNQPMEFILQNFMIDQIRRMRE LKEAENNLEQKRQNFSRLLTSYIQELKEKL >CAK60133 pep:novel supercontig:GCA_000165425.1:CT868007:22012:23546:-1 gene:GSPATT00030771001 transcript:CAK60133 MQITQEFDFFVIGGGSGGLAAAKQAASQGAKVGLADFVKPSPLGTKWGLGGTCVNVGCIP KKLMHFAALAGELRKDQVEAGWINADIKGKHDWNRMTENVRSHIKKLNFQYKNQLNNKEV KYYNKLAELEKSNIVKLIDKDGGVEFVKSKFILIAVGGRPSYPEDIPEIEKKVITSDDLF WLPNNPGKTLVVGASYVALECGGFLNGLGCDSSIMVRSILLRGFDQEIAGKIEDYMVESG IKFIKEAIPINIEVIEHNRRLVTWKQKGVQHSDTFDTVLIATGRKSDTSKLNLERVGVKT NKNGKIICTIDDRTSVANIYAIGDCVEGRPELTPTAIKCGQLLANRLFGGQKKMMCYQFI PTTVFTPLEYGCIGYSEEEAINKFTQNEIIIYHSIFKPLEWNLLESHYAQACMIKLIVMV STRRVIGLHYLGPNAGEVVQGYAVAIKLGATKEQFDATIGIHPTCSEEILTLTAVKGIDN PQKEGC >CAK60134 pep:novel supercontig:GCA_000165425.1:CT868007:23579:25809:-1 gene:GSPATT00030772001 transcript:CAK60134 MKNISQTVDVINHSKKEDKENLIFYSSIKDRLPKPNPILIQQLHLNKVNSILPLKKNYLE ANEQEATYKTAIQQQEQECLTQKPITLQAFLKPLKLIYQKYQPQQNQNLYATLLHQHGST FDTSHYYQCEDENIKFDSLFESGNLFQVFKKSDHDYILLLQNDINTKGYTQWFYFSIQNK NSLLCNIRLSIININKNMCFYRQGMKILINECNSWRKDSLGLSFKKNHIQRNDSSFYYSL SFNYTFIEQGTVYFASNYPYTYSNLQSFISSKYLIYDRIMKVKNIITSQAGNDIQIVTIT NDNQDQKQGLFFIGRQHPGETTSSFVIEGIINALLSAEADELRNKFVIKIIPMLNVDGVV HGNQRCGLGGFDLNRKWGCNRDDTLNSVEKLITNFNQNYPIQLILDIHGHSKKLSAFFYG HSCNEFITELCNSDKRFSLENSRFMKNTKYLNHTARVYLQKLLNMQQNIYTLEISYFGYK DQNQIVDFTLNDLRSMGREIIITLSKNHEEQKWTESSIVNEQNSDVSFSDSSISEDEIGQ DEIKQVQQPLKEKAINLERPYPRSNSFKQRQLKSSQSRNRAKSQSIQNQIKYQQFTYNFI DNSFNNFNVKNDNNDHSNLFVPQQKPKQSEYTVQPLVVMPQHSLKHQFLWQNRIRQQNQK ELKFQNPREQRDLKIYQEFKDYVNSKQNPNKPLLPKYTFNDTDLFKKCQAKKQYLIINL >CAK60135 pep:novel supercontig:GCA_000165425.1:CT868007:26167:26945:-1 gene:GSPATT00030773001 transcript:CAK60135 MSQKLKIRKPAPAFTATAYVNGSFKKVSLSDFKGKYLVLFFYPLDFTFVCPTEIIAFSDR VEDFRKIGCEVAAVSVDSHFSHMKYCQTPRNQGGLGDMQIPLIADLGKTISADYGVLIDD PQDGDFGVAFRGTFIVDKNGVLRHYSVNDLPVGRNVDETLRLVQAFQFTDEHGEVCPASW KPGKPTMVPDHGSQKLQQYWTDEHAKKNE >CAK60136 pep:novel supercontig:GCA_000165425.1:CT868007:27290:28028:1 gene:GSPATT00030774001 transcript:CAK60136 MQYQFDKQRFIIRFYLMFRQFIMITWQLQIGYEMTQQRVLRSTISQLVYQSVYPGLKIPK LKIQDILVLLIHSVSSKIHFLFKNKGCIFSSLLLILRNNCFLSINKYICMQKQLIFNFNE NCFSISFDLFVIRGGSGGLASSKAAVQLREKVGLSDFVVWEEHVYLQLLSKQTINVGCIP KKLFHVAAQLGDYRKDQGKGGLSGINENSLKIGLSSEYYDSETQQNARENLKIAGQ >CAK60137 pep:novel supercontig:GCA_000165425.1:CT868007:28570:28920:-1 gene:GSPATT00030775001 transcript:CAK60137 MEQNNVTFYKHPMIQQRDLKDQSILKTLVVIGETGVGKSTMINFSAITILDSNMQIHLDL QLLMKNKYNNYIKGLDGNSTLRIIDTPGFRATRGYEEDEKISNLITTKLQPQIRLL >CAK60138 pep:novel supercontig:GCA_000165425.1:CT868007:29548:30573:-1 gene:GSPATT00030776001 transcript:CAK60138 MKNIIAATLMTILISFSFYQVNLDSDSDFERWALKHGKHYFGDEKKYRQAIYFQNKQMIE EHNKRSEFTFLMGENQFMAITNEEFVSLYLNPISPEKQNEQDQIIRKTNPKSPEPIREYN LKDDVDWRGYAPVKNSGNCGSSWAMAATNVIEAAYAIDKGIKVTLSAQNVMDCANSWGGC DASLADFSDPKNAYGHARNRGILTESEYPYTGRGDDWCQQTGFAPWRIMSYAQNSDDIDY MRGFLQMLTEHPLSVKVDATNWQFYSSGVFSLCSSIYKKANYYALAIGYVWEANQQQNWQ QIWRVQASFGISWGEFGTIRLAPGNTCGVINEGIRVYLWGG >CAK60139 pep:novel supercontig:GCA_000165425.1:CT868007:31254:31551:1 gene:GSPATT00030777001 transcript:CAK60139 MVGRISKSLKMFSRILRFQCKKSFHLLRKLIVRKDTEQIVEFHYIGLEAAEMTQCFTVAI RIGAKKSDFDSIVGIHLSAAEEMVQMKIFR >CAK60140 pep:novel supercontig:GCA_000165425.1:CT868007:32338:34910:1 gene:GSPATT00030778001 transcript:CAK60140 MNVEERPGTSFTSKRQVQTEQRKRQQMNLGTLETEDKSVRTGMKIRPITQDQQRNSLQQK RQKMIPQAILYDRESLYEEVINAKQINNNLQRENQALHTKIKMMDEELQRLVRATPISEQ VINPMESFYQDSKPMKQHLIMDLKKQLRRLQEEQIKKDQQIQFLQKNTKAMKIKELEIEL LSYQQEAQRLKALVGMKFNDSDNDLLRLRADYKLLEDELKQLKVKCKEFESKATMYYNEK LKKDKLNQYLKNQIENLKFKDPEYKKQNKITENEQQLKQDIDQKQVQIDTLISSLKAKDA QYAELDKRMKELEREQQQIIDQLERERQQLREQCNSLKEEVSMQSGRRRTVQNNSFLENK LEVLPEGPEIIDQKKKLLPCVTKDDVNLICKQIKFKLKAMKVPFEKIDNFIHGDVHSVIR IEELNEMLQDEPFLLNEVDSLKVARYLIEDNDERFVEFSLLTEGLIARAKSILKNLIGKY TLLEKNEEDQLFLEMAIVLSKYRNSLEDFILRSKKKQELCTIDDLEEALKFVEQTFNSTQ YEYLLLKNYELTQQLININYKKIFELFYVDPSIQQKTKSESDLPKEQGKKQSNGDVLQNK DVQQELIQQQQRKQKEEEEQRILWQLQQEQERQRQLELEKEEEEQRRLEQQWKEEEEERK RQEEEQRIKQENEAKRQKQLEEMKKQEEQENHRRQQEQQRQQELLRKQKEEEERQRKLKE EEEERRLQEEQLEKQKLEEEQKRVEQEYEQQAEYEQNEQEDNAEEYNDQQFDQQQSEQQN SVPQNKSQKDYEDEDFEQ >CAK60141 pep:novel supercontig:GCA_000165425.1:CT868007:34938:35890:1 gene:GSPATT00030779001 transcript:CAK60141 MKIVVKYFYTICQQEQRKETIRQMIAEYFDENIEFDKEIFKDYEEFLQFIFPFRPKSLNK LGESKNLKYCNVLISQLIETQQIMDTMLIEAKKELSQSEILKIYKEIDSTSDGFIDVIKL RKYLQQQCNYQTSNDDLIFIFRRMDKDEDGFISMAEFIEEFTFEKQQISQMSTMAQTRWQ SQVSSQTQFVPPYSEDCREFALFIHRLQKLAVRDEEELLKLKRQFIKQKKQSFLNLFEDI AHSIYLDHRDLLNLLQYFKISVSSDLERICRLFNEDNPDQICFQDFLNFFTF >CAK60142 pep:novel supercontig:GCA_000165425.1:CT868007:35901:36385:1 gene:GSPATT00030780001 transcript:CAK60142 MQNNQGAATQESSINQENKQETRLCTICLAFFGTAATQFYCSKCYQQLFEQLFSIRSIIK ESNQEIQQQQQIVQENKQQGEVVKQKEDPSKCKVCKRKLGIAGIQCKCEAFFCNKHRLPE DHQCTFDHAEKAKQLLIKNNPLVDPQKLEKI >CAK60143 pep:novel supercontig:GCA_000165425.1:CT868007:36807:37934:-1 gene:GSPATT00030782001 transcript:CAK60143 MLQQSEKDFTIEQVVGTGTFGMVHLATDNRTKEKVAIKKVYQDRRYKNREHLIIQELNHP CVVMLRHSFFTPGENPQDVYLNLVMEYVPETLSKMIRQIRKQKQTIPSTQLKLYSYQMLR ALLYLQAIGICHRDIKPQNILINLETNVLKICDFGSAKRLVVGEPNIAYICSRYYRAPEL IFGATDYTTQIDMWSIGCVIVEMVMLEPIFPGESAQDQLLQIIKILGTPTPDIIKQMNPA KAEVKLPTIKGNPWSKILAKHKPDLLFLDLISQMLTYSPKARIQPIDALLHPYFDDLRKE EFAKLNIKSPNLFDFNKQELSIRPELNSKLIPQWYQKLNT >CAK60144 pep:novel supercontig:GCA_000165425.1:CT868007:38355:39090:-1 gene:GSPATT00030783001 transcript:CAK60144 MASKSQPPIQERTFHEWSKNNFYRTSYINHYTQFPQEPKNTAVPGYAGYVPYVQSENLYG ERFSEVARKSYADQKLGKFNRLSSTGFNFDPKELIDVHKEAYSHKYGCQTLLKNHPCTHI NKMVTSYQDGFKKPQELVAPTFRKTDRYLETSQAQTKTSGFQKNHMQFDGSGWIPHENMN GDQVRTEYRIQYNQDKPFHRNPMQFKLRKMKQTEMNYKHT >CAK60145 pep:novel supercontig:GCA_000165425.1:CT868007:39281:39884:1 gene:GSPATT00030784001 transcript:CAK60145 MTLVLEKADQFRHIIRILNTNVDGKQRLAYGIRSIKGIGRRFAIQICKVLRLDLTKRAGE LTDDEAHKITEVIKSPEAYNIPRWFLNRQRDFKDGKNYQVTTNELETKLREDLERMKKIK CNRGLRHHWGLRVRGQHTKTTGRGGQTLGVERKKK >CAK60146 pep:novel supercontig:GCA_000165425.1:CT868007:39948:40387:-1 gene:GSPATT00030785001 transcript:CAK60146 MIPCLLSVIFGTISTMIIGFYWYSILFHDRYLKEANIKLEKDHEQKDESSQPLILELLAR FLQASLITYLYSILKIKNERDLGLALSLAVFFCVSFQVQYFTSKVVWEQKTWNYFFMKVT EQFISLSTLSTITYIFVP >CAK60147 pep:novel supercontig:GCA_000165425.1:CT868007:40501:43420:-1 gene:GSPATT00030786001 transcript:CAK60147 MLFIGSEKYPQTEFFEDLMAKGGGIANAYTDDQNTNYYFEITVNNLGKALDVFAHFFIDP LFNEDAVNKERNAVNSEYEIDVSSEEWKVINLFALLADPNHPASRFSIGNNEVLAKDGVV EALKKFYKDNYSSNIMSLAVSSRLSLNQMEKLIKVFSKIENKNLTPQSFSGFPYQFGLLG KYKTEKKLVFLNWQLSGRQQIAHQKPLELIDYLLNNGNLKDYLKEKQLAIEFESSIFLEQ DYFVNYIIQLTLPEHQLEDGNIALEISRVINNYIQQLEEWLKDERYLEEIFKEQSQISKI SFEYKEGPQEISSIAHNLNRYEPAEVLSSSFIMDVFDKDLIFKYISELKRTDNLLILIGD DEYQLTDNTLKQSNQEFLKDKRLDKRSEIYRLEYATQKMTQDSIQFITQKDIKLQQLFTK PQENLFIPDDLKLVSLCESNKLKLPLLVNSDQLKPLDKEGKLNLLLHAGQDLQEYPEDQC KKEEHRYQKNNHYPILLSKENNQWWKFSTLYKMPTVYGAISIQFANPLTIRQYTSVRIYK FISDEEINNQLRLPLASGYTVELGMGKQIELKAYGFSEKIRSFFKKICGCMNPFKDQSST LIELDETQQESQNFIRAKQSLITSIKDKFQMKLFDQSISLYLPQILRRDIFNPEQVLQQI PKITEEQMISDIKEVLQNSIQSSLLIGNLDQKNAQDFSSELQYCIKDRNSKVEQSQSKPT ISVLSLKGKNLVFAKFVESDKGDLNGAILNYYQIGKRTSENYALMKILQPLLYSQAYSYL RTDLQLGYVVFMRFQQISCIDGAFFLVQGNKELPMKVNQLIEKFILKFDVYLKKLKKKQF DRLRRSAIIELREKPQTLSEEADRLWEYISSGDYSFEERDVTIEIMKSTTKEQMVEFYEN IFIHNRSKLSIQLYGEGVVSQTLSLKNNEEFDTYIQANIPKGASLFNNKELSYLECQNDV SSV >CAK60148 pep:novel supercontig:GCA_000165425.1:CT868007:43465:43838:-1 gene:GSPATT00030787001 transcript:CAK60148 MEKVKLNSTFFFILLTISICDLIGLLQLDQPFQYVSAAHVIKAQIPERNQVSATQTGTLE PLQGQHIDKVKVIKPIIDHRQYRYLELENHLKVLLIHDAESEMASAAMDVKAGS >CAK60149 pep:novel supercontig:GCA_000165425.1:CT868007:44417:45897:-1 gene:GSPATT00030788001 transcript:CAK60149 MSEKTLNVDPTILIQKIIRENAYLTDLDNKLDYDELHNPLNVEETQSQLVDSIFGSCNVK SQKGTICDARIIASKMISSSGNARPTSNQQYGSLFRSGISNYTVSDEDEIENNSQEIKDP QQVKSQIEIDAIHYLKPPELKNLNNLVSYEDLMQKCQQFELSLDVERKKNQELNDIILAQ NERIQELTEIEKAAYEQMDGRLQEISELQAKNILLEGQLQELKESQEKELRIHGLEQYFT NECDAMYFSVLYLLEIKEKQIQLLQGQLSSLRAATQYRSQGSYHSAQSHENIQDSKVVNH IINNTNNNQIIIKNEIFQKGMVQLLQKPKTQTPKKQQIINQDSQSTQRSKKGLNHNKQNS KDSITQPTSWLDHLQKKNDEKLKKLQNQPSEKELHQTILNNSINDNVHKYVHADVKITKV NSPAFQRKKLLINQQQIMTIKISKILQSKNSLQNK >CAK60150 pep:novel supercontig:GCA_000165425.1:CT868007:45991:46581:-1 gene:GSPATT00030789001 transcript:CAK60150 MIIPLQVEQSLVENSKRKPFSPLEINPKQYSLSSKLNLLQLDIITPNQNKSNHSNANIQL GLQKLLKTSPYAQFIKQKSQQQISSIDSCSQNVYNGTTQHSSQTNVKADNKYMMTTNQSN IKRRIHNSMKIFAPKKSLELSHQLNSTQKDKQTIKALQDLLLRSTLTLQQYKEQITRYEQ ENIKLKEQLSNLKVES >CAK60151 pep:novel supercontig:GCA_000165425.1:CT868007:47101:49187:-1 gene:GSPATT00030790001 transcript:CAK60151 MEEQVTRPGKKLWAIARKKVKVIARINAMKRITLQNYYGVRDDNDFVEATQINKWVIYPD SKFKGLWDFMIILLMLYTCTILPFRLAFQDSSSDVWNTIDEVFNYVFMADIVINFFTAYQ DADNVLVTSNKYIVMNYLKTWFLLDVASVIPFDQIFQPDPQTQTTQTQGGQKGSYTQLLR LTRLPRLYRLLKVVKLFRIVRFQQTNRSSMFSKLIKSCIKLDASIKRMIKIMGMSLLFLH LSSCFYYLITKIETDSVTWVTNLGLEEADEATLYIRSFHWALQTLTTVGFGDVSPQTDWE KLYAIFWMGVGSAFFSFMISNLQGAVGDSEKSAKNKIMRLNVIQNKIKIPDYCNLIIHFS VENSRQQIILQTKPLIKQLPTCLRSSVQLCIYAHVIEKIKFLQDKDIELIMDVIPKISPL NWAQGDILFSTDDYAEEIYFVSIGEITTRAADGSTISVYREGDTLGLLEAIYDVRRIGTA ICSKNTQLFSLDRTTYLQMLQDYPQLLSEVKQQVDKRIKEVKPFVEDATILNRSIYEVMQ DYQRKINKIKKIIEQLQKSEDSNDIIDTDKNQLQIFLQTNTQLGDIMNLQRESNSRETVM FENAKSMNNLIDQLADLWQKQLIEKIDQMHTETTYMIQAVQDRVEYYQKATKKFSNLKND LTKQKENIQSMTKI >CAK60152 pep:novel supercontig:GCA_000165425.1:CT868007:49466:50641:-1 gene:GSPATT00030791001 transcript:CAK60152 MKARKYFVLVQENMQTSFYKTEKYTQINLDKNPNQKKFFFYDENQAKRFASNVQSCQNKE AFEKLEMDYEISKKLMKYEEKIKSCKTFSDNENQDGISINLRRLTYIYVLWNKNSYDLPR MYFTQITAQKNSTSEDQLGKFQDPKSALSYIREILETIEMKRKLIANTDTPTSQDNNKTE AELEKEESQLNFSNLGKLQQLDQQYSYFFPKFYIEKYPAINNINPEESYAIQCSSFCTLH FHSSIGINLINYVSGKSLKSLGLYVGHQKPYILGLLTVLYGLRYSLFLNLPSIKLQVWKQ QDFEFLNKMVQPPYPQQQQAMAAIDGYSKLLRQVKFELVDQDQCKPSYVQAVKEFEKYAY VKQRSKKFRKTDKGD >CAK60153 pep:novel supercontig:GCA_000165425.1:CT868007:50691:52154:-1 gene:GSPATT00030792001 transcript:CAK60153 MSSLFVKCMTCNQKPATIKCSDCQPGQLYRICYACDTQVHSKRGPMERQHKKEIIPYQEM YLKGQSSTRDQQTQSKPELQQKPKQSLLQYQQPQISNSTQQFTASQKAGRTDLETKNLQQ NKPFSAQNKEVSKLEQQNKFEIVQQQTKVKNNQVGKQENQDILSDSSKELVEQIKKEKEI NQKLKSDLQQTKDQLQNINKEVEKRIQQNQKDLEKKISDLKKEYAEDKRKTEQLSEDVKQ LKEQLKSTDQQATKKLDQQKKQYEQQLKQLQQVAQEKQDQIEEIAQEFQNYNFEDIQAKM GEMGNDINQRDQLIEEMQLQLQEKNQGGKQNDGQVAEIEELKVQLEQKGQEIEKLEELID NFKQLYQHMLDEKQVMVDENEKLVSENNQFRELFSQNLHLFGIDPNDLEGGDEDDTGAEA EAEDYRQHEEDEG >CAK60154 pep:novel supercontig:GCA_000165425.1:CT868007:52248:53379:-1 gene:GSPATT00030793001 transcript:CAK60154 MSTMIINEDYDSDIEIFEQRNQQIQQLKEQQLQFKEQLLQHIQNKDYDYNLISPIPYPMD KKIRDKGNNAANLLQLNKSHDLASERSQISSNYQFPSKTSKTNLKGNYLFKQNYLPKTPK KKVANVTTTKSYCNKIQSNLNNKKSPSNISTCEQKSARTPEQIKHYVGVLSPSPYNSWLK KDFENKSKQYNLSSFTNKPADKPRQVMKTEENTKINKPQEKKNVVPAEKPKKGFEQWYDS QKKWLRQTEEKIFKQKLQMEQELGEQEQFSHSPEIHEGSRYIVQKKYNNASLLERQKFYQ EELQYKQFQKKLREEEDKKINQIRISPFSRKILRSTSPKSNCSFTICNSSNQNYSTIIKK >CAK60155 pep:novel supercontig:GCA_000165425.1:CT868007:53425:54060:-1 gene:GSPATT00030794001 transcript:CAK60155 MNQQLNHRIGKEHKVDIAEQRIRNLFNIDILQNVQVRRTQFIHSIHPNPKSLIQEGYIVH SIPLRNCDKMKIYNYPREKRDRSPLPTCTDQIPQITQEKIQRIMTTVKKDRSMNISIDNQ SNIVAKMPAFYTKHQSNSKTQKSMLSTESFAIRIRSLSKVKDNLQDSSYFQQRSATPYKK QRLTQYKQFLQQQVNNFLQDSYFN >CAK60156 pep:novel supercontig:GCA_000165425.1:CT868007:54118:54539:-1 gene:GSPATT00030795001 transcript:CAK60156 MFQLRPQRTLGKRMQRRYALIPFHQGTCETRVIVALKRDMYARIVQNQERLRREEIVETR TGSEEDLRPPPPSLLNPPDPGEDIDNSRSNRRNRTEDHLPPARADPNPQYRHKALGIQNL LVQFKQTTMIQ >CAK60157 pep:novel supercontig:GCA_000165425.1:CT868007:54579:54833:-1 gene:GSPATT00030796001 transcript:CAK60157 MSRQVYVGRLSSRIRREHLQQEFEKFGKIKDIDLRNTHAFVEFENGDDAKAAISKMDNKR LNEGSDRITVKPRGRKKRWMKLKR >CAK60158 pep:novel supercontig:GCA_000165425.1:CT868007:54887:57239:-1 gene:GSPATT00030797001 transcript:CAK60158 MDYSCDNFEVNESRITLNKDEEIKKLTLEEDLPEIKRAQIILMKGQQRQKLAIYNNLHRL LSLGFDQLYQYIRGDIMEQSEELQIIAARSLLTCKVKPKEIIQLALHFIQLYQWKLAQAW MPVFQHLVLLIDYKEFQTYLEKIIIQFSEPKQPEIGRWVGAKMIVCISPVLKDEMKGPIL DRARLLCSDPDHEIRELVADELLTCLICNLSGELVEQYFIDKINELLYDTQINVKKSMIR TFLRFQHKFPRNSYNIKETTIFIDCLSTNNVELLSVALQYCGETFVQIQDLINDEFKSKF TGLYQKFGQHQNDEIRKWYLYNLPGIILHLNEGPYASQILVPFADILIQDKSHENKLLAC KILHEVSKQFDYSYVLQKMWHLFECVIQSEDLDCILAINLPEMYRLLQGNEQNQEKLKTQ FKDINQQLQKVYQKCLSNYQCNQLFLEQLLNFLHLINKKHFEQHILPVLYKEQQNIHSDL LSMKCLAKFYSVCQDYEIQMKIKDTMNLMFFRGNNNKRVRYIFWVSNLVQYISKKRFQEL NLNQIISYSSDKAASVQIQLIKSLPQFYQYLEPIEYNLIKNVKGVTQQSLAKDAFLLIQQ RQQQNQNFQEEQTLLIQQEEAVFTQFTQQQKQEKQNDLIQTNEYANKYLKKPIPKLVQQQ SKKTPNASTSVRLANQSFGELTPQQQQQQQFKRVRRYTDAAKSTSKPSSRPSGFTQK >CAK60159 pep:novel supercontig:GCA_000165425.1:CT868007:57701:58707:1 gene:GSPATT00030798001 transcript:CAK60159 MQLKISANFVELYLNQTPCGIGPLAALLFGVKMFQESILYVPTVDGQQTSKQNPPNYRSP AARNLKFSPISIKHDSIELKGWFIQQEQSAQAPTLIFFHENAGNLGYRLDYFEKYYYNLK CNIVAVAYRGYDESSGNPNQIGIQKDAIAIVRYVFTNLEIDKNNVFIHGRSLGGAVSIYA ANYFQDSKKEKIRAIILENTFTSINDVVNDLVPNLPISHLLFSKNQWRSCDTIKNIKLPI LFISSGQDELVSYNHMKRLIELSENSELKREYHIADGDHNGNWMKDEGAYFQNIQSFFQS ITN >CAK60160 pep:novel supercontig:GCA_000165425.1:CT868007:58890:59980:1 gene:GSPATT00030799001 transcript:CAK60160 MKNFGKEFKEEFVIEPGYTCVNHSSFGYIPKCVFKQRIDNYRKFLENPDCFARYTIPKQS PLVRQTAAEFLNASPNQCFFSNNSAESMNSILKNLGLSDKDTILYLNIAYPMVKNVIKYM NTNFKVNTCMVELKAEDLKKEIILQYIEENMKSKKITVAVLDNISSQPSIKLPTKEFIEL CKKYDVISIIDGAHGAGISEINLKELDPDFFFTNLNKWAFCPCSVNLLYMKEKYLNQIHN NTVSVFYGAGIEKEFEYYGTRDASIILSVIDGINYINSFGLKQIIEYCENLAWEGSNLVA KIWETELLAQGQSHAFCYGQCVGATQGSLLHIGMFEELL >CAK60161 pep:novel supercontig:GCA_000165425.1:CT868007:60111:61708:-1 gene:GSPATT00030800001 transcript:CAK60161 MSSLLRHNQDAAAVPALASIPSPQTTDYDWVLVSGFIVILTQVGFAFIGAGSVRYKNSQS VVIKVFLGLFLTVLIWWLFGYGFSFGDDFQTKFLGGTKLAGAKWEATAYGNDYTNFVFRA SGAAVGTAILAGAAAERMTFLGWSIFSVLYSGFIYAGLTHWTLAAGWLSTLGFKDFSGAG VVFFAAGVAGLILTILLKPRQARFDNGATLDFNRHSPLYIGFGSLLVLAGWLFYNGGVVN QGAKTQYTQGLVAVNTLIAGATGGFFSFVIRHFQYGTSNLAALSRGVICGLVAVSAAADD MKPWTAFLYGAIAALIYSFLAKVMPAAHIDDPAEVVSVYFGSGFIGIVLSALFDTKAGAF YGFGAKLLGKQFLGLLIIFAWVAFFTLIILLAFKGFGILRIDAETENVGIDKALCLGEAI VFANQVDEVPLVQQTELGNLRSSQLGSGLRSGFR >CAK60162 pep:novel supercontig:GCA_000165425.1:CT868007:62349:63461:1 gene:GSPATT00030801001 transcript:CAK60162 MSSVQHYLLRKSITLNKNANIFMTQQEIDEQRLYRNGKIVPYYIVGKPEIMDKKLNNPQP TEDSSMNIKIKPISYKEYKSKVSQKQQNNQVEEDQFIEFDMELGQVENEIRQVHIQQKMN QFKLPLSKLSQADLAAAEKDDRIMKSFEQQQIDWQQRVIKSAQRCKRHVSQSIYNKIQAE RERNEDRKLLDLIQTDAERHGNKLWERQLRSSSEVLETNQKIRAKGLEIIKQMNNSGVYK RPKSFVQRFEERQDRVNELTAQGNSIQNLQIEGLSQLARETSSLCKIINDQKEFQLIKNS TSNNQMPNTKRKHKGPFKKLVPVENLNHSQEILAVNYDKQILRQQGKLEIYKQFF >CAK60163 pep:novel supercontig:GCA_000165425.1:CT868007:63483:65179:-1 gene:GSPATT00030802001 transcript:CAK60163 MAAEGSLEWKYMPVTGVLVDQKSNKVIWELKSEDSQWPKDLFTVSISQSKEFMSDPELGW NWHKSWNLPDINYTLNFKKDDTTMRDFRGGILEVQIFVIKRGLITNQYISLGLRGNTKLA LFEPSITFSGLKFATTSYNNKYSKFNFIFVLSYSRKEEVLILDSQISSDVFVDSRNRTGH NSRDISQEMFLDLFPPQFIDQIFYKRENAKQNSKFSQIDKSLQGFINYYTSPNIRNKIKH PLFMVMKFPKCVKLFFGANFIKKSESVLMQVLSHLSQVKQQLSQSNEMKLLIKVENSNQN TLKKALDIIKQLENNYYEIYTQRANIPSDAVEITDIYSLTREYKRKYQHFIDHAEGKKQN ENFNGSKPQNAKLKQTQKGLDQVVFQDDPKQHLIQVTADRMQPLSGQIRQISKKIQLNHQ QHSQENQGNQAEQVKDEKEQENHAQNLLEKQVVQKDKIMKQGAAMNPNLSNNQFQAPEIF NQFSNLQYCNAYQQDYNQFLQCYHSNQQYGNFNLMYPYYYHQYQPKE >CAK60164 pep:novel supercontig:GCA_000165425.1:CT868007:65645:66904:1 gene:GSPATT00030803001 transcript:CAK60164 MNYINNQYQLIKKLSAGSYGIVYEGENIPTKTSVAIKIEKKDKPQTLEREVSSLSRLSKI AGIPQIYWNGTHNGQNVLVMQLLSKDLGCYLKEYRKFTLKTVLMIADQLIQILRNVHQKS ILHRDLKPENLMYHQRQIYIVDFGISKIYRDSTQKHIPFREGRPFVGTTRYAPIAAHKGH ELSRKDDLETLIYILVLFLKGVLPWQHQLSQNNKERQKLIGEKKIKLSSTEICQDLPIEF QKALDHIKSLGFSVEPDYDYLINLFRKLGQRHGFEYDNLFDWCKEEEVIAQAKIMQQSEK MQPFSVKCQSLQIPSTLECFEKKQSSISNILQNPMQISQSAVIVEINSDTNLSDDSTNYL YNNYQQLENFSNLYKNHLLIMKDK >CAK60165 pep:novel supercontig:GCA_000165425.1:CT868007:67172:67894:1 gene:GSPATT00030804001 transcript:CAK60165 MSEQITSSQVIEFFWFFFPFLLFVSAVLLKFYIKTSIHLDQSENRAKSYSQQSLQDRKSN EPKRLDHDGIQGPEVQIVGNDMHHQQMNMVDEGEKKQIQQSQQQFQQIPQLSTISPNLTQ NTRTAKVFDGLNELVSTYFPLLSVSIICINNFAAWSWALACVILFLIFVIAFNILQVQRN FSDTIKKFLFVSHHVWLSGLFISYLACKLKLSD >CAK60166 pep:novel supercontig:GCA_000165425.1:CT868007:68252:68757:1 gene:GSPATT00030805001 transcript:CAK60166 MGAQICPNAQNNSQNEITLQNKPKIHITKPPKNHKLQPIINLHFPDEIMDIQRERQYSIP QQSSQDQSTSKQEVNENKIEPLQQLERINLNPQDNQNEQQNDSRDDSNSALLEVKYKPIL KHPPSPRNCQNDGESQKSVKKVTFDKKQRVIYSNFRKPNQ >CAK60167 pep:novel supercontig:GCA_000165425.1:CT868007:69236:70219:1 gene:GSPATT00030806001 transcript:CAK60167 MIKEYQPKIFSKDQFRIRYKDLEEIYNEEMSPTQIELGYDDELQQTVVVKHIFKEQLFND IQRRQALMECQVHSQIKNDHLVELYDCFQNEEEYTLILEYMNNANYFRDKIETIISTEAK MKSYMSDVLEGLDYLHKQGYIHCDIKLENLFCEKLEDQVKLGDLGLVHVYDLNTGQGLMP VKCGTANYIAPEITNNAVVTPKIDIWSLGIILYTMSCGYKPTQIQGSYKYSQGPIPFRKF DWKKRSKELQNLITQMLEMDPNKRPSCQELMQHSWFEIDS >CAK60168 pep:novel supercontig:GCA_000165425.1:CT868007:70219:70674:-1 gene:GSPATT00030807001 transcript:CAK60168 MIKEKVILRSEVYHVYSSEQQERMKVYFDLSEKYQKCLEPCQKLVEGEICKKNCENIFND YTKLLQHRYEGDKKQLIDAKVSGLPSFDSFKRKDRGFFYKVFGFNFSEFWEPDQRQIKQI >CAK60169 pep:novel supercontig:GCA_000165425.1:CT868007:70827:72655:1 gene:GSPATT00030808001 transcript:CAK60169 MTQTINTDLLLRISTLNQEFKLLDTKTNFFIEQIQNALSIQKEENESSRYICDQNTKSVS ELKEHLDQQVGNLYKKYEEQVNDKIIEFERIQKQKEISEQNRIIELEQRFSQYDEIIKEL DKKWESKSNDRYIASIIQKNLLTNQNIGQFIVDKVLKSTEETVQNKMIEYSKLIDERITN QFHSIQNFQTQIRKYESKYEEFQNHLNIQKEFQVECQKQQQKKLQALQNQNTLFKEVLQQ QVHSIKDQQELLKTTINDLREQMVNQFQDVSYQKNCNNEQVMQILAQDQNTLKELERKQE QIIKNENQIKQKLEQMEFDYCQQHQGALNQIVTIDCEMKNIRSTVNGIHKTINTMSLNPQ QIQEAKQIEKPQEKFRPLLISKKIEKQFCLKDQIGQIETLFRHVQLLFDHICKTYRNEQK LESQKQKQKAELTRNNSNEQKNLSDNINNQQYVKQYFQYEKQQEQIKPDQKVDKLQYTMQ NPQNQLKINIQPSLQNNEDVFGDTRIYLNSSQRPLHIQGKFRPQAEMQYLSAKVKQISSL FCDSDFRQSLVENNLSFRPESGKNRLPRITSKSFHFSNDDE >CAK60170 pep:novel supercontig:GCA_000165425.1:CT868007:72656:73497:-1 gene:GSPATT00030809001 transcript:CAK60170 MQTVFKNALAKYRVVFLRHGESQWNKENRFTGWHDVTLSQKGVEEAKAAGQLLKKEGFQF HQVYTSVLTRAIQTYNYAAEEMGCHYLPVTKSWRLNERHYGALQGLNKSETAQKHGEDQV KIWRRSYDIPPPPLDPTDARNPANDRRYADVPKDALPLTECLKDTVVRVIPYWHDHIAKD ILAGKNVLVVAHGNSLRSIVKYLDNVSEKDILELNIPTSVPLVYEFDSNLKSLGSYYLGD QEEIRRKMEAVAKQGAKK >CAK60171 pep:novel supercontig:GCA_000165425.1:CT868007:73554:75755:-1 gene:GSPATT00030810001 transcript:CAK60171 MQEKISELLLHQIPGVCRLTKQCVDHMSGMLVEDTPQNAADVQELMGEYFRNGGKLTTQE INKICQKIFDALEKEQLIKKEQKHTLAAERLPDEVILSELDFYLEKDTEIIKFEDLFKEQ VATNTNEQIQKKDRKEKLRQKEDIKAQEAYEKHIKTIKDQKTHIPPARVRHSKADQDGKK LDIVIDKLSIIVGGRALLEDTSLQLIYGQKYGLVGRNGIGKTCLMNALARYEYENAEKFR HVQVLLVEQEISETDKNPVQLVLETDMERSELLEQKEKLESSEDLNAGIKLQEIYERLEV IEAHLAESKAIKILQGLGFTEDLMYRKTKHLSGGWRMRVSLARALFVQPDVLLLDEPTNH LDLDAVMWLEDYVINCRHTVIVVSHAREFLNVVCNQVIHFYDQKLTPYTGNYDQFEKGRA EKNTNQKKQFESQQKKLSHMQSFIDKFRYNAKRASLVQSRIKAIQKMDLIDEVLEDPSCV FIFPNPEKLRPPMLRIEEGYFEYQLGKPILKGLNFAVEMESRVAIVGANGVGKSTLLNLL TEQRRLTEGNYFRNPRLRISMFTQHHIEQLDLMKSPLEQLMTTFPGASGETYRSHLSSFG LNGNLQLRPQYLLSGGQKSRISFAMAVWNNPQILIMDEPTNHLDIDAVNALIIALNNFTG GLVIVSHDQYFVSTVCDQIWYIKEERLKKFNGDFDDYKRALSEGKLA >CAK60172 pep:novel supercontig:GCA_000165425.1:CT868007:75888:76517:-1 gene:GSPATT00030811001 transcript:CAK60172 MCVINFVQIQVFLFRDIFIKIGEFKLFSRNQTKQSLVIHPIHLQYPKNPIYKKRFFINYS MQSHQRFLIMNIIKDIKKCLISEQHDSFDILMQNNQVSYIFNFDLYTKSFPNIQVQLPFV PQEKISLYSHIYVYVLIIRLRIKEFRTYVLLNNIKQFNFIIENRIQNLLLKIVIPDNIVI SQKKRIIFFEFIGIKRLC >CAK60173 pep:novel supercontig:GCA_000165425.1:CT868007:76979:77655:-1 gene:GSPATT00030812001 transcript:CAK60173 MQLPSYKYETPFVLNNGITQQQIESPNITNTAQNNHLTEVDYGLEEKCNLGDDESQSRVN GHWTKQEHLSYLEFVKSHESILRSKYDKKSKKIFKLMSQFIPTRTATQCRSHHQKFNPLA KGKKKSRQINKQIPTVIHP >CAK60174 pep:novel supercontig:GCA_000165425.1:CT868007:79036:80604:1 gene:GSPATT00030813001 transcript:CAK60174 MSLQHLQTIKEERHSQENMDLAQNLLDKPKDREREADEDEQFLPDEQKDVSDEDVQDQQQ KQENFKSFVVGTGMGGFLFEETFGFDDKKQKNNNKQIKRLTLNTRITQIVGLNKLADDEE DDHQLYEKIPQYLAWGIIFYASFNNAMMPPWIMTIPAEKYLRIAWRFLLQGIFLIPFIMY EYRTGNEKVKSAYTSEFLLRWTHMRKVYMASLTTTVTFAVFLFCFDYTNISSVFVLGSQT NFWLSVFRKKENNHNIEGGGKIMCVVGYLLICLDSYMLDTDTIPDSAKSYINPLLYKRPI WMRIMIGNTIPFLASIILAELSKANQDLRTVFPPFLANFCVAFFVCLNMCMVSFFMDGTS INFDSRWGWFGLFQNGKFMGFFYMSTMLSIGVFISSILISKLFEPIVPATAALFEPVITA ILCDIATVQYYPSAIACFGYVFLLPGQFIIIVGQHILKKQQEQEQKQKIEQQQQIHASRA QQ >CAK60175 pep:novel supercontig:GCA_000165425.1:CT868007:80687:81223:1 gene:GSPATT00030814001 transcript:CAK60175 MFLFQKRLMNLSQSSQQPIRLKPKFYSQQKENMPVQRDLPKVNLINKLNEASKIPKPQPK QQVQITQKTISKDIWHKKSVSMKMRNANLKDGKKIVCGQLIKYKKGDQIYAFRCIKDTMK QCKLKDIQQDHDVDTDDEQIFIATKNMFTSLCQSIKRELFHNEDNQNENKIVLDDDLL >CAK60176 pep:novel supercontig:GCA_000165425.1:CT868007:81875:82434:1 gene:GSPATT00030815001 transcript:CAK60176 MKRIQYSRNKRMQTKIMAKPKYQSLIVLVFSEIMFLILSQEDHPLYERRFPLKKTTLVSQ QVLNAQFILHAALDVFDEKYKSSKELFLKEIDQKQDYRVYGYVTPSNIRFLVLTDQDEER VKIFCQLAHEQLIKILMNPLYQLGTQITSPSFESVIQQLLQNKLNQ >CAK60177 pep:novel supercontig:GCA_000165425.1:CT868007:82937:84493:1 gene:GSPATT00030816001 transcript:CAK60177 MKKKLSLSSKPLSYIQLDDKGRNSYCKSHCLKYEQFEYRNGNCYICCEQKECNQDNEPLS RAEIITLLNQILSQTISDEYKQQQKQKINSFREDVNKSIELYCDRVIQNLEKNCELQNQI NQKVKFILENVENQDINQLAYEILSTQQLDCVSAKIGVAAPLTQEFYRLLTKCETTLNCI HSLTQDDHKNGNNEYHVLKNQLDLVKMKNMQFLRQHEISIRSSKQCIKRIYQDIKNQQII AVSPGQELNNQITIIKPSQYSLFGQFETLKNAIPAELFCISPNGEFYAWGSNTKIQIYQL QTKIYKQINCHSTLDSIVFNIVNDFVISTQDQKINFWSLNDKNWEFNCSFNMLPERVHDL TFIEDGKKLICKSTSKLTILIKENQSWIIYQQIHQSFTTILWNQVYSMIITSNQKDQIQI WQRNKKGLFQLISTEWDPEYKRTNNEVNSLQANDDGSLISQCQNNKLKVWQVQEDGRLEV IFEQEVDENNIFITNDFMNLLAQNEKSLVWYQLINDEQ >CAK60178 pep:novel supercontig:GCA_000165425.1:CT868007:84988:86807:1 gene:GSPATT00030817001 transcript:CAK60178 MFLIIFVFVCQVIGEELLNLSTKTFFIESEVKGVKEVIQGSRQFITDFENAEFAINIELQ GNNSQPWDICFSQQGSIEFADYENHHTLIYNATHNPDEEHANDPSYYLSTPILVNMVKTN QGLMIATSDHTLLNYNITQDPQSIVGFKTQLLQEYDYDYLRSEATEAEVPQIVYAPIFEY VFLIYRDQILKGKIQPNMTLQNCSIGSGLENDNNFIGQIKILNNYMFVPLGRDGLDIYQI NKDGDISLKLSMNSFDFYNKDLTISLVDVVFSQSSTSSDTYAFILDSIHGVTKFLVKTNE QQITMDRDEKFGLVNVRSGLAIAVQPDDFLLILKEVGINQQLVEIGFKDEGWFEVKTHFL TGQYFDITISKQFVLLRGKSEHRIVRTGVYEEFEPEFKFYTQDDYFTEKANSFYEDYVFV PKLQNVQFYDSDFVTEGTPNSWSFQKSYPYLLGLTQHYIVELPYIIRNPQIYCNPRSELD VGNIYKYDILMNATSCPEKDKYLEENPTVPYQTIQCSYKNTFQVKVVLAQAKIYNTQELI AVIIGLIILLILLAFLLAYLYRKYKVKEEGLTSQVSGFDNQKGYDLEPNDAPATQGL >CAK60179 pep:novel supercontig:GCA_000165425.1:CT868007:87270:89084:1 gene:GSPATT00030818001 transcript:CAK60179 MQNIQINYGEVVYHIVCQREHLVQDIYYHLYVFTNAITLSTEIECPTPKYMVPINLENNI HWVRNNQRVLTQFGVEYKGTVKYFTAPEQELKMFKRIIKNRIMYREVSDFYQPLKLLGKG GSSKVYLVQEKDNSAEFASKCIEKRYLREDGGYTALFNEITIMSNLDNEQIVKLEEVYEG DNTFYLILEYLKGPSLHDLCNSRSTTQPLSWEQIKQIMWQLLKGVTHMHSLNIMHRDLKP ENIMFKEHGSVQGLRIVDFGLATNTNVEKYPFPKCGTPGYVAPEIANLKDLTLKYDKICD MFSVGCIFYKLITQKDLFPGIDYHEILKLNKKCYVNLDNLQMFKAPSSAVELISQMLNSN PSLRISAQKALEHLFFVQSPQADIRISFQTKKRLDPQNKLWQTQLFKNIKSDKIQLPEIP VKHRQQAKEDEVVEDEKVCINVPVMKSPRFQQQIKQKNINLIDSQPSTPRTKQIKKYSTQ DYDLSSDASSPDSARMRPTIVLKNVPQSSAKAQTPRARPSKQIILQRQLSNQPIEEVNEE EAKA >CAK60180 pep:novel supercontig:GCA_000165425.1:CT868007:89225:89819:-1 gene:GSPATT00030819001 transcript:CAK60180 MIKQKDHQFKFVLTGNLGVGKSSLIQRFAGDQFSESQSLSVDFRQRTLHLEDNNVKLQIW DTAGQERFRTITSAYYKGAEAIIMVYDVTQADTFEEIDKYWLPETKKYGEKNVQLLVIGN KNDLEEQKQVETAKAQEYCQSHNLQFAECSAKTADHVNNVLIQLSKNGIEKKKQKDAGN >CAK60181 pep:novel supercontig:GCA_000165425.1:CT868007:90032:91993:1 gene:GSPATT00030820001 transcript:CAK60181 MKQIEIDKESDQPTIQEETLLKTEQYLCPANRNHKLQSLDKLLSHLHTCKEVKLADKPRF VYYCQYYQGHCFLTTEDREKHERTCQWRIGLKKQPDPTANKYSIIQPLGKPINPQQQQKP VAYTDQQQRNYEYAIKKGLIKKKAYDEYIPEDGFEFNKDFKKVDELMKLQIQKNISDQNQ NNIQFTFKTHFFMVQPQNKQLIEFLIKRIPYNLYFRKHKFYREEEKNQIVQKFLMKEKKR EQRRQKGKPDIKYNMVNQIPNDILLSLLNFNTYEDYLDKQMDEFVNCKKMEEENVLTSTY LMTTGKKAIFILQIGRDFKDIFGRNINLLDFKAIFADFLAFSFKRDENMNKQIEPYLTQT SEHVESGKNQKQNQNIFEEEIDIQQQRLIGELKAKLEIQKQKNQEDKSQLERNQRELQEL IEKKKCLIQKESDIEQQIKRVNAQINSYHSKIKSYKQELELKNQEKIKILYDQKEKELNE FIDALNQQVNQYESSQQEIKDEIQSLKEEKQKLKSTINRLQPFKLQLERQLEDLQKQESS VPQQMTQSEYYTGNEFVFDISDKIKCKACKIRKINVVYIPCGDAALCKICHEKFEQLLPH VCPICEQFVKRVYHFMWENEG >CAK60182 pep:novel supercontig:GCA_000165425.1:CT868007:92028:94545:1 gene:GSPATT00030821001 transcript:CAK60182 MQTLNGSNLNRFYYFQLIFQLSNKHNSLTAQFTIQFCLQQTQYQLFLDSMTSNVCGSSQY SLFQGANTLKCLNIKDFCGSYSQCLQVNDQIGAMGYGCCREDYLYPRAANIIVYILIIPI IGIGSLGALGGRIVNQALGGVVKRPFLEAVLNFNSGLSGNITACLMFGAQLVNQIIITFQ KHPYHPQRPAVNYEVGMIYALAIPLSMQFGQELASYMPLLPVLTIQMMFFIVILPICLLY AKRQEVVEQELEEDYTDSHISMTTAFKGSIQDEAQAALVYKQFLDESHQILPLLPILIAI GSFAANEAVILSRTTLYQTSPYYPKRSSNQKLDPCDPWNFYMMIVLFAVNIFITGLTLLY FRYKEQIKDNVRYKLKERYFTPTRRFFKIYGAGCATGFIAGFLGMAAGLTMFVTMVQFGL VAASAGATANYGYFIVCLQVFVSFMVSDSGMPIGDQFFFYGIGILGVLIFTNLGYYYINK YKIGHILFYIDFAIVVLNIAGNIAWGVEQNHRQGFNSIVDINQSFYYQQYLLSFFRISMN QCLICFEDTEFSLNCQHYYCLKCLVNMIQVKLKALQLTSDDYKCPECKFKFSVEVFKNTE IYNDLIEYSLKHNCIENLNDDEMIVDCGHDDCNNKFIVSKNASKYCFHLEYSRCPVCKQI YCLNCRKPYESKCCQQAITGKCPRCKIKVFKEEGCNFLKCQSQYCKGQVYFCGICFLILK KEDHYSHFIDNNPYNACRIGKIKPNKQKCPGCLTLNPLQCQIIENLNQCYCKSNVCKESL YCLSCSKKIQKNEAHECKQCSIM >CAK60183 pep:novel supercontig:GCA_000165425.1:CT868007:94744:100300:-1 gene:GSPATT00030822001 transcript:CAK60183 MLKQLLVAWLLVSVSLQFKTSARTQITPLESILSIDPSGWTCESPSYKEQLGAQHDIDQW IDIVKHKEGFDGDLQVLAMVKALVDRVLLTVQHIQGGSFIQVKQLHHQISKHFKSLSQIE STSSWYDINDILGYLEVLNKAKSDEDKLQLAQTIATKISELIQQITEIQLQLNTIPIEID QLYDLATRVNEKTSQCKDQWASQLEADAKAEEEERRKEQEQEDARLRAEEEAKAAAEAAA EEERRKEEEARELEELKNRVDITPEEAELLEQQAEKELELAEELEHEAEQELDAAKSAEQ EAETQAEKDAREAQEAAEKAAESQQILENAQNKEEEACLDAEEAQRRLKEAQEAAEEARK RAEEAERLAEEAKKAKECEPVPEPESEEEEEVEEEEEFEWESEEEDGCGDDVLEDLIDTL IDAATGPSAYQPSCGYPGCQEQPIIIIVEDDEDEPKPQPQPEPKPEPQPEPEEEVIVREE PEEEVVVEPTPDDEKVEEQEETVEEIVEEIVEEIVEEIVEEIVEEIVEEIVEELVEEEED DDFGRLEFPDEPEPELEQLVYTLDKRTDSVVTQETSPWEKDVEDGPDSRIKTSVEYSYGL WTYFRYNGKVKIAEKKEVLAVGGLSGDDTNRLVTTIGEGFYNFQVFEGEVVTELQLDYGK YLDAEWIYVYFGYKEGKANGYIYWGRTQVIKSVTFKVTQPAIVKTIKFQSGLWKGFKGFN GVITNVRVVLNKNSFVETEEEMKNLIETKYTVPTDPELEYQEKQYYDKVEYDGLIDDPKF KWDLDGYREYSVSTWFRYVRRSERAHQVIFRFTSNEPEVGIIPHGLKALSLWQTEQNEYQ FSTYTVEEKDKFSDIDEKVVIAQESQQLWTYAYFGYNHGDFTYYMEWPDDKVEKQFKGWH VVAKYWALYLGQGHQFFFHGKTAYTTIRFGKGAWGSAIDFDDYKTGKIKLWPGVQLKTYD PKWLNHKTESEYDTLLHDEPDWVTDGVYEYGFGMWTKFFITNPQRIYEKPERILVGRLGF TDQDEAEFAVYIGRGNYEFWAGSGRPVNYGKNLDGYWNYIYFSYSKLKQIAVGYVNFNKL KQVQRTVFDPFDADPANNYVRFYVGQWKSTVYGFNGRIAHAVVRFGRGSFINEIAEYETW IEQYKVPVDEELGTKDYEIQGADNKDTETYNTFLYEDGAIETEQYSVYGWFKYYGSLDDT EAQTDSCKDANLLGDRTLSVIQKGGDMEIGTYDIGFIDTDFNLNRNTNVALGEYRGIWMY IWVGYSRQDEYAGWFFGFPDVSKGGLLKKVLHFSPKYLAVYFGKDGINKNFIGKSRHVHA CYGSTQCWHYVDKVEVEVDLPAWIPYKLNNYFEFYVQNDADALIYAKDDKPALDVEFTQT NFPGSDIEAIYEYGIGLWTRWLMNYPFILLEKAESHSIFRFTTNAQYEDAQKNGDRTVSA FVGRGEYKFSTYDAVLDKNEITTGTKFDKELEGYWNFVYFCYKRIPTGPKGIGYVYLTHQ NVVKRVEIDSAKHWLLRDYARLVIGKKEFGHSAFQGKLFDPRAFLGKNSYIDSSEDLLNV IVPKFRPYPPYKDKQDNEPVQVEKAKMTQRVFKSYEEKYSGVFEYSVYGFAKGNKLKNVT DWTSLVRVTQNTPDIQADNDNAGDRTLSIFIDKGVWYFSTYDYGDIETIDDDVGSIDKKF EIGKYWGKWTYFYFGYSFHLKQAYAYIRQIDQTANSYLFEEIYHFVPNYLSVFFSEDGYG KQFDGEAFDWVFGPEPREWPVDPAPPPDRILSALLANQGFNSGRIIKGGGTFLQVEGSVN LIQENEE >CAK60184 pep:novel supercontig:GCA_000165425.1:CT868007:101962:103511:1 gene:GSPATT00030823001 transcript:CAK60184 MKQPTCTDPLYSLFNGGLACSPNSCGQLSKCLPLNIQSDSGFGINIKGCCRKSMLDPNAL NIIIYILIIPVIGIGSLGALGGGTVKRPFLQAILNYDASIAGDITSCLMFSAQLVNMIFI FFQKYIMNQFSHPDVDERPIINYEIGLIYTLGIPISMCMGSELANFLPLLPLLSFQVLFF VVISPVLLYYAKKQDIIERSKETNNDLRNQSALLSLTEMKDQNQYSENQAKLYKIFYDEQ CQRFPLTPILITLFNFAINELILLMRSSPQQLSPYFFPSGNTNDTDNRDKEPCQPWNFYV VLLLIAVNMIITSLVYFFQRKKELLKDTINFYNHERYYNQFEKFFLIYTAGWATGFVAGF IGMAAGLMMVITMVQFKLIAAVAGATANYCYFLICLQVFTDLIVGNIQNSIISVGDQFFF YGLGIIAVLTFTNLGYYYLKKYKFGHIIFYIDFGIVILNICGNIAWGIENSSRYGFVALE QQPFTCTSYSN >CAK60185 pep:novel supercontig:GCA_000165425.1:CT868007:103529:105460:1 gene:GSPATT00030824001 transcript:CAK60185 MQFNKSQSAAQFRLQLATTTSRSNFNTKILSPSPSPKTSQTFRAKQTIELIQIQNNFPQR ELFFEIQRLWNENKIPEIHQKYYYFILTSNLACQEYLMKQEIESYKLHGSIIKTLNQLTI SREICLSEALAIQQSENIIEFVCLISNYIYDLRKITLNLIEYQRKWVNKLYIQYAYNYQW IYQGENYILKIKQDHKSLFQKNPVLRKFFHISETADDIFYMEIINQAYERDLEYFDYLTK HKLDQKYLKKIKELQTYIAVSFASKQSEFKNRTLIKTKTNFFRTKDMQSGQVTSRKGSIN KLQIELPPLPEYRSQEIYNSEDISFIIKEWPIKDIPQILSFWLSSNCEDFHKSFLQPSTY IQETLSYGLEAIMLQISDLGIMLASVEQDCNIRKWVIHLIVCKEQIFDKIIQHFIRMLIN YGDSFEQLGINFTLLECFEQNLKILNFYFSKIITIENLKVNYTQYVLDIKRTEQEQQSMS IIYDPICFIYARTLSRIKKLKLNSDEEIMECQFCANLGKSNLILFEEKTQESAKYLVKQK IYGSQFQHLQNVKDVQKVCQRFKSPVQGPVYLQYFNMNLQFLSFSSEIQKQTFQPYIRFP QVQFTSQQEIKSWFRGSITVAIVKQ >CAK60186 pep:novel supercontig:GCA_000165425.1:CT868007:105516:107405:1 gene:GSPATT00030825001 transcript:CAK60186 MYNINFETRYIFELKNDEITQDIQILVNEIFEKYDRKGLSQYNIWLPFFSCVGNRQNLTN CKLQSYFTSETFLEINFPRIGMNSVNYSFEGEKLINFPFIIGIVQSDLDYINKPIFSLLV QQEDIIKKCQPIQAAKKVEQDFEVLVMPINPKDIELRVKEIVRFSCQLTHDSFENDSQRL IDIMYYEQESCVLQFLVDNLVQGYCILYVDKNKINERLWIIEMISCKSECFFDIFLTQIV DLVFGHDKSASEILIAQNHYPQHNGQSMANKYISEAIKKVGFKWRIIENDYKTQLRRTIF TLKRSNSYQPRVEHNISIKFNSYYSLQQSEARNEETNTLSYLKTKAIFQFAEDCFHYNLH KPYERNQYIIDKIKNNLAQLPMTKFTEFKNEKEVVKYLDNLTSHPSIDPKHTQVMTQYTN LQLKWLRSREIILEGSKYIEVPNEQNFIYVSTFPQINCKIYYVKLFNPGFYFFGMEVAHN QIESIRDDYVRFTEVIYQEFHNKQPQTSCDLYIPQFQTCMTISQPLPNWSFINFSLTYNH TILQTIIKDLGNRTIRIQMPFLAGIIQEDLGLRYEKPVVAFVASDYID >CAK60187 pep:novel supercontig:GCA_000165425.1:CT868007:107476:108884:1 gene:GSPATT00030826001 transcript:CAK60187 MNYRSKNHFSSLNNLIFDINSIKKQKENTSLQKEHQFDVSPTQKKQIRLKKQEINYHSLV DLKQLTRSRNVVLPNYEPTRCSQNNNGLIKAYAANTNQGIIRNYNEDRVSIILNIIKPQH RSQETWPKCAFFGVYDGHGGSTCADFLRDNLHQYVTKQSEFPWNPVAAIKKGFQMAEKDF LAQALEQYGKGKQERSGSCALISLVVGDYCYVANVGDCRAILSQEKGKKSMELSVDHKPE IEYERIQKNGGKIYQTHLINENGIQIVGPYRVFPGRLSVSRTFGDIEAKLGQFGGNENVV IAEPDIQIFRITQENDFIVMGCDGIFDKMKSEEVIKKIWSELESQKNTHNLHGQISAAVD SVLKEVVLRKSSDNITLLIIAFNELVVQQQTPQSKINSISNQIELLQIFLKNKINDENQP EFNQKKQSPVSQLFHKAERKHLHLKENQETTVNRVRSSFNF >CAK60188 pep:novel supercontig:GCA_000165425.1:CT868007:108915:110241:-1 gene:GSPATT00030827001 transcript:CAK60188 MNPQIDFGKSALMLSLQVAAQASLYTKLQMERQHLFTSTVIDATDDFKQLCLIHLNFLRN QLYNPGLQPVLESQEEYNNRKSSLYSPLLKNRQFKSLEPSLDIYVQPVTAVQKNKYHPPQ YFSVHQSTKSVETLSQQLSQIKIDKQQDILMSEKIDRELDNVDPSIELSQIKDEHYLRDY SEVQQEQKKKQFQKYRKFEKNNQFSQAKSNWYEDADISYQITSHSDDFSVENSHNFYWDD EQEDDDDSEEFSIMDFQKQMIDIQPEIIEQQNENSLPFLIKTSESQIKIDHNTPKKKRLY CNNKKIPVWAQDLQVVQQKCGQQKQDPAHLFGILSQKAVDQAFLLSNSKQAENSMKWKKQ KEILNNQIQNLNSKSKESYRKLKKYQQNSSQKFNDYRSNFLFGQQVRKNFLNLFPKDAQA ITK >CAK60189 pep:novel supercontig:GCA_000165425.1:CT868007:110326:112497:-1 gene:GSPATT00030828001 transcript:CAK60189 MQLPLIWSSSIFILNLSRQIQNLEELMPQLANFSNLKELNLNGNRLSNLPDDLGLLDTVE FLCLTNNIFTDLGQVVEALQTMPNLIQLEISLSSKEEEQFIIESLPNLQILNSQKINQDQ EPVDETSEQQSQQPSERSMSAQQEITLQQYDLEQMAILYDNIREYKKDEQDDKLFDQQIR TIMLDLQSKVKQNNPDHLTNLYILTAKYNLYEICFKSILKYFKSNEKKLDLIFTKIHDMH LSIFNDMSNVIQSVKSSSKNTSQLQINQKQLDLSQQQQPDKSVENRLRQELKELQQINNE LENENKKYLDLLIKHSKGEKVSLPNSENTQKQSDAYQTQHFTKSLQQQNTQQYNNQIFSS KQSQQVNSIPQQVNVRNLTLKQLKDVINEIYESKQKFDQKCSDSKLPRETMEQHMYTFLN QKYGLKNLIIEWATSIINSLKKYSADDNDIAVFGKILRNECDEEFRFVQTQVKNTMQELL KMYLRGKHPLKHQAEIKEMLNQRINGQLYEEEAVDIIKYMYNQEDSELLLDKLKQYYIVP QKPNDRRLTREEQLMLLQEKDRYKLEYQVFQKIILDFQLKSHEKYLKKFIVIFKEMDTDL NGIIDENEFRNLIDVLNFDAEDLDIQRYLNIVDPYSHQQITFSQCVTLFSSESVPGSNGQ MQILQRISEL >CAK60190 pep:novel supercontig:GCA_000165425.1:CT868007:112743:114401:1 gene:GSPATT00030829001 transcript:CAK60190 MIPSCQKFVSSSRVIYNFAVANQTRYVSARYYNQSSSQLQYYQSLRIHKDKSVPSILNNL LINHMLKYDWVVDQGPKLFDLLYAICGTRLANFLVNHTIGKVFTAGENLESVERYLSSSN NKISYIIDYCSEAVEGIDDCEKFYDENSSIFRQTVLECAKKPEKKNMIAIKVSSLIDMNL LKQINKARLNIFEMFSKIGQGKSIITLEQVFSHLKEQGINMNENEQKQFIKGVLKFNKGE TEIDEITWRYRVQPIFMFETELNNNPAIKYMNNLNEKHLFLFEQFIERVKYFMDPALQNK VCVMVDAEQTYLQWAIDSFSEQMEAYYNQNQTLVYNTFQNYLKQTKDRVDFELAKANKFK LNIGIKMVRGAYMVEESKLASDQAKENPINDGYEATTAMIERNLEQLILNIRKSPTKVFV ASHNEKTVEFVKELMHRHSIPNQGGIYVLFAQLYGLSDHVTYQLASEGYRIYKYVPFGKT EIMIPYLMRRAQETKKVLQSSTLQTLLLIDELKYRFYLK >CAK60191 pep:novel supercontig:GCA_000165425.1:CT868007:114405:114739:-1 gene:GSPATT00030830001 transcript:CAK60191 MSERTLDFVDQRNQVRKLVTWPWNFVFGLQSFQTVQHIYNFTARTIFAASSLIPFLTTYN THQFAYAENSVRLTRYRNYHDDII >CAK60192 pep:novel supercontig:GCA_000165425.1:CT868007:114808:115861:1 gene:GSPATT00030831001 transcript:CAK60192 MGICALETKSDVNYQLEIQEINYQSTSNGKVHEVQNQLTLDENQAALKIQNSFRVKQAKQ EAEEKRNELLLDSPKDWVEYNQKFITPELPKQFKEQVKKSLTKEDRLLNPMQASDGSIYS GQWSRGMQNGYGQMMKSDRTYFEGTWAQGQFLMGGILFSNGDFFVSKQIDFQYQIGTATN GEKVFKNGITYDGEVQYGIPHGKGQEKHENEIIYVGNYLDGKKHGQGSLHYQDGSSYEGE HQHGLVTGKGTFRYSDGTYYSGQLLNGVMHGKGILIETDGTIYEGGFEDGKKEGRGILKF PDNSQFEGQFRMGKRHGKGKIIKKGGYVQDYEFKNGEQIYPK >CAK60193 pep:novel supercontig:GCA_000165425.1:CT868007:115890:117410:-1 gene:GSPATT00030832001 transcript:CAK60193 MGSTLLCPQHQKPITQLNITKGQEKRIFCTDCDTENQTLISFEEAMKLWQNLEGKAVQKF LNFKNTKVPQLDQLLSKFQQYREQALKFINSLIETTDDYRFQFQKAIDREINQIKALKED MDIKKLKEISEILSEKLTEEENENNHVGNLNLERVLIKEKELFSELKKNSLMIHLEIKKL IQQNQQEVKIRPVNFQQINSIKQQYDCYALAFNKAGNVVVSGSGKDIIVWKFEQDKLTQL QTLSGHNYNVNCLTFSQNQLFFVSGACDSQIMIWREQAKNNWKCDQTINEHANSICSLII SQNDDFIYSCSLDNSIKVWVKNLDNQFEQYQSLLGHTGSVYSISLNLKETQLISSSRDKS IIVWEIGEDKLWKKLQIIQNDDYGYRIAFISNVAFFWQRYGNGVAQIYLFDKQLLNYKIL KRINMKEQLTDGYYLCPSIFHKKQHLIISKHSKNFYILRKLQNNRFSLLQTIEFPSSTLF GAISVNADYLIVWNDKTKDIQIWKNQ >CAK60194 pep:novel supercontig:GCA_000165425.1:CT868007:117680:118276:-1 gene:GSPATT00030833001 transcript:CAK60194 MQEHIALTIEQLTNLLSSSEQTSNRNSLNSLSQKSQTHLRKHIPKIVSFVDIDEFQETSI SSGFQNSENQSIIDKLQELEDENKKLKETLKFYEKKECKWKDQMNDYQLEQIQLKRQNQV LQDRLKSIIQTKEQMTKSKKQIGSQRCNFTSPFFNQKPDQIKISINPNLQVRKHTAPSGL KNSSPHRMFQEKKIDKLT >CAK60195 pep:novel supercontig:GCA_000165425.1:CT868007:118835:120060:-1 gene:GSPATT00030834001 transcript:CAK60195 MNQNQRKFVRCFNCQIKPATIKCFDCNENEPTKLCYHCDSTLHPDQDHQKQIIPYDQMVS NEERTHSITPEKQQDVTPHLSSILRSRIRKNEENTNFTNNEEILNKNQLKSVELKFRIKF QEQENTINLLKQHIENIESKHKENLSKMRDQLIKAQDEALKKQEETANELIKVKKQYEDK IAQYVSQIESETQFNNSLQTKLDELRNTHQIKQQESQQIIAQLRAEIERRKFQEEQIRIE AENQVIQIKKESQQEIEKSISLLKNDCSTQVEEYKQKLTGKQEQVVSLQSQIQQLQGTLK DIEGVWQKKLQFEQQQYDELRQKYNQQGEECEILLKDVRNLQSEIMIYQKENELFQKEKL GLTKQLNQQLEKNEKMDRFIYGSRKSQIKIKI >CAK60196 pep:novel supercontig:GCA_000165425.1:CT868007:120455:123522:1 gene:GSPATT00030835001 transcript:CAK60196 MNKNLFFTSQFFWVIHVAQMIFDNLFTSNFKGISQIFLSSTCLTIDLQIPHPFRRVSIMT RKFRRVQKKNKFDQLYRSMIFQVSQNFKRNKYTNNIIIALNIYLLTAIFNLTDQQKLYSK RIMEERGLRSNEKVTKQLDYQTRKMISPQTDINLKKENSNPLDQNNSTYSLKGYERQAPY SSNQFEQNRQTGEQQKMTSNNNPYLKQSLYNNPEQGKPFIRSYQEGDLRKPLDQANFKQE LRQVNEREIASPYVNLENQKRDPVGARTPLDPRANMSAYTPQSRQDLYRRDVQQSDYKRE FQLPEYKRMYPVQDSRIPPRQINSFEMQQKPVDQQGDRMRYDQERIRTLGGQQEQPERMD RMIRASDYVRKSDNQDFLEQDKRPLERREYMERGGVEMAQHEQRNNTSKSQEYSQRSQNQ VRKYQEGSKTPIEGRMIERRGFDDQMQLQGRSYQQPNLQNHYVEGGKQQFYEARPPLDEQ RRMANPYDERRNLNPYETRPQFLDQRPPIERRPDRVEIEKRPDHPDFERRPVQNDFERRS DFERRPDRPDFEKRLDRPEFERRPDRPEFDRRPDRPEFERRPERPEFDRRPDIEGKSPYQ ARFGPEGRFDADQRLPPDFRYRQQGYNNERFQQQGIRQGVEGVQAQRVQFEDRPAYGPGG VQDEKQGMNYRKYSDGRAMLDGRAENRDAVEGRPGLLEGKQYQMDGRAGFNRTYGEDRYQ GTTIFEGRPGLEGRREQFCTRPPIQGRPFEERGNIEQRVPIESRNQFQRGYDDKFGDKYF EQKYPQQQDTIGRKDLGRPETGKQREEFDRRTTYGRSDIDDKMAEFGKRKEFDGRVRPET SFGRPNGVGQQPGERYYRRDYNDQEQRLSYHQDTSVIDRLGLERGTNRNIIGNQAAENML RGGQPMNRQYDRERSFNRLGMGERVASFTNSKMGYQRTAVQDKEEYQGYVRSKLMPKSLG NGATEFQRKQSQDQGFQKLQSPKSQIETRVGIYDIQRRDGRI >CAK60197 pep:novel supercontig:GCA_000165425.1:CT868007:124023:125103:-1 gene:GSPATT00030836001 transcript:CAK60197 MSLSALRATVSFLTLNSLLQIYENQPMEFWDSNQMISHPKLTPIFQSNQYLNLLAAFFTL TFIDTSNKLQLTNSIAVSTSVIIQNLNLFLPKTFNMISIYLQLIVLTYQLFRLFSSYYEK LQFDKIPQPHSSFQCQESIKELKIIKKQTQKKQISHKKKEKVQQKQIQSSQEPQIEKVEQ SDNTEVESIENDFTEFMKQPSNDQTITEIETDNLYEVNHADLSQISQVKEDSKLTFQLKF NGEFTNLNCTFETFDSTLNILNSSYTSEQIKQIKIHLLLNLYDENEDCSCRLWCLKKLLS YN >CAK60198 pep:novel supercontig:GCA_000165425.1:CT868007:125164:126465:-1 gene:GSPATT00030837001 transcript:CAK60198 MKLTLITLCLLVASFAQDLYFVSDPEALCLDGSLGSYYFAEGYGSGSDNYIFHFTGGAYD SLDSRIQRIVVVELSLQKTQLGSSKYNDPKITYDGFFSRSQSSNPDFYNWNIININYCDG TGHQGYRKDASVYNGKKLYFRGDRIVRSIINEFYERMVRGSTVIVSGCSAGGLAAYYWVD YFRGVLPLNVKVLGVPDSGIFIDMKSFDGTEGFKLSLFELLKLVNQEVSNPNTECVQSNP NELWKCFYAQYLLRYVNVPIFIVNSLYDSASIEGLLKISCASGNSLSDCSQKERKYIEEL HTNIQTVVSGRKSIFRDSGSFAPACLEHWYVIRIYISFLQTSYYQSSSWQVPAKSGFTIQ KSLRQWLVQFNVGNLDNHIDSVDWPSNQACSNA >CAK60199 pep:novel supercontig:GCA_000165425.1:CT868007:126465:126771:-1 gene:GSPATT00030838001 transcript:CAK60199 MDSTKDRVEGTRATVIEIIGRTGSRGGITQVKVQLVGQQRTLIRNVMGPVRKGDTLELME CEREARRLR >CAK60200 pep:novel supercontig:GCA_000165425.1:CT868007:126849:127554:-1 gene:GSPATT00030839001 transcript:CAK60200 MQKAFNIPQQQIVSNSNQTSTNRSQRSHSPNQRIVSNFRDSSRDQCSQISNQQIGEIEML KQKVKLLQNENERLRNQLDQANQKIKQFQNIEHGQINQSIFKTTMFELVVLQKVVEQLQQ MKLAFLKQQQQNEQNKLSQKELERYNQEGTLIVISELRSKLSIEKLDIEKESSNYEDKRQ QFEQGGRCEARRKAETSDPSDFRQQNSSISFIQSKGCGSNAYRSGK >CAK60201 pep:novel supercontig:GCA_000165425.1:CT868007:128020:128881:1 gene:GSPATT00030840001 transcript:CAK60201 MSERSVFASDYYELKHVKAIDYTHTSNFKKGRTILFHNRDEPQNDLNKCNLSCDCSECGQ LIGFSLKVHRELPLKETRNQKKRRIFRKFKAVGNAIIFILMYKMEAIKRMKKKMHLLKAV RNLTVRRPALAQQMQLLPVQQSIKIPQSHDEQLEETVSIHPFQPFQKGPRQNKISIYMQK MLKDVQPKKEIVLKPLNIVNNAFAKGNKKRHVKCNSESSLSQFNCQTQFKPFCETNRTQA TNFYQSNKKDILKLIDIMKVKHRVIRVKK >CAK60202 pep:novel supercontig:GCA_000165425.1:CT868007:129223:129606:1 gene:GSPATT00030841001 transcript:CAK60202 MHELALIVSDNLLTICDRGRSTNSKKEFKNQEEKIVRNCMQEYKVSGVTQNEYQKRIDSF RIINKKNYSQGKPQQILEYNISVSEVDKPKDKTEIIAMLQALKLQSIQLLQNIDFQL >CAK60203 pep:novel supercontig:GCA_000165425.1:CT868007:130171:131577:-1 gene:GSPATT00030842001 transcript:CAK60203 MNEVEISSKNDTEILRIINDYIKQGYQVFLQKRCTDKFLMQTEYITPGVLDIDNSQVVIQ NTLEEEEQQKVSEISKIENKQFEQSRDCSINDQCEKLAETIQKQHCLFSRDSLVFIPRQT ITDFNKILSPQVKEQNDETLMNLYQMLQKGIANEQEGQVELAIKQFTELIQEIEQMVEDL QTSNLLNVIYVEALLILGLLQSRISQYQQGKKNFEKCLRLFIIKDQILLAKIYLRVGQNC QQLFSYQKAIDYYVQALVIYEIYQLKIEISLTISYIGIVYAHLGNHDLAIKLGMKAIEEI KKIIKQDSFIMGQLYHAVGEIHYFNHDFEDAIEYFDAAYDIKIQYPKERLSQILTINYLG SSCYHLGEYKKAQELYEISLSQITEKSTLIEAQILNNLAMTKIAQNINAKNDLDRAISIY LIYFSETHPSVRRALRNLKLQK >CAK60204 pep:novel supercontig:GCA_000165425.1:CT868007:131990:133170:1 gene:GSPATT00030843001 transcript:CAK60204 MSHINKQPGIYYLVTCFQNESQGGAKNLYCYTNRNILEITWAWIILISGLIVLFKRYQKH KDITRFNRKQIIVTIMVINMCAYLSYQWSYESALLYFPEYYFQYIFYCYTIYYFGRKSII LGMLNMRMDVSSQDIDPIIQLKLSKLFCIGLCSFFTIIFIMNYVQYFFIVSDNNEYDLCS ASFFNVVTTVGFFMQGLFILQVKQLSRQVNKNLRAQKFDDPMMKLKEQIQKNQSSLWILV IFCFFGSFANFMQNVYFVSKNAKYKDNVGYFTCWYVNLSNAIWNNCLNALIGTTIKFFDF FLPYFLAIKTFWYNSNEQQLFNTSNSDQNEVEVYELQLSNNKSQQTPTQHIQEDQ >CAK60205 pep:novel supercontig:GCA_000165425.1:CT868007:133806:134446:1 gene:GSPATT00030844001 transcript:CAK60205 MNELQIFENYRCLYHQVQFLNSLIKQNDQEQKLNIHNHILNRVKQILNLKDQNYMRMQEI LTLNVINCLEDILKLESSEIRLLYSNIKILVEYLIYLNEFISQQAQQVLLGDVVIDIQLM NKQIEECIKKCNLINTKHKHFFEEYNELQEIISKTTNNINESSQLLIAIIEEIKEQHLIN EVQRKCQSKNEDTIKNLELQLLE >CAK60206 pep:novel supercontig:GCA_000165425.1:CT868007:134455:134913:-1 gene:GSPATT00030845001 transcript:CAK60206 MSEPSNFFVGKYNFSRRVLNHRVPIDFDHGITQNPFDFVWRSSFRNLLVSNLIRNMSMWY CGAAFVVGIAINEFQYYRRQGHAFTTPKTQPYLNYVTNSRNKVANLGRWNGNFACWENEP LCGRDFE >CAK60207 pep:novel supercontig:GCA_000165425.1:CT868007:135210:135905:-1 gene:GSPATT00030846001 transcript:CAK60207 MKRSQRRLSKCVGEDMQSDSSKQESDSEFIITETSESEKLKSKSIWSLKGLSFQIKTLVK DLKSTNYKILANILIEKLQNELQKMQSTERRKEIQNLKRRVYDAINVMVAMGVLEKDKKK QISFHEQKDKEEVNKQKEQVRTNLEILKEKRKRLTKATLTYMMYKKLIQRNQQMKMEPES KLETPVFAFSVQLFDNDLCMQQKNGKKIIIKSQQPIYIYSELEVLQKLQLK >CAK60208 pep:novel supercontig:GCA_000165425.1:CT868007:135952:137389:1 gene:GSPATT00030847001 transcript:CAK60208 MDNQLNRSNTVNIDISSYKIASTIDTIKETQLERKRNRSKRRLHAASFHVGKDVQNNQEK GEKQRQEDHSLDQIRRNYVQKMKAFPKIDAYKHLKGYLQLQDQEVKRIKNNTNQLSFGTL YPQNEQEENQLSSFLVGTSPIRRNYSNNRYVSESPARFLHNQAIPNLDEYLKDRRARKLI RQTITKKEQLEQQIQDLENKKFKLHEKTQDPRNLSLNELIEKQVHKYIPYKFDARVDTRK LNTEKIIKSSEEVPMTMLKEKLLTDNIKTNGEMFSSINPLQLQLYSITDKILQFHKSKCN FFNIKEKYNSDMIKSLQKSDHNRRQTLFHKHMVYHQEQQYASSQKDLFYVQQELMSNLNQ RINYNENLTKKFQKLITILRDGQIELEEDDLSILNDLRYIILKGRNLSDEDFLELLRLRN FTISLSCLELIVNHLFPNSKNDIIKEYNENHC >CAK60209 pep:novel supercontig:GCA_000165425.1:CT868007:137416:138909:-1 gene:GSPATT00030848001 transcript:CAK60209 MDKYTRIKMIGKGNFGDVWLVEDNKGQKFALKLIDLQFQSVDPTNEVTLLKVLKHPNIIK YYSSFVQNDQLCILMEFAENYDLQIYTKSNPSNILNWFTQLCQAVQYLHSMNIVHKDIKM KNVFLTKDGIIKLGDFSISKKLDASLNLTQLDSQGTPYYLSPEICESKPYNTKSDIWGLG CLLYELCTKQKPFQGESLPEVFKNIITSETPKLPEGFPQFYQDIINQCLQKNPQDRPEIS QLLEIPEIKKERLKFQQLYKQRLIGMLKQIDSAQSDSVQTNIKQLHKPIFTPQNKSQSLL FKNLFSEQIQSNIKKPMTKKIIKIDTDLIEKDDQQQQQNETPTQFAKQLFNPKTPTSPNR NLLLADFLKKKLGEQKFLDVRQILEESQNPIQLLDQREIMANLMGEENLECVKIFKILIS NCTTLPGNHFRQMNNYQFLRDKVSSQPDLDQNIKTNNF >CAK60210 pep:novel supercontig:GCA_000165425.1:CT868007:139124:140443:1 gene:GSPATT00030849001 transcript:CAK60210 MILFIFYFISANASITYDQGYYNGFSLPISSTFICKDNFQQTATVTFNQPFNNIPQVFLG LEFLDFDKGIEYKLSITTITTTHFEVLIECITSIQVFSVEFSWYAIDDKRIQVINNFNMV PPGVNVFNHINPNINFGIVSITSLGIDGDIDFQLAVSSVNQSTVSVSITQVAGNINNLKQ IGYQVILGIPEAFLGSKNNPLTTAFNSGTLTQQSNRWLFLSFTGFKMSSALKQKVTRNPS PLSYFVTSIDVGFVSCNHQISWLAYQFTTFYKPFECQSVRLSQSNDDQASTKPSIQIYIS ELNLTLDQPQNKLIFPQITQLNLQVYVKCQVQKKILSQFLRCYECNTNKQHKLWNYCNQQ IDVVTYFLKYQTTQQVFKELSINITSDSITIIQVLYNQAEIQQVILEILIQDM >CAK60211 pep:novel supercontig:GCA_000165425.1:CT868007:140581:145558:-1 gene:GSPATT00030850001 transcript:CAK60211 MRSLMRNEVNKKLNNQLKQVTSQLNRAKKQIETLNEMNIIFKKNPPENPELIDMIKELEI NYEEMLQKKDQEITNLKIRNSSYDYSHSQELSDQKSELLRMQLENQFLNEKIDSILEENE KLKQQLKSSHSQQSSIKSQPQYRLVTKVVQQEPFSAFTDYQFLGKNNIQNSQNRSDSPQR TSNQNSQEFMQALKKIEKIQQDGQFTPEKVLSQIRLSATLLHLKRMELPNDLNDTYVKYL NQIEESDAKSEDKSDLASTVKPKKLFSKNEENDTQQKQIRSQSTKSNNQKPLCSNKKNQF VQVDFLDKKQLDKTQNDLENLQNEFQQLQKQINQKPTNESHQKNITQSDIKNSLESDELL KQFQKDNISKLKDSLNRQSDYSFKDLQAQSNQDKSTIQQLKRQVENLEEQLQYLKVQNQR QSYLIKQLQDKNNKDREKSDEFQMIIKEENENLNSTILTLQKELNNLKKGQLKICQNESI QTENLSVKITQESQTNQSQFQQDKDQQVNLSSQFDKQKMNESDSQNMFIQELDEQIQKLK QDNQDLLENSQQQELLKQEINSLKQVNVITNQAIQDLQLQNDKLQKDLDIYKCAILNQNQ NEDNQLCIKVQKMDQEIQMSQKEIEIKKNNQEMPLELQEQINLLHNLLEQSKLELQQKQN DLDQLQCDFENLTKEHNQLQQKNDDLQQIFKEQESEYQTQLSLIKLRLSALEEQQVKEHS RSISISENGEQLKETNKQPDFNLEDNKNNDLEIQIRRLLDEKAVLYDQMQKQQQDFKSQI KILSEDFEFQKLQLNEKIKELESKIVLYVDGDQKLMQENQNLANQVQNLARQQNKIQSQK KLQSATSSPRVQIENQQQIQIIKDLENEISQLRNNQEQMQLESNNIKELFNQQQNENQEL KHEVLTLQTENSFEKQENQRLKQQIISNRDQLLMLNKQLDLNKKNNKKEIDYLNSQIDQY KFQLTSSYSKISSKITKDQSTQINGQIYVIDQSLLDEKENIIHNLKKSLQSKDHELDQTI QNLLITRQELFKANDQLLKLRTILRSQEGLQTQVNQSRQYNDCALYPQRQNRIISIKENT RISTSEQRHKNHSLDKLESASFWKRKYEEVLQQSYINSQFNSQNTQYKIKFEELQLNCQS HLKDLENQKDQNRQLLLMIETKLSNNNNSNQFNKYEQEIQTQRKQLEKLNLELDDQKILC KRYQKEFQDLQQKQLQARPVQIVETNKEDIENYVNQIFNAKQENLELVEINQNQQNQILD LKKQLKENNDQLIILKQQYYQSTQLLDELKFANSQLIQENQQLLLFKSDQEKQILLLTKS EQDQQYKLSYSISQKKFERSKDFNFNRSEIMHNLDVIRQELKVYQSKCQIQEFEISQLKL QLQQPIPQQKLISPNKYKEQYEYLNEILKELEITKLQNENLRKQNTELFDYQSKLIYEND NLKRLNINSPLSSPRIQYQSRNSQQRDERITQNENLNQQIDQLYQRINTARISEIEDLKH ELDILKRQVLSSSTQVKEAESLSLQARVLTLENQLQSSQNQIENLKEIIQENQELKQQIR SLKESNQKIQQMFTNNQKIQQSDLSQTERLNRELSEKISELTQLKIKYKQALTIMQKMEN RQMQ >CAK60212 pep:novel supercontig:GCA_000165425.1:CT868007:146467:147692:1 gene:GSPATT00030851001 transcript:CAK60212 MGSDLHYGKQRVEFFTESQDHYERWQSALKRYCILTKFNKKYKLICKHKLNEPYPSCTYK AQRNSDGLFFQIRIIEKSQLDDPGLVLNDIAILRRVDHVYVQRLQEVYEDQVYLYIVYDQ YAGREQKFLLPQLLQTSEKVVAELLYKLLLGLHHIHSKGVFHRDIKFDNIFNRFPDCITD CCLVNFSSADFHENKMHRRIGTPGFMAPEIFKTKQYDQQIDVFSLGVIFYYIVFGKMPFG TDLNEVLSKNEQGDIDYPEFCRISISGLQLMKLMLQKDPQKRCTSFQALNHHWFINLKIR DLLGKPSVMMNQMKMGSGLNLSTILEKSDMIDNSNMSIAITPRGVIKKSQMSRSKSTDIN ILDQEFIYETVADKMKCLSNTIPQPSRIKHKQNKLQMQK >CAK60213 pep:novel supercontig:GCA_000165425.1:CT868007:147785:148233:-1 gene:GSPATT00030852001 transcript:CAK60213 MDRFEEDFFQQSFNFELQNETLQFAEISSENLCLRASSENNSIEICKNSQMESIIECQSQ VVAENYSNYRKNEQSQLQKTNKKSNFFISPLKGIQKCLNPMSLYSKKVRFRSSDKMTGKR KPKKYENTAIRNQKNKYF >CAK60214 pep:novel supercontig:GCA_000165425.1:CT868007:148864:149989:1 gene:GSPATT00030853001 transcript:CAK60214 MNSNVLQEETAKLIQVQDVEGIPEVIDYGILVFKHRYFLATQTLGPSFSQIMKINGQLSL KNVLLIGIQLIKLLQKLHDKKFILSNIIPCNFCFGAELEDKLIYLKDLTFLQSKECNIKL LQFPFKECNFISPVLNIHKGPSQIDDLYSLAYLLIYLINGTLPWQQIDQLISEKQFQELQ KYKLKVTFDQQFLDQQSSVFGEWFKYISTLKQSQHPNYNYLKNILISKIYENGWKLNDQI EYKNEQAIHSSKSIVSIKSRSRGTSITKTPNQRMIETLSPILEVDKEFELAQSAQKQNEE LFIFQKFQNVRKLIEDQQQTENNLSFTSIGQNECEMWKKLEKLENLSRPIYLMNKFEKI >CAK60215 pep:novel supercontig:GCA_000165425.1:CT868007:150110:151424:-1 gene:GSPATT00030854001 transcript:CAK60215 MSKKDKDYTGFPGDCNQTQLKALSDFRNIINHMGLSEKIYDDPYLLRFLRARKFDLGKTQ QMFNDFIKWRKENDVDNIMTYMFDELPQVRTHYPHGYHKTDKMGRPIYIERIGMLQLNKL FEVTTEQRLIKYYIQSYELLLKRIFPACSQAKGTKIEQSFTILDLKGGSMKMVSKQVYNF IQLASNIGQNNYPEILGKMYIVNVPVMFSGIWAMVKIWLDEKTKNKITILGSSYKDELLK HIDIDNLPDFLGGNSKCENTDALSLNIGPWNPDGTKPLFPVESPPQIGEEFQKELEQTQE DEDQQQQLDQLKNALADMKLAAPVEKSPHNPNKYEVTTQNNHIVSDTPLNTEVGEEDNQF SQQQQQQLYQQLQQE >CAK60216 pep:novel supercontig:GCA_000165425.1:CT868007:151852:153840:1 gene:GSPATT00030855001 transcript:CAK60216 MNKFIRKNSLNQQSLKEQETKRLKQFILQIEQQPSLYDSLTEEQIFGILCIILTKSSYHR TQSEIEILKKATKHIVYFQKLLEKDQGVLLWERCLRKMSYTYLSYGQTLFHEGDVGTTFY IILQGRVSIHKRILVQDDFQDKELIQLQDGQAFGELALENNEPRSASVKAILPTHLAVLE AEDYMVIKKTVINQQRQMYFEEFAKLTIFKSWKFMSIKSLFDVIKQNKYGLNHIIYKEGD PSNDVYFISTGQFKVIKTLRIKKKVQDNQIEDDLELLKDYFAYTKPVLSNQDKIDMLYQK KKYGNLIAGDKKSIMTLKFVGAGEMFGELEILKSNDLCRQFSYVSTFESNTVYSVSKRDF LRVLQNDQPLYESLNVLNDDKLKQALGQIKAYEKNFIDQTEKQNILQRTQIKEQLNPKLL TDDDIEKNVLVKNQSLLCKISSKKKSIDKKIRELTLTLEPPKNESDSTTLLSQLYLSERH RRQKTEQQIVLISKKTPLMKKRSFETRIKCVNTTQPQDIPKLNVFICTVITKLFKNQQLK TEKTEEDLLNYKLQKSNYNEIKKNLEILSDSPRLIQKKTGSESTLSKRIHSTTSRQQTSP VTSRFKQIDKITPISFKTQLPFVLQNKYFGLTSKEQ >CAK60217 pep:novel supercontig:GCA_000165425.1:CT868007:153912:154277:-1 gene:GSPATT00030856001 transcript:CAK60217 MRRCDSLKNESKNLMQEIRCRSFSLAQNKGKNQLMKENIQLHNYLETQILSTAKLNLRSA KYVKKNTISSYLQNPAYYNKLLSSVTKKRMSLQSIASKQFTIKQEKSMWKIVNQSDFHGF K >CAK60218 pep:novel supercontig:GCA_000165425.1:CT868007:155119:155534:-1 gene:GSPATT00030857001 transcript:CAK60218 MNKAIEHEGEFNEQDEEEESQTLENNITKQSIIDIEQIKNFEDFKLVLVQLDEQRILTLR QQSEESMRKYWMILEFIKKEKQQDEIQYIQKIFSMVPQYHAKLQQISNLKASIRGQLDKI KRKKKID >CAK60219 pep:novel supercontig:GCA_000165425.1:CT868007:157213:157722:1 gene:GSPATT00030858001 transcript:CAK60219 MSQTDSTKTSGQKVKKQLISPLKEALSQLKKSYKFFIPNEQDVILVHVDLQCHIELLEAT SRALKLVPKPNPVDYNPNNYDIYVAKKNGHPKMDFPSYQTNLRLEETGNFVFSLVHVTYQ EKNVRKSIRKSTYDLSHYQSPTKPSKSKEINLNILKPETNWLLRFLGCA >CAK60220 pep:novel supercontig:GCA_000165425.1:CT868007:158687:162829:1 gene:GSPATT00030859001 transcript:CAK60220 MKNLENQYKQEYPNLISCFKRNTYQLPLIKADLQDKIDEQFDQVQIENEYTIDTNLQIEQ AEIFKCFNPEALNEALFQNATILRKFGRIRSLISRGQYLAVGSNLGAVLNFYIGADPPNK SYTEMKSQLLYAAEICQTEHGSVNQLSFNLSQSQLAVGFDNGVIGIYDLINMKHLKWVKV HQIRIVSLGYVNENTFVSGDEQGNCFITRCAKGRIFYDLDSQFIVKSQPMSIIRSIPVKC KPLFNFPEYTIVALGNGYKCWVLRIQDSNVTQFTILADFQNDAPENNQCILEWDILKLED KDRLVLGISWTNQTKSFIFQGSEYVEGKIRANFKLLKEIKMPANFIYMNSIYNDLVLLLT EKGAVYTSNFTNYKTQKIMQMQQFEPLPLMYWKDNKYESQFNVCISNNEILQEIYILTSQ NVTVLKYYKFEDKIRHFMENQQFLLCFQLILCIHKKVFMEFDRSKLLLFVQQIIDLYSKQ IAKLQISGKTEEAQKRGISLVKFIIDIGAEQYLNSICDLLSKTLNDDSKFFLSIVESFII NKQFKFIPDEILLKLISLLKGQKAKVFLLLNQLDLNRVNYSIYLQACLESQLFSPMIYLC THSAKNEFLTPLFQIWNYSMKQSQLENFEEEILSVRKVISFIEYILQGKLQDGQQIPIVT LKSTIDMMIVWIFEENNLRRMIWVDPYGAFYILYQIMSTTKLYQIELEHSKNMNVIQKVM KMFMNPTYRWKFQQQEFEVQQQFNDISGYENYDVQTAYSFFIIKLIFILKFEVEFDIMMD ILMFCTFNKSFLFYIDYRSYAEVIDKNELAQLEQHQSHSEIIEYFQQRLMLKLVDILVNK IQTPKHKEQIEILNGFTDLKQIPLIQAYLYYYLGEYQTPVSIYLRSNNILVQKQVFKYLY DTLILMHGKETYAQLNGIIVSKLQDLLHLDIQRTRELICQFQKDNELLVIEKLGQNKKLQ LKYIETVINKDMNSEFGLSNILLIKHIELLCELEPERVLIQLQKIHYPLEEVLEICKKFQ NIESSAYVYCRLGFIGDAVQLQIVILKNLISKVVAKQRNVDNVEQREYDEIEKKMNEISE ICQFHSDNPEKSWYYFLDNLIELNNQIAEVKLNKILVKKIGQLFEDISTHTPLDLFEQQL GTKYSSINIKEYKGNFIKMVNTFSYQHYFIQNAKFMIQRFFNLESQNFLRSHLQGYFTMN YCDICNEQIENKGYKYLCGHFIHVECKQKDHQICSKCLQSERFFLEYTSQKQKLKISRMK NDEQIKQADPKHLNPQTQRQKDEKQETIMNNSAKLSLFDIKRDQKYDLRMEQDINKLMRE NI >CAK60221 pep:novel supercontig:GCA_000165425.1:CT868007:162866:163827:1 gene:GSPATT00030860001 transcript:CAK60221 MADRKCVGKKYEYCLNDKLGSGTFAEVVKGKNKLTDEVVAIKVIKRSILEKYGDDILQQI QLEVKILKELANLSKQTKCPFINRIYEFLETANNFYIVLEYCNQGTLYDQIKKVKKIEEK EGIFILFQLLQALSLLANNNIAHRDIKPENVLIKDGVYKLGDFGFASQKSLYQTHLGTYP YMAPEFFNSDSYNSKVDIWALGLLTHEILFKELYYIGKSQYDIQQKILTKPYLLNDQKYK ISEQLKNLLCKMVNKDQNARISAQEALADPIFDFCKHDPRYLNIIEAEQLNWNLVNQDEK S >CAK60222 pep:novel supercontig:GCA_000165425.1:CT868007:163852:164626:1 gene:GSPATT00030861001 transcript:CAK60222 MILLLVGKKQKEEEELWKREKVKLIQKKNQFIQNGIASIKEGILDKTAGITLMVQLADYL YDNIQQVCRFEILYILKQATILMQQLRDRLEQKAILGKHDHIKIEFGSEIWMHFYEDTTV YQLIDDLENQKNKMKKKYIDYVKQFNNFAYQNLQDNYQNIESIANLNLSKLIDSQLYQDQ LFLKMQFLKQDSLKTQDENIKNQIQKCILWMYVVYDYQKLCSGQLLNIQKFIKGIINNDL DEMKSCLIFN >CAK60223 pep:novel supercontig:GCA_000165425.1:CT868007:164665:165829:-1 gene:GSPATT00030862001 transcript:CAK60223 MHYFKDHPTDQELIVNNLRLLNYDIKEANTTFRLNIEQLTIENMKAHPKLTISIIHFFVS KLFDDDSFINSFKTLYPPRTQIEQSVYKQEIAKFLKNSNKIPKNFLITKVMMDQRIFIFL RYISEFALRAQFLNLFPTKQLKTFYLDPKRLLIEDRPCINDCNDMFIISNYQFENLQNLN IPIQIIKILTGLIGHQILLQVKKLKKLLKENCLFIDSILPTIDQVSSQLKSERDFSLKLI QQLCKLIYYLRKLKERKLDRKPQLDQSYKVFNGIQNIIKQFQEQKMDINMNQIQSTIRLD KFCEEDNLNKLHEIHNNKISNLTKQVEKIEKETWVKDRGNKCISQSEDTLNKIKELKRLV QSKKTNNN >CAK60224 pep:novel supercontig:GCA_000165425.1:CT868007:165892:166419:-1 gene:GSPATT00030863001 transcript:CAK60224 MDSKIKITTLANENLYVDKSIILESPILKEAYDKSENGEIIIDFPFDILEIVFAFYENHK HEKEIEIKAPLNKQSNFQNFIDKKDYQMLEKLCLNKQQQLIQTCNHLQYNQLMKITCASL ANKLQYMDTGAIRQMFNIQQDQSKEQLERISMENKWLKE >CAK60225 pep:novel supercontig:GCA_000165425.1:CT868007:166501:167765:-1 gene:GSPATT00030864001 transcript:CAK60225 MSNSDSPLCLTPTDKKKVNVKLKVPDELLNYTPLEQISIPKNPKIRSSFFNFLSGNINEK EFVKFKCFPSHQDQGVQVITKTRNRHQDFTLHLKKQAAIDKARQRWHKLAEVIRQNIQLR KQMDTNYQSKIISRIELGLKIQNQQAHQSIITDDLKNHQLRTMTIIKEKQQKQNQSCFIS PKHYHYSLQGYLQNQSDEYIYIYHQNLPNTAKLTDQQYNQLLLTYLNKKQLFEQFQEETK QRKIIRWKPSKSQSTFYNSSLSSKSFGLNVNKPMQRLSNPLQSQQQQRQKEYHLINKINE IANPRISNSVKTKKFFRKQQIHSKLNGVVKHNRIFSFNQVDKEEDDILDLSNASITEALL DQLYLSSQKLQTKLKQQPSVQKKVRDILRLQEMKANTINANYQKLNKYQQQPQ >CAK60226 pep:novel supercontig:GCA_000165425.1:CT868007:168028:168355:-1 gene:GSPATT00030865001 transcript:CAK60226 MKLINQNESIDSDSNDTIPQQEQPQALTHPNFLAQIAAQNCILIHNSQESTGVLLLNRRV NVNQRLLNMKRRRISKKKSQSRNELLKLIVEQDLNDLFDI >CAK60227 pep:novel supercontig:GCA_000165425.1:CT868007:168772:169264:-1 gene:GSPATT00030866001 transcript:CAK60227 MNNKLTLYEEENNTISDLFDLDDYAFSQTHRYNSYDNTNQKIQKFQIFNPQHQATLGFIK LDGKITQDIPPQISDKPIKKQKQTSKNAPICHLCSKSFESHKALGGHLSRAHPNQSEKFK TRIAKADGRKHELLRTRQLQAIALSDLKLL >CAK60228 pep:novel supercontig:GCA_000165425.1:CT868007:169685:171273:1 gene:GSPATT00030867001 transcript:CAK60228 MSEIFEHSTLIEHLFAGRQPKQARIEKKTTLEQYLSANQDTMESQEEAEENQSSIKFLPD TTDILGDQDAFYLIQKLFSFLKCSNVNETQIGYFGKALNLISKNYCIEFWNFSKICGSII SDIISHLKFKQIQEFFAKVIIMDHPSRKNFSHHFKQRKDLVQGLFECLLEWEDSLNFLES VSEFLNCIFQFGGHLYEHINEMLDLIIKPAFYYEIAYKSKESAVYKLYYHSICFLGILNT QLLTQQNVQQYLQIDNVLKQITQLNTQIPSFQLAIQSNLANLELFQNHQYENYKYLFGNN NLIVVKSIDVIIRFHNQELIDLLFQHKVLDYLLVSTCSIILQHLTSLFHLNNQLHFAVFS IFNYLIIQDVHRILTQTQLLEFLNVKLTQSKNNSQQGSMGSLKKIGYLLLPSLANDELNQ PLKTRLLEFKQQEESFLLNVDPKDCKEIPPVSLSIDRQIEMMLDEKNNNNNQNSNQHPN >CAK60229 pep:novel supercontig:GCA_000165425.1:CT868007:171337:171917:1 gene:GSPATT00030868001 transcript:CAK60229 MLYQREPEEILEPSKPKVENRLLKYGKKYEEKRMKLKESIYKEIMQDRPFIPNVNSQKRI NQSVVDRLYESPHCGNNCGQIEKLVKKSSVRYPLQEIVRPISSQNPYLNIPSQQSPLKQS QSQFSQQGKFLENTFSHSQKIKPFRQSSAIKFNNNSIHKQNSQNSIIQISMDIFQRKKRS QNIC >CAK60230 pep:novel supercontig:GCA_000165425.1:CT868007:171946:172369:-1 gene:GSPATT00030869001 transcript:CAK60230 MKVLFVLVIFITIQCEMLLVSQDDKFSDDDNDFVGGVFPTTDSNSDTYNKALQYAQEHYA ESCKLSDLQWESLDGSKNQMVQGMLYYFNVTLKGKERQEKYEIQVWIQANQEQSAEITQC KQL >CAK60231 pep:novel supercontig:GCA_000165425.1:CT868007:172389:173642:-1 gene:GSPATT00030870001 transcript:CAK60231 MQHLSIYTFTNIVKFLQVEEIYSKLTLSNSLMQIAIHLIISIYLYNPYVQKVILSNYFPI EIIEQIQPQNYSQCIKSLKGGQLKEIPFWGIETNGGLANNSLRFWIGKTFMKTSEPQVGL RKFENAFISGTLSFNQVQFKKALLEYAQKFIEVMGQEITNHFFPDWYDINNNLIEISQIK IFDIFRSFSIFQFYENAQDWKCVQYLRDYAYQKHEQIDNMLEVDPYHLKDEEYEIIPSAI ALVKEIEINRGTQLTQTARSLLIFTSTEQCIPNHPNEIYQCQTQEKLYQFILNHPQFTPS QTNIKLLLNIDPNIVYEDNHQLNYLYCTFEPKNDKQPLIWVEFVNENVDGIYLKLDKKTQ RLAKSIQVRVLDVCFQEDPQFLGFGLAYVSVKGLTLNQQDWTVIQNLPD >CAK60232 pep:novel supercontig:GCA_000165425.1:CT868007:173691:174875:-1 gene:GSPATT00030871001 transcript:CAK60232 MIQKKVLSSSDESSEDEHVEDLMDKQKLVQAKANKPTRTSVSAEVYGQFNKKGQFQPKKV NKSEDAIKRIKQRMSQAFMFSALDEHELAIVIDAMEEKKFKAGDFVIKQGDDGDVLYVVD QGQLDCFKVFKKGEPEKHLKVYQPGESFGELALLYNVPRAATIKAKTEAICFSLDRETFN HIVKDAAAKKREKYEEFLSKVELLKDMDPYERLQIADALKVQKFNKSDYIIRQGEQGNTF YFIQKGDCIATKTENGTEKEVYSYKVGDYFGELALIKHEPRAANIVAQSEVIVVYLDSDS FRRLIGPVDEILKRNMSRYEKYVKK >CAK60233 pep:novel supercontig:GCA_000165425.1:CT868007:174954:176206:-1 gene:GSPATT00030872001 transcript:CAK60233 MFQSHQTPKQTYKQQPQKIYQQIHQKTLPCKSLSPTQFGNQKKGMIELEEQNPSTPQKLN LSKGLKLLNVPSQGNENSFRGSNQKNLLVSDSPLMSNNKENGASSRRLNTDSTQMDLNSQ VILQENRKLNEMIQRLFKEKQELVTIIDKQKNAQCIMSSQGGDNLNLNSLKERIERLEGV IDLQSEEILQWKQKYKQACEQDERAYAIEQMESQIMKVVEENERLNNLGNDKDKQIEDQS NEIIALQKRQFEQEQKMKDQSNLIIAYEEDTKELKRQYKQKLNLIEKLEQQQQQQLQQIH QLQQLQNYSEISSHSSFHENRDHSQIVLEQIQFLEQTLSELSTQYTSQTLENQRLLRQNN NLKEELILQQKALDEIRNCSRGAVNPKFQDATKCLKLMREKIAKSQQK >CAK60234 pep:novel supercontig:GCA_000165425.1:CT868007:176924:178236:-1 gene:GSPATT00030873001 transcript:CAK60234 MFFDKDRIIKLMQANQIASPLSFKIDSPRIFSKTPNSYSTLTCELTSRGKPKINFLAELS DNRSLTNRYMLNSKNKTKQQKIQFCKENKVVESSLKKSQLHPSTDSLLQNINIQSPASTF SQEFMKINSKFNSVKKLDNHDQYLQRKQSNSVIQLMNKNVSSIEPCKNNSAMRSTERIRQ QFDEITLLDLKSQNIYLQQENATLKSQLKLQSQNLISNNLNSNMYLKTKDRFNLQLKEKN EKSKSTIENLNSQLEFEGSIQDDLRERMNEKSQELKKYHLIEQQIKKMEDSHLEGLSKIF KELKEQQVSLKILNHTILCLSQISILLSQKEEIPIEILFKYKQIPQISQILLDQDQIENI LKSNSKLIKELYDLIGKSFEKISYNFVQQFSQILHKS >CAK60235 pep:novel supercontig:GCA_000165425.1:CT868007:178300:178737:-1 gene:GSPATT00030874001 transcript:CAK60235 MTAKDVIMKLNKDAEYNALTAEFQGNDTKFVGEYENVRIPSEKKESIKDAFRKASKACQP TLKNLSLRQNWLDLQDRIYNRAQYYTTDANSCISDARNVAEVNVCCDHYIQRLNNDFYND VLQILKDY >CAK60236 pep:novel supercontig:GCA_000165425.1:CT868007:178867:179836:-1 gene:GSPATT00030875001 transcript:CAK60236 MFIEIRSLINEASAQIPKKNIALVHKHNQLRQMNLIQKKTCASSIQYSMFQSDFGTFDYQ IVPQESSDSESIISSPSQYPSLHLVASLFFLNAQYSQIPCSTVLQDGLKSKLIVKNIDKN IDLVKVQEFIEALPIKGFVLEFKLIYYQSNPCIIFYLKTDQPLIVLNQFVNKSNSKIAKS IFGYKFSCAQLIKNNQEFAAVIIRGLDDNLNGSQIEKIICYTLNMEQNQCIIEGQKTIIE IENVGCMVFVLKDLEYCERVVVSLNGTNLNGLQKNKILVNVHPESVKIRKVDFESSIQKG LLQKYTKHNDKDMK >CAK60237 pep:novel supercontig:GCA_000165425.1:CT868007:180279:181231:1 gene:GSPATT00030876001 transcript:CAK60237 MSNHPYSNAPPAQPLATTQAPKFGQPTLQQPVPQYAVPLQQSPIRQSYIQPVQYAPVPQQ VQYVPQPVQYVQQPVQPVVQSVQHQSIKGESRIEYVPYQKAVVEYEEQEVVQYVPRERKV TDYYAVEYQTEYVPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQVQPVQQVVQQPVQ VVQQPVQVVQQPVYQQPVYQQPVYQQPVQYVQQPVTYAQPLVASRVVPQGFAQTYAPQYA QQYQPQQIPQQPKAQQQQQAPRSNVGQQ >CAK60238 pep:novel supercontig:GCA_000165425.1:CT868007:181532:183246:1 gene:GSPATT00030877001 transcript:CAK60238 MGSGLSNNQINNFRMLSLFQEKSLENDKVKADQSEFNNRLEDTTMVSQKNKLKFQTNLIE MIRNKPKKVNTTPMRVSGVISKSPGIKKPFIEYAQTNLIHFVRSSQKMEKAELSMEKYLN IKESQMLSSQRLKPRKSLAVQNTLEVVQTSRLEKSINVEGMAQINQYTVIENLGQGAFGK VKKAQNFKGEQFAIKIANKKKLKKKLLSKSNAYTMLEREIAIMKKISHTNVVQLYEVIDD PKQDKLYLVMEFMGKGSILSKGFFKKNKQTSNILDEIDDKNINSRLTEEQCRHYFSDFIK GLDYLHECVNVIHRDIKPENLLVNIQDQLKIADFGVSHIMEDGQDGRISNQTGTQAYLAP EVFKGQNFDGKPVDIWAGGVTLYQMVYGRLPFPSQKSMELRQQILEDNPPYSQPTGFHPS ILKLLQGMLEKNPEKRYKIDQIILDDWLTDFGKQPLQNEYIQYVGVTEMDIKSALTSLNI QMALKIVVKLLYLSKKAKKKIAMKKQQKKI >CAK60239 pep:novel supercontig:GCA_000165425.1:CT868007:183272:184724:-1 gene:GSPATT00030878001 transcript:CAK60239 MGATCSNMKNQNVFNIMELPYKYNYDERLSIHVMAYIWSDNGHNLFDYESDSLVRKSIDF DFQGRVVQLDRDILALTKNEVVRNQREIFEFRSNQKSIEIYNSKLENAFWKVIRPLQASD LPTLDRQKLVINSTIKLGRVKLTVLDYSFAYEYDDGGVPDEFDEKNKLNDSGCVEGQVQC RFCLSKLATLENPFISPCKCIGSIKYIHLKCLQSWINSQLKTKAQNGVTLYYWKSMKCEL CKSMYKTSFKFKQIQYNICDINKPKEPYLLLQINHSDKNKEQGLYVIDVKTRDTIKIGRT QDCDIKLHDISVSRHHASILVNHQEQSFYIEDNCSKFGTLVLAQDEDLQIQLNSPKRVAL QVGRIVIVVTIEKKINKKKINKYRVPAQFEVFRQIKQHEDEEEVQSADQQQQSDVEDILI NDPAFDRDQDMSKFKNMNFLTGSIIQREQQ >CAK60240 pep:novel supercontig:GCA_000165425.1:CT868007:184913:186006:1 gene:GSPATT00030879001 transcript:CAK60240 MYSLPRLTSKSVKTQINTSIETENKKKFHFSDNIMYKMSKQALQDYLKSGVIDQRIIQLN LVKMSKLKNSDLSILNDFYSQPSTSRIEQEQAQQILSKIKTQQIPKNSYFNEKSENKSNQ NDLNFYQIEEQLKQCLKTKMNVSKLFNGTYLLDPSINDLTKKSQTSKPYLQMLHRMEPQK RISKKTKSFKRQSSILNPQYCKQYSIQSMEIEDVTDYMSSRLIESKANIEQLVEKYKIID LTPEQKSFFNLVQKCDIKGLSLLFQDKSFDFSLINSADEKGFYPVHIAIKKQNVSLFKLL LKFGAKLNVTTRNGKTIQDLSQLYENQEILDLIKRNRYFK >CAK60241 pep:novel supercontig:GCA_000165425.1:CT868007:186034:187036:-1 gene:GSPATT00030880001 transcript:CAK60241 MSINQELSFDFKSQVNFDNDPQQSNYSNFAEQWQEKISSLQQNIQEMQQQKEDVKNALLQ AQSRIKNKKSYIDEPKMQQVETRTLETNLPIQNTSINHLLNESINTQEFQTPMNQINQVT NIRQQPIATFLMDIRLNDIYLQNFIDLGIYDNVSLLKSLPAQNKCKFLLNKYGIDKLGYQ RRILAKLDEEMGLFSKKGLLLNIEYCQEQIPNMEEWLKSINQSKYYPNFVLAGYDNFAYM IYQEQSQYKLKVQDFKDSFYIESEQDRQLLIAHVVIICDKMMNCDLWTVQGIKKKQQESD FNLVQCTLPSNQCQIF >CAK60242 pep:novel supercontig:GCA_000165425.1:CT868007:187053:194525:1 gene:GSPATT00030881001 transcript:CAK60242 MKQLFLNYRHNNEMRKNTYQIQFRNGETVSSDGFLENENKIQRANYTFLFPWRVIKDNIF YFLLLILQAFSAQPLYFVAIPLILQGWLVDFHNCLKIRKMDQLIKEQEAKIIKQWDECII NKEIISKIKERLDQQDQSESNQKLRSKSQLEKNSQNKKIDYAQSQKKGISRSILSNDSIP FQQIQYKQQQSNKNIKASENVKKYNQMSPLIYKDMALKLQQIQIMETDPLIIQFKKLVPS IFPKDLIQTTTKIPLNEKLKKMEKDKMNLIKSQNAEDIKIGDVILLQRNEIAPCDIIVLH CNDENFCVQQQLCDYSQSTVRKPVVQNRSDSQTLAQFKKSLTGNIVFHEQNSQIKGFFQL KKDPQSKMLGYENFIFCQEKLLATPWILGIVVKVGNSCFCYTKLQGKLRFDNHQFFGFYL VICLIMIIQIIVFNQNVYLYELRSITQIILDNCIYLILMVPHFFKIYYNICGLFQLQMIG TIDQKQIMSCHIDKYLEKQYLTLSVEAFIEQSFKLKRIIYNSQYCDFEEQKFLQFLAIAE KGLNKQDQEPINNQLNSQVLNYDMQRSSSEILFSQRECIVNQLPKSVECRFTHDYQQDYK TELNVIQGQLILQEQTQHDKNIFENQEIGDHLTAQRQSNQQKSSKIYQSSKDQSRQDKYL IDENTLYKSMIQNNSKNDLNPLLLQLAMNHLAFSKLLITEKKEQKVYLIKSKSIWPKLWV MNSSVLTMFSNILIIFNRQQQHYIIQINKELYTFKLVNTKLHIQNQRLYMLFEMDDQFQE ADYQFILFLREANVRKNESIEDKVMKHQSDSLHYIYYYYCFLSQKEANLYLSSAESNTSE SQLYTLMEQLLKLNIIFGLSYELKQDCQDFMKNIRKSDYQLFIYTQNQEISATSILYQSE LLQQNDLIFHFNQQNEEDLRAYFKQCLEEFANQFSHASISSSQFIKISNHSAQKATETDH VDERSRTKKVVVMLNNSTCQQIMENTYFKNHLKLLLNFTKVLFLYQATQDQLNIVQELWC IPGKTINLLYENQSLLRCINGCQLQILQLQEFSLFRNQLFGEVSSKNFFKKLSLSLRQRL IYEKCYFNELQINSNTDVIIQGFKELDRLLFFQSPLLKILFRSLQQQTVYKTITFISYFT FSSILYTWNTLDQQDYSVEIIFYFYIYQLFLFGIQHYQFLDDSKQLQYNKDQSLLLQKYS KHQSETEIQITIIIKNILQGILVSCIFQYDIYFQGFYLYIFMSISISDWLYLIINFGLKF RVLTSCIFPLLFYIYTIIDSSKDEEWQVPINIQEVFSIILGVSFLLITNILSDYLQNDGL NNLPTSEDEFLSYLNYIHLISAPAQKRKTVTILQNKVNDLFDNIEQVDLSIQKLLLNEPN YQSRFGQWTQEIFHKAQTILQWKQKQTAQCLQLLFYHCSFLLIMYFYQDNNNYFLVDYFI IFGLQSIVFIVFTFIQLPTNRQHYLEYAKFIIATAATVSILFTQNTQEHIGSALVMQYFI TYKLSIKFHPIYDYRTYLGSALITIIGYIIWYIIENESNVSFLIQILQFIVLFSASQYFI KSYYIQLEEDQAQNKLNFIEQNNKINDILGILLPKFIRDRLNETDQYNIHQNQGLVAIVF CDICNFDQMVIEEKDKIIPFLDDIFRTFDKYCQIYGVQKIETVGKTYLASAGLKACEQEL TYLSQVDPVQRALNLAEQMMNYIRSKQWGTQGQQLVGKIGIHYGGAISGVIGYHKPQFSL IGDTVNTTSRVCSTGLEDTITLSEQAFDQIKNENIEFEIRNVEMKGLGIKPTYIFKCKIQ NKENTHSVLYDQDLQSRDARSNYVVRKNPELLKKNLKKRKTILTYIDTMNQKRESIQDNG NHNVFGIKPTDPAYYQSYDEKSEQSLEIIQKQSTDEKQKLLQQNQQPSTFKRLVTLFQNR FQLENYEPEIDEMIDYEQLLNVILLKNEYTLEHNLIKETSFLQLLEISYYKKQMNQFISY DQYMEFVRIQSKSQTIYNLRLYALYYMIKQFCQIQFYSDYIIGLIVLQWLCCILNLIQFL INRKPYFDKWKILIKILLFFQVILAGVVVVLEDRDELKNVHVYEIVFIQCIFCSVQILSF WVKVLFCFFTYFYSIIIIIIDGSQLISIFFLFVSSMYNLTLILFIEQQQVGCFNQKNIFK TQQQKESQLLQYLLPKHILNKFLDDKINNIGICDKLDKLTILFADIAGFTEYSSKVKPEE VLVMLKNLFVEFDRKCCELNVYKLYTIGDCYVAIGMIDFNNRNPHQEAKNIVDLAFEMIK IITQVRKQINFDGLNMRIGIHTGSVFGGVMGTDIVRYDIYGPDVLIANKMESNGVKGFVH VSQETKAYLEQDFDDLFTFELHTTIDLKTIKRQIEGFLVHKLEQDHFPEEEDQFLSS >CAK60243 pep:novel supercontig:GCA_000165425.1:CT868007:194789:195535:-1 gene:GSPATT00030882001 transcript:CAK60243 MLQLINLIKLRQQQLCEHQPYDPKIDKERINQENQLLQILYRFQRVHIDEKLNFYEQSAQ LMNKPIQPLLPMQVYDFIAKLYMEFFQENQFQDKAQKISLILENEMHKNQSFIILMIKWL LEYYRYQVKPTEPQIKPVQIMRRKLLMTIDQNKLEVKKSSESQTKRIRMLNLSKTPENDY NTLSSANQQSTLRRNKINQRQLYNVIPDLDWEIPWDRSYKLLYNRNNRISKSIQKHFN >CAK60244 pep:novel supercontig:GCA_000165425.1:CT868007:196345:197223:1 gene:GSPATT00030883001 transcript:CAK60244 MKSSHTNSNLTQFEDNYNKMNVLSARTNKLGLKSQMPQKESRQFSHHVDGKQRKQIKVKN LLVITDDQKLFHKVQESIQSYRSPKNCQTIDEECPNHLDKGNKKNSRMLSPKEQNEMIEY LQRKNEELVQENKEKQQLINRLLGSGNGAQKIKKIQSPKSQLTFQSIPKSADARNRMETD IRLPVIRTPERILEQRQDKQIVQNENQRSDLLNLYNMSFGKQINLNEDKKEQLELTSNQH RQTNPREKMYSQPNQPLKKNHFSKVLLKLPQEFHLEVETQKRQL >CAK60245 pep:novel supercontig:GCA_000165425.1:CT868007:197887:198682:-1 gene:GSPATT00030884001 transcript:CAK60245 MLDNEAIYDICRRNLDIERPTYTNLNRLIAQVISSLTASLRFDGALNVDITEFQTNLVPY PRIHFMLCSYAPIISAEKAYHEQLSVAEITNSAFEPANMMAKCDPRHGKYMACSMLYRGD VVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVMRAVCMISNST AIAEVFSRLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIETAEG EGEEGEG >CAK60246 pep:novel supercontig:GCA_000165425.1:CT868007:198693:199320:-1 gene:GSPATT00030885001 transcript:CAK60246 MREVISIHVGQGGIQVGNACWELFCLEHGIQPDGQMPSDKTIGGGDDAFNTFFSETGAGK HVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLD RIRKLADNCTGLQGFLVFHSVGGGTGSGLGSLLLERFWVSPSIHHHKFQLQSLNTYNSIL STHSIVGTH >CAK60247 pep:novel supercontig:GCA_000165425.1:CT868007:199456:200418:1 gene:GSPATT00030886001 transcript:CAK60247 MFNNPSKLQQIYFLTQFMALEKSLNLTAQKAQENANFALELWVNAEKFINQTSDQKQNKS ILNIVNSYKFQNIIPQDQEGWQATQNYIDEWKNQTDDNKSIILQYALMNINQMIESDPAK FNQTNLIEQMHNQTSILDNNQKNKNTSKYISPFSWQHANVSEEEMIVAHFFENAKSQNIT VQEYFNKLSFIEAASLLNALEKFYNVSSNNGTPSKAINSKGEQPTDEPQELLPEIKPKDS EDHPIYLYVVFSLVLIVFIVVLFFLRRYYIQQRIISFQMNEESNTQGLQV >CAK60248 pep:novel supercontig:GCA_000165425.1:CT868007:200467:201730:1 gene:GSPATT00030887001 transcript:CAK60248 MDKKVSKKLKNFETMNWLKNRFKNQVREKYILNDQELKEQIMMKAVFKSIDRDKSKFLDR SELYDMFKRYGINITKTKLKEFFKRIDQDEDDKLNWNDFKQALQNQEALQMFAELMRKVR ESSEKKGKVEELNFIPLSFPNMIQYMNYCVLREELIQKINSNQLNAQQKIKQCKNLLSLE DICYNKVVELKDDNDDDQEEAAFIKSAKKSYDHQQVAILRRLQEKEQIEQRQVKINFRYQ SRLRRLSTSQYYRTDNEKNNRSLRQKSCQFNTDKNQNAQSEFKQIDQLISENRKDLPAIK NDIQQKVQIPMLMSERIYESDTPYKYNQKGKDSSIPEIPKGQHFMTQNLISLRTQSKSSN RKQQISKSRIKMLSQTELPKNLNKR >CAK60249 pep:novel supercontig:GCA_000165425.1:CT868007:201779:202886:-1 gene:GSPATT00030888001 transcript:CAK60249 MQVVIQQNETKCQVLHDYLMQTYSPALVNHLTQTDLYLKQELQDFQKVEEESDGLEELLL EHKTAIQKVVKQLNEKIQSEQDKMKKAETYKKGKKDELSAFQKAIDSVSTAMKETCSKID IKELNKIGQLPQGMDQFMAQLFSIVYNEDQGKFNWTEFKKKVFDQDKGGDFQSRLANFQF KGVSSEKENLLLQMKADPQFQKVFSDPKYTRAFLDIADWVLKYIIYQISFAVSGVDTQRR RDLVYAEFDRIDKEFSARKLELESYYKTFEFWNSQLNYSENQLIFLNKFKPNIKQTQKDI TKSKQNLDIHADKYLTEVRKMNGLFQQII >CAK60250 pep:novel supercontig:GCA_000165425.1:CT868007:203504:204022:1 gene:GSPATT00030889001 transcript:CAK60250 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK60251 pep:novel supercontig:GCA_000165425.1:CT868007:204817:206075:-1 gene:GSPATT00030890001 transcript:CAK60251 MHPYYEDNNPHLAFRPRTQPMDRTMKLRRGNLQNTRGVIEMENLGQKIVLLKKDLLMVSN LIDQSIQREKAMEIERRFNFCKFIKEFLDQSKREKDGNSEIKQWPKDCFVRDCPEKSNIN IVEKAEEMFDHITKEILSWKEHNEIQKRDKYKKEDQIARYEKQIEDLIIEKQKYEEKPIV QQPPPIPQPPVVPPPAPVIPQEPPDEKMESVARFLATLYLEARKYQLTFDQVLSDSFPIY KIQHPQQPLNSKSVFPFSLMQIEGNDLVYKVRKDSCLEKKDKAYWESYTDPSLSSTLYYQ NDYFREKVDEIMEMADMDQMVYAQNQDDDKQDEDDKLFKKKVKGSTTRLTGSNGGQIK >CAK60252 pep:novel supercontig:GCA_000165425.1:CT868007:206338:206806:-1 gene:GSPATT00030891001 transcript:CAK60252 MKSRPRQIDPTKPVLISNSLEAFTNAEGQEADIKNTEQIITPDQLLKEKQIAHIQSITYK EQVEQFHTT >CAK60253 pep:novel supercontig:GCA_000165425.1:CT868007:208198:211333:-1 gene:GSPATT00030892001 transcript:CAK60253 MTDFFYIQVPADVKVLLLSGTALNRNQLDQVYKCTNLLKLDLSNCNLTLIPNLKKLTNLR ILYLHQNQISTLSVEPILGCNHLNYLTLFLNPVSAHCSLRRQVISYMKSLWAFDFNAITD EEKHKELKLKPSTEKSRLPWPVISIPKEQKDQSQIVMLVWQELDVIRKIWQKCSVILTIQ RYIKSFITRRKFKNIVLKQMKIQAFIKQAVKHWITFTKNKKDLNQLMAHQYSTQFEKVKV MVQNYRQRKGKAIKEQKSARIIYRSLNSFYKSQKHQLESIGNIHRLYFYKEQLNLFKQIL HRLTNKPEIKAIIDQAPLLYEDFQKPNKVYESEVFTYRLPSQINLYNNPIYFKNMLTYPA SLSKISCCLQQLKLSIIRKWTQVTKFTQEVHAYSYLQKYDELMPARKPYFIMYKLPKQDK IKYENCLKLINKFQQREYRQLYVINLSKDLLSSVIKCIILHNKDEPSQVFITLFEKQLKE AVAALKIQRQFRAYQDVKKNGKTLQAIINILVKERSLLILQKWIKDLKMHHRNNFYKIIG YRLKQITQNVLYLNYSIYVQLRKCSSKLQFLEQKSNLSLTNYKFQAILQQFPKKNIIPIW LRKQIIQQEEDGQEVFAIIENCDVYHLLHFETQSEIKTLFNQKWMKITFPINDQLKLRLL LLALYTYSFKHQCFVQLYQENELLEQTQIIRQNDELLIKTYQIDQQTQPIDNCDIPCKPS IWLSTYLSDKTTNEVEVRFYKNGIDQKTKKKKNISKLPGCEQISACLFFSTIKKQYNQYS HTQIKQNCPTASTNIRTITQTDKPKTALQTYLFQQDSFEQEPSNVDRQQQRLKLVTNPTR QHLRTKSVMNKKQTMLVELPTFLQSQSFNLSNSGIRQNNQPDLSKQMQYWEDRQNQQRQL IKKQTLEKRQAKLQIQQERSFYQDFNIGQNLISRQGDRHLLRLYQKKQQQISYQQVQQFK EQSNHIKEIQAVNSKMKLQAIKEANSQYKASLEQQPEQQQPKAIQKQSTKRVGITNKYIH SVLPEEIYPIKIEFENI >CAK60254 pep:novel supercontig:GCA_000165425.1:CT868007:211407:211916:1 gene:GSPATT00030893001 transcript:CAK60254 MDTQQDQQLKKNQLKFPVSKVKKIVQENQDVGKINNVVPYVLTKSLELFLSDILSKCKET LKDRKLNKATPGLLKIVLQESQYDFMKDYAQKLPDLEESKKKKKGFQQDEQSDELGKKRK GNDEFEKVEKKAGGRKSKRVRRQQSDDDYDEDD >CAK60255 pep:novel supercontig:GCA_000165425.1:CT868007:211932:213566:1 gene:GSPATT00030894001 transcript:CAK60255 MGEKRIDKYLFNPKDLIGEGSYAQVYRGREEKTGVKVAIKVLNKSVINADDYLREGLISE IKVMQKLKSPNIVQLMDVMETNNNYYIIQEYCDSGDLDKLIENYVSQKKTMPEKDAVKFM IDILNGFIQLIKNGIIHRDLKPANILIDKGTFKLADFGFAKCVDNFKKDMLASLVGTPLY MSPQILDNKKYTSKTDIWSIAFIFYEALFGKTPWTARSPQELLKNIRNQPLQFKGPQISK EAQEFLIGCLQTEEKDRLSWDDIYRHPLFKGHFTDFIKNVSILEDKATYLINDIRQMIIK EQLDIGELFAELDMTKDKALNVNELGKFLQRVDKNLTREEIEYIFNKFDDDGNNLIEFNE FKKWLEENDCRMTASEVSRTKKKVIILTKPQQDIQAGSLDDRVRIVIEKLKTSIVKYNIN LLDLFKKYDKSANQELDVLELGKLLKRIDSSVTDEECKSIFSFFDHNKDGSVSFNEFEFV LKECLVKQKLKQQQ >CAK60256 pep:novel supercontig:GCA_000165425.1:CT868007:214036:216083:1 gene:GSPATT00030895001 transcript:CAK60256 MSQSTAKNLRDLLIKQQLNSKIKFKGEPVNKIQPNRKQSGNWDKKVDISQCSCNQKNCTI CMKKRVVINASPLLKVKVATKNQACDQDSRREIKVTSSVSAHQSPNREKQYQMQQMFLQK QLQSHLQQLQESRPQSRQAPKEQSKKPQFYHNMENFLNNYYQKGNLSNSQLYENSYNVKS KVIPKRIESKSHVLSNTQTSFKLKLNQQGPKFSAIINSGLVESAPNSPNNHENSTKSKIT PNDSISKSKINQNDSKSSEIRRKSTGEISEKTQILGLKIDEQVGRLHFKFLYVIGKGGFG RVWRVEMKKNKKLFALKEMSKAKVIQKRSVNSVLNEKYLLEHLHHPFLVNMWYAFQDREN LFLIIDLLTGGDLRFHLGKMRRFSEAQAKFFVACILLALTYLHQNGIIHRDLKPENLVLD EDGYMRLTDLGIARMNKGNNAGDTSGTPGYMAPEVMCRMDHSVVADYYALGVIAYELMLG RRPYNGRTRQDIREQILAKQVQVKKEELPLGWSENSLDFVNQLILRKPERRLGSNGIEEI LHHPWLKGYPWDDLLKKKVQALYVPGAVDDNFDFQNQISEETQLNDEQQLENQTLLRRDT VQSLFEGYHYDIELKNIQHQQTQKNHSQRKSQPVSPEKKA >CAK60257 pep:novel supercontig:GCA_000165425.1:CT868007:217167:217889:1 gene:GSPATT00030896001 transcript:CAK60257 MNKSRDWNIVDDELNRKLKQLHEIKSQLDDQSTEQLLQNKDQNQEYNSDVNYYKEFWRYY ILNEMAIKKVNELHSQNQKLLELLGDIDKLQQELHIALSYRHKKKNRRTSQEIEKSFICP YEKCNKQYGSDVSLNLHIKLKHDGGNKTDREKFAKMIIEAQQNGETITDLNISIKFPPGY LDVIIQIILQQFKNQFLNTPQNQLSQEGMPIGQD >CAK60258 pep:novel supercontig:GCA_000165425.1:CT868007:218508:219269:1 gene:GSPATT00030897001 transcript:CAK60258 MKIDQSLKQKHDKVKQLFLQVLMVNAQQKRQLKVISMRNNYRLMKTYMFNWQLANVRKVR MKDIKNYVNNQYRCKQQNRILKLLIDNALQRQSKRRKLIKADNYYNNRILRKSFKSLRIN EKQSKQEFLQKIQMIDEKEQKSLTNQKDLQKNLMADAFRFSKLLLKSFLNWKKYIFQLDQ QDHYFSYIQNVDNFVTKPQQPEKVTIDNSSDSKSEYCIMLEYKIQFYQKHQDQLTRFQKE ILKKEICQLKQYL >CAK60259 pep:novel supercontig:GCA_000165425.1:CT868007:219295:223634:-1 gene:GSPATT00030898001 transcript:CAK60259 MRQDDYFRVLEKEKKKEDLKRQEQQRLEEEEARRQKEQEKLIKDKEEIDSDSKDKDKSAR DDKSIKIQIDEERNTKEKEQRKKMLDKKADKYDKQLESLRPEQLVENEIGKPYYIVPDDT KLEINKELIEREDNKVRRWKVFINEIRLENLQKEQLSVFLNFRIGQIGKTANKYADVSSG EVFFKSEICKDLKRSEVKVIKVMFETEMHLSYQMLKERYFVIEVWEYRRFRLNLFLGKIQ VSLLNIASGNIKKQDDIKKAFGERRQFARIYYNVLFQEVWDYKLTFEAWKGSNIIADQGK EHDPKIQLTLMTDELIQPQVESEQIKKTKNPNFRNLKGYMHFRGTMEDLTQQMMKVTLLF DAYFNKAEKLVSLRGIQDTNYLKVQFRLKLKIPPPRVKIAKQPKKKNKQKKKWDGNDEDD DEDLGDDEDALRDEAYIAIIEGRVNLNKVPRYSQNGELNTYIPEQYYLVVTINRLNSVLS PDDRGVINTYLTVAWRDQAKTTRMIKNDPNPSYNEELYFRIPILRREKTFEDIINQKTDK DLLIDALREELKSRPDITIQLWLDGQDILSDESLGYCRIFLSEIQKASKFKKTLLTDDNK RYQFDTREATFTKKFESGLFNVSHIQITFQAFFAPDLPEELNLEEFCEVPDDIYPYQISD QLSVKKDHEDNEEYQSWDKYVRGNFSQFGLEKTAYNIFFKNIFVRDQFNYYHLVCKYLEK FYIKFQSEEATNELFANPKDIRMKNLHEMCHFVRNIPFIQDSFSRNDVWRSPDFLLKIRK GFIHDHAILGACLFMGFEREDKKREHEKDFHKYIPFEHRVFVCLGTLKHNSQFHAWLMVF SHDLSGITFWDVQEDFHMHLDGRVRKDKRAALRKFLYAEKPVVKKDVGFGLGALGNLGKD KKKPLSKRKQLMEQKKKELEKAQMEQAQKKQKQNEMLMQQQKEAEEKRRKARNNATFQPE DDGRGDQDEQVIETIQLEKLNGNIKLKNPRQMGEDGVNKKRRMARSLLSKGQDQFHKKRH LQTLCLFIERLRNKLLLLRSRRPRMKSQEPILLPNFQRLIVSLIKMERIADPGQLPYETI EIIFNNKNIFGNMANQKPQEIFYHLHDKRLWYPFIKETVPNKEESEEEEDDEDDDTNIQI DEEELQDQMKQKSEEFIQKTWNQKVGAFYSAQILKEPIKKNRIKRLLEDIFMEVKQGVEK ARGKSSLFTKWKHDLDGINKKMEQYLKILEYKTTYRTIKVVQQKHDDEAVAKWNHIYKSR SYEEIIEQWKKEVKAMLPLNTHLCLLPMRFNYTESEKIRSVIVENMDPFFMRRDNKIIFN LAGKIFNYPNRIVCVRLVLGYSYCQNLDEIKKKEESEDDEQNQEGEIDIQKPMLDDLDAD EDENADLLKKKNVDNQQ >CAK60260 pep:novel supercontig:GCA_000165425.1:CT868007:223732:224284:1 gene:GSPATT00030899001 transcript:CAK60260 MKHSGSSTFRMHESSLMDSALDSTTARLPHSLCEDSIAFILMAQSATDHIRIIRDIIQST KETRNRLHLNIQEMKLSLSNLEHTQQRDILNTEYEMTVNANKLKSVILINISSKHLFHQI QDQQEQNTQFQQQIHSLQQDCEDIENQRDILDQKLKYMCSKTGQYQYADYDNYIQ >CAK60261 pep:novel supercontig:GCA_000165425.1:CT868007:224659:225796:1 gene:GSPATT00030900001 transcript:CAK60261 MNLNRFHFYQCYHLEIQLRTASIYIERNVQLQVKLFIQQFYQQFLSVILMRQPSLFSPQK QARFEKSSNPTGFATFQTTTQMNSKQLADFRKDLLTNVSSREPFSSQSERSSTLFASWSK AERIVLEEQKVSRRSPKKSKLLGGLNYDEIFTNRAPQLKTKNNNKIDSLFKRSPQKDYYD NSFTSFPKPARQNITLGSSYKDSPQKSLEKLERFKNLDNRLGVQLKLQNQSISNRVSPQG DKPLFYKYINEVSKGLFDKSSPSLQINQIPKKNLFDHKKQTFSFNDNAFNAQKSNSFQRN HSPELSNRFVEKIALTDIVRMTSHFQSLSTQEIGQIPRGYISELSNLADILVRIVKRSNK TS >CAK60262 pep:novel supercontig:GCA_000165425.1:CT868007:225848:226978:-1 gene:GSPATT00030901001 transcript:CAK60262 MNGSEGAQSLMHSQSTVDQPSDKLGEGVYQKESKSKRNVIDQTEMILQNQKTKLKRNKIC INKKVRKTWTAQEDQQLQRSIQQYGSNWVQIAASMVNRNPSQCTQRWKRIKPQALRKRKP FSVEEDQLILQLVTKYRQNWGKIAQMFPERTNKQIRERYINKLNPLNKQEPFTEEEDQII LKAYQEIGSKWTKIQDLLVGRPVSIFADYQENMIKNRFYSYLRQRFLNIKNPYYSIPSKT ENLSINQNLKVEKDEKQLKEPQVQPIKKEHNNQRNTDIQEMSTQLPLLQSDPYSQIPQPL FLGNFSYPQYYLYQPGAIVYTQCLQVPYTGFQNKIYLVQQDTNQLMHWSNT >CAK60263 pep:novel supercontig:GCA_000165425.1:CT868007:227098:227574:-1 gene:GSPATT00030902001 transcript:CAK60263 MDFGKKSRIITARQRKYFDLIDEKVMKLAYYGFGDLMILEVLQEEDSSSGRNQIITNHLF KCVAQTYYYCQQNFGNKNIIFNSLQTLQLTLFVIYFIVCFVIYQNFCKILSMQLNNCSDE DRTSFFFGTNMAKQYSSGQCLSQKVLATLMIAQQSRKQ >CAK60264 pep:novel supercontig:GCA_000165425.1:CT868007:228537:229960:-1 gene:GSPATT00030903001 transcript:CAK60264 MSIKLHSPSGQGRTHKILVAAKLANLQLEFVDTQLNEKNFQVFQNKFPFGKVPVLETQEG NLFESNAILRYIARHSQGLYGKTPYQQGLVDQWLDVTTNELETDVVSAAIQVLGHIPVIP AEYQQSFAQINYTLSIVNTQLGKSKYISGDSLTVADIALAQVVTFAFTLLVGETERNKYQ HLLIWLNEINSLPEWRAEFGRPRFPKTAFVVNEVAQEKDKKEKKEKKQEQPKQKEQQEQP KQKEQPKQKEQPKQQPKQEEEDDAPKKKEANPLDLLPPSTFNIDDYKRIFFAEKDVQKNI ETLFATIDLNGWSLWLVRYNKSENEGKQLILTNNLMKGFINQRLDQNFRKYAFAIHGVYG DEPNLQLRGAWLWRGTEVPKEWKDHIAYDYHEFIKVDVNNAAQKQLFVDYWVKQEEDVSE VEGLKARSLMYFR >CAK60265 pep:novel supercontig:GCA_000165425.1:CT868007:230035:230768:-1 gene:GSPATT00030904001 transcript:CAK60265 MIFTLFLLRNNLITFKVNSTCLQIEDYLINVSAFIQDIHVKPKYFACIITFYKKVSDLIL SKRQSLSSSSFAAFLDLQAESFFQKVILSFSYLSPISTIARSLYSYTKSVLFLTLMVQIM RYNWCKQVRFRNTVDPFIPISGGLMGAFALLLESKTRAQEIMLSIVPRYFETILNLLKRK GWMKIIPKGDVLVFAMILAIIHYYYQHDPKALKTTYRGMFAKFWGKN >CAK60266 pep:novel supercontig:GCA_000165425.1:CT868007:231845:233978:-1 gene:GSPATT00030905001 transcript:CAK60266 MIFRMFNFMNSRIDEFIEERKDIKLILDESSLLQDLLMPQQQYKLLNYVKDHPEHLETLI EYITEVGDETNYQRVQYKYPFLASEILSKENDFFQDFLFEGQNLHFLNRLFSLLNEDILN ITQAGYFSKVILTLLRRKHFKVLQYLNDKDISNLLKHIDIPHIAEIIEKVIIPDPNDENQ LLDKQKSILQRVMKISLHRYYETEVFDNCLLIINNLIKSQINPDQEELIKILLNPYYFLT ICYQSQRTAAYELVSNLLQYINNSGKTDYFLYFINVAKHLPNAFTTSNLYAIPHQTSYGQ IITPLSSNKLTLLQIFYLLLLSEKEEILFELINNQSFQVVTNVILTHEFNNQALIWFDKI VIFIFQKLPKFFHESISEFLASIIIQYNRNEKKQVGLLQNTIKPGYQGILTKISNLLVEN HFTSEVWDQYVNDTLSKINSVEKKLLCGVDPRPRQIQQYIHESVTIEAPMDNDDKEEDEE VEEEDIPEDDNNKENENDDYEKEQEQEQEQEQEQEQEQEKEKEQEQEHEQEQEQEEKFYD CNEEGRLQQLQELQQIQQEESKVVSKHKQSSEEQELIDEQIEEMISDPQTKPEQVAQIIQ NEEALIDDIGPLELENKLDHQVNEYYKKHDDPKNEE >CAK60267 pep:novel supercontig:GCA_000165425.1:CT868007:235570:239183:1 gene:GSPATT00030906001 transcript:CAK60267 MINEEETNYFYIFQIGDQITRKKVLTDYPYSKNQERKLYIQSDYLYRVTKKNEYNNQNQV EMFQYDSQTLELTKFTTINSQFFVDNDFTLQYQDYQNIQILDFKCSDTNQLSFLDELQNI VQLQRDSNEWTLKVDKCKYKIHSYDIDFISNKYMGVGTTFLYYSYWDSKEEFSFDISKYT PYFNDYGTNLASSESLKKVLDEEITFSKQGDFSQVLIDKKLNELFSIQGNRVLRFKNNYM VDLVYHPTDEADLNVYQAWVKYKGCTLNIEYQTIASDSEQLIQVRKEEFMFYHPFFASTF FKSNRFNSPVLGPNISIAILDEKEMMSDNFYQQHSEKVKIDIELITNYVFLEMVDYTQNF YTKFIVISQDDKMKLTFQLCQQKEYNYYSCSKVLESQLDYKLTKESTCISSYKQQISIST LISSEQLQYIIYQDPNHFTTYSYTLDKEQEIDTIAYFTFTLVLSSSKQQVVYFYSLNVEM NFLYSFSSKDYEFIKPSKLFYQQQDIEKDVVHLLYLFNKQDQLLVILYLNFNLHYVVNQI QLKDVENISVFVGYYQFVVVQERKNTNHEISIYQFQDECNIIFEKSIPLYSFAIENIIEN TLFSSYIKSVFVKSKDRLLMYLINNPSHNTFFNEIRIDKDVNDIFTIGRYLLFAQDQEVI MYDIYPQFSFNYKVNMNNDIFVQYNQTQVLFSNDKSKLNIKQMFKYLNMNTKIDVYPEKL KIVTKLDEAYQDNFVQDMGSDWYSGQVVLFHFLNNEVVENIQIIQPINETEHIFYYASRS IKHYDDTTFFILFEQNYSLVDKANGNLIKEFKFLDKDLKCKSILYGQDQNFIVSCSKGRQ EIVFGISCKNQDCQNSKGLLVDNSLNKAFYIENNMFLVGQYNLFVFWVKESLLKIEAAQL IGEIKIDSDYYSNTIVKIKPNHYHFYQFNTFRTLKVKELKIQDDRLIIFNTFAINVEEYL NREYFMNKELVEIEVLSTSLEGELLQGKYLFFAQEGAHYGMNYNFTCNDLGCQVKDQEVF AVIQGYEGFWLFEKNTPTISLQNDLLLILYQSIVGNSKILAAYRLPQKYQKNVPIIFFGA LEFFAQLYKIEKQLTSYKLNNKEYILFNNYDISILTRYEVNPSPKIVYNGIMKENFLCLS VQNHFSKELLYLDIDIKPSEKSYLWLWILLGVLGGLLCVGIGVYFYKNKKKVDTLI >CAK60268 pep:novel supercontig:GCA_000165425.1:CT868007:239250:241747:-1 gene:GSPATT00030907001 transcript:CAK60268 MGVCISKITEPQPEITMDPMPIVQPQKGNHLMSSLPAEPRRGEKKRQAKIEVLEEQIHEN VVKQPKEKSPFDFQMILNAFGNHFMFAQLDNNDKAKLIDDMYYVTAKNTDYIFKQGDKAT LFFIIERGDCKIIINDEVKRVLKTPAFFGELALMYHAPRSASVQALGDCGFWSLERKKFR KAVEDIQQKAYETNRKFLDQVKFFDFMTEEQRDSIANVLITLKFKQGEIIVNEGDMANSF YIIQKGIVSVTKQGQFLRYMNQGDSFGEQALFGNCVRGATVKANEEDVNLLSLSREDITT ILGEKIQLIIYTNMQKWAFEKHHQLRDLTKMQIQKIVSNFKIKTYESKEYLFSKNQMIDK LIIILDGQLEFDGQLYNNGQLFGDTFLQVEESKRKISSDILTTRKTTLSVLPFKQFFECI GGELETVVKKNKDRAGSSSLLEKRQKSNYSLLTLEDFIGLQLLGEGTFGNAYLVKDIPQQ NLHVMKCIPKVNIIANNTERHRKNEKQVLELLNHPSLVCFHRSFKDQNYIYLLTEYVKGK ELFDVIRDLGLLNSYETQFYVAQMINILEKLHSYHIIYRDIKPENFIVMENGYLKLIDMG TAKVLKSRASKTYTIVGTPHYMSPEILKGKGYTFSTDLWSLGICFFELMCGEVPFAGNED DDPYIIQEAILNGRVEYPQFLKDFKAIKLMDQLMNRTPELRLGGSYDALKVHSWFDGFQW EELEMMEIKPPHIPKLQQPNYQEIPFLEMIKRDTCPEMICTVTVDWDQEF >CAK60269 pep:novel supercontig:GCA_000165425.1:CT868007:242409:243070:-1 gene:GSPATT00030908001 transcript:CAK60269 MSSQSEESDVQDDIIHDYTSNYTSDKSSQQPYQESHDDVYEKVQDDEVNYVRKSEENDSH QRQESPSSKQISQANPPKTAEDEETVKSCMEQASRINEKIMNQRKQLKDQFKEFQNTMNA ARSNLKDFDMPYQTAHFPLYLKTQEKFVILPAQNLNIRKGTLESFIKTNLENENSVKKAE QDKRVAFKQRRPYQKRKFDS >CAK60270 pep:novel supercontig:GCA_000165425.1:CT868007:243180:243841:-1 gene:GSPATT00030909001 transcript:CAK60270 MDLPPLSELELQNIYSWLDKIPLSRPKKNIQRDFADGAMVAEVVYHYLPKLVEKHNYPQA HSIQQKQYNWSTLNLKVFKKLGFQLSKNDIDSVIACSPEAVERVLKLLQIKIEKYLEQQK ELERRALEQQKQQQQQSQQKQQMQDDPLQNQDLRLILAEKNQAITELKETVEILQLKVKK LEQLLQIKDNKIQGLINQLQGKQ >CAK60271 pep:novel supercontig:GCA_000165425.1:CT868007:244027:244586:1 gene:GSPATT00030910001 transcript:CAK60271 MLLLSQRQSTQLEELETLKASILGQQSSRSRNLSNERPQTYQRQLQFSIENPRHRNKAQS FSVEKQLNLSSQNQKVENLITTWQHQKNSSSLHSSSNVNAPCKLINDVRSAQQLDIQNKD SNKPHIIIEEDVLFTEETEPFVQNNKSKQVKTPMHLIAPTKSYKDQCIKFLMKKAKK >CAK60272 pep:novel supercontig:GCA_000165425.1:CT868007:244715:245176:1 gene:GSPATT00030911001 transcript:CAK60272 MKISQIDQTKLSNQQNGANNQNNKSKQYSSKLIKKSSISIHEENQQEIQFIPELVRLKEQ ILSTYLKQNRALIGSQNQIVKYLSLIKLEILMKFSQFQSRTSPSENLSIQNSKYQYSSYF KVLVQNYLVLLFFLFSSLKGMSNSQDNILICQV >CAK60273 pep:novel supercontig:GCA_000165425.1:CT868007:245489:247036:1 gene:GSPATT00030912001 transcript:CAK60273 MQTSQIFNGIYVVQKKISSGSFGVVLLGHDKEKNVDVAIKIEKEENEDVRSLEREVQVLE RLQGTDGVPKLFWHGQQDEFNVIILQLLGKDLSHFIKSKKKFSFKTTIQLGIQMVRVLER IHNQGVVHRDLKPENILFGIEDESSKIYVVDFGISKIYRDAQGNILPFRDNASFIGTTRY ASIAAHKGHELSRKDDIESLIYVLLYFMKGQLPWQNMQNVSDEERTVKVGEMKMNMDPRE LCQDVPPEFANILEHLRQLQYSSDPNYDFVYNTLEKAAESQGIKLDNIYDWDQKQQMKIS QNIENPDNLLRSTTQQQLQPPASAEMKKSIEKQGSNLIRQQFQGFIIRLSNNQFLIPPSQ LKRQDSQQPSVVSGSVMLSTYNSIRPKYQPSQIAFDAKVCDDNSPQDVGQNMKQTAETGN RNARQNSYAETVGWRDSIFEENFDEIPLSKKYSMLKQQGVAIPKKRKPSQSPNQQKKKQ >CAK60274 pep:novel supercontig:GCA_000165425.1:CT868007:247110:247809:-1 gene:GSPATT00030913001 transcript:CAK60274 MNNYHYLFKFIIVGESTVGKSCLLLQYTEGRFRVGYDTTIGVEYGSKEFIYDDKLIKLQL WDTAGQESFRSITRAYYRGSIGCFLVYDISRRITFDRIQTWVKDVQQDTNNQIEYFLVGN KCDLKDRKVSYDEGRQLSIQLGCEFQETSAKTGENVEFIFETLTKKIYENIKSNKIDPLD PNHGIKIGKIRENERVTEIPNQKKRSVCC >CAK60275 pep:novel supercontig:GCA_000165425.1:CT868007:247820:248680:-1 gene:GSPATT00030914001 transcript:CAK60275 MRMKKGNNITLLKICLNLIEINTWELGSSRQVSIDKGKINILLEGITVSLERQLEKASEI DQQIHAYKRHNKIVNWQDVCIYIKKPINLLCQMVRFNWKLSVKARKEGRSKYCEMWHSLK QQIYMTFALLNYKNCCKLEESTKKETHQLEYFQIRFRGIWKNGDTREIYKQFKVKKQQVD IKNHDDQLYWKHGMVQIQEITTHTGREADYGQYMVWVHHSGRIRSSMMMKRQQRGKIEHI LEWRGGGDWQMGYYLLYRKLEIE >CAK60276 pep:novel supercontig:GCA_000165425.1:CT868007:249462:250339:1 gene:GSPATT00030915001 transcript:CAK60276 MNPNTPFNERFHKIQEKLNSIPLQHDHSKAHRIDTICGRITAVEERTQDTITSYNRKLHS LKDEIVRFQKQIEEENNAFETQFEQRVREIAAFESRITTKLEQEIALRRDGNLKLQGYLD EKVVYLKSDIQTEGKIRQEQIENITTSLENDLPKLYDMVKTEGQDREDSDNGTLRRAGDE IRRLNEGVANQKKLREESETAIFEMLKDLVSRVKSEIEEEKKLREESQESLLGLLEDAAN KIYKAAKD >CAK60277 pep:novel supercontig:GCA_000165425.1:CT868007:250795:253540:1 gene:GSPATT00030916001 transcript:CAK60277 MQKTDFNFKICACGKVFEPYSSFEAQVKCDTCLENKKFSTNQTEKVLLDQQQEQYANQSG NTKQKQEDEESIIEFDKPIKLNSNYGNYDHHIGIKAQLQTLGNALQSLNKYEESNIEQEK AIQIDPNNCCDYINKGIKTSLQILAKALMKINKFEEAIYEIDKAIQLNPNDPICYNMKGN SLQSLYKYEESITEYEKAIQLDSSNSNYHQNKAKALHQLKDYEEEVIEHDKILKVTQNNP EKEIFTSIKALFNKLGNQLYKYEEYEQSIIQVDKEIEQNPTNANSYYNKGINIRLYHIGL LLQDLKMFDESIIQFKKAIEINPNNAMYYNKKGDVLCQIHKYEESIIEYNMAIQLNPKSP TYYFHKGKALLKCNKSIIEFEKGIELNLNEANYLNKKGLNIQTNYLGFPLYNLNNNEESI IKYDKAIELNPNNAKYYYSKGNALYNSKKYEESIIEYDKAIELNPNDAEYYNSKGYALYE LYKYEESIIEFDKAIELNPNYDDYYNSKGNALCNQKKYEESIIEYDKAIELNPNYDDYYN RNVLWNLKKYEESIIEYDKAIELNTNYDDYYNSKGLALQELYKYEESIIEFDKAIELNHN YSQYYCNKGQALYNLKKYEESIKEYDKAIELKPSYDVYYNRKGQALYYLKKYEESIIEFD KAIKLNPNYDDYYNSKALYNLKKYEESIIEFDKAIKLNPNYDDYYNSKGQALYYLKKYEE SIIEFDKAIKLNPNYDDYYNSKGQALYNLKKYEESIKEYDKAIELNRYHAEYYDNKG >CAK60278 pep:novel supercontig:GCA_000165425.1:CT868007:253979:254317:1 gene:GSPATT00030917001 transcript:CAK60278 MKNQLQNMIKQLNQIQIMMITIIVKVEIYKQLNQDKHYTIQRNMKNQLQNLIKQLNQIQI MMITIIDKGQALQNLKKYEESIIEFDKAIELNPNDDDYYNCKG >CAK60279 pep:novel supercontig:GCA_000165425.1:CT868007:254367:254705:1 gene:GSPATT00030918001 transcript:CAK60279 MKNQLKNMIKQLNQNQAMMFTITVKDKPQYYLKKYEESIIEFDKAIKLNPNYDDYYNSKG QALQNLKKYEESIIEFDKAIELNPNYDDYYNSKG >CAK60280 pep:novel supercontig:GCA_000165425.1:CT868007:254755:256423:1 gene:GSPATT00030919001 transcript:CAK60280 MKNQLQNLIKLLNQIIIILNTIAIKVEIHKQFNQDKHYTIQRNMKNQLKNMIKQLNQNQA MMFTITVKDKHYTIQRNMKNQLQNLIKQLNQIKIIPQYYCNKGNALCNLKKYEESIIEYD KAIELNPNYDDYYNSKGLALQELYKYEESIIEFDKAIKLNPNYDDYYNSKGQALQNLKKY EESIIEFDKAIELNPNYDDYYNSKGNALCNQKKYEESIIEYDKAIELNPNYAEYYNSKGY ALYNLKKYEESIIEYDKAIELNPNDDDYYNIKGNALCNLKKYEESIIEYDKAIELNPNYD DYYNSKGLALQEFYKYEESIIEFDKAIELNQNYSQYYCNKGQALCNLKKYEESIIEYDKA IELNPNYDDYYNSKGLALQEFYKYEESIIEFDKAIELNQNYSQYYCNKGQALFNLKKYEE SIIEFDKAIKLNPNDADSHFNKGVNNEVFKQDKPYT >CAK60281 pep:novel supercontig:GCA_000165425.1:CT868007:259491:259904:1 gene:GSPATT00030920001 transcript:CAK60281 MKSSKSISSNKTTTSSTSFILNTLQTSRKHNHKPSIQPQQKSTSIMKKPFIMISPKLVQV FDENNTQRTKLLFENRLSLLQTNVQSKSESQIPNIEGQILTSRVRKRTAPIRLSLRFPTQ L >CAK60282 pep:novel supercontig:GCA_000165425.1:CT868007:260551:263319:1 gene:GSPATT00030921001 transcript:CAK60282 MIAEVQSSEDLLVMCRICEKQTDASLIENHLVNCQQIFEARKHLHQLDVQIQKLAEQAQN SFRTINTKYQIHKSKQARYTNQDNRGMRSSAPLKLNQPDDCASEETGSRTNKCAVKSRFA NLGASLANNEASQEQTQQECVTQTVQQSITGEDFDQIMKQQIKLSKKIEQQKQGNKFVYS PEIKQISSPISNGMGSPFSLDDNTEILKDLRLQLDSLSIITKYTEETLRTNHGTQSIQFD LKIQVDLFNIKHTLQKEVQDLVKLTLKLIEEKVQCTQKISRLQKLISEEEKIENLTSPRL KINNVELGNRRTSLGNSLQGHFEMLKNSGNGAKWLNKQNIVPNSEPSKQTQSKTFTEGVG KDCIFQKCLSESEDGGQSSSASDSEGVVSKEIKKNITKKSLEESFEMDNYVKQSKSNMIS FKDLNQHQVDNQCEFDNEKEQKVKGYYSDGDFKTTKLVKNKQLLNVTLQDFEFIQVLGVG AFGAVWLVCKKKTKDYYAMKVIDCRNKNMNEIQNLRAEKNVFEILEGDFVVKAFYSFIQD NCLLFLLEYMMGGDFSQVLYQYGRISESVAKFYLAELLLAIESLHKKRIIHRDLKPQNIL LDAQGHLKLADFGLSEIALVQKIKEGKDGFNSSIDPEALPMNVSKRNIKMKSNIEFHLQK TATKTSIQERTQSGKRQNRIIGTPDYIPPEVICGQSISNFSIDWWAFGVIAYEFLVGIPP FNDSSIPKVFENIMNGSIEWPEIGCGEDSMSQEAYELINSLLEPQYHKRLGEKGAEEVKS HKFFNAVDWNNIRNQEAPMIPIRDLDQLEEEESNITKKKNEEVKMKDRLQSVQVSHDGNL DDVANLTRVDLLAKISQKDAENVMKKRSIRKTIQQFL >CAK60283 pep:novel supercontig:GCA_000165425.1:CT868007:263396:267019:1 gene:GSPATT00030922001 transcript:CAK60283 MAGCIEGLYEYLKQEKNEDQFVINNGGIKLINQSQWHFEKQYSICLTFNPQGDGTIFQFS SSHIDGKRIIANGVHCYLIGGVVFYKNVYQRFTLERVLVEDYSSNNQLGEVVMNGWNEII ISHEQGKLYSRPKFIYSINQIKNTIQIEYPKIRNQNLEMLYFQNIQCKVKEISVYNEVGD QHLKSPFYQIYPLYDPHQTKRVNDRIQNVIYELQGDTKMTSSLQKYDFVEYGGIKILIPL FDLIQYYDHSQIDDLFKILLYTLLQDSQINKNQIVEMKLFQQIAQIINVDIPNLSQILQI KQKVEDQDILNQLLLLIIDVNFIKRITDQQQYCILLQQFYISDHQKYLQVTPIQYLAQLL VSVNQKSLIQDQILQIMIYSCQYNFNTLKDQFKLFLKVAEASSIELKIKAIQSATRLVSD LFRDDQENFIVFRNHNIQNKILNDIFLLLQTDSMDCLVATITLLGQMLYGTKDLLSQGMI SYISKAICVNNNFDNLLNALTQLLKINCLCVEILQHLYSQTKQQNILDYYFNYVKKISIE QQQLILQQHSIWFLDQLKIEQNERLLQIFMHLLDQNPQYLSQTLIHQQIRQDVQTLLVIV TEVIEKFNEEQIFQVQQIMFQIYFSVQSFKNFEGFLTKLIKYIIYLENEQELSKIVEQKE LPFGIQKIINLSCPIDKLKEWLFYFTKLFEQTQYLNTETSELFMIQIVELLKAIQSQTQD QQIKEVIEYFFTQNVLILQSLSEQQVQVFDSIKFQQDFQAFQESLLLKWTQEQQQIKMTQ SEQLSKLTDEINYAAASMQVSVYKLMNKTRKIRQRWCKLWHNLRITVYRPKDCRFQVDEQ IDHDYKFKENPYYTNKIEKYINKYYSRPILKVKFKKNPEFENDAQVQLEKKQQYKCFWLK SLYLKQGGVTIGDEKITFSYYSYTQKANHITLLPHTIPNHKPFKKSWRRDQIRWLHERIY IRNRNAIEIIFKDGESLYLIFQDEGLKEEIIEKLKIQPKQCDFNKVSNFKYLMYLNFLSC RSYIDFTRYPVFPWVLKGNASDFDDNDIASIQNEINYRDLSLPIGACGSEVRLDQFIKRF ENEQFYYGTHYSSQALVSQYLVRLSPFTEAAISIQDGKFDIPDRMFRSIMKSWIDCNNEM ADVRELTPEFFYLPEAFININAHNFGKLQSSQVVNNVQLPHYSNKNPFYYVAQNMIALES EYAIKIE >CAK60284 pep:novel supercontig:GCA_000165425.1:CT868007:267144:268208:1 gene:GSPATT00030923001 transcript:CAK60284 METQIAHFGQTPEQLFYKPHAQKNEVVDKTQWQAAKNKKSQSKLIYLICNKNKVVSIQED FTLIQWQIVKNQQQFQIEKQVQLPRIHFDAMIKYVLPPILVLIEQSTVLFGGNNNGTLLY QYLDNLKVQGVIELESEIINNHCTVTVLESNKQQNIVILGTNKGLVYYYSKILQNKQDIK QEELLKGKYVIYDTTSQINSITISEQLTIFCVGTQDGTIFLYNLYNRTLYRFINHPKRLP IYYLALSYSPLPCILFSSHDERVVAYSINGFLLQLLQVKGNIEYIQIKKSPINTLVVITD NNVFEYRLPFLDRLSIVSSQMCTRFVQATKQISIYGCKSGDLRVF >CAK60285 pep:novel supercontig:GCA_000165425.1:CT868007:268343:275285:-1 gene:GSPATT00030924001 transcript:CAK60285 MQLIDAIFEDQFRPALIEHYKQNKIVRRADYLLKALQSVDQLSLEDQQQFYDHLEKQLLQ CTPLQALTLIHNQNEQIVDLLLELCLTNEHVLPLLYFQHTQQLFRIINWFLRSITLTRRN FKGMFIYIKNQMYNSQLTNEKVQRFISLLQKVFAEFRYESPYASFYFNYINSGLVVDTRE MKWLFGKGISLQTWLYPIPIKSEVETKIMYLMSDKKKGCQFILNGNKLVYQYVDLNCIFI TNYKTAPNHEVPISLIEIPYQEWSSLSIQIQSKKFFMQESYYLYLTCGNQQLREIKIDFP QISNDSLVIEFQFFTNFYGYVTSVLIYNDGFDGNDLTCGDKDLGIQSNDHLRNLHKCHGN NKLVLCYTPLRARANFITDPINKFDGALQQFSGSYLRITQKQQFSQFCRIENFVPLLLFI KIQPYDSLLNELLTLFCQIIKTRPETQQDAIRTEYLSLIALKLNDSGLDMINNQTIELLS QLHNSIQDTKLKQQFICNLLWRIQIYKLENFSIIEDYLKFIRMIYNDNPEFCISIFGIGK ILEILIYDIDPKNRLCCQEHANQMGYTNFQLPTIPYAQLIDSYLNIIDAILHNKLFQSQS KIEKSNIIDIARVFTLKCSPCFYQLLLKKLQGLFQYETKSNNPISQILIQEEVMQMLLNL LTTCSCPDVKTSCIKLIAESIRINQSPNEKEIANWIAHTLGNSAAVEVQEAYSDDEDDDF QPRKPLVNQALVFHQQEEQQNFVEEKKKQPAIQNKNIVQANRRIIDYEPLYVAIMEWMLK TRVGKTNSDTLILDENLIIKSDGGLSLLISYFEYCVDSVKGRIMQDLCMLIKWNQASAIF LLNNEEFHWWILKTLLEIQNQYNIRELAQFEFWVWDSGLKLYCNVIKAGIQNEKNGFQRL AQLQSYCRVLEEINGEQINQQATQLLMRLIYKQLLSNFSDCYKLDLFSTFWVNLYSVIFE TFRLVTADPRTIENESENEHYYLFHKFSPIAFQLTISQQEMPKKWKQQFGIIQWVDHILI VNICDIVNQFCGKFSTQIISYLDDYQIVQKGILGLLEQKNPTESQKTFAAMMFEFDIGLE QGQSPIFVQVCQLFLQLNAEYFAFNVQSSENIKQLQVILNTLDKLVRTLIIASETLRDKE IEESQDKVVYSIIGSHFIFLYQMEDKIKEINYDKEIKQELLQIVKNCLFYSFKFLIVYVD CFTQILNKNESFQALIFEGYKQHKLFLMKMLNDLIRKDSSHIFDVVETKSLKLNNKLIME KMIAAKQVFVENQTLLQKIQQSFFMNEEYYLKTKCDFEESYRIFSQKKKTLEDRLQSQRQ GIEEVLFSQMISSSSTYSYDQKIQRIDSEQIYMRQARYMFKKSFNRVRVFNQWWAHPEFK AQIDKPFASIDMHYDNIVQNQIFTWKMWKYETKQKSRPLLKPKLYDYPQIDNIMQSQQQS TRIMNLADYDKKQPERQRKKIHEIIFDTIIPFYSQQHEQDEVILHRVQWIKTLTVRIGSL RISDTHLIFYFESITQKETHQSLIKFRVPEDSQLVKQWDLEQIYDIQFRRYIGRWTSLEL TLLEGSTLVFNFQNGDHQKVIEKLISLKKQRTINLKPRIQSGKLDPVSVMFESKAMNKWY NYKITTFEYLMKVNKISGRSNKDLTQYPVFPWIMNDGEQLHKYRDLTKSMGALGTKDRIE VFEQRYNMIDHFNKVPQFHYGSHYSSPAIIFHYLIRLKPFSDGAKELQSGKFDLADRLFF SFIETYRNAVEELSDVRELIPEFFYLPEMFLNLTNKLGQRVNNVELPFWTQQNPYLFICA HRMELESDYVSQHICNWIDLIFGYKQKGEEAVKALNTFYYLTYENSIDWDSIKNEKQKIS LESQAIHFGQCPSQIWDRAHIARGKQKIVFRIVDEKIEKRILRQKQNNPQPQSSNYQRSK SIIRIHFSSDNKVWIIRRNGECATIKLDLKDNKFDLTNQKEQPINFGKFMDENQNLFDWT CNYDELPVLNRGKQVLIGGIWDGRLLIYNNGIISEQRFEQEHTITVMRFDYKMRILATGS KDGTLIIYKVLNNSYIPISKHHHHEQSITDIFICNDLKVVITCSSDGWIHMYNQWSGKYL RSYRHPKGLPISKVCSYCTPLYGIAFYENKNIYSYSINGQFLAHLELKQYIVNQGHLKVV KDSKLTDIIVLPLCMEKKILMLTTPFLQKRNEIQLTDCQQSMNDISSFALSPDRTILAFG TSDGEFGLTVDQRIFSQD >CAK60286 pep:novel supercontig:GCA_000165425.1:CT868007:275300:277841:1 gene:GSPATT00030925001 transcript:CAK60286 MHKTFHLVLFIVLTLGAEWQTGLDNVIKNQPQLTLTSNYPIHTQVEILFDKQFSEVPEVL LMFEQVTFESMLDVDINLSLGQVTKSGFELRYRLGGPSRVQQLKVRWVAFVDNKVQLTYR EFNFMQLRELRQGSGIREDAFTHPVNANIQSPKVSAFLVGFKMNPENGPYALQINCALDP EMQNVNLNLKTKDTTHVRYVKVALILVGTGSKAITKQGGVDSRGFNYFEEQSTTGIRRID YKQTVPDTFLTAPQDNILSQGLRGFEANSTESELISMSLDKYHVFDDNYEMNFGPCMEYF SIKSEWHSDLQSRFLHLVARTNQNRENDNESSAQKMSLIKQITDIWQVQIAFLFSFHYFL KFIISGYFQTQLILWFIYQLNLNHSFYFLFYYFFELNSKSEMQQWILHKFLKIEHKVLYN LYYYLIFYNLSQQNNMHTFRKFARGYMQTMLYGVEERPKFKMDGTFYFILHLINHFQYLS IIVNANQNKPYSDFKLAYSQSFLEFFILNNTLKSSMTSNLAFVLIAISFASQLILILFLI FSTFIRIWTANLIRRDVTGSDNMNSQIQQWVMSFNETLHWFFVLYPVIYLQIAVISFSSL TCNSVSIFPKSECDIGIGTQILAIAPLVISYINGQILIYIMRNHRFHEPNSLKRRYSSLL LVNNTVILIEIFSHYIYDFEIAHILKYSMANLFAINQIVDQLTSFPYRDPIRIPSIRLAF VYAWIVLCVTAFKFGLLAEENLFFFIVLPLPGLAIVGETLSSLFQNHAILNYNSSIQIEE KHIIIAVDQILQIPH >CAK60287 pep:novel supercontig:GCA_000165425.1:CT868007:277914:282576:1 gene:GSPATT00030926001 transcript:CAK60287 MIHRLYCKNSKCYSKVKRFQTLNVDQKKDLNILTLSIIKCIFKAAQNWLFSIHNLNADLQ FEQLQLQYISFVSEIAQKPLLGYLELRQYQNNKLNNNSTYFVEITNKLADQLKKQITDNQ VRQNSKAVLVHEQRTQLIEISLTQQWQTQNLYENLLQTFIKLVDEKIEHWQNLINGYPSL NPFQYNTQKLCEKIMNLRISLDKYIGVPQEWFDMKTTTTKGISVKKLSHAQLNINVITLK LYSLFYSLVMNDFDRSVYVEQYVKDLTSNDRQKEIDIIDNISLYNDSTTIILVSIVKNKG KIVNKNQLALANFFHYVDANDFKESVSQVHNLLPKTMMKGHEYLIDSFIQKGHSEYFIRK ISGYYENKKGFIEKCYVKLGNLFEELDDYVITASILKCNISNQIIMVDAEGKIIGITEEL YKTISAKVPGLNVEFFKEFCHFFLIFPSFLSILNQNLNKISELDHQFIEDEEHLFYIPSN LIELNSLFVRESYEKIGNTLQEGLESQNLSSWRSWKSVQRSMKSDHSIGLERGNTTILGE KVPIADKSNINFHFQFMNQHKDQLEKYTIIRSKIKMSYQLMKVKRYSYPYFIIELEHMNE INTQHKFFNRYPTMGQNFEACTTMFTSALNHKSLNETVPSSAEKSIYDTKPISFQPDAVV VEQQNNKWINKTTKVNDDKIKQLIQQDDSNILFGNDHSQIRLFDKPSAIDVQDVSAPPSE ILLPQNPYRPHFSGIPIQVDQDNDSDEFFQLHKQFDEKSFKVEEKQFQREKSSDDFKDKD ESQQEIEDNLERHSSNQNINKKNFIQLLVENKKLQQENQNDNEEEEKKKRSIASSSRTST SKSPSLLVRSLYQVSTFGGGIKSVIFAILLYLVLQFCLVFVKLSIIQNNYDILQTNINYV TYPETLNFYFQKIAFFAWISLEERLDLIKYSEFIKKQQLEELKATRAIIDVKLNELYQGI IQFEEQIINDELKLVSINDLSFSEQQLDLTQLIQQIQLHSFNFIDNAEEGVIFSDSLFFR LNIPYVYKFAYKYITLLNENLIYYEDRIINDVVILTMTFISVNSCIILYILYNTFLLTQY ERQILMLITRVSHKTAEEIMSKLSDVKTILIEPSQLLWKRVNYFEVNYEQVQQNQQVVSL LFAKSVKTQSVTKSNELSGQKSKIKSKRSFQSNSLAQRIYDLTLSYPSNLIFLFFLWFLA ILFIIGGILVTISQVSDIKPTLNLNLQLIRFKLRFDTLIVLGEGLKTQQVISDQFKAKFD NFNIDMNTQLILDLFSEQTNGFQDAMRGIYDSLASQSGLLESQKKELLMYFEDSLCNYMS EEIPFCTIRVSNQNFSVPDSFKATYGNPWLEDNNFDYLSGGITGCVQEFMKTLNLYFSKE ISSKQLQVKTISEAVSFLKTQIHINQFVEYFLDSGKLLQIIGDNLFEQNQSKLQQATTIM QLYIYITGLGLLIILSFISYFWLKYVSARMKLMRLSLTLIPYEILLEPKTMSSLKQL >CAK60288 pep:novel supercontig:GCA_000165425.1:CT868007:283020:283290:-1 gene:GSPATT00030927001 transcript:CAK60288 MIFQLSLQIKCADLKKLWKIMIQQYKEIQRIQNCFILKLRLQLRFTDSKKLQKLYSAIHN QQEVSYNLYDKGAKAHLSFL >CAK60289 pep:novel supercontig:GCA_000165425.1:CT868007:283647:284277:-1 gene:GSPATT00030928001 transcript:CAK60289 PITVGVECLQIKPNYLIVGGGSQGQMRELSQMDISNVSAQLAFLLIVNYQPLAVRSLHFY MGCKNRKNKIYIFSREGCNINKLLPQQQDISFKQWKFCQFMESQLGNKYQKQLVISTLPI LIKIFKREKIKDVSQNLNQLHVEQEILSEERLQDGKSSFKRITDVLKTINNHEFNQQNYS LYKYQQIKQDLITKICQKNNP >CAK70804 pep:novel supercontig:GCA_000165425.1:CT868087:269:1538:1 gene:GSPATT00038586001 transcript:CAK70804 MSAQHKKLFELKQKQGVVSFNRSSHILLPLSSLKSQQTISDVQVQLGSLRTLPDINSSPK IETQSKWSKLQKISQTFRSPNRNLVMPAKRSSIQRMSISQQFKNVVKFTSSMYEFQINHR QFDGLDAAKNIVKNSDAVVVKMQKTQNIDYLAVGLGNSHGNQGLNFSKIITHCALDQIVH AINVIPIIGLGLQIQQSFQNIYQKVEQNLIEQTDFDVKNNGCSLLSLIVVNNTIYCANLG DSKAAFFYRKDFDPSGPKEIRKFVQKNLNFVHDTNNSKEVQRILNKGGKIDQAVYKGKKV WEFEGVGTKQNQPGVKLTRCFGNLIGKTVGMSAEPEFSEFKVPKSGYLLIGSTGLWEILD VLVIDQILDAHFPPTCQEDIDLAIKQIGDQTKKYWDQDGEGLIDISLILIYIQM >CAK70805 pep:novel supercontig:GCA_000165425.1:CT868087:1587:3106:-1 gene:GSPATT00038587001 transcript:CAK70805 MNKLLLIILNCCLGSLFMGFCLGQMNVISTEVYNVYKIDDTFTKGLMQSLLTIGGGVGSI SASILMGLFSRRKCLQITDVFGMMAIMIAFMDESRYLLLASRFFVGLVLGLNGVLVPVYI NEMSPKEKAGILGTMNQLFITLGILTTFLMSSFSDASLPIPFYKLMLYLPMIPCIIRASA LSTVFKYETPVYCAKHHLNQQLHKVVEMIYEEKAEKMYEQFQQSQKSTERSMTINQLLSS KYRSRLIIGISLASLQQLGGINGIMFYSSSIFDQVTGQAAKKVFYLNLIVGFIGVFTALL ANCNNRVIWKKANSQKYGTLWCCVSLLMLTFVMSNSIGDTSYGSYLIVICIFSYLFGFGF SLGPLLFIYLTEILPDLGVSASSLMNWMSGGLVAQMFPIIASYDISYCFGIFSIFNFAAY LIIQQKVIETKGLDRESVDKYFENQKFDQMPYQEVYQEDRFR >CAK70806 pep:novel supercontig:GCA_000165425.1:CT868087:3114:4018:1 gene:GSPATT00038588001 transcript:CAK70806 MYTNQSVYIPKAIGVKEYAYDEEINIPYRQQMEDFYFIKDNILEDGSQSILFGIMDGHGG QTVAKFVSTNFPKVQHSLTIPRFFFSYTNKSKDHLINYFRIHLKKYKKTHFKVNELVKQE CNSNEMGSTASIGFMRLEGAKRVLYFANVGDSRAFLFGDQVVPLTTDHKPNKQGERARIL KHQGTVLMDRLNGILAISRAFGDHSFTQYGLTCTPDQVRVELRLSHKWVVVASDGLWDVV NEQELLQFIRYKESADEVTKFLQKLAQKRQSKDNVSILCLKIQI >CAK70807 pep:novel supercontig:GCA_000165425.1:CT868087:4711:6270:1 gene:GSPATT00038589001 transcript:CAK70807 MLKFVKTPKYARWIKLGMIGFSILAISLSIVFLVNIITPFGRLTISSPANSFVELIFCIF VSISLILRLNDIMNYKQQVPYKIGLEIIIAAIGILQLIDFICWFINPVSDVLAAIICLFT FFIAGFHFGLWILQRIENNKKVQELDDHLTQQIQYIENQGSIQIPQTSKCKLVLEYILYS FMLVLVILNTCQIIHEANYPNSLGEGEQFYTVESQGYDVKIRTYCTGVKNQQQIILEAGG GSGGCDFSEIQTQLSKNYRVCSYDRAGYGMSWQAAAPQNSKNAMIIVQQVMEKVGFNTSV PNSIICVGHSAGGQLCRYYAQQMASIKGIVLLDSVPVMNWFYLAGQCQNQTVSQIYAQQQ STLPLIKTMASLWPLYIITPFMSKGGFKPSNLQGWVNWQITTTRNWYSQSLGYASELEEC QEQCMESSIINPESMIDKPIIVITASNQSITCEDRNLTGTDCQNYYCQQNASMKLAVNQS LLGNEVSKYVECPGICNHDFIWKQPDFIVQQIEYYIPLF >CAK70808 pep:novel supercontig:GCA_000165425.1:CT868087:6362:9668:-1 gene:GSPATT00038590001 transcript:CAK70808 MKQQYSVILPISAESFQKGSKFVDYLIDFDLSKQNQQKVELSPIVKMYILSNYLPEFMVK LTNQKQIQIQERKTSFENCEQRIFNVVQFSGIEVAVIAKVSPNSNRAAQVIDVVAEELDY FKGYEKDVERKLRDNFGILEKNNWQRFCPNIVAVNLNVEINIYQYGEESNVIAQFLTEII RKYILYFYKSMLLTYNVWQSMNDSELFRMNKTVLLQQQEVKLNLFQQITSLKDLQKTSYK ELKKKYFKHYSNLSQSTFSTPVKQSQVSLTSSQTPTKTPQIQRKKAGMILKRGDGPIDYN WNQRYLVLDGQTLIYFKDRNDKVPRGAINLREAYISPMSTLDDREHCFYIEVEAQNNKQF YFSGETLDETEQWREEISQATTAVVQAEKRPSFVVTNTYLLTDTLPQELSKHKILTILDD LQHQWQFRKFRNGVKIYESPIQNKSTLNWKLYLLLSIAFAISVYLKPNWLPFLMAFLVAG LSASYFNKQKAQTIRVYGRMVCDIDSSKAFRIIKNLKFKKVLDSNFVQINKIKDSNELEF TKRAKVHFIIHPIRQKQVVSKPVIIQMNLLRYRFIINNGSSFIVDILNSENNKFNMFEAY EIQRIPKVSGKGLINYYCEITCSKITTELEKYLYNKAENLSLISQTIDEERFHQFQQSIV SELSSTYIRQKSKSIVGFDEQINSITLLKNGQQLKSKRLEERVPGYRRFKEGGIECFNKE ETKAQDGLVLDLMRSAGRQLFEGRNIISFSLPVRIFEPRSMIERICDYWGFMPIYMEYAI GQQDEKERFKLVVTMIIAGLYNGGRQKKPFNPILGETFQGSWQDGSSISIEHTSHHPPIS HFYIEHFRKKYRFYGHFEYQAALRYNAVIGHQVGENAVEFSDGQRITFSMPPAKVSGLIY GARLLEWYGSIKFVDQKNDIVCDIKFSEGAGMLIGRNQKPTDYFEGTLFQHNRVISKVEG SWLESISWDGIKYWDLEKIDPAYIIKSESPLPSDCRFRTDLIELSNHNLDGAQEEKTRLE IIQRRDRKLRQDYKNSKQK >CAK70809 pep:novel supercontig:GCA_000165425.1:CT868087:9676:10242:1 gene:GSPATT00038591001 transcript:CAK70809 MDVSTEKVKQGTNQESMRLLSLIKKDLCQKLKKHHFSPPSSPSILEAVRSKPLVKIERQK RSPVVQQINDLDFGYMEELERKKMFMMIRLGHLKDPARLLPVHNYNKISVLPPKKRRKNN NTPSPNVSPLKAILHNPNDISLPALSTRVHEDPYQMCRIHNTHKQKLDQKQRRLNVINKR ILNKFSIE >CAK70810 pep:novel supercontig:GCA_000165425.1:CT868087:10483:11185:1 gene:GSPATT00038592001 transcript:CAK70810 MGSTSRYTFTFEKLVEVSSTDFLGHSVAGTLFVLALITHLFNYRKGLGFTYWWFLVNGYL IHLYMDGLAAYFEYSKTTHYLYSQMDARFLRDDKMVAIVSLGETFVMGPLCIVIALLYKS SKFEHNLLREVLILVVSSIQICGTIVFCAQPIWAGFIEQCGAEGCFTFSIYNIFFFWFSF VFCDSIWIWQPIKEIIKSYRRLELLVKPQPQKVKKN >CAK70811 pep:novel supercontig:GCA_000165425.1:CT868087:11234:11864:1 gene:GSPATT00038593001 transcript:CAK70811 MEIFDFSKPKNIKKVKAAKKVLAKAPGVNKQQQNKEYYDWALERITQLLMEHNLPLATPT RKLLERPQIWLLGPKRILWRNFVPFCNEINREVQHVSQYFFLELGTEGSIAGESLIIRKK FTSTKIETLIKQYLKEYVLCSMCRSLATSIMKDVESRLYIQECKICMATKTVPKLKISNM EQI >CAK70812 pep:novel supercontig:GCA_000165425.1:CT868087:12185:13676:-1 gene:GSPATT00038594001 transcript:CAK70812 MIEQYKVHEQIGQGEFGKVYRATNLLTQTVVAIKSVDVIKFNETPKLLELSMQEIEVLQK LQHCPYVVKFIELIKATHQYHFVYEYCSGGPLDKLLLLQGHFTERKSLEIIYQLIQAFKV LRENSIIHRDLKPSNILIHNGIYKLADFGFCKPIKNDVTATMLGSPIYMAPEVLRGLSYN SKADIWSLGAVLYELLIGKCPFEEKSIAKLITAQDESDWVIPPQFHFTKQTITLLKSMLI KDPTKRCTWEYLFKIPLKSDGEFSGDLDLYSDKPEQNILQPSNSNTNNVSILQEIVNERC KVQFMCSTAHTILEQSQNKESPLIAYYLLLLANNRGQQLLSVIKNQCTTATEYFSLNKLS IHLKQSQDNRLQSNFEFTRLVETIAKEVDQLNNGLKDYKVILDAQSLEIRDNYRQNIKNY VNQIKQSNYVAFLDTNQEKTLLSHCVQVLDALQVDKFMNSNIDPTMDAYLEIRSMTKKQL LERFDTLQ >CAK70813 pep:novel supercontig:GCA_000165425.1:CT868087:13701:14209:-1 gene:GSPATT00038595001 transcript:CAK70813 MISQSELQNEIKQFIKDSNWNAVVQEQISQFEAFLPTNPWLFVYEQPSNLAHYLYFLTTN NQTKQQLQSMLYQLLVEQYQLTKVKRSYLITSFTLLFIPHNLALLQKYIVDNYDEMLTID KAVQIIIWSLRLRELHELAEQMKKVSPITMNILQQFETQLTIQF >CAK70814 pep:novel supercontig:GCA_000165425.1:CT868087:14235:16385:1 gene:GSPATT00038596001 transcript:CAK70814 MNVETQSSLYNKFKDLLDTLKNKEKPPVVFTLHELNCNDTKQFFQVTAELISSGINFVIA KQIDKRMWHILKQQIDIELKSISPDVEEEIQQFYDLRINFLRSIIKNLTQSHQLGGLNND NEFRQFLANLYCYAGELHEHLGRRLEKMNQKPKDNYKIADLFFNKAISIYPFQGKYYFLI ATLMRQFQDTFNAVCNLQKAYFAQIPYNCKENMNEVFEINRSHFNDWAKHVKPASELDRN TYLRTFMVYLIRFNTIVFTKIGFEELSQLTNMFFHLQEYFNIIKTNVHSDYKQQYQLLLK VIMMTNFGLHNSITTFQLKTVQDIKDNDLVRESIKFVYGLYVNSLDFILKYLLQNSMKNL DQVPPVTFLQLVIPIIYYLYDQPLICTYFLSEYPSLNDKLAQIYLYSRQNLYQAENMSND LFQYQTQLNEQFHNFLFPFEVPLVGFTCYNHVLQTFKQQSSTQKDEDTQTSIILFYVCEQ IIQQLHGIQIKNVKEQKWEEEIPKELIRKKIIIDGMNVAMRYGQEQSQVAKFCSQGLKCA LEFWVKRGHDVMIILPDFCFNESEISKKKLTNQNNVNKLPDDVKLLLDMKNKGYAYGVPN WNYDDSYMIQHAREKGGLILTNDRYNDHIRALENNIVERERLKEWIRNNCISYTFLQNEL VPNPDQLKRI >CAK70815 pep:novel supercontig:GCA_000165425.1:CT868087:16386:17365:-1 gene:GSPATT00038597001 transcript:CAK70815 MSQRPPAPSNQPPQQPQAPQYHPQAPQYAPQYAPQYAPQYAPAPLATYPAQYAPAYAPVA PLTYSVARPVAPVVAQPVVQAPVLQQSVIAQPVVQQPVHATIKGESRIEYVPYQKAVMEY EEQEVVQYVPRERKVTDYYAVEYQTEYVPQVFQEKYTEYVPVDRYQERVEYYPVERQVVH QQVVQQPVVQQVVQQPVVQTIAPQPVVQQFVQQPVSVVQPVQTYPVQYAAPIISSRVIPS YPQYPQYHPAPQQVQQQPPRSNLNNNI >CAK70816 pep:novel supercontig:GCA_000165425.1:CT868087:17435:18408:1 gene:GSPATT00038598001 transcript:CAK70816 MQLRSISNKKKPSQLTQTTQLLEKETKHMEEQLQQLKQIMQQEKFKRESEKPTGQNGTRW KAAKIDKGLRNYTQNLLQKKNSFEKKSIPAVVRPTSAKLQPLEKSIKTPAIDKDVEIFFT QVGLLQYKEKFQNYTIQSLKNVTVQQLREMGILPGHQIKIMRALKEMPENIQQMQEDSFC QSRVSVSVSGVQCNQAKLACWLCYKIFDDTEINCNGRNFCSQQCLIQFNQEYMIKCSKCN KKFYKNDGCVVYDNYYCSQSCSIDANDSVKGCSTNTIESVIEEDQFQQTNYAEQFNEFLT SSLLNN >CAK70817 pep:novel supercontig:GCA_000165425.1:CT868087:18409:19191:-1 gene:GSPATT00038599001 transcript:CAK70817 MTNIWNKNEIITPISEYNLLYQYNKHVNQVQNIKEQPMNVEKPFHKKKLKSSTSKKLLEI KHPENTENKILVQKILTINNQPSSYALNEDLPIKPSNLGQKILEMRKLIEDNEGIEKRIK QTNSVINFDKIKQEYKKNKLYLKNLTAHSRRIYNCFVPRVQVPVEVKKKKRVTEKKIAQQ QPEGETDKKLVQQLEEPNVADVQQMKSKSNLDQDKLIYQLNELKLKEDCSEQSEDD >CAK70818 pep:novel supercontig:GCA_000165425.1:CT868087:19200:19570:1 gene:GSPATT00038600001 transcript:CAK70818 MDKFDTIQGKLFYTVYCMHKSNEITNYQRGRIKDMIVQWDPQIQEIIRNPNRLKQNLLEM ACAYSDNEDSKQTKITQRSISRLNVRIGQSNFKLSSKSYSSLSSPLDQRKQLLI >CAK70819 pep:novel supercontig:GCA_000165425.1:CT868087:19581:21456:-1 gene:GSPATT00038601001 transcript:CAK70819 MKKVKQLPQQQQSMRRSSSLVTVQPQIEIIHFEKNASLTQSTFFKTFTEMPQMAAFTHQV SRIPSPKERLQVQLQHNKKQRETIINQSRERQENAMTEALFLTQKTKFRNYQKLKRRDQS PKKAMELKPQQMVFQLPKLEAPIEQIMDTPTQLTFYFESQRLTRDIRTFKPSFTEQAAFT LFNDQLYLYGGIGGDGVRNQMLKYDIKFQIWQVVQGNGDHPKQGRAGLSVVQYKNKFIYF GGCGQFNPKLKLRECTNSIYEYTVSTMTWEKVHPQGDYIEPRRQHRACLLGPKWMLVYGG INSNEEVLSDTAVFNMEKQVWRLFKVKSPPISCHAIVNISSQGKFQETIPTDIMPLDNIY SFGGKDADGNSVNVMRKLSYCSSNNTPLSWDQVETLGKAPYPMHNHTVEYLRKVQGIAVI GGLRDFIVNGTLESDEYYIFYPNLNLWQQVIAEGLKIPRCAHSTVLLSSKIAVFGGIGSE RYLEPDVGFIETDQQQVLSRVTKDRIMHIHTPTIEEPSKMEFQLRKKRSMFYDESDVSDG YKPFKITLRKPTQARRSYLPNPQRKKVLMRYDIMIGFVKTVIQENLQILQNFDKYQERKL I >CAK70820 pep:novel supercontig:GCA_000165425.1:CT868087:21485:22612:1 gene:GSPATT00038602001 transcript:CAK70820 MFSFSPIKQKQYLSASNQFEQPLDDMSCIIENGSVGSLYLGNIESASSLENLRRHKIKGV LSICMNKIPFEVQSSLQHYSHIYLEDCESENIARHFENSNQFIDKARQSGNVLVHCMAGI SRSATLVAAYLMKKNNMSAQDAIRLLERKRWQVYPNSGFLRQLQQYEKVLYQQNGRSDIS SPLRDSWSKQYQTPTKDNLFYNKYEEQQQSSQKTRPLDEICFDNYKRKSIGFEDLLRSPE PNEKKPFSLTQHRPSSAVRTSPDLIRKKNLLSDAIHGNKKDGLAALAQELNQGDWQSKKL DFENKYSKPVSQQNNVKSFLTPTRPSQLNQHQSKLDELLNSFNRKSIL >CAK70821 pep:novel supercontig:GCA_000165425.1:CT868087:22660:24349:1 gene:GSPATT00038603001 transcript:CAK70821 MNSLSKRIRKIKKTPKVTQFEDSDDPQQFTACIANLNQIVAEDQCFSSFTIFQSNKSLME YLRHNNPQVVINALNAIQNVLRISDNFGSEVRDQFKDLGLLGILLLFPIQENTLSSYLNL AIEIFETWDITLLMPLYQRILPILDTCLQKVYNEDIISEALNLLQVLTEIPQFNLKKINV DQIFQHDIIKQLHFANQMKLKALTLQTYLNIDRLDNEMFSLINEVIRINIFQELDNIESF LQKQVTMEEESEFKQDERLLSTLQIWKCSAQSIIQVLVYLNRIYEEDNDDQYVNNQKFQQ FFQKNIIVELEELVLKNFFNYSGVIQKKLFTDQTTFTDELLSLTLLIFNLGNNLLANLQP LVCLQTLEPILPQIQAILQVDIIADEDKKELAQSEFLLLIKLLKVNPQLVMQIPPQFIVH LCELTDTTDILFHIIEVMKIRYSSKVKNDIETIRLVTQKLLKLMNQSNIMLAAQALDCLF DVYTEEDFNALLVEMQILELLSQGYQYLQSQLPQEKKTLAKDDWKFLKLTVTNLKQFIEY KIKIIK >CAK70822 pep:novel supercontig:GCA_000165425.1:CT868087:24373:24669:-1 gene:GSPATT00038604001 transcript:CAK70822 MNSSQRRDGVFSFNMLNPQLSYKVDTKWRKDVLKDVNIYVSDKKDNVNLDLPESLPKLEK EREWSDYGIQELLGKFIDGNKKQ >CAK70823 pep:novel supercontig:GCA_000165425.1:CT868087:24759:25208:-1 gene:GSPATT00038605001 transcript:CAK70823 MNSNDDDLYLSVSEEKDLYNYSEWVAILLQDYEPYRYQDKYDKLVNQYQKDVLFQQMIWI VLKLDKNKNTFDDLIAAAIPGAKTKGYEAPIERFPKQQVIVSKKVLKLRRKLQNYNVQFD FEDEYPLPEISKELEFKFDVNTILQLILQ >CAK70824 pep:novel supercontig:GCA_000165425.1:CT868087:25210:25584:-1 gene:GSPATT00038606001 transcript:CAK70824 MSSALFAIGCGLIVVGGGTKLLIRTYRQIKSKEFFKTVETSRAFYKGTFSTQLTRREAQL ILGVREGTPLDQIKTRHRTLLMLNHPDQGGSTYVATKINEAKELLLK >CAK70825 pep:novel supercontig:GCA_000165425.1:CT868087:25627:26191:1 gene:GSPATT00038607001 transcript:CAK70825 MNVSLKDIIPNKNPSQFNLNKIRFKISKKVESYCQCNQRNCLICARKRSSSMLSMKNSIV YDENSPVSKCTVEQPKPIQPFNRSISRQQSNYMGRVSVNVSASTNSTNNYGRTRKTSVES SPPKDNSMIFANPLFSYWQALLQIPLRNWERRLRKSVESRDESQQTGVRIERNDQSKDHI >CAK73189 pep:novel supercontig:GCA_000165425.1:CT868142:3:941:1 gene:GSPATT00038845001 transcript:CAK73189 PWTENENEDDGYYRTLPRYQTNILPLISHENWLRLEDYTRLQIYQQINEWSNSTEQGLYV LLSNPKDQEVMQEIAERMIYSKNLPNSPDLISLILDYSLRQEIKEYQFSYIQELFISLFQ RSEQLAFKHLSQMKLQKSQVYILYGMVLKKNQSSKSLNQLLHYCENNSIVLDNQIYQTPL KCPEGLENYYKALSKPYYIVFTLSQYQEFYKQIPLTMEQKTKHLQLFLENGLHEKLQFIL RQGDFSFETYSTAYFQMVKSFLEEELSIKFDNQDTDGDKVKQMDENGNQTVKIILINIYF LILNLTNQNSNS >CAK73190 pep:novel supercontig:GCA_000165425.1:CT868142:1130:6425:1 gene:GSPATT00038846001 transcript:CAK73190 MNSGGQEVDFLKCIEIVQKYFNPRKVKSKEIIAINEYYSNLLHPKNKKIEKNQAIIATLL QVFKKNRFPNSFLSSRTQGYIDLYNANANLKQAEIEFEFPQRFTSFQKQFFLEILLSLRN ESQNETANLILSQQHENMKCVKFLQKNLSELENFRFNSTTLYYVTKQVELLKSNSYSSEI FNYYKESEKIKTTFYNLQYTSNELTKNQVFLRKGRHDHITKQSQFSQNHLVAAIMSNNYA SITYALNLHAEPIKCGLINNNNIIKYFNSFIKGRIQGYEKLLSLNNIPILYYVFFKKMEK VFAECFLAFLTNLIGKQKTYDVIKSQLQLSSNLTEYKVYQIALMNKCYYVLNYLDGIITQ NDLKLISSPYILKCQIPPDKLEENSKEEQFYKKYFELLSLAQQELHWPEFERKKKKDEVQ ENEKEIRERKRKGEEEGEKKKKPIKKKKKNKKGKKDKKNVNSKQKSIISKKSNSFYNKDK QINELILFEQACINSVFKLKAEIELKNTMLSLALSENKWECLEKYKYPEHLFIQLITEQK SKNQIQNLDQSLFQSIFKNCLQYLNTQHPQLFLNVLKAIQVTLDIAVILYAKLKGTPELD NFLRYHSKMYCQYIRIPQRGVPIEGEVIEQEEEHPIPREYMKPSVAYILMLLHSIKQDNY DPNLFKKQYISIPLFQKTYDLLKQQLNQQSLSQIKFTNNTLQFLKDFSEVTINIEKDRFF ESLNFEDNVMEIVVLKSMIQIKLIEAIIENFKVQCTWKGYYQMERRHDKQLKNRFQIYFG DGEIKLSSCSERYEIYLKFEENQFTLSQDKIDRFFTFLKQQEQKQKLDKQPVLLENILQF KTVNNKQVNLSKFDLSALNSIDFEDINNENCINQILELTLPLGKGRQYEIDDLFAPHQHE QIRQIELSQQTSIQQPVLEGQQSSQMGESLGPLSLSQQISTNKNQKLRDDFQYMFELQPD NTYQVRQRHQKQNQKEIIFSEFYKFQQPNVKLNLIKLSQKEFIEQYDSRKTISIVIQDLY KYNEYLGDLIEGQKQQEEWIVDFPFYILNNSIKFSECLFTTYSIQCIFNKLFNFVEKVRA YSLIDKKGYNKLIWRINATSFLEVFVSKLIDQKSEKYPQVQIYSLIDSLLEWESLTMILN ILINHNLQSAQQVLKVIRGIYVEFNEISDLAQQHCQFVDTNCLLDKTFLFGNTSYLLQNQ ILIIRLNVKIESNPSQIIQIKNNIIVPDPRIQLYYNNILNIYDFFNQIFSADQLVLFIFN KLQVDRCLINYTDEMTRHLYKIVTFSVDHSQLQSIFSGEILRVMSIKNNEVRLKEFEGIW YILNQLHCYFTTDLFNYLKNSFMNEIFNNIFYIRFNCLLNSIKYKKNLNEILNSNARQIL KSNDGFVLEKVCNLNKYIGYTCLEFHFGFQITTSYYINNCEIGDFCAILHSDDSKLNLIF GKLLNKTKPGCLVFCDTSEYKGYILSGEREVSINLEDIQAIVVFDMAWPRDPLFNNSILN LFITDMEKDLFICNEKDFIKLDENVQQEKIDNLFGVGLATSQTPITLTKMTPTFADQINF EFISINSLRRQQSAKQPNTIFQIHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXFMGHNQCINCLIVNNNEDLFISGSSDQTIKFWVKQNEWICSQTIT DHQTRVYSLSLNEQQNQVISCGNDKFILIIEYSAQNRN >CAK73191 pep:novel supercontig:GCA_000165425.1:CT868142:6488:6832:1 gene:GSPATT00038847001 transcript:CAK73191 MLTFKPQEGNIMHVYEMNSINKQFTKTKHIILNQGNDGTVFFPQQYIKSKQLLINKHDNY INIISMTQNGQNLLEQSIQFGTHHIFGSLSDDGDYLITWDNSSHEIQIRKYTQK >CAK73192 pep:novel supercontig:GCA_000165425.1:CT868142:6948:10546:1 gene:GSPATT00038848001 transcript:CAK73192 MTATFVDQINFEFISIAISNTTKHRIIRINEDNFVVDPILTLPINTACYFESNAKSYKLQ GQLNNFLKQHEEFKEVFLIFDDELDNQVHRAADRIIAENLGINNFIKGYEQFISNRNQNS INSQLQMYFGVQSISSIKISITKNLINKIEFIFAPLKHEGETLIKLKDQTLQVYSLLGEN NIIYPEIDEVSFFFYNLIINFRDHHLESQITNDNQIQINFKRQPDLEFIYAFVDITLIAQ YIHKLVCIIDKENEIKAINIQHTDEITTNNKYSLYSFVDDMIIFNYCKYYEFMFSNFILD YLNRKQINQIYKINQNITYSGPQILETKLDLTSRAIFSGLNQTDQVELLSIIDMNMTIHN QDKANPLEFKELILIPKVDGFYINLFYIKSFQHPALAKYNNQRQSQYQVGDEVHFLLHPI NSSLSLDQQLQKLQVKLSLGQMEQKKYNLSQLLFQTSDLEHLIANCLFLSNNFVLVTIKS DSRLKINIYSTSSITLSQQNYQFSRQLNFEYLISTAQDLEKTIHVSVTHDEKTVKVKLEK DDHTTYGKGHKQLMEILLKEAKRNKKEIYQSVESTTHSSKLQSGNTINMLSNQDIAVATR AGVLRKDYIEFRDSNCNLVEQFTCGKVSFVHSIFNQIQYVPEKERAEEGLNLIWIPTFKG IYHLFIDNVKIEGRFIILANVPDLEISVIEIQSKFEVIPFCETILIQFYLKDKFENCYGD TENQIYSESNCDIKQVSGSQSISNLTFYNLTKDGNLNVNLTFDPIDLFVFNDTAEVEFFI NNQLKTSQIWNLSGLGLNKRIQRFQEVINQVWKPTSYNLNISRVNFLEDLLELAEKKLNY QFSIKFQNEAGIDAGGLKREFYDMIGNVLKDEKFKFFSPVSSDQNKYFLHQKFNKQKNKE RYALLFGKLIANSICNSYLIGIDIIAPFWKVVFNERIVFNDLNLIWDLDTYNNYEKLKTM SEEELKSVCLLFTYSSDNTETELIAEGSKIHVTQENLELYLNKTAEYVIHKQFDKIYKSF IEGFQSVLDISVSYCEYHLCICQKWLMNAEMFHFTQGFLEISSDVLLQKFTFSGGKPQHK SYFENYVNQADTETLKNMLKFITGNQYDNSLGSSSIPFDQSSYVISVKFDLGLSDRKLPL SHTCFKSIEVPLYKSFAELKQKFDIAFTIGCEGYGFG >CAK63981 pep:novel supercontig:GCA_000165425.1:CT868030:1078:1456:-1 gene:GSPATT00005833001 transcript:CAK63981 MTVKQYQDGAYQYYNLQCNSKSLDNKAMGCLNNKVKQSHQINFIEPEPWCQCISNVIEAL MLLSLIKQQHLFVLSENNLQKFDEMHIQKINIKLLLNATKVVSLDIKCIMAVVHFCLQF >CAK63982 pep:novel supercontig:GCA_000165425.1:CT868030:1605:1787:-1 gene:GSPATT00005834001 transcript:CAK63982 MLSKILVFINSCSSVAIYDVDQESFLYSPRKLENGIQNQQLLKEVQTNPVTTRTERRKTL >CAK63983 pep:novel supercontig:GCA_000165425.1:CT868030:1812:3282:1 gene:GSPATT00005835001 transcript:CAK63983 MFNMNLNHPDRTLINILKNCPVYCIRYKSLVYIYNFEAKLAILVDVFFGLMPLYFIRQCQ QQCSSSYFTNRICKAYFEAQILNSPNILLRSSSMHQMQDDYKQLSSFSNGEIFKTYFKLH FQVMYQKGFHEVFEASEHLKSMFVQLFQQASYAPQYNPEKQKDIHHIQDQLILLHVNLKS LQIQAQAHNVFFLIKFPVQFQKMSLNHYMCIILGSYHKFLLQFLSASYFSKQQFIHLQIH IECIIFHMLDIIINLRTESFDFYNENQKMQNIKVHQFQELHICITSNRCQNYMINAYQNI QQNFSRQKKQLLLSTSQPSRPFETKALFQIFIVNVIQDLNFLLVKINCSVKTRYQISRNT AQILLHNLQLNNYILPQRQFYCFQSNFSRKNNQQQNYTQRQKVMNIMIIAASRLSLQFCR TISINATQIEYNLNTCNQIVATSYKWFAAVKTLVILNWKYKRIWTQLFTHDK >CAK63984 pep:novel supercontig:GCA_000165425.1:CT868030:3541:4080:1 gene:GSPATT00005836001 transcript:CAK63984 MLYDLDLFQWVNNRSKNQYINCCHYTYVLIHKKNIRKKITQFPKYTSQTTILILYLNIQT TFRYTRRMTKYNQCNNYDIMNNFKSLFQTQFAQFKAMLLLLPHNNEKNKKHILQSKQTQG KMCKEQPILQLDQLLAHKNKQQFKELIEALTRKPLQSVHSIADYNYYKVAKLNNIQIAS >CAK63985 pep:novel supercontig:GCA_000165425.1:CT868030:4086:4514:-1 gene:GSPATT00005837001 transcript:CAK63985 MQVQCKHLQEIHFSICCMLLVLIALNIELFVRQSLQLLKLVLDAGLMTRQACNAFQTICQ KNMLIILSVLQASSQLLRVAENLELLVLNDYLNKLYVMIQEFTQLSPLNILLRIAILSDF TTLSITVQYIIKCTSNYNCVYQ >CAK63986 pep:novel supercontig:GCA_000165425.1:CT868030:4600:5220:1 gene:GSPATT00005838001 transcript:CAK63986 MNTCGSNIHVHFCFYITLKPLMFVITVPFNLLSIVFSGFLSLYLRMQHLNFASINNTFHN RRSQRQIIKKPQITYKQYFQAISTNLLYIQIWISIKSIIASRLKHSQGGARSWNNQFIFI ITNSSIMRQLAESKYQQKSLACNNRTIFSQLILELLSKVEQDVMNVETLYVRHQLMKILI QFNYQYIMHRSSSNQHHKNMNYKIIS >CAK63987 pep:novel supercontig:GCA_000165425.1:CT868030:5256:5947:-1 gene:GSPATT00005839001 transcript:CAK63987 MWMAKYFSSLSLANYIITQQQGNHLNALYQLSYTPNIIKNFSYAIKLLLYIKFFLSLIFL SELNKQISTNHLSNFDSPQLFIISLSLEMFGAAYDLVQNGLITLLLAEAEATKSCNMALF YQHKNYFQKDRKPPLSCYCQGWIGQILIHFCNQFYFGFMLKSHFKQYYLLFIAYLLCKMF LSLLNSKLEYFSNLSTGSIFSIKFRSNDFKQVMGKQRENTK >CAK63988 pep:novel supercontig:GCA_000165425.1:CT868030:6597:7387:-1 gene:GSPATT00005840001 transcript:CAK63988 MMESLLIVRSAKFHVQLVKTLQFIVHPVNQRDILKDFVAKLGVQQIRLQTNSGRFPTIFW VSKPTYYIFEPIVLEFKIKKKTCSDMNATQVDNSQFSLSLVEVHRNDSNSRSSRVNYSEI TSQHSFIVTIERYTLTPMVAYTFEQTTSDIIVNFSTKRNITIEVSSGDSESQKRYLNIHL MQRFRYLIQLELGFIHSDQFFSLIRAPLREITISAFAGKISMLMTLCDFIEVSQMIFLST QLLTEPIVNLQI >CAK63989 pep:novel supercontig:GCA_000165425.1:CT868030:8220:8921:1 gene:GSPATT00005841001 transcript:CAK63989 MRLRNKLKRLHYTNSLYKLIDDQDLVASIFPSYEYHTYNRLPYQSRQQRNQTLVKSQAFR NLSQLQTPSDTKISLSFEKASSKIDLDYAVNFVLSNQIQYQFFFVKEQCREFNMLHTPQQ LQDQIPQFNYESENLYFLLVHGVQFQQQSLFFISITVLDINQDYIISLLTANMGFAELSY ASIKQLSQGDIRRITTLYRCKESFSCKQQQHYHCKTKIYWKFIHMIQYQGNRD >CAK63990 pep:novel supercontig:GCA_000165425.1:CT868030:10907:11873:1 gene:GSPATT00005842001 transcript:CAK63990 MSQLQYQSSGDSPIVAFQEDNGELDSFFAKMKSVGWNQASFDEEHQKLATYNEIMIFFVK VFVLLALMLTTFYVMAFIFLVKKVQIIKNGEIVQYHFIIYILSCVITILMGRWAYFSESS RKFPLNIFCYLFFTSGAAYVFGQPLSLILQGGYYSGQDWIILLYLSTMTLGTYLCIIIFT FCRQTPQKPYIIIFSVVGVMIFLLFIFLLTAPYYLGLLVASFACHVLYGCLLVIDIKLIT QGKFSLRTNQYVSGALYLYLDITFMIFYFIGCILAPFGKCCVFMGQCCEICCRIIPHKH >CAK63991 pep:novel supercontig:GCA_000165425.1:CT868030:12490:13308:1 gene:GSPATT00005843001 transcript:CAK63991 MDLALLMGLLGFETARTSKLRYLLPRIQCSGSTAFRDAVIQGNELMLKLFSLFVKEGLHD KFQIVHVVLTDGDDCASQTSHQDFLKYQLYLYSQLPPQMLKTFYIGVNLENNSTVRQQMK QIIDCSCESAQYYSINSNGINEIFQKIQMQIGIEVQQRGLVMKNEHMTIGLMQEKYRPVV QITVNNYIVIFTLDISGSMENNWPKVCGAVSGFLDNLGENDLVLGITFNNQVNIITKPQL ENRIQNSPPPRPHLPQSYSNGPDEGYYCCNIF >CAK63992 pep:novel supercontig:GCA_000165425.1:CT868030:14367:17397:1 gene:GSPATT00005844001 transcript:CAK63992 MECRFHQNQQLGWINTSNGPLQGTYLCNMCRDFYYSFREIEIRTQDQVQEMQFILNEIPL KIISSQINNINFSILPFQSFLDRLELQRKNNFKTQSLIDLRESIQMKQKQIEEKFSKILT ILREFEQQNTDFEKLFQLDSDSPFSDIYGERLRILAHILENNVIQQWQVWQKDCVNEIQK TIDRLAYGLDDFSKIIKVCKEVKDQRSMEVKEVCGIKYGEQNYIINGSIELVNYFYIKKG RKLFCQQSKRSVEYIWETITQERFEFSNTIRALSNEVYEGWSYFKNVCVFSCSRLPYYQD SKIIENIEQLKYFEEKGYVKQNKKSGLWLYYWKGECLNSGGIYDEQGNKDGCWKEVHDNY QEYQEYQFILAIHKLYVQKNIKMAVKQANNKQSLMKKQCKIRIFKNSGGGEFQNGEKVGN WKEIHEKFCRDLQIIEKGNYKMGKKFEQWDVSFKNQKIGGGKYNQKGDKIDKWIELHECY NVQDLFYYSTRYSRVFYSGRYNEGKKIGRWIIKDNDETIGGGEYRDGNKQGQWTEISGNF QNSSALQISYGAYFKGSYERGQKQGLWTFQFDKRQQYYTKITREPQGEYIEGLKHGNWTE LSTNFNENEQIVAKGEYQRGFRIGDWKIIRNAEMMFKKLQILVVEELMTMEGRKVVGLNQ MRILISIQKNQKHRSIILLKGGYNSQGLKFGEWSIYDGLVNGNQNIIGGGFYNDKQLKNG IWVEIYDGCKDSRILFKGQYQNGIRVSEWHILETKTNNKMYNQNHILSSGGGKFDDLGRK NGSWIELDINYFLNRKVRYEGNYCSGRKSGVWKARYFDYNNQIFEVYSLQLFSGNGQYDE SGMKTGDWTELHHYFSDLNKITLEGSYSEGMKQGTWKIFNRARGQCAELGKYDKKGLKDG VCEMSETLEGSIKCSKHEFKYQVLLTVLERYEKYNNNDEIN >CAK63993 pep:novel supercontig:GCA_000165425.1:CT868030:18396:20343:-1 gene:GSPATT00005845001 transcript:CAK63993 MQDTWLTKQVTKYIVINEKLSCEAFGCLYKGFYKEDETKLIAVKMVKIAVFGENPKLLEL FKQEIAILQKINHPNIIRMLDIIRTINYVYIILEYCADGNLKKYIAKKKENRLSEVEAIL FLNHIVEGFKVLYQNKIIHRNIKPGNILLHQGIAKITDFSIACVIDSDMNAPTQLTKMGT PLYMAPQILQGKPFSSKSDIWSLGVTFYEILYGRVPWEAKDFESFFENVQKQPISFPNKP VRSNGVKDLITKMLQLEESDRISWEEVFESQILRKQEQFIQPDFINLFQEKDELGQSILI NKCYLDQYLVARYLIQDHIVILEKQKEIALKTFGEVRSKSINYEQDVNNEILLRNQQCEI KRKTAMLKYYNYFLFERNVAFFFNFVVQQIIQLQQKLKLPNDNYYGLLFFIAKNQLIHLE RVNEQFTKKVHEKFNQETWERFLQSEEFRKLTQLIQKDLKQSLDFFQQISKAFNKTVDIE LLKGQISNKTKDLILEIENFYDNSIKVNEGFYHLYHEIIGDNIKQIINLKSQEIDINLLI LYLSICLNPYEEFKEINFDFDIFYEQTVQHKLNDIYGRLQQKGVKLIIVI >CAK63994 pep:novel supercontig:GCA_000165425.1:CT868030:20930:22256:1 gene:GSPATT00005846001 transcript:CAK63994 MINEILSMIVLVIIAFFIAIIIKYAIDSYMMEQESSKDQTYKIFNTNELQKRQNLKEIQQ EQELIQENTIQNEEDCNDNQQKTIFSLNKISTPISNLNQSNQEQPNFDKNSKQEKQIVNK KSEKFLIEDIQIQTTQFRKPFENQIQNENYQLFIQSQEESKLVLNPIMPKLAKNQQDDVR NWILSLYNIHDNYNPDDVFISQILNQNQIEKELGVNNQRFSMKLRENLIGYRKVKQDPNS LFAALSFSFLENALKSPNLNQLENEMSWIKTMNLVIKSRSFLIDEKMFQNHQVYFLQKLL EIYQTEYPITQLELLMNDRQSQFYGLSIIYFRNLISLLLNNQAPSEHQEAEEILYWESDL SDANKIFELLADRLRIQIHEYSINKLKGQVEIKIYGQDDDRQIHLLCSDEHYDIGIGMND >CAK63995 pep:novel supercontig:GCA_000165425.1:CT868030:22283:22892:1 gene:GSPATT00005847001 transcript:CAK63995 MQNQNKQVLVPVGDGCEEIETVAIIDILRRANIDVTFASIKPVEDEKAPVIVGRSGISFI CDTYLTEAVLKQQFDLIALPGGLSNAQSLGTHQPLLDRLRQQQEEGKWIAAICASPQLVL DKNGFMINSTGTCHPAHVQDYKGQFSEDRVHVSNKFITSRSPGTAIEFALALVELLVDQH TAVQMAKSLLVKR >CAK63996 pep:novel supercontig:GCA_000165425.1:CT868030:22916:23382:-1 gene:GSPATT00005848001 transcript:CAK63996 MEQLYHRDPTYQEQEKKREQLLNKLPQPNLSANLDELINNSIQLSSKGSSDFFISKKLKS AREKPDSEELMQKLLRKFEIEEYGTNFTKDVYDPKKIGDDSVVDDKKVLRYTVPNSFTHS NR >CAK63997 pep:novel supercontig:GCA_000165425.1:CT868030:23450:24953:1 gene:GSPATT00005849001 transcript:CAK63997 MNRLALYANQLAHHLTITDNRTGKTIEVPISTSRESDFIHATKLGALQYQGQPLRVYDPG YMNTICCTSKISYIDGDKGILEYRGIPIEELAEKSTFVEVAYLLINGELPSKSQLQEWDE KLRKHTFIHTDASQMMKSFRYDAHPMGMLISTLAAISTFHPEVNPALAGEGVYKNKDIVN KQIYRILGSLPTIASNSFRNRIGREHNMPHENMSYVENFLYMLDKLNEKEYKPHPKITRA LEVLFILHAEHELNCSTAFVRHLSSSGVDIYTAIAGAAGALYGPKHGGANEAVLRMLEEI GDLKNIPQFIKDVKDRKKLLMGFGHRVYKNYDPRAKIVKQIAYQVFEITGKEPLIEIAIE LEKIALSDPYFIQRKLYPNVDFYSGVIYRALGFPTDMFPVLFTIPRVAGWLAHWKEYLND KENNIVRPRQNYVGPEKRNYVPVEQRKEFPIYLESNKSSRQKRRETATLS >CAK63998 pep:novel supercontig:GCA_000165425.1:CT868030:24977:25802:1 gene:GSPATT00005850001 transcript:CAK63998 MFSKKIKKQEIKLIDNDKDDDQLQYSNLNQHPQIDKSIQKQKRVGINVQQLAKEKQISQK KSATNNERERNFLNQDSARGLTSINYIKQKTEKPDKLMEKYVQEKIKSVDPLAFCGQVEN VQDEQQRDAELLEEIRETQAKFLSKNEEKDPSFYVGGIIQVNLSLEEEAQKLAQMEDLKR DQYIQTIIQGKPKRAAPVVVHPDEEEDKETKAFRELKSKFYQKGRIFKYQQMKKTIDNIK PEIEQSKS >CAK63999 pep:novel supercontig:GCA_000165425.1:CT868030:25911:26617:1 gene:GSPATT00005851001 transcript:CAK63999 MKILILILLALTLAKEDYYKILGLKKGADLDSVKKQFRKLSLKYHPDKNHSPGAHEKYQK ITHAYQEIIDGNADKEDDDMLFNNRRYSQSYTRTYTFTDDPFRRTYNSYTEFPNQKQDSF LNLNSIFIIGIVLLFGFLITNFMSNVSQEEGKQNQSANQQQQNNQQHENQQHQSQRQDQS QTQQKHHTKLNQDIREKVSNISSYTESNHRFQFYENFNQ >CAK64000 pep:novel supercontig:GCA_000165425.1:CT868030:26805:27383:1 gene:GSPATT00005852001 transcript:CAK64000 MDKELLIDCLYNKDVIKYHLSSTRKAQNDILTKKPSPQFYHAQSSKYGVRQPVQIKNPLL IKSLFSSRKYSKEKDISLPICAFKILPTQTLASFQTDRIHSKCPAFKKLKLKLGLKQQNN VPDEQLRVETAPAICRSQTSAKQTITNILKSQPSIEKKTKKSEPTFTFNRNDWGIGGWNI VEDNYDAKMFVD >CAK64001 pep:novel supercontig:GCA_000165425.1:CT868030:27539:30454:-1 gene:GSPATT00005853001 transcript:CAK64001 MNSELGSFTERAPLKTQPSIPKQPQRPAFLKTVNLKTLQNHNIVSFQNKIWREKALVVLI QVLRFISLITRSPFASKFSLLDGNMFRIIGDKAADFNYYLLHDYFKYMKPQPSSRLKYFI QKHLYQCCQMKGILDSIINLKITLEPESIFLIVWNVILLIHINLNTLYITVRFSFDFENY PPERFQICEVFLFKVPFYLYLFDILIKMNTCYYELGYLVRDRNKIMANFYKHHFLMNLLI IVPSLIYLIGVTELPLYLFLVIKGFSIPEIMESIIDRMELTTNYWVIYDLLRLIYVILYQ SHLCCCGLYYVGLLDKETSWLLTNNLINETWIIKYFSTYYWSIITMTTIGYGDVTPQNLM EKVYLIFVAIVSCCTFGYSINSIGQILGQLQSKNHQIRVDLNDLKQFLRVRGYNHKLQIK ILRFFEFLWKDQSNENKLDLNKFNQQLPSHLYNEMMIDLNMKSISKIPFFKENFNEDFIS ALASKFVEEKLVPFNTIFQKNDPSNFLYILCDGEIEYFVEIPEGSANILGIQIVSGQDQI FGQQEFLLDQNYEIGCRSLTSSRILKISKNDFQTIAKKYGYEKYCQLKDLVKFSGRFDEF HLHCVGCNKSTHMLYQCPMLTGFPNKTKIIIQYRKNKIQERNYYCRQNANRRLSSLIFEA QISDTVLYYLMQDPKLSQQISSKYFIQSLKQQQHQKEQNKETQKDPNLQYDTNYTNRKLS VLNIKGRKQSQYIKRLPGFLNNSLLNFKNTQEDKLKAALESFSKNVSGCNSEQQTPQLKE LKKFKTHLDKNQDEINPLEHNKITQDIGKSLLFKSINQSTNQCQSNEPDTISEMENSSDS EISESSSSELKEISPAINYLNQQHMGTYKDIYEEFDKCQDFQNYMSHMNSHKILEQLNQN KDCQNFTDFMIVNFVRKRRSKRAKLIIKIDD >CAK64002 pep:novel supercontig:GCA_000165425.1:CT868030:30903:31994:1 gene:GSPATT00005854001 transcript:CAK64002 MNQLEKTPNQRLQRTQSQQDEKWFKQLHRISSSLKYCFIGKLEIQKQHKKEMYILKKLHV KENQITSFMKNKSKKKFLSLIRCKDPETFFLKFKPIQKPLNMLRMVSELNLAEKVQMNLN KRSKHEEESSPIVNRTFNKNNKMGSSKVIELNVSKESSQGDIMKPRRTLEYLFQDNKKQN PLLQNESDLEKSKSKKQTQSRSYSQYSKSNMNTLLKTDINALQTEMDQVDPKMNTKLLKI TKVSSQILARNGIFLQTKNTSNSIKVNSQDNICLEDDNSSLASHTELMLDNLYGNTKKLN SDTKNLEMRKKEYEHESIKLFYKKIYCKI >CAK64003 pep:novel supercontig:GCA_000165425.1:CT868030:32099:35614:1 gene:GSPATT00005855001 transcript:CAK64003 MNNNGIWLNRVVFPKIKDKEISIKFVYIKCCQQNTYMIDINQNLWGFGESLYGQLAERVS SIKTPINLSKLMNVQFLKIGGGIDFVIAYTTTKQLYIWGNIPGLKFTQLLKTEKISYSKD FQGKSFEIKGLNITRYFNQQVIIDEKSNPPSLHSTRRNSKLNVKYIVDIQDYSTYNNALY ILTKNLVLHSFGKQLETQIQFCGISCGPFHTLAWEENGNVWSWGEFQDGKLGYMRFDVSE QKEPKLIIDFKAKAIQCVCGANYSIALDVKGDVYTWGKGPFKMDLSKATMPSKIIKKKSP FIKVAAGLDHFGALNSSGQLYVWGSNKKDSIFNFPEEVYPPVPLEIQLKIIDFDMGPYNT GLIIQGENRVNLPLLNFEHFKTEQYKVISEEASLIQTYVNKKNAIEYEKKKSEATSPIIQ RQEPLDLNKLIRKNKSLKGSSSSIFHSSTSINQKLNSQIKFPILFDGVHAEMQKMEQESQ LYIPIQYSNTDRVAKNPSQEFIELDCVIQTDNDQLKYEYIQLAKEKDEEGLLLSILNQAE EKKQDLHLKMPERVQINQFRNKFKITKPVYHDKYDQFDRSIIQATKEQIQLLHQERAQKV LQKKRQREQEFERISQISLQSIKPILNKEQILESIKTKAQENQQKAKLVTLKKEEIQVER ANYFTQVVYEKSQEFKQKQKQLQLEKQYRQNLFKELLTFLNIQNIGQIFLQTSRNVIDHR RSELLQNISAKKIQHYFRKKYILVKIMNQLNATARKILTAFIVRYKIKLRIKRKQQRIRK LHIFQLRHQVRLKVIIGLASVKKAAIVTQQFCAWFNNSISIQLSVLNYYWDEHLLKQFKC VNLNQDKEELKACQSNFTKYIQDEFSYLTNQLLNQDQISKLLKFPKHILLHGRMLRDYVS KQIAIKQENERKQKKQFKQSNQVLLPYTRYIEKIQLPNQYRIKLNFSQIQQLNLMEELTL LECKLKKDVLFSHLLKERRKYVLQMQKFFSDLLEFKEKNKALIGSARIKTFSKLTPQEIQ FLKELDQIEKQIYLQRQLNARQKCKLTSNIEKTSKFVLKYKQGQIQQINQLNEDQYPYPG LTFKVVEQLMRSSRPIFDINLDVEQWNDLFQEYFNEFRARSEKIISSAIRITTLKIKQES PHKKRSQIT >CAK64004 pep:novel supercontig:GCA_000165425.1:CT868030:35820:36445:1 gene:GSPATT00005856001 transcript:CAK64004 MKNIDEVDSRIHQYMEKRKSQQPSSFDQSTILFQDKAGLLNELETLRQKNVSLEQELKEL LSSYDEIQREEQIKRLEQEKAEIIQIELEQLKQMHLKMREEYQQQVDFLQKQLDLAEQEK IKLLMENQKLKSNQCNEQETQDLYNKVFKDGQENIKFNKQIDRFQKEILKLKTIVETKIT KKQQGKPKLTTKQNERQTKN >CAK64005 pep:novel supercontig:GCA_000165425.1:CT868030:37021:37467:1 gene:GSPATT00005857001 transcript:CAK64005 MNNYEVGIQRFIEKIREQIRLKEKEYLQIEKALIHKIVHKSPQPARTLELRPNHFLDVSI KPKHVKPKSNPNNQKTFDEIEPDVLPYLLQPLQTQNHTINKRISLKVPTSIPESSKKQER IENLQLRRFLRNCTPKKWTVESSDEVQI >CAK64006 pep:novel supercontig:GCA_000165425.1:CT868030:38030:38576:1 gene:GSPATT00005858001 transcript:CAK64006 MCDSQLFNFNTPLALNKQRRIINVLPNEIDIEQILRNYRINNKIEQDIVQKQQPQRSIKN PANLTRMKRVILNQFNRPATNRNNQQKCRENFQEQYPYFLQIQHYKITTQPNSLNVMKQI SRERIYSLDRHSQKKKSIDFSNIKTKNMSIQQQEITAWTRKTSESF >CAK64007 pep:novel supercontig:GCA_000165425.1:CT868030:39219:39464:1 gene:GSPATT00005859001 transcript:CAK64007 MNTKLQNKGGYYVLEKAQKKQTKQTRQPKQTQTQKKSFKPFEGKGIKMSNDKNHYNWICE QCGFINQENADICCKNCQFQK >CAK64008 pep:novel supercontig:GCA_000165425.1:CT868030:40701:41441:-1 gene:GSPATT00005860001 transcript:CAK64008 MDNMRHQMNEAFTFNVNFGSDSIQFNLQTNGSQRQHIQIQNIGPSIRVQQYCNMPNVQFY TADDDDDEEDENYQENYFYQDEEPEVEERRQAQPMSSSEIKQIPTQKYIPNQKNLNCVVC MIDFKKSDQVKILECLHQFHSKCIDQWLKQKGECPVCRHQLN >CAK64009 pep:novel supercontig:GCA_000165425.1:CT868030:41727:42920:1 gene:GSPATT00005861001 transcript:CAK64009 MTTISIKIKHGTDQIEVIEIDPNVTVKELKEKLTPKFNSEPSQMKIIFQGKILKDNDILS NVNVKTDSTMHLVVTKQQTQPPQQVPPQQQQQQQAQQPVGGQQQGQGLGGAGGAGGMGGF GGMGGLGGMGGLGGMGGMGGLGGLGGMGGMGGMGMDPQMMMQLLSNPMYQAQISQALQNP QIRQQLMQHPMMAQMIQSNPQIQQIFDNPQLFQMFLNPQVIQMALQQMGGMGGMGGMGGL GGMGGLGGLGGVGDQQNPPNPNNAQVPPPGSQNAQNPMAGFDIQNLMNLMGGGMGGLGGA QQTPEAAEQKYATQLQQLQELGFTNKQVNLEALIATGGNVEAAVDRILNMIGP >CAK64010 pep:novel supercontig:GCA_000165425.1:CT868030:42920:43284:1 gene:GSPATT00005862001 transcript:CAK64010 MIDGDEQKKMENEGSYGYFTILAVKFTSSEQQIDRLILIFNLRNYNTLIKFYTDKEYQQG DSQENLNKTNEAYKIIKDEKARESYMHKLMIRCYLSQPFDLILPNKQSMVVY >CAK64011 pep:novel supercontig:GCA_000165425.1:CT868030:43354:44422:1 gene:GSPATT00005863001 transcript:CAK64011 MQFNFSAQTLTEQKKDVRTKSYMFSNISSALYSKKDDEFIINFIENGKILSQNYKAKFQN QRDQLVKLAIFAKEIFEETKPTLEFHNPNTKQDLNLFKVQHYSIGENSKTVSSKVTNLFF DQVSTKNYFLWNDSYIPPHSLLKTSAQKLASLGQSKTVHFTIGKSQMLVSRDEDLRQIIQ VIPLKKEYLAFSRDENVVQLQVLNNTRKYRFHDKQSASQFVQKLISVQNQKASATPLMTE YPFGQHLQNIVRSKKSAIQKLNSQIAQYEQKVQQLKVQRQQLLSEFNDLDLDKVDDDELM INKEKEQQNKQSSFEPASLFKAPVKFKDE >CAK64012 pep:novel supercontig:GCA_000165425.1:CT868030:44461:46157:1 gene:GSPATT00005864001 transcript:CAK64012 MPSQIDVFQLTHTSTDDEIQLCVDWIKAQLKAKQTVTLLVKKCQRQELIPQVTVDIVTMI QQKIKQLSQDPQTRLSEVQELLQIYNQKGVKNQTILIQLYIELANAKQPEAQDKAIELLC KVDEKIRKQFTSQFSQFLKEKIKQLIENGQQEANQQNFKEALALYQKAQELNNYYEEKDT TIQLNIQLGNAYHFLKQYDDALNVWEKSIKYIQGQSQQQQFQNELIYMYNTVGQIYYDKK QNNKATSYWDSAISILEQQNEQEKLEQQSKQLLGHLLNNVGMIYYQKGEVKKAIQNFQKT IEVYESIYGKGHISVGNRLNNLGEAYRSDKQYDKALEMFNKALSIKKAELQVQPSKSLAS TINNIGMTLQNKQSHEEAIDYFKQALQMYNEPGVFSDPNAGDAALQKSNTMHSIAECYRA LHNLDPCIQYFRDAYKYKAQELKIFHKSTQHTAIQLASALFQKNQFEESLKIFEEVLDGQ KKVYGQESQIVAQTINNIGTVLSELKQYQKALEKVQEAIRIFEKKLDKNDPYLQKAYENM KNIKQKLLE >CAK64013 pep:novel supercontig:GCA_000165425.1:CT868030:46183:48938:-1 gene:GSPATT00005865001 transcript:CAK64013 MKKSYQFRALFYKNASIQSRQIGTNICQILTPLICLVLVYIIQEIIFDKFSGWTFQLDFP YLLNIPFIYSQIPMFSNVSCLQWYFYDVSQLSHSEQNFIGWNDGQIGKNMSSGLLQNILQ KPGCKSNNLSELRNIPFLEQPDISINEDIYERIQYLNTQPFYRGRDLDDIWMIPDGAFTF HNANEEYLNVTIQVNDLRIPEYHRANGITKVFFKVAENKTNNLMLVAEAQVGLIDLITRA YLHQLNPKIWLISGIQYMPLIGEDRNLVQKAINLMGALLFPLSLSLLLPVFLYAIVLDKE ERLLQMMKMNGMRMIDYWIVQYLFNSILTFITYILFYFVALYGIEIQVFKYTDSNLILLI LIGWGLTQISLSFFFQVFLNKSRTATIIGYLISVWGTIMASTINLAIYPDPLELPWYLQI VPQIAFGRLFYILSFACVSQHGCYSSLELISPEIQGCLFSLYFNTVFFAIMGIYLHEIIP QEFGVASEPWICKFFKKTDYMEFYEEDQLGLNVNQLEEDTDVLEEREKVYKLKNLEDYPL VCKDVRKMYQNTVAVKSFSLCVEKGEIFGLLGPNGAGKTSIISTITGLYGCSDGTAFVGG YSIKQQMKEVQMRIGVCPQFDLLWPELTVEEHLLFYARLKGVHRDMERVRAQQSMAEVKL EPYFNYQTQQLSGGMKRRLSIAIALVGEPLIVFLDEPSTGLDPDNRRQLWEIIQQCRERR AMVLTTHSMEEADVLCNRIGIMSQGVLKCLGTPQRLKNIYGGGYHLSLQIHRDKYLQSIH NQQQSQFYINKVKDFIRDILPQSIMISEFNGNLIYQISEKSCKVSDIFWQIEKEKEYLQI SDWGISQTNLEDVFMKIVGQF >CAK64014 pep:novel supercontig:GCA_000165425.1:CT868030:49004:49207:1 gene:GSPATT00005866001 transcript:CAK64014 MNQADQSQQSQDSQSTMFSYDPKLFSLLQKALLLVSKQKQAIKYHNRPQNPIKFVADYMK QNRDDDF >CAK64015 pep:novel supercontig:GCA_000165425.1:CT868030:49450:54531:1 gene:GSPATT00005867001 transcript:CAK64015 MITQFNRKGQINLKVFILERLLHLQYFVSLQKMMFYFISILGDFQGITFLWVPIFESQKL TFFQNKINYESFIIYLSRIDELINFQFNASLQYYLIPLLIQILLFFIPLLILIYQQKRER ILKLTIVNFYIKISSIFFQTFVTYLYVPFTVLCTQTLLITLSQNQSIFHLIFATFSLLLT FIILIINLVLNRETIDLKVNRFQKFNQTFLDYLQVILQILQIFVYGIIKSEINGQTLQGV LVLTMSFINIVQVFQFEYTDVLRTKFSLMISSFGCVASIYSLIQNLQQDLIYKFTNTGII MVYITLSKLLLIFYSQREESIQNNILLIKQHKIIKYYLSRLVTDDLSKSEKRINHSILLH QMSKIFEQHSNDSEVKQTDITILTSQNLDVFVQKKLKQYVKCISQIKGIDLHYVALLYSF GFSNIALIQINSLINKQLIQTKYKGFLGDGDAPSSILDSSQKYAAHQSVSSKSLRSNTDE INDMAKRAQFKKSKLLMIGNYQLSSLNTARALFLKNQIKENLQFRFYENNNTKSQLNDMK QGVELFLKNEKRNQGLKNTMIKLINEKVSFTLQFTTKKAIDADQLYNKAIKLSKIQQSLE DKLFIRYQQFPSLKIQSILVFYQGEILNNFIEAHKYKNLTSMPEEQLINMDVSIKTAFFS KKVVYLNIQLEYNQNLLITSKSENSLKFFQISQEDQKHFTNVDYLLPLAIKNEHSLLVQR FTQTSKSKFYLNSSQTFFKLNNLLIKSCQLLFDIHFDNINHYKFSAFFSESINQSAYLLV DINQKLGGITESFFEKLGLNEEYYNQFNMQESTQLQIDYLIPNFKKLIESKQQNTITELR FLKEAYLLESYGEKLRNQTRQQSLTSTHWSNLDQTYIFEAEICIQYHDIFGYNYFIIEIK DVKQLINSKRSQVSSRNKKVEGLEEFFELSELSEVEAVAQSPKISRQQNKFKICYDENMD ENKMIAKIAQELEEKQKADKQQQLEQSQQKQEISFQQNILSPNFSNAPWQDQSQIPLQIQ QSMQQDYFHKDNSDDKSFSLYQSKNIKLEELEDEFKSRIEKQKVAARQNKDVVGEKEQSS SNNALKGIKKSIFYKKYETIFQLIGPITPTTLKLFIMFQELTYIIALTYFIIILGSAQVD LNRFIGEIDMIQLHAGIMNPHDLYLQIRQPIITYNSFLVAGKITQAEFKELTDPLYENVG IGYYEFKEGFIYWLGNEYLTPFLKDKNITVYYMLYNGSKTYPITQNIREALMATLSYYYD FKLRFEARLSTANQTYQVYQFANIYNFHFWLEDVTMEVYQYSKNRSIDISNKWNMIWIIY LMVNICPLFASLFYYRLFNSKFDQLVSLFKYCSSYRMELELERLKYILKVLNKNSDLLFN YQFNIEQKEEDIFKLRQEHEQQKVKDIKIQQQFKKISFGIKFIVVILGWMIFFALSLVSN IQIGEYLNKYSATADAYKLIQDMSLYAGTLYRNRDFGLAFANYPYLRPFDTEKFYYTINT GLETISKFLQFSYTFDSSQYQTSEDFFKFFQSLQEQNICIVLGDENLGFLKQYCEKSLQG SLLLGLFPTLKFISNQISNQMMINNFTKRVEFNKYENEGSIIVLRAFQLMSKQFKIGMVN VTEQQITICNTISIIFIVYSLLLMSYLLFILLQKLRIELNLARRFILLIPSTVLFLDDQF DRHVRILIAGQDY >CAK64016 pep:novel supercontig:GCA_000165425.1:CT868030:54584:55612:1 gene:GSPATT00005868001 transcript:CAK64016 MQILKPTIIKSNCHKIHMNLGLESYLFSNSFIQSPILYLWQNDKTIVIGRHQNPWKECNL QLMQKNSVWLQRRSSGGGAVYQDLGNHCFTFLNTFTNEDPKTINNDILINALLNLGIEAQ ASGRNDIVVGEKKVSGSAYQLSLGTKVNPNKIALHHGTMLIDVDQNALSQYLTPSKKKLE SKGIDSVKSRVTNLKEINSTITVKQYEDELIKEFIKKYNDVEFKEFNSDQLLNIEEVKKE SERLSSWEWLYQYTPQFTVNLEEKLKFGMFDVYLQVESSIIKDGRVFTDCLYPDYVTKMN QVILNKKYDFDGIKQIFNEMRIIFPQQNELSSELEEWLLKAI >CAK64017 pep:novel supercontig:GCA_000165425.1:CT868030:55632:57296:-1 gene:GSPATT00005869001 transcript:CAK64017 MYQTETKSSLYASKLIQQTNYSALSSLPKTYIRQVQAEGKIDFDNRLGEIFMAAPQKCAK LWKEFRRNDFTQVQIPYKTAISVFDACKEDLQMMLKISNGKDFFDLFDQDNDGYLNEDEQ ILVFSIIKEKMQQVANLLLQIQQYVPFKQLMKAIRTLEQNICEYQDILRQKIYKSEISTY KEIGLEKLDDFYEKYYNYFMQFENQKKIRIQIQIQKQLEEMGQLEDKLSKNTEFLKVKPK RKLKDLQTQEKLVSLDERVEEAMDFRRELKNMEKKEQERVASEQEKRVESQKDELQRKHQ KQMEQLKAKLEEQEHKLIIQLKKEYNVLLKQIGLHSNEIERIQSSATQNAVRKGEQEGEL KRMKERARIQNFIIGDTKRTMTPKPQQQNTSTYEESMSSSPRSPAVVTKYDRAAHEIKHL IHKQNYTQFYIKKKYGADLPVNYKPEPYKVQGDNHERIEKILSVKKKNPHDILPSLTELY DENLKELDKGSTQKSEQELTQERLKKKQFILEKLEESTH >CAK64018 pep:novel supercontig:GCA_000165425.1:CT868030:57440:58333:-1 gene:GSPATT00005870001 transcript:CAK64018 MNDERIQFLEWKNEKAILQQKIQIQEMYIQEAKEREENLRKMNEIFINTLNSQQNENVVQ KFQKSYDQNEIEKQQDIINAQQIEIKLLETKLAEKENQIKLIQKSNEKEIEKLQKRIVEL EYLSCNKENINYQNIIEYQVNQQQDIDKSLQHQKRNQSPSHPTTRIRVNQQSQQISNNKF DESTCTNQDQNDIASFLNKINPNLQRQMKDIQSKLYNTKKKLTTTTEQESSFIRQSIQKI KNVNNAIYVQRSRNHSISINENTYCEQRSTWDDQVEYRTNQTNQTNQSLICNGFRFS >CAK64019 pep:novel supercontig:GCA_000165425.1:CT868030:58535:59684:1 gene:GSPATT00005871001 transcript:CAK64019 MSDQFLNCRLCSIEFDQVIHLPRLLNDCGHTVCEKCIKQQEGHFRCPADETLYEYSIDGF PINTTLFKLIQLKQKPKLNDLFETPQLSLCNDNSSSDSTISKNKFQDSQITPISLQNTIS DCQKQRDELIQRIENKFNDLITRLEIRKMELFSQIDMKYEQSPFCVLQVDFQFQMDVLIP RYGILREELYSDIQLNNPQSKEQFLTFSNKDSVETNRQRSPPKKIFEELKQIYIRPALSQ EKMNELKKIIKQMNRSQNLDVINLKSRSLTDDDIKVLSEALSTTISNIRVIVLSKNQITD LGFKYLIDALWTNKTIKTLRIKNNKLTNESLRYLIQIYEDQKYNSLQQIYMQGNQITNDE QVQILTKLGILIYK >CAK64020 pep:novel supercontig:GCA_000165425.1:CT868030:59760:60100:1 gene:GSPATT00005872001 transcript:CAK64020 MGKLHGTLAKAGKVRKQTPKIEKQVRRHKIPKGRAYKRICFNRRFGSAASTQGPQQKRKG PNWHAGRKDLIEEERKKQVEQRRQRKKQDAK >CAK64021 pep:novel supercontig:GCA_000165425.1:CT868030:60289:61049:-1 gene:GSPATT00005873001 transcript:CAK64021 MSIQLYFNPLSQPARAVHAILVIGKIPHDLHNIDFMKQEQNTPEYKKISPSGNVPSIVDG DIALFESHTILKYLAKKFKLEKLYPSDIVEQARLDQYLDWHHTGTRNITLVARDFVFYPK FLGKPAPENKDERFKELEWYLTTFEEIFLGNGKHKHILGFDEPTIADLSAVCELVTLFML NIDLAPHPHLHQYLKHMLSIPEVNQVHQPVFGFTQKFGENLRQEYIDLMK >CAK64022 pep:novel supercontig:GCA_000165425.1:CT868030:61748:63321:1 gene:GSPATT00005874001 transcript:CAK64022 MNNIYQAQLTESNRKNYLKLVNLLQMIIFYNEYCNFKIKETSSQLDLDIEKIDQEIQQKE ERLLKSKRRYQKCKIYKEELKKQLKLKPQKVYGCLHCSLEFSNTILLDRHMEIHKEPPNI TPQIIAIQKELQQQLMYMYQAKQQRKQLELQKEDLKCGMLAAAERNQELVSNEKMRQIEK VYIEKVNKLETLLNQLNSQTEKEQYASEWLDNLEEGINEQLNLKSEQEKLLKTQIEAQQA QSKVFKSQRSLQTSKKDLRIPSNTSFKPQSQLYIQSQVRGSNHQFRRSNGGIEYASDDSP REEIILEEDDQVRENFGHTKNFSSATSKLKGMHSKNPSGVSFQESNLRGLTKFEQYEYRY QEFNSLVTEMLLNRYYLRRTQFDPQVIEKERLKLLDQFEDLDEVDDLEDLLKQMQKQTKG FEKRKRYQIKKKKLRLQDQAENEDKEHTITTLESSSENQESQEEKDEEIELRTNQQFELR KFPFKIAGGDQEQGNQYQQYQEPGQGYGVNTFSINY >CAK64023 pep:novel supercontig:GCA_000165425.1:CT868030:64159:65850:-1 gene:GSPATT00005875001 transcript:CAK64023 MNLGDVQKTFKQVYQQGGIKHFYKGGLIAIIGSGPAFSLYLTSYKYFKMQLGNKIESKLL LHLSCGLLAETVSGVLWLPIDVVKERLQVQKRFGYHNYSGSIDAVLQIVKKEGVLGLYRG FGATLGFFGPYSALYFASFEYLKEQTNNNALLSSLGAFIFSSILTQPLSVSKMRIQIQSR KMLENQTGEGLFNYKNQLHGIWRILIDEGIQALFRGYVMRCLYAGSLTIFNMTFEECSKF LQNKYLCYMLSSIFVLLFQYPHITMSNTLTLYYNPLSMPSRAVLTLLTLGNIPHTAKVVD LQKQENLTPEFTAINPCQGLPALDDDGFKLFESHAILRYIVNKYQLHDFYPKDPQEIAKV ECYLDWHHTGTKLVSKFVFDHIIGPKMMGKPAPPDFEAKEKEMESILQFIEYVFLGQGKF KYVQNQPKMTIADLALIFELQMLFGFNYSFDKFPGLKNYVQHMSQVPQIKQANQAFYGMI KNAPLNDFVKALVQ >CAK64024 pep:novel supercontig:GCA_000165425.1:CT868030:66066:66554:-1 gene:GSPATT00005876001 transcript:CAK64024 MRKDGPNISKVASDLILAYKQLTGYSNDIVISPRYEKTALYFPQSPIEEQIEEENQIDSP RKTVTENEDDYLKEISELRQIVEQMRMKYMNIPERYLAQPLNSNNQNNQNQNQNQNKNEL KKKAKKQIVITKYQTDFLRQQYGKIQ >CAK64025 pep:novel supercontig:GCA_000165425.1:CT868030:66587:67765:1 gene:GSPATT00005877001 transcript:CAK64025 MIPEQQQGITEEEKELILIEELSQKLEGLYKDMEQIRRENLLFESYIMRNSKDLQREDEA EDKNKKTRKKNQPVDKKTMQLTNEEKYEIAQFESDTLKKNIEEGRIRSDQILETLRAILE ETDKAITEIRKDAFDFQREILFAGENTRTGKIEAERIEKYFKEKLAQKDAQIEKYKQKKA NIEQQITKTSNQIQKKEEMGDDLKFIDFHQLQIENKKYVKQIDEKNKKLLGLKIATGKIS SVLIEERNQLQNEIDQCNTLIQEIDDKNKKIAKTQEQTKKVKTQIASLTEKKKKFAIQLE QVNNATNEDIPKAMTYVLQKRKEEELVYKIRNTNRKIEIAELAYQKACKSLGLNPIQQ >CAK64026 pep:novel supercontig:GCA_000165425.1:CT868030:67897:68861:1 gene:GSPATT00005878001 transcript:CAK64026 MKIIRSLTYNFTKTFHTAKFCGSQTLFGNEDVYQSFHIQKGQYLDSFISDPELFTTNLVQ TIKDCKSKQMKAIWIQLNQNQLVLAEKLIEQGFYMHHCTENYLLFAQWIVESVKSQLPNY TTHSIGAGGLILHNNQILLIQEKNGQYKDEWTIPGGLVNDEELIVEAATREVKEEAGLDV EPYDCFLIRDLPICNQYQGDIYFVILMRLLNNNQAIKIQEQEIKNFKWVDLNHLQEFYQN NKFGMVQSRLMESLIQFNKSDKFDLQFFSLDPQRLEIDGQLKDYYFFMPKKSPKL >CAK64027 pep:novel supercontig:GCA_000165425.1:CT868030:69357:70857:1 gene:GSPATT00005879001 transcript:CAK64027 MFEWMPILKGKYFTAEQYLQIIVLVNLLIFGLIFYKQKFKSLQPITINLAILSFSWFGDF KYLSLVVLIVYNRRSLSRITIVVILYLLTYDVCFAMHNINQGFIFSVDGAAFSTQFTRLF QENRKFHPYVTLTQYHNAIIFNFQIQDQSNYAVYCNSVQQRITHSKLYDRYVYHSVIQID KKSNQIQIYDGQKMIGEYYYFDFNSTNITIIDGGDSGYTETSIQIWKHIQAEPKIDVINI GGDVAYDNGFVQCTTCWDRFLSLYESVCKSKGKLIPLILSIGNHDVGRVTNPSLLYDNQI NSNVPNIIQMFPQQLISKQIPQISDRTSYFVHRIGHINFITIDSGYMTNSESQKEFLKNS IEKNKVNLVSYHVPVVPLQVEDENFLWNLGEDLNRAALVFEHHKHNFKRTKKIQVLKSDN KIKVFPGNTYYVGNGAMGIQSANKVEHQIVEKSSRQAHFWVYNYDNGQQYVYAVNKDGSR IDYFELDQFKAA >CAK64028 pep:novel supercontig:GCA_000165425.1:CT868030:70908:71636:-1 gene:GSPATT00005880001 transcript:CAK64028 MDTTKKVSFNTTMLTQSLYTTTNLMQDDNKLVKDIQNKIHQILKGGNADNEYSHRLKCKV PLLQTVDCQKLCNKLVKFNEHYKIDENDQNSITINNKVLKSYKFNSVISKRRLTKSQQQR NNSQINNSGHQRGDKYNKIFNTEWSEYQNIYDLHNLLSPNSLDMSPAYAEVEFIKSKIKK HHRDDIIISKSRWIKNNETKNYIDPDQVYCVQPNRISTSRVILKSNHNKESITYRKHQSF KN >CAK64029 pep:novel supercontig:GCA_000165425.1:CT868030:71724:73143:-1 gene:GSPATT00005881001 transcript:CAK64029 MNKEQPVIIDNRYLLASVHYQGRHSNFYNAVDIQQEQKQILIQIITDQLCICKLFKRLEQ LIDGRDKSNDRHNRMSRFIPIPRIYRMGTLVVQDITHHYIAWQKSGPSLKLCFKLMKQKF SLKTICLVGLKMVIIQQQQALKITNLQQIHSLNILHRSLKLSSIMTTNHSNLLITNFDYY VEFLNKDGKISSKAKQFPIKTNKFSSIGQHLQQQPCPRDDLESLGYLLLYLLSQGKLPHK CQSQDQNIRDKYYMEFKRQFLPEKELKQYPEVFLQYFQNVFKLGIKEIPNYEILKQPLIQ YLGRQEQDYNFDWSEVFKPLVEQTATSEVSVRDKACSFETIAINNFKKELQKDNQSAPTS PTSQQNQNRALKSQRFTLAPILEKNESLLTTFNNCDSNAVSPRFHHQIANLKFDYCQYDS SSGEISPSDEPTVDQLPTFEQHQHDIM >CAK64030 pep:novel supercontig:GCA_000165425.1:CT868030:73535:74617:-1 gene:GSPATT00005882001 transcript:CAK64030 MDIDRHISQLLRGGCLTERDLKLVCERAKEIFLEESNVQPVRAPVNVCGDIHGQFYDLQA LMKEGGDISDHNYIFIGDFVDRGYNSVETMEYLLCLKVKYPGNIVLLRGNHESRQCTQVY GFYEEILRKYGNSCPWRLFMDVFDCLPLAALIEGQILCVHGGLSPDMRTVDQIRTIDRKV EIPHEGPFCDLMWSDPEEVDYWALNSRGAGYLFGAKVTKEFCRLNDLSLICRAHQLVMEG YKYWFPDQNLVTVWSAPNYCYRCGNIASILSLDSSLQSNWKTFREVPESSKSINPKNVLP YFL >CAK64031 pep:novel supercontig:GCA_000165425.1:CT868030:74631:75112:1 gene:GSPATT00005883001 transcript:CAK64031 MQQKFKSKLYNIITECEQLKDSNASFNQEISNFTTKYRMRFSNIVKRAQSEETKKQKEEK QLKMNIRLHHYLCQQIIDSTQYNLERPNRKAEKYKLQEETFFDRNPVLYLKQQLQQDKQR SLKLSLQARHFSIQQQKFVQKLENYKDFIGLK >CAK64032 pep:novel supercontig:GCA_000165425.1:CT868030:75158:77888:1 gene:GSPATT00005884001 transcript:CAK64032 MAIAFKRSSLSQKEQEAKNRFYGLPPQQSSPPTKDKPQKEQKRPSQSNQQQLQIPQQQQK DGFETAYNAPSNEQIDQFYKNLENDFKQVAQKQVTMGMLVSQQELMKQQQEILMRNQQQM QQFTQQNNTNSNNNKQNSNNQGEQQMMMNLLTPINQQLQEIKQLYQQTQQSQTEIRQQLQ QQNSNINFQQYDSQNNQLKQLLDTTQHNLQFLRPENLQTLQNFTNFNLQLYQDTINNLQN QIYALQNEAATSEQELHVKYKQLNDAHMVRKIQKVSQYEEETIQQLEKQINSINYEKSQQ YINQKLGGFFDYEQFSQDISDLTAEASIVYSSFIDKGLDYEMYIPEYQFDSSDIEALNNK PSSILDEMKAALGITNKPPPPKPKQVQQAPVVQPIQPLGEMQKKTLKPNHLVNLPTKPIE PPKDIKFEKQQTPQDYKPIFKEPPKQQQQSGQKSQKQKPFNMEKAEFRQKPKQVEDESKK YGVIEYLQNDQSFEEPKYQISYKKKDNQEINNNYDNKTRQKQNQQLISPLKQQVEEAERI QENNVYINKPQDYKDVYNYQEVKYNYQEQVNDPKFINYDDLIYEVQQTNNFTSQEQKSGA LLERLGMKQENPKNEFELIELLSRDIVKDKLVQQKQLNVQAQDMINKDKILKNQSIQIQP DQPNLQDQIAMGAQAFFQGLLTAFNQTLNQVNDGISGNAAQQARQLIKIQQQLESSEYTD TEEEEARQNQEYHQKQEKLLDNQISGSKQKPNRPAPFQNTQVLQQYTFASTFSQQQQQQQ QQQQTQQQFQQPKEGGQKGVNDMKNYLNQFALSDNSQVSQNKSGTSYAGSIFRLDDSYGH KSEGQVSINEGKGNKVIQQLNMQQRGQVTKDIFKDLNQDLSDSDVPQHRQKFQ >CAK64033 pep:novel supercontig:GCA_000165425.1:CT868030:78109:78664:1 gene:GSPATT00005885001 transcript:CAK64033 MNLSEEDIEQCQRAFNDLDDRGEGEIMADDLEIALEMVGLKQKPHKVHKLISEIDDGNRG RIKFKEFLGLYARLKYAGLQDDDQDMIDAFVAMGGNEDTSGNVDAEKLIRIIKNEFELTI DIEGLIKEVDTDGSGVIEFGEFKELLKTNYLQDDENDYP >CAK64034 pep:novel supercontig:GCA_000165425.1:CT868030:78950:87031:-1 gene:GSPATT00005886001 transcript:CAK64034 MAKQVKTKELRFVYYVEFLVIPQKIIHYFIVQACSNNIEVQFEMDNLNYEGLWCFHYLYF TDETELVVGLECPNQLQTKIFQSDLNCFQDLILIHGQNTQMLKGSTKKNLMLNTYPGKVK LYQITNILLLTEYKDDFFQGINEKLSINPLISYLKYSPFIKNNIISQFYYNRSYDIKFWS KINIKEVNQQQIGILKIKPHNFQQNLNIFLLNYIKDQEQWSYSIEYYTYNYPFVRNENLI KTFLKSFTQNIEVELITQWHLIEITYVLNILVFKLTSFMTNQKYNIEFKEIYQFSDILLE FQFGASDSQKVSYEGEIAQFNYFNYISPINQMNELGRQQNCHYSCQNCWGPFNNQCLSCL DSDNRIYDQKTNSCQCKLWYVEDNQSKCYGSADMNLKQTFNYLPDNNQYESEDTEIICAF GYFKYKESCIQWYQLLMQLSPSASQKGMLNCLECIQNPDLWVYKGSCQEQYHQFQGSQNN VYYSTLNEKAQTTQFFVVNEEVVGCDGCDRCTDQDIQERYIDCILYPDKHLDQDVYITCY FGVYQQDVKKCLEVQQESHNGKSQCSKTCGYCLFKQCLYCKDSQRYFMDVQGICRTCDLT NCKYCFQYNIFDKNQVSIQLEDISYNLEDYVIACSLCFPGYIFNFSINQCVEYTTSNQCV NGFISEENQFVCTSTLLSKDEIQRNIAIQFINCQHYYSNCLKCLQDFNGNIQCVECVYGY FLNFLNGICQQCSAQFEHSEVCVMTTSQQDNWKYQVQSFYINFKPNKIPILIQGIYYIQW YDILKCADGYIKFGMTCNKLINQDCLQYDTPSSICITCENSSDVNPILSYFDNQCQQCPY PCQICQPISLDQIQTINPYFIVNEQSIKQTYFCVFNYKEDLTYIESHTGQTKGKDSSKRR YKLQLEPETFTTLQNPIKQVDDLTNYLQKVKPDLYIYKYNSQSNSSPINHREQVFSLKNQ TFLYDGYNDSLHQKQFEIANQSTVNIQNLRIFYQSNIFFVHSTFGVSIFFRNISISNLEL EKTIFSIEQATNVYINTILLSNVTLLNSHLFSFKHYQRQSTQNIYIHIENIILVDSNLTN SSIISFYTLTDSEFAFLKLNQITVINTYLLNSSIITIDSITFNSYYSAERIIFKNSTIQK CILFRIPISKTVFISKFDIIECKISNSIFIKIVSSFSIQNIIIDNSAFVKSNLIIYTQQF VKNGYSHSISNLTLNALDFCGGDFISIKNDKDQKGFIKLQNLEIKNISSSCQNACNTSQC LFTYSSHTIVIENVQIKNIHAQWYFCLQKFTQITINNLIIQGNNLFTYSLMWSQIKQLKS SINTRVQSCGVFYIQDFVNIKVNNVQIKNHFIVNSALILLISKGQALNNQKININSLQLH NNYLIKTESTQFASLLYIYSEYFCETVINESSFKNNLIIHFLEDYSFISPGLIYIQVCQQ NIYMDNNIIYQNTILNTTNSLIYLNSNQVKIKEIKVQNINYDPNQLNQDIYSKSIGGVIY AKTELFVIDNSVFQNLYGYQASCLFLELIRLGNVDMNNIYIENAISWSNEQDYAVGGCLG VNSINSKLNLNLNRIKIYNCKSKNQNGFLYLISSTISNDVVFDNIIFTNVFSQSKVMFEF DFSGNNQLNNVKLSNIIINLDETLHQQSFGKILEQTNQTRNGIISIFNSNIQIKNLYFEG IATQKILNLQFSNSILLSNLYLNQVNAKSDELITISNRGLILSENSFLKEILKIAITNIT IYRSSFSGRSIDNFVSNAFLSIIIANIYQNQKIKLFLTKIYLIQNQCETCQQGLIYIQLD QNVEKCKISDFVLYDNNCGQSNCLFVNQSVNLEMRTSMLIQNIGKSNGTMKLDIDSFAGK NLLYLNNIGTFGGGLYYKSTNELTNLKNIQFINNSAELGGAVYIENTRISPVTLSKIFFI DNMARNLISNVREQPSHLQLSLFGQKIMTIRDINSGQPNFTKYDIDNFIQIPSGQKIGNY ELQISNEVTLNKFYNIQLKILPINTLNEVQIENENAVCMLDLSNQMNKDNIVIYKDIQQQ EQIAYNISSQSFDLSNHSIVLDPYSKEIEFQRFKVKCDCIRNMNYTFQFNAKSFTCKMGE YYFESQCLLCDYKKGFYSVELKAQNCQKVDPKLIKSNTINTIELYPGYWRPNIRSHYISK CQSKINNCLGGWQTGDISCQDGSIGALCEECDIYNFRGFGQYFKNKNIQCEICSEQAWEI LFSLFINSIAIVSIYLTVISVNSVFKSFKLLKQTTKYYKIIFCSNLGNSNSDLLDQSAAL IKMIVNYCQILVSIKSFQSDLYFNIIDILMPISNPIGSSTFSFQCYITSSSSIQIIYLTQ IMYLMLPAIYYLFFLTSYLILVIGHKMILSSTIYFTALIYLFFYTQPNIIKQFGGLIAYR TISMIDYININTSYLYYTQEHQNWVRFFIGPVLVIFGGIIPLVLLAILYRFRKYFHFERT RKIWGYLFNDYKENSYYWEIIKIFQREVIMLSLIINEERVILKSVITLLVLILYFFSFLY FQPYNLQALNRFEQESILLCGIIIILSSLHYQSTLAEQGNLDIPLQILQIIFSLYFIYTI IKKVVLVYYTKYDERLDSIRKQILQKYPQIKYMCPGLKTILVLRSERKKIALSRFQLIKK ALIQKRINTKSRMIHSTKVSQPSPLSVEIW >CAK64035 pep:novel supercontig:GCA_000165425.1:CT868030:88012:92583:1 gene:GSPATT00005887001 transcript:CAK64035 MNNISSLLYLIPLILFVLHKLSAIILLYYYLLSKTQDRFVRLISKKIFLKVLGIFFTIYI KLISTILLIIFGLLCMASFKIQFIQQNQDNLFQLHLITNIITILLLLIESTFILYFYESS ITHQQRDIERTRFTYLRALYQILIIIQVSMILLGNMKYYIQIQSFLAIFSQITLLIDQYH SVVYSLDYQRQSIALGVSFNISFHIIALIHINISLQSYLLPLFVNQMLIYQIIRNYSRHF DQTTLKILFDSKVQVYWQLKYWLIKLLNNDIPNISQEVIFKSLIASQHRQVCMDLSCVFC SHSKIINPTYTSGITKHIYNDFLMEKLQQFLTSNTFFQQSSDNNGLLQYAFALYDCGMIM KSIKILCFLQEYHQNQDISKLQSFSQYQDDNHQLSHFELITLKPKTSQENYDLLCNLTKT SQDSKLIQILQHQQSKKYSMIDQIKLKFVFNNAKANINKSLGTSSEATQQNYITNYTEQV IEKDLQVNIQEQSVLKVIQAKVDLYQQLVQTSSIKQSILHFHNQVHRLCHYFYALDKQLK KAYLQSPCFHLHRVISFFLGEVLGEYRRSINFYKNSEFFEAPILQFKQIKNFNINSKHVY YLILEAKDDMESFTIQSYSNKFYKNFGTTKSANQTHQFNDLLPKYLVKHHCTYVKRFFET GVAKYYQQFDLSFIRNSNMLLTPINMCLSITNKFLNQNVTFAAFFQDTSYDQAYILIDSA SLKCTFTENLLILIGWTEIEIQCLSKQENLNEFDINKIFPSFVRMIKSNQEKLFKVNYCT LILPKIQDNLQTQRSLFSENSQLFLNYVKTQCDLIIIKQTIDNYEYYLINVIKIVETQTT SVLNKKIFQQQSINVENGQNNEENFHDNQTSGEKMEALFSTVKEEHIDIHKKTFIQKIHK ESNIDNVQIDKMYSLIESILSVKTPKYLQKFVVLMLIWHSIFIIFVTIFFVSLQEDIQQV KSHLEMVTFYAAIMAPHDLFFSMRVTITAYQQMQREGFLTQKQVTELTNPYYDHIELGFT ELRDSFYEQLNNKYLQEFLNDINVTMYFMKDDEKSIYPLNLTFRDALLVILQYQYSQMMT FYYRQSTSGKPFQISLFANYFMLHSKCEQLSNEITIYSIENKNYIHRKWSIITFVGFSSL ILFYLIIQSYQIYFFVQLDQMYELINTLTFDIVQKEIDKFHEYINNYKLDRYILLDYDPI DRYCNQIENYQRNLGQQYIKVKIKTKNQPKLINYSMLFSLCALLSIYFILIFSNTNTYLQ KYEGNLQFYKILQDLKLRPGSLFLYREIFFRWSNFTFLTEENQQELYSLVDKAEQSINSY LENTNAFYVNSQIIDDEFDQLYSLVSQDNLCQFIDKKFQNLTSKYCNLSFDGSLKSGMIS TLNHLLHSIQTQKAVNNFTKRVEVSLYEQEGSQIVTRVFFSLSNQFSKSAASQADFSIQL IKILSLGFIVYIIIVLLMMQLIYRPYLQKLFKVLKRSVYLIPFDSLFSSESLELRLKSIS YKLQLL >CAK64036 pep:novel supercontig:GCA_000165425.1:CT868030:92697:93607:-1 gene:GSPATT00005888001 transcript:CAK64036 MNQAQVAFEKKDVDLSKKAHTNEEQQQLKEDHEEKHQSGGEHIKSAVYGGLDGMVTTFSV VAGVAGAGLSTGVVLGMGIANLIGDGISMALGDYISTRSEAEFTINERNREQWEVETNPE GEKKEMVEIYKSKGIDHDEAVIIAETLAKNKKVWVDVMMVEELGLMSIDEHPIKDAIVTF FSFGLFGLMPLLPFIVGSIAGLSDNLFETSIALTGFFLFILGVSKSFFSYQKWYWAGLET LIIGSAAASASYIIGLAFEGVDV >CAK64037 pep:novel supercontig:GCA_000165425.1:CT868030:93620:94500:-1 gene:GSPATT00005889001 transcript:CAK64037 MSENIQNRVSSIFEKLNNISTSVQDEKNNRFHAISQLIMAFEAQLQHQSDLKEEKFAYIA QKVRQITEFLEQEQEDRERQESETFKLITDLERHARRLIEQNSKDRVEQEKKIVYSIGHQ IESLQQEVVKEGLAQSTSHEYIDSYLNEDLPKIADELQNEITERKDVEEKIYHQFVEQLN DLRELFEREKKEREAKEEEIVESLREISGRIQEQLRKTRGEREKTEETLVQLVEKVIEKL KREMLEMNL >CAK64038 pep:novel supercontig:GCA_000165425.1:CT868030:94581:95928:-1 gene:GSPATT00005890001 transcript:CAK64038 MYSKQKNQKGKPEWVSSFADQDRYKLSQAELMQKKISLMSKHRMEAKDQWQQVQEKLKNN VMDDDTQKIYLTALHSKGLNNSTSKRRNLTQMIEWEKSERAHSQSQFKPDILEQAKMLLL ESERGQQNQDPNFLSKIKQDLEETKSFVAKAQRLKKPEKILKAEQEKSIDKGKQETKKSI TKQNQVAEGKCQTQENYGFNGMKNLDNVISFLENTLHSQEVQISSLKEENPFRNAALTDT KTVYSEIPNFSVNNTQNKFYQKEENCFAQQNIENMNQEVKEQNVQYQNKENDFTNSRIQK DDFSNLNQSEIPKNDIPKYEQFKKDIMKIEEQQPTHFVQQRLNFDKVCFTTKNELSFDDN IDQLRQLLEQTRQELNQMNLQDSTIMEYNNSQREINVQLNQTEPLQQKHINYQKIHRKYK NCDTFDINDHLM >CAK64039 pep:novel supercontig:GCA_000165425.1:CT868030:96111:101309:1 gene:GSPATT00005891001 transcript:CAK64039 MKDQTNQNLLRKYILTNLRILLVVHQKSLRIYSMLKYIFLFLGQLQSSFFYLFPCYLSSL KMFNKFILIYLIRPDLLLIDNVMIDIENKYLFIIPLLSIIVFDVLILQGQVLIEYQNKKL SQNQHQQIIIKSSIQKLIINLKSLYSQLFIVYLYIPAQVLPFTSFAYSDAMEDFEILILS IITVISNIFFQLLKLNVSNTTMDLCITGYERLHFTKIDFLREIVILIQVILYGIIEDVGR AQIAQGLLSILVSLSYIINIFADKTIVVESYIKLTLVTQICLLSLISTSLLDFWQLGLLL IPILIFVGFSYRNQDIFRLTTSISEIKCISKIIQYISYQINNHSNHNSTIDQISHSILLN FHRRKCNDAGCYCNLISDKTDEPLKVLYVSDLIQKKFVISKIKQWNKELKKKRSDHSDQH WIYYISTLNHYGLTSLAFQECNRLLSLQNSMNRGFTYQNEINSSSQNHQQQSLPSQQSGS QLPRKTQAKLSMNSLELKSNLKLSIRNQTLTLLSQMKLELISKDIRLELQNNFLKIQSKQ QEETAEAIQLYLFSEQQNQAVKHQVLRCLQQKLQFYNFIINNKSQRSHELFRNAKILSNS FLKLEKKLLQQYSQFPSQKIQSINCFFQSELLNNYLTAYKLANFSTIADEKLLNMRKNIK INLFGKNVVYMLMNLNEGMQTMNIYQFSNNAYDFLQISYEECMKVYKTIDNLLPQAMLNE HHLLVQRFIIQGTSKYFRNISLSFIQQLNGLVKPFNLIVDIIMNQTNNLSFVAFFEEVSI TNCYILVDVNDQCGGITQNLLEKLGWKQNEIKQYSEQINHAFQVNINQIIPNFNELKLSQ KEQKFYNTPINLLTKKSITTFQQKSLVFAHDSWKNMGNLISLSGTIILQPRELCGYYYYI IEIEDIRLSQTLSQMGTQGKAFTIQLNEVENTNNDIEVSDLVVSGSEAGINKPQSLNIFA LSSSVSEQDQENAFSHQQLQAILHGQEIQQDRGTTASKLIQQNPNYQYQEYNLEDAKNNE KQNLGDVNPNQSIRKLMDTSKSFAQQRFFNLEIQDLDQSNSKISDAIQNQMKDVNQMYDV DLNKIDKEMRENIRLQLQLELDQKNQANQQMDDAASQVSSLIGLKKSLFYKKYELINRLM ESNLRPSSYRYCNLLLFLSQIIVIIFCLIILTNLNDDFNRFIQEVDMLLFSYSFMTPFDI FLSLRFATVYYSFGTFVGEIKPSENIQFGNWLASHLGEGYDVMKGNFLEQFSNPYILEFF TDENFDVLFMKKNSSDMEQKTISFRESLNVLLQYQYQFKIAYEQKTSLVSQAFSAYPYAN YLNLHDKFDFLTTNILEYTKNRTEAVQQNWTWIWIPFLIIDFLLIFGCYQFYKSYMQIYE NFLNLFKYAETIWINRDMERYKILINILNKNSDVMFKYQFDLEQKEKYMMAERYKLENQG LHESKKKKSYKDYHQIPTLIGLICLSALFSVFFVSSLIIQMQTNDYLDRYTNTADIYKYI GDLCFQIPGLFSQRQFLYWWFLYYPNDNDKPRMTTRLFDGIESCRKFDTTMQYFNQETYL TTETFVDSLNNLTQKPVCNFFNQTIQEDYSFYCNRSYEGALTMGITQALIYIANSFTTLY ELNNFTSIVKYYKYEAEGMYIIVKGLIELVSLLKEALLQATSSHMDQIIGLSVFLLVFQI LIFLLQFFILHRYYTHEYQLVRRFMLLLPSSTVLLDDNFERNIRVFYTQYQI >CAK64040 pep:novel supercontig:GCA_000165425.1:CT868030:101541:102107:-1 gene:GSPATT00005892001 transcript:CAK64040 MLNNDYQSKIFLKPKPNEFSIFDDFVQKARKICRTSQKSVSKYKDSSLSISKQHKTEINY NTIEAIRKSIQNPKAHMDNYLDQQIHISPFRFQLPVRLEKSQHFLIEKKRIPTYLTSRKL KSNLDGQINKSLQLSHFRIIQQQKPETPNPNQQQETKVLITKYFLQKPLVCVKSNKKNIQ KPIQIELS >CAK64041 pep:novel supercontig:GCA_000165425.1:CT868030:102148:102746:-1 gene:GSPATT00005893001 transcript:CAK64041 MIIQSNQKLSQRIFNSMMINKISNFRPYKLIQDEPSINKKIKIEDQSITLPLNIFQQLVE KAKEENQKKEDTKNLLRNFGNALNRFILNNEQACKIIKQYIPESSVPHFKKWVTNQKLEN FEQFKRIWTVDDDIYKKIFSELSFEFYSKYAYSYVMHSQMRSESTKLTHLKYITRFLEGI VSPDTFYYFKK >CAK64042 pep:novel supercontig:GCA_000165425.1:CT868030:102809:106330:1 gene:GSPATT00005894001 transcript:CAK64042 MLILQEQERIERENEMLKAEIAKLQSFANSKVPEFQQLLRDNQQMKKTLDQMNQQIKEEE EEIQRQKQVIDRLNFDLDKSKRDNEQLLQALNDIKQRGLSQEQSLLQDNQRMKSTIDSLN NDLRSLQQEIYRLKSINDKSRYIQDESNRYQQENQANLARIRQLELQIRDLMSKEDNYQL QITRATQGTNSENQLLKDQIAQLNQQLLLMQKQYQKQLDEARFDHDRLISEQKNTINVQI KDQLLRDQQKWMDEREALLHEIQLLKQQLAQNELQVTRKRQLLEDEANKNQQFVVELEQA NNQLQNKLRDLQMQLQQSEKDKMRTDQYVRKIDELQLQVDQLQRQLYDLTNKYNNLLGQY EQKKYQVDQLNGQLNSNQDNENQKIMNMERQIRELQDQLADMQRLRSQLQQMNENYAALQ ITFRNSQKQLSEADELRQELEALLQDLEYYKQLDMKNKQDLDKYKQQLIIIENKQSQQAN YEVEKLRQQNQQLIDELNELKNRNKQLEGKLQSQIVILSQAQNDLQAHQALTEKQQYALN ESNQLRVKIKELLDQIQYLEMELNKRDQIIQELQINLDQFGKQRDSYILIEKEKKDFEYR IIQLEKQLAELTMYKTQFLDLQSKYNNFGQEYESLRKDYEILRYKYDEINTQFIQSKKQI NIQTNSELEALKSEMQTWIIKCKTYESEITFLRSTITDYKDIEQESDMLKQDLETWQIKF ADADRERIQLRQNIQEYEKFRFRITELEREISNSRLKIENAEKERDNLRQQLIVFQQQRV QYDQIRKEYDSLGFKLQELEKENLQLRNEIEGLRQQLISFQQLKQQIIFIEQEKSQLLYK IEESEKEKNQLRMQYQSSQSNFSGYKMQMDQLNSQISQYQSQELVMKREIEMLIKQIDVF KKDQFNLQQQIQESQFKYSEIMREYENLLNKQKSIDVDALMYEIYELKKQLASKDKQYEY ERQEINEQKYQLEKNVKIRVEQEIRVLNEKFNSELNELRRQIQIERQDKLKIESEMKSRY EQVVTKDQQIELLRKEQYQMRVQLEQRFNEQKLQFEQEIRMRLEKELRISIEQEIRYQIE NDYNFESNIQDYILQIDKWKSVCQMRDEEISHLKGLQQAFKEKLNQYTSEIESLKTQLML VQGDRRTVYQIK >CAK64043 pep:novel supercontig:GCA_000165425.1:CT868030:106491:108549:1 gene:GSPATT00005895001 transcript:CAK64043 MIGKLQAGLNYSDILKNIDYILYTITCQAIVYNRQKLSTYDWKHLNTHEKELRVVWSPSQ PPSPSKAMCEFSSGDSSPCDDDQYQAKIEFIGTKLSIREICNSVLNSNCYEIRIFEPTKT GKYEKIPQEWQNQRDIILFNYDPTLEVCQDKHSIKKYLKEKFKEYLFITIEEVQKIYLAG EQAYIIKINEDKSAQFFYYNMSKNQPKHKKYIGENFQVGILRKIRDIQVSYRVIMRFLPI YFVKEKVESIIYERRYEKYQIDFRQLRVEELFECNNQTLFLVEGCKYGIIYCDDLKSSQQ IVDLLNKYFFERKISQPEIIAINEEGKSISSVSNRQEYQNFFQMSYDTQKVMRQILQQEI DKEIQEKQERIEKQEKLEKLEKQEKYEKEKQEKEKEKEKEKEKEKQHSEKKKYNQDIIIS TVELNSSKQFERSEKQNEKTNHSHHYYNNKCNKFAFNLVDESRNNIRRSRSQSRRRRHSS SEKNIMKESIGRIDMRQKENRDGIAKQGNEQILLQFQNNKTKTQRHFNRKIILKTKKLKF ESKLKLNLGFLQISNIQQQARKPAYVKSKRITVRYLFRSTQKYFQIEQFVYIIIQYSNHN YQCILSLKIKNNNKQAIQRVVPIFYLNN >CAK64044 pep:novel supercontig:GCA_000165425.1:CT868030:108735:109786:-1 gene:GSPATT00005896001 transcript:CAK64044 MGSQCTNCRCVNTKNEVLKTQDNVTLATSDSSSVHQKKIKKAIVIQSYWRGYIIRKKLKQ MRLKKRETLNSYNSRVDANEFFFSSGVTLNQDEFPQEDRGPHKFKGGAIYTGQWKGKARD GYGIQIWPDGAKYEGMWKYNKAHGKGKFQHANGDVFDGEWENDTANGYGIYQHFNGPKYE GQWFCDQQHGYGVETWSDGSQFQGFFQKGLKHGKGRYKWADGQVYDGDWYKNKIHGRGIL QWPDGRRYEGEFQNNNMHGRGIYLWPDGRKYEGHYFNDQKHGYGIYQWSDGRKYEGEWEN GKQHGKGVYINGEIEKAGEWYQGKRIKWDSDSNA >CAK64045 pep:novel supercontig:GCA_000165425.1:CT868030:110702:111665:1 gene:GSPATT00005897001 transcript:CAK64045 MRSHHLRQKPKSEEERFAQRIQQVKNTYLIGADEKNLAPRITAKSWIIVDSQSGDVLYSF NENQKREIASITKIMTFYVVLNMCRTMNVQTNNTYAEVSFQASSVGGTTADLLKGDVVSI EDLFYGLMLPSGNDAAMALAENFGIYAMYQNKIKGKNPLIYFIQEMNNKARELRMSQTTY ANPHGLNNKNNVSSAYDVAKLCNQLLKDEFFRKVVNTKVHFSTIQDEEGFTRDVIWENTN KLLYQGFKGIKTGNTSVAGPCLASYYQTANRSFTIVILGCRNQEDRWSETMQLLQWCLSQ IQ >CAK64046 pep:novel supercontig:GCA_000165425.1:CT868030:111675:112378:-1 gene:GSPATT00005898001 transcript:CAK64046 MNYDLSSSFMEFRRQISSKIKNSPLKNKDRIMTPLQKSPNPVGLLKQSVTNNLTRLKLKS TICNIGLTPKRNSDIQQLQNNFIKGQGQTVKIPQPSLYQSASNCQQSQLYQLQELSTFGK KDYFEQQNEIKQYSSKYSKIMNEEQGLYYGKLQAKLDQLSNAIGDKIDRQSNLGQIDNLI NFSQKIKEQSKIDKYIDILQFESKVLQNDILSIRSKQSRFSHSNEN >CAK64047 pep:novel supercontig:GCA_000165425.1:CT868030:112493:113879:1 gene:GSPATT00005899001 transcript:CAK64047 MKTLVFIICVVCTLASHAYIYPFNENSVKDLEGLNQKQIAQLIKYNIGMVEHVQQDQQKA FEQLIISFGKGNVLTPTEMNYLILIESDKLYQGQDVKQQRVTEGYAQSFKVLEREQRSMM ETLFGPQADSLIQKANALFNNNNLGFKIYQNEDDLDINYLKWTVTLYLGKNNEVYFYEPN KDKSYKIDSSLEKCVDNFFGTTFDYAPQDNKIYKYNTNEMLEIRKENEDQILGFLKDICA MNKLSTIFKKNNSPNMLSIINKSISKLEKTLNEQEIDLVYDMMRIAHKKLSKNFRNTFES EDLFGLILIEEEKNPQLTTTKESAKVQRILMETRTRMLNQVTQTNSSSYVMDATTYQIYV WFGVFFVIVLIGIIYAMVTMDIQKDTLLYAKFLTTDQRN >CAK64048 pep:novel supercontig:GCA_000165425.1:CT868030:113948:114965:-1 gene:GSPATT00005900001 transcript:CAK64048 MQQQIPPSFFVSNLSCMSSKFSPFDIDKIAITCADNFGIQGKGRIIIGQIQPNQPIKILF QVEESDAVFDCSWSEADPNVIAIGCGNGLVKVLNVGTQQILTQYLESKEEIQSVEFGHKN PNWLLASNLIGITRLFDITAQKPVAYFQTHKGCAYTCTWHPIQQNMFATTGNDGAMRLWD LNSPSNKNIASIKAHMSDTLSCDFNKYEELIATSSADKTIKLWDLRNLKAPIQTLLGHRH PVRKVKFSPHEAIILGSASYDMSVMIWNIQEPSNPLIKNHPKHTEFVVGLDFSIHTEKQI CSASWDGKAMIWQWDQEQPTV >CAK64049 pep:novel supercontig:GCA_000165425.1:CT868030:115226:115716:1 gene:GSPATT00005901001 transcript:CAK64049 MTPAFLSQRGRQFNMLFILTTALYLVYICPVQSYFIQHLQLMSSFGINIQSIKIIKLIND LQWIFQSSNKMLLNCCITLQQLFIPEVVLEIQFSNLWSSKCRTKVHNLQGQGLKKKRPNV KFREQSFFKSYNKFLEIQELNPQLRFSQFTQNEQ >CAK64050 pep:novel supercontig:GCA_000165425.1:CT868030:115837:117205:-1 gene:GSPATT00005902001 transcript:CAK64050 MGNKQSQESLASSKSDIRPLDVKKCISIKRDPVTGKLIGVPKEWASHLDADQQQIVETNR LPEEVRTHKLPECIQEIQNSTQNQKNLQQELKIDTNADLCLAGLNVEIEKEIYNSGISRN EIQKDTLNFISILEIYDGKELSFKQNFNEVTQTEFLIENPAQKYIFNEQIERGVNCKLYK IIDRQLNKVLVAKVFKFHENFNCYRLKREISLIQHLDCPCIVKYHEAYLYSGCFFIVQEY MKFGNLRKLIRVFDKKIDESIIGYILYQIMLGLKYLHFKGKIHKHLNSKKVLINEKGEVK LLIMDYKIEFKKDKDPYWIAPETLEQQIIEEISDIWCLGIIGYELSEGDPPYFDQHPIRV MYNIINLPPPKINKQRSKIFQDFTQLCLIKDHEKRTSLKELMKHEFIIQNKESGRQRLIE LIKRMSQDQRSKLKKSTSLK >CAK64051 pep:novel supercontig:GCA_000165425.1:CT868030:118347:119225:1 gene:GSPATT00005903001 transcript:CAK64051 MPNLGDPMNCIIQGQNGKGGLYLGNIESAGNGKLLGHHDIGAILAVMSTKDYTYDAHVAH KFIRVDDADFVNLSKHFEEAIDFIDVNRQQTSVLVHCHAGVSRSATIVIAYLMKTQNMSL EQAFKHVQNQRRIVNPNPGFMRQLKQYESKLQSSNSLRASTVKQKERQHSQNNLRKSQYI QNSSEGYNSVHQPYQPQRQYQSASDLMRQSQQNYDRLNSRQMHYPYMPQHYSPYVRNYSV PYGQNPLHFSTYRQGCY >CAK64052 pep:novel supercontig:GCA_000165425.1:CT868030:119259:120849:-1 gene:GSPATT00005904001 transcript:CAK64052 MNIDFSRIFNLLSEIPSHVFKQSCLTNLPEKQMDEINKTPPILESHLTIYSSIQESFINI TVLFIPNLLVIADQYLVLSNCKIAKLKQCSKFDAYAIQLSSSTGHLLVFFNNKQQYLEWV PKMKSFCRLTDFSNKFNLLEQIIKDYAVIQHKKTKKYYTSYVHSIKSTSPVDVLQSEIQT LRSIKHPSLLDLKWVYEDTHCIYLIFEYFRCEKLLDLLNQGLILDQTQLASIILQLLQSI KFLRKNNIYHGNITPNNILINTQSSFLQVFLVNMVFISRIDSEPLDHYFSNVHESYIAPE IKQGIAKPSIDSDLYQIGVVFYFLTFFIHQKRKDERVDRIQMELIDKAEEHLSLLDKNAQ CISFLTQDKYKMVYCASQLDLLRRLLDKKGNRIRLEDAIKHHWFINIKQKLKPKVERRKQ HLPSLKTIIELCEQNEFSKKFEKQQKIIDEDSVLDENNFIQDLMIQLEKNQQTKRPSKEN HEKLKMFEQFKTQIECEKTSQDKQFVFSKSIM >CAK64053 pep:novel supercontig:GCA_000165425.1:CT868030:121132:128505:1 gene:GSPATT00005905001 transcript:CAK64053 MDVKKSKKKPELNEQLFVGNYIDVFHQGSKQHKLAYILQKNEKEIEITYDGLSKKDNEII KLSQNKINFARRFTQNYTGEDFRQSKTSRDYLKYSREDCEKNIKELNSIMQNNFQGLSPI EICLSVRVRQFIWLDMVLSSEFPSKELPVALEYIKTYYNFIKWYFEQFPKYFADYMRFQN NQELYILDERVSIAACLQEVCEAFCMLFGSIWRVLKQENSFFYLNCDNLQQQFDKFFPPS SQQGFVVNPNIDDWKLYQGASDIVKCIKKTWPFYLRTMSFFKSIGGLQAWEGLLKPQENG EYNYIPLKAMNRIVITQQYLSSYFQLSDQAQMAKKTFEWLQNRVNNLTVQDIKDTDIDQV KELTSDYQYYFLKGFTEDQLNKLTDEIQLQLALKFLKSSFLEKRVKGISEIKDFTERSKY EQQTSLKFRSSISKDDLIRWISQNRILDYTLLGDSVHPELIKRSSDVAVFLCRNQAFQID YIDKIWKNNHDKHETTQLALYEFFKIVSPYLSFQGIEKLYNHISTIPYSKYNENIVSMIK TFTESALSQKFHEQQLQLKPEKRFMTFNQLWELLQDRDDQLTNSVIQEQCFSAARMIISQ IQQTKQFISQYFGKCFELIGAHQSVYQAISLVHYFLDKQFKDDIIGKRELIQSTDDKYNI IELFVKDIEVYMEKVRQYFKNDIPQDIVINGVQKFSQNVFFRLQMLNYLLSQTHLKITYE QTVRLWDALSAKTKGGIQKKELNKILITNYQIDVNSFRICPIYFDKEGEQKFFKQVLCNP DRNDYENYTIEDFELFQVFFRSYNQSHQTLKYFNNSSRFLVNDHNFEGKSAIWNIFAKVK DQQLLEQISYFIINLYTQLNSNLDQQCDKIYQEMMDKCLDLIQQQCPSLTTRSINLLLQL FNYFQSGPPSKKSTKPINYTQFKVQIQYPNNLVKSVEQKEGDTTTINQWKQKLAEELQVA YLQLDITIENKPIEQQYDIVETFVCQAFYQLATVKVKINNKNHPKNYLSQEQRTFEILFK LLDKQESIEFLSQVWDLINRLPINIIKKRQVETCKDWKQYLDHQFFDMFYVLQIILKLLD NEQWSEQFNNFDGVDLITQKFLGQQLQFQQRPLEIKCCLTYLEILSHPQIKMKESQLVDQ IKSKIIEVIQQLCQYIKTKKKLESGQRKSPQQKEMNEIESRLLRKCFLQLDDDGCRQYIK NSELNQQLYAFFVEHENQELKREYSLQLLNMKNTPESQLLIKIILEDVLKNVISNNKQKC DHFFEFCCNLIYQEKNLNIRNLNFEELLVYIRQNLEQLPCNENTVKDQDKILIGLLKLLN ALIDRIQSLSTHQGLFEQILSYLFENEGETRCKCKSQQSRTAGFHCFFTLLKSTKNMQKF LDVVSPLHYTHTWRTKNFNDWNIQSKFHEKSSTGYVGLYNLGCICYMNSLLQQLYMVPAF REKLLQIEDKSTGALEENLLHQLKCLFLALKHSQKQYHNPKKLCHAFKDLDGHPTNIFEQ MDVDEFCNLLMDRIELSIKSTSDEDLVKRNFGGVISNEIIGKTCPHYSEREEPFFAISLP VANKKNLEECLQTLVHGDLLEGENAYSCEQCNKKVSALKRTCIKKLPDHLILVLKRFNFD FDLMAKAKINERIEFPFELDLLPYSQQGLRQQENRVNPENGQDNPSEYYQYRLTGVVIHI GSADCGHYYSFIQDRVDFNKWYEFNDINVSPADIKDVKNDGFGGVDRMLKTKYPGQFKDK SKSAYMLFYERVKPLNNKEELMDIELDQKTSQFLDEIRDENRKFQIQRFIFSPEYFIFIQ NLIKFELQQNQVSEQIVKTLVFFYLTCAVRENDKTFISNNIQDIQELLRRAPSTCEWLLK CFNQYQYIREFQFDCSKKMVRKYVISLIVTAIETVQKLEGYNVLQEYTDDKPKSLVASLI NSWIRMIPDLKKSLKNSIEYYDLFYRFAKLSQQNSQYLISKKIVGKFLDLFMDALQINVS KIQIPNKEIARKLDDLKVIQFTEDQNNFLGQQNIQNVDVASNYYDELLEKKFEKSMNSGP STSRVYMWRLIAFLLKTEGKNALSTEEQNLLQFDNSTLMAILEEGDCKLAIRMISDILSV LSQDNQKQTEMIITAIIKQIMDKEYKEYRKYVVVLKRLFMLKDSQQQMRIHQGMSRLLEV MQKQSQYFFETDVCQQYILRMVFRNHAVYQWMVKNHRTWQWIIETNNTQSNPNEKLISNN SNSQKCNHRLHNIYLPITTQAYAKLLSWKKQQFLNLVKEPFKQNEDFDTDDDLTEKVVKV DDKIDYYDQNQWLTATVGRVMGDYVHLTFSGKMTPQNIDIELDNERLAPFNTLSSNNKIP GYTNNNQEMAFEHQSDPDNDTEEGNNNSINQTDSDDE >CAK64054 pep:novel supercontig:GCA_000165425.1:CT868030:128573:129425:1 gene:GSPATT00005906001 transcript:CAK64054 MSKSWQVHQSLQESLCSQLNKDEILEEFLQVCNHIANEDQNSIKSLNSKLQTIKKHYAQQ KCRIDHQFKYIQTLLEQKRKELITQLNNHEMELDKTFKNLRDEVTQIQSVVFNIQNDILS NRQEILSEVDEETFQNILTQFDQQIQMAQNYKKELLSTKITLIIIEEWTASHQDVIKHLL NQSITIKDYQKQIEPHHSEMSASTCSDKMYSSNRSSQELPIKQTPLIISFDQKYYLQSKQ TDLTKSESDIHDSCESDFLEEWAKQ >CAK64055 pep:novel supercontig:GCA_000165425.1:CT868030:129975:130243:1 gene:GSPATT00005907001 transcript:CAK64055 MPNHLFRTHPRTYGKDSRECRVCAARQGLIRKYGMNVCRRCFRENYELIGFHKYN >CAK64056 pep:novel supercontig:GCA_000165425.1:CT868030:130274:130913:1 gene:GSPATT00005908001 transcript:CAK64056 MSLILQNLYLGGVNIAKDIKFIKEKNIKYILICAKGIQQYYPNKVQYKQLNISDNPCTLI ITYLPESLEFINQNIKNAAILVHCLGGKSRSVSVVIAYVMFSLHVSYEQAFQHVKQHHFQ AQPNVGFIKQLNIFQTVLEIYGKPKQNDFKVLNQIMYDNNIESQVKNKLNQIQFKNNYEI EQNDEENDALKEDLNVQFFQEKQE >CAK64057 pep:novel supercontig:GCA_000165425.1:CT868030:132061:132266:1 gene:GSPATT00005909001 transcript:CAK64057 MGMLQVCQDRELKNRKFWLYPVVVPIPKITYKIWNGRGSDAYIGSTQLIAVESPNGS >CAK64058 pep:novel supercontig:GCA_000165425.1:CT868030:132646:133045:1 gene:GSPATT00005910001 transcript:CAK64058 MNFDDASLSSLHTYRVKTRQQLKGIQHSIQKSKRKYCHISPERKNQLISLVLDKKLRIKD AAIICNLNYSTAKTILYTLRHRPPKSAGFKQLSDNSKVKLTVRTLIKGKLMHEYDYYTYL NQS >CAK64059 pep:novel supercontig:GCA_000165425.1:CT868030:133554:134794:1 gene:GSPATT00005911001 transcript:CAK64059 MSAQPQQQIIFGACKVKDGLYIGDEYAAKDIEFIVANKIGYIVNCSSDSIENLLENIGIM YFSFQWPEDQPEIVVSKDFVNKVHEFIEESVTLGQSCLITSLHGQSRACSLVTIYLMNKF KWSLYKTLEYLNSRRSDLEIKTSFFTVLNQIEKLLNPETLSKKWEIQPNTTPEEIIITNT FFNAQSQQIDQIYIQPTEFQKMNNKSYISIIGWTEQHVQQKHNPLNQFKSILKGSNAVFT KDGGNRKLALKSFSVNKENIPESVPQRKNTPSQRSTQRSTSNPKQLQQPLIASQFINQSQ LPPQNLQNQITNQTQKIPPKNIQALPPSGQNSKKSLIIQKETSLHKKSSGNQVVYPVKIL RPKMPNFDNI >CAK64060 pep:novel supercontig:GCA_000165425.1:CT868030:135096:136318:1 gene:GSPATT00005912001 transcript:CAK64060 MSSLKSFVLFSSLLLLVFGQTCIDHSGNAIDWWFILKMPTDKTFSVRGMDYLYCDANNNC GTFDWQTDQLDDLTSPLQRTIAQIDFHDDNVMSVLWSDQPWNKNTISDRAHSKGILSANI NGDAFLISHSTPTFPMLDDAYDQIVLGMPSSSQVYGQHYMCLSITTTEANRLATEYIIAE TLTNRANSPAAFATAFPQLYQLKTNSRTKTYKTESGTVLSAALQDSIQISSKGGFKLTAY SKNENLVEDFYADVVAPALGLDLIMETWGNGTGGLQDPVCDQVPKSYSNLVRQHGAFSFS YTKDHSKYGLTATSNTVCFCDLNRQTTQQKRGGVVYCLQHNSLWSLINQSFISRQSC >CAK64061 pep:novel supercontig:GCA_000165425.1:CT868030:136340:136753:1 gene:GSPATT00005913001 transcript:CAK64061 MLCCSNAKKQKTSQSQNAIKLQTHQIQQEESLNINDEACTFHTTIQIQQFNTIQTYKITL DHQTIRSNSRTNNEHLAQTVIVEQIRKTPFFTYKKGGTDISTKFLSTKQGYTLLVTALSK >CAK64062 pep:novel supercontig:GCA_000165425.1:CT868030:137580:145687:1 gene:GSPATT00005914001 transcript:CAK64062 MQVLTQIIRMQGLCTMYHLMSKINKLSMQQKLQHYHNQFKKNLQWTIKIMKDFGQLNTCT LKGIKQQNGFQQKQISSDYFTQNQFLLIQGGSLKIFDTILSQTLLLSSFPGSLTFFLQED LSILEDINFIEKCFPQQNDQLSDYFSNEEGKYTEFQTENEYVNSFFLQFWMKIIPSKLSL NHILRLSINKSSKFIGQIGSNSVVINYEYMLEDLFYYVEYYSYEFPIANPSQQNPYKKFV QDSISIEFITNWHLIQVKYLDKQLMVQLESFILKVQKIKIFDDVNQFTNTKMKIYFGGNQ YEISNNKIEIASVIYENCLDKNQQIQYCHYSCKTCFGPFRSQCLSCSDYQNRIYDPIKKS CNCQLWYYENQADHTCIGQEQKQYIETIEYLKNDPRYDENEQFRTCAFGYFLYKDECIQC PAASQKNIIFCINCLMDPDNWIYSGFCFSQYLEQPGIENAVYEEFRSTKFDPQKLFILID NELSSCGFCSSCTLNEYNNDRCFLYPFKHLDQPYYIQCSFGYDEETYQCKFDSDPYFFKV CAENCGACDFFGECNYCINPTEYFFTWERKCRQCKVKNCKYCFQYNRYDHSQVSVNSDIA QVLISKNEEDYIMACALCNQGYVFNFQINECVLQQITYPCINGYINENSQFLCTNTQGIF NQLENNLVSEFHDCLKYFLNCMSCIQDSFRMMYCISCIDGYYLDYHNGLCISCEGQFPNS SKCGIETSTYDSWKYELVSFFLNFIPNSTPLQVIGLYYRTDYQLLECKKDYTIYSRTCIP KLETKCLVWNRSGACSKCQNLDQENDAQSFFENRCQICPYPCRFCLPISDSKINEINPYF IINSDSKAQTYQCLLNLNQKETFIYQYIGQQMPKDTNNKKLLRTIQQPVFPNSPVSNAQG YIDLEYLKRRKVTTYIDNYNAFRKEDPVNLRSVLFFLLNSTVLYDGYNDTFHEKQISIFN QTTVSLQNVRFFSSKNHIIISSLLGVNIYIHNITLHNQVRNSPILTIKNFTHIIISKLEV YDLTLSDASLINLNKDDNLTKFYPFVIQEIVLKNCVFKNSSFIYLSSKFQSIGQFIINNI IIDNCSFENFELIKLEGQFIVVQKFVLENIKIMNSSLTNSLFLVIQNTNFSLLSGIILQD CKLSKTTLISLNPYSILKKISFLNAYLDNSVFLLYTETIKPAYIHKIDDIYMENIFICGM NLIKISQSLHETSSIEMSKITLSQIYFNCPLQNVMPEKSTICIFDLSSNKIDLSLLRNDQ INNYWILCINNSNFFKIDDILLNSYQVFSSDSNENTTKSSLGPLNSGLLQLKQVLTATFS NIIIRSQSLIDSSIIYINSKNEQQIKTQSLSFSNIVFENNLLIKTQSFSQTTLLYLEFED KCIISISDITFTQNYVIHQIFDCFTISPSLICIITQSLAQLKNAIFKENEYVNSCFSSLY IKSSEIQMEFIKAKGINVFDFDLTRYLSSNNSFNSGGFSHLYGSQIAITNSNFENALVQE TAYFNIYLQNEGNMIINNTTISGIIVSTKLDNNYNEALFVIDSTNSNLNIDINNMQISNI LSRYTSGIFKINPSKIKNQLRLNNIFVENVLSKQQYFLDLICTNNVDNSVEISDFSLTLE KSYFRKYHEFVYIDDNPNQLSQIDIQGMIVITNSSIIFSRLHFTGFAPITILCLEDTPKI MINHLVFEQIEVATQKLNIIIHEYSGNQSKIINMYLSQLVIKDTYKNAYIHVESFFEIQQ SFPKKLILRDFLIANNTCQTCQNGLICFNQIKKLFLSNLIFYQNHCGLLSCLMLNHVNQS TIFKKSRFIKNSGTQGGAINVKAGKIEMKNILFMQNDAESGGALYSQSSSMKLETLISEL YLIQNTATFGGAIYLENHNFRAEELLKVFLLENKGKRYVDNIKENPSQLKLSIFNQELDT KSIANMGQPNFEKYKLNTNIIYIPSGQQISNYSIQDLERQVFENYNLNPKLYAINNLGEK QINLQEYQCVLNQLNNESQFYPNQEQIISFNLESQSFDFSNLIISLDPYLKSETIFVFDC NCFTESQYKFYLSVKSFPCQIGEFYYFTQCMKCDESKGFYSVELGATFCQKMDPNIIQAN TASEILLQPGFWRPNYRSKFINKCTRQKNLCLGGWKPGDESCSLGYIGALCEECDIYNIK GDGQYFKNRNNKCVFCSEFGLSIFISLLFGSLTIGSMILTVSSVNTIFKSFLKFKLTSKH YKILFNHSLDQSAALIKTVVNYFQILVRIKSFHLELFFNIIDILTPLSNPIGTQSYSYEC TLSQQTELQIIYISLIINLLAPIFFYFIFLTIYLILIQKKMLKLTPTIYATAIFYLFFYA QPNIILELGELITARNISEISYINRNVSILYFTNTHISWVLFFITPILFIIGIIIPLILF LILYNKRYNLNQEKVRKIWGYIFNDYKESSFYWEIFRVSEREILLLSLIIFEEQIEIKVI LTFLILFLYHIIVLNKKPFNMETLNRFEQKCITLSSLIFLICGIKYQIQILQIPSIDFIL QTLLLIVFIMFFYILINQTISTYYQKYTDRLDGIRKGLLQRFPKILKICPFLRNYLKIRG DIKIKLKTRVKLIKEALHMNKGENIMQNQHQNLLSYSLQKSIYPEEQALNFQNLQTNQKN NEKEKSHFVKDLVPFY >CAK64063 pep:novel supercontig:GCA_000165425.1:CT868030:146077:147315:-1 gene:GSPATT00005915001 transcript:CAK64063 MRNRLSASSFKNQAQQLPLQSSPIFNRKRSCECLDCGLHNKKMLYVTTVLPQFQPYQHII TKLKEQNEKLQQRKRMKMRNKRHLIQKKTIIDIMQQQEHQPKKRLNRHHNTVFYNHQASI PNPSSLYPIEAAQTPSKPISRSSCFFHRYNSLSPRKHLTDKKNSKVQSFSQRLKTFYLPI TAPFESQLIFRLELVEDGKIKLIKQTGSQDDFNINLRLNKFEEDDKKNEDQFPENLANLP TLQQEDDEEDDQSDDENIVNIKQLTTSNPKQAYKNILKYNPRTLQSLVDKSQLGQSKIKD IKIACPKYLYHNHVEHFQTSITNNVSPVNNTISRCSPISSIKLLEEKRKKPKIKLLPLEI KPFSQITLTNISPTTLSRNKPSPKKTNQRNIFNAPRKKHQKNITFGQLLIKV >CAK64064 pep:novel supercontig:GCA_000165425.1:CT868030:147481:149276:1 gene:GSPATT00005916001 transcript:CAK64064 MSLAFDDYGRPYIILRDQGQKKRMKGLEAYKSNILAAKAVANTLQSSLGPKGMDKMLVSP DGDVSVTNDGATIVEKMEIQHPTAKLLVELSQSQDAEIGDGTTGVVVLAGKLLEQALTLL DKGIHPLKISDGFDRACDVAIKHLESIAEEIDIQANDHEALIQAACTALGSKVVSKRKRE LGKIAVTAVLDVADLKRKDVNLDLIKIQTKTGGSVEDTRLISGILIDKDMSHPQMQKEVK DAKICLLTCPFEPPKPKTKHNINISSAEDYKKLYQQEQQYFVEMVKLVKDSGANIALCQW GFDDEANHLLLQNDLPAVRWVSGTDVELIAMATGARIIPRFQEITPEKLGKAGCIKEVQF GTSNERMLVIENCQCTKAVTILIRGGSQMIVDEAKRSIHDAICVIRNLIKDNRIVYGGGS AELACALQVLQYADEVSSVEQYAVRSFADALEGIPCCLADNCGLNPITSVAQAKSRQLTE KNPRIGIDCMELGTSDMKEQKIYETLSSKKQQIQLATQVVKMILKIDDVIAPDDY >CAK64065 pep:novel supercontig:GCA_000165425.1:CT868030:149281:149771:-1 gene:GSPATT00005917001 transcript:CAK64065 MNHRSRISEPKGTSELSKKLKDMANKEELYLEEIESLKNQLNQKKSSVKEKELRQKLIDL ESKLQLWDDNLERSKSLEYENTTLKTKIQQLEKHLQRKEDKEKRLKDEWNKQYQELQTEV MVQFQYNQYWKNQMDKVIMENNLVLSKITPSKKKY >CAK64066 pep:novel supercontig:GCA_000165425.1:CT868030:149797:150359:-1 gene:GSPATT00005918001 transcript:CAK64066 MKQSRGRQTDISHSESEIYKNLGLIRNKSGKVQQQQKPKEKSKSQTQKKSQTSLKTQENK LTKKMKDYEKQISELRQQLQDDQTNQQYIDTFNNKEEQYEKLIETYEETIHQLKQTEINL LEELQGQSEIARDALNKLYQFQQEYNISHDELRKHYECIIVISFRNDQRQGERITLKI >CAK64067 pep:novel supercontig:GCA_000165425.1:CT868030:150425:151910:-1 gene:GSPATT00005919001 transcript:CAK64067 MIDKNYHIKLNFSQATLNELRVPYFHVDNGCQFNQSDQSEIQVMKGICPICKAQKKENQS YDHIQQDDNLLNQIEQKNERQIVYKVDQKALYFMKRRNYTQDTISSITEVHQLQKDFYQN MREKKPITLERIQELALQRNQKYINCLQNFSESQQIPILMAVLNNKLVLFYHLYKLKVEL NLLDVTFPKIRSKIQYSTHGVLQQIIFFEREIYFLFLKQNASLLFKGEFQQIFTQQTNQE TLFQIKSHSNIKIDGPARLIEIEHDIKGQGKMNDLIMLGNRVYFSIKQNCTQEHYFDRLY SNTLFHYFVDNRQVLMVNQEEIRDTNKSNILYFCYDLSKPRFIQDPKNSTEVLPSYQKYD NYLTFGDQMVLFNTQELKYEKCNTLSLTSWLPNTVRANPLSMNVNVLNHQSYMQTLKITS TQKSMNSITCKLIGLRLAKKDLQQQDESDVFPALLVYNGQTSSFEVELI >CAK64068 pep:novel supercontig:GCA_000165425.1:CT868030:152227:153811:-1 gene:GSPATT00005920001 transcript:CAK64068 MTKQFWKDWHLRTQICMIQFVISSFAIGLIALSTYSFMYYISEFYMETSEHVFQKQFGKW TNVMMSHNIHRVKQTLFRSQQQIVKVNALYQVTQQINQSTFQQPIPCLNDLTLMDDYTYS ASFCYMIYKDTKSNRILYNLKDLCAFLTQSVLMIDQDFDIMIASSNDIHFLTVWPGFFLS PDYNPQERIWYVQHLEQVASHNNNNDQTYFSEPHIHWTWKLLMIAQTKSLLNINKSLDGV IASHVNFSQFNYSDDQVSFTIINPKGRILLSSLNVTENSNIYDQNITSLDYEDFQQIINQ AEGKETQSNCNSKVWKDYGYLCRKTVSEQEEELISTKNIKEAGLILIMQSKLSKYQMEFE NMFYTFKEELNKIFIATILGFILYMFSSLIITSCIVIFLFNPIIRIINYTSQQLFKESLN RKNVLKKKHKFSKLTSSSLLCDLQQSFNRLIHITTNQTKSTTCHLIEAFQYPLNRWKYIR KSKKFRNLYLKLQNDVQMEESLKLQNLIIKQLGIQDCCF >CAK64069 pep:novel supercontig:GCA_000165425.1:CT868030:154030:156013:-1 gene:GSPATT00005921001 transcript:CAK64069 MNLLFQISNKCYDAFQKAYQQEQPRSVDKISEDNRKFLILNKDTGLQFDCRNLENLEVPV FDLKEVAWKNYWKQSREISDQLLQCVQHNDKDKVIELLSQSDVYIDINIKDYDDWTPLHF ACQQNNLEIVQFLLNKEANPKSLSLDKKSPLHIASIKNNSEICELLINYGANLDEQDSDL NTPLHIASKYGNEKVCQILLEKNGNHESKNNQSLTPIELASDINIIEVFNRYGISLNNFT YTRTVIKEQNLILQNSRRDHVERILKLTQKRSKKPSANTAEPNNDQRVSIIVSDTRSAWN KIVNLAASFYRVPIKGNNLSALSEIEQNRIGPQSFQFYQKLGEGGFGEVYLVEKIGQLPK KYYAMKILKKEDINTSNIMKSAQIEKDVLKMMNHPFIVKLNWAFQTSDHLYLVMDLCSGG DLATHLELLNSYPEAVVKIFAAEITLALEELHSQGIIFRDLKPENVVLDADGHALLTDFG LSKSGIDEEILNQSFCGTLAYLAPEMLMKKGHGRQVDWYMLGILIYELLVGAPPYYDSEK EVLKENIKKAPLRIPKSLSQEAKDIIIKLLIRDPKKRLGCKEDAKEIKNHPWFNDIDWQD CYNKKLQPPKPLVFHRPQDPRKITFSKISTKNKLEDWTFFEN >CAK64070 pep:novel supercontig:GCA_000165425.1:CT868030:156298:159034:-1 gene:GSPATT00005922001 transcript:CAK64070 MDHSPNRPSVSPLSKTRMQSEQSLKLQDLKYKEKLLQMDKINAKLQSQLDETLLELEKAN KNLHLQNQMKETQDNEYLRLLKENELLKGDLQFKLQENELNKQKLAQQNKQYQSSLENLK EQYEQLQQSLMNKYIIEMNQKLQDQKQQYDNFSKLQTERLKENEHLEKQTQEQLLDCKQQ IQEFLIKISEQKQQIESLHKQSNIEKQIIADKELQEQLLLKKIEQLHIELSRVTTDLDIS KEKYIRLEKQYEESITNIVQSKDQQAEEQTSKLYQQHEQSIQNLTQQNQDIWKQLQELKS INQVQQKEIARLSHSNQQQEQDLTEKDKKIKDLSLNTNQSSEQCIILQQRLLQTEQALQL YKSQLDDFKQTRGDFEQRMMERSKGILRQKEQEFQQVQQLNEQRIAELTQEIERMENEHQ NQSFKQLQEKLMSESNVKSLQVKIAGIEEQNYALSQQLEETRQELLQKSTMSENLSIQLN KEKTYNQTTLRIQKNQMSDLQQKLKEYQVEVDRLSSDVRERDDILESQRVQFVNEKNELE QQIKIIFEKLSQQRSRIQELDDQSYQQQCQIQKLSVEREKLEKDLKSLTITTTEQIRKQQ EQIVNFNKQIEENQSTILQNEEQLMNNETQIAELQNQLEDQYAQNQKLTKDVNELNDELE ETRQDREAKIQEMDQWKRQFKQNNVPLSDYDQIKKEAEQYKNKSMDQEQLINKLETQQQA NSKEIYHLQDELSSYKSNFQDIQQQLVNKKKENDNMSTELENLKREQQRTLNQLKDIEQR DAQNSELVIKQKREIQRLNDDMETKNRQLGNMEQQLNKKFDEVASKQREIEELKRKSQQD ALGRMTTSPSQKSVMMASQNMAARSTAKGLTTKIQDQQN >CAK64071 pep:novel supercontig:GCA_000165425.1:CT868030:159300:160049:-1 gene:GSPATT00005923001 transcript:CAK64071 MLSSKNSSKTSKSGGICNQKVSIGMFSKQSSIISQLLSTKAKQKFQQYKASKTTCEFQTF RAETPNSPNKATPFKTKYHFNTLSQLSKLESNNVSQNIQQAKQKNPDTSDDLGDVSITLR SPRDDQIKKILKNLRFIGEQMTHKKLTQINSGLLQQWQNQLNDNCDNLMKILSSELNTSS NNYHPSIHVDAQLQKQLAEEKKNRLLVEEQTSKIIQSQEQQIKQYIETIKLLEQKLLNSM >CAK64072 pep:novel supercontig:GCA_000165425.1:CT868030:160049:161093:-1 gene:GSPATT00005924001 transcript:CAK64072 MYKDIDINEIEKLKKDQEMMSLKRAPTNMKERFGQSNKDFLEDEFKQKTVGLVTREEFKR KRENIDNLVMQDMKQKQEEETKRKLELKQKRKEEYQKKTTLLSFDLDDEGSLQKSYGKNE HVDTTYLPDMNRERKIEEMTRQLAEQYQKEVESQKDSLIDIQFQYWDASTGSKSLRIKKK MTILEFLEMARREIIRDFGFLTEFSPEDLIIVANGMILPHKLSFYDLIAHKVKNRSGTLI FSFDRRKVQAKGQEYEVEVENSTICKIIEKFRYEKIKHIYPCSKWENVEINKYL >CAK64073 pep:novel supercontig:GCA_000165425.1:CT868030:161112:161638:-1 gene:GSPATT00005925001 transcript:CAK64073 MLSLNAILNDFDELLQKQQPQDNSMEECKTIDRWARYTQSSKRSLMTRRFSQIEDNKQQT ENIKVQSQLFTQEDLNEVKARIRFEQKTKEIEKNAKLKSSHKALEIVNIHQIKAEVRQQL GSKKKKGSPVRVVKSSLQKENKGGKSKCQQCIQLLSMGLPTKHCINH >CAK64074 pep:novel supercontig:GCA_000165425.1:CT868030:161679:162697:1 gene:GSPATT00005926001 transcript:CAK64074 MHNNTQAQIVTTAQNARLLELVQNSRKLVHEGQIQICYMPDAKIYFVMINTYCQTIFPST PWVILKSDKGYSLLYPNATAGLNGIFIQKGQDGDFFLNTLQQLVQIIPFENAIQIPQFKQ SIQLHCKDIDINPLENSTVAVGYIKAGGEALKEGFSWLGDKIAKGVAAGGEYINSKVEKK EDVEVKPETKVKVEIAKSKFSETVDVTGAYLKQLFTPVVQKGSEIKQDINKQIDTSDSQG LKEGREIFVASWDAMGTALTGLGSALSKIGDQIGTNTRVIVEKKYGQDVSETYLGPKPQQ QQQQQ >CAK64075 pep:novel supercontig:GCA_000165425.1:CT868030:162700:163126:-1 gene:GSPATT00005927001 transcript:CAK64075 MVYGRLIYNNVKDYTPQWFKTIPYQQTVKPSFVRKPQVVSRLNSDPKVKALWRFLGRNVA DNPWAWQIYIFANSFVIFGLCYYPWLWVYQFNNKKRTIDYALQQEKDWKAKQAAAEE >CAK64076 pep:novel supercontig:GCA_000165425.1:CT868030:163153:164037:1 gene:GSPATT00005928001 transcript:CAK64076 MIDIQIIKEGIGDTPRLHEEVFFFFIAHNHKGELLTNSQHTATKTIIGRGWLQPEVEEAL SKMKQGERSLIKIYHSPATKEFYDQYEIEIIRIGRKKNNPWHLEGEEVYDKALELKGLGN ADIKQQKYLEAQNKYLESLNLIKTEYCDRELELSGQLRSNLSLTHLKNKQFELCIKQATT VLQAQPENVKLLHRRAVASIQIDDFDRAKSDLKLANQLDPQNEEVIKELQSIGEKEKQIK KKQQDRAKKMLFGE >CAK64077 pep:novel supercontig:GCA_000165425.1:CT868030:164448:165887:1 gene:GSPATT00005929001 transcript:CAK64077 MNNQSSDRKFVEILTPVLSDQEEDNQNNKPQQHPLQFLYISPNLYVKLWPEIKKQLALLK TTQSNMTPFNAQQILEEIYCLHFPNPQQIKSKINFRNFYLALDGFPQKKEFLAKVPQIAD LALKMDSEFTDYLSMLLEKVELKRIQVAILLANMFLCVMHLQPDFKLLPPVFIMGKLFNR QNEDNAQNNTKIQKIRCLMYYFLHVFSPEFKPQERITFTRKKQNLTIHSNPLCEFIYCEY GQMENVSDCYIVDFANKRIGGGVLNLGCVQEEILFLTHPEALASLLITTEIRPDESIIIE NINRLIMYDGYRDKFECKGTVKQIRSVNLICIDAGEYSGSYHQQYKDYKRELIKSYSGFY GISRICTGKWGCGAFGGEWQLKALIQWVAASLGGCQQLVFVNDESYPQNQAFNLLKVLNS NQLWEQIQKYCQKKSKEEMSKINAIDFILSQKQF >CAK64078 pep:novel supercontig:GCA_000165425.1:CT868030:165999:166874:1 gene:GSPATT00005930001 transcript:CAK64078 MKFVFILISLSIQIHAISLQLNQVGGCTCEMSSVQTECVPTTCDWDATTSKCTRKTCDKF TVEMCDQLPDYLKCAWKDNSCQAFTKCSDYAYADGFKCFRVGPCQAVFKKNTAGVYPCTD KTNDSMHSIDSCAGIDEVGCVETVQNDGKVCYWNPSSSTCEAWSNSACSNFDNTSLLACP KFSCDYNATTGKCTTRTCDNIVVEAACTMVWDIKQQVATQCKWANSKCMEFDYATLTQPT CLMGSSLSYKWNSSTSKCEVCVQPKSDDDTDDTSHSYLVQAVVAILIFIMI >CAK64079 pep:novel supercontig:GCA_000165425.1:CT868030:166990:169735:-1 gene:GSPATT00005931001 transcript:CAK64079 MMLFTYQQLYNGKWGYKREIRRNKLDRTSLIIVNLYFGISIFLAIGKAEGSPQLFTFKNN SQLSKAFGLLKKQQYNEAMETLDKLIEAHPNLADAQYLKGLAYLGLNDLKNALIYCQAAT DIDTKHTHALAEIGNIYTLENKYDEALSIFQKLIEYNEKSFEGNFGMGFINLMLNNFEIA DPYFQNALKIKGRDKVALLNYGHLLIKQQKFDQGLHYYEEALKADPKYTDAISAITNLYL RQKKYEQLFEFLENAGDQRIPKIKSVVLNCKSQAYYGLKQFDKSMQLCQEVLEYDPKNID SLYGIGMCLYHSNQLHKAMTYFNQIIHENPLDIKTLKIMAKISSTLQLYYQLSDCCDKII ELGLGDQSIHYYRGLALMNQKQYSKAIEDFNKTLSFDNKNIIALRNKEIAFCSIQIKDFD QAVLCYDTIMKQLKDASEKSDLFFEKGYCHLLGQQFFSAKTNFDSAMQLKPKNEDLILKI ANAYRDNGNFQPATHMYDRLIKMKPNNPIYYVEKAELLSKQQNYKEAKLQYDQAISLQGD NAQYYISRSKIRTLIQEFDEAITDLQQAIKINDQDPELLFELGQLLYMKQKFDQSMIYFQ KAIHLDENNEKYHLKYAQVLQMQDFDKEAIEHLRDVIAKHSEFDNCKNLLENLNSSPAYF REYSYTFTYIMVSMFSEGMVNENRSIDAARQESLRIISEKLKNAFPNIPNIFDIIRSLMT TKFEYNLINNPKEMRRIFGILFKSKFESQSQIISEIIEVGKRIGKLKENDLKNDDQNVDQ SLHEKFLSQCSEYQMGQFQCKASVLALQDSVTLLTGIILSYNKLVQTQQPFKIQVYDMMK NGSLNKLKQK >CAK64080 pep:novel supercontig:GCA_000165425.1:CT868030:170643:171374:1 gene:GSPATT00005932001 transcript:CAK64080 MSKNNKLIDVAKYLRRFYYRVQNFVEYRMLVNQKHILFVGGNQNPLTPMLYQQFYQNWQI GHLDLQSELPIQPNFLLNQEEGLQKLVEEAKKRSNHYDAIIILEDNNQIKQGDEFETYNV YKSEVTRALIASHLATKILASNGMLCFTVDSKSYFESKLPSQMPTAKVMKDCQIAHLCTN LGERDDLETDTLVVGALIDEDKLNDIVKYLKLWADGIKRPASGTFAHFKYSTHSTPIVYP ELL >CAK64081 pep:novel supercontig:GCA_000165425.1:CT868030:171589:172785:1 gene:GSPATT00005933001 transcript:CAK64081 MQKVLRFHFGVLSRPKLHIFDKGAKHTPSGIRATIHGGTSFSGIYMGGMLGNIGSELIFP HNHQYNYEDHVRELKTTSGPGQNWLLHDMNYDNKEMIEWTMKNSNVVVNLLGPQKTSEKQ KGFRVDQLSQCQKEQLKHALKTPGVIRLIHFSACGANPHAESLDLQTKYIGEQEVLNAFP NATIFRPSVMVGDNDDFAYHWQVQKRYFHNFNIVPDNCQAKRQPIFVQDVAQAMLNALKM PETIGQTYELGGPHVYTLLECYEMFHNIVQRPPKLAHIDKQLLLKIAQYIPNWKYFNIDY ILKHGDDMIVQQGSKTIDDLCVRPLSLTQALQNIFWDIQARYGGSSELYER >CAK64082 pep:novel supercontig:GCA_000165425.1:CT868030:172788:175292:-1 gene:GSPATT00005934001 transcript:CAK64082 MKDKQFLRGLLLHSITFCYSIYFYSIRSEATNLFCMIIASIVSITLFILRYKNLEVKIIG TILCIQIDVICLIILNVVNLNVTSYAQLIWCQHISKELQRQMGSQQYYLINPKSLYYATI LIVSLFQQVYTHIQTNFTAESPQLITCLLMSLVDNLIIRKKKRQRLQQLTTMPIDTGTVN KLDGVAKRVLSILDQPSQDQSKDSFQREYDDFSNIQQHYINPEIIYNSLEYFSEGLIVLN VLDELNHTYKISYMNNATRILFGKDQDADILFILENLNSLHLQNQECFEDNNSRSDSVQQ KLGNLSNSKLCKSLFPIRDLMFCSLKQPLADIQYFERQQTISMKDLLERMIKTKKQDQIT VNTHIDSYLFENQKAIKVSQNLAYSRQDSDRLMEFKLTLKTDKNILIICRDVTHRQKIRY LKEYDIQKSKMLSFVSHEYRQPLGCIIQMIECALQQKVIQKNLEISEDLQAALDNSKYML NLSNDLLDLAQIKNGKFKIQKVPINLEKLIADSIKMFSLKAKIKDLQLFCDYKVTLPKFI VSDKNRIKQIIVNLISNAFKFTCTRISVIVDLLANQKLRIGVKDDGIGISEEEQKVLFKA FSKVNSEESKKLNEQGVGLGLVISNQIAQNIGCSGLNIESNKDQNNHFSFFYFDIMMEEP TNKKKVPSFKIPQITPRYQEVDEATTFNQDCQKITVDVIPQCCHYLIVDDDCFNGYAFRR IFMGLQNKQALSILQFDVEYVSSGKDSLLKIQEKKCNKTCQGYKVIFMDLEMPVMNGIQT TKQILNINPNQLIVGCSGYSDLQEKQKCLEAGMVDYLTKPVTEVTLIKILEKYQ >CAK64083 pep:novel supercontig:GCA_000165425.1:CT868030:175734:177686:-1 gene:GSPATT00005935001 transcript:CAK64083 MYNNTSPMRHQVIQAPPNKSVSPVSKFQVMGNLQPPPGMKTVLKPKIYEVVEVPLNHYTS VPTFQPPQQLQYDQELQRKIRQLEQESFICRQKIEQDQKNDKFQQLNEKIRQQQQEIERL KSTNDPNLRLLKEQCDYYFNQSQQAELEKNELILRLKQNEQQMQKIQQQQFHNNDKDTKY NQLLNEYEQLKQKYQILETQSQQQIDQQKSEYDRIYNQHFSQIKQNSEIRSKQFDDQIMK LQEELDNAINEAEVWRQKYHKEELNQMNHTKRINELELNSFHQIKEIERLQQLIAIKQQE NDSLQQKINYLEFNYQQISSQGLDSEIKRLKEQLDSRQREIDDLKRRNNEIEQNVLKLKD HEIQMEIIKQRNQLFESQLQESDRFKHQYASQLIELDELRNKQNRLENCVNDLRRNEYRQ QAEINRLNDLVRVKDEEINKVQIEYKKNMAQLTQQMNSFRSLFERDIDTQNLNRNRQDME NKEMIDQRIQQLCEELNMIKKDREQLQMACSQLTQTKLGLENRIIVLQGEIDRLNQLVKT QNNEINHHLQTLELQNDQIEQFRQQNEIYKKEMDLFKTNSNSNFFLSGSQKKFDFIPNQH SDSNWN >CAK64084 pep:novel supercontig:GCA_000165425.1:CT868030:177694:178750:1 gene:GSPATT00005936001 transcript:CAK64084 MLILFYVCISLSIGGSTLMLTHLFFFDNLKVFAQRIVACLSISDLFYALGLLLYVEPTFD GYDIARCTIQGTVTQFARICAFLWSTSISYFLYVSITSGQKKLIYFERYQKFILAIGNSY TLLQLGFAIPLFMATIPLFFHSYAPTPAKVPAICSITSNDENQSIEKNRSLSLYLNLTLF YLPLLCSVIISVYFILRSYFKIKRIKSQYELLNKQINIQLMFARNLILYPSVIFICWLPS QIIFLIFVFNNSWIEQIQQDYFIYQTGPYQYIRIVQYGASFLQGLFNSLVYWFNSYQMRK RLNKLERADLDFQKTKTFFSNNNELNENRSSQLTNSFENLQEI >CAK64085 pep:novel supercontig:GCA_000165425.1:CT868030:178786:180257:1 gene:GSPATT00005937001 transcript:CAK64085 MQTNRSPVEYLNSIEQQIQFLEEYYLKFADETDTQIIQKFIKVYKYIDEAYKSSFITEEA LFQTYGFFYQTIKKFTRKLENKIYYDKTDYEATLEKFERLQIKLIPLYKELILDAQKLQR MDLDTLLDSNMAHHIYTKKELGQFSLQLFDFLNLDTIKVPKNVLNDLITEIIMNYNVVPY HNFTHAFQLSQLLFSCYMKSDLKKFCNQLEIFSAILAGLGHDLNHKGVNNMYKIKKSKKF NVLTSEIAVLENMHCATFFNIIQLNRQHDFFQYMTNDEERNLAKRLIITSILATDMSKHN KLLAKFQKRVESTKQFEQGEKNELIDMQRFSNERLEDRIFILNIMVHACDISNPTMKFNN YMNWSYLLTQEFNDQVQHFNNPLQTIKEAKVGVDVTGFLVYKDKPAYYGGQIFFSKTFVF PLWFQIGEMYPELKYLSEEINKNLEILQQKLKQQ >CAK64086 pep:novel supercontig:GCA_000165425.1:CT868030:180269:181298:1 gene:GSPATT00005938001 transcript:CAK64086 MKQNNKSTGIKYMAKAIFSLKRELDSQSNNIQLIQQVTQEVKGFMISKKYDIRSYRQLTQ IYKYVQDSEFALTIFPRSKPLREKQKKVLALHELDRFDEYFTYNKLDAPALKHEEEARRE DIINSRLDTLNPLGLDLFAQSPVQVVLTIQTPQLDQVMLLTLLISSGGIEFKDLSVFDNV NLRQEWHSKLLDIVEEYTDSYRADVLDLSDPFQSNIVKYLNAFGFEAQDAYYLELLAILS EQNNYMNWLNDLHNIVLANQIHSA >CAK64087 pep:novel supercontig:GCA_000165425.1:CT868030:181349:181992:-1 gene:GSPATT00005939001 transcript:CAK64087 MLYSQNPYYYFPFYQPQVVYPVYYFSAQASNQQEPIIEPSLITNNDNHPNHIQQSNHDTE ELIIEKNTNSQDTKNESKREREIINKKQKKNTKTPQVLDSTNLHKNFTKALIAYAIKQQF IIYKLLGEAKGQEFLELMHTIKNKLCNLTHILQMTKNDEFLKAFRTLGLIFLKKESVPYI YNSKIQQKTSHLKHKVIIKKALLQI >CAK64088 pep:novel supercontig:GCA_000165425.1:CT868030:182358:183773:-1 gene:GSPATT00005940001 transcript:CAK64088 MSEEQIEQPNDENQEQQNDQDPLPEQNEEQVGDPEQTEQPEQPPEEEAEKPPEPPEEDEE TRRLRLEREEQERKEREEREEQERKEREERAEQERIAREEYEAKLKEDTAKFNELVLQGI SGLNKTQIAYAYVKLNLAEKDIDRLFQINHLNLRYIDISQNRIVDITHLLPLKYLVSLNA SKNEINSLSYFQDPEAFPYLQYLNLSTNKINTLVTVQLKRLRRLNLIENEITTANEFEGH ENVEILELGKNKLKTTDGLANMPQLKELYLQGNELKDFRSLNNLPSLLKLNIRANKITKI KTPVIEFPQLYYLNLRENQLAKFDDFKKIAKIRTITTLNMLANPIVDEMGADNFKQEILM FYFHLVRINKVDITKEDYDEAAKVLQERRDEEERKRIEEEQAREEARLAEEARLAEEEKL AEEARQAEAAKEGEAAKEGEGAKEGENANEGAE >CAK64089 pep:novel supercontig:GCA_000165425.1:CT868030:183807:185325:-1 gene:GSPATT00005941001 transcript:CAK64089 MQQEQIPQQQNVTPKKYQLATPTKDKKQNKTNQSTTIQSPQNNDFYSPKNMNPWKTPKSL LKSNHKQSTPDHGFQASPYSDRYIPLNVSRNLFNKQIQPFEIEEENQYEELLSENVLEID ENKHVSILNFNKQKHDKVQSAKQLETPKRKIDTLPIKVLDAPGLEDDFYQDTLHWGKNNL IAVGLQRSVYLYNVDNSKVFQLAEPINNNELSAYYTSLQWNTNGQMLAIGCCDGFLKLWD YNKNSFTGSMNLSNKRISTISWANPNIFAYGSKDKTINICDVRVPNYSIFQLLGHTQEVC GVTFDGSELQLASGGNDNKVFVWQMRGGNNNSNNQYISWEIKSHKAAIRALAWNPNSCGI LATGGGNQDKTIKIHSSLTNQQVASINCDSQVCKLRFSKIVNELVSTHGYEKNLVCLWQY PTMKRIHQLEGHSERVLYLSASPDESTILTGSGDETLKFWKIFPTQVSNNMSSLFSMCEI R >CAK64090 pep:novel supercontig:GCA_000165425.1:CT868030:185402:189909:-1 gene:GSPATT00005942001 transcript:CAK64090 MLHAKPKIQTQQALFQDLKSKKSSTSFSPINTLFGSKQTVNLPNNLSIPLYKGHFVNFHH EQIRIDDNCSLSGIQDGFLYFVVKEKTMQFYFFSPQQDDLNYYYNTDDKKYKFPIRLKEQ SINHLEYITAVGFQLVDDVWTMAIASEFYIFLYTFTGDLDNFQMLKQGFNVNGEIINQVI FYQDNLIYGGSSGTLTCKSIDSAQKYTTQLKEKIKKQFNRIFKDLTPWSSQQGLIQLEVQ EEFNICYGLFEKLDKDENVEDTFIIIYDIGLQGELFQEIITIKQSSLFNSNLEFKNGYDY HNLQFYHVFFEKQYQTNKILLIITTKDQLQLYLQFELQDRTINEINEYKQRISISNVVQF QQYIKLKPEFQVIQVKNPYMKIDTVIPYGVCLDESRTQYKQKEMLTIQQNQILKSVYKEE QLSLFHLDNYIIAQFLDMAHIQYFRNLEQQITKYNTYNQIQYSIYRDTSKDAIEKIDLHG QNGHGIPKSAYNIGKMTVNRLFNHFDINESQLYNLPEHFICIADHTIEFIVRMRPIDCFF TAIKVTHYDFIDQVDAEFPLEKLVRAFGIEESCAQILQIIIQEEQNFYINVELQHQYQLD LQKAFQRIHYSENIQKFFDDNEKIPNWVTGLVYVRKGSIIKEKALKAYFALIKRSLLSEE RNQKSKKVSSTTSLIWKIIAPLTTKKFINEKVYNLECNKPIESYSLLQLQTVFKQIEKLI KLFENEPNYYQQVKNQRHDLQIEEQKQFQNYKNGFSKYIYETFEQSTRLDISRSTNYSDQ SLAISVNVDVEYNQMKVLYSYSKQIKQLLQFLIYFFGELQGIRNIINSYQNKTQLFELSI KSVLSGDTLGNLTLKQLMIHIIKSDKTKFRETAQYMNYHFPDYFTDSDFKISLVSNLFDE ILTFAAQKNLIKLNPNEQIHEKIKRILKLSKTPQITIDKVLEKKEIFLYYKDLQPELQDQ LLEALKLIEEVTLLIDHSYLTQCFQNYMYPFATFKFYVQFLAQKCQQQEKDQSNNEYCNC IMDLIQQFTNLIQYYLNPPKGLSKAQTKEILIESLQLLNQFKLIKATEAIANNIIKYQLK EFIQYIDYNPEMDLQCNNDLEKLQLQKKQFLAKAVKGNHEVHPQLIQILLKLAQFSLSQC PEMNLEDIQAKLPIKKRLRYVNKAQEFIRTFHKNTQGIDLNEIEKQAQDLSKQLFLQDIM YDFVISNEDENQCYHTEKRKILIEILDCKIILQFFEDNNIYFGQLLCLDYLEHSKGDQLC TQENVEQLWVNFLKHSYEESDGWPTDVLKRQMKLLFENLINSNKYIQLQKMTQTIELINT KECQEQKITQLSTWLFFEILLSHDIREIDLAKIYVKHYLDHIQIVDNPLYKTLQIEQIQT YKLQLIQQILILFDALKKLKYDQQSTSSLINQFRLAFENDYRNLVDDELYDAKVIEQIKM RIDSL >CAK64091 pep:novel supercontig:GCA_000165425.1:CT868030:189931:191537:-1 gene:GSPATT00005943001 transcript:CAK64091 MQNFMTRPSRTNTSEFGHRQEDNFDYNEFKNLALSLIASQHQKEWNHQPRLTQVLLNQNL TVMFDGVIIKEQCTLFPNVLKIDTHYIQLSQYQIKRKQLIHNNKKCYLLLLGNDLMLFFS SFDVQKHWYNQIKQFCIMKNLLVKYRLQEQLFQDFYSIIKKKNRQQFSAYIINKQQPFLE HTFGLLHESKNHSILKIKRIYEDTNNYVMITERFEGEPVFNFLLENIQFMEVQVATLIYQ ILLLLRYLNEHQAYHGNINALNILINRESQSLEIAVIGFIYYPFKQGEDISEYLKWVNLN KYYWSGFEENQTPGIHSDLYQLGVLLYIITFYTKINQDLKRKSDPYKLFLRYMKELVIKY ELIDETIEMKTPDQQFVHVFSSSQLDLIKKLLSNCSLSDALTHQWFVNAKQKARPHNQRQ GLPTLKTIIELKEASDGEASKSQTKRFSIQSDTYDSMDECPTVSYLMRNILDYEKAPCKT HHS >CAK64092 pep:novel supercontig:GCA_000165425.1:CT868030:191546:192077:1 gene:GSPATT00005944001 transcript:CAK64092 MFICCGKKQKIEIANLRSQPLQSVKMQLQEDSIDAKFEFHLDKFQWKLIRDATGEELVRQ QQKQKEKERLKLEQQQKLQKEDEELQRLQSQIEQQTFVQKEKKQLHDQLNDLFTKLKKDY LITQIKNELLKKMIEDDKKQMGEITNYEFAKS >CAK64093 pep:novel supercontig:GCA_000165425.1:CT868030:192187:194260:1 gene:GSPATT00005945001 transcript:CAK64093 MNKQRKTQLEELQEKESSFGRVFKVAGPLVVAEKMAGAKMFELVKVGWDKLVGEIIKLEG DNASIQCYEDTSGLTVGDPVMRTKSPLSVELGPGILTQIFDGIQRPLQVIAEQSSSIFVP RGVDIPALDQDRIWEFKPSSLVKVGSMISGGDIYGSVFENNLFDEHKILTAPRVQGRVTY IAPEGNYTLKDKVLEVELDGKKHQYGMSHFWPVRQPRPIIEKLQEYLDALYPSVLGGTCC IPGAFGCGKTCISQALSKYSNSECIIYVGCGERGNEMAEVLSEFPELTIQMKGKEENIMQ RTCLVANTSNMPVAAREASIYTGITLAEYFRDMGFNVSMMADSTSRWAEALREISGRLAE MPADQGYPAYLASKLAQFYERAGRVRCRGSPDREGSITIVGAVSPPGGDFTDPVTTATLT IVQVFWGLDKKLAQRKHFPSVNWTISNSNYEKILEPYFNAFDPEFSHLRNQNQMKLSNWS GRDSLSEDQKLSLEIAKIIREDFLQQDAFSKYDYNCPLYKTIGMMRCIVSFFECGKKAIL ESSGDAKITWNIILNQTKPQFVKLSQMKFEDPKQPKQELMNYFTKFVDEIKSAFRNLTDK >CAK64094 pep:novel supercontig:GCA_000165425.1:CT868030:194604:195044:-1 gene:GSPATT00005946001 transcript:CAK64094 MGSSCCLAQGDEKVELMLQQKNKQKKRVGKLYKNPSISGNDQNKQNNRKPSYQNQLSYII DDTGKEYNRMAASTLVIQVLTGDLDRVNSIELSENAIKDIPVKNSSYEIISIESKKTILK KETKYSIFHNQAHLNQNQKKVRFDDV >CAK64095 pep:novel supercontig:GCA_000165425.1:CT868030:196884:197359:-1 gene:GSPATT00005947001 transcript:CAK64095 MHQGSFILTNQKYAELDMFRKDVREKFSSKFDNQKTVLQKAKESQLNPHQSIFRNSQSPK AYKNQQQQSPIRIKQYSKHSPGRLSFQRSSIDMGNVNSSLNIPKKTLNEIVPVEIIQDLA RALKGQSYAATDLYMQELRKLSKMVLNE >CAK64096 pep:novel supercontig:GCA_000165425.1:CT868030:197664:198808:1 gene:GSPATT00005948001 transcript:CAK64096 MKIALMLVAVTLVSASSISPYSKLNYSKQRSISAVLTEVEAKLQNNSPLNSILNVLKQFK DAVNVEQVNHDEIYHLQDNECYSEFDFRSKEVEDASNVVRDSTAHLNVCKTSKIRTTNQQ DVNQQQLFTYQEHLTLILATAESEQGYFKKRGRDYEDSLHAIDEALDILSGIANGKRSFA ELSSVSKSMLQTSFNIQKTFNYAPIFYAFSQLASQQDLVDPSQIERVEQLLLQLRESIHD TYNEFTASNAASVAAFNDQKDRINSILNRLANQEERLQDKLDHLNQCIGTQSAIVQAAST KLERNQQLWDQAVALCNTFEIEYYSATRARRSELSLITELEDHVNERFGQVKQKKPHKLL RYAKLKY >CAK64097 pep:novel supercontig:GCA_000165425.1:CT868030:198956:200184:1 gene:GSPATT00005949001 transcript:CAK64097 MADTQTPDDISKVIPINPQYQVPNAVQNQMQIPNQIPQIQQPPQQTYVVYAPQMYQPRPQ YNLNQVQPIQYPQFQHPQRLVPPQQQPYLPYQQQPQMMQPLIPPLKQEETLKHDSLRLAG KLERRFFNLQVLSFFLLFQAFALVLCCSSYRNGFIEFQYNLYGSFKVPFYIFTVIMALSL LYSYTASKKLKNSSTSKILCAVYGISYGFFIQALYSSTYSYYYYYQSGNSYHFLESNGGD EIVIIVFSFINLEVLSLFTYAMQELDQLSFRNSFWYVMVPSVTYGGILFSLYYQYGVLCG IICFSIAFGFYLQFILSRMIQSTKFNLRSDDGKYAAVLLGFLILVPFFDIGLNKSDEMVQ ESQSQNNQQKNNIQ >CAK64098 pep:novel supercontig:GCA_000165425.1:CT868030:200206:201306:1 gene:GSPATT00005950001 transcript:CAK64098 MTSQQQSQTFVPIVLSQEEYNQILSQPAYLHQQLLQEIYQKKQQQPQLQQQVQVHPYQQF QQQALNQPQSTAVLINIPQIPNHPNQPNYVYQQGVQPLPQYVQYQQQNPNQNLNIIQNPL QHKSIQKAGNLELKYFYEWSTYLFMLEICISLFTNTNSAFFNWELVTNNYQWNSTLWVVL ILFIILNLFILTNPTYVTQKNNQKLYYWIHVLLFVLLMQGLQTAISGSAEVFSWNTNYFY YFYLLICVEFISVRIAIKRHGVKKPIQEYLGYLIAAPVVTYFLELICQDYFYIYTPLLIW VIFVILIGVGQIFIISKIIEKGYNKFQTKDVFAMAISFPILLVCPFFDEE >CAK64099 pep:novel supercontig:GCA_000165425.1:CT868030:201387:203549:-1 gene:GSPATT00005951001 transcript:CAK64099 MIQILLTYTLHIEIQIPQFGFAHSLFYAYLMFKNQDQFWILFPNLLFIGSYSLLRMFNHL DQEIIWTAIHYAAVYIILTIMNYETFKQQQSPKLIEIFQNSERYKWKEMSQHELFQENYT KNLCFNQIREGVILYEQGYEQQPTFVNRSAKSMFQISDENQIQTAYQNYYKIEKLEAKKS IQSIKSIGIDIQNSIKQYSGSLSFNSKSQYIPQQGVKEVTLQQVLDKIWIKQNKESYLFY VQQSKHLSQSQQAPILEVSVYVNSQVKKVMTIICRDLSYKKYIKQLQSHYNQKSKMISFV SHEFRAPLGCMITMLEHVAKEQNNLYIQNSIDNSRYLLNLCNDLLDLAQIQANKFQLKME RFNLKMLCQECLQMFNLQAEKKQLKLMLQYQSVCPDIIEQDQNRIKQVMINLIGNAFKFT QEGYISLRVDLVEELVIEICITDSGIGVQEQDKEMLMKAFGKINSEESKKLNAQGVGLGL VISNKIAQQLGDGLRFDSIYHKGSSFSFTIQLQELQSQESEKVRSINNNKQSILSDSEMI ENLNEDEESSKEIQIIVTQPKKQINSSVECCSKILIVDDTQFNIDALQMLLLRIGVKQID YSINGYKAIEKIKLKEKCNYCNSNMYGLIFMDLEMLGINGINASKHIFSYCEEKDLKSPI IVACSAYQKEMEFPKCQQVGMKFYLEKPVEMKQLQSIIDYYKSTI >CAK64100 pep:novel supercontig:GCA_000165425.1:CT868030:203720:205011:-1 gene:GSPATT00005952001 transcript:CAK64100 MKQEQNHFTFTTVIHPPFVDQDKQEEQFYPSIGYNFATGDAKPGWAFISKDQPPCVPYFS SYIKKQIGAEFLVTGGAYQENKDIYSSAFCFRIQLHDHMEGHRIKYELWRQGLTREDEDG NEIEGEKVPVIPQMNFARHNHKVFELLKPGTTNQYLYLVVGGQLINQDGWGILNQCEIYD EKGPVNQNGEQSWEVVDSMVQARSGFSGFVLNNKVYVYGGLTLENEEIKILHSNKVECFD LATKKWKDFKYRQGINVTNHLGSSCLPISDKHILVFGGSNGEKVTDKIAIMDIEKKSIEN IKSKTKLSVPRAGSQLFVLKQVEVADSAQKKDLIVVLGGNQDEVSFDYFAIKDQNTLELE YVGKNEFNNAFTGAVTGTYAFGGINDIRAWVKTMQYMPVVTLIQ >CAK64101 pep:novel supercontig:GCA_000165425.1:CT868030:205240:206228:1 gene:GSPATT00005953001 transcript:CAK64101 MLNNILLQTREKEDNPPQGNSESSFSQNSTTIETANLSQTPTLNLINQENGPRHTFSQLD QIYQPLLQHNQQLSSLNDLQKQRFLIQITGVWIFQEAIQIIMSVITILDFNYDNLFYNFE QEKYRAPLYLFFLISASQLLLIRFYKPFRCKHYAFFIFLIFTISYALWISGLIAADHSDI WNIENSVQIGFAIFIGIICNFLTLITLQFHFSLTNKKITFFRTIKYVVIPPFVYAFIFQI FNPFDYTAVLILWMSTELWALTFGGLFLYSTLQIINGKFNLNQDQTISAALLAFINMFFP FGHF >CAK64102 pep:novel supercontig:GCA_000165425.1:CT868030:206299:214536:-1 gene:GSPATT00005954001 transcript:CAK64102 MYGVFTYYTPLNQMINLAQTFDMAQQQVHLFSAFFEQYTLIAYQTIDADVDKIYHVIKIL STFTGTMTVYEFEQDYLQYEGLEVGTIFTYSEELLQLKLKVYTLDKMNTVQIDNIFLQKS NVKFIFGGRKNELDLMGFQGIMFLVLNETYLTGIDYLYSRKCYESRKDLSIQYQDYVSTN LNTFNIPVVIYKEYQIQFWNKLETQINPDNLIQVLVIDTQNEIDQQFSLGRNTMQIYYHF QSDSDLLIYCKYYSYKIPYNYYNVDYIQSLIQQAEITHVDPTFIYSWHFIRIQYQKEMLS LEIYFPVLNKNVIIEIQEVRQFSQTMGQITINAKSDSNLEIKGQIASLIFNSCLQDFNIF KPCHFSCFTCNGPFYNNCLSCDENSNRVYDENELTCLCKPWTQEQNLTQCYDLLQDPQVQ VIYKQTSEIQGYDRIDPQVICAFGYFLYDGECIRCPSQSQSGYIICIECLLNWKTWIYNS TCIQYEIINKEWADIQIQANLDILDLIQNNLYTYGDGELQVCYYCSAFCDPQINLENCHK LNVNHLGSQAYVYCLYGYDEVNQICQPRKVIEIQQQNNCGSKCLLCDENIKLCVQCVNKK DILLLNRQSCQECTIPNCKYCFQYLNADEIIISSLVSQAFYSNQISSPLEILNEDFLIGC ALCEENFIFNFQSMKCELKQSTQDQCSDYFLNDKGNRICLTTLTKDFTQGYELADCTDYI KSCIKCVQSIRKKLFCTQCQKGYYINNFGQCNQCNEQFTECVPDYIISNDNYITKIQPFI QAISKRLELAFNYFQYSEIYGICEGSIGFTKYCSIEIKIPYCKQYYIGQCSECQSYENSN TIVLYNGNCYKCPYQCSYCYPQQSSSNDLFCIKTNLEQVSIDQSTGRPTPKLQNYLKQDQ QLIYNFINYLTLATIQSDLIFSKVTINFDVYVEYFDSFLIEIPIKNRIYSQSYISQMIIN SKLKVEMVCPYKLDIYYFDEIIIQDFQISINQQFCENIILVNSINGCQLIIKNITMTQQL QENIVKQLFIWKEIDYLEFNTITLDSIQISQFPLFYIKQNKTQKGISVKFENVVFKNCYF YNTSIIQVDLVNPIIDTNYIQFNNLTIINCTLKFSDMIQIVGEQNKLIFQYIELSKISIL GCNFENFQLIKNYLANDQIITDITILDTNFIKTNIFLVHSPAHFENIEISQTQFINSSLI STQANTKLNSYSLIFYISQLQLNQITSDSSIITIEHDYYFNVQLSNIRIAKLNQISDLNQ NNFLFIIQGKFVLFQDYVCSLIAQSSFQINIINSKYIKVRNVIIFGQESISRISHEKELD IDLEPSLQNLIYIHNSTAISLHNFDISNLKIQNTNLIKIQDDSNFMEIQITDFTIQNIIL VQELGIYETSLFKVQLNKASKILFNNFHFLYIFGNSYEKIIKTSEQLSLISFLSFQSEIQ LSNFLFQNNIITNTSTALIYLESKMILIFNFTSQFTNIHADWLYQYINMIYSSSSIKVLE QLFPIESEGSIFNIQSQYLTLNNISSQSSKAIQGGFCKIELVLDSEFNITNASISDSMAI GGKESRGGSFYVTAKDSNLILNFIDIQVNTSYSLYDGGFLYLIPSNTYNRIELRNVIALN VISLFKGFFGIEFSLQTQFNFVYLSNILVNVTFDGFYDNTNEFQELSENEISYINNDNGY FYLDYCNLTISNIYMYFDIINQPAFSLRKMENLKLFKLYIEVGELLTSSLILYESYQNNI LKLLNIAHLRLRQSKFRVPSIYKNPCFQEFKEEMLFIDIQQSNSFQMKQKNCLITQILLS SLTPDQLISIKFLDEYQKFQIQDAMISQFKEMSFNLGLFNIHYEKYFKSRLEQFLFLNNS CISNNKLNISSKQLGGEQLVLLKKHFYYNNSNSQNGQISISNLNYQIQQSLFIHNTALQK GGAIYIQNSPFYIVSSVVSENRAYQGGGIFNDKILKQDLTHSLETTLFFNNKATYKTNTY GQEYFQLKMDTNQKINQLFNKSYSNNQIARSGNALLHLPSGQSLQSYREFDIQTLTFSNN SINLKFKVQNYFQEDQTLTDLNVVCDVQQDLLDENFKLIKSNFSLTEIKFNSEQNYLNLE EIVFILNPYKNNYLYVGINCDAIENVGYKYTFYVKTIKCQMGEYFSEDQCLACNSSKGYY SVDPLIGYCEKSNPYYIRNHTENLLDLYQGIWRYTLHSSTLEQCFNQPKNCLGGWKFGDE TCLEGTLGALCQECDVYNIRGFGKYSKTRDYTCLLCGNQGVVLLEFFFVFIWVLIQIALT VKSTQLQNQKFLYCKAQTKMYDIIVRLSQGINVLILDQSGTVIKLISNYFQIVLVVYTFQ LNFISNIEDVFKFVGNSTYSTTNNFDCYLSNLNIEYIYVNLSFIVIIQLIQYIMFVSLSF ILEKLQLNTFTLETLYSSFFYLYLSGFLNLIKALSSLLTPKMYGDQQWISANVSYQYWTP VHQKAVYILILPLLIFAGFILPLILFVTLNQNKKNFNNYSIKQKFGYLFNEYSRKFYFWE LIRVSQRLLLTLIIVLLQDFIITKGILLIGLLFTYYLMFNISKPFNVGKLNKFEKECLIL CIISLLLCLVQFSIYDNQTVLNYILQVLILISLLYLFYQCAMKFISVHISKYEAFFDMIR MIIKKHIKIEYMLKSKYLELSTERRDRIRQLFMKLKASTNKKQTQPPLFTHLVSEQRITY ASVDNEGSQKTRLI >CAK64103 pep:novel supercontig:GCA_000165425.1:CT868030:214879:216296:1 gene:GSPATT00005955001 transcript:CAK64103 MVLEAQLLIKNRSSNIVIPPPNIKKYADKTAEYVAKNGATFEDLVMQKELSNPNFCFLRR DDPYRPYYENKITEFARGLVAPIQEEEDQKTKPVPKNEQPKKVVKAPPNDQYTVEQPRNL SALDLDIIKHTAIFIAKNGKKFLVALTEREKMNPQYDFLKPTHDLFQFFSNLVDAYTKCL QPKKEEIDRLLTYVQDRQVIYQRCQEKFEYEIYLKEEKDRKQQQDEDEKQQMAMIDWNDF TICETIDFAEDDRIDKVYEKGIQRFDKMDFKGADQAQFQQQQNLVQTGQVVSRIINNPQQ PKEKQIITLNSTLQKAGQQGDSSAPIIQNYQRPTTQNELVLIKCEICGKNFPKNQINEHI QLELQDPRYSEIKKEINERSKTTTLQPGNMIAEHLSQLKKKRPDIFEEDHRKPPPPPPPQ QRPQPPRPAPPPPPPPPK >CAK64104 pep:novel supercontig:GCA_000165425.1:CT868030:216332:217522:-1 gene:GSPATT00005956001 transcript:CAK64104 MDSDFYKEIKDIIDLIYQQIAISRNKSLISKLNERKEQIYASIPQAQSKILLNQQNREFI TKYLDKFAQFVSNQDNLVEQGFFKLIRQSQKSKLQNIFAKKFTQLPQYFKNLESQDISAT GFINSQDVAHSKIPVNFTASSFLLDNDIDYVACITLIFKDICDEEIFIHSLSTQKLLKKI PELNQFEYLKILKNYLTITVDQQQNIITLEPLDPIIQYSPLFKINQNEKQQEFYLSKEME IILSPTYKIKVVDIFNKENKALNDNFITKNLINQYDNTLLIKNAENYIILQPQEQNIKHE PIIIFDLKGSILLNKVLKLQKEISVKLIHDGVGFLFEELTERSNFYTSLSYQSPVYSKTK KINLCLVVKNQLRIQIDSECGQLFFDTNEYNRNLFD >CAK64105 pep:novel supercontig:GCA_000165425.1:CT868030:217892:220466:1 gene:GSPATT00005957001 transcript:CAK64105 MESVNFAGDNPQPRFGHTICAIAPNKIALFGGAVGDTGRYVITGDVYIGDIIQKKWKRVE ASGNVPTNRAAHQASAIELNQMIIFGGAVGGGGLADDNLYVFELREDTGTWVTVPVIGTT PGRRYGHTMVLIKPYLIVFGGNTGQEPVNDVWSFNLEKSPYSWQKLECSSEQPVVRVYHS AGLCSTGSANGMMVAFGGRTSDQSALNDTWGLRRHRDGRWDWVRAPYRSQTDQPAQRYQH STLFLGTLMLVIGGRSNNVGETLPFEIYDTETSDWYKFQPIQRFRHSSWLVEQFLYLHGG FDSDQPNIPTEGILRIDLNKRFAQTPQLLKQMNTIKSDQSFTQSFNPKPSHPLAQTQDQF KKTNQQASTQQKNQNPYQQVRVSSAANKNIRLANQALVAMTYGPEEDITNQVKKVPIDKL QDEHKKLGPGFHDPNSQNKSQILDQLYQPFMQNLLIPKDYSQIPPNSNLLTGIKKEMIIK LCDEVQRILEKEPNLLRLRRPIKIYGNLNGQYLDLMRFFDHFKAPYDNLYNGDIDSQDYL FLGDYVDRGSRSLEIILLLFTLKIKYSDQIHLLRGHHEDPKINKIFGFADECFIKFGEDI TDPNSIYQRINRVFQYLPLAAVIEEKIFCVHGGIGQTMRTIDEIELIQKPLEIVHDPKTY QQKIALELLWSDPCLQEDELENTPNPERDIFQNKQISRFGTNRINKFLQENSLNMIIRSH EPTMEGFESQNNNVITIFSCPNYGGNQPQCKGSILTISKRGEIIPKVILPAAPVQEQRWM DLEEPISRKKGFANYLVISHEEAQLRKRPPTPPRQKRPSSQKQFK >CAK64106 pep:novel supercontig:GCA_000165425.1:CT868030:220559:222472:-1 gene:GSPATT00005958001 transcript:CAK64106 MKKFIIITLIFICNSINANATSELEIGVIQFEEAKGSQIYNQLVRFDTPFAKPPQVAIAL VEHTSTTALFAKVKNVTQHDFIIQFFSAVSLNATYRYLATTDDDVYINCSNYRATQQAIF KYPQFQTKPNAIAFLVGYHHNGFVNISINENSNTQANLSINTNDVQQTAIGICLIVGSNL QFDANVDTPKFSHYHLHQVQQSSGQAKQKIEESLTDLKTFFDQNSNTKEEETEQSIQISE ELEPQNVEDLEECQQKLNDNIIEESISDDLGEEEEDDEYFWSSKDKKQQQSSVDFELQLT LLQDALKKKPQIQQQTIKELIKKNSSNQKEQKQKQVKKDEIQKKNKPALDQEIKSNDNVK NTETQILNNEEIDMQLQQKESHVKSLKKALKLVDTAKEKVLEVILPQIESEKQLSQELNE VKAENNQQEILEVTKPIGYQSPLIDEIPKIEEIIEVKQKEKKFTQKLDGYMQSFSEDTYT QKVQKKKQQEQVNKIESNNKETMEQIQLIQLTGQSHSTKVVYEASEDSLRKFEEDFERRK QEKMKEIKQQLIPENKPTKVKFLSLAQEDEQLRSYKDFFYN >CAK64107 pep:novel supercontig:GCA_000165425.1:CT868030:222700:231092:1 gene:GSPATT00005959001 transcript:CAK64107 MKISRLFFLIKLFSLCCCEIEELTYQNPMITKYIDILSNSYYGIVSFYVPLSLQANFINS LAQSVDRSFLFSAYFNNDVSIVAYCKLNIQANEITHTLILVQQQAIQEQEFSVSFDPLLF EGQLICTSFCYDAEQQNLQFIIQTKNDIQYMNLNKINLEQNNVKFVFGGSNQNLELSSFQ GQLYGFWEDTEANIYFYLNYLFGVDSCSYAEEKAEFDSKEYDQDNTWQFTLPFIVYKDYS MYFWHRFATPLDSNDIVSLIHISTQFQLNPQYSLGTNTLMINYELKHNNQILVTVHYYSY QYPYILYDPDYIESLIKVQQIEEIDSYLIYQWHYTIIQYQFNELFIQIFYPDQQRRINFK DETVRQFSYSQFSLIFGDSMNQKQYAGQVNQFKFKNCQEEFIDTYQCHFSCATCNGPLKN SCLSCQENSYRNYDPDNHTCTCQIWTIEQNSTKCFSISDINQMEVSYIEDSNNDKFDQIE PNIVCSYGYFLFEGDCYQCPSASQKGDLICLECLDNWQNWIQNSICSEYVLSKIQYDYDK EEYNYDVDYISINSLYLFIDHELRMCNFCIQFCLHTSVSYMCNFIKEQHLGKDTYVECKF GYDSQTQSCKLPQFDLKNERCLGQSCNGQCKCCSPTNRCKECINDNDVLLLNNKDCVQCS IQNCKLCFQYFQQSDGIFVSTLTYTNYEEIITNEDYLIGCALCEDNYIYNFFLNVCQLKL QISQSCENYLIDQNNQPVCLTTLTQNFDEGIEISDCFKYLKSCQNCVKTNTNKLYCTTCF KGFFQNSYGYCESCDENFRECKLTYQNQYDITIQQLQPLLLAISKGQVLFYPPYYVYEVQ IGMCYQSDKWSKKCKEDKIITYCSKYYDNVCIKCNSDDTQTTTLSSGICYLCPYQCKICL PSLLDSTQLKCFLTDFSTHYIDQLSGKVKIKRDNQVRYSNQLTVQDLSWKDQYSDVFKQQ VFQRMNFLYVLNNPYGVYQYKRLSQKISESYENHTIQQITVLDNSSKQQLVSLYFIDYQI VNITNLTITISELNKDELIKTTSKYGVDIYINNLIFNQTHYVNFQKYIFLLLNITSLSIN NLTLINVDIQNFHLFKLSISNSSTSIKINLINLKLINCEINKLPAFFPIYLIGRQYLIRN KISIMHLYKILTFFIFLGQLVISLLDSANFTSSSLFSNYTATQTRLASLTIMNNQFKNSN FFVADLKFEIYSTKIQNTTIRNSQFIYFIQQLSTAYQKEFLIINQLLLSQLEMDSSLLRL SQNIIRFVQFLNIEVRDLTQFKNDQNYSSNLFLVQADMLIIKNYFSYNFNSNQIQIDILN SNKIELYNIFIQGDNNDFRINHEAEFSDCPLRMQFLNVYNFTSLMIQDFQIQNVMICNNN FINIKDVQNQITLNIDNLKFNDIILLQLQGTAQTTMIAISISKQSMISFTQFAITNVFGN NYERLRKVSEQLTIISVVAAQSTLELLNSYLKSNIITNSTNALIYLELKSISLQNFTNIE SNYFTEQIYTHLNQEYTKISISSLQQLFPIKSEGSVFNILCQKLTLNNIANQNSVALLGG FCKIELILDCGLLIQNTYIHNSKSIQAQESKGGSFYINAKDTNLILKMINITISHSFSLY DGGFLFLIPSDRSNLLTLENIKATGVFSMFRGFMSVEFSLQTSQNVVILKNIDIQLEYAQ MEYITSNYDELKDNELEYIKITNGYLYFNYCQIQLININLSFEIITQPVFYLSNMQNLHI MNFNLEVGEQTSNTIIEFESIKSSQDKDLFIKSFKARQVKVTIPEAFYAKSCYSSYKYEI IHLPSLSEKSIALKINNCLINQLSKVYQDVESIIQIRLLDTFRNFRFCNGIILNFHTNSF SMALIDIYYQTQVKSKFELLYLLNNKCTRSTCLRIRSNDQYRMLEVILKQIYMLNNDNSK NGQLTLSNLTYLIKQSYFMNNRAMEMAGAIYLQNSPLKIQSSYFINNSAPLVGAIYCSNI SIQDRQVLTFSSLFLNNVAKYAINTFGIEFYSLSLSHSVLQNQVIANYSQISAFKDQGSS EQYLVYLPSGQTLKQYQIFNTKVLRYQSLEFSLKFIILNSFQEKQIINNYSIKCNITSSL IDKEYNVLQDNITMFSAEFNTDRSELNLENNTFVLNPYSGDLLKIKISCDNIENNNQYEL FAKAYKCQMGEFFYEDQCLKCNASRGYYSVNPLNGECIKANPNSIQNHTENLLNLYEGFW RLNILTPIAEYCSNDPSNCLGGWETGDITCIIGHIGALCEACDIYNVRGQGQFSKSRDYK CSQCTNSGFLIVEFLLAFIWAFSQIVLAVKGTQLQNQKFLLSKSQTKFYDILVRLSQDQS SSVIKLISNYFQIIMVVYTFKVEFISNLDSLFQFIGNSTYSTTYNFDCYISKIEYLDIIY LNLIWILQVQFLQYVLYLLLSFFIKLSRPRFFSLETVYSSFFYLYLSGQINLIKLLAELI TPKTISNVEWISANLSYRFWTTTHQKVIFALILPLLIMFGLIIPLFLFLRLSQNKYNLSN YKIKQKYGYLFNEYSKTHYYWESVKLMYRQLLILIIVLLQDFIVIKGIMLIGLLFAYQII FSLSKPYNVGKLNQFESQAIQICIWSILLCILQYEIQEFNLWLNIICQLLILMSFIILIV KSILKFIQVFSSKYELIIDYIKTKIVRCLNLQNLQNSKLFELSSKRKARVQKYFKIIKIH VFKRVQTTVNIQQTQNVEIMLCSSLSPSQKQITPGRRFL >CAK64108 pep:novel supercontig:GCA_000165425.1:CT868030:231585:233442:1 gene:GSPATT00005960001 transcript:CAK64108 MGAQCCTLPTKLTVYEIDIDSQKLRGSAHTQTKRSVIKRDQKSDEEIINCQKENYKDFEV LGSGSIDLSQMQDKIPCQNKLFQKQESQIESTIPRSKFQRKITNSSVGSVKLGAEVFINL KSGSIHKYYETGEILGQGAYGKVWKVTHKNTGMIRAMKELKKKSIIIEEQQRLFAEMNIL KNLDHPHIVKLYELYQDQHNYYLITEYLQGGELFDRIKEFNYFSERKAAELMRQILSAVV YCHQRSIVHRDLKPENVLFVNDSQLSPIKIIDFGTSRKYEQNQKMTKKLGTAYYIAPEVL KQEYNEKCDVWSCGIILYILLCGYPPFTGKTEEEIMQKVCEGELIFEQEDWEMISTEVKD LIRNMLQVDPNKRYSAQEALSDPWIKKNQQSTKVNKTALQNLQKFQAQSVFTQAVLAYIA CQMTSQQEQDELAKSFQFLDKNGDGILSRDELIEGYTSVFRNKQQAIQEVDKILSIVDIN QSGQVDFSEFLMAAMNQEKLVSLEKVKAAFKIFDANEDGKISKNELELMIGTVEDDIWQQ ILIECGAQGEITEQQFINILLHQKH >CAK64109 pep:novel supercontig:GCA_000165425.1:CT868030:233502:234513:-1 gene:GSPATT00005961001 transcript:CAK64109 MDDIRKMVIDEEQERYNQRENLLREQFGYSLNDNLNQELINQIPPDIYLWKILQKIEFSQ KLKRLNTKRLKNDQQFRNIRVKEFIRRCLIEIIYLHSYIVEAKMKSQMITHQIQTLKQQI KILQENQQQNCDQTNRLTISYSHNKSQKSKVFQNYIIKCKVALNQQEQQSIYSYGEDKIC SFVVQPEIENILNFIILGKNIDNGDKFEILATKKLNLDEILAKFDVLSFSKKYIKHQIIN LNIELNRIQIPNLEFRFQLQLPYQQRIVLIEGMLERQRPPLDQYNEEIEQNSNFIKQILV PFHDVVYIQGQGIKRRNRESCSSCIVF >CAK64110 pep:novel supercontig:GCA_000165425.1:CT868030:234813:236081:-1 gene:GSPATT00005962001 transcript:CAK64110 MSDFQTKQYVTQSSQRQYTSGFKDNVRSITQDRVEQVISRETPKVQTTTVEVEQRSATKL PRMQSQQVLRTQTQGFVGGQSYISNMAAIPQIGYQGNYSVQQNCVAAQPLCMNVIVVSKE EIEAPWRLECEYLQSVIAELEKRKEVQVVEKVVEKEKVVVDNSQVQFLESQLRQLRSEND QLQLQLQSMRGEIQLRSSQTEDLAAKEREFYNLRIKFESQIQDLEGQIRRLQEENGRLRK ENNDLLLKLRDYENKIAMLSSEIERLAYSIKIKDGDLEDLRFRYEQLESQGQTVIQEKVT YLSSEVEVWKQKFIKVNHDYNECQEELTMCQAELEALRKGQKKEVVVSTSKVVTRTGGTT TTSSMGQTRGSRIYEQFQS >CAK64111 pep:novel supercontig:GCA_000165425.1:CT868030:236273:237080:1 gene:GSPATT00005963001 transcript:CAK64111 MSLALAGVVPPLYERNQEATIYIGNLDQKVTDDIVWELFIQCGPVVNVHIPKDKISGEHQ GYGFVEFKSEEDADYAIKIMHMIKLYGKPIKVNKASQDKRTQEVGANLFIGNLDTEIDEK TLYETFSAFGHILSTKIMRNPETGVSKGYGFVSYDNFESSDGALTAMNGQFLGTKIIRVE YAFKKDAKGERHGSQAERLLAANRPLAQKALLGFVGYMPTELRIPLPPPPSIQIQPLQEG YKPFPQQLPVPPPPPPGMPK >CAK64112 pep:novel supercontig:GCA_000165425.1:CT868030:237109:237812:-1 gene:GSPATT00005964001 transcript:CAK64112 MNICPIRYTPYLNNSKRRQRMVDPNRAKSSQGMRLNSSSQNRCSTQQSPNRTPSQGELQQ LEIQHQAGPIAQNQQQLFLSTQIRRSPSFRLKNYHAAEITSSTQSNSHQKRQNFIVSGNQ SKEERINRINFLGDLLQQMEQNGQQTKQSLSTEMTTVPKPKFEILNARTLTEYEQNIEEL HFYLVDSQQRTKQYAQVIEKQKQINEYN >CAK64113 pep:novel supercontig:GCA_000165425.1:CT868030:239720:240571:1 gene:GSPATT00005965001 transcript:CAK64113 MKIYGIKQEERTNPAPVSIPRPIPYYQTSENLISIQKQWAVIHKFMRALNKLQQAQNKNH NQPKYPFVLQLSMNEFMVHLGTDKLELRMSNELSYYPNFGPVQYCCPPPVNSPTPTIKLP IHKKREVNVLQDQKDTKNIPKNYCKSIITFACKNQNLCLEILKDQLKVIKFLEKITVYKK QLLNIRIFSSLLQHSDDPEEEEFRKAFRIISRIFIKKYAINYIFNSKIVQHNWHMRYRSQ IYKGVKNPNNFSHIKNL >CAK64114 pep:novel supercontig:GCA_000165425.1:CT868030:242101:243311:1 gene:GSPATT00005966001 transcript:CAK64114 MKGLNYESKLTYTYSMSFEQMKRTLEIDGFDCFRLNDDLKIIEYSINNSIAIQLSLLLYL QEINLIRFTIAQQIQLCQHIYSKYLQLKQQNIQHNYLSSERIWLKILNNHQITILPKNLQ YSIHFVGFDCPFYEKDNQQNSKTDDELTIKTIIIDILVQLKNKKLKKNKSDDKHIKLKQI FELLLNKGIYISFENFLCNVDDIFSTFRNTNQRLSDIVDKELTKFNSKRAWRVENVVENL KQIIQTHYKKGQCYQFQQILYYTFPKIAKELKTAKFYENQEAVKNINIYDIDQQLTIHDN YFDELINKNIESIMKDFKFEIDMQDIKETIKSELRNEFKILNYFLNQVKYQNKDFNQILA YFNNLKNDIIVKVVRKILIDELRLQILKLIDELI >CAK64115 pep:novel supercontig:GCA_000165425.1:CT868030:243370:245667:-1 gene:GSPATT00005967001 transcript:CAK64115 MLRNCVRHYFCLDRMVSSKLHLVKEKDAKTDYVYYEQKTVFSKEKGNKKYKLLDVKVPDM NAKKTQFYVFDKNTVPVPRQVYIAPLRKYVKQKLQRDEFIRYKKSQTTIQEQQEEQVDEL WGENPPIRYFERLVAKDLFFNYRSPRFAEYNNRKNDFLSNPSVHSEVKNIIQEIKQASTI QLSRVYHPNYFIEDHYKKLPDLFNKLKEAVSQANFKEIPKIAWMLQNQLLYKEDIYKIWE VIENIVKPQIHHYTIDELILLKRGLATTYPKAGSYELHNLIYNLVSQEKLSVDQTIEALY AFRTFNHDKFAKVLIENLIKLLPEYKDNHSKLAQAFYSIANNLPKKHLRAQSFDVTANDR YRLSVMNAFVGPLKEGKYNMDDITRILLGVSILKVPNFNDLIFLLENQLQEPDEYQLVHI LYALSKGNNGIQSGTNETYKYLEQFVSKYFDQYENLEKSRIYYAYSAMHSEFAQNPIFLN WIKENMLKFSFSELQNVVYGLMFNQVSDQEIWSNFLKNVATKSEYVPLANYFALKQAKFY ITQFFPHWNLKPFEEACQNAENMFLANRTERQHLDQQIIDFQIDLNTQLQYDWKTYYNWN NTYFFNITLPDHRIAIVLNTPRTTINGKPRAQLQLQQQVLATQDWKLLIFDQTELFSMKT KERAAFYKKTIDEAIESQKDKMKAWEEERRDQIYEQLLIQAENGITPGKRFVKREDLIGL SRFPV >CAK64116 pep:novel supercontig:GCA_000165425.1:CT868030:245690:246300:1 gene:GSPATT00005968001 transcript:CAK64116 MYYNIEDILCEDHLVECRLEVELYKGQFLDKEAHTEDGNLQRGHVMKLPLWMAKIMSEQE YDGIQLVSVEILEIFEDEFQKALDADPQIVNLKYHSPYFYQLGFKMADMIANDVRLERFR GAVKLRETLIEALKKRSIQVIFKLVQSKLEELNLLTEDEKENFYLSKKIKLEYEKWEQRL TRRQKNDIPNKKLKQL >CAK64117 pep:novel supercontig:GCA_000165425.1:CT868030:247270:248719:1 gene:GSPATT00005970001 transcript:CAK64117 MQYIFLLISLSAIFCGPPSDLVDPFQYEYFKIPYNKTMYSGYLEPEDIPDHHFHYIFYPN DKSDLPVILWLNGGPGCSSLTGAMIENGPFVFIGGTPIFEENKYSWGKFAHMLYVETPVG VGFSYKNDGNTTTSDDVTAQNNYYMLLAFYRKFPEYKNNELYIAGESYAGTYIPTLVNKI IDNSQSNIRIRGMMIGNGCTDASECTKEAKYFPYYKFQFLANHNFISQKLEEYIEIHKAK CQFNKEQFCQDLYQDILTETNLDGTYEYNPYNIYGTCFQPPVETPQGERIPYAKNKFDPF DIIQGHIPPCSDAVGLYHYLRDDEFRKYLNIHPQSDQWAKCQSLNYTKDPRATYHLYPKI MAKGIKILKFSGDVDGVVPITGTIYWIEKLQKELNLPTIQQWRPWFKSNKQNAGNLWEID GLLFVSVRNAGHMVPADQKEAAFIMAHNFIFDVPFSEE >CAK64118 pep:novel supercontig:GCA_000165425.1:CT868030:249053:249673:-1 gene:GSPATT00005971001 transcript:CAK64118 MISLKHQYEDSLVLNDCDQLSNSFLFFNQVTQSQETNATISKSNQKKTHQRRKCIIYGDK DRSSALYKYIKEKQRSYLDSNGKASNSIFYPEEDRILLLLVKKLGPKFSKITKYFPGKTM NMLKNRYYKNLKNSEASIIPKEIEEELAVKDKKKRIMGKKIIKTWPEEQRISALIDNSDL FPEAKEKIQLLLQGFTQIFANLMKNI >CAK64119 pep:novel supercontig:GCA_000165425.1:CT868030:251758:252351:-1 gene:GSPATT00005972001 transcript:CAK64119 MNSGISQQSNSILTSNQDDNSYQTQFRHQSTEEGIFQSKQIRQRRKTIQYGQKDRGSAIY KYLKEKQMAFLNYKPRAQISQFSAEEDRILILLVKKLGPKFNKITKYFSGKTVNMIKNRY YKSLRYIESQEIPKELEEELLQNKNESRIIGRKILNLWPQHKQMSSVIENSPLFPEAKEI LHTFLSSFQQLIGNMQK >CAK64120 pep:novel supercontig:GCA_000165425.1:CT868030:252635:253056:1 gene:GSPATT00005973001 transcript:CAK64120 MKVYYLYQENQFTNAFFLRNTQSNILDYEIPQNLNFLGNLTFTQKSRGAPLNHKQSSNAI EKKHVHLCKSSYCFNTEILELKSKYLSPVQEELIEKIKICKEVNLEWLLPLIQTEYILFK KKFLKIFN >CAK64121 pep:novel supercontig:GCA_000165425.1:CT868030:254030:254487:1 gene:GSPATT00005974001 transcript:CAK64121 MDSGGANTFVVEPKDEEKFYPSKVRKLIQEIMDDKLKTETYDANNTPNLAEELVKRIRSK VRETIKMPRFKIAVQVVIGEVKGQGCKVTSKNLWDPTWDNYASYAFQNESIYGVAIVFGV YYE >CAK64122 pep:novel supercontig:GCA_000165425.1:CT868030:254520:255104:-1 gene:GSPATT00005975001 transcript:CAK64122 MPCEDEDLYTITKNKEHLGGARTIFSLQTSQALFDLFYAEVHYHFEVERSKKELFRDFEH RLLVHPLCEHKYIIKEQLSQFDFTDDDINRIWRRLNYMQRNCFKDEKYKCRHFRSLLFPE DYFENLKLIGQPVYLDEQVQKQYAKPNQYSKNTRKSRFIECPKNDRCQFYQNYDLLKPYY GDKFIIDYNKIYNK >CAK64123 pep:novel supercontig:GCA_000165425.1:CT868030:255911:256446:1 gene:GSPATT00005976001 transcript:CAK64123 MPRRSGSSSRSRPSPSRSTATAARPAPQTQAPAQTPVKSGGMFSGLGSTLMTGMAFGAGS EVAHQAIRSVMGGSSQSQPVEQQSQQQAPQQQQQACQNESQMITNCLQMNSEITRCQPYM DIFKECQKKYNL >CAK64124 pep:novel supercontig:GCA_000165425.1:CT868030:256457:257398:-1 gene:GSPATT00005977001 transcript:CAK64124 MRKKVQKRSSSSSSSTSRSRENEVKNQHSKVSYQEYLLQRKQRRESIKKSNIWQFNSDSE EVRRDLETMKVQRRQQRYIIEEQMKQEEDKLRRAKLDAIMKGEHLQKVLPSKSQEREQQS QQKEIVIQEEVVNQQEEIDQEQDEEEQYYSETDSDDSFAEKVRKLNEEMQKKKEENDKSC QIGPMPLLFDKNLQHRTNYGSQLLPGEGAAIAYYIQSGKRIPRRGEVGLTSEDIEKYEGL GYVMSGNKHRKMNAVRQRKEMQILNAEQGKALMIFNYEQKKNKSSINYRNNLS >CAK64125 pep:novel supercontig:GCA_000165425.1:CT868030:257540:258720:1 gene:GSPATT00005978001 transcript:CAK64125 MLLFAILSVTLAAFTFDDGQCKCNQLVVETECKFNTQCTWDGVAKTCSRKSCADLKDQCS STQGCALDSDGNCKDFTKCADYKVTGLGQCQYKDKTCTEDQTTSGGYACKAGTYVPECQK ITIKADCKGYQSSTQVCFWTTNPMTKAQECMPVKYTSCEDGQSDPNLCTLNSSCKYTLEK KCVPKTCADVTTEFYCTSIPQWNNSKLTLCQWNGNCQDASGVEVLTQAKCYSSTLKNYHW VTSTNKCTLCAAPATSTTTPTGTSTGTSTGTSTGTSTGTNTGTSTGTSTGTSTGTSTGTS TGTSTGTSTGTTTTTGTGTSAGTTTTTGTGTGTTTTTGTNTGTKPNDAYTQSKFAQLIVV SLFMAFIQ >CAK64126 pep:novel supercontig:GCA_000165425.1:CT868030:258916:261966:1 gene:GSPATT00005979001 transcript:CAK64126 MNPSIEYYNLQQHKLYEYMVGLTQNVTGVEKIFAELITCLQDFSSAISSIGRKFHSQISS GNEPDILVCLYQQVSNYLLRLSQNWDNTAQKIRSEIAEPYSQFVVNFRQTNRSLNSDSKK QVAEIVETRKEMCKTQEEYWKLMKLFEQKSEQAQQMIDHIEKGNATKEDFQRQFNNSLRI QELADGMEKDYKKLLQITNERWKLFHQEWDTIFANVGLNEQSRIMFTKQTVSALLKLLPF DQDQSQFEEKISDLELKLKQDPKIPIRKLIEKRMQIQEGSFKFSFEEFISYEQWKKNPQS HDQAHPVQQVQNQWTLVGDKILEEEKKLIDRYLYSLFQIDLSDKCEQIIKIKQILQKPVG RNYFITSLNKLHTKSYQDKQAKFYLTLTTEQFMELLQLIRHWLNYVDLNEIYESEDIYDL LLKAIRIVRMDGKERVNLASQLSDIPLWTKVDRWIELFQFISAKKVDEKRKQAQSIMQQT QSTILKKGVRIIGKGLSIIKNFGAQQSNMIEVNESEICYMILDEINLFIYSLKLPSELSA EIIIQIASQQQHIDKDHVVKLLEKQEDLHNTQWKKLFKSGKVLLTHKTEKYERNKLYIYE NKVIQGCGACLKFLSIQDQPQKLLTLNSNFNKQLKHKIKKFYLGNSQVLSDESTHQLRLR LMSQALKLKQLNIDYVEMKTKVGIEMDVNNLYEETIKLDVQRSLHIHKDKINSNVLQSLL RIYAFYNQEVGYCQGMNYIAGYLYLTYQDQEVAYKAFDRMMNLYFKELYINDFSKLKTGF YAFERLLCIFLPELSQHLKDQKIDASYYVASWFITLYSNVFQYSQRSALLNVIWDLFLAE EWKGFYKATFYIFSLIQQHILNLEFDEILHFLGQLIKSEIFSVSTEKELIQYIQKFDKSV KDDVSIKKTILSKFRITNKMLKSLESEYHSFQLKLNKKFNQCMKR >CAK64127 pep:novel supercontig:GCA_000165425.1:CT868030:262610:263039:1 gene:GSPATT00005980001 transcript:CAK64127 MPPKKTIQEPNVNVPNGDAAKGRDIFDQQCAACHAFEGDDKTASAPTLGGLLGRKAGSTQ FPYSKGMKGAGFNWSEKHLFVFLKNPSKYVVGTKMAFAGLENEQERADLIAYLANN >CAK64128 pep:novel supercontig:GCA_000165425.1:CT868030:263071:268157:-1 gene:GSPATT00005981001 transcript:CAK64128 MSDLESENDPTNRQSDRLREKQKRKYNLMEEENYREEQNGISNERRSARAIKRNYAATMS EEEESMNEPTLESESRRIFVPEIDQILWRKTEPHTDTLQYLVKYKDRSYFHTEWLDELRI LNEKNGKQKINRFNKVFDKRIMEEFEEVVDAQYFDPAFQEVDRVLSCTEIFPIVHPKKGS EMKGKWAESLTKVMSHLLNFSRNQVHFGVYFLESKAILDFGTINNRLYLGQYKTNNEFWN ELGTVFRSANQEFQENSEMRQISDTLRECAIVLFNQWYEEAKKNYEQKLSQQRYINEEAY KNKVNDAHRNDIMPELKKEKINELVLNVLNIIDEKQYTIQENLSEIESIIYDKLNLKLEI KNQDFFDLEDYLRSQLQTKDVDVAQEMQLEMQDFQQQMDSVDPDIEAWNPGEKSFDWLQM DVLQFKILTEQEIQKLSQEPDRLYLVKWKHLSYLEATWEPESLIDCRQKIQEFKQFNRSL DKETRNLMMQQNSNHKTLVDFELGLRKKKLSNLQISEIRNQLYFLNQRKPPHEYTQLTQT IYKDRRLLRDYQLDSLNWLIRAWYEDRNVILADEMGLGKTIQTIAFLNHLYNFENYRGPF LIIAPLSTLQHWKRTVEEWTNLNAVLYYDQESSAGRALCRQYEFFYTDISMKGIVLQASE IYKFQILITSYEVFMQDFQAIFINIPFQYIVVDEAHKLKNSNARILQSLKKLCCQRTLLL TGTPIQNNTEELFSLLNFIEPYQFSNLISFKREYGQLETSDQVEKLNVLLKPYILRRQKE DVEQMIPPLQETIIDIEMTTIQKHIYKALYERNKSMLEQGFSQWAANAASLNNLEIQLRK CCNHPFLIQEMQNDLTKGCSNKNDYILKLVECSGKMILLDKLLNKFRNEGKKMLIFSQFT MMLSILEEYLKFRQVKYEKIDGQIKARERQNAIDRFNDPQKKREVFLLSTKAGGQGINLT AAEIVVIYDSDWNPQNDVQATARAHRIGQSKEVTVYRLITKDTYEAEMFERAIKKLGLDQ AIFMSGQFKSCESSFKINKNDKKMSKQDMEVLLKNGIIGLISHNQNGDTFQEKNIDEILE KNSRTAKYSLINGTYTVSKQSFVSEKTDKSISIQDPNFWKIILKSQESRCQKLIKLFDIH MSLQEQKKYMEEVGDCVNSLIESKLSQSNYSTDDEQILTDLLNKINSSNYAKNYRELSMN WIYELSKPSRRIKKLTDMDLGRKQQRTVEIQGEDARRLASKSKEELIKKLCYVCERPNCS VFCMGHCRRAFHIACKDLLETTEYINIEGPDQDFLNNHSFPELNWSEEQLKENVNIRYSC PDCRNSLVVCLLCKTKGTYPPEKKQKEEVIASSDENDPLEDNVRKIKNKSAISKCSTANC NRYFHLNCIQANPLSKTLDSNVELFRCPSHVCVFCKVNSSNTTTALIHCVRCCRSFHSKC APPEVKSKTQKIGKKVMICDLCAKQKEEKFEGKMIVKISIKGYQKKQKQAKLDFKQFGTT ARTRRIYEKKNETTPNDRRQLDSSRSNSQDKIVKKVQRKQFKQDIHPYSYEELGIIPVKY FDYSKYTNDWCRYCGARFASNFTKGPWGSRTLCTIHYINWGQKKQLDLSEHIDTPKSPIN RDDPTELQFLQRLKLFLYYRQKAKDPNFDPRKELNIYNDEQYQIFNND >CAK64129 pep:novel supercontig:GCA_000165425.1:CT868030:268348:269391:1 gene:GSPATT00005982001 transcript:CAK64129 MDPSTQWKELNKKYYKFHQFNPITGEQKFLDFYYQHIAVAKWGGPLAATKNFDQVILMRT DDILKDSIVFFTNSGKIMSRTPYKEADKIPLFDFLEDEHLILLKASGVYYIIDPFKGTKK NHDLGEQFKLNQIQSALIVNNGFVLMAMNFEFYYVQNAHEPEVQQFKPSNLTSFPEHWQV IPSEKSSSGKVELLIANPDGGILHIIENENEIDKKLPDLRNIKMLSLSPTYKNLALLQYY GQRWIMAFITNYFESSEYRQMPIDLKEDDVKKDETAEKDLSILERPKKMFWCGDDCVVLQ FILRFLYKNKNEQLAFCYEI >CAK64130 pep:novel supercontig:GCA_000165425.1:CT868030:269397:271080:1 gene:GSPATT00005983001 transcript:CAK64130 MVQECQHKKKNEILRKLPDSYINVFEQLSVKPGAQLYCAYESFEQKNPIEDDELRNSKSL LSEAVSDCVRCAQFEINPEYQIKLLKSGNYGKIFLGTQNLDPNIFNETARYLRVVNSLRR GGGVGGRVVTYEQVLQLIKIPDIMIGLLLRYNLHYLAVEVSNFLKFQIKQRASIYTHWAC RKVEVQEDDDVLCEIIKEKIKEERGVSFTQIAQKSIEIGKQQLALKLLDNEQSLSKRIPV LIWMANFQSANNNNSYYEKALADAIISKDSNLLYFVIMKFLKTEMNETYKFSTLVRQDPV SQALNFDDKYLQKYLQYYKKFDECGLLAINQAYQQNNLDEKIKFLDQALKYFADDETDQF YKRIIAEQIIILKDLKSEVEKGRKKPNQNIMEERPINSIMEAFFVKDKPDFAHEFAKTYK IPDRRIYLTRVKTLINKKNWDELDKFMQEKNKKSIIIPYELVAELLFKADQEERGISILM KMPDVEESCRTLIRIGQQSSAVQVAINNKKIQLLYDIRGLIFDNSAKALLETYLSQNQK >CAK64131 pep:novel supercontig:GCA_000165425.1:CT868030:271105:271835:-1 gene:GSPATT00005984001 transcript:CAK64131 MGNCIKEYNNHSSLQDCPRKEIFEQDFSNLKACHRRKKSRLLSQVQSIVIKEDPETEQDQ NSSFELNSQFQIEQSATINLIRFPQGLKMEKHHQIKLYNLHTQRYLHSHAIKHEHNKSNE VSTCNNCNYEYDWWQIIWMDNKTYVYHPITQSYLKCLQTINNMGEVGCLQNDMDEWEIIA DDEEIKQYSIIKLKHICTGLYLHTQPYQSKIENQHIVSVCKPEVANALWRITDMQ >CAK64132 pep:novel supercontig:GCA_000165425.1:CT868030:271949:273728:-1 gene:GSPATT00005985001 transcript:CAK64132 MDHQNNNTKQKDFAGILCSQYAKPQINSTPIQKVFIDPTQRIYEDIVVSEYLDEHSIVVE QNDIQVPQPFIEWKDCQFPNQLNKRISLKAYNRPTPIQASVFPIIMSGHDLIGIAQTGSG KTIAYLLPGLVHIESQRKKGGPMMLILVPTRELAMQIQEHISYFSEAYNMNSACIYGGAD KRPQEMALARDPDIVVATPGRLIDFLDAQVTNLHNVTYLVLDEADRMLDMGFEQQVRKID SYIREDRQTVFFSATWPKTVQNLACDLCHNEPINLYIGSQEVTINKNITQETICLYQNEK QEELLYILEELSNKDKVLIFVETKKDCEDLASYLSEHGFFCMSLHGDKTQQQRDYVMKEF KASKCKLLCATDVASRGLDVRDISLVINYDFPNQIDNYVHRIGRTGRAGDKGRSITMITL DAMDPRVAKQLVDLLKDSEQVVNDDLYDFAYSKPYQKSKRPNQRKKPNDKPITQSKPVNS NNLNTQKPQQKQFNLKASSPVKQSTSSMPPPPELKKAFSDAPVFGFFNSKKE >CAK64133 pep:novel supercontig:GCA_000165425.1:CT868030:273839:274579:1 gene:GSPATT00005986001 transcript:CAK64133 MLMNSPSSLDLKKDFEQQEFLRSPQIIYDINSYEQIPLNWSIKPSNLNFPGQQSLILRSG RSYCYNYFKQDLTQIQNENNQGLEQQVDLECLMQKSDQLDAVNITSKKISTKSQAFKIQK KTKILNITSLKCQQPCNCKSSGCIKRYCRCFHSGKTCLPECQCQDGCLNKEHNNCERSEA IKHVNEKCYRNRKIPKEALFKLDVIYGCSCTKSKCRKRYCECFLRNQNCTEKCKCFDCCN RQIITE >CAK64134 pep:novel supercontig:GCA_000165425.1:CT868030:274631:275233:1 gene:GSPATT00005987001 transcript:CAK64134 MMRLYYQAFSKLIKVDRLALTPHQYWIAAGKGMERPYTGEYWFNQEVGTYHCQHCDNQLF SFDSKYKSTTGYAQFWNHIPNSVKLEESNIKEERDLCCAGCDSFVGKVSFDGPPPTFIKY SINSAALNFKLKSFQEDPYFRKIARKEKEAQRQKKVEHNYLESNSNL >CAK64135 pep:novel supercontig:GCA_000165425.1:CT868030:275523:275955:-1 gene:GSPATT00005988001 transcript:CAK64135 MGLACSSSNHKPHNSKINEIKLPIMIAENFINIIGQLELSSDIPDDLRYNLNQLIILRSK LAHCIVRLQKRYSHYHLTELENELTKVIHFVDIVVQDIQLEEYFPLVYTFLVEQLEIHKQ NLKQQV >CAK64136 pep:novel supercontig:GCA_000165425.1:CT868030:276401:276723:1 gene:GSPATT00005989001 transcript:CAK64136 MNMRYFVYYSFVNQNIMIEGQIIEFFWLMSSLVLFGYAILSKFKWKENIHQNRKIRMNSY KSQNLPRNQFVIEEAEEQQQCKSEEDLSQQQQQQLEVTN >CAK64137 pep:novel supercontig:GCA_000165425.1:CT868030:276993:278690:-1 gene:GSPATT00005990001 transcript:CAK64137 MDYCIRKTEMTDNKEDDVTFASYEWENIKATTASQLPTCRNCHTATTFKHYMIIFGGKEG EGRKKFCNDIHILDLKRLNWTSQIKVNGQIPDVRMGHSAQNYYDKIVYYGGWNGYTVLDD IIMMTPSEQMNIVCIDWQHLKSENTPPKRQFHTANICGDFMYIFGGGDGKMWLSDLYKFD LVKCFWTQVETTGQKPQGRLQHSSVIYDHKIYVFGGEPDRSHQLNDLYQLDIENNLWTRL QPKGSTPSPRVSASAVMMNNKIYLFGGYDGQQWRNDVFMYNITENQWEYIVINTLDNQSN FRGQTKDSTSQQSSPPRPRCRHSAIAYKNTIVIFGGNDSEKSYNDVYMLKQQSIIKLAES TLKQDYSSILFSPILSDITFYIDNQEIHAHKIILASRCEYFKTLFLNEQFNLGDKLAITD TSINVFKAILQYIYTDEVFIDSHIVYDLLALADKYMLQRLKNLCEDHLIKNISLKNVIDV VNLADKFSAQELKANAMIFLLDNKQKILNTQDINMLSKEILIELLKFTK >CAK64138 pep:novel supercontig:GCA_000165425.1:CT868030:278723:279793:-1 gene:GSPATT00005991001 transcript:CAK64138 MRYQEQILFFISACTLIYFAYENDYDNIKNRYRGVWGGIIFICMMGSLKSYDGPIKRFQG FWRFMFWLGVCYNAFLIFLIFQNESEARQLMKLGDDSLGRSVTKDMHTYDDNCDFELVNI VDNLDHYFLLHCLNWFLLAFLLRDAWLLNFWQIFDEVIELSWQHILPHFRECWWDHVLLD VLIGNTLFVFLGLWVSKKLGIEQFDWLGRKDKSFTQWLVWHDYKYFAAAFGTWLAVTINF LTGFFLMNQLWVPPKCWMVSYRLFVWFGMGVLSWQEQWNDLKGNQDQSGQCRYLVVWTLF AETFISIKFLKDAGNIQYVDTPYYISIPWIATMVISSVVYLIMRVKNKRVQRVKVK >CAK64139 pep:novel supercontig:GCA_000165425.1:CT868030:279798:280520:1 gene:GSPATT00005992001 transcript:CAK64139 MNNYYQFINQISIPKQELHIKAIPEVINFNANSNTYMNKIKCQTQLTNENKIEQNIKVYK DQSTQCELITQDQTTQCSELFEKEQQFIQLVQSKVTYTNDLLSQMESVDINDFQPRQAIS IQQSIQSSQTLMAYVQENNIKIYEQLLANQNQSFLNVLSQLTNNKSFDDLKIQIQQYLDV LILINQGLAVQNLRKNYSIVDQLSFNENCFEQQSPGWLLTPTIKVADSQNFSTDSLIMNK >CAK64140 pep:novel supercontig:GCA_000165425.1:CT868030:280554:282632:-1 gene:GSPATT00005993001 transcript:CAK64140 MLRATYEHIIHVESFKNIDLFNQGLYYLRFMIKSNGEQATPYNYFETNNNKKKESWQPSQ IDEQYFQTRTFMIRFQEEEVEIDESCIFKIEVSASPHYEHEFKLVCELMCADLAKIGGPP NEESLFKCVATFQGRICNSYKGVHEYVPIMFDTNHFCQVHCTVNSNLQDFKFRLSEFQKA NSSRILIQPKTFTEFLHKHTSKFTKSQEFFDYYIGQLRLTSESLQQQVAKLCGQLKLYEE LSKLPTIPKFSYIQYQESSIIKENINTKGNMLISDVLNLKNIRDQNLLSTLIENDINQYS SVLFELQNILINIIQNNSKQYRILLQQDYQVKIKDKWGETYFREVIKCNSFSNGTLCQKQ HQDVSDQIRKKPIIMEQQLDIIDENLFSDQKQATVLFEEIYQISQPLVQQEQLLHLIVLV HGFQGNSLDMRLIKNNLQLEYPNHHYLMSRANEDLTDGNLADMGQNLAQEVKQYLLDWIK TNPFRISFLGHSMGGVIVRAALPHLSEFKVNMNTYISLSSPHLGYGYNNSLLIDAGLWFL KRMRKSVSLQQLAMTDAEQIENTFLYQLSRQEGLNWFQNILVVSSAQDSYVPFESARISK NFERSDQNSRKYEKMVDNIFKGMRATQVRRLDVNFVLNENRTIDNMIGRSAHIMFLENQQ LLRMLVTCVDGVFN >CAK64141 pep:novel supercontig:GCA_000165425.1:CT868030:282660:283895:1 gene:GSPATT00005994001 transcript:CAK64141 MINQFKYLVILGIGFAKQKLEDINQEAIKLLANSFKQKSIHQELKTLRNKVLSLEKHIQP EQQIKYHSQPKLIPPKVTPKITEQIPLQQNVKKAVPFKHHLDDSEIKSILWNQYNESHHH QNTNNDSQHQPQRKLTIDQQPIIPKYLPRNNSQTEIHPLSQRENSARQVTQRSSHHSSQF DSLHNRNSPKFKGEKKKSTQKENKTCGGVGVPKHLRQVQSKIKSQIQYDKEQYKSTNSQK EEEQIINIANSSLNPFNNTPQNKLFQPCQSTHFGSCQVSSAKLQQQQIQIQDLNNITERK AFNLDQIASSFLNSPFMKSQISQRLFGKQESASQSSSSSTFSLFNPNNELKNFFQQLDKQ VGNSLSFQF >CAK64142 pep:novel supercontig:GCA_000165425.1:CT868030:284013:286900:1 gene:GSPATT00005995001 transcript:CAK64142 MNLLKFLYGESSKQEANQKIQKHIFYSNDDEYQGEVMNDKRHGKGTYKFASGNRYEGQWK NHQKHGKGKLYYKNGELYIGDWVENKKCGEGMHFYINGDRYVGEWKDDQRDGTGTLYQAD QNIFHGQFRMNKKYGTGYYFNTREKKYYKQHHESDNILDSVQIEDVPTYVLEKFHIKPER HHVESEKSEDCTIKIEASPTVQNDSDKQKDLQPSGQSGQGESDPQLKDEGKSQLNSLGNS QQHGFLSLQVLEKLEEFESQKHMQEWQLEEVCTWLDTLQLGEYKDEFIKNQMTGKTLYAL NDNDLKQDLGISVLGHRKKILQSIEEYKKYYVKFMGGRVRMKKPLESLEERKGLYNSIEH QRFKSIFSYMEKIEEEQNEHHDNNKSESSSDSPSANKRKRNAKDIPKSPTRSISNDSDQG NLSDNNMSLKLKKQKSKRQKKHLRKQTTDLQKSGESADDEDGKQHLSSPIQSPNKVSNNV SDQKIEILVLNECPNVGEENKEKDIYGVNELSRQSTNKQHDISEFTSSKSPPQIINNNDE NITQLDRLQSINLQLQRQRSEANGSKFQEQDSSSQESDVSGNDSSSESSDEEKYKNQKKS RRLREINKKEKEKDDRQQHHLPKKLLVMLKEFGINERVLIVFHELIIGQVIGEGGYGVVH KGKWLGQDVAIKSYGKRKSQGNLKYKLQMADFLKEVEVISNLRHPNIVLYMGVCIRKQNY YLITEYLEEGSLFDHLHKKKTHIDQKALMQIVEDIALGMNYLHGRKVMHCDLKSSNVLID QNWNVKLCDFGLSRINKKIDHKVNKGARIGTPNWMAPEIMRGEPYQEKADVYSFGMILWE IITQQIPYEGLSQTQIIGTVGYGQDQVLIPSNSNPSILLQLAKKCLKKSPHERPTFADIV NEIQMGQKTDAKLKKQAIRQLIDFFE >CAK64143 pep:novel supercontig:GCA_000165425.1:CT868030:286932:292033:-1 gene:GSPATT00005996001 transcript:CAK64143 MFLASQKKGLTFIEQYITMMIILKKQHQLTRLASAIIIVIPYIQLLILTLDLRLSENTKK ESIHFQIVKSFIRPDMWLIEKGNEQQSLLFIIVLVIMLYQKAKLIIIVILYYYILNQDNL LAHLQNNFYIKIPIFILSLYQQIISLILYQWCVLICVCSIKNLFDEVVINQQFLNLFLIF LVLLTLILVVLDNILHIKVMEKPITMKIQGLERKNISTYRYISLIIEFIVQFLYVFQKDQ PSTLHLLIGLSILKCCLDLFDQFANYVFVNVKLIKVLLCGISFSIVVNFLIEIIELQEKY GSRVIFQTLVLTPIVFQILYKYYQIDQKQNLVTLFNDEIPQPKRFIYHITSILNEQNFEF CIVQRSLIQQYHYKRCSNLSCSICGHQFILDTRSAQGITIDIFKEFIQSRLMILLKESLK LNFTDQKSCKKSKRRFLNYVFLLYDFGWIMQSLQYLHQLKYVQQAQQSNQKGLKNHIGTL PQSNSYSDKNSHYVTGDNQTNLSQPQNIDANMQFQINILLNQIKFSMLESIFISVTLEEA RRTIQKNFGTDEYVQQQSITSDFVTQYLLNEVQVQQAITIIINLAKQKVKFYEEMMKIKS DDKKINFWDNQKSYIGLMDKCQQFNQGESKIIEMYNIHPSYVLHQALNVFYCFIQNDYEK AMQFSRSQKFLDERQLKFLKIKNFNVNSKEIYYLIMMLQDDMQHFNIQTHSNQLFDRFGI YRNKGETYQFEDLIPLAVVNYHHHFVGQFLESGQCKFFKIFDINFIVAKDQFIQAIYLNV DVTELFVKSNLTFSAFFQPPTEDLMFILVDGVKHQCLFTKSILNQIGWTSEQIQWFKVNY DINKIAIESIMPDFLDILESLIKLTETSLSQIELIFPKPPDKLSQKQYDFQSLKNIRNSY FRSNTIQNIKYFCDLTIRKRQISNYVYYVMHVSYIQKQQNVESLLENTTNRQRSNIPNEG DFDSEINELELQNRNQIFEEFQKQVKDSSKQMQRNLKLFSNYISDQIQDDILSPGRICIT NPNIQDKHEVNEFKELLHSNKDNQQEYFYHDDQMKDCSSYELIVSQFTKKQVKQQKTKTL NDMSKDTLWKKYDIVHRIMQNSKPKYVFHFLIYTFLLLMSFLVIPIFIMDEFNKDLNILI EQIEMLQLHASIMGPHDLFFSMRITVTSYQIQYREGFINAKELQLLSTPFLQYLPQGYQE LQDNFYTQLTNPYLAQFLNDVNIPVWFMGQNSSVIYSQEVTFRDNLFIMLQYQQQQLAAY QFNRAIAGQPFQVFLIANYLVMQNTCESLTNEIKIYSEQKCNQIYIKWSILLTCFVFVFI LMLSIVFYYQKKYFASYDLIIGLLRHNTDEVILHEIQRQKILLNIISGNMLEEFHLEKEY IVVIKAQTLNENAKQNQLMYHKYGQRFPQYKYNIANITFLLLIIIYGIIVFFLAQQYLTK YNVTMDFFKLIQDLKFRGGNGFLYRELFFRFTSYPFLTTSDQDNLYILLNRSQNVLQEFN SLIETIHYDDYLLTQNFIDLLEFVQVNEMCSQVDEKFKPLMDQYCILAFEGILSKGIVPT LSYLYNQVKTQQQINNFTKRVEMHKYELEGSQIITRAFFALSNSFKSSFKEITEETLKKL NNVSICYLVFCSITILALILLYPKYLFDQYQLLKRIVYFIPLQIFLRDGYLERNLKEILI KQI >CAK64144 pep:novel supercontig:GCA_000165425.1:CT868030:292982:303936:1 gene:GSPATT00005997001 transcript:CAK64144 MYQKKRPERNKQLDKSQWHALELSAIKMPPLTNKTIALSDQKQEPKFTISRFSKAKYLRN DLLDTTFQQIYEQGRVEEKMNQLLTIEQYFISQGFDFSIQIHKNVYLPIEKFEDETLQKY SNEQVIQMLKTQKIQIFVMEQNSLGDWFWYRAELIGYSKLFHYQRKNREGRCARIHFIMD FEDKQLYIKKLKQAFYLRDQMDRYLKFNCYVENMPTNDLIQLNSEQRKRIKKRLGFEFPS SLIKKEFIEVNILNLKTQNEIIFIHFLKNSETNKKLKDLLEIFNNQYKKPQISIRNIIQN QFIYSEGIMIQQIKTSFQILRQSYFLASISNIKPIQKCLQYLSNQIADLLQLELFVYQQQ PFEKYKFSQEMHMKTVLSSIKIKWAQEIIEFFRINLQIQNKKSLILTQIQLDRLFQLIRM RLQDALHNFLKINFEKFIYYLNQHTPEDVQIQSYSQVHNIYTNQYAILKPLYTIQIQFKA NEICYSINPLQFKDIIIGFFEQIYEAIADIPDPETRLIEYFQKEFLYEMNGNLKAPEKEQ SYIDAIENLANKVRKSLFDYLKQFQSLQKLWNSKSFEINLAETLILDNREDMKLIDQIPD FIEVGAFLIIISEMKNQFYQLLRKREQNYIEQLSLYHKEDCMRLLNEFANLELKIIEKPQ NIEQLVEKREFLEDDFPQKLGLLQQEVSKNKFYFDLLNSVIECQNIVYINFYPIRREDTH KYYRLLIQEQQLLKLAKSQKQLLENQKEEIFQIQAHEQSKFKKKIKQLQQQVYKFQEYYQ LEQSKIAEEEMITINNQFQQYSEEAQRFNKREQLFGLEQTNYEILLQLQCEYKPFEVVWR VNSIWQYQNVKWLNDSLNIVDYQGCEQFFNQDYKQFIQVVDKIQGSEIFTLWKNLKKEID LFQMYVPILLSLTKNGLRDRHFNYLGQLLNIQDDQFKNYISLQKLIDLKIIDYSEECIEL GLKAQEEFGIEQVLKQITDIWSEYRFNSILVKGVQIFKKIDVVQALVDDHLISLELMMKQ KNNNFFIQEINTLYEDLRRISTYLEEWNKTQTQIVYMQPIFDSGDILKQLPVEYKKYRQI DKLYKETIGFSDKVIEFGQQDVHKVKQINNLLDQIHKELGNYLERKKEKFSRFYFISNEE ILEILSNGQDFVFLQKYLYKLFENVVNLKMNKNNYIYALQTKDSELKLQEKVDTNNKCIE DWLLEVEQQMKQNVKNCILNRNNECGNQVTMINFELDHTNRVEEDMKQGRDLRIYLMELK DKLHNKNLDVANIILLAHHVEVLKQLIDYNQQLIPFYWSLQLRYYIKNTNCQINSLEASI QYGYEYQGNIERIIITPLTERSLVIFLNALHYKRGGAAVGQTGTGKTETIKDLCKAVGYR CILFNCSELVDYVLISQFIKGIYTSQCWSCFDEFNRITAEVLSVAASKLMQYQPDGIFVT INPNYKGRHEFPDNLKSYFRMASMISPNVQIILSTILSIFGFNEPQKLTTQLMQLISISK DLLSNQHHYDYSLRALKSVVMLAGQLYKQSNDQDNNQQNYILKALKYVFEPKLIQNDLNL FHQLLLQFYPAQEIKSVERDDFGSFIVTSILQQKVSELKQILKLRHGIMIVGPAGSGKSA CLEVTLKQTSMTHIRLFPKAMKVSELFGCLNISTLEWEEGVLPSLLKQEQQQDIYIFDGA VETEWIETMNSALDNSKRLCLTNGCIINLNCSMIFEVDSLKGATPSTISRCGILYISDDP LLGLKQFISNKCDKQASKFNEIIEKLRSKIIQLKIPLSYFSNQLITIIKEIQNEYHNFNL QLFVYCLAWTILPQVIEKNERSNINQQLINLGNIICDNLLIYNEYKEDMNKFQLIHVPTI LKFSNFEDIYVPKIEDMAYLKISSSLLNQSNIRFEGISGAGKTKLINEILHSFQYIRINC SSSTNSSNTQMTLESNLERRRKGVFGPQMGQKCIIVLDDFHMPQDAELVRQFLHQKGWYS KRDTHSILQKVEDTTILAAMTQQHQHSDRMLRLWTTFKFCQEETIYQTIFNQMTQAFQMQ HIVQKTLQAYKQIKEQIKATPFKVHYQFTMRDVWRVLQSMCTKKTQNEYQWNFEMARVFI DRLISKQDKILAQSIIGVDAVQFCEFSSGYNDHSYKAVNDLDTLLQLMKEQFQKQGITIY EDALKSLIAISRVLGLQSGHLVILGQVGTGGVQLSKLAALIQENESPQIDRWKDDLRNII KKCIMENKKMTIIIDDQRNTHNQPQIYQDISTLLNSGDLDIFNRQELDDLHSIFQEQAVQ EQKDSKINLKILLHKLYVNRLVRNLHCIVCITSTIKFRHYPNLINCSTILALQQLPDQAL LSIAQMQLKHLDINQKQLSELFKFFHQSAQLIDNHQKIQTVTSIHFSDLIFTFNKLYQQK TTELQSRITRIKNGLSKILIANETITELKKELLEITPEIEKSQQESSRMMQKLKQEKDQA FYQESLLSEDETQANVQQIQATKLANEATQAVKEVNLLLDQTLQDVSKLKKEHLIEIKSL GKPPKPVVIILTGVVILNLDNLRQYITQPLTQLSNQEYFEIAKKYLLNDPKELLELIRNY DKNNINPYNINRLEKIVLSEADFTFERAKQCSAAVKYLYSWVKAMYEYNKVYIETKPLRE RLIEAQKQLKDKTDILNEKKSQLAIVFQKVKVLQEKYDQQTQKCEILNKQLEESSSKLQR AIGLTQGLEQEQQRWSQQINVLENSLKSVLGDCAIAAAYLNYCAPLSEQLKYQLLQQWSK QVKAKIGYENHPLQFLNPSQIQDLHQINSIFLQHTIKPILCIDPQCQANEYIRNNNSVMD VNDQHQFEVALSLKQSILIENLNTIPDWLTEYVNNNPHPKVKIFVTTMQYNFQMTSLNYS QFYVINFQITKLNAEELILNQIVNTQNPELYKQQVELKQMEFKNEQKLKQIEDTILSILN VENIKDLLNQETLINQLSHSKKTFNEIQLAQSEFQQIQKDLVTTKHQYKQLASKITDLYF ISQDMQCLDPMYQFSLEWFITQLLYTIQNTNNLKLIFSNFRLRYYSCLSRVLTERHRQVL AFLLAKQCLVSVDQDDWQAFIGYTKLRNEDFQVKINPFSWIDNANYQRICSELNHFQLVT AKILINNFEQIKQFYLSKKFQESEIPHIERLDEMQRIFIVKAIRPDYIQIMVKQFIIRML DFDIDKVQQIPLDITFNESSHTKILLYVLQNNQDPIEQIKDYAVSKNFIKKLWTLSLGQG QGNKAERLIREAAEQGLWIVIQNCHLHQQWLNELDKLIMIESHADYRLWLTSKSIVSFPG RILQKSVKLTYNTNDEKQIEMTDIAKFHFGLLNFCKLGLLGFNKKYQFTQYDLNITQEQS NKIDVSDQLKPIALSYITSQLHYGGHIVDEQDQIILNKLSCYYLIDKVSPVNSNLIQIYV GEQMCQEFVNDLLYKEYGQIDQINDEINKTIQYLNSNLPKQIIFENSRNHKLDPFLVQEI LLINQLIEMIQESIALIQRILAGDSSPNTMIAEDIKFQRVPQEWMDIGFRSDKKLGQWFQ EFLKRVNFINNWNDQGIPNAIWLGGLMKPNSFLMNVQTKNQYILFWIVYNQTIQPTVHLM VIISMDQLYKEQNGIKNNTYQQNLIQCQMSFPFVI >CAK64145 pep:novel supercontig:GCA_000165425.1:CT868030:304091:304495:1 gene:GSPATT00005998001 transcript:CAK64145 MNKTQVLKSLVLRQPKENLKRLHPVAQQKREQYSQVPEPLQYKTREESPFRVLRSQNGNL PVYKKYFARSEVPRTVVRHIEGDVNEFIKELRKVCSNADVIEKVQDETLDQVGRVEVNGS HTISVRKWLTQIGF >CAK64146 pep:novel supercontig:GCA_000165425.1:CT868030:304512:305578:-1 gene:GSPATT00005999001 transcript:CAK64146 MSQSRIGVNQSENSDYAQQFTKKEKKEAKEKSSVQCLVQKGMTKNKSLQEDSLTINSIEQ FENYKKMVKLEQKRESAIAISRILFKGPLRQRFERLDSIKSIQQLLTISKYQLLCKMFLN LNKILINQTLAFQEIVIQFKQDYQIEFKVQFLQQLLTIWNDAFFLSWKHSKNDKVSLEVG INDQQFDQNEDKNITFQQKLLNYIQKKGECIELFPLPNIQNIQQSTKLQGQDQQECQKSN SLRQIAKQLQQYFQLRDVSNMYLMNVEKYLIKQKFDLNSNNGILSIVNELMFIYPEWINL IQGEKEQIVRINRSIDIQQLMQEQECLDCN >CAK64147 pep:novel supercontig:GCA_000165425.1:CT868030:305611:306599:-1 gene:GSPATT00006000001 transcript:CAK64147 MKKIMSAMLLAHSFGKISNFDNVIIDSYKGCHIKSNLNQYINNPTLFKQHLIDFIKKSKS NNNTAIWVDLQKDQLRLAPTLIEQGFKMHRVSANILQFSKWLPDCKSRLPDQSTHYVGVG GIVVKGDSILLVQEKNGQRKGAWGTPGGLVDQKESIIQAVLREVKEETNLDCKVEDVLYF REMHDARYGKTDLYFAFRLKCLDEQQIKICDQELMDYRWVPINGILDFMKKENQKPHVIN FYKSVQERLTGDECKYMNIEEKEEMYYGEQKYYAIFKPRF >CAK64148 pep:novel supercontig:GCA_000165425.1:CT868030:306869:307351:1 gene:GSPATT00006001001 transcript:CAK64148 MEEQRKRLNSSMTYEEYVSTFDKAPKKPCLKPKQEPDVGMEDLDLLSDAQTDIPQIKNKI YCDPNTVIQEVEEDGKTPVVWVDQQLTPSPMKKARQRTQSENIQFTFISFVERGIERKGS RKFE >CAK64149 pep:novel supercontig:GCA_000165425.1:CT868030:308711:309662:-1 gene:GSPATT00006002001 transcript:CAK64149 MKHYSILIYIIQNRFNNKQKRESLEYLNHIHLTYYLQNKPSQKNDPYDIMNLDEQTKEYL TSGFKSYVCCLTKTKNVFIPIESTQEITLFRYEKIWKILNDQNYALYKRIAKFYFKNYQQ LSSTFEKQIICSVHRLPSRKQSKKSKRNIFHKIRFSKQENEGRNSRTFQDGFQTDKEPYI GNSIGMESQGEILNHLKNIVLTSSKTREQKIRESQIRNEHDMFQSQLVLCGKSMKFPFND IEKKRIFSNIFENKDQTSNASKDKSVEQISLSLTKTLKFQKLGLSNKYHLIKIQQNFSQL KLQKLQIQN >CAK64150 pep:novel supercontig:GCA_000165425.1:CT868030:309737:310792:1 gene:GSPATT00006003001 transcript:CAK64150 MISVELIAVLPAILNNQFLCIGKNYFLQLNIGKYNYLHVLILAIFSNNNLEFLYIFSLFS LRIFWAQNNLIMFVILFKFSSTPLSISCTIIFTLNSIGHYQTLSLSVPPKFKGEIYILTC QLILKLQNSLYILIIFLNNNYKQALQNYLLECLYQIHKLLIIYTKYKFNFQLTFNILLTQ QLMQSEFPERAQPLANEEVEPQILELAQQAAALKQLKKGANETTKTLNRGIAELVIIAAD TTPLEIVLHLPLLCEDKNVPYVFVKSKVDLGRMCGTSRNVVACSIIKDKNSRINQNITYL KDLCERLQINQEA >CAK64151 pep:novel supercontig:GCA_000165425.1:CT868030:311774:312842:1 gene:GSPATT00006004001 transcript:CAK64151 MLTQSVQGQFQGPRLSAGVGQNLQMGYGVIQPNFGLQSQNSQQTFMPLSTPLPYPGVGQL KGSMPLQYSMPIQGVNQGQLPQHLINYQQQPLIVQNLIQPQPQIQYQQQLVQAPPLQITQ AAQIERKVNYIPQKKQIVKMEEQEIEEIVPVERTIIEYIPVQTIIEKVPIPVEIPYEIMI PKPYQQEIKYPNPQNQFLTDDMGNPLNNPDEFSKNKLPPTTITSYQQKISDENDDDEDDN TDDDDDELNRKIYQNY >CAK64152 pep:novel supercontig:GCA_000165425.1:CT868030:312844:313815:1 gene:GSPATT00006005001 transcript:CAK64152 MEFDFLSLKAREMNKKRLSLLYSLKNQNIEQNDLIDDNIQFIKKLTSDHPLKEIIKECQN NVREGFLTPLTNRSSMSIEFTANMFVEEILDSVKLKHIKILQLEGLINNLMQNIEMIQQF EIKQDSQKDKELYQILMINSPNDETYQLYLNDQKLYQNQLHTQPFDFKDMLNFILFANNQ VLETISFDMIYAIIVIILNKTNIIRLSKQNFQRILMFQLYTISSNIKFIYRIQIFFSMKS ELKKKMIKSLKEEVQEIDSKILDMIEIINRMLEPFSNNGFKYLRYQGMIDNDTIGKNRNH CCNIF >CAK64153 pep:novel supercontig:GCA_000165425.1:CT868030:314373:315512:1 gene:GSPATT00006006001 transcript:CAK64153 MNYQQEKRNSVIRQDNVRASLTQLMQSPQKQEIIQSNERHTGCIERMRVLTQELQRMTEA LRQKNEDVIYLQKEIQYAKQNQQNNQGNEDLIQKLQLERQKLDQFKQMKDQQLGELEVEL NTVHKELKDERLQKLDYVNRYQKQCDEVVRLQKELEKANQYAVNPQEIDQLHGHIDDLQH ENEELRSKMNSLSNKVQQQQQQIAILEDQLTQQSFQPSKQPPSGPTSYQLPQMQYQQQIL PQTSNYQKTTTYTVESKGTNKPTEVRKQVVSTVNGKTDVYEYSSAQDPLNPRESISSKLD QINQKVNQTYEVRNSQLQNNTSSSQLQRGSKFLPEAYQKDFNDLKSKIETKPVTEYKTTT VTKTNNKYQKY >CAK64154 pep:novel supercontig:GCA_000165425.1:CT868030:315527:316866:-1 gene:GSPATT00006007001 transcript:CAK64154 MGNTIILEIENSVTSNLLRDQLKYLGIKKHNYLGQIQLWKNKQNQHELIFSKISLVDVDE NFINNQHTKRKSMQHENLLQYYGCTIKEQKFQGAIKDFRIYYEFLPTNLQMVLKDHQFNG QFVKESFIWKLITQISTVFAYLDSKNKFHSNINFDSLFFDKAYNVKVLYHGAVPNLLSSY AQTLGNYNSNKSLSPQQMKDYQNHLTQLKINPFKQDSYSLGILLVSIMSGKDVTKQTDFY KEVVHYKQILNILGSAYHYYSQSLMNIVVSLLQYDDVKRPNISQLFKVKIFKSPTIQQRP QLQVVQQHQTINIYRIDTTQSYSSQNHYQSQYHLITPTKKALSITNNTKLYSSPRKASKS SSYEYPKLFQLANGFFTPKAKLSRKPLVKFNHLIQNDDLIKIIY >CAK64155 pep:novel supercontig:GCA_000165425.1:CT868030:318493:321418:-1 gene:GSPATT00006008001 transcript:CAK64155 MQLLKQNYLIIQRYIGKIFSFYLQQFLHKSIYLKATQTLIYLKFEIEICLFIECYYQLIN ELKKSNSGKHFIALLPTYLNIKEETKYIAKQQKDNIQVLKTYTSKNKLKASDSDLYQQII EKVKYSKKELDPIEYEQILELLEQIQPKYEIGDNIKKVSGIELVIRQNDNMIIMPGQEQL EIQNDIQLQQDNIVLENSGPIDELIVQYQKRNEWHDKVKLVAISLKASSEEIIQLVTKKK WECMNHYKLIKNKNGNHQFLDMLNIEGLPIAIIIGKNGQIVHLGYHFEADLDKLITIEMS KEDVDGSILGESSLQANLKLEDQKQNGEGKIQQSQNVNNMIKEEVKVLKQYLQIIHGALQ KFTFQFKFQIKLRRCRIQQADGSYMISEISQLQLKYKITEKEMETVDYFMELVWNQIPEH LRIVLKSEVVCQSKFIQNIIQMAFARQGVKITYKPTMRKSINWDSNLQKILINDTEILKA VSSQLNLEKFYDGLEESKPNIDFYSKRFDLGEEINEIVEELRSQVTLGPGRRFAPILNYK KFNSQEIENIFHSKGQDSIAQLRNIDKLIEKNSQSWSKIVKFVALNIGDEKEFVEFLEQY QDLQGRLLILYKKRALLTDTSLYAVKEVPYFIVIDKSGFIKYINSPMNLERSIQQLVNEN ENDKNWKPVKIPIKEQSVNQIKQILLKDDFKEYLLSIDKQKVIQLKLDFEVQKIGNDIFF DNIYLNYFIRDKQEEDFNKLLDRIFSIIPEDCWIIKKQIQQTISIPYPGDKCAVCQKDIS KVHQQYYCYFKNEHVCQECAEFTDVEKQGMDMYKYQDTLIFINGPLQDKSVLHDIDLHKI GKNRKLFEGQKPSQKHSFECNGCSINYEGPRYIAVNARPGNYRKDGYVDYCKNCFLILKN KESIDAKKIIESNSDEGMTADIIFTRVLFNYGNYREF >CAK64156 pep:novel supercontig:GCA_000165425.1:CT868030:322335:322910:-1 gene:GSPATT00006009001 transcript:CAK64156 MSVILPWQMHYKVTLPFIIILLYCGVIGLIFLAMLGIYCFGKMPSEKFGNLNICWACLGI YVRNFAVLTRLLSWVGLLLMAIQAIITITNEECQTAVHEYKQNRKIYQVEGAMYDSSILL IIVNLCFWGATHCLLPSLKLFLDSESFLYEPFDRSKGLAYWFCCIMLGP >CAK64157 pep:novel supercontig:GCA_000165425.1:CT868030:323206:325741:-1 gene:GSPATT00006010001 transcript:CAK64157 MQFYGLLPAPNPLVQAADPDNKQKIGQIKKKDVYDENDSAFNMWDYFSHNKVNLIYQRFD NYPWSMIPLNNHRFAASCFFDCKKLLALNREIRLSEFTSILNNNLYPSTMKQKEQKQLYL EQDSKKYNGLKFDSNFESGNLFTSYRVSLNEYDLMMQNDTNTKGNTQWFYFSVQNTVKNS VVTFNIINFIKCDSLFNMGQRPVVFSTKNNRSKGTGWVKAGYNIIYFRNKFKRENSSLNT YYTLSFSYKFEHSNDKVYFAQCYPYTHSQLNNFIESIRTNKFAVVKELCKTISKLSCPLI IIGNGKKAIIFLARQHPGETPSSFTIEGVIEFLISNCMESEILRNNFTFYIIPMINPDGV VFGNYRCNLYGTDLNRIWISPHKELHDSIWYVRELIRQINQQADLSLIIDFHGHSKKLNS FYYANSLCDEQKLLPLISSNLSKMMNLRDCSFSIHESKKKTARVALLQEVKNGFTYTLEI SFHAFRKSGPQDFTESYYKQLGEDVGLSIFKLFESSMVKSSKLPRSLNQQLNDELDNLIK YCDLSKLQIGEDDSGSDSNPEEDVLQEQELLSFNEQKKKQKLVLQAKKKLNKFDKASQTD IQHYQNLMLDEKVLEKIAEQVRSIRKPNFEIPGFRNNQETIQHQDVPLQEGYQNSFQQTD ISLQQILGKMQVYHVRVSNKNSIFQNQFTTQSSKPIVIVLKNPYSKRQMQSPQQKTPQVQ ESLSTKNRRRITSLAGRSTIDYKGLSRDRKYSIQQPQQDRNQAISFIQSQVTPQNFLTQE ANFEKIANISKTKVYDKQMLKNLF >CAK64158 pep:novel supercontig:GCA_000165425.1:CT868030:326121:327544:-1 gene:GSPATT00006011001 transcript:CAK64158 MNVCSSRYCQYNLSIGQMVPIPKNRIYCPFCKTTYYCSQRCRDIDWTSGHKQQCIPEKMI NSQEISNSDKVSTLRQMKRTSEEFELIYDYPQLGKGSFGAVKLVKDKTNQQLYAMKIINK KDIFEYCSIENLKREIRIQRKLYHPNITQLYHYFEDKDRVYLILEYAEHGSLFQYLRRRG RLSEDEAMKFFKQTCQGIQYLHQQDIIHRDLKPENILLDIQDNVKICDFGWSAENLGSVK RNTFCGTIDYMAPEMIEDKPHDYTLDIWCLGVLLYELLHGHAPFDGKNDIEKCQNIVKVH YQIDGSLTKEAKDLIKSLITYNQQDRLSLSLILNHKWFKLYSQNHSNQMKHGRNKTYNAY SIHSQPQFINKSTASSQSSVIRNHVTTNETQNDTSIEMNFQRFSRSSELQRKQIRSQTSQ SIESESFMHKILVALGCVKRDQPQNRNY >CAK64159 pep:novel supercontig:GCA_000165425.1:CT868030:327711:330491:-1 gene:GSPATT00006012001 transcript:CAK64159 MTEEIFSLILLIYYEICVMLLQHQGKPRFKIIIAFHKWESLTIKIIDLATMIITTRVLFY LKSTKKTTIILTILGGFRISVQITLSYFCQEEIFLVFLNLLFIYSHYQDQSQNKLLSKLP QLFLIGNVFFVQNFQDNLKNIAIAFTCISIIYTFRSTKTIQNSPENPKVTFNKDFGICIS ALPQKELELQKSCDFNGSNSKSIVYGGFSPLKELSRSMDTILFEEYAWIKGQQFIVLDEQ ENVIIQTFNVADISKNSLSNSVDNESVSAILNLGIIQGNRDFQDIVASSGTINQSKFLIK DLIFKLLGDYELYKNNLFIINKVDAQWMQDRIIKIFLVQKNKKIFAFVQTEQREIQYQTS YQAFNLFSSYITPSLNSIMTISVLAESDTIVNDLVLEKYLYPIRVASVIVYLQLANMRDY NLHNQKRFLLKVSTLEISTICEDLIIMVQDSARAKGIEIKIDQECIETIESDAERLKQLM LPLLRYFIIQTKDENITISWKTFASKNYQVVIYTPINIDEKEMRLIKLNLKKPTLSFSEF NICHILAQYLSGTARKGIDISLVEPQGTVITFIIQSFNLNNEEMKAIKLIGGTHYQETSL SQILAMQDTLKQNDKTYTLFREESNKISMDHSLHFSQSRISKQFSQKYSEYHSNYYSQIS KHKPDQTSYIFHQDEETKHLQRLNYQTTPSQSKYQLPYFPDATINNSFNNNSGPYGNTLN IDGREQTFISQQQQSELKQATSSNLQIDFGVDLPSQIQIPVSRPVKMQGEIIKFSTTGKC CSRVLIADYEFQNIQILEMILAKFKILSSRAFSTDEVIKMIETKKQCKCGNSSFVIFFIN VDLPKKGGLWLSKQIKEKINQKAHIIGTTGLVEYHSKIEFYKHGIDQYISLPFDLGEVSK ILQISQNY >CAK64160 pep:novel supercontig:GCA_000165425.1:CT868030:330578:332200:-1 gene:GSPATT00006013001 transcript:CAK64160 MKLDEYQQLMDRGWRRCGVYYYRPALAQSCCQAYALRVDTQNFKLRVTHEKVLKKIKRIQ PLQNDLNDLHQKVQLKSEIKEDQFTQSILSQMQTDIDTKDLVILSKVGQHFTFKSIQYKE EEHIKQFKQIIINNLSKITIQELSQVFLITNEDHQDILLHLFRTSLQEFSIYEITVFRGQ LNRIENEWGFGCNLIPYFYSKLHAKFKPLYPEFQTLDENKQYISLISKYLSNLEFKFKVS QWDNQILIKTPQTIKEKTFKSMVLYYLQKADLSNTQNFAKEKKHHQIEIKWVKAQFQEDS FEVYKRYQKAIHDKERVSRQSYLNFVCVDALDSKELGCWHMKYYLDNQLIAVGVVDLLPQ SLSSVYFFYDPAYKKYSLGVYGVLQEIEYIKQHQKENPQLKYYYMGFYIMDSKKMAYKAD YSPCELLCPQTYRWIALTKPIKEKIKKITEYAQDVRLAEEKVAQLGYSINLDGSTINDMD FSDVDIQNFILSHIKISQAGKQYSISQLKKNYQQYFMNLFENLLGKIGKQLMTEFLFAVN >CAK64161 pep:novel supercontig:GCA_000165425.1:CT868030:332225:333355:1 gene:GSPATT00006014001 transcript:CAK64161 MKKTFTFADLSTSIQSGNSNRQIQQTGSSTQIRSVSLRKAISRIKQENRVAIMGISSISN AQVPKNQSYFQIKLEQKHNRISNPSQEPHTNINQIDHKKETIRRINQLQQYCKSQTALEI AAQKYSLKKTFKGASDLSTVPTLIDKLNRDIINKKSNVIQDVMKEELKRRIPKKQKEINY ELDSMFKNYKSFSQLCLNQNTSIQKDKIYNGYLKVYNSGKNDRIFLNQIEERKKSLSDES EEYRAFLGEIDEATIQRDVYNNNIRNPFLDDKVTVMQMKKDGFLKRRITQS >CAK64162 pep:novel supercontig:GCA_000165425.1:CT868030:333462:334226:-1 gene:GSPATT00006015001 transcript:CAK64162 MAKFLWIHHTVYLSQNKISKIHLKNEGPFSLLKGYLQEPYPSIHLILKGWKRHKRNIVNP LSLNLYLLDIMQLEHILVQAKLLVLVYFYLEYICLWSSICLHSLNQEGMGMALMEMIKVA NFHKPDQIINGRRIKVIHLLLCLSVLVLLKCLMLQYLHEIDLPLINYRLVHYQLEEYLQP ILDIGHIWILHSIIQYILLVQNIFNIFPLTIEVCYYCLNILGPLQRSFLLQQYWLMTYQK YFHE >CAK64163 pep:novel supercontig:GCA_000165425.1:CT868030:334617:336279:-1 gene:GSPATT00006016001 transcript:CAK64163 MLSSKKYKEYDQNFQGYFKGNYDEDSDDQSKKKPTLIMNVQGNSKNLLRDTRYNVVKLVG EKIFKWKLQYEIDENQWDIFWTDASVQSETLGKMQPHQKINHFPGMFSLSRKNHLGKNLM KMKKQFPYEYKFFPKTWLLPTDYGEFRNQFVKGKAKTFIIKPEASCQGRGIFLTKDIDDI DPNDHYVAQRYLDKPFLIEGLKFDLRVYVLLAGTDPMRIYVYQDGLVRFATEPYVAPSFN NIDDVCMHLTNYAINKGNPNFIFNNDASKMDVGHKRSIKSVFGKLEEEGYNIQKLWQDIY KLIIKTFCSVQPILNHHYKSCQPDNYANNMCFEILGFDIFVNQKFQPYLLEVNHTPSFTT DTPLDLLIKKNLIRDTLILMNVNLKAKEQIIISRKEQLKQRVLTKKQQKITPGERQALIQ KFQKFRDEYEDNNLGDFVKIYPLSDSGEYTRFIEFAALMQDYWTGAKVSRNLKVDVNNAN KNTVHSGNNFRKQQRNLQMKQQFLRINQPGFLNEEPETQNQQKQANTQNQFKKQVQ >CAK64164 pep:novel supercontig:GCA_000165425.1:CT868030:336305:337633:-1 gene:GSPATT00006017001 transcript:CAK64164 MNYVSNRMLNYLEPQMYARFTILANQKGCINLGQGFPNFPPPQFLREALSQEALTEQLQY TQTAGHPKLLNSAAYFLEQRMGLKINIDKEIVVSSGAQSVLCCLMQGILNPNDEPLVFLQ KKQQICIDLLIEFSGGKHIGVPIKPKVFNTKENGQFIYSDEDKWELDFVQLEQSINQKTK LIILNSPMNPIGKYIFTFIIEYFNKDEYDKLAEILEKHPNIIVCEDAAYHHITFGNNQPF IYPRCITHPKLKDKSICVMSAGKMFSATGLRVGFAIGNENIIKGMKAAQTYHIFCLNPVN QTATARCLDQTADGIYFDSIRHLYEQQATKLLKGLVESRLNLNYWVPSGGYFIVTDISNV EIPNKYFTQNDVKVTRDFAFAHYLINEFGVVCIPCSPYYENKETGQNLVRWAFCKTDETI SEAINRLK >CAK64165 pep:novel supercontig:GCA_000165425.1:CT868030:337680:339473:-1 gene:GSPATT00006018001 transcript:CAK64165 MQEGKKSKQFFGKDIMNLLKLMVPEKRFCIIGMSVVGLNSALLLYLPQVTTDIAKLQELK YDQENKEKSKQTNLYYHIKWGGVCLLGMFLGGARRFLNTQISNRVGMRMRYSMFQKLMST RETQQLHSQQLVHKLSNDVSLVSTGLSQDIFVMIRGFITTIGGAAYLSYYAFPLLASASA AAAVMGGSAVLLGKYMSQFKVKETQELGSLSEVSQEMLQAHRLIKLSDMEQKENQRYSAQ LFRWYEQATQFSKMQALNMGLMEGGGLYALILILFQGCYLVATGHLDPELAKYFIQAVYM ASGTRAMIAIYNELVKTAAIYKTILDIHPNLHESELYNQPDLTKNYREYIRLDDILKARL LNPPQSAENTKEWQELYEHSTIPPPSISFKNVQYSYPNHENSTLTFNLKINSGEIVLLQG PSGSGKTTALNLLTKLLTPSSGEILIDGESLSKKDFKWIQQHVSYVQQDGIIFNATVYEN IIYGNHGYDQSMERVILAAKLARAHDFIENMQNKYQTKLKSDGTTSLSGGQKQRIVLARA ILKNPRILILDEATSNIDSLSETDIIQALMQICQDKTVIIRFAQS >CAK64166 pep:novel supercontig:GCA_000165425.1:CT868030:339545:343428:-1 gene:GSPATT00006019001 transcript:CAK64166 MIELRSCIFQSNYFWYQQPNQDLFQSSLIQIKSPKSSIILDNLMFQDIIVYNSSETIILF EANSILAQNLNVKNINVELQDLIKSLCGFAIFQAEFINFTNSNFFDVNSIFYGFIYIQLK QEGKVILKNCIFDNTILASHQDYLAQLGGVLTIDAQQSTIQLNVTDFQAKNIFTQQKCAF LHLIPSSLNNYIFMKSLQFINLYSQENAFGKFDFSSNSNNNKIFLQYITFNNTDEQVKNK LAKYTNYQNSSNLDGIITSSFSPVIIFHFHYTGYLNQPICRLRNGDLRLKQFFLTSIMII NELSHIVSVETSLMKNIFIEDFILLNIESQKQLGYFFKLNQTQQSQYLRFRNLKLINIKC PFCQNGLTMFLSDSNHQNILFSNINFVDNNCGYNSCFIVKSKSIKFYKSKFVSNLAQSNG ILQFQNSSINLNQIVLKNNVVSNIAGAIYLSNSSIIAKDISIINNSAKIGGAMFIEQNKL QKNTIINLMLYENKAVDGLNNIKEEADFLKLSIYGVVLKTKRQEMGYLVVDSPEYLVNYK KQRINDTYIKVASGQMLKDFQIFDKSQMQYVKNNISLRIEIYTQLGEKLIDNQNNKCYFE QLYVDQEKDYQLQEILISSQLENVTISSFNTETQSYNLDNMMFNLDPYSTQEIYLLVKSY CEGMKENHLFIFNVRTLKCEIGEYYSNQQCLKCDFDKGYYSVEKDSIECQRLDPKKIKAV TSQSIELLPGFWRYSYYSHYIEECESIEQCIGGWKVNYESCKTGSIGAICKECDLYNIRG DSTYLKSLLGECERRELKPIIIIITLILMILLEPLGLHTKSQDSKIKDKTLQVVISMPNR YTLSFIIQDQFSTLIRLLVHQMQILYPLLPPLSFESYFSQIIWPIGKSSKSLLFLVHFVA DQFQISIIYLKVIWAILIPIGQILLLGLLYSMCSKLFIDRNRSYKYTLTSFLFLLFYSMP NIMEELSSLTSSRRVVNIEWIEANMAYIFETDQHYSWIFYFILPIMLTLFFTIPFSTLIL IRKTHRTIHKIDPTIGIYGFLCNDYKEQLYYWELVNLEMRQIVIVIYAYVNDLRMIFKNL MVILILFIYFVAISLKKPYEKSNINKIEQVGLFLCSIFVCFSSLSYETKVEGLMEVQFIA ELIMIIILLFLMIYIFYHIIQTFIRKNDEYFDRLRKIILLKLPKIIYCFPFIRKCLISKA ETRRNVTKRFLLIKQYLKIHRQNNPRSLRQTINFNSEDTHVIMSQIQRSDHRNLIMSSFL Y >CAK64167 pep:novel supercontig:GCA_000165425.1:CT868030:343770:347778:-1 gene:GSPATT00006020001 transcript:CAK64167 MLFIFHLLQICISSFSYINDQTRYSVDSEWDNPFYSYGVVSKLCPLGAKYYMYESMNTDI YYFLSSAKLLEGGLFYLHYVELSNSREKIIHHLYYYQENQWLSNEFIFNLEEYEGLWYQQ YINYDVNSKLLNLEMRWGDHKKSLKSYSFQEFKYSNINFVIGGSYQVLCHYQLQVVHPIT KYMLNLKTFPGQLLAAVSVYERDREDAWDIFSEIKCSDNTVLLLGQLDMKEFNQHQIYMI ENQNQKYYKVTFWAKIQNDFDPEVDHKIHVLRIVANRFTTDFQATGSNSFILSYMYYSEQ KQWMIRIQYYSYVLPIIVQFTNNNDPFQKEDLIFVQNHSLLSTWHFIQVEYDPDTLNFHL INKKQDKKIEKRYTNVNQFSNIYYRLFFGGSREDFSTLGRSFGLILFYDCNNENIQCHYS CQTCIGPSQNQCLSCPEQKNRIYNEVEQTCRCKVWYSEKSDFTCQFVTQNQVTVSLIDEQ EEQDQEFCKFGEFSFDQMCFQCPSASQHNQVICAECLMRPIDWVLKSTNCKNTYYLFESS NNFLKKRNDPEITNIQFLFENEQLIPCKGCLLCNQFQDQDCYLSNYKHLNQQTYIKCIDN YMFENGECFQIRVLKIKNTACQNNCKYCNEELCYVCSSQTQYFINSKGQCQQCSILHCKY CFQYNQYNIKENSILNDAQNEGQVNDDYVIGCALCQQGYSFSFKTNLCIKNKIDNNCLYY IDSDGDTICYATQFELLENKFQQITNCQDKIPNCEQCIYNLFDLMVCLQCKVGYYNNNLN GLCISCNEKFENVQECELNNKMLQRYKLETIGYLQQLTQDFYGLSQLFRNYDQVLITQCS NNLVLDIQSNKCISPCSNCDICEQIDGEQQCLKCTTTSDEYIDFYSQISGRCYQCPYPCR FCLPLDSDLVHKYNPYFLLNSTSIKQTHKCLLNFNNNLTYIDQRSGLIQPYNNETKLKQK FISNSQQKSSSIFDGNFILQKSISNYYYIIENMIPHQENLLNDYYALKNYTATIIVEADM TGQGFVELFNITNFHIFNSLYKLKGSHIAVTTVYGVDVIVQNLTIRNEIAKNFLTINFSS DFQISVLSVKNVYLYESTIITINNFVKNASIQMNDLQFKNCTFQDSQFFYFASDKFNKIL IKSVKIQNCTFRNSLFINQLLQNRNQQYVIEEFLIEDSFLDNSSIFFISKSQDMVLIRSV KLLNTFLNNSTIISTFSSVNLETVQIVDTIAIKSRIFYHQFESTQTFFLVNQLQCQNLQL NGTNILQLISLTQNINIDVIIKYSKFEKIDQIYSKGKISDNFFFYIQSATYMQIISQSKM M >CAK64168 pep:novel supercontig:GCA_000165425.1:CT868030:347941:349877:1 gene:GSPATT00006021001 transcript:CAK64168 MNNQQPQSLFSNSSKQTQNQGLFQQQQQSFNANFGIAQQPTGNIFPSPVIPHSNSNLFGA QINNAYQIQQPLFQQYSVIQPTQFININFDDDEKIEPKKEDAKQNTNKYDLNEKLYFEVR SLYKMGKLLNSRTQYLPGIVSIKALDQAVTQNQKNQRVGVDLICLIDISGSMIGVKIEMV KASLIVLLQFLGDNDRLQLITFDNDAHRLTPLKTVTNQNKSYFTQIIKQIKANGGNRISE ATKMAFYQLKSRKYINNVTSVFLLSDGVDYTYPEVKNQIQTVNEVFTLHTFGFGEDHDAQ MMTQLCNLKSGSFYFVQDVTLLDEFFADALGGLISVVGEQLEITLSSSAPPPYQDIQISK TYGNMWQKKGNQYYITQPQIASGSRKDYVFELALPKFEGKIEDNHRNVKVIEAVLKIKDP INGAIITKQTSLTLTFFNQDEQINQNESDIDVYAQYYRVKGTEVIDDARKACEQNKNEDA QKLIDNMLIQIQKNQNVAAQCTGIIQDLQQAKQASSRNTYNLFGQKQMCQMVSNNYQQGG VNSLFSATGAQYIISSTSCILQCLLIINDVSSISIQTQQQVTLIHYIMNLLLITSIFPFT NYIIYTFIAYFFHKSYYRYLIQYFKIVNAFISILRL >CAK64169 pep:novel supercontig:GCA_000165425.1:CT868030:351317:353140:-1 gene:GSPATT00006022001 transcript:CAK64169 MISINSQQYPSKIQVKTRPQSQMYKNPSQLHQQQHLNCFQQYKIAQQPPIASVQYVMNPS SNQLLFKNNGPIQQVQLRQYKVYQPDQYVKQSGNAIQKITYNDDDMIQPKKYTPNKYNLN EQLSFEVKALYKKGKLPQTRPQYLPGIVSLKAQDQNIFQKQESQRVGVDLICLIDISGSM IGVKIEMVKASLIVLLQFLGDNDRLQLITFDNDAHRLTPLKTVTNQNKSYFTQIIKQIQA DGGNRISEATKMTFYQLKGRKYINNVTSVFLLSDGVDVTYPEVKKQIKTVNEVFTLHTFG FGEDHDAQMMTQLCNLKSGSFYFVQDVTLLDEFFADALGGLISVVGEQLEITLSSSAPPP YQDIQISKTYGNMWQKKGNQYYITQPQIASGSRKDYVFELALPKFEGKIEDNHRNVKVIE AVLKIKDPINGAIITKQTSLTLTFFNQDEQINQNESDIDVYAQYYRVKGTEVIDDARKAC EQNKNEDAQKLIDNMLIQIQKNQNVAAQCTGIIQDLQQAKQASSRNTYNLFGQKQMCQMV SNNYQQGGVNSLFSATGAQLQQVQPAAYSNACQQQMMFQVQASKPNYKPS >CAK64170 pep:novel supercontig:GCA_000165425.1:CT868030:353591:355366:1 gene:GSPATT00006023001 transcript:CAK64170 MNQQQVPQSLFGNQSNQQYQQQSIYNQQQQQNFQQFSQIPFQALPNFPLQSNPPHSHNNI FGRQPQQYPQQMFQAQPYQPSLFGMNTVKNQDIHTNFNDDEKIEPKKEEAKQNANKYNLN EKLSFEVKALYKMGKLLNSRTQYLPGIVSIKAQDQAVIQNQENQRVGVDLICLIDKSGSM NGQKIEMVKQTLALLLDFLNENDRYQLITFESQAQRLTPLKRVTDGNKQYFKQVIQQINS GGGTTIGTATEIAFKQLQERKYRNNVTSIFLLSDGQDGQANQRIQEQIKTVNEVFTLHTF GFGEDHDAQMMTQLCNLKSGSFYFVQDVTLLDEFFADALGGLISVVGEQLEITLSSSAPP PYQDIQISKTYGNMWQKKGNQYYITQPQIASGSRKDYVFELALPKFEGKIEDNHRNVKVI EAVLKIKDPINGAIITKQTSLTLTFFNQDEQINQNESDIDVYAQYYRVKGTEVIDDARKA CEQNKNEDAQKLIDNMLIQIQKNQNVAAQCTGIIQDLQQAKQASSRNTYNLFGQKQMCQM VSNNYQQGGVNSLFSATGAQLQQVQPAAYSNACQQQMMFQVQASKPNYKPS >CAK64171 pep:novel supercontig:GCA_000165425.1:CT868030:356003:364073:1 gene:GSPATT00006024001 transcript:CAK64171 MKLWKKSLNSIPMIMKVNGICKKNYIGFYVKRMVNGKKPYFLELFRQRVQVDHRREFKCK IFYYVKSVKNSFQEEYLLSSFPGKMKLIFLEQNEKREFYISNLKDCEFNFQALINEYYDY KTQLLQLQVDQEYDTQYLIQVWVQIDDVLSEFQTIQVIRLNLKYQLDEENHIDLTSFQIT YISENSKWYYCLQFYSYIYPFIFSKTQIQDFTKNYQYEIGSEFLTSWHLIQVSYKNKQIN YGMRNYLLNKQLLKQFENVNQFSCSKFTIVLGQNSGENLLSGQIQNLKYSTCPSSDNSIQ FDHCHYSCQTCFGPNSNHCWSCDVRKNRIFNPKVNTCKCKLWYLDLDEVQCHGQSEFNLI ETKIYLPEDTRYDEIQPIVQCAYGYFRYLDSCIQCPSASQKGAIQCLDCILYPDTWVQNG MCYQQYQQFDMDEQNTYRHIADAASPQSNFYLLIDEELFTCEDCVFCSKEEYLEEQEMGI SYCILYPLKHMNQDTYIMCIYGNLDYETFKCQPQEVIERQNYGSYKGTCNEFCGYCSLRM CYYCKDTTLYFSDWQGICRVCNIEHCKYCFSYNQYDLNQVSARKVPYFKLPSNLEEDYII GCSLCYSGYIFDFTINECVKKSLEFPCLNAFINQDNELICTSSSLTARITEEPLETIGCQ TYFQFCVKCVSDNYKMVQCTKCEDGYYLNFKNGICKPCSEIIEHSIKCKMLTSSQDSWKY DVMSFYNKFLPDKIPILLSGQYFIVYYLIDECEEGYIYFYDECKIANDKNCLNWHNDING VICKTCKSQSKFYQSSSFFNEKCQMCPYPCIICQERTLEEINLINPYFIVDEQTKSQTYY CIKNYGNQETYIHQKLGMIQPQTQNGARYVNKITKILNQNEFSSSQELKELEMQYLIQRN IYTYQAQYSFLPDEQIINLRNYIFSLQNQDLYYDGQNENYHEKIIQIFNQSKVTIDNLYF FNEKNILNITSKYGVEVFINNITLPSITNYRTLIEITNSTNLSMSYIQINEQINYQSSLI SINNFYQQVFQNTNLLFYEITLLNCIFINSVFILINNHQSYVDKFVINNLRIINCTFENS SLFTFTQQSNFNKIIIKNISISNSKFINSEFAKIPLGNQIQMYQLELINSTITQGILIQS TQSTIFQELFFENVNLSNSTLLLLKGYLSETNFDHLFQKIQIKKISFQGQSPFKIIYRSD YKGQIKMQDIMLEQGSIIQDDRLYDFNASNCFFDFQSQSISITNFTGLNILNIQIFCLNN FDQIIIKDCIIKQKEQGLFNYDANKQVNNKGFLFIKDFIEIYLSNIQISNLYVVDSSLIY IQSKQDVTFNQSVVVHDFNVTNNVLVKSQLFTVPSLLYIQSEYYCNVELSKINYEHNLIK YQIEDSQIIAPSLLYIFVKQSKISLNSGQFKQNKIINSRNSHIYLNSETITLQSLIVSDI NIDYQNQDLNFSNIQIYSQGGLGQLIGKNIVIFDVKFQNMMAHQGGCLLMVLLEKSRVLI ENVVIQNAISWNNMSINSFGGSFYIDATNSELDLLIRNISVTLSISKDSGGFIYLLPSQI SNKLKILNSNFINTFSQEQSLVSFVCDFFLSNNTFEFKNNTINIEESFYNDFLGMNFQSP QSSQSSLIAVSNSKINIDSVSITGSYSVSIFSLSFIHYLRLTNVKIIQAKSFGAAFISIS NEQKYQLAYKTQNQLFLSEIDINNCQNVNQQTKGSFLDILLNAQITSKIQFHNLQIIQNN CSNCQNGLINLQFTEYTKSINFNQLLFFKNDCGFQSCLSATPIGTYQTTSIKVDHGLFIQ NKGLMNGTLNLQASNLDLRNLKFIQNTASKGGGYYSQYYQELQTKNLYFIANKANIGGAI FLKSTKLQTSCFSQIYLIDNKGKVAIDNLQELPLQIMLSIFQTDIENIYVGGKYLPNLKK FLNENFIYLPSGQKIGQYQIYSQEQQNYQNYNIQLRFYFVNNLEEKILLFENETSSCTVN QQQFIGEQQQNGKYNDYVVEYDKEDQSFNFENLTIIFDPYSKKDSYLNLQMTCINQLKEV IKFQLNVKTFPCQVGEYYYESQCLLCEAKRGYYSLEPKASYCLKIDPKMIQKNTINQIEL YPRYWRPSSKSSLISVCNRKPENCLGGWETGDDSCQLGSIGGLCEECDIYNIRGFGQYYQ NSNFKCQYCQNFIGKAAISIVITILTLLSTYLTVNSAQLLFMNFKRLKYTTVHYKIIFRQ GQDQSAALIKMIVNYFQILIGIKSFQIQMYSNIIDFLNPFSNPVGSSLYSYDCFYSQQSN VPVIYINLMINLLIPIFYYLLFITIYLIVILFKKAKLSITIYFTAILYLLFYTQPQIINE LGSLAAKRQISGITYINKNVQYLYSTSTHNNWMTFCIIPLLVFEGGILPLIILLKLIKIR KHFNSDRFRKIWGYIFNDYQESCYYWEILRIFQRLIIILTLNFNEEQIITKGCIMFIILL FYFTAVFIQKPYNVKSLNGFELDSICLCEIIIVISCLKYQTQINQITSIDFLLEIIFIIF FMFLLLKVSIKLTIIYYYKYIERFDNIKRFLQYQFPGLTQKKSIISKFLNSKKNQRNQIQ QRFLSAFSNLKQLKTHSSKLSQSNHQIKMSNIQNEHTLQLSCQFTNNNEKNENAFVKADD FSQCQDIVL >CAK64172 pep:novel supercontig:GCA_000165425.1:CT868030:364094:365073:-1 gene:GSPATT00006025001 transcript:CAK64172 MQNIKNVILQLIKYSIVDEQIQEILIQQLHEQQDQLDIAVLAEQIENTVSHSDINLILKP IQDEINQQKLASDKFDKQLKQVKLLMSQLDYQCLGKANKMDIEIQQQNNIKLQSQIQDCN SKIAQILQQQDIITQNNHQLIQDQFLEQIETLEQNIKKSVLVTLDSIYQCNFQKYDKLLQ NYEKMTFILETKADQSQFAEIMQSKVSMQQYLQTKTKLFDTLNHLKYMMEAVLQFFEASI SEQDSINMRKSDQIKSINLIKSIIIKLIPNEEQKQILQKFNVKLKPTIFKTIQHQRRIKT EIND >CAK64173 pep:novel supercontig:GCA_000165425.1:CT868030:365199:365525:1 gene:GSPATT00006026001 transcript:CAK64173 MSLLNIVQARMSIMNRITKLNRSQNSLLQISYLLIIPRKAQQEFNMKQISKMVIQQRKLK CFILTEQYRINNLFEAKHKENYRKYMTDRLKEQNLKFLTYFAQTKDNI >CAK64174 pep:novel supercontig:GCA_000165425.1:CT868030:365987:367283:1 gene:GSPATT00006027001 transcript:CAK64174 MSNLSHSSQSSRSSSQKRNTKRPQREKRKKNNSEDYDSPIDDDDLSQYDGMRVKNKQQPI LGPKGFNKREAKLRKPISTQTPATATFLYKPGAELPNLSNYINSIIDIKIACEYVNKNNP ALILRQIWGSNGAYASHSDAVCIGIHAGLLALGDLKLTSAFYQGVSLSCKVIKGKKSLNG QMKVPLLSRSLKTPCAHCLKPEKVTWLPQLGSPEQLISWAKKMSLPQNRRLTKRSHSTVY LHEPPQISYPENLLVNNLPPLNEGWIVWDMCNEPSQKYNLLTFMDRQTAQGLPSRTSYKL KTHCLYIETHNKKYEISMDSFKIAEEVFLDSHVFTIQEVLYPQQSDIEMLQKFGVPLPKE QKKVIFEKLKWDMFEWGNDNVIINGSFEIQGLKSFKFVPITKHQHYDK >CAK64175 pep:novel supercontig:GCA_000165425.1:CT868030:367327:367614:-1 gene:GSPATT00006028001 transcript:CAK64175 MNQIKQQQHFLNILKQYQERLVELTNKNENKTLMIIELLNKEEQLQQQIQQMQITIDKLT EENNQLRADILPYGSISEVKQLVEQFKQIHAELSQ >CAK64176 pep:novel supercontig:GCA_000165425.1:CT868030:367724:369763:1 gene:GSPATT00006029001 transcript:CAK64176 MNVNLICPQHKQHVTQACLDLECQAFPFMCQICLSDQSTISQHTKHNQFLIPYPQYITQM SKNIQSNINEAHQFNDLFKQESQVLQQLLSSDEHLSNIEAQISSLKHQIDSDIKLTLNSL SKVFDIQKAELFNKLDSYLQIYKSNLFILKEQIEPIHFLLTKCKYYTNENNLKQKLHTKP DLGSQVKISIKQLQQKQPSMLKLNFEIFKKSQEMKPNTSSLNQLLNETQNSITEYFNKNL SIPTSTLLEIPPSYNNQTLTPIKSKSLELCYSDRPVPIDDNKATIKSIDSKIPISNLQPT LEIPKFNAQVLDQRIIQEQNQTKNHLSAKFRIKKKLSIEFLVQTMALVNYSHVALGLVDG TVKLLDMNTSKVSFYPNTYIQHSKPINQIVVLKQDKGIRLASLSDENFAIVWTLGENYVP YPERKLVGFKQKLNHIMDLADQSHLLCQSDTNLQIINYHDNRILCDFDFKGQLVEFLYLS KFERFATISQGNIVSIYSLKLSGNQQNATQLVNCQLESIQPAIPISNISTCIGVDFQSEV IICYGCWDGQVRLYNVFKNSLLGEYQLFNSRIKEMIILKQENQFVLVVIAENSKQIKGIL IQKNKVLQLDKYGELLDCASKYGRNVVQQTVVKSKNGIYLLSETQKDIGLYELC >CAK64177 pep:novel supercontig:GCA_000165425.1:CT868030:369788:371546:1 gene:GSPATT00006030001 transcript:CAK64177 MQTQHLEEIGVKDPVKIGEGSFGQVYKGNFNGQEVAVKHQIIQNSFLSEISILEKIDHPN IIRLLKEYKFGNMSYLVMEYCSGGTLKDYIQKKLTEDEIIGIMRSLLSAVEYLHGQGIIH RDIKPDNILIKNKNDLSSIKLADFGLSFQYDAEIQYYQTVSHQCGTFIFMAPEQILNMSY NKTVDTWSCGIVLYMLLQKKHPYYPKFSTKNQFIQSFPNIQYDEPNNASSLTRDFLRRLL CYDPHRRYTALQALSHPWITRRHQDSIPMGLREFGICKQLQEKMIQYIKMIMFFQYLRRS GELTEHRNRSESHPESQTFSETSIHKQIQHKSKLLRPLVLKSQTKFEQKHEEIKGKIRFS QLTALLTQQKSEEQFQYQQQIQQQIINKISSQPALDSPKKKYHEKHKFSVDCGNDHQMIQ LVSSVSPMKGDSEHSKIESPKKSFRKSQSFQRLILPQLSESTQSSISPDKLVKKESKTLV MRKSQSTLQICLRKIQQANEICEQKTTIRQRMETYDQKNYSHRIMQKANSLNSSTISPKN KLIQQIIQQQQQLILPQYRKRL >CAK64178 pep:novel supercontig:GCA_000165425.1:CT868030:371622:373872:1 gene:GSPATT00006031001 transcript:CAK64178 MYTDEQLYNCQIPLQKQKYEDEKLKLQPIDCWIFILLSPLTFFGSSRIIYQFLKNTKLFG IPGDSVFVITITNGIESAVQFSTAIYVLVTDEAPMHNAFCEVNANVKQITGLATSIVFMI SNLNIFVYAAYPLALIYNALESTARILRIFNYSLLALILLIVILGEKIVSTTLNGICAIS ATDSITWDLIISLSYLFVFLLFATMSVLYAITFKKLIPKFSTMRQARGQYLRYYQMFIGF SITMKLLLGIFSGINLLNCYIFLSAQLQVFGTLQNFTQALCILGQTIIIFKDPSFNLLPS HSQTSDNNTVNDNQEISLQQFNVLEQLHKEMKKTQVTSMLAGVQILRRQKQEDVIQYRDL VITEDQDGSGSNSSQGDLSELMEAMSQPFTIEEIEECLVTNLNNQVLKEKLNIDQEIEVF SMRCILYAPKIFNYFITIDNIDIDDCFDLIKNSSKIDQFTGPDGGKSGEFFFFSYNNQLI IKTMRQSEVNTYKKRLYNFATYQVNNPLSLLNKIYGMYTFEREEQSNSRVHFLIMKNISL GIPRSQILRTYDMKGSEYDREVLIKKPSSDLSQMTLKDIDFFKIEQQLWIDESIYKKLNQ YLISDSIFLEKQKLIDYSLLVMIIDWNQKEEDLQKHLDGQKMNIIPSIKEKGVLFIVNQI YYHIAIIDYLQQWNVNKSLERKTKKIIKMNIQLDTSAQEPDIYGKRFQEKLINRIVPLK >CAK64179 pep:novel supercontig:GCA_000165425.1:CT868030:375067:375853:-1 gene:GSPATT00006032001 transcript:CAK64179 MNLNLASLLTCLDNQKNLLLTNFQQSYQYKTNSKITQNSLKLCKYSSIGQHLGQCNFFLL KIELHPKDDLESLLYIVIQLLTKGEFFGQSKQFRNKSDKIHYYFNLKNSLLPEMILKNFP ICLIEFANRIKFLSNLFSKLDPIEIPINYEYLKAVFKGYKDIEYDWTPIIAGVKSQSQSQ SHSLQQFEDNFKITSLITIPESNQESIPDGHKQLVKTQQSIGDSIATHPDTSESDGELSS TDETTETKKIHFFE >CAK64180 pep:novel supercontig:GCA_000165425.1:CT868030:376612:378178:-1 gene:GSPATT00006033001 transcript:CAK64180 MKSSLYFVSSVKQSFWNKNLKSLVNYDFHKLSEDQQTVVLFKQGQLFKRATPRRYRIYNQ HLFYFREVVIYILLVDYYRTVKQEAFQFLKINNENLLIITLSHDQSQLQIFTGNKTEEVQ NFVNELKLNCIQEQIIVNFYTINQKIGEGVTCEVFLGSNKQNQKFVIKRVAKAPLGHKMR DRQAKSLAEEIFIMRSLDYPNIPKLYEVFESNNYIELVMTHYEGGNLYEKLLNFSLSKKQ QLVKDILETMNYVHSQQIMHRDLKPQNIMYKDKDPTSTDIAIIDFGFATNLDYQEHILYN CGTLGYAAPEVQEYKEKQKMYTTQCDVYSLGIIIYEIFFGVHPFRNSNPGILTFSEKVKV PQSLKNLLIQMTRFQPKFRFTLEECLEQDFFREDLDSLGLDGLSKYSLVNSIHQKSLNVS RKNSIEPSIQQEKVSKNDSTKTKTANSTAAESKNLQTRNLNLYDEEYQEDLQFFEHHNCP NDFNKIILKRV >CAK64181 pep:novel supercontig:GCA_000165425.1:CT868030:379312:382572:1 gene:GSPATT00006034001 transcript:CAK64181 MEICELFKETLQEEDDTKRQAMMFNVCRKLSRILPFPSVVTILQQKPNILKYLLIASQLN ENCALMMFQALQERYYDEKLYVKLYFECVIKCLINQEIYSQSVRKDETKLRLFLFENSKY LINKIQECFFNEESVCLMINNFFKSYIKYNADFEMLNQCFLFALEKRNVWKLLKEVDQQF EIDVENLELVLLGQVMSSKTLDFQIQNGHQQNRRLAYSGLNKAEMQQQMLEEWLFQPSEV PFLVANQPTVDQMQSDVFKSNKLLEMFLSFMLFPTKHPQIIQNKLSNIYVDLKCPDPPSF RQLNVNVSQESRPMIQFRAFRTMQALTDNRNNFLLTKINAGYYQRAYSMIHECLKQDLVA IIICPEIIVQYLTFLLYQEPEISTFYLISYHLPFRLMLFLDIPEISQYFTQFMSFIQTPY HKIIPQSTKLLWKYFELTNFFSDLLDTTLFDSKVLDNIIAKKSTELYRPSKIEELLQQPN FSPLEIEKFITQPEHKKVAEEIDEINVDYDLIFQYLPSKCQKTKKLFERMLQQATKKTNT KSRMTMTSYGPKSIQTVKSGKTEEADPFTFSPTRRKTIIAPRLMKLLDDNPKYSVQRCSQ EFETQQISSNNTSRSQLQALTNPNNLFGVITESIQIQAKESHQDIKQKPMYQRILVKRPT QMIMLYSQQKQLSMKRISHQSSIINITDSINKSKLQNSIILNKFNHLVGTVKQNESEKDI NIYPPELKDQQITDFERLAFDEEFQQLCLRNEHYTKGVLQCIYDILLMIFTPRKFILSNN LSKENPMYYLNIILGDNKQKFIQTITKNYLLKQKYGEEYQCTYLIAGRIYNLMLTNSMEK TVYFNFQEHLILIVKILVTQLLSQQLSVRTIILLESLTLILEKTKQSLLQLISISLWHLL VEACSIHYENSVFMNYFTRILTISFIYGNLSIFKRVLLKINFLSYFKDHPCIIKQLFLIL FLIFKLRQDEVKSIKQQISQMSSWNHFLTYFKSEIKLLESQQYKNTLKYLKPDDFLYVET LLQNKDSRKYNLLIH >CAK64182 pep:novel supercontig:GCA_000165425.1:CT868030:383622:384561:-1 gene:GSPATT00006035001 transcript:CAK64182 MSTNSTNHENHHQELVSDQQQDNLHQARQIQFQKALEQSLRSYVQYSQQQNQLRFVYHLI FVLAIYQMAELILCVISLGFTQRNHPLFYISIISISINVISLIPSLYYLKLFHQNEIEYL EGLLWFQYDIEQRPDYEIKQILQKSHCFCCYLTILTIFLQLCLLVMIILGQQKFQSSISE QPLIIGLSFQFMTTARILVIFIGTILTIVTFISKRLYNQIYLQIANLQELEYEQFSPLNK YEVQLVDEDSLSQLSQIQCTICLHNFEIHEEYYTLKCHSLHIFHKPCLTEWVVVNQTCPT CRSAV >CAK64183 pep:novel supercontig:GCA_000165425.1:CT868030:385201:386458:1 gene:GSPATT00006036001 transcript:CAK64183 MTSLEQVRQLSTIDQIQVAGLIFGSQQRAKEVKQFLADCEKIPEVQTNADFYNWNRKKQF LSKDKQGFSFMKMINHQVDDMEQYTGPMIYSATTNHYGVYLAMVVPAVKILGTDAQINAW LDDLIHIRKAACYAQTELGHGSDIQSLQTTATYDKQTQEFVINTPTIQAAKFWPGDLGIL ANWALVFAQLVVDGTNYGVQSFMVQIRDEQTHKPLRGIEVGDIGPKMGYQVKDNGFLKFD NVRIPKFNMLAKYISISPQGKVVKEGDPKISYASMMMMRKLLNTVYPKAAAISLTIALRY SYTRQQFTNDKGVENSVIEYQTQQHKLLPLLANLFAVVLSGNVTSKFVDLNFAEVQKGNF SHMKRMSFITLWNQSQLHSFCSELC >CAK64184 pep:novel supercontig:GCA_000165425.1:CT868030:386459:387329:1 gene:GSPATT00006037001 transcript:CAK64184 MVQIILWRTCIYQEHSPNVTLEGENQIMFLQLARFLLKLLQTSQKHPEKIPEQFSLFKRI NEILSFKCDQFQTSNILSLLESSVIHLITQTGMKMMQEIQGGLNPKAVWDYKLGTSLWES ANQFIEYYKFVCFQNTILQVTHKQTQVVLTNLLNLFGVTILLQNPIALLENEVVTQQTIK QMRDEYENLLTVIKPEALSLVESFCLADGGLRTTIGRADGKPYDYTYDWALNENSINKID FSKAVNQLKNCRPKL >CAK64185 pep:novel supercontig:GCA_000165425.1:CT868030:387358:388943:-1 gene:GSPATT00006038001 transcript:CAK64185 MKLNEYLQANMEPYKPLLLNDRNEKGISNGNYMKLMKMNASRLISKSVESPLHPLTTRPT VEIVKNSYNLPQIQHLVVENQVLQAKLKEEQNKAQLATNKYLYLQMMYKKMEYEQLIKNE QQKPQLPIIDTQREFNISTKNKYPNQEQLTERIGESRGYIRNRIKSLAQQVKEETPKVYK VKYYQNKKVLTPEEVAAEVQKMKEKQRIEDEKEKRMQNLWQKFLRCHLIIKIVLRWSENI RIKRKEKETKVQEQNKKAKMLFKEIKKYTQLQSQDIIKNWVSKITLRIYQGMIGEEMKKV FSQNFNPNQIENQEIQKKWLIYFLILFFKNVEQHTRQESMPDFLCFMMTLQLYYYQNKTA SLFLVKRTTYLNGNVFQLSEKEIQMITSEFILFSVIIPEIINQDQKQKQIFLHIFSLLQI LFISTFCELPEIKQTNKIKILQKRIIQNQQTMEFKFEQDDQIDLSQAIVVGLEKKQRYEK LYKQNTEALDDLKRFLEIILENISGNAYI >CAK64186 pep:novel supercontig:GCA_000165425.1:CT868030:388957:389830:1 gene:GSPATT00006039001 transcript:CAK64186 MNYANSLKTQITFIPIKLQLDLGVEAEVFLNFICGSHSLTTQNGCLFQQRECNFDYQQLK IVTTMNLKKGKFQEKKAKVRVILQYKNSYKKAGKINFDISEYMNQQMQQIQDEKLLIDCP DSSATLKFQLKFKQAVLNKSKLIPDIQQKLLEPESLIKSNIIKIPNIKEVSTLDKCNNCL KLQEQIKELKTSIQSNSQRSTLSQRDSIQISKLQMLENEIKCQYQIERELKTFIESLGNI CVDLLEQELLKQKRIVAQIMQECLQMGDSKLIELVENVIQQQL >CAK64187 pep:novel supercontig:GCA_000165425.1:CT868030:389976:391184:-1 gene:GSPATT00006040001 transcript:CAK64187 MNSQFQQGRSQSIKFIQTQTVYEQPFFTPNIDILSVSENLYLEKISKQATSRDQSPRKWQ ESHKKPLAQQPKFVTPQEAPKEVKIIQVNNDEKKIQTKEVISKPTTEHKQLIGRAKVLLL QQRTLNMKKYFKIASLAIIAAIRIKKSLNYSLWKKYQTQLKNITFFLKLELVQTERINAW CKAVCAKSLTSVNQQLHNFIEYPNDKEQVDQSMMKSINSCQFLLENLAYFSQPKYFCEEL KYYLLSQVFLDHKAYFSKFVSNRTLYLHTRNRQIKQEELTMILVESILIDQVLVNIFDSN QKCVFLHKVLASLLQYLFIKKFDKLKQKRNNIQKLSAHQVISTENRLKLNQIPNPENNDL LIIGLYKDEDMLTLLNYKKTAYKKIDQNFERFCCNLYTTILA >CAK64188 pep:novel supercontig:GCA_000165425.1:CT868030:391509:393227:-1 gene:GSPATT00006041001 transcript:CAK64188 MKSERVEQRKRSSSRQSPLSFPLKPQERICKTLIAHHLNLPLISSNQVVRQGGERKFRLF QHDEEYSHLKTVCEVNENQRHQQILSLYTDPDGISSPQDNKLIENYIKSKQQRYDQLIES NLDTLRNLEGNSQKISKDKDQQQTSRQTDINNQSFYSRSFRTHTVEVKSKITPEKQENNQ VFFQKNFERKRNHIRQIQKTLNIERNLDLSLKDSDYADGQTIILRKSKPSVPNQIWDNLD LFKKEEAKPQLKIRNRRLRIIVFVVIAVLGLSKKYKKIFQERQIAREHFQMQQGPHLKYI NFFGIKQHKFQFRKFVDSLLQKIIMIQKDQKYIKECINIQREKDIIRKDAQKQKLCFFIK LILQDLELITRKNIVPGFIHHFLNLCLFSGKTTQTSQFVANRTKFYSKTVHSLTSEQKLL IALEFLIFTIIIPNLLDIASELDQTNKESFLITLFHFIGIIGVLMVLFTKHFERKFEKID GSNVKPIQRVIHLKKNEQGLPCVATFSISDTIDQDEKKIIQGGFEYAGILELFEQKPYWG EKISNQFNKIAKNIGELIDITNVS >CAK64189 pep:novel supercontig:GCA_000165425.1:CT868030:394335:395761:-1 gene:GSPATT00006042001 transcript:CAK64189 MFKQIFDQPQIQSSNQNTQGFKFKTSVEPFEKIENNRKNQGIIEKDSQRDRALTNQQLHY KKPIDIHKYQTNTTNRDLKTSVLVQPIEQNFPIIQSSEFNPYRENVSFPFYNNKKNAVQV TNSTSKHRSYTLNGIPQRGNQQCEIINNPRGKYRLVIKKLRLKIVALFVRAALRFSRKYK ITFQKRNQALEHFSKLKQPHLIHFKTMGERHIKFFYRTFVEQVFSKIIKLLKTEEYIKDY TYILKQKQEMILDFQKQRLCFFIKLIFQDLELITRKNLIPGFMMDCLNLCLFSKKNTQTS LFVANRTKFYSKTVHSLNQQQKVLIALEFLIFTVIIPNALDIANQYESVNENQQAIIQLY FISIVMLLSGFFTNYFKDLPKIQSSNVKPVQLQLKVSEDQEDNPVQTQLIISQDLEQNDE LVLSGDLKINFINKNFSDKPNFQKDMGKLFSKIVTNVGAIIDISNLE >CAK64190 pep:novel supercontig:GCA_000165425.1:CT868030:396142:397253:1 gene:GSPATT00006043001 transcript:CAK64190 MQLKRTSIELGTLEQFLEGNISYGASQLDLEYETQSFLSKDDLKNKFKPFAKERNSIGKL IQRTKSNETTSRFQFLKSQQVVVQPTTKRKIRNNHPIYKWSTTNLLGLQKRDITTQDQTV SLRQRLKYFKINLQADQGFIKQFGEYKKQFTPATIQTRAFLTPKREYFYKDSLIPGPGVY SGKLMQTDPGHSIEYSKSPQTLKQQTPACQKDFYDFQLQKKNENTPDFLRTISREKAYQR SIFAQIEIQRKENMKLQKEQPYDQKKIEQEIEYLLYQQQKYGKINYQRILDNNEDIKKMT KPDTLDTTWSKMLERYGFKKVKGGKIVKERVQTLQNE >CAK64191 pep:novel supercontig:GCA_000165425.1:CT868030:397298:397726:1 gene:GSPATT00006044001 transcript:CAK64191 MNKIRQTPRSRRLSAYQEKDYIIPKLFLSVDLYQKQQHSVRIVSNQEINNLTFLHRNNNY QTSKRSIQSEIQLEGTEESNKVYEVKIQVKFVKHKQKLDKQLKQDCVEDYKLVNFPKNPI QQTNDEVQLSRKLQQLIATIKK >CAK64192 pep:novel supercontig:GCA_000165425.1:CT868030:397790:399916:-1 gene:GSPATT00006045001 transcript:CAK64192 MPPKAPPPKKLTKKELKEKAEQEKKEREEQERIRKEAEEKQRQEEERLRKQEEERLAQEE KARLQEEEIENEVQRSVFKENLDNAKKVARANDDWDKFLICSIKPDITQESQLTTFITML REQKIINELKVPEELQKLQQAENIERDIHRFLTQLKAERKLQQNQQQLQQQQQQQIFQND TVARNKLYITQIREIIIKKLEEINTQMVLNAELFIDEKFAELTKKANEGAKGTFKLDDKT KQEVIKTFPPTEDFKYGIFIYPSNKPSGYRHKPNEYPELQLAVDVPRSISVFLQKIIWTS FDNYSPDTYSKYRIVGGVLDIEYLQMLPPPKKVNTWTLKSNYELKETVKRLAFELNATFK VSYQLPSYIWIPNKENQKVWRVAFFDRVSEQWITDGVEDAKLDKGTNIVASVPKLGPIGL LQERCLDYPYKSFKLRCVGNEKAILDIQGARDLFKFEIGPGYIQLLKKDTEFQHFAYKKL LVGALFYELYRSGVNFIPVNEDAQSANIVQKDEGAEELALNDLSEAVRGFYIESSRWNNS DTGPQIVVKLKENPEFDEEFAENQEKDWKTIKWWNNKCAIIQARDSHQKCNQALLQDTET HCNLEVLLKMHSLTTQETLGRMKDLHYVIFIETIQQVLRITKLLSFTVRD >CAK64193 pep:novel supercontig:GCA_000165425.1:CT868030:400307:400759:1 gene:GSPATT00006046001 transcript:CAK64193 MFYELYNYFQNGILQKYIQQYYSNQTIIFFKVMKMNVELNENQIADSKSMPLNFEPLIGI VCQELDQICTTSIQIKTRIIQVISISYLGRKEKEKEVLFRIQETKILLKIQKKQNNVYPN YCQQSNFLTTFNMLKNQYLFLDDQLIIYGF >CAK64194 pep:novel supercontig:GCA_000165425.1:CT868030:401152:401599:-1 gene:GSPATT00006047001 transcript:CAK64194 MINQECDTKIKQQAIKSALKKELHVEDQMFRRYDRKGRQIAFGSKYEVTIDEHVQFLQAI PPASTPRDRTKSPIGMPLSARSPRSKSPLMDKAETATPIQNSQKKLEFTKKEEESLKILE LKYQKQKKLSKQPKQCCIIW >CAK64195 pep:novel supercontig:GCA_000165425.1:CT868030:402325:403115:-1 gene:GSPATT00006048001 transcript:CAK64195 MKFVLIVFLAFAYAKVGCDTDNKERVKERGMSKKKEDELMELHNEHRNDVALGKQKNWQG KFQTASNMNYVKWDNQLARFAQECADKCPANFRIDCSFPPQYGSVTYLGDVENGGADWTA KRVFKKWAQHEDHARQIEMAQVQFFGCGRSQVSRKNGMSDEIVVCVYNRKPNLHGDVYKA GVSGQECLHGRKKGFLGLCKHSATDMSVLNFKHQKKHNEYKFHQQDVKHHKGHKHHKNNE GHKTFY >CAK64196 pep:novel supercontig:GCA_000165425.1:CT868030:403141:403395:1 gene:GSPATT00006049001 transcript:CAK64196 MDKSVLKLYKSILRAGNQFKDYNFREYVIRRAKQDFRELKINPDLKNQVMDKYTKELEVV RRQTIVQNLYYQSNSILEQKQCTV >CAK64197 pep:novel supercontig:GCA_000165425.1:CT868030:403426:405611:1 gene:GSPATT00006050001 transcript:CAK64197 MASYSGEQQVKTVINTDDIKDIVAILNNKFNENYSLVSFDEQNQFEFLELLNKIFTHLDS RWTIDIKVDNQETIIYKITEYLRILNYPGQFDDKWSQSVLVADKKVIYPIFHYLLTRYPE LEKRAYLAKYLVPVFVPDEFQMDNDIKTCADQIKDLQAQFQVHHQSLEQVQSQSMNPEEL KKDITQFEQEREQLLNKISNFKSKVSSKPNFNELLEVTNMLRREQEEEARLQDKLRQQRM QLDQTDQMLLQAQQRLIDAQKSLAPDNSPEQMLMALRNEVKRNREISKDRLGFDLKERRK KLEQIERLLAEPPITLNELNNLENTLMALRRAVNQMEDKLKREAKPEDDKLTIYKQQAQL VAKKKERAVEDIKKVEEEQQLIEKEVFKKEEQIAKERGPNYKSKGEFKEYANQLKDKKFQ YQKLKDELKTLQAERATLERTEQILRKQKNELLKQQQELEKTHGIVGYSKKKEGLEKLSE ENQQMNLKKGQTLEEISKIVNEIQAQIQLKRPLIQEQLAEIKTIRQQYSDLEQVHKQKKQ EFDRIMLGVENDQSTLVNDVKKLRDEVYGLDRKIKLNKYSSEILEIKIQRLNDEVEYGKG GKQLSSQFKSNSEMLQQRILKLEENIKNLKQQREAVKENYEPSLRQMNYFSDLKKILNVK LQQLSNDQGQKQQMKAGANRLVIG >CAK64198 pep:novel supercontig:GCA_000165425.1:CT868030:405614:406259:-1 gene:GSPATT00006051001 transcript:CAK64198 MIQNEIAECMKKMTTYLDLNGYKKFKLFQAQPNYFDLNYEERKTLLQAPSVDHLCKSIIM ENTKYDDSYPDHEVNPKFICVVVQYITKLQGEKINKYFKQLQNQKYPNKQISRNKLHFRL TSDEMSYQLSGYQFNAITPFNMIQNMPLLISDRILNLEYIWFGGGHTDVKLRMDIKEMCT LYPNKVFYSDIIMQQQEQ >CAK64199 pep:novel supercontig:GCA_000165425.1:CT868030:406303:407493:-1 gene:GSPATT00006052001 transcript:CAK64199 MDYDDLYKVLPKDPKKWKLDDVTIWLKFIGLQDLDVNFRQNSVDGALLSTLDDNDLKEMG IAESSLKIKKLVQWIKIGFKEYSEFLKSLEKGQTFDSQKQIINQQQDENNTSNNLVVSNQ FKRSSIKAAIFEDQVQSIQSFKQTVQEDNNVHEIIRSTHMKQDSSPYLSNITNGNQRILV PKEGVSIGRNPENTLVLKEDYVSRQHCKIFHKETNGSYYLQDLGSSSGTYVQLTNPTLLK EGLILHMGLGQFLISKIKIQGSKCSVFIRVLEGIMENKNLDFELTQDQTALIFGRQMSMF ADDTHLSGQHAQFTYIEEGLVIEDLDSRNGTWLRLSPPHKQSEPVKLIDGRRFRLAFEQF FEFHSD >CAK64200 pep:novel supercontig:GCA_000165425.1:CT868030:407771:410035:1 gene:GSPATT00006053001 transcript:CAK64200 MNAQAVWEHKRNKQNKLLHIIVDSIYIRLALLCNSPLINYNAANTVIYGDGAQYYILQND QLVCTMTYHQSQNLGILNKFESSLQTIIANITYMKSTLNSDNSKIIQITLSLAAIEFVLI IAVINYYAKSISLIVASPIDELTHSLRNIDQNNIDEYFSERLQGESSKLIPDEMILLLRS VYDFIGIVKIANEAFIQGNDGQAIIGYAVANQFYLENGNRLGAGVIQNNMGVIHIRNRRF QEAIFCFKEAIICIENEWEKYKHKYQSVGIYEDELIIDQEYQNLSKIVFNRCFNLCEALS EFLLSEILELQELLFSHKTQRKSLFNMENMMYAQLENTHATRQKAVSVFNQDQDEEQESI EKIIKIKGNAKRNVLDLQKLNDIMFTIRSQFLGTHDFQNNIIIHNIEKVTEKEIEFLIQQ LWRDTIRTYEYCQDIMQFHLNKKKQKQLYITQKLIIGYLQYGQMSKSEDLILIAYEQYNK IQQENEDTELGHISLLEQKLYLSHGLVLYKKNLKLEAAQLLVKSLCVNGKFSSRDRLQAL VMLKAIFQHYSRPLVKINDFLIQYQPIAKDWIFILDGSQNMKQDLAIVRACRTLHNIIRN INSPNDRVSFGILKEDYHEFAPLIGKVDNAAYIDKIFQLIPKPKGICNSNLINSIADKYQ AHPNLITIGNDRYLTKDGVAFMRYKKVIVFACWKFEGIPIKEEFIIIKFGQRSERQLGEQ GNVLFEDEWNKVLNKYQQLLTEQNDSNYFLEQIL >CAK64201 pep:novel supercontig:GCA_000165425.1:CT868030:410094:410936:1 gene:GSPATT00006054001 transcript:CAK64201 MSLISNDVSSDSNIQTLNQLIMEFYLAPFNQKHLCSIQPRMMKMFENKIASDQESDIIEI FKICLRDYASELLQEFSQENFKQVEHLWEIHYRLQATSLKTEKLYEMYYSLFKINTPCPY DFFEIHFDIAKKKRPNLQDSRKVHQQYTKERMKQKVTLVKILQDQSLYQKPLHNSECNQF KPLQKNTQTIQKQKDKPPTQTPINVRNEFKEFEDRLTKLENSSNKLEPTFSASWTQKYRN LFLKRKK >CAK64202 pep:novel supercontig:GCA_000165425.1:CT868030:411051:412479:1 gene:GSPATT00006055001 transcript:CAK64202 MSNLSIRKIEHLVEKYIIVQSSIEDLLDNCGMLILDHNIKRLLPLVAYNESNTLIQELMK SFFRKLPLQDDISEDLEPANTIKDNLQSAIKVEILPEPQQQFITSVTKFRKASQKSSMKS SVTSFRKSSLNKQERQQTQQGSLHITFSPIPLQMDQEEDDEDRFYRGVYEMKKKQQEQIR YNKHVSEQQKVQIQQQLLDLKRKCKNGVFTFDNDGSIILTKQKAASNELKVHPETKIHAL NLKNQGQKLNLQTQVTNIVNSSIQRKQRLAKIINNVKSDIRMETEPVRVSTSFKTLNNQD LKSKSILKQLLNDQSLKLTKKEFSQLVGLESNIGDVLQQKLLRMTQIQLQRAQYIQQQHS RSITPLIQEEHKEIDKPFIQQNPHQGKIKLNDIRLADSMSNDTPEIYFRNISESQETKKN QTIKLLKQDLPFLQMNYKQSKYAILKEKKHQKINQSVKLRSYTTHE >CAK64203 pep:novel supercontig:GCA_000165425.1:CT868030:412607:413122:1 gene:GSPATT00006056001 transcript:CAK64203 MYHYYVIAGGMILGFIALAVLNKTETQQKKVKVEGEDDEEHTKLKQQNQQEQSNKFDSQT TNDDMEALRQRKIEADERKNKIFKNLSIEDRQLVEDYFKKQAKQYLTGEVTTSDRRAAKL INIVKYSLYILFALGLYMSLTIAFKTTSPLMIIENLKHLVRAFLQQLFVKG >CAK64204 pep:novel supercontig:GCA_000165425.1:CT868030:413695:415245:1 gene:GSPATT00006057001 transcript:CAK64204 MDQKSSAFHIYKQTLIRRNSVSISSVYSYDLKDKIGQGAYGSVFKAIHKVSKQIRAVKVI NKLNIKYKERLLSEITIMELLDHPNILRVFETFEDNENLYMVLEICQGGDVYVSKVLEKG NLSIDDAFKVYIQYMRAVNYYQGFKIVHRDLKPENFLFQKKDDLNTLIVIDFGIAKRGVD KLKTKSGTAYYVAPEVLEGSYDSKCDIWSSGVILYVILCGYPPFYGENEKEILTEIRNAQ LQFEGDEWQQIPQEIKDFIKVQICPAAQRSSPKDLLANKLVEKFNQKFQVDQKLISMLTI TQWVKFHALKRLGLYYLATQINSSDLKQQKMAFFLINTSQSGLITQAELASYLKVNKQDI QKVWPYLDCNNNGYLDYFEFIAITLTPQEYINHLQLIFDFLSQQEKQITQKTIKSIFDQN SNLDQKWTSISDTKSNHHQNTHDHQVNVKNIIEKDINFADFKAIMG >CAK64205 pep:novel supercontig:GCA_000165425.1:CT868030:416041:416513:1 gene:GSPATT00006058001 transcript:CAK64205 MSKTGVIIDEHQQYSSETSDRKVSTRKNRPIYTQTISVKRYHKIPLRTQQMLFEQVFKNG KKIKQAAKELKMNYSSAKSLIHYYKTEKRPVPDQVKKLIGQKKQASFCSIKQIKQDGAKL VVEIQLNHQIVNSYNYYQKLHNEVKEETI >CAK64206 pep:novel supercontig:GCA_000165425.1:CT868030:417186:417578:1 gene:GSPATT00006059001 transcript:CAK64206 MSLNNVYCVIKEAMDKLLEYLHENKHICQVCNGQHEFRNKFKFMIKDSKTLQSEQTEDDT SELEFYLQNPIDRRRYGSFRVFNPGVFLQWKQESINKFDLMYSQQQYYAYKIQYIQFQLQ KVGSNNLMIN >CAK64207 pep:novel supercontig:GCA_000165425.1:CT868030:417614:419603:1 gene:GSPATT00006060001 transcript:CAK64207 MSNQSDDENEVLQVELASDEEQRAEEEDERIKKLEQDKKSFMSQIKSTGRMNTNIKFDNI ESKINTLLENAEKYAMFLLHRHKRTQESKQKVQGQQRGKHRQIVEDGSEEEDFDDTPTVL EKQPTILKGGQLKSYQLTGLNWMISLFEEGINGILADEMGLGKTIQTIGFLAFLKEYKKI SGPYLIVAPKSTLGNWMREFKIWMPCMRVVKLIAIKEERDEILNRYFQPGKFDVCLTSYE GVNICLKHIRRFQYKYIIIDEAHKIKNEDAIISQNLRKIRTNYKLLLTGTPLQNTPHELW SLLNYLLPDLFDSSEVFDKWFEVNTEAKLKEGNETIHQDELEQRNLEMVQKFQKILRPFM LRRTKAEVERMLPPKQEIHLFIKMSNLQKSMYQNILIHNNPHEGDDKGFYMNKLMQLRKI CLHPYLFPEVEDKSLPALGEHLVDVSGKMRVLDKFLQKLSEGQHQILIFSQFTMMLNILE DYCNFRGYEYCRIDGETEIQSRDDQIAEFTAPDSKKFIFLLSTRAGGLGINLATADTVII YDSDFNPQMDMQAMDRAHRIGQKSRVMVYRMACEHTVEEKIIERQQIKLRWDSLMVQQGR LQQKQNGKLLSKEDLKELTTYGASQIFKLDGDDIKDEDIDILLKRGEQLTKENE >CAK64208 pep:novel supercontig:GCA_000165425.1:CT868030:419755:420723:1 gene:GSPATT00006061001 transcript:CAK64208 MQDNKTRNRDKRAMMIGTNSKKIQGKQIKLSEHHLYENKDRLQYLLQKEEDFLAQQKTQK KANENDENVDFGGLTQDERQEQKRLLETGFKNWNKQEFQDFITANEKYGKDAYEKIQEVI KTKSQDEVKAYAQAFWERIDGLSEKDKIVKQIERGQKLIEQKTNGQKLIEEKCKHFHQPK YELVFTPQLYNKFKSKYFSLENDKFLIYMTNEVGYGNWAQLKQSIRKEPMFRFDHAFKCK SENELKNRVISLVKVLDKEKENNSMGRSLVKNTYIEKPKVLQESQKKKAKNDEEDVQDGS ESVKKVKV >CAK64209 pep:novel supercontig:GCA_000165425.1:CT868030:420723:422009:1 gene:GSPATT00006062001 transcript:CAK64209 MNFLEPGSHELIQRRQQAKLDFLLSENRSLKQENDLLLLTLQKYRTNQLNEIFSFEIQQL NQQVTSLKEQLTHSQVQAFLNLQLSQQIEAFYLDLVHEQEDKILEQRRIIHDKEYTIQQQ EKNNTVLNDGVAVKCKDLLQLNEISLKLHNEIEHLKNELLKHNQYIQHYQVKIKQLNNEN STYKFELLKFRKALRNQVTFRKVKESLMNEYEQDLSDTELSSFSNNGQSTTETRQINTSI STQKCHELERYKKLFEQQVTTNHILQGEYNKIYKQNQQLLVTNERLCMNSQTQNKKLEKL KNEIIYLEQFWQASLNNTTNQIIKYSITYPDESKLLLLQRSQSCKQLEYSESTEMKEFKN YLLQLHRELYSKNRKILISRCVSEPPRFRQIKLTKKKKHLKEQHQGQQAQDLSMIHKKDL SQLSECIF >CAK64210 pep:novel supercontig:GCA_000165425.1:CT868030:422042:424321:1 gene:GSPATT00006063001 transcript:CAK64210 MRNRVLSKDDDNVKDYFSTQKRKQDNNVQFWQGYMSIALNSTEAGVRQFLTQMRRNGSFQ QIDKKQKPAVTEQEQLLETPNHSIVKSLDPQFPPFIRKVKEITSYEFLSQIYELHNRKQR NQSLIEQLIGKTNNEIIVFPKTIHRKNIQKRSQRCQSVAQKDIELDSMKGQLIQVKQVQV QPIPKETTPKPLKRLIPIIKLERTTSPIKKVQAPQSQTKKKLFIDDTRYWDLGYIKFIQC PSVQEINQIINFTNALQVLPAQHQKYYKLYVGRGNNHMMVKSIFSMRAQWTVGGNLEEDD FNFIWTQKFIDFPQSEIRPIQRSINNETIEGWIDSNDQALIRQAWDRVEGKSKKKLADYN LESQPLLNNLSNVKELLTINHQNQSIRIHNHLKEGNQLGDKKFLFLNLSKHCKENQIDLW TLIPLTFHIQGPNDESFTHFKKKFDEVSDDPTFKNIWIIKPGEDSNRGQGIKVYNNLNEI YQHISQQNHTFILQKYIENPFLYQKRKFDIRGYCLITIINGAKKVFWYKKGYLRTSSSLF SLDSLDNQKIHLTNDAIQNRMNGYGKFEKGNKVSYEQFQNYLIEQNKQNNTNYSFEELYK NMKQLTKIACASSINQINNTDQVFGFELYGLDFMITNEFKPILIEFNTNPCIETGCPVLA KIISGLLDNLFRFIIDPLFPAKKTNLDDFNSKNDFELLLQSQL >CAK64211 pep:novel supercontig:GCA_000165425.1:CT868030:424418:426352:1 gene:GSPATT00006064001 transcript:CAK64211 MKKLGRKLDFFQSPVELMIHKKKSHQSLFGTSMTILMFTCVLMYIINKFVQLGQRKEFQT LYSEVYYEEIPVFPLYSKNFTLQFAFQTENQKNYIDETIYQVNAVMVNRAQVINDQKPSV SSTRTKIPLSKCAKIGIPYEDLEDQLDDVDSENTYCLDWNNISNLSLIGTPEQENYTYIY ISFQQCVNDTQNINSTVCKSKEEIQQKLHRNYILFQLSSYNIDLRNYLKPNVPKVEEIQT TISSQVMKDITLFMQPITTLTEEGLLNELVRRDSTIRYLKTQEVIDFNSEEALASVLIRL ANTENISYRIYPKLQDILAQAGGLWEVLMLVFTILVKPIQSLSYKLDVINNLFNFEGQKL SNDEDGSKQILKRLTIIQDGVQTHENDPSNMINQQKSKTSIRFPRGRLTHKTTKLENGNF GWAEKSSQIVTNSPENDKLIQKGIRYALRKLFNIATLKLRLSPLDYIKYLKCGKKVGKFK QLHYSINKLEKCLDILFIIDKLQEIDKLKMILLSKQQVQLFDFLPKPLISLNPSNLQYNE SQMYSSLLQPYKSQKQKAQEAQLVLDELLENLDDPITIKLISLMDPNIFKLLQIQFDLKK RKASKITTPEILEQ >CAK64212 pep:novel supercontig:GCA_000165425.1:CT868030:426506:428869:-1 gene:GSPATT00006065001 transcript:CAK64212 MSQTLVATQLRNPEHAYSGLTYGSKELVDKLKKENKLGESDQLFVIINGYIFVFKGDADY RKNEIGANKYQRELLKMTISQEVEFQVFKLPKDKEYRLKVLDLEIDIQSLGNGGNQIELD DDEFAKLFKTQFRGQFFKTGQVQLFQHNQNHYLIKVTRTENLSVETDQNLKKFVGGGMLF DNTEIEFSVRSGISQLKMKNVQKKTATLFKDDFNFDQLGVGGLDKELADIFRRAFSSRRF SQQYLEKYGIKHIKGLLLYGPPGTGKTLIARQLANVLRARPPKIVNGPEIFSKYVGEAEE NIRKLFADAIKDQETLGDESDLHIIVFDEMDAICKQRGSVSSGVGAYDNVVNQLLSMIDG VNSLNNILVIGMTNRKDLIDEAVLRPGRFEVHIEVGLPDEKGRQQIFQIHTENLRKNQAL YKDVNNEELATLTKNYTGAEIEAVVKSASSFAFQRIQNIFNFSQRVNQQDDLKITRADFQ NALEEVKPQFGFDSNKFDLLLKNQLIDFGDEFQKLQKMLRGTINQTRFGKSSKLNSILLE GYQGSGKTSVAAYFAVECGFPYVKLISPECFIGMTEDAIINKISKIFNDAYKSSLSCIVI DNIERLIEYVDIGPRFSNSILQALLVLIKRLPDKTQNKLMIVGTTSSYQILKQLGVVSCF NLTFKVPNLSKKEEIRTVIYNYMNIPDKDDKSEKQKKQRAQIEKICSTIDNIPIKRLLML LDMVCTDENELNYEEFKSCYQMAQMNHS >CAK64213 pep:novel supercontig:GCA_000165425.1:CT868030:430054:430650:1 gene:GSPATT00006066001 transcript:CAK64213 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDDKTRKAQAEQAAREIKDRKQK QIEAQKKKPAAAQKVAQKAEVKAQQKAQKGAAKANKGKK >CAK64214 pep:novel supercontig:GCA_000165425.1:CT868030:430660:431243:1 gene:GSPATT00006067001 transcript:CAK64214 MEELCYTILGMGLGIVVQQIHEKLSKNKKQNKPQQQNMGTKKYLPSTPDMKKAYQEQKQN FLIRQASQQEVGDTIRKIVKIQGKISGFDNDDELNYINNSQEEIKEDNDSKEDNDSQEEK AFQPKTPNFQNKSSTSQSITLITNQLVSSQLSLGQLQSNQFSDSKKNIPPPVESQEQIIN SSDSES >CAK64215 pep:novel supercontig:GCA_000165425.1:CT868030:431441:431677:1 gene:GSPATT00006068001 transcript:CAK64215 MNYQELEQHQRRKQITLNDAMFTIFKRAMVKSPCFIPFIIIMTLLFAIGILHETGIKPIH EMFPLGGTTGEFLKSEQM >CAK64216 pep:novel supercontig:GCA_000165425.1:CT868030:432276:434179:1 gene:GSPATT00006069001 transcript:CAK64216 MLLTISSEKKSKFSISKVCQQGTDEILNQTNKEKMPDEELRQKFIAQAFKYVGVPYHSRY HDETSPHYNAPLYLDCSGLIRQVIYDLREYFGFTLGKWNQAYQYDLLDKEIEFQDLKPGD LIFLSGTYYDKSCRAFQHNIVHVEIYLGGERTIAARWQKGAIQEFETYKFESQNYYDIKY HYKSIQPWLEGKCESFCAEHPWRDDRNIWVSDKYSVFAKNLQQVLPADFVHKQRVYVGQG NNAELIKSYFRNQNYELMDTVQDAFKNDYFIKWVQCPQSINYYEFKPGQQIINHIPKASH NLGLKISMLELLRENQCEDVLPKTFRLNQITEVIEFLKDNEEGIWILKPYNLNCGRGIKF ISDIARFKQDLHQKRQYQIGDYYKVYVENERNKLKQEIQDHLGIKENVDPEQQLKYDDIN PMSIIQKYIEKPLLLDGRKFDIRCYALIAQVKPYTVLFHHGYARLSIFEYTLDDIENEQN KIIHLTNNAIQKTHPTYKEKKESSIWTMDHLEQYLQDFYHYQVDQIKSIREQIKQISHRI FNAGQKKIQAGGQKGEFELFGLDFIIDANLKVYFLEANINPALFTENPNLKQIIPSVVEQ TLDIILAIQNNHQYQLGTFEELIIE >CAK64217 pep:novel supercontig:GCA_000165425.1:CT868030:434333:437180:-1 gene:GSPATT00006070001 transcript:CAK64217 MYLIPNFQFLFDIKSNIRIRYVEVHLVQPFLLLYTTNQILQIWDYEKKTCLRAINVSLIE PDRVQEVKQLKFHDNQIIYRLFNDQSLYLQNSIMILTSDKLYSYKYCSDYSDLITNGPIG VSNKCLEFIDSQYIAVGGEGVKIIDLKQNLIVKTMKGYHQKGINQMLSYKQNQFDRPRLV ASSLDGTMACWNADTANEQPTFKFLMSKKGKQLMTANNGQEILSIAYDPYDFQIVTVTEN YITLWSSLNGMEINRFKPPIPIKELVHISHSNFPSQTYIGHTRSSEIYALYLIGKQQKKF DYVILLDLKNVSDVDKQIKINTITTNRLKSNLLCVATTHGLFLLQASEILQKYCFHHTFV CSLTLNQSKTFVDDTGLLKKLTNISQEQLKQYEVLNKQTDQSNLMYLSTSGKNVICTLTE FTQELTNKKEEWTVMSRQHKIFSFQTSYAWDDSKILISLSGRYFVIHNNNGQYAVLSINN KNLNYDMMESDLKLTLHFLSELEQLSTGIGNSIAWHQYKEELIVSVPLNERNQNVTIEEK VESQTSGFFNQKTFSRNIYNYSSNIVNFVIQIYGFDHTKKQSMFLKASINNLPQPERVFG VQSYYFIVTNSECNIEDQKLKAEIKQLKLKNGQFYQFQNNQLVPFGNQFISPLNVTSNIN ETFLLFQYEKTFSLLMKQNGQFIPILTEFEQLTDSYFWEDLLFYVTQTQIKMVIVVNQVA ITITLAQLDPPQNQLQSCEFLKANQLDGQYPEIQVRPNGQLKILMIHDSKLIVINQAQEI SFIHLKHALLEFCINLQVDDFSKCVDNASKLDQKMQKLIAQMFIAKNAIDQVQYLNMDLH ERLTLELDHNLNETQLTLDLIDQVMLTVADNKEKYIKDLAIKLSQQKREEELDLVIQYGL QNQLQILDNSLQIPTL >CAK64218 pep:novel supercontig:GCA_000165425.1:CT868030:437188:438063:-1 gene:GSPATT00006071001 transcript:CAK64218 MNQKIQVNLQVNLVQLLINNIKTLKWMSVRLGLLRWVNEKCPQMKASNIESMSDGRHFLC LLRRYFPEIEIPQFKKNSTVVSRLETLTQVAHYCSKLDNSMKVDILKIANKESNMILNLL KFIKSILDKTPIKKNIKKEEIPTIMKEQKKECQKISEEIVIVPFPKKQTIDQGIQTIPIQ EVQPLQILQEKIKKILQSKLQSPHLELEILQLLEKDKDVFNLQLFYQVKASRASMKPSKL TEFMSIHSSQFYNRGEQNLFDPEYSFDQNLASKKSVLEDSLFQVSDEKQNY >CAK64219 pep:novel supercontig:GCA_000165425.1:CT868030:438164:439421:-1 gene:GSPATT00006072001 transcript:CAK64219 MRTSFGKKVKINNSVGKMIEQKTQELNSPPLSTQQYQVQSNDLSWLSNEIEQEVDKILKI AKPQPLKIKQNLISSGNDIREEFFVSNNNTKRNSWGLDNQQELEKNINKGNHCQFQCEIP IQKKGSKNQVQQRDSFDYNSNKNDNQDLQERNYLFQGEISFAECKNNEKKQKQKKIKQFL DASFQFQEQQNNSFEEGIFKFHKQKPSQLKDRIYEDIPSPQFYQEKTKQIQMSQDLEKPN FNANLNWKKGKNRREAKKYTQYQTQEFKHLSLSIPGFYVHEKEGELKINKLQKMQNEKTK FFQLFELTINPITFIGPLKLRSNLKLCIRFKKGYTGQVFCNQDYTETIPTNQEDGKVLEN EKQLFLANNSSHITKLVCCLIKKIQNYRKLNL >CAK64220 pep:novel supercontig:GCA_000165425.1:CT868030:439786:440507:1 gene:GSPATT00006073001 transcript:CAK64220 MRQQKDGLFCQSQLYVKELCESLNVLEFLDDQANLSISQSFQPENQQLSNKGNKNENLTK SRPQAEIKQNPYGSEWANKVLETQFGVHLSQITQRKRPKWIIKKLKPVENDDNNEKQHSR LINVLKTFILRIKKVKKGYIEKQLQNQITEKQIAQLKIIDNLLVGLDSLYLLLEQISFKN WINKNMIIFYEFVKNLQSQFVSINFSYCNCYFNDKQMQSIFIIDKYIFFRL >CAK64221 pep:novel supercontig:GCA_000165425.1:CT868030:440655:440921:-1 gene:GSPATT00006074001 transcript:CAK64221 MSLTYLPFHNLASCPKQVIQFVQNPDLNLNDLNTMIEQLKMPKNYQKAIQSMQFLVSKQE NYLQDDNTIQNHDEEILSPKLLFRKVSY >CAK64222 pep:novel supercontig:GCA_000165425.1:CT868030:441134:442530:-1 gene:GSPATT00006075001 transcript:CAK64222 MERYRKIELKGKGSYGQAILVQNKQDRKFYIMKIIDASKFDIKEKENALNEIDVLKNLHH PCIIEYRESFVDRNKYLCIVMDYAEEGTLHQRLEQQKQKQEHLKESQIIDWFTQICLAVK YIHDRRIIHRDIKTQNIFISKGEIKLGDFGIAKSLINSEDLCQTAIGTPYYISPEVCQRI PYDFKSDIWSLGCMLYEMMALKHAFDAKTMEGLFLKIINGKYQPVPTFYSQELIQLLKDI LNTDPQKRLTINQILDYRIVRKSRNEFLQRKTYNLQKIQFISGQINKYEKHSEKIRHYQS EQKFVGESLADQIEKMRMDLEEQLGVEKFILIYNSLIRKMRKILQSVVMRIHQIKLTNYL GQNNQYFDFSLYQIYFQFYFNQSILNWIYILYYVEQIQIIKYVILIFKKQISFKDHSLLL FDQQIKFRQFINSH >CAK64223 pep:novel supercontig:GCA_000165425.1:CT868030:442761:444486:1 gene:GSPATT00006076001 transcript:CAK64223 MENKEEQLQLEVTIVELSSDSTLSQLLGFQIKSNHATITTTSYEICKGLNPINESHLISL ENRSNDLNYNKLELILLLNNEVAGRVQSNLTRLINSKFELQYDNDPQAEIEEEVFLNDNQ ISNLKVKLRLKVLNPNEEFSQRTTINTASSENQYEQNLVLSQMREEIELWKSKYTNIVKE LDSLGDELLALSIKEKGNLYSYLTTEINIFRKIMKSKLQHFLQIHDNQVDQIYLLKKELN SYRSKLCCKCSEPFLKNSSQSLSEKIQYLSSIIDKKQTEYMQLMKSLEVEKQEQKTLQNT IKILNQQQSQLRQALAKSKKQIEDILKLQAQQELENQQLHKDLTTKTQIMIQLNKRHLEL EKQNSKMNSDFTEIINLQKQWSLSILKSFENESSEKQQLVDALSELNILVKSLTSKENSS SDSKQVKECQCKIEQYIHDFHLQVTQLEQLLNQYRIKFLNQNTTSISRNTDEQFKFTLQL PLENLNEQGIKDAEISKYLNYQMEQYVQNLIQQKDDEITKLKQTIGDMLNLALELGNSVL IEQMQLTVM >CAK64224 pep:novel supercontig:GCA_000165425.1:CT868030:444879:446338:1 gene:GSPATT00006077001 transcript:CAK64224 MGFCFLFNSNITFGWDYKIIIVYACTTQFAFMISKLLSSKGINSYCCFAVLESAILIAYL LGIVYNKEVSPININFISYTLLILQFVILAITVLISRQSFESDAQDQSKQIQEILIAAIK SIGSLQIVFLSLKWSQQINWGWMQTLVIVWFCIGTMTLIEVCLIVDLMLKCCNNELQNKK QLIYGGAWVNIVILGFIIDTGLTFLGLGLLLDYNVGQVNLYGFIASIVYYLFQIFFYLKN QEELIQFLNLSEVQQPTRPSEQPNEQVTLKDRIKQIHRISISKVPQFMVKLSATYFRKQE INENQKNQNELGSSQDKKFRSISFSEIKKQNEIKKSPSSDLDGDLSKKFDQLLSSPRLKL EVSESMAPELSSRGQQKQNALCLVCYEKESNMINQPCGHGGFCQECSEQLLSKSNYCMLC RKPVTHTLLVQGVENRESLVEVVGIYQGGQKQN >CAK64225 pep:novel supercontig:GCA_000165425.1:CT868030:446886:447648:-1 gene:GSPATT00006078001 transcript:CAK64225 MGLQCAKPKEKKAIKVLESKQNQSGPSTLSTTKEAIDFVESQSLANLMRTKPVKEYLTEQ IANNQLYVDSCDILAERIEGKSQLILRSYNNYTEKALKIKAKVLEYYRNEYLNYINSKND TPKNREFIIKETIQLFVETTIILNCLMDKNFDDESELWWGGDYFGDRMKQLSLIADTSEN TDSITAPILQYLDALKCRILQVIPILPSQTKSITNSVAITNVHLTQFYKDLKLEFARDVE EEVN >CAK64226 pep:novel supercontig:GCA_000165425.1:CT868030:449451:450571:-1 gene:GSPATT00006079001 transcript:CAK64226 MTEERKAFEKQLYPLYNFYHKHKDAIQLKDANFKYQKKIQIFKGEDFIKFTTTYFEDIKN LLPDKKKDAISIAKYLNENRIIVKIDRLPDDPKKKWPRKVFDAKDQTFTNESFYTWNLVV KSKMNNLIMAGILLAVFVAFLYPIWPFAFKFGVFKVTLYLLVFLVALQVVRMVVYIISRL MGYAFWILPNLNNDSYGILGSFKPLYSNYKYTDGKLEISFRLIGIIAFIFLIYVIVQEPS YITGFQDASSQTIDDILDWGKDKLEGKQEPIHKRAIPDLSELMKQAEEDLANHQKNNTQD GLDQQQHIDDNNHTGDLGDVNNDDNLGDVNNNHPLDNDIQQNESQTDL >CAK64227 pep:novel supercontig:GCA_000165425.1:CT868030:450600:452166:-1 gene:GSPATT00006080001 transcript:CAK64227 MAEEIEPHIARKFEIIQKLGKGAYGIVWKAVDKKLKTVVALKKVFDAFHNATDAQRTFRE IMFLQELNGHENIVRLLNIIKAENNKDIYLVFDYMETDLHAVIRAGILEEVHKKFIIYQI LKSLKYIHSGELIHRDLKPSNILLNSECHMKLADFGLARSIAINEEDCTPPILTEYVATR WYRAPEILLGSTNYTKAVDMWSIGCILGELVIGKAIFPGTSTANQIERILELNGKPTEQD VESLESPLAAHILSSVTVTKKKSFQQFFQGASDDCLDLLRRLLVFNPKMRLTVDQAIRHK YIKEFSSPEEEIVCQEPIKIPMNDNKKFSIKEYREALYNDINRRKKEQRKKWQAKYLQQL GMNPDELIDGNQFINQTDQHQQQQTGSFFQKSTKMESHNSSQVVQKSNIEEIQQIIRQQQ IQSQQLKKSQSQATVQPAQKLTSQKSASNIVAQIYNNFQAQDSKFHQQQQFLQQQLQQLQ QKSLKKKT >CAK64228 pep:novel supercontig:GCA_000165425.1:CT868030:452191:453305:1 gene:GSPATT00006081001 transcript:CAK64228 MCTKLNIANSNSNVMLYLKLREGGCDKAQARLFCRKNFCQVEQMLYNKIDQTQKSIGPAG HKVLKLLPNRLQGDRGWQPGFMMKYDLGSGYRDSKDLVEEQTPAEEAILKQYDKYEKKKS AALLQKMLAVKNYADSLKPPKIDVFTKDSIDRIRKISQDLHENQQKPKKYYQQGDIKFYA LADYITTNRSGSLNDLRINFDPITNTQLKLKTENSQYLSPTKCFKRQATISNIEKGANLI MAFQNQTPINEELDTKSSFPNTEVASSLLRLNPHKLELAEEFNSTQYLFTPSSSHTRQTS QIDQNDHAVKCFVQQFYDKQYNDKVIPSTKRNLRLRTIENSEIQKIEQSKYLKKRQQKRL SM >CAK64229 pep:novel supercontig:GCA_000165425.1:CT868030:453417:454007:1 gene:GSPATT00006082001 transcript:CAK64229 MNILNKATFGGGCFWCIEAVYRRIEGVAEAYSGYSGGAEQTTANYKDVCKGNTGHAEVVQ VIFDQNKVDYKDLLHIFFASHDPTTLNRQGEDKGEQYRSVIFYHSEEQLALANEVIKELQ KEYENPIVTQLIKFQEFYKAEDYHQGYYDINQNEGYCKMVISPKIEKIVKKYKTQLKQEY Q >CAK64230 pep:novel supercontig:GCA_000165425.1:CT868030:454306:454749:-1 gene:GSPATT00006083001 transcript:CAK64230 MDINYLRYDNWHTDGTFPKITYSPMFDENTLRRSLFNLRMELGETLAVGFFDCQLMKIYR YYFRSLLFWWDRLIWSNCTRIVELSRYVRSGYCQMRTHKYEVHFALWAILKAPFLICCNF LNMSQDSKKILIGY >CAK64231 pep:novel supercontig:GCA_000165425.1:CT868030:455221:455583:-1 gene:GSPATT00006084001 transcript:CAK64231 MGSTCKCEVRKTEAPESEITYLSKNNHFKQQKKLNDQPTMACLMQNVRAFYIIYPKKNEN LIQLQQHLQEMNKQDEYEIEECFELISDNSYHNVESCFNINGISYGSFKMINPQSEKELR >CAK64232 pep:novel supercontig:GCA_000165425.1:CT868030:455799:457428:-1 gene:GSPATT00006085001 transcript:CAK64232 MGQAQTQQQQDMVLGNEHVVYLQKTATTAAHRIQGNQEEVQFLVKCPKCSSCQFDDQLGR ITNCFQCKLVFSVNDGQIQIRPDSTQLEDKRTFQLIETTLHNLESVTFYVNRTKLDPALI KDNVIDFQRLINNVLLPFFSVRQRVLELGIKFSIGAFEFRVVGGFPSRGIITKQTQIYCY GYYIQDTTRRVKILSKKPSQQLDFEIKSYFSVNPKDNQIIQDSTIRVNSQKLLILQCENA SGKIDRNSTIESIPNVQNLRDVKLCCIKFPAYFSTFQSQKERIKEAIRKIVINPYFVGLN RYLEKGQILRIWDFEFQVQMFEEQGLVVPNHTQIDIDLFSPIPQQRIQHNRQFDQLFPQN LVPYRRNDLQRQHAQQIEALHRLLNTFIILNENQQLLLQLRNNRTSEDQINQLPIRQISM EFINQHQNDDNHIKCMICLEDYEENQIVRTMPCWHYFHQECIDKWLHKSTLCPICKTEVD TDLQTEEISMQMQQ >CAK64233 pep:novel supercontig:GCA_000165425.1:CT868030:457467:457879:-1 gene:GSPATT00006086001 transcript:CAK64233 MNQDQFENEGDPQQQFLEAFKELLEVQNQRMYNGNDMLNQYCPQLQYPVILPTQIPNTFI INMGPYYPHIQQPQLHPQQTLQTRLAPQISQNQQHNHQNIKEEEHDDNKQSRNWLQQRK >CAK64234 pep:novel supercontig:GCA_000165425.1:CT868030:458130:459191:-1 gene:GSPATT00006087001 transcript:CAK64234 MSLTQTNTQKKQKPKLQLVVNVPDVQPESTHSTDAWKQQTDIFFNEPMYVIFVIASDQSL QFMHNGKTVADVAQLKCGRKYQEDRFVAIPNLNKNEDLQFFYAIYDGHAGHSVSAILENK LHDYLQKDCNFEDNLEKAILNSFEKMNQYILDCQDENQHLGGSTALCVINRQKDLYVVNL GDSACVLMTDQYEIEKLNQEHKLNREDEFKRVEKMATILDRHSIPRINGELAVTRAFGDK KHRQSGLIAIPEIKLHKIRPCDKYLILASDGFWDMMKNEELQQLIENWKRQEVDQLAQYL LDKAASKNTNYKKDNMTLIVVDIQSYWKK >CAK64235 pep:novel supercontig:GCA_000165425.1:CT868030:459497:460970:1 gene:GSPATT00006088001 transcript:CAK64235 MKIVILLVLVMSSLGRLTQRNDLFGKEQIVKAAITEWFTQKLDHNDPTSQEVFKQRVHIY NEYVKDDQPEAVILYICGEWTCDGIGKGLTFDAAQQLNAVVLVLEHRYYGQSQPFEDWST PNLKYLNIHQALDDIAYFITSIKANGNYNIKPDTPWIHLGGSYPGALSAWFRYKYPHLTI GGLASSAVVRAVACYHEYDMQVYLSALESSTECADRIQQVNQKIEDELARDPDAIKAAFG ASELQDIEFLSMIADIYAGMVQGRKRSKMCDRLAKGSTVEEWFLEVKDMARETVDQESYG SEFLRDITIDFSKSSRQWTYQTCIEVGYFQTANPNAEQSTRSQELVLDFFRQLCEYSYDI PIFPDEDRTNAYFGGLDINVDHLIFSNGSDDPWQHASITKWKQGKEYDVKYIKCKDCSHC IDLRASSPEDPPELTKARQEILATFQQWINEYQLQQQQKATIIE >CAK64236 pep:novel supercontig:GCA_000165425.1:CT868030:460972:461545:-1 gene:GSPATT00006089001 transcript:CAK64236 MNNNYQFVGMSYTQGSSLNQTQLSHSFARFPLQSSIMSVEEQSPQFAQLAQSQIYIQENS RFLEEESHKHLNQMIQQPQKQLEQQAGHKRMSSWQQQKSPQQQSQSKSLCASPLRQQYRQ EEPQMYYHQPYQPCPCELEQLWQEFLQMRMMQRSFRRPTQQCCHHQRGHFMPY >CAK64237 pep:novel supercontig:GCA_000165425.1:CT868030:461732:462175:-1 gene:GSPATT00006090001 transcript:CAK64237 MIRYISPRIMTFICDQQKKRNQIYAQNQVSHNQIKENKASQSIAIFKLQISILDRQIHLK LHLIQLIQQCLKQIPPFPQSEIDVISIYLRLYNILVIQQLYLVIVSYNLQMVTENKKISV KSDQRFIYLHSSSSVGHNLQFTIEMSK >CAK64238 pep:novel supercontig:GCA_000165425.1:CT868030:462203:463620:-1 gene:GSPATT00006091001 transcript:CAK64238 MQQRNDLLIEQQVQQNMNINPTIASISIPDDKPLSPISVVKPQQNIHIYNKDYEGKILML KRLANYQEQDYPNGTFYGQMMNGKKHGQGLMLLQGRVCEGIWQNDRKHGYCKEIFDTGET FEGEYQNGKPQGKGVYIRNNESYDGQWVNGFKHGHGIWKMGNDFYEGEWKFGKIDGYGVY IQNNNKYTGSFRNNLKHGHGIENFSNGDVYNGQFCNGKPEGQGTYIWNNGAEYRGMFKNG VRHGKGVWSKWDPLKEGHYRYEGMFENDKKHGQGVFTWPSGNYYVGAFVNDYRHGYGDMF WKDQYYKGYWERGMQHGDGELCKNGVIKKGRFENNVLTNHRSNSMWESGESNFKLAANLN QTFSHGMSGLSQRNRYMPAIGRKPKLIMRKGDKTIILDNLIINDIPIENDPDFLTICPEP VQQKKFKPKMNCSQILKQHFSTLRK >CAK64239 pep:novel supercontig:GCA_000165425.1:CT868030:463701:464274:-1 gene:GSPATT00006092001 transcript:CAK64239 MCTFQTKKHKFKFRTSLDLIWHLNYLDQNNQQCRFQSDWKQRHPQSNDYKYNPYHYQQRG WVYLTIQQNIMKAKFQIMTVIVSPNDDIDIAFQRANSEQIQMETLKTKPTIEQDTQSLIS EKSHFKSTIAPKQIIPIVPINHMETPLNEKKDQFQPTVLHLLIVGTVCLLIGYFAHMNSI RNC >CAK64240 pep:novel supercontig:GCA_000165425.1:CT868030:464320:465150:1 gene:GSPATT00006093001 transcript:CAK64240 MFIFFHLIVLAIQFTIKVDQVSCTCPDIPQEDICIETKVCKWEDGSCQKLNCTDATDQEW CDRILPNSYKCAWNQGKCDAFTACSDYIVSKPQECFEKWFCADGGLTASGLYACTDLNTD DTDEGQEIICQDVKIEEECVGLQQDGNYCIWDSTAQNCNAIGIESCGDASNTTQEYCRDT SCEWSESNNECMDLSCDLFKSEDKCNLYFSFDLSEVTRCLWNGTSCLDFDITELPSEQCL NMTLNTYVWNSVQSRCVECAESLILKLTLILILIYI >CAK64241 pep:novel supercontig:GCA_000165425.1:CT868030:465458:466842:1 gene:GSPATT00006094001 transcript:CAK64241 MSKFSCSGLYASNQGEHQEVMIITQSGDLQIVSKSGNEQMVYLPSNSMDTILCFAREVDQ SQQSGTFDLLHPESQIRVSFLLNLKDIEQLRVLMRGKQVFLDTQNYVVLGKLASDICNQR YLTLELIQARNRIQVQKKASLVLKDSVSRGRLGQLRQEAKMLRLLKDYRHKNIILLEEII TDCRSVSIVLEYCQGGDLLQLLQQKSFDIDVPRLMLNLLSGLKHLHDLEVVHRDIKLQNI LFKDSKNMDTLKIADFGFSCLKSQISFINPICGTPGYTAPEVFSQSSSYDEKVDIYSAGI IFYNILTSKNPFGNSKNISDLIQSNISGNYNQAYLESTYVNNPLAYDLLTKMLQKEPHSR PSADECLNHPYFKIQINGDFRIDDVKEKIQIKRKSKKQKREAQKQSRM >CAK64242 pep:novel supercontig:GCA_000165425.1:CT868030:466894:473436:-1 gene:GSPATT00006095001 transcript:CAK64242 MLRLNFLLILANQYLAVLINVEESCTCSQIKSKSDCANIGCRWDNDKCVQNDQTENEDTI SVYCRSFDQATCPKMKGCAFVDNKCEQFSGCSAYIGSTNDFCQEISLQCTSNGNVCQDPQ NCGEYKNQIFCNQNINIQGGQCKWENNQCRDLRCIEASSELKTDQDCNKFKIGCFTNGKG CVEIRSECSSYGITDCNQMIGSDGYCARTASGCGARQCQSAPSDYNSDEQCARYQFGCIT TGKGCWLKPLPTCDSYVGNDCNKMTGSEGLCDNGSSNKCQSRKCENAPTTYNTDEKCKEY LSSCITKGRGCDTSLKLCSFYTGTAEECNQYIGSDGRCTLGTNGCKARVCEEAQLKTDRE CNEYQKGCVTDGVNCLSSRKLCSTYNGTSTTCSKYEGSDGRCYSKNTVEGNCSNLVCTDA PSEYKTHEQCMSFQGDCLSNGEGCVKKTDCVSTIKEFTCKATASCQWNQICVTKTNCSYF KSISLCNNNLGNGQPCFWVNGVCRIRLCTDAPSSYTTNDQCKKFLAGCVTNSQGCLPSTV PCNQYQGTTETCINFYGNGLKCTSTSSVKGACEVLLCTNNTTANNQKQCDDFLSGCKFQG SSGCIDKSADCNQYTGNQEACSQHSGINGTIKCYQDTGTTGPCRHLKCGDNITATTNEQC TLFLSTCVSKGLGCIDQTEPCTSYPGSNTTDCSKFKGLNNTKQCWWISGTSCVNKECAQD TASTKNEQCEQFLSGCVTKGIGCIENTEPCTQFQGDEAQCSNLIGNGYPCVRKSTCMDRS CSDVINPANNDQCTEYLSTCRFNGFVCIDAQISCTSYIGLNYQICQQITTISGGKCYLAS GTGTCQTRSCTHLTSASNQSDCDQFLSGCIFSGSNCVAKQNLCSSYLNFQPNACEKAVSL STGLCWRSSNSIGACMARTCSSVTSVLNPYSFSQQFCTQYSDGCVYDGVKCVDKQSSCTS YTSFVQSACKTAIKLSGENCWLENSALTTCESRLCSDKVTSSNSITCQAHKSSCRYNGTI CVDAHTACNLYTSFTQQACKDAILADGVTKCWRTSNSVGTCEDRQCTNVTVATTTQKCLD HMSTCRFNGSSCVIQQTNCNGYVGFTSEACKQVTTTSGGLCWIPATGSTQCSDRTCSNTI DITSAISCPQHLASCRYNGVDCVNQQASCNLYTGFTKLACQSTTTIGGTPCWKQTNDIGT CEDRSCSNTIENPNYVNCGIHLSTCTYDGQNCYVVQISCNLYVGVSETQCQNLRTTAGDR CWFDSGNCVQRTCTQNQSGMTDLECDSFLPGCRTNGKGCVDASQTCSQYRGTSSSCLTFV GNSIKCKGQDSFGYCEQKQCYDNTISVTDIQCDAFMKGCVTKGLGCIDKSDPCNNYVGNQ LTCSKFVGNGKNCWNDSTSTISQCRVRLCSDNQTYNTDSQCQDFQAGCVTKGRGCIVQTA KCSDYQGTQLECSQLKGSNGTKPCWNDIAATPLMNCVVRNCSHNTTAKTDKECSQFLSGC VTKGIGCVLPQPCSLFSGTTKSCPLFSATDRPCKGQSSNSVAPCAALKCSEAPNNYDSDE LCNIFKEGCVTNGYGCVSSVSCEDIQTQQACQKKSQCLYTGNCTQLSTKCSVFSSQSVCV NTPVYTTIGRCQWELNKASNIGQCRDWKCEDASESVLTHEDCQRLSSQCTSKGKGCIPIG ACSSYTTSTSCSSAKTTDEGGLCIWEKTYCRKLDCNDASKEFTTDAKCQEFLSKCFSNGK GCVNQNYTCKDIVVKAKCTKDYAGNVCLWFQQSCIAYSQCADIQSSSRTLCQQHSNKCTS NGQTCIPISQCSKYINQTSCSLGIDGECGWIDNTCAPFKKCSDFNAITTELCQSYSSQCI SDGQGCISKTECNYYQTETSCLSGGTDGFCIWAEESCRQRKCTDATVNMGIDITSYTSCN SFVATTKCTTNGTNCIPIGLCSSYKEAGCHHGTDGNCVYGFQDGQTQGIKSCRVKSCQDY QDTTTELCKQHKKACISNGTICIIKNKCQTYKTKTACNSGGLDGICVFTPSVADPQKGTC TLMTTCEQAKSDLVACKSKQNSCHFQASLQNGIEVTSCINHTCATVANGSICKPVYSFDE KSITVCVMTSSGCSSGSPNQLSASNCLEQSLNTYSWNAETNLCQKCNTTTITPPQPTNTT DPNTDTFTRMLLFSIFIFMQ >CAK64243 pep:novel supercontig:GCA_000165425.1:CT868030:473707:474185:1 gene:GSPATT00006096001 transcript:CAK64243 MSSISRIKKELQNLQDEPLNGFLISILDDNNLFHWKICFSGPQGSSYENGNFTLDVLFPE DYPLKSPKILFLTSIYHLNIDYNTGQICLEILGQNWSPNLTIRKLLLSILALLYDPNPNS PLLEDVNTIFKNDKAAYLQKAKEWTKKYAN >CAK64244 pep:novel supercontig:GCA_000165425.1:CT868030:474826:475445:-1 gene:GSPATT00006097001 transcript:CAK64244 MDQDQSIKLEDIHYTNFTDYSQIPKIMPMIDAELSEPYSIYTYRYFLYGWPDLSIFAYYN NEIIGVVIGKLDKHSKSGRNRGYIAMIVVEKKYRRLRIGRILAQKFIDKIKEKGGDEIVL ETEQTNHAALRLYESLGFAKMKRMQNYYMSGNDAFRLKLFLVDPLDSHQKSE >CAK64245 pep:novel supercontig:GCA_000165425.1:CT868030:475637:476569:1 gene:GSPATT00006098001 transcript:CAK64245 MSFLSSSFIGLTCILSSKRSFWTFRLISFQSLFECIDLALAFIYNRFFMQQETLEKQCNI IGYIMHSSWLSSFCCCLLIIYQLRLLLKVDNLYETLSKNLFKVIFLFWITSYLWLLFPFL QDEFIPTGWNSFKKDCIQYFFCGFSKSWKIYLIFWTIPQIIIFVSGIIIARKNQKLAAIH LSTFQDEEFEIIQHLQVFPIIYGLAWFVNQIIRYTDIIDVFVKWIVFNDWPYAFYVLFNL IFELHLIIVLGFFLYNYNFQPGVEHKVNLAFCFVLPKKG >CAK64246 pep:novel supercontig:GCA_000165425.1:CT868030:477175:478770:1 gene:GSPATT00006099001 transcript:CAK64246 MNNSQKSKTVRSGSARVKSAIERAGLIQQREQLREMLISKFSKDFAQGNKNKEVLISQIV NEYFANEQVTENSLKQLKARVQEAIQKQKQQSQTQQNPSQNIQFDNRSEQKSQNLRPQSV KNSVRSERDPDQYSVTSSQFEKPPKSVYVVDEEDEWAALVKFDTELHTKERQLESQRQAE FKKKMKSELDRQLEEKRRRQEGEKKQEEAYVKLRDYQMNVYDQREDQKKREKERKQQMEK EQRDRQVREEEKRRFLEKKRQSEQDAVLVQRIQEELKQEQREILQKKEIEKRKFMEMMEE NEKNRQKQIHDEIAEKQLEIDMQRKYIDLQQKLEQERELEKKEREDKIKKIMSDFSQTVV KNQKDQIKAEDDKMMKAILMQNQIEQNDEDYKKRQIKQQQQEMRQYLIKQMEDKKQKIKE EEDLNRKQAQVWQQDLQMYQTHEKQKHNYIKDVNLKHQDILKQQIDERKSQQRPRNKMNN EELMHNKPLLRELADKQDAIKVRKMNIG >CAK64247 pep:novel supercontig:GCA_000165425.1:CT868030:478862:479417:1 gene:GSPATT00006100001 transcript:CAK64247 MTHSYGLKKGTRSKFAKPFRGHGNISIRKTLQTFKRGDFVDILVDGAQHKGVPFQYYHGR TARVFNVNPRGIGVSLQRRVRGRYVEKRFHVRADHLRPSKCRQEFVKRVQENDKKKTEAK KNKQVISTKRQPVQPRGAAVVIPKQTTFQHPKAFVEII >CAK64248 pep:novel supercontig:GCA_000165425.1:CT868030:479723:480507:-1 gene:GSPATT00006101001 transcript:CAK64248 MSAYADSLREVSAAREEVPGRRSFPGYLYTDLSTIYERAGRVQGKNGSITQIPILTMPND DITHPIPDLTGYITEGQIFIDRQLNNKQVYPPINVLPSLSRLMKSAIGKGMTREDHPEVS NQLYANYAIGKDTAAMKAVVGEEALSAEDLLNLKITSSVQGAYEVRSIFKSLDLAWRLLR IFPPEKLKKINKRNLETFYYRRKEDEEDFDGPQQQQQEK >CAK64249 pep:novel supercontig:GCA_000165425.1:CT868030:480513:481418:-1 gene:GSPATT00006102001 transcript:CAK64249 MSHHPKAHDIAKVAAARLNAAAATRNYNVTPRVDYRTVVKVDGPLVILDNVKFPRYAEIV NVCLGDGSVRKGQVLEIAGKKAVVQIFEGTSGIDNLYTHCEFTGSTLQMPISEEMLGRAF NGSGVPIDKGPPVLAEEFLDIQGQPINPFSRVYPQEMIQTGISAIDCMNSIARGQKIPLF SANGLPHNEIGAQIVRQASLVKGKDVLDHSDENFAVVFGAMGVNMETARFFQTDFEQNGS MERVVLFMNLANDANN >CAK64250 pep:novel supercontig:GCA_000165425.1:CT868030:481469:482983:-1 gene:GSPATT00006103001 transcript:CAK64250 MDQTKKKIKTNTITLLPPDTRVFVTLFNPYGEEAQKSLELSVNTTKEELQQILQSLVKTE EDQVYTFFHNNIELVDTLNQLIASDPEYKLENTFSLTYHPQSLFRIQPITRQTAALEGHE QPVLCVQFRTHGDVLATGSGDTTIRLWDMLTETPIATLKGHRNWVLCLAWSPDCKYIASG SHDGQVCIWDVETNQLKGQPLIGHTKWVTSIAWQPMHLDEECTLVASSSKDGSVRIWSRT SLSCLISINAHQKAITKMLWGGQGYIYTASEDTTIGVWNKSGKRVQELKGHGHWVNSIAL HTDYTLRCACFSEGNIEINRKQAKVLYDKMLSGKNERLVSASDDQTLMLWEYTSSKPKVR MTGHQQQVNHVQFSPDGRYIVSASFDKSLRIWDGYNGNWIATLRGHVGSVYQVSWSSDSR YMLSASKDSTLKLWSLQKKKLAFDLPGHADEVYAVDWAPIGGEKAGSGGKDRRVKIWRH >CAK64251 pep:novel supercontig:GCA_000165425.1:CT868030:483006:483937:1 gene:GSPATT00006104001 transcript:CAK64251 MNQGNQDKRKQRDYEDEDSEEEEIEQPINVHNKGDMEEEINLDFVFLDPNQKQFHSIKSF INGYLEGISFKSSELANIICDQVELGTMVGQEDEDNVFGFTTILNIGEIKSNAIGEILHY VDTKSQQYNKQHQQLQHIFQTPKKIGLFINERILNLAPQLVPILHNQLKEDINWLQKEDP SNPLTNLDYLLVITKCFKDNDQQKQTQKKSTSDLNDLIFQKFEDYVFLEKSVVSFRFLSE GSKQTQQVSDYMKTDQEGQICYRLIYLIQLKDYLAQIVNIEKYVQQ >CAK64252 pep:novel supercontig:GCA_000165425.1:CT868030:483951:486703:-1 gene:GSPATT00006105001 transcript:CAK64252 MLCDFIRTFQNSYNKFISEQYQSWKKQEFQMEMDLIQIPTLFISFIIILIKLIINKSDSE LYIQLSINCFSHLFLGILIKKNHSCKAIMTHIIRICQIILINWYCLYFYMSNPSFLLIYT TFNVIQFNMAYTILVKLVTLGICMGGYLVSVEDKSYECLGLFILIMIIHMIRREGNLMSF YSKLQALFLIIDTIPSAMCIVQKNKSQLLYSNKSFENLSLTLEGSNNSDTDQSPDRFINY QKLQLHFLSNLQLTELNQQGISIIDFDDELQIDQYKIRKVNYKKEALSPECGSRQLIFNL SDNPPINKKIQYKQEFFSSFKMKDDEQVLKKQYTADISLQVELRHQPYMCTLSSKKKQRK RSSNRLSINRPSTPTSGKLSFEQMLRDNNNQKNQNSSPSTMNHDMKFLIHVIENYKLFDE EDDFQIFFVHELNPVLLKTSLKKLESVKKNLLRSISHEMLTNLNAAYGYIKQCQDRLLKG EEMSELLACALRYTKLQLFKVQDFFDYRYLLDNKLKLREDKFELINAITECVDLIKDQIY RKQLSYQLDIPENCAYIVKGDRQRFCQVILNLLTNAIKFTIQGGITVEVKKKKNSPSCFG IIDEVQSQLSQEDSNILEIYIKDSGLGMDEDELVTLRKKLHVADEDEKVSKYSVGVGLGL SVCKSIIRQLAPENSNLLFVDSVKDSGSSFYFSLRYIEEKSSIQKSQQLTIPYQDAQSAS VKVLNYNKGHQLAAINIFKRSNSNDLSIEIPKKRQDQCNCETILIVDDEQFNIDIIAHII KEMGFYTETALNGKQAIEKISKKLQDKCSGKCTGYHCILMDINMPLMSGWEAVQIIRQME CELQLVKAIPVVAVTAFCSIQDQEKSINEGFDGVIIKPATKEKIKGCFENLNL >CAK64253 pep:novel supercontig:GCA_000165425.1:CT868030:487559:487945:1 gene:GSPATT00006106001 transcript:CAK64253 MEQIKLQIKATDMDEDFIKKVTDITQSAMQQFRTEKQIAHHIKYEFDKFDQFGWNCIVGR NFGSHVIHQTKKYIFYQHKELYFLLWKA >CAK64254 pep:novel supercontig:GCA_000165425.1:CT868030:488195:489821:1 gene:GSPATT00006107001 transcript:CAK64254 MKQANNSNQLQQLDPIEVIDNLETLKKVIKQKDVQIKNMETEFQERLNAFQLAVARDQDG LKQDIIQFLLKDNEQQKKIEQLHINYELLQNQLEETFLESNNKIKTLLDINDKKDHEIEQ LKQQIKDTEERVNQLRKNSISKDRNMYETEAVINKKVLDLQKREADLTFKLKQALEKNEQ LSNYFENYQSEKEVKLIKQVEHLKKQNSSQINNISSNYEDQVKTSKEVIEQLQDHILNQK DRFSQIQDENQKLKCETEIANNYYQLLIGFKIQSHYMIKQEKNYNKQKTVRKYQREIINC KQTKFIFREIQALQIQLGEATKYIDLTTNLQQRLNQKDQKISHLLQENQQLQELVQDLRL QVSSVEQHFQQHADEQRKANILLSNKEFELQTIIESQAQNFAEQEQKLKQGFEELWLDYS NLQYNYELLKQKSGEDSFRLKLYKTNDKKASRAIHGSQTRMTIGEKDHQNNQETIKINDD AFLKTLESFQKQDQAKQTNKRLDRNVYVRRG >CAK64255 pep:novel supercontig:GCA_000165425.1:CT868030:489912:490771:-1 gene:GSPATT00006108001 transcript:CAK64255 MDPNAQINERYTKLQEKLGALQFEVDNTKDAKIDEIYDRINKAQSELKEIINNYSEQLGQ LSGQFQELTKQFEKQNDQYSSSHEKKLKEIKNLENKLQKKLDDDVKANREQSEQVLSSYD QQVQDLLQQIGQEIKVKNQQVNIINTTLQNDLPALWQYNVQENQERVQEDQSIVKKASQE ISKLFDQANQGKSQREDAEVGIFTMLKEVVIRVKSELEEERQLRMDGHEALLSILEEAYD KMEETHAKVQKQKAAFQESK >CAK64256 pep:novel supercontig:GCA_000165425.1:CT868030:490800:492468:-1 gene:GSPATT00006109001 transcript:CAK64256 MNPYKENAKLSLDQLIEKRCGTGKYQILALSILVFIDLNDGCELILMSFLMPILKTEWQL TSLQIQTLTSIFYLGMVLGSLLTGFVADRKGRLKCIYLSCIIQFFMANSFLLCTNFYHMI FARLGYGFVYGFSIPLTTTMISEITAPDVRGRFLIVINFFVSVGKIYAFLLAFLCLENFN RGHWRLMMTLSSTTSLIVGILAWIFLMESPRYLMASGQVVEGLNIIEEIIHKNENNKGLI SRIFKSVQPEVSSDPFKGSKYGYISAKERIAIEKWVTKVFRSENRGTLRELFNKNNKSTT IRLWIIWFCINFMYFGQLLILPFILGSKQKTFVDYLTTVLGEIPSIILSLLIVEIPFLGR RNTMAISFFFATVMHVWSYYASWPYFFARFFMKECWAMLYPYSTEIFHTSNRTLGFGSSA AVGRIGAAISPYILIPLFEQELHLPFLAFAVSSVVSTFATITLPYDTVGKSLDFQNSDGE VESNKEDEIKEIMMVLIEKKNQN >CAK64257 pep:novel supercontig:GCA_000165425.1:CT868030:492668:493162:-1 gene:GSPATT00006110001 transcript:CAK64257 MSKLYDTNRKFNNTKQRWETLTANIGNKENIQVNNKIAATKTIKKCTTAITLEKKKPLPQ RTITKYFKFTNLKKYPNLQLYDSKGNVREFLCYDDKVLKIPPAYNQTISHSMDNDCQSDN EQVESAVRQLTYFIESTLNQYKQHSQ >CAK64258 pep:novel supercontig:GCA_000165425.1:CT868030:493329:493986:-1 gene:GSPATT00006111001 transcript:CAK64258 MRQLQQIPKVTKKVIIINQKSNMISENNNLQNIQNVQVNNTDRKNVSVEEQLPNQFEDNM QFESQQSIQEMMILYQKNCAQLQEFQSQIHELKQRVQLIEQKFQEIEATNKKKKKRRTAA EIDKNFKCPYKNCEKLYGSDVSLNLHIKFKHNGGNKSERQKIIKQLQAGEISEKDIQNIN LPPA >CAK64259 pep:novel supercontig:GCA_000165425.1:CT868030:494337:495985:1 gene:GSPATT00006112001 transcript:CAK64259 MSAFRAFMIYDYQRSFFFLFIRRGFQVSPKWNECRKGLETKLQEMVKEPKDAFEQSSPYG VFKCKYDKKNKCYFILLSSNEVQEVFQNSVLDEIYKIIEKAPNYSKQIKKEELEQKESET IQNLLETKENEYIKKYGKVKPFEESNESKANGQNQQKSMIQSQPKVVSQINGKQNQRPPS QLQNASAIYNQSQGINSDKDLSSDTLIKIFRCFLMWDYNRQFFFMFIRKGFPIDKIWSQE RVKLENQLRAKQLDPNDPKAKPKEPPELFDYRGNFGQYKAKYDKKAKCYFILLSRFKIKE DPQIKLLDALYNEIQKVDKYITVISLSQIQMKYDDLESKKKGVLEKIIDEHEKEAQNQVN QRFIDTKVIESKLGILQSPERNPQRDSEESVSPQNGRVSINDTSQKQIQMLNQNQTEQTQ QQESRINNSKLQQQKSIFNQQQQPQEFEQQKEKLQTLDLQEAQGDPDPSAKQDNLDLNSN QDDPEAIPINTEDGLLAQRISLYYTKPLNVSQYIKSGN >CAK64260 pep:novel supercontig:GCA_000165425.1:CT868030:496581:497111:-1 gene:GSPATT00006113001 transcript:CAK64260 MGSACQKVLSEKSEKSENQEIDDFDQRDYQIEKEQYPLKVHKGGRKALHKSKRLPKNIEC DEVIQESMIYSFDQIHQQQKSDLQKSTYSNSEKNLFVQEDKKQLIGIMKQQRSYQLIQSS LKGFSTLNSKRQLSSPKIKDHQARHVRFKLPKSHKQQQRTHSKIRNRINSQPSYNL >CAK64261 pep:novel supercontig:GCA_000165425.1:CT868030:497284:498850:1 gene:GSPATT00006114001 transcript:CAK64261 MQAGCKITLHLACRKLKDKDTFSKSDPQVWVFGKQFRNNCLDNNWSFLGKTELIKNNLNP TFQTAIILDYIFEVKQPLKFEVRDVDGEQFDELGFVESTVGIIFGSKNQTCILDLSLGGK LITMCEKNQDLNELYIMQIQGSRLKGSWCSAPNPSFKLFKCTNNNEFFAYESEHIHKTSN PQWKTFQMKQFKIGNKFKLEVYNSIHNIIGSSYIEIDQLKKQQKEYTLNNNGGGITFSQF ETIFKFTFMDYIQGGTQLNLSIAIDFTQSNGDQDQYNSLHKIHSQNQYAQALTSIGEILL AYDYDKQVPCYGFGAKLNFPNLKLNTVSHCFPLSGDPNYTNAQGLDGIMQMYQNALNYIQ FSGPTYFGPLIVEAMKQATMIKQQQLNQYLILLILTDGAIHDMDQVEQYLEQAAFLPISI IIIGVGDDDFTLMKRLDGDQIDGQMMQSQYKRDLVQFVPFRDFKDSMLLAKEVLEELPDQ LVNYMEMQGIKPGAPPFHDMQGFGMTMAQQQQQI >CAK64262 pep:novel supercontig:GCA_000165425.1:CT868030:498900:499235:1 gene:GSPATT00006115001 transcript:CAK64262 MNIFRNDLHQSNILYSFKISGITYLSVGLVRVLLKRKLKKQPLLQLPQLEIFCSLGFGAF VLQRLNSKEMKQYDKLQLRQIQKQNQMEKYKQSFKDYKENVQLWTNSQFWH >CAK64263 pep:novel supercontig:GCA_000165425.1:CT868030:499261:501690:-1 gene:GSPATT00006116001 transcript:CAK64263 MFFNFGRFFGFGQQPKLDNLLKKENLTIECIFNEEDILQELKGTSSSKFADFLIEHPQEY LKMINYIVEEIPDSCTEKNRCIKYPFYGSEILGSENEKLINFLFEKPSEQPSDDVRNPIE DEETEQVEQLEQNNNAQENEIIRAGLLENLLKLLDSDAIIVTTAGYFAKVVNAIIHKKGH CFWEHLKHYPDILSNLFKHSYLKHIVDIFEKLIILEENYEQSTEYMNERSALLQRLVVFL KGKQHSQLIVGNICELFVELYKKSINQFDTQNQELKSMLAQFTVTTTPLFFMNLALATQQ SVVYNVLNVQFEFLNKYYLSDQQHDVVINLTQLYKPVIQLFEKALQQVDSFKIPFISADG TEITPLGDGKLLIIQFIVSLVNKPEFYSYFTAEVFSLIIDLVKQHQTNNQLHLLFERLIV ALVETNDEFLHRLIFEDTNLLLFIIQNNEEKARQNKFGFQGVLTRLSNYLDCNKNKSASF QVSLQLLSTIQVDWDEYIKGLTNVNKVEQEWILGINPKQREQKFIEEIISPNIKEDTSEQ NRGVQGIRKCISSDNNDVVQPKDVDPEGVEIEEDIEQDSEEKQNEDGTTGEQQQVQQVEP ETLKEFEEEIVTQIIENNEGGQEINQPDVETQEQQQVETKEQDEKNEDEQIQEQDSNNQI ENVPDQEVQHQEQQNEVLVAQSDQQEETQKLEQQQEPEEPQEIIQDNADLKEDEGEKEEI EEEIACSQDTLEDQTIKNEEVQQVQKIEQENENENKEEPKQIE >CAK64264 pep:novel supercontig:GCA_000165425.1:CT868030:501726:501972:-1 gene:GSPATT00006117001 transcript:CAK64264 MGKPKVTKKAAKIVKKKIAKKSTGPRPTRTIQATVAQAQATTQPSAGQRKLPRQNRRNKQ AKGKSNKKAQKK >CAK64265 pep:novel supercontig:GCA_000165425.1:CT868030:502549:502719:1 gene:GSPATT00006118001 transcript:CAK64265 MENFKPVKTELYHKVECQAQGTQPDSDNRNKRINQNEEEFYKKEKRNNIDKNCLRV >CAK64266 pep:novel supercontig:GCA_000165425.1:CT868030:502946:504305:1 gene:GSPATT00006119001 transcript:CAK64266 MNIYKTLGKVNCFQFSTSATSILNKLGQNYYIKNYGNTFNQRSIQEESLKIGNKTCRLVG LPRQGDQQELTQKMSNILMKDKDKTNFLIQIDPSPYLFAKRQLYKQYHSKVDPILNDNLF EQLPTLPIDTNELKIDLPIFDSIHLIRANPTLSPEQKQNILGFLNGEKLGYFQSTFQTLQ EQKNQQQELTPQLLSESNEMSKRLGQLFEITLLYANQKSNVDFSQFGLFQALYMSALKGS KVYMIGLSEIYQRIYIGIIMQLPDVQEMFKEFCEESLLNQYNNLNYFFEFTTMLWIEKAI EYYMLYSINRFLKYQEEDEVTVVVDSLHFDPLKTAIQQQKNKFVSECDNSYFYEFQYPSP EKQETDEQLLEKHAIFDCILENQMWREPCINNPFPYLSINYNQMNTLEQKEIQSIFYKYH SKYSLIVNQLKQQNKQ >CAK64267 pep:novel supercontig:GCA_000165425.1:CT868030:504335:504682:1 gene:GSPATT00006120001 transcript:CAK64267 MQQRKFVKDYQQFDGFDKVGINFLPCTISKGGECPSEQYFKPQDDKVVIHGRELTKHVIV PPKPLYIFQQQKDGTRVIKGEFKEVNKWIFYGNTQHAEQMFQFPNLMDVLHKPVK >CAK64268 pep:novel supercontig:GCA_000165425.1:CT868030:504802:508748:-1 gene:GSPATT00006121001 transcript:CAK64268 MSTELDGLKSEVNDLKEMVEMLESEVQSKEDEIKVIKTNLQKKDQEIASLKIKLAGIDSQ TNETDHNQNQLDQLQGQIVSLQQQLSELKHQIDDKNLEIEVLKHDHDEELNNLINQKKET QEQSQTDGEIEKLQNIISQLQQEKNELTIQYNLLISKTQYRKEYSKQNQQLLLNSQKIES KNNENSADLIQQQQDEVKYQINKTSQLKFSVVQLETHEQENHQLEGDAVQLKLNQANQLD NLGTLLNPMESPRYDQNSQNNEELEQLQNTITDLQKEDQASKAKIEALCEEIKQLKQQLQ QLSQHNQELQLDLEQKKIEFNEESAKLSSLEDELIAYEQKYADAIQNKQKISTEYQLAQQ SLQQLEMLQQDNVQLQLLLNSKSEELEELKMHNKFETQYLELKEDFEQFKEFVQEQFHQK DETLTQQAQQLLDYDTCKEQLIMLNQQCELLQEQLNRQKENNEQLQDQYQNSLQQIQQFN TNNLQFSDIQSQNQFLKQEIETLKLDNLAKLEVIADLETQLKIQQQLGEESPLKLQKLQS EFSENRSEYLSHKEQCQREIHQYKQEKALLQSDLQNLKEMIDALLSQNTQYQPKGKTVEE YYKKLLDFTSDDKQDHQEEELVQLKQQLQLFLDKSFADQQCQIKPEINEQEILTEQIELQ EKNTQTEQIESSLSGSMWLNNDTINSKEDQYQQMKKKNEDLDQLLKNYEKEVSSLKQQHK LLQKKLETNQKPNPQERLGKRVSLFEQSQPRKSKQLHLNLCESQQIDDQQRENVFMKELI ENLKKDNKQLSLQNEDLQQQLNEIKQLQEKNQEEQNRLEEQLQSQILLNQTRNKSVDNNQ TIISQINNTMIQLNQIEIFLVVKSQKNFQFPYIEFNRKQIKFYSQGKTRIEKQIYETFTF DDVFLDIQKVRQYFQFYGKISLDQSRMFLIVGQQKTQKKFLLLMFLDLYYNSIKEQKLKQ NLKIRLQIQYQERIGNNWSTLDQLSSDLQISNENVNSSLQQLDLTIQNIKFYLYGKSQQA KILGKEKIRQLTITYSIIFKEQTYTQQFIITTIPVVPRSQFAQFLKRICNHTATTQFKYY CLLTVNPILLHYNQTVDIMYLAKMVYAQNNQEDLNKQMKIDDESVRQVRQANGQVILKNQ EIDILKRQAEHFKKQYNDVLTKQEAKQQLYQQIQIQLQNVVVDIKLKMSQSRNHKTNKTP CPESQFYEKLIAQLENLSRKLSPIQQVLNTDRSISPSIQNQ >CAK64269 pep:novel supercontig:GCA_000165425.1:CT868030:509101:509834:1 gene:GSPATT00006122001 transcript:CAK64269 MQKQQDEQEDGFVSFMLEKDDSQLINPEETLLKQNNDQDYNLQLSRQFYGQRRESTSVTI TKANKLEQINPAILKQKIIQRAIITNSHRRKLAASVPTVSEQLQKQIQNLLSSRKPKMQN SIQTSFNNKLTLTQYINQIKNSNMYQNPFKLELPIANKKINIFEPRTYRLRIEDSKTDQQ NQFTQNSHRSTLNSSTKSTAKIEKIYDISYPIKTDAGDDNIYKISYRYKQQ >CAK64270 pep:novel supercontig:GCA_000165425.1:CT868030:510186:510874:-1 gene:GSPATT00006123001 transcript:CAK64270 MSAKNYRLIHNKTLPTFQYQNEPLQVNDQYQVYMSTQPNAVEQLILKDQAKPKTSILDKF MDTDKSRSRTAYNPGFLQQNSLKNEKPNQSVQFAENLQTPQYNYQNQNQNNINQLSKKTV TLKNLQQTLLFDERTNSVPKAAIQNHIIDDIVKNGDIILKQKGLLNQVQNLKRLKLLKQY EFNHYCLPGIGKSPYVFNDAHSKSTNPGYSRNKEGGKFFTR >CAK64271 pep:novel supercontig:GCA_000165425.1:CT868030:510901:512868:-1 gene:GSPATT00006124001 transcript:CAK64271 MQIIDQKDIQDSVEKYILDAEQDQSEVFVLPNHQMNILSRNAVDKLQDNIQSSIMKLNQL LMKAQDITLENHKNKDLCSKAVQVVQVASIGLMQILNIKQLLENKVLAVEQSVSSLNITC TTNQLNQYSTNLVLDYMNHIILEKILELIDNFSQLQKEQKRGLAIYLAKLQSCVEILPGA TTVKHDDLYAIPQDHQDWQMINPFIEKKQLASDEQIKKSYEKASFGVLLGTAMISKGSEY SGELQKSFMEGFGALYYGLNKKKMKSRADHFLVEAKKEDAFKTWNLPETGIIKKFLPAIF PSISFNKKIYIPKLFRKITKEYILDQYNKGTINKINNDCGVFFPEQMITIDELLKNDLNQ DRVQVRLLCHESLKFKGQDGFMSMFKSTRGKEFNFDKIVIHIHGGGFVAMSSRSHQTYTR KWANNMKVPIFSIDYKMAPDNPYPAGLDDCWQAYMFIITFIQKYFNIVPSKVVLVGDSAG GNLVAALTIQAIKAGVRVPDGILLAYPALSLDMKSFTPSFLVSLDDSLLHHTVLKLCLDS YVMKEFNPTIDPMLSPSAVSEEIVRLFPKTRIVVGTYDPLHDESLRLLLKLVQQKKDAKL IEYQSMPHGFLSFDIINGMKEAKQTVIDAQNCLLEMLN >CAK64272 pep:novel supercontig:GCA_000165425.1:CT868030:512995:514478:-1 gene:GSPATT00006125001 transcript:CAK64272 MNPQQQSKTKVFNNQYSIVKKLSSGSFGVVFLGQDIVSKQDVAIKVEKEENEEVKSLDRE VQIIKILDGSEGFPKYYWSGEDLGYNILVIQLLGKDLAFHFKQLKKFNLKSVLTIGIQGV NLLERAHSKGVIHRDLKPENMMLGVGNEISKLYLIDFGISKVYRDASGRHIMFKDQKSFL GTTRYASIAAHLGHELGRKDDLESLMYILLYFLRGQLPWQNMVNVTDEERTQKVGELKLS LENELFKDQVPEFQKIYSSIRRLQFKQEPDYKMIVQELRKAAENLNIVIDGNYEWSEIKQ STHYQSDTNQNLSKQNIQFNNSNEMKKSIEKQLSGAIQHGQKDYLNMSSQNFLAPPPLSS RNNTFQRDDIRKNSSLTQQSSIGNYCQSLNPNYQKSVCDDPIGSRQDILQKKESFDDERI SNFEGKEIIENGEGQESLLQKYEKVKKGIIMYLFNLQFSNLIQSLKKKKK >CAK64273 pep:novel supercontig:GCA_000165425.1:CT868030:514514:514818:1 gene:GSPATT00006126001 transcript:CAK64273 MSQFTKAITPKKIFMVEKGFYSINLEDGTIQKLGPEKKNYKLPSHSQNKSLNVGKDLLIA KGQQNQIATQRNNQETRKNKESTRKLNSQRNN >CAK64274 pep:novel supercontig:GCA_000165425.1:CT868030:515110:522883:-1 gene:GSPATT00006127001 transcript:CAK64274 MNFIFLFAGLLQTLVAYYKGNYLFTETIKGTCDFTRNSQYIFDYKDLGGTKMDDALSIGF GFWLYFDTSRQELLYNASNLFHLSDNDNTYNYRIVSFGLKKYGVNSTLELFQQDQLLKQG PSINVNTENLMDDKWLFVYVSYDFLFLKYSLGVFDTDYSVIYNSTYSCPNQLKYCTNETL PQPFLKRDKLKLYIAQNGPYRNDTIKGRSWCGSVSGFQYYLDVQMVSNYNLQSLMYGYDK MGVYLNYPLTQMSGNQLYDFSGGEQDVQHDITVDWTDQALTIGQPLSINIPFKRFLYDRF TLAFWVKADAGINFAILQRMVDSVVMFELDLTYYAIDNYYFFTVMRENLKFSINVQFSVS QWNYFVLIFNYDKMAPEDGTLTKLTVYKNSEDPQSFDLTIPVYYPVQDSDILIFGKRDAI PVGKSLKIVNFQLHQGARVFNHKSKEVGDTSCLLSAPKAFKYNCLLCADGYFLSGTKCIT SSDCTTLNGVTDAVRKICLPGCDIKCKTCNNLTPTVCLQCINNLQLSGGVCSCPNNGYIG TGKQIKCKEFDEVEGTEIGIYYAILNYVKSGFSYRKDELQISFKDIFSSIPSVIVAMKEI KFSKGDSYRLMATQITQETFVLQVEVWDQTIIENLVINYFATTQTDLFVLMQEEYDTTST FGTGTGNRIHQIQILLNEWNMKLQYPQIIPFYNGMQFKQGVSNVTLTYTTTIDTINKYLL VDFKIKDDLQINKIYYSFLLISEEFETLTQSFNSQINVLWITQNGEHKQNYTHYSFKNYQ PNHFYGLSIMGYKSADIKNIAIQSEFNSQINKYKLYATTSDYTVVDQLLIQIIGIGVNCQ TYGCSQCQASPVDCVHCKDNLLDPAKQCLNCAVNQYLDNDYACQPCKWNCLTCGDDKQCL TCPNGVNRYDDPANNCPCQNGYYDNGVTLCLSCDWRCEICDKSATSCSQCKGANRNADKS CQCDDQFYEVGTDLICKNCQEPCDLCDVLGCLTCLGNRVVDSSKKCVCPSDGTPIPKSQY CETCDTAEVLVTLDETTESLSIFFIKANTSYVSQDYQTFTPQELCIKIIASSQYPRFGLS PKCVYNGTNIDIEFGNESQINLMEEIQLTDKIFIKDGCKTPIKKYVLSVLQLPTVDIFQP QFTIDGPLYPVYICKAVTLSVTNIKFAGKRQLSKIDWKLKESDANQTIIDSIQLILSDVN HNNQDTLTFNSKVFQNFTNYTFEVTALSFATKTNTQTFKLQTLGLVQPVIQASITNFNHY FKQSLIIPVTVYYQICQSSKIVEQFSNLTLYWVQIESTPADNKPLIGDTITEQISNKQHY FKMQPQDGYPDTRYLIQAQLMYQDTIEIFDQQVPDQTDTGTGTDTGTDTGTGTGTDTGTG TDTGTGTDTGTGTGTGTGTDSTGTGTDTTGTDDPNADEGAVQEEVHNYKTVDIYTYLNFT VDIDYVGVSVIILGGDRFISEGQSLTLEAQYWDGLDEGSSYNSDSNIGLLWKCIQIATNS SCVLNDGTAVVFEENATSITLEGEIFKIGQQYQVSVTAYKDLIKRYFTYVSNVICSFKSV DELIPEFPIAAQTRYIDLKQPITFTFIPNSNLIHYYNYTLIIIYDDQEISKQFLKYPQKT LKLSDYLPTALPVQTCTIQIIQQSLSFYQMAILPIMFNYPPQNAKITISSYTGVAIETEY NITIDEAEDLDLPLQYRLSMYTSQESYQRDLMQSNNLNQIVLTDYQFSNSFICRLPSGFD SSQTSTPYIVLMASIKDSRGAVSNVTAVLGNSPQYKLWKAYLISVNDFKTSVTQYTGKLE VIASSLELLAFYYEFQNNNFNYTDMAPTMRILYAKLKSQVIEDLEAIYSRNKILNLYDRT MFQMYLLNETEKQNLIQTKLQSIIDVSFQFKTKLLAALALSQQREYYGDLSSLIDIDYAH FTLIEQLNQFSAVLSFKLNVTDIEFAYNTLINTTLKMWRLNHTELALEMDAQEEEEKTNG LTIDQQLTKYNDVYKFIQPYTVEELFGFSNLTISETLAKYRSQFNFTQKQLISITDSLQK LCKINESPLEYNTQFVSIKLQLLSYAYFKDQFNLNDNYRLLAQLNSTYQYLIVVHKYAVN PYMVDDEFFNFTGTYAFDQTFPLYIPFIYEVQNKTIMNLVDELEANQFSADFIVPNSSLS ILNQYQCAQKKTKWISDDSNCTRRVEYLTKTFQFKVWCICPYFSETTLIRPFYVEEIALL KPQLLTYFNFMEFIIGEYLVALTGGLLLLSILGVIMDKSNADKIKLEEEEMEKERIQIIF SYRVKKRRAQQEEEASKTFLSESKSFQNNTTQTEAQPIYGNSDIGIQDLSIKFILVGILK LEELVSVFLYYDDYVLRPIRFLAIYLKLIVMMSVSGVLMSEPNIYYQYGIIILVSEISAL VVKIVKAIFSGKLLQIIVGTIASLCILTISFFSIIDRAIGKEKQYLEDWMQFYGICIGIY FIILDPIQSIVKMLLYPWCRKQIETQQETPILHLVYFLITQNDLNTYFKIGNEQKVIEDQ EIAEAEKDQFQEKKEEPLQDI >CAK64275 pep:novel supercontig:GCA_000165425.1:CT868030:523069:523402:-1 gene:GSPATT00006128001 transcript:CAK64275 MDKNLEVADISSIKPLKLSNNSDLIYFNIAKTIDINILQGLEIDLQNKEVQVFQNGDVSF GVQVIEDITCKQIVPLFINHGKFESKQRLVSVRERNQQGREHL >CAK64276 pep:novel supercontig:GCA_000165425.1:CT868030:523511:524059:1 gene:GSPATT00006129001 transcript:CAK64276 MKRNSYQRITQKSKIRQEIINYRNQGLSNKEISRIMSQKTNKKISEKTIQSIKTVKRKSK YAEYRQITKSTILSYILEYNNHFIYSTDQTQMRKEVSELVKDKFPQPFSHFSQRTHQRLL NGIIKKVESIRNYKSSKLPQNDSEFNYIFYDTQSEEQQIQSASQFLFFQESYPSFQEEQQ YF >CAK64277 pep:novel supercontig:GCA_000165425.1:CT868030:524712:525718:1 gene:GSPATT00006130001 transcript:CAK64277 MSQRLELLQKQMPSFQYTVDGGILTKEERQFYEENGFLVVRQMFSPEEIKEWTQRFREYA DGQLERKYGMQVVRDISLVKRGGGKQLGEEAITKIQDWQEDEILFKFCRNPKVIKYLSSF CGPDIKSVHTMFINKPPNMGKTSRHPIHQDQVYFPFGPADRIAAGWAALEDANRENGCLV VYPGTHKVGPVEHCYPDWKEGVNKAYWGVKDMPPESAPRIHLEMKAGDIVFFHPYLFHGS GENKSSSFRKSICCHFASANCQYHDIKGTFHENLAKDIIAYASKKFGHVNYLDIWRFKCR LVQGKPDPLGL >CAK64278 pep:novel supercontig:GCA_000165425.1:CT868030:525906:528026:1 gene:GSPATT00006131001 transcript:CAK64278 MDSLKMQQFTEKKLVNLSEKIEKKVLYYLSSDEQEQVYKLFSFFYSNNLDQLNAKQLGII IKYQEQLNNIIEKALQNPPNQEDLFELLQSINYRNCWSQVHQDHINQIKKSIDQINSQEN ELDFHLFNQILIASESINLDNFIRKTLKSHKIHNVLKKQVPVQITHLNIIIRTVGDQYIS STLWKLYQEEILRLDLAYLSQQQFVYDHLKRYYLLTSDTAKDLTHQYINKERQSIIYINL ILEEIQRNLENLNDLKIETLLTNCNLDDFVTLNRQIIRINIDSSSDSFIHHYLSLIKEEL IYFKEETTQTQTEKLNQFIRLLASIDGFKKLKLQKKQNNPIDEIYQIIEEEVFSFVCKNQ YELDLDGTQDPFAIELLKTQKQKSGKWKEEDNQVTILIADIDTVNLHLIYQIGDYFNKNQ QGRMYFHYCIAKQLAVNFQKLDFSKQCDVLYYLAKIDKIFMIESNQYAIDYSQLQQLNLE DLTKCAIQLLFYNQISLNVDKAITNQLVDILHQNLNKIEDLKIEYQIAFYQAYELMRIEF PSIQVQELPDRYKQIFDEYWLYLQKETEVVVSDTKKFLDKEEYHYTYMKQVLIWKFLYVF EQDRAIVICLSDRFYLGRTNLNLIEIGILKRVLRLHDYKLRILDKHEYEKFETRHEKNDY IYEKLKYLSREPKKPKKPYFNI >CAK64279 pep:novel supercontig:GCA_000165425.1:CT868030:528511:530033:1 gene:GSPATT00006132001 transcript:CAK64279 MIEQIEGINIAVEGCFHGNFDEIFGTIREIEKQKKIKIDLLLVCGDVQTHRNKHDMFNMA CPIKYLSMGTFYKYYEGKEAAPCLTIFIGGNHEASNYLREMYFGGWVAPNIYYLGDSNVI QIKKGNTTFRLGGTSGIFKIFDFNNPKLEPFPFKSEQLRNVYHTKQIDLFKLSLYEGEVN MFLSHDWPQQIHQHGSTKELLKKKQNFEADIKSGRLGSQPHKFTLERLQPDFWFAAHMHV KFEALVKHKTGRQTKFLALDKCIAGRDFIQVFTYTKDKKDLYEQYAYNEEPIELFYDSEW LSIVHTTYKLLTIWDKIPKLFEYNPVLKELQIMKKDKYEEFLNEKRERMKIFGNHQLKIP HNFEVTTPPYDEQDNTIKGLVPPKRIPLNNQMKSYLNLFNESGQELNGLIFYEPDQPRVI GEFVAPDFLQKQIELENQKEILQQQDLKQQQLEEPSSPKDDELNIQDFPFLQ >CAK64280 pep:novel supercontig:GCA_000165425.1:CT868030:530181:531889:1 gene:GSPATT00006133001 transcript:CAK64280 MISLVELTEHRATRSHSQYKLNLIDFDDFAYNLNKTIYNFQKCSTGKNHDKLSKFRKSRS VLKADLQKKYPSVYKTFNRNAPGFDENETKELLEHIIQLEHLFVQYNKYFPDHSNPILMN NYLNCLSLNKEKFFNNYTQNISETLQMSILRNSQQRIADDGTDEVVIKYLINEINRRNKY EKNMDLIRSDQTKFSKLSQNYELHKKTNQLEQTKSNLLVTSMKLVFLNYQNQSVYVRFPL INKNKQLYVDMEKLLKQGDAQDLFKQWSQYVDITSSHPEIILLLSIVQDLLQEDSWIISS IMQQIAGKQIFKLERIILQIGALNQFCSNCLSNIDKSHTQISELLQLKLQNIIPQFMIRN TIKLEKHIMIMQNSQDELNKGYNRHSIENQLLHLNNLKMCLIPQGLFSQLCFIHRYCSEN NQNDLEKAMESELFEQPHESNFSHIKKGFIRDGSRKPSMNENKGYIREDVNQPFLSQKLT EMKFASTIFMDNVDKIEWKNIIQNIKEEKKEQKEPGSSQSQSSNISFQLNCEDEQFRRQM KNFLQKQQEKMQ >CAK64281 pep:novel supercontig:GCA_000165425.1:CT868030:532035:534386:1 gene:GSPATT00006134001 transcript:CAK64281 MTSVVPFDQEAHQLNASSQPNETMIEDYDEFRRPPILEICLKHQSATQFAIADAKVDLNK NNLCPCCGQPIILTQLPLTASILDFSFNGPGVSLYFDFLMFSSVLISTFIIVCGIYDLIA STLGDTCHKLNTMGELECVDNFYSKFAKANQDNQHPDIAGSVLNFITTLILIILLFLYRK RINLLANKIDEKSILASDYSIIIENIPRDAKEEEIRQFFSKINNVEYKIQKICMGYQIQE YLRILKSKQEQEKTFTKILECERLGKPIPPRLPNKVDLTKTLDQLAYQLDQYEDNFQNSF QFSGVAIISYNTEDEANAVCKFFKATRLHLILSQVLRVIGKKDIRRFGDNVILVSKAPEP GDILWGNLGVTLLEQYKRKLITNLATIFLLGICFGILFGLSYGQFSLTDTGKGEQLSQAE LIAITILGVVASVLISIINNILAIIIRKFAELEAPTTKTEYDISAAKKLGFAQFLNTAII TLIVNLVIVKEGEKSYYAVIKQGGLNQDVMLIFITNGIMPWLMALLDPFYLYRLFMRYQI QLQGQACNVTQQEANEYFAGPMIDLSKKYAQLGKTLLFTFFYAYLLPLGPVLSLGSLLVI YWVEKILLLRRDSKPAPTGSEMAEEMIDFFGEFTLLIYAIGCLVWESILNDAIFPLTWAQ FAIAVLNFLVPIDTIFDLFWKTEDISTQEFYETQCFKFWDDYDRRNPITAEKAIETFLKN TTALPQQQVDGRGNIVLKNQEFKKQEKNKKAKNKHNKED >CAK64282 pep:novel supercontig:GCA_000165425.1:CT868030:534415:536946:1 gene:GSPATT00006135001 transcript:CAK64282 MNQSSIILEENKYQSAQQEEEERMLTAKACDLKKALIYRKFQQNERKEELEDINQLFDER QLNILNDLANDNGNYEEQNQATTLRQEVNKKLQTVMEHGMKRHSIYIIKKPVENKFRFHL EVFKPEDKFRIFWDLFTMLIIFFAILILPLDISFTIESLFFDNFNYASIAIFSLDIIINF NTSYQQKGQYIIDRKLIAKHYLMAWFWIDLVSTFPFDIIINASTQEIIHSDEIDENQVNG NQDNKSQSEQLANTLKLLRILKFFRFIKVVRLLRVLKLKKIFSKFEDYVDFSNSMISLYK VLKLTFIMLFVAHWLACIWHFIADQENSSDSYSWLRAQGLQDSDWYVKYIASVYWATATM TTVGYGDITPVTSVEKIFGIVVMLLACCIFAYIMNSIGGIFVSMDYNEKLIRQKMGQANQ FLKSNDIPKDLQARVRKYLEYKYEKESTQVNEKEALDVLSYSLRVEVLAAVNTDLINNSK VFKQNKFEKELLLQLPFELEEQIFGPEECIFLEGDDPIELENGQNIEDRCLYFLNKGQVM LCIQKTFTCLKTLDKGATFGELGFFSNKSRSASAYTLDFVYVQKLKKKKFTEILKKYQTQ NQFFQMNKHIIELGEDYSPLGLPCFGCQQINHFSSTCPKLHFVSNYDRKQELIQELQQKQ KKFTKEFKRLEKIRYNSRGCFTLTNEVANEIKQVYSAQEEGDEDRLIKLQQYLTYINQTQ RRRTNTRRLRKIKNQQQDEFERMQIMTAFFTQFNIDEIMKNYNDRVDNILNSQQQAQERS IRTQYYSIKSNTKKSRIRSMSAERAVFFEKLIEKYGGPSLFLEKLNQDN >CAK64283 pep:novel supercontig:GCA_000165425.1:CT868030:537344:539232:1 gene:GSPATT00006136001 transcript:CAK64283 MTEENLLYAADYFAFLGKKISDHEIQSMLPFFDCEEPGHQGNALDQVCLDSECKYKGLCC VRCQYQKHRFHPNSVYPLHTFVSQLINQLSSRKIILEEQKKKCNLYRDVVISILRDYLNN IIEHMSIIVASLHKYFTSFENAFETTIIKSHIIAHSLIYKKHIPKDKFEKFVREGIKGVS FQNQEPKKTVSNDYYILNQSKPTRLEEKLKQEYGNIIINEQEKAFEMTSDYIDIMQNVAQ KLQTILKKSQDYLAVEVDLNKLSPRIPKFELAQLQEFDQRFKKQFQVDGAFSIFKGIPPP APQKKEQEQIVKMNTSAIPTLNDYLHKETQNTIIDPSRQKCILGMLFETLSNCSILKLGS VKSQQSEVSCFVPLNSELIAIAARFNPYIEVYRIQDKKIIQKIDTKSQQGVKCLLAMSKP LLYTNTTAESEITLVVGQYYDSGQLLSYQLQIFRNEGRYTINSQPAGQFHKPDQQSAATC FAELYSGEFFVAGYSTGSVSIYHIQNPQALHVLNFLYERPVQGISIVEPGKLFMTFSQDK SRMHMINSINSERVVHNVSQVKMLANNTFQIISTDALNDDKSTLKLIHFVCGEQYQIELY QEKNIMEAIRKSKD >CAK64284 pep:novel supercontig:GCA_000165425.1:CT868030:539238:539716:1 gene:GSPATT00006137001 transcript:CAK64284 MRMMKDDSKLSLVEEGSISNFRDLGVQNSVKDLIILEPRKKMSKIWVLAVGENNKLQLWE VRRAMSQNIKLWEKDLEDFLKPINHSIIKGKMIAESQEYTTLKYFDVGPKVNILRVTENP QNGRFNILIGVINHESKTDVDIFDVELMSY >CAK64285 pep:novel supercontig:GCA_000165425.1:CT868030:539742:541147:-1 gene:GSPATT00006138001 transcript:CAK64285 MASSKKQKKKINNQLSKKKTIRTNIRKTKCDQLAQYVKKFQNQFKLNQLDSDKQEIIPSY QSIPTSYQLKGKKKFQKKEAVKGLVGLKNLGNKCYMNASIQLLSNLQPLSDYFIEDFQLT EINRKNPISTQGLATVAFANLIKSLWQMDIQKLTVKGTPIIIPEEFNKIIGYCNKSFSDN TQQDAQEFLMYLLDMIHEDLNRVTFPIKSVQLREYSGDCNQRELEKQAAETWGDYLQRSK SIIVDLMQGQFKNSLKCLSCDNYTYKFEPLMYLSVPIPESEECQLIECIKEYVKEEQLTN GNQWFCENCHKLVDAIKKIDLWKLPTILIIHLKRFKFIENGIKKIEQAINFPMESLNLSQ CLPKLQKEKPIYELLGVICHTGTSDRGHYYTYARSKENFNWYLFNDRQVKQVNFTEIPQE DAYLLMYGKSTIPLIKRQTISFPENWPHVIK >CAK64286 pep:novel supercontig:GCA_000165425.1:CT868030:541768:543324:1 gene:GSPATT00006139001 transcript:CAK64286 MNQYHLGFIDPKIENLYQQQQQSNSRSGVFRFMSFGLMITYTIRVMYCLIEKSDFLFYYK LAMLLYVIVQYIIVQWQPQLTRMAFLITNICTMGLVIEEESSPEIQNLKGANLMTVNIML VLSGEFFDSVIQLIVITTLRISLPYITTNNQNYMILTSTILANLSFLFYAYTYHKAKRSQ YLLGLVENGWDKIFYELVKDPYILLKFSYSNLNFTVQKQNRFPFKNCLDFDEDIPQSDQE ERTIRHFLQNASLGKTSLSEHIYGSIKKFQMNCYEHFNQILRVRFKRQLLQIEMSIFQAK NPMILLRINSINKHSIKQLRKYKKRFIIYNNTMINLLSKVEQDTKNSIIIKETRRKLILV KLIEELYDHQYQFNMVNLQFVIRQIENLYPDKNIQFQNANKIETLFTIPNALFMILLSVF ENSDKELIQCNLINLKEEMEIMIEFNGNFQAHKILKIYQSTKYHIRLLVSSLYISPKLVQ FILFSEPLCSSNLDIYTYEYDDLLSSEH >CAK64287 pep:novel supercontig:GCA_000165425.1:CT868030:543354:547259:-1 gene:GSPATT00006140001 transcript:CAK64287 MAQYRPLNDGQQNFTQATNPQIIAGFQALRMSVDLGMQQSESARKSSMKAQALVSRQSQM LNQMKEQKIMVKDVIVPVDAPTNTKPDILKKAQNKGEEYRNMDEHKVDVMTLANRLGTSK DEGLSDDQAVQKNQQYGDNKLSEKAKTPWWIKLIKEMIQPFSILLWIASFACFALYGVNP DALGAKSNLWLAIILIAIILLTGSITYNQSAKADALMEGFKNFLPQNCIVIRGGQRKQVP AEKIVPGDIVEVKMGDKIPADIRIIQSREMKVDNSALTGECDPLLRVTECTSENPLETKN LAFFGTLCKEGSGLGMVIQIGDKTIMGQIADLATGGETPETPLNIELKRFVILISCIAVG LGVLFLILSLVVEKASVDTAVGLSYWYYCCKFSLAITAKRLADKQVLVKNLEAVETLGST SCICSDKTGTLTQNKMSVENVWYDGLKRRALNRLIAGKNAEYEYETSDPTFRDLHDCAII TSEAKFNIQAKDKQNINWLDTPTIGDASETALIKFFQPIEDIELTRQRRQFAELPDKSLA KMPFNSTNKFSLCIVNWETHDSYYCVYIKGAPEKLWTFCSYLLVEGRNQPIDEQITQKFK QVNLAFGRGGERVLGFAKLHLPRAEYHKGYQFNLNSVDTLKFKLEGFTFLGLLSLMDPPK VTVPQAIKKCQSAGIKVIMVTGDQPPTAGAIAKQIGIITGKTVDDLLDENPSMSYEDAFR QAPAIVIHGDLIVQALEEEASQGENLPEHLKDRKLRSWCSKPQVVFARTSPAQKLMISGV TGDGVNDSPAIKQGDIGISMGISGSDVTKDAADMILLNDDFASIVDGVEEGRKIFDNLKK TIVYLLTSNITEVFPYVGEIAIGLPLPLSNAFILTICIGTDILPAISFAYEEAEIDIMTR KPRKKDDHLVSLRLITHAYLLQGVIATSAGFFSYFSTMNEYGFPPKLILSFMNTPYQQIP WAPITLANGQPYAPPPTSWVWDMPNMNNPFLTQQPYSPNWQMAPVLVPPSENQIQDTVGF QNIPLNWINPELIYYDLRYIFVTYNSTSNRWFPVFEEWNNQFSDQLCRYFDRSDSLLEGS GYPINTNACFKTAALKYAQTSYFVAVVLVQWSNVFSCKQRKMSLIYSPINNVMFYGVILE TIIFLCIVYIPGVNTWFGARPVDILNLGMPGLPYSMCLFCWEEMRKYFIRNLPKDEQIRT KLL >CAK64288 pep:novel supercontig:GCA_000165425.1:CT868030:547840:548597:-1 gene:GSPATT00006141001 transcript:CAK64288 MSSYAYLFKFIIIGDSSVGKSCLLLQFLDRKFKLDHDTTIGVEFGSKTLNIRQKNIKLQI WDTAGQESFKSITRSYYRGSICALIVYDVTSRDSFENISRWMEETKSYANDKITLVLVAN KTDLSDKRVISAEEGQTFAKKHDLIFVEASAKTGFQVDKIFQEAAEAVLKKIEQRDIDAT NESIGVRIGSQMTEEMIEKQKEQKASKCC >CAK64289 pep:novel supercontig:GCA_000165425.1:CT868030:548656:549469:-1 gene:GSPATT00006142001 transcript:CAK64289 MLSSEWELSIAIIGDTGVGKSTFLSMFMTNQFVQRHNDKIAVHQQEILVGEKLVQLKLID IVMIYYELKSGKEPFRSMAWVHYRTCVGIILIFDLSSRDSYENLKKWYEEIQQYVDQEKI LIRLVGNKSDKLVYDDLGEGKDGGNDRHYIQYEEGEQFAATHQMQYSQTSSSVLSLIQDE NYQSINTIITKFTEEILDFVKKQKASGKLDELMGIRSLKKQVVIQEVKKKINRNSCC >CAK64290 pep:novel supercontig:GCA_000165425.1:CT868030:550359:551332:1 gene:GSPATT00006143001 transcript:CAK64290 MFKIKRLQNSFEKKLLQFFINWKKSTQNFKYWKSNLSFMYKCNFGFFRQYSYDKDQEETR NLLVQQELQILQSICKFKMLNHNKCDQEDQQRNYSILQSQVQQFTTLVLQGELRDKINFN NRRSELLMEIYSALEEVQASSNMIFLEYKFQQLEILGLVKGQLSNQYIDQICKLYHHKEF LFALEQTYNEMLKQRIFNSNFQKIAKCLYIIQPNCQLYEIIRDLNEKSDDEQIEKTFHLK AIEIAIKEEYQHSLKFHLEQCLYHSWSQEQKDLLIESCLKLSKQFQERDQLYQVLQDMKQ W >CAK64291 pep:novel supercontig:GCA_000165425.1:CT868030:551369:552130:1 gene:GSPATT00006144001 transcript:CAK64291 MIKFRYNLSNFLAFSRCQPFQFINQSKYGFSNGEKEKGEFAEKEQTNLEKQKTSKKQGYV AKMREEVITNAIVASLKEKSKMKAKEEYRAAILFEEILNREVDINLYDTKGRAYLISTLW NIFWVAFAGLGLYTVFRDEQWYKNNMEIFKVAITTGFIFTRLVKQRQFYKYQVEKVIYNT KSKQFTITKRNIIGIKKDQVMQKDHILYTSDKDLNFKKINYININTLECYGIGFDYAWLK KDLFSHLIQQNIS >CAK64292 pep:novel supercontig:GCA_000165425.1:CT868030:552252:553724:1 gene:GSPATT00006145001 transcript:CAK64292 MNKYSLIFLDKESERKYQEQKMNQLRKPVYVWSAIGLFFISLVKMITEILNNELNQLSIL VITQIYLILSIFIVKWKPSSVQWCLMILNYLLLAYQYMLTSTVSQEAIPLFANNFVACNF VIILAVDMCQGIFLVTTTMLIRLILAICETKTPQYNVYFATIILICFLGFYIHKLNYESR SFFLLSLKDNLMEQILPIIVNKSYILFQFESSQLRFSLISSRQLEFNCENSESLKQFLRE WHYKQQNLEQICFQDIVRKQSSEIKSYSKQIEIRKGSKQKQNITICFFSYQQPTFLIKFD NNSQVQDKLKKYLFNAIEKERLVQFKIFKCVVNNLSISLKSNNLNQLHLLRIYCFKQILN YKILNHQFKQQDFEIEPILLKLKEFFQFKSISYQIIPSSEGKVQMVRTYFVRLIYDIFSE CKKGSSIVINLEHPSEPYIRYYGKEINEFADTAIQIAVRTLVKRKQKLKIGNKTTILILL NEEPNYPFYS >CAK64293 pep:novel supercontig:GCA_000165425.1:CT868030:553775:554558:-1 gene:GSPATT00006146001 transcript:CAK64293 MRKPNILSFTRDETPKPSINRERHVNRQMAISVDSPKEFQFKHIKVEDILFVKPQDSATQ QDLQYKRIKWYGKAVNLQHDLQMTLRPNLSLLQDKKVNESIQESEDRIIRLKSTKSRFND KISNRSYELEHNGRYLDQKCAFQENYTLYSKDSFKLKTGMFEQFKNAQLLQKRGNQYEYF LQKPTYSDYSKQKTYRTSKQKPNLLNQTDNTLTTLFDTPIKYQNELNLKIARNQILQSLE QQKRKRAISEY >CAK64294 pep:novel supercontig:GCA_000165425.1:CT868030:554585:556229:1 gene:GSPATT00006147001 transcript:CAK64294 MQSRGLSTPGKPKQEVLQIVFDLGEKKHETLHVYDDSDITMIAKEFVSKHQLREEATILI EQTIISNLQDSHCSKQSIFDRLHNEAAVKTQKKHQQFITNSLSQTFSPQKTLPYNPGEQL YQRSRQSRVLEPKQDVLYSFKPYISEKSLALAKRPNMPTSEYLIMQGKQMAYRKEQLRSS RMAAQNQQCSFQPTINPISQKISQEKERNYSSAQKSQIHDRLYQQGINSMKKKKEASQMI NQSKMTSPLKSKPSDIPFLERMQISIQKRQKKLEETVMTEEPTHDLSTGQKLYHPIIGRP PTNERNNTNLPIGDYLFQMRTVHEDHHNYLVEQERQSIMNSMAKSSEKSSLIYEDKKRKV LEEIFSLLDSDGDGQISASSIEISGIQQEILQILAPLLCEMESIQAQLDLESFIEAANRL IQSLNVSDKNKLINGLRQKKIVDLDQCTFQPKLCKHSMKIVKSGPKLQQNKLELVKQEQE QKVMQECTFKPQLYNPLKIYDFMLNQ >CAK64295 pep:novel supercontig:GCA_000165425.1:CT868030:556723:557018:1 gene:GSPATT00006148001 transcript:CAK64295 MGTCQTNKRKLNSQNTTMTPVSQFSNKIISYSQNWNDTKSQPKLVHKRPSNIEYSEYDPT NPHRQFNVLINGVHFEVINSVEEDILGQLD >CAK64296 pep:novel supercontig:GCA_000165425.1:CT868030:558607:559591:1 gene:GSPATT00006149001 transcript:CAK64296 MIPKVVGYAAFQSYGDLPYPSLKAGKRTLDSNEVWEQSKFDYHINLAVRNEDKESFYKNS NYHYSNKQVSLDSTVQPPHYKNVYKANKAQLESATSSNFFQKTGNSAITPENPLLKTGCE HWKSTYQASIVDPYAKNRAIPPEWSQNRPPYVVETKVGPSEYKKQYGQIGENPRERLNQL DETHPRTFDELRLGTSQEAQHVPGYTGFIPSIITNSKAIEHGSGTEPRTDFMKTNLKENY HTKIPGYAGHVPRSVVNQRDQPRQSCFSN >CAK64297 pep:novel supercontig:GCA_000165425.1:CT868030:559826:561828:1 gene:GSPATT00006150001 transcript:CAK64297 MDLDIAIEAREKVRNPTIKLNKKLAIAIATLTKSEKKPFDQLVTQEPSNKLIAVHFGVGD QDDYDTICNYVKQFGQNKIMIYPVRNYAFIEFQNIQQSLQLIQSLQIFENIKFANLKYQN KERATMFFYTNEQELIGGGMCDIPNAMRQINIPGLYLIHDFITPEYEKYILDLIDKQEWS KLKQRRVQHYGYEFIYGDNTVNVNQPADKHIPAFLEDVRAKVSDLIKPQAEINQLTINEY LPGMGIPPHFDVHPPFHEKFVTISLLSGLVMSFKSFKGEEHHLYLPPRSCALFTGEVRYA WFHSIAARKIDKVEGETHFRSKRLSLTFRTIRNDLKCDCEYQFFCESQGYNPLTMKSKNP LLQEYLAKLNQIGIIQDNKVVPNKTQEEIQLLEEQQQQLLQIPKATEVEKKYVYEIYEKI APHFSSTRYKPWPKIEQFLKSLEPGSLVADVGCGNGKYLGSNPDIEIIGTDRSENLLKIC KEKSDAYQVFSADSLRLPLKSEMFDAVISIAVIHHFSNKILRQQAIKELLRICKSKGLVL IYVWAMEQEEKTFNEQDVFVPWNLQFKYEDEKVINQEVQQQFKIDDQKKTVVYKRYYHVF KQGEIEELLSEMPGFKIVNNYYDHANWVVILQKD >CAK64298 pep:novel supercontig:GCA_000165425.1:CT868030:561871:562197:1 gene:GSPATT00006151001 transcript:CAK64298 MAQQNSQLHLRYWSSSDWDFKKKKELQHQMLVTRQRFFESMRPQEVKRINTTNFHQYVID IREYYEKRNQQLISSKKYSRSTKSLKNIFSNNNLMHKFKSQPFFPDIN >CAK64299 pep:novel supercontig:GCA_000165425.1:CT868030:563008:563508:-1 gene:GSPATT00006152001 transcript:CAK64299 MGLCNSQKARLDTNDIPINQKQKSEEPHSNGKLLLEQYHKLNILLDLLGNLDLLEKQTQD HINLLYMIRTNIKILIQYNLKKIMKEKNIYRISTIEDEEWLYTDSQFLNRIIPQFQQLIT VLETLFLNDDFQNAFPILIHSFYQINESLKKIPIQYTLTQTRNTTL >CAK64300 pep:novel supercontig:GCA_000165425.1:CT868030:564118:565392:-1 gene:GSPATT00006153001 transcript:CAK64300 MGSKVASRQNEQQDFQIKKEESQVQTDRSNQYSFITQKDVRINTIPDQGGNSSNQSNQKD SQNNNLVQSHGSSHNIQEQQVQKEDDIKNNEQNQTNNWEPKGSQLFGPAPVFNQENTQHN QRALFSIQEFYDPWLKNRLGQFGQYQPPEKFEDLESIKVDVADLPDGSKYEGFMKNGKMH GYGIHLLKNELFEGSFQDGIRKGWGRSITEDTLKSGFWDKDEIEKKMILQTQDVYYQGEC SKSIPNGKGVLKKTTINYEGDFIKGRMHGKGVFEDNQQRIKYEGEFALDKFNGHGTFQFP SGKKYVGQFKNSQFNGQGEMFWPNKSYYKGQFVDGLYEGWGYYLDEEKTIYDGQWKKGKK NGKGKLTSKNIEVQGIWENDIFKENE >CAK64301 pep:novel supercontig:GCA_000165425.1:CT868030:566645:567923:1 gene:GSPATT00006154001 transcript:CAK64301 MGATCCSGTPQTQEINSTAPAKLEATVEENDHTGTAKPGEVQNQYQEKEPIKEESQQVQV EDPPQSANPPDDAQREKQRQQISSHGPNPEDAKPYDKDPFIENEQVRKTLEKLGNYHYDD ASLLHFHDCIELGPYQFENGAIYVGQWKNHQRWGKGKQYWPDGSVYEGFWSSHTANGKGR LIHADGDAYDGDWVDDRAQGQGTYFHVDGAKYEGDWLEDQQHGKGTEMWPDGAQYIGSYV NGKKDGKGKFKWSDGATYEGDFRDNNIEGFGEYVWADGRKYKGQWQNNKMHGKGDFNWPD GKQYSGDYVEDKKEGYGIFKWSDGKQYKGYWKDGKQHGRGILVDREAREVEAEWVEGRRV RSDN >CAK64302 pep:novel supercontig:GCA_000165425.1:CT868030:568187:569181:1 gene:GSPATT00006155001 transcript:CAK64302 MFNKTKKFLTLIYQPIRHPFKTFQRSFDIEQEWSNVKLVLRPHKGKIMTLFALITYPIYK DMFQTIRNSFVSTVQSELGPGQPFEVFINSFVKTEVQTILKDPVVQQESVDFVQRLGKQQ QVQDSIVTLLKQSIQDPSFLEDSKVFGKKLVLDLVQDKSIQDQTQKLIIQVINDPIFKYE VKEFCKSLSQEPEINQAVAEILKNAGMDPSFRAAFANAFAYAFNDVLMRKDTTDKLRMFL LFLIETEKSQEQGIKGFLDMIIDKLLKKKTMISKENEFDSLLEKLLGKEKLKNLAEEHKF QGQQHSDVY >CAK64303 pep:novel supercontig:GCA_000165425.1:CT868030:570174:571023:-1 gene:GSPATT00006156001 transcript:CAK64303 MLHKITSEINMGEHLLQPAPTQFLSARTIRPSLFLNEADFHLPFISPQKRQITDYQIIKQ SLPKSQAVSPVFRKTQTEQDAEDNFLYVKNTVRKLKKQKLYIKEHRQSQQFLPQIEERSE KVATYSTPKNKNYNSYGNPLDSKGFSSSFAKLGALVANQESSTQLSNYTIPKEIEQPQQN HLPIPDYTSKQNTLQQPPHHKKAVSFRKSIVVIDINNGQVSKDQLSENSKPLSYTQRPEI KEEVQEAQEVTKKHIFRRTKMII >CAK64304 pep:novel supercontig:GCA_000165425.1:CT868030:571172:572542:1 gene:GSPATT00006157001 transcript:CAK64304 MSIPIIEDSGTGYLKIGFAGDNFPAHSFPAMVGRPTLRADEQLDDANLKDIMIGDEAQPY RGLLELTHPLEEGVVKNWDDMELIWNYGYKKLGIDPTDQTVLMTEAAGNPKKNREKMAEI KFEKFGFAQLNVGIQALLPLFAEGLRTALLLDAGDGVTHCMPVYDGFCLNQGAQRMNIAG RHVTDQLVKLLFQRGYAFNSSADFELVREIKEALCFVSSDIRMDTKLAQETTCHESTFRL PDGGKVKIGQERYMAPEILFSPWLLGKDAPGCADIVFNAIQKSPIDSRKTFYENILISGG TTMFPGFPTRLQNQLRSIFEETVLKGNTAYAASSKIKIKVLDPARRKYNVFIGASFLSNV MKDKSNFWISKKDWQELGPERAMMKVMESLI >CAK64305 pep:novel supercontig:GCA_000165425.1:CT868030:572542:573075:1 gene:GSPATT00006158001 transcript:CAK64305 MYKKNSLIDLTPISITKSTHLGKNKPFCPSLFLNQIDSQPKKFEDDYREKVLELELPDEI VLPKTVRRRCAIGNGLSPQKTDQILPSLSLHVSMQKRNMDDVHQLYEMQTQRENVEMENI NKKIKSIINSNKKQVSFQNSHVIIDEFDNYQVVQDLPTQRHYAPKRRTHLKQLTTEV >CAK64306 pep:novel supercontig:GCA_000165425.1:CT868030:573283:574137:1 gene:GSPATT00006159001 transcript:CAK64306 MFFKGKKELNVDNFNPGVGLYDIITKLDKPVAIIHTDKYGAHKKNESLPLSESQLSNDRK VDLNYPLHSYASGIQRVRQNKIYQELKKQVKFEYKPNQFNQEFDTQVRSTIKKGKLVKLQ RLQRNSQSSLNDQSLFLGTQSPGIKKTTSQQYLKHPPKLQSLESLELFLLRDKLQKVQDV PGPGSYELPSVFKQTEIKNQPFGKQQGRTKYIDQEASVGVGQYNIQDQSVVKSVIRFDKY SKRISIFENKLNSPTYYDNNSNLISNQSKLNLEFPIAFGSTSKR >CAK64307 pep:novel supercontig:GCA_000165425.1:CT868030:574383:575228:1 gene:GSPATT00006160001 transcript:CAK64307 MKKDLKGEKYRNKALDQITNVNQLILDQFQKIQVDSDIESQDIQLLDDFEKMGHQEPNEE GTGRISVKQNFNTIGIINLSLEKSLKINDIKQWINKYLGLRTTTLNLNLALYYEKKSFYI EELKQNKKHKLQKNKLEYIDVFTIFDVLINLKPKHICSLIAIVDQNIFDPLQPDSNILGR ACGDGVCVVQFQENLKVFYGTIVHELMHTLGFDHCYLWNCLMNEDVDSSSLHLCVNDLEK LSMIKKYDKVKRYKSLLSFYKKVGFQEECKWIEKMIEIFEQ >CAK64308 pep:novel supercontig:GCA_000165425.1:CT868030:575495:576144:1 gene:GSPATT00006161001 transcript:CAK64308 MSNKTQLSTQAQATGDDVPLASLIQQIRQDNLDQEDVPQKTRLPVSQINKNLNLAQEENN NFRYHPQQPLSQLQIVQQRQEQYEMIQQKKQLDQPNEVDDENKSFGNQEFKKEDRRIAIQ QYQVILLAPSQPYSREPQVLKCERCQNVMITKVESKPGWGSCFCCCLMFLTPFFFLFFLP FCMRQCKDAHHYCQSCSNKLGTYYFICE >CAK64309 pep:novel supercontig:GCA_000165425.1:CT868030:576247:580515:-1 gene:GSPATT00006162001 transcript:CAK64309 MGSICGTTNKRVIPKTKKAHLGLRSGQTISSQSVFQQQLNKKTQEINQIHRLEIQDEDLV TWDDPMKHCTNILKPKGYKVARILKTTGSSITAEVLDGSGTQLIFKRSLFDNFDNASNNI SYLYNMNKVSAEGLLRFTSLTISQFEQTNVQLRPEKQLYAIDRMIPKYNTLSDSLEHLKL ETLMQSLLILEQVSDALNQLHKEKFAYRFLRPESILTKDGKKFFLSNPSIQTNHKEQKII KHFVQQESDYYIYDCVIFSLLFLFLVDHKIYTFDSLIDLRLNQGDWEELIDNYKQSVASQ FENDVPQMIMQLIEQMSAFDKFDMPKMSDINHAIKSVRQKGMQTYFKNDSLGAYLQYHKE KTLPDASPWLYFTKANQIHLYNYETNKFHFLKVYRKKQPFRIESDVVYHPNEDKFFFFSH NTSTFLLKVYDESHDRGSISQKREVELFRNIKNVKFEDPQLLVINFSMEDDANQEQPLEV IEDKKEVKKGNKQQQQQQNVLDQEPKLLSKIMVMNQQQQADEATQEVYFNSFEVAFNLEL VKNDNEEDVYNYGDDEYISKKVIKIYQGPKNFQWHRSSFHFFDPHNNYIYCIPDYNNVFQ NGIRYCYYDISKRKGNFKEGLLRFACSTDEIFKQFCLLNFKIKHIVFCIEVQHGVFLMQA SKNIFILDFNFRCFYSLASLTQLQNYKENNKQNPILHQNAYETRNQPFELKCLDAGGHNY LFQNGYLHCLARKEIETVHCVYNILPNQACIELLNCYSLHTNQDLLLFIPKTLSFVVKAQ QKQVDESVFRRYSKHVRQYGVIKDLYADQNEAYLSAEHMEEKQKVYLHQIRVESLVEISL LFQAFNKKIQSEILLTPIEYFASSEEKNSFLMYYVNEEISTTLDSEVENRKLYKNTFESK ELALIVKIIFKALKELKDNHMEHGNLSTNSLCFTKTGIIKMSGWYVANRKNFSTDIDDAV KVLYCLATLQRVQDIDIMDFSHPLITAYDGLGEFLKSTYKLPKKTPYINILNSAMNLSKQ LTAQNIDFNEMQSKAQAILWVGYGQNYIIITPLENMKDPRFMKVCILKPNQTNFKISKHC MFTFNFVDVIYISGALKEDMKTQHLYECAYNKHKDTQTVTLKRLPDIPAPILSPSLLYAE GKIYVIGGYELGDNLNKQITDKVFVYTIKTKQWKPLKNLPINIYGGTALHDEDKSMIYLF GGVSSEIDLTSDKLIYFTYDILKDNWEFNVDLSFKSPFVNSRFTKPIVDMVSPKLFVSYY KTEEDFFVEVFELVKAGVTLKFKIKNPIGKKKNEKENLEAYAEKAPRDYERTLQDDLSMA VYKDSIYVLDEETQNLNIFRIKDVFHPQIDIVKCQIRDSNPITIHLVQQEA >CAK64310 pep:novel supercontig:GCA_000165425.1:CT868030:580691:581984:-1 gene:GSPATT00006163001 transcript:CAK64310 MGNQNANGFDHSKQNGLYIRTDKPFYFAGEEVQGNIYLNVGGGAFPSSTIYLKVKGAEKA KWSETRTVYEDIPGTNPPQRQSKQVTEHYDNDNEFYKHKIPVYIFQQGMIPQGQYTFPFN FKLKDSLPGSFEYSEKDLECKIKYSVKAEIDSPNKTLDKIKYKQEFLVREPIKEQVNQNE GSQVLQPTACCCIPLGTISFKFQFDKSAYQPGEVAKLQVEIDNTQSKVGIPIIKGSVTSK LRVISKQGHARVLYRVCASSSIQGIESGQSALNEHKKDMNLPLVDAGRHKPLDPTTNGKI VQNNYQLSATGEMDGICLCCSQDPGIELPIRIVAKPIASYEQPVVAPSGWNPQVMNPLSV QFDDSYKYQPATGGVLVPAQNQNPQGYQNPNQPYYG >CAK64311 pep:novel supercontig:GCA_000165425.1:CT868030:582202:583335:-1 gene:GSPATT00006164001 transcript:CAK64311 MKLITVYLLLVLGYSQLLEKYQIIGNPKPSLVSILTELQTQIKSGGAQTTTIAFLDNLKS TIDEEQIRHDQLYTQQRNQCSLELDLRKKDIKDAEQVGSRANEQLENCSTSNNKARSELD NNLESQKTTDSDIKILKSIRDEAARLFSNKRRDHLDALTSLQQVLLTLDGFTGGDCSLAE LGKVAMAFIQTAVIAKNDQPLVEISEIFAQVATENGGYREVYEKLKQLIVNFEQTLQKNL QDYQEVEDLQIQEYDDRNIHLVEYFNNLKRTEQQLRDHITAMSMCINQQTAIVDTARGKK VRNLQMLDSVDKMCKDFLSEYEKASQVRKDQIDLISQVKQSVYDFYSQKPQAACPGGGSD >CAK64312 pep:novel supercontig:GCA_000165425.1:CT868030:583356:583997:1 gene:GSPATT00006165001 transcript:CAK64312 MSNNNNIERHKRILNIVSHLGLNKKKSTIPAFEKKRLSQSRIVFYKPEQCQEEEDQLIVK AKELLSKPVKRKLIRSESQLVQLISDKYDMLKDDTEENSAYHHIQLSLVKLQEQEILGQF HEVKQNLRMSKTSYPAPITVPQQKVQIQNMFEKKCSQHTIESPQENTIRQKINDFLYKTR ESFKDFQADVSHYKNLKQHHRLYKQRTATYFQQ >CAK64313 pep:novel supercontig:GCA_000165425.1:CT868030:584515:586967:1 gene:GSPATT00006166001 transcript:CAK64313 MLNYTQSEEEINHPQYRTRVRYRDNAISRDLSGKLDVTCFLMVVEIQRLVAENTELKVQI KQHHDSGLDRINYEMQIRDLMEKLQRLQQDNQLIVTDNERLRKLIQDLEVSLSKYEIQFR DYDPNWKKELENQKKQLLQLQKKIGDEDIDDLKAQLNQMKRKLNDYDRQFDGKNPDELQR MLDELRRKAKLYDELQNKLGGINPDILAKKLKDLEKLQKQFGGSPEDLLKELEKLRKKAK EADELKKELDRQQRENDKQRGDLGMLDDLQKTSQDLQFANGQLNQQLNDLRNKLKDLEGI KNELNQLRDSTKKKDQEIASLKMQLNDAQKQISDLQKQVQDQTRLLNEIQYKYRQAEQDK MKLQKDLQNCLEELDSADGQKDVAEQLKDDNEKLNQEVDQLHEENDKLQNENEDLKNRLN DLLRQIQDKDNKLKDLQTDLNKKNQELKDFSNKLKEANDKIQWIKNEFGLTDDDLDPKKR KSNKNNQETRLFANIQPSNMLINYLLLSTENERLGIIIDKQYGQIESLQNQVNAYKQKNE QITQQLQQQLILQSQMKMDNEIEKLKEYYENKIVMLTMELSRLRQQQTSSYQSISTLQTR ITSQPNGKLYDSTTIQPKREEELLSLLVLMAAEVQNLRDQNSSLLLRQNDTEINKGLLSK SSNRVIVEQLNLYEDQSNLEQSKNYFINTHKEANKEYQVNYKYSTQIKNNTYNEQYQNIV SPDDRVNSLQGDISSQRSYQYQSQIPYKFDQNSQQLNGSGVLKVQKYETSRYVN >CAK64314 pep:novel supercontig:GCA_000165425.1:CT868030:587013:587391:1 gene:GSPATT00006167001 transcript:CAK64314 MKSLVMITFIITLSNCLNIRQCNPAMCKGTAMECMESTECAKQLTSCLKDLRSHIAEDNS YESFETCLNKSFAAGKLLGCAKTQCPNSAAQFVTMIFNSAQSQSYISIDFKKSII >CAK64315 pep:novel supercontig:GCA_000165425.1:CT868030:587945:589448:1 gene:GSPATT00006168001 transcript:CAK64315 MGQICMKRAREMDCLDSERNEVSQYGLSFKIDEKCCLKQSVQYMQGRQEQNIKLMQPDLY QELQQQIEKYQNSNKESLQIVMNVKLLNQSKIFLYCNFKDDDVKRCFNLLKTNSDYKEEL KLIGIYRESSLKYHKKEFCSLCSQENMECYSLRCQHIFCKDCWHQMIDIQLSDSIPIVKC LEYQCLERLPHQFLELNQLYKEILVKRMLDNDSNYTWCPGLNCQNIYKLEGLALNFKCHC GVRFCSKCKVDTHYPIPCETLKEITQYKESNQSWTVLDISVCPFCSRNIQRIQGCIQMSC VCGNDFCNKCSQPWNKEHGQDYVNCSFASYNKNPSQILNQMRQNESALTKDIFQLENYQQ QLNKQNQDYQRHRLQMSLRNLIKFKKFQKMQQFIKLLSVLYFTYYLNEKFNDNSLDQYYD KFQLEINNYQRNLLNQLQGVLFNEQEVKNNDKINFNEFVNLVYQMDQKLKVQRHCFKKQI IELLQEKQQQIQ >CAK64316 pep:novel supercontig:GCA_000165425.1:CT868030:589794:592537:1 gene:GSPATT00006169001 transcript:CAK64316 MGCGSSNSSQQAAEPEQKQRSASLKSASTSEIEDLIGKKIPKLLVQPESKHKQTIDNEEQ LKIDSQLASAQPPPEDVVRAQKKQQKKYRGGDDVNHQIHENVTRIDREMQQSDIDLIRKS FKGHFVFFSLPEDQIAQLIEQMFYCTLKANQFIFKQGDQASSYFVIERGSVEIIINDKQI RTLGEGSYFGEIALLYNATRSASIRTLTDCGFWSLDRGTFKKTIEELMLKEYDENRKFID EVPFFSFMTSDQKDSIAHALITTKFAPGQAIVNEGDQADSFYVIKSGEVSVLKGTKEIRK MGAKDSFGEQALYEKSKRGATCVAESEVKCVALGRENLTKILGDKVQIIIFSNIMRWSFE KSEVLKQLSKIQLEKIAQRAKIDNYKKGQVILEAGKACDKLIVVLEGVMTNAKQDSVPKG LCFGDQFLMKEKYGQIIESEYAMVRDGVLATISYQSLFKIFGGDLETALKKNENSHEKKI KQLGERADASHISVEDLVYIKKLGEGQFGMVYLVKHKSINKVFALKSVSKASIIEQNLEK HILQEKTVLEQCNFPFIMGFVRTFKDDISIYFLVEYIRGMELFDVIRDMGLLTKYDTQFY VATMILALEYLHSKSIVYRDLKPENIMVNDAGYMYLIDLGTAKPLIKSRAYRTYTIIGTP HYMAPEIILGKGYSFNVDLWSMGICMYEFMCGGVPYAEEQDDPYEIYEEIMNTQLKFPTF IRDRQAKKFMEQLLSKQPETRLGSSFSALKANPWFDDFDFDKLFSRELQKVPYVPPKDRM ISEHDIEKKFQQGKPVVQEIKQEQSMQKQKYKKELAKDPNWDKDF >CAK64317 pep:novel supercontig:GCA_000165425.1:CT868030:592723:593520:1 gene:GSPATT00006170001 transcript:CAK64317 MKLFLNIQQDVSRYADISHIYDTVQKKGYLNQIVKVFEHTFTAEINFAQQRLKEVINVME KQGQKIEFNVQLNRNLTIFKQNFDLIVTTTINQIWNRLINELGFSNYEQQLQTSNIELLQ SAKKIQDMTKQSVSEFQKFASNQSIFSRSPSKMSSKMIPFSEMSFQSIGSPTTFAKAQRQ LDNSLTTSSPGVGKYQVDQSEKLIRETSPNATIGRAAKISWIDEKLKKEDSQSPGPIYNP VKTFCSKKIQ >CAK64318 pep:novel supercontig:GCA_000165425.1:CT868030:593531:595369:-1 gene:GSPATT00006171001 transcript:CAK64318 MGCGQSSASFFESRGLIYIYYGTTSGNSSRLAFQFASQTRKLNFLPKVINLSEFEPDQIS QQKLAVFFVSTYGVGSSSSDAQKFNSWIFSSERKNDEFKSMSYIVFALGNTNHENYCQFG IRLDKRLEELGAKRLFALGKGNAAENTTENDYQNWINTGVEQTLIQTYPAQTCDPKKFEQ SIQKIKYTNEPENENLENLDYQAQKYKSSNTFIINEIKELKKKPTLGNSTLFIDLIADNI NYKTASNIAIYPQNSDQDINELCHQLRLDKNMKFEIITSSKHPFPNPISIQNYLRKYCDF TGLITKKQLMELSNLAINVQSKEELLKASSFEGRDFYLENFQKKRESLLTVIKKYNIRNL SIEQLLEICPLITPRFFTIASSNMKYPKNIHILASQLVLHEKRLGLCSQYFSGLKKGSIV KGYLSDSKFIFPKDPKVPVLLIGPGAGLAPMRALIQERDYYLEENSIDWSPFQGKMELLF GCRTEDEYFFEDELKNYEKNGTLSNLKVAFSRKEVKQYVTDIMDLNQIHSHLTMEGIIYI CGSSQMGRDITNRIQDMYKQIENIAPYLAFKKISELEQKQQLITELWG >CAK64319 pep:novel supercontig:GCA_000165425.1:CT868030:595458:596180:1 gene:GSPATT00006172001 transcript:CAK64319 MSALILSYPKQQAMYNPEKPAILLEGNTQQSNKEVKQSHQLNVVDRLYQQDEKKKTKIKL LQQEKDSSLTPKQKSYVNKQSQKLLNNLQLKPFLQRQEEVWQEKRMKEEFQKLLVVQEEE QIQKNIIIPTRSLSQFIKDSQNWVQYKEQKKQHLLEERKIQNEHSFKPALNPKSLQIAEE KMKKSGLNQVDQKDRLSMPKRDSIPNQKENYNHSPCINPKSRMIVEKNKQQRSKSPWNRK >CAK64320 pep:novel supercontig:GCA_000165425.1:CT868030:596404:597944:1 gene:GSPATT00006173001 transcript:CAK64320 MNNLLAILLITLSVINAFELDVYRMVGIEQDQFWSGSKIASFQLLTTHWSELSQRKVALI KFSEINANSISELLTNKPNGVLIILDQQSQDNEAQIWDLITNNLGPKGATISIYFTYESE NINNHYKEIQSQTEQEYQLQVTSVDQKQVLTLEDSYNLLESISNFKQNNPSTLIVLGIDE NVPSAEITIPIDRFGIQSSIFFKIAREFKYQQRLNRNIIFYISTSSSLGEYGLKQFYKKQ EYQRILSTIDTIIIFDQIRQNEKLHIEVSPQLLNQVQALLTESDATFEEAETTNIQNKEI DTIRIYTQKQLQILTKQNSTKVQDNQLQILNLVSQLIDDKPYEQQHQDQLFDEALSQFIN TPNRHPANLQKDSKFINDFNSLLRQLFKHTTKMQYVVKDRKFFTSSQLKAQIIKFYSAFI DLYLILGVGAWLVVIYAITKYVPLFSVEQNANKKRK >CAK64321 pep:novel supercontig:GCA_000165425.1:CT868030:597944:599162:1 gene:GSPATT00006174001 transcript:CAK64321 MGNLQTLINYHAPLNYAQDLSEQIIDQGMLEHPGLGSIQLWKIKETNLPLLFSFHVHIFE KDSSIIDIHNFRSSLQHPNLIEYFACTSSKALNIGKVQSQQFFFAYYQKTLKEHIQSTAM TEVQIWKIIEQIVDVMVFLQRKNRYHGNINSQSIFITDNLHIKLLDKIGQNPNRNSIKDD VYDLGILVIELLTKRTNQLNFIQQIKNLYGKFTLQLLQLVAKMIDENPDKRPDFNQIQSM VTNRFKEPISLNNLEKNAYQNKLASRISTQGQLQNDKMKSTQDQSLLRSQYPYLMSQKSV INSTILRCDTNQNLQDSQSNNASPSNLNNQFLTPRKILSGQKPLYSTTAYRGSPQNQRYL DNQITPRNLEFNL >CAK64322 pep:novel supercontig:GCA_000165425.1:CT868030:599319:600273:1 gene:GSPATT00006175001 transcript:CAK64322 MTTQSGPFSTPAFIIKLYDILDEQVQFNQIIKWSDDGEYFIVLQPKLMENEILLQYFKHN HYQSFLRQLNMYEFIKARNSENYEIFSHPFFKRGNKKQLSLIKRNPIKTKCKVKKELKSA VKQYDFQKQMDSELLFLKQRQTKFETQFQEIISQNEQIMDQHKQIWQELSNSKKTLDVKI DRISYLLSFIIQQQGINNQDSIEMLNQIQCKPKQEYQPVESLSPLLKMMQNQMTWNLKVP TQLGTFSPVYNDQAYSPLAIRSQESLDSYQSLSPFYQQFKDQ >CAK64323 pep:novel supercontig:GCA_000165425.1:CT868030:600505:601008:1 gene:GSPATT00006176001 transcript:CAK64323 MSRSNLSSPQQRKVQLNQVGIDRKFRLKRLTNSLKENQCTYLLFGFKADERQENLYIKEF QRQIQNTHSLGVMNIADLWSNKQINRFIEIQNFSKDKFLLSQLSKQRIRIKMEKQELPVQ LHYEKIKGQKLSLQEILDKANKEHYENSDLKSRIRKLITQRVHSENI >CAK64324 pep:novel supercontig:GCA_000165425.1:CT868030:601031:602070:-1 gene:GSPATT00006177001 transcript:CAK64324 MSTQYRIPSNDHYIRDLENLSQRERHGLFSQPPPLSVGDQYNDSQKSKGKKKGVLINQKI QNHHEPSLFMNPGYISVGDPYKDPFKAKQIYEKEREMAITNPHSFKPTDKSKSIKHSEFQ HLKEYEDKRYNTRKTSGEVITQARNFQTNPAKKGVGRTTINTLFGNYQYIPDPYDRQEEL DRSERLKYKSKFLPGAIRFVSTSHGNRPFTSDGELLDGAGYNEEKFKRPRYKGVSFRPPN KNKSGFQGTFEVFQYIEEGAPIPKTKQNTFSKLLKTDTFERPWRPNSNGTFARPCPSVSE KLRNKSGGTTRI >CAK64325 pep:novel supercontig:GCA_000165425.1:CT868030:602073:602524:-1 gene:GSPATT00006178001 transcript:CAK64325 MTDFVNQNRSHLTAEESLKKLYEYSYIQDAKQRSYHLRLQKAHAFSFVGIASIAVFYVGY NLIEGVWAKLSTTNQVTNLFRIAQNPVRSVYRPEIYLRDQNADQIIAQKTVEQNNPLKLW H >CAK64326 pep:novel supercontig:GCA_000165425.1:CT868030:602566:603134:-1 gene:GSPATT00006179001 transcript:CAK64326 MQKYLLNGFEVFKVLPISHEILRKKIFEHYNFTNKEEDTLSTMIDTLRLYNKLHSIEALA LAAPQVGWEKRLFVCADLELQQRKKAKYIQKVDVYLNPEIIKKSNDLIVSKENCLSIPPN QIACVMRSNKITMKYYNLLGIEMVVEAEGLQACIYQHEIDHLDGINALEKATSIIDSQQR >CAK64327 pep:novel supercontig:GCA_000165425.1:CT868030:603167:606991:-1 gene:GSPATT00006180001 transcript:CAK64327 MRQKTIEEQYKKMTHIEHILQRPDTYIGSIQRTSEQMWIIQNGKMIQKEIEYVPGFFKIF DEILVNAADNLQRDTRQHKQSYIKVDIGNEITIKNDGLPIPVEIHKEYQIYVPELIFGVF LTGSNFDDSEKRVVGGRNGYGAKLTNVYSTEFTLEVCDGNNYFKLVWNNNMSNKQIPTIK QLKKDPYVSISYKPDYKRFGMKEIEADTQALLTRRVYDLAGIYGSKINVYLNDEKIKINS FQKYVDLYLPTEGAIKIFDKDMTTPRWEVVVSYSPTQFQHVSFVNAIYTAKGGTHVNYVT DKIIQEIQNEMNNNKKYKSIEVQKYQIKQSLWVFINCLIDNPTFDSQTKENMTTKVSEFG GSSEEKFKVTEKFSKALIKTDIIETIFQQAKAKADAKLNKQLKGTKTGRLHGIEKLDDAN DAGKKNSELCTLILTEGDSAKALAMAGIDIVGRDRYGVFPLKGKLLNVREASLKQILQNE EIENLIKIIGLQKERQYTDLKSLRYGSVMIMTDQDIDGSHIKGLIINFIHHFWPSLVKYS GFLKEFVTPLIKATKGNQTIPFFTVQDFNKFAQEEDIKNWKIKYYKGLGTSDDQEAQEYF KNLRTHTIQFRYDGDDDDSSIDLCFNKKKANDRKQWLAQYNHDLYVDHTKSELGYSEFIH KELIHFSMADNIRSIPSLMDGLKPGQRKVLFACFKRNLKQEIKVVQLGGYIAEHSAYHHG DLSLVSTIIGMAQNFVGSNNINLLLPKGQFGSRAMGGKDHASARYISTALNKITRYIFPE QDDHLLKYQEDDGQMVEPEYYVPIIPMSLVNGAEGIGTGWSTSIQNYNPIELVNQIKNRL DGQPFQPMTPFYRNFDGIIENLPNGNGIIKGIIDCNEATDIITIRELPIKKWTRNYKEWL DKEMAEEGSQIVDLREYHTKYKIHFEIQMVDGFVSDLKNPLEYFKLSTPASCSNMVLFDS NNKIKKYECVSDIMEEFYQVRLQFYHKRKEYLVSKLDREVQLLDNKLKFIKMVISEEIQI RNVKKIDLVKQLDKNGFTRFSQLIQVKSTKVKAFGDSQKQKKNVDDDESEDEQVSEDEDK QQKKQIQKKLQSTPSIDISEFNYLLSMPLFSLTYEKVEKLQEELNQRVQSREALVNKEIS LMWREDLDKFLEAYEEMNEIELRLINKQEKMPGKQMKKPKKKNDKQDQKIVEEKVQKSKA IKSKDPVEDILSKYKKQDEKQSQLNSKQVEFNAKQQNQKLQESKQEVENSKQVSQSIQGR RIRKLKVESSSEDD >CAK64328 pep:novel supercontig:GCA_000165425.1:CT868030:607024:607878:-1 gene:GSPATT00006181001 transcript:CAK64328 MNCLQYIKKCYENLRQKREGQDESYRLSTQESELRKKKILVLDLDETLVHCEFKENQNFN YETILDVWHRGMLYNVYLCRRPHLEQFLKQMSVYYEIIIFTAGYESYCDKVLQYIDVDKH ISDYFARSNCIFVNGNCLKDLAILDRPLDQLIFIDNNPNAFELQPDNGLLIPSFLDSDED ECLLRLIPFLTFMANKSSVKPVNQCLKEYETINGSLFGDSDITLQCHLDGEEGSLNEDSC IISDDVPQHRKTKTLMQHQSKGKQVQEARSQSLFSG >CAK64329 pep:novel supercontig:GCA_000165425.1:CT868030:609190:611242:1 gene:GSPATT00006182001 transcript:CAK64329 MYEFANEKIRGLNGSKIIRQDKTKYRSHNFFSVNPHVRMLSQLFQKSPYLTQFKEMLFLS LQQIYLRTSLILTVLQWMQPPIFCNSQKKRDQQYLKIIQYAQQTRQLGIVPEQIYIIEIE RFLKVLQNDNKEISLKILYELCSAMNCIIRGKQEFVLYDKFFAYLFHQFNSGYDYVQQSI QQREYLKKQKEEQRLGKLNQHGLRRVGQISILKNEFYLEEQQSDGMSNIQQLLTVDSQLK LPNSRLFSGKLSQQVQNAEVAIQDLQKEVKDYYNMYAEYFYDSVKNLIQFKGGDESLNYK FLGLKFNLKEMLSLNAVYQNEENGTKLQLRQYQLVSGLNEKQNSLTKYLTYQSMFNNKED QVENLQEFLRQSPPLSPHQSNIHIKTETVHQQTHSNEDIRVEYEDANQNEYDNEYGVLLD FTQFDSQTTVQYQVYSVNSECIAGIKITFPEESSSFRLIEFPCIEPQEGGYDCHTYQFKP LSNIKGCQVELVQMTPSKRFIKNFILLVQDSDNPQDPNKLKFFKFDFQKNQEMGDIQNLH DQIMPYFNDDWRKSKFPLYVNFLYSHLPQAQQQLIEKQLKKITFAINSMFPYVEYLENKK KLINPNVFEMYVQELGRYKNRDQYQRTVFIQIENWQQIQQERIIDSILDNIIIFIWNGGF ENRKLQ >CAK64330 pep:novel supercontig:GCA_000165425.1:CT868030:611297:613800:1 gene:GSPATT00006183001 transcript:CAK64330 MKQIVILFVLILFATASSDLLKSISKFADDGDNPSKFAKSQVSPFSEEESEDYDNDDTET SKKVAQHDDDDEEVDVMAQLKSYQQKLSTGKEVKPPQPQKKQVQVISESESEYDDEDDGG NMWSRGISKKLAQTESKPLVLPIQTAKEQIKNDFNQFTNLKMPEVQQRPSETKQDVTQKV QDDSGKDHSADFEKMKQAQLEKYSKRFHLDEPKESKSMYEDDSLKEFMPKINFQPINIQE TKTSQQIQNNPEKKQEYKHEEPESESDNDEDLIINKTNQSSNKNNNKAFNDLQSTSEAFD FFNQNKQQQKSSKKGQKIEQLESEDEESVTDKEQDKDDDDDDYVQQVKKSKKQKEDREEQ KRKQKQIQQQQEEEEQQRQIMQKKQNEKEELRKKQEEEERLQQQSKQQVVKRDLEDDQIP QKKKSKKQKKQLSLEEFQQSLKLDEEKSSLTSFAQKEAKEKAILEQKTFTLVKETPQQVQ EQKVQEQKVFKLQVIPKKQQQFIQEEESESESESENDEDVDIPKSINCFESKNKFIQQIC QLCQTKVAYQVNQQNWQKIGINDGFRQSFEPLIEFTFKSIGEIGSYYLSFKDHTVCLSAE NLSKITNVDKVLKHFTGNQLADYNSPVDIPVKSQPINFLLSAEYDSLLNGFSCRRFQIAI KTDLKYKLSKKNDINVKQIIFYKNKLKLKYIGLIQINGVVHQASFDQEEGGEATNLIVTQ LNLPKEQGVIVSLVDKYIDDNFVANLLQKSEQMTADLQIQTHIKNDDIGLTFNLRKKKGK >CAK64331 pep:novel supercontig:GCA_000165425.1:CT868030:614267:616020:1 gene:GSPATT00006184001 transcript:CAK64331 MKFLIVNGFTANASHSKIFNQFKQQIYEVLLQNSQLTQTQKEVADLDFEFIELDRHNLED YLFEPETSHIRPEKGQKFNSLDMVFIIASPNTRPWNPNMRNVSIYLLFQIISLIRMCIKT KKLLFMTSFGAQALAYLCASNISTHINITNGNGEGSKLVDFPKYTLQALKNSHDDYFLDT TTGDLYTYNKVTDEWMPKCNIGIHHRRDAMEYQSIGKYVVKSPAYKPKQAMLSNQTEMTC IIKKPFLHYWLFKDVNIEFQIKQSNTWDIHTITFTNPDKRFLSLAENNLRGPLILQCDNI IAVLFELDYKQKDQTNLLSNFIENGIKVIRYSNTYNTISIINERQYCSPRGLENIELIYN QDTKKKTQHLQEDIMKNQHKAYVKEYRKLVNKAILDTEKERDKILHVGFSVKKNRLPDVV EQNNIQQKTLKANQRKSFLVKKEKFYLNFESLKHNLDANQSYKNNSTSRQHVKLRTEPKV TFQANDEVDDSNLLQTYRVLSQVEIRRQLHPSLNQEFLGSQKIWIPGFLKQNKSKFSQSQ PNSGRQTFQQLID >CAK64332 pep:novel supercontig:GCA_000165425.1:CT868030:616074:619845:-1 gene:GSPATT00006185001 transcript:CAK64332 MYSTQALQQTIHSYSLQVLQAGDFAKNQQIIDQLRAFILDWNNLPLFRNIVEQDQKPETI FVLYSLMQKSLAQGSKFINVLQTSLKKQSTFEELENNEKQHHTIIVTTHIDIVINYFARF LNHPNHVQNQVLFTLAFLLRKYWTDTLNSDQLVEKLINTFFNSQNFTILTIGCKLFENLL ICVRSYYYASSYLEFRKIMMNFLKQSLSTLLISTHKLILIQLQHKTLIQLYQEDKAFADT LIQLLNSFMTFNFNLSFYEIESDQDQKDNFLINFPDSYISIITEYKLLLEMFRSIIDFYT LDQTISIKLITIVQRIAAARLSLFFGKRSQKQQVRRALWEGLLYILNQHQVYIKNYDFCN EVCIFAIKLVSNFTLKKLNKFSDLFDQCVIQFNQVNQVILSNYQIRIQPNSVFIKLQEVW NQFQIQINSYKQHYPSQYQNLSNSINLSFKLLIQAFYNGNPFADIPTSIPIKKIKKFIDK SFRLCSQVYQQNAVECTNLLLESFKKIPIQNLNQMAEHEQMITIQKFSSLLCLVSAFVIS PTQGELLTGYDYYGQQNKQERPPQFGQLIIYILEIISFSNKLSLPVLATQQDIEKIQIIK MYHTCILIFIQSYITQTIDGVYYDENSNTVISQSQLQTSIFRDLGSQQNYLAIIESCLEQ CYQKFTLNDPDLVEYSFQILQFTYEKLKRQLDRRIFQASQVNNMLRQFFLQMDFTCFNEV QYIKKRKLVYQLISLVWVDDQMDDYVPALVDIYKQIKKSIAIEMNKINMLKYIWDMIGIV NELELDKIYRIFLRIVLPDILQILQPNNTNIFVSDFDASIGLTSLICSIFKNKNTRLSNE NIQLVLYQVYGQTTNYFITSIKYLLTQAQTCLSQGKQVQDNTLKMAAKLMKVMGQISSSK QINQGFFIIYQDNFCLELFIKQLQLITVYRPLFQNLMKYKRYLFECLEGVCQEHSETLTY KCGAETFLQLFELSENTLTDILNFKSIKGDEQINEEAIQLSQLATLLYNSIQFLVQESII GEEQDTAMIKQKISDIYGKGKLLLQSIFRQSFMVTVAFPKIIKFLQPNSDIMFAISLFDP KEFQNLLLQLIQEYKNQLWLSNLIIDPITIGYQSLENYLNSFTKDNFTKQIKYVLEYVCH ES >CAK64333 pep:novel supercontig:GCA_000165425.1:CT868030:619862:622014:1 gene:GSPATT00006186001 transcript:CAK64333 MSEILQQEDEIQLDQENIRGYLDDQILGQIVEQYQHQGLTNQTITQYTQLVSRGCQLLHP LLPKLPDQKGVKFISQQELLNLDKTLLGRLESDQRFRTQREKIMRNHSQSYVRKFNLTDK SQSMLNDSCCLKEQIAFQLDHNKTQLRYQEEQQKQSILRTTFARSRSYNQNKLPKIKTYP AKIDEEGQIMPAIKAKVIQVQQPEEEFTIPTHYLGWIDAFYGKWRPQMREGASLNNVLGK LYLFGGISSKPFNDVVIWDHKEWFQPQIIGEKAPFGRTNHIHCVFRRNIYIFGGEKPYDG QQKIRESTNDFRVFNTENNEFKALRFGGELIEGRRGASGAIVGKHIIIHAGINTKGRYLS DLYHYDILNNKMFLSQVEQNDFFTNGIAFHTLISVFSSQRNIQIYKNYMDPEEMKEIKYK VEGVYLFGGESKIGTLYSGLYMLNPCTRPMQWSLVSGKGQLPISRYKHSASYCDRQGIII IYGGISESSTFLNDCHIFKIETQSWSKVDLDLKEGRAGHSAVVDDHKLIIFGGYNETGFL SADFQILEIDSMIAYRNRRQANIVNHPSTDPLQSQTTNQNVKTKHQIYTDRMSKIREQVA SLSYNIKSFIPKPIIKQISAKNLNKINKEQMLFSQRLNEETSELIKDFDYVEESKDEMKA SAKLDGSPHSNKKLTDRRSISTRNTQPFSH >CAK64334 pep:novel supercontig:GCA_000165425.1:CT868030:622064:624028:1 gene:GSPATT00006187001 transcript:CAK64334 MTAQWNPYFIPKENYQQLNNISFVRFDQNSQLPQNPKSILLHNKIQIQTNPKPEEIKYKR NKKQSSCIPFQINIPQQTSNSTLTKIAQPSLIPTLNKPKPNEDNNLKDSAVWERKDYQNQ QVTFESFLNQQNLSRNNSIDSNSKTQFKKNHRQYSHVVQGNSGTYFMISNIPQNKNTNSN TSNSYYSNYNNCNSQREEKKVEKLNVPKFLIQDNSSNQLSERTIKKQSPNNNLLKHKKNT RCISMGEQKNLGLTLECDESSITILSDNANSTQSKNIQLNQTFLQNENGKDSQIGGFSAE TLQALMLQEIEHFPNPDYMVSIQTQLSPKVRAILMDWMIDVCSVYNMKRDTYYLAVAYVD SYLSKKSIPKVELQLLGTASMLIASKMEEVEAKHVSEFEKAANHGYTIDQIYDMEIEVCT VLQWHLNLPTINLWIEFYTNQWDNFITDIQKKFRANNTTSYKLMLKLQAYIDCCYLDIST LNYKPRTLVASFMYLILAIEYQQFTKEQIFYEIPKTRKFILVDSQKSFNKLFTEFVQYSF GFNLVDLIDVIKYAAKFIMLDFKFSDTIQDKIIERHEDLLIYQKYNQSGLLYVENKLQK >CAK64335 pep:novel supercontig:GCA_000165425.1:CT868030:624173:625189:1 gene:GSPATT00006188001 transcript:CAK64335 MNQNDANLGLLSNKSKAKYKEPKQNNEDFEIQDYGDEQEYVSFDDAKVQHAEVIQPKQIE QPISPPQNNIQSKSDLQNNQNQQQAQQQNQFPAQSQIQYPQFQQQQQVPINIYPVFRIYI IQNQYSQYQYAPYQNQQYSQPQNQNQNPVSNVLQQTSMLIDKSINQRKQTYSTCIHCKTP QMIQDEKQPFMCFKCQQVNKPNFGYFQCGSCKITVMYQCGLSNLIRCTKCQTMNYVQQSN LPNTLQQYQQQQLQQQNLPQQQQQQINISNSQSFQFQNQCTNQQNLYDYSQYQQMNKESK SVCRNQVDPMYQEK >CAK64336 pep:novel supercontig:GCA_000165425.1:CT868030:625226:626275:1 gene:GSPATT00006189001 transcript:CAK64336 MINQDFDIESLSQNLGGISNDNQQLIKDVQNILRNEIVDEDGLVKLCQQGFTNQTSRLRG IIWRLLLGYFPLNRKYWTQVIIKNRDNYNNIKIENIKKAPPQKKNDHPLSRNTDSDWNNH FQDQQLWSKIQKDVIRTRVKELGKEEFREMLNRILFLCCKLNKMDYVQGMNEFAALILYM CMSDPNEKLQNESDAFYCFMILMTSLKNNFQLQKEKVRAFQDLLKKVDWKLHDHLVNQKM DFSILYVKWFMILFAQDFHIDDSLRIWDCLFCQKNNREEFLYYLAISFLIQLREDLIVGD FGQILLILQNLEKQDINLSEVIQRAHLLQKEQKKC >CAK64337 pep:novel supercontig:GCA_000165425.1:CT868030:626316:627497:-1 gene:GSPATT00006190001 transcript:CAK64337 MEIFSSKLNWLIHIKKFFLKESVLDISDLISSLKDAILTEQINPEDELFSLEEVIEEVYK YKKIRLTYDDFFQIIGNLHQQDPQLSTCVNSVADSINDLILNDNKVKQEIRVSYNDTTKL IKTQQSCIPSKISKSPRRRIENLQEAQKKILEKITHIENEIEYQKQQHQLKKPHILQIKE LTKQLQQNDSEITLNGVSTFSVSDFQLVFHSLNRVIFNVQSVIFNDRCSEIREVTMIFKN LQFLSINSLMIKELNLEELTSLNVLSVVGNNLKSLDGLPPNLIELYAQNNQISLLSNTKQ LKILNISQNCINSLQQLLHINKSLEFINCHQNQCAQTKDYKRVLFKIFPNLKGVDKDDLL QHSMIQQNNFHFKKEQMDFSFSQS >CAK64338 pep:novel supercontig:GCA_000165425.1:CT868030:627594:629386:1 gene:GSPATT00006191001 transcript:CAK64338 MLVLFLLISINTTLGELLIKENQESTESFKIHFGEPKIVLNIPEAEDAVLESFEKLSFCE VKQQTVQTQTQQFTILKSDEFKDYEFENDTPLNFRQFIGMVHVNDGMIAITSDSVAYLLK FNYDKVMNQHGFATYGNGGDFAGVIWKANLQEILQSAEAKQELPQLVYSKTRNLAFILFT DTAHYFNVSEMETNQNQLSIYQVSTFIRREERGLTKEVEGHLFSAVGKAGLDIYRILEEL VEYRTTITFKDLGLFNHELELADFAVIQVEERKYQLYLLDAKLGLVLAYMTINQDKITFE RVIDVEPIPNGIAVDTKNGKNVFAAFEDNGIHFYIEYFVSFTDKSYSIITKRYSNYRILD VDATDEFAIISGVNNHQIVFQNGYDFIAPHKEFIRFSQIGMRDFEFFKYSYSQDELKNAA KSDEYQYDDFFFGVTATNAFLTKFKFVPARVVCFTDNSNYLLIFVEQLQNAKQFYRLQFN QSYVTNNIVAQDKVIRTTKHFSVEVVTTFLFEQQINFIYLILIILGFVSFFSVSAICYKF QQFRKEEIRLDTEIENQQKSNESHNQIKFDESQVIQAP >CAK64339 pep:novel supercontig:GCA_000165425.1:CT868030:629482:631288:1 gene:GSPATT00006192001 transcript:CAK64339 MILFLILVKFIEGIIIKEVTDKNQTKKFHLDRATTIITMSGAADSILIGNQNDSYCWSQQ PAVKTETKTMNIITEKDLTDYVYQEETPLNFGQFISMVHVRDGFIAITSNAKIYHLKFNY NYIHDIFSFPEYGNPYEFAGFRWKVDLQAYAPTTEDKEEIPQILYLKTNNLVLVLYPNSA QFFSMYEMDANSKHLILYTASSWKQRKERGLTKEYFGYVYSSVGREGIDVYRVSSYDVRF ELTIDLIRLFPDFTKLSDFIVIKKDHDEFHMFMLDVVIGLIELAIEIDDGEFKYKVRPEI PRKEGGIAVDTKNGRNVFVVYKELFRYSIIEYLYDKNIEGYEIVNQHISYQKVVGLKVTD EFVLIQGLIHHQLIFANSFKLVNPDTEPTIYTLFGVRNFMFFDSNITDYPLLKKFNITGF QYDDFFFGVTGSGAFLVRFNFTPAGVKCYSNNETQILTQQSYLVKYNVTQFMDGEVNRDL VIRHSFYLNVQLSYPEPILEDELIKTLILVFVLLLVCLLALGCVEKVRQKHENEKFQSQP KSVEMMIQQNPFSKINQKLMSEDYKSKQEKKKDKKKSHLQSQLI >CAK64340 pep:novel supercontig:GCA_000165425.1:CT868030:631293:633018:-1 gene:GSPATT00006193001 transcript:CAK64340 MQPQNQFSLFGNVQVNEAEQNSEVKKKREEFATEIRSRERNNIINNKRLAQNNQTNKLPE SLPLIPPTLPQELQIALKTQQEQLMKRTFSFEDFPDVLQKIFSTDILQLHYGVTGLRKML SVESGAPIQQVIDANLVPKLIDMIQKEQIPQLVLEAAWALTNVASGTTQQTQSIIDKGGI YLFVKLLSSQYRDIAEQAVWAIGNIAGDCTQYRDLILRVGGVDPLITIMQNAPNKNTIKH CTWSLSNLCRGKPIPDFKYVKNALPVFCKVIIEETDPEVLTDACWALSYLSDGDNSRLQT VIDSQVIPTLIKLLDHSSLQLVIPTLRILGNVVTGDETQTTYVLNQGLLLKIPKLLSHDK KAIRREACWTISNITAGSSNQVSQVVRDSAILEKLFTLMTTDVEEIVREATWAISNSTKH GGNSDIQLLVQKGLLNVFKQLLEGDDTQTMTVVLEALLNVLKRGDQDFKDDNYYLTQLEQ MGVISKIEILQKHQNQSVYQKCFSILEQYYEAESEL >CAK64341 pep:novel supercontig:GCA_000165425.1:CT868030:633093:635687:1 gene:GSPATT00006194001 transcript:CAK64341 MKYNSQTNPPSNFQHFMGVAPNQFSSNIKGQTLNSQQQNQHDQSRVSQMPVSKIEEVNYQ QSHEVNHSHIDLQQHSSKAQHYHPLTMPCNWDLARKHAQSRRTALEKKKDFNKTTNVETC PCCGFEVERDEIPYCSNPMALSFLGSGFTLFYNYLKYCIIILFITLVTKQIYNLYTSYEG SYCSHVKKEKIEGHVVELPNCSESLFLRLSLANKLDNREALEHVQILNFINLFIVMLVLI YFRKSQRQIDTTIDEELLTPADYTVCVKNIPLGLGLNYKWELKHMFETCAVISDTNPIVV KKVVLVYDIEEIIEMEKKLDELVERKKDIIKEYNYNFNHPKVLEIDQKIKEFEHKIHQTE KEYEKENKKFAGIAFISFEDENMKNLVLQENQHTQLERMKSYWNKGKLEGLSENDLSWHD QKLFIEQAPEPNEVDWEFIHVKTEEKISKRVKAWIIYLLVESAAFYSIYLISHKVAKYGD EAREEEIKGKLDHNTMLKINILSFIISLSIVLFNKFAVAKIVHYIVDDEKISSKTKFQIS FVYKYALALFLNAAIISFLVDIVILKNVEGAGGFLQNESQIFILNAVLPPFIWCVDPWSL CKNIWRWYIVSKGDKALLTQQEANTLMEEPDYLSAKRYSDIMKTMWFTFLYGTAIPLGTV CSAFGILIYYYVDYYNILRRRTVKESISIQLSTEMIEMLEYIIVWSAFGEMIMTYAFFKE ISSIDVLLMVIAIIYASLPMEDISEYLFPVVNNEEIKPYDEGCLGFDTDYDRENPVTRHK AIEEWNEKQNMLIDSNHKIHKYYQQQDQFGYNNDAHYGRR >CAK64342 pep:novel supercontig:GCA_000165425.1:CT868030:635728:637014:1 gene:GSPATT00006195001 transcript:CAK64342 MIKRMQSKEDIIKDQYMKTLTCFLQDSTLYDCLSINNQVSVIDQSFSLYDVFNVFIETHI DEVLFWNPDVAYYDGVFTQTDLIRIILKCYQNTINGIPNVWGNSKNQVQPIMEEEDEDRT STPVTKTQVIGQEQINKLLIDLRTISVRDWFNSYGENLHQSSLVQADMADNLNDAMKKIL KQGVTRIVVIDTESRVIVGILQQKDILAFLVKGFSQYFHLQLSQKSLKIEVRSETQQQSE QHDLEIGYFSDTILQLNTKLPFDTNVYDVFYKLIYVFKRNAIPIVDINNQYLGLIDRRDF LFILKYQVYDMLNRQAIDLLNFIRIEKSKYAGFSICNKELFHMKQTVKEVVENLLLSSRG SLVCLNDNKEPISTLQMSDLFKICLDDIEIE >CAK64343 pep:novel supercontig:GCA_000165425.1:CT868030:637098:638072:1 gene:GSPATT00006196001 transcript:CAK64343 MNSTYYGRMSITSYRQNDIDFDKYKKNIEAEIPKSWLGFKYLTQLEQRKEQVISKIEKYI SKLKSANGDLILQCEFTFNQNLDLLIKSSDIRRDFIDQLPPNVNPGVKINQPDLLTALTQ IKTFLYKECAACKDELYKNQKCNGDIWNQAGWILQNNNEKEGENYQKLVEKYRKSMVNAL TNPKVDQEQYIEFQSYLIHYYQYFDQYNVNQRSQICEQFLQYLNLLENKEELSQKYNTNF KNNSLMIQPNKTFVVNLPAPPQFQISIPKFLWGEIQKYGLQGYIIYRMIENQELNLNYQV IDKIFLSKVREQQQRYPGNVGRKK >CAK64344 pep:novel supercontig:GCA_000165425.1:CT868030:638281:639007:1 gene:GSPATT00006197001 transcript:CAK64344 MVKNSAQDPQDNSLQMKIRQYLVSGRRLPSKEDPNPKVISMRIFARNTVAAKSRFWWNLR RLNKLRPSHGQILAVQELFERRDTNVKTYGIVLKYQSRTTIHNMYKEFRDTTLNGAVSQL YQEMAGNHRAQPQTIHILRTSVLTKSADIKRGKTNQYRGDSIKFPIVKTVPRASHKKFRT VFKAKRPNLYRS >CAK64345 pep:novel supercontig:GCA_000165425.1:CT868030:639015:639578:1 gene:GSPATT00006198001 transcript:CAK64345 MANKHSNNDIKFNILLADISKSKDLHSSSFSTQKMQNSSQHGGAKNIVPTATYLQQVDEK YSQMYMNKQIDKAKKGTQHNQKPSHNDNTQVNNFLDVPNKFRQQKQSEILGNNSYIPQIQ PIVKSELLQPQNPQLNETISPMKAPVLNIPGDISLSRGVSERGLK >CAK64346 pep:novel supercontig:GCA_000165425.1:CT868030:639603:640853:-1 gene:GSPATT00006199001 transcript:CAK64346 MICRSQHLLFSTDYKLYLNPQQIVIGKVRNKLIRKNEQNLKYKIPLNLSTIIKWSFNSDF TFNGFQFQWKNSWKTILLTHEQSLTLKDNLDGKVTYCNIVKMYNMIQCERSGYSSKVYLT YCCANQQKYYMQIFPISDLTSQEYLRLIEMINITHPNILKCEEYFVEEKNLYIITNILNG KTLKERIGSQYRMEPQEIISTIKTLLKFINDMHKLGYVLREITSTNIFLQSDGEILIIDF ELLVKTEEAFRTKAVSKENDFLHELKDSTKNTHEDWVEIERYYYEQHDVLILGSLLKEML TSKVQLQQPQGRARQPTITINKTTIINRSPKYYIYQLLQRMLEQDPKVRITIQNAIYFLE DIDFEDNSFEDFPETSEEQNFQSVKSISIKKFLV >CAK64347 pep:novel supercontig:GCA_000165425.1:CT868030:640924:642450:-1 gene:GSPATT00006200001 transcript:CAK64347 MQKAIMLISICFLALYAFETKALEKDEVDIAKLNALFGTNYQGEIYSGYLSANDNGSVQF HYLFYPAIDSASEKPLILWLLGGPGCSSMIAAFTESGPYTFISESIEFEENPHTWTAFAN LLYIESPISVGYSYGPAGAQSDESTAAYNMHALIEFFVRHPNFKNQKFYIGGESYAGIYV PTLTQEIIKYNKQPVNPEVLRINIQGIIIGNGCTDPSECTQLGYLFPRHRLDFYGRHGFI SEETYQKIINHTEECYGSETPECQAIAYEALAQIAGPQYSYNLNQYNVYSKCITYTPEGS KRMKSPLRVSDEEKEDSDVPPCVDVKGLYHWFQKDEVRTLLNIVQQSPKWVACSVNFQDY QINPNGSLDIYPTIIKNNIRVLILSGDVDGVVPIAGTLYWIDKLQNSLQLNTIKPWRPWY IPALREVDKDQNAGNVFDIEGLTFVSFRNAGHEVPADHRMESKIVLEKFLRQEYL >CAK64348 pep:novel supercontig:GCA_000165425.1:CT868030:642852:643507:1 gene:GSPATT00006201001 transcript:CAK64348 MSKLNQFKEPLDKNIQPIKENESTDDNIDNNNSEVCINLSIYLQITKESYTQPFLQIQQH AQRSPFLNNEGLVYSSSELQSEINQFSSMEELSSKLNTYIDSYLQELRQPQSFLEQLSFK QSESYEEDSSESLCQRIYSKFVSQINLSVVQSYDYISSIDEKQQEETPLSLSTPILNQEV EILPDKTFSGSKKLFQDQFHLTCSYPLSQV >CAK64349 pep:novel supercontig:GCA_000165425.1:CT868030:644025:645157:1 gene:GSPATT00006202001 transcript:CAK64349 MSSQDGCTYPLIELILSVAGLICCIAMMIIYFKSDNFKLFSYTIQLYILLGASLYTLLDF TFNVFQFSICHTFELKYILEKSICQITSYISVYGVMNVVSWQTVLIKIAKEAILYKKQEQ LSVHVKLCNIQLNLIAFAFFFPIICTILPQLSSSKKQSKGESTDQNYDDFIYGLFDNVCW LQYNFTITQSNPCNSNSVSEPESNLQNLQTSLSRTIVTLSLLCFFLPIIFQSIIQLTDLR SISKKIEAMKINKEIFKGHENHINAIKGLYLVPYFILLTCVVPAFLFFLEYFHRPAQDST LVIFQICKALLSCLGLLIFVMFLQNAHIKLVVSQMFKMKEKSIYDFQIDLFSGLEEFDLK KSDVNKQE >CAK64350 pep:novel supercontig:GCA_000165425.1:CT868030:645305:645973:-1 gene:GSPATT00006203001 transcript:CAK64350 MDKVLKNIQYTLGIQFYQVEITQYEQKELQQMFCVIKDKMHCLESQNYTIEKEVRALKSE NDELQYFIQEKKQILNQLRSLIEILEVSQEDQQLDGDSLIKIYHILQTYTPRKQQVGIDI LLNIQTEEQQILQLKKLLQSIENQTIALDMNDLFWSCIRCSKILQEGQNEQTCIYHSGKL KYYSCRSCGADEYFTCCHQCRDCNSGCKIGLHKP >CAK64351 pep:novel supercontig:GCA_000165425.1:CT868030:647938:648150:-1 gene:GSPATT00006204001 transcript:CAK64351 MDIFKDLFATQGFQLCVKAVQDVQPNGDQEALFKQCQQNYANAYKVVGQEFLRFFNNQPS KDRYGPPKEI >CAK64352 pep:novel supercontig:GCA_000165425.1:CT868030:648185:649913:-1 gene:GSPATT00006205001 transcript:CAK64352 MINIHERKCLTDRQKIQTDPISFYIWHIIFEQIQIFVSYIFRAAKLIQISKEHNTLLDKL PDQLKKIINWEDDTDIYQKVCIKIEEILQINFKEDKQFANKIEELRDIVKKEYEKFQSVN ASFSLHSRAQQVDKSNQIINMSENTFLQDISYIQNLERKLNFKEQIVKPTTIYYQQFSRV QTNILNKINKIASFKDARFQKLEKIRQIESTIEQKLESIHSKLIDSSYKLRQLNNTQSQK HILVKKSINPVQINEKHSQRQHLQQLIATKIFRNAKQNQTRFKINISAMQQRNQASLRLD QQIKSNQLSQERIEKAKVIQNYFRKYLQNKQSIKIISKKQPSQTKIHNLQLNLKRIGSQS NHTSHRTERQKQGLVMITQQNMLSFLQRQKSLSKHSYQEKLQLAKQKKIQQAIQSNDIKI IQNSGFNFGLDDYNAKDEIQNTPLFYCAKHNLYGLCQFLLQNGANPNIKCSQGQTATHQA CVSKNPNLLNLFTQYGNKTTKFIGADFNMPDDYGVTAQAIIEQEKISSMINEKDLKLNSY KVSSPKFDEFLVM >CAK64353 pep:novel supercontig:GCA_000165425.1:CT868030:650586:651222:1 gene:GSPATT00006206001 transcript:CAK64353 MYVQNNYPQMEQEIAAFINYPTEANTEKIYDYLNKLCAIKYQSQITYSSSKYCKLSGEEI TEDPIIIEGHCFSLHQIHQYYIRHGILDVSIGDLKFNYSDGITENFVTIELTQEILQKIY GANLDQMLQEAHHHFDKRLKQPVLFTCEQTGRSGVISEQMIVNGKQVCTEWAHQNKDQLS EEQRIQLESSQRIQYNLGNSQNIH >CAK64354 pep:novel supercontig:GCA_000165425.1:CT868030:651289:652388:-1 gene:GSPATT00006207001 transcript:CAK64354 MFWGTQVTQGQSYKLTAEEATVIHVSNVVLADGDSKVQVYAKVKGKEFVLANLEKNKLEQ AFLDLYFRVDQQVEIGVKGKGSVHLSGYVEPDEEELNEDLDFEDDESEEVEEKKVKKPEP TKQAEQVKQQPKEQQKPQTQQQQKPQTQQQKPQPQPQKAQPEQQQKAKPQAPTQKPQQQQ PKKAEEDWNDDEDDDGEDEELIDQSDVDDEEVDNDLGDEEDDDEENLDDEDDEDEPQQNK KFLKGNQGQQVKPQQKQQQKPQNQKQQYNKQQHQGNQQFKQGQKPFQQNKKGQGQYNHQN KGGNQNHQNNFKKNNNFNNNNNRKNFNKKNNNRGGFKGGRQ >CAK64355 pep:novel supercontig:GCA_000165425.1:CT868030:652423:654409:1 gene:GSPATT00006208001 transcript:CAK64355 MGNCVQERKPQHTLFDAQELKKLNQVFDHYCDLQTDTRKNRHLSQQSFEEIFVENADLGR KLFRFLEVYGQKEKYIHRDVLFYILEVLLKDASSVNELKNLNKVELFSLISLKSSQYIKN KEELHSFKITYLDAITIINDILKIRLQGDNFQNIDEKASRAFLDSMFKSENGIIDWFELI KQLRIRLGGLSGAIKQYMKTKFFLLDSAMVVPQMNTTSYLLNEDLIFQLQLSAHETKLKG AIKLELLYSNLVHNGGFKQMINNIIQSGLPTLILIQHEEIYEALHDKSNIQKTYTFGAVS NQRWFDTAQPQGDIKDCIFSLYPYFVVYQAKKDRTAKKNFCYLNTKDISKPQGIGFGFDD DKFRIWIDKDLNKSTCSSEDQSYESGDLVHRHIKKLKISVIEVWGIVPPAIEEQLDDPKI ANAEQEFQQFEINTYEEAENQPLESKADYYWSNKQQEVKMETTGSNSFYWNNAQTAKPTL QYHSSLAKSQPNINPYSNQNLSSEEQKIADQLVGKNITNNYQQQEVVTQTIITRRSNLQR PVLDFKYQKLYEPEMIPKKEFMETQSQKHEIFGTNIIESQYPRQTTNEKVYSSASSLNQS KGRKTADQIIQDYESRKNNRNY >CAK64356 pep:novel supercontig:GCA_000165425.1:CT868030:655974:656228:1 gene:GSPATT00006209001 transcript:CAK64356 MQKSKIILDVQLIGHNGYVISVCFSPDGTKLASGSADNYICLWDVQTGQQNAKFDGHCNY VRQVCFSPDGSTLASGSRDKSIRL >CAK64357 pep:novel supercontig:GCA_000165425.1:CT868030:656230:657022:1 gene:GSPATT00006210001 transcript:CAK64357 MLRQDNNKLNLMVIRIMSYLYASLLMVLYWHLAAQMDRYFYGIFRQNNNDQYWKIIFIVS IKYAFLLMVLHQYLVATISLSIYGMLRKDSCQRNLMVIVIKSDQYASLLMQRQFYSFMEC YNRTITSQIRWSQRQCQIGKLLSWMVLHWHLEVRISLSVFGMLLQDNQKQSQMSIVKLLL QYASHPDGNLLASGSDDMSIRLWEAKYGYTIVTTNKNYQDSLEQKLENNSFTEKCNQVNT NLTILLISQQPVFQAR >CAK77044 pep:novel supercontig:GCA_000165425.1:CT868253:703:2343:1 gene:GSPATT00039178001 transcript:CAK77044 MDIFKCKYLKHENEEIIGFCLNQNCQNTTQYCYKCLNTTHQDHINDCIRFTEIIQIMNES KQVYTQQMKQFKDIYKRIENLFEQFKKKMDQEIKTLENMTQQLKNQDYLTFKSQIHILKQ FYSGEKKDYKCIRFQFIKETQIIQLHNINNTIKNMVSEQTQAGDQFDSGNENDIKIAGIN FQTNSYQQLNIQEIEKLLNEGAVLKSLNKYQEAIECYDKVISINPKYYVSWNNKGTSLQS LKKFQDAIECFNQAISINPKYYVSWNNKGNALQNLTNYQEAIDCYEKAISINPKYDVAWN NMGNALSSLNKYQESIKCFDKAIFINPNNDLAWNNKGNQLQGIIVQAMHYKIQIFNKKQL NAMRKLFPQIQWQLAVRNYYSGTSLINLNKYQEAVVCFDKAFSINPKNENVWSNKGFALH NLKKYKDAIICYDKALSISITSLRLQRKADSLFELENKQEAKKAYLDALEKGSNNKNYIE KQLSKL >CAK84789 pep:novel supercontig:GCA_000165425.1:CT868521:3:176:-1 gene:GSPATT00039697001 transcript:CAK84789 MIVVKKNVWLVILLVHHVLVFPITVNPVSLTFIWITQHVLIVQTLVQLVVQQTPVNHA >CAK84790 pep:novel supercontig:GCA_000165425.1:CT868521:191:1574:-1 gene:GSPATT00039698001 transcript:CAK84790 MIPPALTVQTIVIIANQELNIVNGQCEACTSTQYYSSGHCYDCSTTCKSCLNSVSNCNQC LPCASQCVECESSSQCTICIPGYWLNNSTCTKCNDKCTTCTSETTCSSCTDGYYIDGTSC QTCPTGCTKCNSASECTSCQSNYYLDGTCKQCSPGSFISGNGCSDCNSLCATCETSATNC LSCLSKYFLNNNSCSQCINHCDTCESENVCSTCLVGYYYIDSSKTCEACQSPCTQCSVSG NTNCSSCHACNSPCLNCLNASTCETCVNGHYFDGAQCQLCAQGCTACSGSLSSQCSTCNE SYYLEGTTCKICPQQCQSTCQFLNGSVKCDSCASGYFGDACDQCSMTCKTCIDTSIKCTS CNIGYYLNGETCTECATHCVTCADTTGYCLSCEGQYLVDEFNKCASNLSFLSNTILLKLY L >CAK84791 pep:novel supercontig:GCA_000165425.1:CT868521:1624:2326:-1 gene:GSPATT00039699001 transcript:CAK84791 QFLLLIFFLVCPQQCQSTCQFLNGSVKCDSCASGYFGDACDQCSMTCKTCIDTSIKCTSC NIGYYLNGETCTECATHCVTCADTTGYCLSCEGQYLVDEFNKSCSNCTCETGQYYDSGQK ECMACNSACTSCVGLSNNCQSCVSNFYLDNSTCTDCPDPCITCSAINTCQSCIVGYYLED TTCKLCQSPCVACSNAESCSQCADGNYLDGSTCTQCNSSCTK >CAK84298 pep:novel supercontig:GCA_000165425.1:CT868504:1880:1999:-1 gene:GSPATT00039680001 transcript:CAK84298 MNRTQFGCNSLFRVKTSQLLKESVPSNTEVQNQKVQIEQ >CAK73206 pep:novel supercontig:GCA_000165425.1:CT868145:1397:2002:1 gene:GSPATT00038862001 transcript:CAK73206 MKEISIFHAQICYSLAINQNNTLILAVAESAIKVYTVSSSKIKYVQLLKSHTFEVQTLNF FPNKMEFFLSGSVDSNLVIWSKNLMAQPKIIQKLNGHTSTVYTVVIYCELKNLIVSGGDD STIKFWTTKQLDLFQWFCFQAIFEDATQVLALSIDKEGQQVVSCTLNYQIFVIEQEQHSI FWYVKQKIDLEWYGCKYLFHK >CAK73207 pep:novel supercontig:GCA_000165425.1:CT868145:2588:4006:1 gene:GSPATT00038863001 transcript:CAK73207 MNKVLNTIEKIDIFGVPICLLSNSNNQRYQSKVGGIITIVLSSVSLAYFLYVITLWINNQ IAPSISSKQKTIGYAEFQLPESMIELNLEDFTGDVDPFKKVNNIITPLLVTFINTTVLEK PIPLFSNDQNPYRIELKNVNLVLNTLDSYDETKKLQEQHAIILARCSSQFLVEGSNCADS QTIDDYLSRFHGFLFVKIRLSQLDLVTKELELFKKLYYTSFDTNKPQYSQIMLKQQQSII DNGILFNNYEHFNFLNNYELITQETDKKYLANTINAMSKFNYDFDSYGCYLFRIDNISIV EEITYPKLGFVLAQIGSIIQLIFMLKYIVLYYNDQLSENELLNEIITMYYPEFKQFSVNF INQFRFDEQDLNIKQLPIENMKLKYQALKKGAKEKCRLTNLLYEISRIQFILQDKFGDQI LSQSRQMGGKLQNIQIELQSFKETNQLQIKPVDSIDMECYSIEPLEILIKQT >CAK73208 pep:novel supercontig:GCA_000165425.1:CT868145:4587:12180:1 gene:GSPATT00038864001 transcript:CAK73208 MENQLNKIEYMIELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLT LWNFWIQFQSMQSKSYIIVRKLILDIRNQCKDGSSLTKSQQQCVPVCNDGQIVDLEVCDD SNVIPFDGCYKCQQSCQLECSNCVNSQCLRCIEGWNLIEGQCLPNCGDGITVQTEQCDDG NQEAGDGCYQCQAECPYCQIRNYYNKCQLCQEHFRSVNMLCLPYCGDNYIEPGLEECDDG NEIQYDGCHNCQLECDTRCKKCLSGQCKDICRFDELEIDGKCVKFIPEDQTINNFNECPH GCSKCVQGECLHCQLNYVLYGGLCYQIECGNGIKELLEECDDGNITNNDGCSNDCKIESN WNCFTKNTQANQCFSITQMYLDYLNQTKYYQYVQLYFSKKVVLGNDSDINQFPAMDSLQI QGLQPDEYIINCNPKVPISQDESRDIQYEFQIYFNYQINSKLIFNIQLNETVLDEYGIVL SPTNVSVELKVPKILTRPQLTASKTLKNVGHSMMIGICCSGFLMLALGKFSEVIQLMDIL QQQQFLKYLNFEYPQNVNVYFESSDFITLQPLLNYINFPQFYEKCRKVSRVLNKC >CAK81653 pep:novel supercontig:GCA_000165425.1:CT868416:2:335:-1 gene:GSPATT00039472001 transcript:CAK81653 MIIIYSQQLCQIVVEIQEKQCYSDKMSKISTIISQREAERIYTTNRKALMIKNWLHLA >CAK81654 pep:novel supercontig:GCA_000165425.1:CT868416:1911:2891:1 gene:GSPATT00039473001 transcript:CAK81654 MENLPFCQTHSRQILNFLRSDNSELICGSCLLELVKTQKNFQPHQIIDLEQLHDHPEQVF QFTNILKFLPIEEAQINKFFEDQKRQLNGVKQKIEQLINEYDQMKQEYLEQWTTNFRNII KYDEFQSILQNLKNLNRNSWAEAIQQAQKQFQTYFDQIRVIDFGINQAELLNLRELSKYM QNKLRSNGQYQEMLNLLTKANDNQNLFFQFGDCEFFFDLIRMIQDKVKKIQKHLKPFFKV WRTDQIIHQLKTLLLERKICFGTLNHRTIMVRCLADLHPINGKQDILVAVIQILLFYFHK L >CAK70802 pep:novel supercontig:GCA_000165425.1:CT868086:842:2504:-1 gene:GSPATT00038584001 transcript:CAK70802 MLTKIKLNLQIQNQNQEYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFQYRP FKLRVKDNQGEKYLGERSKNVCCQDTLMEIKKQNAEGKTEYKVGKILTINSLFEKECKDS LIYSKPLIRIHKENQQVFVITLAKTSFMNYYLRQCCIPSRVTEYEIYQKKEKSSQIGNII YTFSSCLKECCTRVDKFSINMTELVNQDIEALLMFGCQQLYYQQYEQMINFF >CAK70803 pep:novel supercontig:GCA_000165425.1:CT868086:4003:6693:1 gene:GSPATT00038585001 transcript:CAK70803 MYQQGFFNQIQIFYLQTIYLIKKIQKILIKNHYVPILQLTIYGGGSSFLRDFEVNNVLEL NEGCLEQIDDKCLICQEGWIQDELLENCNPICGDGIIQGQEQCDNLITNHSCYQCKYSCF ENCQICQFGIYLQCIDGFVINSNFNCDPLCGDGNLTPYSFEQCELAVNGVQDGCQDCKFI SIANCKITYFSICIECEIGFQLLENACFPYCGDKLVLQQYEDCDDGNFEPYDGCYQCKFQ CIENCNLCDQGQCFLKCEDGYKFANNNCQSVCGDQIVTKEEDCDDGNTIKFDGCFQCKYS CPLNCSDCYQGTCLQCNYQYQLLISNQCKQQLNCGDGLVQEQEECDDGNQHAADGCQDCL FEQNWVCITTAKESPSQCSFVKAPSLVINYLNITQNKQYISIQFNYQPLSETLNFELSDL NRKYWNSSLNIISDVGSYLSFGEYIIEIEVFQLLKFRPLLKISVNQKVANIDNAILIDFE KQITLQYPNYLDETQKDYSQNLSGITIISLLLGSSDLFVEILAILQFQQYLRYINLEFPE NLVIYFSIYDMITVQPLLDVLQFPQLSQFIDIQSNQVYQDGKFHDYKQNSSLIINLQCQI FQFLIFLFLILLLQWIKRVGYKWIFCSRCNQYMLSLSFYINRKIILKISSYFYNIYLDLL KLEKFMSFEGLQKALLLNGWDMIFKTILYTRNIQTKNYLDIIQLFLVSIILLLYFIILLN FFKCHQRLNKNKRFAILSFGRQFFFLIILIYVQHSQTLQVGLILLTSLIQTTFLYKYRFY FNQKNYIVQMSVEISVITFMLGSFLYIQEFNEQFNQEKKIVLGWIQSILLSTGIILELIF TCQRSLLKYKLMCRRKQLVDKNNPIFI >CAK74268 pep:novel supercontig:GCA_000165425.1:CT868184:1799:2337:1 gene:GSPATT00039002001 transcript:CAK74268 MGKVQGILSMDTYLIKKGRLIATTVQGNKLSDQNILTYQWLHQNYNSFKENFNNQVKIRG FYLRLCIVDILQILSSLIKLESKYLQGEQLRVLQTKQYNNTVLDTFVFKENKKIDTRNLG YVNKNYISRFREDTTIKYLLFKLGFIGCVQKYLADIKDLHPLINAQISQQG >CAK76756 pep:novel supercontig:GCA_000165425.1:CT868249:41:334:-1 gene:GSPATT00039171001 transcript:CAK76756 MSNFLRRLVCHPICGDGIIQGQEQCDNLINLVKNANIHVLNIVKFVNLEFAYNVQMDLQL IPILIGTLKPYSVEQCELTFNGVQDSCQDFKFISIPN >CAK76757 pep:novel supercontig:GCA_000165425.1:CT868249:758:1254:-1 gene:GSPATT00039172001 transcript:CAK76757 MMIILVIIFSTNQQATIYEFIVDGWQGNSNFYTCSNIENFGSPYRYDFIKIKQISFIIAG FFQTQILILIDAQYIRYQIILSDKSININQPPFLEENILDQVKGKWHQLEEGSLVVDQLD ICIIFLLPNTITEEMPRQLLTFKNGQYHQNQVSLMLV >CAK76758 pep:novel supercontig:GCA_000165425.1:CT868249:1267:7014:1 gene:GSPATT00039173001 transcript:CAK76758 MVLQLIKSQKRDFPQSIQLLTKKVAFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSDSSISIWNIGIGQLKDQ LVGHTSGILSICFSSDGNTLASCSSDKSIRLWDFKTRYQKAKLIGHTSYMVLHQHLVVMI RPSAYGMLRLDQRKPYYMVIVMEFYQFVSLLMVLQQHLVVGISLSVYGILRQENKNPYQI LMLIGCILSVILQMVLYQHQEVKMSLFSCGMLRQDNKEPNEMAIIMQFYQFVSLLMALYQ HLEVGISLSVYGILIGHTREIISVSFSPDGTELASCSLDKSIFLWDVKREQQKSKLDGFT SQIKCVCFSPDGTTLASGGDDKSIRLWYVITGQQKAKLDGHSNGVLSVSSSPDGNTLASG SWDKSIRLWDVKTGKQKAIFDGHTSYVQSVCFSPDGTTLASGSDDMSICFWNVKTGQLKD KLVGHTSGISSVCFSPDGTTLASCSLDKYIHFWNVKTGQLKEKLIGHTSGILSVCFTPEV WGWGWVWVGFGFGVGGWGWVWGWGWGWASVGAPRERLHHLLSVGDXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLGQFKTGQQKAKLDGHSNGFLSV CFSPDGITLASGSWDKSIRLWNVKTGKNETIFDGHCNWVYQVCFSPSGTLLVSGSEDMSI RLWHVKTRPQILPSKNGSKKIQAQSKLPIFQNLVFQDNQHFAFSLIDILKVSQNQNLEAE GAQILKGQFTNYYGGNLRQLFKSKGSMILESLKEQKQS >CAK70382 pep:novel supercontig:GCA_000165425.1:CT868080:263:1625:1 gene:GSPATT00038484001 transcript:CAK70382 MDQNIKEQNEQFDKFTQFNQEDKCENIDEQIKQLEEFQGKLKELKGKEIEYLYQFELIIK NVGQENKSQQEKEILFLNNQLQTRHKEYAKALQQIKFSSQSVELFQQMNQQTESLQKELQ YEILILDKLLENYKGNILLSAKTQRDMQTKNQKQSEKIQDLLKKFQKNCLKNGQKLENII TNVSQKKEFYFYPMDDKLIKNCEYLKIQEEELSIVLTSINQKIFTQQLTPQLLNELKEHI LKVFADIDELMKKIPENNEIEEFNNHYIKNLESYEKVYALINYIKQYHSTRYQERIKEHA NQQKLKQQNNKESDYQKQFYSKLNVDQEENTKKEKEAQDILLRYENVLFKNYNMMTIEAM QKDKIQIEKTTVELENYIKQKDLVKFRSKLKDQSKIKDIIQKYQIFELIQQKLFEEILQK QINQSGQSL >CAK70383 pep:novel supercontig:GCA_000165425.1:CT868080:3297:4712:1 gene:GSPATT00038485001 transcript:CAK70383 MVSQLACLKEPSPEPNPIPEGLSQVQSRLGDVIESDGKKEGRKKVRYELLPNNPVGPRSS EEDVELCYSISKLLIRFSLMNQLKIKLITEIISPDRKNQNCFRILKNVFLREEKIQDAIN YHQQITQVVQTVEFQEKRIQKDEALQQQIVTYQSYNSKLEEILQAQNRLKTHIEEANKSI QQTDKQFLDKHQSQIQELNDKLNDYQEKYQYFSTNQKYSIQITQVINQIGQAFCYLNNLK QLISQRTLPAYQQYTQIYSEIQQSLKSFEKQHSQLHQKIYNDEQIQLKNHERIKTLEMTK NQLDQFNQQMNQFKEQVKNLIKNEYCNNETTRNLINNKLKNMDQNIKEQNEQFDKFTQFN QEDKCENIDEQIKQLEEFQGKLKELKANNQKIKKFLLQIINYEIGLENICRPYNQFNLNF KQLNQQKKNPRKELKMNQAFWIDMKKTSNTKCTVI >CAK70384 pep:novel supercontig:GCA_000165425.1:CT868080:5269:6069:-1 gene:GSPATT00038486001 transcript:CAK70384 MNSLIKKYFNQIAYGNFEPPLANPEKVLDVGNLILIISQYLNDKELLCFIQINKKIRQLS KECNQLNIRVLQIRLSKQTQILDNYIQNPEYLNTKHSRNYMFYSFNNLSMEQKQFIKIQD LLKKKKKHNSESQIQLQKQRIEQQEKPMEALQFKQINNQKSEEKKIELEKLISEVYSLLS DEEHRPLPKPAFKLNDITKNLCPIVQYQRVKQLAQKLKGSQQRDVNYI >CAK70385 pep:novel supercontig:GCA_000165425.1:CT868080:6346:6516:-1 gene:GSPATT00038487001 transcript:CAK70385 MIQFNDLRENMMSNNQLEQSAGTKAMPKIKFNLKDYEDSDSDEEVKKLQMKYLKKR >CAK70386 pep:novel supercontig:GCA_000165425.1:CT868080:6888:8657:-1 gene:GSPATT00038488001 transcript:CAK70386 MQTQDLVEYLEQDVKSFNQLFTFIPYQPSFYNFITKYQLKSIQIELKSEEFLPSIFVNDK LQHKIKYHQQFKQAYGLTNSFEFDNTISIDIISYDIEETYKEKLENDNMYPILLNFAVAN SNWNADSLAQFINEKACSYNTINIDLLYLNLFRFALYFQKCNQITTFLHYPSVKFRLQEI RHTNKFVETPLTKMITKSRSSQKKEKVQYKFGFLSLDQSNRIFPLMIQDPLIFQLPLIGT WLYSNDPYDEPLNNRQYIWTMLTEYICSPYITRRICKDQYDQFLFIQFQKNQSPQFFEVS IQEDPQYKIVRSQERILNLDHHQIDLASAQPFKQIEKMADLYNPICNTNQNPNKTQNINK QEIRSAEPIQTIEQSFQQESTVKQPPVPQPLDSNQSYQSANFPQNQHLTEKLVIMQSEQL KLMQTQIIDLQRALLHQMQQPNVQQPMSPPRNEQTFHPSNKRIGLPVQKIDFLSEQLKLK TQSKKKEQEIEEIAQQILCDKIESMQNSTRNSINKLISQNNSNNSDTSHQFKISTNKKQS LGDLIISMKDSDIKRQIKRNQAMIQGYQWKYST >CAK70387 pep:novel supercontig:GCA_000165425.1:CT868080:8737:10386:1 gene:GSPATT00038489001 transcript:CAK70387 MQVLYQMNCVRKHILKSKTYYLQVLEDSMILSEHKNMLNPKYIIQFNFETRVMWKVSEQD ELCSFGIYYVNRIKWFDSDHNSLNLLKIHLSPKVFFGNISIFYDSGSIIGSGASSKVKYK NLNQVCLVKVKKSILEYAAKCISKKYLLQKKSNDRMNRLQQEIQILQKIDHPYFVKLHEI YQGENSYYLVTDYLSGDTLYNYIKTFPDDQIPSHQIREAIKIILTALKYLEINNIIHRDI KLENILLQKQNDITSLKIIDFGLAIYAQPQQKISICGTPGYIAPEILKNSNADEYFTHKC DIFSAGVIFYKLLTKKTLFRAQNTMEIMEQNKLCLVNYQDIEYKLQKEAVSLLKSMLDPN PRTRYSAAQCLEHPYFSCKLENINILQEILDKATGFSGLSQIKEQKDTHSIGIESQAAQV KRCNPTSYKIRTEQRKRTKSPRKYAEFQFYCPSFCQMNSFESLSSKGSSSYSNKVSRIEN LINDKLDSVIEEDDKFQQNIKQVCSN >CAK70388 pep:novel supercontig:GCA_000165425.1:CT868080:10510:10893:1 gene:GSPATT00038490001 transcript:CAK70388 MNDNNLDTQQQQTRSGQTQGTYQTIKSNNIELHINTNTLIQQESPYPKVPQTRKSEKLFC SKCNAPVDSDISYEMGKGSYVIIFILLALIITCFFAFIPCLLNNCKDVQHRCSKCTKLLG TKQFLFG >CAK70389 pep:novel supercontig:GCA_000165425.1:CT868080:11039:11706:-1 gene:GSPATT00038491001 transcript:CAK70389 MQHYSSDEEISSIPEDEYQDYDRQDFEAIQFADNKQNISEADEENDDENEDDEEQEHDNK LQKNGIKFRLPQRNQIENKKSQSNLNNPKILTETTNQLKNISKIENRPSTSNSSSYKPIY TTSIHQSQQMQKKYNYKQKSFNTLPKRSDPVARYQQMSQQWKKTKFLQNTNGMKEGRKLN LDTRIEQQKQELKESGMFHRYVAKSQLFL >CAK70390 pep:novel supercontig:GCA_000165425.1:CT868080:11846:12934:1 gene:GSPATT00038492001 transcript:CAK70390 MREEGTIEYSGDIDKETSVKYDEPHYSADDEQQCEEFENENEVPVYTPQQLTSYKKPCPV FQDEFILDEESKKKVCIEECTTTEQSEDYPVLGDLEMLAAMLLKENQKKFSYPKDLPVPT NVEQHLEELRMRFTPSQKQEDFISTYVKGDDLLRIKVGLCEEILNDEETQKFEVWVEQLC TSTNHESLKEMARKQKVKRYLEKKHSRTYEKKVHYHIRQKVAEERLRVKGRFVTWTQALK MLNEQQDSNKSWTYNDYFKIKNLLNEKFGAIKSERSLQF >CAK70391 pep:novel supercontig:GCA_000165425.1:CT868080:12935:13361:-1 gene:GSPATT00038493001 transcript:CAK70391 MLLRKLALLYEFCQQSARQCFQFLQQDPIEQSGQISTQYQQANKDEQQMKKQSLQQDYGD LEDQDTDHHLIEQENPFEKLKPTLNFQNTIPQQNNNNSKIEKEQIKYDEEMVDMHQNSNW NNNELDQENEHIEL >CAK70392 pep:novel supercontig:GCA_000165425.1:CT868080:13564:15309:-1 gene:GSPATT00038494001 transcript:CAK70392 MTHQLSNIEKIKQQLHLQHQVKEILAKEYVDLQDKEHFEVIVGVLEKPKKLRNANDLQLL AMAFSSIKYFQEMSKTTSQDEMLNLFRELQYIEVPARRTLFRLGDIGKNFYIILSGSVWV LVARSGLQSGTFSKKDENNKDKIDIDEGTFQDENEFIELDDESMLVQKYPNLMKVGQIAA GGSFGEIALTNFIPRQATIVCKENSQFITLSREAFNKFLSEYYNRIQQKNFEFLKSIQIF KAWNDAELSQMQYHLQPLEYCYDTLIFKEGEIVKGVYFVLEGQIEITQNAKNDNHFDQPF GRRLQRSYSQKNIQIKLNRCGYGQLFGYLEIVSNQEFRQSKAVCLTEKSKMLFLPADRFK LYCCNGSTLSELNKMIEKLDENKRISKEIFLGNSNHHSSGFDFLTQSPSYLIKTQDEDDK FAQVNLTQQNRQSSHKMNHSQKNTERKPQKVVQEILVTMNLFHHIQKRRNKNLLLNVHLL RFNKVEKQRYYNNNYSINNKLKI >CAK70393 pep:novel supercontig:GCA_000165425.1:CT868080:15565:16156:1 gene:GSPATT00038495001 transcript:CAK70393 MIQQHNQTFKYPSINYCNPNSSFRRYVKDETYTECIIELKVEDNQRSSISTEGSYDYYSQ SLKINKKAKIQKNKDKQLPQKSETKNIPKNFGVLLKKYLCSKNVDNQAIKAFLKNGEHKK NFSRQDFTRLFNDSIAADISREYFSGFQIIHDLMISEKIQDVKNHLKYISKFYNSTYNKQ ELDELKLQ >CAK70394 pep:novel supercontig:GCA_000165425.1:CT868080:16615:18144:-1 gene:GSPATT00038496001 transcript:CAK70394 MLVLIREFRCFMQTRLQGYTCNIQQLIIKVVKNGKVYWKEETLVDEQKEFLQLEVFIIST QQFQNLQPKQPINLKLELTESVSKNESFFEFHPSQINNLTTQKINIIFKCHQDIALQFTL KVEIINSTNQTLTIPFTKQCNESYFDHSKYSVYLKDLNNENISIQWDNSKPQLLQNISTV FEFIPPINQTIYIQDVQLNIDNNNTKSAKDLIKKYYLDPPLAYVSNSKKLVIQPEITCNN ISNEKILFHLKIKTLKFEEPFLIYFYKLCDKQDKDLENNQEFPIYIGTKKNTNELFRAGV SQNDYNYSTKSIAESTNAFQMFIYFKTKDTQTIQQRLFPNGQKIDIDIITRVKDEEILKI KHQKKLQMSNVNDRKQILLYFDCQQQGNSTVYVDIIFNYLDDSHKQASFSFNKFCAKTIQ KQEKTLFGEIIYYFICIVAMLFGVQTIRLFTNLIKQDANVPEVTIDTSYKRGYSNIEEEK QIEL >CAK70395 pep:novel supercontig:GCA_000165425.1:CT868080:18170:20044:1 gene:GSPATT00038497001 transcript:CAK70395 MAAFIIAYNYQQPKQYEYQIDIKSLLNQITQTFTLKPSYKFDFSFYIIQSLQFLGIQLYT VLMKQNKANKIDFELLQKIQINESNVNLSWVLKFPNSHQLQSSILQMAFIDLNIEIQKYD IQGACHIIINNQIEFPYLQIAEGMLIFQKQRCLFLCDCIVEKQKFLCHQSQLFLIKITNN QGHLILVFAHPVSQREWFKILKLSAKQNNFLQKYRIQQVIMTNIFSVLQRRKNKKFVAQI IKKRDIHDQDQYEIINNYIKILRSGKIINYYPVLSIFEDNESISIITYQFVGTTFETLLL SAQTIIPQSDLAFIIFSVLQSLRSLQEEELFHGYVCLENIIMANVLGSLQVYLINSNYKV KYNETILQPYNENNLDFYLNFIPKYLIAPEILSQSHVPSVKSDIYQLGIIFLLVSFYSKG QTFNRQYISKMYQSRKELIKQQEINFQKCQDSDFPNLFSACQLDLIKKMTDNDPDKRISV NDALKHAWFINTRDKLKSQRIQSMNKNLPSLKTIIEMVEQSEQDIRRKSFQVNVQVKKNK LECATSIQQFEHSPFHQQQDANSLLKSQESQNEIIDEEHEISNLIYHLNNKQYHMMPSLL GHIIQDKNQYRLKQAKNHLSQFQD >CAK70396 pep:novel supercontig:GCA_000165425.1:CT868080:20371:21036:1 gene:GSPATT00038498001 transcript:CAK70396 MQFNSESNHLAQKQAKKYSSVSIKKKINKELELNNKHNSGHWTPEEHQTYVEFLEKHHDT TMQNQQNRKNNKIFKLMSEIIGTRSPSQCRSHHQKFNPYTPAGQRRLKKNKKKWTTETQI QLNDTNQFIQYFTPELRPNQEPLPIIQDQDEQQDYLCEESQRLRIRRYSLDNLDESYHFN NPHNTQLFNEFCYD >CAK70397 pep:novel supercontig:GCA_000165425.1:CT868080:21102:21392:1 gene:GSPATT00038499001 transcript:CAK70397 MDINTNFQKEKHQNRIEQQANIRQHFRKLALKNYNKQGRLGINRDPKLCEFNFELLKPFY IQLKDPFTGHWMKQIPKNYIKEKKLQKQEQDLHQLL >CAK70398 pep:novel supercontig:GCA_000165425.1:CT868080:21466:22876:1 gene:GSPATT00038500001 transcript:CAK70398 MAEHKLIDGYVVTNKLLGTGAFGSVFRGYKESDPKLIVAVKMLPMTEGTNKKISQETSGE KLKILSKIRSQYIVQLFHAARTQKNYYIFLEYCNGGDLKDMMKSKGGYLSEQDAVTYFKQ IIYGFKAIYQENVIHRDIKPANILLHNGIAKISDFGFARVVEDMEGQEKFTLLGTPLYMS PQILEQGKFNSKCDIWSVGIMFYEMLYGHPPWTATSQLALLDQIKKKPLTFPNQPKRQQI IKDLISKMLVIDEEQRINWYDLFENELIKCNSQELKNKLNLIIDSVQDTLEQSVQLNRFY VDNNLILAQGKNQETQVLFEVKNDDDSMNIEQKDNSQQNLDDLKGLTYNDIIEAQTKKLQ EQITINKIDAHLSLMRNIGIFVNLTTNNVFQLFKDQQLNISTHLFYRIMFNLQKSQMIIF DDLINIMASKTPIGNGIH >CAK70399 pep:novel supercontig:GCA_000165425.1:CT868080:25596:29240:-1 gene:GSPATT00038501001 transcript:CAK70399 MLSSQQQRNIFIQGRGYEVVGEMEQQGAQSINYYAKCSGNPQEQVIIKQYKEIQYNEIEL LYKIQKEQNDDKSKSTHIIKILEIQPSSQQKKQINFLIVIEQGKNNLQHLIYNNKSFNLV QKFKLFKQMIKGVKELHALGYCHRDLKPENFVYFENQRKSYTVKLIDFGLAKKDSTRLKT LQVGTWTYMSPEVMIGEGNYDKTADVWSLGIILYQMLTTEYLLKAKNQKDLMFQMHALTQ VQINLTIDQTNCMGQQEKEIIKSMLQKESQHRCNLDIILNKIDELLKEIKEQEQKEIQQF KDQKQQCIVQLKDIKSTIRNIIQYLTDKQKIINQINLQNHTKQQLLTYINYEIQKYEEIL LKNEQQQKSISEAQTKEMLKEYNNNELTEENEQYQKYQKGIIEKITEEQSQLQQQFKDKE QEQKIRIELEYRFKQEQILKMELEQKLKIEQEEKLKIEFEQKLKFEQEQKLKMELKQKLK IQQEEKLNMELEYQCYLNIVKQLLNQQSPQIELIQDQINFYQIIQMQILEEKKIQDVIKY YHQIKQDIQTVEFQENIIQSYESLQQQIATYQSCNSRLEEIQQDQIRFKAQIEEAIKCIQ QIDRQYLDNHQQEIEELYNELIDYQEKYQYLSKNQKYSNQITQIINQIGQAFSDLDKLKQ LIFKKTLPAYQEYSQINEQIQKSLACIEKQYSQLHQQIYNDELIELKNQERIKKLEIAKD QLGKFNLKMTQLKDQAKNLIKNEYCNNERTQDFINIRLTKIDQNIMQQQEQFVKFTHLNL LDFCENINGQMKQLEEFQGQLKEVELDEQENINQLELIIINAGKESREKEILTLNNQLQT RYREYTKALQSIKLQSQEVECYKKMNQTKENLQKELEQEISILNQYSQIYQENMQLLSNE RFNTQQKEIKSDNIKRQKSIQNIQNMLNNFQQTSQQKGQKLDYIIKNVSQKQQELNFCLI DDNLIKNYEQLKMNEEQLSIELTSINQKIFNQQQNSQQLYELKEHILKVFADIDESMKKI PEEEEIEKFNNALIQNLESFEKVYALINFIKQYHLTRYYERIKVNANQQKAYQQNEEYYK ELQSKLNLDQEEHTKKEKAAQDILLRYENVLFKNYKSMTIQAMEKDKKQIEKQIVELENQ IKQKSLVKLRSKLKDQNKIKEIINIRQYYKIAEFTQMLIYKIIMSSNNENAQQQQSKKY >CAK70400 pep:novel supercontig:GCA_000165425.1:CT868080:30245:30523:1 gene:GSPATT00038502001 transcript:CAK70400 MLKKCCQFEIDNLNFCLKSAIFQKTNPQINFNITNKTIYIQKQEASNRPMCQDYTKFKIQ EQQLNGKIYSQNNQIRYEDFSKSRMGRVANKK >CAK70401 pep:novel supercontig:GCA_000165425.1:CT868080:31041:33875:1 gene:GSPATT00038503001 transcript:CAK70401 MSKKQNVIKRLSLHSKQIENYLQDESLRLIGMVQIQQGDQSYGELKFEIWSYNKTQITLL KEQQYVDPEQLKKLEETEGKNKDWGRCRIFNIIRPEEPKKIIWDLIGMGFIFIQMILIPL ILTFGLDMDDGFSIFSNIMDYYFIVDIVLQFQTGYYNKGNYINQRRKIAINYLKLWFWLD LISSFPYDGIISLTLEESNQKDVQRNTQIIKIMRILRFVKVIRLMRALKLKKIINQIEDS LSLDKSITSFIQFLKICLIILCLSHWLACIWNAIRLLQQNEQDWYTQYVSNYDQELDNDP NYWFNQYVAGIYFSITTMITIGYGDISPKNTIERSFGVFVMILASGVFGYVMNSIVLLFQ NTNESLEELLIKNDSAKKYLKHKCINKSLQARIKNYLEWLIEDEQLQKGYAHNTLEKLSL PLKNQVTQLVHGNMMSQIKFLRKNFSPLILKKLAFIFQDEVYNPEDWIIKNDDPIDDSTS IYFILNGRVQICYPKTKGVNDIVELTKKQYFGEISFFANVPRCASAKARNFINLFRLSRK AFLEQCQNEDLEQFFQLKFNIEFEQKLEGLNIKCYVCSAVNHTAKYCPNTHYVINKYDRI NIIEKYNDEIKLMINSKRRLRKKSRTFNNLSKNQAAIQQITSDLYTLEKYLNSFKKNKLT TFRIKDSFKTDSIKEYTNFVPYSNLGSIARLQNYNAEIHAENVRQLKEQEKKNKLKSKFV QLLQNKQEKRSSQQSLKNSTSKFSKLIFEVNKLKQQQKLEEQSETIKEETRPQRKLSRLS SPKTHYIRYETNIKLKRMPRKTYLDEFNQTDKFKQTIDVIPEDALESPAQLLNQTKSIKL NIKPFKIFKSTESTIVESVQYPDLQIEKPIKRSQSNEQIDSNQNDLQTHHKAFLENMIYL VVNYKLQNCK >CAK70402 pep:novel supercontig:GCA_000165425.1:CT868080:34272:36549:1 gene:GSPATT00038504001 transcript:CAK70402 MNVHKKILEKNGRLDSQYAQQQQSHAKEEEYIQNKQNSVQIQFNASYNNIRCTSNIFPQS KQTFIDAKIPFAIMIQPYGQSVQDGFVSVNNGGYPIIRCASCRAYLNPFMNFIDDFDYLR CNLCNLITQIGFNTITKENSNQRIELTLGSYDIKAGQEYQARPPMAPAYFFMIDVSTKSE ALLGIIGQVMNELMISEQFNERTLFGFLTFDSSIHLYNFNSKLKQAQMYVLTDDNELPMP GEFLFNLQDSKDIIVGFLNSLGQLFSKPLQKATQFMEALKISQKLIKENGAKLIILTSSP IKELSIVDNGKQQQQYLHKSKSVLTQITESMYFQFICPSIFVVPNNYMNIYTINQLATYL NGDVFYYDDPTVYTTKFRNDLKEVLSRDYAWESVFRVRTSVGWKIKYVYGNYVVKTSDLL SINNCDDQKVLVYELELTEPNLPYDNLYIQTALLYTSLKSERRIRVHNYCIPLSNSVKTI YSHIDQSTLAMSIFKMALFQINSAKNLIPVKEYIISTIRSIFYNCKLYNISLDQMYAYSL GIMKSIIFNYSYDQQSILTDYVNYFRIVFQYIHFDELVTYLVPQLYNVSSLQEHQCVYDD EGQFAYPEQLSLVFSELSSGGLYLMDCGYCLILYICIIHDPTQLVTLFDAEYDTKQNEDN LQSHNGIYTLISQLRYNKFTKYAPLYIVKQGCKSIWEDVFMQNLIYEDFNPQYRMDYNRF VSYIG >CAK70403 pep:novel supercontig:GCA_000165425.1:CT868080:36617:38957:1 gene:GSPATT00038505001 transcript:CAK70403 MNSSQYGAFGMSGNNFNQGKPPVNNYNFENVRAQQPAPGGPQPSRGKPLGTAARQPQAEA RPMTSNRGANFGQKKDPFNSTQNQLNLNKPKLETNPEEQFKSIEKEINNLIEQSAMAKLR GNLSECLEKAKEAFNKEKKLRQSKEAQNLAESINTDLSYCAALTQACALHANGLHQDALT KYQEIIKCKQYPQAGRLRVNMGNIYFEQKKYLTAIKMYKMALDLIPATSKEMRFKIQKNI GHAQVRIGKEKIKEAITTYEQILKNSPDFPTGFNLMICLYLSGNKNKMKDYFVTLLTIEI PGENEEENNENKGTTITDKLREDTKERRREAIYYIVTAAKLIAPLIEDDIIIGYEWILEQ LKNSTFPEAETEIEICKAMAFLKKKNIEKSIETLKGFEKKDKQIMARIATNISFLYFLEN DYKQAEKYAEIAITYDRYNAKALVNRGNCLYVKNEFLRAKEQYLEAIGVEADCIEALYNL AYVNRKLNMFVESLQALDKLQTIVCIPEVLYQMATLYEMTGNSKQAMKWYLEVMNKVPND PNILARLGSLFAREDDEPQALHYFQESYRILPTNIETISWLGVYYVKQEMYEKASLYFER AAQVQSRDVKWKLMVASCYRRMGHFQKALGNYQKIYSDYPDNIECLRFLVQLCREMGLPY EEYAGQLRKLEREMEMMDGYQGQDINLINNEDEQVRLPQGDDNPVSFTNNTRRGNKQPPP KTNVRQNIDDEQFQDGVEDNFLP >CAK70404 pep:novel supercontig:GCA_000165425.1:CT868080:38986:42581:1 gene:GSPATT00038506001 transcript:CAK70404 MNISQQYEEQQNSERIDTQFRKQDQNLFRRQFSQYQFNNTWKLNGLNIILIVLKFIQAIT KQTFTTSFKLLNRQFFKLLKDKTSDYNYYLHRGYFTQAKAQSFMIKFDQRVNFFQYYRRN YIKRFMQFILLEPDDTIIIIWNIYLLCIVTINVFYVSLRLSFVEIVKMDWVLKDFIFEQL PSYSFLLEIIIKFNTCIYSKGVLIKNRKRLIKRYLKREFLIDMVLIIPFFIGRQFDFIYL DLVIILKVFQISKLTNSLFNRLELTQQQTTVFELVKLIFFILLCAHFSACIWHKLGVWGD WGDKNTLTWLIKEQLYNSMWIDRYVVSFYWSIVTMTTIGYGDITPVNLTERLFCIGMTLI STATFAYSVNSIGQIFQDMSKQSVQFKTNMNSLNRYLKNQKVSTTLQIKFRRYFEYFWSK PSQEVIQFQDQIPQQLKDLMIVDINIKLLKQLDLFKQFTNSLLNILCLQFKEQQLQPDEY LFKSNQRADKLYILVSGQIDLHVIINKKKRIIEKIKTQCLVGQLNFLLNTEYNYEAIATK NTKLLAIDRQTLIENIKQSDIDYQIYKNFEEDIRLQKQFGRISIKCSICHKSNHFVLDCP LLIGGINRTKVLYQLRHNVPQDRILKVRNNEDRRISTKKHHFLVMESVIQYIMKNDEICN LEGIKESAIKQQQQYEEKISYNLSNQFTKPTSQLPNVPTSINCISHQSLRNTQQIQNQRS LKDNQIQEVPIEKDIQFGTLSKSQDIRLLTDLNNLTIQPILEEPQTKEERSDENKFSINL SEQLPQVEIQQTSIVPSADTDTKQPQQRMMKKRHSIRQKFERKQTEQYENKSNRQKQFKR LFTERQNSQEGLPQLSGFNNQLIVSQQQKMNKLQQPTIMRKQSIQDYIIQKEKAQEPLKH KQNKQDDSPFELEYSNSQRSIKNSNVRPNIEIFKVDDDSDQSQDKDKKQITNKIYTKVIN DDENSEDEKDLTNQFLEEQILKNRQRFTDKQIASQEPQQNQLWHFPQQEFKPLEQSMMIQ YEKIKQKEELLHQQKEKQQTVINNKESKESKDINNQASIQRGLTIKVTSDDSSDEDINGQ KLIRGQYLEIFKDFEVVKEFKCYYPHNNINQIIFLLYKNDFNSQHHFLRKRKIKKQDTIM NLLKQTQNLIRKNQQ >CAK70405 pep:novel supercontig:GCA_000165425.1:CT868080:42928:45162:1 gene:GSPATT00038507001 transcript:CAK70405 MIKLREQESDYQCLYQHQKPIVAIALNKSSSLCNRFLCADCIKNFEKNIMTMGWRTAIEK IESELQHKHKVIETLITPFLKLLKEFQSDLEQFRIAISNQIDQVLSIVNQWQQDLHNEKQ KQVDYKFLEELEYIVQKEITQQSSQYFEDKIRNQIKELQSKWIVQVESKLSQLSKFEQLN SCQEKLKLIDKQRKIQIIKLKKELELPLIKLDSTEIGVQYYLYDTSQKSLSNNYKTVIFI GQKEQGKTTLIDAFVNYYFDIKFDDNFRLRVSNNEPTSKATHYMIPPYGSRTYGFCLIDT PSFHNKNDSEIMNLIINLILNCKDQLTLVFCLKAENTRNAQESVQTLQDILAVTGQHQEI KLVIVRTLFSNENINTINTEDDLYLNELEESYNLDINANNVLRKNKSTKTIFKQSMEKLQ QIEFQEQNLSLSVKMQLVSNRVSNNTNYSPIFYQSYNQLKQLFLQNVQIYNKNKQQQYLN NMITLYYLKRVEKQVNTFQFNCDYHLLTCLTGFRNQINAVDCWAILNNQCICGCTKERIS INYQQISYEEECTITCNDEVYQENILINKLALRRIFYFLFNLYIYKSQNQQFKQSQFFEI LKKEEQFKDNIDLELCQQVCLGQFNKQQNVQQNQQYYKMQNNQLYPQQHLALNSQAIQKL PQNQVLNNEQYTPQRIQQNNQQDFFFNNQKQAQMPQNVHQLNDKQGQFQQYPIINRPNPS PGQNLQTFYPTLNNQGPTQNTMRF >CAK70406 pep:novel supercontig:GCA_000165425.1:CT868080:47406:47639:1 gene:GSPATT00038508001 transcript:CAK70406 MNLFTFQDIARMRIYLEILFKFGMLGSINLLVVFKLIQIIYLVGNRGLYTKIEMSILKLG ACFCIKEQLNIQFIVNL >CAK70407 pep:novel supercontig:GCA_000165425.1:CT868080:48272:51764:1 gene:GSPATT00038509001 transcript:CAK70407 MISSNQQGKILFIQGRGYEIIREIQQQGAQSINYIAKCIGNPYEQVIIKQYNQIKVDELQ VLNIIQKEQIDDKSKNKHIIKILGIQDCSQQEEQKNFLMVMEQGKNNLQHLINTNKSFNL AQKLKLFRQMIKGVKELHALGYSHRDLKPENFVYFENQRKNYTVKLIDFGLVKKDSTRLK SLQVGTCNYMSPEVMIGEGNYDKTVDIWSLGIILYQMLTTEYLIKAKNTKDLMFQMLAFT QDYIDLTIDQTNCMGQQEKEIIKSMLQKESQHRCNLDIILNKIDELLKEIKEQEQKEIQQ FKDQKQQCIVQLKDIKSTISNVIQYLIDTQQIIKQINLQNNTKQQLFTYINNEIQKYEEI LLKNEQQLKSILYAQTKEMLKEYHNINYLKTIHHLLILKEKNKLEQQLKDQVKFELFEEK QKIEREYQMKMEQEQKLKKEKEKEQYQFSLQMVKQQLNQQSPQIQLIQDQIHFYQIIEIQ GNEKISDVIKYHQQITQDIQTVEFQEKIIEQYESLQQQIATYQSCNSKLEEIQEAQNRNK TQIEEAIKSTQQKDQQYLVKNQQQMEELYKELIDYQEKYQYLSKNQKYSNQITCVITQIG QAFSDLDKLKQLIFQRTLPAYQEYSQINQQIQKSLVFFEKQHSQLHQQIYNDELIALKIQ ERIKKLEIAKDQFGKFIIKMNKLKDQVKDFIKNEYCNNYDSQKLIDSRIINIDQNVKEQQ ELFELFAQLIQSDSCENINNQITQLEEFQRKLKEVEINEIEYINQLEQIIKKEMEDKKSE KEKKILNLNNKLQTRYGEYTKALQSIKFQFQSVEFYQQMNQKKENLQKELEQEIRILNQY SLIYQENMKLLEYEIKVNQKKRGMLQQNIEHMLKIFQQTCHHKGQKLYQIIYIVSHNYQQ LNFCLMDDNLIKNYGLLKIQEEQLKIKLISINQNISNQQLNPQQLDNLIEYIITVFSSID ELMDKIPNFNQINDFNKAYQQNLESYEKVYALINYIRQYHLTRYYERIKVNTNQQKKIQQ NNKESDYQKIFQSKLICDQEDNTKKEKEALDILLRYENVICKNYYKMNIEVMEKDKKQIE KMTVEIENLIKQKNLVKLRSKLKDQNKIKEIIGQYYKITEFTQMLIFKIAMSSINENAQY >CAK70408 pep:novel supercontig:GCA_000165425.1:CT868080:54037:54857:1 gene:GSPATT00038510001 transcript:CAK70408 MKPSQESKMVEQNMKNSVISMKQNPQSQQNNQSSQRFQTTRPDLCQNNFNVQSQNFGYQH SLGPKYSQQLPQTAISQEIFDDDDQVQTNLVQAKPNMYDLEKELIFEIKTLQKMIKLSKI STQQLPGIISIKTKDQLNDQDLNRVGVDLICLIDKSSSMNGSKIETVKQSLKVLLTFLSN QDRLQLIIFNTHAKRLTPLKRITEDNKLYFTQMIDQIKSDGGTQISSATQIAISQLKGRK YRNNVSSVFLLSDGQDNDATQQISR >CAK70409 pep:novel supercontig:GCA_000165425.1:CT868080:54924:55778:1 gene:GSPATT00038511001 transcript:CAK70409 MMTSICSLKNGSFYFVQNISLLDEFFVDALGGLKSVVGEKLQIKVNLNLPKILNGLNIAK THGDMWINKGDHYEINLPILIQGSRKDFVFELELPQLIAQIQDNERNALIMEAQLQITDP LSKKDLKKSATLILTFFNQDEQIYQNEEDIEVLEQYNRVIVAQIIDDARKQCQKQQFDVA QNQIDEVIVRLQSNQRMASQAPSLIQDLYQAKQASQRGTFTSYGLGQMMQLSSNSYQQQG VNSIFSLDGKQQQQNVRFQSYSNNLQQNMVQQLQLRKTQNGNGS >CAK88624 pep:novel supercontig:GCA_000165425.1:CT868651:1270:9564:1 gene:GSPATT00021792001 transcript:CAK88624 MISKQIHLLIFQVIFGYRTYFKYVYDFAEPVTCPLYHIHSPGMHPHPCVGQYDICQLVQE IKVIIINQHEVLCHPRFQLSDQTSVIFDYSENTDFVISSQVIPNHQKKCILAQKAGDILK CQFCFFQTYGEYCQLPNPCGIRCASCPQNLCETCQEGFSPSDSNDLICTLTCQAKHKQCT IVNSIYAFEGCKQGYELVDNECVACPNKCITCVMGICTACEFQYHLKDNQCFGDINCTKV AYILDPNTGLAVGMTCEICDFGYFYNQNQQKCTQCKDEPGLENCFICFNATECKICKGTH VITEDKKCIPFIGCSPKCKTCLYTDPNYCTTCYFERCEGSAIDPGKCLCDSQNGCVKKDD GCAKCLYGQCQTCGQDYYHCTSCKPNTNRELVDSLCICKQGYFETGNADLICLSIYQLEL ECHVNCYNCKGIFEDDCTECGDPSIYYKYFQNGKCFCIQRTILQIQSDGNSICKPCHPKC EKCYQPYDNTTNQYCTLCIEGQQRVVSNDFQCVCQDGYGEDGISDVCFKCHYSCLSCKGL LQTDCISCSTVAHRHLVLNQICLCNQGYNDSGFEDPQCYSACHHSCDNCTVQGQDQCTSC PSTRHPDRVGTTFQCLCNDSNYYSDPKFLECQQCHLTCKTCNGTHQTNCLSCDTTYRQLI LSKCDCYPGYYSTGILQCSQCHYTCLTCYSKEEDACIACSAAQNRFMKANKCICMNNTME VSNTDAMCAKCSYRCSSCNIAVDYCLTCPDQSQRDLGIDNTCFCPAYYYDEPGNPLCIKC YINCYDCKGPQNNQCTACNPLSKRELSTNGECICMSKYYDTGIQECSSIYLSQYQQACST ECLGCITTPTNCISCNPGKYLLGNICLCKTKLQGNQVTTYFDPSKNRCQSCHYSCLSCSG PLVNQCLSCLISESRILIGTNCVCIENYFDTGFPNCIKCNSQCNGCLTLPTLCKSCPLSS LRIYNPQNYSCNCPNSYYDAVDNPICQECDYTCQTCKTLSNRCESCQVNSYRTYNSLLFS CNCDVHYYDSGVPICQQCHYSCLLCNAYGANQCISCQPQVSSFRTLNGKVCECLLGYYDN GFSQSCQQCSYTCLSCINSSTYCTSCEYTRHLDQNLCPCNTGYFEKGLSNCSKCDSNCYN CKFNSKYCTECDKNIFRQLNTNTNTCQCQSGTFEINEQCKICNENCLECSITPTNCTSCG LSKILINSQCICIDGTYLSNVNSKCYICNFTCATCVGVDSFCLTCFSDQNRIIDITNHTC NCKFGYYEDPVRISCIQCDQTCLACFGVASYCTQCDSNLNLTLNYQNRCVCKSGHFFNII SKQCEICNFTCMECLSQTQCLTCEQITRYLDNETQKCTCKDGFYEVNQKQCLQCHSSCKT CQIQSNQCLSCEPSNFRSFHLNTCQCLNGYYEVGIEMCQKCSQICLTCQTNSTKCYSCYP NHLRVLNQNSCTCIPGYYDNGQLICEKCSNSCKTCKSQRDYCTSCDVDQSRLDQSIIHKC PCISNFYQDTNGTCQKCHIKCSGCVNERNNCVSCKYVQGSNRLTISNQCNCKDGYYDDDI QIICKKCNTRCITCENDPNNCLKCQSNLRIDPPDCHCMNGYFETDQLNCEPCEIQCDTCQ TRASNCVTCKQGRLNKTCDCEDGYFEGGQPECIICDFQCQTCAIYSSNCLACKGDRFEIP FCRCQDGYFDDFESLNCLKCDYTCKTCTLNECLQCNGNRILSDQMTCEPPENSVSSLLTP WCSNCEVAVVKIQLSDDLTTIIIHFDFPLNPNFFSNYLESNTCFIILNQTTLTKLGMNPQ CNIDPVNDKQLLLYVGQNPTILPGDTIYFMQNSFGHANCDSKLQYFIFNTLQQPSHPFAP FIQYDVPKYQLNPCQENIILKESKLYDGLRSFISVSWSFIVEGYNGIGELESFVTELTNL QVLDLTIPENTLPIQSNITLFVEVQNFVSQKNVFKVQIQTHAGQYPSILYQLKQYYYTFE PIKMTFIMNKKNCLDNSNISQDISQYQIQFFEIYKNNSKSRSSNLNFNGLISSNLLELNI ESYSLSAQTAYKFNFTISDSSVQYDSQRIINIQIKSGGVLCLFKGTKRMQNYLHDTNIYI QCKDLDVLNDWNQDPSLSIMISCLELISQEECKDSKNQKLKYNSTETRQTFPKATMEPYT IQSWKVIASKNSVSYSYKFNVVYLDYDFKMLDVDYNSGYLIRPVNNYEDLQFTFNIPFQE RQYLLDYSIALIYDYQLISILKPQYFQYSFQLYDYYQKFNKGNKFQLKFLVQFTNDIIPS QEDLTLFLNQPPTCNLKMLQQNIQALESLKMAINCEYSDDQPYQYQMKVFLFRDDFEEFN NKSSDNSLLYYGFQKSNHFIVNFPSSEVNVIFQIIDQRGSITNIHQNFSITKTQVLCNNQ KPDQLNLKQKISWIFQIMINHNDQSNCIKLKDELYNSVEQVMNSKVIYEKLLAYQTINLY KKLILKQKASNSSIRLLEEKQQIECYNKESFLFTITDQESTKKNSINISSLIASSQKVEL QIADLINLKKSIEKENKNDNLIVDTQQVMMMNWSSVQLIDNQLLIISQNQSSTDNQEKVM NIILNLNLIN >CAK88625 pep:novel supercontig:GCA_000165425.1:CT868651:9629:10462:1 gene:GSPATT00021793001 transcript:CAK88625 MMLKLQLQKITKSVYNKEFQLEDDYLDNLIGFLQKKQLKVNYNYYNLSNAQRSMLQIYLN RSDFEINQNYFVKSILTTFLYTNTYINQPQQQTQYRIDLTEFQYCDTQKDAPEIQQYNYY CIIHIEGNTYENCDLLMEEINNQSAQLYCKCKSFGNLFLIKIVDKFMNQQNHTSSNQMQE DQKNIEVFKQSYLYVQSAFIISSIMVYYAFVYLEYQRQNEIITDQGSINRLDTLEPVKKS FQINLYPGHFFVFKTSFQVNCFNIKIVYAFYFVIFLK >CAK88626 pep:novel supercontig:GCA_000165425.1:CT868651:10577:10988:1 gene:GSPATT00021794001 transcript:CAK88626 MAKSPYISIYSKRFQKQLKQFICFKAKFLQQWLYYIFVCLLSFFLMILALNQIQITKTEL DLQISLNILSTLLLVFLIYEPIAIYIRIVIYRPFLDSIKRNEYNPVSHFVYFFINHSQIN KIYDQLNIL >CAK88627 pep:novel supercontig:GCA_000165425.1:CT868651:12233:12373:1 gene:GSPATT00021795001 transcript:CAK88627 MQSTQFDDQQYLCISPINKKVAYKIGSISNFEHLNAIITDYCKAEA >CAK88628 pep:novel supercontig:GCA_000165425.1:CT868651:12979:13209:-1 gene:GSPATT00021796001 transcript:CAK88628 MKIEESEKNEDIVQLVLPLLDVVQCFANGSLSVENLNESQKADFQSWIKIEKKQKNLFKL LKTKREKLNKVQLKII >CAK88629 pep:novel supercontig:GCA_000165425.1:CT868651:14807:16531:1 gene:GSPATT00021797001 transcript:CAK88629 MQQNKVWRKIDYDIREKPILQFRFQKVGRKSNELYIGCFNDYLIKYKVTHLNGFQDPFYT IPYKLFDLEFTSKFEVIRTPSKLKQEKHHTKVRGEEILELGEIVAIRLIVENIDKPYEIQ GKTEQMHQFRSFLGNKINQIGFHNLFRVYKKIGRGNFASVYLAERIEDNLRMAVKAFSKQ IVYNEEKGREGLINEIQIMRELEHPNLMRLYEVYETKNSIYMGLELLEGDQLFEYLKKKV QFTEKQVQSIMVGLLMGLKHMHQKGIMHRDLKLENILFKQQGNFDSVVIADFGLATHVNK KPYLYQKCGTPGFVAPEIINLKNMYQPYDSVCDVYSMGVVFYILLTGRPAFTGKTYNTIV KQNKEANVNFDSPHFQNTPKEVQSLIFQMLQKDPLLRIKSIEALLHPYLQSALLIEDDEA HISTDDDPNLMERIQILNKQTEKVDFTRIKRQNSQNIKELRLQATQELKQNQTSDEELKI IMRTPVITGRVNSVENSPMLKCISPFQSAGGYDEIVHDQIILQRYSGKTLNI >CAK88630 pep:novel supercontig:GCA_000165425.1:CT868651:17209:17973:1 gene:GSPATT00021798001 transcript:CAK88630 MRSNIALRLRKSIEREKYDSTQKFSLHAFSIHQAPSPKRTAHQTPIRGKYQILQKRKSFN EQKVNDKTQLLKKIEYFNSVNITLENQQIKDQNKSIQYRKDNRQKSEDKILRLGTLTNYM SEEQSKPGDQQERQRKKYSLPILKKRSSPSPQLQDSQTIPPMPIITELTYTKLAPNEINK VQNKEIKELPESTERILDLLLLNTCDLKKVFQQQKQKNKRKIIVQGRIPQDFFNILKQF >CAK88631 pep:novel supercontig:GCA_000165425.1:CT868651:18008:19483:-1 gene:GSPATT00021799001 transcript:CAK88631 MNQEQSEFQYDYQLDFEYIGNCRITYENYTPASEEDVKLSDLDPQFLDDFKIQDDSKYFL YKVEPDDSYFGLELKFNVRSADQLMQINEISENSLYEGITIKIPRKQSVPIYEQIINQEE KQENLLDSFQQASNKFFDINYVTNLGYIKGQLTVHEDVLFFYPDYNNLGNEKIMQEAKLK NVQQLQFQILLKNVIKVTKRDFPAFIQLSSKEIFRMHLIEIHLQTKRSKVALIQLIDVSQ LSELMDSINSVLASYFPPTPNKIIETPTKPQLKTILPFYYNNDLKLEQSIQLAFEKLMGS NAFISYLESESEIIDQNLFMKVACYIPAIFKSQRWNILYSSTLHGSSIKTLMRNTQFSQP VIMFVRDLHKYVFGAYLSDGIQKSKDHFYGTGESFLFTFKNTQSLTVYNWINQNNFITLC DENGLAIGCGDKYGLYVDSEIYHGYSHYCETFGNEVLSSKENFVIDRMEIWGIYQG >CAK88632 pep:novel supercontig:GCA_000165425.1:CT868651:19630:20445:1 gene:GSPATT00021800001 transcript:CAK88632 MLQNQQSILNESFHFANLQQFSPIYLEFLKIFNSNAIDKLQNSNSLDQHIKTDLSLKNSD SQSSSPTQIHQTKKKCLNRNTVQPKQLQQQISCQNNNCQNKRKNLNETQINGQTTLLCNR CSINFTSNNYCEYCIQIYDPNLGYEMDDKQWIMCEKCNRWNHVECEQSLGKQNLTKITYQ TKYQCSQCHKKIKQSAKLNLNKDTSQDQEYINTKTKQPLAIIKLTNKEIFEDISDLRTLL FDEKSKKVKIELNQ >CAK88633 pep:novel supercontig:GCA_000165425.1:CT868651:20732:21542:-1 gene:GSPATT00021801001 transcript:CAK88633 MSYRQQYQYYNNPQQTNQSYSTTSQRSQQSLQQQPFIPQQQNPYVQNNQKNQLNLNQMIP KSTSNPFTQQVNIQQSQKGPQFLFSQEQSNVNQPVAQKLLNKQQYQQDPKILQNQQNGKD NLKQAKNNKIQNDEEELEYEDVDYEENQQEEEDDQQKDDTEQYDTEEEIENQKNIKQQVD VDKYKSVTEIDSIRKEVNKINKELDKLDQELQGTLIMSRINFGEQTVLDISASRDLSYQQ YLKEFEQFKQQKQVNPRSMNKS >CAK88634 pep:novel supercontig:GCA_000165425.1:CT868651:21660:23601:1 gene:GSPATT00021802001 transcript:CAK88634 MNTKYIIKKLQTIYSNIMIQMPESQMGSLRMRPSQLRIEMTDDQQPSTRRRNFMSPTALP LFQELQKLIQYQTIIDNQTESLITYCSFDFESKFVNLANQQMSSQSQITKSLLFNIFLQG FFINYYGYVFWQYSFRYVLLQLWIQDLFTIFILIYFNYRKQEECIWQLIETMFLFFLKVF IVFYYEIQKFKIYFVTIMMISLSILVLLMRVVQKLKFQLQNNQQIIVQFIKTLLCVQITL IALKWEEKIDWVWSQIFIILWVFLVVFALLLFISFIGCIETFINLLKKQTNQNYLIGNIW IFINIIGYTLLPFTFLYRLTQLYDNQESFGEDETIQLIVLSGIFMVLITIYTTFFANNIK MFLKELQGFESYSAGIPQQPIQIQQEQEQRIYNLPDSNEILSKKKRKAPFRFVKLNAPLY LIKMSCTFFAIFDKLSFDQKNVKQTEQLSQRALGQQSSNRMEFQKEIKANSNSIDYKINN LEMQDIKKVNIEELAKENVQSKDISEEPDKSNKNQNSINEDQQSIQDKCLICYENQPNIL FIPCRHGGICQKCAEDVVLKSNQCYLCRKNIQQILRIKTEGGQLVVNEISHIKQKRQIQE >CAK88635 pep:novel supercontig:GCA_000165425.1:CT868651:26218:27070:-1 gene:GSPATT00021803001 transcript:CAK88635 MAQTQIQCEQKQFIQNTMRQPYQKIGNDIREKVIYDIVFQENSIKQTALKYGLKYSTANG IVQTYQQQGRSMKKDKRNKKQYYKRNKILVVVDLKSGDLNLYQQQTTSNVLIIESSINPH NVGNFKQPDQFRNSKECTQQFILQDIESISNNLIFWMKQNMKFGNSKNDVSFNNFKTQKR LAKNYSSQTQQEIQPTCQLHKAIRREEFQKKYTSNSLNYEKNKKDEEHIDEEQSDQMKNY FELPVNSLDKNYTIDRQLGNMLSLWKQQIFLMSQQ >CAK88636 pep:novel supercontig:GCA_000165425.1:CT868651:28069:28591:-1 gene:GSPATT00021804001 transcript:CAK88636 MSNINIMMNKSIQKFRNHQRIDNQKKHSLINLVLQESLSTRQAAQKLQIKYSTAKYIVKN FKNKGNNLDEHEQPQSKQKSMVSNVSIIIDVSDGNISLVKNSQKLCYSNQHSLQEELKNS NLLQTSELIFQKLGKIPWNKINYKDCRDTEFLKAYILKQHYLMKKS >CAK88637 pep:novel supercontig:GCA_000165425.1:CT868651:29676:31162:1 gene:GSPATT00021805001 transcript:CAK88637 MFKHKSASFHDASIDTQLKFKPPKPEIISNSTKRNSEVFSHPPELLAKLYQTNRLLEQNI IKLNDAQQKLASKEEEILDLKQKLKCSTKDLNERNEKIAQLTEQLKGLKGDIRKPSSDRS NQELKSKLHHVSQHNEALFQDYQSVLIQNQNYQQQIIELQDQLNSISYRLRESEQAIQLI KETETKVNYLELDVDRLEEKQKKSFPDMMKQTQQLKIQYETNIQLLNCGVDYIASQFQKS ITTLTKQLQMVQKFSKRNVVLSETQLTFYGLNTLAQQTNSKRVQTILQKMNISESLQSWL EGIVTFLMINCQEILQKEVDKKLEKLSRQIQQVHDMAIQLATSYDFMKFGRNKSNNMSAY SQKSNSYCSRMQDKENEEYSQNIKLKDFLDLALIKLGEHLAQIQQLNIELQVSNFQNKSS RELQMGLKEQLHLHENLANYLKKELVSIKKEISSHSQRGQLKKYSYMPKII >CAK88638 pep:novel supercontig:GCA_000165425.1:CT868651:31190:32586:1 gene:GSPATT00021806001 transcript:CAK88638 MYIQHSSRLEGKHTKNSRSAQRVTPSHNLYTESSYISQILAHQQKINDIPNSNKRLLNNI SPLSLNYKEGKILQKNYLQNKYSQLLEGNHVQRAGWKKYLLDGFKEKIENILNQNKKSSK LFKIKRQYFTRSVALQPTVIQDDYLTVDALIKKQNPAYNIEAVQDSLIQQHKQYLTNQKN TDYDEKLQNLVKQFEIIVDRIEKEAFKIKIPTNFQTAMQNYNDYYNVSLDFKSKHKSLKI IDFSKFEIKPRTKQSYALNFWDKEEIEEEYEQQVQTCRVSTQKECQEEILEEIRQYQSNQ IFQQFIDLPKESEESENQLEQALTEQVEPTQSGIEKHKEKKVKKKNVRYKQKKRQSIEAV NNVNKSIKVDEIESIQNNNQSEILQIEQQSSQKIFDHKFVDYMLSLDDVHEADLSQFMEK QVAEYDSYIFCDPDEEVVYKHQVDWNCQIDFLLRNIG >CAK88639 pep:novel supercontig:GCA_000165425.1:CT868651:32827:33583:-1 gene:GSPATT00021807001 transcript:CAK88639 MSASLEQKISRTSSVDEKQDNILIKSKIQKLIQSKDNNNLYQLLKKNKKSRTSYKQLKYE GIIYKIGQNLCIKADRRIDYVAKLLKIVKLVDNDDEVYPLIKVQWYYRKFELENIPKPYM DYISENEVFKTNEYDYIEIESIVSLASILTYEEFDQLETMNDTTYFMRAAYINRTFQPPI EEWATTCICQKPPNPDLKYIQCEACQGWCHLICLNLSKEKAKKILNFICPKCQQ >CAK88640 pep:novel supercontig:GCA_000165425.1:CT868651:34927:35489:1 gene:GSPATT00021808001 transcript:CAK88640 MQQKIIRLISIDFQILNRYLYQLKKLILFKITLTANAAIINYLQISQTFSLKYRLNEYFI ELLRQSTILPVQQYRTQTPIRQQRSYSHFDQQPQSSMKKQQENFERENDLLREKVQQLKQ QIASLEQNYQSNQEIDEQYIVALRIFQQLKIESEQLRLELSYIKQENNLFKSKLKQINY >CAK88641 pep:novel supercontig:GCA_000165425.1:CT868651:35536:36422:1 gene:GSPATT00021809001 transcript:CAK88641 MKVNKESQEFADLVLKYYSPKILLKQKKQKEEQQQQQTQEEISQSFDKVDSKTKYSIDYQ KFQNYIENSDKTKPKTAEEIEIEKIIQSNPYLSQIACTHDRRKEREIYEKTNKEKFENIE YFKQEGNVGFKNKEYDKAAYFYQKALVYFDYTFPEGDQEESKYNLLLEQCNNNMAQCKLL QGSLDEAWNYSHQALKVNPKSEKAIFRQAKISYQKDDFEQCEALIKKLNQDLEETKELKL NLQNRIKQYNQRNEQVYKKMLSE >CAK88642 pep:novel supercontig:GCA_000165425.1:CT868651:36733:37608:-1 gene:GSPATT00021810001 transcript:CAK88642 MISETQFRRDLNEKFSLTGLVHDLSEYGIQFLYEAIRLYKDTNKMNRKQMVKSLQEIANV RIQTTYFQKPLTESQQVELFDWFEDYCIQQIRKFKQQKNKSLFIKSIQAIKNDQARELNE NQNRQLSQPINKKVKVNDNKNNEKPYTQSDHEEDPEPISQENNSNQNQTDQLMLLLLLTA VNQQQKTTESQSQVKVQNLSLNLISRTINSSMKDAGTFPIVPISIVNMFILQLKYQIQKF HIVQIFSALQKGISMHLYASAMQAWNSLQKPLMQL >CAK88643 pep:novel supercontig:GCA_000165425.1:CT868651:38227:39239:1 gene:GSPATT00021811001 transcript:CAK88643 MDMFVNRQSNKENKNPVLVPTQYEIMQKEGIFAKTNTSLYTHHRFYYRKPRHSINISSQN PAIVRSNSSQSIHKDIQKIVQQLQSQQIYTQTQINSRQPFKERYPTQFSQPSNTQITEVV DLLEKENLLKIHQLKPCTPRRPLTSQINYNLPPSIYENKSNDQDNSEKYTPLLKSKSLFR KSLNTSLDKVKKINSAQENRQKRTHSQTINSCQQKQQQKSIKDRITNVLQNPIKVLHLCQ KVEGIQDLINEEQLMELIQSDQFKIDLQLLIKNSNGSNPQLKLILQNFMIEQIKKQKELQ EVENHLQCIKLLIIKQKKNTTLELQDKL >CAK88644 pep:novel supercontig:GCA_000165425.1:CT868651:39664:39960:-1 gene:GSPATT00021812001 transcript:CAK88644 MNDTIEFDDCLNEATPQEKDIINYLQELKLIFLTDPQQKQFKFEQAKKQLIFSFRSLDSD TDASEMTPPMLVKTSSLLLTKSPLRQKRLSIVSEHPLI >CAK88645 pep:novel supercontig:GCA_000165425.1:CT868651:42104:43502:1 gene:GSPATT00021813001 transcript:CAK88645 MFNPLNRARSPQMNGYPNQINQNPQYGGNPYPLTGQQSPMNQPLTQRSFPYQQYPQSTPP KGYPTQQPQKSNPPFAQQPSYPPSQPSVQSIQVYQTLNKQPPPQSQPPQQVPQQSYTQIP QRSIKQLPQQTLESQRQPYSQNNLQPQSYAPSQSSGLGLSGLRQTYVPPTFVPLQPVMTT VIQRPIEFVDLEKFEELWDKRMKELEDRIRQSQQQPEPQVVELRASNDEDKDALIKQLQD ELYKVRCENDEKDQTISDMKIENQLSLQALNNQIKKLNNDNNDLKQELEALRQQKQFYKS QCDDKDEHIQNLEAEIEELRSHIETLTEEVTTSQSVKTYEEEAKIWRTKFKELNDTYHAC QEKLILTEAELDLLKRPQSQQKIVTTSTTVVKNNAQTSGAKSDSDYLSSSLTQQDVERIQ NLSKNIPQI >CAK88646 pep:novel supercontig:GCA_000165425.1:CT868651:44380:45196:1 gene:GSPATT00021814001 transcript:CAK88646 MGNGCSGQREMTERSYSLRQPSITLTRLTEQEKLITLVPASQLTQIDLPNALLPSPSRQS ISFEPLSTSKVRVPSEESQFQNQFVQTKEVKLIKKKHDQPSRPQIQVPKKEHKKKEVCEI TPKYHSSSPKKKNKQKSTSPLGNQQYQNYDNNKTNKSNRKKSSTSQQKIKQINEIEIISR RKYSDLPPRTEKTQVKRKISNSIDDHNFIIGTDCVSKVKSFTPSPILKRRDSDCETNSFQ KKMVRFKDVNYRRPGFVN >CAK88647 pep:novel supercontig:GCA_000165425.1:CT868651:45223:47246:-1 gene:GSPATT00021815001 transcript:CAK88647 MFHTRPHLPTYPQNRAHNKGGSETRKAIDHYSYAINDEIGRGFSSRVYKGRDENTLEPVA VKVIDMKMVKQSIHAQLLKNEINALKAFNSKNIMKLCDVFQTQNNTYIITEFCDSGDLNN YIKKKGRIDESEAIRILQSVVSAVNEMNQKGYIHRDIKPANILIDKNLPKLADFGFAVPA HEARLQGKNFNVGTPLYMSPQALRQQGHTEKGDVWAIGVVFFEMLYGRTPYNASSEAALI SNIMHQSLVIPSSPPVSDRAKDFIRKCLSVDENKRLRVKEMAHHEIIEQRALTPVERAPP RKPFEEITNLNIPTEPSQIQKFKRSQSQGVKEVPIKEYKTQLIEEKKKQHEAKQKKLQEQ IQRSSSQQQALQPQKITKTSAFEEQALPVQKQKSISLEFKSNNEVLFSQINFCRFLYKFS QNLASCKGISTEIRDKLLFLMGKNIALKINKLATILDKENKQVNIFQLDDYEGYKKSESH GKFSQAISEYNDKYMRHFEKVLKLASKNEFQKDQLIGCLCNNDITENESFYKIALQYLKQ SITEIKQNFKSVSGQKEQLLPEEVNNSPQSQLQMPSFILFGLHGYSQLISKTMENWSDYK LFQKASSPELLIERKPGQMNYGQLEQLL >CAK88648 pep:novel supercontig:GCA_000165425.1:CT868651:47272:47523:1 gene:GSPATT00021816001 transcript:CAK88648 MTGDRNINRKHVNYRKAGKIKKKLHKQQIKKAALSNKTIQPKSIEDMTKKDRRELAKKQK KSDKKQKKRELKEAAQKEIEEEM >CAK88649 pep:novel supercontig:GCA_000165425.1:CT868651:48202:48744:-1 gene:GSPATT00021817001 transcript:CAK88649 MLDPMRMATVRRQSELIKSNNQLIEQQPRGRQQCVKSNQILTDIVNQCIQRSQHSIDALQ QIRARSKDRRSSYKKTTRQSTISSYKGQTSPSFRQDDQSVTSSIQESLQSQQPVRLFSFI ENEPSFRAKDMPLQINRASLETKKKPIIQLKENQKKLTNIDQLFQEALRKSQQITEKFYH >CAK88650 pep:novel supercontig:GCA_000165425.1:CT868651:48865:49992:-1 gene:GSPATT00021818001 transcript:CAK88650 MLQQSDKDFTLEQVVGTGTFGMVYLATDNRTKEKVAIKKVYQDRRYKNREHLIIQELNHP CVVMLRHSFFTPGENPQDVYLNLVMEYVPETLSKMIRQMRKQKQSIPAPLIKLYSYQMIR ALLYLQAIGICHRDIKPQNILVNLENNVLKICDFGSAKRLVVGEPNIAYICSRYYRAPEL IFGATDYNTQIDMWSIGCVIAEMVILEPIFPGESAQDQLLQIIKILGTPTPDDINQMNPS KADVRLPTIRGNPWTRVFAKQKPEPLFLDLITQMLTYSPKTRIQPIDALLHPYFDDLRKE GFSNQNFKTPNLFDFNKQELSIKPELYSKLVPQWYQKLNK >CAK88651 pep:novel supercontig:GCA_000165425.1:CT868651:50130:50735:1 gene:GSPATT00021819001 transcript:CAK88651 MYSIKFPTELIKKKVYEHTIAYKFKWSNGTISIEPMNQLTPQMLELVHEYEMQQYHQIHK KVKLNSHQISPKSSNNCLPNSFTDFQQSSLIKKQQPSRLTNKAPVKAPQKILPQDIIQQK EESNSKLYLKKTFTKHSHLPRPNKYCVIKNIRRENGDIYLQITDDQTKWIKLEDLKKDSP ITLCDYLLSKIRFK >CAK88652 pep:novel supercontig:GCA_000165425.1:CT868651:50857:51596:-1 gene:GSPATT00021820001 transcript:CAK88652 MTSKSQPPIQEKTFHEWSKNNFYRTSYINHYTQVKEYSQSIKLPQEPKNSAVPGYAGYVP YVQSENLYGERFSEVARKSFADSKLGKFNRLSSTGFNFDAKELIDPHKEAYSHKYGCQTL LKNHPCTHIDKMITTYQDGFKKPQNLVAPTFRKTDRYLETQQGQTKTSGFQKNHMQFDGS GWIPHENMNGDQVRTEYRIQYNQEKPFHRNPIQFKLRKMKQTEMNYKHT >CAK88653 pep:novel supercontig:GCA_000165425.1:CT868651:52346:54701:-1 gene:GSPATT00021821001 transcript:CAK88653 MIKIEINLFINILNEVHHKRQKFLLNSNLGGVWKNSEDEILKAAVMKYGLNQWSRISSLL VRKSAKQCKQRWYEWLDPSIKKTEWTREEQEKVLHLAKIFPSQWRTIAPIVDRTPMQCVE QYEKLLDLAQGKDLNDPNDPRRLRPGEIDPNPETKAARPDPIDMDEDEKEMLAEARVRLA NTKGKKAKRKARGKLIEEARRLALLQKKRELKAAGIQYINHRIEKHHIKLQDRKYKGINY NRELAFERTVPDFVHETTGEEPEPDKKISNVSLQALEGQRRDEEEEIRRKIDQRRIKKLK ERELDQAVSFQNKYQVKFTPQTKLQLPQPQLKDQDLELLGKINAVNEISEHTTSATRALV GNYSTRDQSQSNMRTPRAPNTVLREAQNIIALQHTETPLVGGMNNPIDIVRNIGNQTPNQ LATMLKETPRRQVDSSNDAFGINIEEYERTWEEPSQSVAYVNAEQERQQQLKNEQKLKEM IKQKLKSLPKPKNEFTIEIPQMDNEEQKEMELEMDAEDKLKILKQKKLAHQKNLPTPKEL PSGQIYEQTENQFRNEIEELLNYTVIQLIKEDIYDNSNGLGDISVAKELLQEERVSLIGD QNLNELDMIWNKVRQQLYFDYEENKFVNIEKIDEEVRMAIFTEYFRRTRVQYEKLNKKQQ FFANRIAKLMKGYEMRNVQLEKEISLLNDKISEIEMNKEVYSQALHHERHIYRDRVQELV KYHDMLQVKSNELQDKYQYLTKQLQELDQDQEILA >CAK88654 pep:novel supercontig:GCA_000165425.1:CT868651:54853:56904:1 gene:GSPATT00021822001 transcript:CAK88654 MIAAEELAEEQQEHNNQLIQNGKSTFKPQFLSKQQREEQKQKQEEQQHKQQVEKQQQIAQ IRKEYIHFRSKVISNSLGDDQKVRQILEKRKSKSRSRSKSKEKNNRYITSNTRATVPVKM DGENQELMHIKMQYLGLNKEKKKILKPSEKFKNIFNFEWDATEDTSIDFNPLYMNRIIAS GLHSKAQEDKDPDQLLSSDHWSKKSLGQMQPRDWRIFREDMDIIIKGGRVPNPIREWNEV QLPKNLMNSIRNLNYVKPTPIQMQTIPIGLERKDMIGIAPTGSGKSAAFLIPLITYLSTL PKQDDKICKDGPYALIMAPARELAIQIEAEFQKLSQGYNLRSFVIVGGRKEEEQEFHLKK GIEILIGTPGRIKDLLMKKYLVLEQCSWIVLDEADKMIDLGFEQDVNYILDSITTQMKSE DEIAAELEEKLAQAGERQYRVTHLFSATMPPQVEKLAKRYLRAFCFISIGEPGGGKKDIE QKIDMINEAAKKNRLLQLLASNKPPIIIFANQKKSVEILSKTLEKYGYNSVVYHGSKTQQ QREAAVEGFKSKKIDILIATDLASRGLHVEGVQMVINFDAPKNIQDFIHRTGRTGRAGKR GLAVTFLTNSDSDLFYDLKEYLIKSGQNVPPELAQHTASNQKPGSVPDNVPRRKQVILAH >CAK88655 pep:novel supercontig:GCA_000165425.1:CT868651:56932:57327:-1 gene:GSPATT00021823001 transcript:CAK88655 MTIGYLWYSVVFRERYMKEANVKQEKEKENNKQNNWPLLFELAGRFLQACLITIFYNVLK SKDERDLILALTLAVFFSLSFQVQYYTSKVVWEQKTWNYFVIKVGEQFISLSTLSIIAYI FVK >CAK88656 pep:novel supercontig:GCA_000165425.1:CT868651:57426:58330:1 gene:GSPATT00021824001 transcript:CAK88656 MYITMKGDLVELNQRNLVISRTQIDNHTKVQYKSDKLVINDKIVLQNFNKQFINRLDYAI KNYEIRLQQAIQQFVCNRQEILKINQTDKKLVTRYLWINDNYSEIRYSDAPLTKNFKKIP LNEITFQYQQLDDKVMKALKKYDLYPEYLLTILHKKKQLSVVFLSFRKLCAFTLLCNWIL KSRDLPQIQFSALIFLKLKLQYAAQQQGLTIKKYLLIQLNRIRTQLTLKSLKDKNSQILE SGKSSEKKQWSVQLTIKKSKQDSLDKENINKKIQFS >CAK88657 pep:novel supercontig:GCA_000165425.1:CT868651:58662:60236:-1 gene:GSPATT00021825001 transcript:CAK88657 MSHLLRHNEGADPTLSIPSPQPKDYDWVLISGFIVILTQVGFAFIGAGSVRYKNAQSVVI KVFLGLFLTILIWWLFGYGFSFGDDFQTKFLGGTKLAGSKWEATQYGNDYTNFVFRAAGA AFGIAILAGASAERMTFLGWSVLTLIYSGFIYAGLTHWTLAAGWLSSLGFKDFSGAGVVF FAAGAAGLILTVILKPRKTRFDNGTTVEFSRHSPLYIGFGSLLVFAGWLFYNGGVVAQGS KTQYAQGLVAVNTLIAGATGGFVSFVIRYFQYETTNLVALSRGVVSGLVAVSAAADDMKP WTAFIYGFVAALFYSILAKATPSAHIDDPAEVIPVYLGSGFIGIFLSGFFDTKAGAFYGF GFKLLGYQLLGLLIIFAWVVFFTLLTLLALKGFGVLRIDDETERVGIDKSLCLGEAVVFA NQVDEAPLLKQTELANLPSQLGSGYRSGFK >CAK88658 pep:novel supercontig:GCA_000165425.1:CT868651:60339:62208:-1 gene:GSPATT00021826001 transcript:CAK88658 MSILMLSMICVIYTFDLPGIQQKTYFEGQQIPVLINEMTSESTQLPYDYYDLDICKPEST ENQNQNIGSMILGTLIQQSKYQIFMNYEITDEILCTKHFTQTEQNNLKWFIDHDYRVNML IDELPILSSNPLNNTIIGVPLGIRNVNQYSFYNHYNFKIEIYNTSKSEINQTFSINSITV EFESKCMDSSEIDSGINCPINSIVNVTYSVRYLMTNSSNRWSAYLNIVTNTDQEWMPLSI TLYVFFGLTCLIALFIRFTVKRDVLTFEMLPQEDSDGQIDQKGWKQISRDVFRPPAGILF LSVLIGTGIQFTIMTFFVFFLSSIGFIYSAHTGYLATLVIVVYVFTGSLNGYYSSKFYKY FKGEYWLLCTLGSNLAFPIMALFIFGIENIALMFEEASSGLDFKSGITFIALQLGIQTPL NLIGSLIGFKTESPKNPCKYGQIAQEIPQQPFYLDYFYSCLIGGFVCFISIGLEISQIMQ SIWKNSYYEFFVSLLFTAILLIIISAEVSILTVYFLLQNQNHRWWWKAFFVPFTSGVYLF IYSIQYYLDSLQFTRFSTILYYFGTMYMASLCLGLICGTVGFLASHVFVKKIYSMVKLD >CAK88659 pep:novel supercontig:GCA_000165425.1:CT868651:62237:63319:1 gene:GSPATT00021827001 transcript:CAK88659 MSSVQHYLLRKQITLIQHPNIFMTQHDIDESQLYRDGKIVPYYVVGKPEIIDKRLNKSQP IEEIVQPHRKIKPTTYKEFKNKQSQQMSVEEEADFIQIDMEPSQVENEIQQILNRKTQQQ YLHLKKLSKADQVAAVKESRIIKQFETQQQKWQRRVVSSAQQCNRPTSQSIYNQIQVERE KVEDRKLLDLIQTDAERYGNKLWERQLRSRSDAVEQHKKIKEQGHEIIKNPNCHAIYKRP RSIAQRFEERKEKVNEVYSVGPQLDNLQVEGESKLVKETQSVQKMLDEQKIKEQNENCGK RKNPAIFKKIIPTDQVGGSNEIISLNYDKQVLRQKGKLDIFKQFF >CAK88660 pep:novel supercontig:GCA_000165425.1:CT868651:63383:64078:-1 gene:GSPATT00021828001 transcript:CAK88660 MYKQYLFVCLLLALAVCEGGYQNVKIKEFMSQNNEIFTHINEILDDEAGPEYFLWKIESV QQQIVSGINYKMVVDYQKKDDPNQLSQQFNLRPWTNTRKVTSMESKNLRVDNKKSSNFKY HGWVDLDAQQFNTKYPQIQTLLLSKLIPKFDLEDNTSIMEIQSVKEQFIAGKTYLIFVQL SDGKKYKGTLYENPWSKKMEVVTVELEEEE >CAK88661 pep:novel supercontig:GCA_000165425.1:CT868651:64153:68070:-1 gene:GSPATT00021829001 transcript:CAK88661 MSKQYNKRGNYKPRQEAYEYVTKEEYEQQQIQQNQEQQQKAQNPPKKQQQQIQKNKQDDE RREQQQQQHYQKKQPEYKQYQKQNNQKDNKPYQKRFQQSVEVDEDYRRDDPQVEEDTQKF DITKVKQKELTQTLIKMLKYRKIECPVCYDKIHPQQKIWSCTQCYFPFHLYCMHKWIKNL NPKNTNQLYYWSCPKCNLMIQDKLPEYKCFCGKQQDPEADSYSVPHSCSQKCEKKRGQYC PHPCPMDCHPGPCPECHVQGVEIKCFCGKKTKQMQCSEIKKDFSCGQPCGKLLNCNNHFC QKPCHNGNCQPCVETHEVQCYCGSQQNTINCAQASYSCGKVCGKALDCGKHQCFEQCHPK CQPCKLKPELVVYCACGKYKINDLIKEQRKSCLDPIPNCGTPIETTLACGHKSRTICGSD YPECVQKVKEKCRCGDSTRLKLCNDKSLFVCDDVCKKRKSCGVHFCQKECCPSGDQEAHL CLKVCNKPLPCGQHNCDQFCHIGACQQCPIIINQPLYCPCGKSVKNPPMKCGTAPPSCLE VCNKVLECGHSCKSLCHPGPCPNCMEQIDKFCRCKQNKLSTICSKQAVCRNVCSKVLNCG HKCQEICQAQEECPGNGPEGCGQKCNAKKLCNHLCQEICHPNEACPKQPCKIQIRVVCTC GNRDTFTECGVVDELIEKTLKCDQKCQNIKRFGAFYKSNSLVDIEKTYYPDILLKYTHYN LQNLQKMEEKIEHFLVHSKPEDGYDFSFPRSEWSELKKVALQTLFSRHYKFDVQILKTQN KQYLFSLYKTQDTCIPKIKLSEYFIKVQKGIIKRDHQPFKAKVKTFYEVSSTDAVNIENA LSDFKGDFYSERQSQQYVLNFWDEAQAELALKKLKKAVGLLNVNWVLEMNQQCLDEYKRI FDPAQENDIIVKPKKAKQYEYEEQTLEVQYSKLEEENFSKLQKQYSDKAKLTKKCDDEEI EERINNQQLSIFEQDGFYQYEIQPIRENIIRAYKSEQKPFVPEYVRTPDTLCKTVDFLLQ NFLRADAPDSDFKKPMKGDTPRDIGYLDIYEFIHDRLKQVRVELNYLQYEDESIYGILLV FYVLVSIIRFYVLSHSQLTDNQDNLRTLCELLKTFRNEETLQYTLILSCFHEIPECQEFY SQVLKMKEQDNQNPTIQIFIDLVTQMDSKQYHKYFDLYQKSENLLVKSLMHGFLSLVIGQ VNLQFQQIVSSLEQDQQFIAIARLLEQLQIGEKNNLVEYLNQLGISDLQFQVSQSDSEVE EINISQILQQLKKKKPLEMLDLDRLAAIF >CAK88662 pep:novel supercontig:GCA_000165425.1:CT868651:68620:69874:1 gene:GSPATT00021830001 transcript:CAK88662 MNSKYPNSGCTLKEDAQSDGLYNKSKQSTDFNGNLEFSKNKSNSAASSLNGMSEHVNEVT PPFINNADLRGFQPADTELFPFEPKSEKNNFRFLYEFWPSFNQIFCQGCLMTGPKGDRYH NAFTWIMIIGISTCFFVIAAPYVWQKLHWLYVLIVIYLFLNTILFLVLTQFSDPGIIPRK SILELSDQNTHFISKAEVKIEGTGGQQRVCPTCQIVKPLRCSHCKDCGNCVQVFDHHCPF VNNCIGQRNYRFFIAFLISLLLLALGELGGFLILFIGNFGEGISGKDGILIENQTILVIV LFALGIPTILLTLCILVFVCFHICLAYTGKTTKEQLQKKKIADRGIIPSTTWCDKAKSLF NLRQKIHISRIKKFQIVV >CAK88663 pep:novel supercontig:GCA_000165425.1:CT868651:70097:70625:1 gene:GSPATT00021831001 transcript:CAK88663 MGSQICQNVQPSHEEQLTQEKRFKFNIHHPPQIQKPQPILKLLFPEENAQIEKERLNSLP KQNSSDNTLTKQELNGNKHEPSDFNLANALNDQLDLQNNSRDDSNSGIQDVKHKSILKNK AMAGSNSFSSKDVQKDNESIGSQRSIKKVTFDKKQKVIYSSFRKPKA >CAK88664 pep:novel supercontig:GCA_000165425.1:CT868651:70780:72027:1 gene:GSPATT00021832001 transcript:CAK88664 MNNLEIQKEQQSENNEDCELEEDSDSLQNRLLLNNIPTPSRTESDFQTSINKTRQTQSIQ QLNNHHQYEQPSHDQDTHLTDLDLKKQTQMSYNSSKSSKTQTPLKINLLQASLIAKDQLI LNLQEEVKQLKKQQIDKDKQMAELSRNYEIKLQQMSDRITDLEKIIKEKDHDIEQFKNGN NTLNLQDSSVLTNIQNDPKKLKPNEFQTNELQAYRQNADLYLNSNFWLISAERKLWGNNP TCNYQAKDNFDGKQSQLVSQQQRGIDKPYQIRQSIQSMNQIKYNANMQLPKLGEGSSQIN LQQQKYYHTNVRSQSLQQNNQKSNSSLSQLYNNKDAISYDPDGLFAGQEIKQIFTNQTPL KMESLDWNSQPSNKYMITYNHNLNQSKFDIIDKAQKQQNLINSRKHNSQKIFNKQ >CAK88665 pep:novel supercontig:GCA_000165425.1:CT868651:72775:73166:-1 gene:GSPATT00021833001 transcript:CAK88665 MNIYTNCKQNVPEIQTIDQNNHQTLVELGFQEKSPSIEQDLQGRGYGHWTTQEHLQYLDF IKSHENTLISKYEKKSKKIFKLMSEFIPTRTPTQCRSHHQKFNPLIKGKKNFKSPFGYTT YN >CAK88666 pep:novel supercontig:GCA_000165425.1:CT868651:74086:74862:-1 gene:GSPATT00021834001 transcript:CAK88666 MAQKKILIANLNGLNRRKFLLKIDIKSYINYWDSSQQLLLNKTQNNLFIAHRNQILIFEQ SINGWKCSQSFQVSSRCSVSSIFFNFQEDELQIYSFDGYDLIDKLYIFHKQTQEQEINWV LIQKIGLYGLSGQVLGGFLDHIYFADCYGLDVYKKQNQSQYYIMYASSEFKHHTKGFFRC VHFVQNKFLLLEEDNNSIRVMKINQQQQLEFIKQIDIPALKSQKSSSNSQRRSFLTQDEK FLVIQNNEITLLIELIYA >CAK88667 pep:novel supercontig:GCA_000165425.1:CT868651:75523:76348:1 gene:GSPATT00021835001 transcript:CAK88667 MIKEYDYLFKLVIIGNSGVGKSSLLLRFADDQFSESYLTTIGVDFRFRTLPIDGKNVKLQ IWDTAGQERFRTITSAYYKGADGIVMVYDVTQGQSFDDIDKFWLHEVESYGEKNVQLLII GNKNDLDEQKQVETSKAEEYCKSHNMLFMECSAKTADHVNNAFLELSRKLMAKKDASQPP KTTNTTSNASQQSQSRGQTNTNTQQSKQLSAGNTNQKKQKDGGCC >CAK88668 pep:novel supercontig:GCA_000165425.1:CT868651:76818:77807:-1 gene:GSPATT00021836001 transcript:CAK88668 MDPFTEIQTTTQKSALRTTLNILMLFIKLPVFAIMVLEIVIMNDLIFLQIQRRQFHIVLH KFLRILILVHNYISGRFLLLVLGYFKYKSLFHNSTQHFRYMNLQSYCIYCTRTSPVDVFV YITYFSPSFTHLSIQKDEVKCKIISYGRAILESFQINKCGFKDFTDGENIKDLMIQIRSQ RTGPLMLFWEGGVTNGQSFLKIDDIIIKEIYSITRFAKDPLQKPLYMHTNPQGMICLQND DRMILIGNTPIQMLITILTNSFCSLEMFFLKFQPYNFIDHLPDIYQGFVEGKIKRELSDY SWKHFNEHIIQQNE >CAK88669 pep:novel supercontig:GCA_000165425.1:CT868651:78891:80818:1 gene:GSPATT00021837001 transcript:CAK88669 MHRFTLTFLNKDVEQQYQSINKKQSIEFQQQQKWIFIVMGLYFTIIKIVEQEWVSLGFIL FNWIVVIFSYKFIAQRHKLYDFFLTFVVVSYNLYFPITKYFSLEQSDNFYVDGYFISVGT FGVINIFSFRYKTIIIAIIFTSLVSLSMIQDGNFWNQLIKSTIFTSLYLQNLYCLEKHKK NSFLDHLKRTTTLKGIYDLTNIQTFIIHYKAETKKIELVQKDNQQEIQKQILDDFDDYLN NMKIQQSRSSGKFASILGQDPVNQSQRKQELKSYLFELFLRDQRINKTIKENQYENNQIL GEAIYEQDYYSIQISRVFDTHPCFILILQEKKQEVQLEELSLKNKILKKSLDQTSLIFKH QVRISLIYFQWIYKFANKKEQILLINRCLQSIHGQIIKANNDFQNIIDFNTINSDFQQSL IKKFNIIDCLNELTRAISYYDINKDIKFNIINQLNVEQQNIQQDEKQLKQLFYNLLFFVS HSSQTVTITLQHDTHGQPSKSVIKIKINYQGPNLSNQQLQGLQIINPQNLGELLHNSQKP LDLEIPLSLMIIRKIGPYDKMRLQQNKKTQNYIEFYIFSVLEEQYHLIPIYSLHPLNCLI LREKNMQNSKKITSLYENMQSSPRIKIQNYNNF >CAK88670 pep:novel supercontig:GCA_000165425.1:CT868651:81210:82257:-1 gene:GSPATT00021838001 transcript:CAK88670 MEKLLILLIILKVASSYIYYDTGMVDDFQAYVYSSFVCKNGYSKIATKSFSNQFENTPQV FFVHEQFDLNKAELGFQLAITAITQTCIDKIYCNMNRVITVSLRWFAIDDQRIEVYSNFN MANPDDKTFQIKNPNAKTGFVAITSFNYTNTETTLSYNWKTWFETDTPNSHSQVWVAYQF TKTFKPLECFSIRTSRKEVLNLATLPTFTVELIQTNQIYTTNGNYQYVVDKSITPLKMGI QVKCENGMKIQADFNKCNSCSTKKNQSFMYNCFNQMNYVGFFPLFQQAFPQYNLLKINMQ SSLLEIINVIYDQTLTEQVIVKIQILNQ >CAK88671 pep:novel supercontig:GCA_000165425.1:CT868651:83235:85052:-1 gene:GSPATT00021839001 transcript:CAK88671 MSEDWKKKKIDNFVILNKILGKGTYGTVYLGYMDTGQNQVRIAVKTVPMDSVKQSPQILN LIKRESTILKAVEHPNIVRLYNANRTQNYIYIFLEFCPDGDLRKFMLSKKEKHLSELEAI IFLKHIVEGFKELFQKKIIHRDIKPENILLSNGIAKIADFGFARVMEVEMDEPGKFSRNG TPIYMSPQILRGQPFSAKCDVWSLGIMFYEMLYGRTPWQAESQIQLEQLILNKPLKFPTK PVRSQKVKELVAMMLQIEEKDRLNWQQIFENQVIKMNEDMVRQNMNEIMKEDPMTKSMSL NKIYLDQYKVVGYLHTKIEDYREDSKNDLDGLKQMFDEENPDLILSQYQKEMKRRESFQK FYTYYLYERNVAFFINYTQLRIIKLQTFGDITLVKEQFLQLIFMLARNQLLHLDKINSAL NSNKHDQFDQELWGRFIVSKDCSRLLTTIKNDILIIKEVFIQVREKCPQFQQFFDKIENV KDFLGQYKQIISKAIETLKAASTNSLGKDIYSGIYYLKICLNPYDFFKQVDFDFNAFYEE TENANLDELLNLI >CAK88672 pep:novel supercontig:GCA_000165425.1:CT868651:85155:87467:1 gene:GSPATT00021840001 transcript:CAK88672 MKFAKLFLNAMIPEWIKLYLNYKLLKTQLSSSVFIKKKIKLLKSKYKTKKKQYKQEKQKL LENELVSTKIKGDLRGFCDTIKDDLIKIQSFLIWKYQDIQKKNNKLQEQIKLMKKQLEFI KLKQYMGDETDFKKHLQDFKEKSYILKQTIYQFYIEILQYKSFVTSNHQGLIKILKKYKK WSITQFRDKQFESLVLSEVMNEILILKKVPDKCSQLLNVTERLLLNNFYALNPKKGRYNL RKYQQQKSVKGEILFKFGLFIGFALVLLTFIFLLRIEGYIDPDNNQNQHSIFQKMFPCFR GLALFIIYYWYLALDLWGWTHFRINYKIYLGFNHHFSTVEEVFKRVSYFSAMFLLSFVFY SLQAENIDPFSYRDSYTKYIPLVLWCILLLYIFFPFTTILNGPGRVWLYKILAGAVYGHF IKYESRFTFCLDQFISMAIPLRDLDYTICYYKTIWQTGEIHDNECFSSNRLTGALIAIIP FSMKTIHYITRARDKGKFWHTDEMWNFFKTLLATWVAVLSFLANKHYIYRIIWIPFAAFC SLFQYWWDLKKDWLFFEKGSNVRFLRNDLGYNHPCIYYFIGISNFFLRLTWILTVSPNMY LYLNITNKELFIFVIGFLEMTRRLINNFIKIEKEYITNLRSLKTTREIVYPFANQDKSNF QDIPDRLTLSGLYLNEQDKSDEDLIQLFRATTNMLEKSDLNKSDYLFQGFQPDMEILERA KKQEKFQESQIRKIKQENKNLKAQLI >CAK88673 pep:novel supercontig:GCA_000165425.1:CT868651:88182:88607:1 gene:GSPATT00021841001 transcript:CAK88673 MEQFQLASAIFLKEFLKLVDIQNLNLESIDEHIEYLKSQILLDQESDEQIKMEQNCNPQV EYPEFEHHMRQKTKKKHSNKERSNGDKYFNCSKCSKKFNHPSSLSRHKKNQHKIVKKDKF ELNQLQSQQQEVVINLMDLDI >CAK88674 pep:novel supercontig:GCA_000165425.1:CT868651:88707:91057:1 gene:GSPATT00021842001 transcript:CAK88674 MSYDFLLRTIMAGQQIKDELVTFDPDCIPPNFEIARLHQKASAVGKNSAEEEIVNMQEAR CPCCLKWTEKAPLSMKVSPLKLSFLGTGVPLFFDFIKQCITILIIMFCTSGDYNLITNIA FGTSCQKDLDDTNTSDNCDLNYITQSSLANKRLDSSLMNLQQMLNLVSIFIIIILLQYIR IQQRTILRDCDFHTTTPSDFGVKLSHIPVDNAGQIKDRLSKVLNEFLEKNVPYDPKVLKY MESKMKRKNVKKGYKIPPRIHSITLCYDISKYEQLNAKKESFVKEKQKYLEKMYENYMYV DNELNEIEKNLEAGRQQVKLYFDQFLDSNSEQKEFVGIAFVTFQWEADQEAFINLNRTTV WGRYFGEQTKIFLDDQNIVVDEAPEPNDIKDIQQDIERNLNCWAIFNISKLQQELLEKEN LLLKKLASLASVIIIFINYLLSYSIKKIAAFQGFSTNTGHHISIATSAGIAQFVNSALVT WLVFTLLFDENYYKDGGLIYNQTYVFISNMIIPAVTAILDPFYWLKVYQRYSEEQKGKYS VCTQEQLHKLFENNEETLSDRYAGIIKTMLMTSFYASIIPLGILFSIGALTLLYWVYKYQ FLRRRTFKQSLSFNLSIEMTEVLEYMIPIYCFSNFWFQYTFTKGKDVSSFAIIGVVIGIV NAVLPCYELNQALFIIEDYEQVTRPYKKIEKSLDSDYSRNNPATQDQAKEKFIQSMRVNK >CAK88675 pep:novel supercontig:GCA_000165425.1:CT868651:91099:92962:1 gene:GSPATT00021843001 transcript:CAK88675 MNKSSMTGSGISDKAQIINNKEILEQFENGGMIRTIEYNRKNYVVYLDHKSQLELKEIGK DFLDYQQICIQHHSEIRQQNYADLWQLLFLYINHIGKIMSNQIKRKIRLSAIQAVSVTSL GAEFVIHVPNEYDYRFQSADYRKQILETLCQCFQLVNQRKLPFFFKEDFTLVSVCTTEND VKKGIKRFPTEKPIELDVSELREYSNRKPQEQLVYKRPGLQKQVSSNMISIEDFDLIKVL GRGAFGKVMMCEKKETKELFAIKSLRKEHIMDKNQLEHTRAERKLLEEIDNPFLISLEYA FQTQEKLFFVMRFMRGGELFKHLRDKRRFPESTAQFYAASILLALEYLHKMQVVYRDLKP ENILMDEFGYIKMTDYGLAKFLKPGDFTYSFVGTPEYLAPEIIRQNGHGLGVDWWSFGIL IYEMVVGRPPFFSQNQSQLFKSIVESDVVFPSQLTLSIQIKDLITQLLTKNPFERLGHNG DAQSIKEHPWFRDYPFQDLIDKKLQAPIVPKLYDKLDVQNFDQEFTREEAMNSIVNMDPK LIEKFKQDFGGVTYVPNNNGLN >CAK88676 pep:novel supercontig:GCA_000165425.1:CT868651:93119:94272:-1 gene:GSPATT00021844001 transcript:CAK88676 MGNSQQSPKYSNTNQFQVDILQQKYLIEDTLNESSNLNEGDKRSPNIEELNSTRGVTRKT SEILELDQGKPRSKLKSQGLNLNLYETELPETFELLNQLPLIKVEKTRYSLTSYESPSGH KAQALASQPIIQFIKCNERLTYQGQWLRQQRDGFGLCIYQNGSIYVGSWINDLKDGHGRM IYENNDTYTGYWMQGKYHGFGIFSSYESYYEGNWENGEKDGQGLEIKMNKSKYEGMFKWG KKNGFGTIKYIDNQLYKGEFVDGQYEGQGEYHWNDGSHYIGEWKCNKIDGFGTFTNKTND VYKGSFVDDKKNGIGTFKWSNGTILKGIWVNGQIEGQATITKPNGESIIENYINGQKVA >CAK88677 pep:novel supercontig:GCA_000165425.1:CT868651:95558:97750:-1 gene:GSPATT00021845001 transcript:CAK88677 MEALQHILKTQGTNVTNIDLEFKSIENLEDLLPQLANFSNLKELNLHGNRLAYLPDDLSL LDTVEVLDISNNIFSDVNTFHKLFQLGQVVDALSTMPNLIHLEITIQSKEEEQFILECLS NLQILNSQKVNGEQEGADETQEQQSEQQSDRSMSAVQDITLQQYDLEQMAILYDSIREYK QENQEDKQFDHQIRAIMLELQQKLKQNNPDHLTNLYILTAKFNLYEICFKSIIKHFKAND KSLDVIITKIHDMHLSIFNDLFNVIQNVKPQSKNTSQIVDNKKQVNQPQQGDKAVENRLR LELSELQQQNAELEKENKRYLDLLIKHSKGDKSSIPNSEHVIKQQSDSYQSQNYTKNHQS SSQQNNVIFQSKQSQNLNSVPQQINVRHLTLKQLKDVIQEIYESKQKFDQKCAESKLPRE TMEQHMYTFLNQKYGLKSLIIEWATSIVNALKKYSAEDNDVAVFGKILRNECDEEFRFVQ TQVKNTMQELLKMYLRGKFPLKHQAEIKEMLNQRINGQLYEEEAVDIIKYMYNQEDSELL LNKLKQYYIVPHKNPDRRLTREEQLSLLQEKDKYKLEYSVFQKIILDFQLKSHEKYLKKF IMTFKQMDTDANGIIDENEFRNLIDVLNFGAGDLDIEKYLNIIDPYSHQQITFSQCVTLF SSESVPGSNGQIQILQKISEQ >CAK88678 pep:novel supercontig:GCA_000165425.1:CT868651:98482:98799:-1 gene:GSPATT00021846001 transcript:CAK88678 MSERTLDFIDQRNQVRKLVTWPWNFVFGLQSFQTVQHIYNFTARTIFAASSLIPFLTTYN THQFAYAENSVRLTRYRNYHDDII >CAK88679 pep:novel supercontig:GCA_000165425.1:CT868651:98869:99608:1 gene:GSPATT00021847001 transcript:CAK88679 MGICTSDPLPGGVDQSETKPEDIKPVVDQSSQQFQKEENEAALKIQTGLRGKKAKQELQK KKEELEQDKPKEWEEYEEKFEEPELPKTFKDRVNYKPTNEDRIFPPYLGPEGSVYNGQWN KGEVNGYGQMLKPDGTYLKGLWKQNIFQEGGILYPNGDYYIGTSKHGQRFFANGLIYHGE TDFGVPHGHGEETHPDGRKNEAFYQQGQKVQQEHNHQNH >CAK88680 pep:novel supercontig:GCA_000165425.1:CT868651:100062:101771:-1 gene:GSPATT00021848001 transcript:CAK88680 MDSARKNASKRAALVQKLDMLDRSILHNSYHQDLQKTYLKKSTEKEQKGIQIELVQEQDE LSIAQRRVNQLVNLSRQLDDQIQKNDLLQQQKVMEDMNSILNCSLDHFINQQADRNQILN RLQSDFNANHQSMQILEGEYLIAEFKKINQMDQQIYQQQSQEYADLYEVQYQMQEELKVI NGELEKYQKLNQTNNLQNYVLQGQAKNKQFSLQLIQEQYYCQKKKIRSFCIFYNKTNEYD QTYQPYFKIKNQKQLTFESNDQLNNENTSINLWSSSKQKVKKINPYRCQFYKFDQILSRK ENSRLSQYEQLFNQLELFIKGTLYKQIDLILKSYAFNSHEYHLIYNQDLNENVEKLRKLC EKEKPDTSNKLIVLLCDKNRGLVVSLVNDIVKILSHFNNQLIEVNSLILTLTKFQIKDQV SEDILECNAQNIEMMLDCLRSKEIFKAGQFHLKIEINLNNNVKRVLHILMLAPLLIENNE LFCKQFKSIFKREKAFKEQIGSRIEGIVKNIDKIIIITQIPEMIRTKDNSVKKISIVQNI INFQSLLVLKQEN >CAK88681 pep:novel supercontig:GCA_000165425.1:CT868651:102444:103601:1 gene:GSPATT00021849001 transcript:CAK88681 MINTTLYSNKRQPFYRLLIFYQDNKNQKQAMSESLWQLIIRILPCRNRRVCLFFIFCRLG LLQIGLTLIFRTTTQEYCNTKKGIFLFLKGEIDLQHNLEFGFDEFLKSCFYLYETFQCGL ANEAFFNYYISFHDQSSQSVQIEISPLIYDQYIERFQRLLITVTKQTPILIFISANTSSL GVSHEMDQNLVIVYVLVSIAILLLVAWIIIILIRFRKSSRVHEETNTIPNSQINSNNNYN KRRNKSSLNLNLLDQYMPKLLYSQILEFPKCQELEVQEACLVCLLEYQKNAICRLTPCHH IFHSDCLYQWVMKYENCPLCRTALDQKSLKELYNKNLNHTFNLYKQKDKQPNQNVVLQSQ LFSNAQTELRMIAHNSSDNQ >CAK88682 pep:novel supercontig:GCA_000165425.1:CT868651:103659:104039:1 gene:GSPATT00021850001 transcript:CAK88682 MGDLPNWEINDHNEIYIIKAESMKKSYEGIVKKLQKERVKYVKQQQTSNEEHQQEKPTSD LIQQFSLLKSEFVQRYQTKASMQRGQLKLKKRIELVQEQLKEQSKIQQYQQQQQQQQQLN QFRKMT >CAK88683 pep:novel supercontig:GCA_000165425.1:CT868651:104073:104777:-1 gene:GSPATT00021851001 transcript:CAK88683 MFQLNNNEKQIDFRKYQMEPIDQIYLSFSPNQEEQNTLNLKTEEMSIPNIQSFFTDRTNI FDQKVGYFQGSVHNTDQFDFYLISKSELTKLLKQLDSLQKIKQNFDALNQQIQSISKHSS KQSQQHSQNNLQKSGTTQQGSDQKARNLQQSQIMNEGQPHKDVISVRALVGHRDNSILNN NNKENNQALINNQRTTSQQKQQNQQQNYSSVRKFNQPLPSRQRSQTHIHMKYIN >CAK88684 pep:novel supercontig:GCA_000165425.1:CT868651:104800:105084:1 gene:GSPATT00021852001 transcript:CAK88684 MKVIVDFGGGLDVCFENKKEITLEFEEQQIKMADVILKLKTICNPKKLDFFYTQQLRPGI LVLINDADWELEDKEEAQLQHNDRVSFISTLHGG >CAK88685 pep:novel supercontig:GCA_000165425.1:CT868651:105145:106373:-1 gene:GSPATT00021853001 transcript:CAK88685 MNQNQRKFVRCFNCNIKPATIKCFDCNENEPIKLCYHCDSALHIEQDHQKQIIPYDQMVI SEDRTKSVTPEKQQETTPHLSSILRSRIKKNEENANYLNNNEEQLNNNQIKSIELKYKIK LQEQENTINLLKQHIENIENKHKENLSKMRDQLIKSQDEIFKKQEEQIHEINKLRKSYDD KIAQYISQVDSETQFNNSLQSKLDELRNVHQIKQQESQQTISQLRAEIERRKFQEEQVLI DAENQQIKLKKEAQQEFEKNITIIRNDCALQIEEFKQKLGAKVEQIQSLQQQIQQLQNTL KEIEIVWSKKLQYEQQQYEELKNKYTQSKDESENLKKEIKNLSSEISIYQKENELFQKEK LSLTKQLNQQMEKNEKMDRFIYGSKKSQTKIKI >CAK88686 pep:novel supercontig:GCA_000165425.1:CT868651:106402:107689:-1 gene:GSPATT00021854001 transcript:CAK88686 MFGIPFPGAFGHFAYHDDDFEDEFEEQLPPQDVDNKELYEILEIPPQSDIPAVKQAYKTL AKKYHPDRPGGNQEKFQLIQKANEVLSDPEKKKIYDKFGKQGLLKQLNVKNPQFKKCEPH KLNHKITLKDVALGAYHKKTLNDIRRSCEECQGQGGKQSVTCSSCKGNKIVQMVVEVQPG INMLQQAPCPDCKGKGKAIAKEDECKSCKGQGNVEGVVEIEIPIEKGVPDGYCVKLYGKG NSKPGYETGDVHAYLEIEQHPKFIRKGTDLFYQHTISLKEALVGVNIQLETLDEKVINIT TDKVIKPNQILTIKEKGLPTFKDELHFGDLHVQFYIEFPKELDQDVTKKLAEILPGPSFT PKQNSIKLQEFKFDEFEKHQARIEENEDDEFNQNGIECSIF >CAK88687 pep:novel supercontig:GCA_000165425.1:CT868651:107752:108126:-1 gene:GSPATT00021855001 transcript:CAK88687 MNIEDVIKENSELLDRLQSDNQRSSIDVQLTQMREQLNYLQQIDMKRQLKYDQLLNQSVK LQQLFIELMRFVNDSNIRGNQFNTILRQYNELSFRSPVANERSPCKPSSPFKKQKNYMAI HNKF >CAK88688 pep:novel supercontig:GCA_000165425.1:CT868651:108180:109402:-1 gene:GSPATT00021856001 transcript:CAK88688 MITYSNIPPRSYTPKPSYLTVVNHSQYSQPAQPMYSQKVIPIQPKQHVPTTEIIRVLPNE SQQPQQDQNQFNGLSLPELKVFLEDLMKRYDKLAQELQKATQKEIQLQNQHTIAQTELNN KILQFQQERESFMSTINNKNQEIDFLNSQIDQLKEQHFHEIQELKADNEHIALVLTDKLD QMNQFSKEKIIELDQAKSLLVLREQEVQEWRLRKNNGDVYSQEINQLKQKIYLLQQEKDQ LMGRLRYSQQDSQLGNENQMLKAQLQEKESEVNTLRLKLRYGQQNNNDQQLQQELEQAKS EIMYYKQQLMNAGQPGNQEEIEDYQERIQILENEIRRQNKQLTDMRHELEDHKNHKSDNE FLRSKLSDQQNQIKEISKSQLGFKDRIPQYY >CAK88689 pep:novel supercontig:GCA_000165425.1:CT868651:109658:111824:1 gene:GSPATT00021857001 transcript:CAK88689 MEDRVLRTNQIINRAQDYQTTKLGSLGDNQYLKKENWRQIDQLNKNEFGSYERQKQNLDS KIHQQSFKQVCNRQVEKELNSYNSKLKESYYYDQARPQTAVYQSKEDKQDQIHFKTEDRQ RIEQQLAHSSDKYLSKQNGLPFGNRTPMDPKANFIANTPQDRAIKNAFKSEYQYSSLKRD NKLPEYQRIYPETTTDKTALREQIYRTDQKQTQEQSSFQDIERRLQQRREQVEKIAGQQE GRLNYYSKSQEYSQRQQGLEGSQLKMKQFQEQLRNEVQTRLKEQSNKQYNINEDKRQYER DFENKQKNKEILELDQFKYTQLDEKFKQNERKNIYNQDYGVNIRKSNEQTSTKTNDSKYQ QISDYGKYHFSQKQEFDLNHDKVKFVDRSIYQNKSVNEDACDLRYQGSLSNESYDRLLYK DKLYSQSKKQQYHAKRESDQSQEIQRKLNLYDKLQQFDQDNKKKYQDGQIYQALKQETKT YDLKQSAEPKNYGQQYDIQKHNQIQEKVEFKRSQFQPEVNQVKVELEKKYGYLKSELAPQ KSEFDKKRVFENRLKPEPIQTKNVGGREYLGQKYQQREQYRYGQRHSYHEESSSADGFGL EKGNKRNNNRAIDDILQGTALSKYIEREKNQNKMVDRVGNSKINYQKSPLNDKEQYLNQD RLNKLKRQIGNDRYAINEFQRRQPSDQNLQKYYSAGGGLISQQFGNYDRKERRI >CAK88690 pep:novel supercontig:GCA_000165425.1:CT868651:112160:113203:-1 gene:GSPATT00021858001 transcript:CAK88690 MNLSAVRSIVSFLSLNALLQIYENQPVEFWDSNQMMSHPKLTPIFQSNQYINLIAGLASI ALLDKNNKLYLSNGVLVGASVIIQNLNLFMPKTMNMITIYFQLIVLIVQLFQLLSFYYDK IPPAMPIIKQDIMKEQKQTRKPHQRKMVSNKKKEKLQQKEQQPEQIQQIEGIEQEQQDKS EVESIENDFNEFMKPQSTDQTVTEIETENLYEINHADLSQISQTKEDAKLTFQLKFNGEV STLNCTFDTFDSAMSILNSTHTSEQIKQIKIHLLLNLYDENEDCTCRLWCLKKLLMLN >CAK88691 pep:novel supercontig:GCA_000165425.1:CT868651:114486:115576:-1 gene:GSPATT00021859001 transcript:CAK88691 MQQVNMPSQYPNLPFTNQTPTHKTNPSHSPHQRMLFQSSSPIPDSKSYTPAKQLGELELL YQKINLLQNENKMLKVQLENANLQIQQLSTKINSYNSQAIFKTTTFELVVLQKALEQLEQ LKTALQKRKVSPLHNNLTLPTEESAEVISELKSKVTFLEQKNIKLSKENSELQHHILFSG QSETKEFVREGRKQLLSDPSEIRKVNRNSPIRFVNQKGSPTHRVNNLFILYQYLHQIHKL IKSFNQNQINSAWIQLKIEQKAQEPQSSKSLEEQVPEVALHKLRFKLVGQQRTLIRNVMG PVRKGDTLELMECEREARRLR >CAK88692 pep:novel supercontig:GCA_000165425.1:CT868651:115596:117048:1 gene:GSPATT00021860001 transcript:CAK88692 MKKNNILSVPIKYQNQIYQVEIFAFDTPLQIAYRFCTQQNLEFNFISPLSKQIISFVSLL LDSTSIDNTVKAQIRLHFDKFINNASIRESIKIVDTSIQIQNDCIQIAKQQESTRNKDEN FNFSFDKDNNEGNTSNIQDQFQFSFKNKLQEMLNPEKYQSSSRGNSHVDHYVKNNSIHQI ENLQKQEKLNQKIMNRLKNKSPSKSPNKSPNRRVGIPYQEYMKRPSVQIDNNIKDSFHSN QNYGLKNRPNQFISVQQSPIYSPEKPEQNTLQTLPKGRISIEKSKQSNSRNISPQEISFS QQQIDQSIQSSMISNGTLCNSRIEHQSKQDRIKSFKDLMNKLQCISTPQKSHRNYEICIK QQNNTYAKIEQKINEIFVMLDADKDGFIDHEVNLQQLRQQIIDMFEPVWFQILWKKIRIN KRQFQELMQKRIKDLDQQDIGKFIFNGIKK >CAK88693 pep:novel supercontig:GCA_000165425.1:CT868651:117112:118193:1 gene:GSPATT00021861001 transcript:CAK88693 MLPEFGESDAERYEKLEKIGSGTYGVVYKALDKLNGQIVAVKKMTQELEQEGVPSTAIRE ISLLRELNNPHIVQLRDVVIRNKKLQLVFEYMERDLKALLDISPKDQSLDKITIKKIIHQ ILKGIQACHQRRILHRDLKPQNILIDKQGNTKIADFGLARPFQVPIRPYTHEVVTLWYRA PEVLLGAVEYSTPVDIWSVGCIFYELITKKALFTGDSEIDQLFRIFRILGTPNENTWPGV TNLKDYKTTFPNWSPQGFKQLLNRDVDQLAIDLLTRMLKLDPTQRISAKQALNHQYFQEF QVKPIPKKSDYQSLIKFP >CAK88694 pep:novel supercontig:GCA_000165425.1:CT868651:118194:118735:1 gene:GSPATT00021862001 transcript:CAK88694 MSERSLSPSDYHEFKHAKSIMVIKTSFKKRRSIRCKVNQKFQLELELNRCNLSCECSNCG KPVGFQLKIHGELPLKETCYQKKKRVLKRFKAIGNAILFILIYKLEAIKKWKKKMHILRA ARNLTIIRRPAVLQSVHLLPNLQPMKQPIKYFFLFERTKQLSISSISIQTLP >CAK88695 pep:novel supercontig:GCA_000165425.1:CT868651:118910:119459:1 gene:GSPATT00021863001 transcript:CAK88695 MQQLSEQQYIQKSKETQIELKRLARSKALQKTVEACSCKSQNIQQNSQDEEILLLNESQQ NPFYQSYQKGPRKSQVQQYLEKMLKNVEQKNVIIKKETNFKPLSILNEEINKSKTKFHFR SNSQLSLTNYQSQFIPYFEQVRTTTNSSYHNNKKDCLKLIDLMKNKNKVIKIKK >CAK88696 pep:novel supercontig:GCA_000165425.1:CT868651:120408:121426:1 gene:GSPATT00021864001 transcript:CAK88696 MSKKHLVEEMITIREEMRHINNRMLTTNSSKIFEFTPLQNRNQKIRKYCYQLVALKPKQF KKYFVSEQYIHELLNRMDYEKLLQISLKFIVKHLQNQEDEQSQRYESPSPTNIKDVDRVT KIIKDIVSPIRTKIISQAQFFDQQLKSIHKSCQTQPSTFETQSKNNFNLGIRQQSSILSS IQQDNLNSPKFNENIINAIDEISFERSSIKKDNQNLKEFEQKGDLQNNQQLSHSQTRDQK MNYDISVITTPKQKELDNYKQTLNTSMNSSFKDTKRKFQNKSMLQLKHLEKLEDKRFPKK EVPSTMLSVDYVRKRRKTPEKNRGFNIITNY >CAK88697 pep:novel supercontig:GCA_000165425.1:CT868651:121452:121990:1 gene:GSPATT00021865001 transcript:CAK88697 MQLDQLITTFGLDSCDEIPFLKLYARKFKISRPSYIAFIVVLLGLACIILGIGRGFFIKI MTLVYPFIMTLEVIETQICLDYRNAKQWLSYWVIVMIVHLLDDYFYWILYYLPYYHFIKF IFFVLLFHPKTQFAEHFYDAVFHRCYINYQKYIYSSKRVSSYNY >CAK88698 pep:novel supercontig:GCA_000165425.1:CT868651:123095:123892:1 gene:GSPATT00021866001 transcript:CAK88698 MFISYSIYYFGRKSILIASFNGSGEALSITATMQIKLAQLMFNGLVFFISAIFVLNYIDY FLNMDDYHLCQGYIANYQLAQFFNIITTVGFLLQGLFFLQIRQLTRQVNKNIKYDQSGEI RQFTEVVKKRLKQIWYKCSIQRLLLIVNIIGSFVSFTQNIYFVIMNQIYHTKGIRYTCFY VNFPNDENLTNFLNSSLDLLDKFFSFFLPYFFALVIFWSRKAKQVVQSESQEITTETYVI QSDSTEQIDQSPSMET >CAK88699 pep:novel supercontig:GCA_000165425.1:CT868651:123964:124388:1 gene:GSPATT00021867001 transcript:CAK88699 MQRIHNFFRFSRKIRLYVQSEINPQIKLTIDATEGEVLAKELKRHKVPLPFECGFSCSCS TCSVLIANSNDFSNIQIAQPQSQEEINVLTTEGKGGRVRLSCQINVCKELDGVTLLI >CAK88700 pep:novel supercontig:GCA_000165425.1:CT868651:124424:124721:1 gene:GSPATT00021868001 transcript:CAK88700 MSFDKLQPVIKQIDMSDEMQREVIEVSRQAIDKSSTDQQIASYIKDELRAKYHGTWHCIV GRNFGSYVTHETKHYIYFYIGQLAIMLFKTG >CAK88701 pep:novel supercontig:GCA_000165425.1:CT868651:124876:125952:-1 gene:GSPATT00021869001 transcript:CAK88701 MSLTFINLEDSPDKPKPFGLASGMIEDFLHNPLEMLRTLKCDKTSGSWDLGKIREILNKI NDLAFQNNTSHDLIKPRKISLEHQNLSEQLGDFDKLYSSFRLSQKYDNKSERIQQVIKDF KNRVIYRQIRPSSVQPRKIEQPQKCKLMSKEFEQKLNTINAKYGMKASQMTVKSKYMNHY SLNTNNQQTTNTEFIRPQTTSCSARKTYSFRREKTYDLDQKFQHSARPSRARAQSGQQVQ NFEQEKQNQSIIARIMKKSQRRLNQKVNLKKYDLENHDIQPTFMLDDSDSQTKRLMKCIE FNPLNSIQQEYRNFMPNDEDFIVKLGFQQLQSKEKRQNQLQQKVFYAKAQSNYIQDPV >CAK88702 pep:novel supercontig:GCA_000165425.1:CT868651:125983:126828:-1 gene:GSPATT00021870001 transcript:CAK88702 MNSPLKDLEQIQLRQIELGYYQNDTEQIRQILNSIKMIAFENIYKKPNQQPPIIENDSKV QNDDDEIAQFYRNQYKSIDIVERSNQRSRMINKRLFEYQNRNTPKNVKVGLPSLKNSGNQ NNSINKVTKAVFTPFTERVKLDNTKTLLNKTMQQTLNDFSQQWIKNENHNSPSILNNVQN LKQGQSLFMDELSNRAKSIIESATITKKLKQTIQNSQEIQTSNNVRDQQLATTQIQLGNL SFQVPKCNQFLVDQEMRILRSRIAKRMKPNILVIDQRRMQV >CAK88703 pep:novel supercontig:GCA_000165425.1:CT868651:127351:128194:-1 gene:GSPATT00021871001 transcript:CAK88703 MIRIQTLAICITSILGSFIQNQQAINNIECTLVEYRRPDVFYSVGTGSLSVEPTIATVNF AIEILNELAEVALNTANQIQSKAFKSLQKVDTTNAGVKISTTQFQMFPHTEYDYTYLNPQ IKFKGYKVIISQKLETPNLKIIGQLIDAAINAGVTTIENVSFDIKQEQKSELKDQILQLA IQDATNKAEIALKGLDMKIHSIKSITVDQPQYKPVYEYANTTMIESAAAPTEIYAQEQNL SHTVTIGFIIVPIDQ >CAK88704 pep:novel supercontig:GCA_000165425.1:CT868651:128385:129167:-1 gene:GSPATT00021872001 transcript:CAK88704 MKAILVLSISLMMLNSQFIKTTPSIPCDSSIYLLPDIYYVKGSASTIVEPTQAVVTLEIE VKDLKAQVALQQAAQIADDAVKAIKENCQGGLKIQTADFAIQPHKEYSQNQPYAVIYSGF KVINKITVETLNTSDVGKIIDVAVKNKVNKVNGIQFDVSKDEKKRLKNFLVELAIEDAKH TANVVLKELKMRIESIKSVQLLENYGSETKTKMEQQVNVGFVIKPID >CAK88705 pep:novel supercontig:GCA_000165425.1:CT868651:129179:130937:1 gene:GSPATT00021873001 transcript:CAK88705 MNNNQTSHENQSCKHGKKSFSITSLQADPNKLRNNKILSNNIQISRGDSMSRLSYVDKED YIDQLQKLKKDNNVLRVELKQVKSQLMYYQKELDSLQSQCQESDNISIPLQQQVKIKQLL KTLQQKETEIDQLKKLLKMDQLNEQQEQLQKLRALCEIQKQKLLNSETEIIIPSKTNVLR NLEEDNIRLVQIVTALEEKLKGFDQIKKQNTIFQSKIQNQQKLILQLQLEIRQYKDRDTI FASKVTQQNKQENLIQKLTTEINKLSQSSQNQQTQIDNLMANLSNQQDKYEKIIMEKEEE VLTLKIKIENLNKMMNEDHSGLSEQRNRKNSKHTPLFKPSSFANISGNFKLNQDFVLSSQ RSQSATQRKKLVLITKQDLQQVIKILKYQLLINGITLNNIDQHLFCQISQEEDVQLKDLV ESLQRSTFKLNYQQAHQLGLYLMDSEDGNETQSKQRIRSIFKTLVDNYQLPSVQEIANIK KELSQMFNEQEYQQIKSQLVVKFGHQIKRLQIYDLFELLQLKDIKLSKQIKEYMEAMFFK LNDQTPNVFQIDQLQLIYCDDV >CAK88706 pep:novel supercontig:GCA_000165425.1:CT868651:131035:134057:1 gene:GSPATT00021874001 transcript:CAK88706 MDKVQIALQNKFKKNNKLAITTLRKANQFDCLAVLIGTSHTSNIGIQKGFQQWYLGCELM DCILIMSTKMLCIIADEVMFQKLKHLSDIKMKTFTIFFLIKNIKKNNHQQFQFALERLRK EYPGNNYRLALNLSDGQKSPLITEFNQFIDQNHLIKVDCTSFLKELINNDNKDIFEYYNT CGKINSYYMKFMSQRIELAIKFNENTTNYSITQAVKREKSSDLNQMAIRRKFGLQGNYDI LSSTVQSGGQYNVSASESTQSRLVGDVVIYSFCCQYMQSQSYCTRTLLFQPNQELEQIYR VILNVHAFALGLVKEDIQFKQIYRETQNIWETIFKDDPEMKMKFPTDIGYLIGSQMLIDN HNIETIQDRMAVVIRMFVDNILVQLPFYPERTNIAICLADTIFVVSGIEDCVITKAEKEF TFVSYQPTEEGERFFKSTFQKNENSDVLHQSEKITREQFEQAELNKIKNDQEKLKEIKQY ELEVRLNDQQTRQEPKLLVKMDQLQAFQKEDQFDQYPKGEIAVDQDKSAILIPIIGTHYP FHALTIQNVSVKELPNGAGEITIRFWTNEFHIDTREFPSMDQDQMFLKEITLRNQEFIKL QDIENEINVCRDDARRKQIEKQLEVDKFDFVIEKLTVLPKNTPCLSKVYMRPTQSQKTRS PEGFVECHENGFRYKSARGEVIDFTFTSIKHCFFVSPEDEVIACIHFIFKMPIKCGKIMF SQIQFYRDIEGASEQEAARRKVRLFDIDHVFDKKVQDRRLEELKNFESFIQQSEQYYKRF NIKFERLEKQYSFEGNYAKERVVFQPTQSCLVNIVDQPFFTLTLENVDIMCCERVQEETI SFDLVAVLKDLEAQVIRIEAIDREDLKKIQQWLNKKKILFFQTTSGLMWRNMQFSIQKDF PLFVYDGGWATMMKDHMEHAPIQQFNDEPLFEPDSSNGPTSVSEFEFEQDKKNNKYLHLQ KDDESDFSDLVDSEDIMSELDIQERRKRKKVKYNFID >CAK88707 pep:novel supercontig:GCA_000165425.1:CT868651:134153:135344:-1 gene:GSPATT00021875001 transcript:CAK88707 MASQLEFNLNQIQVEFILSKMPKGYSLLQSNLLKSREQRVVKFPSLLVEQPKKVNKYDAS FQSNKQEVVEDKGKRSQRIAPIQKEQVKIQLDDNCKKGLQLLQKFKSHPAFNEINASGYL NIDKIEASFKQEGNLMNLWNQIRIALQKLTQSASSTQMQDQVTFLENHFYQVFKPIQNEQ KQTSTSTKSIPINNKVTSNKVKESQSQKKQDTHITFEEKRQLGQHIRELPQEHLKAVWEI VQQSVQNQEAEELEFDIDQLPAKVIRKLQEYVQSKLKTKKVKVDPSINYSSQKSNNQEDS SFPSESSD >CAK88708 pep:novel supercontig:GCA_000165425.1:CT868651:135468:137013:1 gene:GSPATT00021876001 transcript:CAK88708 MEKYRRIRKIGKGNFGDVWLVEDNKGRQYAMKRIDLQFDTVDPQNEVNIMKVLKHPNIIK FYDSFEHNDKLCIIMEYAKNCKSLDHIQADLSIYIKTKQPDILNYFTQLCLGVQYLHQQQ IVHRDIKLRNVFITDDGIIKLGDFSISKKLIDLSTNTTLGTPYYLSPEICQSKNYNSKTD IWNLGCLLYELCTQQKPFQGDSLPAILNTIINGQTPQLNEAFPKFYQDILNGTLQKNPDL RPDIEQLLNIPQIKEEQIKLQVLYKSKGLLNKRICPIECPGFDEKQSDKPILTKDMAKIL KQSITPQYRQTQLGLQNIFSECVSPNNNYCQVQKKPYKKIMTINTQLDEKVEQEITQKKP SFAKLLFNPKTPTSPNRNILLADFLKNKLGQEVFQRMKDLLENSKDPIQLLENREEMMQI LGEQNLDCIKIFKILICNSMTPPSSHFRTMSASYQFITQRIKTVNSHENNLQTSAWDEQF >CAK88709 pep:novel supercontig:GCA_000165425.1:CT868651:137066:137625:-1 gene:GSPATT00021877001 transcript:CAK88709 MEGYLYQFKPKSRHVQFPRIILLILAILVLLYSAITQISHALLDNNGMFMKIQKLGNGKV PIIDPHDSDWYRLKQISRIIQYTTCTIVVIIQVLIGYKKKKSFKKGQAIESLIENDNVLI TSLEPTQKLTQNQVASSQGNSHMINTFESKNTQQIQAIQKLNNNNNNNS >CAK88710 pep:novel supercontig:GCA_000165425.1:CT868651:138628:141469:1 gene:GSPATT00021878001 transcript:CAK88710 MIVDDAPILIEEIAQPMDQEAFLSEEYEMPGNKSCRLAIFPPHFYFGLEDKRNAINYIAH AKDGIEFKIQIGFEIKDGNASILVICSALNEILDQSKIYKLSSTIFCKKNKKLKDVKLEA KEVSIRIPLTQKQKDVQFCLMNEISIEVAPKYNSRDEVGFTGIINNAATCYMNSVFQILF NLGIFQKLVYSIESEQHNQFPCTLQSLFYNLKHSKIAISTQDIIKSFKWDVDQQAIQQDV QEFIMELLKALGTKAPQIENEINHLFQGVLENHIKNQIFDNKNQEQFMDIQLNITNSLRE SLDMFVENEPLDAYIHEEFGKQEAIKFHKFKKLPPILLINLKRYQFDGQGFTKIHDSFQY DNIINCKDYLIDEEDKFYELYAVLVHRGEAINHGHYYCYIKPFFQSQQWFKFDDKFVTRA TQKEVFQNNFGGQYQLPEYNEDLGEVIVENKNNPETAYMLVYLEKNNPDLLNQPDKYPEW ILQQEQKINDLQFEQKNYISTYLFSINHLNLNQKQQIKSGIIFHRQASNQSIDFNAVEEF FDQYTTPLLVPKTITIQQIIDQLCDKININKNNAHLLIYRITQLKPNLESVVLMSHQKQL TFYLANKCYQMGLMLISTNPEDQQLINNYLGLDKIKLEQTQQNVKNVKEQKVNDNKLIIF VKTVNNLVVSFKQVLLFNKEENMENFIMNEFNQELKVNVLYQNDGMQIKQSSKFKNTEYY QVYLDYEYKFQAKIFEIENMKEVLIRVVNENQCISQLFNKNDSAQHLLEYLAQLEDCHPD NIQIKYKDRKGNYQVLQQQIMIDEIIQYDNSIAYKQTVVPVGAMKEQISFQVDNQTYIIQ KSMSFGEFMEQENLKETVPLLTSKKDQKAAWVLLDQNAKISKTISKYNLKFVKPLMLGND IYVICFLQSVEIILLCNPLIVSIQKTCTAA >CAK88711 pep:novel supercontig:GCA_000165425.1:CT868651:141827:142798:-1 gene:GSPATT00021879001 transcript:CAK88711 MNYYEYKLDGDDFNQYQQLLQMVQQLRNQVNKETDEIEKLINLKIQEGLKLREAYKLEEY QQIYFNNDIITFENQFNNLASHSLKNILSTKNNKLEYIKPDMFKILQISPVIMKLKEIIQ QFNLNINVIKPMIMGQNAVVVQNNYTVQQIWKHQTIAKSGDKFKTFTFKKNQGFAEPFLC KSSFELNGILHAQLFTGVNADGKQLNCQKDIHRLQFSIHEGLDLSSYYYTQEIYLDHQKL KQMEQPYEIMLYKSILLKKGVTYTISLKPLSDEGFSTYMYTGKQGENQQFLKFLDKQIDL IDQIVIAQQQFNQSPIPGFIING >CAK88712 pep:novel supercontig:GCA_000165425.1:CT868651:143352:144765:1 gene:GSPATT00021880001 transcript:CAK88712 MFLNCCPNVYSLLLKTQIQFIDPDTLLQIDLKDSHSVILKKDFLHIYKQGEPISSLKLHF PNLIKWKFDKGLVGFTIDNETYLTEETYKIKEHLNGRLFFSKIQDFYTPLQVLGKGSSAK VLLVKSKVGDTFYAAKCVPKTESIIQEIEINNLLDHPAFVKIKEVFLGDTSYYIIMDLLS GKNLQQILKNQHTGLTVEQSKLIMHALLSGIDYMHQKNIMHRDIKPDNIVLEKINNLTTL KIVDFGLATYSNIKKFQYPKCGTPGYVAPEVANLTDKNQIYDKKCDIFSAGAVFYKLLTG RDMFSGTGFAYVLAQNKKCQIDFTLLQLRKLPQDAILLLKQMLQKDPILRPTAGECLKFQ FFTSTQQNEIHSPAPNTSTYNSNSAPTGPSNAHKRQFFAQQKQMMQTVDFQTEEKTEYKG SFVTNDMVQYPQMPKMVMKFNTTEFETI >CAK88713 pep:novel supercontig:GCA_000165425.1:CT868651:144805:146040:-1 gene:GSPATT00021881001 transcript:CAK88713 MDQVDIETINQQARKAKELFNEGKHNEAEVMMQGVMQQALAYYKDELAVEMAEYYYMYGT IIVLKLSEQQDVFGQRTREAENNALGVQDPEDTQSENEGEQIEDGDIEEEHKVQENKPHE NIEDLDDFHIAWENLEVARVILEKEVQSQKDKGVQEFKYMRNLAKVYIKLAELDQWRDKF DDAQENLQKSLQLRLQCENQEISRDIAETYFFLGNVTLYNYKEGKEEEALGFYLKALQIL ENHLCKLQNKEQKQITLQDAYEREHLKISFLDNEDTKELKQVLSMLYDKVEDTNIAWKEI QTEEWKRAREEMLNKAQLIEQQQVQQQQFTQQPIQEERQIIQLGNFGNARRRVEVIEQQQ QNPVVQQNNQENVQEKQKEEEEEEPKEDASKKVKID >CAK88714 pep:novel supercontig:GCA_000165425.1:CT868651:146121:147268:-1 gene:GSPATT00021882001 transcript:CAK88714 MEWSRSREREKIKNQREKEETKKEKHYKLQKDEIFNQRYLFVQAISDGTFGRVVRVFDGG ENDFKAIKIIKSVKRHIQSAKIEYGILRTIHQQNEYHPGNQKIVRAYEAFSHKDNYCIVF EDLGLSLYDFMRGKYFGGFELKAVQEILYDCLQGLDFMHASGYTHTDLKPENILLIDKQQ KGKKEGHYHVKIIDLGGAVFQTECHSQLINTRQYRAPEVILRNKWTNLSDMWCMGCIAVE LFTGQQLFKPKGNDFYHLAMIEKHCGPIPIEMIQQCRNEAREYFNEYQLNDSFLKWPDDQ FMVQELNLMKVFKALIPQNQQELLNLIENLIVIEPLKRLTPKQALEHEFFKLTFN >CAK88715 pep:novel supercontig:GCA_000165425.1:CT868651:148390:149110:1 gene:GSPATT00021883001 transcript:CAK88715 MNSNNQIEFYLNSQQVDFIQMKLPQGFSLHLASLIHQREQRISKKVSRFDATFKTHKLEQ TSQEDIAPRRAQRIQPQKQKDKKEPDNKPQKILQKQKHHQYNQQQSQQSQQQQQQQQQQS PKIVSKLQKQMSQQEKLNLGENIKQLKYEYLRGVWEIVQESVQANGDDEVEFDIDVLPTK TARKLEAYVTSRLQAKKHKNDDEEKSLDPDSSDQ >CAK88716 pep:novel supercontig:GCA_000165425.1:CT868651:149170:149886:-1 gene:GSPATT00021884001 transcript:CAK88716 MNSKNEGIEMKPILKQDREEISKYDITKAAHPGICFFHIFFKFCAFFSYLFLGLIISSTL FQYILIMIFHSFDFYTVKNITGKFLVGLRWYSDFTPKGDEIWRFECFDKCKRSKIDSSVF WTFQFGASCAWAFFVFTNVLSFEFVDIIFAGIGASLSWINLWGFYKCSKDQQRKMKGVQS YLTKKGLQMAVQS >CAK88717 pep:novel supercontig:GCA_000165425.1:CT868651:150534:152073:-1 gene:GSPATT00021885001 transcript:CAK88717 MSENIDEHILKKYEVIQRIGKGAYGIVWKAKDLKTLKIVALKKVFDAFNNPTDAQRTYRE VTFLKQLKHPNIVSIIETYPANNKIDLYIVFEYMETDLHIAIRANILQAEHRRYITYQLI KALKYIHSAGMIHRDLKPANILIDSECQIKLADFGLARMVGSHDSDILTDYVATRWFRAP EILLGSKSYSYGIDLWSVGCLMGEMILGKALFSGNSTINQLEKIVDILGSPNAQEIIAMG GQSQIFLNQFRPSKQKLNSLLGCPKDELDIISKLLQYDPAKRLSIDECLKHSYFKEYRNV KEEICYHGSIILQLQDDKQYPISTYRDVLYKKMDYSRIITLVNKQKKIVEELALKKQQQT FKQLIEQKSAQSIKQYRSTKFLKNTYSNSNLLDKSPLNKSQQQQQQQQQQQQQLNSTSNI KNKLRSICQQSEARQRSAISKQSNSSSKYNILHNVTNTSLSPHSAINSRYLPNRFHQKHN DF >CAK88718 pep:novel supercontig:GCA_000165425.1:CT868651:152621:155112:-1 gene:GSPATT00021886001 transcript:CAK88718 MLPQKKPQYELLGVQNPEKEQFIKFIQFDHLDIKGIIFLISPLGTCILLQIFGFSYLIQF ICTVLHISIITIIFATCILDQILRHDDGTKEMKKIAMSIEEGAEGFFKAQYGTIVNLSLI FALLIFLVYWQKGTGELEKDEIPVGGLVIGLLEGGSFLFGAFCSGFAGFAGMWVSIKANS RVASAARSCYNTAIQLSFRGGYFAAVINIALAIFGISVLFLVIYFYCYITIADTQKLNEQ IDKIPLLLIGYGFGASFVAMFAQLGGGIYTKAADVGADLIGKIENDIPEDDPRNPAVIAD LVGDNVGDCAGQSADLFESITAEILSAMILGATLTHEANLHINYKVTFMLFPLVVHCLDI ISSTIGMYFVRTQPGIPTKYIEDPLCIMKKGYRIAMIIGFFGFFFICHHCLNPLQYKDAW LYFGFCGLIGIAVSYLFIEVTQYYTDYHYEPVKRIAQASKTGHATNIIAGLSVGMESTGI PILIISVGVLGAYYLGEQSGIKNHQGELIGGLFGTAIATMGMFCTGVYILSMAAFGPIVD NAGGIVEMSGCDEQVRQITDRLDAVGNVTKANAKGYSVGSASLASFLLFRAFIDEVNFLS PTKKILDIDITQPEIFISGLIGACTVFVFSSWAMRAVGNAAQDVIKEVRRQFRENEGILQ GTAQPNYKQCVEIVTKAGLREMIKPGLLSVLTPLVLGITLRAINVVRMQELLPAKAICAF LMFSTCTGILQALFLNNAGGAWDNSKKYIETGELGGKGSDAHKAAVTGDTVGDPCKDTAG PSIHILIKLYSTITIVMVPLFVD >CAK88719 pep:novel supercontig:GCA_000165425.1:CT868651:155950:157272:-1 gene:GSPATT00021887001 transcript:CAK88719 MSKKDKDYTGFPGDCNQTQLKALADFRNIVNSMGLNEKIYDDPYLLRFLRARKFDIAKTQ VMFNDFIKWRKENDVDNIMTYMFDELPQVRTHYPHGYHKTDKIGRPIYIERIGMLQLNKL FEITSEQRLIKYYIQSYELLLKRIFPACSQAKGTRIDQTFTILDLKGGSMKMVSKQVYNF IQLASNVGQNNYPEILGKMYIVNAPMMFTGIWAMIKIWLDEKTKNKITILGSSYKDELLK HIDIDNLPDFLGGNSKCENTEALSLNIGPWNPDGTKPLFPVEQPAQQEQETQELTQTQED EDQKQKLDQLKSALADMQFASPTEKAPHNPNKYEVTTQNNHMVSDTPLNTEVGEEDNQFS QQQQQQLQQQLQQD >CAK88720 pep:novel supercontig:GCA_000165425.1:CT868651:157332:157663:-1 gene:GSPATT00021888001 transcript:CAK88720 MVERWTWLKGALCTIDRNYPLWIDDLHFEIGTTHVVHHVFSELPHYNTRFYYFVIIYRKQ MSMQKLEIYIIKMPKNMDIPFNSASLVGVEHKGNRVWKFDKA >CAK88721 pep:novel supercontig:GCA_000165425.1:CT868651:158124:159627:1 gene:GSPATT00021889001 transcript:CAK88721 MNQYSDINNQPQGQGEEPQELHEPNSQRQQNESSDIQNENEKYCGGLLNRSDHILVKALK LILALPLLPVVLMWRLLQCTMNLIIDCCTNQIYNCLLYIYGKIAQCLSWLSHILSIIFNY IYTCLTFICNQILDAIYFLGRCSKYILDWYAIFIINMIFKFAHLRSIIQEFTSFITIPLS LKIIVPLAMCFYNNIFNPTKTAIIYIVTKMLQIIRIVIINLYEFTVNYILIPFYDYVILS SLRFMRFLLVDVLYKTIIINFCKGLRWVISNFYTYILLNIYEYLLVKFLYRIVLTYTYKA VKFLLYDFLYKLILIPTSKAVRWIVIDFFYEILIIKICQLLRFIIVDVIYKIIAKISEFI YSQICVNTYRCSTWIIIEIIYKLILRNIYIYILRPLYNILVSIIRIISTNVYRFLLLPFW NLSKYLYNGLIRLIRLTTTFIYDQLLTPMWTLIVSITRGLLNLIKNLFTATKQLSIDLWI AIKTLVRRIRNII >CAK88722 pep:novel supercontig:GCA_000165425.1:CT868651:159631:160926:-1 gene:GSPATT00021890001 transcript:CAK88722 MKSIVAVTLVLCLLSNQVISKKHNQDEEHSAFEKSHKKLALSDDPAYGKLEEIQDHPLGS KILQTIALQLRGNESLSAVSKLLNDLKGDLEGKQIDADNERAQIGSQCKKDLQNYSQRIS LSINEIKDAEFKAKRLNEAIAVYQAEINEKARQIKVFQAKDDTLRDIRRQDNLDFSTRTT QMKEMVQAFEVILPKLHQVWDVAAAHKAGSFIEEEAINEALVQLAKIGEENPITAMVALT STLEPTAVQTLIEKMEAIRDSIKESISAEEEAEAKNARDTDTILAAIFNAIESLTREKAS DEEALQETIRNRDIQDKRSRDAHAEFNAAKNGNQQRNQQCQEYELQYQQNTIERDKQIAI IKDVQNIIATKIEVVTCFVEENNLF >CAK88723 pep:novel supercontig:GCA_000165425.1:CT868651:161026:161862:-1 gene:GSPATT00021891001 transcript:CAK88723 MSFCQEPKVDCQYLKSVIKNLNTRLHILESTNQNCELKSNEVMDQITEDLVIPKLKLNKI QIGFIENNVPQKKVLVTFGNKENFRMDNCDQVGDRIDQNILAINQPSPQKKIITQSLLMS QQLQILKQKDNKLNNINKQPKLKLVKQDSNLIHPIFSKKNSDQQSLGTKFYTRNNSIQST PVKQIAYNSSLGTTPLRRKNSQKNSDVFINSLQIDDMLHNQSKFLKYFQQNYGSNKQCNT FDNATINLQRRTSLKSQNKITLVDAQIINI >CAK88724 pep:novel supercontig:GCA_000165425.1:CT868651:161909:162987:-1 gene:GSPATT00021892001 transcript:CAK88724 MKGQQTPSRFPKINQFETQFSHLSLSNFQEQKHNPSICKGMLSMINPKPPCENKKIKQSS QFEQKAHCLKRCPQEEGTSFDLDLTVFKIRPCQRQCEHNHKQCPYIHSESDLRRPGTYYK AELCPYKVEQKECPHGYSCCKAHNQYELLYQEDNYRKLFCPQPQNCCFGIYCPYAHFEKD IKCDTIKTIICFIIKQLLVPIHFLIIIQSTCDYYHNESDKRRKVQDIQYQPQQCSNWVQN KSCSNECSFCHSIFELYFHPHMYKTFECTQQNCYRDICPGYHDETDQRQLNPLVRNGIMK IVPKNRFEEKQPKTQTIKFPSIYQ >CAK88725 pep:novel supercontig:GCA_000165425.1:CT868651:163003:164987:1 gene:GSPATT00021893001 transcript:CAK88725 MNKLIRKSSLNTQALKEQENKKLKQYVLQMELNPSLYETLTQEQIFGILCIILTKSSYHR TQSEIEILKKATIHIDYFQKLIEKDQGPLLWERCLRKMSYTFLSYGQTLFKEGDVGTTFY IILQGKVSIHKRLMFQDEIQDKELIQLQVGQAFGELALENNEPRSASVKAILPTHLAVLE AEDYMAIKKTVINQQRQMYFEEFARLSIFRDWKFASIKSLFDVIKQSKYGLNHPIFREGD PSNEVYFISTGQFKVVKTLRIPQLNADNNSQDDLQNLKDRFAYSKPSLSNSDKIDMLYQK KKYGNLVIRDTKSIMTLKFVGAGEMFGELEILKQPDLCRQFTYVSTFESNTVYYVSKRDF LRVLYNDPPLSQSLNSLNEDKLKQALAQIRAYEKNFIDQTEKQIILQRAQIKEQLNPKLL TDEDLQSTVLVRNKTHVSKISSKKQQIDKKIRELTLTLESPKADINQSTLLTQLFTSEKH RRQRTELQIMQDSKKGPRNTKISLDQRQKSEMPAYESPKQNVYICNVLSKLFSSQPTIKA KMNEQEEKALKQQQKFNLKEIKKSTDYMYDNSRQLLKKQVTEISQTRRIHSTTSRQQTSP SSSKFCQMDKMFSNSFKLHLPLYMQNKYFGLTPKDQ >CAK88726 pep:novel supercontig:GCA_000165425.1:CT868651:165024:166834:-1 gene:GSPATT00021894001 transcript:CAK88726 MSTSKSIIDETYINVHLKGGNLHSELLHIEASFNKGQFKGQSIPLRCQWFNITKDKDFVE IEDVSGQFYQPCILDIDTKIMVQAIPILDFDYNGMPLMAETNFLQADSKIFDLVNQAFNE GEMQLNCKLENIQAQDNQSFNDLNLPSICTLIANENGLILKTDQNKTIVNIDKYELKIIK KNNNQIEIFNQNIKLLAAVTNNIVRDAIFQFSKTLKSKKTHLSDTAQLYVILSDQEQKIA QKDNEISSLLDFKQKLTKNLSELQQQYNLNIKKMEQIDKQLAQQSQVIINKDKEILNLKQ QIDKLDGKTQIYIQEIKVLKSQVILLENTNKKYQHEIEELKKNQNQNQDEIREMFYKEKL ESLKQQNDKCIKENQQWQLINDQLMKEIKKLESNSTFYIEEKELIQNELNSLKKKYESLL QEMELNQKKEKSEISSESDVQSNKQSEIIICNPIVQNNNVSLEEIKRLKTTINNLEATIK NLKCDYEQDMLKLTNKHFLKSNTSQEIQVLQKLANSLAESLTDKEQALQTQRNINRELLN KISEFTK >CAK88727 pep:novel supercontig:GCA_000165425.1:CT868651:167322:169763:1 gene:GSPATT00021895001 transcript:CAK88727 MFQNIQLKANFHEMRLNPSRPVYQYKLEITDSSPEKVSEALKKFRPQLQTQLILFMSLNQ NIYSPKLIQEADNGLVLGSLSGNETNQDTATLKLVGKIENKADLNIIISRLFKQVIRSQM QMVSVGNKGQKLFWSSRAQQFKDQNLEIWPGVECIFRPGEGGAQNPTLVIDCAFKMLRYR SALEELNQTRNPACIQDQIVMTTYNKKFYKVEAVDVNLKPASTFTNEKGETISFAQYYEQ RYKVKVDGNQPLIRATVRSKQDKTEKTIHLIPQLCQLTGLTDAIRNDFNAMKNLAELTKE MKMAQEFANQLASTEIVNKGSGTKRQIFKEWGVEINPGSMDVPARRIHPGNMLMGNGLKL DLSSPQTNLDRQTQTQMFSTPPQQLILGIIYNKKTGQQTMDSLMQNFQAACNDFKFQAFM APKVFPIEQDRDEDLERVLDGFQKQAEANKAKVGFLLFLLPGQKKKARLYKTAKKISMQK FGCASQVVVEKTLAKNTRSIVNKILIQLNAKVGGTPWAIDSLPTTFQNQPTMICGTDCFV KSGRKNQLAFCSTVDRNLSRYYSQVVTSGEFSQHLQQVFKASLLAFKEQNGIFPKLIIIY RDGVGDGQQAVVLANELPQYKQALEELQITDTKISLVVCNKRVSAKFYTGGNARPDNPQP GTCVDNPKVVEQSNPNFYLISQVTRQGTVTPSLYKIIHSDQAGLDDDIKVLTFKLCWLFY NFTGSIKIPAPVRYAHCLCNFIGDNYDDRDQVKFLPLPDLVKQKVLFYI >CAK88728 pep:novel supercontig:GCA_000165425.1:CT868651:170527:171540:-1 gene:GSPATT00021896001 transcript:CAK88728 MNEINEEKINCQLYNLSNQNVLNSLEYIAFNSNSTILLAIQQNIINSYYFKNNYLKHISS IKVLRKGKDHLEQLEYLKGSNSILTTIRNNILIANLSCLKSRKILQKIGHRLYSGCQQML FNQNQNNLFISSDNQVLFIEKNIEGWKQTQKIQLGYRDYVISMCLNLQEDELQIYADDGY NCSAYIYIYQKQTQQQEINWILIQNMRFGNGIGKLWGGFVNQLLFFNEFSLDVYKKSNQS QDYIEYVSSQIQSRSLIFCQFLQNKLVLLIEKNNTIRVMKLNLQQKLEFVKSIEIDMSAL INKFSYYSSIKATLTQDENFLIIWNQEKTLIIKMIYI >CAK88729 pep:novel supercontig:GCA_000165425.1:CT868651:171758:172312:-1 gene:GSPATT00021897001 transcript:CAK88729 MLHYSICPLLKVKDPIQLENQFYLLVYYYLSHPLFHLFRILTNLRIYFQITQESLIQFWL IISYLQRSRDYSGSRTTQKQTHFIFSPQFKRQFLSFIEHNIGSKNTILIYFIREYLLLYF KPYLVNKFGYINIIDYFQVCNSFHIGAIGYTLYLQLQMLCTYPILSTRKVLSIIIIRNLF LIFK >CAK88730 pep:novel supercontig:GCA_000165425.1:CT868651:172425:174425:1 gene:GSPATT00021898001 transcript:CAK88730 MNLFAEFVNLHYSPSAEQQKLLDEFNIENLTKPINEQVNPSKFKELQDYYLDSFNSTQPP KIKDTLNRFHLPISDWVDQPLFLWEIPQDVMDQLNRGYFRLQNIKLNHGSESIIVTQQLK PSQDNIEKSSFSMTLSKYLDYIRDASDFFRKNKQYNAHKLLSLFDLQIEGWEEEANKLYE YLPQCFLKEDGLSFLRSKIKNVNQLSLNIMTQGSWKGAKQEPGAVNLLNLNNGPGDCLWI VINPEHMEKLFQNEKHFINQEGNYYLKREILKKHGIPYKRFIQKPGDLIILGAGSFYQIE CLSTTITTGWSFLAMNSYSYQQMMRRQQINIKYQIQSILPLKNLFLDIFIHHQNEQLRIY LKEFLSQELGLISDLQKQKKIQSLTTNYSMRQHCFCEECKEEVFLCCYQNQQKVVCLKCD KVKYYQVQCKYNTNILQLILSSQDHLNCSYHYCSQSEPQNPKCTAKFKQQKQQNITSPQS VEESSTSNIENVLRFKSYIKEEEKESNQYQQKQVKKQGRKQNQEQKNEVKIEQVDPIKEF NHNKPKINKAKEVFESKQKQNQKPGSVVDDQNKVIQIVNEPPNLKTYAITTRKRLSLLEI KRDQEIKNKKQLTSKPIRKSEKQKHKFTSIYQKVRLSEEEMNEILGLQTQQTQERIYKKG EKMLTL >CAK88731 pep:novel supercontig:GCA_000165425.1:CT868651:174494:176901:-1 gene:GSPATT00021899001 transcript:CAK88731 MNLGIEQIIPSLQNSIVNHTQSIILDDCISEFQKYLEKVIPNYFQDSKAQKNVFKDQVTT NVISSLLNLTNQSDFLKPYDKEITLTTLTKLYKIVQEIQENGKIQVFINQTIWNIERDTT RQLQDLQDLFQLLLSSKSCGKMILLKQFDQYVRKLQQQTYFWESLILLENQQIPKISRES LAKETDLVNKIELWKLILIQERNKTPSSKGTSLILEQQKIIQTQCLHQKTILKALQQYCG LGLQKNQLQSIQLFEELARSGNPLANAILGQLILEGQICEQNYEKAYEYFSESADQNCPI GIYFMSKLILVTILGKLYQEGKVQNKIFGEAQKSSSKFNNTNNSRIEECQFAISMLKIAS EAGNVESMIYLGDLYSQGYKLDDFTLDSDYSTSEIYFKEASKQESVEAKFKLAKLYQKMF KISIYKNRIQFVHQLLLEAKNNEYLPAYYDMAKLLIEGIKDDLQPNQIMAELILEQGAMK GNSDCAKLILEIKYNNLVNNKINLQEFLQLLDSLDQVQNKVENLTFYYRGKIAQNGLQDE SNSQFANQLFNLGSNLGCSKCKQELSLGAKKELNSKKEVVEQQEKQERFQASLGFVQQFK QTDWRSRFRKQSIFSNPFNISLIENDQSTIQARMTSSIIKTIEINDAENKRKRVISDYTG FTKQQPSDQSILLSNLKLNPNDQQQLNSNYQIQEQKSRKSSFYTKKEKPKFLRHLSQHAI HSQSSNLLNFQNT >CAK88732 pep:novel supercontig:GCA_000165425.1:CT868651:177039:177762:-1 gene:GSPATT00021900001 transcript:CAK88732 MNKSRDWNIVDDELNRKLKHSQELKSSLDDQSAELLLQNKDQNQEYNNDINYYKEFWRYY LLNEMTIKKVNELHTQNQKLHDLIAEIDVNIYGNTQKLQQELHQALSYRQKKKNRRTSQE IEKSFICPYEKCNKQYGSDVSLNLHIKLKHDGGNKTDREKFAKMIIEAQQNGETITDLNI NIKFPPGYLDVQFIQLQQFKTQFLLNQQNQLNQERQSIEQD >CAK88733 pep:novel supercontig:GCA_000165425.1:CT868651:177967:178330:1 gene:GSPATT00021901001 transcript:CAK88733 MAILFEILLLIGFAGASFYIGYRYSIDFQPKVDTPYNPVDDIESSKGRTGTITKNQYQAR QKELDKVLQQIEEAKQKEKKKLVEIDLLRAKSKDKVLSDQEFNNLLDE >CAK88734 pep:novel supercontig:GCA_000165425.1:CT868651:178828:179068:1 gene:GSPATT00021902001 transcript:CAK88734 MDQSEHEAKEMLKPYLTQLVQLEEADRLKQAQITALRLAIEQLKQQALDHQRKYEEEQKK DGKKKGPVKK >CAK88735 pep:novel supercontig:GCA_000165425.1:CT868651:179121:181745:-1 gene:GSPATT00021903001 transcript:CAK88735 MDPKKQPQPNQPSQPNQSNQPNQQGQSEKKDVSTAILDRKKAPNRLIAEEALQDDNTVIQ LSQAKMKRGAPVLLKGKKRKETVAVPIPDKLDNEKIRLNKVIRKNLRIKLGDVVTIKPLD QVPTLTKVHVLPFDDSIEGIKGDLAQTYLIPYFKDAYRPVKKGDYFICRGGFKAVEFKII ATEPGEIGIVGPTTTLFTEGEPVKREDEEKLDEVGYDDVGGCRKQMAQIREMIELPLRHP QLFKTLGVKPPRGVLLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGEAEGNLR KAFEEAEKNSPAIIFIDEIDSIAPKREKVSGEVERRVVSQLLTLMDGLKGRGQVIVIGAT NRPNSIDPALRRFGRFDREIDIGVPDEVGRMEILRIHTKNMKLAEDVDLAAIAKDTHGFV GADMAALCTESALQCIREKMDVIDLEDEKLDAAVLEAMAVTQEHFKFAMGQVNPSSLRET VVEVPNVKWEDIGGLEEVKKQLQEMILFPIEHPEKFHKFGMQPSKGVLFYGPPGCGKTLL AKAVASECSANFISIKGPELLTMWFGESESNVREVFDKARQASPCVLFFDELDSIAVQRG SSAGDAGGAGDRVINQLLTEMDGVSAKKSVFFIGATNRPEILDEAIIRPGRLDQLIYIPL PDEPSRLNVFQANLRKTPVANNVDLAYLAKITDGFSGADITEICQRAAKAAVRDAIEAEA RQKQALQMAPNKASQLIKADPVPDLNRKHFEEALRHARKSVTNIDLQKFEDFRKKFDPSF NKGSNQGGFSFKWPEAGGQQFGRSQQSKIQEEDDLYGN >CAK88736 pep:novel supercontig:GCA_000165425.1:CT868651:181865:182678:1 gene:GSPATT00021904001 transcript:CAK88736 MSKSPLRTQSHSTATFLQDEQKYSTSKHNSIHPTLQPQIYNQFEELRIFKSKCQEQDKII ENQYLQINEMKQFISDCQISLIHFKQQLEDQLSIKENYDKELRQLNQLVSSLKAENQQLM LLYNDKKKELDELTSNNQLIINDFNKQFENTLKARIENDIKEIHNKYLREIQLLKAKLYE QEKLVQNQEVIRLLQKKCEELLQGIDQKNNYIDDLLLKYGELDERYETLKKQMSLKLYEE DFLDDLSKSKLKKS >CAK88737 pep:novel supercontig:GCA_000165425.1:CT868651:182708:184748:-1 gene:GSPATT00021905001 transcript:CAK88737 MSHQNAKNLRDLLIKQQLNSKIKFKGEPVNKIQLNIKQSGNWDKKVDITQCYCNQKNCNI CGKKRVVINASPLLKVKVSTKNQVCEPDSKREFKVTSSVSAHQSPNREKQYQMQQTFLQK QIQSHLQQLQESRPQSRQVPKDNKPQFYQNMDNFLNNYYQKSNLSSSQLYQNSFSVKSKV IPKRIESKSHVLSNTQTSFKLKLNQQGPKFSVIINSGLVESAPNSPKNNENSNKGKITPN DSMTKSKVNQNESKLSDIRRKSTGEISEKTQVLSQKIEEQVNRVHFKFLYVIGKGGFGRV WRVEMKRNKKLYALKEMSKAKVIQKRSVNSVLNEKYLLEHLHHPFLVNMWYAFQDRENLF LIIDLLTGGDLRFHLGKMRRFSEAQTKFFVACILLALTYLHQNGIIHRDLKPENLVLDED GYMRLTDLGIARMNKGNNAGDTSGTPGYMAPEVMCRMDHSVVVDYYALGVITYELMLGRR PYNGRTRQDIREQILAKQVQVKREELPIGWSESSLDFVNQLILRKPERRLGSNGIEEILH HSWLKGFPWDDLLKKKVQALYVPGAVDDNFDFQNQISEETQLNEEQLMENQGLLRRDTVQ SLFDGYSFDVELKNIQHQQTQKNYTQRKSQPVSPEKRG >CAK88738 pep:novel supercontig:GCA_000165425.1:CT868651:185115:186171:1 gene:GSPATT00021906001 transcript:CAK88738 MQYIKTQSILFLYGLFDSIQFMKGLRRYAFDKQDKYCIEIQKYLKQAIQFAAKKLATIIF IDFIVYLLSLLSIPKLFLMLIQIISVVFYLENNIRQLVYVGKITQTAIQYETNVKQNRQF LIYNLKRDNNVGFSTLIDQVKLTLQALLFSFLLLLQTSLFDILVIILPENTLIQLIIKHL DYLLYAVFITTGYLSLKIPYQDHLPYYLHTYIGYLIGYSVILSYFLNVYFSFPKLGIVFS ILSPICLLREFQIDAPLITEIEEKSFRENLGILIKNFLGEEVISRTSYEHHLKMAENDKE YKLKLRKTIGWFTLARGLRPYVINPLNKAIIIFMKTYNSLIGVV >CAK88739 pep:novel supercontig:GCA_000165425.1:CT868651:186218:187855:-1 gene:GSPATT00021907001 transcript:CAK88739 MGEKRIDKYLFNPKDIIGEGSYAQVYRGRDEKTGVKVAIKVLNKSVINADDYLREGLISE IKVMQKLKSPNIVSLMDVMETNNNYYIIQEYCDSGDLDKLIEKYKTMPEKDAVKFMIDIL NGFIQLIKNGIIHRDLKPANILIDKGTFKLADFGFAKCVDNFKKDMLASLVGTPLYMSPQ ILDNKKYTSKTDIWSIAFIFYEALYGKTPWTARSPQELLKNIRSQPLSFKGPQISKEAQE FLTGCLQAEEKDRLSWDEIYRHPLFKGHFTDFIKNVSILEDKATYLINDIRQMIIKEQID IGELFAELDMTKDKALNVNELGKFLQRVDKNLTREEIEYIFNKFDDDGNNLIEFNEFKKW LEENDCRMTASEVSRTKKKVSILMKPQQDIQAGSLDDRVRSVIEKLKISIVKYNINLLDL FNKYDKSANHELDASELGKLLKKIDQTVTDEEAKAIFTFFDHNKDGSVSFNEFEFVLKEC LVKQKIKQ >CAK88740 pep:novel supercontig:GCA_000165425.1:CT868651:188685:189047:-1 gene:GSPATT00021908001 transcript:CAK88740 MVQHKFLVVIRLLNLKSKTTHRNQFQINIILDYSLTFLNDDSKQILALAMIFISECSITY YYNLPSLK >CAK88741 pep:novel supercontig:GCA_000165425.1:CT868651:189346:191080:1 gene:GSPATT00021909001 transcript:CAK88741 MKQRPRQIDASKPVLTISTLEAFNHAEGQETEIKNTEQIITPDQLMKEKSLRERISPFSN VKSRPCSSILALFIFILYSLLFRPKQFEEPTLKSQLPARCREDYIQYKVPVYPDATTYEL TEEDVHFLQTINEKLQILITIEDFELYLALLDAKSGKDVEIDFSEFLKLKPILPRTINQQ TITLQEQLYNYFKKQRQHFSRSLTRFLMHPYYEDSNPHLAFRPRTQPMDRTMKLRRGNAQ NTRGVNEMENLGQKIVLLKRDLLIVSNLIDQSIQREKAMEIERRFNFCKFIKEFFDQSKK EKDNNSEIRLWPKDCFVRDCQDRCSINVVERADEMFDNISKEIIQWKDFNEQQRREKQKK EDQILKFEKQIEDLIIDKLKFEEKPPVIVPPVVQQPIQPQIPQIPVIPQEPPDEKMESVA RFLATLYLEARKYQLTFDQVLSDQFPIYKIQNPQQQVNSKSIFPFALMQIEGNDMVYKIR KDNCLERNHSAYWESYQDQQITSTLYYQHEYFKEKVNDIMEIADMDQMVYAQGVDDEKLD EEEKLFKKKVKSSSTRMTGVNGGQLK >CAK88742 pep:novel supercontig:GCA_000165425.1:CT868651:191144:191666:-1 gene:GSPATT00021910001 transcript:CAK88742 MVKMNVLNDCLRSIVNAERQGRKQVLIRPTSKLVVKFLQVMQRHGYIGEFEIVDDHRSGK IVVELLGRINKCGVISPRYDVTLGEFERWANNILPARQFGCVVLTTNVGILTHEEARQRH IGGKILGFFY >CAK88743 pep:novel supercontig:GCA_000165425.1:CT868651:191854:195261:1 gene:GSPATT00021911001 transcript:CAK88743 MSQRKSLAQAHIKTVLISEARGKFKRCQLCQKEFGLLKPEHQCKRCRRAVCKQCSEHKII YITETGPSKRPRRICNSCKDESDWIKKFIEQQKIVFGTNTVAVEWLQASGLTVEHANQEY EKAQNEQSPAKENNDYQKMKSELNSVMIELWLNLNFSLREFITYIIKDNERELLTQKISK VLGSLLIQYPDIGYSADQILITLFLLCFSSEASAYVLLTVLYSDIIPFNTYPSQLKQTPY DYLSETEKIAQVLEQAFKLKQNEVTLVKPFMRNKIQRYLQPFGINFFLLQTNFFLFNQMF LSPTLGYDNFLKCLASSFYTSIDEIKQFNQQFDEIEGQIMKGVTSVMIEKNYVQTKLIIF LSHSHGMTQSVIVSRPLEKNKETHQIKVEFHKDQKAQQQNEQNEEMLIYSVTQSNEFQNL RRKTVQIAQQPQVDEEKETLKKYIVQIEELLMTKHKLVSELQLQVKELQNQPLQIINKDV DQQILEDNDQLRKKISMKDLEIQALQEQKKYSDKIQEDNQNIINNLQKSNQEIYTSLNAQ ILQNQELQQQIADYKTLSSQASDNSMTPSQGERNYQINISLQSRQSEQELAQQMEQDRYK IFQLELQVNQLNKEYIALQQLNQDKLRIIGNLEVRLIQAEAAKREHEKFSSENVDIKKKL QNHELVIIQQAEQLQLLQQRYDLRLSELDDAKKERFQLAEELNLKNSQHSQIIQELQKQL ADLQILHNSVSDQLNQALSINEKLKQLIIEKDNEISEYVKHLSERDLIIVALKDADLLMK DRNQHLTKQFEESESQLHKIQQEYKLHQDQLLSTQNQTQTLQEQLQKLFSEHQQISNQNT LLIIELEDFKLKSTKIDQQHIQLSETHKLTVNELDSLKQRFTQLLNDHNNVSEQYRLIQI QFEEERTNSVQVQEKNRIIDELKSQLLKLEETHQVTIKLHLTKIEELEILIITHEKGMSE FKSLSEIQKNQITDLLAQMAQLESERAEHIAKNQSLTIQLNANIERIHDVENQNNTLQSE LQQLQKRFQESNHLNGEQKVLIIQLEERITVLIAEVKTKEGEIVKLIQVIESQKQSMIDY EELIRKLKAQIVELEKSNLRLKTTLKHYKELSKEMAEID >CAK88744 pep:novel supercontig:GCA_000165425.1:CT868651:195823:197202:1 gene:GSPATT00021912001 transcript:CAK88744 MPSDKTIGGGDDAFNTFFSETGAGKHVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISG KEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHSVGGGTGSGLGSLLLE RLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSILSTHSLLEHTDVCVMLDNEAIYDICRR NLDIERPTYTNLNRLIAQVISSLTASLRFDGALNVDITEFQTNLVPYPRIHFMLCSYAPI ISAEKAYHEQLSPFEPANMMAKCDPRHGKYMACSMLYRGDVVPKDVNAAIATIKTKRTIQ FVDWCPTGFKVGINYQPPTVVPGGDLAKVMRAVCMISNSTAIAEVFSRLDHKFDLMYAKR AFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIETAEGEGEEEA >CAK88745 pep:novel supercontig:GCA_000165425.1:CT868651:197415:198293:-1 gene:GSPATT00021913001 transcript:CAK88745 MKSSQKYCNLGQFLDNNHFIPTLTSCTNKLCMKPQLFAQGCRKISIHDDIVQRKQGRGKN LLEVSQDDKLFHKGEGSIHSYRSPRNCFTKGKTLVSQTELTNKVSPRLLSPKQQKEVIEY LQKKNEELALENKQKQQIINRLIESGDHTSNTNQIQSPKKEQFLPQIPKSVESKRNKVND IRFPQIKTPEPYIEHRITKKNVINDNTSNEQQNIFNVSFGNQLNLNDGTNQQNNQIPCSI QRFIPLNKKQTHPIQTGKKSHFSKLLLKLPQEFHLCSENQKKQI >CAK88746 pep:novel supercontig:GCA_000165425.1:CT868651:199042:199527:1 gene:GSPATT00021914001 transcript:CAK88746 MPILRRKDQQKAKNLNLKFIIDCAEPKEDKVLNLDEFVSYLQSRIKVQGKTGNLGNDVTV SAEQEKVVVTSTIPFSKRYLRYLTKKYLKQETLREYLYVHSLDKSTYQLKYFNVGQDEEE QK >CAK88747 pep:novel supercontig:GCA_000165425.1:CT868651:199574:200872:-1 gene:GSPATT00021915001 transcript:CAK88747 MIQKDVNEKMMYQYPTLSEEQKYLLTQLLSQKDLFSSLPLIQTLNGQSKKKLMGLETAIL QLDQNLRVLFFQNALPKMAQYSLNLLNSPPKKELLVTQGTIQFTRKEIYQFLSLSFFGLL KIQHDSFPTPCNLHYILQEQPEKAKCYLHYFITANNDLEHELVTLERIDFNVNYHIHQFF PNNQGIQILDIKLWSNCDKNLQKIDFVDTFLEEQQNALIVDFANKYVGGGVLHTGCVQEE ILFTIMPENIIAVIFSKVLSNTEVIIIKNTIRYCDYLGYGLSFHFLQRKPSNLNQNILVL DALYYGNYPTLQFQSKFILRDINKAFIGFSLSQSAKEEDFLLPISTGKWGCGAFRGNCEL KTLIQLIAFSASRKRIDQKRRMIFSTFEDEQLQFYKRDIDLIIDKFKSVGKLFEQLLKIT DQNNVFKQLLGE >CAK88748 pep:novel supercontig:GCA_000165425.1:CT868651:200902:202108:-1 gene:GSPATT00021916001 transcript:CAK88748 MSQKKKVTIQEYIANKVKPVFDQLIARIVIEKPDDVYGWSINWLQNQKSGAQVQQLENHD LSEEEEEEEEVILELKQQQKQQTRAAVSAEVYGDYNKKEDFKPRFIQKSEGQIERIKKRI LNSFMFQALDEKDLNIVLGAMDEKKFQVGDEVIKQGDDGNELYVIDEGRLECYKKFTGFE EEKLLKTYIPGESFGELALLYNAPRAATIKAIEEVTTFALDRETFNNIVKFSAIKKREQM EEILNKIDLLQSMDNYERVQLCDVLKEEKHHAGEAIINEGEIGDRIYLIIEGELEAYWKG QTEKVYDYKSGDYFGELALLKNTPRQATVIAKTDVVLLYCDFNSFKRLMGPLEEVLKRNT ERYEKYLQQ >CAK88749 pep:novel supercontig:GCA_000165425.1:CT868651:202271:204453:1 gene:GSPATT00021917001 transcript:CAK88749 MRKKVSNYQDYQECKQQHLLNYLSTLEEKDQEKLLEKLESINIRNLLDVYSHYKERLNEN CNINPIRNVFKVSSTPQETLQQYQKLGEQLISKGKVCVAMMAGGQGTRLGFNMAKGMYDI GMPSHKTLFQIFCERILSLQNMIQIRMGQCLPIQFFIMTSDVNHEETKRYFIENNYFNLQ SDQITFFQQDSLPILSKDGEILLSDHTSILEGPDGNGGIFNSLYNQGYLDYMKCLGIKYI HICPVDNILCKLCDPIWIGYTEANNLTICSKFVKKAYAEEKVGMHVLINDKPCMIEYSEM IQDDLNKTNDIGDLLYDAGGIAQMICTVEFTHQIYEDPQTRSKLAANYHVAQKKYDYYDL NQRKVIKPESINALKFELFYFDCFPLCPEEQFGLIEVRREDEFAPIKNAPGEKSDTPETA KKLYMDRDQKWVKDYGFSFPQQIEISAKITYFGEGLENILPKYLGNKQNPLIITNDRLST QKQPQLVKQPQPTQQPLQQPQQQQQQSKQPIKQSQKGGVKNIQFYPQVVYSQLINLVQNS ILQTNYYPYQSTPQNSRNIQIQEYPVHQSQVFNQRLSMQHQAASQQQLKQVKPTAFPTQS ISTVSTTKLINPQRRYQPKMHSQNLFTSKQVIIQPVQVNSSRSPQRMTLYFKQRATTVQR PTMFVQQRLLIVSAQQYTSLTTIKQ >CAK88750 pep:novel supercontig:GCA_000165425.1:CT868651:204506:211961:-1 gene:GSPATT00021918001 transcript:CAK88750 MKQLFLNYRKNNEMKKNTYQIQFRNGETVLQDGYSENGNKIKRAYFNFFIPLRTIKENAI YFLLLILQVFSSQALYFIAILLVIQQWLVDLHNYIKIRKMDQMVNSQEARVLKQWDECII NKEIIEKIKERLNKQDQSESNQRQKSRSQVDKNSQLKKFENAQYQKKGTIVSNVSIPFQN ISYKQQQSNKNIRTENANKYNQMSPLIYKDMALKLKQVQIMEDDPLIIEFKKKVPSMFLR EITPTAQRIPLNEKLKKMEKDKMNLIKVQNVENIMIGDVIILERNQRAPCDILVLHCNDE NFCVQHQLCDYSSTTVRKPVVQNRSDSQNLAQFKKSLTGNIVCHEHNFQIKGFFQLKKDP QSKIFGFENFIFCQERLLATPWVLGIVVKVGNSCLCYARFFGEFRFENTQFVPYYIVVMV IIIIQIIIFNQNDFLKNLRSITQIIIDNCIFLILMVPHFYKIYYKTCSIIQLKGIGNIDQ KQIMSCHIDKYIDKQYLTVSVDAFIEQSFQLQCIIYDNKLCEFEEQKFFQFLIQICSGIK AQGEGRNSEKEEQEDIQQGSQVINYLMQSSCSDELMSQRECIVNQIPKSVEGRFIFYQQS ESKEDFNLNQMALIQHDQTSKDKTLVDNHDGYNDTIQRASNPIRNSKGYQSSKDQFKQED YFIDEERLCRNMMRNDDPDELNPLLLQLAMNHLAFSKLLITEKKEQKDNQIRNKQVQQKL WVMNSYASIMFSKIFNIIIRNVQHYIVQINDELHSFKLVITKLDIQRQRLFMLFEVVEFY QDNDKQFILFLREGNVKKNEGIDDKVWKHQYDSLHYIYYSYCYLTQESASVFLQSVESNT PDNQLYTLMEQVFKLNIILGLKYTLKPECQDFMKNIRKSDYQIFVYTQNQEIFSTSILYQ SELLLQNDLVLHFNQQNEEDLRAYFKQSLQDFSNTFGQASINSSQFIKIQALNSQKQITV DQVEEKPRTKKIIVMMNNQSLQYIMENHYFKNNLKLILGFTKVLFLYQATQDQLNAIQEI WCTSNKTINLLYENQSLLRCFNGCQLQILQLQQFSLFKNQKVEKVEKKNFFSSLITSLQQ RLIFEKCYFNEMQINSNTDIILQSYKELDRLLFFQSPLMKIFFRALYQQTIYKTITFVTT FTFVTIIYTWYTLDFMDYLLEIVFYFYIYQFFLFSLQHYSFIDQSKKLKDNKDQSILLQK YSQHTLSMESILIMVFKHIIQGLLISCIFIYKMYDLEYYLYIFMSISINDWLYLIINLNF IEAIILAAIFPIIFYIYILLDMNQNSEWNYSVDTYDVFSIILGTAFLLMTNLVFDYQEIQ HCISIPIFNDDFLSYLNYIQMMINSKQKKKTLTILQNRVNELFENIEQVDLSIQKLLINQ QNNQSKFGQWRQQIFKKAHTILMWKKKQIIQSFQLLFYHTTFIIIMYFYQKRMDFFLVDY LIIFCLQAFYFAIQILIKLQTNQQEYLEYGKFFLSTAATISILFVMKSIDNINSTLTIQY FIAYELSIKFHPIYDFRVYITTAIATMIGYIAWYVVENNSNISNQIAVIQFLVLFSVLQY FVKSYFIQLEEDQAQNKLNFIEQNNKINDILGILLPKFIRDRLNETDQYNIHQNQGLVAI VFCDICNFDQMVIEEKDKIITFLDDIFRTFDKYCQIYGVQKIETVGKTYLASAGLKACEQ ELTYLSQVDPVQRALNLAEQMMIYIRSKMWGYKGQQLVGKIGIHYGGAISGVIGFHKPQF SLIGDTVNTTSRVCSTGLEDTITLSEQAFDQLKNENIEFEVRNVEMKGLGIRPTYIYKCK IQNKENQFSMPLEQDAQSKEARSQYVVRKNPEIFKKDLKKRKTILTQIDTMKQKMDSMQE QGAPNVFGIKPIDPTYYQPYEDKSRHSIQLLSKQGSDDNHIKQSQSKPSTFKRLVTMLQN KFQLENYEPEIDELIDYEQLLVKIMNQLENVILLKNEYALEHNIIKETSFIQLLQISYYR KQMNQFVSYEQYQEFVKTQSKNQTVQNLRIYVLYYLIKLFCQIQFYGDLNLGLIILQWLC SLLNLIQFLVNNKLFFEKWKLCIKLLLCFEALLSGLVIILDENNYLKNIHVYELIFIQSL FCSIQILSFWVKVLFCIGTASYFTIVLAIDGTQAISIYFIIISSLYNISLILLIEQQQVG CFNQKIIFKTQQQKESQLLQYLLPKHILNKFLDDKIGNIGICDKIDDLTILFADIAGFTE YSSKVKPEEVLVMLKNLFVEFDRKCCDLNVYKLYTIGDCYVAIGMIDYHNRNPHQEAKNI IDLAFEMINIIAQVRKQINFDGLNMRIGVHTGPVFGGVMGTDIVRYDIYGPDVLIANKME SNGVKGYVQVSQQTKTFLEEDYASMFRFEQNVTLELKAIGRQIEGFLVKRQEDDHLNQQD GPL >CAK88751 pep:novel supercontig:GCA_000165425.1:CT868651:213269:213925:-1 gene:GSPATT00021919001 transcript:CAK88751 MNPFTFDNSIPPLKRVKERLVGDAILKVNQWKMILLNGIPQSDGSTMRLTLNKAAEIIGI PKKSLEDYNQLFKKVQLLTDVNQFANEKMGVLRNYLRKNSKRLKKLLKSQKAQENIKTKQ KNKNLENSGLQGQSDIIDQDSIKQNEVINEIGQHSMSNQSNFQKQDETSMIKLEEECNMF EEFQKDYESDLESNPSPLGVNFIFRKMQIECRQHFEQF >CAK88752 pep:novel supercontig:GCA_000165425.1:CT868651:218806:219774:1 gene:GSPATT00021920001 transcript:CAK88752 MYQEFTTLINGMCNQIPKKNIAEVYKHNKQRQLNLVQRKSWAPSIKYSMFQSNYGTNDYQ IVPQESSDSESIVFTPSQYPSLHKVASQIIMISQYSQIPCSTVLKDGLKSKLIIKNIDEN IDIQKLQEFVDKLPIKGFVQEIKLIYYQSNPCLLFQLKTDQPLIVANQFMSKQNQKQAKS IFGYKFTCAQLALMNEEFAAVILRGLDKTISTSQIEKIISYTLKLEQKECIRETQKHIIE IENVGCITIVLKDLEYCEKVVIAFNGTNLNGLQKNKILVNVHPESVKVRRIDLSQSIQKG LLQVNQLSNIQKIIKVNEEEMN >CAK88753 pep:novel supercontig:GCA_000165425.1:CT868651:219894:220363:1 gene:GSPATT00021921001 transcript:CAK88753 MTAKDVIMKLNKDAEYNALTADFQGNDTKFVGEYENVKIPSDKKEFIKDAFRKVATACKP TLKNLSLRQNWLDLQDRVYNRAQYYTTDANSCISDARNVAEVNVCCDNYIQRLNNDFYND VLQILKDY >CAK88754 pep:novel supercontig:GCA_000165425.1:CT868651:220498:221312:-1 gene:GSPATT00021922001 transcript:CAK88754 MAQNTTSGPMQKFKIVFLGNQSVGKTSIINRFIFDNFTGNEQPTVGIDFISKTLQVDNKS VRLQLWDTAGQERFRSLIPSYIRDSQAAIICYDITNEKSFQDLQKWIEDVKDERGDEVLI YILGNKTDLESERQIQFDVAEAKAKELGASFSEVSAKSAHNVAEFFKKLSYDLQGNPNEQ QSSQQPQQKKVQQQEQQQGQTQQLKSNNATEDKKQGGGCC >CAK88755 pep:novel supercontig:GCA_000165425.1:CT868651:221492:222736:1 gene:GSPATT00021923001 transcript:CAK88755 MLNTYHTPKQTYKQQPSKICQPIHQKSLTCKSLSPQELQIPHHYNHIKDDQDPSTPQKIK LAQGVKLLKIPSQLNDNSFRGSSSKNILTSDSPLITHNKENNSIGRRICTESGAQDNSTS VVIQENKKLNELIQRLFREKQELVAVIEKQKNQPQFQLGQTDQFNLRSLKARIERLEAVI DQQSEEIKEWKLKYKQACEEDERVNAIDQMESQILKVVEENERLNNLGFDKDKHISNLNN EVTSLQKKVYEHDQKIKDQSTLIVAYEEETKELKKIYKTKLTMIERFEQQLQEKTENSFQ PFFHENQQGQTNNNYQIILDCIKLIEQQLCDLSTQYTSQVLENQRLFRQNNNLKEELLSQ QKALDEIRTSQRGTVNPKFQEVNKNVILLREKITQQMIK >CAK88756 pep:novel supercontig:GCA_000165425.1:CT868651:223302:223418:1 gene:GSPATT00021924001 transcript:CAK88756 MNSIGPDENKPILRIFLAGITSIAAGGSPQPIDTVKGQ >CAK88757 pep:novel supercontig:GCA_000165425.1:CT868651:223882:224298:1 gene:GSPATT00021925001 transcript:CAK88757 MPNLLRGRILTGTKMAIYNQTKQWLKNILIQRRIQSFVCMLICYRYQTALIKDQCFQLQL YLCTQLKPESCHKMLIIKCLMDRWIVQSRHLNKKVQVHFKKGSSLSGQDLEHLLLYSQQF WDNYIHIWNQKYMINLFI >CAK88758 pep:novel supercontig:GCA_000165425.1:CT868651:224303:225037:-1 gene:GSPATT00021926001 transcript:CAK88758 MDQNDRYDNEIILKLLTDANQFFEQNRDYPPYQIGEFLVEFCKLFKQLGKLLYFAFSDVI EKAQIIKDRGNEYNEQTKGIYGVIQIEKQKGLMELNGENNKEILKNKTLANYQSMARTML RIIRFFNYLKIMFIDVDTNRNKKFSDICSDAYNEALAPYHTFMVRNAAKAAWLAAPSRTK VFETFVGPNQTDEAAYVAIKKFVNALEPIRLNFWDYYNTNKLTNLP >CAK88759 pep:novel supercontig:GCA_000165425.1:CT868651:225074:225622:1 gene:GSPATT00021927001 transcript:CAK88759 MDYRIGSASMSDLESAKLVAKKLFETYDRDRNGVIDTTETIPMIVDAYKSFNRSFQPTRG DIDSYSKVMDRNGDGKVTYQDIEELCIKYLCPQYSQQQRQQANKPQDPRIEVARRVFRKY DTDNSGYLNLQEIQKMMAEAYRSMGLSQPTYKDVQAWLDVADTNKDGFISLQEFEQFVQK SL >CAK88760 pep:novel supercontig:GCA_000165425.1:CT868651:226070:227345:1 gene:GSPATT00021928001 transcript:CAK88760 MSNCGTPLCLTPQKNKEVKRRNVLLNVPDEMINYTPLEQITLPKNQRIRQSFSKFLCGNI DEKEFVRFKCFPQSINQPIQQTKKIRNRHEDYTIQLKRQAAKERAKQRWKRLAEVVQQNI QLRRQSDSNYQSKILSRIELGMKIQNQLAEQSATIEDLKSYKLQTMLVLKEKKKKQNQSC FISPKHYQFELQELFKEQSDQYLYIYHKNLPNTSKLSEKDYNQLLDTYLHKKQLFDQYLE QKKQRKLVKWKPMTSTSTFYSTSMSCQSNGLKRESPLMRLQKNPLEQQQQQRQTEYFLVN QINEVSNPKMATSIVSKKHQRPQNTKDKLKGIVKHNRIKSMDYAENDDDDGLNMSNASIT EALLDQLYLGSQKLQSKLKQQNVVQKRVRDILRLQEMKVNTINANYQKLNKYQQKHQ >CAK88761 pep:novel supercontig:GCA_000165425.1:CT868651:227345:228469:1 gene:GSPATT00021929001 transcript:CAK88761 MQLRDGIIELNSTGYFYYTMEFPRPYDVVIYFVAPSCKLCNDLNEHYQKVAKFYADSGAL YKSESKRAVFFATMTFNDKTKQVFEQLGFISAPNLFVSQPHIVFVPQDERERYLRDMKWT ISYTDGTVTAHKFLEFINKRTARQVDYKASTSEALTVIGVLLGALTVGALLFFIARPLFL NPKLWFVGSIIIFITCLAGVVYNIIHNVPFFSQNNRGGLQWKTNSGRQQLGFEGLFLSLG MTFVGLTMVLIMKLKVLVRHRKIDPLIERSIYLFLFLSIFITVQFVEENYRQKSHYNPIY WPPKHYIKGPLNRDQGNSF >CAK88762 pep:novel supercontig:GCA_000165425.1:CT868651:228470:230262:-1 gene:GSPATT00021930001 transcript:CAK88762 MSERKSVGKKYEYQTHDKLGSGAFAEVFFGKNKLTQEAVAIKVIKRAVLAKYGDEILQQI HQEVEILQELVEASKTDACPFINRIYECLETTNNIYIVLEFCNQGTLLDQIKKVKKLEED EALFILFQLLQALALLAKNNIAHRDIKPENVFIKDGVYKLGDFGFAGQKSMYQTHLGTFP YMAPEFFTSDQYDSKVDVWALGLLTHEMLFGEIYFIGKSQFEVQQKILTKQYILEDQKYT VSDGVKELLKSMITKDPKQRTTARDALKASVFDKFRTDPRYIIIMENEKQFMKQFDGDTS QKSSQQIQVEEEERQRREEEERAKQQQMQLDSQKAQLIQQGIRQITDGIKDKLNGILLMV QLSDFLSQNLIEICRFEILYILKQSAILINQLKEKLNARIVLGKQDHIRFDVDSEIWKVF YDDASVKLLIDEVEGDRNQIRKKYIDYVNYINNFTSNNYKESHNYTEQITNQNFTTLIEH QLYNEQLYQKIKFLKEDKDKVKDQNIRKMINKCLLWMYSAYEYQKLCSGQLMDIMKFMEA LNNDDTQGMKNCISWP >CAK88763 pep:novel supercontig:GCA_000165425.1:CT868651:230865:231913:-1 gene:GSPATT00021931001 transcript:CAK88763 MNVHQDGEQQVENNENEVNTVPKFTKLFSRAMDQFRLRVFFLFLERLYIIISMSIILFLL SLKNCGWNDLHYWVLFSSIQAIFSLVLYGQLFHFSNIQSIQQHLAFHYVQIVFVPLNEVT DHPVAPEVFDPTANLSTIELDKKADVMQMQIRQEIVNQSRFCKYNNIFSNVTNQAIFIWT IYYIVATPQESSGEASDCNKWPIIQTYIYGSFLFLQYSQYYLLVALALVMLPFILIYLII RCFTDRRKKQQALMALNDLQKNKILYKPNILEGEQECSICMQPYQTDDEVLQMPCSTLHH FHNTCISAWLQIQSTCPNCRQQLLPDNQQPLLQQPQQQEEL >CAK88764 pep:novel supercontig:GCA_000165425.1:CT868651:232350:234309:-1 gene:GSPATT00021932001 transcript:CAK88764 MITQLSQIERSLSKITNPSYHTTQGSMRHLSKSQSQVTRSKIYTSSHPESQFLQLDNDIL EIYDEFEKNYNAIQEIDKTNTKIETKQKIIEQPKIIQQQKKLLKTFDGLQQSDLEIEFIN RVQIVRFRFYYFRIRVKGKQSPLQILLNFPSKITSTSYKLFVSTSIEFPNKFNADQSVQS RFVKINSKQNSKLFFDEYVYLTFYSEFDAIIGMQITFGEQYTASNGQKFVQSIINFDIFH ERKTVHPKDKILQNIEMVKTKMYSKIDEMMKAQHKHTKSQETIKRSKLIQKEARQTKLIK LLVKDQIAQFREVEKIHQKELQLLIFVKKNWILITTIFNLCKQIHRRLEKVRRKYKIAAR ANLLVWQLHTKTLIEVRRYGDNPFIRSLSKSSLALSTFTSYIQEKCVAKAEKIFSQFLVD IILYQTFINKHIQLISKSNQYDPNYQQNAYKEIIENSDQLEKILKINLLRLSKKKFMYYI KEEEVIKNLEQILNNILQINCLKNTQQKQNTNGLILEEPLMFCLCQKKAFQSKDRISNYI HYLMSKRQITELKFNFDLILYRTDQYYHKMFVSQLYVIMNKGFFFKSYNCPRQ >CAK88765 pep:novel supercontig:GCA_000165425.1:CT868651:234812:237372:-1 gene:GSPATT00021933001 transcript:CAK88765 MSAATKGLIEFVNPCNTKYIYLDKLPKFVKQVHQQMREIEGRQPFGKGLYHCNNYENLIQ KLANTRQQYRQSVQIEIRKQIASQEYQAWANYIKDRSLELPEQHKVTGKQLNELRRSYDV FIAKGENGLRPSELLNVFNDYTRVNQFTILMDNWCVLQMVHYSMGYPMNMNRLLTFEEIA TLVQTKVLATYERSLGQDLLFREICSYGYWNLFDQSKGYMSIKEFSNFVKIFKYNVEPTL GGILKEFGFAANLFQGEFVKEIDPKEDIVRFDFFRYLFLERNLMIWRKIDPQGKQPGDRA AHSCDLIMGKLYIFGGWNGMNALADIHIYDLNQNQWTELQTNGELPSYRNNHTTAVYQTK LYVHGGHNGNTWLDDLYYLETNGQHGQASWYKVHPQGQIPTARACHSLNIVSKKLYLFGG YDGQECFNEIEIYDIQENRWIQPSVIGTIPTARNAHTMTRYKENLYLFGGHSGAQHLQDL HVFNTYKLEWTQVVTKGTLPKGLRGHTANLIQNNIYVFGGYDGSGRSNDLFIFNFLTYQW VIPNHHGTGTYLQMEEVALSQIPQPRQRHSATATENDLIYIFGGFDGNKWLNDLYVLDVG LLENRTIQEENYQRVISNIHKNLFNNQELSDIAFEIGNQKIYAHKIYLAAQSPQFKALFF SDTKESDQRIFIVENYTYKSFYIFLLFVYTGFINVAELDIELMGEILSLADQYLIDGLKN LMQKSIKKYLNNETVCDLLIFAQKCSAHSLKNACMNHLLKNISIISETPKYEKLELQPSL LTEITRALLQHKE >CAK88766 pep:novel supercontig:GCA_000165425.1:CT868651:238048:239064:1 gene:GSPATT00021934001 transcript:CAK88766 MNETNEEKINCQLLNLSNQNVLNCLEYIAFDSNSTIVLAIQQQIINCYFFKNNYLKHMSS IKVLKDEVGYLNQLEYLKTSNSILTIYQQRILIANLNGFNTRKFLLKNRLKLQGRCGSLL FNKIQSHLFISNQNQICFFEKRMDGWKCSQEIEVDYSRVSVISMCLNCQEDELLVQTNNG YTGSTKVYIYHKKTQQQEIKWIQIQSMWQGIIFGKLWGGFVDHFYLINEFALNVYNKSNQ SQDYIHYASSEFKHSQSLIFKQILQNNLVLLIKNQSISVMKLNQQQKLEQIKQIKIDLSA LNNLNHGYSSKQSLLTQDEKLLVIWNRQDTLIIEMIYI >CAK88767 pep:novel supercontig:GCA_000165425.1:CT868651:239825:240569:1 gene:GSPATT00021935001 transcript:CAK88767 MQKIRQNFELRNKLGSGAFGDIYLGVNTKNNIENVLNQDMNMKFIKDYQLIIRVQIEEGM WVYIYGCGFIGASLEELFVSKKKKFSLKTVVMIGLQLLERIEFVHSKGIIHRDIKPDNFL IGKLNKQNKIYILDFGLAKRFVKENGHIPFRESKTLTGTARYCNLNTHLGVEQIRRDDIE CMAYVLFYFLKSTLPWQNMRTKIKERSNSAFLNTNELWKRR >CAK88768 pep:novel supercontig:GCA_000165425.1:CT868651:240582:240748:1 gene:GSPATT00021936001 transcript:CAK88768 MNQFGLILKHARNLGFEEEPNYKYMRGLLESICQQEKITVDYKFDWCK >CAK88769 pep:novel supercontig:GCA_000165425.1:CT868651:240810:241814:1 gene:GSPATT00021937001 transcript:CAK88769 MRQPSLFSPQKQSRYEKSNSSTGFATFQATAQMNTKQLADYRRDVRTNVSSREPFAQPQE KSSSLFGSWSKAEKAVLEQQKVSRKSPKKQKLLGGLNYEEIFTNKGPQTKHNKDKVEQLF RRSPTNDYFDNSFTSFPKPARQNTLGSGYKDSPQKAKEKLERFKNWDNRLDVQLILQNKS ITNKTSSTGDKPGFYKYVNEAKKGLFDDNQSNFLYPKSNKNNLFDNQKQTFSFKDTFNPP KQTTFQRNHSPQQSTRYVEKFPLTDIVRMTSHFQSLSTQEVNQIPRGYISELSNLADILQ RVVKRSNNIS >CAK88770 pep:novel supercontig:GCA_000165425.1:CT868651:241820:244298:-1 gene:GSPATT00021938001 transcript:CAK88770 MATIKEQLDQKIKTQQYDEAIKLADSIPENNIMRFFYKARCFMAKQDWNEVVAQTQKGLD LNIPPQQKFPLYGMKAQAHMTLKQFNDAAECFSHAYEIQKDQTDQAVLANYGHALMHSQP QQTEKAIEILKLAISKGEGTPNAFTASGNLSNIYFAQQDYEKALEIFEKLVKMPSQEQGK MLTLWKNKAACETNLKKNEDAKHSFLEAQKLNPNDQDIIKGIATILQNEMISCEKKHDYE KCLQNAEELLKIVPADIDPTEALYCKALSLKGLKRPREQVIQAYQDLLKVSPQHTHAISN LAIEYYAQENFEMAIEFYKKALSIQQDAGYWSNMGQAYLSLKNPNFEQSLDCFNHALKLN PKAVLCLTNRAMLFMKFLKKQDAMTDLRLVSTLIENPENVADLSESQLKYIKSGVHKIME MENAFEREKKAAQEALAKQNQEDPEIKRIQQELEKIQQEIDAQNAAELAEMQQKEQKTTV KKVSKDEMKQRIQQLLAEAEQSQKKNEEKVEEIKIEQKKEDIKIERIEKKIDELEECIKV IGIDKVAKVKENYAKWRTEYVEGYNYAKAFYWTFINYCDAQKIASTEIINQENGYSVGTT TKVAVKVTQAVLDFGASIPIFGSIFSAINMAVGAVYEEYEKFQDEKKRNAILKVIMKNQD ADDKEAWSMLVATAAQLIASRKRKYFEAGRVEKQKSRLDSMLDWVQDKIKAIKDVASGQK VDLYESWGGQEATEDITILLAFIMKNHEEMVTNTSQTLDVTIADIIYLEDGQVSSAFQTM LDMVKKREEIENPKKETERTDSSCACQLI >CAK88771 pep:novel supercontig:GCA_000165425.1:CT868651:245153:246156:1 gene:GSPATT00021939001 transcript:CAK88771 MINEFLDFCHKNDGHERNRQLPARKKWKEVEDELLLKAIRKYGTQWTKIQDEIPSKDSRQ CQARWKILKHVYISQQYSLQKEETLNRLEALARDDFSDKDNHLSKMKKQMKQRKEIPRRY NPKFDYNEESSSESMHLQQFQEALAKQPNKLLQIQQQQQQQQQKREQYQKQLQNQYQNVW NAMDDKMLWTAYKIYKGAWNQITPRFQEKNPQSCIDRYQQLLQQKKIKLQEDASSVDVIS SPNDLDSSQEGSEQFDISASEDEDSTDLQRSDCKTLQTKQQQYKGVYTVQQCHTNIERIL KSFSNHNLDTLPGIRESIVKLILTD >CAK88772 pep:novel supercontig:GCA_000165425.1:CT868651:246184:247534:-1 gene:GSPATT00021940001 transcript:CAK88772 MSNKLHSPLGHGRTNKILVTAALAKVQIELVDTQVNENTIKELLKLNPFGKVPVLETPQG SIFESNAILRYLARHSQGLYGTSQYQQGLVDQWLDATTNELETETLVIVLQVLGYVPVNQ AQQKNSLASIAHTLSIVNTQLGKSKFITGETLTVADIALAQVSTFIFTLVLGEEERNKYP QLLKWLSEVNSLPEWREYYGRPRFLKNAFPLPVEEKKEKKQEQKQEKQKEQPKQKEQPKQ QEQPKPKAQEDDEDQPKKKEVNPLDLLPPSTFNIDDYKRIFFAEKDIQKNMETLFSTVDL NGWSLWFVKYNKSENEGKKLILTNNLMKGFINQRLDQNFRKYAFGIHGVYGDEPNLELRG AWLWRGTEVPKEWKDHVAYDYHQFIKVDVNNAEQKQLFVDYWVKQEEDTSVVEGLTARSL IYFR >CAK88773 pep:novel supercontig:GCA_000165425.1:CT868651:247799:248601:1 gene:GSPATT00021941001 transcript:CAK88773 MNGNVICNTLYFLQKCQLLLSQMEGKQEWINEINELQKELELQKINHPLYEKCLIFITDK IIHPFLQDLDTLFNCQDVVREMKKYELNFNYVIKRLSLIREFIPNVVKVPLDDLFCKPQT DQEWIQLNRYIEYVNLDDPLSLSKQFDQFYDYIALGAAYCTLGSKYSNPLISLIVQNVGG AVMLVTKQTARNIKIKEITNPSLSFVKGLWSIQNNSTFFKNFMKLTNCKVKSHLKIHVPF LFEEHTIQISS >CAK88774 pep:novel supercontig:GCA_000165425.1:CT868651:248642:249626:1 gene:GSPATT00021942001 transcript:CAK88774 MVEDLFNKLQSKQLLLKSKSQKIKVRIISANKVNLNKNVDYSSIVQKVGEISSEHHQISQ LLQQLNKNTKIKKVVLHIHGGGWVAMSSFSHQSYTRKWANYLGDEAIVFSIDYRLSPEYR YPYALEDVWQLYLWIINFSQFYLNIAVEQIVLAGDSAGGNMALGVCFRAIKYGVRIPDGL LMAYPAVNLDLTKFNPYLLQGLIDQVAPATVLKLALHEYLKDTNGKPNVDPYLSPLIADD SFLQYLPKMTIMCGQLDSLTGDTIKFVNRLRKLDKQFRMLIYNGINHGFLNFEVPIFAVP AIKPIIESSCDLLKQLFNQ >CAK88775 pep:novel supercontig:GCA_000165425.1:CT868651:249637:250438:-1 gene:GSPATT00021943001 transcript:CAK88775 MTEPKAQYDELYKIILVGDSSVGKTSFLIRLTKNVIKKQSQPTIGVEYAAQSILLADVDK IVKSVIWDTAGAEKYKAITTAHYRKSEGALLFYDLCDKTSFDNVLSWRQEIIQHTDDKIM IMLIGNKLDLLQDNPQNRCVSVEEVEQICQQHNMLYNETSAKEGTNVKECFEQLIRKIYE FKQQNVEEEFPSQRIEIEDEKSEKKTQEQPQPNVLTVPTKQNRNPSDNCCGST >CAK88776 pep:novel supercontig:GCA_000165425.1:CT868651:250469:252042:-1 gene:GSPATT00021944001 transcript:CAK88776 MDQEVFLKKQWFIMTHEGKIEQFYDINLKKVIGSGTYGSVVKATLKGTKNQRAVKVIPKS KVKNPDRFKKEIDILRQLDHPNIIKLYETFEDQRNVYLVMELCEGGELFDRIMDKGYFSE AEAHEIFLQIMQALNYCHTNGICHRDLKPENFLFLTKADDSPIKVIDFGLSTLFEDPIIT MKTKAGTPYYISPEVLKGSYDESCDIWSAGVILYILLSGVPPFYGDSDPEILDAVQKGEY TFEIPELKSVSESAKDLISHMITTPEKRYKASQVIQHKWMKDGSKNTKQLKLNFGQLKNF NGSNKLKKVALTFIASQLNEQEIADLGKLFKQLDKNGDGVLTIDELREGLIGMSDVQAKE LGNIIKSIDTDGNGTINYTEFLAATMEKRNYTRHFKMLDLDGSGKIDKHELQTVLGKSEK IIDEKYWDDMIKEADKNGDGEIDYNEFIEMMDKFSLIN >CAK88777 pep:novel supercontig:GCA_000165425.1:CT868651:252582:252977:-1 gene:GSPATT00021945001 transcript:CAK88777 MYQRRRIKTLLDYKKIEQDLPSSDLTEQEKSILSLAIRERKFNLRTRVKSVNSKAELCKN QREYEDKMKLSIAQIVDFEYKQSRLSESTVKHNGQFTTIFSIPKPKYNPSIVYGSQNNRR MIHMKEILKKL >CAK88778 pep:novel supercontig:GCA_000165425.1:CT868651:253144:255632:-1 gene:GSPATT00021946001 transcript:CAK88778 MGVCISNTQQTTTEIAGDQMIPGQLNKPSLSLSSLAVEVDEISELLQPRRGDRKRQAKIE VVEEQIHENVMKQQKEKSPFDFQMILNAFGNHFMFAQLDNNDKAKLIEEMYYVTSKDQEF VFKQGDKATLFFIIERGQCQITINEEKKRVLKPSEFFGELALMYHAPRSASVIAIGDCGF WVLERYKFRKAVEDIQQKAYETNREFLAQVKFFDFMTEEQRDSIANVLITLKFKQGESIV NEGDMANSFYIIQKGTVQVTKQGQFLRYMNQGDSFGEQALFGNCVRGATVKAHDSDVNLL SLSREDITTILGEKIQLIIYTNMQKWAFEKHPKLRDLTKMQIQKIVSNFKIKSYESNDCI FKMNQLVDKLIIILDGQLEFEGQLYNNGQLFGDKYLQMEEQKRKINHDIKTNRKTTLSEL PFRQFFECIGGELETVVKKNKDRAGSSSLLEKKQKSNYSLLTLEDFIGLKLLGEGTFGNA YLVKDIPQQNMHVMKCVPKVNVIANNTERHIKNEKQVLELLNHPNLVCFRRSFKDQNYIY LLTEYVKGKELFHVIREIGLLNSYETQFYIAQMINILEKLHSQHIIYRDVKPENFIVMEN GYIKLIDMGTAKVLKSRASKTYTLVGTPHYMAPEILKGKGYSFSTDLWSLGICFYELMCG EVPFAGDEEDDPFIIEEAILNGKLEYPQILKDFKAIKLMDQLMNRTPELRLGGSFEALKV HPWFDGFQWEELEAFQLKPPYYPKLQQMIFEEMPFLDLIQRDTSPEMICTQFVDWDQDF >CAK88779 pep:novel supercontig:GCA_000165425.1:CT868651:256519:256913:-1 gene:GSPATT00021947001 transcript:CAK88779 MIVNLKENFTFPKQCKVYHQPNDEGFKKEKHFQYSTFPLKLNDQYFSRPRLEFLNHKNPF QVHYFPKTQIDILRFDQAHIYKQWFRHLVKSDQRRQSRTNGFGLSQHEFVEKNEIEQIVN >CAK88780 pep:novel supercontig:GCA_000165425.1:CT868651:256937:257511:-1 gene:GSPATT00021948001 transcript:CAK88780 MKLFSLKIQLKLISIIEPSFSQCLLTVLDKKNIKIQLISSNPEISSLVNEAFLTLLYPFE FTHILISNLPFELEQYLEAPLPYLIRMNIKQAEMYTDVILVFLDSNYIKQNQTIDVPEEL FDNFVSKLYELDYYCNPELIHTIGEASTLPKEDDSVLVDQYQIREVLLGFNIFKRLSEIY >CAK88781 pep:novel supercontig:GCA_000165425.1:CT868651:257958:258248:-1 gene:GSPATT00021949001 transcript:CAK88781 MNILYQRIYNQFQIIGPSNLTQQLQGIQPDTEYIINIIVVVGTHESKKKVYYLRINEQLL LKIEKDSTKLPITDLSFYYLDVHESKVKVDQYFLYK >CAK88782 pep:novel supercontig:GCA_000165425.1:CT868651:258257:258859:-1 gene:GSPATT00021950001 transcript:CAK88782 MKETKSDSLSHTTFQDHKSQPTLLSNSNCLNQPNKNSGRLKRLSRRNWSQEDTKKFFRSL QLFGTDFYMINYLFNDRTRTQLKRKFKKERNNAELQASLKKCRRTQIMKLRERLSILKTE HQSINKTETLTQFTRKRFESLASVDSLDIQLVEELRQLE >CAK88783 pep:novel supercontig:GCA_000165425.1:CT868651:258910:260627:-1 gene:GSPATT00021951001 transcript:CAK88783 MIEGQGDSIPNLQNQEIVIGQPVQTDTPLILTKINADIETKKHVCIHWCLFFVLVTIFIL TSVFMPESTQQVQLDNPCYENSEYNFDQESWFEKNKQQFCNQSGANYTMIRRLDKKNQFL IVYGQFSFFSPMGYEISYLNYTATLFGLTMEQQKTGNLYDHFITSKNHSITTNCNQNYED EYDEYDEYDEIIGDEGEEEDEDEDEDEDEDELHRLSQRTEDYGNFDHKLCEWKLLVFIPI LEYHDYLLIINFAKPEIDNNTYLYLQGITVDPRYSNSVLAIRYTFFAFSVITLTLFAFRM KKLALSNWVIEQKFVLVLSLLLPWFNDPLYAATLMAPNRVTAVIGVIFFSNFICCLFLYW LVLYHRIVVDNGNKESTAVTKPKILVCFLLWLLFVVSYSILVIQYFRDPTTNFDDFHHKP YMAFKVLSFLFSFATFLYLLKYLIQFCKCYETRLWRYKLIGLFNIFFMMCLGLFILSGSF EIYNLTGTEVLISISILNLYIYYQQYLWSPSKQGLAQFENLTQNVLISNRDYDEFEIENI NVIQENVVDEKFQVQDNKGMLVE >CAK88784 pep:novel supercontig:GCA_000165425.1:CT868651:261114:264157:-1 gene:GSPATT00021952001 transcript:CAK88784 MGTCIANNVKTSEDYMKNYQNQKQFTYQQRQQVSLEEEPINLFNIEVDLDHPVFTLHQFD PSLTRDVYQSDLASDVNLNLHSDNSKQVQRLKLENPKEFKRVFKELRSQCYSLQNYILPN ISIEYSKNDYYMVSPIINNNNTKEDINGIIRQVVEYNGKSLINMVRSESKYVAIYCMIIL NENIRFIDSLQDYNLNKHSKIQQGNMNFYQILDNQVQNSHLPNYFHGNYICELLIQKSKR KPNLMQLKNDLNDGLYLLSYQALKEHMKRRFMKQYHLWSSFCLSDNRNKPFFACAILVNY LKKQHSDLNIEEELRRISKGGTMYFTFDRIKCLDLKYQLSQEELNYVLSSEIVKDVIQVS LSNARILMQFLEEKFSIKIKDKIRLIGNIDSHYFTSKAFCNNYNFVESFQTKTEPLMSMR EKAYDWLARNNQQTSYELYLPSIFYNLKNVKFYIPNSFVLSQWIEYLKEIDCQSVIQDAN LLMQIQNSDFVMETQNYDLIQYQLFDALLKGYYLESQFQGNQESQRFYEIAIKISRQCFG DFRNRGSILYPIEAFCWHRLSVFTSQNGDVDYLSVIQYFFSQFIQIPLPCNNIQCHNYKN KDLIQVLRASIKGFMLYDWLFKRFNELQGENIQSFEPQFCYQPGQIILWGFNQNYQLGQM YQLKYRIPISLKSDVMFVKMACGYKHTMAISNMGKVYCWGNNEDGKCGKQSVIKYVDYPH LIESSFDCISIECGHDHSVYVNSKGGVYSWGCGEGGLLGNNTVISTHIPQQVMIPGPCKQ MKCGGLHNAAIVEDRLYVWGRGDGGQLGLPLELGMEIAIPTKVEVENVQAVACGDAHTII LNSQKQVYGWGYNEQGQLGLSLDITSVVQPTLLIEDVDQIYAGSLQSYFLKDGNLYGCGC NDDGQLGIDTNAEQKGIQQILNYKVESIAIGQDHTLCQSQGKVYGWGLNKFGELCKQSQT KPTELFAYKVQSIGCGAYHSGVVISGTEKYSAYNNEYDYKIQLIE >CAK88785 pep:novel supercontig:GCA_000165425.1:CT868651:264920:266223:1 gene:GSPATT00021953001 transcript:CAK88785 MIKKLLSIPKWKFFAGASFALLGQHIYDCLYNTEIEVFYQNTTCNKDLLSKCPNIRGHFN PSIWSMNNIFGLFYVTLVEHQMVPLQKREMVTLKDGGQVALDWKINDPKNVVLVLHGLTG GGDCNYIKDTLERLYNAGYTAVCFNNRGVGFTNLSTPQYHNHGDPSDMMEIIDLIKQRYP EATLQCVAISIGANLAAKYAGITKEKCAFKSIVCIANPFDLLACFENLDRCISNGLLNKH LDVMNACFDEHNIDIKKVLEAKTPYEFDHYFTRQLQKFESVEQMYRANSSINYIKDITVP TLFINTKNDPLIPWKFVPIQLHRHMPNLIFALTQKGGHIEWYSETNLVTVKRWIMDPTLD YLNYFRDLPIDKWQQYLQQPKI >CAK88786 pep:novel supercontig:GCA_000165425.1:CT868651:266267:266941:-1 gene:GSPATT00021954001 transcript:CAK88786 MFDKSFHYFIPKVSSNIDDESRQKREPKQQFRYVSVNKIVPSAKNTYIPLASPQLSAYAK PRERIKLEQKLMRARQNRSVNFQQKQDPLNVANYHSIQHSKVDSQLTFEDQLLKIVGGRI LSSEEKSQSIQPKWRRKPKTHHRIFSMNVHESLELNQLRKKRNAQLVDIRTESHKASRFN TTQIEFNNTLDFNQYVEQQKGRRCQIQQKFNDQLN >CAK88787 pep:novel supercontig:GCA_000165425.1:CT868651:268057:269975:-1 gene:GSPATT00021955001 transcript:CAK88787 MPEDNMIRIKSYVINTNSEIGRGQFGVVYSCQDVHQPELKLCAKIVNEGCNNPKAIREIE LMKMIMLSARGNKNIVRVEHVDFNDERTVLILEKCECDLQSIINRKKSQVEQNFRPTEAL NILKQILTGYKGLYSHNIIHRDLKPANILVLDGVYKIADLGLARVIEANTQMTRVGSPKY SAPQVYLENFFTNSADIFSIGIIIYELIFGGLPYVAQSQSQLRRALRNLQQVPVVVNRDH PGMTQDFATLIESMLKYKEEDRISWQELLNHPLISDGNAVVDQSKYRIILEIPPNLDDDE SVQELQKPAQSPANEQQQVPPETLKQIQTFKAPVQFPQMAQQPMNPNYVIPPQIQQGKFT TPSRFQTAAPPQPLTFNIANASVPDIGQPFLFQQVQAQSDQLYQIGVVIDQALHSLGRFV NIQIQFKQEWLGLKLYLHYYSQCFFEHAKALKLKSQNQFNPNQQMLYTLANVQQEIEKQE RYHQVISQELERYQLHLIYPVLPINPDFNSYLQKLKQILQTEGLFQYSPDKQYYLEYLQQ QYQLNFRSLYFFERLKVQKESFEETYSSISETFSNQKVESFLIDYLNKRYTL >CAK88788 pep:novel supercontig:GCA_000165425.1:CT868651:270275:270766:-1 gene:GSPATT00021956001 transcript:CAK88788 MRMSSTMLNELMDQKQDILKICVLAGVGMSQLLESQISELLDQDYIHKYKNITSLVQTAC LEFKYLKTILRLSIVQEKNSSYFLMLSQLYRQILKVFGFKRTITQMFYLDLDARVSLYKV IQTYDLMRTTRCIKRKVEVLIKDFMSHIKRGTSIDVRNVQRND >CAK88789 pep:novel supercontig:GCA_000165425.1:CT868651:270897:272453:-1 gene:GSPATT00021957001 transcript:CAK88789 MKKGQDQQSPGFLRYIILLLACIALYGNMFIFDQPALLKEQIVQTYSPIYGEHLTNFYFS FMYSFYSIPNIILPLVGGFMSDVFGKLRIYANLGYRKMSLIFMFFVILGQGFLYFGSSVA NLKYMILGRFMFGLGGESLCVASSIIINKWFVGKELSFANALNLSLIRSATVLGTYISPR IAEKSGMTTAFGVGFGITLISGAALLVMNFIDSYSEILQKRNLQKTEGLLDNSMQVLDFG ELVKQIMEDIGTFPKIFWILTLIMTTFYTSVLIFISFSSGIIINLWLSADAPIEQNQEVA GELMGIPYLCCTFLSPFVGLMIDKVGQRIKFLAIGCALVFLGLLLMLLFYPIFCMLTLGL AYALFASTIWTSIAFQNLIIQRGTAFGVMNSVQNFAFFVMPLFIAFISAEASSQVSVVLF FLILACVSLIIAIYLYIEDRNRIVSLNSSDQKKLMNYVNNRTEDGEEFEEEEIIETK >CAK88790 pep:novel supercontig:GCA_000165425.1:CT868651:272525:274222:1 gene:GSPATT00021958001 transcript:CAK88790 MQNNQSEIKNYQQEKEERFQQSLFKDQIQNIDSTIQEASNNPDFVKVIVICTFTNETIAT KEKIICSDNDVQNVEKDDVVEQQDLSDCVKIEIVIYIERTFIECSKLLKSNYIEKLDVIK VKWNPQIIQLPICSANGIRIPNEYDIKQFFKLSKIKNNNEEFTKQIHSMDTKHLFGLLIS SLVFQDAQIEEAIFELFFQNINLENAIKLLLITVQYESKKQHYFYKYHHSSIYRLIKHLY YFSKGLLKETYDNHLQKIVQNGMKPKQLQIEKPNFIKLITQLHIFKINSTILYPLFKQQE SHLFQYYKPVRERKSFTVYRNLDCPEGREQSDFPHLYYLKNKENQTVLVAVQYEIQGDIL IFQEYQEKFIKYNDNYLGLIEFKNQGREFIVYDDGYPEYMENNFPQWIGRKKRRMLVLDY FSEKRYDKPRLFDVKCFNVNTNQYDEIITKEPHYDESKGSYSLQLGEIALIPSTRNFILQ KKGENNAEQQVYLCHGKQNRHTFHLELHEGISVFQGFCISVVSICSKGSCE >CAK88791 pep:novel supercontig:GCA_000165425.1:CT868651:274249:275443:1 gene:GSPATT00021959001 transcript:CAK88791 MQQHSESDDQSPVHVIQFQDKNNEIESEALWDQEEGQQEYHIQINTDRILDIFQSIETQI DPFTCVFKTNQQMIVMYKQKLPLLRRLGYLLCKSEAKYCIILVKLENQNSLLIKSLKGDS EINDGIMKKIGNAIYQFSDKNQHLSHIDEIMQVQIYIRHEDSRFNPFHLDSLSVAMIYKH LQDKIVIPEKINMKQLYNLVEKEHDKIKEEPLLIKSIQVSQFHIFTIERYIFNKIFDQMM NQYIEKYQERQEQVDQKKMQLRQKYSKADLIHSLEIKDQYLPQSEIPYHEAINELKLINL YKTPTEMLKQFQYVLVLIRGVAFQCNQKEEIIAMDDELPILIWVALMSDVPNLYAKIFFV DDYIQEIENEKRILTNLRVSLDYISKEWKL >CAK88792 pep:novel supercontig:GCA_000165425.1:CT868651:275569:276619:1 gene:GSPATT00021960001 transcript:CAK88792 MNIYQPNQSALTSAFKSQATLTEQKVIFLEQSLNKAQRDLNDVRFQQNHLKSKREDLIQN YHKTNRKLLKQVDELQQLINNTQTYIRLDQLITAFKNNNWNDHIARYLHENNKLTSQKIK IIQKIILTDQQLSDWREQINMIEEDINGLHHQNSALIIEFEELDEVIHQWNGSAIEPISK IYQIKKQLNELRVNYLDLSSERQRKETSLTELTTQVDSIQENLNQLRKEQIPVFQEIDFQ LKQSIQFWFSPDLVYKVFLDIFNDFVDFNKDKIDEVFSILMQIHDKIVGCCFSLLQKHIK FEERQQLFDTNFQEATSLINQYQQWFKEIDVQDFECLLGIY >CAK88793 pep:novel supercontig:GCA_000165425.1:CT868651:276905:278094:1 gene:GSPATT00021961001 transcript:CAK88793 MNTQSYQLEQVLDRINTIEIIQKKNKQQIELNYPQQISVIESQIIQYQNQFQQLIEQLLS IKSDIKLVYNQDVDQDEAFLQMELQQLNQELHTQELLFSKSKNECEIKLQQFDYEENAIL NRIETISQAMNDYRNQKPIKENIPPTDLHSKRQSLDNSIFDNNNKSNLKYSCHENTTDRS HSRSRSALGANSSSNSLRNFLNFQSPSQLKRTKLTLIHNHSNRNSQKQSINSSVQKIDDT TLLSPNKSFISNKNQQIQPVKTFKVYKRTVQNTLIQKLQEVNNYIPKFVKYNEKMKCIEF YNANRIGSDLGVKDSALNIKQIVGITQQLVKGVIKQNFTFYGLFLLLQKNQKVEILFAKQ EELIQFLNILK >CAK88794 pep:novel supercontig:GCA_000165425.1:CT868651:278128:279173:1 gene:GSPATT00021962001 transcript:CAK88794 MLFSQRQSNLFEEMESIPINKMPQGSSRSRNLSNDRPQTNERSIKLSIENSRQRHKAESY SVEKSLNLSSQNQKVENLVASWILQKNSSSLNSSSNNVQPSILFQSILASQFESQGRQSN RPHIIIEEELLFTEEGDQQNGNQSNKVNQNKHKTPSTTRPHAKSIKEQCILLIYEESFDV KKNCMERNVPKKPLNSYAQRPQTTKMQTSTQVKSTPLVYKDNSLHNPMKSSQIEQAKFSN LYSGSNSYSNNIKNRNRQNNYQKIVKKDNITSNSEFQLDNQCIPDLIRLKDLILSTYLKQ NRPLINSQNQIVKNFDEIVAILKQDFPNHI >CAK88795 pep:novel supercontig:GCA_000165425.1:CT868651:279402:279855:-1 gene:GSPATT00021963001 transcript:CAK88795 MSEIIPHVYLSSVVYAKDQNWLNKNQISNILIVGDLPEYFPSKFTYKCISIEDKPETNIS HYFEECIDYIDSIIAQDKNILVHCYGGQSRSVTIITAYIIRKLRLNSQRALNYVKQKHAR AEPNQGFLDQLKAFQ >CAK88796 pep:novel supercontig:GCA_000165425.1:CT868651:280092:281666:1 gene:GSPATT00021964001 transcript:CAK88796 MQAASIFNGKYVVQKKLSSGSFGVVLLGHDKDKNVDVAIKIEKEENEDVRSLEREVLVLE RLNGIEGVPKMFWHGEQDEYNVIVMQILGKDLAHYMKSKKKFSFKTAIQLGIQIVKVLER IHNQGVVHRDLKPENVLFGIDDESSKIYVIDFGISKIFRDKNGKIHPFRDNTSFIGTTRY ASIAAHKGYELSRKDDIESLIYVLLYFMKGQLPWQNMQNVSDEERTVKVGEMKMSIDPRE LCKDVPIEFAIILEYLKSLEYQSDPNYNFVYQQFEKAAANLGVQLDFTFDWDQQQPMKIS QNTNQEGIQRQTTLNQQLQLPSTGEMKKSLDKQGSNLIRYNSQFLAPPLANQLQPHTLKR QDSSQQPSIVSGSVMLSTYNSIRPKYQPSQLGFDVKLSVDSMPKELIQEQRKTAGSAQQK NVQNLYTETVGWRDSIFEENFEEIPLSKKYGMLQQKGVDMYRKPMHSSASPNRQKKKN >CAK88797 pep:novel supercontig:GCA_000165425.1:CT868651:281920:282792:1 gene:GSPATT00021965001 transcript:CAK88797 MNQNTPFNERFFKIQEKLNSIPLHHDTSKAHRIDTINSRVTAVEERIQDTITSYNRKLHT LKDEIVRVQKQIEEENNTFETQFEQRVREIAAFESRITTKLEQEIALRRDGNLKLQGYLD EKVVYLKSDIQTESKIRQEQIENITTSLENDLPKLYDMVKSEGQDREDSDNGTLRRSGDE IRRLNEGLTNQKNLREESETAIFEMLKDLVSRVKSEIEEERKLREESQESLLGLLEDAAN KIYRAAKD >CAK88798 pep:novel supercontig:GCA_000165425.1:CT868651:282793:285885:-1 gene:GSPATT00021966001 transcript:CAK88798 MLKLFRIEEKLDTENQAHSVRSLLKSFDQDQEVILRDVQENKQSKQIDQRSIMSLLLDSK NRPSQTCNMGPKIRAISFQSNKPMMNLKEDSPVFIDSHTDHVGFSNKIWRTKALEILMIT LRFISFITKSNFATSFKLINKNVFEIIGDVSADFTYYLLKNFFKYEKPTGFQKGQHFLNQ TLLIPLRKTKLLKIYCGNQKLIMRPESLASIWWNIYILTILNINVLYVSIKIAFKFDEQS QDDFYQARQIIFDVLPSYSFMLEILLKFNTCYYYKGAVIENRYQIAKNYLRSSFFFDIFV VIPYFISLRFDLQYLDLVIILKVFQITKFSRNLFDRLELTAIQIVIVDLVKLGYTILAAA HFSACIWFLVGSTGNPNDTSWIKAQNIENEQWFNQYLHSLYWSIITMTTIGYGDITPQNL RERVFAVGMALSAVGVFGYSIGNINSIYAEWSRQSFQIRTDMNNLKKFIRIKGINKHLAE KIRKYFEYVWSDQMEDNDREVYKFSEMIPKQLAEEMKIDTNMKLIQKNSFLVNNFSEQFL ISLSKVLIEEKYVPESTIYLQNDPSNYLYILSNGSLSFYITLNNKQQTIKVLETIKNEGQ AFGVLEFFQSQAYQVSCKSNQFSYVLKIDKSQFMEIISQHKNDYYKYCELVQQISFMNQQ ELVDVTCRACNKSTHIILECPYMVCGYPNRSKVLLNYRRNVPSDRVKYARKLERKIQTRR EQSDIQNSIYLYMCKTQMLKEQIDQQHYSAESYDEGASVVEDGNDEILEHTEKADKELKS NALLAPLRTQMTKYQLQRKLSFQACTDALQDDEIVLSILNNLQRNRILEIINQIQKAERS NKYQSRFNQGSQQVQPYLRIQNHQNSLLSNKSIQDLDKRTGEYQRGDQKKTTKQVAIKVN DFSIGQLYANRNLDEDPEKRLGQYLDIFEGFEKVRNFEQFLKHNNANEIARLQKVNKIQR KHKQTN >CAK88799 pep:novel supercontig:GCA_000165425.1:CT868651:286235:286988:1 gene:GSPATT00021967001 transcript:CAK88799 MKHNQQLPNAHMRKHWTRFVKTFYNQPAAKRRRQQRRQANALKASPRPVELLRPVVRGQT IKYNGVQKLGRGFSLIELKEAGLNAQFARTVGIAVDHRRRNNNQEELAANVKRIKAYLSK LVLFPRVAGKPKNGVVKDSGNDVVAQPVVQNTNPEVITFQRTPRREKATVISKELRAKNV YRRLRQEWYNAKFVGVKEKRKNAKEQKK >CAK88800 pep:novel supercontig:GCA_000165425.1:CT868651:287632:291425:1 gene:GSPATT00021968001 transcript:CAK88800 MEQFHNQIDDTQSQQYFQEQTKSNVSLRLIINYIFADIAKRPRSFKIGLFTTYIVITFLG LLQCVVQLSPLIFLQLDESATGDTDLLYLPMAAENTSNYRLINMTDLHQHTSQVSEFLDV SPRWMFMCNLGKIGVEQQFRAYFLILDSQLEQSIGIGRRTDVDIINNNEVYVSETGLRGL NASKGDQIYLNFNIVQLLKNFGVESESNEVTQQLRQPFKNAVNKQLAMFIKEDNFQDKQY NIAELAKYSQSYLNYVKGIEATSLTKTLAKEYFRQNVRSSENYTDEQREFAYQVIDVMFE RNMTRDAQAINNFVNRVSKFTTFITISQFEDGLFDLLIQINEFNINAQVHGGVESPKGKW TDALGMMVFMDYKNATEIFLESFFNKLDQVITDNKKNNQGMPGAISELIPVNDTQKWAKD ATTDFKLQEYSLMANLLFKNRGEKYETPRIAKDIIIQTTNEFFTEAGYNYPVQITAPLGF TIGLYHLMKNFIENLLGVATMILLMLSVLLIYSLMIGDIEEKTYEFGMLRALGFKKSWLI VLLMLQALTFAIPGLFLGLVSCYLMNCLISMYVFDMSLLLTTYSIPSSALAFQISLGITM PIISNILPIKKALSKALRDSLDLFHRVVNDILVTVVKLEMMGISTNQTVCSIILIGMGFI SYYVIPMNIIFQNIRGAIVVINVIFITMVIGVTVLMNLSEQWLERQVLKIILFIKQSDKN LQMIILNNLKGHGSRNFKTTLMYSLGLAFIIFTGAQFTLQAEFLDDFIKTSLTSDIIVFD ASIQRLGLDEYKFRKHLEWEKTNDPTFIQDYTFSALPLNEIPGIPRFFQISPLAHFPRRR IRLLAIEENYLEVINYKYYYPTEYDSTQKSVQYLPNGVRDGVKDLYNDEGKLNIAKTSDV YGIATNNHAQSNYKAMLKKFNNVTKEEEEVDKEINVIIPEGYRYEDGVDVDTPALLRISF RDRGRAIERRLKIKHMAIKIPNNNFSGYRTVALFGEGLITMKDAQMLINEILNSRIEENK FNYQRAHQYFQKLPKNLTYGLPKDSLLIKFKRDTTKEERIDFSNRLRNYFTNDQIYLFDS ITMTETSQDFFKYLELFNIVVAAIALILSFFLLLVSFIGNVRNTSWEIGILRAVGLNEVQ ISKVFVYESITLITASGLIGTIIGLVIATTFTLQIVAFSEFKFKFSFPILTFLTTFLGGI FISIYASYLAVRSFKFKSIASTLKGQS >CAK88801 pep:novel supercontig:GCA_000165425.1:CT868651:291578:292010:-1 gene:GSPATT00021969001 transcript:CAK88801 MQYVAAYALLTLGGKANPSEADVKGLLAEVGVTGSDAEIKSLVESLKGKSLQEVITEGLK KVGTLSLGGGSSKASAPVAAQAQAVKKAEEKPVEKAPEPEEDVDMGGLFD >CAK88802 pep:novel supercontig:GCA_000165425.1:CT868651:292622:293360:1 gene:GSPATT00021970001 transcript:CAK88802 MGILNNRCVDVCRSKEYNTNSELQVIQNKQIPQRNFQLTNEQCTQRTTQKGTILQQDPDQ SMEIKEEDQSSNLQNNPVPAFVSNKQLHQFIVPRRENRFISDIENEVKEKIKKIQYQEKF EALQMHYSKLNLQQYPQKFHQCSSNVPNQNEQISNLNCFQSSSLVIEKLQSTQVECISGP KNERRGILKSNSPKKQSKSFDTNSVNSNKRVRFSGDTKFTSEDAIQQFQWRKLRIEQ >CAK88803 pep:novel supercontig:GCA_000165425.1:CT868651:293624:296905:1 gene:GSPATT00021971001 transcript:CAK88803 MQQSDTKFDENLYSRQVAVLGAETQSKLIQMRCFIYGLRGLGLEIAKNLILAGPKSVTLY DPTVLSISDLGSNFYATHDQVGKVTRQDAAIKSLKELNPYVSVEIYNGQFNGASLSEFSV VVLTDVWDQKFITEVNEAVRQKGHGFILAHSSGLFGSAFVDFSDKFQIFDPNGEEPRQAI VAGITNEVDGIVSTIEDKRHGFQDGDSVTFREVVGMSEVNEKIFKIKVKSPYMFSIGDTT KFSQYLREGIAVQVKVPEDFEFKSFNASLTHPFAPGKNELDLMDWEKIGRPEQLHISYNA LLQFTQKNGRLPGLLNQEDAQQVWELAQQINNSDRGEGALKAELDEKLVKNTALFFSAQI TPLTSFWGGIVAQEVVKYTGKFTPIRQWLHSEFFEALPETEVNRTLQNSQYDDYIAIFGR EALQQLQNSKIFMVGAGALGCEYIKMFALMGCGSSGQGLVTVTDDDNIEVSNLNRQFLFR KNNVGSNKAATACKVGEQMNKTSKFKSYALRVGKQNEPIFNDQFWDGLDMAINAVDNVHA RRYIDSQCCYYGKPLFESGTLGTKCNSQLILPNQTQSYSESQDPPEESIPLCTLKNFPYQ IEHTIQWARDYFAGFFEDGSQDCIKYLENPGNYIKRILTELKSQPGVLRPKLESVKKFAE VAAKPSLHAIVSLAKNMFQDIFCNQIKQLLYCFPPDHRTSEGQLFWTNPKRPPTPIEFDQ NDPLHQLFIHSAVNIFSQIYGLPKQDKFDEIAKLLPTIQVEKYVPKQVQIKENEKDTKEE KSEDDETQIQLLAQELEKLTLGNKEASKQLQECAFEKDDPTNWHIEFLSAVSNLRARNYK IPEVQPFQVKLIAGKIIPALATTTAMIVGAVGLEIFKYILKKDVAKMRNAFINLALPLFL FSEPLPPGEHLDQEYNVLLLGPTKAIPAKWTAWDRISITQQMTLGQFIEYFNQKYGVRVS SITVDQYMVYSNYPLPSQETLDKDLGKLYAERTNQLLPAHKIYLDLTVGGELDVNGVEVN ADVAPVKYQYKK >CAK88804 pep:novel supercontig:GCA_000165425.1:CT868651:296905:297681:1 gene:GSPATT00021972001 transcript:CAK88804 MKTTSASKQANKTNALRKSAENLPPFAPSTEKDKETSKLAHLEINLNRVCLQQDALMPLL QMIEYIPAIQRTDSVVKGLMRKIEENESKILKSEKLLESKIKNPDGNQDKDSKKQQKQLQ ELQGKVKDMEQQMNNLQRWYEAKLKEENQALQRNLEHKIEKSKTETQKSVGSEKRVSTEQ SKTHSKQASQVQSQQPQESQQQYQYNVLQAVEQMVTASLNQVRNQIQQEIDELREHVIAS KQ >CAK88805 pep:novel supercontig:GCA_000165425.1:CT868651:297714:300080:-1 gene:GSPATT00021973001 transcript:CAK88805 MLRLHYIGLQIQESINHFQSLYKIFFQIQHRFQITYYDQPKHRYMQIQIVLESSKWDWVP ATQTLEITINSNKVQIETTPSIVLTHPEGDAYPEPYVTLVIDTEKQLKYSEELGWNWHKS WNLPNIVVRLEVMTFSHQQIKDSQTQELLLSPKNLYAQLYAVKKVQEGTRVILQDVGLRG TSKLELIDGQAYFSALRFASTSYNNEGVKFHLLMSVYIQNSDTEKPQILNSTISPPIFVD SRKSAGNSEQKKLYLHAEPFTFDLLDKKYFKRENKRKTEQDVEIENDFESLFNYLTAPNI RHKVKHPLFLIIKFSTCVSLYYNPMTVEGNVKTIMEKLQSQLTKDIITKQLSTAGEKQFY LLIEENNDQNKNKKVTEAIDFLNTGCLEIVRKEDQIPSKFVKLGDSAEILENYKQCFDQL LKIFKQLTQKFCESDSNESDDNYSDSESQFPQKKVKLNEEQNKPTQEVKKPIIPSEQIPV QLQPQQPIEIGVQQALNQQQQKPIQQQPQPPKNKPADVINKPITTQAPNQIQQNPQLQNK KQVGQPSSSFTAPQPLQQHPQPQQPQQPPPIQHQPYQKPSHLPGIQPINIPAQGLQGAPQ HMNPAFPYQFQQPDSNALQQVMQYYQLQQLLLLQQQQQLNQQSFPPQAGMMGNPMPSQPL NYMQGLSGMGMPQGQLNPQSLMGQNQNFQQLQQQLLAQQLNSQLGLQSALPQQLGAGMSL QQQYLQQQLQQQMGLGSFPNFNPLMGLYQGQQQNMKKPGEGSGIIKQEPQ >CAK88806 pep:novel supercontig:GCA_000165425.1:CT868651:300514:301393:1 gene:GSPATT00021974001 transcript:CAK88806 MIPPPPPPPPKQVEKEDAFRKKLLEKFGNFEGSTTDEIEKKLKKSEDIDETCFSEADGTG VLENQPLDTSNCTQCERCQKWIKNESYQHHLICHSTRILDWLYLGAAINSENEVELDKRT NVTYILNAAAECQNYYPQKYQYLKLHLMDTIGETILNHFDEAYEFLEKCRKEGKCALVHC QLGKSRSATIVIMYLMKHLGMNLREAFKYTKEKRYIVNPNIGFIRQMIQFDEQLYGKRSI TAHEINPLCSVEFD >CAK88807 pep:novel supercontig:GCA_000165425.1:CT868651:301426:302569:-1 gene:GSPATT00021975001 transcript:CAK88807 MRLPHGEVKTPVYMPVGTKGAMKGVTYQEMDDLGCKLLLANTYHLAYKPGGDLLEKVGGL HNFVNWKNNILTDSGGFQMVSMSQLSEVTEEGVTFESPYDRSKMHLRPEDSIHTQNQIGA DIIMALDDVVKTATAGLRMQEASERTTRWLDRDIAAHKRKHDQNLFPIVQGGVDSKLREQ SLNDLIQREANGYAIGGLAGGEDKADFWKTVVQCTTKLPKNKPRYLMGVGYPVDIVVCSC LGVDMFDCVFSTRTARFGTAFTEHGFLKLKNNEIANVFEPIQKGCQCQACKNYTQSYLHY LIAKEEVACHLISIHNLNYLIQLMLNLHQSIIDGKLTGFVNGFLKNWYQREGKIPQWVIE ALEYARLPIEQL >CAK88808 pep:novel supercontig:GCA_000165425.1:CT868651:302878:311241:-1 gene:GSPATT00021976001 transcript:CAK88808 MNYNKALDNGSGGPDEISDQQIKGLIQKFQRKGFEGLALEEVATALIIPNFGKVFQQHTG LDWQGKFEVKALERLNRSKFDINWMARKDNSEHSALFINCSRGDLVKVRQYLKESKDPQI KQCVDQTKKSPLHVATIEGHLSIVEILLMSGFNPNCRDRTLKTPLHYGCLHGHETIVDTL LKAGADITARDSLGRSCIHFAASCPSAQTLQLVLATKPELSNDVDQKKRTPLHYCVWNSS QHQVSLLRTLLDFKANSMALDEDLKTPIHHASEGGKTRAIPVLLQRGGQESMQLRDRSQK TPLELAANDRTREVLIVYSSSPMNNQDQDLKFLDQAVQGQKLTNQKKEQAPTSSIVVANK QPQQSPQFPIVEKEIVSAEPPPLQVKWAPASINQWIREKFFTIMKKVQESGIRANHHLKR PYVYTGSWMEGINTMEELYGAVKDVPPGEACVRTFNILCPFDRQLPSGSGNEDVIDGFYG EMWFDGSGAVKRTEQIEEMRRKMQQEYLARITDLETEIQIKAKHLFETDQDLAQTRAQLE VTQRQNKTNSEKLQAQIDTLNKQLKSKDETISKLDKDKQQLNQTIKRLEQENEELRNQPQ GISEEEVQEYMTQISELKEQLQASKDQEKTLRAKAGQLFLKSLQTIKASNDEKLSQVKAQ TLAQIQLEKEKMQQERLNANKQFEFEKNQLLEEKNILMKEKQKIQAEVLPTNAAGGMDNL QDDDAIIVLLKALKGNPPPMKQRMKTFDGDLDGSLTQEEFRQFLASLKLSQQDIHSLCRI GGFLGQKKLIGIEEWAQILQDRPKQREQFEQALFCKLLDAIKARNLTVEKMFELIDTDGS GSLSPAELKQGLKSLKIILNQKDLNNMFAIFDSDKSGSVSLEEMRETLNNYQKLREEQGD EEIVVDEQDRIQVQDEDNPYEDIAATQTELQQEIKEWNIDTKDFTKITGFLKVQLINGSQ INSKSLCCHIILKGGNVMEKSVILFDQSEFKQAFIFPIGQALKENLCEYVWIRLYRNAVE EDNFVGDVKIMWKQTIDKPNQWVINNKFQLQNTTYIKEQSKMFKPQGFVNIAIKFIPGEE VEIKRPVVGNKINATIVEEGVLEVIPIQCILKQDFKQLKEPKFFMHLKFMSIDKKPQAQL TASNDLYWEGKYLQDLKCIANAPIDSVYVKVYVETPKNLLQTNTQIIGEFYIDWSECLLN PGEFLSEKSYSLQENTKVYLKIRYIPQSMFQQIIGFREGVSKEEKKKQLTLDDMIEGTLK ILFVRGKNLRADDGDTSDPYCIAKIKSFDKEIKIQSKTVKCSLNPEWRDLQQIKVLMPKE APYPPLEFQLFDEDVLGDDELGICKVDLAPCIEQPCKWSINDYFDVIDPKAKQPAQIYIQ CYWVPKGMKDPNLKAKDKFDSSGCADPDPNFIDGELVIKVVHARELKADSPDPYVIVNFP GGKELKTETISSSINPIFNQILRNQFKVKKETGKTPLKVLVKDSNILSDDLIGYVDMQWE ECVSHPGEWAINQVYNLSAAANSKNFGSIGFLYIQAKFIERGMIDDQAEAPLTENLFEII NSKQGIYSGQLRIFLVHARGLVVADSKASDPYVVFKVPGGKKVETLSKPDTINPSWKTIF NINVSMPKDTIQPLRVEVFDDDLVNDELMGYCTIDLLDTFNNPQVWKFNEVVDLQGDQKM QKKYKTKEFGKIYLQIMYCLQGIQNNDPPLPLTEDLDFILREQQQENKRPMKGKLFINIP VALGLRPDDGVTADPYCKLTFPDSHNVKSKQIDKTINPIFNFQYQWNCNLIKEQYKPIFL QIYDSDAMSDDLLGEVEIEWMECFNNPSQWMINKQYQLSEGKVYVQCKFLLPGQETTPEG IRACDTVQELKHDYGRVLGKIDVKIISASQLYNADITGKSDPFVECGLSSETKKMQTPIK ENTLDPIWDFQGSLVVELLRCQVQMNTINFNVYDDDEVGKDFLGQCEVDLIDILEKNPGS VMLQDLPLKDPSKKGNPNLGSLKVQFVWIPDPYNEGVVGLRIPPKMYEGDLCIRFPNARQ LPKGDPYVTFFLTEDMEKNYKCKHIDSNQNPDWNYEEIIKLSISERQILTERIKATVKNY NSAWSDTVFGGITVLLTDLFRKPGMWINQYYQLYDGDGKLIESYVYFQAQFRTEEVQDKN PPALADFKQFINQGKPPTFNGKLKFTYIGLKNLENKDITGLSDPFVEIKISKGSTTAFKT TTQDDKLDCFWIDCGEYLFKEVTQEDLSLLRLYINVFDYDYNSNDLLGKCELDLSKLKSG QWVNYRIPLLNEKDFPQKSEIYLQALFTIDGDGSAIQQQPKNLYEDIARQQQEEQERIKK EKEAQAAIPKLPGALRVFAPFAKQLIKADIMGNSDPYVEFKISKGSKQIIKSKTIDDDPN PTWNFTGEFTLDMQSHEYNDIAIMCTVYDYDTIVSSDFLGFLELSLNDVFAKPGTWINGL FQLKDEHGNAGKNGFIYLQLQWVPNSIKNNVSLAQPNQIQQQVAQPGPEPQTQKPSQGIL HVNLIKIVGYDKKSQIKVSQGQKAFNSNLVQADVNYNLKCQFENPEPQITINLLDEKQQQ IADTVVSLQSIIQQPGTWMNSFQGFQHSNIQPNLQQFYLQAIFKINDQNVQDPPLLPDPE IKMPVSQSQMIQPLKGKLKVAVIKAEKIKLMDNNKASNVKVTVIFNGEEKSTAAVKGAAP EWKKILDPFKLDALKPLQINLFHDPGTFSRCVPIGEVTIQDISAIFTAPNTWAIKNDFVL GGNGNGIITLYIGFLGEKDKDALTKLQ >CAK88809 pep:novel supercontig:GCA_000165425.1:CT868651:312253:315296:1 gene:GSPATT00021977001 transcript:CAK88809 MKSKNKKLSAQDGKFIYEGDIVTDDKSKQMLYDGQGYLFNTETKCFFKGTWKRGEKVCGI ETFPNGDVYEGQYKDGVFHGRGLIYNNVQLYPDKKGQYLYDGQFEQGQKHGFGKEQYFTG GRYEGQFKCNQKHGPGKLVFSDGSYFVGDFQRGLPNGFGVHVAYSKDRIVSTFPPPSNIQ ERLESEAREEIQEQEQEKEKSEYLYEGEFVDGYKHGLGRLYYPSGSYFYAYWEYNKPARD FIEYIAEGHKWRILNVKQLQTDQSINFFKIIRDNKSSWIEKYPIKGNSYPPAELDGYKVL IDEKGLKREDFEDPEFDYSEQSFSIKDENDQPVVAPIEFERIFASKYFKKKEFKLFTKDI QPGYLQYSEQYCCQQLTVVLNALTFHSYLLNKVFTRKYEAEIGFYFIKLFLKNEWKEYII DDKIPVFKYDKMPIFSNSQEPELAWVILFKAFAKYNQSFQYFTNDKLTRAVEYLTLLTGM PTVQFSIPNNFGENQEYSDQQKKIWKTMSQKYQSTKVRICYLYKEMEERLKLPRNTAYQI VDMQESSLGKRDESYFLLILASHQKLSLKQDITNQTSQARYKLQERNPQARGNEAYFLME YKDFIKHFQYVIMLCATRYPIQNQQLIEPEEKDKHNQELRFFCRFRTNLTQDCLICVTQD HQDKQEEYVVQEKTFPVRIVLAKEKDLFTNKNQVKKKIVSRWTEQVSLSKQERDKDKKED TTKAEMKYTSLFDQNADKKQINPYKYCFGKGQSSGKMLFKDASLESGAYVLFVQVETGDS KEQFDLKKMKFWITIHSQKLIQIQRLDQQILDFQKLVYESAIAIADKHSQDTNKFKYFHK GDLSEQENGSSDLVITQYFIKKEGTYLQHLHNKSSNKIWRQKLYFKLDNMQIIDHRESQK LDICLSKKQTALIIIQQVGAKKYNLEGGEGICIVDLLHDELAEEEQEVKNNETATFQEDN QQIFQQTTSKPKFGSLFFKS >CAK88810 pep:novel supercontig:GCA_000165425.1:CT868651:315424:316680:1 gene:GSPATT00021978001 transcript:CAK88810 MNLFPSRNLFSNPSSPQTFEPNPYKKLFVNPPFTPEFGNSIKQPIKLQVTDGIGMIQKKQ LALKICQQKEQNYQFDQINSPHTPTQSERKVQQSTGEKTKQNQLNKINKGKVFRNLNKQF DGEQGVPKSRYQEEYIQVDVLGSGYFGQVYKCKNRFTNQICAIKCTKIKNNKLNIDLANE SQALAYLNQKGACKNLVRYFTSWQEGNMLYLLMEYCDYSVSSRQDYEEFEIKKILKDITN GLIFLHEQQITHLDIKPENILYSKKDGQFKLADLGLSKKIQQRQEDVSVGDFRYIAKELL NQTEMLDLCKTDIFSLGATLYQLMTRKELPSNGEEWHRIRDGIQITDFPENRYSLRLRKL ICRMMEPDPLSRISAKEILDDTYIYVNKENYIKWEKIRGLILRRQLDQCLIKQRKLSF >CAK88811 pep:novel supercontig:GCA_000165425.1:CT868651:316742:317375:1 gene:GSPATT00021979001 transcript:CAK88811 MQAQIQSEQEENKPIRGSEENQNEQLNKQQDRVQSSSIPVNEKTRLPPESNINLKQAAFQ EVSNVWPQQAPFAFPNIVAQQIQSEVNQGQQSSAQQSDNQQIMSHQSMQFAEGSKIPNHL NLTQTPKMRHSQQLQCRWCNQAVFSQIENKIGLAQLILCLIFLPAFGIGLMFVCCNTYKD CYHYCSQCSGEMGVVKLIDFEC >CAK88812 pep:novel supercontig:GCA_000165425.1:CT868651:317407:318486:1 gene:GSPATT00021980001 transcript:CAK88812 MLAPQYILTKFQEFLETQLENKPNKNEVIKKLRDFNRYFAANNYNIGKGFEYFQNNQNSM QQLAPIPKIIIDKLQIPETTMQLTGQGLQGLYYAIMIGLQNKKGQFIAEGTAYQQLNQLI KTNQIHQSIGLWYFTEIASILLKNSINLNLSNHLLENGIKISDQIDIKNLSIGQSALLVD NLKYVINVDIQQILYYKPNKTLHRLVLLNSSLPNCVKIMIENDIYQDVLLFSLFQEDQNN QRNQQQKYPQQQQQQYQSQQQQIQYSGQSSNKSISIQQQVKMLLEEMNQQTDLLIEQNQL KTLPEFKAQQYVKQMKNQILSEEKKKKCLKCQQEFSSDIGSIAGQITNFCMKCYKEFSI >CAK88813 pep:novel supercontig:GCA_000165425.1:CT868651:318581:319446:1 gene:GSPATT00021981001 transcript:CAK88813 MDIDQEKEFNEENNQIIEEDINQIQNEVQDIQFQQVTDNQENNKKGEIRRVPVPPHRVTP LKNNWDKILTTIVENMKLQIRMNTKKKCVEIKTSQFTEDKTSLQRGVDFLKAFMMGFDID DSVALLRLDDLYVESFEVKDVKTLHGDHLSRCIGRISGEKGKTKFAIENATRTRIVLADQ RIHILGSYSNIKAARDAICALILGSPPGKVYSQLKSLCRKYVEKS >CAK88814 pep:novel supercontig:GCA_000165425.1:CT868651:319789:321353:1 gene:GSPATT00021982001 transcript:CAK88814 MIRFGKSPQHIQKNPKKPDNLEILTLLDNCTLIFKDDRITLRNMVKEGNQDVIAILNNYK KGNNFKDLSTDLRKYLRRKGDKENDKVLTCQSPTSNRIQKVQQLQIQPQQNSQKKSCPDL WKPAEQQSPKAINLCKNNQFVNDVQYSEIVLSDRNHNESKDIEQIQFQTNVTQQYAFHQP TTNQLDHSQTIQQSIKNINYKNKTLISMKKMIFNRESSNESILNQISQPPPQTQDITPKN DIKPTQLFQSGHSTYLQINTCQSYLQPILTIQEKSPVSLKSPDTENKVVVKDSQKHYSFY NYQDYQKVAQPQYKHLFTHWPSLLHKLDRPEYVPNYRVVPYINSTPEQIFSILFPNSSKA KISYFAFENQLKHNLLQIDRDSLFKELDKDQDDWINYRETGVILEGLCLQVEQPKNCVKV IFETLKGQPTIPNTLTLSDLELFEQKTDFQFARQYISYFYNLDQWHLTYWDLYMHRDIYL MERLCQIVSQITGATHNHQ >CAK88815 pep:novel supercontig:GCA_000165425.1:CT868651:321564:324320:-1 gene:GSPATT00021983001 transcript:CAK88815 MLKLIDKLCSTLKLQLELCSLTNDILETNVVRFLKDCVIYIFHIPIEQDIEQYFTISEID KTMLNIQQYLNSILKKNHFKNIFKNEGFQSGEQSSSDEFDSIVQKKPKTHKIYSLEHKPT DIPVSENDLSQLNTLQQLLYETNLKVTKRDEQILQMTTNYLKDIQHLKLMFLRQLENPDA EFFEVNYFDIKQTLEPELQNYIQDKFNQLQKQCQQTVQRYKIELTALSTECESHKRTISV LLQNNTLQSIIKMLFLIEKDPYKIWKQIQEQVGNKIIFQVFENQIGGYGINYREIDELIS KNSAGGRMFQRQKQLYEDQLKIMIDENIQIIENLKQEIKIKDQTIEELQASREENVNKVF EQQQDLIEQKLKEQQLNLSSEYEKKLKSQWKDLSDPQLIRKITMKCAFARWSNYSKFYQL FEQELDEDSLYELKQRINHYLKSVNDEFTIKDYEKLIQNYQQAQYNILKIEQAKNVIEQK CKSQSLEIQKCKNTIQMQIQSIQSLKQELQNSESTVQINNKTLLLNFYKNQAIHPKLNLL AILRSGINLDFFKMMIQKYNLVQLHSFNQLLLKRLKQSQSFLIYAISQELNEDSNFYQHQ FQSPEVPLIQLQITDLAISPKDQLNFSNMENKFPIKGTQKTSNYTNQTPKSNRVQNYSQI NTPKITQKDRKIENISVKRDKKSQKQLIEAASTGFQKQVEKVRIKEHLMIQEINYQDQGV QVDMDFQQTRFKNSPQVQFRDIERSSPQQDVLVIMREDADSRSSSVTKHRSVSQNNRKQQ FNIPNQFINNQNLTLYQASKQKAQNRTIYSEQYQIQQNVNLGLGLLPTSPFQFYQELFKE KQKLQMKNYTIIKNQGIQVQNINKRKLDTSIEGKHLQIPSYLK >CAK88816 pep:novel supercontig:GCA_000165425.1:CT868651:324348:326902:1 gene:GSPATT00021984001 transcript:CAK88816 METVLQESILALTFNHERSSIIYLAQPIFQGENPINELIELDLHNQTVLERYIISKQLPN QPPFMLITSRRKGYLILAFQPSLMEIWQLGSSAQGFNQSIWSGETKGRSGYEIQHADVSN LDGILFFSAIDQRNIYCANIDDTIQSNGNELNLSKLRLPERVSVNDLKCHPTDYKICSAC SDGLVRVWNQTTYTQLNSIQDEHNIIISLAFQQDGQKLLCGCDNGKILCYDAKTLGDRNI LLAIYQLEQNHNNGILCLHWVNYNGAINCSQFLAYLYDGNIKLLNIKLLVPQDMIRDQKV SKSKKQELFGSIKEIQNLLAIKNDLKYNAQRQQPNIGLSKFIQIHPYANFISLNSIKTLP DQTLQSQIFYQYKTQIILLNDNTNLLAEYPVCSQQYQYSFQELFPDENNRILTQRYLYYI DQHTMEIKQYCLAKGILKSIANLRQMILVENPIFYQLSVRPNSLKFAQRTQFLLSYKIET TYRCCTVMSNDGVLSDNLKEYPARFSMFLGEECWANPPLFLLYEDRSSYSIIFEDTLPQE EDMLKLLNKQDKDKLQNQMKQSLNLKVQRMFWTPLRSGFVVIYQPMNENILKFSRNRNPD NNLLDLLMQSGSEMIFKFGSDEQVTDLVWQKDQYIGAVVTIHNVYIVDDSLNTLKLISLQ PQVNKKNRILLTYWMAQTLILQTKFHILYVLLNGTCASIQSIDNYEEKNVLSALLWDRMI LLCQSHSKKQNNIEIKTKWINIIQPILQGYIHNKRFFNQDVDEPTITKLLLTFANPNIDY SLIKDLEQQKQHIIIKIRLMCQVYYLT >CAK88817 pep:novel supercontig:GCA_000165425.1:CT868651:326946:329802:1 gene:GSPATT00021985001 transcript:CAK88817 MKTLDHENLNETLFDGVGIINNDDLQQQLIKKIKDRRFEYLKVLLEQAKQYYLMTFQYRI AAEIDAILNKQPNFIIQILKKQKQIDNIDQYLTKEYTTNDEAIQLAHKVQNQGNMFFRDN IKQLIEFLTQQQQQIKNNQDTQNMLPSYYFTDYLLEKLQQLQNMKSLQSKVEFGKDKFNL IYDDIRNEEDEEETVVDNKVIRIFDRLTYDSIGFFLGVGGQPQSQQVNNMFDAKNEDEDG KQKQDQQYDFNDNSTKEFQELLIYWRIDEGKGNKIGDYGNYNCVGDIYVNKEISSETENL WEKLEESDPMDYQDLWGNVCSAQQGFEIGPQAGIQTRKKNYWKKNKLSDFTIEMWIKPLQ SSGQILQVDQYFTLNINELSIEVIIDGQIVQFNIDENDEYNPMNQPQVKVNFWNHICLSY EQSASVLYLYIQAKYQFSCQELEISNELFKNKNLILGQATQDPNPKYPIIKCLITEFRFW KQKFQLKEVRDGYRQPLPIVYEKQKEIKIDIKQESKPKPTTGLNAFQGLKLDFALEPIEE KPTKEVQQEDDGQNVQQVEQPNSDFGWFGGSQPNQQLSNNFEFDSNFNAFAQAAAPQIQQ GGEWGSDFSEFANFDHSKPTQKNDFSSFSNENPKPQKSQQQQEQKIKEETKPAQQQKQKQ DQPKVEQQSVPKQTDKQQQQQQSQQQQQQQQQQMQQQQQHQPIPQKNSIQEIQQIVDQAV KSFGLKQTKEGVDNLTKAFQKLNEYLQGNKDKLQRIQKFIVHCAQYRFAFQLLIGINQMH EKGNFKKEIYMHLILIHQNIIPSHKLHWIIQSIFICIESENTALAETLLNQLKQSLDKVK LTEEDDKLLKECESKLAKIEDKKNSGLYKLTCPNCKSHFPFKGIKKCNKCNKDFLICQFK LLCISKVDCLKCKACESVFSKESGQVGQRCPYCHIDVLNIPGA >CAK88818 pep:novel supercontig:GCA_000165425.1:CT868651:330717:332939:1 gene:GSPATT00021986001 transcript:CAK88818 MNVQQTKTSSLKDFQIIQELGKGSFSTVYKVKRIADGQEYALKKVKLGSLKQKEKENALN EVRLLASINNKYIVAYKEAFFDDESKCLCTVMELLSGGDVYRRITQALKGGPQFSEQDIW KALIHMILGLKTLHDQKIVHRDLKSANVFLSRDGTFKLGDLNVAKVAKQGFVYTQTGTPY YASPEVWRDEPYDLKSDIWSLGCVLYEMCCLQTPFRAKEMDVLFQKVQKGLYDQIPAKYS KDLAYVISLLLKTQSSSRPNCEELLKIPIIQGRMKDIETCINHQPQNQELLKTIQIPRTI DQLNSQFPKSKYENEVMSFERNIENKSTENSSSPFRSPQQITKTQQDSRISQGKDRQVTD ITKSLAYIERQNKYPLLVEGPRPSASRKIEKPKSAQPQLRYEKEEKGQQLGNSSIKNSQV NNKYKESENLRMKRENELKALQEQQDLKYRELRNLKQAREASKGINAENRRVSPISQAKQ NEQQRPYQQQQSVQRQSYSPITRKVEIPQYNNNRIVQSQIQSRPQISQSINISNPSLQIH SSISHQEIPTSKRQQIPQSAAPITQKYSTNQQQRPISDRYQHPQSALPSRVDQQKLDRQQ VFPVQARVVKTKQIEQKSQQTKQTRPLSSNLTPNERLVKQEYQPQYNQIQVNYNHYYPIE TYQRHASDQNLIRYQQFDYHIFQNVEGRKI >CAK88819 pep:novel supercontig:GCA_000165425.1:CT868651:333210:334707:-1 gene:GSPATT00021987001 transcript:CAK88819 MNSVCKDHQQQIESINEQGKPMCRICHQQVNEIVQEYQGKKKSLLQKNEVDTLEKLRQAK KKMLEVQENLQISMNSHIETVTKKREQLLSYFAEQQRKVEDYQDQEQNFVKFKQNGNSFT KDDTTLHQIQEEKKNILELEKAFQAMLQKAINDYQFSTGLQQQNLQQNQQQNQQQIQLQE VRQKIQYQIFASIMDKSNVDFITINKDNNIIAYSESFEIHFLKIQDHTLQKMKQNIEDDD MELMTCVKFAKTSDDIYYGTDNGKDGKNKKQIKTHNGKGIVDLTLSDDDSKLFCAGDDKT IEIYLKKQNYDLEKVSTIENKEFGQMSSIAFNPISSELISSSFSKVQIWNISDDNQGQSK QIIEGLEPDKNNKVYFIDHEIFFVTSSNQIYFFKKNEEQAYVKVEASTFLENQIYQLPIC YDTDIKILLIQKKESKEIDVMRFNQNEKKFVHQMSIAGEYNKLGIGQNGEYILLYNKADV NLLTVMKLE >CAK88820 pep:novel supercontig:GCA_000165425.1:CT868651:334839:337474:-1 gene:GSPATT00021988001 transcript:CAK88820 MQINIVQWEQSNALTPQEQAMIDEMESQQQKVYQEKEKTTNFQFYKKRDKKNDDFFRLET IQGQYQEKLSSLQSITQNLETICDQLKKLNDDKDKIVQKISQFHQEANIHINEQKELLEY QFQIQENIQYYYNIQKISRDLENDDKKEINYQVLISEIQEGMIFFSSKPNYYQSDKCILQ YQQLKKRLLGTCKSSLMKLLNKESQFINQKFSQLKDLICVFYPPRFFGYQDFNADDNNIL QPNPLKLQFLQIIFPQLIPQLKFKDPQIKNNYQYEEEFQKFNITEQMKDLFLYIESQIQG DQDLYSLYNDVFLQYNFYNRLNLHQQINELINKQSSNLNQTGQILHKINQSLIQQKLVSN QHLQKQQEILIFEALCGIIFETTVIESFYSRMFFRLNLRIVKENDKQHLSRILDNYYQTM RIFLQKIYNLDQLVMISESLLQLKNHATKVFQKIKENSLDEKDYLFPIFQIISQGKDQNQ IVECFQNYLNIFTNLFLEKINLDIIHKSIQSSQVQIQQKIYSYSPKDYIRQKQKLVIHKF LKTQPIPKGYEIQRSDLYPAVIFGLDLITKMQRSVDQVIFRQLAGETLREINSQLKLVAE QFDVKFDSYVFYLKNIIYLCDGLAQLGGDYIVKESELDFSETKAVLLQLITGQLRPEIQW IELRSPEKLWTYITNFFQFVYKGMPKINQYEVDWKNNLMNQKNLMLFQFVKDMTFIVAKT LIQYIRNYQYLQHKLVEEKDEQKLGQAQKDFNLLISQGQIKRSYSLFYENIIDFIQEINL KLNNFLDKSTFDQIQIQIKEIIQNSLSLLSQFYIIVSKHYGGEEYEQFQFHSADEISKQI KIEYFD >CAK88821 pep:novel supercontig:GCA_000165425.1:CT868651:337516:338359:1 gene:GSPATT00021989001 transcript:CAK88821 MLISSILGWSSLFLITNYSLYKYYFTQPLKALTLAQIIKRDLWKWRFQKWKSSINRSPYQ IELKFGLNHKPISGNYVQQNIQTIGETHALFINNQNNYQHLTNMNVKQINDFQVGMNQLF YYNFHSDYILLSICHKLDDENNTYNILVQSKKFTRKEEEGNLKELAGEYVYFILKQDSKV RICQNFGNKTMDIDFIDRIIQHTIKNEKVIEYIDLDGTWFNENSQYCKCVLKLDEQYNLI NINKINNFEIIESQKMYDKIIDNLKNKTILYIN >CAK88822 pep:novel supercontig:GCA_000165425.1:CT868651:338405:339713:-1 gene:GSPATT00021990001 transcript:CAK88822 MAITSKKCSVKKVSLPERITNSLYNKYAESQNYYFTKDINEIILDQPTKPNIVFKDLVLL DEDVEYMKKVYQPHCLDNKMEVLTEFYKFHNDLPRWAVSGSIVNILNEYYNQKRKLEYYK IQKIIDEENKNNPEKPPKGIVGDQPIQTESTPPSHTIESGIIVGNVLDELQNTPSYSKHN QDKQNRKVNNNNDIHQQLLKIEKLKTERQQDNNNIIKEFKLQQILTTDKMKNIKQLITSQ KRQLKIIHPDQCGELDPPKPKLKHQQILDQCKLYIYEVHKRVNEKIQLKKRTAVKNKDPS REIKSVHFGEDKKENFFKSPRNMKTQCTSKMTPKSNSKVGKTQTFHDTSSRKPNNNLLST RNIGAKTCHQISNNIKSKIPQKPISFKTLTTPRSVQQKLQL >CAK88823 pep:novel supercontig:GCA_000165425.1:CT868651:339803:340686:-1 gene:GSPATT00021991001 transcript:CAK88823 MQQNIVFDISSENSSSSDEDEFIKMDQQNSSILSIRAPNLFDSDTPQEFQQIPEATQSLR KQHTVTFETLNTNEGERRQLNKWNLSEKENRNRNSFTITTEVTEKDRGNIKKNVNEINNN KLSPIHVIICINYNLEKQPYQPKRVSNVNYSSIDNFQNQSMAQKLLELDLPALISQITNK RRVNNNNNNNSFHNHNNTFYNSNPKPQMSQKQGCIVRQSFNKQGSQGDIPIVQQTRERSI TMILRQPGKIVNQKQLKSNSIALRK >CAK88824 pep:novel supercontig:GCA_000165425.1:CT868651:341004:342394:1 gene:GSPATT00021992001 transcript:CAK88824 MEMFDDTIRELLSKNKDIAKIVDLEKLSQQPGFRIEQNNSYVYIGQVQNGMKHGTGILKL LDSLRIYVGEWQNDKKCGLAYEKFQSGAEYFGNYNNNKQNGYGEYVWANGESYKGNWVEG KKCGYGEWFGQNTSYKGEWNNGFVEGKGVYQSENGDQYTGDFLQSMKHGLGEELFANGDK YAGSFKSGKPDGYGEYYWKNGSLYQGFFMDGMRHGHGVWQSNTQGKIDRYEGAYSNDKKS GYGEFVWSNGTVYKGNYFDDYRQGYGEMYQNGWDRGVQVEKSNTRFRFQQKMKTQETTTR KWKFNNIDEIQELEEETVTSGAEAKNENNLKTIQKSSQQCRLKTLNVESKPQNNDYTPMK RSLQLQKKAPPVIQTRSRTQSMGSCKIPILQQKQRSNSNQITNNQKIEQLVKLSPYKQTL RSGRFKLQKIQL >CAK88825 pep:novel supercontig:GCA_000165425.1:CT868651:342446:343222:-1 gene:GSPATT00021993001 transcript:CAK88825 MDELIISERLVEIQEQCKKISSSLNLIQLYLNIQLIIVIIYISLPWLYEYQKASIFYLIW RLLQYSFHLFSVIKIRKTVDIISEGSRQFIEQLNDQIDVWAAVKGNHGALSKCNEFVYII MFGLGNVVLLQNTLLLSKWILVVQLLFGWIVLAIFAFGLLAICFIMPFFIYRVNRQRREE ERRRQEQAIRLIGTQIKYSDLNSQTFQPCYICLQPYDNDDLIVTLSCNPNHVFHSDCIRP WAEINDTCPVCRQRLQQQ >CAK88826 pep:novel supercontig:GCA_000165425.1:CT868651:344075:346042:-1 gene:GSPATT00021994001 transcript:CAK88826 MAESRAQFESELKKRLSLKSPHEEQTLLKSFQYYDLKQSNVVNQQQFEAVLEKVGITLPK DQITSLFKYYQQNGQLNYKSFIQRVYQSPESAVFYFQKKMWENGLDLFFDWLEQLHSKEY NFAQFKYLFYQYPEEKVRALFQYFNQNNVVRIEEVVEAFRGVVKPSGFKVDSFNIDQIID MFKGDKTKIRKYLQSFMKFKNIKFLTQGQFDEFNHILELGNIKAQWLGERSTIYTPIRKL DFNELDLTELRTALVKKGPKAFINLKKLLLQADDDQDGYLTEKQVQKVLRDYRFPQQIGG VNIQDFFIELLGAFDQPNLVKQIFEQMDIDMDGIIPYQLLKQCYQARNHPDVKKQIKSET EQLAEFLDSYDAHHKMGSKSVTFAEFYEYCHILYACQPDQFENNLINVFTYVRPPQQQTT SVAQYAPFGTSAEKTDYSTALRPLSSQQGQRQQNINPAGLTYAQQQLQKIDNVLEKLKSK GYRGIIYMLRNITRYDAQGVYGGFNSIRIQVNQQYIKQILNQKNEISMAAFLEKILGEFQ NWRLNLVQMAYRKLQSTNFQDMKKNFLARPRQKMSDDEVLIDFLDTFDSHHQLFNSNNVS QQEFEQYYHIMSFCVTDDREFEVAVKSGWMV >CAK88827 pep:novel supercontig:GCA_000165425.1:CT868651:347192:347868:1 gene:GSPATT00021995001 transcript:CAK88827 MQLEKSIRKQLILPKVEGCIKLEIDTQLDSPKQRDWAYTARSGGSNGIGSSNAITTITIS SGRRIQLYTPMQESKRAQRLKNIPYELQYFQTSLPTKSFKSSHLMNEELLKKPKEQIENK QRWQLHSHFNKMNLALTEYQIKRFERCLKKTESTYDVNTIKKLNEENIEKEKQKRNTQYK QATQDLINSFTKKFNIKALYNDLQTNQQQ >CAK88828 pep:novel supercontig:GCA_000165425.1:CT868651:348214:350882:1 gene:GSPATT00021996001 transcript:CAK88828 MKNLYGYFIISIAISILNIIAQVEIIQIVRLACCVLNIIAIRIDKFVLYGLTLNKIILFL VGVLTQQNESIFQIILDDHFLPPQLNNRLLILLWKVVSGLASTLLVCLKYQNEDLITLPA TVLIPLMYFLPQSQQLFEEYFSSKNEKEQLYSSPRPPITKEYFRIRSRVEEIEFNPMTLD QNNTLDINQLMRRIASSQDRQFVLQEISLFNEQVWKLRMNAMPIGICIISNEIKPKFMNN TLINMIKKNFIEQGDNSLEQIYSLFMNQLQFKRIDYVGPYDLPHSAIFKNERFHIKNQQQ QGSSLFEDEQYCLKDLICKIEEGQLDRCLNDEEQIIELFSTFESPNNKRQVFFDCRVLFQ EKQKIDYMVIIQDVTKQNELSKLEEKNKFKTKVVQSFSHELRTPLNSATIFLQTAIGDTK LDRLIKDQYLQPCLAALKLQNHLINDIIDFSQINAKLLDLKFSQFNLQRIINEITEQFKF QFQFKKIGLAFEISRPMSLLTQIKTDYQRLLQVLTNIIQNALTYSETGYVLVRIDSYDLN EIEFSIQDEGIGLTMKQLTSIRQIINQEQQTTTRSQQWQGFGLIICQMLLRYLSPINRNS VQIESDGQDAGTTVKFIISNHVSAQTQEQAYGQQSKKSFSRMRSMPTLRAEYGLLISVKS KSPSKILLSKTREQDECDLSSASIREISGKIHRYELKHCSIELLNQRKFSCVTPQQKSSI QRNTANKLSIRQSSQQLSFKETGSTLLCCNTILSVDDEVFNQKSLQLLLGQQGMNVDIVF NGRQALEKVQNPTKCCSTCPGYKMILMDCQMPIMDGWKTTGMLIQMMQNQQIPKIPIIGL TAFTSNEDMEKCKKAGMLHILHKPLDIQKFQFILTELRII >CAK88829 pep:novel supercontig:GCA_000165425.1:CT868651:351332:352713:1 gene:GSPATT00021997001 transcript:CAK88829 MKLVIGLAILLTLSSCASFLEEQQPLENKIYKIKLDRTESQAKKSLFDFITTSQQYRNSP DILDGSDMAMAQTQQKESIKLYNFKNTQYTGEIGLGDQGNKFKVIFDTGSANIWLNSARC NDYGCKNHKQYDGSKSATYEHLGYDLDVEFGTGELMGEINADTAFVGGVKIAKQEFAEIV RENGDVFAQSDFDGIVGLAYPSMAAYNFNPLFDNIIKQKLLDRNVFSFYFSRQEGSRSSE LTFGGWDTDHFQGELHFHNVVNKYYWLLDADNILVNGQDLGLCKHGCKVVADTGTSLLTG PSDDLYGLLDTLNIDENCKNVKELPKLTFVLDGINYDLDANDYVMKIDSQGNEVAYDTFA SSDSFVEMGANCQCVGSFMPLDIPSPNGPAWILGDTFLSKFYSVYDRDNDRVGFARAK >CAK88830 pep:novel supercontig:GCA_000165425.1:CT868651:352725:353800:-1 gene:GSPATT00021998001 transcript:CAK88830 MNNTRPSQLPAAKSTPSQLPQGGQQVPEGYQPPLGWVPASSQIQPGTANQALPFQQTGLI ANPQYLQQQPYAQQPVIQSSGPVVTQGNVIKGESRIEYIPYTKEVTEYVTQEVVEYVPRE RKITDYYAVEYVTEHIPQVIQEKYIEYVPVETIKERTEYQAVTRQSVIQQPIDYQQIQTT QQYQVPAQIQYATTTTTNQYIPATQNQFNTVPVTTSQYIPQQISYGQLPTAAYGQLPATT TTYGQLPPQVNYGQLPVTTTTAPYGQFPPTTTAAYGQLPSNAYGQVTTTTQQYSTGWQQV YPSAAGNVQQPQFQQQQPQQANQRPGQSPKYQ >CAK88831 pep:novel supercontig:GCA_000165425.1:CT868651:353905:354668:1 gene:GSPATT00021999001 transcript:CAK88831 MQSLVKQLLTLSNTSAANQWQQIETTLQPKQLTPAEIADVAHHFAVNNQGSELFWDTLQR ATVDQLSNFNREQLATVFDAMMKTPYEVPDDVGEAVTKAIDTFFNAELQANQKSDPYYLS KFLLQFQSGLGQFALNFGPGFLTGRVNDEHPEQKLAKGDEDLSESSNSELRSAFIKGELS QEQVNEEKKKFLNSISELLGKNQNAQDITNLK >CAK88832 pep:novel supercontig:GCA_000165425.1:CT868651:354762:355779:1 gene:GSPATT00022000001 transcript:CAK88832 MQNEMAPFFSKSAPYTQGPQKQQQFGRKDQQGWKDQQGWREKQGYKDQQGWKQEQSNYRK KDGKYKNTNQENYQSQQQYYPKNVIDNKDQQQQHTQYEYQYTGQDKEQKIEEYQQKKFED QQPQQREEFVTQHREDQTVKQNVEYIEKSQLQQNHNQTQNNEQKQSLSLQQPQQSQQQFQ QQQAPPQQYYQFNQEPQNLQNNQLIQQQQQVYQQSSLMQTPIPYQLSQNMFQPIAQSNPF APQQQPQNINEINGQGQNTQNTPYVIQYLIYPNKKGKQYNHQFLLTQENLDDNYQFLKSQ LDCPKKINN >CAK88833 pep:novel supercontig:GCA_000165425.1:CT868651:355921:356932:1 gene:GSPATT00022001001 transcript:CAK88833 MSNVTRSQRNQFLIDFLSGGLSGAIAKTSCAPIERVKLLMQTASMNTKLTKPYAGITDCF LRCVREDGTLSLWRGNGVNVLRYFPTQALNFSFKDFFAKFLKKNSNSEHSSQLFYNILSG GLAGTCSTSIVYPLDLARTRLGVDLGRTKSERQFQGLVDCLTKIYKSDGIKGWYQGIGIC FVGIFIYRGLYFGIYDTGRDRFFQNGDAKSLIMKFFYAQCVVIFSETISYPTDTLKRKLM MQTAGVQRKYKNAFDCFNQILKTEGFRGLMKGNASNMARAIGSSLCLVLYDEMKRVTTTN QQRQ >CAK88834 pep:novel supercontig:GCA_000165425.1:CT868651:357316:358417:1 gene:GSPATT00022002001 transcript:CAK88834 MYRQTYQYPSRAYPQQVFGYLQPQYQHQVPQLYPQTIYQPYYGIQIPAAPKYPQPSIANQ NLQSPQKNFQSPSRGFVSPSRTNLMQSQQNSQSQQNPILQSPSRTGYYTYEQVMEKIKNA HSYQQQQQNQQCIPQSRQQQENNYVESNQQKQVPNQQLMPKQGLVDNKQNIGKEIKKFEP KQTQEDKIKPEQKQQNDEELEELALQYEDGYIYRGQGYPPQTRQGFGMLTDNEGREVYAG YWKQNVYDGQGRLQNLQVEEIDGAFDCNNMTTIGNGWSSYEGQFVGGKMQGQGMIVLSNG EKYVGQFDDGMIHGDGEFTTYQNEVIKGNWDQGYLVQMTEE >CAK88835 pep:novel supercontig:GCA_000165425.1:CT868651:361505:365605:1 gene:GSPATT00022003001 transcript:CAK88835 MQQKDVQNSPQQADYYFQKATTLEKMKIFGEALKFYDQAIQTNPNFSVYYQSKGKLVITY FTARTLSQMNRLEEALYNYDLAILHNPELLENYYLKAQTLQQMKRFGEALQIYDSLIQIN PNNSLFFQGKGTWSIQLFFLANTLFLTNRFEEALQNYDQAIHINPEYSVYYQSKARTLSQ MNRFEEALYNYDLAILHNPELLENQYLKAQTLQQMKRFGEALQIYDSLIQINPNNSLFFQ GKAYTLFRTNRFEEALQNYDQAIHINPEYSVYYQSKARTLSQMNRFEEALYNYDLAILHN PELLENQYLKAQTLQQMKRFGEALQIYDSLIQINPNNSLFFQGKGTWSIQLFYLAYTLFR TNRFEEALYNYDQAIHINPEYSVYQGKGKIVNSLLYSARTLQQMNRFEEALQNYDLAITK NPEQEINYLYKGKFQESYFVAATLQDMRRFEEALLNYDLAIKLNPLKATNYHLKGKVQIY FVATVLDLMNNYEEALYNIDQAINLNPESSKYYSHKGRTLSQINRLEEALQNYDFAIMRN PDKPDQFYWKALTLQKMNRFEEALQYFDKAIQINPENSQYYYNKASTLNNLNRYKEALEQ FEVSISKDPETLQSIKGKAYTLLKMKRLEEALECYSSIIQENSDTEKLEAMTLMEMNRFE EALKYYDFAIQIGPENSECYHCKAITLFKMKKFDEALNYYDLAIQKQPQNSVYLQGKAYT LKQMNKIEEALFYFDLAIQSNPEQPGSFNGKGKWQNKYFAAYTLLERNQFQEALFYFDQA IQKSSEQTDIYYFGKGKFQIPYFAAITLKRMNKFEEALCYIDLAIKKNPENSEYYSKKAL TLADMHRFEEALEYSYLAIKQNPDDPNLYQHLVSYKMQKNEEAFQFYNKALHKYSEDPFT LFQKGNILKDMNKFEEALFYFDLAIQSNPELPHNYTSKAYTLKQMNKFEEALFNFDLAIL KDPKQPSNYYGKGIQQIKYFAATILQKMNKFEEALCYFDLAIQKNPEKSLYYRDKANILK QMNKLEEALFYFDLAIQKNPENSGCQIGKGNTLVELNRLDEALNYYDQEIQKNPYVSDYY FNKGLTLNKLNKNGEALEYYDKAIQLNPEMSKYYYYKANSLYQMERFQEALLSYFLANQK NLKEMEKQTKFVFQHTSYFSVI >CAK88836 pep:novel supercontig:GCA_000165425.1:CT868651:366715:366937:-1 gene:GSPATT00022004001 transcript:CAK88836 MKQNCKQLKTKNAQTIYNVFCSVGIALNYDFSIIIDPEQKRTMKNQLSLKESTAIQAEMV LTQQQ >CAK88837 pep:novel supercontig:GCA_000165425.1:CT868651:367395:368172:-1 gene:GSPATT00022005001 transcript:CAK88837 MPEVSFIKILFLYPILFYQNCMDAQSGDCYNNDWSNRVADCAGTLFKGRFSCSEQISLQF NNNILGLKFRFNFTGVKLDSWDAGYDYLQVLVNDVVIDTVSYAANLGTNMCFNSGYQDMF YSKSYNFNLPQGKTSLKLTLQGHFDEDVDNEAWGAKRFTLEIFQPCVEFYSDCNFQGEVW RICNGNQTSQVRNIPFEIKSILIVNGIQVKMRDPKYHGGQTQTYTSSQYCLSSYQFPKYN QNV >CAK92961 pep:novel supercontig:GCA_000165425.1:CT868671:3:7597:-1 gene:GSPATT00003829001 transcript:CAK92961 MLSQTSQTEFILRGGGCGSWKTSPLETSFLKSDNQELYNFFNKFNFYVEKICTKAIVAAD QLESQEIMIALQWFIFQEESIYKLNKNAQGVVKSYDLILEGVRRLLKSCLFYIKTDSFKC LYILQTTASLSKVIFSFHLLNGERFMKYDLQKEFLDISDELRQHMEIEKNDLIQNQMELY LFLTKTSFEMAPNNSNEREEILKGCLSGIIGSIIQMKPNEELLESLFQGVCHLYKLYVVN NNRNQFEVYFQIDMLQWEIINYFKNEKWQTLDQIICQVEEIHSKIVKNSNVWKFHYLWVQ MIGKIILYNPILTKKKLSQLINDFNFGLKSDQIWKDYHRKGLIIQMNYSNDQAIIQLNKL QDNQLSQIDTTIFESCFKEWEIFLLLKDVLMNEQQQNFPFSLGSYLKCKLEIGEEFQRNE DILAINNIQSFLGFLISNQLLTLIKQNDEKLEEVIKMCRNIRNDKQYNESVTLQVSKQQI KRIIHKLQDYFQNTQTIIKIMRLNQSVSKNRNEHKDIQKLKNLFRLQEVLQLYIILNQRN PQNKKFTDDNGVIQEWICLISEELTTIDLKEYKLPFLDASATQQSRNQILVHVEKLIEIL QKDIYKLEIKQIQNNLLQYFQSLVNNLYLYYENVSSELSQNQLEFNLCLKEIMIIMYQIE TVPQIFDLKKIKKALDDMHLLKFLDNLRCSNMRLKLKLVNSRKSFSIILEKAKLDELRKL LEVIHLDQFLLNLIQDFPKRIMRENTKFDTLFLSELQNINIKEEDFANRLSKQKGIIKYL IFKYSIKAKMFDSESKDLELIEKEFGEQFIKEIPSQFSTEKIKKIFEDLQVDESLKNVRE VKFNLLDLRLEKEKYKDLGKCQLIENWNSIVMQIETVIKTIETFGQTDKEIGKMLINEEL MNLKIMISQNKITLKELQVQVSEEQLRQEGVLNKNSNLTDQFQLNSQLEGQSENDKICEL KQNGKKFNFRSDNNYRTYLSFMIKIIKLKKLKLQEEMELLQKLLEEFVLFSVKLDQIEKY KIEIQIKFQQRFQCYIQEFIQKFELLQLTDVNLYQKEDESFHLYLDRIETELFKRIKDKE IIQTYINIPDFLNQLEIYVYEKVVSQRCFANSPIKQDFLIKQIKKIYLEDLEEVEADEES NQQFGLEDNLIQKYQDFINNDQWKIKQGLVFTIIQISSNSYSDNVTSFCQKVLIKMWIQE KDLRVRNILKHKHLISLQMQIMQKDWSTQIERIAKKMQEMLSRIDELQEQISHEANLKKR DLDLKELDETTEKIDQQIENISEMGQQLNLITDFVNHIRKGLIRVEGKINEMKEQLKSIE ENLLNNYLKLENGKYQKTLHIRTLNPFTYHYKPKRYIIKQSQGKRKMIKLKQINDTEGEV NEFLLEEKETVLLIHGVAGSGKSTTAKKIEEFIWKLHDNNKKIRNQVLIPVYISLPSLKN PVFQAVEEALHQDEYGFDELQLKECKEILEGKEFRLLLIMDSYDEMRLEMIQKNLYINNK LKQNWFDPLVIFTTRSEIFTSSNYAFWFAPDNKENIKEIQLQKFNPDQTMEYLKKFTIQS VKMLIFEIYEWQTQILNRGVLDINNFEISWEELQEQCLNLEVTNFNGEALLNQKQIENIL SFLKNNYFFSFKSNEALRSLSVKLQKLWSVEKYEKMMKHINLHRLVETPYMMEIIVQVLP KMMVKASEIINLRLNFLKNFPNMLHEFYKSNYLIRLYNWQQKQHLAQYETESEVTSTDVE NLEKINYFEIALGVWNKMEENSIAIQFFSHQELNDLNKILFNLFEHNFELFNNAFAKIYI QKDRIIEVVSKALYELNLSNYDFYNEFINQYHYQQIEKQRNMGKSIHIDRFLHDLKKYST NLAKVMSRKQMTQVEFQQYGFLYQEEREEEKWQNEFFNDDDRQFGSYQKDLRSCSLIKQK GTNFQFAHKSIQEFYIAADIYDILVLSKDFNEQIFNWMLEQLSKENNYDKNCIEYLSNQI NQENLIKVDVFKTKIQLTLNLLRTLKKHEFFLENYSTSTYAETRKYLNQKINKERLIIEF LKFLVYLTAVDKSFIQGGSNSLNILVEMQVDLTNLNFEKIRIKNTSIEGGNFAKCNLSRS EFTDVNINEINLNGAQMFNCKWYNLIINDLYSFYGHQASVETVGFSSDGTTLASGSRDNS IRLWDAKTGKQKAKLDGHSDGILSINFSPDGTTLASGSQDKSIRLWDVKTGKQKAKLDGH SGYVYSVNFSDDGNILEYGSEDMYMDLWEFQKGQQKGRFDCYQSYIYQINLYDDGKKLEY GSKDKQISLGDVKKGKQKKIIDVNLYNDGNKLEYGSDDKCISLWDVKKRQQKAKLDGHEY GILSVHFSPDGTTLASGSGDNSIRLWDVKTGQQKAKLDGHSSFINSVNFSPDGTTLASGS EDNSIRLWDVKTGQQKAKLDGHEYGILSVNFSPDGTTLASGSGDNSIRLWDVKTGQQKAK LDGHSNTVYSVNFSPDVMITLSVYGILRQDIQF >CAK92962 pep:novel supercontig:GCA_000165425.1:CT868671:9358:9900:-1 gene:GSPATT00003831001 transcript:CAK92962 MTTLLRRVVNLLQLDLPNTRIKLLFLGAELNLQVTNMLKAIKKLVTYSVFSLSFDFSFVL MTNFSQRGIIQLDNKLLLQLNQSTIFVIQFAFNTISKQKYEDYIYKLNLSLQRQSVPQLQ LLSCVLFLIQKWKLHLFNSSQFVLMPQPSRLQVQYFKLFLQQQGILTWIHVQLNSFLILT >CAK92963 pep:novel supercontig:GCA_000165425.1:CT868671:10988:11546:1 gene:GSPATT00003832001 transcript:CAK92963 MILQKEFDSNRIDNLCAGLDNRNKQHTSTSIHANSIQILTQIISIFNADVLNVAKQSSKQ AQHTFSQMIVLNTHFEHIYNIDVGGAIMTCYKFMQTFSNNSTFNPPARIRSGQMKQQIII TSWMQTKADKIINIFPMLFGLPNTCFYILYTLLQQVKCCTLKKSQRGQLQRMIKDF >CAK92964 pep:novel supercontig:GCA_000165425.1:CT868671:12442:13629:-1 gene:GSPATT00003833001 transcript:CAK92964 MQKKCGTLTYMAPELLLKKVYNKNVDVWSVGVILYQLLNKGQHTYFINSQNQEQQKYGKN SYNRQLSKNGSISLFQRMTEFDSTKRYSAFQALLHPWIDEKRQVIPQNFTEMFDLWLLTQ KSILFIQGLMIIIHLKNNVKISIQKYHTLSDNQSKFTKTDVSDDNQDSSPYYQTSNLLNF LKQDKKIQKSKQSDYSSTNISPIMIKSIERQENNNGDQLFLHNLLPDLKIAKEYPERSQK VQIKRLDSSLSQNTKNSSSSSISPQKCCGNKQMKFLLKPLPTQNDLQKETQTVDLYKIQY TKNQLKQKQSRYKQSGILESDSQGLSPFHTKPVSFHQSRTTKYKTEAQYRQKRIQCTIDQ KKQDNNLNYFKKQQFSQF >CAK92965 pep:novel supercontig:GCA_000165425.1:CT868671:13656:14171:-1 gene:GSPATT00003834001 transcript:CAK92965 MEEILNQNDLQHRFSDYYTYVETLEQGAFGLVVKAVNNNSKALVAIKVAVYTSNLDYLKS NYKRFEALEQEVAIFSQLNHQNIVKFYDIKRTDTKILIEMEIINGGSLQKLMYTKLKYDQ WFEIKEIKQIIQGILSALSYIHDNHFVHRDLNRIIYLQEKQQILLKLLILD >CAK92966 pep:novel supercontig:GCA_000165425.1:CT868671:14335:15429:-1 gene:GSPATT00003835001 transcript:CAK92966 MNRQYALRSNIENIFLKQSTFTLADNLSSIRKQYDGNIAYFGQGAAQFLQNLPNNLQPKT IYLCDIDLDFLEQSLQQLNNDEQLRGKFQIQKVSEMNSQGNKTIVVPICCDEDFWPFLDG HLQLIVSNMNLHWVNDLQVVLIKWLESLEPDGTLVGSIFGSDTLQELRISFSLAENERFG GVSQHVSPFISITEMGNLLARLKFTLPTICTERNLYEFDSVYHLMQYIQDIGEGEALIQK RIGTFKETTQSVSAIYESLFKNENMKVNSTFEQIYFSAWKYHESQSKPKSRGSATVSLQQ LEKEIHQIAPEDKIIYGTLTEDDIQEDLIEDKQGTKKKD >CAK92967 pep:novel supercontig:GCA_000165425.1:CT868671:15753:16385:-1 gene:GSPATT00003836001 transcript:CAK92967 MQFTPDTRNMGGRQLILSQLWGHRLCLQQIKERHLNTEIKTSFLKKNENSKLPISTRIND FNMAIHRKKLQNIKECLTDRSNKEPSYFFRRLPSPQSLNSNSMFNKLTMIKSKSTQDKLQ NGKIMPPPKIDVENFIPQNTKTQIIWINFINSLHGPQYPLKRWIKQLAIENRIFDENDFA ILIRILVKAYQIKQTTLVSLVAEIIEEFEK >CAK92968 pep:novel supercontig:GCA_000165425.1:CT868671:16443:17625:-1 gene:GSPATT00003837001 transcript:CAK92968 MGPCISQHPVSTEIDQRGSMCFEEGQLQEKVKPEEVQAEAMPLSSYDEQNVIKIQSGFRG MKARKEAEQEKEQMENTKPKNENIKPYNGTVESCNNFVKEKLLAYGEYNYNTRYDEKFQK SRSLTPYLLEDGSIYEGQWMNGVREGRGKLIYSDGSIYEGYFFQDYQHLHGRLVMASGDF YQGEFQNGNMEGNGIYKYADGTKYEGEFKNNKQNGFGKETWPDGTKYEGSYDNGVKYGTG RFQWTDGSIYEGSIVNDQMEGYGTFTWADKRRYNGNWKNSMMNGQGELFYPDGRYYKGQF QNDLRNGDGTFFYSDGTSYFGEWQNDKQHGKGIRKQKGGQDEEQEWNNGSRVI >CAK92969 pep:novel supercontig:GCA_000165425.1:CT868671:18035:18236:-1 gene:GSPATT00003838001 transcript:CAK92969 MKNLNRSPLYSNDLYQNNLQSNLDMRMNFQLQKQEGKRTQELVDSIKIEKFDLLDVNDK >CAK92970 pep:novel supercontig:GCA_000165425.1:CT868671:18437:21787:-1 gene:GSPATT00003839001 transcript:CAK92970 MLVRSSLSPRRKCFTPSMWEGRQQQTIRRSQNRYLKTEDVDHRNVQSRNDDSKRKSGSIV NNKKNKSMTNHKASLNSSFNTKNLKTIQLSTRKSSLQNKLHPNSTTSEHHVHQYFSQSPA KRILNCEKLIKAQKFSEALKDLEEIDQPQINPEYIKEIAYFKGLIHMNCRQYDQAVNQFS RARQSGDLNGNTVILQALCLKKIGNYSDAIVVLKEFLSKKYSKLQPTYYDALIHKGKLLM KIKKYQLALQDFNQASVLENDQISFQGTLGRADCLRLSGKIAEALNVYETIPENEIILMR KIYCYIELQSLDRAMEAINLILMNDPNSSEALFMKGQIFINKGQLNEAILSFEQSIKQNN SRKAVTKSLQEIAKIKIDQKDFYSAYYTLQREDHLEVDKESIMKLRQFTEGVIFLMKRKY QEGVNVFTQLINNYQLGEFIKKIIFLYRAYGFICLNKFQKALNDLIYVQNFQELDQPSLY NKIICEGIVMSQSSQFEKAQSQFQKASKLFPGKMEPHFYKSLTLIQFINKHMPKDKDKYI KNALKHLDKAVTLNDQNSNLLYHRGILRFYFGQIDLALSDLTKAVEKNEDRVAKYVYARG LVRACLDNPQQALNDFTIVINWDSKFAEAYLNRAKVFTLLGDRTAAFNDLQTYISLKPKD PDIHLWAGNLLFLIGAYEHAIKTYSHSPDIHNNVQLLRYRALCYIIQKELNYAMSDLNRI IELTGDKKSYIDKECLLALKTSTVGPDESQSMMILKLSQGSNKSVNYRLASQMLKKLIVL GSDGHVFLTSDLIFYRGIMKFYLGNYKKAMELWTKSYGLKQQIKEFSQQSNNSLISQQEQ QERLISELDELEFEDRTYNMYEYYYNVAIATILNKQKRKGKELLNQLADQLQESFETTIR DFLAALDSNQHKSATIFPYSNRLCCIFPTFKIGNLETRLSFCLPRITPPSMNPEFDNKLI EGVQSTDVDNKPEAPWIRRNPDGVIFTENVQQVELDLRSESQKSQIDHNQEEENDDENSE QQLINLISRHQISINDDKITIEQRHQDDSTTYQTNYKKDIELLKQQLRLDRIVEQRLAKL K >CAK92971 pep:novel supercontig:GCA_000165425.1:CT868671:22872:23801:1 gene:GSPATT00003840001 transcript:CAK92971 MQKTLLVAGLALLLSTIGYIQNQQSVDEVSMVYEGFKQKFQKTYTSAEEAYRRGIFEQNY AKILAHNADPTQTYQTGVNQFTDMTQDEFVATYLTYTPPEGWQPSDEEVVQEGVAPNDSV DWRSSVRVKNQGSCGSCWAFSAVGAVEAFFKIKKGADHNLSEQQLVDCDKASNGCDGGYP DKAIKYIAANGSQTQAAYQYTGVKGTCKSATGSVKNSGVSTIAKSGLQAAIKDYPISVCV DASNWSNYKSGVFNNCNKNLNHAVMAVGYDASGNWIIKNSWATSWGEKGFMTLQAGDTCG VTQVAVKAI >CAK92972 pep:novel supercontig:GCA_000165425.1:CT868671:24059:25543:-1 gene:GSPATT00003841001 transcript:CAK92972 MTVIILNNTYTERKFRYSYFLSKKTRQTLLCIQESPKGYIIQINNLNLLERHSNCQFVKL FYDLKEQVQELLVIGHFIKKFRVGVKNSQLCNFKSGPVEYDVWIIQIYVPKTSRYLLGTI NFVDLASLETQEMFSILFEQTKMQRKSVYLRKFTTNKLIMNKQQTFINLHKFFEFTRELN NSLKRRLINKQLFQNKSKTNNKCDKMRVELILSLKDPFNIRIESEAQNKNYLEKTLIEQL EQDTNANVNSFKQIGKNYIQKKKNESMENQELLNHFKNLSSNYQTLIKDKQVITIKSQFQ DSSQICLDFAFKANLKEFIHKMQLKIDKIGLYTIQFFLDYMSLDIGKDNPFVAFESINYA NSSMFNLSIRVLGYQSESPIFYCQKQINLREILNLFIADGYFKYQTNYMNAKLSLSDLKQ ICEYIGFKIKSQNFLGLAINKNILTTIPYFRRNKISVRKY >CAK92973 pep:novel supercontig:GCA_000165425.1:CT868671:25622:27259:-1 gene:GSPATT00003842001 transcript:CAK92973 MKDEIKGKGFGFFKAICLAKRLALINYNTEDITYLQDKYGTLQYVKQQRLYYPTLKQKIL FQNKIQIWKIKYKDLTADKNFIVLYVSQEGNSYNFFSFDLPVVSKGREKNGAKIWPTVVE ALRELDEFKYNSQIFNFEQYKKDKYSFISGLTQRAKVKINAEDINICSYVQSYLCQFHQP QISKIKKICGYAYEILPNINQTTFNYINMNLINKIISIEKMHFHNKQSNIIDNITDKELQ DYLYSIVQVYLYFQIIYDRWRTISESQRNFLKDIFNCYQIIQNKCFQKFVLLTLEIGISK DLKYHLNDILSPERKKGNSLNIPQVFGQRIQRQYFELKVSSYLFNKEKVVDNIKSNLLTE PYSLQISLYHQLLEYLEKDPQQLQNIRFESLSLFLFTYFELNLIQNKIILKNDNQLKTKE NVFKINVKGRKKHLIICHLTLKTMILSKHKDMTNHFNSNHYRFFINRNQQFIAIHLYITV LIKNQIILFLIRKEMNEYIILMVKCCMQIYNQNCYHLKLLHLK >CAK92974 pep:novel supercontig:GCA_000165425.1:CT868671:27287:28703:-1 gene:GSPATT00003843001 transcript:CAK92974 MNIKHEYYTICVCQIVKKILYICLQKLIDSVQACANMPKEDFFQFLYFEIKLFYPISRGI APSLAENLFNEIQIILQISQQFCKKLQQQIDQQLAKKYDQVLEMLDKYYDKFGKALFKRK FLDSIQSLFLSSEENLKQSSTFKRDTHYESIPSLQNAQQIQQIQLDLTKQSERAANQHFT AGKLPQADPLMWQEIDNYKIKSFQQRKPLHQKPQLGRQIYKNIITSVPLTKRQSRQEEFS PLRKQEIIQELLDSKLTSKEPTKFLTQALMTPKPKISIMSLVVLFNLRYFHLESPRGQKL PKLITKHFLKILTYVDDPQYSIQSDRQLQMKDLKQKEDLNQQNKTHLLLNQDQANFSEKV RINIDKIQSLIRMGVLRKKWQQEKQQTILGPVQSKPQSITTFLNFNRFITAPDVIGNHTE KQANAQSQPTP >CAK92975 pep:novel supercontig:GCA_000165425.1:CT868671:28847:29077:-1 gene:GSPATT00003844001 transcript:CAK92975 MVLRIEYVQPEQLQRVLIARMLLDLNEITNTLMNGKSIDKMKKYKLFYQNIKFRIQLWNC GQNGLSQKINSLNKNL >CAK92976 pep:novel supercontig:GCA_000165425.1:CT868671:29708:32150:1 gene:GSPATT00003845001 transcript:CAK92976 MSEQPQQEGTQNDYIQSLREEENFLMIKYNEKRENEKMQMEEAAKKFVQVDPITQKKFDR PTRAGGVYVPPHKLREMENEIKMSNKNSVEYQRLMWELLRKSINGIINKVNITNIQNIIV ELFNENILRGRGLLARAIIKAQMASPNFTMVYAALISVINTKLPEIVNLIIRRVIVQFQR AYKRNNKIVCMAITKMIAHLINQKVLSDLVGLELLYILLESPTEDSVELACDFTIECGQV MSDIAPQNVSTIFERFKGILHEGTISRKVQYRIEQLFATRKTKFVDHPGVIPELDLVEED DQITHQIDIVDELDAEDNLNLFQYDSFFEKTENEWEEIKKEILGEENIIMLKTKQQVDFM PEIEEEQEQAKDFTERDLLSLKRVIYLTIQSSVDYEECLHKIIKMQTGIGHEDEVCNMII DCCMQERTYLRFFGLLGQRLCEIAEIFRDNFMKCFVEKYATMHRYETAKIRNISKFFAHL FFTNAIDWRILKCISLTQESTTSSGRIMIKCLFLELAENMSLPVLKSKLMDPELKDYLAG LFPVDHPKNTRFSINFFTSIGQGLLTEELRQIYEQQNKIEFQHAQEALKQFGTSAEEDSE SDESDSSEDSSSDDSSDSDSSKDKQKKNQQDKKRKEDEAPVKEKPKEKERGKQKEKEKQK EMDREREKDKEREKEREREKEKEREKKREKEKEREREKEREREKEREREKEREREKEREK QKEREREKQKEKKLEKEREREREREKEKKQKEKRDQKKKYKSPSPSQSDSLSDSRSRSRS RSASVSSSQSSSSSSESKPQHKKHK >CAK92977 pep:novel supercontig:GCA_000165425.1:CT868671:32275:32982:-1 gene:GSPATT00003846001 transcript:CAK92977 MRKKPSSPYAELIEANKKILQEDGKYLDYSNLPKPYSQSFQQLTQFRIPQYFELLHRKNQ KKTEIHQEFNQPNVNQNNNSSKSTLTQQFSISKKITIENNKKKALFDEQVLNCIIGEISS GSFNRANYTLNKILSSLPMSQLHQIHEAKQENIQTLIQQRSNKQTRMVTPKYNLRTDSQM AKFFGSSNIFPTLSTFRLKTSQ >CAK92978 pep:novel supercontig:GCA_000165425.1:CT868671:33805:33972:1 gene:GSPATT00003847001 transcript:CAK92978 MQVALTLANDQFNNVLYKLSKLSKSLEQSLHEARQSEIEAYLNYKRFKAEIKMKK >CAK92979 pep:novel supercontig:GCA_000165425.1:CT868671:34438:36354:1 gene:GSPATT00003848001 transcript:CAK92979 MEQQNDVVTLDQQQGLNEQVDETSTQIEPTEQTITENPEKTETIPMIDPIIDQNSDQPSN QDNKTEESNDPSNANPLYTYSILAKEFILFSLPYLYFIFAALYRIYQLQKLQDVTLQRQS IRSQLSDEDVPKTNPPSLNIKIIISYWLCFVCTLSMTLGFFGLIMTISPKLYFIWLIPIV AHIISVILLKLEFKVQEQQATWTHRIFWPLQTAFLVVRTSQEYQTLLSSGLIILQLFPSL ILLIYALYRPNDRTRIPDDTPKFAKQLMKSLEELRIFQSGIKSNRESMLNRTKTKKENTT ITKQLSSAFSDLIQSKNQNEQEQFLREKMPERSVSVSKKIIKRFVNDNEILYSYLIITII DGHTFQSEKRYPEFLNIERVQIDNFNKYNASSFKIQRIDLQLGEDEFSYVLRRREYLERW LQEQLANPTYVTKNLLDFLGVDEEMQQPFLIYQQLISKTRSQMRPKSYQKAQEYELQALR VNSEENLVALDYSELQFSSNCVQYEYGMYGSNSIEFVINIKYKEKSKEHVYRILKTLSCI RQFSEQLELQSGRALPPNLKITKQILNLTTNEKIIFVDEFFKELLGNHEYFSSEFFDFIG FDINNRCPKEQS >CAK92980 pep:novel supercontig:GCA_000165425.1:CT868671:36445:37235:1 gene:GSPATT00003849001 transcript:CAK92980 MIKLYIDWISQPSRAVKAVLDILKVPHEVKALKIQFDEHKSPEFTAIHPLQQLPVLQDGD FTVAESHNIMKYIIKQRNISTNLYPLSDIRQQTRIDQYLDYHHTNTRKCLHLYHSVLISP LKGEKVIPEVLEKEKQDVAKVFSYFENNWLKGRNYICGDQVTLADISACSEMLQLDMIKF DFQKYPITNAWLNRVIRIPEVYQAHNVAFKVIKKQNPSSQFLK >CAK92981 pep:novel supercontig:GCA_000165425.1:CT868671:37266:37946:-1 gene:GSPATT00003850001 transcript:CAK92981 MSELDPITIKLVVVGDGNVGKTCILLSYTTDKFPSDYVPTVFENYTTQTAVDGKMINLSL WDTAGQETYNRLRTLSYNSADVFLVVFSVIEESSFENAISKWYPELEVPELKSVPKIFVG NKIDMRNQANPNHVQFEAAKSKVDKLPSQYLECSALTQDGLKEIFDLAIKKAIKGKVLKS QNQTLQNVTSTIQKDSKPSNEEKCCCSIF >CAK92982 pep:novel supercontig:GCA_000165425.1:CT868671:37977:39265:-1 gene:GSPATT00003851001 transcript:CAK92982 MYKLAVCTLLILSVTAIDVTNSVWTSHDQKAFAQIKQSGWGNFILNFGELHLSTGGILSE LNTEIAKLIDELDEELAEVHHQYARRTDIHNREVSRLEQEIQDKEREVFHAHDFYDNVLI PQRDRFAAQLEQLQENIAQNRKTLNESTVQRAKDHAEFEAQVAEHNEAIGAIDESLQLLS QLESPSLVQIQKVQKNLTKIQQSLKRHSTFQTFIKTLLEIAVEANFADQGALREILTAFN NLRVQLVDSLNQLTADEAEAQKDFEARVIQLNQEHAEFQRAVIVKTAEIEANANKIEQTL DLIDTLHADLDTLNGQLQAENDDYAFATDVYNATVAEYNKELNAAHQALDLLNQPRFTDY VKSQLKGAF >CAK92983 pep:novel supercontig:GCA_000165425.1:CT868671:39416:40404:-1 gene:GSPATT00003852001 transcript:CAK92983 MNQQISQEYFIHKFASISLSETDDDYSKQSSPALDSRLLEFVSALPQHIKQKLENFKPHS DYQVSKQSDESVYFGTLESGIKHGNGIQVWPQVGNLLFGTWQNDLLQGFCTMHYRNGDIF EAQFQNGRTNGFGIFISEKKIVKGIWINNQLEGEAQEIKNDGTRFYGQFHNGKKNGRGIQ VFPDGCKYEGSFENNQFQGDGTFHWSDGSYYQGQFQKGLIMGFGNYVNNNGLLLIGQFSE VKKTQSKEVGLNKNLQSIMYINQYNQSLLIEKIHLL >CAK92984 pep:novel supercontig:GCA_000165425.1:CT868671:40435:41052:1 gene:GSPATT00003853001 transcript:CAK92984 MEKLKPTLKLIQLNKNTKEMSLQRVFKKLIYWDVYLSRFLNDNESLILTVMLYLPSLIFS PKTLIAPIFFIAYQYGYTYSIRYVISIVLSLILTTFFKNHFKRPRPKPRPQLSIAFGYKE GNYSLPSGGCAQAATFLFYFLNANGSGIFCYVSILVDIFDILIAIHIIFNVMLGRVYFCC HYFSDCHLGFVIGTTSAFLINFLLQ >CAK92985 pep:novel supercontig:GCA_000165425.1:CT868671:41099:44654:1 gene:GSPATT00003854001 transcript:CAK92985 MNIVEYRKHRNENQIRTCCCKRRAPWELQPDRKILIGTADKNIPNNRVETSKYNMLTFLP KNSLEQFRKASNLYFLLLGIFQILPPVTTTDGQPTVYLPLSFIIMVSMIKDFFEDFKRHR ADDEENNRTVQKYSLRTGVFEYDKWQNVYVGDIIRIANKQRIPADIIILATSKGGECFVE TKNLDGETNLKPKYAHPQLQTLYKQLNEKEFVTMDFERQNPLMYKFKGSFNIVNENKELP KEALNYENFLERGCSLQNTDWILAVTVYTGHDTKIMMNSIIGKMKYSTVEKLMSKQILWV FLFLLFECIFASTYYNVWYQRNMNELQYYLNIDKNAPENNTFYNFVLRFAMWFLLLGNSV PISLLVTLETVKFFQAQMIQWDKNYLTLDRPAAVHSSNLSEELGVIEYIFSDKTGTLTQN VMKFKSIIIDEVVYGDIEEQNQNQQDIIDEKPFKEKHRIVSQNVDFTDDTLYSELISEFM NKKQAKNFTNNDHVFLSLLCLSLCHTIQTELVENIESEIQYNASSPDELALVSFAAEMGF KYIGKEENVMKVYIKHSKETLKFQIQQVIEFNSTRKRMSIVLKDQNGRLTLFCKGADNVI LSMLQDFEENDTIQKNILFEIKKQLQEYATIGLRTLVLGYKELEQKEYEKFLSEYNRAQS ILDDDQLRESLMNQLEEMIENNLQLLCATAIEDKLQDKVGEVIADLKSAGINVWVLTGDK IETAINIGFSCKLLTDQVKRFIIDGDQEGQVERQLAKVTNSINEHPEEPLSLIVSGVALV IIIHNFKEAFFKVALKANAVMACRVSPKQKQEIVNLVRRLTGKITLAIGDGANDVAMITQ AHVGIGIRGLEGQQAAKASDYAIGEFKHLRRLLFYSGHESYRKNSNLILFNFYKNQLYIG AFFFFGFSNGFSGQNLYDQWLSQIFNVFFTSLPIILYALFDEKYPNSNYMQLVQDKANFL ESRPDIYREYLTKPIFNLVSFWQQFFWGLLQAILLMVISFYAFEPISPHIHGQNSTYLEA GMTIMSAVVIIVNVKVLLLHNTNYPIIVYINIGSTTCFILFFFLFSQYPFFESFDLFQRL YECFNYYMAIILMLTVTNFFDLGRQRYNYFVDQLREHQQNNPPTQLEIEM >CAK92986 pep:novel supercontig:GCA_000165425.1:CT868671:44680:45772:1 gene:GSPATT00003855001 transcript:CAK92986 MNDHESSDSSSDEFYEKIKAQLNIMDDEERSSRIVSRNEVLPKVAFVPPESKEQREFNFN YKNSDRIQLIGKIESLTKENIIIYSNLLEFVINLDQLIVNGQQEILGKVDDVFGKVERPH YSILLDGYVNNLVQTNQLKIGDDVFINIDSTSVLNPDAINKKGCDASNQFDEEVLNDCDV EYSDDEIEAVSKKRGNKEEGEVKKNHKKNKEKHPNQQKHDKQLPFPQQKFKAQGNNPQTQ QQQQQMMQMQHSMQQQQYYQQMFLQQQMQLQMQQQQQYQQLISNQQAFPQQQMQQPQYMQ QIYQQPQQLQPNPQLNLPYNTQQINQQLNQNLNSLFQNIKPQDQ >CAK92987 pep:novel supercontig:GCA_000165425.1:CT868671:45883:46695:-1 gene:GSPATT00003856001 transcript:CAK92987 MLILSNSAHTPFPFLKQVFLICCEELIQCRNSTTQMQIRILPHLIQKYPQANQFYWEALN SANSEDRKPWKCLLHFLKQPNSEVFCCDQLLLAIHYLVQNAIEKMYYEQIVTSELMFVLQ SNLYRHEKTMVRSTKLLFMIFEENDTYFKFINDDLVKLLKNTFIDGQIEILKLLEIVLRK RQHFLQLMDQYHLLDMLFEILRQDISTTMNYAALNCIALSLFQCTEIDKYQKYIQMQSST FKDILDRFVYDTNKSVQQITEYLLRAYFEK >CAK92988 pep:novel supercontig:GCA_000165425.1:CT868671:46843:47176:-1 gene:GSPATT00003857001 transcript:CAK92988 MSDIIVKRREQFRTEIPRRDLKSAIQFMDLNECNNLIDFELKYKDQIENQIQLILQNPDN QNLEKLIQLVQQLSAKYSVYIIDHDIYKTLKHNDFRPQFVF >CAK92989 pep:novel supercontig:GCA_000165425.1:CT868671:47466:49324:1 gene:GSPATT00003858001 transcript:CAK92989 MQYEIKIMGYENTTDNVLYRIRIIDLFTLDQREIRVRYSLLLDLHNAMQEYNINYQLPQF PKKEYLKTLFGENKVIKQREQKIGEYFQQLLKCPPPNHKLLLDFLREGVDTIRQQELLKE VNSKAEILKYLKDEKLLKRGQFGKTTLYSINGKKIILHKFYVIQQQSDQLFSFYMKAHLN ILEHSLFTQVHSVFYIRPKANFVDSMLGQVKQPKVSKKPNIFTNLYPQYIRGEAVKIFSF EEYEGQNLNEVIKDRKTKNKPFTLDELLNIIQKILQAIIQLHKRNIFPNRILPTSIIINN ESVKLASIQEPNAKYKEKQLLEGHANNSEQSYDIVYYPPEKLSQQFSQQINGKLIDSWHF GVCILMAALLYTNKELEGIHSSYQVDKFANQVQLLYGDVIAEIIMLSLKQQSHQRADIRE LYFLANQTAIIKFQSNVLNQQEKSQITYLTINNITQEQLDNLEQLIQKSPILSVKINLYK QQIDQQELDKLLQILGNFAEVKYISMILNKQEQNQMIRTQNLNFGNLCVGLQKLRQLKRI SLDLKGINLEKEEIDQALKTFKQMDSIERFILDCSSMEFINSLKSELKLESNLVLYFESQ LI >CAK92990 pep:novel supercontig:GCA_000165425.1:CT868671:49331:56970:-1 gene:GSPATT00003859001 transcript:CAK92990 MINQFLPNDENSFWVDIQYGKYQRYIPILHQEQHKTDQSVFKFNDVWEISFVLSCAKTLT NYLDDLQKLKWENDAQDKEVLKDHLLKIQTTKQCIEDINDFCNNRLYNSTPEQKYGTINP FRQKLLKEQYYIDLLVKILVQQLKPEDLVIWSRRFMIIQMQDIQQQQEKMSNTEQTNKKQ GLKDHEILAYIAFKITLNQTIYTLLTSICKQNPENELYTFDLIGHFLEHCRYIPEAIKCM ISIIGNNPELLQQLSLNMKLDYIPKEQDFFEPEEEKMQLHHNKIQNNFLIFFLNLLETDE QAHKADFLTFLREMCIYNSQGINSNQEAIYKLLKKHNHKKIQLIKDREDPDKISLKDGKL VIPFKLQNDPKEMYFINEQLQFYADVSYGRNYLWKQELEQYFSKDFLFQNIWQEDGNRTY AVLQAALCKIAMSLYIDHDPLNKVQLPKYCNLFKSIDKANEAQHMGLYTSLIEDLFKYLQ DNKQQIVLALDTKDSGDNNKDYDMLEANDEKSLLINELLYNSCQMMLLVLELDVFLLLDK EPNQYYKQIIDIVIHYFFYDFTQLPLMKSVYKVQKSEITQRKERKEVNPLGIGLNLGANL ISMANPMNIGLNLNLFGSDEEQKSESDDDEQIDEGIVDDVQMYTNPLMRGFIKLENELQS TLLTREETGSSQLEIKIKLTLCDVMDRFLDMRQNYLMLNCLSFFKKQIIEKMQEGIQQLK FSKDDASQEQEETKILIFVQRKTESNLLGLMPNIAKTGLKEVDEKEEKQDAFGIGALNFL NKLAETKPESEEFKVFTQNEMLIYDLDQYFSLNLPQEDISKINNPIGVVLPYLFTNFSQI HEEELEKRCLAIIMRLFNQREELCNNLLKLQLVSDPIKSRLYEFLSENLQRSEVWLAEYI KSQKQDDLEETLEIIDNFRQGFFKDTQISSKIISGTQEIDAEKQNLMNALKIHLPILNLI RDQMSALDFHLENIKNIQRKQRLAQLFIYAFQFLTYFCRNNHENQIVLSQYISYFENMHL EVGQIPLICEIYKDNQKLLTSINRNDRVFHKFVELIYQSGRKAQFLDFFLTIIKQGNKYI FDNQLLVLNTFLEKEELLFTEKNEFVFEGDIQLHQSDFLTDLASNPPMNQPFLYHAKLLD LLLQCTQIQVLEGDQKDNFTINVAKLKKKFSAQYMIQLLCKPDSLCSRNPSLQEQGFGLI KKQLWIFFMNVHITSEKSQNFLKEIKNDLLNMIDFEIKRLEHIQFDHQQLRYFDFLIEAV IPLFTTYLIRKNISVHQDEDEDGHDQEQKDIAAFQAFAKTLSSKILHLGKYVNRKKHVSV LIAFYSYFPLTIMGKVCQSVSGQSKLMEDLGEFNGFRDVLSNIQAGANDNYQSDLNEQVI HLQSGIQQSHGDHHTPKTIFQSNNVKSKIGIMSALSSNKKQKEEPLIEFESAEIEKISGS WEEFVNQLCLSDKSKNYVQDELQKLSEAIVKFEQYFGNPSDKNDKNEKILKVEYVILLKK FINFLSYAINSSQNNKTIITLLGVLRKVIEGKPKEEQKEKVEVEGENNEMEDVQNLFNKL GATRMVLTVLSESIILDGEMLRNFLLFINTLLSGGNNKVQKTIFEFMKTYPKSEVIFSRL NNVIQAQIKQITNKEKDKKTEEQQLGNLPSQQQEDNVQELKQQQDLELLLTQVLKFLQNC CEGHYLDLQNYIRQQTNSRNSFNMINQVAELLLTYYYKDRAQYENMVLCLDTLNELVQGP CPENQIAVADSKFFEIASDLFGQKKEKDSTGKTQIMISNIKTSKTQNNKMMTSKTGRYQK QQKGSDLKIWQIERMQTKCLILILSLIEMREISDSNPIIKRIMRHLTPPLLEKHMVSCFH KYEKQCKEGYQIEVLDRIKEDPESAKQEDKVKEEQYYELILQKGFYIYFLMSYYMESEKN VENSFVQMYRANTRKKLKQDKGTLEDLLFGDNIIGQLVSFVMSFANSWIDLMNQIKKEAQ KQMATQAQTSEQQEKQDRERRAEKEKMKRRAFDFFYKNSASIEVVRNNEIEIVYFLLLPY THNLPKEQKVEFHENVDRSSTKSKVQFLVQESERLTEICEHEEQLRRIFQRQKFLALFAN YVKLWKDLAFLFTLLLNLFIIGSFAQNESGNRITDFRLFRDEQYSPQQTRNIFLICGTIM ACCSIFVVSFFLFKNAPLIIKKAWKTKLPFEDKFTYWPIQLIYKIFKLLAVLFYILKEIE VVYYLAYGALAVIGTVLHPFFFSFHLTEILIRYPTLKNVIRSVWEPKQQLGLTLVLFVIL VYVYGLIAYTFFFEDYKGKCQSTLFCFLFTFDWTFKANGGVGGYLSDLEDEDVVEKYRVS RFVFDNTSNIFLVIIMVNIVAGIIIDTFGSLREEESDKVRDIEDKCFICGNLKTTFDRLT DTASMGGGFDHHIKVNHYMWNYVFFMAYLKYKDPTDYTGIEQFVWEKIQKKDLTWFPFNK ARELQNIKQDEEEESKKIERISKDIVNIVDTMRQASQMLNSIKNRKKLRNAAKQPADML >CAK92991 pep:novel supercontig:GCA_000165425.1:CT868671:56995:58330:-1 gene:GSPATT00003860001 transcript:CAK92991 MNQEDLNYLTYGSVISISHVQDDHSFITADGFVKRAVCLQNFHHIDVVDVKQLGKMKSRP YYHTLFQIFPKFTNTTKQEILKELIGEEQEEEVQPAVQNILESISKHQKVDEKTILSKEQ VQMFSQKLLQEFKYNLDTFEKSKAHKVSYKSHIQLLHLASSKFLACHQKEARVESSNYKI TLDELPSDSSLFKILPAYKYQKEGEQVIYASDIVYIVRATSFMNKLTFLHASQEMGNYGK SRKQKKSDEDLLQYKMEKDIIKREVNAALDEENQTQWRISVYSDYVPETSGYLKCGDVIW LHHSETNTTIAATRKGKPVDQKNFQSFNLVDWLKVENVELNVLSGSTKESYDEYTGRYTF RCGLLNQKYIRKEALQYLIRNTDSNILHQDCIYLGFKTNLHQINREFQQPYFILVNQRIL RLEKSQS >CAK92992 pep:novel supercontig:GCA_000165425.1:CT868671:59157:60335:1 gene:GSPATT00003861001 transcript:CAK92992 MRAIIAICLLSAVFAIDTNKFAVLLQAGTRGNDAVESVYNLLRDLKTENVQVQAAADKKN NTDEEIFSQVISDLTNVASLNKQQWEALGAVRGDVEAQVRDGYQWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHADAIGVVTLLTQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDALQKFQSLAEVKREGTTGEQVLSILQDLQGELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTNLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYAAETVRRQEENAIIDVVIQLFKDQVRSLASQTSLKRH >CAK92993 pep:novel supercontig:GCA_000165425.1:CT868671:60418:61740:-1 gene:GSPATT00003862001 transcript:CAK92993 MQKKCVNIIADPLIPYNLLKKSTQKDNSFYITLNPTLEQVTLDTLISLTLEKFALNCKKF KTKLMEKKIQFPSAFKLDIKYDSVTKMKIDHPIIASLNQRIIFNPQFTNQKNLFEHLNYS GNVDFFDNEFLDEEFQAELLLTLLFWEILQKLKLRSDSDNYDIYIYPNRCNFFIVQNQIS PFFSSNQTKSMNLLINTQTIQKIDNGLEINKEYELHLFDVHPPDEVINKGIIIQLRNMNE NDTQINQYQNSKFEYRNLDQVTITPTSYYFIFSTLCQFEKKDELMHIITFDPSQPPKCQK CNINIHPDDFDTFYTKKGLYDVWEKGQLYLQQLAQFICPTFLLGNQNFKIMEDEKSQDLY VHFYQNHQKGKSQILNQEQNQDGFKQNPKIQDITEFVLLYKKLQVCSPFNPSSIKETDNQ LLYSFNECLESDEEANQTEG >CAK92994 pep:novel supercontig:GCA_000165425.1:CT868671:62517:62746:-1 gene:GSPATT00003863001 transcript:CAK92994 MNFNFLFCIPNSTNTWEHIYTIPEIDEAMRQEMIDNPFQTKSDSFYFVGEQLVMHNKAEY DYSPFD >CAK92995 pep:novel supercontig:GCA_000165425.1:CT868671:62782:63149:-1 gene:GSPATT00003864001 transcript:CAK92995 MQQVTTEYVRSLNGITQDFLCPVNANIYNIQFLKFRIRDMDSGQTLFEVERDQDEEPIGK IINQTQQENLPPEYQDEARRIKYHFGPQFFELKTVGAQLILLSRESASQEFHNY >CAK92996 pep:novel supercontig:GCA_000165425.1:CT868671:63149:65891:-1 gene:GSPATT00003865001 transcript:CAK92996 MFNIVYRPFYVDGYGVTYPMESTNCFYNMRLERRSYDLYITLCYYLPIWVAFLYNLIIIT LVVRRILKHITDFTNKTQVFALFLYPTILFICWVIVILLQLSCSLAQFNNLIHNLFYGNT YRSFSATYLVCWMLCVIALLRYLRRQGSMAANQNIRKIYLKVTLGPKLANNQPKQLIHKN CEYYLINNNKLLSVISFFKEQKYKQYNIIEMSSSQDLVKCSFNPDDMGQLLYKENYHKWI KVQELIAKDPILQNNVADYGQSRDKLFEIYCKKAYKLHKLLNYSDEMIPGMVNSQFPETV VTVLHQTMFIPTIKYLGTEKQIEKWVPPSQNYEIVGCYAQTELGHGSDVQSLETTAVYDK NTEEFILNSPTISSTKWWIGDLGLTATHAVTHAQLFINGKHYGVQTFIVQVRDTHTHLPL KGIEVGDVGPKYGYNTKDNGYLRMNNVRIPREQMLMRYSKVSKAGEFIKAQNEKIGYATM MQVRTSIIHNTYVSLAQGLAIGVKYSHFRRQFKDKNGIERPIIDYQTQQDKLIPLIADCY AQGFGCLRIREILTENLKRITEKNDFSLMGDLHALLCCCKAVYTWNTHFGLDKIRQSLGG HGFLQSSGVVSIQTEFAPSCTYEGENTVLLLQTGRYLLKACNKAQKHQPINENVEYLYNI QQTLSQKATFTRAEQLLCPEIIRKLMRLHAAFYVNKMMLKMMEKAGEHGPKEAWNKHVAS IAHTYYWTFKTFLDTLIKVQDENIRSVLSNLCCLYGLQRIIDWPIGYFEGGFLNGEQLEI ILNAKEHIFTLLKPDLLGLVESFKFNDNALRSDLVDAKPYEKLLQSAKLSSVNNEEYQNK LRSLTRQLREMPKL >CAK92997 pep:novel supercontig:GCA_000165425.1:CT868671:65922:68171:-1 gene:GSPATT00003866001 transcript:CAK92997 MKQQPNYQSFTSIFDREQSVTFWEPLQQPLHADRLLYKGKFFEFTGNVWVEDNYDLYPEV LCKGQYYMQLPGVQIRRLKPTETYGPHKYGLGFLKGPYRLLLYTESVEQLNQWHDYLKRL CLLPNFNKRHKLMGKIKNSLNFYDCYKCINGQQYQVKILEKSQITQTKQVIREIQILRRL NHPQIQKLLEVYEDSNTVYILFDKFLGHSLKTKLPEYWNLSEKKQAEVCFKLLNALAHIH SKNIIHKDIRPENIIQLNSQLNNLVIANFASADSKEKGKKRKVFNPGYMAPELFQNRNFD DKIDIFSLGVIFYGIFYCKYPFEGKDFRETASLNEKCEINFEYPKKLSSSAIELLQGMLK KDPTQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXSTYLLVM >CAK92998 pep:novel supercontig:GCA_000165425.1:CT868671:68580:69698:1 gene:GSPATT00003867001 transcript:CAK92998 MYYAPSRPVEIEKFPKGYIKPTGQNLEFLQRPPEDNRRIQDYYGKAEDLPVQQDRLQKVI IQGTSEQPEQSPQEKKQENGQKENPNQDQDQNAGSNVNPQRVVPQDKFQSAPYNTIFTDE NIYKPVGTPQNFAQSILQPQESRISHSNPRGDNRRYVHGFRGFSQDFQEPSLGYFPQDFR SIPSEELGLPLSGYRRMAPPGILSDYRRGIPEPILSKRNYGRELRGECSYRVIPVTDFRR GPPVDYHGLPPMLNERNVQPFDDRGYPYYDYDRQRFDPPFEQRTFYDPRAVPPPDYYVPE YRGFPPIGMSREFNERANYYRSLPYQDRLYPPSYGRINQQIVMEGRPDYNYDRFYPPYEE GGNGQNQRIKQV >CAK92999 pep:novel supercontig:GCA_000165425.1:CT868671:69970:71199:1 gene:GSPATT00003868001 transcript:CAK92999 MQKIALLALVLAVTAAKFIDTHTTLAQINADPFGHVVLSAIKAHLQASTPANEVNMLLNG VGAGLVQDQNDHDHAFELDTTTNNRIVEDLEKEILYHQNQIASNTQLRDDTIEALAVSEE DIRVTISDIANNEATYAREEATRNQQHETFVAKVAAIDDVIDAIDEASKLIQHLSLGASF AQLKSKYDVLHKKLSDNTSHTALLQPVITALTELATHGVNQKALTKIAQLLSEIRQQLVS EKAAKTDVEDRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHTL ELENSEERLAGQQAWYAVQSEIYETQTAERTAQQEIVDRLQEHISEKLSTTAQFIASRN >CAK93000 pep:novel supercontig:GCA_000165425.1:CT868671:71336:73003:1 gene:GSPATT00003869001 transcript:CAK93000 MGFCKGKSILLNDSQKIAKYLSLCQSTPVSYQGIKQSVGYQSILNAQFNFIQFNTSIFPS FASLITRDLKQLEEQEFNSFLQSINNYLQVSQFNKEYDQKVYERIVQLIQQHGNYVQNAV MYFHMKYYFSKEQNKELDKITLNHQSKLAVQIPQTIEEQIWKLFIQLIQLQNSQKVEDDK KLIESETKIMKEFAQYFEAIAVQTQQFSLASKLIILIINAKLKKYLQRCDEKTIQLMLLV YKLCQNDLDQNLSKLNFYELYNYMQTLQENQDVLIQISWDQVINNIFERNLNEITIIEII GLLEFLVPHKKIDQLSGKPLNSVIKILYQYRYLYQNLNLIDTKYYSKIYQILSVVETDYS EKFLQRLTQKINPKLCTVSDISNILTILTQMNKIDKEILEKFLISVKTKFKDIKAENSFG ILFAINSLQLQNPQIFQYINAIKMKDDQEIPLQLQILQYHQLHDPKNQKVQIIQKNLLKS LQTEYNELYLEMFIQILVNANQQTKKTIAIIPELKPYVAKYLQKLNDSNVLVQQEIMQFV ISQYNIK >CAK93001 pep:novel supercontig:GCA_000165425.1:CT868671:73005:73791:-1 gene:GSPATT00003870001 transcript:CAK93001 MQHRTEDYDLLYKLVLIGDSGVGKTNILNQFTRGEFLQDSKTTIGVEFASKNIMIDDKTV KAQIWDTAGQERYRAITSAYYRGAVGAMIIYDITKSLTFENVDKWMKELKENADPSLQIM IVGNKTDQKHIRQVATDCAIAYAQRNGVAFIETSAKDGTNVEDAFKNILQQIYKSAKVVK PTPQPTKFVVADTDVVKPEKSSGCC >CAK93002 pep:novel supercontig:GCA_000165425.1:CT868671:73988:78526:-1 gene:GSPATT00003871001 transcript:CAK93002 MFAFYYPKATLFRFWYHHHFKIALPILIQNQQLDVASLFNVLLIILLTIILLCYTERIGE IQIYKAIKIFNYTFILIAFSIHHLSQFVFLICILVYDGIIILQVFGLSIVTNQEFIKFQT LTLISMTRFIVLLMLFFIQVTDLLLVVPLLLFLSIVVLNKYIHHKIQFVLQEQPFKLSSM ILLQFTYQLGLYIGLLYISQILVTHSKDCNRPECFCNKEISINKEETWKSPKTMLRQSLN EILCEIVRLYKFSKMEDSEEYLLLLSRIEIEYRNDKLFETLIRSSKLSKTTFFGYTIFPY QFRIQGHRDMFYHKLQSKIEGVRLNSYIYYTYCNLVEQVGQMIVKLLNQRQQILTMFTIQ YQNPLYYLYHNRLLQYISLYEKCESQLQHILKLNPQSCVTQNLVQALYSIVTFQEEKLKQ IEVNHNLMTIQIDSLWSTLNDRQCCYVQCKYDKGLIMINHSVLFTSIMEENGIKSDFVGQ YIDKLISSPFKEVHHIFLQRLIHEGKPRLLNNGIQQFFINTNKGYLRQIDSLVRLGQSME YLTFMTIMGYKQEETYGKILLSSLGTIYSYSELAAQQLHLDKILKSHNKVHINLKLCIPK CSSMNEVNDGFILIPRVNLVKQDQVQKSISTSKLDQVAFYKYFLKCPKKCYVFFSIYKFS TTIYDDGNLQFETLFIYQSTEIKDLELKMIALELMLKQVMLNNQISQENYFTSYTSFKSI IPDIKQNVQAPHSHKSNSQEIDDGDFIISNESIHIQQEPQTVQLYQFMGLEQNEVLIEQK NNQVNHSSMIANSFQTQDYLLSSRRLNDLSARDSKRESQLLIHQNLANIQTISHQEKVVE GNEDEIEIDSPEEMKVQVQMEISIKDLSESCLKEQTDWIRSMLRLKKGQIEKNYKQKLTT YKKASLNKLNLEQQSSSIRSTDSQKGLINHVVNGMIQSNPHQFYGILVIAIFYIVLLLIL IVLSITELQNQLHHIYLDSSAGQFSITLLQDINLVEIAIHLLAINSTFGAPQFYIENSTD DYINYVQTVNNRQYINPEFLQNLEQTPIKINHTFSNFGVYEYITFNTRRYLVDSLPLLFH RITEVVAFEQSNFNIMHMEESYFTLNYPIYFNLASNLINSTDHEMTDTKNAALDIALIYV ITGLLSCLLIVILIGFAEISIAKWRVSIVRTYFLFQNLQQSLVQQERETHNLLIIDELQH MKYNVIKLEKQAQQVLKNEQRIFDTKLKQSFWAKQLISIILLGALELLYFVPYYQIFSNS VDQLIAFHQDLYKLGLTQLSFSNRLVYFIQQYANPQNNIDSTTFNEFDAWFQTYQDQFLF ELPFDETHDSSSLTYMVNNNICEMDEIAPMNKLGHKCPYSIQEGYSKFVVSTENQLRIMQ SEYSDFSLGIQQIMQDTDFLDIIIAYGFVFPQFNKNRDYLFKTQKQNNEQSNTQAILMAV FVLIALLILFSVIIFIISKQFLAVIKTVKQTLLIFSKEQIARNKHLVQILSKEVTFD >CAK93003 pep:novel supercontig:GCA_000165425.1:CT868671:78750:81408:1 gene:GSPATT00003872001 transcript:CAK93003 MQKLSQINKQEKIHAGWLINSQHEYQSLLNASFRKQLQEDSQIQQESLIENLTTDLCLNA EECKQELKMYKTSKVMGSSLERQVRFDKHVLFQMKDHKPVKQFPIYKSIDVENKANHSNN WQEKKGDTARLSIEFEKDHSRVFIYMKDENQALKWQRHLIIQKLLQLKSKQLAKCFGYCY QEYEDKFTFIWALKFAQQLEQYAIETYRQKKRNAQVDANYLIQQERKLIGFHIKTWLKKS QKQLKDEQDYLDQQKQLTLQQQKQQSQQDLEQIEQERLRREKARIQMLGNLIQNHVQPKN SVIQKFLVGWQQRSNFIYHTNPKKFSIRIIRMYIQNNIQLIRPLLELRFVSERSEETKDI ECIKILDGLQYNIQQSPYFTCRLLAQMNQQRRRDYTTWGFKDGLLGLQGRTFMSVENLGF QDYIDFQVIDTIRTNISSKVLRIQFQDLIKYLYEGRSFWIALEYEQSKIFVEMDLLQHSN IDPIFLDRLKYIQLNAVLRKVGIKMIEQRTPLPIIETVKFGLEIDRYSDDIQNLYQRLYI ENICLQPQCQPASWFTQLNCFEKVVRPVTEILKRNYLEFTDASDNVQIYFQIKSIPNLRL PLLKDVDDFITKLPVVQENQHKQCQGVLTSFLIYCNVRTIQLNVSFLTILFQIANKFICI AHPHFLYDLSKPVFGNKYDSESQAFWLFTGFVELMRSVHFPLVKEDTLFCPQEVVMQYME VLHKEIVKHCKNYDIAVENVFFEILSSFYTSLVQSMPLCNIWNLIIKKISANKCAYQLVL IVFIEELSYKLLFCQNSEDFKNAISLQAQLMDIQQLEAAYSGCESNLNRIINAEQSFHES VEHSNIHQPLVQDC >CAK93004 pep:novel supercontig:GCA_000165425.1:CT868671:81799:85328:-1 gene:GSPATT00003873001 transcript:CAK93004 MQIYNNLKQQFQETKRGFSQSPEQGLYLTQNKPPLVESRSMWNKNVYLVPKWEKRDIKHV ESNNHTPFPIRNYINYVQQHSEGFSNSLNLDFLKHLIRLFTVNQWWVDQTKKFKRTIPIN ILQMPSKNQVEQSNLQLLIQKSFDDLNFYNKILSSTKSPPIPLPQNQLHEYKSSVTYQVL DLNASEKYKDYSLFQLQDLFIKRIMQLLSERGLPSLGYYDYLTFQQIVEDQKKGICDAET FMKVLEIKRLFNQIDLISQQNEHLTNQWESEYQKNQVENPKKFRRWNQENTLLSDEILDL ASYVGFDVNLKRARKNYELHKTEHQFNELIIIGDQISATAQKIILDTQSKLSKFEYEVVQ TADQIKKDPLDQNIKIAQNQQEQEIHQVQDKKIEYFNTESSKNLHNVQIERFHTQRQKPQ EDLISNNIQKQTKSFHFPQQLSTDRTQFSNQKQPNKQKISFNTPPQKKSILISENNLKTQ NPLKSQQQQYRKFHYSSNERKQYITRLDERNEHSVKQNRNLQSQKSQQSLRSYEEFIRPI ENISSTNLPAPQLHNFHTTSEINQNLSSHQNKQSQIITSYNSISLHQNRKNVHKTNDLRV MKEYIKKNKKYKTELQEKLLYSDKNIGEDDFDETKPNPNFNQVNESQDLSDDLDLLPSIT QRDVRPYDQQNQENEIYNSIPESTSNNTTARTLRNTSNYNPVLPKKQKQEHQDQPKHDKP EQLQTINEISRKISPVLIRQSSMKNDPRNNENQSHLKPSKEFQGEKVSNQIHSQIPEIVI HQEPKHDETNTNNYSQNSIDLPSQKTRNTNGINEKSKNFLKSSIHFSDKQQIQKDHQKAE TTNKSQENQQEYKRTKNKYVKQEVLDDPMEDESEEESEEESEDDEVEVEQVNNVTGKQMK RKKKAKVQSLSTSQLERIEDHKRYLKFLKEELKEMLDKKVNLKEIKEHINIILSEIGSLS LDQELDYYIQLYKSRAQELNQVNQESHEIRFQNQQPVVELRLKREIKGLKFAKDCDRITK LKPSDLEDMDETQNLIKIEEELNDQDLNTNQYATQYRYESRPSPLQTNIIQVSLDVTRSQ TPNQKRMYRTNPRFESDLTTYNQKKKAAIRNAKKQKQSKHIQHIAFKKEPLNDNFPYLHK YKPELLKSVLEARISLEQLI >CAK93005 pep:novel supercontig:GCA_000165425.1:CT868671:85356:86431:-1 gene:GSPATT00003874001 transcript:CAK93005 MRGVMSFDKRNSKTLIFRIGMNLEVQSYPSAFQAILLLSAGANYLLIKIFQRIQNLFLWK SEDPKNNPSKMLTVNCLLSMTILCKNQNYTKVKLFSCYYSIAGFFFSQFWKWDCETKIES LTLSPSFYIIIQICQAQTLNLTFCFCSNLWLKLWRMKLRIEQNNSLLSLTKILQLFLSTL IVIIPILTITSLILESYVNNIREYCLGALTMLSTVSFAFICIILYSKLKDQYSVGDHYRA NLKFLSVAVIICTLFRPSFNFLFSQEFFWRLKPGLECEAEDGTIITKLPDTGFTWAIFQL IYSCITDFIPIYILSLLFSPNVTKRKTLVNVSDGWDTGLE >CAK93006 pep:novel supercontig:GCA_000165425.1:CT868671:86489:87487:-1 gene:GSPATT00003875001 transcript:CAK93006 MQEMYQTLKFKKINNEQNLQPKLLAQHRFQDIGIYLDAGDIKNEEVDVIVAQTDLNLKLP YYLINNVSPQTKQQLLKYIQQIRDKQAVSHGDVVHSHAAELEFDFVFYCVLPNEDDEPMK LARNHNPKNLNLKLPKNAHQKYQKQFIYDCMMTCLKVAEEMEITSICFPVMKSTKFTIST IATMQMIAVKNFLEENVTKIKFLSQVRFCIQDDKDQELFKWAFDKVFMDTDDNSEASDED SLNNNSGNSGSGSAGNSLSKNTLYELQPTVKKPQQKNFEEDEFVKSFGANYYDKFESPQS VGRKISI >CAK93007 pep:novel supercontig:GCA_000165425.1:CT868671:87564:88099:-1 gene:GSPATT00003876001 transcript:CAK93007 MHQRMKSDIRFDQLKLDYLQGNSSKILKSEQDSTQPSQTQIFQGNLLENKTDRQLSPLIN SNRQRHKLKQCQSLALENDPDLEEFSIWGDLEELPVGNAQSNHLISFLELYKYRYEKTTK KPVSCYNVILMTKKLINRHSIARLKYYGINKIQWIDFRDWSRSGNLNSL >CAK93008 pep:novel supercontig:GCA_000165425.1:CT868671:89086:92788:1 gene:GSPATT00003877001 transcript:CAK93008 MYSYAYFFCIIARPQIALSLDNIQYVILALCFVYLISSQMKSPFSRAINIFGQLINLGLL NFFFEISRGSLDYLVQLLLIFINIFEQIFAQGTLNFDSSSIFNPTKSLLSYIAVIGNITL MYLSRYQFDLFVIQLVAILNAFITLMQLLLQFPKGNSILTTLTLNIQIMTIYLGLVQLMI GLHNYVSDLIIFLFMQKIIYMNLERLGKQVPKTLEDLIANFYDLKILKQIKVKSPTQKED IVLYASLLAHQEKYYEGLMVLHSIKKLSWLSQIKKELMIKEWLSQLDTKLKNQNKAQKGL SEAVEHLMELEDYNVTLQTQMVNLLKNKCRLQQIISQQEKISLQSLLKFIEQITIVQKEL ERQYSVFPNQKTQNVLCFLYSEILNEFTKANLLQQQLTKIDDKQNFSVFTNKMVYLISTY NSEILIKRASNNAPILFKMNHNKLLQQNINLIIPPGIKEQHQRLVKKFLINGESKYMRRL DVNYYYNNAKGTLHQIDFAIDVSFTSEEINFITFLQPIYEQPLVMVLNADKVVTATTESI LTALNLNTMTYFKNHVITKFMPTFKKHELSGFYENIDFIVNVDKEEVTSNSINTYLTSLD VVPKVLNGEILFYTIKFEFFKKQENSVKFTYTETNNSMVQGAFSVSENVFIDDPNGSINE QQNFITSNQNAYQKIQNNELMIIDSTLHQTQFKDAIQSSRPLFYQTQNKINYSVSVSDED QNKEEPQQDFSVAESKQIESSKVSSLKGLRQSGYFKKYEIMSKLEEIKGLTKNHKVFLSF LSVCLLIQLSFVIAQFSSTNTSLTNLIFDIDLLQIKNFAFQPFESFLVTRWTIFNYNNLK AAGAITEEAFKQLIAFPRSNLPLGFDRLEENVNSVLNKLQLQQFLESTYLDIQLYTSSNQ GEHYNVSLRNCITIMLNYQYITKMAYQLDGNVVVDSPHIYYQYRNYYILKTEFGRINQDI LEDTINRSIIILSKLQIIYILSLTFLLIFLMCSYFFYSRIELSHTNFINLLFCCRSEYFN KDIQRLISVQSIINSDYNNLFSYQFDMHRKEQYFDEIKTKLDKKDHKKFILENNSFLSVT NYSNRIFFCIIFIVVLTQASVSYGQVKNYLDKYPATAKFYKGVSDIGTDVPCVFAQSNIL YGRAFFSYYNETDIQLIFKEINQALAELQDFTINKIDFEQQQYIDMKLDTFYQIILQIII TILWIIICAINYWMICDKRQPTFVPQ >CAK93009 pep:novel supercontig:GCA_000165425.1:CT868671:92800:93221:1 gene:GSPATT00003878001 transcript:CAK93009 MQRGLLGILVYIVNYIQTEKDINQFTERLQLSYLELEGAFLVSEIVRKLNIEIQLDLYAQ TQKLVDQITMHSIIVIVVIFLFVMFTSLIIRKRLLYRQFIMQRILYLVPLQILLFDDGFE RNAKTIILRETF >CAK93010 pep:novel supercontig:GCA_000165425.1:CT868671:94095:97565:1 gene:GSPATT00003879001 transcript:CAK93010 MISGLHKLLLLETLDVENINFHQTKVQYPTYLIWIIQITQSLLWYYGQNKMTLNLIGLLQ SLLQLAFTSNFIIAAVQLYTIVYTFAIILGFANDLSSLLVIFPVLLRITQYRELRNKQKE GQNPQNSKRIDNVLISISVLIQQKQYQKALYQLQEMKELNIYYEVKKDRMKLKCLNLIKT MIFANQSTQNTFVDSINKVIQIEEMSYFYIKELKNIIVQKLQLLQNAKEENFDAYYDYLD KLSSIEKILNSLYENHPTPKNKAILLFFNAEIMNNFHKARLLSHQTNPIQYDSYDLNKLA YLIAKYDQGIKISGISNNNIFSKDSSLGIEGYIPPGIREHHNSIIEKFIETGTSKYVKRF DASFIAKDNDLYMSSIDFGFNAIITDELKFITFIQVSIEQPMAMILNSQLQITCLSESLS NTLNISQFDFKHIGYSIKKFLPQYSEAESYQENLELILSDLEQEYPYVTTINQERKIINN QPAYYILTFQYIRKSNYKQKSFTQLTYQQSILEPLSQQQEYKTRSDFDFLLYGNVAQEEQ IDVPYEENDFNQQYLNDLKEFQQMDDNTNIYSPDNKQQQKCKLIRKQTMASRLRKQQSLN INQDEYFFMKMAENSYNQRSKDFLSDYYDQQLSILNKFILAFLLSLFVTFAFFLLQTVKV NSDINSLMDDLEVLDFSQLCFQPIENTLLVIFSLSEYNLYFLMKIISYQQLRQLTQFPST QIVIGYNQIYTNFNQLVQQPLLQQILDDNQLEIYQYTNSSKEESYIMTLRNAFGTLLKFQ YDVLLDFKLNGRVNADTAQIYYTFKNYLPLKQIVTDLHKDILEKTMIVVEDDIQTIIALL IVSIVALTLPYLLSYYYFIGIGNQISRYYNIILQLPQGMREVQIIQYQQLTSKINEDYEQ IVRYKYKEQRFGNEKSKQSYQRVKVQNRLKYRGLRWKFGYLLLYILILLKSGISFYLNRE YLQGYKEVAIFYKALSDLSIDIPSMYAAREVLYFRTSFPYLNNDTITQLLQIIDQGLNRT KLFLEKQFIYDKVILSDQFQEYYDSLAKGDLCSLLPEELQQQTSSFCQLLFNGNMRNGLY STLTIITNSLQSELNYNQFKVRTSVQFYDLEGPYIISGIVSRLYQNMRDDMHIETKSLLK LMNVRKSI >CAK93011 pep:novel supercontig:GCA_000165425.1:CT868671:98329:99961:1 gene:GSPATT00003880001 transcript:CAK93011 MSTNTVKMLQQRNNDIEVSCFEDKLQISPSKQNKENSHLSKSKTCDMSDLVRLLHKVDIQ GVQQGDQIEQEQDSTPKKQVQQGKTCRYIPLRSGQKQNLNEEFQYREIEEENQEPQKGSD YKDQGNVTLKDIYKMHVFGQPLQSEQLQWESKNLLHFADNTPSKRKILSDINPAVLETYQ NLMDYREQYQNSQDYQFSQRKISKVPFKVLDAPQLQDDFYLNLIDWSSQNVLSVALSSCV YLWSAYNNRVTKFCDFGNNDMVCSLIWNPQGNQLAIGTGSGEVHIYDQEKMKRIQILEGH SARVGSLAWSGNTLCSGSKDRSIILHDPRQKKQTGKFEGHKQEVCGLKWSPDEYQLASGG NDNKLFVWRMGSQIPLAKFNQHQAAVKAIAWSPHRHGLLSSGGGTADRTIRFFNTLTTQQ LDWIDTGSQVCNLMFSKNVNEFVSTHGYSMNQIVCWKYPALQKVTTLMGHTSRVLFLAMS PDGETIVTGAGDETLRFWNAFPRKEQAQPINTVLLPQMIR >CAK93012 pep:novel supercontig:GCA_000165425.1:CT868671:99991:100686:-1 gene:GSPATT00003881001 transcript:CAK93012 MKKSLLLCGTWVTGYLIHSCSPYIKALQTTQDLDEEHSFQEFIKQYNYSQPKIIDMLHSS RQKHFLHNMIVQNENAFNLFKVYLSNNQSNLNDENGHQLHIVFNASENVKGNNNDVNSGL LATIIDNAFGQLSFLAAGFVPTATANLQVDYKKPIKLNEDYLITCEVQNIQGRKVFLKAV VQDSQNNICSEANAIFLTVNWGGNQWKKMVQLFQKTRLFNEGIQKLFYNEQ >CAK93013 pep:novel supercontig:GCA_000165425.1:CT868671:101476:102878:-1 gene:GSPATT00003882001 transcript:CAK93013 MVFQNTQAVLDSFEAEKTKKSYSNQRPPALEIKQYQKASPVYQPKVYSPQVQQKANSYDL DLRLTKVPVQQSAPNSALKAKSYDSMTQFIPEEETSLDGDIISKCKDQNGARSIQKQFQE GPVAIKDLIFTKLEKGFVSLSKDVFGNYVIQNLLENGTPIQQQKMLVILQPHTQQLAFHQ YGCRVLQRLLQNAHKTAEFQALFDSIKGKVRELVIDQHGNHVVQKLIQLMESDISLWVLD GVEGQISKLVTNSFGCRIIQKAVSISNNHVERQMRVLHEIMKISQELCTSQYGNYIIQQL LKDGPEVIQIEIQQIIMDKIEEYSLNKFGSNVVDCAIKCSDNKFKLKIMELLLSQKNHPV LFVRLSKNAYGNYVVQNFLKFADSEIQKELYLKITNNQQLLQEIQQSQFGTLFQLIVGQY VYQMLTQKLELEAFKL >CAK93014 pep:novel supercontig:GCA_000165425.1:CT868671:103140:104307:1 gene:GSPATT00003883001 transcript:CAK93014 MGMCQNKQAEYNSKIPDISSEILDEDDVDDKIGETTQMPFEGENGNPKTTLTLSDFELLK VIGRGSFGKVLLVRRIADQQLYAVKILRKKLLQKKKQQEQALQERKIMTLVNSPFSVKLH FAFQSPSRLYLVMDFMIGGELFLHLRKRQKFSEEWTQFYAAELLIALDILHQQKIIYRDL KPENILLDKDGHVVLTDFGLSKLGYERNEMTYSFCGTPEYVAPEILYQKGHSFVVDFYSY GALIYEMLSGAPPFYSKNKREMLKNRCEKPLEMKPNFSLQAQSLLKGLLTKDPGFRLGSN GIQEIKKHNFFSGIDWQLVEQRKLQPPIVPRIQHLEDLSNFAPAFLQQPLIETPDSQQNV YFEGFTYQQGM >CAK93015 pep:novel supercontig:GCA_000165425.1:CT868671:104323:104858:-1 gene:GSPATT00003884001 transcript:CAK93015 MSNTFKSAGNTLAKGNDLGQTNQSFGGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEK TALQQQLLGLQRRIAELELQVGQEQA >CAK93016 pep:novel supercontig:GCA_000165425.1:CT868671:104874:105856:-1 gene:GSPATT00003885001 transcript:CAK93016 MVIEWIAQYKKLIKKQSRFVKYLKKQIFIFDCMSRKEQDIKRLIASQCHVGTKQLHFDMK RYVSHRSDNGSYILNLEETWQHIKLAARVIAAIEQPQDVMVVSSRPIGQRAVIKFAHYTQ ASSTRSARWTPGTLTNQSNSASGKFQEPQLLIVTDPHLDRQAIVEASYVNIPVIALTNSD TPLQYVDIPIPVGNRETKSISMIYWLLAREVKILRGELNKDEEWDVLVDLFYHKEITNDQ LGITDNQVKQEAEDHEQQAEGEKAEKDW >CAK93017 pep:novel supercontig:GCA_000165425.1:CT868671:106286:106915:1 gene:GSPATT00003886001 transcript:CAK93017 MKTMDDRAFKRPLPKQFYCVLLKYEEKATQEDICMDELVQMTQMYGEIVSYYDSQMDPIT YYFMDKQHQLLQQIVRKSKQDQEDILKNLIMANQSDIPIIKIDNYKQKKMVHLYELEEVQ KVNERVCENIVGQFEKNNEANDSTVQEEFQKQQNNLYLRLANRIKKKQDYKRNGDRLSNS SINVYQVPFRDYDEGKLES >CAK93018 pep:novel supercontig:GCA_000165425.1:CT868671:107525:108482:-1 gene:GSPATT00003887001 transcript:CAK93018 MDQDEIIKQVVHVFNKLSTNQQQPISKEMLLKFLDSQSNQEYDRGLFDQMYEKIVQKDSG QFTVQKFIRTLMEALKSLKNKISTIQTQISQKKKNLEDHKSTLHELQSQEQFNSNKISLD SRIRVTIHDADIQFPGNSPIAVILGCEDLRYSTKSARRENLVWEEKFEFDIQTGKEEIYI VILDKELADREEIGGQTKLNLQDFYDQKPHEITLELKDKYNLEYNGYILKYFDIYERQNI AKKSFKSYSKISKVQKMMQKNTRIIFICCSFLSKKTTKIHKETTRSLVITLQTNLLLRTN QNRVQKVNNG >CAK93019 pep:novel supercontig:GCA_000165425.1:CT868671:108530:111118:1 gene:GSPATT00003888001 transcript:CAK93019 MRPQSPKFFQTQTIATTRVVNSPPRDKRHDSCGRLAKVTTYQSRPKTTVTTTTYRPVIET RTVKLCTEKKCQGHETLIEQLTQENNRLNQRVNELQNDLDLQIEKFDSEQQVWVNTGMEI ESMRKLLEETQKNHKQEVTYLNSELNTFKSQFQYQDDQIRFLSSQLKQQEVFENKIALLS SEIERLQFVIEDKNEGISQLKFRVSDYEKQLQVGQNVIEERNQQISELQQKSKNYEQELL FSNNIIHELKFEITQKDSQTQQMLAQKDSLMQTFRENLELLEKKLAQSQQNEQLQEEQID TIQKERENLNNKIHQLETEINEQKEQNLQLNQQVIINDQNNQNLQNQLIEQTQHHENLLS NKDTEHELTKQEREALINQLEQVQQENVDKTKEIDDLKNQNQSLISQLQEKTTNLDLVSE ELETTKSKLDNFDEINKNLQLKLSQNEAQNVELQDNLNLKSESLQQLEKQLESQIEINKQ SQNLIQILNQEKSDLESKNQLLETEVKQQVVQIEDQKTQMSERMKEAEVEQQQLNQQINQ LKKEQDNLLTQNKDQQSQITQLQQQNDNHQLCEESLKEEKMQLIQQILLECFEMERLKIL VTQQQEKLSQSDKIKQQLEDEFEKFKENSILNSQKQEIKLTELQQQIDEDIKQIDSLKRD LKYQLSLEEELNKKNHFQQDQISHLQGVEQQLKYLNEQTNLQAKNLQKELENKIESESSL RFKLEKLLEELKIKQMQLVELDSQMRQIEQSNNIEISNLEEKNSYLQNEVETWRQKFSIL NKDYHRVQEDLMMLQAEFDAHKKRGQDLKNVKESTYFEVRKSSLYKENVDIKGSQTSIGR IFKENI >CAK93020 pep:novel supercontig:GCA_000165425.1:CT868671:111499:113457:-1 gene:GSPATT00003889001 transcript:CAK93020 MNQLIGNQPESDQGAIEASFPGEYKNFAPIGRGSYAKVYRAETEKGELVAIKVIDLKNMQ KEIIPYMQNEMKLLSESDNRNVIKLFKSNQNSQKLILILEYCYLDVEFMVKRFYKGKLPD ELVLIILRQLANGLSYLHRNKIIHRDLKLENFAVQLSQEDQNALQTRNDISVFERATYKL IDLGLAKKLGDLQSQTSTWAGTELNMAPEILNEQKYSFQADMYSLGVCLYYMLVGKYPYF DPTNRTPLQDLIKKENADLNQIANLQLRELIRKMLKFDPKQRLSFQELYQHEFFKYREGD LSNPIDLELNQNQVIYSGKIEESILDYEEKQFKSIIQQENEGNFQNEKQLYQDDPNKYSG FEIKTQPQITLQDEGQAKQFSEPDVKNIFPTSKFDPQKKQNDQIMQLYHIRNQYIAILKL AEYLEQIIPLVKKSKLDFITMESKFSMYIEYLKMVAKQLFIKLQQRFQFFQQSLGSYDRF QLLKKQVENEDHPKLLQVQVREGDAQGWMSWLSKNVMKKIQCEPYDSANFNQQYQQTNIE LYKELLKMLQDTFFKYQDDSDPKLKRIKCLLYLSMINTARSRVLFQVELQNVDYKAEELL LTKARDEPDLLSEKVTAILTQFNLLYN >CAK93021 pep:novel supercontig:GCA_000165425.1:CT868671:114401:114996:-1 gene:GSPATT00003890001 transcript:CAK93021 MNTVIEQNKLQNLAKYINQEEKKFQKHLEKTEKTNQQRINLEEEIHKTQLEIIKQNHLKE KAEKLQKDYPQLIEEKQYEVINLQKDLALATAAKKAAQQEYDIQIKHLQQQIAELKREKQ DLKRENLGKQEKQTALRGDLQKFGKALQSREKPPVEYKVYSSSGGFGPLYQPQEQQQQHM FY >CAK93022 pep:novel supercontig:GCA_000165425.1:CT868671:115017:116918:-1 gene:GSPATT00003891001 transcript:CAK93022 MGKKKFINKQNAATLKMVHQPGENNQGATDMICFQKVNQPGTQNELSELIEKELNKGKYD PNLKPRMPEIDPTEDALHLVAGREDLWIHQLAKQKALQALKQKQEQFQDVVMKHYDENGL PLDGYDYSQHLAPERPQGEVECVFVVPEEERSKVVYDIDFKREELTQEQQEVYDLLMKED EDDDENNQENQEELDDDFVSNLIQQNKLQPIQEQKVEQVQKVEKLEQVQKVEQIQKAAES PKKQVRFQDQEESDNDEDYEDEEEMEITYDKNKMNQKSQQKQQQQQKQQKQSKQQQSDSD SELEIDSDMEREFEREMNAYEAPDDEDDDDQQNKEFVNDQMLNKVIDDHLNTMTKKQQKK SKNQQKPKDQPEENEQQKEKRLEYLKNTDYNEYLRQKFPNADFSQFHLKKEVPPLTPEED IALQNYLNRDSTDEECPDMEPAEKYQETIVTKSLHNTTMSKMNPDQLNIIKYKTKGQIQS TKEDRMKQKEEEKIKIKELKQEKEKVKEAVCETLKQVVIKKEETPEEKKQRKELVKQLKQ AQKEKKKAFKDQLQTMSKKLQKQKLANIQSNQAEGVSIVKIA >CAK93023 pep:novel supercontig:GCA_000165425.1:CT868671:116948:120850:1 gene:GSPATT00003892001 transcript:CAK93023 MNNYHIYDEIGKGKYSVVYKGRKKKTIEYMAVKSLEKSRRTKLLNEVKMFSGLKHPNILK FYHWYETRNHLWVILEYCPGGDLMALIEADQQGLQENIVLRFVRDMAAGLNYLHSKGIIY CDLRPSNVLFNEYGVAKLSDLGNAKRLVDMISATIGPDVEMSKRGSPYYMAPELFHEGGV YSFQSDLWALGCIAYELCIGQAPFQCSSFTELVELILNAEVKPLEVQCWDFIKGLLDKDP LKRWGWEKICKFLNIQYLNVPSQPHFETWCKKNNLVRVTPVQQNNKQVDIVRLSLNVHKN MLREDVPSSSQQQQYHKQASSNEKDFKLTNKDQEILIGSEQQQEDEEIDRQPDEFQTNVQ SDLNSINSPHLQQKPFTIKKDSVHPSTQSLLNQSPIPGGITPQQKKPNENDQLMPIDQLF THSSDNSVKPIIGNREIEKSIDATFAKESLPFQYINADDVNRNIETDQIEYHFEQIYNSL QTAKQQEQLNILNYFEQIIQTSNAANRLINSAYIQLLLKLLTVSKLTQLKYRICCVLGLL IRHATVIEPEVSQHGIPEAMVEILNSKEQSTVRRKAAAALGEYLFYGATQMEEDPQNPYW KMSNLSYNQLIKVIKSQNEDEIVKFYCIKTIENISSQSISIGQRFAKSEIVLMILQCFLS TKNDSLRISCAVTLANLLMLDNQQVDVFMQSLGLKSLVQVFMSFQQAQIFLDNLQRVQQA MITIFNIHLLQSVSTQDSSSNNVSQLASNAVNKLLMEESQLIKGLVYLLDHGNAVSRGKA AIALMLMIKFNIVTLINLSEEQLRFYQILDKGLRDNNEYLKQCLQHLVQQLCDCTPIMIT QINQSLTDSQLMEYSPKKTNGNYLNVLFQYSQTPVLQQSVFSSQYLPILTELLSLSKNKT QIQTTLLNIMSKMCTNSKILIKQQQVIIQQVLKNCIDYMVKQQSTETKFMFLKLIIDLLS ALLQEEGIYDYPNFLKPYSNELNNIIITLILPLLKELFQEQPPLPFYALKLASILLTYNS LFLPHFKRHEVLQAILDMYKPDSNNITGHTLNIIQKIVEGSTIEDLKQFQIIDKSLQIFV QFTKQKQEWAFEDLSSIMVAITSKIINELQRGNNKVVVSPDNTLIPQPFPQEFLFFQDQF YFTLQYAQQIINISTPGCQLTLLTYMLHLTYFYPGKLSQIRQQLFNQLIPLLCPQLNKRI IKLLHWVVVLNEQKPFKIEKKEILVQVLEKYQKEDKSIAMAAKDLMKYLL >CAK93024 pep:novel supercontig:GCA_000165425.1:CT868671:120885:122066:-1 gene:GSPATT00003893001 transcript:CAK93024 MKSSLIACLLLSVLSVEITMNDAITTQALEKLKESSWASFIVEFAQVELSTGGALSELVE TINQLIDQLQEELQDIHDTYSRRTDEHNRDVTRLEQEIQDAAKDIFTGQDFIDNVLIPQK ERFQQSLAQLKINIEENRRILDGEHLNRKRQHEQFLSNIAELNEAIGAVDESLGLLSQIS NPQLIQFKRVQTNLGRIQTSFQNRSSFAPIIKALLELATEQNFTDQGSVQQLVKIFNELR VQFVDSLNQETADESQAETKYADRVAQLEKEFAEFQRSVLLKNSELAANEQKFGETIVYV GQRKDDKETLEAQLQAENDNYAAETDLYTRTVTEYNKEIEISKQAYGLLTQPSFESYVRS TVGI >CAK93025 pep:novel supercontig:GCA_000165425.1:CT868671:122180:122777:1 gene:GSPATT00003894001 transcript:CAK93025 MQYVIRLMNNKILANSIGSMQEIEYLENRVYPKLHTALVQLIDHVVKTEEVRKHQERLKK IKIFDRIEQKKVEKQRLKNELGSAYESSSQGSAENDDFGGMSQQLIKNEDSIFPINNQIQ APTGISIDDLSPQEMKKEINLALNKIQEDLEDEEAPDKQELQHLKQQMKQQREALEFNPL IYLAHLLREQQK >CAK93026 pep:novel supercontig:GCA_000165425.1:CT868671:122794:123387:1 gene:GSPATT00003895001 transcript:CAK93026 MAARQAYCRLQPKVNNYHNDGTGRDSYISKFNGGQMNQTLQSQIAPQPNHEFEKMHYLTK SNTTLRRSGNLPPQIPIKTTKYWGDGTGRDYFVIVNDGGQCNPCNWWDNVDIQFQRQLRN YQQTGPLRISSACSSKQQDRLAEPKKQLQIKQRPHTPNIKQAHKIYQSYQTLKYQSKARN IRYKTHHDN >CAK93027 pep:novel supercontig:GCA_000165425.1:CT868671:123414:124812:-1 gene:GSPATT00003896001 transcript:CAK93027 MISSIVFINHKGEILVYRVYKDDITRSETTQFCAKVVATKENKECPIINIDGTSFIHITI KDIVVLATTKVNVNVAMTLQFLYQLVKVCRAYFGGEFDENCIKKHFVLIYELLDEVMDYG VPQIADADLLKKYIQEGGLKPELMNDVEKLKQLTSQATGATSWRPQNIVYRKNEVYLDVI ESVNVLMSVKGTILKADVAGSIQMKCLLTGMPECKFGMNDKLLMQREPRKPGQTTTDKGI TIDDLKFHQCVKLPKFDKERAITFIPPDGQFELMTYRITENINLPFKIMPVYNELGKNKL EIRVKIKSIFEKNLFGTNLAIKIPVPKNTANVSTNSAIGKAKHEPEQQGVIWRIKKYPGD FEALLRCEIDLGSTTNQQPWIKPPISIEFQVPMFTASGLRVRFLRVYEKSGYKPTKWIRY ITKAGEYLHRL >CAK93028 pep:novel supercontig:GCA_000165425.1:CT868671:125243:126302:1 gene:GSPATT00003897001 transcript:CAK93028 MKLLKSIDLYGKVPKGLAEPTSSGAVVSIITLILLALMIINEGIEYITIDVQSEIIVDQK LSKDRVQVNLDIKFIKAPCDFLEIDQQDAMGQSLSQQFMELKYYRLDSNERRISEYTRNS NNWVEIEDARTAINEKQGCEVIGNLKVNRVRGKISFGAHRSYSYIGAVGNLNLPLDYSHK FVSFSFGDEDALKKVKSLFQQGQLDSFAGTQRIKKPELASQSMQHEHFISIIPTHYTLLN KQVYSVYQYTANHNEVRSNNYGNVQLRYDFAPTTVTYWQTKEDILHFYVQICAVIGGIFT VSSMIEACVYKVMRMLLKVE >CAK93029 pep:novel supercontig:GCA_000165425.1:CT868671:126421:128529:-1 gene:GSPATT00003898001 transcript:CAK93029 MGQSQAQPMKALFNVGSDNEFQVKLTLFLGQDSPSILPIEAREIIKHEKFAQLLELLIER MQHENNKVVQNTLKNLTIFIPILLAENHKLITSNNSKVEVEVINRITVVKADEDMEPLDV VNLADPRIFRIIDNLMTLLFRPGFTIQGRDKFSLDNDHRLKSLLKQWLDKDIKLKLENIW RGLATLTKYPNDQKQYYENRVLVLSCLLACLEEQLYQQKPNSSESLSYLAFEDHAEMFAS LWAVILFHKHGYFWFQDNLLYQQKTCRFMAILSAQVLNIIFSFDSEQNKFRKIVIETDLL DYSFDLLIENMQQSLISDTNFITTKAHDNKGVQEFMGLLYFLLTKNQFALEYLRHLSKQR IINLLQLLLYYIAQFMDNEVNYEVYCISLELLDYLFNFENIGRVSQEIVEVQYRVKVLPI TFGTYQDYILSYYSHLLLLDFRSRTRFYVERFKKKNLQYENMGYLINYKPELLCRTIYKM SYFTKQISLDASLLFDEAIKKYYLYDFLFLSTTQISNQELLTLALFNFVKNGSWTVLYYL LQNCEQIVKFQVTDKKLFKFFQCCDEVEQGLQNNQINKDEQQERFQKYLEVWKDVKGRFQ GVHIQQLKQIIGITFQLLSSASKIIYGISKEEFQDIYKKTSWSFILDFQVELVKREFRLD IYAFSQYKQQLEYMPLIDLEKCIFI >CAK93030 pep:novel supercontig:GCA_000165425.1:CT868671:128992:130647:1 gene:GSPATT00003899001 transcript:CAK93030 MIKLSILISFLLNLTRTQEQWETQYQSFQDTNTMDTSGWIISGNYNGLQLSTCNGVTMFG GFNAFGTNTIISKHFSLPPHYQIKVSLEFWKIDSWDAEYLYILIDDYVSSRQCYFSVGTQ LCGNSGRNDWLETIIPITLQMNHNSEFLMIIMTSSLNEAVDTESWGFRDFKLQVVKCPSG CLFCSDNDYYHCYLWIKISSLSHESMLLDGWMKNDIIQPTTYQCVSFNLINLTPNDKLEN IIENLRLHDKMQISFQLWQIDSWNNENFQLYVDDQLQKQIVLSTTGTYSICGSAGLEKVF NIAVTFPHTSSECKITMKTNHNAATTNAYWGIRAFNLFISNACFKGCDECISLLKTGCTV CSSGWVFYTNLCIYPSPMLGITIRITQIKDPKSHERIPMEINLLETNQQIVTQGTFTYTI NNNISILNIRVYAKCYPNKKMKSYFIKCIECQPQNQYQFQHYCYGAINSIIYNARFQQIT DSEQKLIINTSDTECSIYQVVNVGTELLQIKLLEILQQDI >CAK93031 pep:novel supercontig:GCA_000165425.1:CT868671:132185:132814:1 gene:GSPATT00003900001 transcript:CAK93031 MKKMITYILQHINVQVLILLEDNQFSNNYKLEKIIQNLSPHYKMQISFQLWQIDSWNNEN FQLYVDDQLQKQIVLSKTGNYSICGSAGLEKLFNIAVTFPHTSSDSKITMKTNHINHLNQ GAFVRSNLLKSFNQLIFYSFQILNVLLFKNVQVPFIQFKLQPHHFILQMQLTHFVLFELH LILQKDAILLMLNKCLLFNFPLIQPLLWF >CAK93032 pep:novel supercontig:GCA_000165425.1:CT868671:133354:134039:1 gene:GSPATT00003901001 transcript:CAK93032 MTEEQCDYVFKFIIIGNGNCGKTSLLYHYIHGTQICNVRQTLGVQFSAKIVCVNKKKIKM QLWDTAGQERYRSLTKGYYRGALGALIVFDITNSDSFDALQDWIKHARDFSKPSIQIIII GNKADLDKERSISEQTAKQFCQENDVQYIETSANTGYQVNEAFTQITTKVLDLLQEGAID ENMIKPKFLTSKKSDETQPTQQCNC >CAK93033 pep:novel supercontig:GCA_000165425.1:CT868671:134139:135098:-1 gene:GSPATT00003902001 transcript:CAK93033 MSTREELIYMTKLTEQTERFEDMVNYIKQVVENGQELSVEERNLLSVAYKNSIGGRRTAW RVLSSIENKEEGKAQSQPASQKNLVLIRSYKKKIEEELNQYCNDILNLIDSHLIKTASTP EAKVFFHKMKGDYHRYISEYATGDQHKKAADGALAAYQAASQVANSELKTTNPIRLGLAL NFSVFYYEVLNDAAKACQLAKSAFDDAIADIEQIQEDQYKDATTIMQLIRDNLTLWTSEL EDEGGNVENL >CAK93034 pep:novel supercontig:GCA_000165425.1:CT868671:136686:138583:1 gene:GSPATT00003903001 transcript:CAK93034 MNDSSCSLDTCCDRGLLNLGDCICDYGFFGDHCEEQISEIFTPQYYIFIGLYSLVFLYTL INASYQLYHKIFDKNLTIQEKSQISIKLLIASPKNIILTLSCLFSLVKLIWLILDPLQLY QGKTIIIKHILHDIAYTILFYIYGYLLITWYSMYAEICFYLSNQEKNDNYFKSQSSKWIC QHYKKVVKLRLFLVLLVQTAVSIFTGLRLEIQYPHFAVVCYSFLLINFIIFIVEFALYGI KLQRCIRHQLNQFYNEKKKKILNLVTMKEELQKQLEQKQSDGQFQSGNQNRKIENSPSQK SSRGPSEDLPQVLVPSQKERLRKKNVTFCKNSSSFVYYRQESHHNIKINQNIQEVIAEQT ENSEREANIIQNINQSFCLEESIKQIDWTSDQQDKKIIENVIQKKNQVVRDAKEKVQSDQ ESYFVHQKYNNKKQIDLSNLSDQSQDQTQYTQIGQQIDAFEQQKYLEEQQLTLKSSSLEA DKNILYKIMLLVSLGITYEVIFGIMCIIALVSEAIYSTPIGTIVYLYVSSTLQFLSLFNV LKLFKDFRSQQIKNFIWIQKIGNKKSDLNQNYSFIIPQEYKQDDDMLRKFESRINLITLY >CAK93035 pep:novel supercontig:GCA_000165425.1:CT868671:138652:138920:-1 gene:GSPATT00003904001 transcript:CAK93035 MEQLQRQPAKQLYFTTELFKNKFITEKEKIQLKEFIIAQDDFLTSYFDQYERNDITEQEL REKLIKLVRADFFKDTKYKQN >CAK93036 pep:novel supercontig:GCA_000165425.1:CT868671:139259:140893:-1 gene:GSPATT00003905001 transcript:CAK93036 MINKVRVKGGQQVMDMETYSPIIAIPIYLEDMKPSEVKQQYLSNFYYLLIFYSKLLLNLQ KLVIGMAEILLMYQQMKQDGQKIKVNFFIMATHYVMIITKIIVMMNIKLSHQIRIIPKNL QQLQQLQIWIYIIMQIVTILKKYWGLRDFTLAIVRCPSGCLYCSDNDYNNCYYWIGFLSL WQESIELEGWMKNDNIQPATYKCVRFELVGGYLNLASNDKLYKIFQNLSPHYKIQINFQL WIIDTRQFQGEYFQFQLFIDDQIYNQTVFSDIDFYSICGGSNGLENIYNIVVSLPHTSQS CKLTMRTSPNAATNAYWGIRAFNIYLAKCCKGCDECFGPLKTECTVCSSEWVLYKNLCTN SPPMLLSQISTSQIKDLQSDDRIPIEINLLEVDQQINTQGNFTISVKNQYKFLTVQVYIK CLPKTRIKSLFQSNKLQDSYQYSFFLNCQQAFNTVIYNVKYEQRIIREQEMIINTSDTQC VIYQVIRVIDELPLFVKILEIIIEDF >CAK93037 pep:novel supercontig:GCA_000165425.1:CT868671:142707:143419:1 gene:GSPATT00003906001 transcript:CAK93037 MVLNISFIIHYNIVRSIGAFKFFYKGEQKKKSKIMLSIPRKFSHYYAKFYQESVKTQKQS QLYQIDDSKKKRGLTEMQKIKISAALLLSIFNQCFQLQIRWIKRTQKMEIKKTEANNRNK QKCINRQIQNHKFPHTQSSGYLIYRIILNQTNSVSFPFEVATIGNQKKNSKIRGQNINSL PSQNRIQFKSFQGIKELYSLQIFNIHKTKLTIISQRNEPSEDQPFKFSQV >CAK93038 pep:novel supercontig:GCA_000165425.1:CT868671:144344:145913:-1 gene:GSPATT00003907001 transcript:CAK93038 MDSSGWWIISGNYNGLQLSTCDGSRLFGGFNAFAANTIISKHFSLPSHYQIKVSFELWKI DSWDDETIFIFVDDNLTTRKYLPNAGIELCGVGENYAWYEIAVPITIQMYHSSETLMVIM TTNLDEPPATESWGLRDFKLQVVKCPSGCQYCSDNDYNNCYQWIGIISLWHESILLDGWM KNDNFQPATSQCVTFNLVGGYLNLAPNDKLETIIDLSLHSHFQVQITVQLWKIDIWNNEN FQLLVDDQIQNQAVLSTTGTYSICGSTGQENIYNIVVNLPHTSSSCKITMQTNHNVATTN AYWGIRAFNIYLAKCQNGCDECVGPLKTEFTVCSSGWEFYDNICTNSSPMLGITIRITQI KDPKSHERIPMEINLLETNQQIVTQGTFTYTINNNISILNIRVYAKCYPNKKMKSYFIKC FECQPQNQYQFQHYCYGAINSIIYNARFQQTTGSEQELIINTSDTECSIYQVVNVGSELL QIKLLEILQLDI >CAK93039 pep:novel supercontig:GCA_000165425.1:CT868671:146613:147796:1 gene:GSPATT00003908001 transcript:CAK93039 MGNQCASQCQCQQQIKDQVATEIIIAKSDPNSLKSRKSSSKQSIKQTYAKTQQKEAKKET ETEELQNKVQKQNKAAIKIQKIWRGYLVRKKITLKNSVKRDTKKNYLKEQVEPVTLPKNS SSVELETRPAYKFKSGAVYEGQWRGTQREGIGTQTWADGAKYVGEWKQSHANGKGRFYHI DGDTFDGYWENDKANGYGVYIHGNGSKYEGEWKNDLQHGPGVETWFDGSKYQGVYFEGKK QGKGKYEWPDGSYYDGDWHDNKITGFGTYFWADGRGYTGQWLNNCMHGKGIYTWRDGRKY EGEYKQDRKEGYGTYTWADGKKYEGQWQDGKQHGKGKYVFPDGMVKEGIWKDGKKVKALD NTSAL >CAK93040 pep:novel supercontig:GCA_000165425.1:CT868671:147827:153563:-1 gene:GSPATT00003909001 transcript:CAK93040 MRKNYIKLQSDSEFKQEETKTFDEVKYNIFKTYFALLESKTLSQSKGILMILILNLQLIF MTANDKNTDLQSGFISSFLPISNYFLLYPVLLSSDSILFNMLFLVIALLVLIFLITSILY FASTSNYDNPLVKQLRSTWGFISELTDKILVVPVFGLTVGNIACNFENNQTECYSGVHIG LIIMSILCSLMLLLLEILYSYLFFNFTFKIKDSVSRNPSGMPIFFFIYRLGITIFQSLFD LEVQQTYTILVLLHLIFGLILLYDSLNNFPYHNKNVSKTQGIFASAYLWINATFIVLQLT SIEMLHENVLVIVGLGMAFFLKLFLNIRNYFVKVLMNSELDEIQSAVLLDLKIRNYNILS KSDNEEKKNELLLASLLKIHSDKCKNSTCPCKKRSALIDPKKKIIQSEMKAASITDTKLL KQQKNDQNTQLHKDLVFVKHFIARMAKDGLNKFKDSKLLYLDYMYYRFEALRMYSSIYFE IGKFESKYHNDMSLSIEFCLYRLRARMKKHLMNRNQKSEISRRLQLENVKAFDEGIIRQK ETIMEANKHLNDLWESLCEEKPDLSKLLDIGNNAIKTMQLTNDQYEFLLQLNNQSQDLKN LMTIYSNYLAYDDLLAKKIEKDIDQAKDMENDNSFQQDFNILIKKYQIFDKQSCVLSISS QLESLGQITWCSRNVFNVFGYDETDLKSMNINQMMPEPLGNCHSKILKNYYENARELLTT KMTHIWAIDRAKILFSANIFIKILPSLQSYDIVGFVHKLNQDDYIIADVDGMIYGAGRKV CQALGLPPEKILETKVNIQLIAPGFYSVFSDYFLDFDITANKQNDGKGKLSYDEYNSANK QLETKDMKQVIFIQKEFEKRIQSFVEYRTRLFKKFNIDILTKEQLSQEFGQSGNDQKNIK LIKQCAEFKKEYAALFYKQNKKILIDSLIKMIKIKVQIKNFTYWNGSKLQRLKIIKVNTY EFKTTEYIKVNRKKIKEQGEKVTQVYKNFQDAYNTFKQKKMKQKMQEEQDNAEEIEAGRA DGGEDNQEGQQAKGFLNMFKKANKNNRSSLLQLNVKRLGGKGKQVEDQEQLKQGILMTLF KPVSKKNKFKRVSKQQGSMAQVQYESKQFNNDEDEENEKKKIEATPEQLEAMRACPAVNL LQIALIKANILGQTDMSAFLEKKKKLQPVDPLALKFKRIREFVATDKFKTFIQEEKKRAE MSNDTHSTNTDQYDQEDRSQSFDEDQQEERGLLQNILNNQGANEEEKAAMQSVSSSTQSL QAHNAQRMLREQLKNMQAPTILIVAHYTMVVLSLLLFSLIISQYILANNDFNGIKDELYM FERMSNYSDNLIEILDGYFTLQMINEGPLKNTTIYGSEWVAQFRKMILQNQIDTVQFFLD DYSNAGTQSKSGNDLRQYLFIQMNANMLVNNQPTEFLFNYENYVRLILNAANATKDLNIS SFTLNSRQDYFYFIYNNLNEFDNITDYNYNLITQILIKSKDLLIERFYYFWFSCFGILLL LYILIYPIILKTKLKIQETLRIFTKISLADVEYYSNHYKMIFYNMRQITDTPQVLKKIEE EVTKGTEEKKKKDKENNAKNLARSRSHKGIQINKVVFFAVMTFWLIIFSGLIFIKDYFII LFSSDLEGLFASQEQQHRLKYNSERDFVYFKNLYYQQYSSQLNSTLLQQVLTEIDNENIY SIFQTMYHQLEGYSTEFADLVDFYSTNNTCSSIAPLINGFVNTSQALCEQILDGILTEGL LNFYQKLQLEMQNGLNQLLTQNKNSTELNSYISQQMFQDFQLGLLYAKLANQLMSNFARS ALYTGIDNEVVFNSYFVIVINILIVLFLFVIWGSEYSNLKRNSRWVNGFILLIPSQLRSE NKHINSFLKNQLKIQGLMYL >CAK93041 pep:novel supercontig:GCA_000165425.1:CT868671:153760:154840:-1 gene:GSPATT00003910001 transcript:CAK93041 MALQQLQRYEADENLSKFLNKELGRSLKFQKKHEKELNNLLTYQQKLQDEKLKNENKLHQ MFYKEKLIDHQKKQKEDFLKEQKVNKDHQFLYKQKENEYIDALDASWCFYQDYSPSNDMK PLKVDAEREKVLRFLFKQLQTTTDNVERALIKKKINKFRDSEISKKKLNQIDHKLEYAKQ VRSESLDKSIDKIRMHDIHIIDVQEKSNLLNQEKQSYYIDKIYQIEQKIQMIEKQQKHNK LNRLNMEQQKEEYRQAVKSNSQNLLLQKELINENQFSQKIYKLNYLADQKQRLLKQRQEI LDALNQKRIIARQSLDDVKYPQLNSNNYKAIYF >CAK93042 pep:novel supercontig:GCA_000165425.1:CT868671:154917:155183:-1 gene:GSPATT00003911001 transcript:CAK93042 MNKKHLQLKQITSDLDSENRKQDYKRLSSEIEQMLSSPEIKKFEAFLIESLTFKQRLSEK FSQFTPITLKQTSFQCEDDLRWNRSEGM >CAK93043 pep:novel supercontig:GCA_000165425.1:CT868671:156073:156882:1 gene:GSPATT00003912001 transcript:CAK93043 MLFVQAMQNALSSPKHYQQQEKFIKKNKTQKEQIDGSKLALLLQLEAIFHERIYSLEHIT KTVEIYCYLVEQFDSDQQSLKDYFLEKIQFLLSRPETIKLMMEDNEESTAIQNRFTSIAC GQNLDQLKFEEETRFNRPFLQQNLDSNSKMRFSVFKKHQAKEREICMEVQERQHDQKIDV NSLLQQYDQISKEYDEAIKKQLNDQNIALNLRMANRKNNKASKRKSNSQENQRQTVVNPL RTTLSKWKLISEIQESANFWRQSINKMKK >CAK93044 pep:novel supercontig:GCA_000165425.1:CT868671:156943:158162:-1 gene:GSPATT00003913001 transcript:CAK93044 MDQIDNFSMASINEKEIENNLKDLLERVLLNIEKFIETKEELNQVEAELKDYESLTHLIG IIKAVFTNLMMKVEKKISKLEKQIDPNHSITKSLRSDEEYEKLEQTLIKYESEIRNHIRI EQQLKLYAESIQSKLDESESSRAELLETTKKLISNLKRENQSYHETQQKLNIEIASLKQV INNLEKDNRKKSLDLGQRDYLKKNQQPIIQQLQLQNQRNQKSYSEHKLNSQINHTSNTLE TNEIPIKSQQSLKQNYYNIINYGHQGNKGDVLKVIQQKDLNKIYGQKLKSKNNSLSTIQD LIQSVSVQDRKKGIISQPVSKNSSQNNSQIQKYKETCDQSRSKSSRRANIGTKHIQIESQ IKLTS >CAK93045 pep:novel supercontig:GCA_000165425.1:CT868671:158421:159053:-1 gene:GSPATT00003914001 transcript:CAK93045 MGSVCSAQKNQSKLRSQNEELKNNNQPYLEASSLVGQSLPMENKQDAALDQYVQDLLQLF KRFCMFLDLVGNLDQLPQHTREKINNCIIVKQNISIIIQNNIKRVMREQQIIKRIDESDY YLIYNDRKFASAFNQMMQKLSNIIINELKPDEDFQSAFPILAVSFEEEAQKINSIVEKIK NIQIIAMRKGSSQHSLSINQAQPKRKSSQQ >CAK93046 pep:novel supercontig:GCA_000165425.1:CT868671:159160:159885:1 gene:GSPATT00003915001 transcript:CAK93046 MSIVTRFASYFIKSRVINYSLQVDRIMTEMCKAGFQDPEEGFLERDPMSYYECRFYSHIA RNWTPRLESFEKEQYELARNKFVQFENLYSFILDLHRATWEYRSLYLELTKEIATHNTWF RSEHTTLTYEHHLEEAINKYINLLDQLKEYPLWQERVKEEIGYYLHLIYNSTTHSGQSKE LFAKFDKLYFFK >CAK93047 pep:novel supercontig:GCA_000165425.1:CT868671:160059:160429:1 gene:GSPATT00003916001 transcript:CAK93047 MIEDNESECFFQLKPSKKVKKTRGNTLRIQKHYDTMNQMQIAAADQESIILSLINKRPSV YQKNKFILQWKENISQQQSIDYVNLIKSESDTFEESENFLRQHQNESFIENYLC >CAK93048 pep:novel supercontig:GCA_000165425.1:CT868671:161682:162468:1 gene:GSPATT00003917001 transcript:CAK93048 MADFNPQERVKKMVNAIKAEANEKAEQIKDMAAQQFRIEKNKLLNQQKEKIIEEYKKKIE SYTIEKRIQRSSKINQSRLSKMQARFELIQRLKEEVRQKMAKLIQDQSVYKELLKNLIIQ GMIKLLEPRIELTCLEQDVQLIRTILVECQEEFTIIIKRETTKDFKTTLSINQSQYLTEK GGKPILGGVVLSCANSRIVCSNTLDDRLELSLQEFLPDIRSGLFKK >CAK93049 pep:novel supercontig:GCA_000165425.1:CT868671:162478:164241:-1 gene:GSPATT00003918001 transcript:CAK93049 MYNPVEYYYSNDYYLSQITNSNFNRVNTSYSPKKVMMSIFRNDCKPFIKQKTLNLESKEI HPHIVRHKCIKQSFTKLAESILSVSNCFDNIPNTYTKTEKFVQKLKPKITTPSPTPPKPH NHEIYRIPGRLHKGALLRNFDNSINQIDKSYILDSKQELGTLSMSPKPRAIDCFQEQVRQ KNQQISNTVNMVSHFKELQQQLTIRKSILNNEITTNTPKQPSSNIIDQTIQAMKVDCFYT KLSLNNINHLVMIQFENVLGFDESSYFGLQTDFLTSKQYDEYVVLRDHYFQKVSSSQSFY ILKNFKELFNSISRVYQVCLYTIHYPQLLKEFLQERKLRVNCGFQILNYKIDTFVVDISQ VFIDLQIVTPELLILIQPCQILGQQEQQISSNSKIPYYEFHGQRFFLPYSGEIHPNNCRL LALPLLSMESLLKQDEQSKGFVQISYFIEQFTLALQSESYFVKFLNKSQNRIKTIDLSRY FRQQNQKILQQLFFIDTLKIQEQKQDEFQMKKKEMIQRIIQKFDHSKQIEQYTNDLMFRN KDIFKKMRNYKQENVNKLHKLQQDLSQQVIRYNYCEMFVDTTYYLAY >CAK93050 pep:novel supercontig:GCA_000165425.1:CT868671:165032:165300:-1 gene:GSPATT00003919001 transcript:CAK93050 MEQLQRQPAKQLYFTTELFKNKFITEKEKIQLKEFIIAQDDFLTSYFDQYERNDITEQEL REKLIKLVRADFFKDTKYKQH >CAK93051 pep:novel supercontig:GCA_000165425.1:CT868671:165637:167373:-1 gene:GSPATT00003920001 transcript:CAK93051 MNNIFIFITLCLAKTQEQWDIVYQSFQDLNSMDESGWTVSNNYNGLLFTTCNGSRLFGGF NAFGYSTIVSKHFSLPPHYKINFTFEFWKIDFCQIDQVYFFLDYEEYWTNYPQLWSDPKY SQWLNDRNNYSQWLNDRNNYSQWQEEYELCKSKSGSGWYEETEILTGIFKHDSESLIFII YSYVKGGWGFRDFTLSIVRCSSGCLYCSDNDYNNCYQWVGILSLRHESIDLDGWMQNDNI QPATSKCLNFDLLGGQPNLALNDRLEKIIQNLSPHYKIQINFQSWLFNYHWDYNFYFQQL VDDQIYYQTKFLLATFDFLCGPYNNSGSISNIAVTQPHSSSQCNISMRFTVSDSNGYWGI RAFNIYLAKCQNGCDECVGPLKTEFTVCSSGLVLYNNICTNSPPMLLSQISTSQIKDLQS DDRIPIEINLLEVDQQINTQGNFTLSVKNQYKFLTIQVYVRCHPKTRINSLFQSNKYQDS YQYSFFLNCQQAFNTVNYNLKYEQRIIKEQEMIINTSDTQCVIYQVIRVIDELPLFVKIL EIIIEDF >CAK93052 pep:novel supercontig:GCA_000165425.1:CT868671:168714:169109:-1 gene:GSPATT00003921001 transcript:CAK93052 MEFTFHQVILIQQYLFTCYIIVTKEYLTYSLLGPNSQAKFLTQHQPYLLFLQQPLVLGLI LKDIWRHLNSFDNFDHLFKFLYVFRYILFQSSIILTFYGKIIQIPLTLSFLLFFFSIFQI LKLMIYFLISL >CAK93053 pep:novel supercontig:GCA_000165425.1:CT868671:172332:173974:-1 gene:GSPATT00003922001 transcript:CAK93053 MKHNIFILILLCLANTLEQWDIIYQSFQDTNTMDTSGWILSNNYNGLTFSTCAGSRLYGG YNLFGANTILSKLFSLPPHYKINLTFEFWKIDSWDAEYVYMFVDDKSFTKVYQFWEGDSL CGMSTEKDLVEIITFNMNHTLESLIILITSNLNQPPTDESWGIRDFTLSIVKCPSGCLYC SDDIFDNCYYWIWFLSLWQSSIALNGWIKNVNSSPIPSKCVSFDIAGGQFYLAPGDKLEK TIPNLSPHYTIQINLQLFKIDTWNNENFELLVDDQIYNQTVLKSTGVYSICGYTGLERIV NIGITLPHSSSSCKITMRTNQYSASVSAYWGIRAFTLYLAKCCNGCDQCLGPLKTDCTHC SSGWITYNNLCINSPFYLLSQVLINQVQDPHSDERIPIEINILETNQQIVTQGTFTYSIN NSQKILNFRIYVKCYANKKFQSYFIKCFQCQSQIEQQFSDVCYGANTFIIYNARFQVSEQ DLIINTSNTECSIYQVATAANESVQIKILEILQQNV >CAK93054 pep:novel supercontig:GCA_000165425.1:CT868671:175479:176425:1 gene:GSPATT00003923001 transcript:CAK93054 MISSKQKEIITKQPLKNSQDGKNIDEKGILQRGTQKDKIINASQLEQNPFSQNNISILLF QCHIHIVNIKLRIQILKYIPNKERHIRIFMILLYQKDQLKRSNLYTSSLCLSNYQNLTQN LQINDIIHISFLQSILFSQSIKNVIRLLKNKITEKLNDKYNIQMGILKRIMLQRQKYDQL SKNEKRNENNKQQLPQHQINTILMKIEISMKMTLINFHYTKK >CAK93055 pep:novel supercontig:GCA_000165425.1:CT868671:176933:177616:1 gene:GSPATT00003924001 transcript:CAK93055 MENQLQNQSIFQLPNEIILAYGSIQKQEFDFNSQIELIKIRTFTYSIYTQNGWQNRRLNI LISVQNQSKQRTLLTTLIYQEQEANKFTVFTCWLIYLRIKSENKESNVKATDEFIKYKSV SENLEQNLEKQLILDINKNIAYMSIKSN >CAK93056 pep:novel supercontig:GCA_000165425.1:CT868671:178354:180014:-1 gene:GSPATT00003925001 transcript:CAK93056 MTLNIFIFFLFSLASTQEQWDIIYKSFQDSNTMDASGWIVLNNFNGILFSTCNGTRLFGG YNSFGPNTTVSKHFSLPPHYQINVTFEFWKIDSWNAEYVYFFADDVSRQKQFLYDDGTQL CGSNQINRNEIGVPVTIIMNHISESLFLIMTTNLDETAHSESWAFRDFTLSIVRCPLGCL FCSDNDYNNCYQWTGILSLWHESILLDGWLKNDNIQPSTYKCVSFDLVGGYLNLAPSDKL EKIIQNLIFHYQIQISVQLWKIDTWNNENFELLVDDQIQKQIVLGTTGSYSICGSTGLES IFNIAVTLPHSSSSCKITMQTNHNVATTDAYWGIRAFNIYIAQNCYYGCKQCLGPLKTDC SVCIREWVFYNSLCIYPSPMLGITIRITQIKDPKSHERIPMEINLLETNQQVVTQGTFTY TIDKNLQILNIRVYAKCYPNKKMLSYFIKCIECQSQNQYQFQHYCYGAINSIIYNARFQQ KTVSEQQLIINSSDTECSIYQVVNVGNEQLQIKLLQILQQNV >CAK93057 pep:novel supercontig:GCA_000165425.1:CT868671:180524:181049:1 gene:GSPATT00003926001 transcript:CAK93057 MNQRQIQRQDHKLQKQPSYSITICINKQYYLNLQHLNEQARLILILELIAGWFDIEPFRD QISFKTLQIKFRQEKQQKQRMNNSLECKTAFTFQNKMLINLRTNPKSKKLMAKKVQQDLV ILNLEKKPLNNVLQIFVILKIILYLNSLFNVYNQKDNLQLIMQNL >CAK93058 pep:novel supercontig:GCA_000165425.1:CT868671:181177:181538:-1 gene:GSPATT00003927001 transcript:CAK93058 MPNCTKDIRINQNMQLYKYTKILWILSNKNYGSLFSTYNDFRLFGGADTFGANTIISRYV SLPPHHQIKVTFEFLKNFQNKSRLDIGIMNLSKSYNWTESNGLKWMWKQK >CAK93059 pep:novel supercontig:GCA_000165425.1:CT868671:182754:184516:1 gene:GSPATT00003928001 transcript:CAK93059 MEINSISSQNSNNQPFQSTLQKSNQKQQIINCIKQKQKKFTQNLFMENQNENPVETKGQV EISQNLQNQESQLNNEDNEVNTKPEELEEKSEKEKVDNQSKIDQQINNQLIEVENQEENE LQQQKQNPVLIGQDNLNIQEYLEKQLERYKKIEAQCVQQKDKKVIKRIMSTLEGQLEKLK LNNLDQITNDISNFGGISLIKELEQTEESFFSQSKIQVHLKEIYKFYSKIFYVRGPSDDF TRINHESQTLTEGKFMIFCRQFGLIDEKIHHIKTQSSHSKSNRILNDVFATKPNKLVPAS QMSHLQEQMRILSFKELNLLFRRINQNQNEITFINFVLLLKELSKLMYKDQTRAEIKLFQ YMEVDSTQFKKKMKSLNIPFESKDAKGFRKPEGLQLQRFINYSTDELKSKRILVEEWKNV KKQELRDKIISQADNSRSKSIFFEPSYIKTNNYRERKLRMIGLDANSNQVVNWEKLDKLD PKLLLHEEFKPEDLIEEDEDEDDKYYLRSYGLSRQKNEDVKKISSIDQKLLKYQLKTEST KRCISNISKIEQKFEGKSSYLKLPRLQKK >CAK93060 pep:novel supercontig:GCA_000165425.1:CT868671:185001:186637:1 gene:GSPATT00003929001 transcript:CAK93060 MDKLYNSLAPKFKGIANSYKSLASQTKQIASNTIDKLSKQAIQGDIVAISNRLFWMEYPS NDKIEKLSSYLNTNHQNHYYIWNVGEREFTTEWFCNQVANHSHPGYPCPPLIELLMICKN IIYFLSSDRNNIAIVCCQETRGRSIMVISSLLAIMGAGYPGECLLRVCEKTNTKDFQALF PSQHQYITYVGNVLNGLKLNSSCLRLISIVISGIPKVQNCTMFRPYIQLFKNDKPIFNSL TDGELKNYQQGDLSCIFDLKGIELSDDILIRCKHFENNKTRVALFRVMFNCSFLFDNVLR VWDRELDKSPQMKTEKDFFVDFIFERGNQKSFQTADRPQTFSNDTKSSNQLLLQIVQECK GLVVKEKHVIDGLEVQEQQQKTKEEVFSLGNETEKKDNEDSDEKLPQLKQQQNNRSPQDN IQPQQLFTEQVKNPPQQQQEQSVSPKQQQQQVQQEQKEQKETLNQQQVKQKDNLSDESED DDEQLVAKFEQKIQTKTGDSDEDCDDFLDNLIKQGDKQE >CAK93061 pep:novel supercontig:GCA_000165425.1:CT868671:186686:187423:1 gene:GSPATT00003930001 transcript:CAK93061 MQKFHLFLIVAVFSCDIDEAAKAFKSKQIRDPIFPYTKNPYEIVDPNYLQKVSDNLKDTT SVCAIKYDDYEKQMQSDLNFRYHLKQFNSKEEAEENQFIVTHQGKCGACSTLQDLAVYLT TDLTRPVRKCGLMYGLSQHYLLKCIKGLGFTDTCAQVWLYNTLNTKKSCFWPCMQQIHPN SKSTSFLTNEDFVKNGKLNKCLQCDEDISGPIFKYESGRTRRNSGIKSEIDRPDDQIYDI THCYY >CAK93062 pep:novel supercontig:GCA_000165425.1:CT868671:187430:188543:-1 gene:GSPATT00003931001 transcript:CAK93062 MNQVKQDNPLQSNYEQSRIGVAFGDDFEKGPVSFRAEVHFNEQKAIDQYDTLKNIVGDHI DIDWALVCFLRYQPGKKEALIESIQKIKDRPRFEAFECKIHSDETYVYFSIKLREDEESK LKGSLEVILENGLREMVKKQDNYLNFEFDANYDFEELVQAVSKGERVGAAFLKQIRAELN LYLTKNFYQGIEKFVEGIDKEALESPPLAFLKHFKNLDMDLRFRSTDELPQVIKNQMIFG EEFQTLAQEELQLPINRTKQVNAIMEAAADNGFIYLTISDLFALKVESHTPKLSTFLTKY GKYLAKLVGLGEFVPEA >CAK93063 pep:novel supercontig:GCA_000165425.1:CT868671:188564:194310:-1 gene:GSPATT00003932001 transcript:CAK93063 MRWNFLGEFISLIIQTNLSPSLNNSYFTTFQITVYYLQLTYFLISENHEYIYRLINALSR ISLITPIFIETYEINILIGVIIIILNIIPYLIVIYRRIKNVNKKVGNISKSILQMATMII NFYFLYFTWFLYLPQLYYIGWNYLQSDSSLNIIATIVLFFTVLTLTISNVYFVNFEFNEQ HLRKHFSYNNLIAQTFVIPMAILYLKNDEITQIVSRTLHGLILAMQIYEAYFQLPFGFSQ QGYIFNRALMTHSVVFLFSSIKALSTASPYSLATIMLIMQPVVQYLFQILFDSKRANAYL SINKTNNQYYELLYIEDFFELSQLAQKNKTKEIELIQKFSLHMNRCNSTKCQCRKIGSQK ILLFDETVLLISCLFKGSFEKHKLNYQDLRVFEIFSLKFLTFINKYKHNAPKTYQELKIF FQKKRDYSFYFIQMCLLLQFILQAQMQKDEDYNINKETRVSNVKLQVSKSERSIVQNLYQ MEQVKQNMIPLLTEVSQFKSQFWKSFKEGKFSDYLQIEDQIKKLQKLRDDVMYQFNIYYQ IFYQNGRTYNVQFLKVNALIDLLLFNNVRKYFEMEKERREILSFEKSMNSFEITNINFFK GEAISVKVCIAFGPNIGKVLNKVISPLIPKFFGFGKFPNPTNAFVDFTKGNINTLMPVWL EPIHDEIMQNYIRRGVTARIGKYFQTFAKLYDKTLIRCQVYLAHNFSQELEDDFTMIGCL KSLEEEQPKFVGDEGKKLKNIAFKGAQHILFDVNGNIMGITKGLYKMIERLQRLVTKNKV GTYHENDEILQQSGQKSKSENSSVESESYDVFDQKWSNAILQIDDFYNKVLIWMILPFIS REIESTGIEFLMNGQAPPKNRYANLIDLENGNQVVQNKETYLFVPEDLNLFVQQYDKVIT KIVDDLRVQSNNFSSGSAYRGGQRSEYQESDQQSLVQVVTIFDEKLCVFFYEEHLKRHQA LLFGQTRQSEMQKSQGRPSSKSSIPEKQTISSEEDSEQLKTRAEKIYQDRYSKYIEKFTP QDFNPIPVVYSVFYEEYRYKKNDTDHKQQMFVIELIVNDQQLLNTEKGYKRQLRETIKQT YQNYQQKKLLLEQRSEVEDSMSIQGNISEQRSVHEGEIINYNFPSHPSHYYEDIYFVENN QILQTDQIYSPRQDGKSEGMLLSGRSRQSKPLLEKDTKTQGGQKKKSQVTVNSDHSREEI QFKGSYSNLKFPEKEIAFKALARINQKKKQQDFFNDNESKIPVESKGSQQQIFEEFQVKY SDGLKLFDSQYKVVQQKTSDMKNPNSYKIQKYLLITTFILIVSYIILISVAVQKQYNLSE CYDLLELMIATQKSYSQITHSLYRLELSDLLQIDNIDILKQFYEKQIFSELQQLIDISSS QIIEINQVQVSLDLFYDIEDKVQIKPTLQQIIQFTLGQFYRLKQNETRVNFKYNSSLTLA SVANLEGIGQLPQKAYDYCFDDKVSNENTYQTLLIVYMVVIFFLVMILQFSHIPLIGKLR KSHRTFYKEIIKLQSNEVNDEIEIYETVVNIFKKSIYEWMLIDFVQESQMFEITREHRTN AITPGREMHTDISQGAVSSSNKKNKYNLMEKLKKSQMRQVKYFVILMVGLFVILAYFLII FLVIFILSKDLLSNVEILFKFKLVQSSVINLINNMDLVAFSSVNDLLFDNVMSVQTYQTY AQVLSGDTTDYFQQYSNEFFSALIDNNLKFNLDTINQNNICEIGIGIDCESTDAITLNPW LLPYYQQGLKSLLTQVDKIISQYPYFFDDQNAKNSQETLFEFYQSQEHLIYIDYGSELII KAQKQIIDTAYQQFDQSLNFYKQTLLIFTLSVGVAGFCIIGFLGNLILKMQKDSIETCQA ALLLLSPKRYLNKSMGLLTQKKL >CAK93064 pep:novel supercontig:GCA_000165425.1:CT868671:195017:195499:1 gene:GSPATT00003933001 transcript:CAK93064 MFQFNNNPHKIFNFYIDISGLDENFFDKSNIDTQQQLQIQQKRLRNHQKSIIKVAQYFQK SKLSNSLRELP >CAK93065 pep:novel supercontig:GCA_000165425.1:CT868671:196098:200386:1 gene:GSPATT00003934001 transcript:CAK93065 MITFAFFLVVIQAEYVKQILRDLSDLSEFTPTPKTQVCSVQNYDMVILDQQNPSLSLKTP IEKAHYFIRLYVDYMFLEQWQGDTIQVLLNNNKIDEYTYIQTDKSDQGRICRDSTNSKYM TREIEFYHIDQYLELQFQVLYKDSTISSPGADYLPKLGIRNIQVNALECHPQCSSCSGPT ENDCRTCPQLAKKGKVSNTCKCPNSTPYIYADNCIAYCPKNTFLNEFKVCFGSSLIKNLE SSLLNSFDHGQNNKQSLWSISMTRTTKDQLLFRHKDSYLIGKFEERQTLTVSQYSLIGHH RIRVRFNLYLFYTWAVNEYIITIIDDVRQKPLLYSKDGFINYANNDSCTNQEINECQVFL VDYYLNHTSDNLKIQIYSDTYKQSTSRWAISDFFIDALKCTENCAKCLNDQVCVKCETGF LLLKQQCVVTCPLSYSFQKDNKCVEMDDETEYSEYLLKELVSTKLHGTIPGVTSYFNGYR HLGGLSVSTTRYNQKFQYLKPHYQIRAAFKVDLLNTNQSQGYVSINLGNYTQIVNTDPAR MFQTTTVNFTFAHSSKYLKLAIISSSFNNYFRSGISNMRITIDYCYPTCTACTGPGINEC LQWSYDPNYDKQSNLCQLSTYFYNDSCISCDSQCQSCSQQNYCTLCSSAYTQSGQSCYCS KGYYLDESNTCQKCFEKCSGCLGPLQEDCFDLQSKEIACDISKGYQNGQECNDGNYNIRD GCSNCIMDEGWSCVNQIGKQSICIKCPLNCMDCKQNQNKLQCLQCQPGYFFYQNGCFQCK SECLECNYIDQCTKCTVPTIIPLNGKCSYCETERGYYEVNGKCQSKCGDYRLTAEEQCDD GNLIDGDGCSSKCTIETGFSCSNGICSVVQLQNNLELKYSNKTTTNTLKLDFGEYPAKCD EKYVKVYLENFDPKDFKVFLNLKEEGSMKYCQISFKFYRNVRDYDVIHVIFYKNKQRLLS VDFEEMIIQARSETFYSDEEEQQAQQVQQLQSNFVSALYFLGPGTILLGGFNFFFSILDI LAWLNNFYYFNVMYPANVNIIFLNPAWELMNPFDFTPIVRVDTDKDYIESPFRFEQKGVD PYFLNNMMMCFVTWLLCAGLFPICKCTIFIIERIYQRSPKQKVRTVKIKQMPVFHLDQNL ESQQPETEVKLEEPKLFPSIVQYIYNNAYEYQISFRAIIIKQLNLVYLDICMASVLQLQF MDKSDQPLIFSQIFLSFMGLFICISIFLIAIKVSKQSSLLLNTKSYQFDYSTLYEGIDVK SDISKMYFSISFARKMLFIVFLVGLYHYPLFQTSFCCAASFLNVMLLLYKNPFESKVDYI QNAVPDATIFFVLVLCVVLAFDDKEQKYSSKTRTNIGWAMLSFIGLSVLTQLGLILRQLI IDIKENFQWIKNKLFPSD >CAK93066 pep:novel supercontig:GCA_000165425.1:CT868671:200472:202053:1 gene:GSPATT00003935001 transcript:CAK93066 MSRKKRVSWFFESQPEPKEEQNPSSLHNNTVEEQKQDQKIPSAQIAQPIQAVAQAPQTIP TTQFDIESNRTRKISFIPFIGNRVAESTPPKLSTIFEEQPSSKKQEPQQNKVPVVQQTQI QMSVQKQPTIQTQIIQTPQQQPFRTPKAIQIEENSGKQSYRKNVKPIEIDIVKELQQLSS LAEMNEATLKRVITREEQEILIIQDLLTQKISKLQQKLIQLNEIEQKQLDSRMSNNNKLN QLQERENIIENQLDEPKKQEQKGSSQQIRAILIQCLGYKVSHIYYDKKQYQITFTYKQAN VQYTFQNQKQIDANLEVQEIFNEFYRELQENRLVLSGLKVDYKQKTEINKGELLQLSLKH QFQNSNKMANEIIFSAIHQMPILLSKVDELEQQLLSNNKIWGTLWKLDSERGSIQILFSH RCRIDDKNLQSNSKKKIIRFEINLRTFEIQYQFYKYFDEIISPFIQKDSKRKKSVSEISF GERAEGLVSDEFTEAIQDYLSRKPNLQELVEWLNIIQLN >CAK93067 pep:novel supercontig:GCA_000165425.1:CT868671:202088:205656:1 gene:GSPATT00003936001 transcript:CAK93067 MLNSSEQAATSTLNDKLEDLKKIMKQYQKYTSDSQNEFEQHFKKYGPFNKQYSQQISLTV NDPISLGILLYLNQGQNLMEFIQLFELISKTTLSQCSEFSQFFSQSIEQYNEKMSIFTKI ITDGNFQQSQQLAQHRQNWKKAKDKYDKLCKEIEVTLSSSKKNSGDALTGYDLNISQKND QKLKELVKQVDPAEQQYKDAFEILTIKTKEFNQAIDDSRQQLIQAHQSTYQRFVEIAIKL DQHKLSANYQIKAQIHEKQEKILTMPKYEYEIEDRIITSKYEQDNQFRYINTMNKIIEKK PITDAEYTMFPDILHKHIDAQLNFINERLKNQKALPSYLVEISNQMDAVSKNLQKSIKSA LQFIQDKSDKDKSNFIKPILQVQELLVKQNDQYSKKYSSQSQFIQLKAQGLDQLIKEQKI QEKSFLNMYQKMLKDFQAFKQQMLENESKPQVKENYLQQLKKSIQENCTAIKFSIDQIRA NEFQRSSHFIQTIEQIISHYSTFMEEIISQIKQQDETLSQIQEKIGQTDYGTIINTKLEK IDLKFQKNFEMTDEIKSKIETANLLQQQEESESDDINLEEGSVKISQKLIEKFRILDGDK CIASYACAFDNKILLQGRMYIFSSKVCFHSYFNGKTLFGTTALGIPSIDIQSIRRTKAYM VDAALEFKTSKGTLVFASLSNRDRTLASLKQVKGLEQGIIEEKTENDPERNTQSPILESQ LLAKPQDKQSGMRSPSPKPQTSFTEAINLKSLDQSNDKLQQIDRSPKGQIAQQQQQQQQQ QQQQQQPIIQLQQIPQIIINEPTQQIQEMKSSPESSSQQKLNQTTNSQVTTEKKQQQSES QEQSNPALPKVSQFEVDKNNSLYNEIMIQNKQNRFMNQANIVKFKIQLKVTVTEFIDFFL LDTPYENCLSFPHYYQQVLCQDKEVEFKKYQPQPQLGQISKRTINFIHPVKEKQMFAPDV VHCFAEDILYYFNQDEILIEKEVKFAKIPYADSFCCRVFWHIHQIEGGGCQVNYGFYIHF MKSTVFKSKIESGSKKENTEVWEKCLKQIYEEGQNKIIAKRIQSERSQPKTEVASLFSAE PQVEKVIQQREKYETQQINLEELQQESQMLTNNQTKQQNQELLIDKKIKDDVIDKQQILS GQIELNSKLDKLIQLSYALIGILIINVLLTLGK >CAK93068 pep:novel supercontig:GCA_000165425.1:CT868671:205924:206709:1 gene:GSPATT00003937001 transcript:CAK93068 MENINIIISSLSGEKLKQQVPVQTKIIDIKAIIAERKGLPIQAIILKFNAKDLDDQKTIQ ECNIRNGDSIILMKKIVTQKLSNTQDLKASQIQKNEEQYKELLDFGYTEKDVIDALKKTN NNYQEALIILSNKSTENIEEEIQFKIPTQKEQYQALLSEQIVHELRERVSNEHEWNRTIE TIQQQNLQLSVLIQQNQDQFRHLQQQHVIKNIINIEDEDLKMLIQLRDFKRNLDDKTILK IYLQNDRDLFSTMQELENLQE >CAK93069 pep:novel supercontig:GCA_000165425.1:CT868671:206722:207605:-1 gene:GSPATT00003938001 transcript:CAK93069 MQLEILVSINELIQDSLPLNPDDEELVKNVSVITKFHKMQMKNMGYYEGEWLNGMRHGQG IYTWIDNTVYTGQFQNDQMHGKGQILHPNGDVYEGEWANDLANGFGIFKQTNQSTYTGQW KDDLQHGDGIEEWPQQIKYEGQYVKGKKHGRGKIVFEDGSRYDGEFINNQACGNGEFYWQ EGKYYKGQWKDNQMNGKGEMFWPDGKHYVGEYENDKKHGQGQFTWENGKVYIGGWNQGKQ HGKGFLVEDGVKRECIFENGRLVNNN >CAK93070 pep:novel supercontig:GCA_000165425.1:CT868671:207808:209489:-1 gene:GSPATT00003939001 transcript:CAK93070 MSLENAIVQWLNKYQQLNIQSFESLADGCAFSQLLNLVDPTFFSLASAKNLKVDTDVQKV QGHLIDMLQKVQQYRTNIQCKPPDYIEIDVFEITVNQNRQQILALFELIMKVILSSNMRG KFIEPILMLEEKDQETLMHFLKNHLDESEENLTNEWQEENYIQNLVQKMDEIESYNTELK KQLNESEEKKKSLKSALDDALVQLENKDMEIRALTESKCHLEKCLEEKMDFQHEYKESEK IHQKCLEQEHKLAQYELIIEDFKRKETQFIQLKEEYQQYKFKLQENEKLEQKIESLQERR ELDKDVYQKGKERYEKEITTLKQNIKELLLQQSELKEAKLNLELELQQKQARFERSQEQI KNLETEYGQKIRELQDLFETLHQAEEEMPKVASNTNQQLSQEMKLSANLKNENFEREYYI KENHKLEEKIKELQAKLNEKEMEDESKNQKSLFESQLQQSQQISLLTDKIKQFQEHNQQL KKQNEELKKQTQNKKVTQIDYEISVLSLLSEALAKKVKEYKGQEKEQ >CAK93071 pep:novel supercontig:GCA_000165425.1:CT868671:210048:211981:1 gene:GSPATT00003940001 transcript:CAK93071 MEQIVWQVKYPPTASTERARPSRIDSSSKYLVYCISNSVIVRHLEDLNKYSVFDQHKFDT TAVAISPNGNLCCSGDAKGSIMVWELNIQPHPVFRQYEDVLGGAIKDICWTGDGERLGIV GEGKTYFGRTILLDTGSSVGEISGVSLTLNACAMRPQRPFRLALGGDEGSVCWFEGPPFK FKKSMKQHNNSILQIKYNQSGDHFVSVGADKKIYLYEGKTGEVVKEITTDSPHTRSITGV SWINDTTFVTSSNDTTLKVWNFDGLLKTLKIPNAQEIDDMQVGVTVSGNVAYSLSLTGAL NVWLDVLKVEEGPTKRLFGHNALVGQIAVYGDKLITGDNNGRLLIWENGNSIRPAGVHHT KQVISLSVSQNVLYSLGGEQLKLTNLETNTIEKTTDCKGLTLKLQANQSNPNICYLLMEN GLILEFTNLELTREYQLGYEVSNFLLAESLFLVGDLKGKIHAVSIESGAETQTFQLQQTK ITAMALQGDRFLCGDTTGKMKLIDYKENQVVHGDRWTHHTTIISSLSFTNSGKFAVSTGY DNKIMVWNLQDGQRVLERIDAHKRGVLTAIITSDNKVLSSGGDNSFKEWVLNLE >CAK93072 pep:novel supercontig:GCA_000165425.1:CT868671:212813:213835:1 gene:GSPATT00003941001 transcript:CAK93072 MSMPLSKFPSIEIIKVAGSGTFGYVFEAYDHNTKQKVALKRIEKVGNLLSREYEILFEVK ECDHIVKILDFFYSRTDAGKLIQNIVFEYMEDNLENRIQTYIKQGKVFSELTIKSYIYQI LKGLQFIHKKGIAHRDLKPENILINDKEVVKLCDFGSSKMINTHGQNTPYIVSRYYRAPE LILCVTKYDVSIDIWALGCIMGELVVKEALFKGKSEGDQLFAIFKVMGSFNQSEMDYFAN KVPFDHKIFFKELQKYKKQNLKEKFNQMKDLDNFLDLLNQMLQYNPEKRISASDALKHPF FKDVADQ >CAK93073 pep:novel supercontig:GCA_000165425.1:CT868671:213836:214423:-1 gene:GSPATT00003942001 transcript:CAK93073 MSDDENQFEQGNAGSSHTYTESAGSLKKGGYVMLKGHPCKITDVSTSKAGKHGHAKASIV GKDIFTNKTYEDSAPTSHNIDVPFVTKKEYTLMDIQGDGFVILMNEDGSTKEDLKLPETE DDFNLVKEIRDQFDAGKDLLISVLSAMGEEKIVGSREAQDK >CAK93074 pep:novel supercontig:GCA_000165425.1:CT868671:214543:215221:-1 gene:GSPATT00003943001 transcript:CAK93074 MNKGAEKKRTSSFNKQTINQICDRYDTQQKQFYCPQQRKDVKNLINNLKLKSSCASTSVV IPQNVSLKNFLAGLTHKSPKKQMKEHSKKTPSTSLHTPTDFTIRNTEQQSELIKQQQSIL HQQSQQTSYRSLLQRNKHNQWIDLINQKNSNYIQQDLIALTEYNAQLEIQSTNNCDWKYP YACYKEDRNHREKQIIENLEFNCWLEQMKTHLDVRRAQ >CAK93075 pep:novel supercontig:GCA_000165425.1:CT868671:215334:218815:-1 gene:GSPATT00003944001 transcript:CAK93075 MNQNYIDQGYLTKKFYRAFGNYFGPHTSTPSLASEYLSSTRTQTSNLNKQNSLAKPITTP QNVSKPINLNSVRVKTQTQHISKQQPQVHHIKSLSSDLKNLHQQKRFKQEKMILYTESEQ HPFLIIQKKARELRKIESRKSTLPSIQTESQHSARLPEIPPLLQIPIIQITEPLEQHSSK GQDYESDKEDDSQEEEDVPQGEKRMKKLSVRLKFKNAVQSQVSTLFINKQPKSLFNVIIS PEFTLKHEEERQKISVAFEAGNFILYKAKQFQQKQVIYRKKLFVNQLNIKHAHKMNNIVS SQIAKLNTTNKAFQRKIKMILTTTENSKEIEQPQKFSLLSKYKKSQFGQSGSNEHLAQDI NLNKDQFTITITNDKLENEIQIFNRPKFIQIDQIIEEVQQAQSPPKQASSPGKRILQHSG SVHYSQKHINLRHSVSQQIIKEELSSVQSIPNIEENNNLDFNQEFSKSNLYVRLYYQSRL HKLPRKTTFVGMNLQEIEDYFTSQQQLIKLTYINFQATEVPGLEQIGPTLEQNLDIPKFI IYQPKLSLTFNFYSTETFSSSESDLSQSLDLRLEEPIQSQDYNKKTTKSLNNLEEEKDET IFIEDDLKNFKSISTGQLTLIKKAKNHFLQPLDLVCILSTLDQNTKNQLLTFPQQAKPID QVLDSINSDNDCWAYKQLEKYYDKLILKRRVIDQLAIRYDQILIGRYQDKEKVIDIEHQD LIETLQNLPEIPTLTEIENQQKSQKSQQQNNQQHQQQQQQVTNQQSTKGEQIRQIVSIKK NILNKKQLKKNQTQTINIQPNLRTKQTSNSPDQSNSRLTMQYSNQLTNQHTNQQTQNNII TQSTTQFLTKPTNQSQQSVNVTGTKIIQKSKSNADLSETSSIKSSNSKLEISSQKILEVE NQKPQTKNQPQHLEVAEIEKKIKHNKTKSENLINKIFEQKIQSMQQHSLRMRSHAEEQAK RQKYDQKYQVKFAIEDNNYQEFLENFKQLPEMYTDYRFQNNETFLTLATQSGNKDIVKEL IKRGADINIQNDDGNTPLHLAIAYSHFDIADMLMFSGASSHILNKQGRNAWNVI >CAK93076 pep:novel supercontig:GCA_000165425.1:CT868671:219334:219659:-1 gene:GSPATT00003945001 transcript:CAK93076 MKVVILILILTILSTQAQTSLRGKSKIEQNEELSFLSNDYTSELQEYSLFVQLTKPFIET APMDVMMLNHEMEIARMMGNPGITMMNYVLEESPLRPAW >CAK93077 pep:novel supercontig:GCA_000165425.1:CT868671:220094:222005:1 gene:GSPATT00003946001 transcript:CAK93077 MSNGQFCKSIGQYQIDLTSSLGKGSFGEVYIGKDTKTQEILAIKVTSLKGNEKQIDQTIK LCQNECQIMSRLNHPNLVKFYSFQRTQNNIYFMMEYCEGGTLNQYIDRKCNKSQLKYLAE TEARIILSQIVNGYKEMYNQNIVHRDLKPSNILINKGVAKISDFGFSKILNDFDNQILMT FAGTPLYMSPQILTQQLSRQYSTKTDIWSLGIIFYEVLYGQIPWKASSIHELMMKIQSVP IKFPATPRVSDQMKQIISKMLIVDEKDRMSWDELFQLQIQQDQESLLNIGKSVIMINQEK DILKKKNLEKNLNRGVVQNIQHGRQQQEQIEKAYPKIDDYSNKSGTTQYTQSQDKSSVSP SRKEKIFSQKERSVSPVKTLANFNQNQLVLGKEQQQEIINNTIIKKISDWITYKKNKSEF LNKVSQQLFEQWSAAKFQLHQSIYLAICFLLTKYQVLILYKIYTRLQKKVLNNTTKKFTE KEWAIFCQSKDYPESLKMIQRDYSLIKEFLIELVKKTQAALSDTQIEEMKQIKSIANMEQ VEKKKFDYIFQTGLQYYLKIVLDQLHQEQNDRGFLEFAVRLKDCCRIHDIINFQELDFFK YEDDITNSSKQQLLEQLLK >CAK93078 pep:novel supercontig:GCA_000165425.1:CT868671:222056:228367:1 gene:GSPATT00003947001 transcript:CAK93078 MILFFLLSLVRSGEISPYLRKPIEPSVNNELEISDYVFTYTTATDMFKGDQLLIKFPTQY INLPLTRTAYQITLQKWNPDLLIWQTMTGGSCFYIPTNNKTPSLFKITLPDVKAGNYKLT IPSIPNPLYGGTGNFKMETRRNDAYTKDVNLIDFNYAFGKVGIAKAAADLSGVSTTYSAS GVNLINKVTFTFQTNILIPKDGRLTLDLSKSILSISDDQYSSTTTLKGNITRQGMMFQIN GFEDNQAAASYEIAISGIINPKYSGIPGEYTLRTWTDNTNTILNQKKAVSFPSITPGTLT DVKVSAHCTLTGQTEIISVNDITIYQITFSLINAVESTGQIIINWLSGVLFEPCYVTNGL YDQSESVQVKCTQNSNQFLIQNFKRVQPKTQIVVQFKATNPASAPLSNLEIKTYQDLAQL QLVDTYASVTGLTLQSFPQFGTFDITFPTPDHQRAAQTATWVVNWKNAIVPATSYQLKLI FSSGFSFSGLSCTAVNDAAVTDSGTCTSSGSILTFTFGNTAFSSTINNQLTIVGVKHPTT PGEYVVAVNLISNLNVVVSRRTVYFQVNPYKLTSIQSFRLSQDQLIKTLIYFKFPALNDI PSSEWQQDFNIMTSEIWVEFQTVDSFHPAPANYQFLTDLGTGLTDQADFPCYGYSKLQPL SGNSEIKCTLNKPIATPDPARVKVHHFRAVLKNEQFELHLPNIQNPECESYLVTVDDLCR STASVTIKILLKKNRIQYIMYQNDPTDVNLIFPAVLPKIVVKNKYNDNLVGGLPSQASPK FVPTTINQQSSIQIRYEPGSTKPLKSAVDTSQGIIFIFPQLPTTNPQAYIVNKNVQCSLG LLTQLNCYAYREVGWVVVIPTTLMDVPGASALDVTFYLKNFINPYYAADPIGFIQIITIK DNQQWERMIFQDLSNFSPGSAKSVQVSSRRYEANMIDVEYNWLFSFNNDIPAGGKIYLIY AVNFYDHGGVDRFIDFEVKQGLEPVTDKFQSSQNTNIQIIEGFKPYTKGTVISIKMTGVK NPPKAGLTNLFGISSLTSDNYYIDSTTDVTALTIIQQVPIGEIVFNHFRMYPDNGSPPDS IFPATYEISFYPTRNIPKNGYITITFPQDFQASSQWATLPTNPLDSLSHSFLDTITVDSD IRCYVSGSLKTMKDCSIENLTVKITLDTELRIEAGMEPVIVTILNVRNFNEDKNSGVIVV QTIYDAVVLDDSGTTETNRKATSGLKATFMTNTQYSLLYYPLTEGVQATYELTLKPTLDI KVTATIVVEFPAMFPYGLGGSVGCDIPLLSKATNDPIQCSVSAWQITLSNLKAYAPTADG FVVIITGVRNPNQIVTTSTMQLKQGIRVYIMSNATHAQEFVSNMGVLSFTPAASIVQLQQ LVITSSNTRDYADYTLQLQPEINGSPTKFKLDFQKGYQIASLWSEKKLSLDGTPFDVEPS GNSFSFDTTFTADITKTFQIVVQKLPNPLDEGICPGYPDLSLYDGTTKQIIMQTYSNLMQ PSTPDFKHAGKLIIIDDDDPNHYIEAGTYTNNITLSLYPVEAASQTMEISPLFDEAFKDI LKITPMLIDGGQKTGQFRIGVDTSIAVLRVVVKFTHDISGYIPVRPIYLWIQRYKNISIS VSDVFQVPAGGRSSVQTVEMSYGSYKPITFSFYLLNNQPKYSAVYPKTITLSDGQRTGQF WVSCGMDTEGANGQLLTIISGDDKPTFQVANRIRNFSVINPDLNIPFIVIKQIMIAADSL VQVRIVTNEPCTIYFAVVPTFIREITLQQIKNKILPYDYFNYPFIFGEYVYDSPTYEYQI LVDSLQVSTAYQFVAFVEDYSGNLADAPAILQFNTTNYTDPHSDSLSLTSTPTVFADTNT SLTLSGLSGKIHAIALKNPSYIYKNHFAADSSVLRSRRFLQDTTTTDTTTTDTTDTTNTT DTTNSTNPNDTTTDTDNNNNSTDIGVIKSDPYVPEASDYTCKVLSINYLTQICEPVADRK ANFTRIDYYAQCLLFYLILELIINPTGKQISRGENHEGILVEHYTTSSDGTIKFPNLVPD SDYFIFIIQESNSQYSSKPLMVKGTTRTLFELYILKLLVPVLIYILI >CAK93079 pep:novel supercontig:GCA_000165425.1:CT868671:229200:235092:1 gene:GSPATT00003948001 transcript:CAK93079 MDKEQELPTLQQQEKELEKKRTKQGLDQHFKLFNDLLKLKYASYTKTALMLIIAFIHHFL AQIRYLINIHYQTESTILDQMIDFCTYFDIQGLLRQDITINYMMLSVFLLATIGNTILYF ILYILQGDDYRVRKRFLGKKFVQLSEWYVWLLHYPAMVVFFENIVCGPTIDCTKSSVRDI MISLSVIGVFFGTINNSFLSVFFHNNINQKKDCFNTENSLYLVAFQIFRMIQAFLLTFNS SEYAFVWVMLIVIFFGYSYFLMNCLFFSGYMAFATTSVRNTFQILLIIMVSTSFSMIVDE LYRYNTAKHQFENFSIFFTVSFIFLCCCFIYNLKNSNFKDINFENAEDNQINKQMFIMST LIEVSNQDINVDLFFKGILQRHMEVDCRHTVIQGEGRCFCKKKKVFDSKKKKEVALDDWL IHKNIFVKFLMKSWLEIKLNQNPNSVELLILYARFMFYKFQNHQVSLHILSELEKRFLFI INRYKAYQLKTQIMKYVKHRNQQSYREKLETQNALYIEDIIETIKKNINNIMIQNCVFWK CLQKEVIDLEELDELLKNQFDKIEQTNQLWVQIQNYLDFKKKWKFYYAWFTLYIENKKLK NKILDNFQGLSVNENDIFSEELQENNLEEDIASIKSDQEQKIDMDKIDIKSKRIVFDKKA CIIQTSDDPDAIIIKVNKQFTKIFGYTREEVSMKFPIAQLMPDIYSKVHPSLLNDYRMTG KGHSLYSQRKVYCIHKTGYMFTAQKFLKLYVDLNGCSQFVVMLRPTDINNEKKHDVIILN SDWEINGMTPNVIQSLQIDQELFQKQKVQTLVNLLLFAPKLIQFSRACSLINEQTDLELF GMQKTKKRREQTVKSTKSNMLSDKIKIQVNMAHSGSSNQGIHKSGNAISSDDQQSNYHQM QSLEVPEDKQFFQYASSVSDKNEAQDFKHQIDQYSEMQNNMNEQLNKKVDEKIKQMQETQ YQNNYKRIQTKFDNNQGEASDDNLSKDEIIKMKGQFILEGKVNNGEPITFHMKISDQFMT ACKHYSDSKYKLYQIKKQQDDHVKQEEKQDQEKENKKAKVNESKQLVKQGTQQREFKKKA RLMFQKAAERRKNDEDRSYYELIKEIYRDILKNQTKVKSYKVICTISFYKIRDERIGIMK VTNIQEILKNKIRPTARKQSQMLQKGYSRGMLNYQTVEMSHLRSIQNIDGPKYMTMTSDG ESKIISPPLPYSTQPNSKSNIKINNNKSHGLGYQLQKEENLEDPDDKDALVNFKGQPKMI NWETFQNQFKMQQGTQSFSLNVLDNIFRKEDNNKPRKFLVKISYLSWFLRFMYITILTFN LITYFLKPYTDFGPIKNQSSRIFQLSQMQTYLIEAYDTVMDILLYKDANFSTLLVNGVAL GSQKEFFSYQTKQIDSGYEFIKQQMNDLDQQDTFLDESTFFSSSVVDETIFDIQGVPITL DYKDAFTKVILVEHQISIMTAEQLIQLTDSNSLVKYIRKVTIPTIYEELNTAIIILSEML NDKSNSMSDFVITVLIMESCIFIIGFFGLLLIIVWICQTFKAVLKMFIMIKKNDLNKIVK QQSFVQTQFQYILAKDDEISGVNPQYEKKFINNKTNYQQQQNFLLINEIEDQNIMKRKEK KILEKQLLRKLTIKMSFIYILFVVVSSSASLLFFLTLSDSSKKITQIISIGQNSIFDFSD SQLLLVSVKERFFNTEEYDSQCLPTIRGILESQLSNINVAPSIDNPNYGSYYDSFQSIYF NNLCLYLYENSFLDDVAYKDCETMLNGKLKQGIVAFNQLYSSVTQDYVLNKESRFGQINL ESIWQYNVAIDYIKSAFKVLLTSWSHDLGSLIDEDYTLILVLLIVMVVFQFLVFIIVAEM YLVNQLNKTFQFYRKIYKSYMPNDIIQKEKIIRASLIRYNIIKR >CAK93080 pep:novel supercontig:GCA_000165425.1:CT868671:235117:236145:-1 gene:GSPATT00003949001 transcript:CAK93080 MDSNYVLKGDEFMMKGDKALKGSTFGNIFGSKGERAEKALELYKSAATQYKLGKKWEKAC EAYQRCITCDQTLKSGETGDFYIEAAKAISNVNKAESIQLYEKAIEHYANENRLDNASRY KKEIAQIYESEFEFHLAVKAYQEAADLFQADGKRTSEYNQMRLKVAELSTLNATGDLIAA IKIFEEIGDKYMENKLTAPSAKELYFKSCLLYLCNNDSVGCGIALERYLDKDPSFASARQ YKFVVNLIKAVDNNNVQMFSDECFDFNKIIPLDKWKLNVLNKIKEGMQPIQQVEDGGFR >CAK93081 pep:novel supercontig:GCA_000165425.1:CT868671:236156:237196:1 gene:GSPATT00003950001 transcript:CAK93081 MKQQWNDINEDVNMITILKSKFKQTSPTQIYYQSYDQEGLLLKDKIVYKGILRNYTFVLQ RKEKNEFILDFNQYPIQLSILSNDVFQLSVLSYQFQFQSLTNSKQWILSLNYYINTSKPY CFQTPLPNFFLQHTIPFDIFEKRAESLDLLLFKNKSIACQLQRLITNSEYDHVALLLRNN KNLLHVFEANSDDGVCIYTWDALMQSNFKDYVTQISFRQLYTKRDMTLLLKLQDFVYKNH GKKYSANIMKLCKKRSITGVEKENYFCSELIAACYKHIGIMENDISSCQFWPKDFAGNIK LTNAQLSHEIIIFQ >CAK93082 pep:novel supercontig:GCA_000165425.1:CT868671:237695:239125:1 gene:GSPATT00003951001 transcript:CAK93082 MNKYTLKFKAKEIEEKYQAQSQSKNLKPLFYALLIMAMSLNIFQLAINAQRDNLAIPYIN MGFIIICLVGLYVMYKIEGLTAKIITITNAYSLSLQYNFSANTQAQEYYLYGCNFSLIQA VIYFSTDFHLSCPSLLLHIIFRQTSTILLTNKIDIQSLSLSMATAILITCVLYMCNKAYR IQFLMNYQQDTINNQLHKLINKPFSKIWFNEKKLQIDVQTTAFYEQFEQYTSDLCTGCNI RSFIRSCEVNNMTLEQALISGQVKFYETFEVKLQRKKIKIRLCQYNIDNSIILIQESVQK DPIQYKKVSKSFQQSLFKQFNAIRMTPQNQQFKFGTLSLLMLRQFQIKTINIRKLIRHLM SIYLTSKKVRLNFNGDYTIKIRTYGHLIKIFLIQVFCILQDLEYQQNYLEEINILNLETY MQIKLTIMDQYPFYPLYLRNYFIEQTAPYLLLNPLTYNLEFQFSKILPFNDFNVEC >CAK93083 pep:novel supercontig:GCA_000165425.1:CT868671:239781:240718:1 gene:GSPATT00003952001 transcript:CAK93083 MNNQPVYMSAEQINALFQRDSTFEMRDDRINSFQNDFKKNEVWDFKLVKTLKSESVECEE NRPTNQSESMMMSKNYLAQGLKQLGKKYDRRKDMEEKYKEDINRLMRELKQKCSELDCMK REHEIFLEQNQRQQNNLRLQIQEANNREQKAVIELGELYEQNMQVKQQLEIVQQKYNNLQ KQHKFEIDRKDKQIDQFKIQIMQLNEDNERLKAEHPTGFLNLDSQRVSQDKTQNQWAQFN SSAYKSSIQFQKEKPVTQLQFKSNYDSKVIFGKKSQNTLKYQQSQQDLQPKGL >CAK93084 pep:novel supercontig:GCA_000165425.1:CT868671:240876:241815:-1 gene:GSPATT00003953001 transcript:CAK93084 MTLIDNLKTIWNSISFGTKFVLFISIICYLIDYYYEEYYYTLFVNIPKKTILQYQFWRIL IPQFFHGNIQNLAISLLGFLYFAIQTEKKFGSVQFFVDIFIKNLIIQIIFVAFCFGFSYL DEEMLIANSFGFWNLSFIYQMRRALNDDDETQKFLCFSLNFQQKYLPALFFLIMNFIVFP RLDLVGATIFSFVENQFFDGFSFRLSSAFQKKCENSFPFKFVSSRLDFFNIEQVDESFDS KKGTSSVEFGIISTVETKPKQEKSN >CAK93085 pep:novel supercontig:GCA_000165425.1:CT868671:241856:243050:-1 gene:GSPATT00003954001 transcript:CAK93085 MLLKNYVNPQYYENLRRFKYSGQNLSILYNWFLGDMAQWVVDQLPTTVAPNLITITGFCN LLTSFALIFILNPMFDQDLPQWASLYIAWTIFVYQTLDNADGKQARRTKQSTALGMLMDH GSDCTATWIAGLLYINAFKIAFTPFNMLTAIGVSFLGFYFGVYCQQHTGVFQLGVINGVD EGLPTLQFFFVLTAFVSSQFWLNEIQLTSTISIQYNTFLLAITIFGCIATIIQFCYPVFK KMNWNILKIVSSLSLPITLMITFISLIYLSPTAVLSRWFHIYVLTIGLQWSKMINLWQLA IITKETFSQFSLSWAITLGSIMLNLISQFFTQDGLCYIDEVKLIFALLVFSGLSYLHCVT SIVYQLCNILDINAFSIKSP >CAK93086 pep:novel supercontig:GCA_000165425.1:CT868671:243206:244885:-1 gene:GSPATT00003955001 transcript:CAK93086 MVFKSCFSKCSISLFLIYNCTNYYYRVSTLLQTSETIDILYYKIQSVFKIKNKQNDCLNL YIQILEGIINNMNQITIIWNSLIFYLAFLYINYPLNMLKVSNKSRKNEQLETIQVIEKCT KIMKEDRLQLRQMVKDENVRLIELVAKHRRRNDLNDLQQELRKFLKDKKGSPEKVQGAPL ILRKVKSKERCEWNTSMSSNPSIKSPSRSNLDFRKKQKEDQSHKKMESFRKQEKLDSQIE QKENEEFKILDEIQEVKPQEQQIVFNTANSVVDPFFDDLTPVKMEFQTIVSQAPLNQSDQ RSECILQYNAAEIQQYIQQDKHYVSKETYIIPQLISLNYKQMVNEEYQNLFTDWRNILTP QQPTYHVKQDNVYESQLQIEQEQSKQNLTTLKTEPSQLVKSLEIRGVTAEELFTYLVHHR FTKRLNLYQLMENAKIAIPNAQLDLDSLFKLADSDEDGWISLEDLGETLKETCLLKEPHP NPIKELFNQLNGNVNMDNFINEMNDRIQGEILYHEIDLFGKGFITYWEMYNHYEEIEKLM TK >CAK93087 pep:novel supercontig:GCA_000165425.1:CT868671:244885:245580:-1 gene:GSPATT00003956001 transcript:CAK93087 MAELFINQINKQAEEQGQLEELDISEIRIEQLTPEITENLKKHPKLQSLAITGCGLKTLE GLPKMDSLEVLILEGNSLDFKALNYISENFKKLVCLSLADNQIKSFEELRVLSNLPQLQQ LDLSDNKVEQQTGYHQKIFQMLPNLQVLDNKNQEGEEIEYSDEEGGDQDGIGSDSEFNEN EEDDDEESEDESPKPQKKTKK >CAK93088 pep:novel supercontig:GCA_000165425.1:CT868671:245897:248061:-1 gene:GSPATT00003957001 transcript:CAK93088 MHKFLFGNRRTSISSYNPFGSSKNMKPGIITKLKNKIQGLFKPTINSQSLIQRIQSFDDQ KLEQELSNQFKNNLVLIDRIIPNKEDGTVLKRKSIFAFEQQVKEEIDSAKKQSQETQTDL GREPSNFQPNAKKQKKFKKVYSKNQKSRKMEENPKQLEFNLNKQNLKQNKHQKFENSSEY YSNYSNKRLKQFDSFTESSIPLKQQKAETSDYLSPVKIIENQSIIQNDENKNLKCDSFLK ALKASSSAAQSPSNNDRLKDDQNNNNKSSSSTGTNSKGEGNETILSFKNGYFLQEVQENL ECQDKNKKASNAQQNQELESKPNQKCKEQCLENINQKQLNQNQMKVNKKSELLNIEQNNI QNSSLENSTPNDKQLYKLNQLQQQDTKKEQELENQQVKIGQDLLPQQEQFLNQIQYVKMK XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXISLYFQAFS QSNQNQQCQPQNQNQPIMELFKISSQQPQQITNKPQENQNTQNSMQSVHNFENANAKILQ YSTNKQMEIIEQASPQINQVMQNKQKDFESVQLSYQQQPIQQNNLFQQQQQQQQQHYSQQ LIQQQSFQIQNLFTQQQQTQNQISSLNFFQSNPFQQQQQNDLSNKSVFSKNDVLSLFQET KKTDRGYNYINTLDLFQAPSIQQASSSLNNSFNKAKKKQRINNF >CAK93089 pep:novel supercontig:GCA_000165425.1:CT868671:249357:249965:-1 gene:GSPATT00003958001 transcript:CAK93089 MQSRQARNSLFLYLMFLVQIISQTFEQLIQFRLLQTQICLQTIQLRNSEGRPSLNNQQDQ LYSYPVIQFLLYIKYVAANNQSQKYKNRKSILQLMASLILLKYKAKKMNSDNICMKYSIE KHEQVMQGQNHHTPCILSIFQTLIDLYQALRSKLMKRKLWKLFQNYFVHKSHLIQGFIII YLIIIKQLHTLFSC >CAK93090 pep:novel supercontig:GCA_000165425.1:CT868671:249986:253682:1 gene:GSPATT00003959001 transcript:CAK93090 MMNILLMTFYKLNFQLRHLQIIAILNSTTQALDLLLIKNQRSPIIRLMMISIQLVTIYVG VMQFIHFENSYTLVLVPFFFKIISLLRLSDLIYTNDPIINASFLMQERKLYESFIILNKL KDQNFIRQIKRNQLLKKCIYQINQELQQKVRSQELLGVASKLIQNDIKNIKIQNMLLNII QWKIKLLQNWKTNEFDEIYKFVNRMLKLKQELDDYYLAEPNGMVQSLICFFYAEILNDLL EANEMIIHSKKSADLFFVDYTTNKNMFYLTTKYENGQLQMNKISSNAPSFICNKKLQDLI PLGVREWHNKLVDEFIITGKSKFVRQLNHNYVNHGSSIDTVDFAIDLTYSDQVNFICLIS PTLVKNATLIVDEKYNITSYTNQFKEIKQYKVLFSRGSSIINIFPQIQEIKQSCFLENVL LNTKKLLHQSDEQEDIRYYCNLEIILKHYQDTMIYMIVKLENIQIIYQNTGNSSKKDTIS NQSSSANELSIAEERHLLLNQISRQDIPLLEQNNEIKSFKQVKSLIEKQSLNDEAIKKVF NIDTIQPQVITSRGEPEQHLLVDKIKTDSKKYSVKSLTQGGEGKEQQQKQQEAKKEELFD REDQSQVSSIRMLRNSKFYRKYDLYNKFNKHMPLKRQHQFLVMLFALCIIIQGVFIIIQL TSLNLVSFAIDINLLEIKNLFFQPLDMFLVTRWNLWTYNFQKSNGIITPEEYNSVSQFAT SNLGLGFDSLNSNLKLVLNRQELQGLLQTKYIDAFSYLDTFKSEQYNMTLRTAISVLLNF QYILKMNYIYEKTVKADSPQIFYSFKNYPIMRDIMTQLNSDIMVQTIARGQDFQDEMKTL FLFQQIFLILMVLSIIYAKIYINKKLMVFLHLSQYSDNDAIQQEIYKFKELLDKLQSDNS YKFNYSLQITEKEFQFLGQKVEKVSKNSKNLRKKRISPYRFILLLIVLYSILTLNSIINF YEFSKYLIKYPETAYYKKQLADLGGDIPLMFAQREVLYGRKNYMYLDAAYFDRMWYYIME SLNNTKLFTSQDPDFEQMLVTNSFNDFYAQIQLSDLCEYLPGDIKIRAATLCPTIMNQNM RHGLKAMLIYIQNLIETDVAINNFTYRAVPTQNELEGAFMISEVINVMNSNFYNDLIQVT TELVDQQEVFNICYLFILFVVFLIIITEVKNKIYENSRIIIHFVYVIPSQTIFTDDTFER TLRTLINF >CAK93091 pep:novel supercontig:GCA_000165425.1:CT868671:253794:254261:1 gene:GSPATT00003960001 transcript:CAK93091 MFYDLIPGKQAYLESVENLQQGTYLLLDECPCKILRLQLFFSRHGHCAYIEVENAFSKQI VKIQRSIHGKLEIPLIQFEEYSLIGIQNDDFLTLLNSKGTIREDIKMPQFKELANKLRMW FEEGKDIIVQIIKYMDKEEVSEARCEE >CAK93092 pep:novel supercontig:GCA_000165425.1:CT868671:254330:255876:1 gene:GSPATT00003961001 transcript:CAK93092 MMLLKACMVAITLFLTLCQDDSEYEGNSKPKRQTYDDPDPYKNLIEWLKDGKAEISKVQI EVQSEGHRTLRATQFIRQGEWVLFIPRTQYLSLEEVKKSCLINRKMIQINYKPNNIQTYF VNHLLQENRRKYSFWKPYIDVLPKDVSGFPTYFDAEQDALLKGSPTLFTVINQRKVFKEE YENLKEAVKEFQKYGYTYDDFIKFRILTISRSFTVQIGEKEQQQLLVPLADFINHDNNGF LKYGYSKDADGFFMQAVRNIQKGEELFYNYGQWSNKYFFMNYGFASLTNPMNQFDLDICL NKNDRLFNLKISLTKGNMCWGNRLVNETDHDTFRQSLATVRFTQISKLDDFLQLEEDVQN FKQFWPGWHTTIKTIELEKATFKALKGILVTELGNFASTIEDDERRLNDPQTPEFRKHII MLTLREKQIIKKNIDICDLMLQVIDKSSEELKNLMKYYHYREITKYVNSQIIPLKLEQEG LA >CAK93093 pep:novel supercontig:GCA_000165425.1:CT868671:255911:257340:-1 gene:GSPATT00003962001 transcript:CAK93093 MYYHNPDPYQQPYTHGQYNEKTYRHSGFLTETQDDYADYQYYDPYQSQLQNRTYLNDLRP LNYTYYEQPPYPVKMGQPQQYFDPNDPSNIPFLPHERYDDGYEEYVLQFIRNLPRSTQNF EEVAFAKYLAECSIIDKKVWKLQRMLKQDQRFNVKLLFDFFDSKKNGTIDYNEFQLGLQK LDVILNTIELGQMFLRYGGNDDNQISSVEFKYMLLGPDDSQQFMQFGAMHYMHHPLKQNI PQFLSVAQREYICEIMHLQLQLERNLNFIKEVIGTKDILLALFKQLDIGMKGYITIQDLV IYMNELGGQFESYDLKGCLGRMSKVHCSRINFNEFKTEFFLDEKKKSDQDQNIDIMSDRK KTRQKDYIQELHQRTKDLQNQQQQAQKLSTDRKSQPLLATTQNKQQPQPYVIQPPVAQKR KQEQPSQLDDDLDDLLFPGFQKYELQPPDQEY >CAK93094 pep:novel supercontig:GCA_000165425.1:CT868671:257345:258473:1 gene:GSPATT00003963001 transcript:CAK93094 MNNYKLQKVLFKKVNKGVIVEEHLLVRSLIDKQCYVLKRTDISKINIERLNEIENHLKKL INVKHPSLTKIKEYFIESQYLVQVLEYCGEQILNERLNKQVSVNLVCQYLLQLLFALNYL EMQQINVSIDLDTIFLNSFGSLRIQFDLLSNKNWREEVKYLQQVLKKTNLDWESQKSHEL TQILKSLMQPNPPSVQQMINHPYLLKAMFDFCKQQDLEALAQLNQEKLIKEQTNNKRVGR ASTSANQNRQKITQQSPNLRKNSKDRPQTHQQQKKKPEQQQIKQQPIRNQIPPKPTKQRN NSQDTVTNESVLNQDLLMTKGKEELQELLKLYKDQLKESSKQYNPWLNMSEIKEITEYDT TTNLNQQS >CAK93095 pep:novel supercontig:GCA_000165425.1:CT868671:258796:259139:-1 gene:GSPATT00003964001 transcript:CAK93095 MNDDLCELIVNHANQIFISLVNLNGQLNENGSLSNYFLIIPIANPNPTTSNTSTTQGLAF MMLLLFGAYALLSIFSPQRQQVMQKGNIQNQQNHND >CAK93096 pep:novel supercontig:GCA_000165425.1:CT868671:260103:262262:1 gene:GSPATT00003965001 transcript:CAK93096 MEEKVYISEEGMRQLLKEFDAKDPLKNVLWTTNYRMELPIRVRKQGPGSELPITLPEQFK NDCTQFAQKPALSVKRNNKWITRTYEEYYNESMQFAKALIAYGVTEMSAVNIIGFNAPEW HISFMGSIHAHNLPVGIYTTNNPDACFYVSDHSECELVVADTKEQLRKYLKIWDKLPRLK GVVLYNDDIPTSEIPEQRRSQVFKWKDFIEMGKKADLMGSVLERVSKNCGPGNCVTLIYT SGTTGNPKGVMLSHDNYVFTITQQKRKYVMQGTGEMRLVSYLPLSHVVAQLIDIIGFARW GAHLYFANPDALQGSLINTLKEVRPTLFFSVPRVWEKIYDQMQQIAKSNGAIKTKIANWA KSIGKEGTFAQTHGLKPPLCFGLADKLVYANVKKALGLDQAQYMIFGAAPLSPIIREYFL TLNMYLINGYGMSECGGVQNMADPFHFDKFDDLFINSTGKPMEGTELRIDNPDKDGNGEI CYRGRHIFMGYFKDEDSTRNTIDSNRWLHSGDVGKIDKNGNLMITGRIKELIITAGGENV APVLIEDEVKKNIKIVSNCMVIGDKRKYLSILLTLKHQLAPDGQPTEKLNEDVIAVFKSL GSSATTIEQANNDKAITNYLQQLIDETNTKVISKAQYIRKWTLIPGDFSVDGGELTPTLK LKRRVVEQKWLGEIEKMYQDAKL >CAK93097 pep:novel supercontig:GCA_000165425.1:CT868671:262292:263843:1 gene:GSPATT00003966001 transcript:CAK93097 MDQPKIIVAVRKRPLSKKETKEGQKDIVEVQGNTVIVREPRVKVDLTKFIEEFNFTFDAA FDQQYTNEDLYQQLVKPMVQAAITGTKITCFAYGQTGSGKTYTMLGDQNVVGIYTLAAYD LFQLITNSIVLSVSFYEIYCSKLFDLLNDRVQLVAREDAKGQVNIAGLSETKVHSVQEFQ KTVEMGIKSRVTGQNSVNQDSSRSHAILQINLRQQNKIIGKLSFIDLAGSERGADVVEYH KQTRIDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTQVLKDSFTGNCRTLMIGTISA CHKDAEHSLNTLRYADRVKELKAPQGSNGVDQLTRELMLPRQYITNNYLFESPQAVKSNS PPKLFDHDNGDQYEDEVQMNPNRNSNLKDKYDELIQQILDEERALKKAHKDHIDDLIELV NDEMKILQAVDQPNSDIEEYVDGLDHVLMLKIEKIMRLRKKLKQFKQHLMDEQDLAHQCQ THFYQKPHQN >CAK93098 pep:novel supercontig:GCA_000165425.1:CT868671:263872:264791:1 gene:GSPATT00003967001 transcript:CAK93098 MENNQELTPPSHFQIWLTFIEMIAVLVCLASNMVKLHSMKNKNLKFWFLVSVSASSAFRG MSLLLIMEQPQGIWLDFLYTAPILFWIQSYIIFIGHLAICMSVLANKDTNIIQYSIYYSP VCLVLSYVYFLIKDGFLERVLILQFLLYAALIFGCLYFSNKLFSDLEDDDWIIPFLSQVN KLIQTISISLALRLLIFLLIFANYVQLNIDLILIEVFMGELVPYYALTFFKIPPPKHDND SRAGTQMELQNLEGPLMK >CAK93099 pep:novel supercontig:GCA_000165425.1:CT868671:265094:265780:1 gene:GSPATT00003968001 transcript:CAK93099 MSTTITQTTSKNELVKWINETFKLTITQLETLGTGSTFCQIFEYLYPNSIQGNKIIWKAN KENDYIKNFKTLADAFTKNNIQKQLDIQQLSKAKYQDILDLAQFLKSKFDKQTEKRENYD PIEFRKVEERKVFTPTNRKTVSTLKDKTNNENTTTSTHSLLVKRPLSKTSTWKTTKPTFT KTPELNSITEIINNAEDDEATKVKKIKSLFI >CAK93100 pep:novel supercontig:GCA_000165425.1:CT868671:266009:267218:-1 gene:GSPATT00003969001 transcript:CAK93100 MKAILILGLLVFGITAESLFCLRKLKQLKQSTLNFTFLLDLELSQGGKVSEVVSLVGDLL EQMKRDQLNDDLEYAHRTTTLGLDIEWLIIVLRNLTKERQDKNNQLSELNQILVGLQLQL DALTEQLNILNGKEEQLRQTRAQEAASYQARQENNSKVLAALNEIIPKLQVAVFDQEGKS LLQTEQFEIVEKIKRELGHNHPVAIMVALTTKFDVSTVKRIIDKLEHIRNAVISLMKQED EQETQSQITFQTTLQEIAELRERFSKDFEVTSQLIKKRTNDKVLLEKRLTIINRDLSLTE QLLIQTREYKEQYDAAYAVRKGKRISEIKTVQQAYDLVDNHAKKHKQ >CAK93101 pep:novel supercontig:GCA_000165425.1:CT868671:267278:267813:-1 gene:GSPATT00003970001 transcript:CAK93101 MIEQPEVDKPASNYNYPPPDENLQAIQIGQPIQATHWQNNQMNFVQQGNIPQYIPAIPIT QYPSALQYKNFGEFDKENLPPYSLNIRCPECAGMIQTKLTYVSGCLSISLCILMCASGLF CGCCLIPFCMNCCKRQVHQCPLCESVLGEVK >CAK93102 pep:novel supercontig:GCA_000165425.1:CT868671:268197:269168:1 gene:GSPATT00003971001 transcript:CAK93102 MSESQQDDQISPLDFGKYHTEPQRDHREIKYMPTKLSEPRGSQQYPQHVAVVIDDDTTSN NESRWYAKNNQYKQLIGNERTGFVKKVYSIMIIQLLITMIMCVISYVSIDYRMFQLQHSG YAYLALGIAIFIEVILFCIPKFAWRVPYNYLLLLIFTVCEGYLISNLCSYVFDEYSQNGG YIVLMAASLSLAAVVGLTFYACKTKSDFTTKGALLFMCTTSLLLFGIMAGIYYQNVINLL YSLICSLLFGAYLIYDTQLILGGSTHKLSIDDYIIGSMIIYIDIVYLFAHILMVLIACLR >CAK93103 pep:novel supercontig:GCA_000165425.1:CT868671:269211:271925:-1 gene:GSPATT00003972001 transcript:CAK93103 MACEVERFVAENDKLKYRIKEMDDKLLDRNSYEKQIEDLQARLMQMQYQESMYRQEIEIT TTQYTEEIENWKIRFFTNEEKYRNENELLKQQLLVGNSKQQKEIDDLKNQLFSQKQWNEN EVQKLREQLLSKQQAVEYYQVELNQYEAEFQQYKQQEEKIVELENKIGMLVCETERLNNL LKQKLEELEINKARYNNMAQESEKWRSELRSLQNQFDKKQKDYDQLNQAYVMTQNELIKY KDQDQIIDQMQNKIVLLQGEIDRLNQVLREKIKQGEEWRQKYFDSDNQCKEMKLKLNKLD QLQMQLKDQVDMFQQLSNNLEDQKMKNYQLQQSNNNLEQGNQDLISRIELLTSEIERLNN ILRQKIHELEEWKQKCQHYEQEFKNKSIQYNDIKNKLSCLTQEIDRMQEQLRQKQLELQN LQINQNQLQKEVNNQEQINQSLQKQIEEWKRKYTNIEYDLQESLMKNKKLVEYENTIAMI SQELERQKMLFSQKSQEFDDQRRQMMNDIQKLKQSMGLKSTEAEEWMSKYRRLEQAYSEL SQTNRIVEDKWKQSLIRIILHCSEIDRLTQVQAEIFDENEQLTNQISHYQSQLKIKDDQL YKALDRNNLLQKLQDEEKGKRQGLENQIASLKIYQDNCQILEQKIMSLTTENQRLNEQLR LRNGEIDDYRTQIQRYENKIQISNQELERVNQQLRKAFSESDELRKEKERLESQYNLLQR QYDDLKQKYNSLELYKSKYIELENRCAMLSTEIERLNQLLMNRQDEIDQLKKKCYQLEQQ IIQLKQYEENIRVLSNEIDRLQGIIDVNEAELKQWRLQYADGGAQTRKIQDLFFHFVMQS AEIESLRARVQEKEKEVEEVRRSSLAPYRR >CAK93104 pep:novel supercontig:GCA_000165425.1:CT868671:272606:276030:1 gene:GSPATT00003973001 transcript:CAK93104 MLKPKTSNPNSITSINLDKITGCKVESQIKPKMTVNETRQLGHTESDQQDFLMASKLNPS QIVTPNKIKKKKKKKSKKSSQKSKTEIQKSGFRTKKSISQVFPWGVNQQRLRNYILSEQE KYYNAMQRRNTRTTVDLKDRRQQIGTSFLNQFAEKNLKSIIKKKKSIHDENQPKQKQKIS TTLQNQYKQLLKKPKNLNFLPNRLKISSIHYPSPAQKIQKRNRSLSQGQHNKQILNNRIR TGSLHEKTGTLFEATTQKLKFKKPEKQKKIVIQYQNIEENFLNNNKKGLNQQKNESKPHS SLYKLMSNSSSNNMPNDSDRQQNNQELDDSFYSQKNIHDVHSEEQNEAKKIKGTNYFQMR KCSIPEIDKEGNYFKKDNYQKMALVQSDFSVENQAALIIQKVWRGYKIRQIQLKSKFQGK KDIHKIKDGLNLKSLTKSQINKLIKFGFLKKDSIDNSQLVDESSILSHSRPNSQMDNQKE TKKQIESNQYQKFIENFQDDIEYKPGLLNQQNQVQNKFKDIQLQIISEHTPEKIKKTFQN SQDEQIQSFGNQKSKKISKLSIDVEEQEEENKVDIGEIRCAFGSESLLKSLSQEGEQSIQ QDKGLFEQTSFQDFVMNKFKELMQRDKMDQLIALREEAVQQRQQQSLKQIDKAFQNNQIS PRTFEFQQRKLEKWVNKQKTDLEQKKREILRGQQSVFDAIVKTQRDLQFVKQMLSSQNSQ TYIKIVDSLSQESANYSENSLKSSIIDIQISNSQMLQYQQDEKNKAYEDVVTIQRKSNNI LEEKELVCPEPFNLKKLAQSQFVRNVEQLKEPQKISEKCADSYSILISNMLIQEEVNQFY TEMLRNGIDLYEIISNSQLNRRAQIAQPKEQQMQGLKTNVAQIKAYLKYLEEYLIDNVMI QIQRVINIPLGPSSQIMLKFLQPIRDSAESDYDSNGAQHQIILSVEQFGKFERFLMEQRI INHQNKLLIELEHIHNKAIFDSLNEALDQFRPYGLNGQPFLWKSDPTRLRAREVQLTDIP GIIRKASEKVIDWSHYMTGILIDKEDSPFPKSMQLDQETVAQIREDRLYRMLTLDIIDNE DRWTNYDEETTEISIDLSDLLFDYLVEEVAFEMYKK >CAK93105 pep:novel supercontig:GCA_000165425.1:CT868671:276073:279119:-1 gene:GSPATT00003974001 transcript:CAK93105 MSNIYVNTSNINNVSSTQKKRIFDNTPPNQTQNQLQLPTQKQPQLSVNSLNIDPELSYIA PLEMEQQKPASKIEGQIKSEIRNEQISQEDPAKQSLLKEVQLENLENIFTLFRSTVPMNQ SNLQASRLISSNIEPQYYQQLEIDAENIRDRIKMEGRTVHTIIEKHSRIKQQKLNITQQL KNIQTSLNQYDQCTSYQKFQREIKYAFNQFESKADELRDLFNEAFNFMSVVSVAAYERLH KPFDESEAVSEAEFTSVSLKHFSDIELMAKEYEQTLSEKRRLGNINQNHQQYKSICSKLL QLIKSFPQQEQELQQKMINLQRVSSIYFKGVSKDIQICLSNNLLVIQEYRLSLAAIDKIA IQKDLLQEYYDSFDYYQYLIFYLNQQPQNYKDNYYQFKCQKDELKFVHFDQTKYFFAMKN KIYQKSCLFIDTIQNQVNSQKAAVNFILPLIDEFKKYQNNNFQDFFDQIFFNLQQSISKE QWQHLINLYQEVQQSKCILKIILVKINNVQQQHPTLSEFKEKFVKKIETELKFINSLSPK VEPYINIHDIDAHVQYLTFKDNYQKQRQAMDLKIQEIVVNLPQQLQLSDIESQNLKKINI EKFFSQSHQLEQIAIKLQRKKVSQDVEYIKQQLQSDITFEKDVICLLESMQYLHSGNLQM IVEDFNEIDQKNNNSSLQQLIEVVLESQKRIELIQSEKLQEIKQVQVQGFKWILNLFKQY DQFRKNLIELIFQMQEEQQIEYSRTIYISNYEDFLAVNRFSHLFYFLNQYPRKYQHWAQI KNELIKVILSSFKISLKKVMEKIDVLGGEKPVSLLQFDQDNTDKILKWFSLEQHHSNIAK AVLIYQGYKKTKISNSQFSTSNAQQDQKNRQLIEIQTKMLDLINKLKDQLEMLPCEIKFA YHSAVDVFTEEEFDKVIFTELQEEWVLDYMEKGQDHSFLTDLSNNTGIFDNFDFHQFESN FAVRLFLLFRTQNEIDVKQIRNNLLQVIKHQT >CAK93106 pep:novel supercontig:GCA_000165425.1:CT868671:280437:281145:-1 gene:GSPATT00003975001 transcript:CAK93106 MSRQQNSQKQHPPQPAQGNQQQRNPQPPQPPKSKVFNAEDYAKPPHLTKEEVLEVKQAFD IFDGDGSGAIDPQELREAFEASGIKTYHNKFIYQVLGELDTDNSGGIDFEEFLHLATAKV SDKDTREQIQKVFNLYDWNKEGRITWDELKRVAQDLGEEMTDEEIQHMFKKADLDDDGFV TFDDFYNLMTQKEYGKQ >CAK93107 pep:novel supercontig:GCA_000165425.1:CT868671:281186:282468:-1 gene:GSPATT00003976001 transcript:CAK93107 MYNLCGSLLDRWYNVKFNLIQYVYDIDKQIRQNDKVIHILNETIKNDVGIEVRNPSFILK QRIEKLKRICSSIIWFSYRKKIPQFQISSLTSDTGWGCMIRVAQMALAQVIRHYHSFTQP EQLIVLIRHFLDDDDDELINFIKQDQKNQVQYYHAPFSIQKIVYHAKVEFKKEPGDWYKP NEILETLNYLFKYSQYSLNMQIYINYQCAFILQDAIKQMFNYDKGNQEWLKECIKNNNQF ISQHDKGIAIFLPARIGLQRVNQDYLEVLNILMTLPYFQGIIGGVTNRAFYIVGRIQDYL IYLDPHFVQNAQNFEDLSKTQASYTCQNIQLIHNKSIDPSIVVCLCVRNGLELLDLWHSL NHMKQEFQEFFFISILDTNVELQISESFQYLDENHELVNILK >CAK93108 pep:novel supercontig:GCA_000165425.1:CT868671:282522:285538:1 gene:GSPATT00003977001 transcript:CAK93108 MANQFIEYTSQEFLQLHSYLLNTNNNTLELEKTFKEIERACTTFSTSLKKIAERLHEFVS KGTGDDDNIECVYQYLSNFLLQNSQQWQQTSENLRSEVLEPYQLIMSNYRNINLKLSSEM KEYIGNIQILNKHISDQQEEYIRLMQNADKSQLLMERTVGEIAKGNKGKDELVRASERQI KLKEIAIEAQEDYKKIIEKGNKKLSDFDLKIKDWYQQVFLNDENRIKFSKQIMLSLMKKL TNDTVYSIYQDKLNQLELRVIQKQPLDLQHQIQRLLKKSGTDNVQFQLFDQINYDQLKRG DQKKNMQYVGSEWTLIGEQITEEQATKIQQFINELMENQETSDDSANNSRNRSYSTSSTK SNQFLSHEYLGVKQLMSKQSARQFLNNLIQKEIEKRKVKQLNLSEDSFNEVSAIFKHVFL ILDAEFDVEEFYNFLQLSYRIVKGDNSHLISSFSKLDIWQNKLKWKSLYEHIKSTKFQQK QILDQQFNIVKKGYGLISKGLKKITGVVQGQQYSEKEKYQQQKYSVLHEIATFLSELNVS PQLGTDVILELAFHSDIKLEKVQLEKLLQILEEKNAITFNQKYSSGFCIKQRKEDKYKRH GFNNPQLKQIYSIRLSIKYLSYKDRPYQLLLVSKVFKFHLRFAIEKYYIIHNSVPYMEQN QYYRAQNLKVYLRTDQNHMDYALKKSEAQQEIQQYEEIITMDVQRSLQLHFDRVPSSKLQ SFLRTYAFHNKEVGYCQGMNYIVGYLYLTFEDEEVTYIVFDYIMRNYFSQYFENEFEMLK KVFYQYERLLFLFLPNLYHHFKKQKVDASYYLTAWFITFTLQSALLNIIWDIFIIQQWKG NIIKEQILGFYKCVLFLLYFYQKELLLLEFDQILHFLSEIIKNELFSLKTEQQVQEYICT KLKIPSKALKGIITNQFHVTNSLLQQLEQEFNCFSTNFDSQLKQFKYK >CAK93109 pep:novel supercontig:GCA_000165425.1:CT868671:288846:289538:1 gene:GSPATT00003978001 transcript:CAK93109 MIFKLIQGNVLRDYMVIQIFVFTRMIFQQKFLNNYSLTYLIKFLYFGPSIVFNMCLIPFL FFLNSNYEIIFRKCNEVTSIMYQSITSYFFEFQFIFRIEFMFVHINQFFFIFKYLLPSFI LWRCIKLNHIHDCKINQFQITINLGQLFQLQLKCKLNRYLLQNNKQLEITAKTAITAIKY VRVPPSHNLIFLETKMSMIKQLRYLLRILKEGFQKRYLPDGQDWIIRKPM >CAK93110 pep:novel supercontig:GCA_000165425.1:CT868671:289826:290211:-1 gene:GSPATT00003979001 transcript:CAK93110 MQDLRTKVNIILHQIESNRMHRFMENKYVQSFQDFYINYKNMLWKKELSTKIESQYSPFK NLNLIITLKELFKMLKNTVIFRQKQRDGRIFNCFINENQQFCIIYPGLTSEISTITK >CAK93111 pep:novel supercontig:GCA_000165425.1:CT868671:292802:294583:1 gene:GSPATT00003980001 transcript:CAK93111 MNYQFEIIKQIWASKKIWAFFKYQNLLNQRIKELIQELDPKIQTVIQLKEKKQLIGISNR QFTTACTKALENKANLLKPLFMLNKRNIQNNQKYLIDILQIIHYSTQNEESNYVQDTIMN PNKIKEQKQLYQILELIFVMLQNQQRETTKYQILICLDSILKQLMKCSQQNPEILQQLIR FQQYNRSISYKKSYEGIGQYIKAITSFDCKLKPDLSPEAIDSTLNNVLQQLDCVICYSAM KDPVSLKCGHSFCKKCRAQDQNNSQKCPMCRVEQVDDIYLSENNKFLIKLIQLRLNFEKK NNIYQEEYQQIYIKPQSKETYEQKLVLRTLQSLKYLIEEEVEIDTKRFKTLSTLQFQQLI KQHLTFILIDKNENAYLVKNILTYISKNKTRIKVQYYDKIKIAQYHLLEIALNQENGEYI FESEFATAITYQDEYIDVNNIENQKQISLLINSIKEFFNQAFLNQQQDQTQQTISSFLAQ QGFLMLHNSDIISNYDDMRKYSYLVPNLLRIPEKERVEIQQMNNLIKRLELIQKSLNRFR SCSNLLMILQIHYENHSLRRNTIIFTIICLVLLFFVLL >CAK93112 pep:novel supercontig:GCA_000165425.1:CT868671:294629:300325:1 gene:GSPATT00003981001 transcript:CAK93112 MNQQYLQVPMSQSRQSMTYEKYQTTSGIVASTDKRIVDNNLSGRKSTLFEDKRYEELLQD NRKLKEVIEQLDKDNQQLKQMSGESYNLKQKYMIAQAELEAAQQELAKLRSQLLMRNSQD AEQSTLVTRYKQDVSTLTQQQIQYQQEISQLNALLEQQRKQVEEFLRLKSKYEQDVQTYR SRAQNLEIEIRQVKEQRNSTTQNEIIRLTQEIARYQSEVQVRSSDKELYERTISTLNDKI KEMDNDIQILIEAQNQNQYKLESAMAESKSNMQYQIDQLKQEMTFYQTQCKQWQEKCQTV EMQKEESERRIIQMEIRINETKKQIEQQQNDKVQRESYQIHTIQNELESWKQKYISLEIK MKDYDNYRMQLIQLEEQSNFYKNENDQMKEELEILIAEIKQLQEQNNGYRLSITQLESQA RNSVENDRYKSQIRNLENTINQLNQQINAYKIETKELEQQVIYHQNKNTNVDYQVREKEE TISKLQYKLNNMEKELRVIFEEQLKIEKQDWDRQKQQQIDDQLQKITKKYESEISTLRQH FSSLEIENQTLTKQYERLKKEGEMSFQRQSEKEKSRIIELERQIIQLENQLSLQKKEFSL KIEEVNQSSNLKEYYEIQLKQINEKLQREQNQVKQLNEQLLIEQKKILDSETKYSREIRD IKLQQDKRIEIQQNTQFQFEWENHQKDMEKLRREYRLIEQEYQEMEVQVKLWKEKFYDEQ QKHQDIRQQLIEIRSQYESHTIVNTQSDYDKQRYQELEMEIIQLQEQMRLFSIREEKYKE QITTYSMTIEKQKSNFDDLKKIKHLEYEIESQRIQYRDLERQLQDKSIEYEKLLKKKQEV KLETIEISSNSDKLKIQELESQIQILRLQLQQLNSSKQEVIRETIRETVQVRSSEDIKMI AQLEEELQLWKTKYYELDRRKNQVVVETIREVQSLESDKQRIQELEYQLQSLSSKKQEVI REYITETIEVPLESDKLKIRQLETQLSNIQRDYQLLSQKKQEVIQETITVEVPNQADKYR IQQLESQLSQVRQELQVVSLKKQEVIKEIVTERIEVPMEQDRLRINHLQEQLSRLQRDYQ ILDQKKQEVIKETITVEVSSQADKLRIQQLESQLSELKTQLQLIIQKKQEVIREIITERV EVSSEADKFRIRQLEQQIEGLNKNYEILNKRKQEVITERITVEVPSQADKLKIQQLETLL TQLRQELQIVSSRKQEVIKEVVTERVEVPSQVDKLRIQQLEQQLDNVRYELQILSQKKQE IIREVVTERVEVSRESDRKRILELESDLYKLQQDFINLNKIKSEVVKETVTVEVPSQADK FRIQQLETQLSQVRSELQIVSAKKQDVIRETVTERIEVSSEQDKMRIRQLEQQLNSLQQD YFTLNQKKQEKIKETLTVEVPSETDRYRIRQLESQLSSLKEDYNGIQVENQNLKIQNKSL QTTNYEYQDQVRIFQSKLEENSKQRSIVIQFERKEQELLEQIQSLEAQKVREIKELKIQI EFLQKENQKINDMMRIKVEESEQWKRKYQTVQSEMSSNSSESESLRMQLKSLEQSRETIK LELDKVNKMYFQSQNEYTQYKSKCNELELRIKQISRENQEYQERYQQIDFEKISRLEQEN RRKTETIQQLEVQLRNQVSIKDLQSQISNKDKQIFQLQENISELQGTIRSLRVENQTISE QTNKQQVIVRETQNNQKIVELETRIQGLNQEIQRQIQMKDQIQIKHDKLIERATEYESEI NILRNELQKKRFSSTNNGPIVSKTFEIHKIGEMVNNGTGLVSGFNLEDTRKIKSIVSTQQ YKSDISNSASAVKESQQSMKSNSQVQPFNPLRSDLIKSKAQLNRMDEKSYQ >CAK93113 pep:novel supercontig:GCA_000165425.1:CT868671:300623:301055:-1 gene:GSPATT00003982001 transcript:CAK93113 MFEELKPEESKNPVITWHQRLRSQYRPLKVIQKEEQERLQLKQDLLEQIPSRELLEIPNP QKLISDIKNKNGYDKGRSVSDYNGLKLVGLSVYDQELSSLKKDQSQIQQQYYYYKAPKEF KITEEHL >CAK93114 pep:novel supercontig:GCA_000165425.1:CT868671:301093:301790:-1 gene:GSPATT00003983001 transcript:CAK93114 MKRKHTLLVRKVVAEQSQMSWPFADQDLHSSNIFQSTLLLNQLAQSPDYQILDFSQKNSS KEILSRSIVGKVDSFNKQLNGLEKPLRFSQPVRLSNMQKKIKRTNTNEPSSLNSSYRSKK NITNQQYVIQKAVDANFDVLDKYELIQTMEKIQKIIKNNEKYAQDQLQKISVTEKILKTQ HERALNKHEKQLQVWNELSTKISSRVKNNSSTLIQRQACIFLY >CAK93115 pep:novel supercontig:GCA_000165425.1:CT868671:302120:302656:1 gene:GSPATT00003984001 transcript:CAK93115 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHKPVVNIDKLWSLVTDETREKYSKSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK93116 pep:novel supercontig:GCA_000165425.1:CT868671:302706:303492:1 gene:GSPATT00003985001 transcript:CAK93116 MDSDAIMKKMAVIFDDPEQKLLNEISEINKNRYQSQMQKLNELKEIQEDSDIEIQKQKKL IEQLEKSLIIESNKSKQYDEILKQYESEGKSLSDESKKYIIQITQLSDKVENIKKQYLQA QEKQDAMEREQQQQLKDLENWSKNSRNLQNELQKKDQKQIKLLEELTQVKIQQQEVKQIE SNDDLQKQLDKLLADNKRLLKQRQEVVAVFKKQAKIIEILKKQKLHLQTSTSLDLTEQDF AKIVDFSL >CAK93117 pep:novel supercontig:GCA_000165425.1:CT868671:303492:304625:-1 gene:GSPATT00003986001 transcript:CAK93117 MKLTLALVLLVTLASATSTHDQIMAFLQTGTKAMDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWVGAQTIEQFTKIKSLNQKLFQQSIENRAQFEQELSDTKNYLAWNEQRQDEIAR KIQVLLDQQCLSNQLFVRSIKQNREALEVVRLLKQDVAGYIINGDSFELAQVKSVADKLK SYSNTFNEHEINSFLQLASNQEDGSVSRGATLAEKVLSVLEGLEANLAASLEALETNEIN ASWELAGWVSLSEAEIANLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDALDQ AQADLESKRADYAQAKAKRDEENAIIEQVIIIFKKQVASWSGR >CAK93118 pep:novel supercontig:GCA_000165425.1:CT868671:305336:306876:1 gene:GSPATT00003987001 transcript:CAK93118 MNKYEIMGVVGEGAYGIVLKCKNKETNEWVAIKKFKETEDDEAVKKSIQREVKMLRMLKH PNIIQLKEAFKKKGKIFLVFQFVDRNLLELLEERKTLDQESIKRVIFQLVLAVHACHSVG IVHRDIKPENLLIDNDLNLKLCDFGFARTIQSQEQLTDYVATRWYRSPELLISNNYGKQV DIWAIGCIMGELIDGQPLFPGENEMDQLYLIQKIIGPLTQDQMEKFQKNQRYIGMKFPEI VKSETIEKRYGGKMCNKGLNFLKQCLIMDPNKRITSLDCLEHPYLSDLWTKENDVRPKSN FQRRISCERDDSKPNILNQYDFGEQKPKKIQEKAIPQTIQNQSFVQKQVYNYKIGDETPE VTKEGDDTKSQMHKTFNQSFKIKFNDTLPQSRLGSEQDKRINRVIGLPGLKEDPKQHQQQ QQQQQQQQNNHNKSPNKRTSIQQPSFYVQGQYNLQPQKLNLVYNANTYNYSIKKSYISKK >CAK93119 pep:novel supercontig:GCA_000165425.1:CT868671:307392:308156:1 gene:GSPATT00003988001 transcript:CAK93119 MFVNNSCLPNSMNCIIAPLNGVGGVYLGNLDAAQNSELLSKYQIGAVLSVIDQSIQIKGA QKLWIMADDCEDFPLHKYFDQAIKFIDNHSLKTNILIHCYAGISRSAAVCAAYMMQKYKW NLNQTLRHIQQRRKFINPNPGFMKQLQDYEQKMKSKQIRKNSSVLMESNEKSRHSSVGYD GNVINLNGTNSMNKQVLNQTMQQNQRDRLSDFNVKLNSYMHQWKIRERAH >CAK93120 pep:novel supercontig:GCA_000165425.1:CT868671:308259:308543:-1 gene:GSPATT00003989001 transcript:CAK93120 MSRRKSSICSQKEKQTEKIQDKETSEIPLKKKVKIEKRVVIKVPFADILSSDDDSNEYSQ ILCGIRSKFISDVPNLDSPILIRKSETKDDSQLF >CAK93121 pep:novel supercontig:GCA_000165425.1:CT868671:308587:309009:-1 gene:GSPATT00003990001 transcript:CAK93121 MNWENILKYILTLAIMNKRLQLQYNMYQKSVLDLLKGQQIICIYGASNDKDITSCLQLLK SHCHQVYMVQAKNNRAFQIKQLLGFDDIKVLYDGDISKTTLDVVNKVCQIDQTILVIGSF YIMDEVRMVLKICQSECDFK >CAK93122 pep:novel supercontig:GCA_000165425.1:CT868671:309063:309861:-1 gene:GSPATT00003991001 transcript:CAK93122 MQKYQQALQKLNKVSVFRKDRATLANMLKMTQLLGNPQKDLQFIHIAGTNGKGSVTLKMC KLMQSIESCGCFTSPHISSFRERIKVDNQMISEEYVQEHLNDFFNLAERSNLELTFFEYV TCLALKYFNDMHLKYVSWETGLGGRMDSTNIITSPLISIITTIGFDHMHILGNTLDLIAK EKAGIIKQNCPVVIGPKCQPYEIFINEATQKNADLTIVQGEFLDFNDENNAIVAASQKNL MEKYCNNFIHIRIQIQQL >CAK93123 pep:novel supercontig:GCA_000165425.1:CT868671:309892:310641:-1 gene:GSPATT00003992001 transcript:CAK93123 MNYKELYEEMAQKYQLMEEEYNQFVEESQALEDQQQKSIESLSKQLAQAQNSLLQQKEET QKARNELQNIQNQLEKQINKKEAQITDLQKTLQTYKMQIIDLEVDQDLNNSKVRQLEEAN KDLEVKLDKVLEQLALAHTDLEAMKSQTQEEIERLKQTLKENEDELTAAKCLKLNITTTP EMVKMPKIDSLRANAAGFNKSLTLIQALIKDLDDKMSLIRHQRS >CAK93124 pep:novel supercontig:GCA_000165425.1:CT868671:310684:311688:-1 gene:GSPATT00003993001 transcript:CAK93124 MQQIPMNLLKQFIQTLPQSELSFAYGSAVQPQFNYDYTKNKPMIDLIIAVDNVEEWHMQN IQINSTHYSGLSYYLGSRFIQRMNVEIFPIHFSPFVQYQDLKLKYGVVSTKELIKDLENW KWLSIAGRMQKPIVTIQEFEDLRFQRAFIANLQSALAVAILMDFKSSMSLQELLYSVISL SYYGDIRFTLGGENQNKVFNILKGNYKNITDLYLSNLGDLNNVIKIQKDGEVLIDNSIKS LEFLCSKIPGQLLSIVLGEKDNMGTLKMMSQDRIKDLIKESVKRKNQFSSKRMLFYNLAM ISPFLSVKYGISKLSKRFK >CAK93125 pep:novel supercontig:GCA_000165425.1:CT868671:311709:313209:-1 gene:GSPATT00003994001 transcript:CAK93125 MMKFVMLATVLLSVSCIDFVADEFKWPDWPVYRFKTWSGLIELNDEGVNRNLHYVFVESQ TEDAEVATQPVILWLNGGPGCSSLLGLMQEIGPYVIDNGETEYKYNPWSWNKNAHLLILE SPFGVGFSQPTPDKDYKFTDEKTGRFNYEAIREWFNTFTYYRGRDFYIAGESYAGMYIPY TAKALLEGEKTVDQKEKINFRGVLIGNGVLINDEKFRSQTSLKFLARRSFIDYTNQFILN HNCALQPNSASCRQAKKSLDSAIAEINPYGVYSYCWGDSTLKQYKVQRESKHRFSYTPWL KLTEDDDDSGAPCIDFGPLANKLNTDEYKEALHVDKNTVWSGCSDPIYLQYTKSEGSYQI LPELFQAGIQILLYSGDQDLAVSIVETYESIKQIQGIKEIKGWTPYLNTNDGELKNQLAG WIVEYNYFRFQVIRSAGHMVPQDQRENSWFMIDNFINGV >CAK93126 pep:novel supercontig:GCA_000165425.1:CT868671:313548:313943:1 gene:GSPATT00003995001 transcript:CAK93126 MQQISKEKENQIQQAMDLEKDVISTLGKVRQYETSINSLKRNIQKCNITLKELGSIDQQK TYQPVGRCFILKPKADIVNEVNENIKSHEKDIDEYEKVRQHLITKGKEKETQLQEAMKSL KI >CAK93127 pep:novel supercontig:GCA_000165425.1:CT868671:313960:316092:1 gene:GSPATT00003996001 transcript:CAK93127 MFQDNIWECVQQNGMPTPKSGHCCCVVANNFYVFGGQSNTEILNEFHRFNLEDKTWSKIK TDSQPNGRSSAGMCGVDDIKKLYLFGGTLLIVEKTSKNDLYEYDIQKNNWTIVITQTPGP CERYGHSMIMSNYQIYIFGGVHYVKAQNRMNPEFLNDLWVFSLIDKIWKKLDLRAQIQPQ ARYRHTTAVIGNELYIIGGTTNQNRYGQIVKTDFTNMQWSYLELEALKNKSKKNLFKGRY GHACFVMENMVYIYSGMDDGQCDDALQLDVIQNKWKSKKFRGNIPSREFFSFAQTSNQLF IFGGRTQQNQRLNDLYVWNHNLRQEEQQYCTLRQEMHSLLEIHQNCSDFTIFTKSGTQYA LHKSLIKVRCPILLQFQNLQFSDILVQILIKYIYKAELALDLLSKVEIREALQFSAFISD ELFATAIIQYFLIDSRGQSDYDFIEKELQQIQGKGNSSAQINFLLKSVLQILEQRKQKQL VNLFQQQRIIFNNVSNQIVMKFYQDMRKLQYHSETDTIITAQDKQINVHSFVLVARSEYF REMIHFENGVIQQIQVDFDPQILQNIINYLYCGYQALQRQNEGNFDHLINAFKASSYFML SNQTFLYLIQEGMAEFISKDNVFDLAELAEQLNATYLLYECCTFISENLKGVSLSDLRKL SKEVLIRVLEVIGQKQ >CAK93128 pep:novel supercontig:GCA_000165425.1:CT868671:316125:317403:-1 gene:GSPATT00003997001 transcript:CAK93128 MAEQGRYSKLSEEEAAAQFELRKQQLLGNLQEQYQNANTQKNKKQSKQQQQQPNQQEFIV FNPTKKQSSQPSQKPSKKLQFVKEEQPLRNPTPPQEQEIEYQIQQPVEPIPPEQSPLIDK PQKPSIKKMLLRDIGNLDLEIQRLTRETKDFQNEKLLNKSQLSQQPRNLKESVTKTNSRP SSSQQQQSKAYFELRQRPSSKESRISDASYTKKAECFKGVIRSSSLKKLPQPPKTQYNFL QLRSASEKKINQSINKTNTVESENKDMTNKIVQSERKIPKPIIQINRSQSKQDTPTYEKK QSTPVKSILKVQFNSIPVECSSTKNNSTSYFHQHKESYNSQISFSKNKCVEAQIEEAVQL YNNLKSLMQNKTSVLQVRKDGNLIPRKTADFFYHKEMQKPTLY >CAK93129 pep:novel supercontig:GCA_000165425.1:CT868671:317473:318065:1 gene:GSPATT00003998001 transcript:CAK93129 MVKQCYLLITLLVIVHSLNYGTVLIQNEGGGQIQSKEIIFSKPFQQMPQVAIALLNGRGD IFAEIHEVNQRRYSSIYLIKGFTLQMMASDEIEAEFAYLAIENDENYQIECFNRIAVGNE IQIPLIIQRPTFIGVLATNTHANNFNIGNLLMQFGKDLLDGNLIVDNDGKLNMCIIITNE EQPIRSDWL >CAK93130 pep:novel supercontig:GCA_000165425.1:CT868671:318116:322762:1 gene:GSPATT00003999001 transcript:CAK93130 MPCNPQILIQLRSLVQAQQSLEITHLDQHKSFEIWHHQSIEIIKDSSIEVYPAHRSLEVV NDDQPNQPEFQQEESLEIFKLDNKDSQSTSDQKLIVEDIRLDNLNQNLESENTQLEDIKL DDQPIVTQQQTTVAVSDQLEDIKIDLEPTKETEQSEIIPIGDQQQQVEQSEQQQQETSQQ QQLEDVKLESEDAGNNQESQQINLTKEEEIHLITNSEEQSNANIEQVNEQKDPYNQNEDV ENLQSNVASNTSDQVLQQEDVTFDNQEQTTVNQQEDSKQEVQIEDIKLDNTDISSINVDD IPQQQQAKEIQNDQLSVQSEVKPIEDISIAEDVVVHADEVKIENVKISESNLEDIVTQQQ AQEDYTNNTEQQVQEQQVQEDIQENNEIDGQSEDVSVDPDAFSEPQQKVTQINDEDLLKQ ESDIDEMIRYAQELKEEAKQLKNKKRGEQQLEKMDIMEKAASLEKEVNQLENESEEPQVI EDQISLDVNSAYEDQKEMQVEQPQEEQQPPVIYLNDAGVNPEQQLITQEIKGDEKLMITE NQLQEEIDKELQSQEQQVESTSQIEEDDVQIVDASIKLDEDHQDMEVYESGQVDLIPDET QSQVEEVQQIEQPQEQVEVVTPQEDQFQDQDTTKEEGAVEIGLTTDEGEAFIRKPKKSDF LREKQRLKDKLTNVIRDFKLDTVQSNSLDSVVEADKQYSLIIDRLDVPENNEQVIVLKDD DYEQSQIEPEPEQEVKQLGDYLEAVEPDVENVNFIYDDEQQQEQQNIQIEDIKLNDDNTD EETNYKIVEAKDDDLEDAPLESVDDSQQQFLSTDVAVTAEETSASNIENQREDEVISFDE FQKELEVLANTIGNIKQENEESKQSSDYIIVNDNSQVYEATYDEQSNELSQQDEPQVQED QKQYYQGFEDDQNIEPEIQQSENQEQQQEQQEQQEVEQTFDAPEQEAEDQSFMNEQQEQE DAAQQVERYDDAEPQDQYLTIDNLVKDNSSFGESYEQPPQYYDESDNQSVEQQPENEDGN TLESDYDISEQQSQNQGYQQQQQNDYDQQEQKEQEQDFVVMKAEQFIPQVQDEPQQQEQS SDNQKEDDIYSETPRDENEIDIIVDVGNLQDDQPQLNEQDYDYEDNFETQQPTQNKQPQQ QQQTQQQLSSDSISNQKHKQKIQHSITISHNPQQGNTKQSERSIEVQHEDKSLKIEHQVN QDELKKDLKEQLKQELKEELKQEIKQEIQDSHNRRKSPSLVIEENVTKDPERPAQKAINN FNNLLNDVVDDTLKEEGKKHYQKLPIVDVKRDSFNKVEPKIEQGIDDLKKALGLKGGLEV VDNSGKKQVINVDDLKKESEKFLTRPERDNKKDSPQYEEYLKKVTEKTSKNNDQMSNYEE QHNMRKQEILENIKRELEIKKGHITVPSDSPQAELSPYEFERVYLDWEKNKQDIIPSMLQ HSIEQPSIQEINSNSEQVSQRSTERMSKKEEVEKEVQELLYGNQKNRKQQSKQSSKEFKV EDLKYIKDDPLLDATYSGFVQVKANLRKRSH >CAK93131 pep:novel supercontig:GCA_000165425.1:CT868671:322809:323075:-1 gene:GSPATT00004000001 transcript:CAK93131 MNQQCPHCRRRLPIPSSITKPRSQKKQNVTQTLKNISLYDLLEEFAKVRSEQHFDLMQFL QEKIKNPELTDDYYPVTQRKKWDLPKKQ >CAK93132 pep:novel supercontig:GCA_000165425.1:CT868671:323094:323492:-1 gene:GSPATT00004001001 transcript:CAK93132 MEYQYSGSCHCQKVQFQFTGPLEMEVIRCHCSICKMKQNHHVLIQDSKFKMLTSMEELSL YTFNTKQAKHYFCKTCGVQSFFYPRFNPNMIAVTIYCVQLPSNAKLTYVTQGLEQSIKES IKQ >CAK93133 pep:novel supercontig:GCA_000165425.1:CT868671:323511:324168:1 gene:GSPATT00004002001 transcript:CAK93133 MQSVSQISKSDPTRYGDSFKPTAKKMTHRLLSHCDSEMTLKKMDFTLAGNGKHVPDYNIK STQVETKQIEPFKIKDFIPQINPSIKGELSRLNKNNFLDFLLIQKAGKSGDQKFFDLLIQ EYNFDPFKKTLKMQDNKQQIQYLQKRDEREEQIALQVKQFYKHMQHKRSGTINDQPLDRM IIEKNNYLIQKLPRFRAKLEALQGKNDYI >CAK93134 pep:novel supercontig:GCA_000165425.1:CT868671:324169:324588:-1 gene:GSPATT00004003001 transcript:CAK93134 MYFDEDLSPWCSDDYRPRSRYHSQITVPLHKEKTEIKETHHTIHTILTAKKSELPKLKLN SLSPRQAVKQQYINKFIKIKESSNEKHFLPQLSLIKMKPHHTKPKVRNTDIHVIFEIEKI IRRNHGYIPTHKYTTSTIF >CAK93135 pep:novel supercontig:GCA_000165425.1:CT868671:325159:325695:1 gene:GSPATT00004004001 transcript:CAK93135 MISLDEMESVANSFRKKPKKNTSMQIQTDDTMAMLEILEADMNKFRIENLRLQQQIKQLQ ESLVHCSVNVTNTSSKFMLPSEFKLKYENMVKETLPSAFGYLFDQPKVLVQVVQQSCLFL EHVIREELNNKTSQVASILGINCQGLFSLLQKLYQDNYQSVLGFNETTINKLKSHSEN >CAK93136 pep:novel supercontig:GCA_000165425.1:CT868671:325752:326658:1 gene:GSPATT00004005001 transcript:CAK93136 MKQYWLLLSYIILSDQEINIGFSRVIYEIVSYQKNEYYCVDGFGKEGAKSLVILPPIMRK NQSFNGVKHAVLILPNNFDCSIFSQESNLQDTIQELKSYNSPKQLTIPQEIKVIEETKRS SLQYSARENTFQSQGSEKFDTFEECRIKPQQKQPLKSMKETLNKLNQYNNSSNNQSFKEI SKLLKQDSKDNSKFSQLNYIQNKISDKNWSRILANKRNKENNQHLNQSQQQTPQLTIQQF IKKIKIDFSRPLKSRHEESKFIKSQQDSFRQRAQSNDGKFVKYYNDSFNQKFNN >CAK93137 pep:novel supercontig:GCA_000165425.1:CT868671:326687:327917:1 gene:GSPATT00004006001 transcript:CAK93137 MSTLIQLKNAYVECVHLSLEIPKDDRLYLRQCAYQNNPDIISILFQYKKNNDQRRMYMDL RKFLQQNKQVRILQKKQQQFKENLRNGSPQAKSKQQSRQNSIRNNNPRSLSKQLQCIHQT DKQQHLIMTTPHKQQQDRLSQNQKFHTSSGGFFKEVQKFDETIKKSQRQKKWTEEEIVRK YADLFTAPDFLKKHRAISQDTDPDSKDYNFAISCQSFICQPKYYALQKFRAEQIFGFIFT ETSLDKISKNFFQYKMEAFYNEIDTEIPDLQEMFIFIDKNNDGFIDVNEMGQFLDFVCQK EDRKSFLKLDSNNQGYFTEHQMLQQTRDRQLTRKYKTKIYFNSYFQEYDIEQTGRITYWE YYLRKTTQLGQNLNKLLK >CAK93138 pep:novel supercontig:GCA_000165425.1:CT868671:327948:328509:1 gene:GSPATT00004007001 transcript:CAK93138 MCLEEVQDDKGLEKFLKMATKYYETKYGTPQKNLREKAMTLWLDLPSFKDKMIVMEFMQR KINRIESSQTKITQFFKSHSKPSATKIQRQIDLGDDQDITGPLIGDNTPLKRDRSPYSKF YEETYWKLKLEYEFLNQKEIRQMVKKQWDSNREDNIKYMIFQLCITQKAKSFFSV >CAK93139 pep:novel supercontig:GCA_000165425.1:CT868671:328557:329235:1 gene:GSPATT00004008001 transcript:CAK93139 MLKRNSHRQSDNQLRYSSLTKNDESEFNLGKFRGSVYSKMQQLGNQEQQSYVKGTVSKTA KSSQVQEMRGSVPSFEDIFKKMYSYKDNSHNILKHDGSLRSSIQCKIEQFAEPPQSRLSQ RSVGMTLHISNRFASQTKLDSKQQSTGKFTSSFMEKPIISQPLKTSLIDKLPLKELSDIR NRLEGSTQSEVQQLSKNYVSELVKLAQIINKQVRRCKL >CAK93140 pep:novel supercontig:GCA_000165425.1:CT868671:329246:330784:1 gene:GSPATT00004009001 transcript:CAK93140 MLQSHVNGTINQEYKRVVEKYQRYLNERSKLKHQSNDKLSAAVRKIYLNPLLPSLQSDHQ QSTNRIRKRQSLLLKEQYSHFKQESVEQINANLQLSIANHNSNSVPKKQNHSQANLETPQ QQSFFIGLYKNQRIENQCKNITIKDLVQYNKSSQEQLQNITHLPQLQQQASNEINLSKYF VKKPRPKKISFDEISFSNKWERERGSNLVRIDKLQVEEFSENVVEYLLKQDIMRLFFQNS SVLQLKAIMLELFKGVYYESTLNFESIIEFFTTQQITYLEIFYIKYSISQILINANYNYI FVERALNQFEEYRYLLQKPIPFITKLFDNTFYSNVVKGIMMKLLKSPAYNKFMTNQKYES ESFIYAVKNGLFPYLVGEYVGLPLHARVKDVKAEQFRQQILQPYINQVFVIQVKNLLNEY FLDEFYIKEVERRLQFPKQGQDLPEETYFPLHYIQSYLQCKNTIIPSYDIKAMMRLIKIN SSQLDSDSDVFAENIKYLDQFEQMKEELQLFK >CAK93141 pep:novel supercontig:GCA_000165425.1:CT868671:330788:331338:-1 gene:GSPATT00004010001 transcript:CAK93141 MNSQVTLIPLTENRIAQDYKMIQKNEAYLESFGEGYPNIKNTNDYFLYLLRIYGDFQNLE LKRFTICYDNTHCGQIGLHSFCIENFTCQAEIFYWVDEQFQGKGIVTKACQSLLKHAFEV LGLDLLIIHCLVENVKSANVALRCGFTYEGISNLDNTQYKKFIKTVEQYRIEQKK >CAK93142 pep:novel supercontig:GCA_000165425.1:CT868671:331421:334967:1 gene:GSPATT00004011001 transcript:CAK93142 MASERIHKYDDSKGAKCKQKNCFSNSYGSPFCLVKKFNDHNILKESYNKMNVRGDEKCSL NDRLQKYRSQAQMALDKLETGRLQILEAIIDTIDVIEVLGRGRVNVTSNLSANEESLAIV IYLLYILMDQIEHRIQQLNPDSSSVKEIIDILSQYHQITTRDSIQKVVLTLKSCQEYFSQ QNYDHYGEMDHKVQNAIEDVQRLDVVKMKKSFTKKMSTKSIRLLSDKLRLALSYLETDWI SKNNTSFQYKWASIKMKNIQQVMMMDMKKWDKKSLELLICRICEKENVRKTAEAKKRILE LNLEMANLCEAAYQEKRNAQVKQALIKQDLIIVSKNKKVQKNNNKFRRTLTFNSDEQENE QELININNAMTIIINYADKIVNQSNDDPKQNLVILNDLSNADNYIESNEAKTIITRVHKS ILDRMEYFKKQEGHLQQYDMTVQISKIQAIKKSFAKQNSLSFRQSKFSYASQSPRNRQAD PILEQEEGERLNQPIIPKRREFRMNTSIFKVNEGCDSTRISSKPLTASFINDHTDSDSMI QPLSFDSDAGDNKVPSIKEELNNSSEEESSSSNPSNQVRRQLQIKQKNRVSNFHSQDIQQ AITPTSSSSQQVQVTKKSKFSSNATNNSPQLVQEQIDPVHTDKGYHSDSDMIKTNSSVSI EQKNVGIKDFEFIKPLGKGAYGWVFQVKKKGSGDMYALKIIDCAQRNLEAFLEQLKAERN IFEILNSHFVVKAFFSFVHEHYLCFVQEYMVGGDLASILKSYTALDEFYVRHYMAEIILA LEYLRNQNIVHRDLKPENILLDSQGHAKLADFGLSEKGLNSRLKMKRESQVLPECISQQF NDSSEFYEHIKKAESIFIESKNSGNKKIIGTPDYIAPEIIQGVSVTNYSADYWSLGVIMY EMLCGITPFNDDTVEKIFENILNLRIEWPKLGDDGEDCISYDSYDLLTRLLEQDYTQRIG HVSIDEIKQHKFFKGIEWNTLLNKPGVIIPDLDQSSRDTEKMEQFLVKLTKPTKDQEHKK LTQQLKNQLQNLERIDLLKQRSVQEAEEYIQTIEREQSYIQKQIDQLTQFTAKLYSSHMK YK >CAK93143 pep:novel supercontig:GCA_000165425.1:CT868671:334999:337381:1 gene:GSPATT00004012001 transcript:CAK93143 MISFLLFCIVNAIDVSISNMDSAIIDIVWCGAHNKSNDNILVMSSKGTIYLSQDNGDDWQ KLAEVFHRKGLLVLQDENEKVGVVNKIQKSPIDPQLIIFLGTEFVNWISPDCGQTINAIY TGRQMREFQFHPSRKDWILASSWSKCQMKQKDCFVTKDLMVSQDMGLNWEVIAKYVNQYS WGFKSPNNDVIPEQRIMITLEPNGKGHQQLSGWNMKTHLFYSDDFMKTKTMLVNQGNKFQ LTPNHVFVAQVMNSSNQEVTLLVSESDQYEYNFRYVQMPDILKDHSYTIVDSQYGAFINI NHLKPSSIMGTTYISDSTASRYRISLNYTVRTEEGQCDFERVLGLEGIYIAKCLCIRDSP RIKESDLSKFKQTKITFDKGSRWQPLTPPQVDSEGKKLQCDSKSCSLHLHSVSTKMQFGP FYSTKNSIGLILGTGNIGKYLSYRPDQVNTYMSRDGGLTWFEIAKGSHIYEIGDHGGVIV LASDQQATKVLKYSWNEGLTWEVFQFSEHPIEITNIITEPSNIGARFIIYGTSDLFINEE NIEQGNIVKIDFSQIHGRECIGEDSPSSENSDYELWSPTGKINPDCLMGMRVEYVRRKRD AKCFNGEDLQRQHSVQYCQCTEEDWECDLNFHRENSDPNAKCIPYELYEQNFEAPENCED YYEVTRGYRRVAGNKCQGGVEYNPVSLLCPGFKFWKFRNIWDFMLICIFAAIIYFIYKNR HHFSKRKDHTKEQQQSARAYGQKMVERGLGYREFDEDLIDENQNV >CAK93144 pep:novel supercontig:GCA_000165425.1:CT868671:337549:339654:1 gene:GSPATT00004013001 transcript:CAK93144 MNENENILLSDNSFNQQKFCITPSKPERKIKENYILQTPITPNVQRTLFTNHKNLSASKF IIDEQLVDQQISQIEVDQAVELIQEICLRFNLTFSKFSIVSQDVIRLIYLFKEIKMICTY PIEKLYDFLNVVQMRYPSSISLVVSSQKLPSLSQINDIFELRQIKQGLVNITYLQLYRRD SDTIMQILRSLNVKQAFYFDDVEQCRYLLSDNIKQHIVCNRGVLPATNIQELRNYLSIQT SYIGPTLDIGHIQSEYKPNYQLNKVIFMDSESFSRMLTRLEEQNLQSVALKFSNNKNITA FEDFCINNQITCKYQAISSSAELKTQYEVLVHIDQVSSLQAYLNDCAFLNKDCISIVYLS KEQYLLKRKSLFFQQTSLELLEAIYNQMCTNQNKVNLNQIKQSFMIDNPMKLMNMLEKHQ LIQIDTVIPLKCSVSIDTMADTNSEQINQLIKYIKLHSRRIISLRKDVSTIKHFEIDLED VSKFMNKPIIELCIQIESKNKVFLEPEFYDFLINYQITDHQLHFANNNKMKNQLFQCAQD ITKIKQQQIQELDIWFCLGQMLKISSLSKCVNYYSNQQKFAEIVDKYFTAENKLTVGELF QHNHIKYMPIKTIEGLREKTKIITDIRKIYQTIQKENDRDIQHKIPINRRVHYELMKQYL SYDLDQLSDLVNYYIDQQNKQTQRYRVKGWLLDLPIKKVKY >CAK93145 pep:novel supercontig:GCA_000165425.1:CT868671:339700:340008:1 gene:GSPATT00004014001 transcript:CAK93145 MSKNVKQLLQDPNYTVPLDVIKRELSKIPGGDDKYKEIQQTLLPLLMDGIEELSKELETM IQNPEKIDPEERERFNPCIYLGYVKSTIFIDNIQ >CAK93146 pep:novel supercontig:GCA_000165425.1:CT868671:340070:340298:1 gene:GSPATT00004015001 transcript:CAK93146 MIRRIFWNVKNSFFGAGMLILIPVQFMYFPVLLGVPTYAATVLQSGLTYYDEYDGDVNEG NYYKTKSA >CAK93147 pep:novel supercontig:GCA_000165425.1:CT868671:340489:341234:-1 gene:GSPATT00004016001 transcript:CAK93147 MKYSQTTLQNSPSQFTIPVNSSDSQNWNDVKKGIRDKVYSKVQGFENQKFIQKSELLYNF KNQEEKNSNQPLSHRQSATHLKNLMDNKFPKQESPIKMGLTRAIYSPSQKQYHPLDDIQI KNNYQSAFGSAINKEKVKVQSSKKEQYSSSKPMSDLLDMKRNKAVTERATLAEIIRISNH LQDVQQAEATQLSSAYLQELIALQQNIGKVLKNTSSKVYK >CAK93148 pep:novel supercontig:GCA_000165425.1:CT868671:341671:342511:1 gene:GSPATT00004017001 transcript:CAK93148 MQEEQSKKVDPMEAFRKKEDYREACQKLDGLHSQNKENDETKYLMLFKKQETSRKDLCVI IRVYNGNMLWMQKIDRAALDEMILKTDIKGTYSSFCELIRFAISENSYDFVQFEAQLDIV LYFSLQRHVQLKGQIPLDQGLPITNDEDTRKIQFQFVCDLVDVLKATQLQFTKEIEVNTI RKKKNEQMIDVEQPPLQKPPPPPKKNLLTIGQEILTTPEVILPRKGPYGIPFYGNQYVQF PSNADLVNPNKKKRKTNGGKID >CAK93149 pep:novel supercontig:GCA_000165425.1:CT868671:343478:344751:1 gene:GSPATT00004018001 transcript:CAK93149 MNKFITIALIALVSVQALDIDFALLLQTGSESNDAVQAVYDLLNDLKTSNIEAQGVADEK NISDEEIGQGRIAALSKVNELNQKAWASAKARREQIGVEYREATDYIAWATQRLADIDRR EVELEELRCFSNGLFVRAIKQHNDALGVIKILKNDLSGYLTGAPSSMVELKVENVADKLK QYSQLFNQDAMSKFAQLAAEQASGNAELHALGESDASTSGERQPGVNVGQLVYNALSDLE DQLRAALAALEQNEIAGYYQLADWLADSEAEKVHLQDEIQRKTQLQDKLVVQEQAALAVQ AKANSVLKDSQNAINAATASLYELRDLYETELNRRNEENAIIDEVIHIFKQQVLEMANQS SYGKK >CAK93150 pep:novel supercontig:GCA_000165425.1:CT868671:344784:345675:-1 gene:GSPATT00004019001 transcript:CAK93150 MENQLPIKKKTYPSESQQDDKNKTGNQKIQTDKLFASLQKITLLDILDEFAKESENKSIT DILKAILNNVENKQKDPEGKVDWNSGKYDKPNNNYNQKFESNNNFQNNKFKKRNTEGGWN DNQRNDYGNKENGRSGFDKNGGNDRSRPWENKDGRKGNFQKGDNWKQNQDGKRNGGGNPN GDWNNKQGNGNNNDWKSSGNNNDWKSGGNNNEWKSAANNNNEWKTSGNNDWKTSGNNNDW KTSGNNNEWKSSGNNEWKTSSNNNEWKVEATTEQVQPISWGSPK >CAK93151 pep:novel supercontig:GCA_000165425.1:CT868671:345717:347716:-1 gene:GSPATT00004020001 transcript:CAK93151 MGTCVQHGQQHQLEFNTMDQIRLYENRHIYMDPQQELEWQRQNNGEESMLKRQLEAEEQQ RQQVLENQKIHQQMLNLYDPQNQSSNLNLDVEQRSLNFQPADKNQLASPPLFEIEKKQER SFTQGQSQKEKNKSPTKQQESNKQLVDYLSKGEKDVLFQPLPSPLNLQGNGELVYEAFDS AEITPLATPKQDVESECEELEEEPLELEQEIVEQKEDPNLIQQSPKTEQPKSQVVLDNPL FQQHQEDTISLVDDNPEEVQQAPPPPQERQIILQSSRGEQMIMSFAPNQQQQFIKSQQQN VPENEVEVLNHKPSLEKAVPSNTDVLKIENEHVVALSAQLEPININVDMNNCEMLGPYII LDTGDVYVGTWQMGKRHGFGKQIFSNGAFYEGQWLNDFLQGYGRYIFQNGDYYAGEFVHG EREGVGVLVYQDGSSYEGKWLKNQKSGEGREQTGDGNVYVGGFKTGKKDGKGRLEYVDGS IFEGMFKEGQICGKGTQTWPDGRRYEGEWKDGKMHGQGEFIWGEGKSYKGEYVNNVRQGY GEYSWPDGRTYKGGWKNGIMHGKGLMIWPDQRLQKGIWINGQKQFTKEELAQLQKVKKSS TADTSVNKTKDSGAETKEKKPKKSKSKSKSKEKKSKSKSKK >CAK93152 pep:novel supercontig:GCA_000165425.1:CT868671:347760:348713:-1 gene:GSPATT00004021001 transcript:CAK93152 MIQIKPSEYTRPSISRSLIIHQQQKNYGSLSIPLRDSQRKGSELRIRQDQSPKDRIQYQF NQLKVKLNDALSRTTSPQPSIRSNQAQSTKEIRISELNQQRKESPFKLEVSFSNDSSIES SFDEEINQQFQQAKETLAKLTNMNINQASPFKRTQSPLNNRLSLPLITHPRKQSATDNED DKINLQFLKSLEQNQDNKDIDEFYDNGELNINFQSRDLLQFIRYEQEVLELIHRTAKYSD IKQMKQEMIDQLNKFECLEEKEKKKQEEQKNQILKKLASKHQLLNTEDVNKANLSNKIAY QFIDKGMRKLEYQSSDD >CAK93153 pep:novel supercontig:GCA_000165425.1:CT868671:348752:349339:-1 gene:GSPATT00004022001 transcript:CAK93153 MQQCYIEDEFDVEDVSPWQEFNLSPQQTLFKSNQQYRSSTIYSGKDEKQKVTNTKTSYSN QTKQTAATTITDFPIRCLRNRVRSINQTQKQLLIATPVKQYCDFKLSKELLMNRNTADFP KQTLPRNFLSFQRLKKSQSRKKTVHSQELNPQLLQLQMQMKKMEEQVQQQKKSCWEIGAR RLSNPKFQTFLSTQV >CAK93154 pep:novel supercontig:GCA_000165425.1:CT868671:349812:350949:-1 gene:GSPATT00004023001 transcript:CAK93154 MIDRRSQSPMATSQKMGTARAIFDTGTEQEPTKRLTRSIRNTSTDPQQTDQKQQYQNQLT LGVQGSYELNSQNSRQFTQENFDYRKPETDTLNFRTVQTYKPQEVSAQPITQFNYQSFEY KKPDAGRTGSYIQSAYNTTFQEPIGSSLNYQNKSVYEPPKQDNTFTKYELNPFDKYKTTF EQPKTYDKFEQYKFEPMKYDFNIPEQPKDRQPSYRSIPQVQPNQSVIQTQYTPYNPDRIT LDTQLNRTTLNYPLQNESLSVGPRKTEEPKMPSDYQIKTQKPVEPIKTQNPPSIHAESIK NGQVYRPTTPVYTQQQQYQLSGQKLPESQFKQEPVDMFNKPSYKCKM >CAK93155 pep:novel supercontig:GCA_000165425.1:CT868671:350977:351764:1 gene:GSPATT00004024001 transcript:CAK93155 MLRFMTFYRFSNITTARGALLKTVSKEYKYEFHQYEQEPSLMKQIKHLGYQLIDDPKTNK VILKKEFPTAKISIEFRGTPPPLEGKIKIPKEDQSLSQHPKYQDQIQTKTQEKKEVYDLG TLSVDYTVLIESEKGEAVAFECNTSNQTTFINSVQPVYDIQEYKTQSKYRKFITDYSGPE INKLDEQLKQSFYTYLESFGINQSFNALIEAYSLDKEQRSYMQFLKSMERFLAI >CAK93156 pep:novel supercontig:GCA_000165425.1:CT868671:351841:352679:1 gene:GSPATT00004025001 transcript:CAK93156 MLLPYEHTGNKKSNILLIFLHGFPDSMRLWDQTITGIQKQDVQILNISYPNYHESQNLKF GLDFPEVVKRIRSTIEHVDNGQNLKKLLITHDWGAFYGYLYDQKYPQTVDDIIALDVGAQ VDLSLKPGLLIESYQITFALAFLLTLIPIVGEFFGTLLAKLYMKFILRIPIPQNYTAKIN YQYFYFQKNLLFHSIINKSKRFLYRYKPSVPVVFIYGEKKPFHFHSARWQLTLSQNADSE FIGAKTGHWVQVEQVDLVINKIIGRIARLKK >CAK93157 pep:novel supercontig:GCA_000165425.1:CT868671:352775:353749:-1 gene:GSPATT00004026001 transcript:CAK93157 MEFKKEQTKATSKRFKKITQKLGIQSLNKEERFDEGMKDFQNLDTFFTVTDLLKDMNKEQ PTPERQALFESQQTLIKTKLKLKKWKSEYPAYFQFEQKPPQTQYRNKVALLQKAQTHLNL EKYEPKLCKEVENLSSILKDKFKNVDMKEYPQLQLFQEISQYEDLRVRTEFNESLRDSYC KDRLSLKLEQFEQKPTVSYETYYKNYHNQAEQLRNRLRQQQMNFFNQKWGNSVVKMKMKE AQDKRGFLEKAKQIRRPAVTKKVVLPYLAPVIKNIITDICVEEADMMEQKRDFKKDIQEL AKIGSEFYDSKPEPQIMGLRIVNT >CAK93158 pep:novel supercontig:GCA_000165425.1:CT868671:353800:355560:-1 gene:GSPATT00004027001 transcript:CAK93158 MGVFIGGLVIFSGLNLMISIFYKPKQNLDQHMHETCLKLEKLRELFKINKQTNQEVNEEI DKQRDEQQSEFIGNIIKTTQNYYPNLISYNNLLQHNIQPKWCLTFFGLSFLLSFQINDIY WEFTFSWLYGLLLEQLLRVSFCIIYSKRLTQKWILQGPDQVYTEMQILAEFYKPLMLISK SPQKYIMNDTHVNISGMSKDQSNLNILNHNSTHDLIQNKHQILRITSANLGTIEDTKLDI IENVKELPILDSDQALDTEQNNNKQNLNQQTEMDTQPEDNQFKKKKVQRVKLEALYHSKV TNRSPKPNLPRPQFYSSQKNKQNQEEQQNRLQEQEIYMQNQDFDENQKENINCDLIQFKE DANSPPPQFNPIDFLKNISPIGSELSQRNEIPHQWEEPDEEEACNFKSSFNQDLQEAEFD RGITPKILPLQPLSNINNRSPVNQSLGSGLGSKFGTGSKVGTVARRNNSQKTSKEEEEKS YKESKRIKVNTRSGKQSPDRKNRKNGKLRGESMKVRGNRKEDIPTLNFDSARFQSPYLDF LPGMKDSSQQQKTQRKQNISANKQKIQQIIQSYENIQTSNEHRNVE >CAK93159 pep:novel supercontig:GCA_000165425.1:CT868671:356399:359090:-1 gene:GSPATT00004028001 transcript:CAK93159 MLQDNAIHNFNLSFGPKQQTTNAKVCYKETPESNISLQSEELLSPFRENSPQNWQRKKIT YQNSFNTLDKQHIIQLQQTMRPKAQTSQIVKFLKVTKTMGIVQRFKTNLFLNAYILPKKS QEQFQKQEKYTNTVVQNDSKKRNHQSKQIPLISPSSPISQIFDMMSIIVQLMALWIISIM AVFTNVDDNQAQIILFITVFLVLELIFSLNRAIIIQGLIIEDRSIIFQNFVKQSSFLYSL EIIICVYISFNKNFLQNNGAILLLILLAVIIGRILQQYDNLIDTLYQLSIPSDLLDLITL IISIYYFAHFIACCWLYCGLLQEENGSWITYYELSNQDIWIKYSTSFYWATMTMVTVGYG DITPKNQTEMIFCDIAMFLSSCVFAYSMNSIGIILKNINDKKVNYKKRAIILNQYMKKND ISNHIQDKVRNYLKYQIENEYNEISKDAIQILKQLPKGIEQEITQNINNRILQKIKVFDQ FTKWTKSELIDKIELASYTPGEYIYHQDTNQNIEIYYVYQGSVNIMEEQSQQNIQQLKQG EVFGEFQFFTGFNPKVSAISSGFSIIAKIKRETLLKILQDVKKDQEKFHQIKDKILLYND YSDLMITCKFCKKISHIFYLCPMLSYQPDMESRLKKEELYPQYQERQPFKRGIQKKKNSL VLQKETFDNIKEYQEINGIDQVEDNLQSEIQTNSLNEEQLYPINENQQSMKNINLVPKNP QMMTRTSRLSINQNHFGFCRQSVIQSSKDKYNIKVNQNQLTISNHNSPPKNIHESKGITK WNMLDSFIDQLQFHYLDIDSIQEYEIYMKHNNFKQVIKELNQKPKYIRKLLKLQKYTFNY YVKVMAIKIREYLDDDEIIENVFSKSLRKNQ >CAK93160 pep:novel supercontig:GCA_000165425.1:CT868671:360434:360883:-1 gene:GSPATT00004029001 transcript:CAK93160 MNTCSTTDFDKTAQFFFPIQSNAPYSPQKQQQKSLQIICIDPYKKGSNSNIKIKRTNSQI ELPKLQLYTEAESPDSHKKLRSNFIKDQFYTPNASRAERFPYTNINKSNESSPQKMSSQM SFNKQKQGQKSFLKSRPQQKIVTRIFGEL >CAK93161 pep:novel supercontig:GCA_000165425.1:CT868671:360951:362630:-1 gene:GSPATT00004030001 transcript:CAK93161 MNNKIITKKQQDKMIYLRNDDRNETMIYLNRQYFNKIIIMMSLLIAGFVIGTVYAVNPLT DKAVFPGWGDYNFNSYSGYLPVGTELRQLHYVFLESQSNPSTDPVVLWLNGGPGCSSLLG LNEEIGPFVMVDEDRKFKKNPYPWNARANLLFLESPAGVGFSLNKDDSYVYNDENSGQDN YQAILAWFQAFKQFQRNRFFIAGESYAGMYIPYTAKAIVDGNKSASLKIPLEGILIGNGL LVSDQQKRFTALQEYFLRRNFMPPTATNTIRKICSVKPDSIKCLLAQSQFEEICLGSNIN IYNVYGYCKDDTTPDFLKPKTNSGKQIRYPYVSWYEGNNFQKVGNSGAPCSDFGPITEYY NNAQVQEALHILERPYFWSACNMEINQAYNISKSGSYQLLPLLSQAGVRILIYSGDQDAI VSVVDTEQSINVIPGIQELDSWTPWGNTDLDLAGWVTKYNYLKFVVVRGAGHMVPEDQRQ NGFEMFDSFIYDNELPKYH >CAK93162 pep:novel supercontig:GCA_000165425.1:CT868671:362756:363211:1 gene:GSPATT00004031001 transcript:CAK93162 MKRKTYKREYEVESIIDRRFDEQAKSYLYQIKWKGYPHSQNTWEPIEHLQNPHVKTMVKE FDSAQEIGSSKKQINLELLKQCLLRKLTEFLFKNAPQENDLQEIILSDFDMSSNENNSNY IMPNFKEDTKQSNKKTHQLFQNAINSLNSNS >CAK93163 pep:novel supercontig:GCA_000165425.1:CT868671:363428:363751:1 gene:GSPATT00004032001 transcript:CAK93163 MQGKSQREVASSVDLNSSYITQSQNQVIFNGLTLKPNSPRTVLACQKLGIDMGIFQIKQQ DAPLIVIEISTIFVRMIVAVMKQFKQDMSITLIKLIVKFNNYFRTIE >CAK93164 pep:novel supercontig:GCA_000165425.1:CT868671:363816:365413:1 gene:GSPATT00004033001 transcript:CAK93164 MESLERSVSLKQGSTIDQLELKKQMDYAYNKTLQYLQHQELVEGSIPQSLGECQDVAELE GGLNKELSRYNKHKIQKIREAQIKLEEDKKRVELLEKIQERDQRIVQIMIKKQQEMKEKY CTSKKVENRRIDTQEMESKSQPRDNEAQKNLQQQQSQKVNQILSTYEIRSKMIFKGEDKK EKKKREQALQRKEEFEKQIDMECQIQMAKIQQKLENSGKLQKELIQNKIEKIKEQNHKEQ QIMKQQKQLKDKISQDQINQLLEKMVQKEKDFQINQKSIQNVEQEKKLEIKSKQRKIKSN QGDIFKDRDEKLKQLNEKFLKVEQFNRMKKEEQDNKILLKQELRKLKEQDKQDILERQKR QNEYRMLEITEKYRQVDEKNQLKQYQNTLLQQTSMHISKQEILERNRIYSHLQQMSDNLF NKKVRKRNLSQLEKNILTIKPKVNNQKEDTEFEDHTRLLITMLQTSDPEETLKNKLSRRH N >CAK93165 pep:novel supercontig:GCA_000165425.1:CT868671:365617:366862:-1 gene:GSPATT00004034001 transcript:CAK93165 MFLNCYQSPIFEVYNSKDAKNSNGNKEKLKHYSKLVDLQSSVYEYNSLLKTYLLMTKQVK LPHGKDSELSLVHPIIGIQGYFKDSIHIELFVVDTKSTRRRIILNSLKKSECKPFFISYP LQSQSIYNNWVTILIQMPSFVSQFKGSSYRSLESILVQSSCSIRRIFTMQTFNESLLSQF QYPIESNPKSFLISFQNEQELFVDSNQEQQLNANALKQAKLKQIQYDIPKVQKLTLNPQK IQEPLPQNNSTERESKVKECEQKVKSKPQKKAKQNKENEFLDLSLNIDKKQKNLENDEID LKNIDELIKIIEQDSNQQKAKEIEKNKEQNEEGDLINIMNAINAIENQESSKSEIIIKNP IGCTTITKQGKTTLILENRKEQENFVKIIYDPILNCYFDITTNKCYV >CAK93166 pep:novel supercontig:GCA_000165425.1:CT868671:366879:367295:-1 gene:GSPATT00004035001 transcript:CAK93166 MGEIREQLNNLSQRDIAERLQFLTDHQRSDFKKMMGKVLLFQFQQDEYDKKQWNKLQYQI LLSIPLVLSARIVSEYLNANVEFSKQRPTLWIKFLRAMAYKKGIGLGTALLVIPIHKTIE YSRKLGYKHYILSQIKEE >CAK93167 pep:novel supercontig:GCA_000165425.1:CT868671:367375:368320:-1 gene:GSPATT00004036001 transcript:CAK93167 MNERDILIDGNAPSHNPLIHKIAKNTEYTVKKAMISANAVVEGGIKNPSLPDEILTIQEN QMRFLIMLATLQFFTLLVIGIFLVIELFTIYEEISIIVLLVLLVIYVITLVVGQFEQDIT QSFPKNIILFIINSLSRIFWIAYLVVLIDFIRFELIQLLIFVNLLLMIIFFQVDRKSNTK NCFLIKNQLLRISIIIILEFGGVYSVLLFDNFETATLWFVIIIIIYFWMLLEIQLIEFRK KLYKNDNIWYFAAIIFDGDLIFPCYFVNKQLLNEEFDSILI >CAK93168 pep:novel supercontig:GCA_000165425.1:CT868671:368993:369232:-1 gene:GSPATT00004037001 transcript:CAK93168 MIKENDRYREVSNYSIQNQTDSIDDENMIQEQQNQIKHLKISYQKWINKLWSQIGNYKAR IGRARKREEAQLITYENIK >CAK93169 pep:novel supercontig:GCA_000165425.1:CT868671:369253:369723:1 gene:GSPATT00004038001 transcript:CAK93169 MNIENQQQHQLQKRIKERFVYEAKFLVDQWRSIFEQRHLQDGKMIKYTLDQAADIVGISR KTLEDYYYCLKKAEKIIDINQFMNCKMGVIRRIIKEHKKQIDEQNLMDTNQFFALDEENK EPRKNSFEYDD >CAK93170 pep:novel supercontig:GCA_000165425.1:CT868671:370823:371554:1 gene:GSPATT00004039001 transcript:CAK93170 MKSIVILVLVATAFATNLAAFEKLEKSKLGKTLLNTIAIQMQTGEPLERIFQTLYDLEER YQNDQREDDAENKAFQGVCDADLAGLNQELANLEQKDTELQARLDELQPVHDQKVGQRVA KLAEKALLQKVIDEATAKRQEENTDFEAQREEFTFVSSVLAEARRLFTDNLQAPAFLQKG ASEAVHFTPQVFAQVASHLNAAAHKAGQMKHIRTSEKPSNLWLNWLSRLNNMPTKNQPEE SSN >CAK93171 pep:novel supercontig:GCA_000165425.1:CT868671:371556:372011:1 gene:GSPATT00004040001 transcript:CAK93171 MNKLNSAIANLEAEIQSLADQLAATHSSQDDNTARHEAKTQQRDDRRAECQQAAYEYQQR RSARDADRQTVSDLIGHLNTNMRDLKEYIAMRVAAGDTDLGQ >CAK93172 pep:novel supercontig:GCA_000165425.1:CT868671:372852:374424:-1 gene:GSPATT00004041001 transcript:CAK93172 MGTFGIVLKGKNQSTQQIVAIKIQQEMKENEKEMLNKMKGKEFRNLIKIFEIEQIDNYYY IVMEYCTESLYDRIKSKGTINPDQIRFIMKEIGNGLKEIHDLGYAHRDMKPENVLIFQKK DLNGTTQELYKICDFGTIKDVDVLQTQAIGTAYYLAPEQLIKTNQGSSYTSKVDIWAFGA MIYELMTNIPLFDGYSEEEVYQKILSTTQEQIDEKINTNLRLERKYKTLLLNMLQIDTNK RYDIHQVQSDLRGQSQNVTRNQVPNSRISQIISGQQNSGQQISNLVPKKYPIFDLPIPMP TKTLQNFQKTEILPAPQQLKEKQQQMNININNQTQNKVSSQMNYACNIPPKNSSMQKFDQ PQPKFQGNQPSNIGKSIQINPFSIKANMELEQKQPSSLAQDQIVQKQQVPPQQQIPQNTQ QITKVRFNSTISNSFQEKNPQKQGNNFGNINQSQPLSIDGPKQNFTQNRNSSTQPQTQPI FQLNQSMKLNQQQNYFQNFAPRQDRFNPSKFTQQLS >CAK93173 pep:novel supercontig:GCA_000165425.1:CT868671:374857:375438:1 gene:GSPATT00004042001 transcript:CAK93173 MRISLKQPKSNVEVLLKKCQSMIDKLLDEEFNLDRLMSKLRSKKRRNNKASTGNVLQQID ENTLKEIQTFSRCQVAIKNEEKKVIEKQEKQGKQERQEKETTKRTTEVDPFEKLVNINMQ IEQCLGNKVQLNIELLSNQNKIENESDMEVKINNLEEQIYKLTENRVYNDENVEVYIVQK TNKKRMPNLQINY >CAK93174 pep:novel supercontig:GCA_000165425.1:CT868671:375483:379172:1 gene:GSPATT00004043001 transcript:CAK93174 MQLYSLTLQKSTGIQKAIYGNFSGPKAQEIVVSKVKHIELLKINDSNGKLETIASQEVFG IIRSIHSFRFPGNNRDNLVIGSDSGRVVVLGFDGQKNMFVKVHQETYGKTGCRRIVPGQY LACDPKGRAFMISAVEKHKFVYILTRENEKITISSPLEAPKSHTIVFDIVGLDAGYDNAQ FAAIESDYGDWQDKDSAVCTGIQTKFLTIYEMDFGLNTVRRQRSDPIHISSHSLLMVPNA PDGPGGVIVLCEDFLHYRGPKNQEMKVPYPKNQGMPADRGAMISSFGFYKQKSSFLYLLQ SEYGDLFELSLQFTKDEVHSIRMIYFDTIPVANSLCLMRSKHLFAACEKGNHCFYKYQRE ETSTNIICTDSSMALEEQIFFKPQKLKHLSLLQELNNFSCISDLKVADLAKEGNPQIYIC CAAGNRSTLRVLRHGLEITQLANTNLQAKPLGIWTLKERYEDPVHKYIVISYINKTLVLK IGEKVEQVHDTGLEGTKQTIHVGTLIDDSQIQILTNGYRHIRKNKPPTDYIIDGKVIKGV SNEKQVAFALAGGDVYYFELDTTSVSGNLIEITKDQMDNEIKALELGPIEEGRQRCKFLC VALSDQTIRLLSLEPESCFERGAMQALPSEAESLCMMEMASEQEGQQSFTKQLFLFIGLN NGLLMRTSVDQLSGGLSDTRTRYLGTKPVKCLRITANQQQAMLALSSRSWLCYNNSGRIF MQPLSYDYLDYASAFLAKEFQGIVGTNQSTLRIIMPERFGEIFNQQSLDLTYSPRKMIFH EPSKAIFIIESDNRSYNNIQQKVQEVYQTEELPEQWNQIQAEQYKWASLIRIVDATKLET VNVHQFYENQHACSICYIQFAGYPEQYICVGTVKDLVNEPSRKFSQGFIHTFVYDNKTLK LKHSTPIDEIPYALAAWRGRLLVGAGCNLRVYEMGNQRILKKAEIKNLNSFITSIMVKED RIYVAEVADSIHLLRYNIRDQTFMELADDILPRYVTASTVLDYHTVIAGDKFENIFVSRV PLDIDEEQEEHPYEYKMKMDQGCMNGAPFKMDQICNFYVGEVITSLQKIALVSTSSEVVV YGTSMGSIAALYPFDNKEDIDFFLHLEMYLRVEHQPLSGRDHMQFRSAYGPCKSIIDGDL CDQFGNMQYNKQRAVAEEFDRTPADIIKKLEDIRNKIL >CAK93175 pep:novel supercontig:GCA_000165425.1:CT868671:379409:379969:1 gene:GSPATT00004044001 transcript:CAK93175 MINIFPLSLLLTAKGSDVVIEVKAGDTYDGKLELLDKFMNAKLTNVKHTSKDGNSFHGIK ELLIKGNSIKYFRLNPDAEKKAQEEAEIYEQQQQQKQQKQNEQKQQRKAQNQKSRGKSYP QKQPQQRR >CAK93176 pep:novel supercontig:GCA_000165425.1:CT868671:379977:381495:-1 gene:GSPATT00004045001 transcript:CAK93176 MGTCVSNQDDVRNANQIALSLEIKHFTWSRDSHGLFDYENKNVIKGQLKTNSSKMIFIRQ KEAIRVVQTKDELLQYQNQSDVQELIRINRQITKYFIESIYQQQISEETEGLSDFSPWVV VKSLKSQKNDQAGYSLKQNDYIKLGRVRFRIREISCSSANPNNQELPIEPELQKFVSDKS LNLMNIHTQEDEQSQVEEPQCRICYNDAQTDLNNPLIDCCKCQGSVKFIHLVCLQTWLLN KLQPKTTKFSVSFQWKQFECEVCKAPIPNRIKYQNRIYETIVLPKPEPPYLTLEILSRDR NQSKGTHIISFSQKKFIKLGRGHDSDVRITDISVSRLHAIIKYSNSQFFIEDQQSKFGTL VLMKNPTQVSVDMNKNLAIQIGRSVLNFQVLKDWNVQTCCMGSVSDEQMQQQDDNNNLIG SAVGDDDQIQVEQAFIDNNGEFD >CAK93177 pep:novel supercontig:GCA_000165425.1:CT868671:381550:382552:-1 gene:GSPATT00004046001 transcript:CAK93177 MFKLIIICLFVLIQIKCQDGDGMDEAKKLYQELHGDIELEENSNENNQEQEDEKECEATK QDEQIYMAKQLSKVVLEEQIEKITKRLSDINVQIESLKYNMPTNEKSDECLEFKDCAACT KNAKCGWCSMEEKCVAGDNIAPFYTMCNFYNYLYCAGECARYVDCDSCVKDTACGWCEDQ PHIIISCVQLDGDGIQCPQNYLIGDGSDKCPKRQLIVLKTVNNKKRKETKAEEPNSKNSE RGWEDNYVMVRQGRYKERMNALKENASPSGAAVGTNELDRLYDEKATLEKKLNSYQKQYK KLVGEGGEDGQDENADE >CAK93178 pep:novel supercontig:GCA_000165425.1:CT868671:383007:383837:-1 gene:GSPATT00004047001 transcript:CAK93178 MDKLLSGATFLPIYGLTDKQKLEHRTIFTNISLGNNQNLGTILGITVLGVIGFLALGFTF LGAIGGGAIGIILGRYLGRKISKKIATKGINLTEFDIFVIRVKGLLKWVNFNLMIQGKLI MVKYLNNINLQRFCVQRILTEIIYYYVNSPPCVDNREFCQKRILKYDFPKPGKTCLLYFS VDHKKAPKFKGHIRAETLISGYILESIPGGSRLYFCSNNDVKGDIPKSLVNYVASRAPVG WINSLRKGCEDYNKIMLQIQ >CAK93179 pep:novel supercontig:GCA_000165425.1:CT868671:384230:384644:1 gene:GSPATT00004048001 transcript:CAK93179 MSRQVIRLPAEVNRILYVRNLPYKITSEEMYDIFGKFGAIRQIRKGTHGDKKGTAFVVYE DIYDAKNAFDNISGFNVAGRYLIVLYYQPQKMQERQDLEFQRKQIEKLREQQRQQQQ >CAK93180 pep:novel supercontig:GCA_000165425.1:CT868671:384653:385845:1 gene:GSPATT00004049001 transcript:CAK93180 MNQQFTDIINDELDPILGEFTSQTLASSSIITSPLKLIRQSNVIEIQNVHTKYKRVIQET IQKIILEIEKYYQQLIERNQAINFKLYQRIAEQTSNIQQLQDIVNQLTLDNMQFRNKQYE EEITQEFYDPQQYTIRQQKKELLELYDLNDQLSNKLKRQESVNSQWQDTIKRQNSQLLFY EKKITEQEQFISDLKIQISELQKQNTELQQQFNNISKQSQKCIDRLNQHEKNDIHSSKGK YSTLNQNETIETQQQSNKLTSQLKIINTTDHETATQPSQKCILQKRQKLILQSLEYQNCS DFEISVKNRQYYQNTIKKTELLDISDIIKPKFQKKPQILDDLYLYSNRQRNTTKIIQVQR KVDVTISFKLKEGSFFEEKQALYIRMMFY >CAK93181 pep:novel supercontig:GCA_000165425.1:CT868671:385902:387357:1 gene:GSPATT00004050001 transcript:CAK93181 MNFYFFIQYAVEAEAKLEEIRILLASQNNFEPFTTYKRLDQPRTGGLKPENIYEFLLDNE IQVGQDELDYIFRVLDEDSDSLVTYQDFKNAILPKMNDQVKDQALNHKSYDLPVDMQLPK EIETQLSQFFIQIKQNYLQYQQIQEKIDLNQLDIYDNDNQITVDSLKNWLQQQGEDISNE ILENFVTIIQGQQQQLQILLDQIYTENQEEQEQQVEENVDQEQQQEDQEQEQALQEDQEQ FLQEEELEQVQQKDTDQDENDTQQNNQQEQQSQEIEYKSLDYKKSKNDSYNDIDYQIQQL KNKINKLEVILGQKNTSPIGSLLKNEYNRELLNFSRMSYQRKFNPSSPTQKNDLIRQQLR LDEEIKIEEIKQKILLTQINNSTNVHSSGFYSNRFQNQIFQSPLNQSKSKYISDNSGNKY IGSNRKQYPNFFQKSINFSNRKF >CAK93182 pep:novel supercontig:GCA_000165425.1:CT868671:387395:389199:-1 gene:GSPATT00004051001 transcript:CAK93182 MSKLFIQNLERRKFLIFFNQFFAKLGDKKQIKYNIFQQKIYLRYRNNDYYAKENEMNKIL ISNSNYIQKKNKIQRMSKSYHYQVQYIAKDQQEQKKDSQPYRKSHNNNYDGFSRNKKYYN NRQHYQNDNKRVEYEQKQQICQPQNNVYDAKLLLSAYREYLQPPEEVVHLTKKIPQLFAT KPFKPISQTTHCNHSDEEEPQWMQDSQFNAPFKLNDIENEIQSKREQYQKDHGTFEKKQE EKRQKIQEFQIQQEAINSIEIEKQKYREKREQELKQSQAAIETKQKLFELFSTNVEPQAT PVVVNHNILTVEEIEKRQLTKQIQTNNNNNNNNNNNNNNKPEETAKVQEACISDDELNQL LGFNNNNENSKTVDQLPSILFKSEQIKTSPFSIQAQLHNKDINESLWFYIDKSNKTQGGF PTKNMDIWYSQNYLKPSLMISWGSPGKWIYLEQYQNNLQSILQGTLDKLKAMDPLAVDQT KAYKPAQPNQPSQQWINQQHYVQNNNINRYQYTNQNNQYGQLRNRNNQNRTIFQIGQKDT QQVHYNQYYSSNGNQYEQSGEGFVLDIKSFPTPDEK >CAK93183 pep:novel supercontig:GCA_000165425.1:CT868671:390102:391096:1 gene:GSPATT00004052001 transcript:CAK93183 MNADDLRNKLNELNKKQADILTKRKAIINEIRNFSFQKQDNKKVKLNNGKESNVFQINLV GAKEERAEKQKQLDEQVPTTLKEKDKRLAKFLLGNHLQKAKQDLMGEKQKLDKQIEINKR LDDKDKQDLQTLKEDQNRKKSSLVNERKQLEQELAADEYRRQVLLLELQIKIMRDFFITK TYPHILWQPAKTNEGMNPLKEYSTEKFNVKILILGAQEMEKDLKDQLLRAYTNFTESQYQ KLKEQQVQQEHESSQSESEESQEKQKEEGQE >CAK93184 pep:novel supercontig:GCA_000165425.1:CT868671:391349:392362:1 gene:GSPATT00004053001 transcript:CAK93184 MSIIVLMEMTREQRQISSKQFNINSLSVQTISQDIKIIKRNQIQLSCPTKIISYMYKWLL KQQVIFQDDKILRKIKVQESNDSTFMSFPRNQFPLIQYQLYPQYYANYPQEQYQALQYQI TNPILQSQDRFLGQPKQVQSSQHFRTSDFQFFGSHDDSESIEPNQAEKDSKDQKNSYFKL KSKIKKGKVNDTKNITKNFSKAIISYIIQNKDIGLKIMNCEQFEEFVSVLKDKKNQMTNI KQLRELWVDTNQEKSQQFNKAFRIFSEYFLKQQSVSYIYNSRIANTGWHLKYRYNLLRAL KEPENFKYIKDI >CAK93185 pep:novel supercontig:GCA_000165425.1:CT868671:392367:394301:-1 gene:GSPATT00004054001 transcript:CAK93185 MQQQQTQVGQGPLWQAVPQDFVFPKPKFEATVTKLGKKQHERHLVITDKHILLFKDKSKH VHKLLPLDFTTRFEIFRDAPVLKANASQKKSTPNTPASTRADIVKPEDVQTLGDILHIRL QSENTEKFWDFTGDQEILKTFRKYFGQKINQMGFHHMFKVFKKIGKGNFASVYLAERIED GQQMAIKAFSKSAVYAEENGKEGLINEITIMRELDHPNIMKLYEVYETQNSLYMGLELLQ GGQLYEIMKKKVILTNKQIQSIMRGLLEGLAHMHSKNIMHRDLKLENILFKEQNDINSVV IADFGLATFVNLPVYLYCRCGTPGFVAPEVINITDMSTTYDSVCDIYSLGLVFHILLTGK PGFPGRSYNTIVQQNKEAKINFKSPVFDVVPPPAFELLKHMLEPSPKKRITATDALKYEF VALCEKTINAAQEDDGNIGDIDDKPGLNVRIQQINEQAAKFDMLRINQLTNSPIKSPVMQ ATNKMKEVQNKDQQMIMRTPVITGRTVACEESPNMNQFVSPSVQFKKLQQNQQQAPTGNV LLKYTQKPQQQQQTNTEDEKKDQSNIAKSVKAALSKHV >CAK93186 pep:novel supercontig:GCA_000165425.1:CT868671:394683:396323:-1 gene:GSPATT00004055001 transcript:CAK93186 MNLFNIDNSLATPYSFQSNTTSVFIDNDWLAKQLENVQQIDYQNYNLPSNTDISEFVLQQ SVGESPFIQQQKRDPVDFSLIKLEKLEEAQQINYTPKKQYTSATRKQNYKKCKTTTTGFA IDENDANKNDSDTLRKIKSSQVQKKSEFTKQLNIYQQNFSIQIQVKYFGTQYQQIISVDE SIRAAEFIVLALKRFASDPKSDKSKFEYQNFSLAYKLVGQDLSPTKYTYNNDENFDNDSI ILEEESLERPEIDLESQLFYKMVELLPTTTNFGLDFQTIKQTFISNPESIILLIEDPQSL IFYHIKVNKKGTLGDCLVELNRKVSKKYLKNDFYLSLKFSCVNYDFGELNEKFPINQLPI HWLVIIQKARNNLLDSQEEVSMANIGRTLNNTIHENMRGSNSCGFLSINFMQLATVEKLP LLYSYQEFNLIRAYKEQLIDVILGIDYFDLYYTFNQKQAKKVGITKLVSNLIKSLFVQET EKKKYKRIPINAITDLKQQEEKYFEIYYELQDGYQKTIKFFSKNDDKIVFRDAFTKLEYL VSIQQI >CAK93187 pep:novel supercontig:GCA_000165425.1:CT868671:396510:398086:-1 gene:GSPATT00004056001 transcript:CAK93187 MENYNFSALSISIQTDTQSSESDQQFSSPLRSEKTNFLDLENEKQNNDSAHQSQVEVSIQ KDCQEKVAQTSEQKSNSICESQIKQTKASQNKKRLVKRCQTTVLIGQSIHKHNTQKADKY FVKKNNQIIETSFERIKIKRSNFSGMFLTNLRQQQKPIQVRYFRSTITKVLVIDQDMLAA EFIVLALAQFQNDSKLDQSLYEFPNYSLAYQIEGQDDQQDLDEISYRRPIQRYKSFGSLE DMMKSRRESLNQIDFDQKPISQAEISLLADDIDDKIIQIDLESPMRYYKSVDIVPILDPK QLDIDLQMVKMLHQYHPNHILILIEEPISQVRFHMKICPNQLVEELFTELSRKANKKFRS ADYNLVLKYPCIHMSTEPINLKIPISKLPIHYLILIQKFQTEVTRSLRQCNDSFTMNINK DTIFSKSANKSQRFLQEEGKTSESTDKVRTLYSYQEYQLLKIDKQFKNNVILGIDYFDLY YTYTQERQRQFTLGKLCKSISQILFEEPEIAFKQNIKS >CAK93188 pep:novel supercontig:GCA_000165425.1:CT868671:398403:400272:1 gene:GSPATT00004057001 transcript:CAK93188 MDKYKKIRVVGKGSFGYALLAQALSNKKNYIIKIIDISKMDRKQREEALNEVHVLKAMRH PYIITYRESFMEKKCLCIVMDYADGGDLYGKIAKQKELGILFSEEQILDWFVQMALAMNH IHERKILHRDLKTQNIFLTSKNDVKIGDFGIARVLQHTYDCAKTAIGTPYYLSPEICQEK PYNQKSDIWSLGCILYELTTLNHAFDALSMKELVLKILRGTYPPIPSQYSSELQSLIADM LIKDPSKRPSIKRILERDFLKQRIGSLLVSTLNRHELQELTEIKPTENLFIQPQQTAQQF ILQQKQFEQNKISQNQSQNNSPITSRQIIQTVASKKPSPKKDNSKVELKSLFNRQNSPLQ SINQNYSIQQQQIYSQQQQQQLMDEKFEKPQSFASVVSQKENQQQLQPPKQQQNIELLNK FYLKDNTNIVRLQSKSPILQEQKRKESIVSLVREDDSFEQQQGYKFLIKDMRKCLDKKQS KEEEESIIVDNFKIVPQFLNQQKQFQVPGTSERDTIGYKIEALRYYLEQQMGLDSFMKAY QTLENSQESEQLKQANQQLNLELRKYIPLIIQLIVCEDSYY >CAK93189 pep:novel supercontig:GCA_000165425.1:CT868671:400310:400695:-1 gene:GSPATT00004058001 transcript:CAK93189 MSQFLTPTRDELYDSLRLTDIAKSNESLEPSPRKYIKIEDYENTPLETQDSSSYNKIIIP IPLKQPVSASKQGQRMLINPNLKIKMLNLYISHAIIQEEDDIFNCIQSQK >CAK93190 pep:novel supercontig:GCA_000165425.1:CT868671:401513:403142:1 gene:GSPATT00004059001 transcript:CAK93190 MSLKDFQILQELGEGAYSKVYKVRRIADGFEYALKKVKLQSLSDKEKQNALNEVRILASV RHANVIQYKEAFLEESTQSLCIVMEYADDGDLFQKIVECQKKGMLMAEKDIWNYFTQIVK GLKALHDMKIYHRDLKSANVFMNVDGTVKLGDMNVSKVAKKILLYTQTGTPYYASPEVWK DQPYDSKSDIWSLGCVLYEMTTLKPPFRAEDMNGLYKKVVRGYYPKIPTIYSQDLSNIIR ALLQVQPHLRPSCDKILQLSAVVNRMDDKVLIEEEGAKFLLQTIRVPRVMHYLTDRLPKP NYAPVKMMRIDKNQFIQTLAVQKSSQDNVEDPLTNSNIEHLPRIGRRLDDSQIQSYDASS VLSKKQNKVDESHILPKPSLEIAQQGSKNSEKLNLIHQIYAGNPVKAVKKTKKPMKPEIQ ILQQKLVQKKLDIEGEPKPLLIKNVKHQQEEKSPSPFLPALPAISKEKRIMKDNNEDEQL KRIKQTEEQIQLLKEKQLKQKRKYQLRNSEK >CAK93191 pep:novel supercontig:GCA_000165425.1:CT868671:403173:404749:-1 gene:GSPATT00004060001 transcript:CAK93191 MAEIKISNQTKDRVAACKAYIERKYKSLITNEKEKMENWQQLQEILKNLNFTPIEQELIK KEIQHKEAMQLRKKRQKITVEDFESIAIIGRGAFGEVRVCRQKDTNEIVAIKKMKKNEML FKNQLGHVRAERDILVSSKCQWIVELKSSFQDDENLYLVMEFLSGGDLMTLLIKKDIIPE RDAKFYIAELVLAVEEIHNMNYIHRDLKPDNILIDAQGHLKLSDFGLCKHLGQHYDMAVP YNMNNTAVDQKKSRNEQRRQLAFSTVGTPDYIAPEVFSQNGYNQLVDWWSIGVILFEMVI GYPPFYSDTPQKTCQKILKWKNHFKFPNNPKVSPQCYDLITKLMTDVNDRLGDANKIKRH PFFSGIDFNNIRNQRPPYIPDKKKLTSNFDKFEEKEPWKHVIHNREESDQEKNGSNLNKK YFQGYTYKRNCDAEMSPVKRALEELENIRPSGIKADFDKKQKSQSPKPINAPPEFNKSQR SQSPTIKEQLKNTYMQYIGQYLSPLNKQKQQQKQCK >CAK93192 pep:novel supercontig:GCA_000165425.1:CT868671:404806:405818:-1 gene:GSPATT00004061001 transcript:CAK93192 MDQIELLNLWKTIKGNLQKKYAKISAAFVDIDQNDSQKIELDDLKLELIQNHGIQSEEVI QQLFEYLNASKTGAITLQEFEKNWIQVDEQVQQASIQQKFQDQKSYVKVEDQVFNSSGQK SAQQFSELFKSNASGSSPRKYINIQGDFQINQFTQNYSPPKQQYNQLNYLETFIQTKKIS PPKTSFLLKDKADAQQDKLRNLQKRINTLFNTKLNARNSSPKIRKDFDSYLNTLKLGKPN TQRRQPSNPQILFDYQTVYPQRNSQRDYEQRWSHNRKNNSQSSRSLDRISLQQYAYQFQD RKYANKQFKSFTNNSQKGSQPKTTFWFRL >CAK93193 pep:novel supercontig:GCA_000165425.1:CT868671:406104:407015:1 gene:GSPATT00004062001 transcript:CAK93193 MEIIPVETKKRIHEFSYAQKVSNQISPDKSHIKLNESLFKAQILEKQSCTLIKNIQKDLL MKIKKYDSILQKNNLQKNISSSQPTSPPIRKNNEENQELTQLQYQIKPKGEKLLTEIVHK TTQNDLRRDSLPIKKLDVKLSYFSEEKPLFSSQDISPIKQEQHSNTLYLRRNTTQNIATP QQKKSSVTDFLGSYAKLHTSKSASKKKPNLMECKNQIQCTKETKEIKQNDIKKIQSGNKK QSETTDYTFRPKKNEVPIDKQNRARKNQKQKQSIDLCVNTDLKEIIHIINDLDLFNNRLK KKS >CAK93194 pep:novel supercontig:GCA_000165425.1:CT868671:407098:407714:-1 gene:GSPATT00004063001 transcript:CAK93194 MNYKDADPEGSVCSQPTASQTGENFHQKKVTFARSKHVTKCQNCQLQDLQLKKLTEQIQN IEELLISFQQQIEERNQENKKQNQKQEELLLELQIISEKHLQELINNGILQKQNIEFRKE ILKLEQEISKSQCQNLELTKRSSELKFIESLIQMFMSFHSKVQFPAPPQLKQVWKWLKQI VSEYAILKQKELLLHK >CAK93195 pep:novel supercontig:GCA_000165425.1:CT868671:407816:408564:-1 gene:GSPATT00004064001 transcript:CAK93195 MYITQFLLNVFEIFKFLSQITLNCYQFVNFFELFNRMKLEKKKQQPQQNPKNNTKSSKQQ VVQKLPDDMESEIRDCFNFYDPQKSGYINRQNLRSILGNFAFINKTVKDIEEEIHDVVDE QRDSFSLKDVMHIIQKRWFENKGRDEEMDEIFDLFVKKDRKIGLAEIKNVFGQYLDIQIS ESDILEFIQDASKGKEAMSKDDLASKMNYI >CAK93196 pep:novel supercontig:GCA_000165425.1:CT868671:408660:412071:1 gene:GSPATT00004065001 transcript:CAK93196 MNQLLQLQYISLCSRVLQELENHTGIKDKVLAEYIIDLGRQSKDEKEFKQKLDAAKADFT DQFTNSLFNIIKKMSPQEKKQVEQPPQIEVVVKEQKFPGLALPNREVVQLEDMEEQSRSR SLSKNKKKKKDKKKKKDKNKDKDKEKEREKEKEKEKEKKDKKESSASPQRTHRDIEKGHI YEGVVTKVQDFGVFVQIAGFKGRKEGLVHISNIREKRVTNPFDILKRNQKVFVKVISIVN EKIALSMRDVDQNSGQEIEKKAKAMNEYDQVIKQQEQSKDNNRYGAITGVKLDLAQDACK KKAKRIASPDLWEKTRLEYNSKLVRQIDNKAIVEDSESEGFVSDSEDLEIDMNDYEPPFL KGQTTKAGINLSPIRVVKNPEGTLQREALHAQQLARERREMREQQQRAINEQNRDKYRED PLAQISGNMNQMQVEIPEWKKEAMFKSSVRNRTHMSIKEWRESLPIYNFKNELLAAIKEN RILIVIGETGSGKTTQITQYLMEAGYGRNGMKIGCTQPRRVAAMSVAKRVAEEMGVQLGD EVGYAIRFEDCTGPNTIIKYMTDGMLLREALIDKDMSQYSVIMLDEAHERTINTDVLFGL LKQVVAKRNDFTLIVTSATLDAEKFSSYFFNCKIFRIPGRNFPVEVFFTNEPEEDYLEAA QLCVIQIHLEEPAGDILLFLTGQEEIDTACQVLHERMKKLGPDAPELIILPVYSALPTEL QQKIFDPAPSGARKIVIATNIAEASITIDGIYYVVDPGFSKIKVYNPKLGMDSLIIAPIS QASAQQRAGRAGRTGPGKCYRLYTESAFNTEMLPTSVPEIQRTNLANTILLLKAMGIHDL LNFDFMDPPPVQTMIAAMEQLYALGALDDEGLLTKVGRKMAEFPLEPPQAKMLLTAVDLG CVDEIITIIAMLSEPNIFYRPKDRQQLADQKKARFSQTRRRSFDFKNNFSNVWCHENYIQ ARSMRRAQDVRKQLLQIMERYKFQITSCGKDFWKIRKAITAGYFFHVAKKDQAEGYKTLS DNQQVYIHPSSALFNKGPLWCVYHELVMTSKEYMREVCEIEPRWLIEVAENYFKAHNQMG QLSKTKKSEKLDPLSCKFGDANAWRLSKRKG >CAK93197 pep:novel supercontig:GCA_000165425.1:CT868671:412197:413124:1 gene:GSPATT00004066001 transcript:CAK93197 MQQVTTTKSQKLQVLHLKRGEKKNSLMHCFRLLKASIDDDNFNLIPTEKQIQSKKIINLK PLKNVIEKIALMRQQDQPRRKSAYGDTFGQLTAKQQANLPTQIQQHRQIDGQRFALQSFA GILERKKQQKTARKPSLLRKLSKQDTIVEYDNMERPPSCKTPPKQIIVKSIHKTKMEIEK EKYFKVQDFKMIQFLSGKSQQLKLQINGNKALALNLQTTTQQSSSIIANRRISNLFPKLP INKISRCITNPLENKIDPQLSSRSMNNQNTPYLTQNLKLTKKIQIELKNLSHPLQRLSYH L >CAK93198 pep:novel supercontig:GCA_000165425.1:CT868671:413891:414628:1 gene:GSPATT00004067001 transcript:CAK93198 MISKDNYTCPICLGVFVDPCKLQCNHIFCLSCLLELVDFNFIQYKCPMCRIQIMNDKGPF KIDEEIQHIVQTCFKEEFQKRQQEIKLNQEVNQKEMKIKINYGNEYRYFEEEKSNKHQWT LYVTLDYVSQFDQTPLNSLVLIELVDNVKFILDETFYPDVIVVRNPPFQLTRRGWDVFSI PIEITFKPQYKLDPIKIEHHLVFQQGGIQKCQINKINAENIKNQLDAKKQNQQQKIVQTK KIWKA >CAK93199 pep:novel supercontig:GCA_000165425.1:CT868671:414728:415549:-1 gene:GSPATT00004068001 transcript:CAK93199 MLKFLENREPQPTRRGKDIMRYESQSHLPNNRQFNSPLHQDALIQNDKPTYYITKKLPPL QIYNPLIQTIDSVERPEYIVKQKDIYIPRYLNEYKTKGFKKKQGIFESYQHLLAQNKSLI QGIHVDKKPLSLPAIKEHLKEKSILNVPAQYSTRSQDAQKADLSCFKSNISNDQIQITTK KNKNKNISSQKSRVSHKLLTEDKGIQVNIEKAKSHKTTELSGDYIYNFNFQYQQKKEDEE EKEKQMRQLSIFDKKIKEFKEQQKQKLQKKNVS >CAK93200 pep:novel supercontig:GCA_000165425.1:CT868671:415701:428918:1 gene:GSPATT00004069001 transcript:CAK93200 MSQKRLPSGKQDRHIQAIKKYQEYENSKTSQAKLNDMIKGTKTIQLLPKPGEFVTVASDK QSNQQPYMDIPIKDLLDGTKTFTELKLQSIERQAQRQMKKPREKDRFDEGVLRRDDLKPP QSELDEFGVERGVQPKFTQNIREIMKNQHKEMLAPSEQLIKDLQEKRLPQIQHSHSQKIV RPISANFVIKALNIGDEKLENSKGIQQQLFQTKRSQLQSAKPRNLYVPHFPEEIKHLTGY QTIKLKADDFIQTYTEEYLKEKEEKMLKKHFQVSAVDPRLQSPYMRVNPNTGQIIREKQD ELGELELTKHRERLFMAQPFEMKQIFLPLDYYYMEDESGDDLIEQYKEKEGKCFGFSKWF DTSGNFTWEECLVEKYIYDADMYEIRFLKYNKTKQVTRVNLRFKRESEAYFKQQLEIADY YRKRSEVFIKYNYMIDKMDDPTSSIQEDQLMRIQLFSMGIYFKLGSVRDPIAFYKLDPSI KFNFKRYLIPKQLSRIDQGPKELCKLKKYRYDLLVELSEEVKKQFIRANHEIQFKRMLPY HEEKKAIFKGYLPEELFEPKHVEPRFPVYGIGQQKFIELFTYMKKNLHQAIQEKNKALQD ANINLIKLEEQHLFCQNYLEPQDLHELDKDMKFKIADAIRDLKTRMYDVHYLIMEMIEVE VKQLKVIEEERLRLIVLEAERLKIQEQGLMSETLKLLQQFQKLINIKFERSGLEMWQRSI ARFISYLKIDVDPNLPPLQQIYQYAKDREYRVFNDNLLINIEIKVQGLQLVLEPNPEEIK RSLNNYVWLAIKELTTVPCMRSREIESAREDMIMHIFTGIDDVEILNNKQLVQQYLDQNF TLFNVYFEKLNEFESILDYDIEKRLKKGSFEEYAKELDKLIMIREDMQKYMFKKKLKLGF YYLNVEQYQQLCEDRLRKASDSLYENLIQKITKENLKIEGEIKQMIQKLTEEPKNLEEMD ALRTYAKDQLKEELVILSKKINKVMEKMTLMEKMNYKISYQNFEKTWNIYGMPLKLMRKQ EKCLKRLQNNEKKFQEDLMTQQGELIGEINYISKELNELINEEDINQINQIANRFADLGE KMERAIEEAEIINRREAILKWKLTDYQEVDKIKKLFTPYYKVWALGRDYYFKIPPALSGP LNNIDRDQLTQDVTEAWTELFKLEKTTFKIIPHMYQVTMAIRKLYEDFKPYLPLINDLRN PALKKQHWISLTALLKLDDDPNFSLNTLLEKGVMDLKEEIREISETASKQSSFERSINKM KSEWKNIKFELIQFRDTDTHILKSVEPILDKLDEDITKMMSIASSPFVAFLLQEVNSWKA QLFRAQEMIELWCKTQKSWQYLQPIFYSEDIIREMPKEGNKYSVVDKMWRALMLATFQQP LVMEACFQNRMKENFVFMIEQLDQVIKSLNDFLNKKRTAFPRFFFLSNEELLQILAQARE PRAVQRHLQKCFEGINEITFQDNMLITHMISSTQEIIKLITDVNPLNTEQAVRGVEDWLY EVQQSMKLTIKTLIPQGVQIVSANTLDKSIVQIPAQLCVLAHEIIFTNMVTQFISDYEKD ATSIDKCIQQANKVLMSTVQLLHHEIANENHLQALGVLIVLQVKQKDITQELKSKNVRRV DDFEWMSQMRYYLEKDVIVKMLHTQRVYGYEYLGNQSRLVITPLTDRCYRTLMAALHMNL GGAPEGPAGTGKTETTKDLAKAMAKHCVVFNCSDSLDYLAMGKFFKGLVSCGSWACFDEF NRIELEVLSVIAQQILVIQTAIVRDNSQRVPSRVFQFEGQQLTLDSTCAIFITMNPGYQG RSELPDNLKALFRSVAMMIPNYAMITEISLYSYGFTEARELSIKITTSLKLASEQLSTQS HYDFGMRAVKSIILAAGRLKRETNTSDEIIVLRAIEDCNLPKFTQKDVPLFKAIISDLFP GVEPEEREYGELGKLIMQQIESMSLTYNDRFYTKIIQLYETVNVRHGLMVVGGTISGKTT IINILSKSLQSHIYGLNPKSITSKLLYGDVDMATNEWQDGITAVIFRECIEKEGKNWVLF DGPVDALWIENMNTVLDDNKKLCLTNGETIKLTEQMRIIFEVEDLAEASPATVSRCGMVY LEPQEIGWQSLIQVWKSLLTPNFQEPQYIELFHSLYQQLEDVFEEIKYRQLIYTASQELL ASSCLKLFFAFLLKNKTKDQLLQDIEMENLKEIQIKSIANGRQLNDKEKFEVISFFFLSV VWTIGTLLDGNHRKQFNQLIRQKLESNLEANQQPPKELSVFEIYFDIDKKNWLMWNQKLD FHIPKGTAFHEIYVPTAESASVQGLLRIFLNKQLPVLLYGRTGTGKTMLIKKVLLDELDQ SKFIPTITAFSATTNSGQVQDILESKLEKQKRRKGIYGPEIGKCNIIFIDDLNMPQKEQF GAQPPLELIRQWFIQSGWYDKKSLEFKTIMDIQFCAAMGFGRPNIPQRLVRHFNMIYVLS SSDDAMKYILSKFFEYGFDEYVDKVKFVSKQLPSLCLRVYKEVSQRFLPLPSRSHYLFNL RDLIKVVRGLLMVPSNKYDATGDAKQKLLKLWAHENMRVFQDRLVDEKDHKQFEQILVEI LDEDCALKYSDIVGQSCRYGNWLEPHTLYKIYVELDDNNKVMEVLNEYIGEFNDFYPKLK LNIVLFEDAIEFICKINRIISQPFGNALLIGLGGTGCRTLSRLSAFMQDFKIGELDFDKD LLEWYEFWREMFKNLSLKNDKSIFLLSDQQIVTEIVLEDINNILNIGEIINLYNYDDKEN LLSDFKENLQKDRETRIQGNISMLQLWELFVKQCKANLHLIIYLSPVGDKLKTRLRNFPS LVSCTSILWMESWSQQALQQVAEHLLPESSIAQACVGIHHAVESMTEVYLKRTGYHYYVT PLSYIQLLNSFQSMYNQYSNSIQQKRDTYINGVKMLDECGLVVDKMKEELEALQPILVQK THETDLIMKKVEQETAIAEDQRMKVKEDEIETSKKAEIAQNISNQCQERLSEAEPQLEAA IKALKTLKISDFVEMKALKNPPKPIRLTMDSVCIMLERKPKKAPDGGEDYWEEAGKVLSD PGKFIKMLEKYNRNNIPEKVITKMTQFLDKNKQFQPALISKASQAAEGLCLWVLAIYKFH FVYKEITPLREEFDKAQQSLKVAQNELAAKQQLLHEVEEKCQELRETFENENFQKQKLKA QIQDCEVKLKRALELTSGLAGEKNRWKEESIKLSNNIKSLLGDMLLSVGYLSYMGAFTIS FRKTILNKWQQIIKEQNVPISESYSLLDCLSTQFELQEWILCGLPLDDFSKENAIIMQKA DSYPLIIDPQGQANKFIQRKEKKVNEQNFKVAKQDRYLGNILETAVRDGQILLIQGIEQQ LDQILDPVLSKQYQIANGKPMLSIGGNQVYVHPGFRLYLVTSLSNPHYTPSILTKVTLIN FTITQEALKDQMTSILVREEDAQLEDEKIRIMNDNNYYKQKMKQIESQILEMLSKTEGSQ MLEDEALILQLQQSKILSEEITLRLKEAKQTEDRINQNRLHYDLLSTFASHTYFSILKLN YLDPMYVFSLEFYQRIFKKAIRIAEKPHQKNIKQRIVFITESLKRVVFQEISRSIFVKHK NLFSFMLLITWYNSNNLITNNELQFLLTGSVLNGERFNIENPDPSIFTQNQWEMLNNLHS IIPGLQIANSQSMISYLDDPQKNQIPEQIKHLSTFQRLLLIRAARPQYFGYYMRELIAED LGQYFTQNLLFGLQESFEDSNTTIPLIFVLQPGDDPQEEVKKFSFERGKVLTFVSLGKGQ GENATNLIMESLALGQWVILQNCHLAISWLPQLDNLLQNINSELLKKEKDKLMKINTEFR LWMTTMSTPSFPQQLLMDAVKMTKDPPKGVKDNIQQIYLNQNSSKNEKKFYESCGEKTQE FKQFYLALCYFHAIVRERRRYGPVGWNITYDFNDSDFRISIRQLKQMLMDYQEIPFTALI YLTGECYYGGKVTDDWDRRCLRVLLTTFYRMDIFYENYKFSPIQEYCIPDAQQLNTLEQA IDFINLLPETSSPELFGLHPNASITQAQLETKHILNCLLDVGLVEQGAEENIDKNKLLLD KAESLLILIPKQFDINVVQEKFKIDYYESMNTVLLQEVLRYNNLLNILQKSLQDLIKAAQ GLIVMSQQLERMGECLLNNILPELWKQKSYPSLKSLNNYLQDLQLRVEMFNKWIQFGTPT IFWLPGFYFTQSFFTGVLQNHARKHRIPIDQLKFDFQIDVKEEEGIIIDGLYLESGKWNQ EEQVIDEPVNGVIYQNFPKIQLLPKQNFIENQEDYICPVYKTLDRRGTLSTTGHSTNFII SIPIKTQLSVSHWVKRGTALVTQLNE >CAK93201 pep:novel supercontig:GCA_000165425.1:CT868671:429498:429680:1 gene:GSPATT00004070001 transcript:CAK93201 MDNNYLKNISKDQYMIVRFSDGIGQYQSILAKEFHQNKLENATQKTQREKMKEFFKSKLD >CAK93202 pep:novel supercontig:GCA_000165425.1:CT868671:429745:430707:1 gene:GSPATT00004071001 transcript:CAK93202 MQYQFPMELAVNKDCRKTQSANKCRGGESPNKLYQNFTHKNSSQPKVVNLFRSLILTFIF IDQPNRYNQYLQTSIDVHEINKSKKSVTSHQRVKTEIDKPNDFNKRFYPNEIQMFLNKVE IQKNKFNVLNKTALNKGNRLQAVKVNQGREIYDCNHSKQISQPDLQDQILRKTNNYLKNN RNGQSTPNNVDENPQFNYFQHKIEYRNRRSNFTQSDDVTPIRRSVFQQIQDYSDTKLDQI NVAKEEEIMQFSFGMQYNKLRALKRFPKDIFYGDVRRAKKNLSMQ >CAK93203 pep:novel supercontig:GCA_000165425.1:CT868671:430795:432652:1 gene:GSPATT00004072001 transcript:CAK93203 MQQPQIELINLDKPKLSIASALLSKKSEKKNQRKSRFSRFSRISKLSVHDLKTGLSYIRE SWRSGMATTFLIMPFALNAGSYSGLNASIGLTSAFIGSFVNGLFSGSNHSIYVPNQMATR FNYHIVQEYGVEAVPWVTLMIGIIIYICGLFKWHHLIEFMPSYVIEGYFWGLGFLIINNY IDYGFGLSDLQSNTGFQIYENRFEMYKSYFERGDLHHLFATIGVFLFLYIGNKVHKPFPW VIVSTFVGIFIGQFYPDERCLRSVYGDVSLSFNWIETYGEIFKVDFNILYRLFQEAVPMA LFIMTQNLICAKGAEIMMNVKCDYDQEIECVSVCNILSGLLGGIPCNAQQRLYVLNIKVK EVNKWSSILNSFSILIFYGVLGKYFMEIPLFIIGGQVLYSGYQCPTWSFYDRVLATRRYR TILKVVALATLSVYYGPIPASLIGSLYALLKFAETMSAAASEIVLKQGVQSVKLRHSMTR QQDGEDEQRVQVDVENDLLDDVNTEYIIYRFNGSLNYINAKNHVEQIKRLPEIDTIVFSF RYVSVLDEQALDRLGVIMDNLLKSGRELYFTGLHEQMIEQMKFNQFFKDFIEIKSQNLKF LGK >CAK93204 pep:novel supercontig:GCA_000165425.1:CT868671:432766:434306:1 gene:GSPATT00004073001 transcript:CAK93204 MRLLLSLFVFVALIHCKTKEEWKSRSVYQLLTDRFATSQGKSTSCNLGNYCGGDYKGMIQ QLDYIQNLGFDAIWITPVVDNYDGGYHGYWARNMYDVNRNFGSADDLKALVNACHARDIW VMVDVVANHMGNTNLNFNQNYPFNQSSHYHDWCDISDNDFNSHNLYNIERCRLAGLADLN QDNQFVTEQLLQWIKWLVQEFKFDGIRIDTLMMVKTDFWYKFSNAAGVYTVGEVFDGDMN FLKQFVGPVDALLNYPLFYTARDVFLHWRDMNAFENYYNSLTNSWGKQNIQYAGNFNDNH DNARFLNDQVSGYIPDEVFLSDKPHLTFSAIKKLQFKAITAFCLTSVGIPMIYYGSEQLY AGGNDPQNREVLWGNLDQSSEMYKFIQAINNARKATNAGNQDQIQRYSDSEIYAFTRGTL FAAFSSKYDRQVTKTITYHPYAEGTTLCNIFYPTTDCIKIASGKFTLYLNYGEVKIFVPK >CAK93205 pep:novel supercontig:GCA_000165425.1:CT868671:434399:435179:1 gene:GSPATT00004074001 transcript:CAK93205 MDNPIKLSQLNSSSEHKEIVDKLFKIPTQQIQKVNQKQQSKSDIINELRQENYDLKNTVN DLKQRIQELEQQLQNQQNPQIEEENQEQVSVEKQQNEIQEEMTEEEKSIHLAFMLQQQEE MEFQNRLTQMQNNVDLDEMSYEQLQELQEKMGFVSRGLLQNQIQLLLKQCKIKQQINDCC TICLEDSGSPVEIELECSHVFHQDCISEWLSREKHCPVCKRDINLSKFK >CAK93206 pep:novel supercontig:GCA_000165425.1:CT868671:435323:436239:1 gene:GSPATT00004075001 transcript:CAK93206 MNQQYIIIYYSEKRIMQDRDDFQGSESDIGRKQEEVLFKSIRIFIALSIYIILQLIAVRI EYQINWIIIGLIFFLCDSVIWILSSKWLYQQQYPRIAKVFLAIAQNSTLGLLPIFIILLC LQLDGIINQNPILIILPLFVWKILLLSFLIFIIPGLCDDNYGSKKWVAVIIIYYVSIIYT EIAALIQLQQHFDWFWVVSLLLFAIFYNIVTLITDCATSIIWPCTILVCFIYCLTQLTII LNQINNDQQPSKSIQYLTVMPILIISLINLIKQIIV >CAK93207 pep:novel supercontig:GCA_000165425.1:CT868671:436666:438059:-1 gene:GSPATT00004076001 transcript:CAK93207 MVSAYSIGTGKRDNPIKNLVPAPNAYSLKNHSTSPQWTIGGKVRREIRIKSCTPGPGQYP QKSLMNGTPCCTFKGRLKQKVISQSPGPGNYKEDSFFTIQKRIPSYSIGVKIDKRIKNFG PGPGTYDLSQTRLTTNSSKFPIEKRIKTMDDGASSPGPGCMLSQLFQNTIQQTLINFHCI NHNPHGDLDRKTILKCINHWTQVPLVLECTNQKPKLKTQECDRSIPGPGKYSPDVSFIKS TQPVFKIGKESRITQKKVSQGPGPGRYYSEQAKYLFLLRNYDARSKKRQPCYSLGKANRI SMTDNESQFVPGPGAYDSPPQKLGNITIKGAKAQMQSQKIPGPGTYNPKDTLCYFYDGSV KFVKESKQVKTLSTETLPGPGNYDSKSILIGPCWGFGKSNSRKPIYNINNSPGPGAYNVP SKFADVPKYLNCKPKFQV >CAK93208 pep:novel supercontig:GCA_000165425.1:CT868671:438758:440432:1 gene:GSPATT00004077001 transcript:CAK93208 MGGCGSREKELREKVGFSQSDYDYALKKFSKMTKQKPGKTDEHFSFEGFKQYFTENPVLA QKLYIFMRNYGGQSYVDSLTFLTVGIQKIGLLVDLFTRVSMKLQSQLKNLDIYALFTLVS LSCPDIMQRDTFNLNNVYKISVSYSNAVKLFKVYKIIDYQELINMQSDKGDILCHDDDQA PILIINSIFENHSILNYKAFNDKIKSETPLISKIVKNYIAGKFINKALRNQLPKLNPSEL MNKQLIALLNLSVPWFQKCIALNQMYKYELDSGQQYNFNVLGNCLLQAKGPNMILFRHSQ KDKDGDKQEKYVFGYFSPSQWRVSPDISGNKGSFIFSIHPKFKIFSTNGQQQSKFALLVP IITKRQSQTLHSPLRQGPKQPGLGIGGSGYDHHRIWIDGKQLQASRLVEEDKTFQSGQIL PEDIHLLNIDLIEIWDLQLSTVGQGTSYFKSASPQIHFSEDEKRVNQTQLRDLIINLNQQ QGRNDYVLNAFNSFQRRDTKEFQTSNPLLPINEEEEEKQQAK >CAK93209 pep:novel supercontig:GCA_000165425.1:CT868671:440465:441140:1 gene:GSPATT00004078001 transcript:CAK93209 MESPVLSKQLELSELRQIIKNRFSFDRRKVIFFTLNSCLLGLAVFSLFSAITLESNSQIF RIRTYLTDQNLSNREQARKLETILISIKYSLIMHNCSFDYWQLLSEYIQILHLFPISLGI SLAFRTIATIVIISYFNTIKSVFEEEVGTFITLQILFLAFNWLFCVFAYQQFKKAQVEYH IVTKDRERLIMDNNMAYELIKKIIKN >CAK93210 pep:novel supercontig:GCA_000165425.1:CT868671:441185:441907:-1 gene:GSPATT00004079001 transcript:CAK93210 MSFEPYQENKKTVKVAPIRIVQNQHEQSGSQNQTTKYPQLNLQFPFQKCYSPAVTIPLSF LQQLIQQAQQNKILNQIGETKVVKQEQSEAVNNLISQIQYQKQGTSRQQDSNEDTLIKLY LIKSQMKFIIEDTSSIEKVKLYFADNQNLLPHFWAWINSIEIKALEEMLMLCYSNPSNTK RIYDIFKSLFQTLSIEFFQKHAYAQILRSNLQDKIKYLSVIGDILSKIVNPQDYFYFNKS >CAK93211 pep:novel supercontig:GCA_000165425.1:CT868671:442636:443710:1 gene:GSPATT00004080001 transcript:CAK93211 MSANTINQFIRFIVEQMMDQQIDVAAQHLNLLMIFFEEYRELDLKLQNPYSLVSILSFQY CCTLYLTLLNPYSNTRIQITRILWIPLHILYVMLFWSTYKSIVTDPGRVPKNYGMFFEDQ EIKKKKYCLRCRQFKPQRCYHCERCKRCVVNMDFHSFWYGNCIGFFNRKFHILGQFYFSL STTLSLVIASLQTIDILFKDQEPQIQSKSIIPILILSFYLFVVFISISISFILYLKLVIT NKTIIDLRRFEMYQHNENQINEYDIRYSINLSFIVKENWFQVMGTNPWLWPFPMFGESGR PKGDGLTWQHIQN >CAK93212 pep:novel supercontig:GCA_000165425.1:CT868671:443739:444422:1 gene:GSPATT00004081001 transcript:CAK93212 MEANQVNDQVIKQLLYSKKYKELIEAGSQLGLVKSYQKMKYDGIIYSVGQIVQLSIDGNR DKKTYGKLIGFCNLQVDEYLIPMIKVQKYINKLELPSKLKELQEGISEFELFQSEMEEWL FCTQIDHEIKLISIKEYEEMTIQNERTYFTRADYNVEKDKFTPPISKWTRICICNQISNP DKSYIQCDKCSKWLHYECAGVQAQQAQDMNFYCSMCRKK >CAK93213 pep:novel supercontig:GCA_000165425.1:CT868671:444448:445510:-1 gene:GSPATT00004082001 transcript:CAK93213 MNKQYIPAKAQEKIFGLILKRPENLNCADCATKGPRWVSLDYGIFICMDCAGAHRTLGPS VTRVRSTNIDGWYQENIDIMESIGNGTANSYWENKMPKDFIKPTINQGLDSLIRFVQEKY VRKRFIPQISCPDPKQQYILTKTSVKPFYFNQQETKVEEPKVKLGDLIDLDDDFFGVKKP AVQVEEIHGQNTHQGTTRSLSPEKDFSEFVQSTPNIDQPTHSLPSLDILTLYKSEQQQTQ QSQQIIPNKNSNYAYLSNLGQQQNNGFYNQQQNYQHFYQQQQLQQQQFQYQQQKQQQPIQ SNGPINIMNLYSK >CAK93214 pep:novel supercontig:GCA_000165425.1:CT868671:445591:447810:1 gene:GSPATT00004083001 transcript:CAK93214 MSKLQQYLSDLKTHQEEEIEIQIDYPNKPENSDDSDDEQNEILNEILKCMQKGLSERVFD FEIGNSIRLIEIDDMNKSALYLDALAWLYSNRVDRTYVESLKLKVNLLRVYDTTTVPNAP PQKKSKQQLAQQVAQKAIYINRNMELKEITKYGESTLDFKPYTHQYDTLQINPFFNQTSK SLDMQELTTLIIYNPQFDENLAIIIDPDQLLKVNEQNKQILTGFIKLEIDTSVLDYSNLM SKQFKMSFNEINDFRERYKYLLNKKDAQTVKIEKSQQTAIEKFLNDFRVYDEKLMQAQQT IDQQQQSLTQLSVEEQQFLSLNQQYQQQLKINPTEIDDDQQDVYLRKKIEQMNFEQQFLG ENPNQNQIQQVNQMDVEEFNNQLPELMSEPFAEDQINDNNNPLLTEEQKQLQQEQFQIYQ EKKKALQEQFQRQQREAAEKQQQIQEELKQLSYQQQFQDIFNDVNAPVDEWDLEQEEEFD QDLAPYEEQQQIQKNNNQSQAAQQQQTNVKKKQKKVYPDTFNFDDAAELNRFLILNTVGN TQRDFGQRELTLKCLADNCQDFDYYRYFEYFTREYTGFLEEEEQQLPVPFTEPESFGLDE INIQVQLEETNQMIETYQNNLDFYILPFKVQANNNNEPITIKQLQVILERVIDEIKGQVE FIKIEKTMPLIMNEKINKSKLFAALLFVAKNKGYRLEQKNNSFKDILIIKNDGMQTIEID NEDQNRNETLIDVAMQIER >CAK93215 pep:novel supercontig:GCA_000165425.1:CT868671:447848:449879:-1 gene:GSPATT00004084001 transcript:CAK93215 MSGIKTQTVQIVPKLRSGYQQENNLQEANKITKNVIEHYDARDLRLQDLQDCQKVYKVIK KETTTKWILLSKLDECRAQIKLELQYLKTLVIYVQNNRHGMNKQQQLELNQLQILLNIFE TYVDQVENNTYDKKEKLKRLKSSEFDDKQKTINEWKKQINKNDILLDKMQPSISEWKQIP LKLLDEVLTSSYDLKLKLLQEPDSISSDRTLKYQNLIQELRKKLNLDQYKRSRNQFDEFQ QIIDNFNYHIPKLQEMNQLNLAQLKEMKRKLKNKSKSNISQMNSTKSSPRKEESTLQQSE VDNYLQQYQKEKQRISRIDKAQYKQNIKESQREVFIAKNNQGSIQKLHQSFDINKLNTSK GHREYIISMIDGQLLKPYTPLVRLRKEDSRETCQNSHINETQSRKLSLRNASPQSFVDRI SQKINDVTTCEEDDTYSKMEFPELHLSRQQGYISKQNHKFVKQQYNQKFIQKVNNNEVKL VKQCQDFYTTRMKFNDKFTQLVSTLHKDRPLTSSIRSRSFTANQNQRQEKIQKLRKVAEK ARQNFFFRNKEQREWHQNLVHDFKLSDATTQYILEQLQYILEEGLFIQVDDIINMVQQIE ESSEMKVTSKSCQLLNTIFNYFGIQISELNQHKKFKNFHSYID >CAK93216 pep:novel supercontig:GCA_000165425.1:CT868671:449982:450200:1 gene:GSPATT00004085001 transcript:CAK93216 MGIETLARSTHLGDQEQQKSNAKITNNALIEQYLRYKRCSRQMINPILLSITLLYCRYNP YSEKVDKEKLTK >CAK93217 pep:novel supercontig:GCA_000165425.1:CT868671:450600:451672:1 gene:GSPATT00004086001 transcript:CAK93217 MVQGQPIIEVSLDGIETRKHTRFTDKQLGALKLPVYTGDDDISGVVEVKMNKQKKIEHMG IRIELVGRIEIINDQKQSSDFMSMSRELEPQGILFEEQSLQILILESYYGNTVRLRYYLK IYMTRSYGKVQKEVDFAVLISQPELEEQPQTSLKLEVGIEECLHIDFEYFKSKYHLRDVV TGKVNFYLVKIKIKYMELAVIRKEQYGQGQQQQTDNETLVKYELMDGCPQKGEVIPIRLY LSGVDITPSVKNVNGKFSVKYILNLILVDEDDRRYFKQQEITIYRKK >CAK93218 pep:novel supercontig:GCA_000165425.1:CT868671:451682:453381:-1 gene:GSPATT00004087001 transcript:CAK93218 MKSTNTYQYITNNSHQELIDYLKQENKQLTDQLKELNNLLQLNKKALKIMTPQNNEEQNK ALLMVLKNLQEENAKLNSQIEKLINERNQAQNQVLINQQITEEAQRHEKELIVSLQNKIT TLQNNLSKAESQLCKLEFLKPEFDEQSGILIKYKQITDTNKINKEFHDQIETLNDLLILQ IKKNKKIEAQKRQLQGLNLKLITNLISIKNAALISNGNKVILQQQQQMDPQPNQLIKFQQ NILYAMKKDENIYSSESEGLSSVDNSPLASPLPVKLENCKPQQESQKIKNAQDIPKLNLS QAIIIQELNAKRQTQQAQDMRKATDANLLDKLKQYDQALEGLKKNYQREMTLNKTLETTN NELERHCENLESQIQILINSNLRYQEKQQKINKQYHFLQQFYLNKKDQVTTTQSHNHRFS QSPTELSQEIVLDTSFIDANEAILSNEQEFKNIQYQMMQQQQIQQQLLQQQNFCQFYFAN NVEDAQRFLLGLAEQMYSGLSEKIELIIQGQSKGRRENDNRIRSLSDIVEQQNRVQYIQQ Q >CAK93219 pep:novel supercontig:GCA_000165425.1:CT868671:453708:454053:-1 gene:GSPATT00004088001 transcript:CAK93219 MSSVIRILDVGVGTGHPMKQIINRIPLRIFAVGIDIDTNQLRQTFKQNENVEIREQDFYD LQNTKEQYEAVIFSSSFMIMPDRQRALKIAKQRLSKGGSIFFC >CAK93220 pep:novel supercontig:GCA_000165425.1:CT868671:454642:456099:1 gene:GSPATT00004089001 transcript:CAK93220 MGSAVCKKEEIEIKNLYQLYHRVSKQNLSDQISSELHSIRTRLIKDKIPKEYQLLYNILL NNIQEESILETQRHKFQQEQQLNCSKIRNDCQLYKSQSLFFRSFRFYNFEELRNYIVKDE YKNNTFIFEILNLFNTTDIYCQKVKLFLSRINAKYYMLFYSLLWKQYKQNINVLSSIFPF EFMNDGQYQKIPFDFSFQQFMYKIWGNNIQPRLNKHQIQDLLHNKKNKELIQYFKDFIEI STNEYQLTYLGNVGLTYSNGFQDFLNQLLELLNEEFDRSFNNKVEAILKYVKENRFLNQL VNEQILIYYIYPSVFKYIEFQLSLILKEQFKVTKKYLKEQIIQDKVSSNTLSKLLLEEND ENQTKISNTLSSQCKTNYTSSLKADYLSVQNQDETTFFNFEKNIWDNFADVQRSSCLNMI ELSNKVDEAKLIIENRSNKIFRIFNLENPKQQEFAIQYINIMFNYTHINNKEEISELLLQ LSLFY >CAK93221 pep:novel supercontig:GCA_000165425.1:CT868671:456131:456975:-1 gene:GSPATT00004090001 transcript:CAK93221 MQQTNVHPQVLLSISSQILANKVNFPGKTLHAGILLGFYNAKINKTDILDSFEISYSGKE ELTQNEVEFLKERQQLRLKGDKWNLFKNLDIVGWYITDSNPHNYLKLHTQIQTSSEAEIS ENQTSFFKYLLCFDPLSTQTTLFELEDNKMQVSQSKIEPQSEEMIGITSLFSQQKSNKKY DLRVNSQLQMISFLEKMLQKLLESLDNPQIINNPLALLKINEVIISFPQVNQQNIEQDYF KLLIISYYCAILKMDVQRNKSLN >CAK93222 pep:novel supercontig:GCA_000165425.1:CT868671:456997:457804:1 gene:GSPATT00004091001 transcript:CAK93222 MFLDQISLFKRNSKFQPQLASIDITKPLIDTTKLINYSDPISTQEIYQLMLNTKLPNNCS LPFLLQFMLVNTITELLNYYQDLNYIVNKLILEFGVYHSRILLGKQLFNESNTVETMADI IRLLGTPTLEEIKNLKSQILNLKMPETPKFSMSKRFQEIQNDQLVDLLEKIFVYDPNQSI SAFEILLHPFFQEIKQPNIKINSKSLPNLFNFTKGIVSIQSLFGKQYKTIIIIIINLSLN YRGAIIE >CAK93223 pep:novel supercontig:GCA_000165425.1:CT868671:458927:460944:-1 gene:GSPATT00004092001 transcript:CAK93223 MGNTIQHKRRNPANCLGCMAQDHETFDPNELQIGLEVDKARGRITQQSTAYLTENEKNFF KAASGRNLGLIRFYLNNGININILDEDRTSPLHIASRYGSIQVVQELINNNANIDITDMA GWTPLHVAAFYQRAQVCSVLLKAGADPKIRNREGNLAQDLVRDKMTSEIFKSSIEIQNSF VERQVKRIDPAQRQHEEYFQFLKQQRLKSQECNSLCEKVSQGKLISPNLSPTKLISKEKE NQGFQDSISRSIISENEHKDIQDCYKDLSSKINRNIISHQNSIPRSFDEMYSLNQLVLLQ NSSRELVAFLDQVKLIKHDYNSENLSLDLFNHSSIIGISFMIATQMIKPTPQSIINWLFQ DIELKNKVQISKLLCNINLQEQQLILKLFVDKIVMTDNLIDSLQGLFNKLLVQNDPFILD ILVKEFSRRFYEFNFHNSKAQTFPFKSEESLHMFTFALVILDVQSDKYDKENAFKCFFQN IQTINNGDNLSSKFIQSIIEQLQSQQIVKLCEQQIDNSLYHVFNHYCTPMLLKEQKEIRS EKWKLYIISDVCILIADGKMKILSSSKCDIIMNKQEVTIQGKQNSQLIYFVCKDDQTFIL KIKNKKFRFVNLQ >CAK93224 pep:novel supercontig:GCA_000165425.1:CT868671:461029:461550:-1 gene:GSPATT00004093001 transcript:CAK93224 MATHRAQNSQPSMLNYGNLNSFHEFFQNQSRSLQKGEGTKDSRCKTESTQIELKANHAHK ISSQSTTISLTNSTSYNQRLSKQNQKSNSSHKIIEKILPKSVNPFQEDEGTVNQKLQSQL KKLLIKTKDIINSYEITQKKQQITESQLKQEIVQLKKIIENQQKQLKKHSIQY >CAK93225 pep:novel supercontig:GCA_000165425.1:CT868671:461666:462283:1 gene:GSPATT00004094001 transcript:CAK93225 MYSKSIAKKNQEFMYQMHFQCFAVIYFSQIKDINFFQIHSWEELEIRQQRKAAKVLIEKY YMKLTSDFHFNKKILSEVGQVPSKKLRNKIAGFATHLLKRIQTGSVKGISLKIQEEERER RLDYVPEKSSVDIDNLRGDQDVKRMLEKAGLEIDIPIDEPVVEEKQQKQPRRGQRRQQ >CAK93226 pep:novel supercontig:GCA_000165425.1:CT868671:462287:463803:-1 gene:GSPATT00004095001 transcript:CAK93226 MAIIIQYKSFKQKMTIDISTNKISWLVETIKQEMMNHFGNTFQPIIGIKTTNISIPVDYI LSKIERPLSLLSNCPVQPLIIEPMINVEQEMKQSRVSLKDFEFIRCIGVGGFSKVYLVRE KKTGQFYAMKLIEKKPILQQNKQNIIQNERDIMYNLNHPFIVKMQYAFESRKYLVFVLEY CSGGELFYLLRKVKRMSEEEAFFYFAEICLGMKNLHDNNIIYRDIKPENILIDFDGHVRI ADFGLSKPHMENQEVAYSFCGSPEYMAPEMLLKQGHTFQLDLYCLGALLYELITGLPPFY SRNTDEIYQKILNQKLSFPPQLQMSQLLKDLLNNLLAKTPKKRIDNIDSLLKHPWMTQWS DKNLYKDFLMKKIDPPFKPDYFSFNFDEEEFGKGENEFLLQIKPLQLNLLENFPKEIFLK NFYYNQNENHFVESTGGTNLNLKLQEEILQQGTQRQKSKRLNTFDDENTNYVNDQKVFIL NQLRLQTENEIKRKSAL >CAK93227 pep:novel supercontig:GCA_000165425.1:CT868671:463853:464270:1 gene:GSPATT00004096001 transcript:CAK93227 MFQQIRHCQISNELLTGLLIALRLIAKVFQMFNLDNKSNDYFYLISWIAYYAFYFKF >CAK93228 pep:novel supercontig:GCA_000165425.1:CT868671:465221:465478:1 gene:GSPATT00004097001 transcript:CAK93228 MSIQIANLPEFSYKSIPKRSLDLENFFKEFEMKQFLEECDYSQQELYVECRKMREFQQKR KLKLNKYINSYLEAPSIISPVQLLL >CAK93229 pep:novel supercontig:GCA_000165425.1:CT868671:466063:467198:1 gene:GSPATT00004098001 transcript:CAK93229 MFKKRLTIQIQILELNSVIQQLQERIQSQKSKLNYELKILKNENDKYIVLYKYYLIQKDI QWYLIYSNLQPKAPKTSKAKKLQKKVADRKKNPLFVKDAKNFRIGNDVQPKRDLSRYVRW PRYILLHRQKKILLQRIKVPAAIHQFSKTLDKNQSSKVLGLLKKYSPETKTEKKQRLTKL AEQKAQAQKSESKKVQVLKFGLNHVTTLVETKKAKLVVIAYDVDPIELVVWLPQLCRRQE VPFCFVKNKARLGSLVHQKTATCVALTEVRKEDQAEFDNLARDLRQHYNENHELLRTIGG GQVGIKSRHQQEAIKKAFELEELKKTSQ >CAK93230 pep:novel supercontig:GCA_000165425.1:CT868671:467928:468303:1 gene:GSPATT00004099001 transcript:CAK93230 MKEELEQLLIIYSNQTQSKVKLVDYNQALFQYNIEFEQQSLEILPQKIHSPLGISQIEKK YRWAFKNWFCSRCQNNSIKPTEEKFDEKRKCKKLIKISQNLAFMNELLAIQVIDQQKKT >CAK93231 pep:novel supercontig:GCA_000165425.1:CT868671:468682:470963:1 gene:GSPATT00004100001 transcript:CAK93231 MNADKLKNLKNFFDSEYFTPEMLLQNISKYREQPEILNLLYTIMLNLEDKIISFYSPQFC QLLIKTEIPQLFDLFKKKCSQSRTLFFNVKLYWLLGAYLQLEKQKKKKEKIDLFLRQMEM SMVNGEFNEKTFEIQDQEQLNQILLKDFQQKDLRQEYFTEMQKFMGTLIKHSLVLKEFPK DDRKHHLKLLIQKQNQNLQKIVRKFQFGVVLPFYDEQDKDNKSQYIVNILEKHQVCFHTK KRVPYLILVETINPIDLEQYQAIPAQSQPNIEVVGYVEAQLNDQGFEKQLAQIEQEEQKL YHEALEKIMQMEKKGKRQSQIMQTIDQYMQKQKKQNNKDAMQNESSKLDNVQKRKASLVV DSNLSYTQNHRKSNSQERNDQKSEECYKTLQKILPIEKLRILKKFKKKKHSVWEQSWDER IENYKKDSRYAHFPSYRIRPIIIKGGDDLRQEMFAIQIMKQFNKIFKEAEVNLYLRPYQI IVTSANSGIVGNSYCYHQEYIPNTISIDALKKMFGNGTKTLYQIYQEIFGSAFEEAQKNF IESLASYSVFSYLMQVKDRHNGNLLIDDRGHVIHIDFGFLLQTSPGGVNFESAPFKLTQE YLELMMGKESDYFGYFKSLMTKGFLALKKYVIEIENFFKIMVEKSDLPCFANLDLKLFTS RFQLNATDKEIMDLVDRLINQSLSSWRTAQYDNFQKRTNGILP >CAK93232 pep:novel supercontig:GCA_000165425.1:CT868671:471010:471912:1 gene:GSPATT00004101001 transcript:CAK93232 MINNNQLFYECLRGPSSQRRNSTPNKQRNRIVRASMQIELNQTQNQDNSLADSAIRSMSI QRNSIQINKGDHIIKSITQPKKQGLMKKSSNFQTRYLQINDNQKQLILMDHTKDQSDIIL KYLPEAQIFLNKANQQILQNWIPTLPQDERKQIEKNKKYFPIPRNIPFHEIIDGLKILYG QGKTRRLWPGEEALLMETIRLYIEKILLNPNLLLHSVAFTLQQIIKLAGLEEILNYKLCM QQLECHWKMMITRFRYSGLAELNKKSWQYWLEQAQLRGHFLNLQNDNLLTQYLLKHQQID >CAK93233 pep:novel supercontig:GCA_000165425.1:CT868671:471947:472199:-1 gene:GSPATT00004102001 transcript:CAK93233 MSEEQELDWGVGAQALYAMVRATKDCSKRCGALQLKRDINDTESECLKKCAVFHAGSSST HMRFLINYAETVHLQ >CAK93234 pep:novel supercontig:GCA_000165425.1:CT868671:472329:474783:1 gene:GSPATT00004103001 transcript:CAK93234 MKGYCFQPSSKEILENLQTNYQSPHLELVSTTHRIMAMSTYQRPKTIKRNTTISIFTQQN ESESTRKSQRWIFLLKKYVTLVRISISFINSLKEYQKKQKIERLDSKQWKKESILPFYPD DFFIKKWRIFIEILTFSSVILYPIYISFEVNHVIDLVVIAFDILFLIDIVLNFLTGYIDE CNNLIIDFNSIFKHYLKTWLLFDVLSVFPAINYEKEWFKKFKMIRVLKYFLNNKEVTYKG QENYIQFIESLNPEQEFILKSGTKYLLNVMITTCLLIHIFGCWQHFFDSGDYITNIYWSS QTITTVGYGDIKANYEFAVFWMIVGVAFYSFTIGDFALMMSKSKVNEDQDLLFLIEQLGH TQNFPEKLKHKFQLFVKNNLYHNQFWLVEYKTMAKELPHNLRLYLTLSTMLDVCKQIPLF LYDINYTSLLLLNIRYLIVEEGFVLYREGQSSSEIFFLLQGDIRIMTKDKTILLNILEGT IFGEFEAIEEKLRGTYCVAQKKSICLVIPYRILRRVMSQSQILDFEIRQLHLRRRRLIIN NFIEERRRKNAYKRQEVRFYTQSFIQMTKEEYILNKKTIQILSVEEYNKQLLNKIIGQKL QRLSLFLVKFKRSVQRVIEMNHQLDETIPEQWRELSNYQLIKRVFPKEYLSQKFQQISLS RKSSSVHSSGSKLSKMKYSTYSQQYFFQKLPEIRLKHIVLQHIRLTKNKFVPLQQIVNKY SEDPEQLNLKKKENVERSVWTKKFTKISQTVILRIDQIQDSGDKCHDIMQSIIKIEKLWL KGSMYKFEWFQNQHGKQKEFLI >CAK93235 pep:novel supercontig:GCA_000165425.1:CT868671:474853:476569:-1 gene:GSPATT00004104001 transcript:CAK93235 MGQNCSECSNVDNEITLESRQVTEPVSYRYFTKSEAQYALNNTAEELKNRKEREKRFTFE FDDGSKYIGEWVGNKRDGYGVMEWKDGTKYEGFWKNNKANGQGRFLHIDGDYYEGQFVNN LCSGYGIYQYSNGHRYEGDWKEDKFEGLGKEFWPDGSYYIGSYVNGEKNGKGKYIWADGN SYDGDWCNNKMNGFGIYKWNNGCIYKGEWLDNNMHGQGEYLWPDGKKYCGNYENDKKNGF ENMKDNGLMANSMERAQFMNRMAIIRKGNGEKGSQLTIWKYILFLNGNEYHNQSWQGVDA YKKTRVQRNVGRKVTSTNLYLKLLIKLYKFLARRTDSNFNATVLRRLQQTRTARYPISVS RLVKQINTAKDKTRTLVVVGTVTDDVRLLTVPKIKVFTETARKRILAAGGKTLTFDQLAQ QNPTGTGTILLRGPRVREELKHFGRAAGLPGSHAKPYVSHTARRGKGAR >CAK93236 pep:novel supercontig:GCA_000165425.1:CT868671:478297:478974:-1 gene:GSPATT00004105001 transcript:CAK93236 MGCGCSLITETFKVIEPEVDTEKLQRVVDSWRQKDTLECELESNLWRGFDNYLSYQNKKN KPRVKKNNQNAIYTSPNIYPRYMLNQSQSYNYFNQFNFQYDDQIQSKQQPWKLVPKPLNS NRSQFNQYQTKGFLFNEELKPVNNCFQHQYFKINIVQPQQDNNLKALRNLDYFDKKKKQR KNNY >CAK93237 pep:novel supercontig:GCA_000165425.1:CT868671:480266:481264:1 gene:GSPATT00004106001 transcript:CAK93237 MKFLLFVSFVVTLSYAQKSLAFCDEYIEFDISFYGKFISNVKLSNTTDAILADYRIMIID NELNVLSSISIPKTNNSTCSWIFTPISGYFFIGCQKGADSPYLIAYKSINETHYSQFGDI VQFPIVNSTVIKITGSDNTLFTVETTKVTLFTLVMSAADWSIKTTQNVLDKNYFSRTSEI NITDITFLPYVQDSMKYLKIMVTEFNVGSFWIDALVKNNIVSPFRTGNIFPNLSWTKYQS VTIHSTTSNVSMISMTYYTTQNSPMSYKVVVNSVASTSLTTNFLYTQSGWQHQIAVLKSG NVEAIMYRNPLKQTILYIYICSNSSSHIRKLR >CAK93238 pep:novel supercontig:GCA_000165425.1:CT868671:481320:490620:-1 gene:GSPATT00004107001 transcript:CAK93238 MLLYIFQLSLLLSLRGIKIRELDSSYQVSKQSIKLSENDFTITNGFRYGLWSKYNPLTNI PQVGIVGQFDSNCLFLHSTSEQYTESLNLLYYECLNYEQKKITKIIAFIDNNEEQHLFSK DIDFFDYENAWYFLMIVQWPLQQKFELILMYQQQTILHSYLQIKWPFYDNNILLTFGGSM IVGQSKISFIEQGTKISYFPGKIVLYEFEIVDISFSENYQQIQQAFFELYQNCLCQDNYQ SDIGNVDISQLDNLVFVSENINCNSFILSGWMKIIEIVKSSEEFIYQLLFLASNFQNSLA DYNLAPFSLSYKISSVGNQIIVTTYSYTFPQVTIDFSDNPFLIKKEFDISNSIFLWHQVY VQVKDDQLTIQIQFLEGHQVYDYIFQIKVFQFKQTQFKLQYGNNLQSQTNYLNIQLRNFK FYNCYIQVNQQNCHYTCEDCEGPNKNNCLSCKKGSDRIYLAQFKACVCPYNTIDDQDCQS YYDHNLEIVNNAEKKQSCQYGYFEYSDSCYQCPSIIQENLVTCLECVQNPKGWIQDPKCD RNLYLNLNGSVEKIFTDQSPIYFHFDGNDAIYCKNCNKTQSFVQNEYNIEESEIQLLSLD YFCLGLLQNCLKCLYTLFGNTCLECYFGYISIEGVCTSLSQDRLEYCIPPLYLTLRRQCE VCNIKFCKYCFQYVKNDIRISTLYRGFEFLEQDQEIITGCALCEENYIYDFDKQLCFYQH PQLQNCQRSFVKDNKEICTLSMIDDFKLASEIMNCQKYQLNCLQCILTLESTVKCVVCDV GYTASMKNGGCYLTDPITIVGAKIVIEGSYSLQDGWIQRIQSFITKFLPNQYFYPQSQLI TDMQEQIVECLDGFKLIEFICRKYCDSDCLSCDYNYHEGFICNHCPLNYYYQPIRDQIDG SCSECPYLCQACQIRSKNEIYVIYLYYQLDFLTQFLIEQRQSAVSDPNIQLDLDKQIARY CFDEQCSSTFLHEVSYDYCELIDSFWELSFKINYLNQIGIDTLIIKFIFTSQIPCKVAII WLDSTVQTKIFSLNSVQYIITSEIDLIFSTSAPIYILNVDTLVISNIVQKRIANDDFVIL NNSTQTDLKLFNFTINDSNIQNSKSLFQIETFGQVELNNVTILNSILVNSSFLSLSNSQI NGIIKIDRLIIKGCTLTNSKLFQLTDNKIILQVRNLLIEDCKFQNSSIFFIKTSLDQLSK ITFQDVTIKASQFNISSLVNCFNYAILSMIDIKLYSNELHTSSFITQNYNFSIQYLSFLD NNIYESQLISIIEEDFNQKISINIINFVTKQIQIKNSNLLRIYSDQESSNIILKIQNLNF EEMKSQYSSDFSSFLFIIKCLQFTSSEIKIHNLNNIFIFYIYESNAILIQNIIYEQQDQQ YKVQLSQNCKSQMMNKNKLMKVVDFSSFKLTHVQIVNQFTIDESILELSPKQLQLVSINI KIEIIDIIFRGNLQLHQQSSRFFSLLSIDSERIIDITICNIQFLENIFHSQTDDTLFAYS SQIYINSQRSSVEIFNLSSSLNALTNSSNSFIYINSFSLMFHNHSVSHHNILSSDILQKY YDIELESFMDQEQINSFISQILFIKNIGGAAKIIASNFTCENCQFQHILAFKSVIFEIIT QFQGSVRLMNIAASNLQSDLNQITNSSGCISIYSQNSLLDLEILNSTFYDILNRMSSSIL TIQSSNIQNTIYLQNIQIQNCLSLIHQILQIEVSKKIIQNNKVFLKNIKVSQDEEAWQHY FTKVRVLSRAELLGVSSSDNALIYIESCSASLKSITFEGFYISSILIFVNSPILELQDLL LTQIQSFYSFTLVKIIQQAESESKMILQQLRITNQTNYLVNDISIQFSNEIFQSIGCNQF QMYSSTNMINYSISELFQTIQRQAQKQASLIYISISSNKSSLYFNQVHLFKNNCTLCSDG LISFDLDLFQIVKIQDFHCHYNFAQEYGCLKFTTSNELSHVIHLQNSNFIQNVGSRGIAI SSLQLPINIKSCKMILNTAKYEGGAIYFDMKSNIFSVKHSFIISNKATEGGGIYLKQNIN LNLENSIKNYLIFNKASIQGDNIVEYPTHLALFINSFEMPSQILNFEQFQTKILNIKPYS TIEQEKKIITKYFMIPSNQAIAEFSLILPRLSQTILQISKLALNFKNSLNELILNSLNSS CAVLSYIVMLNETKEKKFLKSDILAFDINQTGFDLTSLTFQLDPYNQDYKYLEIQISCEA GKQQNYLHYYIQSKSYKCQLGEFYVDFGCQKCQPIQRFYSVTYDSIKCSIFDKEKFLEIT SNAIQLKNGYWRPNYLSDFSALCFKNLDNCGGGWRVGDDSCSEGHVGALCEECDIYNVRG FGKHFKIQSKTDCVKCFGIQDSILPVFFNILWTILSIVITLRSIQKSNELFLYLKIRERF SRILFKLNQDLQSIFVKMFLNYLWIFSVILTFNINFQISFDFINQASNTSYSLANNLDCY LSEIEKIELIYFKVIVILVLILLQFCIIIIGYFLFSIATKNEFKLSIVSNTLLCLYIFNF AGIINILCSLISVREISHLQYIQGDLTRLFYTQSHRLWILYLVFPGLMIFGFIIPLLLFL LMYFKRNFLNKSKLRPHICYLFNEYQSTRYYWEQIKLSKKAVIILFLTYFETRITLKASL IGLTLLYYQKLAFQKKPYTLSNLNRLDSKAGYICSFSIPLAAIKYENETESNNSQSIVLQ ITLIALPLMLSYQFTYNITLIHIIKYKFVGGAYLFLMSQYMNLEKSSNKSQGLLNQYSRR KQRLQQNLEKLRKYLLQVSKNQIKNRSQILNKTLGLKIQFILHQLIHRDPLVLSDLMHYI LFYNYWGVLYYIFYKLILFQNQTLSLCSYFCLSYCFFQIKKVEKALNHHIQALNQCSFYP QVLIGLYGNLCFFIILTNAQTNFKFVKCREFNLEALQKTQIINTNLVNQIIIIVVIQQGE NHIQFPLEASIKLNNYKLFKEIQKNQRQKIVQQNKEHMIIVCSYFSLERLKKRKQIGTIN YTKRFTYIIIQVSVYFYQYISFLCQFIIVKVTTLQLVIFDAMHYSIARIKIENRIILWR >CAK93239 pep:novel supercontig:GCA_000165425.1:CT868671:490839:491096:-1 gene:GSPATT00004108001 transcript:CAK93239 MFSQGKDEKESKTKQNENKKSQTNQGNQEKQKLEQQKFNNKFKYQFETLMQIGMNGVDFE SMYNQNINSAMSEIIGEVCVNEIQK >CAK93240 pep:novel supercontig:GCA_000165425.1:CT868671:491381:496660:1 gene:GSPATT00004109001 transcript:CAK93240 MNQGFPQISNNDAKESLQGIFTKIKNKKYIRSVFILKDLKPIMNKLENQDKQKIIEYMQR EFRDEEFLQQSGYVELPDNKQLYNKARIEKDKQLIFQQLRVPQFNIDNEIHELISKNEQI TCRLDDKIFDLLDLNEFRLGKMFFPQIELLQILISFFFHPIMQEPPYLLGQIIGSQLIPQ IYQFQYYLETKQNTSQDSYNKIVQTLQDRQLHIISTENFERAISEMKNFKIFAVINSLIE DHQGLQELLFQKLCSLLNCTKQQLEVESILSHLFNIENINEEIEELYFSMIFYFWMKYLT KYDIEQGFSDLKQIDIMSEDTKESREMTMQVIIQEQIKSYVDQILQHFVYVMFYFSKQQS LANSEEYQRYKKQSLELQEKIASNSSKLSFQIWQDVSQLQYCQLINIQGFENQIKFFWMT QLNSELFKIINILFTQEQTQNLFQATKLLEEQLRVIKSKNKDLIIQNILMLLPQSLSNVE KKQNILLITLYLKQNSLNRQAQELIKELFLQTNNKNETEFIQFCERFYELDEEQYEIWLE SVEFFQIFKITPKCLRHKKTHKQFPTNQQTAIEQFSFSVPEETNEQLGLAISNWVRCMNA NTTYDLFNFYFDSLKRGESVNLLVQLINEEIFNHQSKYGFFNSKALFQIFYNNSNQELKF LLLKYYSKCNPVPFIYQASQFHGIHNLESLYEINDKLYYLMQKSVNIINFSLSKNQSKIG KTELINKIFYKTQKFEIGDNCLINENTIDLMFDFEFNGTRKFMIADTHGYIPPEILIKVL PLFQICIIQIDSEDKLQENIESIKSLQIFNSHKICLLIRNSKKNKIPEQFQQELIQLKIN FHQVSDLSWRGIDKQLQLNEIEGASKFVLYNIFSFDCYPHKSQQLYLDILQKFNNKSKEN SEVILQNIIILQEMKSELQKLIKLPDGFYALEAFSLRHSHYHYKILKQKLIEKSLEPNSD QAKIKQLRDDIEENLMFKKYKVPTKLLNLFQQVLMQDHMQYLWFLEKLRQFNEKNTQKLN EGNQNPMMRSASSLRSTSQGQQQILSSDINLQVSDVQDQIKHIENELKFNKIGIEIFWRE LIQFGSLERQLSINPIEALCQIIRKGEPFELLDGDSQTIDKEILLKIIQKLHQDKNEKVL VLSVLGPQSSGKSTLLNNLFGCHFWTSVGRCTRGVHMQLIKVRNKEKFGGLFNQILLLDT EGLQSPNQTDVEFDQKMSLFILAISDIILITVKGDINLQFHNLIEVCLFQYSQLIQNLSG VKQIVWCFNQNNDVQKKGPFFEQIQQLVMRVEQRNQQPSQSDPEIKEQYNEQIDYARIID IDTKHIWVLGFAQIQNIWDFQKWTQSSLNETFSKNAYYNGIMMLNNYVEKFQFQNEIGQL QTLSQVLSAIDGTWNNIQKLPDYIEFSELLQYDQNKDMKIEYQKIFKIKSAEFDFKNQIR IQIQEEALKNKPSEEFFIQLKEKKIDDINNKLKTIQESISEELQKFRQTKKISKNIYLKQ LEQLDRQFQNERLVCNLIITESILSQKIKYDQDSSLQNLEKAFRTSYDNQRYMQLDQDQI LEQFNQEWENILEQFSKTLNSQFDKFQTMQYETIKGHYNDFIIKSSLESEYLNLLRYQIN NTQPSKSNQEFQQLFQKYLEELQENQFRPILKADQEFFHIYDKPIKDKIQEFEETNCINF LKFYTCQIQVEYIAKNEIKIYLDNHIIDDFEYLLKVNQDNFEDILQDNQNKDINTYFFQT FFDYFQLEYDIQLIGQNAF >CAK93241 pep:novel supercontig:GCA_000165425.1:CT868671:497233:498995:1 gene:GSPATT00004110001 transcript:CAK93241 MQLNQERDAQYILVYQLFGDLYVFLNDQLKQKKLDLLEKFFAYLQKNDYKLSRIQASELF ETIKRNFELQFYNKYTPQIVEEIQNQQCDLEQQFLESDDKEFIINYIIDQKNVINNHVDK KLDELTIRLEEDFQFELAEQYQELIEKLKKNAHLLKEYLVPNLNVEEYFENPQQDLERKL FGIVVCFLQGSNSRDINLINKKYKFIFYQGEKVKVEFHLNNLQNPEIKLLDVFVEELIEI LNQKKNIKIQLNFNLFKMQEQLFKKKIEMQGCQTSCGFCNRKCDLQQEHQSNHECQNGHF LIVNLEKQKQQYSCDDDFFFLRRVENFEWDFDPKQNIDQKKQLKDKLIKIWQEYGQDICN KLQKSYQNIQQLNHNRVHYIFILDSSESMNKDWTDIKKGVREFIKKIKEKDQVENKEFWI SLILFNKEQTTLINSKRARDIKTKFKMNFLGGGTNFGKPIKKAINLVKKDNTSDLFLILF YTDGKAAIPEQELKKMQNLEEEKRKKIHLIACTHDSNTQNKVLNNMVKYFSDHIKQAEIF TFSSANVLIGFWSVVINFRLNR >CAK93242 pep:novel supercontig:GCA_000165425.1:CT868671:499777:500358:1 gene:GSPATT00004111001 transcript:CAK93242 MSISNGISYFLRRIDQFNKSDQPHETKQLISFFEKQKQWLEWKLECDIAFIIDLTTKNDK KRIRLLKELLADKERHQSRFGIIYLGNDKAQFDLIDDSQETTYNLQKQLQNLKLDDWINI FKALRAHIIFNKEQILPSIYILSENQQSQNDDSILEVVDNLLEDTCQKHSLNFHCNSYKL EDKEFYSEQELKL >CAK93243 pep:novel supercontig:GCA_000165425.1:CT868671:500827:501222:-1 gene:GSPATT00004112001 transcript:CAK93243 MGSLVSTNKQMQSIEQLEEDNQITANKPQAFQYYPKLYQQNYDNQINNNIDNNYPCFLFF GTTEKPDQSDDMEDSRDRGDSLETPCWNIPQRINNPDITSNSTNQKILKKSQTKQKQGSN IIQRINTERIF >CAK93244 pep:novel supercontig:GCA_000165425.1:CT868671:501771:504492:-1 gene:GSPATT00004113001 transcript:CAK93244 MKHRNFSFKQETTQSEFSNLMTKQQIQNRFTQIQKQPLTRSTSRTHSLYVKQTQIQNMCA LFEKLIFKSNQTKPLKQKYQQICSDFGIDFNVLQQFTKLEDKQNYLMRCSVDEISKQFDR IIQKYDSPKSDYQSVDEKQDLYWWLKVKSQHKAILNRLKLALVQMIQNSTNVLEKQQKNY TSEQKLGSNSQTKLNPKDTEVQQPEVYFEWNKAVEAQFISAKKNYILHGYETMKKQQANG KLISKRGMILDKCEQTGNYITKEELQYIASTSFLINRNLDKEFIQKAINSLENEIKYQIE FNISEQILQDYFTLKEKQMKKRQQYFEEQNVIKRKQIADKTINYQKKQYLSKDSLQMKVV KSKFVQYLIQAQKKRFIKEAIEFKKQSILRRLQKSGNPLANIYVQKFKEIMQNVVKRYRQ KKGNQLVSQQNFFERNAQKQMIKPLFIKDGEEIPLEQVKHKFHPPSKLMILAQEDKQNQV LQEKLIKQSQNFRLAIRNYNIKKSEFLQFYSGGPQSRRRFQSQQLKFMNEIESKESPLQQ QWLSEDIEIQAANKIKMAIKRYLYKKKVSNMVEQRVEQKNRDIEIKIQKCLKAKRFFEEL SHELNKRQTEKLKDLSIFSPPKLPLEQIQKSIFVPYSTPSSPQTQRLSQQKTLDISLASS RIVKQSLHLKLEQKNESLKQSLINKAAKIRISGETKVKSRKLIQASKMKSVVIAESAGFN YVKADTEQYDQYGNTPLYYSAKYGDVQMIKLLLRAGADVNQKCSNDNTPLHMAFQSGNKQ IIIDFLNKGGDLNVINKDNCTPLAFGSLELLKSLNLQDYIATINPNLQRRTDNQQMLHQK VIPLNYQVDDELLLELKMRV >CAK93245 pep:novel supercontig:GCA_000165425.1:CT868671:504527:506141:-1 gene:GSPATT00004114001 transcript:CAK93245 MKRSIKQQHQFQLKQLYCKQKLNIQTEVDDTRNSKLVRKLCYVQISLNYSPLNKVEHSVF SNRWKINKGLLSNKANRLMTQQQSDSETEFPNQPKIIQLSQRSQVQTKRSISNKRNNSIG DYNQSPKNQNRASLFTSQKQRSYSIQQQQQQQRQQQQSLFQSVGIKQNQANDPKSFIQQF ELTIEEWDKFGNRFPFGFQREKLLGRGGFSLIWLAKEQKGGALCAIKQIPRKSKHETHFK ELAFCTQFFNKGGQIKSQYQQNEGIKYLCKMLDYVIDPHDVFIMYEACGYSLGTQLYDFE SLNNSYKLIPQKLSLLFKQFPVFLLKFIKRITQTIDLLVKTGWVHSDIKSENILISYGQQ DVEYKIIDYGSSFKFVEVFDKFSMATPEYMSPEMLTFILRENQMSYDNQLIESLVNYDKS WVIDIWGLGCVVLEIISGLPLWMSYDTQVINYKGQKIMAQGLFAVTGRSFSKIVEKQIKI LTNLEFVLREQNYSGIQVSPLLTQLLKGMLAINPKQRYSPQQILNLLQDI >CAK93246 pep:novel supercontig:GCA_000165425.1:CT868671:506184:507847:-1 gene:GSPATT00004115001 transcript:CAK93246 MFITIIFIFPLISNQNQLKLDQTYKLPLYKENIIDVIDESGFIYKYHISNNNKEITYQTY CSVQPQRLDIEIESQLKVTHKTEQDFELNFVNGKFYGQSEFIQSAVINSYGIIILTSDKN LTFISHDNINQNIAQTQHFQINESIENSYLIQIESLNLLILLLQDNKVYEYEVHNNTYYN KSIQYISQYKQETLHVNQIKLINVYSTYVFILYKDGNLRIYNRKMEPIYKFESQILHFEF ETISQQFRIYLLYQNSTLMSKTITFENTINVNDNQQQILITKPQAVNFKLYNRGILVVVE DNSQGHIIIDYSFNSINLKELNMQYINQKVKHIIIHDLYALLIGDKTQSIITVGVDAIYL NQQNYKVQANLLVPQILNVLMLSQVTNSGTTDYVYAYTRTRFLKLNLLAVCIYLKVKRMF EVFCSCQSQNEAMQSPFHFELEYYNSDCDGCSKKKSYTLFFNYAIMTKEDEALLYESIII GGLIVVGIIGFVVYKYFAFLRFWKEAQNKAIQLETTTTQPHIEFSINDSKVGFQLEIENH KKDVE >CAK93247 pep:novel supercontig:GCA_000165425.1:CT868671:507896:509523:-1 gene:GSPATT00004116001 transcript:CAK93247 MNMQFWNIQPCDSLFQQFQKNGKITRTDLVNILKMTNYNEMQINNVLSKFNDDEISKSNS FMLSIMVQNMPTYRFPTQPQPPIPQQVEQDWNQQKVTQEQQQQIYEEFKTLLKGQEYDKA ANFLQQYKEMDLVNVIDSQSKQICSYIVVQFDNEGLALKFLSLLTDFRVNLNFKDGLKQS ILFYICRDGKLKLLDFVLSQKAVNINDQDQYGQTPLFYAARDNKIDIVTKLVSVGANVNL VDTLSNQTALFYSAREGHAEICKILIDNGCNPNHQDQHKKTAQFFAKRFQRKEVMELFNS YFGKSKDDFKQNSNYNNNENSKGEQPKQQKKKNKDLPKQAYKLMFTDEYGNLQEITSTEF ARFQQQYPQIANLIINADELIDDNVLNSMKDDELWEKVAKKVLQILWKAKGAQLFHNPVD EKKYGINDYYDIVKRPMDFGTVKQKLNTNQYKNCKEFYSDILLVFDNCVLYNGSENDIGQ IGLALKQEFLNQVEQTGLKKHLQ >CAK93248 pep:novel supercontig:GCA_000165425.1:CT868671:509553:510199:-1 gene:GSPATT00004117001 transcript:CAK93248 MASREKHIVNKEPSFQFFVKKGLIKQNNKGQVFPIFTYRINSQPSPSKNFELSVFPSKIV KRANVHQLNPSPFVINNIPKENTVYQSYIDNIIKRKVKDKPEIRKKYSNTIDEIKGRQIE TVKDCFLPKLNCLNRQPQKLKYRHSLQKQDIYTEPNPEPNPSDRLQPWDSSSKSFVLQ >CAK93249 pep:novel supercontig:GCA_000165425.1:CT868671:510957:511894:1 gene:GSPATT00004118001 transcript:CAK93249 MYSKQATISKSQNLKSKLSIKDTISNSHQIHSQPQSSKGQDHPKQENKQDCHQMRKKLFY ILGKNSESHKIFKVSPTNSLKQQYFVSATLQQKSKGTRLKFNHTTENNDSLQTLKCHALK LLKSNKHRDTNKYSEFLSNNNLDMQKQFGNFVRSIDEIQADQLPIQNTERSTLIALFEKL NVKDQQQCKPKRQTQQKSESYSYKQSLESEFIDLRLRQKNKCNFSNNSSGQLVNPNSTTT QIHQNPLNEQKIKIEENFQTIIDKAKQILLKYQAKLKQNDHEKDVLIQEIQYWKAKYLKC KQQYF >CAK93250 pep:novel supercontig:GCA_000165425.1:CT868671:511946:512530:1 gene:GSPATT00004119001 transcript:CAK93250 MNNNSEDKNQDQQEEPMTEEERRFAEELDQKISNAQQKLDQLGLTESRISWLQDTIKKRQ EENPMTDEEKKEKFQQMADKAIDIPSIDRQGRKIFTLEETLNNYNNAWGILKYRELPICW SNLKDKIIFTLPMTFMAAYTYEAMQLKKINLSLKEMKLKLLGRSWGVFFVIGTTFCLIDS LYFDDFWQMSILLQ >CAK93251 pep:novel supercontig:GCA_000165425.1:CT868671:512654:514537:1 gene:GSPATT00004120001 transcript:CAK93251 MLKYIFKNSITSFHLLSRYQISIDKIRNFCIIAHIDHGKSTLADRFLEITGTISKGKHEQ YLDKLEVEKERGITVKAQSAAMLYKVDGIEQYLYNLIDTPGHVDFTYEVSRSMRACEGAI LLIDATQGIQAQTLSNYILAKKQNLKIIPVINKIDMTSANTETVIQQLVEKFDMNPNEIF KVSAKKGTGVTELLNNIVTLIPPPQDHKELKCFLIDSWYARDKGVVLLMLMKGGILKKGD QILSCAFKKKYDVFEVGIQSPENVPQEKLEPGQVGQQMRPEQEIHLEIPLSKTLAEAGFE QVKPLVYCGIYPEDPDDYAELNKSIFKLALTDPAVIIQKESSAALGNGYRCGFLGVLHMD VFRERLENEYNLSVILTSPSVPYKAILRNGKEVLVENAIMAPDAAVIKHYEQPMAMATIM CPEEYSATIFQLCDARNGRIVDQEKYDKQDRYIFKFPLNEIIQDLFDKIKSATKGYGSLE YEFCGFEKANIVKLVIHLMDDPVDALSFMVPEERAHQLGKKICQRLKDNIPQHLFVVSIQ AKVGGKVIAAEKIGSTGKNVTAKCYGGDYTRKKKLLDRQKEGKKRMREVGRVTVRKDTFI SVFKDD >CAK93252 pep:novel supercontig:GCA_000165425.1:CT868671:514554:515498:-1 gene:GSPATT00004121001 transcript:CAK93252 MQLAKIPVHPDALEKEKINPYLNDNKPQNKYPRENLVENEKNMSLGGSYQNYIYKCGECC GNCSAFCPCNPFVEYPYKKIEQGFVGVYLRFGKYVKTMPPGLQYFNPCTDKLIKIDCRTQ MIDCQKQYVITKDNILILVDASVYYRVLEPKKAIFYIYDIQMAISQITLAAIKSVIGAYT LQDVLEKRTEIQDYIQQFVDDHVDDWGIDIELMMIKDIQINERIKSALAQAATELRAAQA KILIAESNVQSAKLMKQAAELLSANAAMQIRYLDVINKIGNEQQTKVMII >CAK93253 pep:novel supercontig:GCA_000165425.1:CT868671:515552:516539:1 gene:GSPATT00004122001 transcript:CAK93253 MNFEDYQYLFKFVLIGDTGVGKSCFLSQYVKGIFIQEYDPTIGLEFESKSIEFNDGIVVQ NQLWDTSGSSQFMAIQKTFCQNAAAAIIFYKIDNQDSFKSLDNWINILKQVSSDKIQTVI VATHKDLEEKRQVETEQGRKLADSIGAKFFEISNQDKDQIDVIVNTLSFNVLRLISSSKI NPLKSQYGVKMSRQQEQQYASQQTDKTDNNNNQQQFTPIKNNPDNIEFEFPKETEEDTIT PNKATGSPKEPQQKENGTNQQNKNQSRNVFMVLIPIIFAYGFYFLFI >CAK93254 pep:novel supercontig:GCA_000165425.1:CT868671:516606:519134:-1 gene:GSPATT00004123001 transcript:CAK93254 MQIQSFDELATEKELKLFGKTTAQKFQRLKIIIIGLSSLGLEIAKHISTQQPELITLCDQ QSQRLKQCEQLLKTNNVTQIETLEMSYKDNEILSKVDKHDLTIICDIQSLNFAISVSEHL RQNSSKNQKYNKGVIWTCTFGFICLKFSDFGQGFKVFDRDGVQPFPYHITNITNSNPGIV KIHESIPHNYKTGDFVRISNVEGMTQVNGPEARPIKVISPTEFSIEYTQHYNKYLAGGLV QLTKVPFKYHFQKLSESIYKPNTLKTNEDKIVYSTVIANLQLLDQTTKPQSEQEIINIAL AVYKTFDLDQFDVQLCQKTIKFMQTTKYPVISLWAGYCSLEVVKFTGKFTPLECSFIQFV SDIDSDDQQIKVKLQSLNALVIGSGGTGCEVVRLFSLMECCTQPNSKLTILDDDIVRKYT LGTHYWFNSSTLGKAKADVAQEQAQLLCNTMNIDVDKSKFSEKSEIIVKQHDIIFSAINN QTSRLLIQQQAQKHNKILFDQILNGLKAYTQFGKPNQQLQIQETLKNVYNVDQDTYKKFP YLPIHCVLWAKEVFDNSFVGFVTDFQKFLQDRNGYLQNFDEPDVVDNYHIRAHVINRISK PGFNLTLDKILSLSKELYEFHFEFKINELLKKYPTDALECVWTGYKKIPQPIKFDSNNMD HVAYIQITTLLISKLFNINASAVFKQEYVIDKLQQMTENYWNLTNPLVPTPVEYSSQNKP QFLNFDDDQVRGLYVRCIHSLTNLRCKNYNLQPIPLYKVQKYALEMHRSNPIMHSIIVGW MGIELNKYLYGNCKQRNMHIDINNNILEFI >CAK93255 pep:novel supercontig:GCA_000165425.1:CT868671:520066:520566:-1 gene:GSPATT00004124001 transcript:CAK93255 MSSNIELVPFYSSLLRTIQSMFQQNLITAEERSELKNFVVSHDTKDLGQLFQSYEKGQLE QFILENYINPRNNEQYVEDEDDSCYSISEIECQKSTLSCTPNQFVWQLKTIQVSKIRKGR SSKKIQFIYYILIVMMIQKWINFEFICVYKLFSYIHIQNKQQIQQV >CAK93256 pep:novel supercontig:GCA_000165425.1:CT868671:520654:520925:-1 gene:GSPATT00004125001 transcript:CAK93256 MHLRYYLNEEGKRVYTLKNTLDDGSYTFNAHPARFSPDDVNQKYRVELKKRFGLLPTQGE PHQF >CAK93257 pep:novel supercontig:GCA_000165425.1:CT868671:521172:521640:-1 gene:GSPATT00004126001 transcript:CAK93257 MSEKAQLAKDAIKRRQGGGKSPSKNNMNNGGQSGLQFLFWRCLICLKFNQTPYLQLVLYI WESLCSYTYSANLDQEVQKLPLKDNDSYYMISNIYAYFVLNQLQTIHNLSNYAQIDMVIL LLFLYTQNSETLNFNQNNSKSFPRIC >CAK93258 pep:novel supercontig:GCA_000165425.1:CT868671:522830:524706:-1 gene:GSPATT00004127001 transcript:CAK93258 MSNPIPIEINSDADEFQNCFPSNTGSTAPLSLYDNSNIFKILTSQQLDDQLDQVDEEFDL LNQQMKNELQIDNFKSPLIQAFQVDMSSYITHEKLTKNSRRMQFEYENAKPKEREYVFQT FILNYIVNLSLDKYMHYILEKIIEVGPPKYRNIILDQIFNSINKLITDLYACKVMQKGLD IMAQFPQDSQNQFQKYINFIIDDNNFIKKLYTHKISNQIFQKCLEVFDGKSQHTLLLNLD KYILNNNQQQIELSTDQYGCLIVNKIIEILPKQIDQNSKQVANNIIMRAIENSSCLVRRQ YANYIIQQILEKGQECHKRKLLDEYLVKDFVSMSMDKYGSNVAEKAIIYSGPQWRQKLWE EEVAVSEESFRKLVNDQFANYPIQRLYEYLTQEYRNEFFGYLQKLHESNFLNHHGQIVWK FALINLNTNRFAQRVNTKLNPQISSFQERNIQTSQQQVNQQYSANNQYQQYQSLLQQQQQ YQQQQQLMYNYWIQQQQNPQQQPFDYQQYQAFLMQNTMMLQQSQQMYQQSQQFYPNFQNL QQNNSQIMQTLKMVQNQSD >CAK93259 pep:novel supercontig:GCA_000165425.1:CT868671:524894:525424:1 gene:GSPATT00004128001 transcript:CAK93259 MNLDQIQSTEEDWPQQSWNENEDLDFSSSPIMFGRRCHKIKQENDINNQDYYIQSQEIEE PSEDQEINKLFFNASIPLSKKKIRKTKRINSSTINTLYQEIFSSIIDNTESQQEALKKLQ QCQNIKVLLDGLERTLMKIKQMLLVKVKTDKH >CAK93260 pep:novel supercontig:GCA_000165425.1:CT868671:525790:526162:-1 gene:GSPATT00004129001 transcript:CAK93260 MESANINGLMVGTQSYQGQWVRNQMHGRGYYRWIDGKYYDGEYENDKKNGIGISIGGMEN NIRFIGQMERKKKFGEWQNGKLISCSNESNLQIIPNGWFLITFNQ >CAK93261 pep:novel supercontig:GCA_000165425.1:CT868671:526166:526743:-1 gene:GSPATT00004130001 transcript:CAK93261 MGHARNARINKKDILMKHINKKQLVLILILNIRRMMKNSLVRNMVQLLEQPLGWIANLTL LRLLRRNIILQNKSKSKLRDGGIYTGMIINNMDNGLGRLVMTNDDIYEGSFINNKLEGEG KYLYARGTTYTGQFKQGKSYGLRIEVRPEGSVYDGEFLNGKKDGKGFINGVNKVRTMENG QIT >CAK93262 pep:novel supercontig:GCA_000165425.1:CT868671:527391:528827:1 gene:GSPATT00004131001 transcript:CAK93262 MSESQLIEKLQQYGSKKGKYENDLLNSSQECSYKISTSPRFHKFHQSPVIHQSPANVSSS LEHYPFDKEEVQIKDPQGEIRFLLNIIDQLQYDLQIQYNDSELIKQKYQELQYQVQKMKS NVFKEQEQYETKQYCDQLERQNKQLNNENNTLKKNYQEVQQKYLDCQKDLDNLKLNASEL LIQNEGLYSLLNSLKDKHQFDIDSQAKHFELINQKKIDDEINIIQKQFRREIETQNSISD SYKKKIEDLTNECEIKSKKLSQQQEINLKQELEIEILNGKLIRYQKLEQELVDIDTLYQQ KNRLCQQLVQDNTKLGEELKNQKQQIQQLDSSFKLQQNLLEKERSDNVERIQQLQKQLDE LQNVNNEFKKKELEQQRLLNKLSEYGDENMRLLEQNTFILDKTNDTETLRVNYKNLVKRY YQLKSENDGLVYSINQHVTNSAILQRQLQNAIIEKNEVITNLHYTQQQLLNLQYSISH >CAK93263 pep:novel supercontig:GCA_000165425.1:CT868671:528886:530523:-1 gene:GSPATT00004132001 transcript:CAK93263 MGCLQPKQHTRIVPMQTSHNDSVFTSTTDIHSLYNFGKVLGIGSFGKVVQATMKNNSTKQ YAIKIIDKNNLHGKEAQLANEIYTLKKLDHPNIIKFYEVYQSELYIYICMEYCEGGELVE RIAKQQINLTEHQVQRIISKILSAVIYIHEQGIVHRDIKAENILFTEQSLYSEPKLIDFG FANKFDVVHRRRKLKTFIGTPLYMPPEVIEGDYDEKCDIWSLGVLLYSLLCGSPPFVGQS KEKLFLNIRLKEVKFESKVWNTISDDAKNLLFQMLSKDPNLRPSAKKCMDHPWFQTKQNP SSLFTDRLKSAQLQQDRTIYQMLKTYRGGAKFKKEVTKVLINQMNESDLQHLKQIFSKID VDNSGTITVDELKSALIKEGSIVTHWEIEQLIQTIVLEEDEGIQLMGEHDGTQYQEIKSP KPLMIKYTDFLAACIDQRKIFTRERLWSLFKYFDTLNVGHIQKDDIKEALARHGRQISED KINQMINEINPNHNNQISFDEFCQMMCDQGVDKTMNIKDEFKEPPSRVD >CAK93264 pep:novel supercontig:GCA_000165425.1:CT868671:530574:531150:-1 gene:GSPATT00004133001 transcript:CAK93264 MDRFINQPGENVQCSVISNIRFLRDQETLEVLESRKLNLDVNESLGISHSYDEDDTLEIY ITNGTDKVLILDSELLLKQIIQVQFNNGSSVGRLSEIEFVNGILYASTNLNPIILAINLT DGYVKNYYDFSQLIDFSSDMDLSNCICGIAYKSEQDMQILISIIYRFWITGKNWPFFWEV ELN >CAK93265 pep:novel supercontig:GCA_000165425.1:CT868671:531726:532252:1 gene:GSPATT00004134001 transcript:CAK93265 MIILFSIFFAIISANSVSEVRSHTLRFRPNQNLVKELQSYIETNQIKAASISTCVGSLLK CSIRFANQPQFTEINGHFEIVSLVGTISVYGQHIHISLSDTEGKMIGGHLPFYDNECIIY TTAEIVLLEHIETIYTRKLDPTYGYYELYII >CAK93266 pep:novel supercontig:GCA_000165425.1:CT868671:532653:532880:-1 gene:GSPATT00004135001 transcript:CAK93266 MGCSVTKTKVNENLKTNTTDKFESYLITQSLVQTPPVPIKKQYSIEKNPIIQRRRLKSQQ KTRTTSVIDKQDCSV >CAK93267 pep:novel supercontig:GCA_000165425.1:CT868671:534038:535154:1 gene:GSPATT00004136001 transcript:CAK93267 MNQRNKIQGCCSNLSLPQLTKKTDENCAKTLKQITPTNTLKKIGDFQGCGRSTSQLNNAI LNNLYDQASQKSKVGDKPRKDMCSRAHTIYQQQQNDDQLRKQIQYNDYFIGGIKEILGKE ICAQNLKQMCIDQLNQIYKNVTCKDQTFISKKQLPNIVLTKKYSIAIDLDETLVHSEELK PNRRYDFQNLQFGTFIRPYCLQFLQLLNKHANLFVFTSSNIKYATTIMQILDPQKDLFQG LFFRDHCTILQDNSQVKDIKIISSDLTKIILIDNNPQCFIPEPFNGIPIVPFLDNKADKE LLILSQFIEREIFTSEDVQHVIKRFFQFQQFRQFENGMQAYQILYN >CAK93268 pep:novel supercontig:GCA_000165425.1:CT868671:535362:535793:-1 gene:GSPATT00004137001 transcript:CAK93268 MKYAKISDDTRKAFIEKVKQGVCTIKQAAKQFGIKFSTGKAILSLYKHEGRVGKKERRTR RLKKHSEEKENQTCKCLKDEPPVAAKQVQVQEVSNCQNNQSLFNQYQSQYYNYNYQQWYQ TQAWIQYMNGLSSMNCYNYRNIY >CAK93269 pep:novel supercontig:GCA_000165425.1:CT868671:537244:538369:1 gene:GSPATT00004138001 transcript:CAK93269 MRNKKKALTKEEIQFYTQRRLQYTQDFLKMSQQFDPKLKYLTRLLIARKQMMNSKNNQAM PQSRKCNKVFASMTKSSEIQKKIDQGMIAESCKYSLEPMPKCNFGYSEICSRIQTSMVPI VEKLDKNIQQKLDTQHHHFDSENKTKKKQLIRSDTFYNEDQKPEEYIDSMQIKSQRLHNI ITSISILQRTKAYQEYNQRKSLHHRPIHLQMCSNDISEQLSEEEDQEGSFSNQDYERLIK FERMKLKEQFEQDKIKSQRLEQYVQTSLQKLGLQKKMFSMKELQEFIKQCQTQTTNVVNE YKTERQEFKNRKSQLLSSLLSTKNNTYSNTQSRYKNIYSDTKMSDENRFSFILKQQQK >CAK93270 pep:novel supercontig:GCA_000165425.1:CT868671:538496:540022:-1 gene:GSPATT00004139001 transcript:CAK93270 MYNRPSSKPRYQQEPTLDSINLKEKQLYIQSSSINPLTGAVLRPDQQYNQSQQNNANFDK KIALQASSINPLTGAPLVRNPISYQTESIQQKNTPYGVQQNANSYTTSSGQIGSGSNTND AQFQKNLVKFFAADDPNLVQQKSQQKPNNALPSDPQFQKNLVKFFGADDPSQPQKFNNQR QINSRSNNQYPQQGNQQYQGQQAKIQNDQQFQQNLNKFFAADDPTQVRKTPSKNQYPSPS LSQQKYQPFNEAPPDPRLVNDPSFQKNLNKFYAADDPTQPKKQVSKQSQQQQQINAIEIL DSKKIFNNFLEQMEYLSNKRMANSKSFSYYLRNIQDTLQQNNNKSSKVIAITDSSSAARN QVAGFFRARGVEDFDVFTNPGGVFGLQNNPVQEQSLKYYLDTMSNAYIIKEVYIISVLDT NSNVRIYTNLNDKRSHQIAIQDLKTVLENKFNVQQKLHGIIIDQRGASEKAF >CAK93271 pep:novel supercontig:GCA_000165425.1:CT868671:540502:541183:-1 gene:GSPATT00004140001 transcript:CAK93271 MSQLSTSLSKIFGIIFVRAQDGTRLYSKYYPQLFPKNLLRVPEGVLTNIDVQKQFEHNVW EKGKRVGARLTKGSETEIFQYCQFNIVMKAFNEVHLFVLGDFEENEIILSQVINGIYESL NHITKDHINKKTLLENFDQVIIIIDEICDQGIIITIDPSVIIARSTMRDTEAVSLDKQET SGSTGGAFSSVFASAKRTFAQQFMGSG >CAK93272 pep:novel supercontig:GCA_000165425.1:CT868671:541511:542636:1 gene:GSPATT00004141001 transcript:CAK93272 MQRAQSAAPNFDFPMEDMFKIATRQTSAFGIEGYEAPKKYVDPIKQMEDRKFLTQKKGQK NRNHVTKRGHYLEDLQKLYEKLPAPNKYDIVKPWVPLKQEGRVKSAPQKRCTFIDQIFKE AKVRGVPGAGKYNVIPTLEEVLKQVEEDKKKKITPVERPTYLNEIQHLAVINPGPGNYNP HRIEKKLKINETKPSDQIAKHKEQDRKRGKSCLPDIGTYNPEPVEYISFNKLQQLSKKKD KSDKHNFGKEDRFKDPKKTKSKQVPVPGPGQYPMIVQWAGKEKDKNNPKKKNYLEVTTRG ISRSIYY >CAK93273 pep:novel supercontig:GCA_000165425.1:CT868671:542636:543700:1 gene:GSPATT00004142001 transcript:CAK93273 MSGMMKSLYIEDNVSINLKDIPIPQPANGQVLIKVECAPINQFDKEFLNGYKIGQKPLVS TVPGFEGSGTVIASGGGLLGWQLKGNRVAFYTEHQFGAFGQYAIADVNYCAELPKHISFQ EGCCSFINPMSVLMMYQLLGNGSGIVSTGACTNLGRMLMRYCQSKGINVINIVKSQGEEK QLKDEGAKLILNINQEDFEEKLKLMASSYNTTVLFDSVGGDLLSKILNLMPNGSRAYLYA NYSKKSLEGIYCANLMYQNKKIFGFSFVDILQGKNIIQLKLMMNEILNNIQGCFKTLPTQ IFSLEEFEKALESKEKAILKI >CAK93274 pep:novel supercontig:GCA_000165425.1:CT868671:543734:544182:-1 gene:GSPATT00004143001 transcript:CAK93274 MQDIQQPHTSKLEQESATSAKNHLSLVTVIISLYIQNITDLTNELLQNYKQDIDQLKQEL HLMKQRITNNNEEIKNTTQPTLDAMLRDLRQAINTQKDENSKLQSQLTELKKEKSQIQQL ILAATQKMVALEQQVGNYTSS >CAK93275 pep:novel supercontig:GCA_000165425.1:CT868671:544228:545876:1 gene:GSPATT00004144001 transcript:CAK93275 MGNNQSCCSVRIRQPSNTLDKKEVFLLCDVLKHSQEGNQSTHLDQQCFERIFQDNPLFGQ QVFEYCQSQLKANPLPLEGCINILQGILSTTDITPICLQICMENYDSQLQIITAQQAFQF IGLITSIFLTFCIRKQQIDASVLETLVNSLFKEKQMKINEFLSIMEANFPHVQKLIRLYL QYRLLQKPFNQMRVAKIDKDSFSIKYEWLAIMGLATSELHRHGQLTLLYQSALQSINFQE ILSSMQQTQILFVATFQDEQTNKKQTIAIYNKQQWQLDGKDSDNIIAFQLAPYFYIYRSK SKNNFNANGFGYNFDSKTNRYLLWLGQQNSYFLNSQRVQHITHYQLLTIEIWRCEELQSK LRKSVIEDDRTSTLSPKDQKKRVSFKLMDENQPTRKSSSVTPTTRKANILENPAVLPPPV QPLNNTQPFFLQTTQDGQESPRSPHFKADNLPTFNNPQGQIMKSYPNPTNITNTQQPTRP SQNRPSQSIIDKYQTGQRQTRSYSNNDIRKSVDDILKRYEKKA >CAK93276 pep:novel supercontig:GCA_000165425.1:CT868671:546182:546981:-1 gene:GSPATT00004145001 transcript:CAK93276 MDKISLQGEINALKMENMNQKFRIKTLLEARQVALGNNEQIINYKEKILQLQITINELTN KCDDLIFMNEVLNDELLFERQKFQIFTSFNKRDFNTPNFQEQNNNLKKKLEIDFKNNNQN TQELEKIICNQYEKIILLDLDKQKLLTKLKLQTNSGIVIQEEDSQQNVSELQQNLEKSRQ QIKKIELQFHEKQQQFNELNQKYQQLLNQYQQQQKIHQSKQAKPTLLDTLQKSIIQTQQS YDIHQSYFSKSIIQTK >CAK93277 pep:novel supercontig:GCA_000165425.1:CT868671:547060:548029:-1 gene:GSPATT00004146001 transcript:CAK93277 MQKNLEQQYFDALEKNLQLEKNKEEQAKQQYINAANKIKKCYESYKKRQKLQVKTRYIIQ IQKFYRRWIAKKRKHRVIQKQRQMKIIRPYFLRLKSFKLKPQQTLTFQQKQILKPLVNFI LNFSKIKSAKRRFFILSLIKYKKIQNQKTQINSVLSQYKFNYYTQQIDYQQKKIAILNEI EFINNIQQLNNKYFEIGLQNYQKELEQFIKSKSHESDFIELKDQKGNSYWQNLKTLKKFN VNPIDQLIQNNFAKVEDEYVDQYQEQVMYLEEGKEELLHLLQQIKPEKIPFPTLSEQIK >CAK93278 pep:novel supercontig:GCA_000165425.1:CT868671:548070:551736:-1 gene:GSPATT00004147001 transcript:CAK93278 MKVESSKNKRAKQNAIQNHKEESIREILGFYINQVDLKSFMKKKKIFEKVGDLVDKHQNE FLNPAEFQEIQDIYEDYNIGDLAIVFPNPDYEGYTQKAVTFNEAATIFDEILTVMTEDVT KNQIKKERDSFLLTYLTLDDLTDNQNEGQAKKKKKLKQKKDPEKKKKFNKNQNQNNNTQE LAQLKDEKPLKIEKNSYEKYLDFMRIYHKQREEELMNENEGFDLKNEKGFLDEIGVGQQD QQNVGQKVIDPIKELMKVDVNDDKQFKRLKKKWSQGGYLVKKMCEDGVDYDWISNNGAPK DVMTLIRFTILEKLSRNGYLHCRQFVSGTGEHIYIVIKSTKEVIQRQAQNMKVTKQIEMG FADLFSLEPVDANFRPLRLKNYIKQILGPNYGDLKEAMDLIKLNEKFHFTTLKEIDEYCE TNYKQEIKQLKDIELRAMVIKKLEQLEEYFKIIAKQLNIDQSHEKVELNSDYSITDEEWQ VYYIYLDLLSKLLNLLQLHYQESIKEAHFQFRDNLPFLYRLIFGKALRKANEIWYSAHKS CWSDLLDEKIILRNIWDMLGMEPAAPYTQYFQLQNDFGKQMWRKYEINELKDRSEFNNME KIKITHAITLKHVNMSKLLQSLIAVGYFPIHDTYALFGEEKKEKFMKKLIEQEFIMEKTF EGSRQCLLDLYRDLQSYAESTDFDFQSVKQDLRINFRCPWYIPVHHLRDYFGEKIALYFA FLGFYTQQLWYIGLVGILCQSLLSESTGQYKKPIVILFSFTIVIWSSLFIVYWRRKQFLF SVQFGQLNFETGEALRPAFQGDFLRSITDDDLNEQFYPPIKRKITQLFGLAVSFLIILCV IGCVLGIFFFKNYMIETKADPFFSQQLPGLLNSVLIAVFNFIYQNLVMIFNSLENHKILS SYENSLVAKVFIFRFVNTFNSFFIISFLSNYFSSLELCKVNDGISDCFQILSLQLSTIFI SNFSGLVTAVVVPYVQEKLMKKMKAIDEIPVPHAFNDIDPFIESQFALQPYQTNEEVDGS VKDYMELTIQFCFLVVFGVSYPACFILGFAQNVGKIQVDKINFVSLSRRPFPQGAASIGN WLVILDIITFFGILSNAGLLVYTSNSIEDNKIEFFASILVIFFALNFVIKILVSPESESA TLLLQRHQYIIDKKIKGFTSNQKTIFDRSKLNIQIKRVGYHLFNSGVINNEFLKTIKGER GNADD >CAK93279 pep:novel supercontig:GCA_000165425.1:CT868671:551926:553593:-1 gene:GSPATT00004148001 transcript:CAK93279 MTNIIEESMIINRLTSPQKLNNPNQSPLRLTPILPNSYKHSSRQSSKANLLDIHNHKNVD QQDSDNVANPMSSQVDLTQDEAKENDIELDVKQVLTEINDQQTNSYQNLDEIQPDTQSQQ PQLIVMQAANTIQNQIQKHKQIIIKNPQIGNTIQNQHHQKPQQIVEKEDIPQDNNKNKSL DRQMIENLKEIYSFYSKQAITTNKYNTFEKLQQLSNIMILQKFMFFCRDFELIDLEINND LILNLGGKIDDLTNKKKNQFKFNHKQNFILTKFNLVEVYKKNANSQMELTKENFQIVIIK IAQLIFPDQNELLYEYLGLNNPRLYRKKMQIIGKPFNSKEQGEVLTQEKLYERKIYLPPK PKLRVESVKKERLVIEQQFPKVNLTQRCKRNFKQMESALKDGNGINWSDLDDLQSHFDPK KFILEQDLSDKEDDYYLQEYSKHGQSLKKKIQDQMMNQKELQIKIPQISIYSNILSSNHK QDHKSNVMLTSGNTRPSNNKSFDLQDNQQRKQSVGQSPQNIEQTSLEKQNQIYRAFLNQQ SYRERMVNKKKLIVI >CAK93280 pep:novel supercontig:GCA_000165425.1:CT868671:553622:554332:1 gene:GSPATT00004149001 transcript:CAK93280 MQSILTQCECLDEEYKQLVMKIHDMLDNLSIVSLEFVNSKLEFLDKYSKTLKSSQIDARL HRNSQMRNLIEYIKMHKKTQVKSQAQSPPAKMAHHRPKYSFNEKAFSNLLYESMNQITQQ KTQDNEAEMQCSKSQALKQKSLNTLQILIKKQKSDQRKGNEDMFKLLQKCMNLIGGNKHL FDEHDELQQEYQRIVKNNKHISSKVNLEMKSSKIKQNISQLMASQESFKSYLNSII >CAK93281 pep:novel supercontig:GCA_000165425.1:CT868671:554415:556998:1 gene:GSPATT00004150001 transcript:CAK93281 MSNNQYNSKKLAPFKKTRDALKDELKILVQEYQQEEQLNDIYFDEIGRAEKEFEQLIKQI EVFNRNNHSMKNEKQTDQNQGFDETIEKNVIDTLHLFLLNTSKKEYLEAIQETEIAKKSK QVGNLVMNSDQPNPLVKEQDDQEIQEMKNENGYYVTLRQGEAQFEVRIPLHIKTFKELKS IVKSCVMAEEKEVFYTDYLGNLLQPEMNVINELYPPIYELLRNYQPTIGIQIVKQKKIKD DTKFTDADFGLDGLNDLMTKQLRQTKRAKKQINWSQYLDYLTSFKYLLESFLFLSLLILY VLIEVDEIKFVTNSQLLTTLNQQFSIQKSYINPISNISELILFTIPDDYHITPIHPLKSA LLVQTLVGIENFDNCHILNENQREIFLEKNQSCLKYDDILTDDLNNNFTQTQFNPQQYNP NFGGYVWEFNLSSKESFKESYDRLVEESWVQYNIKQSKFILNYYNSPSKRLIQVVITSLY LFNDNLLNYNSIKSEAFDLSEEPSAFIIYKNVIFYCAIILLISSFFDFFGLYLAGTKNHL IELYLSYNELLNKKKKMQAKKKEISEQDHRIMLQKELILSEYFIINLKVVFIVIRIPLIF DIIYIICQLGLVIKRTIDVQYGAALNLIDLQSSQFQDTTTLFGPLLLARIYSAVLLLFLM ISIVRFLGNWSPYLKCYGLVMIRFNKESWFLLLVLIYIISICAMSWSITLQGKLINHDNF FFTFIGLLRCTLKYGLHNDIDQQGFFNNYVKEISYSFDTRYLQYIIIICISLIMVPIFIS LMTQQVHNTKIEAKQKMLEMKKQVEE >CAK93282 pep:novel supercontig:GCA_000165425.1:CT868671:557029:558256:-1 gene:GSPATT00004151001 transcript:CAK93282 MMDEQGVFVRDQLVNDIKSIKKTLKSWTKVFTQIMELQVQNSKQQNQNTILIQQILQYFS KPNKKPQQMPISPIRRMGHKTEPDDFFLRKLEDTEIYNNDNLQNELNNPKKVNIFIFQMS IVNYQGTPFDSAYESINREMQQYYEPEIQVTEQSAEVFKNFNKIGQQGIKATLNMEGFSL PQSTKNNTDRKNQIQPCTLSSKQLQMQESKENMKNSKQLDMKKIKIKDQRLSTVVEEPSA YLNSQQNTKQVLLSIGGSQNIVQSCQNKNSIAGFEFQIPLQSTVSKTPNNNTKPQNYFAD NTRSGSKSLYQNNFPQNIQYINNSNNKQNPIKYEYLSSRAKSFSDQNSKRQPSKKCLDLE LIDKQYENSQEILKKTNTLRKT >CAK93283 pep:novel supercontig:GCA_000165425.1:CT868671:558289:560995:1 gene:GSPATT00004152001 transcript:CAK93283 MKNYASHPLQSSGKGSLQDTPYYMELKPKKSNSKIANTERTTAKNTKQDLLEYFKNERNT LAQVTNSIKTQLQQNRSIDNLISQKSDRLKTLNAQYQQLPLSLDQRINTDEGNNIKRQIL KGKNLSLATIQMESKQQKIPIIQPKVNQTPQNNHKVPKSTVDQYFQDIQIKSAKQYKNNH YLDYPQYFNIRANKNSLLQESQTLKQSIEYILTKNKQDAKMAVKRIDTTEPRSINQSIQQ RSDSIKKMNRAEDSNHFDSKKQLKIILFYKNQKYNYLFDYQNKTTDNLYNFLIQQIASIE KSFVKQGGGTGSTEEDHIQQEINKVCQFYCVQKNVPYDYYLSLSNLPLNVFQGITLQLQP LMAQSPSGKRVTLKDFNLVKCIGVGGFSRVYLVRKRDNGKFYALKLIDKKFIMENQKQII VQNERDIMVKMDNQFITPLHFAFETKYYIAFVLDYCSGGELFFHLRKLKRLSEQDAKYYF VEICIGMAYLHSQNIIYRDIKPENILLDLHGHLLLSDFGLSKPNMSPEEQAYSFCGSPEY MAPEMLLKTGHNYLVDCYCLGALLYELVTGLPPFYSHNTQEIYNSILTEQVQFPPYVQIS DELKDLIYSLLEKEPSQRIGQSQGVIEILTHPWFADADFEAIVNKKIKPPYKPQPLQYNF DEEEFNKGDTEFRKQYNINLQKEYTNDNQGNLILQNFYFSREDLPEKSELKSKRSNVINL SQLHLEGVPEDQNSPERVGIQAFTTDLRRVQKYQGKSEVQDVLKRNNQNNNKSTSLITSS KVAHAYSKTMQQQNSHPDLKTLKLMIDSTKIQLSSERNATLPDNQSSKFQNNRIKTEQIN QMLYPKTTTNYQFNKQPNLQKLFGSDKRKK >CAK93284 pep:novel supercontig:GCA_000165425.1:CT868671:561060:563082:1 gene:GSPATT00004153001 transcript:CAK93284 MIQVLDQNDREIYKYRLLQGENRFGSDPKQCKHLIRNVQGFLFSVALLKNDVYLTHFSSS DQVFKEATLQQDQKVEIPKNFIIEMVPIRNYYFQNIKFKLLNLVDNAYLNKQCIFQTTQV LEDFGGTMALDDILDCPQQIITKISATQQVSTTQILSSQDQDLSQSLKFDENDSSALSSL QELIQQYPRINQMIKSNSSTIDTSTQTENMELEPQQQKSRTLFSELFKFKKLTPQIQQTS QLSNPINNHQYQSTQIDQTILDSQIQNVNSDDIQNSQFLKKKAEQGGTIIDEDLEKAFSS EQNNRSIKQEESTFKRLNSTKSDNVQITCSRLENNSKIELNDLFGSLPELPNQQIQQQPK SIKKFNMTKSNILNNRFEDAFQDMYKSQDQKEQTPIKLRSQIQKLTSIIGKEGKKQSKSD LKKVALLKKITDEISDETSGEPVQQKYNIRHANSMELPANKLIQQNNSINNKQKENQRPF LKKNKIIRLIAFSGFQQHEIPKSNDLKLLGLEIVSNQLEKFDLLVLNNPPKRTFKFLSAL MLGAEIVTLDWLTTSLKEGKVIQHFQNYIPNSEEFLNSFGFSIQQILQSRDSYFETNENL VQIFDGKYFVKVDVTPSKAEIEYLIKLGGGQIQQKPDKNAIIISDIKIGNSMPSDYILDG CMFQQ >CAK93285 pep:novel supercontig:GCA_000165425.1:CT868671:563235:563566:-1 gene:GSPATT00004154001 transcript:CAK93285 MSTNQSINTKELSDEEFMKLHAKQFYRTHGQLIGSKPSYIPIPKEYNHNGKFTDHLLMAT MYRNNSLNTTCDKERWINGSKDWMEHLQ >CAK93286 pep:novel supercontig:GCA_000165425.1:CT868671:563968:565747:1 gene:GSPATT00004155001 transcript:CAK93286 MNLEEYLSTRMQEMSKFYNQLNIPKYDDIKYNVQVKEQQIENRSQKHFEYKQETSQNSFI NEFIEEPQHQQNKYQDIFDEIPIKGAQKPFEQLLQDQGIVQQEKKNKKEFLKKGTRQFLS NAQTRSELSKREHEEILKMNNENAVEQQQQKKLPVHFLQKGKGKQCTQKANDSILDHTKN DESIIQQHDDKNDLKKEKYLLEQQQKELQRMKFQQQKQLEQEKLEFEKWKEEEKKKIERI TKKHYTIQQQRQQQLTTKQLEELDFLRKRVAQQNEEIKELKFQLEKSQQQLQQINNSQNI STHNINKLIAQSSPPQSNYSTKASNFSENKTTSYAMMHNKQGCINEEEEYESQNADDQNS YQNGDSYEQEKIKIDLIQLKNQPSISIDMINDLIDESEFEFQNNKYYGQYLEYLKHDDKV IQQNQSADGKISRTYQSGKKEVVFNNGVKREVFSNGFTIVHFTNNDKKQTLPDGTIVYYF GQAKTTQITIQNGPQIYRFQNNQIEIHFQNGEKQIRFSDGTKKYIFANGGEETLFSDGIY QKIDVNKVKYIEYPDGKVEITYPDGRIENQTPKKKSN >CAK93287 pep:novel supercontig:GCA_000165425.1:CT868671:565805:567709:1 gene:GSPATT00004156001 transcript:CAK93287 MKQGSVKRKFESFGFCSSNPYDPRAQKELVLHISNQVQKGNDFTIKMYSWMKISILKQKI HKTTKLDQADFRILYKNQELSLNNEDLEDYGIKNHVTLKLMARKQGQQLYFINSIHNILE ESKKTQEQFQNAKWAVEGAFMKGITPKLTDFGTQGTYILEDLNHKPVAIFKPYDEEAFAP NNPRGMRAKMNSPGLRQGILSGEGVDREVAAYLIDQLSGHYHNVPITDYVQICHPAFHEA EEYKQFQYEKIPLKEGSFQLYIKHDDNVGNFGSGLYPSIEARKIAILDIRILNCDRNEEN ILVRKKKVNQPVGQTRQAYDYFLIPIDHGYTFPDSFKICRDEVVWYHWGQMAQSFTQEEK SFIEKIDPIKDVEILREKVKLREICLRNARISTTLLKLGAQADLTIHDISEILYREDPDE LSPIEIAISKAEYNYDNNIRVPKGYSNFKEKIFSNNLIQKSLEFDSSTLQNQERPKLGQT LIKLEVIKDEDENQISNKDMLIKETKKIQTSDKKLARVGSQQEIKRYPTPIEKVWNEDFF SHVTYFLQQIIEGKIQEKSQSPRKYRARYISEEVK >CAK93288 pep:novel supercontig:GCA_000165425.1:CT868671:567893:568649:-1 gene:GSPATT00004157001 transcript:CAK93288 MKQNKQNIHKVIRKRPWTDEEDFRVIELVQEFGPQKWTQIAQQLDGRIGKQCRERWHNHL NPLIKKTPWEEDEEWVLFLYHKALSNKWAEIAKHVQGRTDNSIKNHWNSGMKKRMPEFQM KLSQIKQKFKREGISILNDYDPLQKKALEIILMNKQYKSIISESSENEDVSYPTKQSKKN RVHLELIELQRDQQVKGQNDEMIEQNYISHDEQEQSNR >CAK93289 pep:novel supercontig:GCA_000165425.1:CT868671:568927:571730:1 gene:GSPATT00004158001 transcript:CAK93289 MSEDILSKSNMGEFGEDIDQQLYEKCEQFCQKLRRTNQQLYKQFSNIVNQNSKAAKEQLG QMLSEEPEILKEVNTLLEEDVKFKTQKEKLTEFITNESNKPKALETILKQIQNGHLDGHQ NKDHILDLFSNEPQLQQQFLQSFKKKHDQIQPKKKVEENRNYFQKLLDKNGVVDMNELLF WDKFRMRVIQQFPNDFQQFMNEFMKIIHLYSECIITQMEVMELINQQEWLETEYIDEIRS MLSTRVIARRIQTPLFKPLKDTDFAQVDRVTRSYVRMPIGYAKANNNQEILNHSWVSVPF GSEDQSFLIMRKNTFEEQLFKSEDERFEFDVNIQQIKRTINLLQEIIDGNKEDPILIAKV IDMRILQQLYRNQTQDQNEVLQIFQSKPTESAKILIKRVKQKLNELIQARNNKAKQVWEN VSLNNFHRSLDHRSFYFKKNDKLVINGQRFAREIEEYAKNVLIKHLGSKEHVFIKRKQDQ IQYTFENSFLNSLAQMTNIRPTLPKQMPLISSTKDNIRFSPLISLWMGNEQILQECGQFV IHYLQIMGGNNSLDKRNGTILMIKLINHFFQVPMKPMQISQLTLIDTKLKNEIQELEIYD IHYNPEDASISIPAIENESPGLFLNLQPKVKLTTYVTQNLYILLRFLHTIYQRLEMAYVI SQQNKLGQDKRYNLFKSALFLSLKAKDFKYEDHLRSLFGKHGFIFSTLEKVFHDAAKQLA VCASDVVTMSYFEKSVSVEGDLDKYFQVVSSIVNGEGIKVSDLEASVFCKFQELPEEEQL LKLHQAFSRHKPEKKPIYRIACWHKACFISILPAYGGCNQDICKSCKQPFLMRNMKRGER RMMIINSIEWIVDEFSHFKPIINQGEDYLKCV >CAK93290 pep:novel supercontig:GCA_000165425.1:CT868671:572076:572751:1 gene:GSPATT00004159001 transcript:CAK93290 MKELNEGPVIVIDGQTNVDLESEIKEIQEEKPKNHPQIPRKPGKYILTKGDKVTVKFAKG LILSNLIGFVFLFVGCAIIYTTLKLNWYDEMLVSFQIFIFSDVLLIGEKILFFAALINKK KIVKLCPFLNVLSLLLKIVASGLIVHMIPCALAVSLALMLIQMPHNIMIKVITKRWRSIP ERKWKKQLVLVRKPIPQK >CAK93291 pep:novel supercontig:GCA_000165425.1:CT868671:573061:574775:1 gene:GSPATT00004160001 transcript:CAK93291 MIDFIKETGDILITKQMSMSSFQQNSESSPKNLLGTHKQFRFPTISNALLKSAIEANYLE ADQKNYIIRNPNQLTVFRMHFDTNSPRTQTAMEMLKLHVEDLQVKDYEEFYQKRQDPLQN LISYLQYVTNKYKILNDILKKRNQIKHIQSQVSKQMNSKNIKFKDESISLEQNETKKYIY EEGHSTILSATQKKELFDKKLIKASENYNKFLNLVHDQINKQDEYYSKTAPEMYQKAERL KQKQLELVKKKLKNNHLKVDQICQKAKQEEQTQYLEHQKMVQELEKDHNLHQERKQKQLQ QAQEELLEQLRKKEEKERDRQLKRQMQMNLEQSMIDQVMDSIKKKSDYMSDYLHKKQEED KKRHQQNLKIFEKKQKKLQESYMQKENQNVQNYFSKSTQRQIQINKHEISQLKQQKSLSL KNSRIMKRIEQFQESLDQKRFEELNSKLQETDVKLEGGLKRHQSLLDLRKNNLSQKNEHR FKLAKQKQMENMLEKIHKQNKILQKNLEITQKNEKLKQEQEFLEKYKKEQLQDHVIQRNI LTQKLSS >CAK93292 pep:novel supercontig:GCA_000165425.1:CT868671:574888:575625:1 gene:GSPATT00004161001 transcript:CAK93292 MNEETQTLLKKILEMQLECTQLLTNILFKKNPVSNDGEQLNKKSEQLEEAKIQLDGPKRK SKKSAKPISYNDTLVQSEEDIQLQQLFQMTPKGQLQPEQCYKQLNMIVQKFKQLNSLANK SLQLDFEYIKAYHSKNKFRYLRAITKLFVKSIKNLQQIQSKDNQSEIFKCPSCDFSGISS VFFPHILKKHCQNYHIFCCFLCSKDYQSHTLLLAHLKKYHNNPKKNQKQETNSSLQQIDD VSISL >CAK93293 pep:novel supercontig:GCA_000165425.1:CT868671:575662:576537:1 gene:GSPATT00004162001 transcript:CAK93293 MNQLQCPLGCGRTFDDNKRMEDHIKTRNFLQQKANPILQENTNIKITIITQQSLVNSIVI FNNLIINVGIRYTTTITPKTQLKIQLQFKKNTPIRLSSNVRKIDDVQKVDHQKDKEQNDN KETQQTIKIIEPSPVISANDLMEARKVITKQFICTNITNLSLIHKNLLIFESTTEVPFSE LISALKSLSLSQNNLINVIGISVLQNIVDLNVNNNKITTLQPLGDCKRLQRLYANHNLIN SIELGLMIHLKIFQIGDNQLLTSNY >CAK93294 pep:novel supercontig:GCA_000165425.1:CT868671:576555:577390:1 gene:GSPATT00004163001 transcript:CAK93294 MPSLKDLVIENNPCTLKYAYKYDILWTVFIEKLDNQIITQQDYNLAQTFKDRKQESQMSQ SMNFSKGIRPQTASMNPNNTLQQDSQNDYSESNIILEQQNQELEEEIEELYEINSNNREK IDGLEKQNVEYRNIADQVPFLEEQLQDMRSKISAYESLIIFKDSPGEELRKHINALTQEL QRLKCNDSNFENYEAVDNQINQSKFQNTQTTFIQQLPQAESDNENKEDDDKYFRDDENFS QFPGQELMELIMRNSRTLSKLKNEFKEIN >CAK93295 pep:novel supercontig:GCA_000165425.1:CT868671:577440:579446:1 gene:GSPATT00004164001 transcript:CAK93295 MSEYFRKYIKKENYGNHYTNIKYKTSFRNCILEAFKRRNWRETDGDDWDIMWAEKEWIHE VMDHIHLQPHQKINHFRNHYELTRKDLMIKNLKKQKRALEKEGKIDEANAYNFFPLTYHL PSEYPIFNEEFKKQGDNKTAWIMKPIGKSQGRGIFLFNKISQISQWKNQVKFNPENPSAE SYIVQRYIADPLLIGGKKFDTRIYLLCTSYSPLTLYLYRTGFARFTHHRYDNEDITNAYV HLTNVAIQKNSENYDEKLGGKWDLQKLKLFLMTKYGQDKVQECFYNVQQLMIKSLQAVQK IIINDKHCFELYGFDILFDSQLKPWLLEVNASPSMTSNTPIDFELKCGLLDDVFTIIDLE RILTGNEEQIGGFDLIYKGGPIKNSYIASSISFLGTLNNRKLQLKKLAKACALKMAHGSD QQQLKSSEGMKKDKEEKEKEKQMRSSSKSSQIAQNSNNSNKVVIQQKVVTNIKRNSTDLP ILMNKQNAIRKLALQNSQQKQKIQAPQQKGEYQMNNQNKVISQLNNSSFSPNIKMESTSS HQNNQLPKDSFRTLPKIVKDESYISDV >CAK93296 pep:novel supercontig:GCA_000165425.1:CT868671:579526:580502:1 gene:GSPATT00004165001 transcript:CAK93296 MQVLPPAERVLHFQETKSWFNSRHPSKVKEKYLKTEKELFDDKIVTETFHLIDRDHSNTI EMDELYSMLKKNNYPVNLRLLKEFFEMTDRDGNKCIDLDEFKQVIKDERTSSTFRTLMRK MREIGDENYYSTDFVNLLRYLSYCANRTDLIWQIKNTRLSFEQRSVLVSELFKVNQQFTK VSNPKEEQTSTLRPFMKKKGQSEIKYLTPIKKKPHLLTNMSTKTRISTSNQNSILSFDIK SISSPRLSPLKTDRVLFKKKVSITLTPSTKSTLILTKSVKKIKNNKF >CAK93297 pep:novel supercontig:GCA_000165425.1:CT868671:580587:581794:1 gene:GSPATT00004166001 transcript:CAK93297 MQHFVTGIFQSFSMCGLRNKLVHMLAFRPPQATYELRLREQKKQRVNKKQRYYSFDDSGY FNLQNNSEQQLTLTNDQQYLHRRRKTVRNPELYPEYDFIKIPNKKIHLDEEIVVRSDTCK VTSYFLNQNNNQQIASVHLDRNSDYVILFSHGNACDLGTMIDKLIKLVSYTKTNVFAYEY SGYGQSEGKINDLSIIRNIQVAYNFLIHQLGYKPTQIIVYGYSIGSGPSVTLSSNPQFPI GGLIIESGFSSGLRVISNKIEDTPYYDIFPNIDRIQFIRCPIFIMHGANDKIISDDHAKQ LAQKSSNLYELWIPDNVGHSGIDTDIQYRKSYFQKLKEFIDYIALQNDNIPDLINKNTAK SHEVTQCKHYYQTKLF >CAK93298 pep:novel supercontig:GCA_000165425.1:CT868671:581863:583252:1 gene:GSPATT00004167001 transcript:CAK93298 MQTSQFISRLQEYQLIQNVQQQVQRIQKKYPLISNINEFQIDLVGLFSIQSSESLKKQLI TLLHQYKLPIQSLDFNQFTRKENQIIIISNNAEIKLAINGRFIYNHLEHNTSYQIFPNKC IYHGQVNNKKPNGEGSFRWDNGEYYIGQWSNAQKHGFGQWKGTQDDYYIGQWVNGQQDGL GEHKWNGDVYFGQWKNSVKNGYGVEQFQNGDKYIGNYYFGKPQGQGEYRWVDGSIYQGQF LEGMRHGYGRYVNKNGIVYEGEYQNDVKHGIGKITHLDGNHYQGSNANDSRNAQGVREYS NDQIDDSNQKQNKFLSHNSKSQEKPKQEFTQRENRSNSSSQNYQSNIYSTYLNTYQNANL SLQTNKLRQLQLPGYLKQNSKVVSQSKRTYSMNQKRITQRCQVKQNYKSASIDLEDIYNG DAYRTIKKKQTSFRDNSNLYLINNNKKSIKNVFVY >CAK93299 pep:novel supercontig:GCA_000165425.1:CT868671:583631:584771:1 gene:GSPATT00004168001 transcript:CAK93299 MCIDDIYLTKPKTKFTIESGQNNDFTFTCASMQGWRKTMEDAIIKEKLSTGEYLFGILDG HGGFEVSSVISKYLPRFLESNIKFRNKQYEESLTESFIDIDKWLITSEGLNALVEERFQM SVEDVIKNIKNQKKQFYKQPFDLSELSKLAPKKIVELIGTSIIDESGTTANIVLITKDSI YCANIGDSRSVGIQKGKPIIMSFDHKPTHAKERSRICNAGGFVADGRVCGALSLSRAFGD YQYKDDMVIAVPEIRVFKNVQMIFMACDGIWEGLNDYGENLTQKIFKNNKERSEEKLKSI MNQILAPSMTDQTVWGLDNMSCILIEFKNQQSQNNKKSQKPLKIKKTIKKQKK >CAK93300 pep:novel supercontig:GCA_000165425.1:CT868671:584837:585728:-1 gene:GSPATT00004169001 transcript:CAK93300 MINHQPLQKRTPLFNVRKATLIKDEVDNYKVYRFELFSMKSNYQFQVENISLHKNHASAI NFQQNDIVQDLNQSCVCEECGGRIAKQRIMMIDQSDSKQILASQKQSYSPPSQNRHSVID SSLLGSQQFTDRQMMFSLKKSVRRSIKPVLQVIQAQNMMKKMVNDNQIQARRSLQTIEKS EPLFEKVQIKLKSQFRRSINNSPIQIRTRTDASTYLFPILAKPMTQQKQLVNSKSDFQIV IKKQPQLLKSEKLNQVKTLQQRLLLTYLKKSTSQQNQEKVNILKSKLKN >CAK93301 pep:novel supercontig:GCA_000165425.1:CT868671:586089:586391:-1 gene:GSPATT00004170001 transcript:CAK93301 MRYLESLIFRQTEQQANALNREFKTSLHKIKQELKEQLPEEITQNPHLQLQLKDYRKSNS NQQANTIYGSDTLVSLIQERNRLKESKMQKDRLNDFTRKL >CAK93302 pep:novel supercontig:GCA_000165425.1:CT868671:586761:587100:-1 gene:GSPATT00004171001 transcript:CAK93302 MSYQIASVQDNLIALVEIKMYIFPFNQILYVMRSGQDRLMFRLNNKEKEYINELNKESKQ KNQLQLKLKQIINNFNIFLLNQKNINLRLSFSVVNNLHTKQ >CAK93303 pep:novel supercontig:GCA_000165425.1:CT868671:587385:588864:-1 gene:GSPATT00004172001 transcript:CAK93303 MIKQLISSIIECEKALENIRIELNQQSLFSLKPIMERFDQQNKGHITSYDILQFCQDNDI QCQHYDAFLCVKYFDVNKDGRVTFEDFTIQMLSKTNREIRFVAAVREPYFIEKQMCLPEI IETGLSYFFGELLIYVREQLEIKMLLEKENFNYFKLFQQLDKSNNGIIHMNDFQKYFNLS QEDTNYFFMMGNLNETIDQINLLDIFVITQLKSENINQERQQSPLKQNKFQQSMDENDNS FQSPEQRSTVKYKKAQLKLLNSEQTHSNASSIFVDELTKKLQDFTFTPKSNDKFQIELIN FDKVVYQQLYQLLLKCKLLSKTQLKLIQRSDFCCKAIFKLFDYENRDFITEQDFYSGFRR QRMQIKGLARDLILSYGQDSKINYQQFKRLIYIDQQMDSSESQQTNSQLLSNVTIDYIRQ LFQEQIDIEQFKKAYIQNILKKVKTTDDSIVITKQVQDLFNKYNAFPNDRELAILTNQLQ ILQF >CAK93304 pep:novel supercontig:GCA_000165425.1:CT868671:588897:590048:-1 gene:GSPATT00004173001 transcript:CAK93304 MDNSQYLQSLLDNIDNDQGYHKIREYGRTYGFHNIYYRRLIWTKLLGIDQQLPYQEKEKC LQHDQLLKDVNRSLNTITQVKNFKEIDQLRKCLMDVLDTIFSHYPNYSYYQGYHDVVSVL ILVLGVEQGYRASVYAAQHFFKDYLDQELSTTITPQYQFIRILLLRFSKDTNNQKLNGIM TIIEHPTCVIPWILTWFSHSLENINDISRVWDFLFCSSQNTILYICAAFIAIHHETLEVT DEDDLVGEFQDFFQNLNNKERIQDIRLESVLQLAYMLEDKYKFEPICQQENIQFSQNSIV YQHNFKSQLSYYNSSDKLYKSIPKKVINYIHDKSDIILNIGGAALTYLIQYYIVKI >CAK93305 pep:novel supercontig:GCA_000165425.1:CT868671:590235:594522:1 gene:GSPATT00004174001 transcript:CAK93305 MKNQQLLFTTKWVQDKEAKCCKKCSSQFKAIFRRKHHCRNCGGVFCDRYRFCHRTQNSCS NFFIDKTNFKNYQEIKKNKVRLCQDCFNDISKKLRENGEIVENKDTLGLPDQQQMRRHSK SFNVVNEKLPESAKESTPPALQLIPQTIPDQKPLLPVLKVHPPESITIGDDIERKQKKLE QKMISIIEDFVERRTIAHGINENWQGVMTKFITNSIEDFKYHQIDNQSKVKNKVRYIKIK IIPFINYAATKYLRGVTIRKNIAHKRMKTHHKSPLFLLLSGSLDLDIQNFDNVVKNQNKY LQQALEQIELLNPNIILVEKSINTILLNELVKKDITVSIQCRYKQLLQVEQAVSGKIQKA VDVFNKCCDKDCLGRADTASYVCCDPETIKQNLNLYDKLDDKDKTLLEAHLEKKRGRDKT LLFITTPQSSNSFQMTLSGPKINELINVKQCFQELFCICHQMSLEFEMILLDYKIKQDLE FKYKQIDNENSPMMTCGEITDFSVQLMSESENSQIKLCKLRFYPAVISKIHDIQKKNNDE SLENYILQNIKNINNKKIPYFCQLCDCQKEKVCFYENSGLHQEDVSLGKYISDKALIRES KCGHCQTKKINHVSIRYGPGAFVRCVVEKKKQQNLQVKANTILSKELKKATSFLYPQSLN DETATQADTVIVDSEPKIQQQVELSIITYIKCLNANCDRQLTKSFKLEKNHLEFSFYRLV QYLIKNSLRLKKTNKKDWLVFEGEVKDQGDKQSDGCNHIQTERVFECDEHQIKLFTNLFD IYRIKHFQFDCQEVKQHIEKSDKEEIDKRKEYLICYLQKLFLSIQNGDRSVRSSYMTSST QSSFTIFEKNSDTSLGIIGSFIERLSQYQFPDFITLEQESMQVYQRLFQIELAEQQRIAA KLKSESDIQRQKTSMFSLVSSESGPSMKYSMRKTQKESSTLIASQQISNENQFPTQNQSP IQYTQSPESPTQENLNQFEEQSEAGTEKTEYELSFQNSFRFVFDKWPRQSINSDQTNLQF KSFFEFIPIYNQNDIGLIAAALNHPRYYDLYEYKYRFTELWEKIENKSLQKEVEIEAAKI LKEQSKFAIVEEFQQKITKSTSQIKKTSSNNLQDIEEEEQTMGQPSAETPKKPSKSISIQ LYYPRQFECVRMLNGIKVKQFIKSIASCSNWNSAGGKSGSTFFKSSDNLFIFKAVKESEF NMFESFAPKYFEHLYSNIFYQKPSVLNKIYGMFTIKNSKGTTYYIAMENLFWGLEGELTV YDLKGSIAKRWNRKNLKTLLDTNYIIDRNGEPLPIQEQDYHFLEKALESDSQFLLDVAVV DYSLLLIIDKQNNQIKLSIIDYLQCYDFMKKMETTLKTAMNLGIDPTIIKPAEYQVRFIK AMQKYFMGIYSSL >CAK93306 pep:novel supercontig:GCA_000165425.1:CT868671:594758:595629:-1 gene:GSPATT00004175001 transcript:CAK93306 MDITSRFMSMSRAKIISKNQTEMPIDGFNKSSQHIHNMTTTILQFMNIQKDKYTAATHLS GHPFSDKERDEFDHQVSSQIANCSEKIKELQQLQSNSQTKTEYVHKEVIVSCLIAKLNQI ILFFREFKYYRQKQKQQISQYTKRLNKKKDKKYMIEFKTKSILPLSNSQLINHSKLVNSQ IQMQYSNDINEIISIKEQISDICLYIQNMQNLIVYQEMKTEEILLNATESFKHIEAANNH LFSSVILNEKLGQQIGSFFFFMGIILLIYDFIHA >CAK93307 pep:novel supercontig:GCA_000165425.1:CT868671:596013:598946:-1 gene:GSPATT00004176001 transcript:CAK93307 MDNLLQKLNIQQQSENNSDRNSGNEKRKFGGTNRYKKKHKRHSRVFDDDYLQKEKRVFKQ SLQRLYEESFIKNIIENSYIKKLGSLSTYQVQVLDDLQFGTDKQIDDIDNIFYSFFSSYL DRISVIYPQSNFKIFWNTLQVSTFILIFLWLPYKLAFETNYISQFYDEEKKMSIEITLFV ICAFDIFICLNEAYIHKGIIINQRYHIIMNYLKTTALADMISIFALLYELIIVHQYENQF IALQISLCLIFGLIKTFKMKQIFNQIQEYFNIKGVLKDCLQMTQIICGLMYFIHLVACLW HGLGQPTSKYTWLDKNNLRGANDSIRYAESLHWSAVYLTNVGSTEIKIANHDEKYFAAAI SFLSLFIMGIIVVSLGYFFHKSQRNEIYSESMKLMNNFMSMNKIDFNLQVRIRNYLDYIC KAEQSMIDENVSNIVNKLSERLQAELKYQLRASILESCDFIKKNFSVKFQQALVPFMEEV NTIPEERIVEMNNIDDCSIYIINKGEMEVVFEAKNKMNVKFKRNNIKVLEKGEYFGFYSF ITNLPRTATVVSKGFGKLFKISRDNFINLLDQFPDEREIFYMIKDKVKINQDLSDLQIKC YGCKANSHMVNLCPILHFNPNQDRVIKQSLYPHQQERDDPQQYNNYSLIFLDQGSPNSLM LCYTKEYQMQLIQDETQEGTQATHVLLQDDVSDTDSRTYSQMRTRSVSRVNTHSILSMQQ QSSNYKINQPHMTQQMMAQQANLLPQVDEDEVEMIEDQDQEQDQGVQPNFDEQMNNRKEF NRKNDPKHTVETAGFGNKFNKPSNVEQDMDNSIESEDEEEYQEESSGQPQQQMGKSISKG SQSPQNSGTNPRNIQQMGQKVTFINQSTNQQQQSGANPNVRPRTSIKRGTTKTNNTAIIF DNVLAALQENQLLTIEFVNDLKYIAYHNFSISWGF >CAK93308 pep:novel supercontig:GCA_000165425.1:CT868671:599033:600773:-1 gene:GSPATT00004177001 transcript:CAK93308 MISGFQTGRNQKIQLVENEKCNKIVIQFEDLSEEEEVYKSDNKPTKNDLNLLNSLSKEAI KINNQLYHPSKFAEQDFKFMSKNPRDSLSEKKISYQTVIMADEIQKEIITPIKKKEPNTN NEIFQMNTILLSKISKLPKCLIGEKFYELSKQFMKNSQLKFSSFSRLQKIPQEFDWKLIK EYFKQYDAEKDWLYEQAKLVFWKLQSKNNYTDQKLILELEYRYFIQYRLQSRTIIQEIWT NDQSLNQHMILLVVAIEQYQGKRILELSDGWYSIFFICEQSYEQIYNKIKIGQKLHLTNL KEYPIIFNTSNVKIITQYPFTKMMVTTKINSIKKAQLNTKLGRQQQKFFLRSLKSLRNGT IPCIDVFIVSKSYLININQKNQRKAIQFNSETENEEEIQKLKNSNLCFWITVMDSLNYFD ERKSKITELKEILVYINDPLQYEMISVGQRVQIINAIQFNSDISVKLTKSSGILFELQQY QDYFNKLQILSNRHEIQIKVNNHIKLEQMDVIEGSNDQHQSVKIEIQNSFFGEILKDQQM KILKVTQLNKINNERFQTTQMSKLINIINYNK >CAK93309 pep:novel supercontig:GCA_000165425.1:CT868671:600826:601551:-1 gene:GSPATT00004178001 transcript:CAK93309 MISKDDYTCSICLGVFVDPCKLKCNHAFCNACLLDLIDFNNIKYKCPMCRLEFVNKDNPL SIDEDLQNIVKENFPNQYQQRLEEIKNIQSLLPNELKIEVNYGNYFKSEDDNDDGLQYQW TTHVSLDYTRKSDKIALKDLDLNSLIKNVTFQLDETFFPDVITVRQPPYLLTRWGYDVFT IPIKIKFKKEHKIQPIEFEHHLVFEGDGIEKRQIVKIDISNLTQFQELKQYSQQQQIQQQ Q >CAK93310 pep:novel supercontig:GCA_000165425.1:CT868671:602446:603875:1 gene:GSPATT00004179001 transcript:CAK93310 MNESNSLLRSKQESLFEKICQQELIKEILPIYQHKAKEFELKEIRVYDNAIVIESLYFEL SKCYHEIKFTKTKNCDGLYVIILQYGQGREFLIANNEELMKRIQVLLKRFCINKNFFGKY QLSQRNYLPISQAIKNNSEEHCTQVYEKKLLKDPKEFQIFHNSITIMHSLKTKPGSPKLY EDNQKYYILGEKMKLQSLESLLLNGFDFREIPFVSIIYMILQNLQKYQQRNIYHGNINLS SVFINIENETLEVVILFPRYLENSNYTIENDLYNLGILIYQITFYTITNRIKQYVDLDLI NRIHNQMADQNYKQNQYQFLYRVSQLDLLKQLLSPNITLKRAIKHQWFVTIQQNIKHQQT HKQLNPIFLPTIVEINDSLMISSSLNDGKVANFNQQIYQDQDPEEYQTIKCQIVNTIRMI PSKMKHDEASQYLMKSKTVPNSQVKRASLPEITKQTTVK >CAK93311 pep:novel supercontig:GCA_000165425.1:CT868671:603967:606535:1 gene:GSPATT00004180001 transcript:CAK93311 MQNQIRSKRRCKTMKNVNHDQLIDSINTPRDQDITLINFVEIKRFCDLLQIQRQKDKALK QQQKREHLRNLLAKKFRLIYNQFWKDMMKDQKRNLFFLYFQQILNSTRVTHPEGFGILSS LLPIFKRHIYLPLRIAFMDKSSQGVYELDLINDIIYCIEYLSFYIVTDILCILRSCYYNR QNVLIENQWFIFLNYLKGWLIPDIITLIPFDSIYEYLVLKMIVFTFNIINSLDFSEFFVS IVYLKYQHFIKTKIFIFSNWENVFNIFNSYQLNIFRIIVKFIYGLHLFSCLWYLASNIND EQYQEDQDYQSIMNQNSEYIRSLFWALQTFSTIGYGNDAAKNYSQYIVAILWMFLGASFY CVILLNLSEWLKKLNSESVYVEMQNTLKLFFKKIEVIKEVQTKVQLYLALNIKQNQAWSL SLQEWFQNLPITRQKEITLYVSYIEMMQIHFFRLNLNFSVSILPKMMLMKTQQNCKIWIK DEVVNEIYFLIRGKLQYRTQFGKILLQIEQGSVFGEQEYFKKLKFSKQHTRKNYAVAIEL CEYIILNADYFFQQMSSFPALRQYLGIVAHNREQRMKAQIIYNRFEREREIINTLKLEKI KETCNIDVTRYKGMIKPLKSIIYDTQHSRTQQVLEQLIHNRRNKIDKLMQQFKKVVQMII FANKLIEKQALNVSKVHPLMIFHQQQTQTTLKTLSNESRIFVSQKQQMINQDQKVQQNIV IKMKQFTNVSFRKRRQQISNKIWIHNQSKKYVLQQMFRVKCPKQQIEKTFSFQGNTLESD DYSHYERQLRIFRNNFQDLKNMKKYIKQYKELLKKQIGDLENELLNVSTQIEKLELKE >CAK93312 pep:novel supercontig:GCA_000165425.1:CT868671:606685:607887:-1 gene:GSPATT00004181001 transcript:CAK93312 MNRNIPTGNKICATKEIFQNQVILNQHLLTMRPQINTSAPKQYDFLKNKKIKEAIKSQKQ QEIERENQNLVSKINNIVKQSQNNSISTLPINKSSTLNFVPQKSLNKDHRKKELVKIVME NQQLLKRIQDQKSQYNVKDWNEERKWVEQHIANISEYPYKNFKPTKTLVQYWTNSRISES QLQKRENCISKRLDPLGESKSQQTKKRTRQDNNIESRQLLFHQDFQYRQSLFESDFQAYE PYEDKVTKIVNEMIEKPQHSQEKVQNKEQTNEQQEKSLQEQDQQIQSENFESNQQKNEQV ENKQQEQLNQETINKDHSQNIQQNSQRKSIDDTNQQENQPLNQIHQNNQEIQTIDFEQQN DERKDQQDVQEIQE >CAK93313 pep:novel supercontig:GCA_000165425.1:CT868671:608293:610334:1 gene:GSPATT00004182001 transcript:CAK93313 MIKKFSLSFFSSELEYSFKKWVIEQNQFIYETTLATITIYLIINLINYNNDVIYIITTST SLCIELTILFFYQKYPLHREFIQSLNLIIFAITIDIYMIYHQIQDTAIEMGIMKYMLYLQ GNRFRWQTLIFLLGFGAEVSISQMDVVQQIAHGLFRMFIIFFRYQVEMRRRQYYLAQRSQ LQYENLIEEQLPTWVVLIKYDKQQGQIRIDKINRIMRETFNIVTDQKFREFLRDSNIQPL EEPQKKQFNFEELLLKELVYKQETNQISKFLGYLINNEKKWQFQITKIYLNSIEPTILLL FIEQGGNLYEFYSHQIKWRDQQLVNQSKQFLNYIKDQIGILKFFKQQSRVQELFQISNQI SNSYILFNQSLNIYNITQITYGKLKYKINEFQLIDLIYQLKEDLKFTNNQLKSNILMKTD RSKMISIILSISEFIKIMLAIITNDEKQLYECHPSGYPIQIRFKRIKHQPGCLKITMKHQ NLKIPHQIQEALLKAASYTDHKKRNWGVNHYYENIQNLSEQIHNLNMKAQKVTKSQISLL DLDLASYQQAKEVNLKGSTEPFSTLGLPLAQYLVCQLGPNNQINFKDTQQQKMGDSLSFR NSTPQTKISFQIYEDLNEFIYQLNEKESNPYLDCYISNEIQQSTFKTFHSLSPSHQHQRG SLQSFINTKLN >CAK93314 pep:novel supercontig:GCA_000165425.1:CT868671:610350:610790:1 gene:GSPATT00004183001 transcript:CAK93314 MLIYQILIINSIIFCSQSVQIPIEDVEFITHKESGFKLVNSKILINRADNIKGVIDDDGV NFLHFEIYDVKTEQSKKKIAGILEQFWNDAKMVPLRMRNQLEYMLQLDLIILVIQLLGNQ KMLKQKQMNLRITHGRYQYTQRRVQK >CAK93315 pep:novel supercontig:GCA_000165425.1:CT868671:610862:611239:-1 gene:GSPATT00004184001 transcript:CAK93315 MPHYPEDIEYSDKYQDDYYEYRHVILPKHIFKKITKGKLLSEMEWRNLGVQQSRGWVHYE CHRPEPHILLFRRPKGTDPNSGLPPQGFQAPY >CAK93316 pep:novel supercontig:GCA_000165425.1:CT868671:611252:612298:-1 gene:GSPATT00004185001 transcript:CAK93316 MLSTQVFNSFAQRQSNGIIKLLAYGVFFGGAYGCAWHQWRGAQQKQLYEKVENEITEWKP ITINGLNANRYPWARNIKDWEYKLVKLYGYFRDERFFVRREREGRDGFLVFAPFVTALQF NDTEQDPEQTTKSQVMVNLGWVPKDNISDIQMGQEPIGTTTFENVPHNEDDDQLTGFNRN VANMEEDYQMPFVEFVGMVRKGEEEDILKGRRNWPREGVYNYIDLWFMSRLYRSFNLTDS SSGYIERLVQEYDEESANLYPIPATKDNFDKPLPTPQTHQAYSLFFGLSSIMSIALLAIR R >CAK93317 pep:novel supercontig:GCA_000165425.1:CT868671:612314:613789:1 gene:GSPATT00004186001 transcript:CAK93317 MSICDYHPEQRITVLCLYNACTEDRLGCQKCLLSLHKSHIKSCVLISEIQKKQHCVELFA NTQNEQQINKFSEDELIHKDKLNSISNQLQQSLKKYLKQIKLNLLEQESKQKTIQMKHNK EILNSYFNSYEFEKLKEQLTKFKAGQSTEEQINDFISQIIKDRQNLQNVCQNQLTQGSKQ SGLPQEFKDMVVTEVCNSLESLKNFMRKDDSIFFYKSQLSQEEDANIQETIKGKQFIFND QDDVQLIYGSCTLSKGIYEFQIAIEYIQNEQSKQEEQQEGLASIVSNSPQLYNPYSQHTI GGHISNSGIFAQLEYQHLHRVYIGVVEDLVKDQMATYSIMYQTQQCCAVDIVRGAAIGSF HMKQQLGEKIEPIQTLFHKNMKKGLVLAFKINLDENKLILTDSENKTRYEGQLYNMTGDN IKPYILIYKSNVKVTIN >CAK93318 pep:novel supercontig:GCA_000165425.1:CT868671:614112:615861:1 gene:GSPATT00004187001 transcript:CAK93318 MNSIILILSDRDFYNITQATLTPRLQEACRREGIHPNELFRKTREQVVQLIKQRDTVGAQ LTDDMVTEIEKHLEDKRKRRINIVRSQRQRILQEDNNVSRNSNHISNLNLSTMSFADKQQ KMLENIKRRQQMEIEKMVEQEQLKERKSQIALQKMLKQQEKEKQFQDELQKKRYQNEQLR QKQELERKKKEQKEKELLDQKAKENDLKEQKRRQKELESMMKQKQEAEQREWERRKKQEL MQRENEQFQNEQQRQLEIKKSQLEQKERKRIQIMESRTIERKTQAEKERAELEKKLHMVK QYNENLLEKKRNDYTLKEQHVEQKRREFEEYLEKVRQEKARQAEEKQKQINKIMVTYLYS IIHQQTNQQKEEEKRQQYEHKQQEYQKKKEVMNEQQRQQMEDKMKKYRDKEQKIQMILQK NEMMQEQQKQELQKKLDRTQQKLQVLEQKKDEDQKQRITIQIIKDIDKFDNLTRMEQLSE LRRTKLEMKLSKDDERTEMVRRERENLAKQAEIIRKEAEEKRQQFIQEFEKKKKLQVITQ EGQRDKQVSVNNRSQSSGINMRQQQ >CAK93319 pep:novel supercontig:GCA_000165425.1:CT868671:616076:616568:-1 gene:GSPATT00004188001 transcript:CAK93319 MNFYALDFEDQNDQALEDHEQIQVAEEQEYEFEQSSNIEIDKNNTFDGYLPEEQVLLFNV EDESPKKKLKSIVRKSKKIKKSDTETDVQSQKKMPKFKMSNDIAKLQQCQMLKTIISQME TILQNTRTQILSQIMKKQQIQ >CAK93320 pep:novel supercontig:GCA_000165425.1:CT868671:616927:618752:1 gene:GSPATT00004189001 transcript:CAK93320 MISDDIYWVPQGVKADCTIDDLIHPDAKKLSTFQFNFNQITEKVEEKKQKFIEDEILESL GITEEDLLLESALQTYDHKLYIEELIQEALLDKQQQIQFQPQQQSNNLNSSFKTLYERQY LSYADSTFNFEEEINFKTPDLASLQLLLQQYCNDKEDLEDLESYFTNNQQSKIIHKFTQL RGQAKQLVFNYIDLQQKDSQFIEILSQKLKELSLINPVKTEHLLDYFNKDQLRNILDKQI PIVRFKILQNLQKDDNLMIEYFELICQLHPDQVITELSKGGYPQDECLKLCRFYGNLKGL AYLLERSGSVLEALNLQFDLFIQGLKQQLQKNPKLLEGQKELFNYIEENLEPTLSICRAN TKRNDDESDNNWFQVLIRLTKLRQEFYKIRFYPVLRCFNSHFTRLLEEVLEKTKIKSLLE NLNETMKYFEFQELKSTFSQLLSGQLYELAIYGQSTMLIKQTCNKYLVSLFKQSQSGLPV DIYCTHCQKLIEMVSKVYIDCKHSFHMECSTEQLCKACINNFGSLMNKLLILYTNRKSTR LQVPTQTVESNQQKVEDTNHRQNKLNKLKEFDYLRQNNKGY >CAK93321 pep:novel supercontig:GCA_000165425.1:CT868671:618766:619296:-1 gene:GSPATT00004190001 transcript:CAK93321 MDKDDLFNVQESLQSPEEELKKQNDKKKMKVEIYISEGVYEQLRGYKQLKQSNIQNNFII PTPLKQANVNRFAELNQQSIDNGHKLHNIKLNKESIDGLVNKYREQEQQKLKQVEKKTEL QSFEEKNMQQQPKQLIFRTMKNFIPNTQSQIQSDLFTKEDYYDKNVLNRITQIFNF >CAK93322 pep:novel supercontig:GCA_000165425.1:CT868671:619356:621432:1 gene:GSPATT00004191001 transcript:CAK93322 MDVVISADEFMSVRNGNQNTYKKLCQSFLKVKDPMVQLKVGLCILKSPPEERMDFELAVL EQATKDLDFFKSLRDQKLHQKCCKMMNYRHYMKNDIVFKEGDSSNEFFIIIDGSVNVYVR KKQDRIQTELDHEQQQLKVLSDEEIERHPSTIVRFYQPPRLLTRRMTINLREDDFEQISK SYKPKTFIQTDRQDVKHKDVEVIKRLTHGLEKLQDKEFLFNGGVFLHDFVARINEGQMFG ERGLLIESTRTGTVIANTSLHVAVLTRKDYKVLLEDTQLEKIRKKTKLLSNSILKNLTYK EAIETQYKFEKIHFPLGHYIYKIGDSPQQLIVIKKGTVRLFKKENNRLFPLCELGQDQYF GELEYFEQSDRIHTAQVTSQHFSAYSITYKDLAKLLTSYPQCEKQLKRNYELRHKINDER MSKTIKTHKRLNYSEQFIQKPSNLSQPIFEIKSQFNCVQQTLESQKSHLINQAKKQICQM EFIKNTSQQLKQIRRIQRKEQKKDQQEHERSLADTLNHLEVQSSLIRREFRRREQRRFSS LLLDKDSNYNASQFNSNQNSKTFNKNQNYERQSSLSQQFKFPNFIDFNSKLQSYEIVEDK QKDLLSPQKEFLSPMSQGVMISSKHNLFRNKPNRLCLMNNYEKRQPKSHSTELSIKTFFN >CAK93323 pep:novel supercontig:GCA_000165425.1:CT868671:621467:622273:1 gene:GSPATT00004192001 transcript:CAK93323 MSNDTGVQKKKHKYIDSEEEEQQQQQPVQQPQQQSPIAQTITVDHKKWKFLFEPDSSEQQ EEKKVKKIQNPQITKQKQPEQRVKQIKSNPDTDDLRYEILCRWWYCFDQWPPSDFDYEEA LSKYKLRKVDLAVFKRESEINDQGLRKVYEVNGYKGVFRTSAGDTLDLRPREGCPSYEQI SKINSKNLPKILIKGLKAQLDDLLKHEPNNQQLKHNLERQLKQVQQQYQRQTD >CAK93324 pep:novel supercontig:GCA_000165425.1:CT868671:622275:627539:-1 gene:GSPATT00004193001 transcript:CAK93324 MKFIKKSLKYQKLQLQYLIGHSQVALEQENRLFWALCEFIRMFQTIALVLLYQQIIRMLS TDQKFDEPSYTQDKMELISRISMPTILILQYLSLEQIIYFQLIFLALLFLMLLINVIAVR LEYIHFKEIKFQNKKQFCKTDGNKSLNLLNSTYNDNDFIEHFLLKQLMITDNGSLIEMYL YRTSLLTNYFTHILFLPQIYWIAIIFYRIFNSSNYQVVLFALNFTLNLIQLLFLIILEAL SLYMQQSYRLKDTNYLRLRVTTSFKIGQILRIVPTVLYFSVLYLMDHETIYDNLLFQQII SCFVLLNLLFDLQDQSQNIPFVQPYKIYVFHFAFSLTFGITFTKLLQFSITDIITLTLMS IPCTCKMLNQLTDYNFKNIVMKTLHAENMPLKQKILKRSSQTITKSMAINDEAHQIERLI WLQKLEQINTVRLTNYKYFLQFVRLYELLDIQKQQAYSPNNVFNANQSKFLMQCIILVKT HIELCNNLYCFCRGFMGEKKQSLELNHDNKQMRIFSDYTCSNLFVSVDLIDRYIRYYIKL ILNIQIYVTQPNLTYITQLISYIGKSEECYQTWLQIMEVKLQLQEQKKPFDGIVINLMTS YSKYLTLQRTQSHLTEIQIRKTIQYGDYRSTEHQRTHFFNKLMDCLQMKQNYLIKLSSNK LNYEEVQQLYIEQNKILDKLQGGLLQFYNQSQCRTSTLLLMLYYLEIRCDYFSFYQYKNS LRSKEIKFFEIPILSHQSQNVSYLKINLSRFSKYKCRGEILSRSDNSYNFFGYQNMDEFC NQIQTVHQLVPPLISKVHNTIMELFLMSSRPNVLRQERHLIAQKANQEIVFIEMFIDVCF TITATQFPVYCFMQELKPIGKFENVRGHIIVDDYEIIQGISSYAYQSLFLDSTQEICNQE ISKFYSDFNENIQKLNLMMDSKTVREKKKSQVMNNQQIKRQKNNIKSVYNHYKLKLEDQL FVINSQDKFLIDLTLTLTYGIINTKISKFYIIEFNKVHQLELTDNSFNDHSIQLQDSIQQ SSKKTDTLPNIQDNEKASNESSIRMQQYSFPQINEQCITESQNQIQQLNQVPFQERKRLT LIKTERKSKFFASKGNARISNSDNQINNEYYSNQDQDYHQASASSQKQQSEGSKYLFKGV QYYEFCNSSNEKILYIMHLINALIFAIIITFLLMYQLRYNSESDTMIPTFEILKAFTSTS YVDNIQLSLMSQSPNASKSDQFYQHLNSLLVENSMIFVDQQKNYLLTSQIQDVFKNSDTQ ELNQMKIDVLYLTLTTLYDQIDFVQNQDLIQIEEVPTFVNLMKEFPIHKTLYEEINLSFQ QQVQDSINNFNDSQRSLILALVVLSFFISVVSLIAYYNYFKYLKKITRCSEQVSIDCIDR EINFCANILNNKQKLNIYELKMDFSYHQENQTIHSSYRPLNNNTSLQKMKRYLNLKDVFT IKRTLNFLYPLFCLAFILIYLLFSYFDTLETMQTLIENIDVYNQSIKYLESFSVMCQSSI IYENGDYLLNKNYLTKQLLQEYYDYIDSSLTYLQESSKFNLKLLDFRSTQSNDLLKENIC SILSVQIDISICEQVHQGLLQNGILESVQYYLVHYMNNYVSNYSNFIEYSTSEDAIAISF IIKGINSYVDQLKLDLENFINDNQSSRLDWFIFVISIAIVLQITLWLVQSWNLKQKLRSL KQFVFLLPRTSLYMNDSFLKTLNYVQRHQNDVWQ >CAK93325 pep:novel supercontig:GCA_000165425.1:CT868671:628234:628680:-1 gene:GSPATT00004194001 transcript:CAK93325 MLGQQEFRATTPIGYDEFFNLLKCFQSIFEGRYISNKRAEQQKREYVVKFILQFYIKSRQ YAAYQRKYFRSNLNQFLWIDNRNLNIPQLISYYYNCDRQEYLSKLASSQNEQLKNEQSSK SLGKQKDPVPPKDVQEITYKIVQGIIDQ >CAK93326 pep:novel supercontig:GCA_000165425.1:CT868671:628729:629390:-1 gene:GSPATT00004195001 transcript:CAK93326 MKKLLVVSGITGSVKIKSNTGRFTVSMNSNMIVDLQELAHLKQLAQNIKNYELIGKYDGL KQNIASYHFKKDVLKLLKQRDSYILEGGCCFFLNYLLNSRKEQFQEEQIKAADLEAQKLL QNCGDPQALLKQYFKNYDNSISISDRYRIQKALRFALLTNGESITTPFNEEDPRLCDQFD VRGIFLNEPQENISKKIYTRQSLLLYQV >CAK93327 pep:novel supercontig:GCA_000165425.1:CT868671:629439:630756:-1 gene:GSPATT00004196001 transcript:CAK93327 MASQQQQQQQSAQQPSQQKQPIRQPDVKTQLKQLYDKSSIQFDDETIQLCPQIQILNNLV KYENRIDQMIKNKRVDLQESFIQPGQYLKKTLRIIVYSELVCDEWNLFIKGQVLSEDKKP FSYFIRQLEVQFDKTYYPSQNVIQWSRNHLQQQQQQQQSQSQSQQQQETSGFHIKRKGPA CDVIISISLQTYPQKYKLHKTLQQLLGIKEGTRSQILYCFWEYVKLNNLTDKESKDYIIA DEQLKQLFGQERIPLSNLNMLLKMFIENPEPIVIKHHLGVSNYIGFDVVVEQEMSYQPEL MPFLAQKVVTEDNSEKKQQRAEHPFIQLNQKIKGFEKQIQKFIEQSKSHKLKRDAYYSYQ KNPSLFLENLFLQQNSYLELMQNDDEMRNEDPKNMKFLMKNQELVERQIRKYLEQQQQPQ Q >CAK93328 pep:novel supercontig:GCA_000165425.1:CT868671:630896:631352:1 gene:GSPATT00004197001 transcript:CAK93328 MACPEHKANNFIKFISVLVAVVLIVLGVLKFYFTPDIPILVGIWTVYWIIFGLLLILVEL NVKLVKEYFGFMIEYCGKGMFVIFCGTLMIDSFVDPHIVHESIVGLLIIFAGFLIIIVGY SAMPSQNFPPPPVPV >CAK93329 pep:novel supercontig:GCA_000165425.1:CT868671:631359:632790:1 gene:GSPATT00004198001 transcript:CAK93329 MKIIFQILLAISLAWFWVSVEDRVTRALRILNSNTDKPLKKKILFAFSSDEDIQVQAITL LRELGCSKEDRNQKNICSSILFHFENGLGSELHVTEGFDNIVQISKRISTSKDLGGNAAQ MALRAFKEGGDVYLSAIMSNHYYENLFNSSISIIPQSFLREEIRDVHIVINFDEGDIYDT IICQHTNRLYLQNDQDNMRFPGLENLEANINSIHPDLLVIGGFQLMNQKPKKEYQEIILK LEQILIGQKNKLKIHFEMGAFNDENLLLYLIEHIFPHVHSTGMNEQELQLLYNFITKKQL EQQQINTNQQLELIIKLFQELNLERVHFHSQTIQMICSNQNWREIKPALHRSILVGLIQA MKSYNDNQIDQKYLNVTHLQMALEEEDLILYPSNTVLNQDYLIEEMNFCWHLQDQLQHQC CLAPVLINPHPMRTCGLGDNIGSTSLLYQLK >CAK93330 pep:novel supercontig:GCA_000165425.1:CT868671:632807:633169:-1 gene:GSPATT00004199001 transcript:CAK93330 MNNQISKEGDQGLLESMAEGDPQNEESSFIQQEHQYNSHQSIKKNKITCNSEEDVISKIS HMRLNPINKSIQKQSKSRRDGVHTQNLHNRKSDIFQYVEESNFNYPLQYEEGEFNVIQTD >CAK93331 pep:novel supercontig:GCA_000165425.1:CT868671:633558:633966:-1 gene:GSPATT00004200001 transcript:CAK93331 MRGFFAITRAFVAPIAKSTFKFSSQQQKIQMRIVLNQINQIIRLSSTMSGLRIANQYDLS LFSLLEEAKGNLFIYYQNQDDSVEVDIRQIGLHLGCSITP >CAK93332 pep:novel supercontig:GCA_000165425.1:CT868671:633966:635232:-1 gene:GSPATT00004201001 transcript:CAK93332 MRVYALLCIVAIVYCTQITNQASMFDEQLGQLTKSKLGNTILNMISLQQFTEVDFSPLFT AIDDIVASTQQAKQDEAEQLDSYFQQFLSDSEFYSNQVTEYKTEVAQHQSDITTFQKDRN SLQQSLYDKSQQLTDSQKNQAQLQRTVQNNDQATSKKTAEYDSSISIVDQVIAKLQLVKQ SSFIEINKEDLAVSAATFSRRLGEVSHLPHLFEPLSKVLIQMGTSGFADQEQAGNAIKLL NSLREDLVASKQALQMNAQLEKDTNDGLLASLEENINLLNNEIIPQLKGDIETKDGEIAT KSGLLKDAQSNLDTAQANLDNVNAGWAARQGQNKQLNDGWDNELMLLSQAESALERGGIR RQ >CAK93333 pep:novel supercontig:GCA_000165425.1:CT868671:635232:642015:-1 gene:GSPATT00004202001 transcript:CAK93333 MQPLRPSSASSTLPKVDQKSTARKKSAHQSLFQPITKPSASSIETPDQQLKKTQVLESLG FYEKQLMALKGTSQQQSEVDTLFKQLNKFAMAQIEKNGFHDTCILILQWIIQHKSFQEIP TSICLAYNNMGCVYRRIGQTQLAFNALENALQLVQMYDQIKMETITYLNITTVLSQMNMH EKALKASLKAIQSGKKEFDPNQIETVRCLALANLNYGFELEALDRLEEAVKQYKRSLQFV LEHLGNHDPLFQKFHSHHHLAKQKLIVQMNQQQPGLFKTVLQLKKNYEVPTDESFQQTTP MCQTKKYSTIKRNNYMEDLNNKRDSSATIKKGMTDEEAFQEVKPQKAVENRSEPKQVEFK MQQEEIQPRKETQVSNKKMNQIEQIQIDEWSNSEPSDTEVKKQRLNERSADLKVSSVKAN TKDQRLNFLSKQNTSKQKVDENLLEKEQQIIREIEEQIYNANSIAKIQAQFRMKKERKKF YCLKKAKKDQGELLPSDELKLKQFQRFIKRKLRELKMREITKKIVQKNKLKKFPNTENQA YQRIISLLETYKAYKRMLLHKYVVKSRQFQTLRQSYCHPNQMVHEKIEPFRDAYIFTFGI TNDDSFVRFSLVNGVKNKRKEQQYYFEMDTQSFLKSLGLLHQYIEDLECFIIEENQLLRN LKNLQTVAQQFIIMNEVDGKYVVRCLTEFKTQFRQALQRTAVILQELILVDSNKLYIQGI NNFEYNDVVPYEEEIREIRPPLYTKKLSEKELASIKFIQSFMKGYLQRIRVQKWVNQKQK QIYSTNLIKTVTGHYLKIVKAQNIVSKKHYLYIINQETQKKSNDLEIKQIVFQNMGDVTR ENMFEILKIDMDGPSIEYSDEANYWVQKQEDYQKRGGKETYSKISKADSIVLIQRAVLHY LLRRRCTIKKINKAKFNTSDQKDIEREILVRRAIIYGSDMNQRACLTTLYLEIERTDPNT SLMGQSEYQSVLITSKNLEHVYAFDKCVPFYQKLFYPLSRTEIITVAQRMVEVSRIVKNK KGEPKVECKSVDQVHGEILSKQGEEDDINQKKENTLDARLKIGSTLRDKYLQQFVSCLEE DPEMKLNHQAEDNKQKNFVSTLQNVFRDFKVRLAQRVKTTKHVDEEDEASIKAYVQNVLP TTEYGTNPNLFNDINQDQLSDVKSQHSLKDDLDFVSDLSVTFLDGLFKLQIFYQLTQQLF VIHAQNVYTTKTYKTSILKSDLISNYDLPYSYIKENPQNIILPLIDFQDDKIVFSKDEIK LQKIMDDYLAFEFNDAKSSKKGVSVDREQEELPNDQITDKVVIAIYQKGSSTLFFEFHRE YMIVRIIIHFNNTNEKRVIEIDTHQFQSKYVDERLKDLNNKQVIRFFKEFSRTISKVITI NEKDHTHNFEDLRGDLSLFLREKFVQKIQGMLMVKRMVEKFKLYKQLKRSKLHIHKFIVN NGQHYIEISYFLIKQSGNLQLSMRELTFVTPQGHLVERSKTLKSKRRLREKQFLINLEKL SQQSDQLINSQTKRQDQLDLQRIDFSVLSNYDQMKTIQYYRSLMTPLISLIQKNLVVNFN KFQFSLTLPIIMIESHIMKKKKKNYSHETKFQMMTYAAIAAQSTFRKKLLKKWLQTKLAR KRQIEEKNKHLGEFIKRSFKVIRGDDKSDYYIINVYKQPGKIPSQTIYTFELIPVNKNSK DKDSRRAKMRASYSHDNFQLIDLGSQSLASHFINKIYIENGIIKFDEYQFQKAQDDGIST TFEDNQQNEQQNINVDEKMIEKDAQEVLIDQEKTGNTLINIVYTNSKQLLDKKSYDDSQN NVTKLQVDLQSIKKLYPNFNVKDPNTVKILSQNLRKGVRMDQFAGGLMIDKSRIQSSLIN PLSVGVGRVESQIQVQSQMQNQSNFNKSMRLSQRPYATNILAKETMNYTGKKYLIVISQV KNKIQKILNQDRDSVFEDHERILEIKAQNLKERSEPFAWYLTPDDAEILTHEKSPENMAK VLTKNLQIINSKFIYLCFNQQDQQRVKLKPDDNVILFVESTIRPMQTKFRNRRIFSNYKE YRKTLTRSRAEGTLILHLANNLNTTKRMFVILFIEEKESSIQMKTWDATEQMYFCETELQ LKKYQSIYFSDKQKCLKALMNFLEFRSEQNKIQFLHPKPEQVQDYFEKEKKLSIHSSSKI GSPTKSVDQNNEQQPQKFFQQDHTPMQSPMMVIEDYQDDEIEEIAEGVIQQ >CAK93334 pep:novel supercontig:GCA_000165425.1:CT868671:642137:642436:-1 gene:GSPATT00004203001 transcript:CAK93334 MNDTIVKEVMATKISDTEKKIIEYLEGELFLEQFTDPQLKQINFELSKKKTIFSFRSMDS DTEVSEATSCSTIKSSKLQMCKSPLFRRRVSTLVLDQLY >CAK93335 pep:novel supercontig:GCA_000165425.1:CT868671:643450:644376:1 gene:GSPATT00004204001 transcript:CAK93335 MGLYLAKPDTTKLTQSGKVLDFAYTSTSLQGWQIQQDEFVIIKEKFDQDNCLFCIVEQYG GMEYGKYIQDHIVEALVSDSEYKQKNYDKAIVGLYERLDNQLKNQFKESTSYQGVTLLLT LITNENIYVANAGLSRCIIRYEGKHKVMTVEHYPMNDHEKQRILAAGGEVWENRLNAHLP YSRGLGNFEYKSNSNLDQSKQLLISVPSIKYVDKEETELILMGSHGFWETWTNNAISEVI LERIQQGKPLPDILETICDSIVAQNVEGEYGKDNISSILVHFQREQKQVI >CAK93336 pep:novel supercontig:GCA_000165425.1:CT868671:644418:645777:1 gene:GSPATT00004205001 transcript:CAK93336 MQKKSLHQPFLLTQLEVPFYCRVVRKHFFKDRVYQLKQHNNLITMTYVLEFGILQHQNTD APKYIMNIEESTNFEWLIKQHRLVGFKFPYRGNQKEFYADQTDLIQLRMRLGSKMIFVNL TQTYKYLSTLEAGSFGQVTTNECCFNNKKVAIKHILLNGKKDQQRTIENEIQILRSIKHP RLVEIYEVFKTELYYQIVTEFIEGDNLKQLIFNKSNQIKNEEILEIMQQLLEALTYLHRN QILHRDIKPANIMYHNNKLKLIDFGLACYDGKQLIENPNCGTEGYVAPEVLNVHQTKINY DFKVDVFGAGCVLYMLLTGTKFQQSNQSNASYQKNKTYQNSENSQLFELVKIMTRPNPLD RPSSFQILELIRLIKENCAYDISLWYSSAFNLSNSTHSYQTASTARHIHSSKNQKSVCSF NSARGIGQNLSKQFLK >CAK93337 pep:novel supercontig:GCA_000165425.1:CT868671:645831:646613:-1 gene:GSPATT00004206001 transcript:CAK93337 MQENGFAISICVPSFKKNDDVVYYQLMQEFHQILKYSFFENNQRCSFSVDYRYSHLKLLH ESLSTLKASLPKFPPTNWWRSTNDDEELIEERRIQLDHFFKNLFQCKVVCNSLIMKNFIL KSQCHYLKKVEKQQRDILKKERRELATPSYNKQQSKSQQTTPIIGPAEDPIEDPRERSRS WEFKLTQKKSEQVLGRDSKQLNNLNFGGVPIFKGILLRFK >CAK93338 pep:novel supercontig:GCA_000165425.1:CT868671:646818:650172:-1 gene:GSPATT00004207001 transcript:CAK93338 MQQYMYGIDQFSFCNQQFDDCQRESVIIGEIKKRKRRSRTICQNCKIYVGYSSTTGLTSN IKVYHMLKLSQSGQQEMDQSIQISGENDVISTFNKMLNENPEVRDQIEEKLQKQSQMQTT LAQDSDEEVEKNHQTFSNELISQVNEKELQSEDRIYVSMITNELTTQIKKSQRQSMDLQQ INIHERSESIFNSKLIINNSNQLILVRDDKYYLQYLDKILFIQRYVKLKQEREKKERIEK EQQLIEKMNRYRLNVLNELIQTEIKYVSDLEILNMIKKESIEKFPNKEADIKVMFHIYEI LNFNTEFLSELQKNNNLKDPNAVVMKSIVPLLNGFIFYYEYCKAYEQTRKITSQYEAMPA YQQFLKEIKLQNLLKGQTLNDYTIKPVQRLPKYALLFKDLLKHTMPSHPDYQNVKCCLET IEKINDKNNSEMDVFLKQAKLMELYHQFGNVQNLSVFKPNIFFIFEDICSIYTKKIEQRI VIYAFNNLLLFAKKNKLNALKYKFHIPITQQSYIKDIKDSLILKNSFEFVTRNETILIVN GDIKTKLKLMSKIQNIINQQIQSFEQKIDKKQETNFCVKVEILGIEKNPKLHKNVTFYKF NFTIDNIPIVSLIRFSQVLSLLQVVNKYDNKLKIPTITKSLQAKNQKVIDERKLRIEQLL QIVLNSPQIMENVEYQKQVLEILKIDPKFYSLPEQKRLKPELFQNMSKLKQNQKNSYLEI LQELQQKTFSQKVSKDDQQVKIGIEAPQQPYCIEITLLTGQHLQVGFKKNTMTWFIKSAV ANHINLKYFVDFKIFIVDTGGIIRVIEDDEKISSFLDKSKSGFMQTLKKMFNNGTKFQFM FKKYLYLPQKQEELEYRQDETRLKYLMFEIVFQAKNQTFPLNFLDFCLMTAYYSIATLQK IDKNLLKKAIPNSVIKQHSEKLWFEQIQREAAFREKQLQEFSTQSQQMQPKQKNGSIQGL SCLLMVACFQTNMLYGMQLFFVECSKETQQLVQQSKFGIKLSTHVLIGLNYNGFYFLKPE NRALIIKIDYSLVSDIKSFPIELTCKINNCNMIFKTQTPYEIKHLILEYQELIKILSS >CAK93339 pep:novel supercontig:GCA_000165425.1:CT868671:650410:655564:-1 gene:GSPATT00004208001 transcript:CAK93339 MKFQLFSLILICFVQCDWEIYKYELFDAASSVDWIGLNSYSTISSLTQINPTLCTPTSST FLAEGKEKIWRNIQMPNNRKFSAIRIELDFYYIDSMKEVSTKLYLNQVMVNENTQTQFEL STPTPLIYCNRPGNNNSADSSVFRFNHFQEFRDNQIEILIETIGFQPVFIYALSGFYLYV QFCDKNCEFCNDTGQCERCKDDLQLNKGQCLCNFIGDYRYSQFQLDTPNIQCLNSCPNGY VPDQNGICQIGTSHLLFNDLVGDFNSYQFYIIKDKYYSNVDDNSQRIIQIGNDKVAGPFF YNEQIVFPQLSIISFSKIMIKLKIYFLRYHKSHFIGGQISLKFNNYTVLYIYDYEQPGLS YSQGIAHINNWQKCHFEESENCFQSDIYFEMFLNYEVTEIKFAGQFTIVEPLRGWCLSNL EIVEQQITTNLSSCENNCLTCPFMQPKFCNSCKSGYFYFANKCLSKCPLQTTQIGNECVE NGMSGQFNYILNILYDDNNYYDQILTISQQLEEQVHIQFKCLFNIGRNPILELWINIYHA IKSDRPFYKAFIKLNVVCIDLQYDEIFEIFVNATGVSYQMTTATPNSNQFQFLSIGNTMG SSLWNEYVAEGFIELTLLTSLDSLLVTFSVQELKNLHQYYGIFNYRVMVVSCPEFCNQCD SVGQCQDWMVDNSVQSGSCAQGYYYDQNLHSCFQCILGCYQCTNSYYCDSCSPKHIQIHG QCYCQVTFELSNTCTQKYPCEEGCLTCGFDIINHRKNMFQKCLACDDSNHYWLNINQCSC LEGYYMENQICQPCSELCRACTYSPKYCTKCDSSLNRILNHSICECRQGYYSEEPYLECY KCDSTCKGCQIKPFICIRCYPDQFRNIFKNQCICKDGYFDQGTEICNECDQKCKTCSDID TCLSCYQEQNRKLNLLNTQCICQQGYYEIENSLTCAPCHPSCEYCLNSPLITMCTRCPIT REPSQHDTVFSCNCKRGYYESNMKECLSCMNYINPPISHYCYSNCGDEIVQWNEDCDDGN DYQKDECNSCLFSQSYCFNPLCIQCEMGQCHNCVDGYYLNLNNHCDKCDSQCKTCSVRAN NCLSCNLQNEDLSCAICEINLGYQIKDGKCLNICGDGLRVSQEQCDDGNQNSGDGCFYCL IEDGWSCQDTCDRQNYPYLIFEEDLYDNRYQAQRNFIIKSSIPLKTSVSFTELCQFKLKD SKTFQIKQYQELTNYFEDYNILQIDVQIVLKNREETPILLCLIENPQDYQSKQGLTFNQT IFEFELLKYLKPSQDVIDVTQGFLEFNKYVLFILLGLAIISLIVGGLHIFWNLLDILQLI SYLQFFNVIYPYNVETYFRLFDFAQFDFMKIFLNIEDLVNCYVDSPDPHYKFASKGYSST FYINAFAVFIAFVTTLSIYFLCIIGFMILTKIMAYYSEENLYIDDEEPELFKFIILRIIR KVQRVFLNTIHYFCSGLLRTFMSVAYEYNLAVFLQLSVRNMENPFFDFKFLHKLYLFFSL IVFNYQGPYYFGQQLVKQKYGALFEGIRIRRSRPYSNYYNLLLLCKKVVFIFVLVFCYSE AYITILTCSILNIIFIFYVKSSQPLKDVYEHQKVIGSELFIWLVELLILILFYKQQNDPN EQDELIIGWFIIVLCTLLVVYQFILDFKQHFIFLKENYVIVLESHSKNKELFQQTNLSSL RIISIFTIKPTSIHIV >CAK93340 pep:novel supercontig:GCA_000165425.1:CT868671:655970:657992:1 gene:GSPATT00004209001 transcript:CAK93340 MQQFQKEIPTQPQITPQPNQQQQKTIIYIENLDQGISEDYLYRKFKEVGEIASLKITKDK STQKSKGQAFITFIHPDSAEEARKKFNNQVFIRNSIRVKPYFNFHGADKKANIFISNLPE DADDLELEQEFSRFGTVLSVDVHRDSTGKQLNYGYVQFERKEDAENLIKRIQSHPLTHKG KLLKLEQFKAQSERKVESTSIYLRAFAAPLPQHLSAQDSAMRSFEYGWSLVIKDYLTRVQ GDQIRDCFVKIDSVTRQPWAMITFETYDQAKVNLDLCESQRKHPCINSGARVALDLIKKH GPPANSDGSLQFTIQQIEPFFEEMSKDPFDTFKGESDNFFFNMVYSKHLNPDERLIIIQN IKEDVTKEQISEFLGQFGKVIRLTIRKTKNPRFQVQQCFVHYQTLEDSKRARSELYDDSN EKIVNKRKEIFKDGRAVANILLSKTMRKEFKEIKKQSQNIFANPGGRQLLQPQIPTYQMM PPPMPFPHPHPQRNPPRGQRYPFPPSQRPVQRPPVNMIKPSEPIRNVEKYQPNFLQEFMD YQTVQSRMEDFLKIPTDSQRQVLGNLLFQKVFDVVKDKESTKKLVGMLIDPSQFEIGDIL NMFDDVNELQTYIDDGLQLIREEQKAN >CAK93341 pep:novel supercontig:GCA_000165425.1:CT868671:658411:660194:-1 gene:GSPATT00004210001 transcript:CAK93341 MLQLIDQLNSILYCDYNYNQLYCNYGLLHIQTVQRGGRYLKILTQVLTVEDRQLDEIDAG VSHQIAGNVTTQMQFQDKETNANKLSSLSKEQFYQHHQEYQQVQPKNKEGNKSDMGGKTI SGTMKLTPEMLVRKQCITEKFLTHYEIVKKLGQGGFGEVYLVKHLSTENLRAAKVILRKT ISCEEKLLEETEILKTLDHPNIVKVLEIFADFKYYYIVTEYCKGGELLERIKTISKYNER QVAKYMKQVFSAIMYCHHKNIVHRDLKPENILFDSTDPDANLKVIDFGASEKMINKSCLT KKVGTPYYVAPEVISEPGYDLKVDVWSCGVILYILMIGRPPFKGSNDIETLRLAKQGKWN TNNDRWIKLSDQVKDLISKMIVVDPKKRINMEEAFNHAWIQENENKEIEDFNIIQILSHF SAQNKLRAAIIQFISVQLVNKEESTKLFQTFKSLDTNGDGVLSKEELLKGILVADIDHFQ AENMVNDLIQELDVNESGKVDFTEFISAALVLQSKITIENIKNAFKMFDLDGNGVISKNE LENIFGGIEIDNQAWDDILEKFDYNNDGVIQEEEFIKLLENIQL >CAK93342 pep:novel supercontig:GCA_000165425.1:CT868671:660194:661950:-1 gene:GSPATT00004211001 transcript:CAK93342 MNKNKGVSKDVQTPQFLEKKPQSHQAQNLNPRLKQPDLNRKLLTMPIDAKYKAVLGPDTD SLVAYLKHKKKKEKAIQKLKDSGKIQIVKEPKQSIDKSLPQGIYETEEGKLKDISGKIIR LNDQAKSLKINQERQKLEKVQEMLKIQNNLKDALNDKGRFLDKNVTIQSSNIRRQKRKLL AFNFIENGNKDVEQVQQGSIQIENQGDEKEKVVEKEAQNLTTTTSQTNFKRVCVKMKHHD PIPNIEWWDMPLFPEYQANYIPINIENEQEHKQPATAHTQEEIQRNITFLEQLQYTDTTI LLDKITKLLEHPKPFVISELVQNREKAALPSFLTKKERKKRLRKIRMDIQKEKQDKIRLG LQKPSPPRITLQNMMAILGAEAQIDPSKAEQEVRRQIQARLDKHIKQNEERKLTKEQRGD KLKLKWQKDNAQEIRVAVFRIDDDLSSANLESKKLKFKVDMNAQQVSLQGVCLIAAQNGQ QVVPSIVVAEGGPKGIKFYKKLLLNRIKWRGDDLKPGCTLVWEGVSKQHAFNKWKTIEIK SEAEGKRILAEKGVEQFWDLAMNNHQN >CAK93343 pep:novel supercontig:GCA_000165425.1:CT868671:662051:663800:-1 gene:GSPATT00004212001 transcript:CAK93343 MESKSVTISAKLQQSNYSAISNLPKHVISQIKREGDLYIQAAGKCEKIWNEMRKNDFITD AQLNFITAQRVFDACRTEIVQLLKLQNDQDFFELFDQDNDGILNEDEQILVFSVIKEKMH QVATALLKIQEYILFKQLMKELRILEANIAHYQNQLRQRISLKERKVYKEIGQEKLDDFY DQYYQEFQQLIKYKIDRRAQLKQTQENELGLLEDRLSKDTELMKVKPKKKLKDLQTQEKL VSLEERVEEALDFRKELKDLEKNEQDRVYKVQKYRIEKQHSDLLFKQQKEREQLEGKLQE TEYKLIIQMKKAYDVLLKQINLHNNEITRIQSLSTNLALKKGLYEGEAKRQKVQAQLQNG IIAQTKAISNQDKKMNELEEFQESETIRKLETRKSMQISGSPGQHIISEESDKTPMGQTF KFGKNKNFYSIRKIIKESKNITQFYIQKKYGADLPVNFVKSAHNLQGDQHEKIERFLSVK KKSHHDLLPPITQLYDDDMQERPIKGITKSDEEIQKDKALKRAFINEKLFRND >CAK93344 pep:novel supercontig:GCA_000165425.1:CT868671:663967:672142:1 gene:GSPATT00004213001 transcript:CAK93344 MDQDETWGSLQQIIQEDENQNNVRQGDIIYLKSYLPDDNKGLISGEGFAANKLECLLLQK SSNDYYNSNEFLANKQIDSLVNKQAEWNIFKCLFRILDSNRSIYEQAKSEIVSDEQKGNE EQNESEKNIIYGQEIQLLHLYSKCFLTLNSQVLAKENCCRELRLEQSITSNSNFMLSSNT KSYGEPVLFGDILYLKSSVVHLNKWGLGIQQTSPYSEVHASQIASTLRICNYAENENENV IMNGDIIVFKNRLLGGYLSIKRQFSSTKLMQQRQIYKKKDQPLEQNSINLSELRKQENLD QMFHITLESELQLNSLWQLIGLTSQSAQLQNNKKEKSRVQKSYLIKNVLTGTFLYLENEQ LHASYDRLQQKFEFQIRNLQKTEQQINLGEFYRILRIQENQQLSQFQTYAIQCNNFNEVN ITTNCQKTQYDQQLFKVARGPYQDAMAANKIQSFYFGIVEFYIFLQDWALDRELKYQYNI AFQNQKQLQDEINQFEKLLQNMNLFLTQENSIQETKQCQFNLCQYDVLDLLIKLQQLVNL ISTQENKWESSFSDKTAQRIARQKFDPVASIKKKKQFNLIEEIYSTIYQIILDNYDCCNY VIQEDSIIDFLFSQLNQFREQIEKMFKQIVSKAKYNPIIAKKWINRISMITEENLEDQMF IITIINLFISSNYHISVECQNLCRKNLFSNNKCKLIKCLIINEIPVIQLEADAKTFEKNN KRFCDNHHDHYQNLKQNQIALEYFMKHDQLRQYHIYEQYLLNLLNLYAKMCRGRNFKNMN LIKNVAGINQQFFDSIIKSKHSLQCKQVIIELFSSLYLDIDPLTKISIFENTCYLRQALG DFDKELESGRYFYENISSNILKQTDSYQNFQQDTVRTQENMKELLLLKSFSSHIITNQKY HEYFINLFLQQEYPTILTEDSNSSLKYKEISKVQIRFFLSVIRIIKDSIDLGYNTLNKNR EIQETLPNIFFVLIIQLNNNFKTFEFQEDNAFNLNLKNMQYTKSKLISEQFEKFNLKFNQ SWISDFLLWTTSTCNDQDLIEQIFQEALNIYQVLFYLSANLQVLQYVTQKESSLNELFAS HNSILFHCLFGTMKLPNLNNEILQYLILSFNNQQYDLQEIKEVEILDDEIERKYFGIVNG HYEAQGDQGPKEIQQYVKQAVKYVQIDQQNNKKIDEFQKSFLKISQYIQKINSLFESIRN YNQSYTKSLQNMIRNAGIHLIFIEFFISSDEIIEDEHVVYFYRSFLKFWENFIKDNQTNF QILMPQLDHLLKIILLPQPQRDNFLYKSLQISKLQLQSASHSIIDIIMNISKSLNLNENL IHIRAGKQYLKILQRFTKTASKPKFNHLSNQKQILHLILQNFRNLIDPLQYFEDKIQKDK QVQSSVLRYYQLKLHSAALNLISETCQNYYLGISETQRILLFEQLTSVLQNEPYIVKGAY LKCIFELYVNFAKSNNLIGDLIDNYQLKELFTSVIIPDLQLMQQFQKSHNLGNSILLNNK EYWKYILYVFEFLISVIDEFRLLERQNECNFIEEYTQILTLIKGILLNTSNPLIQEKREY IQQISEIIELFLKNLYDFNLQNRLQIFCIRQKMSLQDLIDHVEQLNQRDRVLKLKEICNQ NHKTYIKQEDLIGFRTNKVSQYQSSENWIDFKKAFHTQNEFNEITTQAQDRLREELNLQI LKEDFQKKKEEEIKKLFVKKKCQGEIQNPLSEDVQILFFLHDLSQQANGLLQDDKFKEFI IKCKQIFSQYPQYLIKLCKIFLEMKKPAKTYINQGELDDDDDDIKENENKQGQLNTLEQY TIYQNVISENDIHVIAIEQLNHQNPNEQLDSLTLLHLLLDFGNTQVQQRFYTLLQNHKKH KKIFIQFFRDFYSTDVSIKLEELSTSKNSDYLNICIKVLQVLQGLCENVHIEFQKFLRIQ NQQDSITNNFNFVLETTNLLVNFLSDKSVENSKKWDLYQQGLLSLIEFSTGLNENKKEIT KNSRLFSGFNELFDHSDLDTALIPSSKKVQNVYFQLLQTLYIYIQLLISLLEGNANENKQ VYKFLLENLNNEFLMSLAKKIYNCRIFPKKSVICVDKYCKLPCYDNLCNKSFCVNGYKTR EDSLLIETGLNIFIIGLKLSNYSNDQKFKIFQFESMTIEKDLIQEDQLYQDISLRNVIEK TFSISQHPEYYQKVVDTQLVNNDLFDEVNEEKQNTSAKLVQVSREDEFFLFYREFIGRIE VVNQFGQIERILFQKPFVCKYMTENIKLNLIYESNRETDEDRITSFLENIGFYHSQMAHY QNVSTIPIMHFGQKHWRTLKDVSYILCVVIVLFFILMPDNIADGNLVEGDNEEDEIITDS KKVQVVLYLNNIITVIQLILNLIIIIFCAIERYPIAVNFRRGETNVKQIQNLKIEAGFKI PEYKKKYYEFVGYMENNFEQQQVRKNPLRKIIVLLLIDFDNLYNLIIFGITAIAFFNNYV YAILLLDIVKRSEVLQNIIKAFTENTKNLLIFGLLGLIGLVLYGFLIKISFSGDFKDETK FAQDSLGYSIAHVINFGLRNGGGIGDTLSDYADPFEEPAKYWKRYFFDLTFFIIFNILFL QMIFGIIVDKFGELRDERQELLKDIQGKCFICSLERNEIDSKTKNGWYQHIYLEHNVYHM LFYLIYIKKKPITECNQLEKYIKDQMENKLSEFLPVKLTYELQNQQKQQQQ >CAK93345 pep:novel supercontig:GCA_000165425.1:CT868671:673059:673946:1 gene:GSPATT00004214001 transcript:CAK93345 MRSNSQQQQSTQQLKETIHKLQQRDASYRLEISKLKKQLSERDHEYKEALEELQELKRIQ QRDNQYIMKIDNYVMNLKQKLHKANIKIGSLESKLSSSRAKQTISFEEITNHNETFQTEI SQMSTHQPIIKQQSNRPNQTYASNKPKLICKPVKFQLPYPNTGFTENQEINENSSFIKPS PLQEQILNRILDDEEFSANFSQKLVQFQNDSCSQKNKTQPMTFTCNSRQSNFSFANSEKK PTNNEKSVKGSNRQYHSVSTDDKENTYQYCN >CAK93346 pep:novel supercontig:GCA_000165425.1:CT868671:674305:674553:1 gene:GSPATT00004215001 transcript:CAK93346 MQDYLDSSLDSIELEIYHKPNPKIRSIMDYLRKSNLQFCTDPKKKQDDYYSNLTESVDQF SLRRNKFVNRKKPEISIDCPAL >CAK93347 pep:novel supercontig:GCA_000165425.1:CT868671:674761:675883:1 gene:GSPATT00004216001 transcript:CAK93347 MFEAKFNDGVLFKKIVEAVKELVKNVNLEANGTGISLQAMDTSHVALVALQLNEKGFKKY RCEKSLTMGLSIENLQKILKCSGNDDQITLRTQEEEPTTLSFTFESKNRISEFQLNLMSL DQEQLGVPDTEYSSVVRMPSNEFTKICRELGNINEAIGIETSKDGIKFFVKGDIGEGQVS VKTNESEKLEERVECDVDEPVNLSFAVRYFNLFNKASALSNQVILSMSQDQPLVIEYIIE EMGSLKLYLAPKINDEESQ >CAK93348 pep:novel supercontig:GCA_000165425.1:CT868671:675917:676643:1 gene:GSPATT00004217001 transcript:CAK93348 MQQQQKQPINERRRRSGALHAQTCEEYLKKYEQIGQIVIRESSRKKSLSESAFLNFDFIP ADEGVEDICQLKILIYSPKIKLNEQEFLQILKRVRNRSITTDNLPFANKTFDFDFFYREY DVNDKHFGLHFWIQNNPKHKHNLFFTENYYRFFNAAILLQRDQNIESLIRETNPNCMIKI FPFMDELLLESLQSIIAEICHSNIKS >CAK93349 pep:novel supercontig:GCA_000165425.1:CT868671:676704:678321:-1 gene:GSPATT00004218001 transcript:CAK93349 MYKNELDYTANLKLIDDITSKEFKQYEVGHRKTYSQPDQVQLKRQPDRLEISINQSITLQ MYPSIFKYIKIKVKELTKPLNFVYESNNNQCLIKIFVSSITDSPNILNNSLQVINKSRFQ FTEPPPHFTKFKCDYVYLSIYTDKYTDLKIKATVGIHQSNSNKSIQKRQQTPMLQIPREL LTSREPGSYTYRSNLSIVHKPKTSSSFIRSNKESQVKFKLILDFKIKSTKQKNSLIEEMM KKKSIIQHNKKLQMLESSYTHELDRLQSRVQRLRSEIKLHISNIDMLWLELLFITLLAKA IKHQYYKRVMRIVISNKVQVCCRKFMRIMLNKISSRYQYGKKEAVYLDTNILFYFGAKLM RNKAKKRAYSILNHFLYRYGHLSNGMNKVHQFNQKIKYIQQKWKAFKKSEANYLNRVADQ IIDKWGFLYREIIGELQNAPKSAPTQDKRVLLETISENLNNHKRAFLQINNIFDKLASGS TVFRPMNNTIMKDIMHQYVVKKANKLQ >CAK93350 pep:novel supercontig:GCA_000165425.1:CT868671:678397:680575:1 gene:GSPATT00004219001 transcript:CAK93350 MSPNKNQRSSEANATYSTGFSKKTTYKPTQSNQTPISGQLPEITKKKTKNDILCYSQEFQ DILQVEIDERYRYLVKNGTIKKIENGGNIIFSELQQIPGIWVCYRRPMERANNLEKLSLD YLDLTHMPLLEGEEKLKILTYQHNRILSIQNLVSLPNLLYLDLYDNQIKEIDELKQVQKL KVLLLPKNQIRRIQNLDHLTKLEVLDLHSNRIVNLEGLSKLKSLKILNVGNNLITKLEAL EELSSLIELNIKMNQIENIDHLQVLPQLQKLFMSQNKINSFPCIFNLSELSLESNPIQTN KSDYYRYICQTFETLKILDGKPIDQIKQEILFADIPKTEPIKKKTINQQQIQQQQLLQQQ KPKKPNQMGLEIHGVASEFKTKEEIAPFAQVKKEPTNLKNPPTQQQQQQPLRETIPSLKN SLTNTAAAQEDDILVLIKKQWTAEYKRIQLLEKNNQLNKKSCLEHQLVEGGHAEVEDDVF LLIYGTASAMVLPTQNFSQIIEKIHFQYVFFDSIIDTQLSILKDYSKLRELVLKDNYINS LLQLAKLEHLSEIQKLTILNNPINNCSFMFQFLVYRFPSMIQINGKDIRNDDRQKAKHLF SNFDKSLQIPEKLQNFENIRQLKSFQKDRSYIKLFHKCLNDVSNEQKIVYKYRKTFENIY EDYLTQLIQQCKDKEK >CAK93351 pep:novel supercontig:GCA_000165425.1:CT868671:680619:683241:-1 gene:GSPATT00004220001 transcript:CAK93351 MSIKQVHPTNEVEEQNEFKKGLQQLTSYKVYSSVQTFNDLRRRRIQVREATLILSPKLQQ YQHMLQPKISQFTSQDQIMEEEDVLVLDGFKIKFNRAIRRLLIILNFARAITYFSKQKKK KNITQSSISKQQIPFLPHLKFIRLWREIISFNTVVANIVYPIYITYSEFDQYDFITIVTF IIDFLFFVDVILEQMICQIDNNNILLKTFSSIFIHNVTGWLIFDILTILPYKLFVPKMED SFQLRDYFNLLKLIRLFKYFTQTDRKILYHSADSKKTQISIEDKLSFFDYFSLIDAKFLS VLNIFKNMLILISAFGCMWHYVQYYEGISMAQDSNNWTSYIDGLFWAIQTVTVVGYGNVS ISTSMQYNLVIVWLLVGVGFYSFTIGNLAQILEQQTSAEIQKEHLEDLENLMDTIIIPDW LSDQVSHFLTYNLENNSFWNYRKIIDSLPGQLKKYTICFSQQQLIVNTNLFKLDINIASK LLPYMTIFYYQKYETIYYVGSPSMDVYFLITGEVRLCDEQGRSMLNIIEGSIFGEMEILD QVNRKQSAVASKNSVVIIFPVQIFLDIIQTDESLSFEIEQLGLRRKILIKESHLRMRSNQ KVRRVSCINYKEQRKPTDISKNIMEKEFKQRISKESFQFIQTRILKYIFGRNQERKWRSF QKFRDAVQKVMKFNKQKRELNKRRRESCIGLKMINNFKGTTENEQLMIKQIFKLQKQKRQ LMKTLKQIINHVKSGLQAPLLITPSPYFYCLLKEQKQQILEKKEQETQNKFQKQQKIKKI NPYYQLRHLNDFWSKLQNELIQYKNAKADLRYSQMEVDGVYFEIQGLIKSII >CAK93352 pep:novel supercontig:GCA_000165425.1:CT868671:683392:684938:1 gene:GSPATT00004221001 transcript:CAK93352 MKNKLYEDESQMQTIDYIYEEFRKEFDLILNKCQAFDHCTYIIDYYYNFKTGLKEQINKL DPNTRLSKQLRQLDDTSEKSLEIINMLNEYEEYRFPSKVQLIKTFKSFAPKAPQKKKTVK LCESLMIEENQAIAQNNLRNSQLQVIQEENLIEDEVIPNQFEQEVVESEIHLKNNFHQNK MNINPEQEEINQLEIRMEQAKGLYQEALLDEAFEITQDIFLKLNNKQAQWFKEKGYQEFY ENLQEKKLILTQNLNELESGGWRIEKQTQNLIIKYKLFEEESTVTVYLDSVFEANITKLM ALINEIDLYHNYVPFCQRSSMNKQLTKTCKICDIVVYFPFISDRRAVFVGEGIDRLMING TIVFVCKSIDHNIDYQQKHDIHFETNKKLVSLIINYYIFELTPINDNKCRVRAITNSNPQ VKYLPNWLIGYLARKMAHELFERMERITKNFEKSPWFEKYNQNIEFYKWIDQKVQKYFEL RQK >CAK93353 pep:novel supercontig:GCA_000165425.1:CT868671:684957:688075:1 gene:GSPATT00004222001 transcript:CAK93353 MLNNCYTLRHSPVFRNPKSNQAVQQLTTLINDTAGRLSPLQPQVKKIIGIKKNSSTDRQL PLCEVPFQTNFFAQHENDIAYSNRSNIQTNRQFSRNQRTQSVYTGNKENFVRSPTRSPFS KPVIQKDCFTPIYNRSPIQRVQSPGIIVGIRQTNQKGFGSISPMRIQQRVNIREPLSEMV DNLKQNILRDIQEVTKKYSNTILQANQQPEKIIQEQRETRFDEFKVQEFKKQQYLEHQLE LIKSQQQKLVVQNKQSFCSQENLMNATLDQKKHFQQRQHDLNFLKSLEYQIRSQSFVDQQ SNFQSLKQETQKIKQIQEIKKFTYDPQYQLQFAQQQIEERTPLQNISKYNQQIDYLQRLN NKIESISKQQHHLPEYQNPQGQKKNDEFSMTKLYQDQQLKNTIDMNQEKQCVNYFQSPFN LNQEKQYPVFNTARSGYSPIGREVHITNKESANNTLNNTKQILSTLNSQNELQKLKNLQL ELKQNKPVFEQTKQQKMELDIKKFQETGETKIDKTYFNPYSQTQQIQIEKSCRQEKIQEI NQENSNLNKAKQFNVFNSQQSQQQQKLLLQQQVSEQSQLQNQYSKKQQKFPAYLKGPNDT DDDVNNQITISNLSSIIPAEISANQHKKINVSFESSIEQQQLDYLKGSDCRNTFGPLQLE TILKSPKENCEILQEKDSPCQPEFDLNSSRYEIQNHNKNQEIPSNNEQEIIKSSRKFTKN QESEKEQSIKKSDEQTGEIQFFEQIEMMEKIVRQQSQAQSQCSQSRDSKSLENQSKQNNI QNSGSNFKNKSSQNKSSVKQSQAYSPMETKISIVVQPFQKESSGRKSSPKEIENNNVQIQ SQQSILINKNCDKISSGKGQRYSDYKEEVKQLDDDKQEQDYLLNNSTRYEQMNQSLSEFQ LESQTFKQSMQVNECEHISDFVIFSDKQNENQLEIIKKKNVTFNQQLIQQSLSKIENLQT ETNFSDFMKIKALDILSSDSIPVISQDAFTLTQLQPKGGISFLQYKQQLETE >CAK93354 pep:novel supercontig:GCA_000165425.1:CT868671:688119:693893:1 gene:GSPATT00004223001 transcript:CAK93354 MNIFNQHHFTPETPQLLQNLNLRDSQAAKKLIQLLCHSSEIQFPPIQNTKIKLSEYMESL TQKPKNLFQQCSEKIYDIFGQMDNRTIINSILDHLDEHSCINVLMLFYKCLQNSGLKQQI YEDGYLSEIIEKFENALTTPNSIYMKLSKLSTTGDFHMNLTKFLLTHYPTVYSKENNLQP RQSIQENSFQVEPSRQQNKNFKIPPLKLPQNSLEIIGVMTRSTRRADPFKSYSTCSNRFS HSIDSAIKFTSGSQAIHIEEDQNCKLNAKEKLQNLAQLLGGYCLTQDLSCLLLKQRRQHL ESLKRLGINTWPSILKEGEDPLAESLEQEQSKTQKSKICRLWGNILYFLLKDIKKIQYEL CPYSSILNYLCSSSFQKNKISSKQIHQDFDTDIYLKRQLCDSSKIKYDNGLISEQKIYFL ILDLFNCDQQHIKLQQDSQKEQSFENDESERSIESPHTVANIPEMMIPVKNELEKVVCNY RHSNTTQFPFLKHIQYRFNFILSTMDMNQNAFYELESDLLAILEKYLSYTHNRILLPQFL DYMIDILVMIKRQIIDIINCQQSSSRTPNQSQYISKSAKHYSQTINNMFKSNMFETSPDQ KSNQNQTQLFSQQQQFQASQLNFSSQQHQQQQQQQQYSTLKFKSQKPFIQKYPQIISIYI SILSRLFLRAINDSSIKFLLNVYVNEIFLDMIKNFSIYIFQELNSNKEENFEIHAMRILL FYESILLLFQKHDKEFKQQVRQFQGFLSQLQKVLQKFIEQHTYLLQNPQVIRVQVYKKAF EVLGLSFQYPNEELSSFMIRYCYVNFIKLYSQSNLNDMKEINQKIQEINLKQQPSSKQDE KQSKQLSQIFMNLYIKCLFCIAQNRSEDISRKFYQYRIVEFLTQEIDLEFDITQNREQPL NNSSSLKQEQNNQVDSSSNNERLSSKISDRPNVKPNQQSVHKQQLQEIQEEKQKQAKNNS QILLKKVLVPALDLEGKLKQINISSQSYSHSPQFNTSAKQQQNQTVLQKVSPDDVQQNHK RGNSMPFKSELCIQAQLSESQRRMLLQKHINPNSSDQKQPVPKLNLPNCQQEKEQSQQLK LNFQNQPKDELFYFSERKLRKIYSDEELHANCLSLLICLLIKPMRGTLDDLYCSQYPIIN GKPNILYLLHHHLNHPQNQNVIKLVLQKITNIKIIRLLKLLCISLFDIKQYTDWQKIASG AYGVIYQCKTALQEPEYVAIKQMGLPKSIYERCVLHGLFTEIACMENFRLTPQVATLYDY GVTSSDYIIVMKKYPQSLKEWRQQQKGSFLDNLGVYLSLYKEVLQAVQMLHNHNVTHYDI KADNILLDGMHVVLTDFGESSIFMNEEDEYCVRNRGTEYIKSPEMLTLTMNSKKEQDTYD RRKKVGTTRSSDVWSLGCLLFEILTGELLFFKKEWVQFYLQVTQPTEQLISEEKQKLLGN NVYIIDFLKYVLVRDQKHRPNIESVLKRFEHVHALLVTNNQQKITQQTLLNTKSFEILLE DYHKLVYYNDENVEIEPAQPIHLSFFKVTQEIFVSDQNYFKQNQEKLIEVGITNVISDTQ QLKAQDLHKFQYIIFSLENIAQLPDYVKAAQLVPHMLDYLRQILLHKGKLLIVENHSSYL RQALLLTLCYFFRLSAYEVHNIFNNQLLYFNVSNLPILNKLSIYSNQLTQHLLTYPRLQC ICGCVTFILKRDFNDEKRMQIQQCHCHGNMLCNGGAGLTKGCSQYLKFLRKRYKISWEQI KWGQIDFDAFLIGPFNKGSIRQESRIQILLSSMGSNHNLLNNQSNSDWNVYQCNKCDMWM IAYNRQSHDLLVIMNNLYRKNHNKFFGDKLKLPNLKNFSLLELR >CAK94127 pep:novel supercontig:GCA_000165425.1:CT868676:99:997:1 gene:GSPATT00026450001 transcript:CAK94127 MESNKDLGLLNIIDTMKKNIIICIFLFNFRGGGLYNEEGRKTGIWIEISESFNENSQITY IGAYSNGKKQKRWITKFYDIEIGGGNYDNAGQKINLWIELHENFGGGCEVIYQGSYKFGN KCGIWDIQHRQYADNQFQVMYQNLITSGYQMFNEFGLKNGINMELSQIFLNDYQIIYVGS YKNGLKFGQWEIKYRESNVEEFIEIGGGQYNDEGLKIGIWADIDDEFNNFNKYINIGQYI LGEKTGQFIVKQLE >CAK94128 pep:novel supercontig:GCA_000165425.1:CT868676:4994:5771:1 gene:GSPATT00026451001 transcript:CAK94128 MQEVLKRFILFLVNVQSLTMEQYKNGSKVGIWNDCYENQYFGGGLYDEQGIKTGRWVDLN VQFNCNYEVTYNGVYKNGLKVGQWNVFFNKEQICQGSYDQYGLKTGKWIDIFDAFNEYNG NQFSREYLVTYNGEYKNGQKFGKWGINYRQQNKTWEQIGGGFYDDDGLKNCKWMDLENSF QYVNIVTYSGEYKNGNKIGRWNVYQNGEPKNEVIQYEQ >CAK94129 pep:novel supercontig:GCA_000165425.1:CT868676:7171:7650:-1 gene:GSPATT00026452001 transcript:CAK94129 MDQNIKIMKNNYLIDRDYSTQQHNPLNDITNIQIKYIKSLQQSLQNSKLSKCSSNNNNKQ QEGSPIELFNDQNILDSLDNIKVFDPQQHPDHPSDSTNNYNSMDLSQARMSTIQENENEE DECVYQIVENHQQQARIENTKKQKDQLKIKQCKSKTLYY >CAK94130 pep:novel supercontig:GCA_000165425.1:CT868676:8866:10603:1 gene:GSPATT00026453001 transcript:CAK94130 MLVHEQSTQNDSADLKQLTYDEALTQVGGAGLYQKRAFLIFGLQWLITNWILFSPVFFFI EPTIDCKDNPECLSQCTSDSLDEKCLTYVCDDSQRRDFVVGESLNTAFNALLPCNKSLQS IIKSIVYIGSLSGFFVFSFIADNYGRKLALSISWGMTTVGSLLLAFAMNYSMIAIGIFLL GFGGNPAITVHYSFINEHSQGHFREIQNVGVQVFFAVGEFTIIALAYFVSKWRWLAIAVA LPTVLLNLCNFWIFESPQFLYSKNKKKCVKILNQIAKINGTQPLAMNDLATNPQSKENNS RVYSIWDLLKYKSLRFVFLAGVMMFFAIQVTYYGISFVSDQLGLDFFLSNFIIAFFELLA YATTDLFITKLKRKKNIIGGFFIVGIMSLYFIFKSDHIVFRIFQGLMRFLICVVWALAYV YVSELFPSVVRSLALCLISAGGSIGSIAQAFLINICATLNIHPMVAFGLIGVLCSLLLFP LRETLHQPLLEKIEEDDNRIRKSMLHINESEEITTSIPVLANNEE >CAK94131 pep:novel supercontig:GCA_000165425.1:CT868676:10966:11816:1 gene:GSPATT00026454001 transcript:CAK94131 MNDNVQTRVTGIFEKLNNIQNQVQDEKNSRFSIIAQLIGQFESNLRNASQQKEAVFSQLA NKFKELQVFLESENDNRVRQEQETQKMIVDLERHAKRLLETSQKERVDQEKKLTYAISQQ IDFISQDVNRQGIELLESHKYVDIYLNEDLPKIADDLQNEIDERREVEERIYHQFMEQIS DLRELFDRERKEREAKEEDIVESLREVQFRITELLKRNRKERETTEQEMVSLVETVIEKI KIEMLEMNM >CAK94132 pep:novel supercontig:GCA_000165425.1:CT868676:11823:12996:-1 gene:GSPATT00026455001 transcript:CAK94132 MKYMIVVLLALAATSYASKTQDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWIGAQTIEQFTKIKALNQKLFQQAVENRAEYEQVLQQTKNYLAWNEARRDSIA AKIETLQDNQCFSNQLFVKSIKHNQEALEVIKLLKQDVAGYIINGDSFEFAQVQVQSVAE KLKQYSNLFQEHQIKSFLALASGEQEQQSGSTLAEKVLAVLEGLESELEASLENLKQNEI NASWELAGWVSLSEAEITSLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQDALD QAQSDLESKRADYEEAKAKRNEENAILDEVIIMFKKQVASWSGR >CAK94133 pep:novel supercontig:GCA_000165425.1:CT868676:13559:14946:1 gene:GSPATT00026456001 transcript:CAK94133 MNQNDLYQIEGLNFTKGRKTEIYITQEPLGFKLLDPLNLSFKLLVYWSDETVLLDWNDYI EGICSNGLYFRLIYQGEEYKIHGSTEQLDELYYFCSGKFIFQKSNIFIKNSVIQTNSQYE LNLITNVKENKQYIEKRIFSSLTSQRLEMSNSFNTQLGQDNIPEEVRIIQLLNAQNCPYI MKIQSITYDGDYYSLIYTNKNLISLKQILKMQKMGLPLSFVIEILEQLLIVLNIFQELQI IHNGINLDMINYSLESNSIVVCNFSSSTFECNKGTPIKGNTIGFIPPEYLNKYLISPQAN IFQLGTLLYHLLFNQNPFGNDPQTQLQNNISGKYNILNTNVDKDIIDLLKSMMQINPQKR KTPKEYLCSKIFKPQYRSKISKNSFLSFLQENRLSKNFEFEVENENPTIQNIKSLSINKK KN >CAK94134 pep:novel supercontig:GCA_000165425.1:CT868676:16334:18206:-1 gene:GSPATT00026457001 transcript:CAK94134 MKTKDQVLKQVYESIELFYQDDNKTFGKKNKPRNEEPTMFDIMKWPRQQDEPWDFKKKIQ VEKEPETKKVTARINHENQHWQTENKDNFRKIEKLKVDDSQIYVQKLDEKTIKNIAKSCE CQNSKAQQANQPQQYQQQEDLDNQYKLPLKWNPKQQSAYRDNYQVKDIKDQNELIYQLEQ NNQNFKGQYKVVHPQGGFYYEESKKEEEDVVSSEEEDEEVNDQQNDDQVKKMTTKFIKKA KTLDPNNPEDAEKLKRRQERIKKFNGETRWIADSAFTTYFGKPAWGPYGYNNVNPTVGGV IYGQHLLSHNVQPHRNRNDPYYIQTYQNALRKGAAVANVEPEPPRNCREEDRLNPEQVEA LKSRNPLTPQPYQDLKKQLDSKGKQVIPEFSIKKPDLSNTLRFASEAGSVQGEQLQQENK KSQQKIKRPQSALDNRTQKSQNENKSKISNDQKTKDSKKKLDKKVQMQSESNLKVDDQLA IPDKASNKFIEELKQKKEKANRLTCKVNEINPSLLKKSPRQEQKNQITADLQEVKSFDDK NPPPNYLQSLDPIELNPKNYKGVPSDWLHRIPFAGKKQEIIKNGQQTKECFDYGF >CAK94135 pep:novel supercontig:GCA_000165425.1:CT868676:18261:19279:-1 gene:GSPATT00026458001 transcript:CAK94135 MRTNHQYNYNYKLDQIFSREKSYDLHLKKLNEIKSKKSHSQSRVQHIEILEKRNSIRQQR RRFDLSEQSERINKGNSQLYYKITEICNRPMQQDYYKYEDENLHHPHNLNLTFRKIQAQQ IQNENIKLADRLMKQEPVLKLDEYSHQYTENKRLMQRLQRYQQCQQYVNSIRNNLTLSNR DTTNNSSLSCKKKEKTQLQPILNVKNKSIDVSELEKINYLINQEEQSTLKELLQQEEKQQ QQDEKNQTKQELPEIPQLNEESQIETLRQDEIVESQIQQQIDNEVKQQSEDDVEQQ >CAK94136 pep:novel supercontig:GCA_000165425.1:CT868676:19304:19888:1 gene:GSPATT00026459001 transcript:CAK94136 MSQPSETKQSIHSGRMVLHPSLKLDKSAAFFKSTRVSSCSYVRMKQGNPEVISTISKAVP FYEIANKTPAEHETKSTYTTSTYADDYKVKPYLHVGSTNKLLEPYHTGSFRSRLPEPDAP ILTKNASQVELGERHFNVKRHFLSTAHNVYGNFGKFGNVTNPGILSEKTKWHHHLQQK >CAK94137 pep:novel supercontig:GCA_000165425.1:CT868676:19906:20879:-1 gene:GSPATT00026460001 transcript:CAK94137 MTTPLKQKFNIEDGSESEQEETQKKSSENQPLFQTSLFSSLPSNSKSLKKKPLAKLTLQD FLTNYDQNFDDVFGEKELPQTQDQVAKKKKKKTAKKKKHQSDSESEYKSLPKVSKKKQNA DSEQSNEKQMEPSLTKQSTSKNQKKHRLTKIDSSKLTSEEKSNEVKRYDPNCVDLPENTK ADKKNFKLRKFLFSFGLEQQNPDDDQEQEEEKEEQVEEQKESVNSKNTKKKSNQISENKD NQIEQLDIDKIENNNKKRKQPKKTMTNSRENEKQKQQQREQKQPEIDPKTMLNLDTLKLN VYIPECNPKDNDNDINQ >CAK94138 pep:novel supercontig:GCA_000165425.1:CT868676:20999:21638:1 gene:GSPATT00026461001 transcript:CAK94138 MNLQNPNKLKWKQQYIRKYRDLLGISNLRVSEVQPIANHITPVYLDHIDRASKMRSRSTK CNDESFQSKNSSCSVNRKLSEMNSTKIYSAAEMNQIIKHAKLCGLLNNNPQVSPHQQQHQ PLLEQNRKHFQEVRNLQRSWHYYKIRQEEQNLEFNRIPMEQHYQSKLSQKRRDINIQRLI QEQKSLYQLHSIQAIDATLSNSKI >CAK94139 pep:novel supercontig:GCA_000165425.1:CT868676:22280:22726:1 gene:GSPATT00026462001 transcript:CAK94139 MKKSSSQRILVTQDEFLRIQSYANKSIQPHSKARIKQKPKPEQCQFKPEINKQSINLQRT INDLYRWNQNKMQKIHQIKEEETREIQNNANRLTHEVTSSSVFERLYQIRKSSAFTLCNY QHQVRIIKKKYPL >CAK94140 pep:novel supercontig:GCA_000165425.1:CT868676:22761:23203:1 gene:GSPATT00026463001 transcript:CAK94140 MYQDYQRQFQLVDTTQSKSKTQATENSQLQTPIQHALDQELPNSINNVNIYIYIQQMKIS LPIHQYFDLTQQMQGSSDSLAQSNFQEKDIEVMVERLNNWQAKKLEREQLIAQEYNNQQA SISGHSYNQPKSYSNMFLNI >CAK94141 pep:novel supercontig:GCA_000165425.1:CT868676:23957:24563:-1 gene:GSPATT00026464001 transcript:CAK94141 MNQNENNSESIHAKLISRLKSKQLTILPPVLIPKQKISNFDENRWIDKIVHTNANESTPQ HETTQPDNKYKHRRASSLYSLHSLPKSQSELQLKIKTDKRLSPKRPNQYNKWFIPPNKRF TDLQAETQEYIQEQYIQEQYRQKQSQYNNMIRQSKHLTQFIRDFQKQHKRSPTFIHQA >CAK94142 pep:novel supercontig:GCA_000165425.1:CT868676:24855:30250:1 gene:GSPATT00026465001 transcript:CAK94142 MVIKKVFEDFYRENFLMIRDSFYRNQYFSFGLILFQTIQLQGIFLSENNFEPQKHEKKRG IMDAIIWILKSSKQTFDQNIRIYPLFQTETNLSQIYFIDVCFLIIVIVISLLNLIVVFRK NSQQKQTNQKINGLFSLKFSDFPIQLQTKQYFHVNFIKFITNALSFFLQTYKYVLHWMTI YCSLNIFNNYIADDQQANQNWIYTQGMIASIFVFLFFLILDIFMMFHCFDYKFKNKDCLG RKVTGFKLLQNIYIYACILIIIFTKQKYPNLQLLLGLFCNLFFLLLSTLQILFRRQQINT FQSFVLGQFIFIYIGQICYINNLFSIDFLNLLVFISSPIIIAVQIIIRREQFKYHFAVSL KDPRNLEEKLRIMYDLIKMTYYKDWRTFKKPIIRTYISLQLHNVAANHLRNCSGYLVEDG QPLQYNLSRQCFCRQYFQYSEQKSLTFWKRDLDHDTEKKYFAFKSEKQFKQFLFDLIRYY FERFLQNKSFTDNNEQFSYIYFLFQVQKKPTKAFYEAMNLKFKVKKLNQKRTMIIEQLIQ DAKHNFNQMIEKKDLKNQKYNFKQVFDFDSNLDTSKQNFQIILSNYKKWFYQLLNQQLQL QSIIKKGTELQLQIQVLEKQLFQLYQLNPVSTELDTIIYLFYKYLNFNIKRPKTTKRNST YANQFVQSINQQVFQRDSCIIYISLMNQRGSIQNYTKSFKSLILGTDQDIKYQNIKHFMP DSIAQYHDMYLDNFIEFGRMNIVMAEQRFLILKNKESFIIPVYAKVRLENYSNSDFGSSA LITQINQQNYYIVISKFGILEEISQNFYEDIIKKTFNCPPNQLKNLNFLRIMPCLLRDLQ KLEETYKNNTEIDFEFQQQNKDKVNYDILKEGHILIPRQFNLNEYIQLLRTSMTGDIQTY FLLNNRKVIFTIRTFNIILSFILMKTINSLHIILDIQNLKMVKSEQQQKVLKVIQNLCNF EGQHINIQQQTPKINYMHQDSEYKENLYKDNFDLFRKSQSSEYLERKYQDVESNLLLEDD VMITQKQRNIEEYNPFSKREQISLTLDSKSKENQQLLQMEFDNSKQYQRQNSFQLISKNQ IEDMDLSNKNSKDSIDSSSDHKSNNKITIHQNDGGSSIGSSQQQSDYLSKRRMIKDVLYS DHQFQNNTTKKGMLIFGLLLLIILYVLNLVFIIISKEKFLLIETNKHVSKNIECSFNLFI LSNQYQQILRQNQTTLPWYDQIQNVSINYYKQYIQVILNEQNTIIEQSSFNQQQNIIFTQ NNQTLLLDQLFIFKLVGQQMIDYIKNNEVDDNNSIQFIIDNYLVIITDMLSELNSSITTN INEQIDFIQTQHQLSIILSEVTLSLLVIILLPVFLVINKQKNTILQFFTTFPQSELQQQF DVYQILLEKLEETKFAQQETNQYDIESVHIKKFAKTIRGIKDSNHKKQQHGKLKTIIGSN ATPLIIFSILLVLLLFSIVSAYFITSYLIQFQFLNEYQNYFQQNTIYSTLQNEILKENSI ENIIINSLISQNTLEVNDTSILQLIQYQQENQNQALIYYSQELTGKLNNDDSQLFEILSN NFCAVFDSLLISHLSNQQYLDYFSYEICESFGNQQSGVSVTLANFITQMNQFYETLSIFE CNINILNPQNLDKEVILLSNKELQEIFIYLTFVLQVISDYEDYQLRDLIDSHFVSQIVIF AIGATFVCVFTFITEKCFKNLISNQINQSKLLLTLIPFEVLQTNAYVMTYVLQESKKIYL >CAK94143 pep:novel supercontig:GCA_000165425.1:CT868676:30306:33529:1 gene:GSPATT00026466001 transcript:CAK94143 MLLTIKYQNRVKQLNLDRRINVQSLQILLGQLFSIKHKIIGLIDSDGNYVDLVQFIQQLN YTKCSHYTLVSEDGSNILENTIQQQTSLKYSSISDFNNKLQFIDFIYDIEEFQNILGDDQ YSCIYLIHEKDPSALDFLSSLEPLINNLNCWINFYYSFSNNILDWANEHDCQTKTLWVYK GSKKIVSILLNNDKKMRQMDEIIQKLVQSKIMDPMVKNSNIRQTSQLSSQQSPNKRRSKS IRKLVEDSQIHSNQSSYNSKLIGSQYITRVQAKVTQCAPSRGSDIPEDHVSYNISNPNDS IINQQDLLFRVVAELEDKELLDVTMARLVKRLLIEENIEIITVLLQYSQRSIDIYRLSER LNNIIEKQKNQQRPTSPFQNLKQTKQTISNNIKEQNEDVKNFILDINNYNFTSEQYGMIN MLWNQKDQILIRLCTDIYNKESRKEQASLKPLQLYADTKFNELLNQNFKKPEISIIQECK NTKSGSIYATLQHFRYETNVDYFVNDLKKALQQIHNQNLHLTVSEKQPNQISKEINLFKF QSPKAPSPLCVLMPNFQNLANEALSPPPQPKQQKQEENSNKNYQSYGKISNELQRVQLFQ TFPAQQPKLEQQNKEEVEQQQNNQQNELKQEQSQRKQQDVAAKDIIFSEENFIQRKREQR IQYSQKIEKLYSIQTDKDIAQDFQDMINQMELDDQKLKQVEQLFSDHNEQLYEIIKGFQT SRIVMNTRAKLIKLLSEKQNDVQDYRKTKMYNLFMNQVRQFTLQKHLQENEKVYLLKMFR ENDLQVLGTLETYLQNQDAEDMLENLQMIIKQYGKFTNINQLETDLSPLDQPHLDTPIFG AKEILSTIKQYFSAEEKNRLETIASGWGENDIVELYSQFQQDQDLNGFIASIKKLSQQDI FKKLHQPNSFEDVLKKLKKDGLLKVDDYMLGLLNKKKNEQRIKGVFEIYLYSKNVEDFVE SIKSIQKLLQQCNINENIIIRNDTENLLGDDKLIKLKEIAHDYHKDYPKLVGAFSLYFEQ QQMDPEGAKAEILETLNIFAE >CAK94144 pep:novel supercontig:GCA_000165425.1:CT868676:33583:34305:1 gene:GSPATT00026467001 transcript:CAK94144 MFSSIAVSLQDLRGQRIISTNGTSNYRDFQRLPVTKTNYKRQYLKGASNRVKSLKEESNF VQLCSERKDNEKLFKFNKQGQLLNYRNFHLHKQYPLTQRDQQNEVDSLNKINSGRQMFKN SSLKLITDSNNQLIELVCSSEPIIQKIKKTNSISNVPKVEERNNNTTNYSNTKRISSANK HLQLIISSAINKEDLLFKSTQSTQNYTQKVIIKEYKLDRSLKSKIPTSFDGTKKFLKQFI >CAK94145 pep:novel supercontig:GCA_000165425.1:CT868676:34543:35196:1 gene:GSPATT00026468001 transcript:CAK94145 MATADIIVFKYCNIQTTHGMPSKKEVISSKRIFPEQHNRKGAIQLTWDVQGVFPKIAQKI GVSKVPDDPPLVQVIVKMQPPKPKYIPPPLPQNYVHPPIKKQGKKTGKPEIVAERQSYEE SIAKKTIRQSRKSLSNSNYGKEYGGFYSMDRCFLKRDKEFIKKEVKGYGNFYEKSPEGRF VHVPQPPLSYKYQYVASTEL >CAK94146 pep:novel supercontig:GCA_000165425.1:CT868676:35301:36349:1 gene:GSPATT00026469001 transcript:CAK94146 MLPLMCAAPYLNYPQLNLQQFYMLPTSTYALPIPVKETCDQACQFPEPVEQNAVTNNMEI IAEQELISLLRFLSKNISLLQDSVFEEFVQENLKTLSSFSKDIPNMIKKRYVQVNKTKEE MTKFIIRRCFLFIKSQIEYEEKEGMSAEERDRLFYHSFFSDDKEFMKTLNQESIDDMIPF RKDSKMKTMNETYLRKLFASERFSSFYAKFLSSFNEICNHENDEKIENMTKQIIKIIITR DFGKIKSYRRFPWKDHEILKCEQRAKEIYSKYSNYSKANTKNKFLSKLDSHTLDILEKFS ESSE >CAK94147 pep:novel supercontig:GCA_000165425.1:CT868676:36354:37250:1 gene:GSPATT00026470001 transcript:CAK94147 MNQYLATHDSSLNTSLSKQKYSFPKAKREPIHNKSATNELSYKLPQNLGSRMAGIGYGFK HDFSKDAKPVPAPNLYEIQSFAENNVDKKKGPTFAYSRDQMKAHGIWGSLNLLSPGPGRY ASTNSLVDNKFTFGLKSGSLKQFNTPGPGTYEAIQITNQKGNQYISKFRSSGAAIIGKDQ DRFKTLQTQNSFPEPASYDISNTGITGTGFCHKNGFKSTVQNSFPKATRKGPFDLGAQSP GPGSYKVYSEFE >CAK94148 pep:novel supercontig:GCA_000165425.1:CT868676:37360:39083:1 gene:GSPATT00026471001 transcript:CAK94148 MGICSSQSQKSHEKPTENKKSDSAKEEQQKPETLTQNNQQKQDSNELLYCQVQGDTGGLV ITSAKMGRITRDYTLLNPPLGSGAYGEVRKGIHKQTGIIRAVKIIHKSQTTKEEQERLMN EVQILQKLDHPNIIKIYEFYQDDRFFYIVTELCTGGELFEKIRYEGSFSEKKAAEILKQI LSAVNYCHQEKIVHRDLKPENLLYEGDKENSLLKIIDFGTSREFDVNQKLNQKLGTPYYI APEVLNRKYDEKCDIWSCGVILYILLCGFPPFDGKTEEKIMEKVKKGQYSFESIEWEDVS KEAKEFIKKLLQYDPTKRYSAQQALQDPWIKKFTNAAEVEQPLMKKVLTNMKNFRQHQKL QEACFKYIVNQLATKEEKQELLRTFQSLDTNNDGKLSKQELLIGYQKIMNADQAIEEVEK VFAQVDKNNSGMIDYTEFVMATIDRQQLLSKQRLQLTFRMFDADKSGSISLDELKQIFGG ISEEMWKQVVQEVDQNQDGQISLEEFCAMMKKIE >CAK94149 pep:novel supercontig:GCA_000165425.1:CT868676:39226:40140:1 gene:GSPATT00026472001 transcript:CAK94149 MFRNLIKLGGCLGLLSSSNILCNQIKGKNSEDQLPHFHFINDHDLQKLQKKHTNLQIIDR SYTEHILSVIRDVETDIVDFRKNADRLIRILIEQAISQIEKKKHVKQSPLGYYDAHELKF SDEEICFVSILRSGNAFLIEALKIMTGASIGQILIQRNEETSQPSYFFQKLPKNIKDQQV ILVDPMLATGGSASMALKILKNHGVKEENITFLTLVSCEQGLSKLFSEHPKIKIITAQVD PILLQDINYLAPGIGDFGDRYFGTVKSSQGQQ >CAK94150 pep:novel supercontig:GCA_000165425.1:CT868676:40157:41795:-1 gene:GSPATT00026473001 transcript:CAK94150 MYRSQRLRMKSQNHLSISPPIIKRRLRNKNEYVEMNEIEPTDKKKQNILQDIAATKQKSL TNFALTVTPKYGQERDYDDDEDILFHSKGKKRPWSEQEDNLLIKLVQMHGPQKWTFIAEH LPGRIGKQCRERWHNHLNPQIKKSHWGDYEEWILFLSHRVMGNRWAEMAKQLIGRTDNSI KNHWNSAMKKRIPEMEERLKDIRKRGGTQQVNYPIGMQNSELMNSFTSLERQLLQKLLSS QQNGQMSPRSYSPNNMPRRRTNKQQNYLNPNSVNSYIQKMMNEIKIDGIESYSMETKMLK KTAKITDSIFWNEDKADDIQNNLYEYIQEKVGAHGYDQDEKVDRWIKDFWIMCQDVFTVS VIKDFLSQRPSFYYNYLHKYKQDSANQVSKNLSRIYEQTNNSDEYQLPSLNQFKTPLKEK PDPNQNNTNDSHNNNDNHHHNDTHSPSNICLEVKYDDQFQHQIESPSKLLNLLTPKHQNI QQSNKKTPNLKSENKSAIQHSQFKDNLSLSKLKFDTTPLKKNSAFKLYNKLNQQQQL >CAK94151 pep:novel supercontig:GCA_000165425.1:CT868676:43083:44633:1 gene:GSPATT00026474001 transcript:CAK94151 MVIKLNYGIWMRFSQQEGSFWPQNSNSTYYYNSRQTSTEMTKYPEYQNNHQDGKLYPGFN DYQSPPSFISQPQFAKQGTLKEESEGLMQTPPPPQMPQLPQPPLPQQLINQQNVMVIEGQ RYKVYPGQQMQINQNSFLYKHPNIDVKIQSYQEQPGSLFSKSYILYKITLDPYGYFISRR YSDFDKLREYLVKNYPDYYVPPIPKKVTSSTKNKIADFRQLALEKFMNTIIRQFWFDSLV DSFFSCQNDNDLQNKLKQQKQRQYDIQNLATLNGQIECQISSQIEGFFSYQSSTFSKDLE CYNNIRQISKKIVGLNKQLSQEYKSLADQFVNLQQRNRGQNEQIPEKVDEIYYKHLNQMF LTWSRKQEDIGLIVTKHLSYFYKYQNQVIETLKEKIKYRDSLRDETLKQLQKIESKIDKQ FKELNDSQIQQRTQTQSQEIFTEKQQKQSLFPKETKQYEVISDNFGYFNNNIYQQIQIIM NLSQYQTTQTILNMQQKMAQIYLDMVKENEQLFVRN >CAK94152 pep:novel supercontig:GCA_000165425.1:CT868676:44787:46856:-1 gene:GSPATT00026475001 transcript:CAK94152 MSQYQFPDLDNFTTQEVVDLVDDYYKFNSDENHFFYFHEIPILFKEIDALIKKSQFSNSL WIFFLNYLAVVSETMQGRDLPFFQFYDIQQFDVQNNKINLRNETDSIVQTLTVEESKKQI ILVKLIKKYFLIILYHEVIYLVDYSKNNQEQMKMIVNQLTDGLDYEIRLIQKFINPEASP YYFYFLLSFLQGVKIDTIQLTEFEHKICHDRVVWLILSFGFDQIEKEFQEQIEIDQQNIL KLKQNQALKELRKQRVAQLQPKKLNKVRLQTPKSKQEREQQINLLNERISYLKVLNGVGD DDDILTSEIFQIKMKKLNKDLETEFQNLDQIKQNQMLYSSSQNQLLKNASQILQGKFDSQ LFQGLGLQLQTNSKVETLKQLQEQNYQSIVDPIMRQEGKKLPPGKFVTVQKDNLIAMKYG IKISRDALYDLRNGRVKSEIVDFYFKFLQEFSSLCNERQAFMQIADFDNLIFEQRTGKEA PQSRIENYLSNFDYIYFPIKQGQVDEYSLGVIDVQNLVIRHYYLCYQKPKLPVSSLQQIF RSWQIQEQDVIDEVQQRIDMQHRFLQFLIYWIRKQSYHYKMERISNMKLWKIKIEEIKTV SQPYETGMYLLCVITNFCLKKKKVDTFQNKDVIREILENVICSTGLQEKLNDRTAIEYFK KRIDAI >CAK94153 pep:novel supercontig:GCA_000165425.1:CT868676:46903:47619:-1 gene:GSPATT00026476001 transcript:CAK94153 MHPIIGPKTVASQLSKKELNEKEIPDNKNPNAYIIVRQDSLLNPQLNPIETYSIQDSPNK TIPIIYNRPSLSKVEIFIDLCTLTLFIYTFYEFVSEYDKLPSTVDVDFGFDSSFEQIDKE YLALILLGDSVFLVLLSILQCFTHKFKYLVEIKQTNSEMIFRYTRYFISSYKLSTMALFM YATISIFKIIDKEWEPYTLYLSSLFSILFIIFGMIYYRKMNYIANNPFL >CAK94154 pep:novel supercontig:GCA_000165425.1:CT868676:47649:48915:1 gene:GSPATT00026477001 transcript:CAK94154 MPCKLLILLAILSVSLQFDEDVDVHEEIEKLKQSQFGQTILDTVQIQLSGPEPLQNLINM LQNLNTQLEGEQDRDDRLHERYQSLCENDLSTLEDIINESTSTSKLLKGQIDQLEPDKQA KLTDLARTENELKELKNELEYQTEKRKEEQVRYEKTLDNLEQGLYALNEAKKMFNTFLNV LIKNQQRFASLIQVENQDKFLNKNYSLNDEDDITLVEIAQQINKIKHNVKAEGINFLLHG ISHIVNLLSKDPTAEQESMSRKVLEIISQVDNWIQKQRIYEDLCEQQRKDAFGALAQMLE DQIILKQENLTFLQGSIESLNSQITSAKNEKAEVDVKIETKTSQNDDRDTECRNEDLDYQ SGKNKRDKEREELGLVLDLVINKIGQLKKEILQK >CAK94155 pep:novel supercontig:GCA_000165425.1:CT868676:48972:50264:1 gene:GSPATT00026478001 transcript:CAK94155 MSYSQRNIQNLPFYSPAKFENDQKDLMISQLKAENFELRQNARDYQELASHLKSLENRYN TLHDEKMRNEVDYRNKSDQTLKTIANLRNEIDNLKSQLTEKHIESQEMRAENLAFKEITD HRSQENQRVKNDLAQIQEANRKLYEDKLRLETELQAAKDERKRLLHDREILNNTYEDVLD KLNEKEKSFSQLQSDFDNLEKQNLIYRADVENINNELKTKNENLKYTRMQYQEAQNYISQ LQNDLEELHKQKEKFKQESLLYQKNYQSEADTCMELNAQVIQLDQTVKHQEKTIIDQRNE MERLKSLHMECLETTEELSHELEQVKRMNDQLEHQHREVMEELDKMSLAEEQAAMARASK YKELKTKLIMGTKHLTQFQSPFKRFSTNKKPQMKQYEF >CAK94156 pep:novel supercontig:GCA_000165425.1:CT868676:50430:50918:1 gene:GSPATT00026479001 transcript:CAK94156 MYELSQYKDYKVYLLLRNYAPKMRTDKKYELIEKVNVLNFGLHHVTTFVQTNKSKQVLIV YDVDPIKLAKVPLEFIKNKQILGGFIHSKTAIFIALIKDRKEDQVQFDNVEKNSIQIILK GSRFKITSQ >CAK94157 pep:novel supercontig:GCA_000165425.1:CT868676:51082:51351:-1 gene:GSPATT00026480001 transcript:CAK94157 MQKYVAKNVALNFKNLVIGGDLKTINPLKRNEEQFFKYYDQMFMKNTYIQDCLKNELLRI PVDFFQESRYDDFAEDHFDFLEQEHQKQK >CAK94158 pep:novel supercontig:GCA_000165425.1:CT868676:51607:54017:-1 gene:GSPATT00026481001 transcript:CAK94158 MITTNRDLMSSTSDSAEYSQNIQLSRKKIPNKQFSLSPFALKKQDLINKRSQSYYSHNTS ISKIKPLVDYKSKFKQTTKKLIIIMSFFQSLSQYSKEQLRQRIEYFKSKKQNILPFYPDQ YFIIKWNSFIQLLLFFYAIVFPLHLANLINVDQVLMGIDVIFAIDILMNFTTAYIDENYN LVKEFKLILLNYLKTWMIFDLISVPQYQLFIQQSELQLFKLLRLIKYFINKPQKNYKGQI FYTSNIIDSFSWDTNYYLSEGWIFLSNIILNALLLIHIFGCIFLFNDTHYNYITGVYWSS QTLFTVGYGDIPQNYSMSAIWIIISIGYYSVKVGDFAKLLMQSNLSNDDNQYFLFDRLAF QTKMPDDLKDSVQKYLKTNAQHNQFWEQDILYMLNEFHKPLQTYFTLSVRLDLCIKIQFF LQDVNNTQSLLKSCRFITYEKNEMIYRKGQISDEIYYLIKGDVRILSKNRFNLLTILQGT IFGEFEALNEQPRFTYAIAQQRSFILIVQFKAFINCFKKSKPINFEVSQLYARRKQLILS QLKLEKIELQRLKRKNLIIFNDSSPKFNNRKIDENQLQNNQSYHYNFLQRIIGQAKLNKQ IVYQRFQFCVYRVIDYIRLIDITPPEDWKDLNEYSTITKVIPLKLLSKRNSLFKNQNRQS NFSMLTFNQKLRLSNYILVRQQEINIQRKIILFSKLQRERFKTLKEIYEKYECSIGNHRY GTNMLDFGGYSKFVLLQSKYCQWKNVKQNEEVKVNNNIVQKINKLKKDFSHINEIWLEGS LLKFDLHKFLNQI >CAK94159 pep:novel supercontig:GCA_000165425.1:CT868676:54051:54782:-1 gene:GSPATT00026482001 transcript:CAK94159 MKQRDHDRNALFPISEDSQYTYHRYSPPMRPKIMPNQYINRDQKLIQLSAHEKSIQDKRS NIFYEPTRLPISPPKCIEDKPKSPNLDHRQRMLYQNTSNVLPGYSYQKVKQVCESRTKVV EDPNNQVKHQNQNFSDLFDRHCGDTKVNQRVRSTSPQLDWTAHDSVRNAKDCTTNEYTTT NKPKSPLKATEISNLEIKCIQQAKELKTYSKLHGKKAPQKEIVYEGMLKWKNNYK >CAK94160 pep:novel supercontig:GCA_000165425.1:CT868676:54817:55272:1 gene:GSPATT00026483001 transcript:CAK94160 MEVQNIQYEQCEFCNRKFFEGKLKMHLKLCSKEKPMIFVQKGKEELKKKRSKSMAKPKSQ GPKKTNRENSSLDRSYITTAECHICGRKFNPRNLESHLELCQKNQKELMYKKMLIEKQLK QKKITTYHCKQCSYEMEQDHNFCGRCGFKRK >CAK94161 pep:novel supercontig:GCA_000165425.1:CT868676:55842:57953:1 gene:GSPATT00026484001 transcript:CAK94161 MKQRPTTAKVPKTIDQREIQQLHDLDSRQYQLIGSNLSQFTILNELGKGSYGVVYKVKSS QDGNIYVLKKINLTHLKPKHQAEALKEAQLLRKLKHPNIITYYMSFIEQDNLCIIMEYAE GGDLQKLLKDYKERRKFMQEETIWEMSRELSSALQHLHENNIIHRDIKTLNVFLTKDKRV KLGDLGVSKIFNSDTALQGTRVGTPLYLSPELVQHQPYDYKVDIWALGCVVFYMAALEPP FQGENLIALGYSIVNRAPKALPPQYSTRLSQFIWKLLEKIPALRPRVSHREFHSRFYQLH RQLDDALPQMQYQQHQQQRPQTSNPKSYAMQAQETEKMKKTRKPQQQDLISNGSDTIYMG DVIDMTQKQVENEQISRAQQYQQLLKDQQEKLEKERLERERIDRERQERMDRERQERLEK EKQERLERQERLEREKIQRLEKERQLKEQQEQDRLKQLEQQEYKNTQQQQQQQILKIQSN NVELYIQDIDDKQFQEERKNSFSLEQGPKFKLEMKHSKPQNTYKQNVQIRPLSAQARGSS FANISCRAKLIPVQQNQSVKEVKKFTILDLNPTSNQPSVHQEVPLPQEPYIKYPNSNGGQ NAVINNVNNILNSQPNKTKENIRIKSALIIQYSNKRQQQDQSSNQIVKHYTLKDLVES >CAK94162 pep:novel supercontig:GCA_000165425.1:CT868676:58635:59216:-1 gene:GSPATT00026485001 transcript:CAK94162 MQNSDNSIQEKILTAQKYKEEGNVHFKNQDWKKALTCYHKVFLYVNGLISKDDELAQYSK NQLTSQEETNVILQLKSQTYGNMAQVYINQQKFDKGREAALNSLQISKNIKVLFRLAICN IELNNLEEARQQLLDVQKQDHQIDISSQLKQIQIKESKQDKVMAQAMKKLFV >CAK94163 pep:novel supercontig:GCA_000165425.1:CT868676:59685:61321:1 gene:GSPATT00026486001 transcript:CAK94163 MEERNPQSIGYSNGIALATVHGGNEQNKLLHKISESSSPMKTDFQITQSKEIYTDEEMKN FTKRGIISLVGGVILHLELGTFYVWGSISPYVAAWMREKDKEVTLNFMAIIFPILGVITM SVLSFGIKIAEKIGLKVTIGIGSFTIALAFLIISFIQEIGGFIAVYCIMVGISGGLLYML PIICGWRYFPNRRGLVSGMTIGGYGFGSFIFNFVCKAIANPNNLKPTVVEVEDGKDVKYF DNEVGDKVPLMLQVLAASYLGLGIIATIMIRYPSEIDPDKMLATLETEELKKRKEGADAP PPPVLPAHKECVEIGRGMRHPTFIILQLIVLMSCTFGMLISNCYKYYGLELGIDDATLTA TGSVAGVMNGSSRFFWATLTDKTSYKFTFTLISILNLVTTSILPYNKDGIGYLLLIAVVY LAEGGLLATYPVICAKIYGKKIGGLMYGFMFFFVGVSNMIGYLLYAFARKKIKWEGVFWI CFALNVIGIILGLILKETGYDWRDQAVIDAEKERELENKGQLAANQN >CAK94164 pep:novel supercontig:GCA_000165425.1:CT868676:61407:63162:1 gene:GSPATT00026487001 transcript:CAK94164 MDRNGESIFTSNFWREINEQFFMVLSPVNISDIRVFKKKFSNYVNLNCHKLLDKLLRHNN RSKLSQFNFINLKQRISLLFQESQQHSEPIQNLIDEMIDQICFAHLIEGLQQTQDLIKNM SQLSEQQQQIIYNQENQILSNLHQMIDCQDELSQHIQQLESQLEQLDNQNSIQQYNTIPN IIRLEQHVNEINNSLLFTYSSQILHENSLKLTRTRIQNHKEELSALKDLIILHEDYQVAE SIGKATFNEQSNTITVIFDKYLSQTQIDLNLEHFANLQKLREVPYEFPDQLPGLRSDDVF EMQMCHFCKLMVDVKNLKQCSYNHYQMGLHEYNEDLLICQRYQINAKSQQSYFLDLYSTN YIIENQQIQCKRYFCLKCLKHEFDSYETTQFLWICPLCKGLCSCLRCSRNEIIYKLKRQY LELNGNLEDIYQSSYFEILVNEKRKQIKNIPIEFINLIKNKQENNDDTLTPKSNLKHKRS MTKGMMKKKMKKNDSAQKSHKLTHIESSSSSVKIKKSKEIKKRSVFLNNNQNDPSNQQIF IQ >CAK94165 pep:novel supercontig:GCA_000165425.1:CT868676:63480:64671:1 gene:GSPATT00026488001 transcript:CAK94165 MLNYELNEFVKKAYKHNLLSKTIQNIPQSSIDKEVKDKKLNSKRICKQRLKKETKTIENI QYQKISEEFTGRSSSKLSSSIMIRQERRNTQSPQKLMRILSISQEEVPQQLADVLGEISR IELETDIKLRCKTIQVRNIRIKKQQLVKYLQRYYPDVICEQIAKVFKIPQILSFNQYQQW IQKIENLDLKQQIRLCFFVYDINNQSEITTNNLVELLKYNTNPAIELDILHMIKQTKAQI SNQINNDIKQMNSFTLPLSVLRVKLDQSVVLQQRRQSVLSNDGRGRLFGKISQRNSFDLN MDVDQDSSNHAKNTPTKKKVMLITQINNNNEQDYAFSGIIQNNKSEKKKKKSKILKDENK ISIDLNGFLNIWFPQKPNLFHDLLRILTAKP >CAK94166 pep:novel supercontig:GCA_000165425.1:CT868676:64749:66026:-1 gene:GSPATT00026489001 transcript:CAK94166 MRKSPLLKENLRLNNNQRPTYLSKSKKKTVSLEKNSNSNRSLLNEIQNQTPNQPKKITST QPSDKKLHNCTQIYTNRQGQILKSLMKIQNRTNPILTQQNCYAGIKLNFNNYLSNEEKRM NTNQCNSLNQTAQPSPKAKTISLSTYTSTLSPEVRDKEMRQEKITSFKQLIQETKECLEN MKISDLKQSIDKIFDNTIQPLLQLKVLDILLNQNEMIKQIEKCLNAEQYQIRSTIDSLKK MEDDILSNEFNIIEYMTMGPFNEIMQIYQKRFQEHSIFTQQLTQQELVIHQFQKLHDNFT QQNQLLCKQLSQSFQTYLSLFISFQNKEDFYELKDNNTTHQYTQNNNQYTQETLFQTCET NNSIDEDQVIMKDQQVVRIPDKTSQFTQLLMLNNQKKMILSESPQFKN >CAK94167 pep:novel supercontig:GCA_000165425.1:CT868676:66062:67360:1 gene:GSPATT00026490001 transcript:CAK94167 MQMELYKERQQSNENSNQLKPVEAFQSLVQYVNELTDIVNKIKVKNEAEFLIAYQNHMKK IKAELKELRSKMEEQLGIQNQNNVKVTSSDNELVINSLKIYQVYFREECLKLYQKVELKN KEVYELRFKLSELQKSNEFLESQIKSLIKKVKLQEIDKELQPNIQLDQTFCTTTPANQHK FQKRRLTDYQSKVDMSSSLSKHHYSSIKEMFPADDLNKEIVKYEDILDKITKYVTIIEQK YQNQNRILNEKVQKLLISQNKKCVIRSELENFFLECVETVRKEVQKKRPLANFQQYQSYL DSVQDYSQFYKEDKIKILELVVSNEKLLVFIFQKLFPNHVNLVLQSIKEDTNLKSYLDQN LKNSIPNHQNTEEIINLNQLVTNYKVEASKTAREVRSVSLNKQLEVRRGKLLFKQF >CAK94168 pep:novel supercontig:GCA_000165425.1:CT868676:67587:68559:1 gene:GSPATT00026491001 transcript:CAK94168 MSQYCIYEDHNEGTIIGVCTKLNCKYMGASCSTCLQSFHNKHFQEFFLDFKGLLQNWQQK IKTYCKLKSLQQQFNRLNIDLDDMIVRFNIEVQEQNFEHYTLQELKALTQKLAYLGLIEQ YINPLEDLIQRMHAQIKSVKDLSLIQLRFSNELKSDNIVLNIQGSIASTSDEHLGLVLCE TGVNKDSQVQEFTFKILKMDWMAIGIGHKDHIQCNKKKFDIWENSQHGCYLIDSIGKVFS PGDNNNKKNNFSLKINDIITVKVDKTNKNVLWTDQNKQQLSVKFVEQSQIMEFDVSQCIC PVVCLRKSEVQVICQS >CAK94169 pep:novel supercontig:GCA_000165425.1:CT868676:68807:69580:-1 gene:GSPATT00026492001 transcript:CAK94169 MIQVDDNPQHINLNLFQKINNGKYEDIQIKIQKLESTFFQCLSYSKHEQMQQNEKLQTDN SQLHDNEHNMNKDLLQFEQFEKYIAQYFAQLRQQFGNIKSICFMTSQQLNLFFEEALEQL NNKTKLFQDILKLKLIQSSQDLFSKVYPTKTNLILKNWYLHNYCYPYPNQMEIKKLINKT QLSKKKILNWFINARNSFKRKKQKKQKYKILVQEHYKALVQPKKQSQNSYQEESNQQILF >CAK94170 pep:novel supercontig:GCA_000165425.1:CT868676:70344:70724:-1 gene:GSPATT00026493001 transcript:CAK94170 MNTIEKENHVRSQLNREFQKQMNLKYIYPKYKPQQQTSPIPQEIKIIEKQSPLCSSVQAI PQLITQSLKQQQSGIAQQTISPLKPQQQAQPIQQQQQQQQPKKRIRILEVNDMY >CAK94171 pep:novel supercontig:GCA_000165425.1:CT868676:70765:73990:-1 gene:GSPATT00026494001 transcript:CAK94171 MEFNAQKSVFDLVRLSFPQQTEENTLINYKSYLYRILNLKTKYSEMDDMVIEKEINEIEN KVLQSRLRECISKLKKSTIQKNQEIIHFVIRVSQLGKQEILNNSQVKPIFENSNHLKQSM EIENQQTTNQTINKIENSLIKSVIVERQQNSIAQEVNDRDLMKDLLFCLQGIEGQYIQYD ASTDSFCLRKDVNVSISVRQLVNLISECGWLFKKISSFCQIQQSNLIVQALQNVIKLELS EYYKLIANLEGLILSSQLITFKRIHFHLQSSYDYMLFINQLLSIIQTSNPLGTTSCLIIN VLEQFSKHGCSQTAELFMRLQQASCQPLMKYINEWMFEGNLLDIANEFFIERDDQKVLTK DQGELWRKQYRINYDKVPVVISYDEAYKIYDTGRAINWLRKQCDNKNWYLDIQPLTINML QTKELSQLINQANKNTNTQLVNLLFDKFKLMDHFKMIKQYFLLGNGNFSQLLIETLYTEL SKNAHLVYKHTLTGLVESTLRLSNANSIIQQRLSVKLLEAQKNDIGWDIFCLDYEFEEPL KTIFNHRTMLNYYKIFNYLWRIKRVEHTLIQSWMQQIKNKYHLNAKSNVNKALNLSLQIM NSMIHFIKNFFSYLMLDAIETPWKKFMDQINQIENLDHLIQLHEQLLNEIIDRTFLHQKQ EEIQIILLKLFEISFRYKQNLENLFLYVRELVAQDRSDKFEQSLDSIISHKTTPSYNINI DRQFKGQEIIKLLLQLRQMYREQTLELIKHLQNEEKLKFLLFKLDFNEYYYLLSQEKLFS LGFDKFLQQCLPISIKETGSQKVISDQPQPQQQKPLLSQLSQKSMTSIQQDYIQIKEGIR LLEEQKKQKEQQSKILKQSQQREVVQELQKPISKPPLPFPQPQQPMLSMSPINKQSTTSS VSSSNIFQPQPLQNFQQQFEQQIQQQQQINQRLQQLQQQQQQIQQFQQLQQQPQTKQTAL PKFINSSSQMYQVQQSYQPNFNNSVPFSQNQSQSQISILQPNRNDLSLSQDENLQQLMDK QFNVDDDSSDEDLI >CAK94172 pep:novel supercontig:GCA_000165425.1:CT868676:74242:75956:-1 gene:GSPATT00026495001 transcript:CAK94172 MNCKLCKQSSQQLIFEKLQIKYFEAFNFYFAKPINEILSQIANTPHVIYYKDYVILDEQQ EYMKRYYKKEEVKPRLDILIDFYVTNYKEAHPYLLVVESHTLMAKRNKKIDKLYYQRMQE SENSNKSQNPVVVNNVLNSKLSSKSQISYETVEHDIYSSEDQPQKQSRIDFKGITLLNNP EESNLFLEMLDKQYQIINKITNKNKLLPPNFYIKSPTQSQQNIQTNLIKDLHAMKSAKRR THNMDLREKKAIFANSKSESKHSQSSFKMSRIKDSVDQQGDQLCDYVSGQIEPSDLEGKN KMSRIQNDNFTVQKMLKEYQGNQIRQLRSTISQDLLVASTSTLIQGFQGSQKSSKQDQNN NNGSIQNISQRQEQLKKVYQPAQKKKINQNQLDKIAKGGCLTDRNMKQLPISEDLFNKLH DIKIKSSQNAESQKKPEFNYMIPSQYNAMSTFKIGNNNKAKSQYAKKNMGLDLATKSQHQ QQGNHSQSKVLNINTQKTDDIVKKYIQLATQSIQNHKKFDFKLNLQNLNNNEPHLDEELC IYNQLYIKQKINV >CAK94173 pep:novel supercontig:GCA_000165425.1:CT868676:77080:78202:1 gene:GSPATT00026496001 transcript:CAK94173 MSNRITKTKCPQPNNQGKTDKDLMKYHILQKINKPLVPEQQIIIKTQPDEELEPEDFKQE IEFKYKDCQINHTFIFPKEDYESSSDNSLQSLKDTTIYNLNCNNIERLTDATSPYFMKAL NEKLLKLAESIDDDPTQQNTIQKFNTANPKQTKFLFSSINSQSKSHCQNQSSTSISRLID HYMINLNTMSQNGNQNRDSVTHKTQKNLYFRATPTISSEKKKQSKKILQILKFTQQRKIN NSTNNDSNTFNKRTTQINSYSFNNTQNIFLNGKRNGENMRIKTEQDDFEGSLQKFQIIPK SRSKVREHVTKFKKLESSQECKILNYTMDNHLLEQLGVGRNAKSQNRSYKV >CAK94174 pep:novel supercontig:GCA_000165425.1:CT868676:78290:79215:1 gene:GSPATT00026497001 transcript:CAK94174 MNPFVTDTLSMAQIKSINSRTIKIDNATDVPKNIKSLQQIQNSHGIYILYATSQEAQEAQ QQLNTQKFHATLVNEVEQEVYTISVLNIPHTTNQQLQQAFSIFGDIAYITILKDDDGESK GVGYVTFQNKESYDKAIIAKTLIFEENILTILQKQPIHVMENRFKQMKIRKQQLDKKKLK HNKIENVQISQVEVNLDLELKNEMRTSGRIIRKKIRKIKSKVGDDDFALVAKMKQFKKGI QKKQDQFFRIPSQLKAKREQRKLKMEQNKKKANKLHKK >CAK94175 pep:novel supercontig:GCA_000165425.1:CT868676:80610:81606:1 gene:GSPATT00026498001 transcript:CAK94175 MRSVNNTIRAASQSNGKHRFLKVPAKNNHHVTLAPINRFIQIKDCANKDCQYKLGKLIGS GSNAQVYQAMNMDTGEVVACKKVLFKFKNQQKVDIELSFLQILQHQNIIKYISHMSTKDS LLIYQEYMPMGSISQLLNEFGPMTESTIKTYTHQILNGLEYLHNKGILHLDLKSSNILLD SSGDIKISDFGCSRHIKQNLCQSILQGSVPWMAPEVVRQEQIDTPADIWSFGCLILEMLT GKHPWFEQLDFDNVASTLLAIAFNQESPRIPEGVSEELKRFLQMCFSQDPLQRATIQELR QSAFLQ >CAK94176 pep:novel supercontig:GCA_000165425.1:CT868676:81850:82065:1 gene:GSPATT00026499001 transcript:CAK94176 MLIFSLKLIWLFDSKYIIMFAWFMDLITFIVVLLDKNISKSVSCVHFLEKVLSISFKVNF TIFYDHSSYSF >CAK94177 pep:novel supercontig:GCA_000165425.1:CT868676:82100:83164:1 gene:GSPATT00026500001 transcript:CAK94177 MQMVVIIGLFLYQVLQQDQSKRKHYIVQYNSIKIAHSYGILYILQLLLLSLKWNSFFQYS YYQTFIIAWTALGVNTFLIVLLLITLVENCFIKDIPEMDGTTLLNQGSIILWLIYYIFGL TVIPFFLLKEICQFYEKDTIQINIKIAGIAILISLILYMISFLFFTNKVRKQLIIVLQLE GRQTHAEIRSPKSPKSPEPESPKAKWSKLQIPILFIRVSASFYKLITRKTANEINNRNIS SIPICSDVLRPDSLNFRQSPCLTMIKQIKSIPRDQDSCDICLICFENEPDIVLYPCNHGG ICTGCSENLMKTTKQCFLCRTDIKYTFKINQKVGEILEVTDVQKV >CAK94178 pep:novel supercontig:GCA_000165425.1:CT868676:83197:84749:-1 gene:GSPATT00026501001 transcript:CAK94178 MSLKDFQILQELGEGAYSRVFKIKRIADQQEYALKKVNLQSLSDKEKQNALNEVRILASV RHANVIQYKEAFLEEQSQALCIVMEYADDGDLYQKIVECQKKGVLMSENDIWNIFIQIVK GLKALHDMKIYHRDLKSANVFMNTDGTVKLGDMNVSKVARKILLYTQTGTPYYASPEVWK DQPYDSKSDIWSLGCVLYEMTTLKPPFRAEDMSGLYKKVVKGFYPKIPTIYSQDLSNVIR ALLQVQPHLRPSCDKILQLQSIVNRLDDKVLVEEEGAKFLLQTIRVPRNMHYLTDRLPKP NYNPVRMTKIDKQQFIQTLAIQKLNQENLEENHSMHIDFLPRLNKRNEESLDNSVVSRNN KKGGEDNQLDNQKNNQINQIYGVGIHPRKKDQRISNKSQKHEPLKSNKKNELEGELKPLI IKSYSPKIPNDDRQPLLPLLPSIKKEAAKQNEDEQLKRIRQTEELIQQLRERQLKHKKIQ KL >CAK94179 pep:novel supercontig:GCA_000165425.1:CT868676:84764:85846:-1 gene:GSPATT00026502001 transcript:CAK94179 MLKFDLYRKLPQDLIEPSKSGALISFTSLILMFILFITEFQEYLTQQVQTEMYIDQNKDD TLLVNMDISFPNMPCDFISIDQQDVIGTHQQNVKGELLKKRILNGRVIDTYLSNNETLNL ERAQKAYDQKEGCEMTGYIIISRVPGNFHISAHSYGGQVNIVLPFVEMSTIDLSHTIKHL SFGNQNDIQKIREKFQQGLLNPLDGISRIKTQELKNVGVTHQYYISIVPTIYVDIDNREY FVNQFTANTNEAQTNSMPAIYFRYDISPVTVQFTKYYETFNHFIVQLCAILGGVFTIAGI IDSVFYALQKTKVVLDQ >CAK94180 pep:novel supercontig:GCA_000165425.1:CT868676:86160:87116:1 gene:GSPATT00026503001 transcript:CAK94180 MNNKQFKKKRLHEVDYAQKVLSSIKPDIQNIKLNQNLFHAQQLARHSSTLIKNIQKDVKQ TLRKYDSQLKLCQSNRNYTMSDSARKYSSEIPKQTQLSTEKELESLKQQQKILMTLLNNL QQQEKLIVIQHQNSEEQQQKQCIEKQWYEQKEKQLYEIKQKQSQIGQAQKKKMGETDVIQ LDISRLKRSPHNKSNSSQNFFSPINKDKINQDTPILRLNKSHQKYNQKKLDSPKKWEQYG MINKKNNSKNQQRNEKNQLTNENYIQKNNKQKQELQIRTGNSNARDSYQSKQLSDDLTYL INDLNEFNNKSKRFSIKE >CAK94181 pep:novel supercontig:GCA_000165425.1:CT868676:87316:88590:1 gene:GSPATT00026504001 transcript:CAK94181 MVFWNGTDIGLAVIGGALIGIATSLHYLVKGRVTGFSGILYSIATYDLPSFFWKVSLMMG VVIASSIIYLAFGTEKAIIDGGTPAYDDLSVLTQIGWGGAVVAGFCVGFGTKMGNGCTSG HGVCGLPRLAPRSIVAVCCFMGMGLLTASIKENFIPLEYPEPIDYDHETSAITTLVLGFV VILIMAGFQFVKKRELMVDLAIATVVGFIFGLGLAISGMVKRSKIIGFLAINERWDPTLI FVMVTPVVINFFAFRAKEKPYLNTKYEIPTNNVIDWKLVCGATIFGFGWGVGGFCPGPAF ALFPQFTIHINVLFMLTLIMGQLSANFFVKWVDDRKKYDQIKPQPEQQSKEQQQKDQIPD ISKQQLAETPSDRKNDAPDNTVKVN >CAK94182 pep:novel supercontig:GCA_000165425.1:CT868676:88829:89602:-1 gene:GSPATT00026505001 transcript:CAK94182 MFAEPLAYDSTVKLTLTHNISTCKMIDIRFDLMQTIGSIKESIEKRYGSDPKFMQLQLKD KQGNFISYMNDDVKFLGTYGAKDGYEVHIIDNNPNSVLNGLEDVNKVQKYTISDEDYDKL PVNMRKFKQQFLQQQAAGGNLGQNKPEIDDNYQEAEALQIAIGSRCQLNQGERRGTVRYV GQVPQMGLGYFVGIELDEPTGTNNGNIQGFQYFQCPQKFGIFVRPNELTVGDYPVLDFDE I >CAK94183 pep:novel supercontig:GCA_000165425.1:CT868676:90265:91994:-1 gene:GSPATT00026506001 transcript:CAK94183 MNKTHLSLLPKLQQFIQSIGEENSSADIQSLQNTIDIKIQQTSITLNEIIHFYEYCESQE FLKMHSSRNWTDEEWKVLIWIIQQYCVANQKNSEDFKAEDWHEISEIIAIKDEFNCQFKW FSHLRVVPASKNWTSEEDQLLYKIMTKEPNIKWFEVQYEMFIQSSGLYFRKAKQYRERWN NYLNPQVNRGIWTELDDFNLLQIALAEGLRWSNISKKLKNRTENQVKNRFKSLINKEKKI TQIPQGVTAEQSQDPSKNGMDKTTQFLIHSILNRLKPVQKLQQTKQNQQDNTYSQEQPYQ QINPYNLLMNPQQYYFQQFQQVPQMPQLQPLSQVQQVTQFSTIPQIPAQINPLQQQMHCQ TQQYPPQQPNISIQIPQHQNLVSQQLLQQQLIQQQQQQQQTMIPNFYQGQYFPQQFIQQQ QQQQQFKFNVYSSQQNTPTNSIGTLSSQRSEKMISDQIKEEENSNSSSNKEPKVQKIVCV SAQSSINGSTSSVNSIDVIKQNFKNMNLDSDQVSPEIQKRKHQRSQSGAFDINNQAKMKT KRSRFYYASNDG >CAK94184 pep:novel supercontig:GCA_000165425.1:CT868676:92998:94509:1 gene:GSPATT00026507001 transcript:CAK94184 MQYDEFLNRLKKQEGAYRLMLSENFQLFKGDHIIILFYSNNIIASQTYFNFQSYIFFAPP VIFLSGFLRQINKKQQLKQFVFKRISHTLEIVITAMTTFCQIDDVFQVHLTSDQARVIAK RLPPGFSINLSRQSKRDVKKFQQDISSDEENKVTKKTYVAPYRAENTDEMKRCLTLLQKL KKHQLAAPFLRKLENVNYPQELEYVDLQIVEQNLKNNEYLTATQFWGDIKKIWQMSYAMN NKGTQLYAMTQELEQHFNELYKEIDKPYQPKQVVEQPPKQEKQKKPPAIQQTNSNNNHNN QNNKKPIKQPSLMEQPMNMQEKRALVSNINSLPPEHLRGVWEIVSDGLKIQEQNDELEFD IDTLPVKKTRQLEKYVNTKLEYLKKQQSKKAAEDNKNLEKSDRIVHAANNTYNHAPQPVS QLKQTDTGDSSFSSDAEESV >CAK94185 pep:novel supercontig:GCA_000165425.1:CT868676:94526:95873:-1 gene:GSPATT00026508001 transcript:CAK94185 MPIAQIKQHKQQINDIVADSEKFQFICGSQDETISIWDFRNYSQSQGLIDHQDSVHNLLM IKNSDTLYTSGEKYTIQEWDIIKQTQKNQISITQQPIQKMIFDYEKEILICAQQDVLDLF NTELIQLNSIEMDWSRIQDIKLENGVVQVLEQRGDILKLHTFQLDLNAQQVEDSQAQIQD SQIPFSQLMQFDQCFYPTQSDEKLDQSYEQEAQVSDDIITTQNLSLKFSQILFSKSIQDK YIQVEIINEISLDHSKVKMILNERISKLKPIINLYAQNDMKQCIQQIRRCNDNSALIDLF AMLRFDPAQRKLQAEDTFLLLESATLLLNSKYSYQNIKGLEFIKFCFGQMKDNILQYKNT RKQLQNKVQDKNQNRQKAYETLILQFDKIVKLPNFNKLLKAKDINLVNLTSQIKNEISQF LNKLE >CAK94186 pep:novel supercontig:GCA_000165425.1:CT868676:96397:97721:-1 gene:GSPATT00026509001 transcript:CAK94186 MKSKIDSQQEESNTDNDFSEMSDTQIRRRGPAPKKVVTKNKLPNLERNIPIIIKNNNFVV RTIWTIVMILGFMAVLWAGHIYIIGLVLLTNIGIFKEILGLKRNYEKEVNVKYSPIINWY FFGIATFFCYGKLFQSKLSEYAFRMHILSYILNYHNIITFMLWVAGFLMFTLSLKKGYYR YQFRMFGWTHITLILVVAQSSVMIMNIFEGIVWFILPCSLVITNDIFAYIFGVSFGRTPL IELSPKKTWEGFIGGCFSTLVASFCFAYFLQGSQYLTCPQHQLPFSPFASLTCEIPSVFI TTQRFLPFSIFGIDSIYYSDFQLHAMVFSLFTSLVSPFGGFFASGFKRGIKIKDFGDTIP GHGGITDRMDCQILTGMFSYLYLHQIVLPKAVTLGTVLGYVSQLSSNEQQELFEQLKQTL SIVQ >CAK94187 pep:novel supercontig:GCA_000165425.1:CT868676:97754:99276:-1 gene:GSPATT00026510001 transcript:CAK94187 MSNFAQFLEDISDFKDRPVKLKQIKKVLKINEKSFPPCKNILNYYFQEFSKRTNEEHRTK WHRKDKILFVWTVLKYFELTTKTDLNPSDQDWQYLSSVLGVTEQLLNLKWISMLKTNLKM APWNAEEDEVLQNLITDPSENKNWTQITIDFNRANPAKIVRHAKQIRERWNNYLNPDLKK SEWSQPEQLQLLLLVQEIGKRWSLISKRIQGRTENQVKNQYNSMMNNYRRQNQLDNEDIA IHKLLANLQGKDYEGPVPLTTKRIRKQINNNNNNNINNNNNSNNKDTVLYNNDQPYMQIE NNVMNEIKEEHQQEQSQQQFQIQQQFLQQQQSQLQQQQQQQQQQQPLVLGLPVYSPMLPF SPLHYLLQQSPNNISSPYEIRNKNYSGQLQLFDEYMKSTNNLDSSAPQQDVPCLLRLFNS PAFSNQGFPYATMPFQQPTQQQSTYNMKKINKIQKELLKFDTGLNKEG >CAK94188 pep:novel supercontig:GCA_000165425.1:CT868676:99529:101090:-1 gene:GSPATT00026511001 transcript:CAK94188 MNSIKKILKKKRLLKPSKKRKQSATQLESETIQQIKLTHKEQIHIRGQFQFVVVNGYLEM DLYEVRKTQIYEFSSTEFVTVIAHNVPQKIEDYLTKFQSSQVQSEVYLEFMNYILKNQPK ELTLLIYGHYEISDFGYILTDQKQELFDCQDRSVMFIGEKQVGKSTSLQLYANKLLNSNQ VFILDTDLGQAIILPGFVTLVKLELPLFLSKPQILFQGFVGEFQVFNYFDLYFHKVTQAL DVYNQSQLLKDYPLLINTAGFITSYGITIIQELINILQPSKCILLSRDNHKKIMEKSSYL YEQLSKKSFISNFDTFKSQLQIVHEEIDFESYGQTIQVETPQIRKQNRTSKIAQNLGVEN LNYLTLCSETDLKIIDTNQCQFIVINEKKNFYDLEEIASVFIFNLVAVEQDGTFLGLGFI KDIDIIHNKIHVISQIEFGSGQYQFIKSKFYNISQDQLGDIGVEDVYQILDKECIQNGEP LEYLIDLPYITLKSEAIGDFKHKITVNKKTY >CAK94189 pep:novel supercontig:GCA_000165425.1:CT868676:101118:102580:-1 gene:GSPATT00026512001 transcript:CAK94189 MGNYFKTKPKQKLDREMIEFLKSRKLQHINDAIDILNTKFPNSKYLEYSDYCDVFNPILE IWTQPVFSLLSSKSTLEGANDIYESLAVLTIFSDEEQELKLQFIFQLFDTDKSGEIEKAE LVVALETCICGLCKLVHLPAPSLKDIDFYAERLFIELDRDYSYTISFNEFKLWIIGNFEL QNFLLKYALIQTYENARRRYKEKRILYENFFINAVGNGKCEQCELESIKQIFLNEFNNQQ LDILELLFKTLQDSSNAYLDQKQDGWICKQAYQDVMNAWAAFDASDINCDNEVSMSELKY LIYAYEGDKPDYYRLQTEMEILDMDQSGRITRDEWIKYLCVLEKGKYVFRGTLQHQFNEY DKDHNGMLSIQDIKDLLKNSMKDLRVSFKKLKSEKNFEEMVDDLINEIVISLQKGNKGHQ SNQNQMIFVFCLSLNQLTWNEFKSFMEKATLKQDQLRQFLAKL >CAK94190 pep:novel supercontig:GCA_000165425.1:CT868676:104102:104579:-1 gene:GSPATT00026513001 transcript:CAK94190 MVELDAKKQLAISNLALLMIEIVLLTEYSYMNEHPHRNQNLTYVRFAISGQVFMMLAFMG LVATYFFPHKYIKLGIFGSLWLGFILVFIGMIVGAKYYKDGYDTIASTWWIEVGLTILGF LNFIKAFSNEPQPNEEYQRQV >CAK94191 pep:novel supercontig:GCA_000165425.1:CT868676:104614:105462:-1 gene:GSPATT00026514001 transcript:CAK94191 MQQRVWCPNMDVNNIKINYCSSVSRQILLQISKMFLNLFYQLKYSNQISMKFSLELSDNT HKVIGFVCSNVVEIVTLAYYATIETDLLIDIDLDKVKITASEMIQINDAENKIKAYKGLS VTGSIFLMITWCLQILLIYRYNQKMLYAIFGINTFSILILLVGMGIQESEMEQVSVSFNA PVAFWFIQLTLYIMSLVNLHNFRQQEGNQNMEGKNQKKEETDDSKKQAQNTSTQANQSQV VMMGNNESQFTQQQQVV >CAK94192 pep:novel supercontig:GCA_000165425.1:CT868676:106128:107239:1 gene:GSPATT00026515001 transcript:CAK94192 MSENDVILVTSSYDHTFIFWDATTGQLKEEINYGEKVIVNRIDISQDKKHLAAGGNFFAS YYDVISQKKQPVYVYDGYKNNITGIGFLKDSNFFYTCSEDGFIRIHDLRSNNLSREYQEK EPLNTICLHPNETELIVGDQMGNVKIYDFQQNKIRLKLSPCPEVGIRSISIAYNASQIVA ADSQGQCHTYMMNNMDLTEQSLLYKFEAHQDYILKASISADLRYLATCSADKTVKLWTLN EKNLGNDKYPKWELFSTLYGHGKWVWDCAFSCDSEYIITASSDLTTKIWQTDSAAVLRTL KGHKQAVTSLSINDIAID >CAK94193 pep:novel supercontig:GCA_000165425.1:CT868676:107575:109675:1 gene:GSPATT00026516001 transcript:CAK94193 MESQSFSPMLDRVMAQEIQSVSWSKTMDVCAILYVNNQLEICRVSEQLQRMFMIQEDDAI SNIIVESTFLTYNVGNKLFLYHLASHQKIIQSTLIKNSDKITQMLLVQVNVKIIKELELQ AAIIPLSTTNLKNWQNLCFLKQQQINNIIFSLTNRQIAYNFNGILHLGEIEHKGIIELYQ LQNELHCFSDSTVEIYDISLMKHQQILKYIQQLSYLNELFCFLKTSILLINTQLQDIFKC YQLNIIGPLVAFGPQESINSHILKFYQKGDCSQELVQFFQKELYNTKILQKMDDNITNSF NNIQEIIQDSLMNVLSRILIILNFFIQSKNMPFYQGLHKTPFEELQQTVQKIQKLFSRFQ IECHQTKLHLRNFFVWLNLCAIKAGNEQEVECENVNSPLNKIEVDLSKLFDFLKDNHLFQ LRNLQCFYQGKISPKTFFTKVVVQKNIEFKQTENITKILQNVFKDSYVDNVKQKQHQIEY EESFETVNSLIRELQNNLDKIAINLKPHLRTKINIDLSSSILRFSEKSEESYLISVLKDN TIIQYTYQQQGFVKSNKIQFNNKFIKELQYFDGKLIILSTNQQKSNELARTNQQKDEGLF NQLQLTAYISSVDLETQNKFECHSIKDLQVSKKGLISIIIDSKKLIILSI >CAK94194 pep:novel supercontig:GCA_000165425.1:CT868676:109690:110088:-1 gene:GSPATT00026517001 transcript:CAK94194 MSFQTTDSKKEEFRKYLEKAGVIDQLTRVLVGLYEEPEKPNNAIDYVKKYLGSPVDIDVD KLKLEYEKLKDENIRLKREIADLKKELQAAQQEQN >CAK94195 pep:novel supercontig:GCA_000165425.1:CT868676:110328:111975:1 gene:GSPATT00026518001 transcript:CAK94195 MNQRGLMEELIMIQTQCNINAIKANQLVSLRQSLFKRSRKINRKAKRFKHIQEKIVKKWS QQCAKEKRINEDHLKYCSAVLLPYGSVLLGVADSKSDIDLICIAPEFIDRREQFFNGLFY LLSNEQGMEQINKIESTSNPIIKMIYKGFHIDINFAQLNSDRVPENIDDNLDELRRNLLQ SEDKSLYALNGRKNGILISKSMPDHSQFVQALRIIKQWAKKRKVSSNIIGYLGGISWAIL MAKICQLFPRVDVCQTVSLFFQIYSQWNWKIPVVIDESEQDFIQIETFKTLCWKRDEDKR NDKIMQIITPAYPFFNTTFKVNKITFQTIFQEFQIGAQKLGDWNEVLRPLDFFDCFQYFV AISIVSKSSENFHKWTGFCEAQLPKLLKLIGHNEAIYDNTSFELRITPDGEENLERDYAK SLTYFLGIKKLKPDAWPLFLRIPITQFAIELNQRKLQSFKNEEEFNMKIYVANVTDIDQL RGKLSPRMYDDSDCIVKKIKMNEEDKNGSAYLVKRVSSIQSSGINQDVLNDMF >CAK94196 pep:novel supercontig:GCA_000165425.1:CT868676:112017:113070:-1 gene:GSPATT00026519001 transcript:CAK94196 MDNQKYKVTLNMYDLSQGMARQFSPMFLGKQIEAIWHTGIVVYGKEYYFGGGICAQNPKT TIYGYPIEERELGETEIPQSTFEEFLRNISSNYTMEKYDLFKNNCNNFTNECAEFLVGKG IPENITGLPQEFLNTQLGQMMKPIIEQITNKQASDQTEHFNQVYNQDLDVLQNFQNINPQ IINQPQPQPQIVPQNQTVQAAQQQPQQHSSTDTNVIPIEDLESFFCLIESSPQCVIDFYT DWCGPCKTIKPVFHKLSLDYPHINFYNVNIEKARELADSLQVTSIPTFIIYQNGQQKFRW TGGNQNTLKEYIDKIK >CAK94197 pep:novel supercontig:GCA_000165425.1:CT868676:113240:115500:1 gene:GSPATT00026520001 transcript:CAK94197 MNRARFDETNRKFQEYQAEFKIPLLPSSQQENFNAQTFYRLYPELTPLNAIKPHQAQAFN PEKTRLYIESLQKQNQEINFELRQQAHDIVALSQMVNTLIDENRSLSLLLEQKDQEMHSI VETMTINEAEEIQDLRHQLRLLEDENCVLLKHVQEQRQQLDDKIQDGYELEKQFQNSKKY CLQLEQQVEKYKLSEISQTEQMQIIKENCRTEIELKNSYQFENQKKDSIITSLTFTVEKL NKQLLQFQSDFEKLQSDKNDLHSQSNLKIYELNQKLDDQSNEISKYQIINNQLTKEIDQY HEELKDKQFLCDQIADQNDDIMNKLQMEIEKSRKLSDNEARLQEHIGKLSLQNAEYFNEL NQQSEQIGELIDTAQRDLETQKLKFNESMQKLKQDYLNDLKDKQLEIQELEEKNTTILKE WNAVKNEHEILKIDYEQCKKEISDYQQQKRIIEQLQLQNRQLKLQLEENKALLQEFVSHK GGVQADIEERDKQIQKIINQKDDRIAELERDFQMYYEKCAILQQHQKNNEHLEQLNFTFR EQKELFEQENERLKQEISKLQGQINNYATVQKQQKLRADKQIIEENQQLRKELNEKANEL QKLKDQQPNMNTLPLPPKQPSEAGSRVRQGQPSQDFQQTKQNQVYLAPQQLIQPSSPHHS QHSPALSRRNIQESDDGSVKGGGNTQNKNMQYSFADDQLKQQQQQQQQQQQRQRERENSY HSNMSNAPYRKKSQQI >CAK94198 pep:novel supercontig:GCA_000165425.1:CT868676:115768:117854:-1 gene:GSPATT00026521001 transcript:CAK94198 MQAQANVYQFEAEPQVSHPKYRDQDLYEELTDLPDDESRIKPDYYIDRPATPEYKPLPKG IDRQTQIEAYEPDLFDYKLEVEPVLQVLVGKSVEQARMELIEESEREELQIQKAAFEKKR NAELMVTQRMEAAYVRRKEERERRLLQHKLYQDQMKLSQQKFIARALSKGVLKGVNSRIL NDLQNLGLLRNDHILELKVNTLPWLVERIKQNCNNIQTSQNGFQSFLEEIQTPILQEHSF YYQKEQQKRQEHLDRLEKRRIEIEEQKKRKAEIKRRRALKEKREVQRSALYNLTLSKAET SNSIFQVNIQEGTAEVGQKGVVLHLDLFLLLAEGIDLITGDQLDQIDESIIQTIWLDILM NKCNQFNITINSAMQPLIQEALNKIDEDLYVFEKNAKQLTIEFLRSQSPFWSSYVSQQFV TNIRKTILNKLLDGFFDIYFKSINYKEPIQKSEVQIKQDDLDPQQNPEQQSQQAEDQQQL QQQDQQQAQNQQKEEPYQKPEITQHDLDMVEAKKKINIIFKQPQNQLENVTAIVNLLVPY FEEEQPQDQNQEQQQQTGEVQQEETNQIAEPAKPVGKWDFDPKTIDIYDGEQPLIQEGVR STVDSIYQYQFQEVVIVNDERAIEFARMQIYNFLKEKLESFMNVPEFSSLQFHKIKQTQA NVPIFDFEL >CAK94199 pep:novel supercontig:GCA_000165425.1:CT868676:118612:119320:1 gene:GSPATT00026522001 transcript:CAK94199 MTKGTPIGMENEQLLFIWDEQLGNFEPEVTLTFDLPPGTIEVFCEDILKPTKIKGAFFIS QLPLEERIDFYIKSSNNTLIYSKEKVIEGIFNIDILEKGEYKFIFQNKRTKGTQTIIFTL DVHDSEQEIMKIEDLDPLEQRIERISMAMRDNYYFDKITGQIFEGNLSQIQKSNEELLMF SIIESVGVVLITIWQVYYIKRMAGNQRLF >CAK94200 pep:novel supercontig:GCA_000165425.1:CT868676:119410:120170:1 gene:GSPATT00026523001 transcript:CAK94200 MRQQQISAPTTQFGLRHSLQIQSSPNIKLYQQSPYHSPYQSPLLQQQSVSQQRQPIQQNN LGNVNRNLFNDASNKKCKLVDLNHIEEPWKQKVFELEKKIQQLKQQQKNQESDSDESELS QVYSQVQQFVNTIKILQEEIQNLQEKLNIKQNIIGGYDKQLIAKDKELEDQNHYIQELHS QLEEQTLRMDDKQKLLLEEINSWKRKFIEQNKGLHKIQDEQVVLQTQIDNLKTIKLMLNK QSEQT >CAK94201 pep:novel supercontig:GCA_000165425.1:CT868676:120314:122698:-1 gene:GSPATT00026524001 transcript:CAK94201 MIQNSTTSPPNEDSLCKPIIQLMSTMNQQQMRVRKPSEESRNKKQSETQQAKNTHPKSPT LTQVSVQIASDRASPHNQQDLLLINEEPSNKEDSQQLSMIKKRNDSDQLFKKSVFNKNRS SHSSFSLLYKEKATLIQNIIITKKQFKLINDLSAQYFVPLKDKLEKVFHHEWTPKLFILC VYIIFLLFYLTILLIEIGCNHLEISNLAIFQYIMLITQIIELTQQIIYYKGDIMNIIIDN LGLISFISYQIHNLQSQKLIIKRINNCIQNLNFYSNQQDYIFVIQVTIQLHFSTCLAQTI IFMFSLSEQDYIVYIQNIFTLIFVTPQIIAQYYFVIYLIKILLIIFYFYKFKQILNYQSL NLDQQFNYEPNTQKLIYEYSHQKQRQIFDINLMPNFLQKKMKREKYFKILSSIPILNSSF STQTLLNICDLIHEQILRPNEIILQKPALYIHLQGVIQLFQKGDSSLQEFKITKIKNPFQ IFNNGAFFKNQMQGIQFESLGYSKVACLNSHQFHQLIKQCPREFQKYRMLIDTILLHNDP YLTSISCFGCHQAHEITQCPFVNYKPNKYLIINGYKLNNQQSRVSDFRREVRRKRKIIIK QENNQEDSESFESEEKDKVASFNKILPSSNSSLSSNPINQLELSSVPYVSYSHSGQTLYS KKMDSENFFSAQGFSSSNSSKKIIQNEFKEVGQSKFQKYGQQEEQQGSSFQCNPLQKVQD SLFHNKIKIKKSRVEKQTQFQKYQTDIQQKQSQRNSRKNQTMMKKKKNFN >CAK94202 pep:novel supercontig:GCA_000165425.1:CT868676:122715:124833:-1 gene:GSPATT00026525001 transcript:CAK94202 MRKNNKVQPANQVQVVTSSPEISQKAFTTIPDQQENQKQIDPRLIAGPLADRGCTDILCL LLFIAGMAILIFISKEAYSKGEPKRLLAMYDPNGVACGFDTNVDYPYLYFAIPFGDYFNR TVCVKDCPLYADETSKPTSLDCQPNSLVKNCNQKCDAATALKSYVSSNGTAIFKDFLCIF NSSILFDRVCYPDALLAIFDNYQDYGSQFAMDVLTGYIEDLTQTKEAIYCSFAVAFLMGI IYLFFTRLLAGVLVWTSIFLFLVGLGFGTYWCHQQDLYYQGIMNDTTGKYTVEQTNKASD NQLTFQYLTYAMYGICAFAVMGLICIFNKIRLAIAVIKTAAMCVKDHFLLIFVPQVTSSQ LLAGLWFWWVYTAAYVYAVGDIKGTGSSPFAEVTHTELQVQYIWYFIFGGLWINAFIQAI NNFVIASTCCFWYFAQQGAGGDERAISQSLYRAFRYHAGSLAFGSLILAIVQLIRIMLEY IRYQTEKVAGSENKAVKCLLRCLSCCMACFERFIRFLNNNAYIMIALTGKNFCSAAKAAF ETIWANSMRFSLVNGIGGAFIFVGKFCISIVTLMIFYYVITTMDYFKEKIFSPVFPCIVV FIIAYALAVLFMSIYGMACDTVLLCFIFDEDLNKQNGGLSASRCPETLKEFLEQPEMANL KQA >CAK94203 pep:novel supercontig:GCA_000165425.1:CT868676:125079:126338:1 gene:GSPATT00026526001 transcript:CAK94203 MLSNNFQVYQKPKNEFDGCYEDQVKQRKQRLWAGKPVPQQQDNSDDDIFDLAKKQEKVEI KEAESEVQRNPEEIVQTFQKVENDNYQIEVVDEEAAMMRRRRVIQSRLAEEQQLLQQEQQ QNQEQKKEKKQKERKKEKKHKKKKDDIIYKNDQDDQQEEEDFQEQQKEVELLKPVFVSRV QRDQLDKIEEEEIRLKEEKAKNLERMRLENKILILNSVKADAAKAVNEESDDGKQKLNDE DTLDETEYALWKIRELKRIKQFNDEKNKYEIEKAEIDRRRNLTDMQRIQEDFKLGSDKTK MEDKTKYVFMQKYYNTGAFYKDMDDPIFQRDYNLPVGEDLWRKDNLPQILQKRRGEFGKK GNSKYTHLTQEDTTNFDPTYQVDQSIRQKFLNQQAGSKSSHNFFNQFKKS >CAK94204 pep:novel supercontig:GCA_000165425.1:CT868676:126408:128721:-1 gene:GSPATT00026527001 transcript:CAK94204 MNNQQTISNNSKQEQQPEEESYDEEDDEDLQEYTQSTNLKQLFNNQDSFMQQINLLNEQA TAKIKQQKHKEALKLLQQSEQMLEFAASCGRVIDRNLIIIILYNQACAYQCQWILDKCSK YLDGVIYNMEIGIKEDEQDLQTLANIGLFGQSLFTIYCNTESIRKQALINSQKAAQTMRE LFKIANSFYQQWLQVNGSQETATTSQSIVSMRNDSSHNLDKKKKGQTYQLKDEIEFGRLV IDSASDVLKDMIKQEDLSSIRIDQKQLLRETKRQLYNWRNNPENNEKSVRKELKLVTQNE EYRSLLGIQNLADWIKNFNIGSIMHMAPQIYEDFTSFGEMIFELAKRQLLEKVIYLSISY FTIATELRFVELEKAKQHGLKDDKIDTEEFKLSELYHLKSIEIACRHIAFQSPYISHLIT SYHKHYNINLDVIREESLQSNASEKIIEEQEQSKVKGKMLQIQINRELPNSKFEKQDQSP KLTGNFIKSFLNSRSPPKQSQQQSVKNLISDTVKIQTNLLEQMINKKRGSDSSPTNQRNP AKQQNQADFDFSVYLKKQSNPCNTSNLNTDATSDIINSVMTFQLQPYKNNTIKCNLTNML KQQSPTNNNNTQLKSLLSDACRTERQVMTEQQNSYTPISYRVNNRSPDSSYLNAQKQRQQ QLHQNKTPQNRPRTNTEQQYPQPSFPLKLETIQQLLKSKAINNQKIK >CAK94205 pep:novel supercontig:GCA_000165425.1:CT868676:128735:129964:1 gene:GSPATT00026528001 transcript:CAK94205 MDQKKDIILKKIAENEETTIQKLPPQIRLPIPLKHKVQCGGGTFEIYTHYELQRNIGNGA FGFVCSGKDKLNNIDVAIKKIAMVFRDLLDAKKVLREIKLLRFFDNPNIVKLLDIIVPDD QDTYKDLYLVFQLMHLDLEKVIQSPQPLTDKKINWCMYQIISGLYYMHSANIIHRDLKPN NIFINANCHIKIGDLNLARKQEVENASIQTDYVVQRWYRAPEVLLSSSEYTKAIDIWSLG CILGELLGRTILFKGMHHQEQIEKIVAVLGKPKQEDLPYEIDEQSTEFLQQLPEREAIKW ADFFPFASPLALDLLEHMLVYNPNKRYTIQQCIEHPYFKQHYIDHPPQVCHESFDWSFDD IELKEQALRKALYQEALEFLKPKK >CAK94206 pep:novel supercontig:GCA_000165425.1:CT868676:129991:130675:-1 gene:GSPATT00026529001 transcript:CAK94206 MDLKNKDQGQKIILKKKAGLKLKKTHQLPDRILTDALVTPSTNVRESRENNLLQGLISPC EDETKNRFNFFNSSSQKQLVTIKKVDPIEQIKRIISENERLRLTINQKQKIIDTRMRQRK EIPELILSQRNSRSLLQTHEKQNTADDYGYFLDKQSISQNYKRSMLPQIESKQSSPSTRD ECAFTFANNFFIKEQKVSPKKINMMQAFPQLHLQRKFFT >CAK94207 pep:novel supercontig:GCA_000165425.1:CT868676:131296:132216:-1 gene:GSPATT00026530001 transcript:CAK94207 MIPKVILLTQRLFENVDQQQQQSKTPPCDYQHDIDLIVDQIKYEIGNVDPKIIRNYSYKI MELQSISNALQDLKLQLDIFFQIPSNLKKKKQAVTISYDFSNIKRIKQLILQQLNDLSEL NDVQDVENYTENEFEKIYIFRLSQILSNEIENLQYQFQQSQINNNDHFNQLNEKFLGIIN DQTFIKISCQIHKYIILLNLIDEYRDNLFDIIKSKSLSTESMICQQSKQKAKSLQYESMA SLDLVDDQNKINKRQHPLFKQEEIKNNRYDNQPEACVKCQIF >CAK94208 pep:novel supercontig:GCA_000165425.1:CT868676:132249:132894:-1 gene:GSPATT00026531001 transcript:CAK94208 MIYKIINKFSVYIDPSKFPNGDLLSIYKSTEGRNIKLFNAFYLGLIGYNAYSYMQDKENS QYALNDLTAASYRSGMENSIIMMAVLGIGMVFFYWKTNKTLFNLWLSPNGKSIVMDSYSL FGLNSRTFSIDIEHFRGFAYFIHPSLRIPMFKYKLGSTTKTMFFKHQYVLDEQIMKSVLQ GQDILVRPKGMEKELSSNQKKKYNL >CAK94209 pep:novel supercontig:GCA_000165425.1:CT868676:132957:134886:1 gene:GSPATT00026532001 transcript:CAK94209 MNSDDYMKINDDYYRNQFDTLIYVYLNYTQLIVQFSKFTLRKMSQKAYQSIMDANISATQ LLQEEKYNQGYQILRRIETTLKQEKEAPTIPLSQINHLLSVVTNNIGCFYYINRVDKFQA AEKYLKQSIEYLQLSIPDETLLITLINLVILFIKNEKYQEASRYIEQSFTELNQLQDQQL NPKIRLFLGDHSSIMTLQSLEAILLFYSGLTFYELGQSSNALQAWKKVLDILQTNTKSPY YILTKSKIQNLEAENVSKNNKGNLYSSRSHLKSDRINKILEQYSKDNEKQNQQSFRLLSQ KIKKKIGNDIGDYQQPKWQNKIEHNEKKIEINNYFSDQKKIQLQINVKDLLHQKSITQRD KARDLPLSSHSSSVNQQLNKALKKRPTQHIEIIEKLNKTHNEIYKSYLAQRSNNGSKTQR GDSNIDIRIKVNDKSNHSQNTILHNKSHDKKSVDLRESPELCGSPQQFFNMLQSTQSVIK SQLMRSGSQGSVSQTSPFRLAQSQGEQPKNTNKLPYDYAKTHRPMVDTYDKAIKELYQNQ YIQIEHKKFNRIYDLAQSVKNRISTINSMLIQQEKNQQILQKQFQQEFNQSQDEDSCETI ISMD >CAK94210 pep:novel supercontig:GCA_000165425.1:CT868676:135585:136309:1 gene:GSPATT00026533001 transcript:CAK94210 MNQQQEISAKENSADFSTTQQECRNGNAAEHQLSKKEQFLLKCTKHQDIMIYKKRYQVGD SVLIKTTNQIEQIGLILNFYGYHQDDKTIVPLVEVQWYCTYQDLADSIDKDSFSECELFL TEQTTIIFIDCIQAKCFVMNIDEFENTGTQNAYFTRSKYNTLTKQLEPPISQWKKVCICE QPQNPDLLYIQCDQCNKWFHLSCMGLTQEQANQMEQYSCKICKK >CAK94211 pep:novel supercontig:GCA_000165425.1:CT868676:136324:137530:-1 gene:GSPATT00026534001 transcript:CAK94211 MLKSNKLTSESALTTNRPTSRIDSSYLNRGTSQHTQRQSTNKKYWIQINKAHEEIIDTQP YIAIEKFRKTNSCHIRVSLTLILLSHPQIGRQVPTQKINQIQMRLNNIFKKLITTMRMNH FVKRIIQNKYNNIKMRRDSTIQIQYLHNSEKKQKVEIYQMTQNLKINKNSTIKLRQEQNR LQLLSTLFNNLRQKSDNQIGFKLSRLKQQNIQPQLIYSNHLKSFRASSDYILNQMPLLPT QQISKLTEKLGNLDNYNLASERVGKPKEYCGRPQTSMKRILRRNKKFPDEQDNQLFMDES VISETEAKLNSLYGESISLQKNLIQSQKSNPIKAIQAIKKMDQIKSIINNVNANKLMNKF II >CAK94212 pep:novel supercontig:GCA_000165425.1:CT868676:137545:139519:-1 gene:GSPATT00026535001 transcript:CAK94212 MNLNYIENYCQALEVPSQQQKPQSIHHSNREYTFASSGQNQVVNMNKKQSQQDSNFINKY HNSGLPPNNYTKKKSPSQPTSYWNKLKQQYVPLQSTQSTHHSNHNQSVESDHQSYEQLVI YNNLLKLELEKHLFNCGVFVIKQNNGNCVDFYMELKKQKEQYEKQIQDLQGIIQSYNANL NEQIDFSEYQRQNQELSATIQQAISKLTLANQSINQLEQEKESLLDYVDKQKDIAEQLKQ QNDHQDAKQKEMKVMILQLQKQIEQYNLEVMEKEDFIKEISEMQNKSKSLLQDEIMTYQQ QITTLQRQLQDYEQQNKDLSRKFNDSASQIQMVIRQSDDKQSQLQFTQIQDNHSVCEFDS NLKSSRNTNLIQKQQQGLDQMIRINKQTLQDFQQQLMLHQKLGEYQEKQSNQLQQIESYS KQLITITQQNQALLQQNSCLIEKEVHLNQQVQELSQNLDKLQQERQEYTEQMELLQQQII DQNEINQDLNDQYLRQTNQLQNIIQIEQKYNTLQLRVQVDVEYYENIISDFNSQLIKILK KIEDIVVKLSVSNLSKIQVNEISTNTILISLDHLENLVIRNLSCIKTQVNNSNQINNNTQ LNFSTIQTPTNNMVQHSKYFSNEGFDSYQKNSVLSNSHNKPYLVKRNYYL >CAK94213 pep:novel supercontig:GCA_000165425.1:CT868676:139536:139745:-1 gene:GSPATT00026536001 transcript:CAK94213 MINRLQVGYLLIGFTAGFYFAINLDQAYYFSLHHKLFLPLRDEYYKTDRTISTLFNTFKQ GIKNAYDDI >CAK94214 pep:novel supercontig:GCA_000165425.1:CT868676:140255:141771:-1 gene:GSPATT00026537001 transcript:CAK94214 MKQLLKPSINFDFNDAIFENIPPQAQKTGAQSKNSQLNHQQGKDDDKKNQKSIVRPWSSQ RQMTDQGQREQSQQLIGLNSKQYTQKQLLPQNTSAKSVKFDKNQGFATAAPSIQSNCYFP QQQQTILKKSGNHSQQQITKQQMDIPNNNNLKQQNQDFIKNAGIFDWDEADLDNQFIINN KKYESIEKQNLERFLSRQQKSDVIQTSIKQNQIILKQQQKEIQQKLDNIEQLRMNEILQN NLVISQSFLNKIEQQEEDPKKEVQIQQKIKNNEKDNNYELEYEQENFEMDEDNKVEDKQN EIPKVQKQLQENQSKQSEIKLDKVQESSKKVKSNESLLKASKTKKQVVYKAKNAKERKQE LNNMRNDLEKYLLQNNQTISKMFQDLQDTKEKEKIMIEVNTKRQEELSQAKLTLKQLQEK FSRQQQIIEDLNNKEQHANQIIQKLTENKQKYNNQWELQVEKYMACKVIARFLKGRKDRK LFKEFRRQSFINRLKQ >CAK94215 pep:novel supercontig:GCA_000165425.1:CT868676:142537:145110:1 gene:GSPATT00026538001 transcript:CAK94215 MNLLIQDKTEQFSMPNLNFSICQMINQATLKQQQSSTTEFLTPSKHRSMEQLLKSPGVQE LIKDSIKAVIKKKDFSPKLFTQYKYDQLERSESPAQQILPKIEKINNNIHVLKQIQHLKK KKRKDLQLKLIAKNQVNSPKSKKSNHLKYQQGRFMQIFPQTDGISTLNISSKPIHIIQRN KFQDSFLNNSMDNKLEKEIVQKIRLQMEKHSTQIETETVRTAKQVRKNSQFMKTSRNIKI EPMGNVPNVMQVANANSTTTQLPSIKKKKLKKFDGIYYFDPKYPQDLIFQERYASMRHYF NVMNLSNCLFVQPNQNIYKAYVGKGNNGMLVRQILKTRWWWSIQDEQESSHFVWTQLKVN SIHENMKALNRNPNESMSCQSASSSLTTIGSVSLGFSKQDEQSESELIKVDAIQKINSQW SKFLVNAELRQFSTILNGQGRQSKLLTLEQAQAVKPKLSVYNEPVKAHNHLENNFHLGNK KALFYNMKAYYESQNLNVFENLPVTYHIKSLDGPEYHQFMEAYKERQQMINQETDEKNKR RNIWIIKPGEITNRGNGIKVSEDINEIQSILNSREMHKNGSYKTFIVQLYIDRPLLYNKR KFDIRCYSMYVSINGNQKGYWYTEGYVRTSSKEFTMKNLTNKMVHLTNDAVQKKGEDYGK YEKGNKVSFEEFSVYVENLGGDFNKIYAKMKQMATEQFKANTFEIFGLDFMIDDTFNVKM IEANTNPSIEICCPLLSKLIPQMLDNAFKIALDPIFPPPNFYNPKKIICENYLDNKFELV FDEMTDGPLITQSQPNYDIGLIEEESEEEEPE >CAK94216 pep:novel supercontig:GCA_000165425.1:CT868676:145263:149014:1 gene:GSPATT00026539001 transcript:CAK94216 MKKESKYYLGSLLAPYFYEPNSFIELDPNDKAFLCPQLIDFSTPIGSLKNENISTYIVTK TKYFKQSNLVLKQKKIGKQVHYKPLQIHGRISHCYDEIWWFLLTVDYVKVIARQVNFKYP DNLRQIRLDVYLKQNALNDQFDLSKFNQKAFQDGFVSRERFSYAESEEDLNVDLQYFARL SLLLLFDLLNLQRTIPAMIKSDKYVKIFFTQLEKFHSLQMGQPLRLDLTFKDFARVIITN NEYYRNSLQNSAFSNKLYSLKDFKSSCLFLSKNKYYTNEESQFTPIQQEVLIYQNVYFKK YMNTLLLEIQKFISTSTKHVPEHKQQNYQKYCFELLFLKLSCTYQSLCQGFHTHQPSIDK LNELRYKVDNIEQLSVKTFLSLNAENSSLYKEVQNPFIQVIVGGITHKKDKEYFELQISS GNLCDCLKYQQKLKQLDINRREQVQMMIDFCTGKLKLSEEINENEINSFLNSKDQELYEH PKPKTMACELKQHQKQALTWMLWREGIIPNPKNQETKEKGQWQLSPLWEEVLLENGKKLY MNTFTGKITDEFQSYNTTKGGILADEMGLGKTIMTLALILQTQKKGRVTLIIVPKSVLLQ WEAEIKKHSLPNSLQVLIFYKISNRNKKVVFSNYDVILTTYTVLAQNYSNWLKENGLEDN EIQQRVRNKPDNESKEYKEYKESKESKISNDTQILNDSFEIELDSQDFCQNNDQSEEFKS IFDLKSNKTEKSQYFGEPIEISQNDYECGTKPKKNNVGKVTNLFDYTYYRVILDEAHNIK TKSTLQTRSAMALKSECRWCLTGTPIQNKHDDLFSLLSFLRVETFGEYYWWNAYINKEEN EEEQQCILGEIIKPIILRRTKQQLSNQSQLLINESICWVKLEHKERALYDKFFEGTQQLF KVYLNSEKSRQFVHIFQIINKLRMTCDHPSIALKGINLDTNSIDEIKYCIENFFAKQKSG DQEISEKQRQQLIDLIQRGNLNDCTLCSEDGITTFDISICGHVYCHNCFKEVIETIGECP TCSKRLSLKDIMSVQSNSIEVQEIKETKWGPSSKILAVVNETKKVQLKREKCLIFTQWIQ MIRLLEEKFQEEQIWCQVVTGAMSVEQRNKVIQSFEQHPSITALILSLRATSTGLNLTMA NHVFLVDPWWNPAIEDQAIGRADRIGQKKQVNVIRFLCANTIEEKINLLHQKKKKMIRKV IANEQKKSQDIDDFKFLIFEQPNMI >CAK94217 pep:novel supercontig:GCA_000165425.1:CT868676:149571:151811:-1 gene:GSPATT00026540001 transcript:CAK94217 MKVSLQDFGFHQPNAPEINTPRSLEACRREGIQPSEIVKIPFEEFQKRYKMKNLDHKGIE QFYKHYEERREKKFEELIKQRHIVLEDEKTGTWSSEGLSKSKVISKYGQQETSSLVEKEK QQLEKMKKKQQKEIEGMLEYELKMQEIRLENEKKQAEEIAKQQQRDLELQRKRAEQEEQR KQKELEKQQKREQEEELQRQKLKEMELKEKERLKLEELKQKEREEEAKKKDEERKAIQEK LRKQNEENQRIQSELLEKRKQEMELKAEQRRKALEEQKLKKKQEAELARLQNEERIQQAK QRNEAELQKLKEDFERKIKLSEVKQKQYEEEKRRKFEQQRKEAEKHSELFQKVIEQNNQL EQEKKNLYLKKIQEVEERRKYIEEELEKEKELKKQLEKEKEQQRKQVLLLNEENNKQKIE ELVKKINEKEEILQKIQEERNEQLQDRKTMDMLKRFDKRENVERIMRKQEYDKQKLQEKI VEKMQRADKLSEDLDQLLSQRHNLRREIEKQKREIMIKFEKVREGKLPPSEIQKQLGMTE PDAKFSNQTNPYRTFSSTRPKTNQAKERPDKVQSVRPNKIQSAKPEQSKVQEKLDIKQTL PIFEQQAHKTTPKFHKKIDAKKKSEPVRAEPPSPQILIQLNKMVQKHNQDMMRLLMEEHA NENLRDEKLNQAKGEEKAKLEKDYALERVKAQLKIEKLKSNQEEEQKKYKYTHNIFENDI IK >CAK94218 pep:novel supercontig:GCA_000165425.1:CT868676:152939:156833:-1 gene:GSPATT00026541001 transcript:CAK94218 MSQPENKQPKPLKEVLGLKYVFGFRGDIKNSILQGTQTNVQEKSTKTKFIYPAANNIVIF DPIADKNKSQEIIQTVLGSKGVTCLTISQSRRYIAWSEESDAGIIVILDLNTRKTKILST TDCKSRYYVSLDFSRTEESKYLVALSAPPEQMLIHWAWDKSKCLGSTIINAKGDSIKFHQ VFYHPKEDDFVCVMGNGAIKPYKLIPDNPPKPKDSPFQKKKEETHSTNFLSYCILGDSKT MVVGTDRGEVLYFNENCEFKLVLSPLQNSNQQSIEGFPIECIVKYSNGFIVGGSDCMIYI YRKHEGDLKNPYVRIDKRIQNKQIIAKITSLCLTNQEDNLIFGVESGQVFSIPFSADREV ANEDEIKFDHLIAPFHSGPITGLDVCTRKSLVVTVSDDRSIRIWNFNELILEVMKECEDT PLAVAIHPSGFHLIVSFSDKIVLYNLFEKDLNSFKEIHIKNCQQIKFAHGGHLFAVANQN LVQIYQFYTGENPQNYIFKQSTGNISTIEWDIDDLGFYTGSDNGFVLYWRLEDNQNKLQL AMIQSQPIECICTPKTYANIELQKVYIAGPYDGQYCIYESQHHTKIHKEATEGTKKEIPL YPIQTGCRVSKMAIFNSEKIMLFATCQLANSSEFTQKQNIKNMKFEPGGLRFAKYPLAPE EIIEIQPHIKGITQMKVSYDDSYVFTASYDNSLIIYDVKDQSSKIEFKDGGQAYGVQAYA DEFLMQRDQYKKKIAKIEDLKQKIKEHDINQKIKQNMYTKEKDELIRKLEEQIDQLEKRE KAKIQELENDINKLDSDFKEEKKQLYENHEKNKKTTENDFKQKMALESQRLEELTKEKQL KLQEFQRYIKEQKDKSTETKNEKKREYEKQLKKEQELYEQLQKDKEENQRRFIEERNKLE DDAEKEIDKKKEINEAEMKKLSDELDKAELEKRTKKTEFDNVQSTLEQANSKVKEIMEDI AQNQELNRQYQKEKESHDKEIKERDKTIQDKQKRIYELKKKTQELEKFKFVLDYKIKELK RDIGPREEEITKMKEQITNMNTEILHFKKVNANLGLIVTDLNLRQAGMKQEIENQQQVIE SNSQYIKAFEYDISETHPHLNDFKRLKSDMLKLFNKYVQSVVSKKKFENVDVQKEFIKER AHLETTNKGLKEKFSKKLRVHKQDNNRIMSQNVDLISEINDLRREIKLLQDEEDLKSRQI EQMNDQPEERELDQMIEEQEIEINQYREKLQFLKEAVQQRRLQQNINSDSDHQENEQE >CAK94219 pep:novel supercontig:GCA_000165425.1:CT868676:157185:157535:-1 gene:GSPATT00026542001 transcript:CAK94219 MGACHTKRSRRQSKEWRFCERLTSQRLDFIFQRLSLYLELVGDTHSLGGYLQEKINELFQ IKQKLTLVKDHIQKIELLYQASDVIEYLYDDKHFSQAFPILRESLYEITQLTLVTQ >CAK94220 pep:novel supercontig:GCA_000165425.1:CT868676:157698:158626:-1 gene:GSPATT00026543001 transcript:CAK94220 MKQSQMQHKSWLQLQRFEEYEINKKKYLEKEQELKFKSQDQYAQQKQINWIGDIHERQTQ LQKAKWKWIEEQKKRLDQKREMDQLEGATFHPQILNKDQKIRTPDQFYKDNLDYKNKTEK QVQQLIKQKEDQINNRSCSPKINKKSIQMVVQPFYDRLKDKQLEKEQNLLKIKKSITPSF SPQIYSRNFQSRQTYQTVQQFVNLNSTKNKTFEIDLENVKNDYKTFTFFEDIRRSQHSPS ENNQQNEINITKNLLLLTENSQILNAQSSPQQCTAKKQQRSVSPLKSIMSKTTQKNYFKK >CAK94221 pep:novel supercontig:GCA_000165425.1:CT868676:158661:159355:-1 gene:GSPATT00026544001 transcript:CAK94221 MYKQPQQPTPRNVIKQTPLNTQEILQRLMKKSSKSKLQLVDIADDSKIVKNEKFVSKSPC SGWQSFRNQSSERGIHKIRHQSNEDLQKTLKKPCHLNHVSSMIGFQQKDFIQNANAFLRE KNLENKLDTLTQQISKLREKSEQLELQNKLLFDNLQKYKCEGNQERSALLERLDHMIEMQ QKQEENLQHIKTLFGDNSRRIKTQQSQPKQRSFGKSAYMGFNV >CAK94222 pep:novel supercontig:GCA_000165425.1:CT868676:160108:161521:1 gene:GSPATT00026545001 transcript:CAK94222 MAAYSFGTSNQRPPPKVEQAPGVGNYNPDRYPHQRPPSWKIGTANRIGLQIAFQPGPGQY EQLQKAIKRKKPEFSMGAKFQNHTEVGKGITPGPGAYNPDFKPLQKCASSYSMRNKPQLG GIDDNLRELKNGPGPAAYETNYSTVLSRPASRIGNQNRGGFYDTKPFIPGVGKYNVRPST SGPYHRFGNALRDSTFTERVQTPGPGQYMHQSHLNLKATTISSKRIPTATDSAPGPGHYD PSTDFTKRTVPGGRVGTAKQRQVFDAHYTPGPGHYQSQSATKRRPPSYKIGSEQRRLVNQ QEVPGPGTYDISRDGGSKGYTLRPKYTDRLPDGTPGPLDYHPNVSQTKSRPLSCRVGTEA REKSKMNDNPAPNHYQFNQANPGPKFPFGSESRVTDRNDVGPGPGTYNIPPYFANVPNYL IPNKSHIDL >CAK94223 pep:novel supercontig:GCA_000165425.1:CT868676:161533:161932:-1 gene:GSPATT00026546001 transcript:CAK94223 MSETKFVDENSLLGIAASFWQQYSTKTPQKLKIMDAFSLYCFILVIIQLFYCALVGDFPR NSFLSGIFAAAGAMIINICLRKQLNPETKYMEISNERAFWEYLAAMVVLFLTVINFLG >CAK94224 pep:novel supercontig:GCA_000165425.1:CT868676:161963:162603:1 gene:GSPATT00026547001 transcript:CAK94224 MNLLYQKRSNSNQSLIKAQQRISRIQKQREANQHLVKQKSYSILQFQMENDGKICIKTNR RLIRSYSAIRQNEKVDTKNKLFSKNNSQLLYSPPKIPSPKHSLMLQKKTVLTKFPQNVRK SQQYLLNDILSNKPINQFVTKRTNIEKSQPQVISQKVSPSPSTYPLLFSEIQKDPMKYLH QAQQFSILYNQSYLRKLLKHDQRQ >CAK94225 pep:novel supercontig:GCA_000165425.1:CT868676:162651:163493:1 gene:GSPATT00026548001 transcript:CAK94225 MGNCESQDTRICSEEFQSIPLPNLQQEFTDEFTRQENQVTVSQSKKDFDADLPIQTTQNN LNSHPLSKTLYIIYNKCRIERPIEVEDGTYQGQWFAGKQCGFGRMYYKNKDYYEGFWLLG RKHHMGIFIQLNQQPLIGEWFDDKFLGTDISPNLTKDPTLSYSDLLNVLLLFTIMQLQPV QIQDSLYYGQYSNNGKQGLGLCLKKGEYKFIGYFSNDKKQGYGIEITKNEVYRGGFKDGV RSGLGHLKKNKNFFIGQFENNQPTTNGISIQI >CAK94226 pep:novel supercontig:GCA_000165425.1:CT868676:163524:165265:1 gene:GSPATT00026549001 transcript:CAK94226 MDHLSQRQLLQLLYEKDCYIQALEQQLEFSRQEVSILKSQKQSIIIQNLSSDEDCNLDEN SEPAISDSRVEQFQSSGKKIKLKAPLQESNNFNVSQFRQSTQYLKQKVLDSFDIQNVTTD NLKLLRNNDQLFDDLFILGLGLELSNDPQVIYSYNQVKNQQYILQISKFIFPDGCKPKVI PLSFSLEDINLMMNQNYSIDSSNCFVILTKQTEQQQLKYHICYRYTDFTIINPESVRFSK RAICFSTSRYLIDFYQQILIVILSQLKCMRTNLYLRTKNITQADTNFFDKNIAQISFRIL SQLTQKNPPNFITINNQELKICENDNILWGIPQLFKKLNSQSLIKIFVSCLIEKSIVFVS KSPQLSTAACLLCQKYLLKPFAWIHPIISNLPLENIVYLGSPVPIIAGLECNFSTLQSQG LIDKFQNTIFINLDSKLQIQFGNTDAIPLLSAELMTYLLGRLDSYFQIVQSNHSNYSQCL QIFNQLFHARVIRNIPIEPIRQHTNSSSKKPNKSILDYEKIAAKTLQNMGTINADKVIWK QFFQTQIFIQFIDQYYH >CAK94227 pep:novel supercontig:GCA_000165425.1:CT868676:165818:167299:1 gene:GSPATT00026550001 transcript:CAK94227 MSSNATAERLKHVQELRKAHFHFGFDEDTNHQSVAKQPMPMQKTAKVRPMTSVVEKDQKL EQQQPQQPIQAPKLQQNHFHLGDDKPKLESIQKSDFQRPQSAAIAKLSDETKKDLRSHHF QLGYHDTNKPTEYEQNFGNQGKNNFKKADNADLRQTSSRLWGYKQLLLVDALLGQGYSKE RMAEMNVQLRKTHLVMGRDDNGYTTQNQAIYGKPQVQVNNFQDKTQQLVRNTHITMGTDR VEYTSQAKASHVGNQGDRSILNEAQLKDLRATHFQVGNEQAVNYQSAYRSQFSDRKGQQE QVRNPQLQSNHFDLKDEVVRPTVQEHYKSTYNQQFDGQKAEKVNPIVDNSKNIMIGNDKL SYQSEAQARFRDFHSKPGKLQEEVQAELRKHHFKFGTDEDTTESVAKAQFKNPHGQPSKM DAEMKKDLRTHHFQLGYCRNDYQTHQKEFGSKQGPPNKLPPEMAQRVRQSSYQN >CAK94228 pep:novel supercontig:GCA_000165425.1:CT868676:167366:168079:1 gene:GSPATT00026551001 transcript:CAK94228 MINPQITVFNVFFRKLDFLQNFSFYRKLNPEKRQRLVSIWRRVFLASYFIGIMRSFQNRV KIYGAIRQDDHMDLIEDEVFKSNQEEKKVYVFYPDEQFKTVWNMLLIFLLLATAVITPFK VCFIDSGNEGFWFWVDVIFDVLFFADIIINFLSAFYDEEQKIVDNYRLIAKQYLYGWFSV DVIAVLPITYFLDDNTDTAALRYNKLLRLIRLPRLYRLLT >CAK94229 pep:novel supercontig:GCA_000165425.1:CT868676:168131:171004:1 gene:GSPATT00026552001 transcript:CAK94229 MKASSRDLFSWAKSILINHLIACFWYFIAKFNEFDPDTWVAQANLEDATLYNKYIAAFEW SLQTLTTVGYGDIKATTIEERVFAIIWMIFGTGFFSYTLGKLSSILENVDKKWVDFERRM HLFNDFSVRVKLPYALKCKVHKYYRNNYLKNVYSSLDPKKLIQELPSQIRNELLMICYKY LIDSVSLLKIDKNFTATILPHLNFLEVHPGEIIYRQDDPPTDIYFIEKGKVNFVTHDKYT LITLLEASFFGEIEAFEDINREYFAIAKEPTNLFFCSYDIFRNLLKEFPTVASEVKIIYD KRKSKYKTCLYMIELQRKRNSGSDPKEELQNSTQYYLGLIAQYEEELGQHEEEKRARLIF FQEEIIKNVCVSNNSNEIQLNNIQQIMKQQKKLVLHFDLNKTILLADSKYTTQTKEECLQ EILVGYAWGKLEQRDEKSPVLWKLLTNNFTPIRPSEDMISYKEYICQQFPLKTEGDPDDI TEYNNSAIEQRKQLYFQFVKLGQPCMKLKPEYDRIVKLITLPKAVIEELKQQAEEFGFLN EDEVKQRNLTQLLSDKDMLNNLFSDNKYQLLPTFYKTIINLKKQKREFAIVFRPFGTDPK NILREFNKFCLGEHPCFSGRNNTPIVKFDGSKGTKNYIILDKQCALVYRLQKQLVTGTLR RTDKQQLEDGYEKELEEEQVQIYNETQMLLKITESLKESCALCYVDDYQFYQAQPSEQNA KQLYVDQQDPDTLHIFFDDGIQENENNLVQVTDCVTLEDLSRKKCLNKYLVHVDILDVIK DPDYFIKQIEICERNRNDEIERIEKGIPEEQAEIPKKSDWELLEECSDADYLRKTILPLL MPALQLVDIERPKDPLEFIAMYCLKNKEMVKIPQPPEQQE >CAK94230 pep:novel supercontig:GCA_000165425.1:CT868676:171616:171991:-1 gene:GSPATT00026553001 transcript:CAK94230 MNLDSAADFCPQCHFMLELPEVMDIMECNRCGYKCSITDYQPKYIISTIKMEPKSWLSNQ QDSKLSDQSHRAQEAYFSTAQLRSADEGSTVFYECVKCQFRYQLNN >CAK94231 pep:novel supercontig:GCA_000165425.1:CT868676:172022:174119:1 gene:GSPATT00026554001 transcript:CAK94231 MKGPILWGFVYKSLFRFRKPTMKFLQSPFQLNKDDLIKKSVNIPDHKFDFSPFIHADSTF LVNQQNIQTLRLLQKDFSSDPQNPEKAYKYVRQLNRMQMYEEALQIFKQTDDFRESATPK QHQQLQEQYGIAITNLKSNLYSDKRKSMILPGVIQLLITAAIIYQLFYYFQPNQSKPKNE NTQKDTPEQGVGRMDRFYNILRNNQSVQEERNIPTRFNDVLGIDEFKEELEEIVEFLKNP KKYTDSGAKLPKGILLVGPPGTGKTLLARALAGEAGCAFFYKSGSEFDEMFVGVGASRVR DIFKAARAKAPSIIFIDEIDSIGGRRRAQDPGYSRDTINQILTEMDGFKQTESVIVIGAT NFEQVLDPALKRPGRFDKMIHVPLPDVKGREQIFSYYLQKIKFDEKKVLANNLARQTSGF SGADIQNMVNVAILNAIKYDRQIATTEDFEFAIDRISMGIGRKNMHVSDKEKLMTAYHEG GHALTSLLTDGAMPLHKVTILPRGGALGFTAMLPEKDQLNYTRRGIIASIDVAMGGRAAE DLFLGKDDITSGCSNDLAKATDLAYMFVKQLGMDDKISLISIQSDRVKTSDQFDYMVDME VKKILEESYNRVKTLLKTNEGKLKDLATELVKKETLSAEEIRKLLKIK >CAK94232 pep:novel supercontig:GCA_000165425.1:CT868676:174173:174517:1 gene:GSPATT00026555001 transcript:CAK94232 MGAEDIVDLLTLIRLILKGKLLSIKTPFQEMKRTGRVAMWHTGLLQVILQGLTLREVNKI LLEDEILYEKGMTCQNIYIVLKRFCDDEVGSHIMKRDWVLCFSYANLLGDGKCK >CAK94233 pep:novel supercontig:GCA_000165425.1:CT868676:174979:176034:1 gene:GSPATT00026556001 transcript:CAK94233 MNSQFLASKAYLMKKFSIPTEQQLYFYLGKKFKFPICNKTDMIHYIYFLSLSHQRKAFGD SAKFRVIELLNQKNNFLNSMNIEQQIALLAGLSNLLIYDETLLESICKVIQSNLASINLR ERLIILHSLQKLRMENHPIFALISDQLNNQFEFMDHYDQIIHLLIQTRLYHDNIVSSQII EDLLKKFMDQKNEVIQNYFASFNSHYCQLMFNIFPEIIGQNYQDAKPSEQNQFYPHFQKL ERIYKIARRVIDKRTGYKKADYYEYQNVNFLNIPIEKNQDILTKLENNVLNVLKTLNIQF NSQERILIYDVDFFLPNNTIINCNGPLHFIMNPQLQHIGHSPNHQTVLRYQ >CAK94234 pep:novel supercontig:GCA_000165425.1:CT868676:176967:177509:1 gene:GSPATT00026557001 transcript:CAK94234 MKNSLRLLNCFEKDLNQLETPPIQSRLINYEILIKTKNYLDNVFYQKLEKSSIHTQSILA ASKTNNKSLVLEEERNQRSRTIDHLPQELKIDSQLRSIPEKQIKIKECTQTKLNSGETQS SQTTLRKETSSFVMKGILKNQSSHVELNKRQKRSVSVHSGKSVRFNLPNDNLIQLMMFAK >CAK94235 pep:novel supercontig:GCA_000165425.1:CT868676:177519:179694:-1 gene:GSPATT00026558001 transcript:CAK94235 MNLKISPNHILHTDTNCNISPPQQDFTKKQDLQLQQITPSYLFANHSFQPTLKILVPQKE SIFGQRKETFINSSYRDYSQRELYGSLQKYKIEKPDDGLAHFRGRMKSIKQHQSPYKLNQ QSPTSIQNIESKKKILDHVIDDVRLNNIKLKKLEKSPQGQNCPVHNFYYLKNMKQLQPFQ IEKSVKDLMRPFHQQRENLLSPIQTERSLIYPQRPQIQRPKEFLNKLKNRLLKRKKTIFL QQSQNYEDPIQRKLKHFQKSLFENQCLHTIYIRPSAIRIQKYRIEDPNNESILKRCLSFR WWWQEAEETDEEVQFLWTKEVSISFLNKQRQRHISDKIEFQPFEQILKTCEGVEDQMKFA IEQKLCLLSPNIKIHNHIDANNPLWTKKNLISRYQKYCTLTNQYYGDFLPFSIIVNNLGE ESFYDFVRNYKTSTDIWIVLKCQNEGEQIQLCENTKSVFNCILKEYQTPSRNQQSFIVQQ YIRSFVYQQIKLDLCYYLLITQINGIVRAYLFEQFYGEESQINFSPFEKVQQSKSITRLN PDYCSNKISMKTILEYFDDCRVDYDYKILPDIKTNLCEYIRSVFIQMPVKDHNFELLQVK IVIDNQYKPWLIDIKPTTQFDQSQDFMKEFAQQLYDNAIQLSVDILFPSPSIWPKEKRRL IDIYSEQNDFAIVFDSRMDGQGLKSLFEDKQPESHNDNDNDDEF >CAK94236 pep:novel supercontig:GCA_000165425.1:CT868676:181044:181676:1 gene:GSPATT00026559001 transcript:CAK94236 MGQSCIKKQKIENERELECQLNIIQPICQSNQIKRPIYEICYIQGREETNDEVYDLDLET RKPQKQKVKSVYNSKSNFSLGVKQQKQLIKSQLKSLGADVSQIFNPKKQKLVRSDRESRA LEYSTRLVQTTRDPKRCGTFSSNKSMDNSQSPLQFSLKQQNHTYDSIRDEIKSVRSMKPL NQQQSNHGILKQKKGKAKSMYYKRTVRFQF >CAK94237 pep:novel supercontig:GCA_000165425.1:CT868676:182942:183568:-1 gene:GSPATT00026560001 transcript:CAK94237 MFKGSSIKILIIGPLQSGKSRLANYIADREDISQNGYRPTAGVRILEFEKEAPKNPKRPG SEKVIVELWDMSGDAKFDSCWPAIIKDAQGVICVYNAENPKHEQELEQWINQISKKAGIP AALNVVFAHHLTGKAIKGQSKLPKSLSSLTVHDTSIEEGNQTIHPAFEKFFNSLMLSIYE KQEKEENRLMN >CAK94238 pep:novel supercontig:GCA_000165425.1:CT868676:184226:185239:-1 gene:GSPATT00026561001 transcript:CAK94238 MFNQLLEFRNQKLLVQALSNKIHETEYFQKTGKLYQYGNNEEFSIDGQQLIEFYFYDYML LQGFPNQQSQFKKPSDIIQLRQRLINDKYLSEIATLLNLQNLVSVGNQKSLKNNPKVLSD CLKSVIGAHYYDKQNDLEVLRDLIHPIIAQLLNKGQEIGLKKWKYNPKSSFLEFLNQYKG QLGINPKLTIEWKKDDTILNQNNSYYQITLELNSNFQIQKIGINKRETEQNVYQEALVYL RKLNIKKNERQKTFQKQTDIKQIQVESELSTSLNSTINNQNLSLYSFTEYNDDKQNLDFD QQINLILEKLAFE >CAK94239 pep:novel supercontig:GCA_000165425.1:CT868676:185502:187606:1 gene:GSPATT00026562001 transcript:CAK94239 MIKQLNQESILHISTSSTLQSLASFVKELIENSIDAQATQIIVNFFNNGKEGFEVIDNGI GISTINQKQLATRGGTSKIENFEDLEFVVTHGFRGEALNSIATLSNVTIISKHKDEELGW KWEIPQEPTKIARQTGTSIRVENIFYTLPVRAQEFKKNYKIEYNKAINILTEYAIINTNI EFKIYNEEEKNKKKLILDSGLANQNMKQRITNVLGDSIANDLIPFEKISQDFTLIGFLSK SIESGSYKGQNRKTFWYCYINKKPINPPKQVLQIMRDIYRQHNPNGNFFFILEINLRQIN DADFNVSVDKRDVYLKNEKLILENIEQIVTETLEKNKEKLKYIGRDGNLDQFIMATAKQS EKPAPSQIIAQTEKRKDPPPQQTKEIQKRNSTFLSQLKNLNPQKNIPNEFNQNESQFTQS QQDLQQQQQQQQQQQQQQQQQQQQPTSQPNNEKIQLKINEVIQQKEEKQLFEADEFEQLS KQNHFLKEHFNNLQIIGQFNKGFIICEHEEKIYVLDQHACDEKYNYERLINQLKFNRAQL IVPITLKLSGFLLELLIDNQQQINDKYKYQVEITENCVKVKTCPSYSNIQLGADTLLQIL DQLSQGKKLDQIEIPQIKQKLASQACRTSIMVGSDLQAKQMENVVKNLTTLISPWNCPHG RPTLIQVQQPKQAKFSKGFSNNLLY >CAK94240 pep:novel supercontig:GCA_000165425.1:CT868676:188275:189447:1 gene:GSPATT00026563001 transcript:CAK94240 MKVIYTLFLSLLIVQCEKNLSSRIESLLQTQLGHTLLSTAQLALQTNTPLDRIIDTLQEL EDKYQKDQKEEDLENREFQQKCDTDLSNLNNEIDDINRLKVKIQGAIDQLKASIESKKKI LDEKNALRKNLRKGMNEVDVIREYQVKVYEDKRGEVGKIIKTLEEVKLVYAQKLRTNFLE LQTEKTTVKPEVFAEVTKQLVSFGKLKSLGKPFQDIIDFMIQMSQNGNNIGVLRNIIDLS ESLIRQIDEIWQVERKAEEERVRWYTEYQKFNNTDFKIYDKEINELEALIQSLKDRLADN QRKIDDLTLRLESKEYTKEDRRNECQQAAYDYTQRRMSRAVDRNIVSEVVGLLNVNMRDL KETLAQKMAAGDRI >CAK94241 pep:novel supercontig:GCA_000165425.1:CT868676:189467:190031:-1 gene:GSPATT00026564001 transcript:CAK94241 MQNNKKAKRTKLTKEQIDVLQQAFTLFDTDKSGSIDESELRNAMKALGFNASKEEVQKMV EQIDRDGSGTIEFQEFVEMMKKKMLEDKNVEVEIEKAFNYFDDDNEGAIDLEKLRRVAAD LGEECDEQTLKDMIYAADLDQDGKVSKDEFMMVMRKMKLI >CAK94242 pep:novel supercontig:GCA_000165425.1:CT868676:190166:192277:1 gene:GSPATT00026565001 transcript:CAK94242 MQQTTSISKRIATLFHNIKGIYKKDSSATQVEGQKEFQEYVDYLLERGMRINNLRLAQFR TRNNLPYLGLQTIQKIETDSILVSVPRELMLTTKIAYFSDIQEIFDAYPQFFCQHCAGGW QDRILLTYILYQSQLGRQSQWYHLISNLPKDIDYLIFWSEQELKLLNDEKLILKAKRDLQ DFLLIQKTLTHILDQFPQHFQKETYSFENIKWIFIHLVSRCFGSTLEQVAFVPFCEMFNH ENTDVRYKGLYLESNINKPKDDKESDTNESDESDGDSDSYEQEDYQYPFEIQEQIKIYKQ KTPTYKLIEQELTVYQNQVDYQDPDAITKLRLYQEKCNNRIELQILYLKNKRWFQENLNL RDNFTIIFVSKTLEYIQDQIKLYEVDALSYEQVSAIIQNVTQNSELYLEKVKEYAYQKLK EKNYQLKQFEIPEQPSAIETVDEMLEVGIPENVKPFEAKVDWKEDQFDNFVIKCSSKDEF EKDAQAYFSYGTISNRSLLLRYGFTLEYNIYDYVEVKSQYIQYIPYASDIAEYFQLSKYM KFKIKYTRINDDLLMYFKMLNWQYDQGISYLFDDIYDSVIINQAYNLIETYHSDHYKETL KSQKEKLTDSKLNYHDYFALIYQIEKQRILEAQLEALQILKCKIEKKEYGEQQFEWSSYL VERFKN >CAK94243 pep:novel supercontig:GCA_000165425.1:CT868676:192374:193582:-1 gene:GSPATT00026566001 transcript:CAK94243 MSNQYVIVPQNYRSDSMVSISPADKRYFEQAQAKQKSPPQQMIFGGYSPSPSLQKSLSTQ LSEQSMDDFGRMQKDSDTELVVQYILQLRDTDKREQALSELSKKRESFPHLAPLLWHSVG TIAIFLQEIAVVYQHLQPAQLTPTQSSRICSVLGLLQCLALHVQTRSCFLRAHIPLFLYP FLNTSNKSKAFENLRVTSLGVIGALVKGDDPEAINFLMQTEIIPLCLRIMKKGQELSRTV ATFIVQKILLDDNGLNYICQTPERFFAVSQVLQTMIDDLHQSQKDDQRLLRHIIRCYLRL SENQKAGEVLKKYLPQVLKDPTQSFIKDEVVKKWHNNLLQNLSIK >CAK94244 pep:novel supercontig:GCA_000165425.1:CT868676:194887:197597:-1 gene:GSPATT00026567001 transcript:CAK94244 MNLRRIGSFDQESRKQDLYEVLASSKSIDVKIFQIIIEILKKLQISDSLNFLQQAKKNQN FWVNHLQDHNSSAQEKCEKLDQLINEIKQIAQIMKQIHDHEFNTRNYSTQDIVEKKQSLI EKIGRDENIIEFLKYLVHLTSVDNKFIQSGSNSLHLLVEMKIDLKTHSFENIKIRNTSLQ GGNFAKCDLSGSEFDNVLIKGMNLNGSKLFNCKWINLRMDVIELNSRGDYVNSFCYSPNG HYFASSSNKSIILWNLRRGNIRYVIEGEREVMSLCYSPNCQILGACSGKFIYLWNLYTGK QKQKLTGHNSYVKAVSFSSDGLKLASVDVDNTLYIWDVIKGKQIIQYDDCYPVCFSPDAA MIAFAGLNYNIYLLDVETGEEKAIFKRHYTEILSICFSPDGTTLASGGGDRRTGSCSRVY LWDLKTGQLKNELCYMKCRFTSVCFSPDGTTLAASVINNIIVWNVETGEEEYFLQCYHKE INLICFSSDGRMLVSGSGQYDDFISNRDSMIRFWDFKSLKQEVNSVGHKGNVKQVCFSPD GTTLASGSRDMSIHLWDVKTGQQMFKLEGHEHCVNSVCFSPDGITLASGKSYICIWDVKT GQQMFKLEGHEKCVDSVCFSPDGTTLASGSYDNSIRLWDVKTGQQKAKLDGHSEAVISVY FSPVGTTLASGSRDMSIRLWDVKTGQQMFKLEGHEMLCQFSSISSPGWYYIRHLVGSDMS IRLWDVKTGQQMFKLEGHERYVNSVCFSPDGTTLASGSADHSIRLWDVNSGQQMFKLEGH EKCVNSVCFSSDGTTLASGSDDHSIRLWDVKTKQHITDSDKTYKKHLAKSTLPIQYTSLS LIDKYTIPITILHITRDPIFQAKRTLISKGEFTTDAGINIRILFEQN >CAK94245 pep:novel supercontig:GCA_000165425.1:CT868676:199455:200283:1 gene:GSPATT00026568001 transcript:CAK94245 MSHSELIQTQRKAWSIEEDQMLQELRYSKCLDWIEVARRIGGRNPSQCAQRWKRIKGYKL RRQWTQEEDDKLKNLVKEYGYHWSKISKLLPNRSGKQIREHYLNQLHPGLNSEPWSKEED EKIIEIYNDVGGKWSVIQKNLQGRSENSIKNRFYSYLRNKYLKIKNPYYIVPKKEQLNLS KAEQKINSIVSAQSQEYSPQMSFSQTQPYVMPISMCNMPPISTVNLFPQFQILYPYFQFP QTQYLLQCLSNTIQQ >CAK94246 pep:novel supercontig:GCA_000165425.1:CT868676:201063:203027:-1 gene:GSPATT00026569001 transcript:CAK94246 MATELLQGDYEFNAPPRRTGMPCNIMWDKRVIRGNTYSSVLNKSEPTMQTTIKRIQNTKV VTEKKEDETIHNEETQTDPNVEELTDKPPRHLKETQTEFIIEKVVPRLYMKEKTGIDEET QVWDDGELFNFEYEAEPILQVLVRKTLDLSRMEVLQEEELREMKEKQEHYQKLKQMEMAE QDRLEKKEQQLYNENIKLKQQFHNQKQRDIKTHEKLVSRASAKKYLSKCVNLALQQLELS GYFRDPVEIQLISEYLPWIYQDVTTELLSSNHIFVEYNSMLDNIDDDMFKLHTKTLSQER SRRQQILDERERQRIAKEEADKQRRERRKIRLEKERRQKLKETVQEQLVTKGEEKEWFNL ISDVDGYYQGTNTIGYLGGIIGQFVIIINALQQVGESIEDISELITNSIAALPEGTIIEV GLRDQIDQMLADIEPELTLGNLPEQLTQGIENAIKSNIVYSLQQHWTSFEFLQNINQIVD LVIKNLFENRAKVKWSKIKGQVDFQKIPCLVKLQPGMESLRFHPKSGKTRVEKSVEEEAT EEEIINPQNLGEAVVDTKATAFIPQNEEIQTAVIHNVAEFHLRNLIIQQIGEQDIPWLVN FTEKCQNLETQIIETLNPQLPQLEVYQY >CAK94247 pep:novel supercontig:GCA_000165425.1:CT868676:203044:204201:1 gene:GSPATT00026570001 transcript:CAK94247 MDDHIQQAWQFYKSLGSPKFICAPMVDQSELAFRMLTRKYGTTLAYTPMLHSRIMTESKS YKDEFFTTCPEDRPLFAQLCGHDPQVLIKAALMIQDQCDAIDINLGCPQGIARKGLYGAY LLEKREQVLTIVKELKQNINVPVTCKIRMFKDRKRTLDLTKDIQEAGCSILTVHGRTKEQ NKDFVGQCDWTIIAEIKQILQIPVFANGGIYTWSDVERCLQETKVDAVMSSEALLENPAL FSGEIKDLNDLALEYMQFAKQYNARLAEIKAHLFKLLYTGLQIFTDLRSKLGSAKTYEEH LEVVIELKNKRADVPKEDKLGWYKRYQNFKQPQNNKDKSLQKLENIIQQQDLLIQKNDPQ >CAK94248 pep:novel supercontig:GCA_000165425.1:CT868676:204246:205349:-1 gene:GSPATT00026571001 transcript:CAK94248 MRSAYDQSQCQQVLQVCNNPQGMLNQHNCFLEYDIPIFNKIPNTKKFQTKPKENIFEHKI GKDIVSINDSNQTPLYCINWLKRKYGRDDFYQLKKQYEKLLQIQPELNIDYQIEKDLKRT LLAENKVNEIRNILLAYSVFDPSIGYIQGMNYIVSVLYSHAKQEWIGFWLFVLLIQQMGI RDVFQMSQNSLNKYSKILDFYIFRYQYQLYLNLREKKVQTQLYLQQWILSLLLQQIPFEY SQMYLDGLFNNGLSYFYTVAVSLIKMFEQAIIEGEQIEILMILTQKQNALLNWAFILSID WDIQKQDIKAIDESFEIEKNIFQISRLQPYQSAQLPHFIQFIKQ >CAK94249 pep:novel supercontig:GCA_000165425.1:CT868676:206510:207027:-1 gene:GSPATT00026572001 transcript:CAK94249 MQKKKRELTQGEVQKIKDGFQIQKVIMKDSETQQVYWDSTSENITQDIMDITLDPKIITS KAATRSIQFSTKEKLQDLILIQDAYLHDQKIEHFVFKFGFVMPETVNTWDSTIVNRPPEQ MLPKEIQSGNLIVDIQMFEQDHLIFNVKVRIFYS >CAK94250 pep:novel supercontig:GCA_000165425.1:CT868676:207064:207511:1 gene:GSPATT00026573001 transcript:CAK94250 MIFEAFEFLLYTLYLALAYLIPIAMAAIAYASNDKPKMSRWLIHFLLINILKHTVFPILA FISLQALNETLGICILILPIYISFETLEQLVENNYNNILAPKIEILRQKSYQGLLKFNLL >CAK94251 pep:novel supercontig:GCA_000165425.1:CT868676:208066:209158:-1 gene:GSPATT00026574001 transcript:CAK94251 MATQKQENRLFNLTPNKSQQIQQSTFFQKGFVSSNNLPQKIAPNYQFTYESMPKVNCHYH VDQYITMFCRCQTCQMPLCPQCVKIHSQEHQAYNTYGDFDTLENCLTEVYQSVAANCNRF VDEMGQLNKVTALNEGVNYYMDKVKQARQQCYQVIDNFFNTLEAELAQEIEGNRGFMTNQ SQNFSKYLQQRWKTLMSLLEKLNTDRSVKTLVKYYSSNLPQENEEYYHESNQFMKQFNKC VPEILLDQSALYELNIDLAKFVSLKKVPVMKQVSRGYPQYSPNEQMQPMYNQYMQPQQNQ TLMPLTNTEPPIEPPKQYPVKQGQFRQEQSRPVQQDNTQIHPYLLTPPKTSNFYQ >CAK94252 pep:novel supercontig:GCA_000165425.1:CT868676:211773:212927:1 gene:GSPATT00026575001 transcript:CAK94252 MIHSSDRNHRRKKLSQFKHQAGPFIIFFTVLMPTNCVILEQFFFDLKKDSASELINGFLV YLSYAMAMWSYYQSITIKNYTIDKATPNQDNRRIDPLYKNTNSCLQCNKWKPIRTHHCSL CGKCNLKMDHHCPWIHNCVGLRNHRCFYLFTMYMTIGALQYSYASWVYFRYLFRSSNGFF SHQSTFFYIYWFLTSLVLYPVCAMLCFLLVYHTSLIINNQTTLEQMENSQSGNGCCLQND RPPKSINLFNRGILSNIAWFFNYSYFWFLPFQNIYKQDGTQYPIAPLCTIQDIQIYNPQL QIGQIPDQQFDFDKIDQKYEEYLAIAKQKYKNKRLVLIGKEIQLD >CAK94253 pep:novel supercontig:GCA_000165425.1:CT868676:213418:213912:-1 gene:GSPATT00026576001 transcript:CAK94253 MQFQLTAAFKELLKGDNKIPKSNLIRQAKNLAHKPSLEPKINQTHRSVEEPAQNKQRSGQ AFPILIQKKQQFTLDCDKTKDILKNFNIKLIRQRVCQNEKIHRKLSQHNIQNTQQLNLTF GQNFRIKTRPLLSRQDNSADLRDSHSASRVMSHSQQRLVNKLFQ >CAK94254 pep:novel supercontig:GCA_000165425.1:CT868676:214309:215145:-1 gene:GSPATT00026577001 transcript:CAK94254 MNEILLNTQSSNFLSEEVINKAAKDPETRNNIIKFEYKLLSVYAHKFSIILLPKTEQITE WHGMISISYGLYAKGKFKFVLNFPKTFPESIPKIRFLCPLLHPLIDEYNNVDVDTLIPKW KYGQDCMVYNLLNKLYEMFIDVSYYDCVTSFNPRAAQLFSNDINSYAEEVKKQVAQSEQQ LYDNRDDFVLKLKEPTYNTQTILQKLQDINSSKNLTFEQKKKDLLNFILQQSKENKIQQ >CAK94255 pep:novel supercontig:GCA_000165425.1:CT868676:215326:216365:1 gene:GSPATT00026578001 transcript:CAK94255 MEDCLSEIKGIGSSQSIKNQREYDEKSDHQNILINDIDDLMPLFKVNVDGVQKILETIRS NIVKIVELKKQYISATRSEAEQELSTKLDSIIQQNNQLQERLKKLIGQVALDVEKAKEEQ PDEPETRMKMDIWAAVSLKVKTVLTEFQDAQLDFKKDMRNKIKRQATQLDFSLNENQIDE LCEDPKKMQELLQQKIYGQASIKTQNAVQDIQEKYKDIVKLEKSVQQMYQLFADMAVLVK NQGELIDNIEQNMVKARDYVIKGEDEQRKAKKNHKAARRRMCCIIMIGLVLILVIVGPVL GTSL >CAK94256 pep:novel supercontig:GCA_000165425.1:CT868676:216439:218217:-1 gene:GSPATT00026579001 transcript:CAK94256 MENNNDIPSILKSNLHSNRRSLKISVVHPTDESLTNVQDEERFLYEKRQWEISSQQVSLE NIQKEQMEKYKGEWNWGVFVEFFLYHQIFFTILGPFMVILFSLWPGLTLMKNMKFYGNSM PFYLQTLLWFGSVVGGLGYFFWDESLITLTEILFLWYALTIRSVVIAAKYATFSRSVINL YKSTLLPDEVFQFDLMMGEWREQSPKILFLEPYRSLQRYQFEISLFKMDFIVQPHQETKV AIAKVDINFFRDTGISLDDDEYSGFKLFGYLVNHYQSKNSANAHMYICVLEAFILSTTPM WLRIVDLIDSVEALDMFRMVLNIVSSFIGFWGSNIFFHQAFYDFKRKFFLLEQLLLIIKV RPDQIEQLKLLPTLNFNNITTWQAWSMMRAISFDYGQTYNLRTQGFYSLCFLGFIVLIFL SLLLILDFVHLDLFQLILLGELAIMILGFTAYYLALGAKLNTYLDQCEVALQDVKSIYQD LLRMKDVYFEENKEPQNYIHKKFKQLLQNESQVEEVIKSIIQELDDNIRIIQYDSRNNPF KLYGIKITFNLLKSAAVGLSTIYSYSLQQRFMNIK >CAK94257 pep:novel supercontig:GCA_000165425.1:CT868676:218670:219126:1 gene:GSPATT00026580001 transcript:CAK94257 MSEELANTVYLGGIPEDVTVEQIKHLFITFGEIKSVDLPIDSETLKNRGFAFIEYEDIED AEAAIDNYDEGELFGKIVKVKPAKPYKPKSFYTKPVWHSEDWQRKNNEEYTYKERVRREK QKKRDEEERKKEEEKAKKYQKKQQ >CAK94258 pep:novel supercontig:GCA_000165425.1:CT868676:219244:220648:-1 gene:GSPATT00026581001 transcript:CAK94258 MDRLIILLAILLMVGNNYAFDIPQALEVPIEEEFQLTQTQFNLLYSVFAIPNIGLSIGGG IMIDNMGGRWGVFTFSLLLGLSQLFIFFGGCYHNYCMMLCGRAIFGIASDILHIAVFKMV AQRMPKDMGTAMGLILTVPELAAALNSFLSPYLFEKTNSLKIPLLFGLFLCFLSFLSGLM MIYVDMKYEKVESVKQTEQISVFNFKLTKPFVIMSIITTLMLASYVPFLDNANKFYHERF GFSIMDAGQIVTVGYVVAAITSPVVGRISDKFTNYRPFFIVVSTIMFFISHLQFYYMPLT TSPNYYSVFALITLGLSYSCFSSVLMPALQSTVPEDMLATALGLLGIIENFCMAVVPMIS GFVYAIGGGIDPMKNVDIIYLFLAGIGVVLSIHLLMENILKPAKAHSKLPNALDI >CAK94259 pep:novel supercontig:GCA_000165425.1:CT868676:221530:222531:1 gene:GSPATT00026582001 transcript:CAK94259 MDYEIIDQIGKGSYGVIYKVKIASQIQVLKEISLKNLSLKQQHEAYREAQIMHTLNHPNI VSYYNSQLRKDKLNIFMEHCENDLFNYISRNPSPAENQVWQWTMQLLEGLEYLHSQKIMH RDIKPNNILIKSNVLKISDLGVSKSLISTQQLQTTKVGTPLYLAPELIRNRPYDSKIDIW ALGCVLYFICQGDAPFGGSNLISLGYNIVNKSPKPINCKYSKRLQTLIFKLLNKSPEFRP TAAQALQQIREDNEVKMKPQFQKIFSVHSQHEITRGDDLKDQNKTVQMIQSRRLERIIQQ QKDAQFRLCEADKFSKIVLLNRIIKHQSEHYEQ >CAK94260 pep:novel supercontig:GCA_000165425.1:CT868676:222554:223683:-1 gene:GSPATT00026583001 transcript:CAK94260 MFSNSPIIQKSYQSSSFSYQQQMDDMSCIVDNGVSGSIYLGNIESASSLENLRRHRINGV LSICMNKIPFDVQTQLQNYQHIYLEDCESENISRHFENSNQFIEKARQSGNVLIHCMAGI SRSATLVAAYLMKKNKMSAQDALKLLERKRWQVYPNDGFLRQLQQYERALQLQAHKSDKT EVSPQKESLLKNKQFQTPTKEISFMNKYEEKQQYSGIKTRPLKDINYESYKRKSIEPQIY CRPESSIQFSLTNHRPNQAINTSPDLIRKQNYLQDAIHGKKKDGLASLALELNSLDWQNR KQDYENKFVKQQIQTTSKTFISQNKPFQYNQQQSKLDELLNAFNRKPIL >CAK94261 pep:novel supercontig:GCA_000165425.1:CT868676:223766:225577:1 gene:GSPATT00026584001 transcript:CAK94261 MGTVNIELIHFEKSVSLNQSTFFKTFSEMQQKAAITHQVARIPSPKERLQASLSHNKKQR ETIINQSRERLEIAMSEALTLTQKSRNRNYQKLKRRDQNNKKAVELKPQQKVFQLPQLDT PLENSVDGPAQLSFYFESQRLIRDIKTFKPSFTEQAAFTLFNDQLYLYGGIGGDGVRNQM LKYDIKFQIWQVVHGNGENLKQGRAGLSVVQYKNKFIYFGGCGQFNPKLKIRECSNQVFE FTVSNQSWEKLHPQGDYVEPRRQHRACLIGSKWMLIHGGINSNEEVLSDTSIFNIEKQYW KNYKAKSPPICCHGIVNISSQGKFQEMIPNDLMPQELIFSFGGKDAEGNSVNAIRKLTYC SFTNIPLSWDLVECTGKAPPPMHNHTVEYLRKVQGIAVIGGLRDFIQNGTLESDQYYIFY PHLSLWQEVIAEGQRIPRCAHSSVMLSSKIAVFGGIGNERYLEPDVGFIETDQIQVFTRV AKEKVLNNTNQNFEEPSRQENSFRKKKSLFQDESEISDGYKPFRITLRKTTQARRSYLPN PHRKQVFIRYDILMGFVKQIINENLHILQNFDKYLERKIL >CAK94262 pep:novel supercontig:GCA_000165425.1:CT868676:226532:229078:1 gene:GSPATT00026585001 transcript:CAK94262 MNLRRIGSFDQESRKQDLYEVLASSKSIDVKIFQIIIEILKKLQISDSLNFLQQAKKNQN FWVNHLQDHNSSAQEKCEKLDQLINEIKQIAQIMKQIHDHEFNTRNYSTQDIVEKKQSLI EKIGRDENIIEFLKYLVHLTSVDNKFIQSGSNSLHLLVEMKIDLKTHSFENIKIRNTSLQ GGNFAKCDLSGSEFDNVLIKGMNLNGSKLFNCKWINLRMDVIQLNSRGDYVNSFCYSPNG HYFASSSNKSIILWNLRRGNIRYVIEGEREVMSLCYSPNCQILGACSGKFIYLWNLYTGK QKQKLTGHNSYVKAVSFSSDGLKLASVDVDNTLYIWDVIKGKQIIQYDDCYPVCFSPDAA MIAFAGLNYNIYLLDVETGEEKAIFKRHYTEILSICFSPDGTTLASGGGDIKTGSCSRVY LWDLKTGQLKNELCYMKCRFTSVCFSPDGTTLAASVINNIIVWNVETGEEEYFLQCYHKE INLICFSSDGRMLVSGSGQYDDFISNRDSMIRFWDFKSLKQEVNSVGHKGNVKQVCFSPD GTTLASGSSDNSIHLWDVKTGQQMFKLEGHGQCVNSVCFSPDGITLASGGESTYDSKENY ICIWDVKTGQQMFKLEGHERYVNSVCFSPDGTTLASGSYDNSIRLWDVKTGQQKVKLDGH SEAVISVNFSPVGTTLASGSYDNSIRLWDVKTGQQMFKLEGHENGVNSVCFSPDGTTLAS GGFDSSIRLWDVKTGQQMFKLEGHERYVNSVCFSPDGTTLASGSYDNSIRLWDVNSGQQM FKLEGHEHCVNSVCFSSDGTTLASGSGDHSIRLWDVKTKQHITDSDKTYKKHLAKSTLPI KIYITIFD >CAK94263 pep:novel supercontig:GCA_000165425.1:CT868676:229877:230857:1 gene:GSPATT00026586001 transcript:CAK94263 MSQRPPVPQNQAPQPQQYPSAPQYAPQYAPQYVPQYAPLPTYLPQQYAPAPIAPLTYSVA RPVAPQPVVAQPVVQAPVLQQSVIAQPVVQQSVHATIKGESRIEYIPYQKAVMEYEEQEV VQYVPREKKITDYYAVEYQTEYIPQVFQEKYTEYVPVDRYQERVEYYPVERQVVHQQVVQ QPVVQQVVQQPVVQQVVPQPVVQQVVQQPLSVVQPVQTVPVTYAPQYAAPIVSSRVIPSY PQYPSYPQYQQAPQQQAQPQQPPRSNLNVH >CAK94264 pep:novel supercontig:GCA_000165425.1:CT868676:231738:232281:-1 gene:GSPATT00026587001 transcript:CAK94264 MDVIYQTKILNNENEKQISSRSGHMNMSPPFLEAVRSKPLIKIERKERSPIVQDINDLDF GYMEELEKRKMFMMIRLGHIRDSSRLTPVHNYNKIPVLPLKKQRKTITPSPKQTQNKTQL ITGIPLPTFSMKIVEDPYQIQRQHKPNTQKLVQKQKRLNIINKRILDKFRDY >CAK94265 pep:novel supercontig:GCA_000165425.1:CT868676:232861:233409:-1 gene:GSPATT00026588001 transcript:CAK94265 MRNSPLSRLSEQRQQGKNSSNFSDLTVQESSNYRLRFTPLGSPSARHKGTIVMKSHETII LNMLSSTHRQIDQPFKACQECTQDFGMVHQYCQCKGITYHEKCIQDTARKNAKKQKEQAF ICKNECSYPFQINGYYMYEMVQNQEKHQYNWEVAHSYNSDRLPCVTVFGDWYCLVSRCIQ QC >CAK94266 pep:novel supercontig:GCA_000165425.1:CT868676:234072:237126:-1 gene:GSPATT00026589001 transcript:CAK94266 MKAYEFEVDNKRNIQREELFILTIVERVLAGHLQSISFVDQAQFCELLTKRQKEKKAIQP LHIQILGTVKRNLIKNQTPLLEKKVPYEIRTVTSSQIEMRKNHIHTFSNRNLNNKEQQTT DQDAQMPGQLQPQSNRNSNLKIDKKFNSAKYHTNRNKNQGDSSARCINPFQLNSPNSTQA ENILKEFPSLKQSTINEIGPIPKNEKAIINIVSQDGEIEQQEDFIIKNNKNSIEELRRVD NKEDLDVQQLESQANQNHQQLLRVDKQDSNAQQSKQQQNHSRKQSQNLPMGSDSSKMDLK EKLQKYYSNEEEFSSQRKPMTQSDRLKNASYGTDPNTKDIRVDNKSQIIQSAKYLENDKK SDKAQSKQQLVKFSFIPGGTQEIAQYTENSSKEQYISPKKSFEEAHQTGNFLSPPQSVRS LISAKSTKTKLKTLQSNDLKIYDHQNQQQFENSLSKEESQISLLEQSLHAHHEQNHLLHS STLRPATTHIESNKQQDYPMFQTHDNLDLMKKSAQKLLQQQSSITSIMHDEENFQSNQTL TQQINIQVNQCEPTQPTNNIIIEESIEGSPNCKIKNYQHSAASFSLLKLEDQHQLPTQTI IQQKQPLSPRNGQVPSNTYIPTSNMIPGTQSQSKMLIPINNTQSSIKNNRATNKSRSQIK DQIQQQQQQQRSEDSQPESKLTIESSQGDKSNRSRIVKNTKQLKLPAAAVALNFARRKSS NQISPMDPKKLEQQEKEKQRQIEYQKQIEKMKYERELMEQFKIPDEMPLLQKRAIIESLM KELETNPDQDAKYQIMLYKQRQEQIQNASKIELVFNNNLTNCYEELSHISELKLKLLGKD SDPQKIQFFKFEDQVQTNFHGQSKSFTQELGSPIKKNLKFFSKLDPVEIENQMNRIKDFR QETEILKLFSSFNNQYEKEVNQCDLDYPTEKDRYGSMDFQRGFLPKAIHRRKKAKHKSTK IVINTYRMDPKKIDQVLAQTERIKQVGQFLF >CAK94267 pep:novel supercontig:GCA_000165425.1:CT868676:237304:238257:1 gene:GSPATT00026590001 transcript:CAK94267 MLNEYEIRLKNIFVDPQIVQSYDFISKVQLYTKDQVIFKYGDENKFFMVLQNGSISQNTS YIPIGLVQNTIKCLSDCAFRIIDEQQFEMLSKAKINYQKKILDFLHNLPCFKDAKNVKLN FLIEDYKLGQSCLNEQEYSKSLFVIYDGSEFLIRKKWNKSYIDIGQVSKGEIINDYECTS GLIQQFQILCKSQTAQIIKIDDYKRLIDLNDFLKIAAEKFNHRLARYKRMTDFKSGNCDY QQPFEPEILPLRDVINRVQTSQGRQRIPVQITIDEEVKKKVQIKMGTYKTGQNRKRMYSL MQ >CAK94268 pep:novel supercontig:GCA_000165425.1:CT868676:238696:239325:-1 gene:GSPATT00026591001 transcript:CAK94268 MSSNIFTQCIFEQQICLRFIAYSIQFNIKILMTTQPILNMIYFSYRNAISSPFNQRLIYL KKMGCQINKPHAYFDGHLSQTLQRPQAKAEFIEVKVSDPGAPINSFLVECDDYLFDDHIL SSFDQNRTKNDSSNFEDKRRTQSSNNFLIKPLKGILKTPTKPSRSSSRYFNEEAKFVKFQ HNHDNLSQPKLKVVGKNRKTRQTRTATRI >CAK94269 pep:novel supercontig:GCA_000165425.1:CT868676:239727:241069:1 gene:GSPATT00026592001 transcript:CAK94269 MFILLHLFLECLGQTQTFFNLDTGIHILKTLQATSEPNSKIIVKFEQENFDSTQLLICDS EINLLKENCIYDINSFDLKRNTQVIQLVSGLDLENYQEQLLSMKQFNESPQVLGTYSKQV SNFSITIRTVNIFECASQCQNDGICKNGMCDCLEGYFGADCSIVALDITMQSLYHRNTFY YYNIQECNQQSCSLNIKFTQVGKYRQTCYAKDWYLLQQNLIEKKEIQINDVQQCLSKINK EKQNPQQFSYYIFYFESDCENSQQSNDQDSRIILIIVITTVGVSITFCCCIVCYYYLLRK RKEEHQVLPIMTIYPKTDIQRYLPKQIYKTLITLYPGLASSDECLICLDRIKESDYVRLT YCTHIFHVQCIDNWLEKNRICPACRSELDEVTLIKVAKSKKNDSLIFENKASRLYGDMVT PNLTMTPQLRSIEMTERL >CAK94270 pep:novel supercontig:GCA_000165425.1:CT868676:241745:242934:1 gene:GSPATT00026593001 transcript:CAK94270 MDTLFDLIIVGLGSHGSNCFSHFSGKMKVLGIEQYVSPHTKGSHNGETRIVREMGYSGEY VDIARRSLELWQKLQDSTSEQIYVNSGGILFGHATDSQFRDYTQFNNPNLQQLKHQEVES RFPIKTSKEQQFYFDKSAGFVRPEIAISIFINQGIQKGGKVINNCRYINHIYKDDEIHVY TDLGVFRSKKLILSLGMGIKRLQNTYQLDVAIYKQQVVFFKTDNKNFDKLPVFMSENNSQ EVYGFPRVNGEVKVGLHHFGPSLEHPDLYDQTKSEEGTVNQIRQFLVKYMPELKDAAVSR VETCVYTSTRDHNFTIDFDPRSKNTIILSACSGHGFKFCIVMGEILEEMFNTGVQKYKSF QLSRFQKPKF >CAK94271 pep:novel supercontig:GCA_000165425.1:CT868676:242935:244206:1 gene:GSPATT00026594001 transcript:CAK94271 MQQKADYRRELAETAQKICTPGKGILAADESQGTIGKKFVTINVENNEENRRAYRELLFT SPGIENYISGVILFSETVKHATKEGKNFVELLKEKGIIAGIKVDKGLGVIPGTQDESATL GLDSLANMAAEHYKLGCRFAKWRAVLKIGNGLPSQQAIKENAWGLARYAAICQENGLVPI VEPEILADGDHSIDVCQKVTEKVLAAVFKALNENNVFLEGCLLKPNMVTPGSTNSDRSKV TPQEIGYRTALALSRTVPGALVGVTFLSGGQSEEEASLNLNAMNQLTTVRKPWALTFSYG RALQNTAVKTWAGKQENWEAAQQALLTRAKANSEAQLGKYQGGQGGASNESLFVADYKY >CAK94272 pep:novel supercontig:GCA_000165425.1:CT868676:245373:250419:-1 gene:GSPATT00026595001 transcript:CAK94272 MFNRSSSDVNISQIIRLINEIKQSIYKVIYLMMKWEEESLYVAILFSIMQLLQITYLAFG PKILYVWNNEKAAMNLRSIFSYFIISSHLIGQEFKLYLTIFYLFFGLVLLAIVLFVVFAF AFKVPVLPLLLLKFLLKLFLTIGFLPIMLLFFGLLSCKVNSEGANIWFYNTDIECWGNEH YIHGIFAIVAILIFQILTSSTCLIYFESKYAYGNAYAQRSGRPYSYYHINVFIIIMSYQL LELPRFSSLFLTIYILSSFFLFYQIHTEKPFYNKVIQKLWSIITALNFWTSIMLLFAYLL EGQYFRDVIWGWVGGVPLITLIIINEQIFEVDLLGSNINRAISGQQIIILCEYLLSLLDK NDQHSLLMLDGFIEIHKQTCYRNDCVLKSKKILNDKNKHVSLQDMERYTVFIELISQMYY DGIKQFPQDVFLRLNYSYFLIDRLKLKQQALTELQQAEQSGASFDHEFIIYRYKRILEDD LQEQQQENLNQVDLVTEIAFQNQSKQLIQQIEKTSLVYVDFWAQLQEEIPDIGRIKVLGF KILDSVNQVEEQWNRLKRLNQKASKLNRLMGKYYSQVLNDEEIGIKLIQLSRMNQNDKFK AIDLEEIQNECLATITILTDVDKMGTISNLNKAACSLIGYAKTDLVNRKLNLIQPDLFSK YHDHFLENFLDKYQDSYSNQQVKEKSIYVKNKQGYIIPCQLHIRMIQTINAGVFMCGTIK QDIYHKPMSIFLCQTNGIIENINSTCIRMFGLELKSITIKPINILDIFPDILERKDELLQ KAGGIVSYTFRTRSSKNDVSHNSLQDLDIEHSEVFENQSKQQIQYQCFLQEIKFQCIEDE IIQNKLQGYIIKFEKLKSQEKIQLYAQNKPIQNQTQFKFNCQSLTYVLDCASGVDSQDVT VKVDQSIFWEDQTKISQQITNDPQVQGSDSQKNYAEGIKIVRLIGDRIVEIEESKSEEYE DENMQSLIEQNENHDQDQKNEKETVNLYRSKGQLDQLLSQSKLPRTAINLIIFTNIMNTV LLLLAFLEYFINLDQYQNLIDSIPLVSYNNQRSSTLMSIQSIVQDLKAINYDQYPLLKDP QSGYLNVEKQFRGWFDKELQQLMMVQKELTLVSAEINENYLEFDDQYYMQNIKMASLEGG TQNYTFNEAVQSVIAKAMQINSSSLEEISDQNSDVYYIEYNVFNSLTQNLIIPAQYYRYN IISRGEILDTSATALLTAACIVLFILICSNLMFIIKIEVVNQAALQLFLDLDQKKIKSIY IKCENFITSLQVGDEDDDDFFSDNDENEEENENKEKDDGAHLLNGRKKKKKFRNSNQYYK HLILALLINILIMSAYFTYQFVSVQSETSSIIDLIPVFNQTSFAECYYRFSDNALRKSFE VEQYTFVGNSSMHVLNWLISELYNLDAAIHQIHSTNSDILDSEYLDVFNNIFILTPCDYI VQIDHEIDYETCQNFNDGVLQQGLSIGMAKFFENFRQLLNSYSSFNQSNDYDLTFQISEN KRLNYMMNLANTDQAHNMRLMQLRYIKAAYEYINQALIQSVQKNANNSKNLKVALFISFN IFLFFIYFFIWIPLIFRILSDMLKSRQTLTIIPLQVIHRTASIRQYIRKIINH >CAK94273 pep:novel supercontig:GCA_000165425.1:CT868676:250521:255465:1 gene:GSPATT00026596001 transcript:CAK94273 MSHKQEFSQTLWDQFKLSIFNVAYQIVGQNTQSLQTTILLSLIQFIQLIYLPFQIQLQSA WHNVALSGEIQQFLQYFTIIRMLNQQKTSTYLVGLYIAISVVLLIAVIVIMATIFKQLLN NQFLRVVLSIALKLFMTAGFVEILRLHLGFLVCKRDSNGQLKMLYTTDQECWTSGYIIHV CVVIFSIAIMFLIVVIESKLFIEIRNNKKNAFSQREGSTYTFMFVHASLSMLLYCLLEQP KYSVVVILIQVLTSFLFFYKIRLKRPFYNRLVQKLWSAISGLVFYTNFMLLAAFTFEHLI LIGTIKGWLVTLPMLLVILLQEQRQNINLLQANLIQQLSSQEIIWLSDFLIDLCEDFEKD QNKEILILGFLEVHKQTCLNPQCAIKTSFELSKKFKDNSKYSDTRMILKDIIDQTYQLAL QIYPQSIDIRLHYASYLLDTLEQGQKALIELNKAEACYPSFGQQYLIFKIKKTIEQTYQK SSIAAKEVYYIKTIDRGIKYQYQQIKQLTEECTYRKIQFWNTLLDENPNLSLLQIFGNQI LQSIHLLNKQLFKLLRGNLQDAQIFKLLEKFNKYVHQLDAFKSVQKANKKILVQQQSERQ FLKNQDELSQYSQPVIILELFGKANQPTIIRNINQAFHSLLGYSKTDLIGKPISQILQDK YCKLHSVFVEDYFSSLRSDQVYETSERSQFLVARTNYIIQTFSTNSIYLSDKGIFQFCRL RQDQCFSNWAYLIFNLDGKIESISATCISILNLDLRQLQIRQLTIQKLFPNLMDNMDDYL NKMNVIVFENSITSLTKSSDFDEKQNDQITPSIYYGQLYEISAKNYQFTQQDLRQQIYGY YLKVQINEQLAPKENYLIKKNILTHQFKYSIEQNSYIFEHLGQQNSNYESIKNDSEVQDD PKVCVFTQQSFQNRKQPSVTIVTQQGLGHDIRTLRLFRGEIKEIIDLDQDEEDDEQIREQ TQKLEIQDQKEQSQQIKISNQQELMIRLLNIPYSPILKKLIFILNSLMIVMFVIAIVMYS ILNQTQSEFQSAITLLTASNQRFASILKIQSNLQDLRGSYFNLEQYTMKATNGKFAEINF VELSNELNILLENDKILTSANILINDKYQSILDIFQSAFVQMVTIDNQYHNFTYPQAIQQ MIAKAITLNGSSLSSFVDENEDFHYYLHNTLNSLPKSQLVSQAYYYSNILSLIDNLYFEE ISFFSIQMSFLTLILLFFQLYLYFHQKELKEIISLYLMIREDQVKKIVNKFSTFIQLLQM NENDEIESIEEEPVAQDEQEFYLGQSNRNKKKKSVFEINNYKRVQFISLSFLILLYSFFS YFYFSATIITDQTNSLVPLVNLTSYLPIQYRLIDNSIKELLYDENAVLFDELNSIEKLQT ELEGVKNLDAQLHALNQENEQILSDQYKQIFNEIYIQNPCNIILAYDSSVNQSACLTFNK NILQEGLSIAITNFFENAENILQQYSYYDKNAVYNNLTFNISTNHRRNYTLNIFNTRIGN ANRKMQKVFIRICHMQLSNELESYLSQHYSNLELQFSLLFMIFCVISILVYFVSWIPLQI RFYHDTRRAREIQSLIPLDLFNTCQSLQVYLRWIKEN >CAK94274 pep:novel supercontig:GCA_000165425.1:CT868676:255470:255846:-1 gene:GSPATT00026597001 transcript:CAK94274 MAEQQQADYLNLKVKSQDGEEVFFKIKKQTQFKKLMDAYCSRQNLQIQNVRFLFDGERIL ETQTPADIGMETGDEIDVVIEQVGGQI >CAK94275 pep:novel supercontig:GCA_000165425.1:CT868676:256099:257732:1 gene:GSPATT00026598001 transcript:CAK94275 MQLEAPQVFEFGCYKKGQKGTKHYKGIINNNLLDLYKENAKAPKYMLPIQLQTHIKWEVE EESVNGKKHYKIVSFKFQQIKQIRDSQIAKVTCFYGDDVTLTKLKEHLRNKIIFQRIQDF YTPLQTLGKGASSRVLLVRHKNSELYYAAKCVDKSYVNETENGIESMFQEISINNELDHP SFIKLHAVYEGDNTFYMVMDLLEGKSLHDELNSHKNGFPEEIVRNVMWQILTGIEYMHKK NIMHRDLKPENIMLQRKSDLNSLKIVDFGLATYCNIDKYLFPKCGTPGYVAPEIANLIDK SEKYDKVCDVFSAGVIFFKLLTGKDLFPGVGFNLVLKLNKQCKIDLTPLLMKKIDPTIIN LVQRMLEKDPTKRISANACLQEPFFEKSQNQETKLQQTSSQKKQFFSSGGKNFQTQEFQS DSPSLQNNKQIEKGSFVTQDSAFKGFKEPQHGKVMQKFNTTEFEHVDLSGSPNEKKNSKF GNTDKIDEEDEK >CAK94276 pep:novel supercontig:GCA_000165425.1:CT868676:258268:258471:-1 gene:GSPATT00026599001 transcript:CAK94276 MGICHGSTKSNKGQLKQVMAKAKKSQDIEVILKETTEVYTTFNPYNNPILNRRLREQNTK TQTNVQQ >CAK94277 pep:novel supercontig:GCA_000165425.1:CT868676:260605:261204:-1 gene:GSPATT00026600001 transcript:CAK94277 MKQTRILLIGDQNAGKTSLINALCNESITTFPTIYQEFRKVQIDKLKILIYDCPGNPSNE YNIQQTAPVAAIAINLEHQNPLLYLEYHHNLVKDKPLIIFIGCKCDKTLHSYNSIKQQIS KILNIQNVILLFTSALNQKEIAKFKEDLSQLLYLSGQIFDDDLQSQHRNASYIEEQDQQF LNKWSQFLNCCCMCLKKEE >CAK94278 pep:novel supercontig:GCA_000165425.1:CT868676:261240:261914:-1 gene:GSPATT00026601001 transcript:CAK94278 MDNEQEVAIKLGIVGNQGAGKTSLILRVAEKKFCGNQNCGVAVDFRSIRDYKLNGKRVNL NIFDIAGLARNSDLALSFIKDASALVLAFSLPEQEYYENQEGQLSEQLEVQIQNWTDKIY DNSSENTPVFIVGCKLDLVKDQKRLIPALDENLQEILKKCKKVNIINNSDKNMIFFPTSA LSGNGVDKLFQKWSSEASKIKKSHGSTLKTKSHLKKENQKEQCC >CAK94279 pep:novel supercontig:GCA_000165425.1:CT868676:262028:262483:1 gene:GSPATT00026602001 transcript:CAK94279 MADDGGADKGEYIGEEVAKAIDDGINAVFQLKEGENPPATLIYSKEKVISWSNQLLDYTN RNLSKLDKPFKYCVSCIIQQNNDSKFTVALGASFDTNTDGICSAQREINDIVIIISVFAM LI >CAK94280 pep:novel supercontig:GCA_000165425.1:CT868676:262865:264039:1 gene:GSPATT00026603001 transcript:CAK94280 MSNLYPNIYPHLKVSVPSFLIKTYEILENDSLSHLISWNSEGTAFIVYNPNELSSQVLAN YFKHKNYPSFLRQLNMYNFKKTRNQYGFSEFRHKWFRKGQQNMIQYIRRRNQEESDQKID TREQNQELDFYKQEHENIKILIQDLQTGHKEIQKNLAKSLDQSTSLNKQNFITLQKIHQT QLEFNKKYDYVTLMLTNVLTNLPNICIYQSLKTVTNFKEAIKICQDEPNIYKTLPCSESG ASTPYPQVFPYNQYQFYTQKQQPCILSLYKFQDYFYDQNQYFYKNTPQLAITDVFVPILK LLPHTQFQTVNKILLIDIQISHKHSILQIMQTSNKYKQQYFQYSLNQQV >CAK94281 pep:novel supercontig:GCA_000165425.1:CT868676:264052:265838:-1 gene:GSPATT00026604001 transcript:CAK94281 MIKENDEQIKIMTDFLQSKIFIEKMKGDMQKNLKKRSMLNAQIKYFQSFDKDEELVRICN NSDCCVNQALKCVLREKIQTQSLKPYWRVLSNINYNLNNNSCQYRNLQNIQHFPSQFQEI IKRDILRTTQDEENRAKLSNILNAYSIRNAQIGYCQGFNYIAYYFLQHFSEEETFWFLCF LFETLLPPNYYNHLYGVQCDDFIIKDLLQFLRPQLISHMQRLQIDTSLIIIQWLVCCFTL HNRLSETIIDLLLLDGSKALIKCVLTYLSLSEAILLQCQDIGTFIMSIENFIQSYQDREQ YVKEYNRIYINNKILQFVRQEYSKKVVLMVQQRSNQSAQQLDAYLLDLKQKKCNPESPVC QYILEAWNRNKRHLDFIIIQEQNIKVIQDYDQTQNRQSILENYDQMILRQNHICQSDDII LIREIQYSDIQDVELVNMKQTQKTNQVSKRTRSPIKDFLLFPLKVFNQKEQEQKLKMNPQ KYKIETDSYQRMVKSVQIQQSPNTSQQNRGRSPQQTKSQQTDDTSQCQIPAYIERTQNQK EELPKIRESITIQFLTELQKNTGLINIDLNIKDVFEQKT >CAK94282 pep:novel supercontig:GCA_000165425.1:CT868676:266287:267233:1 gene:GSPATT00026605001 transcript:CAK94282 MLINKALPLMFQYYFIRVIEILGQTQEFDYQYLIIYFQQCLKIKVSSITQIVILLGDCGV GKTTILHNFLDMSSQPETTIGVQHHSFSRNNIKFSIWDTAGQEKYRSVVSSHYKRAKAAL IIYDSSREETLLHIDKWIEELIFQVGTKIIMILIGNKTDLQKVDFNESVQNLQDKYEISY YITTHHKDPEFKDKMNQVFDQIAINLGLLAPNQQYSSTKQIFSQRQEGTPFKSSTLFNNY ASGDQEIIQIRKEQPQDVSGGVQNDRQSCEC >CAK94283 pep:novel supercontig:GCA_000165425.1:CT868676:267324:268179:-1 gene:GSPATT00026606001 transcript:CAK94283 MGTCNSQKNTCNTVKQINQVEVISQFTTIRELMHFFDQISLEKLNFPDLSAFLSKHINKN RVVNEGKTWVAINEVKTILNNKTAILIRNFNPETDAAIQLIYLLKQLIEVSMGAQELSTS FNENAVELFHYYQAQLVQVSIEVLLAVNTLVRKQEYWWKDNYFNWRSIYIQTMFKIQQPF VEKIDLIGPFYGFIALLKQCAQLICNQQKSPDLIEIDIKAQQEVLEQFYSQIRTGFLQNQ SSQLESINWERQIASKSLGQQLQLYHLYINKKNYTS >CAK94284 pep:novel supercontig:GCA_000165425.1:CT868676:268266:269949:-1 gene:GSPATT00026607001 transcript:CAK94284 MNSKSETKIKQIGDYAFDLNKEIGSGYSSKVYLGENLKNKQIVAIKVISSQTYTSPIQKS LLKNEISILLRIDHPHLMKVFEVSQSANNTYIVTEFCNGGTLELQMKQNELPISKVFQIL KQISLGIQALHNKKIIHRDLKPANILIHENVYKLADFGFALIEDQIESIIKRFNVGTPLY MAPEILLNNQYSEKSDIWALGIMTYELIFNCIPPYKSDIKVLHNDILKKSQKVDLQYQSI IKQLLFAMLQTEPNNRENINQILNYIMQDKAETVSPRIQQQFIKVPSFGVQSNLSSPLST PKQVNTLESNRQKYQKKVQTHTKAQTFDSLKFLSLNKYKDVQTKMNSVSDFNTMEDQPKV FSQTSTKKNREVLGQQSTECSFSTANNSNRPKTMRLKMQLTQLPAIVLPTYYFCLFLENL IKTMDCSTEQKQQCNFLFRKLLAIKAKAFYSFAPQTIKDQLSQWMNQLSVYYQKIEHTLN FTLDNTFQIFFNSLLEDQGKLLSMYLVSLLSQVVLKEQNPDFETLIDILQENVKHQNDPF LFARKWAHNQIP >CAK94285 pep:novel supercontig:GCA_000165425.1:CT868676:270216:271904:1 gene:GSPATT00026608001 transcript:CAK94285 MGSCSGQPKRTTHQKTESLTTFHKTQEVSTIKSNKSFKKQRTDFKVAPSIFVSQKNGDIS QYYEIESTLGEGTFGRVSLVKQKSTKILRAMKQIAKDRILASQREKMIQEVNILKGLDHP NIVNIYELYQDERYYYLITEYLSGGELFDRIQQRSNLNESMAANYMKQILSAVNYCHQSN IVHRDLKPENILFAQRGSEQTLKIIDFGTAKQVLSNTQLKQKTGTPYYIAPEVIDQNYNN KCDLWSCGVIMYIMMCGKPPFHGTNIEELYRNIKCGNVDFTGSEWQDVSQDAKTFISKLL VVDPAKRISAEQALKEPWIVSNKREEKINIKNLENLSQFHNNSKLGSAILQLISTQIMTS KEKKELIQGFSAIDKNGDGKLSKEELVQCYMDLYQDEIKCNQIVNKIFKYSDVDCSGTIE YTGKESQQQIEFIVAYSELSNLMAQEKLEKAFKLFDKDGNGTISKQELQEIFGGLALQEN QWENVFSELDTNGDGMVTFQEFTQLLMKDNNK >CAK94286 pep:novel supercontig:GCA_000165425.1:CT868676:271961:273447:1 gene:GSPATT00026609001 transcript:CAK94286 MQDLRCTTADHNKKKFVSITLQNEAEKRLFCFQCQSDASGADFTKSQIVSKLKLCDLDEV MEGNAYSVYNWPADDEGNKVKEFLLQYKVTIECNFLQSVEQQRKDVEDFFVQMKAKLNEQ VENVKQLLVEALNATQFIKDEKLKQEEPEKKDAKKDDKKETKKDDKKETKKDDKKDTKKD DKKEEKKDLKKEDEELDQTPSIENLKKNYDAIYDLTKIKTLIKENAKSDPNLLSAKINEY LNDKRRSEQIINMRKVFQGLMSGKNGFNQIASNKQSLESYWEQIQSSIQQWPYKFGRLQW KFNPSKADESKQIKQLAEDYKECAGAGFQSQIIYSEASFSEGQHGCIILFKEFDQKRAGA NGNFYVGVVEEEQKDKSPYGHGYRKDLFSQNGAGLNVRIGTDFNNVFKMPPKLLAVKLDV DNNYFEVCDGKRTVVYGLDKESKLKEKKWRIYFWWNLAADRIQLIHSY >CAK94287 pep:novel supercontig:GCA_000165425.1:CT868676:273518:274781:1 gene:GSPATT00026610001 transcript:CAK94287 MFKQSKQVSPSLYPQNPQNSFNKLFNVQTSATQKPKIDVVSRISKPLQNISNVPTRRQED QTKKTQAKSPLKQTFQKNSHRNKSCIQNSDEKLIPKMNEKEKSPLQKSIKTSELSQSERP LTQQQQPIDSKSNEPNQQITNVQSDMKSQQNLNQQEVPVLQKQQSKLLIQSNQPKSLNNQ QQQQKLSRPKTATQSQLQLKQTTHQDQQVKPTQQHQLTKTIHQTKSQETLNKKQEQPIKT RQQQKILKGELRFQDKLYECTEGCGRSFNAYALERHSKICKKVFQQKRKVFNSSKQRVID VENNGQGRGIPVKRQLQMQTTKEEQKQGEVKNEKPNWKAQSEALRAIIRQAKGRKLGEEV SFSEGGESVEGLVQDRICSRKFSVETVRQQGVFWERKAQECGKSGMQKKKK >CAK94288 pep:novel supercontig:GCA_000165425.1:CT868676:274852:276774:1 gene:GSPATT00026611001 transcript:CAK94288 MRYPMTNKHSSPSGSPRKRLFSAKRVGSKEQQQQKCKVTASIKTGSSIETLKEVLLKQTQ LSNKHANNLNYFVQNNKRESQNKLDTKTSDSTERVNIRQGTLSKEKTTISELTTKKTSIT RSEFEWNKCQLPLTPQVCLAKYGYALTEFEKTEILTFKRIYCIGINAKKISQTQNNFNDG FDNSQGEYLYALHDHIGYRYELLEHVGSGSFGQAFKVFDHKRQQVLCLKVIRNQKKFQNQ ALVELNILSFIRDKDEENITNIVKIKDFVIFRNHVCIICELLSLNLYELIKNNNYQGLSL ELIRRFAIQILNALNFLYKHKIIHCDLKPENILLKQPNKSGIKIIDFGSSCFENERIYSY IQSRYYRAPEVIFGIPYDMGIDMWSFGCIMAELYIGYPIFPGENEQEQIAYIMEVKGVPD QSLIEKATRKKHFFTSSTPYQPLPYKSKRGKVRVPKSKDLQQVMKCQDKLFIDFLDNCFN WDPQQRMKPIDALMHQWILEGLPKEIRSQHIKYLESEQMTQKVFFKNNETIRHHHISEPE MEKKKSSSSYSQKKSKDKDITLTLYGNLNNDTTPQNKRILSQSFHTSKNGSNQKYQQYFP GTTKNQPLKYKFY >CAK94289 pep:novel supercontig:GCA_000165425.1:CT868676:276848:279586:1 gene:GSPATT00026612001 transcript:CAK94289 MIIKYGFTSTGRKIAKILRDVDKDYSKVYKAQLEKMKIRNLSKKYINIQDSSQKIQHLTK PYNLNKYIKQQYKKTIDKVIDKEVGRMDRQEVEDKKLKWVENAYMTEEVKKFEKLLFQKN ERLRLIKQEDNRVHNHLPPQYLQEQGETEYLQLNSQHQQYKSTLHQLHNQRITEMRENVR NPYGFLIKSQLLVQYPNLKQTIESHLPKLENNQVDEFVDELKSQVDSSTSLIDWDAVKQF YVGMQQQRDNSLITFSQHQSSVNVIHNYQNYLMDKFYNNSLQMRTRGQIQFADLTEEECV RYIKYDISTPEILMRLISTMDQIPDQFTPKIASSILQKLVVVKKDQLALLSDDRYRALLN YIARSEYDDQTLAQTILALSLLQPKSIQTVYDKLSYHLYDNLATQLIPRIKQLSNSQFSM IVRNFHSLPLSKNLQLQNLLVQDIIQNRINNNLSVYEAESFISFLSRPLINDQSLSIVVY NLTQSLLCQPNSILEIDRDTLMILSSTLLKLEDNKQISSLLKSNSKILQSAIESCECRHL AQFSLLLSKLDVHRSHDLVQQTKKRFVEILTYRNKEQDEFDIAMTISSLSQIDIKMMYPI RRVNEVILLQTVFHRYPYSYKSANHVPYQKLTSLLKSYDKMFSEKSLIILFHSLITVGHI HKDAFLQSLQQVQDTQYLFPLLIQLNTLDHNLDQVASKFNQQTNPSIQGVLFYLQAMFYF NKLQVSDLEKVDPTQIQELDQTSKCFLMMLVTSLPKCEIGQQLYNELILALDPQYIEQKY LLLMSKIQCNYQQGELQLLLNQMRQQATQRNSEIGIEKYLPQQVIQQVADLFTKQGYQVQ KNVLFPLFQSDLLIDNKIAFQFISVNEMIDCGGQFQFTGIQKLLRRRSNVNYIIYEEFIR SQDQFQYLKQFL >CAK94290 pep:novel supercontig:GCA_000165425.1:CT868676:279629:280513:-1 gene:GSPATT00026613001 transcript:CAK94290 MSQDTKIIKRTQFTPQESDIKNIIPTQGKSKEEMRIFLFEFFGMALFAYGIICSQGSDEF LALFFFASVCLAAPFSGAHVNPAVTLAMLLSRRINFGQAVLYWLAQFTGALCGACCCYLI LNEVDSPQVKSQEYSWILSDVSGEAFGAFTFILFILIQTDSETTLTPGGQPMTTYVLVAL ALYFSRGFTFHSGGCLNPGMAVSLQLFQSFQTGDRQRMEFLWVFVGGPMGGGFGASVFFE LFYKKQIKRL >CAK94291 pep:novel supercontig:GCA_000165425.1:CT868676:281912:283805:-1 gene:GSPATT00026614001 transcript:CAK94291 MNDQQNTDSQIQQKLELFISCRGLANMDLFSKSDPFVILQIQQNHNWFDFGKTEIINDNL NPNFTKTFIIDYFFECQQPLKFIVNDDDGDGKFDFIGSAETTLGCIAGSRDQMLIVDLKR GSKTTGSLIIKAEQVRSLNKKLSMQIIGDQFPNRSCIPWLSLCPFFRIYRQSQDANQNLL IYQSEHVKFCLDPKWRRLDITLQKLCNGNLDKQLKLEVWDYFTTGNPDLIGHTQFRVNEI IEKKKYQKQLLNRDNNQAGLITFNDVKIYEPPTFMDYLKSGTQINLISAIDFTGSNGSPN LSSSLHYIDNQTGRLNQYQQALLAVGEILLNYSYDKRVPMYGFGCKPNLKNFKSSSTSHC FPLNGNPQDPEVEGIEGIMQTYSNALKNVNFDGPTYFNPLLQEVIKIASLSKDMAKDSYY VLFILTDGEIHDMSQTIDSVVASSHLPFSIIIVGVGNANFKNMNILDDDEGNLKDSKGNQ SFRDLVQFVPFNQFKDNPELLAKNVLQELPDQIVEYMQLVDQQPKLQQKQQDITYSEFKQ TPTIGNFSELQISDEYIQQFNQQGREWNSQYENYKQSQDPYFNEKQQFK >CAK94292 pep:novel supercontig:GCA_000165425.1:CT868676:284086:285911:1 gene:GSPATT00026615001 transcript:CAK94292 MSQVHGSSILNKENPNKAPDHLGSNVFTDLLSSTFYTVIGYVCLDVVFGISLHFIAQHND PNAVAGLGLAFTTVNVLLIPMALGTNQSLNVHSAQALGAKKPKLAQSYFTLTVFIHLMYF IPFSIILILIKPLIAKTINEDDREATSDASQIYLYYLLPSTLFAILYECMKSYMIANKIQ IFAVFMFIQLGTAALHTLWCYLFIDYWDIGGGIAGAGLAIICTEVLNCVFCLIAICATKY KKQVFSDYKFKFTMRKKEKKLFSSFLRGSIPIIGHIYADFFVFFLLNFIAVGFGSDQLNA QLALSNTSNFYYKFPISLSLALMTFVGNEMGAKNIKRAKRYSWVGVLLFIGFTTIFLSAL SFFKETWAEFYSAGRQEITDLILEVYPIFVFGFFVIDGLQGTLTGILKGIERKDFVTYST LLVYYLIGIPLVVYFAYDFGLGDKVYGIWLAFGIVNAILAVLYLILTFTTNWSDMSRKIC QRIEDQHKMQNLNDSLNKDFEEDPENLKKKRKVKSSKVQQSKQKKDENEVASGEKKPKKE RKNRRPADDDQQFSLQATEPTKITKQEVDTVPIMS >CAK94293 pep:novel supercontig:GCA_000165425.1:CT868676:286019:287494:1 gene:GSPATT00026616001 transcript:CAK94293 MNTEQRFQPKLSRILDVNYKQIPNKFRKQATQRRIQQNNQFETESQGLLGLTNLGNTCFL NSSIQALSNTQPLTDYFMSQLHVKEINPDNPLSSQGNIVQSFAQLIRLLWQKVDQYTLEE IQALKPTQFISTIGNYNPIFAEGTQEDAHEFIAFLLDMIHEDLNRIKKKPYVEQTKFTKL PTNDDAHQEWTKYLSRNQSIIVDLFQGHMLDTLSCLTCKSSRYCFEPFMYLSVPVLSREC DLLECIEEFLKTETLTGDEGWNCTKCSQRRDSNKKIDLWSMPNILIIHLKRFKFNSQFRA KIRSLVRYPLQNLSFENLVCTKQIEKPTYDLYAVINHSGTLTSGHYTAYAKNRDDLQWYH YNDSLVSPVSQPENQSDAYLLFYFKNSVEEFKRQTLEGVNVSQQRRSIINTIVVKQTMLN VHSQQSNSNISENKRNNNNFFVPSISSQAQKRMLFRRQTKHFQIVKAQDQPSFQQDSIII QEEN >CAK94294 pep:novel supercontig:GCA_000165425.1:CT868676:288406:288765:-1 gene:GSPATT00026617001 transcript:CAK94294 MGQCTSKKQKRILLNKQHQSTSSNSQTPIQQKGQQALNLQNIQSSNLHGQEKNEIEVEEK ASPPPKLYFNGNQPTARKESIFGRPKVQSVRLSQQNFHNHKEEVIFGRKVHLSHPHLLQ >CAK94295 pep:novel supercontig:GCA_000165425.1:CT868676:289387:290674:1 gene:GSPATT00026618001 transcript:CAK94295 MQMSDINEVFDFYSKEVAKQLDEKNPQPPFNSNELSLFLYFTSCLRNEDYAEQMKSHSER FQQIASKILLEINRLDPEQFCAFVWALSTYQIEMGDLNLNDSKQFIIKQSAEINIEKIPP ETLPSLAFSLNHILKEKNDLILKISKLTQLNITIQTPSNLITLIKSLPAGSFTNSKLIFE QLTDQFQQDLLTQDQFVNVLVELLRITNHENTEYVKEMVDLLTKKIESTLKHNLNISMQK VIDLILSCLQLEQEEGEQLVKQMLRICHAKSEKLNISEYISLFLAVAKIKNKNYQSVNLE KTIKILIELANRSQVFTLNDFEQKELVQVIVASAALRIDNKDFIRNIITRIDPLSLHKQD LILLAKSFVIYVRLFEVELLKIHSICAKKKYEFSVLEQAQLTQTFNRIKVLIPDSPFLQ >CAK94296 pep:novel supercontig:GCA_000165425.1:CT868676:290843:291176:1 gene:GSPATT00026619001 transcript:CAK94296 MVSKIILTLLIGLVICATDDFNIQEHQEEFQSCQQLCENKGIFLLNQCINIVALRTSNEF NSPQQRCYRIIEGMINDCTRSQCIQGQDQNSVFQDFLSSQK >CAK94297 pep:novel supercontig:GCA_000165425.1:CT868676:291929:293718:1 gene:GSPATT00026620001 transcript:CAK94297 MKQKLWVAIPNELKWKNDKPLLKSEFQKPNKKSRFLIGYDQYILITKKPEDPPLKYLKLD FETKFEVLRTPVQKKDEDDDSLGTIIGMILMRDHGKDQLPSYKLSANEKVIAQWREFFQP RINQWQFHHLFRVFKKIGKGNFASVYLGERIEDNTQMAIKAFSKQAAYAEDHGKEAIVNE LTIMRKLNNHHLMRMHEIYETQNSLYVALELLEGGSLYDLIKDKVILTTKQMQQILVGVL QGLCHMHEKEIMHRDLKLENILFKQPKKMETVVVADFGLATHVNEPAYLYCRCGTPGYVA PEVINIKDMKSHYSSVCDIYSFGLVFYLLLTGKPAFPGKSYSTVVKQNREATIDFTIKYL QNAPQTAIDLLKRMLEKDPTKRITAQQCLEHPYLAEMNQIMLDDHSNDFIDEGEENDLGM RMNALNEESAIFDAARKNQLLNSPLQSPGGLATKQLKHQKNIDSSNQVQMNSPLLTGKTD SVDSISNIGVTQKNQQNNFQASPQIKPSRFKQNIPQQKQENPLLKYTQKRE >CAK94298 pep:novel supercontig:GCA_000165425.1:CT868676:293933:295492:-1 gene:GSPATT00026621001 transcript:CAK94298 MFNSPVPGLKAKRISVFLCCFLQYAMLHSCRSTWSYTTGILTSTNDKYDDQKIDDFDKSY LADVNFAFLFTYGSSMLFLGQIGDRIGPKRFMLYGTFMTGIIQFLIAGLFHIEQHNKWLI LMLMIFNGVAQAPVWPGLMAIMNNWQSKPNKVIVMGYFTACTNIGNILGDFFAYILIEKL DLIVMSPIYVAAAGVILMSIINVFAIPTDSAKEYIASFRNPNTKSLVYKQAHEEYLSRAG SRTSSVVSNQDLDVSKSNIDQSLLTVARNTIGEPQLKPKKPKKDSINMFSAWKLPNVALY AFAFGCVKAVFYILAFWLPNYLSQQNLENISLITQMIEWGTIPGGILIWYLYFFNLVMLE FIGTFGHFLQFLFFGLLQKIQSHQPYFLYYMLVFLTGLLIGGVYNNISGAIVIELTLQQI LLGNKKSTATVTSVVMGYGATFAAINQLIVPHLESKIFLYCGLNAIIAGMFLIPLIVSEY KRIKQERLKVYDK >CAK94299 pep:novel supercontig:GCA_000165425.1:CT868676:296516:297819:1 gene:GSPATT00026623001 transcript:CAK94299 MNFYSELKHNMRLAKADQEYKKKSNETLTKALEENKFACVCLKAEKFDLALKHFESSYHT IQEQLEIQSTNILMNLGNFYAQQKQHEKAVEYYKRVITQSPYYDESQKVPILHFCSKINS FEAFVDAHTNLACLLTNLDKPDEAYEYCLKAIQLQPNNYEAQINFGDLLRQLGRQKEAID HTWKQIVSISDQGYEEPKKIDVKSTPIEENELVNVICMKWGTKYGPDYVNKLFNGFKRHC TRQFNFICVTDDPKDLQEGIMTRELKKGWTGWWGKATLFMDYYEYPPGKLFFIDLDMIIT GNVDEIMGYKGAFGILKTDEIACEKQNKNGYNSSIVIWNNREVFKRIFTELDSNWKNIQK FIVRFDFWLEMIVENADFLQDIYPTQISDFVFECKQQVPQNTRIVTFPRMPKPDSYPAEW IKEYWI >CAK94300 pep:novel supercontig:GCA_000165425.1:CT868676:298522:298994:1 gene:GSPATT00026624001 transcript:CAK94300 MSKVVIIKQKMQQKSGAKSTSGGVKSLDDSVTKKQVQTLDFTNKNLVQVQTNQNQKQMTQ EQKYELRKQFVQSQGVYLKHLITICDNFSTGLGWAFEDTSYEEEQKRMVLLRLLIKLQGK EQQNINVALMDTADRMQNNESSIRQLP >CAK94301 pep:novel supercontig:GCA_000165425.1:CT868676:299004:299354:1 gene:GSPATT00026625001 transcript:CAK94301 MHNPDLIVFVGEALVGNDGLGQFQQEFDFNQFKGYIRGIDAIILSKFDTVDEKVGAGLSL SSGGDKAILLFSVGQKYRHLKKRMELYEELKIYIISKYKNKI >CAK94302 pep:novel supercontig:GCA_000165425.1:CT868676:299358:300717:1 gene:GSPATT00026626001 transcript:CAK94302 MALDETWVLSCAFFSVLGLLGYSMIAAGAVRYKSVQSAIITILLGGILTILAFYITGYAF AFGQDSNKFIGKSLFAAASFESTPNRNDYDNFVFHTIAAIFVTSIFAFGTLERSRFFSFS FGVIILQGLLYPIVVHWTFGKGWLSTFGFQDFGGSSVIHAFGGVTALVASLLLQERRDQN GKIHPGIFPHHAPFFVGIGTILLVVVQIIFLSGLNRGSATYVKGLVPVNTLLAASFGGLS SYVSYYLKKEKTSLITIARGALAGVVAVSASVDDIQLWAAAFIGTLAGISYLVVQLIVKR SHVDDPGQAVGAHLVPGILGTLLYGILSRSHGLFYGNNLKQFGIQIVGVLSIAGWALLIL AVLVPLKGFGIFKIKPEQESLGIDQSYAGGEAYVFSDQTVETKSLLNSQKKSIFH >CAK94303 pep:novel supercontig:GCA_000165425.1:CT868676:300726:302912:-1 gene:GSPATT00026627001 transcript:CAK94303 MQQICDFHLRFIKQVCCENQCSSKLLCDECIKSSNDHAGHRLVDLQQFMNLAEQFLKGGY PEVVLYGADLNTKTKIYVSNTQNIKQDMQAQYVKMLEDQIQKYINQLVDGIKQGKKMIIE FVNQIIDESFSSAVLNNRECSHMIVKGVLQAFEQKISTKDGNNLLYRLHTGQLEKDYYEK KIQGLVELFQTHLKQILEPLGNQVENYAKQFLQQMEKVKVSVKNEHFNLNRTNMIAKQFK IKDMVIDQNLQLKVEQPNYVSRKHNVSLPPPLQNEGEYQNIFKLKTARIPKQRKLELLKS LDFMHHTARSDSNLQTISQITPQKAKLDNAGQDLKRIMVVNSIHQNLLDVISIQGNICIT SGKEGTLNLFTIQIDGPDISIVNQHQIKPHQELRDVEVCQTPGMFLTVGRNIKVTNEKYV DLGYIVKLFLFQDIKDIELLTEFRDLHNQPIEKLKFINENYIRTRIEYSKFSNELEFASQ TVDTIKVWKFSFDSSKIYEGEMQEMYKVEVKQQIIKSIEFCSDFLILTTKQNYSIYFKTT LIKQQVQGKDCIVKSKVINNNRVLILSNQGVITILDMLKIKNNDKSFEPQKINIKKYLRL ILFEKEEKEVEFIDCIQINQKFLVFMHSTVYDHFLTLNKNFDIENRFRYPPSEIRLLKQL EFDKYNFIISVEGKNDFGIWNIVLK >CAK94304 pep:novel supercontig:GCA_000165425.1:CT868676:303210:303608:-1 gene:GSPATT00026628001 transcript:CAK94304 MAENFELATEEVKSFVNKLNDQTKLQIYGLYKQATVGDIDIGKPGILDQKGRAKWDAWNA NKGKSKEQAKVEYVQVVKANAPADIAKNL >CAK94305 pep:novel supercontig:GCA_000165425.1:CT868676:303704:304888:-1 gene:GSPATT00026629001 transcript:CAK94305 MQLKQRKRIDISDDPLFFNDEPIIKLRKFKLKKLSDLESLMHQQSQRRESFNQNFKHNRL LQQQKHQSLDQGKMIDYIKVQNRELNQVKLQQKLLNRSRILQNEPQKSNDKNLPFVEQYL FRKTFNNIIKKSRHKHENIKASLKKVETLLSGIETTRDQYPLLSPIVQTKHTQVKSCRNE DNSTHTIKSQFLNVIDSPTARDRSSSLKYTIDQNNEIRNMRKAKVKEIIFKTEETRERSM AAKLSVYSEKPDSIQPTKKQLQQLRFKWVRKLKYKIELHNNQMRQFMDFLDYLKSSELKV TNEDHHYLKYYRDKLLNGDHLVDQDFQIMINDHRTNLIGQLIRNSIAIEN >CAK94306 pep:novel supercontig:GCA_000165425.1:CT868676:306235:306808:1 gene:GSPATT00026630001 transcript:CAK94306 MLHKIRDEKIVKQLVSNKKDIIRKILACLLHSTSMLKYFQMAQHYFLKRPSPEAQILHFW SYFKVNENKLITLNAHGSFISSVLSIFQKPVYEGTHQFLNNFGFEVRFTIHLRVTLNKRN NLETSIKVLQIESTPVVNCNFQNQKHVRNREDFDSYQWNDVLIHYQIQDKQLEQQQGI >CAK94307 pep:novel supercontig:GCA_000165425.1:CT868676:307007:307480:-1 gene:GSPATT00026631001 transcript:CAK94307 MVDNPVQLHIDFENLQVSDQEDECYSGVSDVSVELQLLEYSPEQPASLNIERSIVSYLKE LGIKMKTDPRAKQERYFKRKRQFKRAQTTFMEEKEINSTTMTPPQISKKPTMQLQMNSII GRRTPQNLGSTPLLQFPNKVTEKSKFSLQRDTSSSPV >CAK94308 pep:novel supercontig:GCA_000165425.1:CT868676:307492:308341:1 gene:GSPATT00026632001 transcript:CAK94308 MNIKQLFELQEQDADKLPLLLQTISHSKNEEHVRKAINIIQQFNQAFNANQQLSIIDFIN EIKKEYDIAIDIKLISTLLHIIDINWMPNCTKVFYFKYTQKNSFSIYSQRTQSQKTLQSN NLLSREYSQSSHLYKEINYQSIWKFSSQSSKTKIKQKSTAAQTPSFARISSQFCQKMVEH SRIRNSTHPNYIIIYYPQTYRCNQINYQVSTANQLRNQLNFCDIKLHTHDGRPHLITRLN NQFIHKITIKGHFKNCYSRCFIVTISK >CAK94309 pep:novel supercontig:GCA_000165425.1:CT868676:308916:311230:1 gene:GSPATT00026633001 transcript:CAK94309 MSEFSPKIWNQIFQTMQRIEMINFSKDEIMKFFINSSDYDEQTLIKIMDGLNQLALQIVE KVQINSNTADINQTYKQFAIDKIVLIVQVNVFRLEKIWQTVEALLLCLCQSKSQEMRLYS LNSLKSIICQCFNNKYLKIDLFNAMYELINSRYDDVVEYIMNLLYLLIKEQQISDTLYVD LIKVFETLLNEKEEFIHLLLCLNCLSELIFNQLDQLNDQCLMRMASICVYLTKYLSKQYQ NEIKHKIICMLWQLQLKSIQHKINNPGLWSMSLKLIKDTMDNQDDLKFAALHIASQLCLN SQNEQFNEIYDLFEELMNNCIIQYLRVEFQQISLFSTTPRFNHNNLIETPKFQIQSIKFD KQTVNEQYKQSLEILKLCISLWAEIVIQKQKYDKFYYHIQSFNQAQLVYIKHEIIMVLSK ILEHSTQQIEISLDILNSLLDNDQIDTQYFIDNDTFHYICQILSRGFGSQQQKSFIISSK LMALVCNENFLFDNSILKSYVQQCLPGYDVSEEDALKWLNFISEQFSSIGVQLRFHKLLE MLCTSYDIIIRQKLTETMVEPTVKFIRSLIIQLNKCQRFLNDNSERLQLTFINKIKQLIS QIITFLKQDVLRQIVEPILMQNKKIYEWVQYFLSIQYNEEQEIVIVELITTFYINQIVTK ENISQQTTIINIISLFQSNNNLNNKILETIKPTLLSSIYSNLAQFVEETERKNEEIHNMG LMLDFLYFQEQILHPGILQFIKCEYLDLRLKVVQLLKKFKPQ >CAK94310 pep:novel supercontig:GCA_000165425.1:CT868676:311369:312649:1 gene:GSPATT00026634001 transcript:CAK94310 MSSLQLIFIILTVYYCTAVYKLRFQYPIKQLSPLLTVFSGGFYQLFAAIILFVDIINDFF DDFFKEIDERCQSQIIFIQVVSFFQLWSRGCCYTLQLMKFVRVALALKKKQLQQNSIITR LFQDEKKLLFVSLIQGILFFPIATYIQCLLESKPMIQAMQVYKEHKCLVAISIVVQEALT VIIIGIIQNQSIQDNLFKLTLYPQFLFIIWYSLTTILPINERIISFSFWLRCQIMVGLFV ALPKYFVEQLPNIYITTKQINNIEIILSKKFNKFYKNNLILSSFKKYLNFKDKHLPQQFY LDNYQNEQFVIHPQINYYQKLLHILILVDSSIQHCSNNYTLVDEFYKLKQKYNIFFNYQD LSQVYLGLIRNIKQIYCNEYQNTVAFYNLYQNYKHNEIVKSNLERLNISLEEFECFEDTQ LNSPFQ >CAK94311 pep:novel supercontig:GCA_000165425.1:CT868676:312656:313454:-1 gene:GSPATT00026635001 transcript:CAK94311 MNKSQQAQEVYKIILVGDQGVGKSSILLRYTKDLFQRDYNVTIGLEFASKKVTIQDTALT LQIWDTAGQEAFRSIARSFYRNSAAIIIVFKLTSRESFLSVPGWVKDIQDNSDQGVVISM VGNMSDLEAERTVSNEEARQKAMEYNALYFETSAATGQNIDDIFINTLEKVSQQNNPIRT NSEQNVRESLHLSQKYTQQSFGKQEQKGGKNSQQEANSDKGCC >CAK94312 pep:novel supercontig:GCA_000165425.1:CT868676:313481:314167:1 gene:GSPATT00026636001 transcript:CAK94312 MAVNLKLGNNLFTKERRIAELEKKVVELEHKVSEYEKLNFEFQLRHKEDEKNLFELRNQN NLFKIELGQLQAHSQKLTTEKDKLEVQLREINGNYEKLKEKQKEIMNVVTNQSNEINENL SLIDKLKSDLTKSENAQIQLEKQIHELCLQREAKIADIRTLQKNIQQKEKYIAVLNKEKE KSEGNKDKENKMKEQKKPNCQFKSSSIIDGLDDVESCQTINRLKNKQK >CAK94313 pep:novel supercontig:GCA_000165425.1:CT868676:314210:316027:1 gene:GSPATT00026637001 transcript:CAK94313 MSISLQTMLEQLKNAQRIDLSEMYIGDDGARLLSNYVKNNKNLKCLVLRGNNITAQGFLD IVTALRGCPLLKILSLEWNQIGSDGVGIETLAGFLVSNKSLQHIGLSNNKLTGDQIEPLA NALRQNTTLLSLDLRWNSLGKKGGEFLLSAVGDNKAILYLDLNGAEIIQQDQEAIEDILE QHRASNPIQKDRILSIDEDVVEEEEPMISGLAGASVVQHLEQMLEQERIHTMHIKQRMER ELDELMRRDKADTRLLEELDAKTRQLESDNRASLYQIEKLRDEYQLMERQQEDVTRSMEE KIRQNEFILNELEKKHRTNLDRALNENNFRARDLVRDWENRCRSVEDRSRQLEQENRILE EELRSLQERQIQLQLTYDQELRDLGRRIQEEEYQKYHIVSTTLDAKIRAMEEARDLIIKR NADAAREYDERILNGKQEVQVLYDELERLKNQNKDFIRQNQELRQKCDSLKQQAKVKESA VEKYESEINTLNAQIEQKRKMQSDSLNQLLNEQKIDRQAWENERIQQTERIHSLEKALRE SHAENTRLRQEYQRLGELLQFNVSNALHQAFQDNGYF >CAK94314 pep:novel supercontig:GCA_000165425.1:CT868676:316225:319035:1 gene:GSPATT00026638001 transcript:CAK94314 MKYLDSSLQNISLYYSEIKKLFSDQPAVIENLNKLFYHDARIKSNDAIQQLESIFRQDKN RINLLEQTKNLILKGNLDQQQQLDLISIQFKDDPEALKEIQNAFKLKKQKQKIQVDWDDI KTKRVKPNPPTMEEIQSYQAYYTQYFYNNAYQLQVSREIKNEIIVLDKLRLKCFSHFTQE SDFNQFFYEFVNVIHLYTECIITQYELMDILSSHTWIDQEIIDELRIAIFTRAPARRQQT QLFKPLKDTDFKNAEHITGSYVRMHPGYANIMKEDPKLPNVLNHLWVSVPFGSEDYSFSI MRKNSFEEQLFKIEDEMFEYDVNINCYRRTIKLLDQLIAGNNQQAIEQQIRKILQIKCLQ SVYKTNSKDQEEVITLWQKNPVGCSPILRDRLNQKCQELIKSRDIANQTWKITQKNNFSR SLDHRSFYFKKNEKQYTCASRFLKEPDEKFTLIQANTAIQAEYLNSLVQLTTIKPLSHGK QVNINEIDQTTVFSLYISNKTLLEETFEIFKYYVEQSNLNESEWILDLFQKLCQGYFNLE LVDQNYNFSLLRGDLSTIEQYVTNSSFSYIDQFNIEQEQTKKSHLNNNNSNSNNRKDSDD FEESDYELQRIKTLKSPLIDEEDVFPRNSLQLLSNTKSNFKPQGKIIYGTSGIYLFFRYF YSLYQRIELAHQISQNFEQNEKFEKLNEKEKKKIIEIRYKLFKHALLYSIKNKDFKYQDY LSLLFGKQVNAFLFYTIDKMLSDCCKHLASLLNDKLTDSFFDFLFKSNNDKYELDPTKTE EVQLSNLSFALLDLQWEQAQRFCLQDDSLHINYLPNWSSIQDKQQLNRISQFVKSYTTTY SNRIKGVFLQRNLRDARASTQNQMEWTVFDQMKIKNNLSDILIK >CAK94315 pep:novel supercontig:GCA_000165425.1:CT868676:319062:320316:-1 gene:GSPATT00026639001 transcript:CAK94315 MNDQDQMDFYRNLHFYYKHLFPIQMIVDWLSYAKDNQPELRYFSRREFSFERSIDGETFY QRYQQFQNAYGLQKFLLDNKQNDQTSTLIKYDIGAVFDKLPKKGEKLKAMEKEIVIDIDM DAYDEVRTCCQGAKICEKCWKFLQVACDILRPALFQDFGLEHILAVYSGRRGIHLWVCDS HIRKSTNEVRSAIIGYLNINTGNADAEEIIREVKQEKVEKLHPSIQRAYFIAQQFFKQII LIDQNSLKIENVKKKILTILKQTKILNPKLEKSIQEINDSSQIWDLICSQDSERLEIAKL QIILMCLYPRLDAHVSTTINHLLKGPFSIHPKTQRVCVPFNLKNSSQFNPLDTPTLPSII KQLAQLPDELKQRENENKIFPFLGKEIPSLSPYIQLFEDFISKYNKPKIN >CAK94316 pep:novel supercontig:GCA_000165425.1:CT868676:320372:320521:1 gene:GSPATT00026640001 transcript:CAK94316 MSFAQSSTGQPTPQALMSIWRSQDSDPPYFKFFKISKR >CAK94317 pep:novel supercontig:GCA_000165425.1:CT868676:320535:321339:1 gene:GSPATT00026641001 transcript:CAK94317 MITYTCLPTFKLQSMTTILIILNSFYFIILIVSGDIKDGGQFLEPNQNSLYSLGMLYPYR VKYEQEIQRLFLSLLLYSNFINYFSCQVAVAIFGSYVEKLLGWKKLWMISIFGGFGGTLL SCCYTSKLHINGVLVPSTFDGVLFGYTLLKWDKWSYSGSGRYQMVGMILGGLIIFFWQAW YWEIIDYIGILGSYVFGTLLAFAFAKQLMKMYYLSYPFIRDYDSDLKCVQISSIILIVVI LGSEIFYFFWISQPLRLEI >CAK94318 pep:novel supercontig:GCA_000165425.1:CT868676:321371:324011:-1 gene:GSPATT00026642001 transcript:CAK94318 MDILKKVFQSITIPSIQGYDFEKDCVLTVGQWRVYNAKNKQRLGSVWLCDQSKQFQIAKK EYISMIKLKYPGILQVLEPLVEEKSHIGFVTERIECTLSQALNDSKYVLSDIEMKLHVLE IVDGLQFLHNNVRQVHLDLQPEKIYFTDNGKWKIGGSPFQQQILNSVVECQISSGYNILY TAPEILSSKQQCGYSTDMFSLGMIILRLMRFKNNRVPFPETQDQDTYLKFIKSLDLMRYK DVHQESFLQFLGLMLSTQMNNRPSLSQFVQIEWFKDPYLITFNILNKLPEYDMQQQIVFF KGLSSVIFNFEKSILKKRLLPIVLSLTQYDHLLTAIVQIILETMRREDIINSTQFQSEIW PKLKQIFSGKEISAQVLYDLVLALPVFHKYISQKETQEHLIPLLIKCYECKVPKLQDLGI RVTEFVLENNDYTFSKTKILPRIFVLALDQNIEIRKTTLICIYKTLNVMDTDLVLNSLEK VKALGTDRQLNQIVLKIYQSLSKILSIDQVSQKLLPQITPYLTDPTLSKQEFNEYYDTLQ QMLARIKSEKEKNLSDQSINVIQVDYKLALPEPKRLIENKNVQFEFLNQFDQRLTSIPDK PVIQEAPNQTPSQQQKDQVPQSQNQQVPIMQPPTQAAFPIQENNGFNTIQLGQPVTLGMP IDSFQQSSSLNMFNQPGAFIMDKPPTRQIQQLNQPTIQPAQQQGFTLEFNTTQLQQQTAF GQQQQGAFQNSSQLNQGQSNQASQQDVKKLSTRPLYSNNNHSQQQQQQQSQQQQQQQQQS FFQQPQKQQNNVFEKTNDPWSAFNQGTTQDPWAQFQNPVIQSQNQPQNQNQSQGVWGIQN QQAQQQSQNQQSLKNKYASFDELI >CAK94319 pep:novel supercontig:GCA_000165425.1:CT868676:324032:325340:1 gene:GSPATT00026643001 transcript:CAK94319 MEIDDDTKFISKLKEKIPNLIDPQYEKDVNLMKDVNIMGYFLEILELMKTSQLPIEQLLL HYSQQKFHIQSGVTSKMKSFVTILLYSYMKKSNNSQDLSYIKRYFQLKKSNSSISFYIKL RKTIITNFSYNSRTQMLDGLNLKFDDWSTLTTRFLGAESISQIGARVKEVNSKTKVYDKI LTNSIDAVFFVQTCVDLFESKDKSNLHQEKEKEKRSQSQNSQNENSQNVSQNQIQDEEGS QNEEGNSLIESPEEIQQSIQIALNPTSNIQQKQENVESKDKSNVIQQKLINSLQETKYQL LENQMKSEEAIKKVLKVVDIVLLDYESLVKHSKKQQLFIQQLVDKCKNSHNLTEQEKKQF QELNSHQLLQNDFKAQLQQTGIHKFIK >CAK94320 pep:novel supercontig:GCA_000165425.1:CT868676:326285:330718:1 gene:GSPATT00026644001 transcript:CAK94320 MISSSLIEECLQKPLNNNLIVFCRSVRKHFSMFLNKYELLVIIDSGIQHVEKYVKSNLDF LEAQQSLTLQFIQQSSNEIMYNHQLQEISIRFLMINQKLQENFADQEELLNSEIVQLIPQ NLLQTFQESVILQETLKYEKTGEIFTNFEKLIYYYTREDQSVNFYKKLNQKLSQSNYEGL EQIMCTLFYGYQNLNYLSLSVSLLYRGISFTEPDKIIYDQIVKELTECKEQSKSIFWNSL TSTSTNQSSTNFFLKSKYKILFEIQLDQNNPHPYFKLEEYHSQFPREGEVILFPQFEFQV IEMDQIGSNNYYHIKVKQAQNNFSMALNKTKRKEYWEQRIETDLKPKLKIMASFYQIRIN FIMKNIRNKEFKLCSRCIKDSLKENSDNYFLKLVTQLQKFCDNQKGHENQLSQLLLVIKE EISFETEITDEFLEESKILFKNSSDRLIKEFILIIKKIYNLEVFKNDIRHIVQERIEVAE KKRKKNEKKEDIYEILVLSKDFDEYLFDILIKMFKKGKITNCIGFLSKNNKKKYFEQYFS DLNYSQQMNKEEKMKNIKENLSLMTHVLQNILDIQFNKWNYSTEVSKEIRQDLITKISND KKIIQFLKFIVRLTAYDDQFIQCGSNSLNLLVEMKVNLREQSFEDIRIQETTLIGANLAK CNLSGSKFDNVDISGMNVNGAQLFNCCWKKLKIHEFNEFLGHTSYILSICFSSDSTIIAF GSYDKSIRLWNIKTGQQILKLDGHTSTVYSVCFSCDGKLASGSEDQSVRLWNIETGYQQQ KMDGHNSIVQSVCFSHDGTTLASGSNDKTIRLWDVNTGQQKSIFVGHQNSVYSVCFSHDG KLLASGSADNSIRLWDINTKQQTAIFVGHSNSVYSVCFSSDSKALASGSADKSIRLWEVD TRQQTAKFDGHSNSVYSVCFSPDSKVLASGSADKSIRIWEVDTRQQTAKFDGHTNYVLSI CFSPDGTILASCSNDKSIRLWDQKGQKITKFDGHTSYVLSICFSPDGTTLASGSDDKSIH LWDIKTGKQKAKLDEHTSTVFSISFSPDGTQLASCSNDKSICLWDCITGQLQTKLTGHTS NIHSVCFSPYGTTLVSGSEDQSVRLWSIQTNQQILKMDGHNSAVYSVCFSPDGATLASGS DDNSIRLWDVNTGQSKFNLHGHTSGVLSVCFSPNGSLLASGGNDNSVRLWNVKTGEQQKK LNGHTSYVQSVCFSSDSTTLASGSYDNSIRLWNVNTGQQQAILDGHTSYVSQICFSPNGT LLASASYDNTIRLWDIRTQYQKQKLFDHTSSVLTASLSTDYTTLASGSDNNSIRVQNVNT GYQQAILDGHASYVSQVCFSPNGTLLASASYDNTIRLWDIQTGQQQTQLDGHTSTIYSVC FSFDGTTLASSSGDLSIRIWNVQTGQQKAKLNLNQDQVGQLCFSLDGTVLASRLVDNSIC LWDVRTASQIQTSNHRQKAILAQFSTHIFQNNFLPQIG >CAK69235 pep:novel supercontig:GCA_000165425.1:CT868066:11644:13096:1 gene:GSPATT00037673001 transcript:CAK69235 MSAIPKALLMMHEDINHPINQMSFMKDVISIQQYTFKRIIEQQEVKSKSDSMLVNQNLSA HHSVYETYYSDHLILVAKSNYRSHIYQLNNIRFIQLNKIYTFQTLQETKQIVQKVLFRDI IENYLNSMFLKKSINTKKAQIKIIANQQIAFYVYNQSETAFKSFNRLLIMNPSKRDGGIM NSVINKFFRIAFNSLPQFKRYKHILHYNYINQIRYQFQNFNSRNKEYHKIKGEHLIQYLI NGLKKGIYEVPFDLWSQETADLILNFQENCLVEQPIQFCHHTVRNQKDQITNSMKVLNHY GLLLYVCPPGFHLQMFNLLNVYSLLNLFVIFKDSCSLLVIILCHLINFCLFTSLSQPGIP SNRSSGRSYTFPFYLLILFYWLGKDTIMKINPSILYIARFDIYHIILQAILQKEVLFFNN NQTILSRQLHMLFLILNWFLLFQQFDSILLSQNNLFEFLYLFLNFFFIGLISQQ >CAK69236 pep:novel supercontig:GCA_000165425.1:CT868066:13149:14075:-1 gene:GSPATT00037674001 transcript:CAK69236 MGAIVEKAVAKLDPKDSRLSMIQAMLSTFGEEINLSRFGLRQLDGTPINIPYNINFKEQR NILEQTQYVAKKTEVLGKHLQDSLKFKKKYLLYKNFFQIQFLVGKKNNLQQVQNQIRIIQ KYFRQKQINLLNIPYRKIEEELVLELQIAGRLICINENGVLPSQSPLLFTPMQFSNNKRC MHFMRWNELVNIQREFIDQLKEIYEIGKLKKKVYINIWSGQQSQGRVQHPGGYFSQCIRT YIIFQNKKNQERELKKSYVYIKSKQNFLLKNINNEDNQELSTLLILNKICFRFNLSLINQ TSNKMITI >CAK69237 pep:novel supercontig:GCA_000165425.1:CT868066:14340:17086:-1 gene:GSPATT00037675001 transcript:CAK69237 MIRSITSHLRRATHLNQTSKYLPKYFLSENVKEKQLRENDMLWIAANADDKRCSIIEIQK FIQEEPLLEQSPIRLMDLGNNYFRHINPRKKKTMLQLLDAYVDNLTHEETQLFQDALSIY FDNTYQGKDMEALSCEEKDLPEQLLWHIYSLMIFDRLSSHYARYEYLDQFRIIEYFNQRD KSKINRVKYVFTSHPTQPNSLTQLIAISRMLQGIEQNDYKYLKYAAKLFIQSNKQRIFNK VSYIEESLIYHTQYLPNLIKAVAQAYELGLQNPEEYFETPGTWLTFDFDNHPGMEIGIMT YTHGLTIDLTIQQYKEYISEAKLEENEDFRKILELYQQALEYSKSLRDLSDKFRVKKEIS LEEFYQQMPIFNIKKIEDQINQILDHILAKNDDSKDYFIALKLKKLYSIFRLTGVLGQIR LAGEDLTDLKINKIKPMIKNIFKEISLLNANGQAADMVIIANFQTQSQYDLVNDLINLYK VQNVEIVPLLETFSSTNNTDSKITMIASSDTRQRDGLILTELRNMREYKRNPEKYIYMGQ GITPERGGGPYTLIHTKYQALTRAQRKRHIRTIQGHYFTSEFASEDVAFTFLLNGLSNIN YSDDFEPSYEYMDFLFELDNVIGVPQRAMQKSKEYNELYVKNQVVKTMVESFNFAGSREI ARPFESIKSQRAIVQAYCNSDRCSFTHPELAYWDRVPEELINKIATYYYNNHPHMKYMLY MYALMARRCDLDFAKQEVGLDSNNPYFQAIQKGRAALMKILDQLGLGDNSTPMIAIWKQH LGCQLQSMNMEVNQKFTTFRTLNQLQLYQAKKMVKHGILETDMVASQRKLRILQSTLANM TSFAGKG >CAK69238 pep:novel supercontig:GCA_000165425.1:CT868066:18672:20837:1 gene:GSPATT00037676001 transcript:CAK69238 MIKKGSFMLFEQSVFSILFLLTTGCPFLDYESSLLSSTGPIEETIIEGMGSSQSFAFWSF SIPMWEVKKYPQVEEKLYVDNRNEQLLFLLKSLDDERVIMFMHLAFSDFMPLVSHRLYVE EEIDSSNVFDYDYFSSQYEGKWSLTIITIQQKSVIVETNAMSTHSIPDLSQELNNVKFII GGTGIINSSHQLGIFRGRLSKLIQFTDYSAGSFVFIRENCAIPPSVVGKQIVSLIPGLKN FEGDTQLNFEVNEVGQKFYISGWIKLDPSEASFITTYMVLRLTTFKLYSDELRLGDEILK ITVDLDLAEPANSGYNIISHHYSMPVQGAVNPDFIGRKRLDDPSSTNFQSQLTKWHFIVF QHGLSDLYQSQMKLNFQQGQTYQLNVIFFDDFQAQGLFINTKYYFIFGGDLTVFRKLRGQ MQNFQFCYNYEVDEDIQFQCHPTCFNCVGPLASNCLGCQDGLNRRWSEDLQTCFCTYGYI EIEGTCYSFNDLYPTLQFDEMPMDQTNSICPFGYFYLEVNKECIRCPQTTSTDLLCVDCL FDPTTWPLFPVCKTDYVTQKISVSEDAYRKHRRSNTDNDVYFIDQNFNLKLIEGASDYCN TDDELPNCFEFGQMRHLSNKVYLICKENHYFSNNHCILLDVNCKRTEYKEPKCLECMQGY YLLNDKCEQFVYLVHLDTKQLVLHV >CAK69239 pep:novel supercontig:GCA_000165425.1:CT868066:22005:27786:1 gene:GSPATT00037678001 transcript:CAK69239 MICFLQTFETDEFYKQANEKVIKTILIKIVSNIHQTCTINNTKNVRQIFSQNIFSAINVE LEIHGNSITTFKFDKVISFINFKRVYLSKITMTPFEISNQKSLIFVSSFTQQIQLSDLIY TGDASNQKQQIIIKNSNDVVIENLKLSNIDINNVEAFITIDQIPQIQNVRISNIECVSSQ AQNLILLLLNLKETDSVEISNVKITSKFTQASFLKQVSGNLIINNIQIDDSQISSINSLF NFGTLLQVEIIQFSILNSIIINSTLLYLNGQSSLNSVVFENNELSIESVGITNKNVEVTL YTFQDVSFKLNKYDQSSCLVKIKESFSPNKVIRISQLSLIANTLTTIPSLQFLEQQLTTL FYLQIQNVSITDLSIERAYGIRDITFVDVIFLNLNQIKIQQHKQHVFKGLHQYVDCFTRS IQSQYYITSLYLYDVSQIVIHQLTIDMAESINYPIINIQSSIAVSSSSKSLHIEEITFTN NLVIIINKMKSTSIFQMSSEQEYQITILNSFMKYNLMHQYEQNDRIYSGLIFNFDCPYCT IKITNLLVEDNLVTNTTDNVISLKAKSISIRQSKFFQNSIFQYSIIQPYLLWGFHKNEDI FVEQIKQVFPIRVITGNMKLIGQNIQIENLNITNSSGSGLYIKLESDATLFIKNTIFNHI TTHYIDSDENGGAIYIDSSYAMRSNILLSNITASNIQCRNYGGLVFLLNGESYIQINLTN LNIADVYALKGSIVYSEFSSTFNSIQSFKISNLVIANNLQNQLLYFQKFEVNKLDSSAMQ TLSFQRSLLKISNAWTITLEYLQISELHFESLGFFDNIRSAQLKQIQLTQCQLLNSFIVI NTIQDKSQIFIQQLKLHNSTILDIIPLTPTSECTNLESQTKLVQFQCLTEFFQKQSPIKL NSNNEELSSVCLIQKLKQLDRKSNGSLIYLNLYNSSLSISQVSFQYLKCSDGLLFINFQR KEGTLYMKNVNLQNNICELSSCLKIVKKTSSSSRILENLNQYINKKQYDFKLQDYVCSFN YGYEGTCLQISNIKTLIVSSIFQHNVAHQSGGSMIVIGEESFILAYCTIQNNTAQFGGGF AVADQISQNLTRLGSVLNENNALKFGANQAQLPSSLSITTDMKNVLSKVKIIEQSNLLIE QIEIKPYQVFTNTYSDALYVPNGQKLSMYEYFDWKKGQYYQYNLHLRIVALDPQNEVQEN LNGTYCEISGGLLRSNEITEFSNNFTNLKNISFNSTDYNLDEIIFYLDDELNMTLQMQFY CNSIYVPIYGEQQEIISYHNNYYLRLNIKTLPCQMGEIKRTSDLTCQPCDAEQGQYSVSF NSQDCTIKDDSSIKEIKSAQMNLRSGYWRPYFYTDQISFCINLLSNCLGGWKEGDTSCFI GHIGALCEECDIYNLRGEGHFSTSTKYSCSSCAEKDTNSIIITAISIWTLVSILISVKGT VSLLVDIALKVQVKKIRFFMSMQESYSGILIKMLTNHLQILSTITSFKLNLPSGMSSAIN ASGNPIQTMTYSLDCFLMEMFYFNIHYSRMIWQMIMPFIYIFIFFLLYWIAIKLKKVTYS VSVITTTFIYLYIYLQPNLVGGLISLISFRNISNFKWIQANVAYRYDTISHFLWLLSFCL PGLLIIAFLIPFLFYYALYINKDNLNDKKVRQQWGYLYNEYKTDVYFWEVVKIVEKELLI IFLSYYDETIVKKGILVLLVVYIYLELNTKFKPYQSPNLNRLDAYSANVCEISIALGIGI YVDQIYGSLEIQIPYFIILAALNLYFLLLVLKEILQSYKRDLEIQLDKVRDLVRQKAPWT MKYRFLNKELQNRQEMRIRVKSRYRKIREYLMEQAKQLLEFKQYMQGTKTFKTAKIYPEQ FSECSQPEEIHKEPKQFIQAKESQSNLDECFV >CAK69240 pep:novel supercontig:GCA_000165425.1:CT868066:29315:29821:-1 gene:GSPATT00037679001 transcript:CAK69240 MKYSTLRLSEHQPYIVSSLRFEHQEKLSLKDRAIIDQYKENPHLPKQIIQENRQRKDRRF YNQSNLSEQLPKVKLNQQKCNTIISDRNDNYICKTTASNSDELISKYSIIQVDYKNGISS GKKLSPFKLQKHLLKVSLQNLMKCQHSYKKKKTDISILNLYPTHFAIK >CAK69241 pep:novel supercontig:GCA_000165425.1:CT868066:30035:30595:-1 gene:GSPATT00037680001 transcript:CAK69241 MFHPASTRFHLPTIIQKEVSPIKETNIRNMRPSLFLNQIDVLPNSLKTEAEVPNGNSANK VYTQSESDPLIEIPYHFKLPQLIKIKPKRYQRSLPEIPILTQISQNDAPLEFERSQKRTK LFLKRQDSKILESVQKFQRKKVEFKKSLIVIDIETGNQDRQEISQTQKPLRRIAHQKTKS FQIRNE >CAK69242 pep:novel supercontig:GCA_000165425.1:CT868066:31940:32630:1 gene:GSPATT00037681001 transcript:CAK69242 MSNQFEKCIQSEDSDKCVESAKADVKRDIQMNSKKILIDKIIYLMNLNDSQIVEYQQIQN KYQRKVLKYCWSSQSNREQFIECMLKKNELFNIQQELFHHKLRFVLDQTNNQCLKSIKSK FDEQMCLQNARDQITIFQEQFEHTLQKELL >CAK69243 pep:novel supercontig:GCA_000165425.1:CT868066:32656:33028:1 gene:GSPATT00037682001 transcript:CAK69243 MAEQQQQLFLKQLTVNVQSLQRYIQKETNEQREKCYQIYLQSNENYDEYYKCIQQLQTKR KTVDRTYESMVKYWPFWTQNCFENSETKDQILNCQKHTTESLTNFLQNSINQLQI >CAK69244 pep:novel supercontig:GCA_000165425.1:CT868066:33281:34016:1 gene:GSPATT00037683001 transcript:CAK69244 MGNNFCYSKIDQESTISQLICLQKLNECNVYLTIGKIENEAVDCMVQENDQFQKFPVPCK FQTNDNTYNFIKSTEKNSKNYIQVLLKNYVNGAGQNHNEGEKQKLYEIIQDVLKIADERQ MRSIAFPVFLIKDYTTSATIMLMAIKIFIVEQICQRLTKIYIILNSIEQVSSFKWVFSQV FDIRKSQRLYDSSINEDWELRTTELLNQNVQIVKSSMP >CAK69245 pep:novel supercontig:GCA_000165425.1:CT868066:34132:35027:1 gene:GSPATT00037684001 transcript:CAK69245 MLISKLPLLEQTTSQKILFNKTKLIQENSNCAGLEKTCRQTNALKAKRQLSIMKQFIECK KQITTQNDESISKRGHVTKSQSDCMIIEKLQQEFEQKYQEQVKISEDLKLENVKLKMQLD EQEQQIIYLKLLNNDLRYQIENSTLAQQLKKLESEYKDTYRRMDDTLRKAIDENYESQIK MKNLSIKSQQLEQFTVSVTIMNQFSLRQQLTCKLCRKELQNTITVIPCAHNYCQRCVSGY VGRCFACNDDSVVQATYHNEYIGDLINMYKIFENIMNILKT >CAK69246 pep:novel supercontig:GCA_000165425.1:CT868066:35105:35409:-1 gene:GSPATT00037685001 transcript:CAK69246 MLKQQDLAEKQKEICLRNSKTFELFATCMERHEGKIDNFAKYLSIKMLFIEKQALECYKG NTNDREYERCHQRIESQLHDVYNDYYQGLLNL >CAK69247 pep:novel supercontig:GCA_000165425.1:CT868066:35732:43367:-1 gene:GSPATT00037686001 transcript:CAK69247 MQKVALALYEYINKKYVTKMIRRSEELYSRQIEFEMGESVNLKGIASAELHNGIHSYLSY SQILGEYANRPGNWIFIITNIVCLSIIDLKGEDFSLFYQFVIPLLVGIAMYLIKMLYYSM IKHQQDKQINKRRVTIFKRLKRINSTNKTIVHEDTKHPNNNDIILFSAPRKQDVYKPMII SSFRDEKKVQSTPRPEQKVQIFETVCWDEVEVGDIVVLQRNEISPADLLILESNSDHCNV DVANFTGNTNQIYKSPNILTSMSRMHQFKNNSFEYRLLLSGKVNYDRNNNDKSLYSGFVR LKNDPQAIDIKKENILFREQLLLNCDYIYGLVLFAGLSCRYYYNQPKTRVNHSFFYTKVD RFFQFTLVLLIVLSFASWMTESLRFMAYGRSWSLQTLCKYLLGYLNMIPYYLHFMFDFMT LCTMIFKQYQFQKHSTQPTPKTCDSTPLQLSSNYQRINDSPLADLSLIDSVIFDKTGTMT IPSFKLKMIHFNDQLFSIKHKTFDDLYEWKTYQQQQQDNQELQQFLMKESQSQQKEASQV RISPKPKQMKRISKHPSIRPVQQEELQVPSNQENCDTNNNDQANFDPEEIIDDNFKLRDI HRSKQPKIFQDSWGSNTDINNYGQGSGVQQYLQQQQQNSNMNINSIQQQSSNNNINNQQQ QISNQQQSLNSNQQQQQSPKDMKKSTLISGITIRAKHSIKMDRSEKQKRFGAERSMNFTK FLSEDALNKNNEDLRSLDEKDFLNKLITSESRKQTEEAILILMICHNTQSTYNKSEDTFK HEFSSKIDNLQLEFISHFNYRFICSAVNKSKYIVEINGRLTEVPVTVTQLEHYKVSVILT KQDYRRFFEDIDQAYDYVQFIRDDSQEMSDQILMNKEEREKWDNMIYKLYMNGCRPVVFF KSFMDNTQYQQFLKNPLQELKTQPRAYELSLVIGIKEKLRKSITTVIKNFLAADMIIWIA SGDSLDKVLPIAYKTEILKDLIPIIHFDSQDATLQIKQQIQWLYNNQIKHLKEDSTILEK RLSDRLAMSPSQKRKSIHTSGRRQSAKGFLPGLQSSQQRIKPFSILIHGETLDKISQDNS MFNHLCFIVAFSTSVVGYRMTKTQKAILVKILQEKQVWRRRVLVIGDDNNDSRLMAYSDF AIQLQSQRLYQQVAVEKMEQLQRTKKDSKSIKLHQITFKPAIKDFKKYQYNNIPYVGTTD IVVKDYPNLICTVLSESRQSAELLENIITFSFYRSYLLCFTLFFQILFKGITYYPPLDYF QSFLYIIPSLLLYIQRMTPKREKATNIKNQLVYFFNQNQLDFRQMRYWLFFYKVILYSAS EALIIAIMISTMDIRNNNGKTFDEEMKSIQMFVIILLLDLTKQLTHASLYQYMILPISYF AFCTIGYLDYFAYNMFDLFQYIISFESFLFILSLQLLFFCFQKTLTQFHSIYVHTTLYKE DDQIEINNKIKPIQIHNQKRRKDNLVINIQKYILKLFKTEEEIDPIIKQILSGIVLSVKT TKINKITQTFKNIQLEIKYQLNELPSIIEYYRLYYPLSWMLVEGAILVQIWLINRDVDYT WFLYFTIGYNAAQVLMALFIYTVAFQKYHFRISKILITSRLIYKIVYDAYFYQDNDNELT DMLIMQLFMLQPLIDDRPLTIIFYCFALNISFIIRFSANNTTSDANFNKYIMLNYYLIAL MQTALSSGMHFRVQRNSREEFIQMLTLDQQTNSISDTLSILMPKFIRNIINQKGEFDIQE NQGEVAILFCDICQFDKIIKAEKENVIHLLDILFRQYDSLCSQYGLQKIETVGKTYMAAA GLKNIVSQNSANPVLRAIQVAFEMRKFASQQDFCGSGEIIVKIGVHYGNVIAGVIGYHKP QFSLIGDTVNTTSRVCSTGQDGQIKISEDAYKLVSGSQDFIFTKDFVEAKGKGVLVTYIL SEQEQRKSLRHKKQCVKDGRGKNNLQNSVATQDNSERVGRNQKVKRKPTLVLPHLKQSNP KLQHKSSLNVDGQQQQNSHGDSDHANQIGYKMSVEGANLIEAIEIKLGKENLLVDENFDF PNSEIEKEKLKQLYQSDEEFIETDVLILDKRKLFLDFDPEVDQCHIHDFYRELTKKNTVL VILLKAGVTTLLLVQNTSTILIAQIFENQYTWYINLSFGYVIVAIKIVFLVLLIMKQYRE KYFKEIYFIYSYIITMIWWIIHIFSYQSFIVGEICIAVGVFLSFMTQLNPIIKMKYKVIE SISMISVNLIVVLVKNWEKSLIYYAIILQLIFISNQIYKFNKNVQLYNNKCKLKAKHQQL DSLVKHQLPSHMLEQFLKFQQQRAVLKDSYENVTLLFADIAGFTEYSSKVSPEQVVFMLR NLFTEFDKCCQEKSVYKLYTIGDCYVVMGMLDAKDRNIAQEAKNTVELGFEMISIIKRVR DHINFQGLDMRIGIHTGNIIGGVLGTEIVRYDIYGPDVLIANKMESKGERGNLQVSSTTK EILEQHYPEEFRFCFHTRVDIPSIDTSTDGYFITIRTFDELSMDLQEHHSESCR >CAK69248 pep:novel supercontig:GCA_000165425.1:CT868066:43641:46676:1 gene:GSPATT00037687001 transcript:CAK69248 MKNSQKLGGNQKIQQIYNHEEMLEQEEMSKQLKDQLSLILNTSNEQITVKEALEAIKSEN LLETHKALIQLRKTLSVLLFQQFNKNFDQAELFTNELVPLLFYLIQFGSTYIIKLEATCQ INYIYQKSSPFLQGAKVKFQEQSLKMAFFNWLCPSSRMKTQNCQSSQQSYWVNWQENQSN TEIAYSKSKMELKYEATYVWCLANMCIGRPSPKFELIRPAFNIFMKVIMYEPTPINIKMI NDAIWALGYMLDGEPTRINILIEQGIIKRLMELMHQCNFVSILRIFECIFQGNQEQIRYL LECGFLSEIRNILDPKSREKSGNMIQTFAATETLFKVLSNQNFLISLFRSLSDENSEIRG DALEMIGNAVQHGTNEDVNQMVKNKLIQSVLGMLELAQDRDIPSHHLKKGLEILIRILRK GEIRSKDRQDNQLMEKMQYKSYYPLNRMTLRNMLTSLNRNSPYEYIKIIKLYPIFPPRML ALFMLIPLVVRAILQYTVNYGEVKTLFNINDALEAELILLDPTEEAQCSLLSPTIQFQEE TIHLLSEYTDTDTDDLLIDMITTNEETQIGLTASSNLFYFYNSTNTTTLYKLSINFDQEI LPQLLYSPQQLIIIYPKIAYSVDIQQGSVWKLVPTLQGRTNRYYSKIINSYLISAVGSDG LDIYRNLTLLESLNTGSIGLQSIDFRDFAVYQLNERKFLIFILCKINGVVVVEVSFLREQ VAFKVLLKQIGPRSDGIVIDIWDQSNVFVAYKSSHYHYLVHYNIEPYNKKWSSIAKYNIS NRIIDIDVNENYVLVQGTYNHHFIQYKKQQNSVSFRLGSVKQFVSSQNYIYGTTSNYLFK FRPLIQPYSIKCYIESDQQFIRQKYKLLYKTQLNWQSTEFEVLFNQMPFSISSNLIILII IFSIIILLAILAAYQYKQYQHRNNEKVNLEQRIQYLPQNRASKLLMPHTFRTNQQHVEQK MNTMNFTDETIYPKDKKIF >CAK69249 pep:novel supercontig:GCA_000165425.1:CT868066:46946:53256:1 gene:GSPATT00037688001 transcript:CAK69249 MLPGEYKYLSIIARTWNEVYMAENVISKQKCCIKQVLIDSSLKERFSLEIQILQKIKTSP HPNIIAFEDSFYYEKVTTQDKSITFGCIVMELGITNLSQYIKSKKQSDPDFKFKEQDVAN FYVSMIKAHTHLQEMKIAHRDIKPENIILVNDENLIFKVCDVGFGTEIIDEESRSRTIGG TIGYQSPEIYAAFKRRKPQAKYNPYKSDVFSLGLVFLLFATTQVISKQVNDEPQIYQQRE ELFDDERKLTNYLKVLRRKIKETYPRIKGISKILKLMLEVSPDERYDFIQLNEIIHERSY LEVKQPAKINHQHLQSITQFEKFQLDIQNQEDVQLDLNGMQNKSQEEQLKVLEAIAQQVK NFQMVTLQIKLEMSNLSVKTVAPLEKILSRALNLKELSLQFWNNRLGNLGLLMLSKAIMK MVGLKRLNLEVSSNMLSDEGINNSLPLLENLVQMEELRLDFGLNHLPIECCEAFFTVICA MPKLKKLDLNLESNYMNQLLSRMVNQSLKKLPNLSHLVINFSNNPLQNEGFYELGEGIQG LEYCELILWSCQIKDLGMEEFAKELSKCQKLKQLNLILWNNSITKRGCEALGQALLKLPN LSVLVIDLSKNRIEDDGVRFLAKAIHEMKQSLRELKLWIESMMDQSLYKCQLYLLWVEIC EQISDESEEIAEYKFELQIQSDRIEWYGVIVQLFWRSRAIGGSEDDFGSQSIRRERSGYF ILGIAEVERVAEVDFEFGERQGHRGFGYCDASVDKVVDRVEGDAREFLKVKMQLLNVRNQ TDWGDELNLRNRLLEVQSTAKINIELKFINQYTQTDISCFEQKNEYSQTINHDFEQALQL QGNPRLSQIKDQFLQLQDIYPYDIHLIYEKEDSIQFQLDLKSFLDEQSTFQIVLLVKGPV FTIDVLFHSSFLDQEKQQILTIVSVCTINNLMETIIQIETKLTELDKEFIQRRTQNQYKF SSSNQQIELYPEIQITRGISNTFGNTSEDQDMRQSILGFNTLKDDLVEKYDKSEQQFQPI SQSIPVDQLCQLQACSFSRFQQDFDEIELIGSGGFGKVFKSRNKFDGNYYALKRIVLDYS DKKLTEKTKNEAVLLSRIQHPNIVRYYQAWTEEYVKDEYENIEDDEKYDEESEDESEYDD PHQVRKESSSIQEYQDDDPIFDYQDGPDVDFQIEWLNCDQQNQEESLQTPKVKPHQPRQN CNKQLLYIQMEYCKGDTLKKKIDDCNLTSDKRKKIIRQILDALHYLHKNNIIHRDLKPQN IFLDGDLNVKLGDFGLATEMKQEIKFYDQKIMRTSTNRLSLTSGVGTFLYLAPEQEQRQY DSKVDIYSLGIILFEMYYPFKTDMERINYITQLRDQCKFPKDFDQKVDKIDIEIREKIAS LVDKDPQKRPATQSLLNEYKDKRVQQVIRSIANPNCPDFNELVSTLFECKQLRQQNNLFT SCLEEAISKSPESFFSNFLSGILELFARVEKIFKLRGAFQLDLNPLIPTQNTLKYLSIFG QIEELITQSGELVQYCQNLLQPMIQLLKILPLKNFRRYTLGEVQHSDRPQLSKHNCVAYE IISEQDPGPIKLVELLSISRDVINQFQNAGHFELRISHTHFLELALLELGFSSQMVIRML RHQSILQKIARLNSMVNRNQQFFDLENDISKVLSEEFNFTKSQIDRFLKLMTLKLPKSYT NEIHHSSSLRDSGKKTLEHGPGHKKRTLREELKGYFTSFESRFKDIINEIEKFEELVQDT RILCDLEVIYQFFQLNELSCYYSGICFEFVEVTREQSNVIRNFEQDVMQSRSEPVQKTNY QRRHQKSNIDSFIIGGNYSHYLNNKATNKNACGIQIYLDQFYYRKLNDHQNISISSKPMA RFDLFNWPDCLTNIKSLTLNVLIVVEELWIKQAQELAVQFWKSRISAMIVNNKNFKNLAL SYKSDLEIKSSQNKRTNEVKWSIRKFKDKEEDVLFQDIFNKIVSYLLEVGRMEGTENKLI KKAQSKQK >CAK69250 pep:novel supercontig:GCA_000165425.1:CT868066:53272:54418:1 gene:GSPATT00037689001 transcript:CAK69250 MIIQQLFLNFLVVIQLFIKFEYRRLAMSRTGPKNQVIFDGDKKNSIRTYNDNVNNRQGFK IGWDIDQTRKEDYKLAGKVGQDQRNNNNAAYQGNVYQAEQKQDDDYLKQMYYQEMLKKQE QAGVQHKQPEQYYQDSLDQYGKPYGSHNYNNQDNHNYQQPQLLQQSNKYDHYQQPNDDEA IYQKYKQGSSGNKVYSAKPPIQQYNPITGIAYGAQNTQNAYNGDPNSYQKNNPYEQPQQD DALSQFSQLQQHKIASIKNKNSNIFNVDLQETENMNNARQNSRVLNRKKELDDAQYKGYG QFYNNPIEQAPSQYREQKFVNRNKVDNRIFPK >CAK69251 pep:novel supercontig:GCA_000165425.1:CT868066:54522:54774:1 gene:GSPATT00037690001 transcript:CAK69251 MSQETLNGINGILSDVQSFGQESYRFMRKCTKPDKREYIKIATSCAIGFAVMGAVGYFIK LVFIPINNIILSAN >CAK69252 pep:novel supercontig:GCA_000165425.1:CT868066:54781:56881:-1 gene:GSPATT00037691001 transcript:CAK69252 MRILFEIWMGTSYLNLSPSGIAQRKNQRNFKSYLLNRIQMLRYAPYQDQNRPSQNGLHVS DLEEGITEEQLYVEFRKFGQISFVKLHRYPFIGKSKHYAFIYFSSQEEARKAKEAMNYKQ LLREPMRITYIQEYEKDANLFFAGFELTVTLKQLEEFFLKWGQVVSVKLSTDENKKSRGY GWVQFEKKEQANELLAESTDGTIVYNENTKIIIKRFVKKGQTDREDKRNNLYIKNFWPTL DNYDLENAEVRESLEKEMREKLNEWFKGYGQIVSILVKIDVERKAPFAFVSFNRHQDAKE AQRELGTTQKRDPLSTGRMMYVGWAQTKTDRKQQRDNNIFAKYIYADHLSRNVTEEMIRT TLKECGYGDIQMIRLEKMQQGFQQIIRIGYIVFDQASDANKLVKNFKENEKFEEIKKLFD PSVETAGGKYFQHLFPQQSQSRGQRRNNQRQSPNRRMYSMPQGPAPFGQRMPFPPYQMQQ RMQGPGGMRRPFPQQMPGFPQHFRPNIPPPVQQPEAQQQAPPQAIVFNKRQDLLDLLANV ETFKAKPQEEQLRQIQQMLYYRIKARLSTDTEAHWKRISEILSDPTNYSIEEIIEMFKEE EQFNSYVDEAIEQLKEASCW >CAK69253 pep:novel supercontig:GCA_000165425.1:CT868066:56890:57460:1 gene:GSPATT00037692001 transcript:CAK69253 MVINTRFKLSKQTSKVLLSITSIQGIASQFETQLNDKDEVLLYIKELNCNGQNIKTNIAI NMKQQIQKSTESAILYKQIKKKIKKFNQVFQKSGQKQLLTKEIIQHGLKKDQEQVPRKNS ESLTITNNDISYIKYLESLSNGISKKYVIEFFKKQKIRSEKFINIMYPSLQIEQELFEFQ >CAK69254 pep:novel supercontig:GCA_000165425.1:CT868066:57686:58708:-1 gene:GSPATT00037693001 transcript:CAK69254 MQSLCFLAIPQFHVFTTLFCFVYYILSVENQSEELASYFLSGLQLLVGLIVLGLVFARPK HKVRKIYILCFVCLFVAIQVYLLVENFRRENCLVLFILEFFSIEMIFSLVILPNKLIGIV LQVQVYLIAICLDLMSFYIYYYMDKFNLNRSISILGSSVIEMITLILCIVCQLNPQKRLA DEVHLIIGFYIFCELFVCCTFVAECVSQGVNAFLIGLTILKISNIFLDFILYPFVKLNYP ENQIKPGRVDIENPPQKSELRSVATRETLSDDQNSLKKDAEEPVRIERKACPSIQWIQDL LKIY >CAK69255 pep:novel supercontig:GCA_000165425.1:CT868066:58882:60921:-1 gene:GSPATT00037694001 transcript:CAK69255 MQPVQPIVEGQRTRTIYTLIKDQKYKDAINYLNYELQFCPKSRALSLLAYCHYMNQDFSQ AVAIYEQLVKYYPEIDDYKIYLAQSYYKDSLYDEALKICASVENPQYQGKIVQLQALIRY EKNEFQHAKTLLKQNDMDDPDSIINEACILFKENKFEEARQRFQDAMNLTGYSCELSYNI ALCYYKQKQLAQSLKFIAEIIERGVREHPQLGVGSNAEGIEVKSVGNSQALKESALIEAF NLKAAIEYTIKNYSAAKEALIDMPPREEDELDPVSLMNQALMNIEEKTAEGFKKLNHLLQ NPPFPPETFSNLLLLYCKYGYFDMAADILAENADLTFNTISQDDFEFVDALILTASSPEE SFRKFQILANKHIDTLRRITKSIQDSRLNRDNEGIKKSLKDFDECLEKYIPVLMAQAKIY WDKDNYQQVEKLFRQSAEFCADHDVWKLNVAHVFYVQDNKYKEAIRYYEPIVKKNNDNLL SLTAIVIANLCVSYIMVNQNEDAEELMRKLEAEEQKSQYQEPDKPVYHLCIVNLVIGTLY CSKNNYEFGVSRVIKSLEPYNKKINTDTWYYAKRCFLALIEVLAKHMIILKDTSYSEILD FLDASDSCGKAIPSVINPLEQMDEKHTVSYEARMIKRMFLKLRT >CAK69256 pep:novel supercontig:GCA_000165425.1:CT868066:61117:61650:1 gene:GSPATT00037695001 transcript:CAK69256 MHRKLNTIPPLNLLTYEPYNDHHQITGIQSEATSPNYSLETPVITNKHKFRKNSHQHLPT VQQSSPYIKLPMIEEKFLTPRQQQINLMPDLTFKDLFKNPIYYEKNGLSPKGSEEILKKL RMRHKRVDFSKMVDIVDETTNSIIKESLNDHKEHRRLSRKNTRRFHLSNPTQLTEFE >CAK69257 pep:novel supercontig:GCA_000165425.1:CT868066:61689:62243:1 gene:GSPATT00037696001 transcript:CAK69257 MHPYLKPARSLHLASQKDELKSKSNQRDIDFDPASFLNNFDALPDYNLRFKSESISLLTK QTEQKREMQKTQHTKFLVLPKINSKFVRDNAVEDVYFFPETKQKYQHQSRILHSNTVLQP SSIDKSSKSFKDLEQLQAKKKKVEFNTALEIVDIEGRVSTEIIHPEQQTMPRQKRFSRLK TLEC >CAK69258 pep:novel supercontig:GCA_000165425.1:CT868066:62387:63871:-1 gene:GSPATT00037697001 transcript:CAK69258 MNRLTLRFKDPALEKQYEQSQFEMYRTKSNYYFLTIFLVICVLQFISEWLYCSLETGLAW KLINIVLIAFTLIFLCKYPQFGKEAIFFENLILISSKLQHLYSHMGHDDDKITDLITLTD LEYHLHILQVFDVGCFQIVLQSANNIFMEYFIGTRVNIFQIVNNILCASIKMIVMYFFKE WSRQQFTLFLKDQIWQQQLPNIIQKPFFKFTLENFTKQFRSILSNQIEMFPSYDPNFCEG CNLRSFLRFCIRDKKTLEQKLLDDYIHKEQIQGKNNEEVWYSNNLKHYSIRICNLNVERF KCLIILEEYKHKEKQSISNNLIKKQLLRSISSRSNHITNKFFKLGMYTIFSINNEQIGLV NMYILLKRLSTYYSFLDLKLLPIQGENYKSFLIKTYSKKIVSFMVCIFNIFIKIQTSKIK KIFLKIEILDWDTICIQTEGVDHKVFLEEYKMNLYLVQVEQQLLVFPVSDSLRFVFQSQK DKEPLEMKYLKNEY >CAK69259 pep:novel supercontig:GCA_000165425.1:CT868066:63957:66852:-1 gene:GSPATT00037698001 transcript:CAK69259 MSGLFYNIGYFGAKYPCLVFVICMTVTGIMSLGLYNLTVLTDPQSLWVSSSSRTYQEQES SAENYGPFYRTNQFILAYQNEDWVNVFQKDGLKVIYFLQNIIRNRKVLIGGKNTTLDDLC YRPISAKGCYVPSPMDIWLQDPSLLDKDDDIQFTTLCTESIDVNQTNIPCSDKNGIPIIL ESVFGGIDCEERANDTQPCDHCYIQAKTMAVTYLLMNDEFTKKDAELWEKEVWMDTLDAL NKRDYAKLYKYYDKTLMPAPREQLLDQYRVAFMAERSVSDEIDDETNQNAWIVVVSYFMM FMYIGFAIGQFPSKIYNGFTLGLGGIFIVAVSMISSIGMVSYFSIGLTMISLEVIPFLIL AIGVDNMFIITHNYKKQKHPTVPERMGQTLKQVGPSITIAAICETLAFLVGSLTKMPALQ SFCIQAAVGVFIDYLLQITMFVAFLTLDEQRKKHKRYDLMICKQDPNYAIKEDRKLIQTF FKKHYSPFIQKPVCVITTIVIFVASFTISCVGVTKLGVGLDEQVSMVEGSNLFNYMTLEK KYIEIGPLAYLILENLDYQDQHDLELVANLSNSLSQLNETVQPPIYSWVASFNLFIREKA EWTQACETQDIALYDLPTQLKRFLGVRINSPCCQRFGICGETFEADIVLNEQGYVKTSRL RFQHRPIHNSAGYILSLEQTRQVIDKVVKDANLKDNQKVYPYSMPYVFYDQYSYIRAVAI TNVLLALATIFFTMTLVQDVVCAIIVVLFVFLIAFNLIGTIWLTNVIFGGFVIEINAVSV VNLVTCIGLAVEFVAHIVIKFRLCEGKRWERVTGAMSTMGTSVFVGIACTKFIGVAVLGF APSTLFKLYYFRMYILMVVLGAFNGLVLLPIFLGLFGPQFSIMKVRVKSLINQSEGTNLS SQQQAKKKATYY >CAK69260 pep:novel supercontig:GCA_000165425.1:CT868066:67229:68398:1 gene:GSPATT00037699001 transcript:CAK69260 MLNKSHPQDQNNIKYEITIGLATILEWKLDQHKQFKGFTIEIAKKEKYFLISHLDCVFLK DKLDSKVVYKNINTFYKPQTIIGEGNFGKVSRNNLLQVLLIKNTQDGKMYATKTLRNDLM TSLDDIQDEIAALQSLKHRNVVQLKSIFQHKKYWLLIMEYCEGGQLKEYLENHSLDNSEI IAVMCQLLSGLAYIHNQGFVHRDIKPENILLRKKDSLIDIVISDFGFAVKIDDVSTNRPR CGTPGYIAPEIITFDQKHNYNELCDMFSCGVVLFRLITGKNLLQENSNQIIDQQFIGDLS EVIKQEIQGEFGRILLQMLELDPSKRITAEQALKYFEDFDDMKLYQETPIQQLKHLAVHF LFVN >CAK69261 pep:novel supercontig:GCA_000165425.1:CT868066:69592:71316:1 gene:GSPATT00037700001 transcript:CAK69261 MNYLQISLIVYFVFRGSSTAFTITEINEPPLLIKMHPNQHRTITFDDARDAILQSFNQSK CCEVTSLQVQFETQEFRIIQHQDFKESDFQLDGVLNFRQFVSMIHIKDGMLAITSDSIAY ILKFNYDRVFVHDFEEKGQQFARIRLKADLQTIAPSLVAKNEMPQLLFAPSTNLVFLLFS DSAQVFSMQQMEQQADSLDVYQINDWRRRKQRGLTKEIDGLVFSVVGRDGLDVYKIVQKD LKFLKNLKFDTLGLNYSIDIADFAILKHGTKENLYSMFLLDRNCGLFLIDVFRDQSISFG LRSWISEQSGGISVDTRNGRNVFMAYQSLNHYYVLEFNVDLDNGNSFIIRIKQINHRVID LDATEDFVIVQGVNQHMILFANGYDYVMNHHQDQIFKHLGLRDFAFFNQSYKLDDMDDIT KKYYYDDFFFGITAQSAFLTRFQIDPIKLKCLYSQSDVGQTFTYTLQYNSTLDNIQDLVV RHTQIVNVEVVKTYFYEEEQYLIFFIVVLLGLILIVGLGCACQWYLNNKREYQILVEYVQ KSARDKGIKQEDQTSVFQQKNKSFLQ >CAK69262 pep:novel supercontig:GCA_000165425.1:CT868066:71323:72919:-1 gene:GSPATT00037701001 transcript:CAK69262 MQQQFEQSVRRLGDYQFDTKYLLGEGAFGKVYRGSKLTTNQEVAIKKIDSSMINKDQYLI DALNFEIQIMKQLDHPNIVKFIDKFNTDRSIYIVTEYCADGDLRNIMKGRRIPESEVNQI FSQLASGFKELVKANIIHRDLKPANIMNHRGVVKIADFGFAKIVDNFSGELLRTCVGSPL YMAPQILKREKYTTKSDIWSLGIIYYEMVFGMAPWSGVDEKSLTNNVMKQPLRFPGGTQL SEFGKNFLSRALEKEESKRMEWAELFSMFEQVKKNQETIQFNVNMSGSYILEQPQPQIQP QQLQQSDASFQRFQSQLNNYNLQRMQINFSHFVNVEMTQHISTITQHQKKEMQFELLCLL SAKFIANSFKLLQSNILSLFEQYKKTAEYIRFISQMQQESQLASELFNNTMLYFDRIGLL SNLRLSTEFSQFIEGGDLALNQLISVEKIIKERVISISKQVLQSAKENDIFCLLDYMMEM CLTRSKIMNGNTNIDFSLIYEKKQTSDYQGQLNFKLNELYSF >CAK69263 pep:novel supercontig:GCA_000165425.1:CT868066:73383:74870:-1 gene:GSPATT00037702001 transcript:CAK69263 MNKYTLNFKDKEIESSYQTAIQQSFRMFTFNIVTFGLLTVVVMKIVENILVEEYRFISRY CIFICYLLVQYGIGKKYKKSIRIAIILLNHMLTLIFSLQISEQEDSYNNYLKGVNVMGAN FLMFISGEFMDAAISAITMSVMRIILVRIQANYMQFSTIISSVLVIFCVIRYLYHYHKAM RNQYLFTLNDSHWEKILNSIAFKQPYLVLSFIEDTLQFTLKSEAQCQKFFKKQFDGSTFI RDSVYKGNKLEAFLFTQIQKYRIDRASVFNKTLVVEYLRKMIRIECSIYYGNKPTILLLM RDFLNEQKPDTSIYELRHKNFIRLLLKILGHFDKLSSFKCRLLERKLLILQLYEDLRHSK LRESEVSVYTLARILHNLYSHLSVKAFVNGKSNLNTISNIFLLIMLILAENSKGPCLSIT FTNEETSQKQLSISSNFEIEKVKRALKQISDYIQLISSCQQIEQFKISFNLNSQIYIPFQ LNEMNARSLKHIDLS >CAK69264 pep:novel supercontig:GCA_000165425.1:CT868066:75844:76854:1 gene:GSPATT00037703001 transcript:CAK69264 MAIRNSHYFKLQSNNLNQPTQFPLQLDNKNMRLRQPQQDVLDSEYRENLQNQQQQIKLRS ISTNEGEQITKGKSPQLPQVLLNYYHNLQLKQEYENEQIHSSSSKWNTEGSDQISQKPDQ NCKSYSRNQPKSLSDQIDIVLKQQRLQYKQIEKQNQYYQDILKKQQLELSCDEFRMPEIC TINTSQQIQRQRPTSNYLSTKNTSLNCTQSYFVQPYNPICYYCKSEIQAKPIKFICFHSY HYECLSEMVLYQIEQKQRRKPPQCLCGQDIKQQILLQLPQGQQFIRSLMQKQIKSIFEKY QENLNKCPNCEFRFIQDYRLGKQCFCPNCERTFKIQ >CAK69265 pep:novel supercontig:GCA_000165425.1:CT868066:76911:78542:1 gene:GSPATT00037704001 transcript:CAK69265 MVKRSKEQFFKKKMNTKFKAAQKGSKSGASTNPDRKLPEKQLNAKHQFFRSKQTIQRLNL YNEKPNKEEMWKQATQPARIDPNRKWFGNIRTIDQQQLDKLRQEMANRTHDPRSVLIKAK QLPLSLLVEAQKKNKNVPLLELESYEDTYGPKSKRKRIKLNVETMEGLADHAEQKEQTYV VEKDNKLNPIEAAQQENRDKRLTAGQSKRIWEELYKVIDSSDVLVCILDARDPMGTRSYH LENHIKKNCPHKHLVLLINKCDLIPTWLTSRWVQYLSKDYPTVAYHANVNKAFGKGPFIN LLRQFDKFHRDKQTISIGFVGYPNVGKSSVINSLKKRKVCKAAPVPGETRVWQYVALTKR IYLIDCPGVVYQHEGKDDVEVVLKGCVRAEKLEDPEYYIPALLLKARASDLKRIYDVDDW IDEHDFLKKVAVKKGKLAKGGEADTKATAKLILMDWQRGEIPFLTYPPDYVQKEAVEENI DVEVLEKQQIELNDQKLQEILKQN >CAK69266 pep:novel supercontig:GCA_000165425.1:CT868066:78697:80575:-1 gene:GSPATT00037705001 transcript:CAK69266 MNKSYFVGGPFCIKYGINMVSNPATIMYSTLFFLGYQVIINVLQNINYFAYVYLEGLRNR NLSEINILALREMSLQTYENLFYLGAEFHLNTSSRSNIYSLYKAFEGNRIKLKISGTIFP SSFSRHFVNNNHTLFQFWLAILTSFVTTFAAYTLYRIHNSVLKCMIVGVIQLVQKKIISQ RKKQEIIKEGSHDQLIKNHRLTMCIGKSIISNENSIIFMHYTKLYKNQKNKLYYFMIPNF EHSLHLKENTQNFPQSEQCQSHHNFSLNNDNMRTYSVDNLKLDFDRWNTQTQTEAAKQEP NPANPLIQNQNLSAKLMLIISYKLIRIVQISFELQVNEPELIDFNKVIKFNSEETQKEDQ QLQLQYERNYESHNSDLIVVPTPSQRNKLKAVGNMFLGIWRLQQNVVEPIKSTEQFYDNF GSTQRHFNSNYKQIHPAKDSEDLRLMDIFNQFKLVCLFTQHSMSGSSEDIQHMIKILQRD PKRNLTNPQDPNHIINQLNQYGQNALYIASKNGNVEVIKFLLSLECNPHIRSRIVEDLTE SPLEVSSRWHHLECVRLLLNAGKYTNAELRSAIKVTENQEIINLLKLNMTSSLFSCCL >CAK69267 pep:novel supercontig:GCA_000165425.1:CT868066:80869:81292:-1 gene:GSPATT00037706001 transcript:CAK69267 MDTSFAGFTFGADELGINGSFSAQKHPRISKCSPFYRMNKPQTEQKPRLRLHSPNKENEL MQIRKPVRVTTSPHSQHHQMSYKEICAHLIQLFKSQTRLYEQLMIADNVNIRNQLQKVQL KHDYYCKLYNQQ >CAK69268 pep:novel supercontig:GCA_000165425.1:CT868066:82180:82905:-1 gene:GSPATT00037707001 transcript:CAK69268 MNKAVRVRYSSLDESRTNTKRYQTVYTVTDTIKTQVNNLKFNHHPLSYYISRICKNVKEM RRYRTDAYIKNQLTKIYAQTIHLKEIHDVFKVPIQYINEDLILSRCTLQLISQMPHQYAL RPQQQLTHFLQWMAYFDDQVYLEMQRIHEGYLFQIQRNIQEQYTINVIKQQQIKHQIHPR KRDMYNYVNRLITKPSFRTMSRISVLENYETKFGEFQLITPYARSYAKQLDTISQNLNTI E >CAK69269 pep:novel supercontig:GCA_000165425.1:CT868066:83180:83907:-1 gene:GSPATT00037708001 transcript:CAK69269 MFTGTISVIYGPMFSGKTSELMRLVKRFTISERKCVVLNYANDNRYSDDQCISSHDKQYL KAIKVTKLFDAFEKCKDHDVVAIDEGQFFCDIVEFSEAMANLGKIVIVAALDGTFDRKPF RNILSLIPLAERITKLTAVCWFCKKENASFTKRTVQSQEIELIGGEDCYKPACRACFNLT ENKFNKHTLPQIPIFSSDERLFGQQNLIC >CAK69270 pep:novel supercontig:GCA_000165425.1:CT868066:84207:85407:1 gene:GSPATT00037709001 transcript:CAK69270 MQQKIIQRLHGQAQNYAWGMLSSNPDCLVYKLTQKTSNEARPFAEFWMGSHPSLPSLYEN GEKVNLDLPYLFKVLSVDQPLSIQIHPNKEQAKILHATKPNLYPDDNHKPEMAIALTNFE ALVGFADKQTIIHNIDRNPQIIQKIGENLWNQFLAAQNQQAQDILRQIVAIFLSLTQDEV KQILDDFQWPIKCNPSLDPGSKIEKYDVGYLFLLLMNHLILQPGQAVVLEAGLIHAYLKG NIIETMANSDNVVRCGFTPKQKDVETMKEILICEMKQPNYVEPIVEESNEYTFKQYLTKY QEFACDCLELKPQEKSKGFKLKQHSILLCLQGLGKLINEEGQYDLGFGQTYLINKEIEVH FQVDQHLQLYVCYSE >CAK69271 pep:novel supercontig:GCA_000165425.1:CT868066:85450:86547:1 gene:GSPATT00037710001 transcript:CAK69271 MIYLVLILLRVSDGLDLGEIPCNQFNNEGSCKQSGYCQWSGQCYGQHCHLIDEVAACRNA GIMTLYCVAVPYMPLQYAQTCYENTSSGLKTYYSRFVSDLTIDVIQQTSILFSDLINGER SITNMLRLYNLDVLSRQNDELNQILDLYISFAELLITQYSHPYYLERIMYESIQNIRDDT QLNISKKRNTMIKILEILDIYYLRLKTFSEFYQTQYNFINFNQVHLKYLQLQYLGQATIT WTEYESNGMLQVTVLYAEIFGKQSPMTPIYIIRTSNNINLQYKLKCYFNTFRPVALKQIN LITMKMYETFVQPQCSSGYCEVDLQGAGNYLFVDMSKVDQCNAIQSITTCVLANCVVDLQ SRTCH >CAK69272 pep:novel supercontig:GCA_000165425.1:CT868066:86705:88458:1 gene:GSPATT00037711001 transcript:CAK69272 MGVCTSQSQPKKVKEHKHQSVTLPPQQPLTTREQKTVTMQCQEQTSIQRITTPVRRVSPN QATTPAKKASPNQATTPVQRVSPSLHSTPKTMIVQSTQQQEKARNGSLLNIPMQRVSTRP GSKSIQSPASRKYSIVSGQSHHNNQSKTVMQHNETGQLVLVEMLKFENRNQQYIDMLDEL LLNHMHIIKIVDIHVDSHKRSYQVVYEHCQGGTLSKFLESNKLEYQTIGSIFYQMVEALA YVHQLGYSHDELTIDSFSVFDDSSTPFIKLSDIRSIYQFMYPKNSLLYEPPNSPNHHHHN RDTGKLQSIQTKNRSQSNDIWALAIIALQLLNQQFPFEIEEISKFKPEITYAKQALDVYP LLFEMLHHNPSERLTLDKCLLHPYLLNMKQIQAKDYLQPFTNITKCKNMTYLHKCLFRYL LSIYATDHLKVLTKLFTNADLDKDGKLNEQELNLLFNEKPEEYSLNISDFVDITLDDFLL LAADKQFVLTQDCLMQSFKVLSKSYGYITPKLICKVIADCDEARLQQDFDTFNLNYAFQL KEYEEFLANYQSPKSIV >CAK69273 pep:novel supercontig:GCA_000165425.1:CT868066:88985:90466:1 gene:GSPATT00037712001 transcript:CAK69273 MNSLFLYFKDPRVEQSYQISNQHSKRLSTFISLSFGFIIAFVVKVIQSSHEKNNYGFILN LSMLIYLIVQLIFVWKNNQYLRLGIILLNHSVTIFFIAFEDRSGVDNQMAMLQGVNQMAA TYLLVLNGEYVDGMITIITLQIFRLLWGVFKSDGMQYSALVTSTLLILYINYFNYQYNKA KRSQYLLTLADTRWEDILQKLLLQQSYLILQFSEENLHFSVKQLRNCEKLFKTEPEAYQF LKQATHQNKIMQNVIYGFIRQFQENNQQTFNNTFLINFLKRTIRAEISIYQGDKPTILLL FKEFFYERRINTKMIQVQYESTIKLMVKILNRINQYQFCKNKYLSIQRKLIFVHLIQNIN KDIKLKKIQLNNFLNNAIKLHNNVKVSVQYQGNPSVETCVNILQIIILQIFQNILSQNLI IRTSQTKNQKIRCQFLGYFNIQKILRFKDQLETPFQLLFESYDLNQETLQFIFPHSIDIP FNHC >CAK69274 pep:novel supercontig:GCA_000165425.1:CT868066:90489:91252:-1 gene:GSPATT00037713001 transcript:CAK69274 MIFFIGFQNLEDEFQKGGQDKKRYCQCLYQNHKLQNKIIEEEERLLNCRQNNNRLSFQGD VKLLMLYCYILHMRYVKQQYNYNCNLFREQLMKNYESSSCSPFKSFPTLQQQNGFNNKFN KQGNPFQQKIDEKYFIKQNIQHQVPNNYFNFPNGFQKIPSNDHQPFRAKQFNNVIQNKPN QPPQISRQFQDQIEVQKEKHLEEFKKLKEVLKVRNSQRLKRQQERLNSVLANDCYQ >CAK69275 pep:novel supercontig:GCA_000165425.1:CT868066:92044:92464:1 gene:GSPATT00037714001 transcript:CAK69275 MNSTSNQLRKTLLAIWKLLLRILEREQHKRSRYYGIHFVNLLFKLKIEQHNTYSWLDGRL GSAQVIGKLIVRMVRDSINGRMGSYNGEFCSRYKTWIWSLCMGREKKIRMILGEWQTAWD GKMKR >CAK69276 pep:novel supercontig:GCA_000165425.1:CT868066:92591:93275:-1 gene:GSPATT00037715001 transcript:CAK69276 MSFPINNQDKESLARSIWPKRKPLKSKWQSKFYLKQLIDHYIHILQSIVRIIDVYDQGTR VYVVQEFCNSGTLFDFMKKKPQYMGDTLQKKVDEALQTLTMDNFDKFFTQNYIKLELQEM RAEYFLNYSYFSIRDDQLQSKFDAIFMDQQKKAKVEYNSKIKQLLNFRDKNYNSDEQWLI YVAEKLKDYTENEFVLYIKEDLAQFKTWS >CAK69277 pep:novel supercontig:GCA_000165425.1:CT868066:93332:94290:-1 gene:GSPATT00037716001 transcript:CAK69277 MDYDGEASQFPDVSRFSFNPNGVLHPKPVYSQCSFTGVKKKSFSLDGSKNKGLRNLSYKV KKIIESESQTTYRFVADKLVSEDGEQKKEEQNVKRRVYDALNVLIAAGVITKKKKFLFAT PETFKSGKYYIILIGCHNKDKWQKIKEDQQMRTKKRQVLSHKKQFLINLVKKMLCIRHLI TKNNNNKSLPNSQNAAETFLKDEKVDPSQVLCSQQSVQSGVLLNSYVQPQIVFQLPLLAF WSKPEDLRIVIKTQKEVTLTTKKSCSFLTEIELLQDAQHQAMVSKVFDDKAFVNFYLNLT KN >CAK69278 pep:novel supercontig:GCA_000165425.1:CT868066:94386:95455:-1 gene:GSPATT00037717001 transcript:CAK69278 MGNSQQRHYDNQLNCLVGINVIIVIKICQIELSNILNKSFTLCILDYKQKCNNQLNSYHN IRFLQIHNFNHFQYMYQNDYQIVLNKLWFQSIFYSSFLFIIKQSEKSMRNRIIITSKIDD LISNLKKTEETQPPPYFRKLSLNPDTSVESQIMSHMKIRLDDDTLQTKFVQDKTRSLANK IKQLQNQKKLKKAQMPQEYVSFINSIQENLIQQQETKINKILKRQQQYEEQNRSFYQFRI RALERQQQQIEQKHTELPKEFKSFYQPIEEASASFNNMDQRIRQQLFNRGKRKFFSFWNN NANTPNNYGNTPSNGPEMIETQSQSFFQKQKKRVSIQNAPTIKINQVG >CAK69279 pep:novel supercontig:GCA_000165425.1:CT868066:95476:97276:-1 gene:GSPATT00037718001 transcript:CAK69279 MHKQLELNVLENSKKFKIKTERSTQIASPNNKDIDGQEDIVKKLSDSHKLQQKEIDKLQV TIENKEDQIRKLSDLVKLQQKEIDRLTHINEYLVQANQAKLSILRDERQLNQGSKPNQKF KSHKSLLPIDKKSNKISEDGNTQSVKLFRRQTFRNPTLVRFHQEDVDAFKSDRNASGASN FYDILQQEDCFRTNAILNIQLSDEDATTQYNVDGTVSLMKALLESEENFCEIIQSISAQK LSFLYDKFKRVMSDHQQLFILVLRLKKIVTGALQMNSSVLLDDALQTIIDQCVDCLECDR ASCFIVDQAKKELWTRVAKGTQTTIRLQIGQGLAGFVAQNRIILNIEDAYRDQRFNTQQD VKNNYKTKTVLVSPILDGDKCMGVLQCVNKSNGYFTKDDEALLQIMGEFSKSVLKNAMNH DAQMLIQNKLRHIIKTGIVLQSKQHNLIELILSSEERLRSLMNVDLAKVVYYNQVLSHID REGKLSQTDTLLGIMGLCIKDQQLMAVSNCYTSPIFNPNIDIETNMPIICMPLKTQNHQI IGAIQVINVKGIGNISSNSEAKINSIDLDMLELFCQQCSQCLQQCKQSTQQ >CAK69280 pep:novel supercontig:GCA_000165425.1:CT868066:97340:98153:-1 gene:GSPATT00037719001 transcript:CAK69280 MYYQLAQLSFSGLLLLIQIVFLYFIRRRNQSSSQVFQEVQQVKESEGHTQNGQQMRASIM INTKNRKSVQSGKHNSLKLDGIEELPPMTLGNNQTHQYTEGAAKDQKLQMHKIESPNSST ILQVHAQKVKSQTENPESHRDPFDQLIGDSGPKQRKIDLMIQLTYYIERIGFEQGFLLLL WKFDLNFYIFIPLSLFSGVLLRMQEKLGYRMIQMMVSILIHGLVVLEMYLLTTDEYETIC YLIVLGIETKFSVISLILHKKCQ >CAK69281 pep:novel supercontig:GCA_000165425.1:CT868066:98975:99833:1 gene:GSPATT00037720001 transcript:CAK69281 MKKQYSENLQDYCVFFKDKELPAMQKTDKFMKALIMDSIKDQDHPLNQLIEKKQTFVPFI NERDSMGRLISSETYHRKRKSDASSLFNSPMNSQVFLSKNSISNTCSLCEIQQPAAKLKL KNLLGNTHENTELYQSTLLATQCEFKQSFQFNSKRNQLNFRTHKKNNSLQNKLPPFINQQ KAKEFKLFRQPNTPQATRFYRSNFVIEMFNQNKIAENKLEYIFLIERIQKLQTELIKNLS ANERRRTFVKLDGNRRIKLSLLLLLSRPHIQYQMLQ >CAK69282 pep:novel supercontig:GCA_000165425.1:CT868066:100207:102266:1 gene:GSPATT00037721001 transcript:CAK69282 MFFNFARFFTQQQQLDTLLTNPDCDLESILSADGILQEIKGLGATKFADHVLKHPEMYQK MINYIIQFEDELIDKKTQIQFPFLTSEILGSQNQKLIDYLFECPEEEIQFENQQIRQGLY GQLLSFLENDILNVTSAGYFAKAFIAIIKKRGFDVWATIIKNKAILSNLIKHIDIKHIAE IIEKLIILDTSQENYEEKFFEERKELLERIIKLLQHKSYNTEIVDNVCDILIEITSKSMS SLYYNNGEIIPFIDIIKKPDLFLNIALQTQRIGPYQLLINLVEMQSKETKKEDDDEVVHN LEKDYSIFESILSELPPNLLNQDYHTSNFVNSNRHSIQPFGLARMHLLKLIQVLVQTNDL KIIKTLINCRLIGALQTIILKYESNNQIHACCDKILRAIIDLNQDDFTQDVFENNDLLNY IVQNHTVENIKKGFIGFMTQISNYLEEKAKQNNKIQKFLRTNEQWQQFTENILNNVNSKE KPYLCNANPRPSQEPDVLDEQETDNILNILRKFNNNFQKSDKHVGNNENGEGVQRNTEVL NEFEQDQQEDNLEEEGVQETQGQQDQYNDDDQNDEDDKVKQEYNESNFWKLDVNEKLAQE LLDNFE >CAK69283 pep:novel supercontig:GCA_000165425.1:CT868066:102288:104008:-1 gene:GSPATT00037722001 transcript:CAK69283 MKPRSRGQNQTGMPIRPISDVQIGNYVVEKQKLIGRGQYGIVYQCYDSRNKERLLCAKCV DKKGVDPILLKREIEILNLLKPLQRENENLVELVEIIEETQYQNLYIIMEKCNQGDLKCL MDVKQKGSTWFTVNEGVEIIKQVVKGYEALFKMKVIHRDIKPANILISKDVYKIADLGMG RILDDMVTVHLISKVGTPAYAAPQLFLEPKFSSKADVYSLGVIFYQLIYCDLPIKAQSQS ELVENLRNLQFSPIKCPAVSASKENVPENIRTLIELMLKYEEQDRASWMDLFASPIFSLN ITQPKRSNPRKNTLTNQNLSSYQEYYRVDSISGPSKSDKILHLIKILITKSDLAAKTYKL LQDSKFEKTSIKFDNDQMAALLVCLSGYRYRTILNALGLLINQQTSILPEFKKRFSQGEL ADFSQNQTDNTKLLKEYITKILNSIILQFREDECVFLSNLKRPDNQEFKSLKTILEEEEN PDFTLFSKSFRKIYMGAIQYINWNKNDQKNKDLVTYFDKIGSSDLQFDIDKLYSIKPIEI LNKSQKITS >CAK69284 pep:novel supercontig:GCA_000165425.1:CT868066:104114:105245:-1 gene:GSPATT00037723001 transcript:CAK69284 MSNSKLQQNWEKLQKLCQPGPRSSQKRSSSKDQRSIPNLQSSRQLQRRASQQDLVYKVVE CSTQDIESKNSKQQLDQLFSGLNGLLGYELIMKKGKLDELLKKLKFFLYCDSLEQKSLCS KLGDYIINPKLCNYSDVNLDNLIVAPQEEQASKEMCELLIQELQLQISKRKQIEWNYQAL KEKRKQESQEQQDEIAGLKQAVALLEQQNQTIQYKIRNDNLYQQYEELKRFNKSLSHKND DLYFQNLSLLEKLQSVYKQVDVFQTEQLLFPYMFQKEKYDEALQSIKKIVDDIINLDIQR PQQFIDVDYKDKVTNMTPRPNYEHLISKYNTKSIKYIRQLQSTNDIISYLIQQLYQYT >CAK69285 pep:novel supercontig:GCA_000165425.1:CT868066:106740:107432:-1 gene:GSPATT00037724001 transcript:CAK69285 MTRDLNTYDWSELGYQITAWAVFHCFIIFYEFDYLFKGKSYTEKRESRYKYFDIIQSIIG MIYSLQNLDSGLEVFLLFFFLSNLSLSVVELIKQRCSNEIKSDYFEEGGSKEFCGGLFMG SLTVGIYLIEIMKKYKQELQDQDELKFYLILTIVGEFILVGLSFCLIFNPKFTQARKCSK QLLGFAYGFNFGFFSIFYGFILGLFVFISLIILCYRSGKSISTRVHSINE >CAK69286 pep:novel supercontig:GCA_000165425.1:CT868066:108369:108920:1 gene:GSPATT00037725001 transcript:CAK69286 MLNNDDFYYSSEIRDDNTSTQSAYSCCIAFLCMLCGYVLLQTNYYIEGKSNPYYYQCTMT LPLTYFCGVAFLIAAAVRLVFLVVFCLQSEKQQPHSSLFHKKVKHSEVMIAMAFFFFGSF ILMVKEQCYELTVALLFVLILTAFMILQICCYDIDDDEVICEEILDY >CAK69287 pep:novel supercontig:GCA_000165425.1:CT868066:108944:112687:1 gene:GSPATT00037726001 transcript:CAK69287 MKQEDIQSPQLCENIQHRPHNPRRSAIFKQQNAISLTKLDTRLQEKNDMLVAQIQKIVKH MPSDDKQLKAERHIYDAYYLRTIRNDIDLADKVILKCLWIIENSRIWSGYLLTLTILYQI LAFFEKPYPESDFYEAPDVRNVELFILIFLGIDFLITLVLLATKKNDGGFQFNTKRMMKM LFFLVCLTDYINSKIDDSQIRFSRLIRPALMIFFSKDLRRNLKGIAKASKDLLLLFLLYV IIISTFAFIGINLIGQLDTVDLETQDYGDFFKLFNMLFMAATLDFYPDIMIPPIFQGTFY ALYFVIYIILFLFLFQPIPLAVVYEGFRRHRMQIAIQDIIKQKSAMMASFISLDSNDAGF LTENQFKKFLKTFYRGQLTDDQVKIIFSEIDKDFNDKIQFDEFNQLLYVLQNSKKISLPR AKPLKCWESLRNFLNKYGLKKFIESNTFGFFMFLVTIVNCCLIISAFFIENLEVLAIFDT IDTVFLGIFIFECLIKIIGLGIYDFFVDGWNVFDITLILLQILFDYILFSFVTGNIVQSI KANRILRIAKIQKVFRLFRAFRSIKLVGYLLRGLEIFAHVKNLLYKIIICVPLILRLILP VQIVFFTYACIGIYIYGGITTDDDNPFSNNSCDPNEFRFLWGQCKYADFNSMGGSYLMML QVFTASSWGQLVFELAFDTKNLVTPMIFVGSFVFLSIFLLALIGGLVWEVFTVVSKTLFE QEMEQFKPEERVALQLNFQDEALLGSSMGTEYDIRNGTQLSNAIQLQKKTAILNDDNPDI LEFRPRSVGMRAHQDEKQEIPIELDFQIETQIYNKVLRVGINPNQVIRKLKPQGDLAQII EDELLEIQKLYCDYFIDYQEFLEMKFIKESHNIYNVTTEYVVHIRNEIKKDEMFKRKHVN ISNHDLLIQNAVLRDTSEMYIKQQEEQYFKTEYGQKFELIKELKFQSKFKVESKILFSLM GILKFPKPNIKYFFQILYLIENYFTYQLLPDCSFFKLLHQMDGKWYLISIEDNQIVFTKI GAGPWTYDSLLFDQGQMRICENLKFMKEVKNPEVKMHIREFHTSMNKMAKQFELDITKID VRSTIVLYQIKNERYVLPDSTTPRMNGQKPSISPRYLTMSARNAQISQRNVDVNSINEEE NPEGIFQMGENVDECHRHVSQTMIFVLSKVQAQESRIVYQNQVMLAQFVLDLAGVIKNYS DNFFQQLEELYSLRSKQRGIKQK >CAK69288 pep:novel supercontig:GCA_000165425.1:CT868066:113351:113811:1 gene:GSPATT00037727001 transcript:CAK69288 MGENLEQYRQKKGNLNQILPIGLEIVKILKELYSLGVMHRDIKPENFVMQEGMINLIDYG LLKISDYGHHIYYKQNKGMIGTARFESTNSLKNIEQSRRDDLESLGYMLIYLHQAICLCI LSVIRIKISDIKKSINFWSAYSTV >CAK69289 pep:novel supercontig:GCA_000165425.1:CT868066:114119:114621:-1 gene:GSPATT00037728001 transcript:CAK69289 MQTSLTYSLGLKNDSNYQFLTKHTLAKRKELSDKYNNGQQVAVICEPHRLYRQAWAGAGP QLPTLVCVFSKKDPVSSIFQTLKAKLKINQETTLYLLCNNYILQYEQSIGQVYDKYKNHD DGLLYIKYSSQETFGN >CAK69290 pep:novel supercontig:GCA_000165425.1:CT868066:114711:117137:-1 gene:GSPATT00037729001 transcript:CAK69290 MIKSRIKFHSMLGVQSISTFPSQFGFPCCSQYKLSAQLVLIARFNKFDLSSYFILIYYSV FQLLHFGTQSIWFLLCLIEINQTEKQKIHLFNKILQVTTSIIVYSLSYRGNTEYSEFQSI IYCLAFLFYQLLKDVGQFSKFEVAENEILQELKKQIIIKIFDQNYQDITPNNSPQLESNP YVLMTQNTQMDDNQQFYIKCYSDKDFAGSPDQNQNNNKQIVFQDCISLLKFINNQDSFVS SEQNHFIASSIDMQKNTKQKFRVMHKRVSLGNTQILAFWKIDSFTVKQTKQKFSQFKKQF TDLFAHKLKTPLNATLGFLSSAYNSADVDSNTKSHFIKPAYINSKLQYFQISDLLEFLSP QIDKITIQQTKINMRTFLLSLTELIESQCINKRILFQVFVEQVPLQQIDNFYINSDDLKL ERVLYNILNQSYRHTPSGGQITLSLSINKENTEMDFVIDGSGCGFSDEDIENINMWIKSQ SDFNFKKKHLVIKKELRMSLEITNNLINILSSQEQNLEVAQSEMGTEFKFKINIKENKAT ESLQEIQSVGLMLKQRSVRNMKSSQNNNGMAKSIFSSPDPQLQLNSQTVLDEPRAKQPLT NKVKFGKWQKQHKELKRARSSLLNKNLMDTDKSILIVDDEPFNHDTLILMMKSMGYKYFL KAFNGQQAIDMVQQNEFDICLILMDLDMPIMGGIEATKILVQMMIDLELAYIPIIGCTAH DDKETLEQCTEVGMLHVVLKPVFVKTLREAFQYIVFSEEVKKRSQYLLPSQLQI >CAK69291 pep:novel supercontig:GCA_000165425.1:CT868066:117349:119937:-1 gene:GSPATT00037730001 transcript:CAK69291 MNRTSVKNQNETMFFLSMINLIFGIIKQEMFTMISNSILFALMLCYFGYKYKSHRKHPIF EQVILIYYCCYQLVLRGVPAIWFNIFSIALDQHLGLFVTLVLIIAFTCAQVQPMVEISTL QAVLEQLILIVLFLYNRYNFTSLKQQTQDRILKQIMKNVRYYAFDQYQNEIDGDQLLQSD QILNSNATLFSCRSENQKLQQQIRKDLKIKCYTILQIDIVQESQILSSKPSVFETLAGFL EFYQKEKHKMKNQVILATEFDEVTGLKQSYRLYIEQFKQLQRLYTLVALVNLDDKIPSKQ NKNIDKFKMSLSKIFTHKLKTPLNTTLGFLQAIVQENNTIDEQVKNSFLKPAFINSKIQY YYVQDILDYVNSAKLVTYKVSKVNLHKTLQLIYDMIELQCRAKQIKIIFTINSKPFDANE KPIYLTTDSLKLERILFNLLNKSYRHTQIMGKIQLNVDVLSEENQIQFKINDNVQGFNQE QFEQINNFARLQNKCIHGFGNSIMKRQTFKFSLTLQITNRLIYFLSDFQCSLQINHDLEE GAIYQFFISLNCQQVNFHQSFEISQKYSSFQKRSSGSLKQHIPNHISLFTKSQNQISDKV LEEYEIEDEPLANKPLQLSTQMAQNSPQSALFQSHPIQQSVFPLKKSVFQKEINDKSLTI SVAFDHSFNVKRVADSIDIRQDMNQTIMLVDDEPFNHDTLKLMLKSLGFKNFISAYHGQQ CIELVKKHYRSIKIIFMDLDMPIMGGATQILMQQMQDGEIDYIPIVACTAHDDKDTQQEC FMAGMLCIIAKPVFIRSLQETFLRINEIKVKSQNLIFGSKGITSSIK >CAK69292 pep:novel supercontig:GCA_000165425.1:CT868066:120142:120615:1 gene:GSPATT00037731001 transcript:CAK69292 MQQVEPIIAKLRISELDEYEPLKKYFPNPVLKLSHVAFGSLILSILCTFTGIAPRVVTGL VGALIPSLQAINKYQTTGEIYTCLPYFIIFSTYLTFQGWIMWIFSFIPCFDTMCLLFVLA LYHPDVQLSNKIWALLQKVV >CAK69293 pep:novel supercontig:GCA_000165425.1:CT868066:120657:122478:1 gene:GSPATT00037732001 transcript:CAK69293 MDNTIEEMMEDKSMSFKFLLAVQQHQYEEAYSIGKEILKINPSDRSVNRFIHTHKFYVDG LFDFEEEEDDEEEFECDDEDAEEDELENGEEEAEEEEEYEEYEEGEQIEQQEDSEYEWVY EDEEVPEGFDEGDNTDEDKNFVQEDTNEGDISLSMDKKIYEKKQIQQQQQQQQQQPILQS TKRVVQQVKPKSSISNGVPTLPKIQNKQRPTSQSKQQIQKPQPLQQNLVKQAAVNQLRFP SSMAKKKIIMGSYQSILIQFGINSLFHLPETQKLNNISKQFIMSDKRDEHTYMARLAEQT ERWEDMVENMKKVAEITKDLNNEERNLLSIAYKNTVGQRRTAWRAITAYEIKEKQKIVKY QEVLQYYKARVEKELDQYCNEVLQLIDHTLLKKTTNTEARVFYHKMKGDYNRYIAEYSQQ KAQEKAIQQAQQAYQTALELIDKDKFPKTNLLRLGVTLNYSVFCYEIMSDVAKACELAKT VKDDAIKELDDMEEDQYKDVTTILQLINDNLTNWQAELPDEGGKK >CAK69294 pep:novel supercontig:GCA_000165425.1:CT868066:122487:125758:-1 gene:GSPATT00037733001 transcript:CAK69294 MNFQEQLQQIIQGIFSQNNQSRKQGEDRLAQLREAQPNEFVMQMLNLCRHEELKIRQFAP VYLRYSLSKFAPKSHKNVWNQLISETKETVKLHLFQFIEVEMSHIVRNQLCDTIGEIGGS LYEDDSHNEWHNLLPTLWQMFLSPNNDIIECGFKILGNLFMYSIDQFDKHYQDLHTLFVQ GLASPQIKIKSSTMHALGNYVKYALPAQYKIFQDLISNMMKSALDITIQDQSLGEGIMEV FSNIVDSKPKFLRKQFNIFFNGIYCMFRESQIDNGVKRIGTETLLSMVEKFPGLFKFEKI YLMQVVEMIFYHMIQISSTITDEWMKPPEGFNDDIEQDEDCETTRFGMSSIDRLIESLGR KEMLPLLNPIVSELLRHQDWRCKHAAIMALSQVGEYIDQVTDIKSTIELILPMLNDSNSM IRYAVCHAIGQIADDMKPKFQESYLHIVVPQFLNRLTLEDVPRVNSHILAALTNFVEGTE KGIEAYLPNLIQLSIKFLNIGISIEKENAISVIAATAESSKLFFIPYVNELLPLLFQIFS THQTKQYRQLKGQAIETITLIASAVGEQVFLPFLQQTVQILIQVQTSNLEAIDPQKSYVL SGWQRLALVCPQQLAKYLGEIVPSLFKLIQQVFNINTTESNKKKELLTYDNEEAEVAIHM LSVFIEELKQSFFPFVEKCIELIVPLSQFNSDETIRSAACKCLVSLVKVVKETNNSQQLM NGAKYFLGIILEAAFKESDPSVIIEQIDCIKQIIDIVSSPFMTTEEVSELSDKLFKLLLE SDKRRAQNENLAKEEDVDEDEKNAIKEQTETEENLHGKIAECIGSLFESHKELVLPLSEV ICNQILQKVLDQPKFVKMHQFGLSLLDYIVEYFGFPYIQKHFIDFAQVLTIYAVDPICSV RQAAVYGIGVMATNTPQELYLQVSQSLIKAVVDSLKAQKNEDENEKQFGLARDHSISALG KILKSQPQSLGQDLVWGFETWLYLLPLQYDKRQAHFQHNLLAEFIIQKGGYFVNGKSENA FHILKVLANCYKSKWSTISLDSQIVNALRVFEQLDSVKVFLQQIFLKLSPEDQKKLLEIP K >CAK69295 pep:novel supercontig:GCA_000165425.1:CT868066:125779:126526:-1 gene:GSPATT00037734001 transcript:CAK69295 MTDFALTTFSSKGKLLQIEYALNAVAKGDTAIGIKAKNGVVLVVEKKQSSILVEESSVQK VSLLTDNIAATYAGLGPDFRVLSQQARKLVKKYDLKYQEEIFVQTLSRELAEDVQVATQR GGIRPFGVSILIAGYDEEGPHLVQLDPSGAYYSWKATAIGKQAKNAKAFLEKRYNADMEI EDAINTALLTLKEGFEGQITPTNIEVGVIRDDHVFRILPPSQVKDYLEELE >CAK69296 pep:novel supercontig:GCA_000165425.1:CT868066:126680:127081:-1 gene:GSPATT00037735001 transcript:CAK69296 MQVSKKGQQQSFAEYVKQITSQSVSRLQQTSTKQFRSTNLPQLLSDMDRQPYMMSTLDQA KFPKVVKMGKTSYLNYSSSEQKNFEEMASKFVRQLEQDTIRIDKETPRINLMRFQFNIQK SRRHLQRRSPMPC >CAK69297 pep:novel supercontig:GCA_000165425.1:CT868066:127095:130098:1 gene:GSPATT00037736001 transcript:CAK69297 MNCYKKEITKNWLYQEDKYIENIVGAFEVIKLHEERSIQVGNARQKITEQLQKYIDEDPE DLPPHQATQHVLSLDEQLLDYPCYKKGGIFGSVTQRQCQLQKTTLGQSKKGNVITKTFGV IKTFNIQHVEDATKDFKWDVSYQKFLVRLIFNFVKHGPVWIYFKDDEECQKFYDYFKCHM AMDKIQKLPTIIQESMSLVKENYLLQFAQRLETYSIHVIQQRKKAAKLGKEEAKFLMEID VKIIRNILQKKWLNKSVQFFKREERMNQLADEERKKLEQIRQEDENKKMNWRQFLGSKSV LNVELAEWLRPMMADWLEKSEEIQNTKKVRELVFTILIKSINIAVDINLIKPTIFVELQS VEQDSKLTMEAYLGQQKTDHFYNWLLREQNFENKIISKQQDRKPCSFIISNINNQDVFQI QFKDMVNAFYDTATIPFKIIVKELLQQSQQGRSMWIPLKLVQTNSLKTESKEGIIYVEID IHVKPSIMMHNPQSYEEELEYIVEHSLAFSDPIYLSTIQIPKMNKDIMKFILITLEESNI CMKQSMQMLSYILFLQQKNPETLNKQMHQLQMVFIRKVILNLCHNFGLMEQQIKQYELVS YRLESMNFYNIKNPQVQELVNGLFLMGIPYYLRNKLWFQLLQISFEPMKTILSQNYKVSL DREDSMNESVSLYFKLSQLKQNLKKTLLQQIDLYLRQEDSRKGFGNEQFYYQLKNLLICF LLYQGNQGLIPNHMYIHHLFTFAKKMLAMQIYYHVRYNDYTEFANETKQTPSEFINESRA FWLLVGFHNQVLADYPLYEDQTLQNRLFLEQCAKLRVYLNKKHPDLFHKMFLYGIEVENE LFELFFNLYSDVLPSETLYRAWDLIIYQQQQSIKATSERGNIIISITAALLLRVHKKINN ISNHLAFLAAIKVEAMLINDVTEFFTEVLQVQKDLNLAVQIEYQEEGPPKLEPQVEYKIK SDYRIFDVLDEKYPKGSQQMQVYQYLNFIDF >CAK69298 pep:novel supercontig:GCA_000165425.1:CT868066:130360:133001:-1 gene:GSPATT00037737001 transcript:CAK69298 MRKQAEFELYKRLKLLGEGSFGKAYLVEFIQDKSLWVTKYMDLAAMSTQEREETLREAKI LEFLQHPNIVRFKEVYRTKKGRLCIVMEYADGGDLAQKVKEAKGKYLPEAQILDWFTQIC LAIKHVHDRKIIHRDLKCQNIFLTKSGQVKLGDFGIARILKKTFEKAKTMVGTPYYISPE IIEGKPYTFMTDIWSLGVILYELCALQPPFKAESLHFLALNIVKGQYKPIPNHYSKELRQ LVATLLQVDYRRRPTIQDILKMPVIINRIKSFLSETIQRQEFSHTVLHNRNFEIKGNLDQ INLILNEKPCPPQLLEQNQKNLQEFPEIVKKPQPQLQDPSAIIKPPQLSKQPSSRQQEPP SSRQNQQQEVPKITELPKPISWEVPKQIEIPKQIEIPKKLEPIIKNSPVIQKKDSQKEII TDEKKQSPLLQQQARPKSDQDKAQQKSEPNRFDGQQQKKHSHSEQALNEQKVEQFISPKV PQKPSEQPRPPTAPKDKIPPPNSQRPQSSRQQVVDQKKPQKIIIEKKRPTSVDKSPPVKY QDEQGQGYNNQFRQEERIRQLKMKQQQQGKIDMEKKEKEREREKEKERELIEKQKMLEKQ QLQDKQRIQKDKALENNQYQNQYQVEAKPQEKSPQFGGKQQRDSRVQIKPKNDLLQCVQQ KNKDLDLMLQELQGLIGGDNNLIEKIPHQNGKENIYDYNALPVTQIEDREDDEDESCDKN ANKQKQVVKPISEVEEECFELLNKQDQSKCNIILIKIEKFLGQEKMKKTIDILRKALGKM SLDLIEQNYGPNYEKLLPFLTLEERKKCTPLLITHIIAEC >CAK69299 pep:novel supercontig:GCA_000165425.1:CT868066:133038:133895:-1 gene:GSPATT00037738001 transcript:CAK69299 MKNISKVQSLEQLKKNSVKSSSLQKRFSQRERGWNNRIIACEQILGVVQRDNSNKKFLPS INGGTASIVQKYIQMHKNQQDLENLIECEQKIFTTKLEQVRQVHKLYNVPKIHQNVFNQV LASSDDKLAILEEELKLMDQKLSPILHCMFTITARENCLTQIQTLLKQPSFKDQEKLKEL INDFRILSLNTLESIVKWHQYIEHKNLNVPFCLDDNTVYSQKFYSDYEMLRPLLIKFFDV SEKQSAQIECKSEKSRS >CAK69300 pep:novel supercontig:GCA_000165425.1:CT868066:134185:135634:1 gene:GSPATT00037739001 transcript:CAK69300 MKESKPIIDQKLGATRSKKVKKNESDEDSDYVNTSTKQKKSNQSSKIKTSTTKQKESIHS DDSQDKLSPELKPSSRQKTRTKAEKMHDKHKYDQVNCYSTKTDEVKDKQENQNTNQDKED ENREKPQKSDQIRNNIEEENKQNDLDQKRVNGKDQESNQENLNKNNSKDKDLSNENQPNN LIQQQENEHKDDKNKNELSDKEKNKEKQLNQTDNNEEQKNKDPQENIKNNQPQNQSDQKV ALQIIVTAPESETKKNQKEEEEEKEQQEKQQKATVDIVFCCDANLLNSNSVDQIKNTIEF ILEQIKEREKCSSNIKFSIVSFNDIITNQKLQQCQVIDLCDEEEILQNLDEIKQMVQVEV QKGVYYGLKVATQTTKWRRETNNKSFRYIIHIANKQLQLDNQSEELEGIIQEMNNKNIRY KYLRIDQKIDLDFDGKQYLQNKLNSYEESFLKEFGSLKNVVSGIILRELTHENNK >CAK69301 pep:novel supercontig:GCA_000165425.1:CT868066:136100:139649:-1 gene:GSPATT00037740001 transcript:CAK69301 MKDHTISLKSLNNRTMTSMRTRTRDISHLTDSLNSARVQEQIKQKQLVAELHLQQYVQQQ RTKKQNQAYLSNQLRVKTEEKSDQTSEQVNENEILPILEQISELNFEEITSGLSQERDRS HILTSLKQSLFKAINSTPTLVSLKQQSNSFFSAQPTGRRDAIMLKQWFVNFMEATQQSQK DFKDKLISLSEALHLCLLEVIRQVSYQCAERGQLLHSLVKGYILVFHKHFMIKEEEKRRL KFQLFEKNINEAKQKEELENRSQLQISQLTQELNQLKQKENLINSERISYQQTINKLQNK INLQQTIQKSAANMIGNLQREIDQLKHQLSKKNQNKHSSMNKSDDVIEEQDLSLSQVLKE LNQQNKFEQNQDVIVKDVIEILPVVCHSVGVQTFQKCLCVIETQTDLQLMSPQYDKFLST SEIENTFREFELKQQLDQQSWLDGLCDQMNMHLDEPSIQDYDTRGITKIDLIYEVPENDE GSPDVLSRRKNDYMQDRRLQIDRSETSEKLIRELDKYGSDRLLNIKQVQQGTGSNNTQQN SQQSSQKVIKLSPQAGSLVNQTSACKIDSIKQNQKQQVPQQQQVQISSQRYFPSNDNLEK KNINIQEKQVQKKKKMSVSSQYQQQQQQQTQQQHVQSQQQQSQSSYAIQYADSTEKAETF QQPNLSKSQTTMLMLLYQIQKQKAQNATTRMNETNELLTQILLFARKLTVHILKSQFKTH TQIAEEFLFEFESLRKKIQNDKEILEEEYVLDEEKEKEEKKQEAMKRRKGKIQRALRLNF ATRQMKQIPTIDRLTHPGVLLAIKAREQSHLFKKVVAYWPVKNVLRTISQIYLEKASFGG EADMQIFVFNFFLNKYGFKHVAEKKYLQFLLSVIHFCQIFRVNLFARLLCLLHDEHLNFT QEESAFLLSGLEYIHSQTSLGVNIVQGDSESKFHVPYLRALDYIKMHLENKLTEDEVKEL RQDLDNLRESDAKNKAGVVDIDLFMSKVLDKYRNVTHRTKVFVIHAFQAADLDGNKKINH NEFLTLFRHIEGEKFDFQEALDLFDEQADIIHQGEKNLSFNRFTSVCVNQQIFTENSLND FIGKEANMEQIFDDVVKTWNQYKLEIQGLLTQLQPFVTQEIYQEWVNILFTLEKRILHQK TDYQNVRPILIAHKILKLELTRLLDEDEQNQNKE >CAK69302 pep:novel supercontig:GCA_000165425.1:CT868066:139868:141433:-1 gene:GSPATT00037741001 transcript:CAK69302 MASQVVPLQSQEIEYLQTDQSALSREIALKTGQQIPKKRATVQLFNEKDEEVNSIVDLTI IMIGIMSGSFTFGYAITYLTPSFDTVFDQIDLKGTKNEEQGLFSAILPIGCIVGAVSSHF LMQVLTRNQTLLVADICGMLSILSLVPIREMILAFRFMYGVCNGISCIVMPIYIKELCPQ KYYERFSVMAGFLIGIGVLMAYIMGLGYLNSDLRGPNTYWWQLMFALPPAVFFFRQLIVT VIYQMDSPISLLEKGLQIQAKNVVEKLYTKQSVEQAFLKAQVRVQYNEEHKEGLASIFSE KHRTTVAIGCMLMFIYTWCGIFAVFSYSQQFFASMVEDDLTMNTIFSLILGISQQLPAYV SKFFYGKWGKRTLLIFGLIFIICCQIIVIGLSYSEDKAAVIIKFVVLCLFAFVYALTISP ICWSMTPEINSSEGTYFCIISVFVWQLFMLYVFPFMLEGMSMTGSFILFTILTFLCLIFS YFFVKETKGLNHREIDVLYGKGE >CAK69303 pep:novel supercontig:GCA_000165425.1:CT868066:142093:146257:1 gene:GSPATT00037742001 transcript:CAK69303 MNFKYINERGQQMSRQKRNQVSNFDNPEQSTLKSLRLQTDFNENQEVEPFPIQEILSESS HESIDLPEKDNHKFYPTFLGQNHSSISRLFFLQYTTYALHLKHEVLDKNYKITDKHLPAL SPEDDLKQLIKNSQNQILEFDQITCKTLVKLIFFGELKWMTGKCIFAYLIESISKNGISF IMSYIIASVSQNDAQNAHMYGIILVALNFICLLSRHHAANYSMIFSTKARLNLINLVYIK LIGLNSYSFRQANIGKILNLISGDINTLEQMFSMIFPSSVVIISMIFACLILWLRFDGII GLLAIVLLFITYPIQVLISTFNQETLKLAKLNQDKRLTITNELVEGIRLIKMQAWERAFQ KIIMTIRQREFICLLKILLRTVFDRLLTQTSHLWSSLLYFIILYYGGFRTEMQVAEMIST VQLLNSLKISCVYIVSNGIQALIQIKVTFERIANVLNLQNYVMLKLEDSQNEYITNIDSE QRKIELNNFYAYWTHSVSEVDKPILKNLTLNFKEGELWAIIGRVGCGKSTLLHSLLCEIP SYKGQLLIDGQDPTLNQLKIAYVEQEPYLFPDSIKNNILFGKPYNNALYTKVTQAAQLEA DFEIMKFRDYTEIGERGVTLSGGQKARISLARALYQTADLYLFDDPLSAVDASVAENIFM TAIKGFIFDYQIAQNPKKQKPIVILATHQIQYAIKCDKIAILSHGELISQGAYDQIKPNL EMINSELATQLDKTKLVNTKIDIKQENKLIKKRKKVYLKEIRNLIVQESDNQQIIDFSVY LRYFKNWNCLAFFMVVLLEVAHEVLTMLYQRVISLFEYYQDMGQIDQTYILLSSLIIGLM VCCFIKYLLNVIQVQRTTQNIHKKMLNSITLAPICYFDVNPSGRIINRFSNDLSLCDNQT NIVCLDVLELIGNFFIALITLAILQPYFIFMICFIIVLNLYQYHFYNKIVSQLKENELIQ RSPLFDFIKKTLGGSIQIRVYEQQDLFKKQFLDLSNKCNLNSLTYFYSIRSFCFNIDFIG FIASSIGLFIFLNLNSNDVAVFSQGLLLLITYNDGLSLGLKQLINFATQMSSYNRMFQIV DVDSEAAQVKDIDSKLPNFPESGDIKFENVYMKYRTNSDLILKGLSFQIKSGEKVGCVGR TGAGKSSILQAIFRMSEIEDYEDSKIEIAGVDTKQLGLQKLRSSIGIIPQSPFLFTGSLR SNLDPFDQYEDQAIWKALEVAGLLGYAKSFSKGLLTDISDVNSLFSTGQKQLICLARILL QKKKIIVLDEATANLDMKTDDFIQNTLKQQLKECTLITIAHRLNTIADYDKVMVIENGNV IEFDQPFNLLAQSLNSTIIDKQSQFSKLVLNTGESNAQAIFDIAKKKSIQTCK >CAK72510 pep:novel supercontig:GCA_000165425.1:CT868126:169:2841:-1 gene:GSPATT00038779001 transcript:CAK72510 MNSKQKAFALLLLFMLSGVQVMTQDLTDEQKKLLEQSQETHEFQAETGRLMDILINSLYT QKEIFLRELISNAADALDKLRFLSVRNPEILGDKTELAIRIEINTEEKSVSVTDSGIGMT KNDLISNLGTIAKSGTTQFIEAIKGGNVNLIGQFGVGFYSCFLAGQKVTVASKNSDDDQY IWESQAAHSFAVSKDPRGNTLGRGTQVTIHLKQDAVEFAEESTIRELIKKYSEFINFPIY LKVTREVSKQVEEESEQQQDQQDQQDQTDDDEVKVKDDDDDDADTKKKATKTIKEKVSEW VQVNENKAIWLRPKEEISDDDYKKFYKVLSKNSGEDPFNWVHFKAEGEVEFTSLIYVPKR APSDMFDNYYGKQTTNLKLYVRRVLISEEFEDILPRYLSFVKGVIDSDELPLNVNRETLQ QLKMLKVISRKIVKKILELFQDAASYDDEDEEDTEEGEEDDNMAETTPEEQQRLKDEKRK KKIDEYNEFWKEYGKNIKLGVIEDSSNRQKLAELTSMLCNDDKLIWIINGIISIYQSSDL TQHISNPTLSIYQILYLIFYFRWYSSKNATELTSFDDYIERSKPGQDSIYYLAGENKEQL LSSPIIQGLIKKGYEVLLLEDPVDEFTFQHLNEYKQKKLTNVGKGDFKQPEDNDEQRKKQ KALKKVFQPLTDWWRKLLSENVDSVVISQRLIEDPIIVVSSESGYSANMERISKAQAYSS KGSNSQQFGKKIVEINPNHQAIQELLQRVKDDPDQETEEMAKVLYEAALVNSGYSIPSPE KFASRFYKLFNSALGIDRDAPIKEFEVEIEEEPEASSEPHIDQDGTKWEKVNTDDAKWET VSDDKRDDL >CAK72511 pep:novel supercontig:GCA_000165425.1:CT868126:3024:3440:1 gene:GSPATT00038780001 transcript:CAK72511 MQAFLHFNCLNQKEFLKQNQLILIICNLEKKKCAHKLLNTIKCDIPINFKDWNCNKQLSY NYIEYSLYIYNNYIKILLIYVSFLFALYSYLSNQLLSYFMFFIKLIVQFRNQFTTKFYYF YNISKYIYTLAQQNSKKL >CAK72512 pep:novel supercontig:GCA_000165425.1:CT868126:3484:5763:1 gene:GSPATT00038781001 transcript:CAK72512 MYPFRATKSNSQESFFVLLVDGTTSMNAHYPIFLKCYHNVCSSIKHKLAFQFGNQKEGSD VVLYDINKFQDHHQSNFNKVFKQLFDILLTQHKDKKYLTICFISDGVEHFVYEEFLPLVD QITSTFKIQFASIAVGKNFPTSISNKFRERLHNNGNLDFPSIYEVMDQSDLTIYLDDNDN IAIQPEQQQKLTQQFTDALEGLKRQLIVFTEQIELNEIVYTSFLDIQDQKTKIVRCNQLF LSPNPNVQTTKNEIIQSSSNVEDLIQIQQGSVQQLLIHLQANDKIQEGKAEFQKLLDISK QSQKLFIKTNQQMNPQADLQEIADNPKLDLINKIIDQCAYTDYLASIKDNENRYAQLQAN LEKNPQLCIDKNFQTQYREIKIEQILNSVQPMQQVEIEQEVQIKQQNKINTYEINFNSKL IILVDSFTLQKSLQEEILKAKQTVYNQQVQDNTQTFWWADTIQENPELSVRSQQLPLNQA ILQILRIIEEDQSGVKNFTICLIISGEHQIDFKFLSQKQQQIQQQNKLIAFTTMIIENEQ LSIDKKIEQQRLSLELRRQLHTNNLTHSLQVSARVKIQEFPQYLNLYFTMINGYQSKFYG IRRKGCFVRCMIKQKNAMFLLNQFISDIEESRQQIIEDSDFILNLQKIKQLYYKRMNNYK EQEFPDEKYCLAKLNSIFQCIDKINLQNCCDFLDQIFHIKLGFHDKNQKDFDLNQLNQAR KIMQYKKKSNLLQVTITILVFGAIYLIFKGYKISLQNVL >CAK72513 pep:novel supercontig:GCA_000165425.1:CT868126:5796:7542:-1 gene:GSPATT00038782001 transcript:CAK72513 MDCEQNDNIILLEEKYEIDRNKQIGRGSYGVVYECRDRTKPNSDQKLCAKITQILQHDKK QSREFEVMSKIKTVAIGNPNIIQVIDVLVHEEKIVIIQERCQCDLECLIKQKRKDKKRFT PKEALEIIKQLSYGYKVLIAENIIHRDLKPGNILFLNDTYKIADFGFAREAHPYGDHTKL GTPGYYSPQVVWSSSYTNQADIFSLGVIFYKLIFDNIPFQVGDDKIIKNSLFNLKNNPIR VSRDLPEFQADDSIPELIERMLLYYEEDRISWSQFFQHKLIVQSYASQPTNFPQRMLTPD TDIEQEPVKEKTSSIKHNIQVFDNAKFLPQISKSIQEACEQNVFQAPNLFQSQQQQYTIM LCQHYEIGILIAYVEYEVYQNKNYLISITHYQYLFLRRILCNLAFIFLNSAKNGQLPFIN FKQECEKFFTAQQVVVIFNFKTLKKEFQANKLPYNDEKLDIQNQDKFIEDLKQFMKQPGL KYNSKNIYYFRYQRLLGQYIHYISQQKQATKPYIRLFWKLSKSMTIQVQQLII >CAK72514 pep:novel supercontig:GCA_000165425.1:CT868126:7642:10064:-1 gene:GSPATT00038783001 transcript:CAK72514 MNKPRFLLQFALKEAKHQEDKRIELEAMNNVEKEIQQFENSEQIDKIISVWKLKPLKIIQ KIAIFITKMKHYSPIYRFKILNKNIFYLIRDRTSSFQYYLYSGLLENKPTRVGQMKYEGK LANALWWRIWSFLKSNQTVLLPADKFLFVWDVILMFVTIMNILYVPLQLSFDLSREEIGN AYLLFSTLPSCIFLVELVLNFFKGYYVRGILHTSKRDIFWHYVKGEFIIDLTVVLPFILS WFGYSFANYLMLIRMTKVRRTMVVIEEISNFKEKTAVIYSLFCLIYSLLLISHFCACLFH YFAILEVDNGYTHTWLHQQGIYEADAYVKYFTSLYWVTITSMTVGYGDIVPVTTPEKILV TFLTFLVVGTFGYALGMIQSIFYKLAEQQNLNNAKLRLVSNHIKQRGLNTQLQFRVRKYI EYYLQFKQEEELDLDELMGQLNPKLKQEVQIAMYYSYLKKSKLLGSNLSDDILKKLCFCV HERTYAPEEFIIKKDDHPDKLYIVLSGRIKSVLLDRTIKRYISGKLVCEREFFFQDYMQF DMVAQTFVQVAYINQTDFLNILQNDNAQYEKYRLTLDRTQFGDNNNQIICEACSSHHQFK HCPLVFFRKNKNKVISIYNSSVDHERHTFIRQRKKTRINSQLIKERALDQILEQNKTLAA VDSKFLIKLGIQRNDEDESSYPDQTVVQHSQQGSLNQPDQRLIIKGRGMRQVSHRSLLVP SGNNAQETQEDNQTYIFNAETNVDKVEEYDYYYPHYNITKVIKLINNYNIYSRVLEKIRG HKNRFAQYIARQIMYKIL >CAK72515 pep:novel supercontig:GCA_000165425.1:CT868126:10547:14544:1 gene:GSPATT00038784001 transcript:CAK72515 MEEEQQILKYGDNIILRCMVDNKIYYLLARNEQYLMETRLQLGNSCTFNMYNFPQHMVFT VFPKLLYEAQKKYNSDQQFGASLDFQKQLLYTRMETEKKYNQNLLKQTKGQKIMYGDTIM LYQPLSQVFLLRAENNNSNSNIGTILSSSMYFTVQPDPLALDIKQGCPVHSFDQFLLVQS DTILKFDTLQEIYRLPEVVKSHNLDHNNQFLTIPAMIENQYQFKFYKAQYRQFATDSKES ISHNTLQAIVLKQDKDKLHLFYGQYVRIVHMQQKIKKERQESRVRSIKIEDAQENQINKG YLTSSINAVGCYPQVYLQINDVQNADLIEKAASIFQILPENDQKYSDEIQFNVGNKPNLV GYTTFLLRHMLTGEFLRVNPFDNKLQLSKEIINKYRQINSMSSKKLDAVKQTEPKSTTQK NLPGIKLYRNLMNQQNNNVQNNIPNQQDDRFATQYQQTQSNQEEVDQDSCLADHQLLFVT KLGNNPIFYKDQLPFTNDTTFNIATKDGKSPIEVDNQEEGGYQVKLITKLGMFNQYGEEN VEQALYRQLHEEWTTLIPDLDSFMKKNKYQLSAQEKQVLEFIEYEKKRQAKNKVDMRHYK SDDETFKPTFINLNPLNTKYMDVLASEEFSGSNFKFEVVVQSEIEELSEVLDLMFPIIAL AKNSIQLSTLKFKFKDLKFKDSKEQKDLASQFLRCQAQTVQNVNQAVKSLIKIMLWLTAS QETLAQENLISEPLVRRQCIAREIGCIDYVNKIIYELNINGLLYEDKDQIRQDEIQEEVQ DEELFQQDINQLIDALSSFLQLVCKNSSDNSLYVVQWYCLYKHILLRGQVNDLMRLDILI TQLFQQSDVMVSFEFEVTQLSKETKFTNYNKHAFNLLIAFCLFTEFRKKEGIEGIIDHIF KQNKNSIFSQYIFDGNFQSVLREKLQDFSKTIRKSIESNNSSPNPIMIDGVNLQNKQQQH DYIISCVRLASEISKSSPALTLKEIKTLFPFYTVAQIIQDNSISSLTKSYFLELFQNSYM AKHLKPLPMAQFPQYLKMVVKQNKGKGFLSLFRKEEYEIILYAFAQKKISDVYQKVAKKY LVESDKTVDFWLYLSDFISNFWKTKSIYEIKGNDHVYIKQLILTFTEFLKKGLFIEYLSN AHNFYNMYHKFIEFLKNSVVSATLEEKYIKHQLQGNKKSKEQKPLEVKDYLFESTVTQRK VSRTTTYAKSMIWLDELIEILILLEQFQQSRMADQFIITEDNQTIFDDLQYLQKFAKDKK LRQAKRASYHTRGQPSQSQLGQKDIFTTQELATMLREIYGGYKINGYFIGLLFD >CAK84293 pep:novel supercontig:GCA_000165425.1:CT868501:3:698:-1 gene:GSPATT00039675001 transcript:CAK84293 MQRVQHLSAQVNNCTPVPSRSDNDVVICGAVRTPLTKAKRGLLRDTPPEILLSTAFTGLL ERTKVDPKLIQDIVVGNVNQPGSGAIVSKMAAFLSGFPDTTCLTAINRFCSSGIEACAVI AAKIKSGMLDIGIGAGVEQMTMYDMQSQMNAELLSDAIFDHPCARDCLLGMGQTSENVAA QFGVTRLQQDKFAYESQQKAYTAQQEGLYKDEIIPVKTFIKDGDKTKEVIVT >CAK84294 pep:novel supercontig:GCA_000165425.1:CT868501:705:2141:-1 gene:GSPATT00039676001 transcript:CAK84294 MVYYLIGAPHKSNENTAWLEMEKHVLTSGISRQAKGKILQTEIGKFKLGNLDHLMFINDS LLKQESVVESLLKKIERQYLDVTEKVIGKKDPQSIENFLFQFKWNDQTFPRASALSELVK AISSRATHVETDLRQKSTSYQELKNQSQQVAKKEGNLMVRDLVDVLKEPIVKPRDFIYSD YLTTLVAIVPKTQIQEWLACYEFLCENVVPQSARQFQIEDKDNLTIWRVVIVRQSFDKDH EIDVADKGDKGDDDKGKKQKSSPVEEFIQKARDKLRITVKEFEYKSQESKEREKLRLDLK SKSDHMNTTLKQACEKAFSDLYITYMHLKVLRLVVDIAMRFGAAEPNIQCILKPDQGKEK KVQQSLLKLFADPSQVGLYGTKEELEDTEDFFPFVYVPINIP >CAK83662 pep:novel supercontig:GCA_000165425.1:CT868480:3:2426:-1 gene:GSPATT00039561001 transcript:CAK83662 MPTSLFQISEVELYLDTPSIGVPTFMGVAFRPKNIQIDTLIRIELGFLSYDQLNCQVFQL EIDTLMPSLSFSHYSHDSQNLYFYSNKYKPDQIQYKYSVNCTGITILQTTNKIFRVIYED MYHTIIQYFQIRQPPRLPTDTQTQQASLQIVKNIELISKKFNNPLVSSIISIKIVPIYGI YRVLIEFPSSYTTNIIKCVINETSSVFCDQDSLNPKRLIVYLTKYHDSELIKDPFNLTVY GMINPMELNYNSRICVILDDDNILTPLLFGNEDNLNGITQFEYLDDYVNKNIYKQLSTSD FRFSQSQARAYDQYLIGNITFSMGSINEINKLYLRISDQYTIYDLNCQLIKVGDQQLNNY ISECNQNQNYIEMDLITDTGNIRFSQQYYLKISNFRTQDLISIDQYAVLQPMIQLFLAQD DNIISLENQVNGSFVMKNQKIPFYWYNLDANDQFTRLEVQPGFEDTKTYKIYLNHFGLER LYLGLGDVSDRFNCTINLQLPTIHEDIIVFGQSSKYAKQSFSSQTITQPKYIVKSMTDDT FYETIKDTELVINPGDSGFIFSVASKSLINIKIRVQIKYTISFPDRNSWNPIYYYNPFTE IPVLYLEIINKGCELIPQKSTYDLPINGYTHPIIIDSSECIPIVDTKLNVTIQDNNKLQL VPIQMSISRYRFELIKRTTRKAPEYSYIFQIKATNETLPGIITQILVNNIPISISAILQY TEQPKATPLKGLYSQTSNTISLSMTCSQPSEILLVIGIDEITDESFEKIKSNSISNELTG DYDLFKLQPENSTLQQESSYLLKYEILP >CAK73225 pep:novel supercontig:GCA_000165425.1:CT868152:11708:12110:1 gene:GSPATT00009538001 transcript:CAK73225 MQIYVQMPNGKTILIDVQLEKTKVNDVKQKVMEKSGLRLEDIVLVFKEEILKNDFLLKQY GIDKEAKLEAETAETLTKNYKQKLDALENEVQRWQQKFGEEGAQKEELQDRLKESLIQLD QYKYY >CAK73226 pep:novel supercontig:GCA_000165425.1:CT868152:12315:14984:1 gene:GSPATT00009539001 transcript:CAK73226 MERTELNSQIAYFKQEKLDLQKKVEDYQKLKEDLIVKTFEIKGLQKDLEFANSRGSQTKD EINRELDFSKQQIKKLEQQLEEVKTKNQELEVEKVKQEMKLHIDSQQIEKLNELQSQNTQ LEKRITEYKAQVVSLNDQVRLLQNRQQEEMRSSIKGTDFASAEKIQGYELQITKLNAELR SSQQSFNESQQCLSAAKKQCFDSEKKIMELEKSVESLTYLLKEKDRGIKEREQTIKQLTG ELEETKKDMMIYQKNLSNFKQIAQQADPKKVLEIDSEALKVEEKLKLREEQRNREQRRQE QQRQEELQGRQEKLRQEQQQYSDLMQKGKEQRQKTAQFLKKQMLESHGKIEVCFLIDVTG SMDPYKEQAMKCIKESMKNIKIKTNRDALWATVAYQDFGELKQLGGLYKQLNFTPNPKEI EEYLQEIPCAGGGDAAEDIRGGIMQMIKNLHWSKTFKIALLICDAPTHGKRYNGGVSDRY PNEDIEDAINLLIDNNILFVAILFNKVTLPMFEEIKKMYQRADREELLLFADLENTEQGR IWQELVDLVSQASQRATQTNNKGTRSKNNQANKRSQSGAMEALCKQIKDPFQFDKLPGVS IIPAKFGVFRVELKQDSFEANIENLKRLDINRDYSVYQEGQWDCIRTEKFFAQGQMKQVF LMKRQNNQNELYVIKMPIGDLTYSSQEQAVVECRSHLISKCLMKKFIRELQDARDKSDRS IKIPEVQYSDFLILKDLERSNSFWIAERYFTGEFVKYNNNYGFISDENLDINHLAQAYTY YTYFISNFNYMVNDVQGVGNYFTDPALNTKDSNFDETDMGIDGQSMYMVSYEGKKHMGRK YLDLLGIPSP >CAK73227 pep:novel supercontig:GCA_000165425.1:CT868152:15018:15327:-1 gene:GSPATT00009540001 transcript:CAK73227 MHGPDISDLDLPDLTLYPSTTGYSEQKRCFKQCVKDMSISYLNIEEESCSKNCLSKYIQA QIFLSQKMTNTLTQLVTQDPSIKIAFKKPYKLPEQ >CAK73228 pep:novel supercontig:GCA_000165425.1:CT868152:15365:17085:-1 gene:GSPATT00009541001 transcript:CAK73228 MEQESQEIRSAFPEYLTNTQEDGEHLDQCLQQFETLINNYKDEQNILSAIESKITMVINQ TPLSSIIDCYVNISKDYNLQTKMYRVSKFHDQKYLPQIPILNQYLENKIKSLPWPVIGDV NSNQLQEQLIKLYNFNYGRIAQHELLLLWFPSLIKPYFNVLDGLLNTQTKLSQDEKYYFA IMGAAASGSDQLYDLLVQQFYLNEGDKNWVDLGYESVDDKIKSLNTICKILVQKPWEQSL KNTLQQIMKNNKWNKRELIQALMIFIFYNNIGCFSQGNGILKEIDYTLNLQSGNTSISFT LPQEQQQQQQIDLTQNEYIIQELKNQALLDNQNCSSDKNIQVKSKQQSIDEPQEMSDLDE EQLILKFKEKFQKYFTIDEIKDSTGYQILRFSEYNFQMNSYPVLKSLYPEGAQKMHDFLL EVKQMTRNTFGKEQQITTAAFRKAIRVYAQNIYRYQHDDIDYSTQMNKLLPKELKAFIKI VSLTPNLLQKKHLEFIPLKLSADELCHITLLTIAAKIEVQLIYLSKALVDCM >CAK73229 pep:novel supercontig:GCA_000165425.1:CT868152:17340:18596:-1 gene:GSPATT00009542001 transcript:CAK73229 MSQHNSRIDHHFLSQQYESFNQLNKENIFDEVNRMIELYKSQNELKKIKQQKHLSPQKLI YSLLTKTNTKNKNKVSLSQNIGQKKLTQSPISIKQKSLPQQSLQCSFHQPKKAQFFDSNL RNQNKINCQEFSILNCKNSQKLNVIHHKNSLTENLSINQPNYKSLCEDAFSIEYDENNEN QYKQQQLDNFQQAIKSLLNECNNIVQISQSNDYEIKYNSLQYQLEQMLTSINKKSLKLVN QLQFNEKAQQLSDIQEELNSIQVDINQNEQNILTNMQIKPFKYIMRKYKDKLSGYHQIIK SNEFNQQKQFIDERLKQLSKIKTMLNNYFNRQNQLDSISQSQQLSSRRKIKNSNVYISFE NKEMFQIAAQSEQGYLLESPNFIQI >CAK73230 pep:novel supercontig:GCA_000165425.1:CT868152:18833:19751:1 gene:GSPATT00009543001 transcript:CAK73230 MVTEYANQQIQNNQYQTDFPVSKIHILDYEENQPISSFSLLTSKDQIIDHQILQDLPQEK STQTDYPSEMVDKCSQSDFRDIDEKDTENVVEFFNSSFFQELKNKQFLIDAQLISLIQTM FLMHNEQKIEINEPIKQQLDFLMKRIKRKDQISYNTITIIRDSVDK >CAK73231 pep:novel supercontig:GCA_000165425.1:CT868152:19819:22625:1 gene:GSPATT00009544001 transcript:CAK73231 MNIEKSSQKHTTSSNSSRSSSKLRSRSRSNSQRRDRKTEKTESTDDKQGSDQNVKIESNI PQTARGKRQLTYIKDLPKRPPKCPNYPQQFREINLVTNNFSVRSKRNGIIVVYSVKFLPF IDPQNNNLKREIIKSQEDALREYLGPFVYYSNTIIGSKESSTFNFKLEKPIKFEDYDYYL EIKKVKVINMQDLENPNPIEADKPLMFFNYLIKDFFKNLKYQEHGKTRKFHDISRRQPIP ETELSVIPGFAVNFQTNEQGFSFKIDISHRIIRTTTVLQYIDQIWKEQSNKQCREKYVTH VMTKQLVLANYGTYRTYSVDNLLFDQNTAEYSIELADSKGKQQFITMDQYFKQRYNYDIK NKKAPLLVIEDTKTNRKVYLVAELCIMAGVPDNLNEFTRKQIQKECQTNPKDRFEKIKKM LFDLINRQPQTGLTMQKLKEEFGIDINSTPQYVKAKQLRPPNIVFGNQNVMPQGDKENFS FNCNNQSAFIKRYEVRIAIISPYDFDCEEFTQNIHELIQKYGIKMGVQTKCHYLSGQRQN YIAEIEQTIMRNVPHTTNMIVIVLNRYMKNCYAPLKKMCMSEKFGVLTQMVSSDSISKQK KGLFSIIQKLAIQMMDKVGNCLWTVQLPQKWPDNIMIVSVIIEKGKFAGMLSSLDKTYSR YYSQMSTKIVEKCLIKDIGDMMKQSFLQFKQENGCFPQKIIYFRDGLSEESISHLLQYET REIVLAANQLCQFGDQIIIINIQNTNITKLFREERDQYFNAPAGTVVDSEITTKNYEFIL VPVYSSRGCPRPILYRIIYDTIKIPMEQLQDFVYGQCYNYQNWTGSIKLPAIVKNCQKMI KFLVEILQTEPINKLRSSFYYL >CAK73232 pep:novel supercontig:GCA_000165425.1:CT868152:22911:24363:-1 gene:GSPATT00009545001 transcript:CAK73232 MYNNNTEKNIYSPDNPQFKQKVYELQLIMEQCKYTKKNKVIDDAKIVLLNYKELNPTLTA INITMLELQGNIPIPYNNSIFSIFIHLRFQTSHPEVQPFIFLKNVDPNKFDANPLYKSAE IQNGLYITFNNFIPSIKNWNKNYKIIDFMLEVQQALSRNFPFFLKTNPYNQNQSQYQYNP QQMMSQVNQSQFNNYGLWQSQMQQPQYVQQQQQPQQNPYSQIQQIPQSQQQQQQQQQSQF IQQKDQPKKKQQQEEPGMANKLISISASVFEFGKELFMSQEAKEEKIKTEIKSKVDIELF NQSKKLMDSIRQQKQLTDVLTEQYQQQTKVMREIQLKDQEINSSIYELDQQMIQMEHKIE ACVTTISKLEQNNIEELMQTDPLSNQIIDLTSEIQAQKEGLYYVQKKFRHQNLDYDQLIK ITRQMSQQMFDQYLLLKKCVTSKQ >CAK73233 pep:novel supercontig:GCA_000165425.1:CT868152:24773:27345:-1 gene:GSPATT00009546001 transcript:CAK73233 MADKEQITMPNLNFTFQNMQNIKFSEHLTLQKHRSMEQLLKSPGIQELIKDSIQSVLNNP NHITSYRYQYQEKSESPIPSSQLPQLERYNNTNVLKQIHQLKKKKNGNSPKTTKQSYNLH QKFKQGRYLQMFPQVDGQNTLNIQSKPIHVIKRIKLQEAFLNNSMDSKAERDIIEKLKLK MEMDKQNRLNEIETVKALKLIEPRKNSQLLKTSRNSKYENISNMSGFSLSQQMPQLAGPV TLQPLQQQLQGQKQKIKKQKKFDGIYFIDPKYPQDVVFQEKYASMRHYFNILNLSNCIFV QPTVNIYKAYVGKGNNGMLIRQILKSRWWWSIQDEMETCHFVWTQLKVNSIHENMKSLIR NPNEQNTCQSASSSITTIGSLGLNKQDDQSDNEQQIKYNKIEHSQWNKFFSLNEIRQFSQ ILNAKGRSSKLLTIEQASNIKMKLTIYNEPIKMHNHLENNFHLGNKKALFYNMKAYYESQ NINVFDNLPVTYHIKSQDGPEYSQFLEAYKERQQLITFEQDKRRNIWIIKPGEITNRGNG IKISEDLNEIQSILNSKEIHKNGSYKTFIVQLYIDRPFLYNKRKFDIRCYSLYVSINGNQ KGYWYTEGYVRTSSKEFSMKNLTNKMIHLTNDAVQKKGEDYGKYEKGNKVSFEEFAVYIE NLGGDFTKINQKMKQMATDQFRAVYGKMDQNKKENTFEIFGLDFMIDESFKVLMIEANTN PSIEICCPLLSKLIPQMLDNAFKICLDPIFPPPNFYNPKKIVCDNYLDNKFELVFDEVTD APLLNQSQQNLKDDIGLIEEESEEEEPQ >CAK73234 pep:novel supercontig:GCA_000165425.1:CT868152:27480:29147:-1 gene:GSPATT00009547001 transcript:CAK73234 MNQQLDDSKESVKSQSLERYLQIESTKNSLSPVRQVFTARNTSDSSILKLQNGKFSNSQA VFQDALNKKKCELTKKPQKPVIEMPKKSFPQPQKQVKKFDKVQQNIKQSQLKSEEVKKKK EQQKQKEQEKQKNEAVLESDEAFLETVNRLYDFEKRRTKQLQKMVDEEKNKEKQQTIARP FINQKSCILLDRKLKQLIEEDESYKQICQFKDMAVQADLLPNLPKIKDFQIINQKNINLT KSQQQIVIVMDQELKEEAEVAEDVQYKEDQSHKVVQTKEIKYKVSDTIKKQESGQQPAET KQFQEKETPKKQKVNNQRQKRQEIMETQVQRIKTECEYYVPIHIRQQQIIQKKQEWVQQQ QEMKKHHEKEQEKQFQEQWEKEKEKFKKNSVSEVNVEEFVNQQISWLEKRNDHILTEQIK KDKETVQQLTFKPQIKRRGNNNNDKNDKVELRLLDYQQKKQENLMKLENKYLPTFKPNLT QKSLLSMDWASKSFSNASFNNLWS >CAK73235 pep:novel supercontig:GCA_000165425.1:CT868152:29185:29968:1 gene:GSPATT00009548001 transcript:CAK73235 MNENICKDCKMTFELSEQLANHIKRFCVNSEYVNLNKLEQKHEYATKSAYPEMKPNLKQQ DPSSLKNYGGYTLDQIRSNLKTNDGDFKRLENAVLRKKEDEFIDQIDRLKVVNDQDEHIY SILLQEVELKKGREFQCRIEKKQIRKLINEMDSWKMSVLEEQKKQMLDKLLLERKDLKNK QLQLIVDIRSFTRGHKTLFSMEQAQRATDNRIIASTQYSDAKLQLVKLHTRLKYMDVE >CAK73236 pep:novel supercontig:GCA_000165425.1:CT868152:30042:32231:1 gene:GSPATT00009549001 transcript:CAK73236 MNSIRNSQSILNDQSQIRTTQNYDEIARMKEKIAQDAQRLEQLKREQMERADRLKTSRPQ SHLSNQVIDELQQLKNDYQQAGGQDQKFVNDVNNLESFYKGAIQQPQQLNQQQQQQPQLQ QPIQFQQQQQPVQMFQQQPMFINLQQQEIQSNKPKKKDFNDPFQQFSRKKEFFLQNNVRG FDLTREEQILMNLQAQEVDSLRMISRIPIGTEIYRFKLEQYKELSTMRAEMEKIIQVQRL QRVRRNFEKKRRQKDKEFEINKWVDDQRKFIIENRLRNDFGEVRKEGHLYDPAEELVIHW DYQGKQNLLNLFLQFLTICKYQINQSLQNHMIVRQKERTNQLHEIPAHPEVLLIEEVQLP VSKKLEENTGKTQSYGWTQIDLFDQQRQLKRGNSNASITQNIAKLEPIPNALFHMRITYP NDEDLRQVRSIYPEQTAMEYNIPYIHLRSLLDRNYEAAIEGKEQNNKDNGELSLNGQNYK VTEEVAVFGNKRNPTPQTKQYVLRGDTKKGYRIQIHHIQNKIANNFMRVICAALTDQHLM LDPSGQPLAFNTTIHDASSPNSGQSVESNPFPLIEADLQMKGISNKAAGSILIEFNEEYR FFYNFQKQAMSSGKTIYLGFQVVEKNASKGRANTTMTMGDYEVIGWSYIIFVKQDGSVRT GRSTLNLFEPPLKRPPLDESNEWYQSILVNTILNQIGLFFVWL >CAK73237 pep:novel supercontig:GCA_000165425.1:CT868152:32709:33614:1 gene:GSPATT00009550001 transcript:CAK73237 MQINKIKEMFKNAVQQMYNVYESKYIDAIDEYKARQQELKIDYTQRLDAAMEVIQLESQK QDHVKEQINNNYKEAVKQIETSFKQLKDYYAECVYKSLEQFKCEQIDVRIVTTVQILIQI VLPRQNAQFPWPFKVNNRISSIAEVIFQYFDKKNDPIQNFDPSKLKIIFCKPQDLYKISQ SVLNKDLDVISQQYQIYPMNSEIFLASLGQVKQGSIIVVISDISLKSQQPQECITFKFNK DKLVDYYSCQQCKIHWVCQVCKDFCHQGHQLSIYRQQVKPDWACCYCVSKGFCKALNKNN Q >CAK73238 pep:novel supercontig:GCA_000165425.1:CT868152:34685:44892:1 gene:GSPATT00009551001 transcript:CAK73238 MNAKEIQLQQFGCSEMFNKYACLIVENYSCTWENQRCTETKGIDYSDSQLCNIFLTPVNP HTCSQIPSAKCMSGGDFECIELRSEQLSQLSCNEIGLNEEGCYALNKIDQYCTFRNGKCQ ELKLSDIQSCDQKLSKSACLSNKNNDLQCEWFGKQCRTFKYETGQVCVTKNQVNTSVCQK STGLCQYDESQSKCVIIQNYHINNLQCNTPGLSKIACLSVINQNCTFVHGKCQELSELDL NFFQCHMDLNKQACVNIKTHFQYCFWNGNNCERRVMNQDYDCPLKVENSKTRVNGNVCQA ISYYQKKCKYNAQTNLCIESSITDRCNTPFINKIGCLSIVKKDEACQWTMQGCRNVEVLQ QITTCNNLGSANPIACSQVFESDQKVGCYFDQQKQKCVVLNIEVGPYATKEEIIKKQKDL ELLRTISCNDIALGLNKIGCSSITTIGVACRWSRDQCVQIENPKDIQTLPCLSLVYANYK ACSYVLYGGEVCRYSSLVKGCVNSITKNMQCNELGLNSFGCSKAEGSCQYIDNQCVQSEG GQTNPQPTQSTGSDVDTNPPTPLTCEITSPTKTVCLSFVSLLCKWTKSKSACETVEVKQN SSCLEYGGSDISVNSDVCASIIMDFPDYDFVKGVQADKNRGYCYHNKQISQCQVKKEFCN TKCCTEIENIGINAHSCIKFSSNEPGAYCYFNNLKCQELTDEIVDTSEQDQIKLYFNELK LPCTSMSKNSCHMIDWSLDQRCYYNGIVCLNINYNYYKDNRIFIQDPSVLNENACFAIDG RITVQNTLKYIGYDDENKRCKELLLDDEFSYATCEDAKANRNVCQRYTGRNYCKWDEQLI RCITIPLDEYGEIQTCVQNLNVKACTDIKKSSCLFQKELDICEDAYKSQVVDVFNIDCTH FKLLGKVSWQVCQQINKPGQQCEFLNYDCVDSTKVSGSCDGQLANNRSCFKNTKGLCRWD PATCQCFVNHQDISQLSCQDNINIELCKKITKEACIWNDITNDCQVFITQNSTDFEIFNK TGNHKFNERACLIITGDAYYYDKVTQKCTKLVSQTVDCDTYQLNKYACLYHTRTHHCFYD EDEVVPNNKCKKFNQDQSICSSKWQINIEVCMDIQQPCVFDITTLQCTSFGESKKCSELT LLSADLKHPNKRVCSSVADTLEETVGELQCFEDKQNQQQCKFEKYCLWFNYGCEIFKIVY DVETTPDFQQQTIKECDFEEINACEDEVAKNTSGLFRKDRKSMIDSSWDWENETCIKHIT TFTREHNYYYISNNTQNCTIKECSIIEEYFCNVDEITETIIPFGEASSDIPDSSIYSIGS NLDTGDTCENLDCSQLSEYSCRIAKNDCLQQNTCQLELDYPFQSLIQGSCLPKKNYIFNQ WCQLKQNIVDVCLNTFSKALCLDLSDNCYYDSDQGGCKYIAGNEHKIVDCNQIANNCYVS SNFRAICQNGQSSIKPGDQCKTVQKPHKTCVPLLTYQSTFSCSNILSQDAQPILCSKASD DCRFDGQMCISTMPTLKSNGKCPCDMSFSKQLCTKCGCDYDYTGYCQETKQVPQVKPDKS NKYFLCFEVNLLNTSFIKEICGKVDEACAYRGSTCQDANHYTCSELLLYTGSLKACQKCQ EYATKYDATEQKCSKIDNTVQNSCDNLNQIACLLNTKGVKCKWENFSCQTISIQKSDVIQ CSILNFDACYSSQVNICWIDQATSLCVDYDPYKGKCDLLKTESLCVRSMYENCKWSSNQC IQSSVIPNDTCENLNQYLCLNAQNISCGWSDVYEKCYKLVFNSEPASCVEFLSSEQQSFI NRCNSYTCTQIKVQQGCIHDSYYKCRAIIPLDVISCEVSPISNINEFACAKLAKGKCKFV ERVCGGMCKFQQSLCDKTEDPNLGCQNYLNQEACLYQNGACKFDIFCQPYAINSLDEIRT IFPYTSGVCQTANIVVQQGDISIGRSLIYGAGQQRCLDITDKNIIINKCSYSGMNKYSCL LKTNTYCEYKDGLCRFVDQKVIATLKTCNEYLNQYACTRLNVACKFVDNKCASFDEKDDC STLQQEKAIVNSVICLREPKTPCMFNDSTQNCVVITTPQNCKDLNKKGCIFATQGYNCEF KSGKCITSFGNPRCVADINEDKCLSIITKGQFCYFDSLTGCKNVDITKVDLNKCEKNSLR TNPNTCSKSTDVPCFYDKTNKYCIEFTDSNGSISSNPANYNISNIYSLNKRACQMFNYEK ALMWQETCSIVKSSQLIYLKCSAFLNKIACLSIKTPFQFCQYKNFQCVNANLQDFKSMSC DQIKDVNSGAFCSVNTNSTPCQYNKTFFRCESFDKATTTTVNCVEKDPEEKGLNQPACEI DKKNCVFDENCYRLNESGFQFCKDINKVDSQFQCKQVTGEGCIIDSNSCKKIYYDTYSKI KCEQASNRFGCVNIQTTGQFCQFDGEKCKLENVKEYADISCLSVVNINHYSFCEQTKDIA CTFDLKTNTCRNVYSQEVFSCERGLNKIACLNQTTPSLMCKFLDYCYGPNNGILNCIHND NDLCCREADQIDTCLNQKIYQCEWTADGCRAYTSTTQDCNDIVNKSFLICAAIKNVLCVY APSDHKCQTEIPTTCGFSQTNQQCKRMKSVSCIWNQEEEICTYSENSAYLTCQEVSQYSG NQRACMNVEVQGQMCIYKDEKCILFVQSETSNNCLDSINKNACLQQTIDDCQWVEQVYKV IKYQNSPIEVEIVYGECQPITDINQTFCYQNISYTACMKTNRIGEFCIWKDFRCQQISEQ EVYTPNQLILVNQNACGLINNGDIVKYDEMFKKCVIIENPNELTCNPQIIGLNKEACMTI TNQSCVWNIISKSCSYSEFQDIPQLCERPNSNAFSCSNLDIDHPCGYSDGGCHVVDLNSI TCTHPGLNKYACLNIKNHPCIWKYNEQTANDYCDDYIPYTYCLAVPINVNPKVCSLVDQD SCQYDIKNNKCKALEPLLSNCDTIGLNLKGCIEIDGCAFKDQCIKVTEKLYNCNEFPLAN YHVCSNAQDNCKFNELTYGCQQSNIELCDTKGLSQLGCIQSNCLMENNQCQCTNGFMEEN CNVIQEVEKCNQLEHCIYVEETKKCKWKQCEDLQYNYCDGQQINQFYCYQTSSQQCKSAK RCEDIHNPASINPTLNNKPCIFNSDSQFYKLLNCEVLDEQQCQSYPKYCIFDEFCRFSRC SDLKQINCPSNICYWNSKMGVCQDQVECSQISDKNQCTSMKYEDRQCSWITQENQSFCTD SPCRFLVKKFGCSGLQISEQICVEVDADNCLSCEEISRPCICLQHNQYCDYNFNSQKCES RTCSNQVDQSKCPQEHCDFSQKCVPKCQYIADENLCKNTRTCTWDEKCKSKEVQVVPTQE NVKIWGLINIFTLSILILYL >CAK73239 pep:novel supercontig:GCA_000165425.1:CT868152:45077:47785:1 gene:GSPATT00009552001 transcript:CAK73239 MYSKICQDSINCKNENCQLDHSRIYLGLCIRFLIMEQEWEQNNSEDDYFPNKICDKKECK LKHFDQSKFRFVSQISVNGIFPHNLCGTYDCPSHEFPSDKNSLNYCKFIHKPWAKNICLT DIINSCKKKDKDCKFIHKEWKDLKPIAKECSYIKSICPDSLCDKQNCQCSHHYLWMSNLN LCIPYLKGSCPKKNCLKNHVEWEYVNPKIYIENCIYPCTKIDDQQLSYDQQIQLQCEKAQ FLKLKSTISESNIIDVVFIMDLTGSMKPWKEEMEKAIYEILQQFDQTNKGYQMRFGFVGY RDECDKNDKITYINLTHDIEKFIKQISKFEAKGGGDLAEDIVAGFEKALELNFSQHEESL LCTFLIADAPCHGRQYHDLKSDDKIDKVEENHLENALMRYKKIKECNFLCNIKINNSTDK MFSKMQAVYPLLTITTKKEPKEICEQVLFTLRQSLTKSNIIKSNLQKQVGIKADFKKFKI DENIKNSQQNKVDYWKNLLKSNYLRQGGTNLQIQKQIIESLQSEDQNCQTFVFKAFDAIN NMYVVLKIPKFIVDLHKQGMLDNQSIEKAEQQAESRFYSSIYACQMAHFFNITTSTVEEI PPLFYVLPICYTLKTPFYGMTKVYGETFINIQHQFKKYTTNHHHSDPKYYYYSIFSHFSF IESGNTFVITDLQGCVNILSDPSIQTKKGEIPELDQDETNHFEKGIEIFLQTQHKECSYY CQLLQLSRDQFQIKNIQNVDLTKWQINDKTHQYGICAKCNQLIQFDLDAQKAENHLSYIM NCQQCKTESADDNIINSQCKCCKETFQSFQNLQLRCQSELGICSDCKNICSDLNKSSCCY CQTKCKKILKQLHIQDQIIYICKKGCKYLELLSCKNCQKQYQQDIVLNKEDYDQGVYKCA AC >CAK73240 pep:novel supercontig:GCA_000165425.1:CT868152:48342:49792:1 gene:GSPATT00009553001 transcript:CAK73240 MIFRPLLIETCQYKYQQGSEEKSFSIKKKVDYYPYFREKVASQSFHIDTQSTNKKKSKRQ MTLNQKLGNSDINIQQYIEFNIKEKPMNSHINQRVRKLIIQDNKLMRKQNKIKTFAEILT QQHKSFHQKQMKKQALSMLDQGPLFRKVESPQLSPKAQQQQQKLEFQSKTQQNNAEQQIQ DKVQNYLSRKSVHLQRLSQRMDDINVSKRSILYTSSAERDLKISSRFFKDFIGVSQQLKN KEKQDPELLKESYKYWAQAIKTKKDPQKKRKVGAVFSTSVRMKLEKMRALILDCVKKLRF MKLDPEQLMQNRTILKNPYQREGSYVFFKGVTKNDQDLVKLMLDKCRFYAFDVNENFQTA LHICSRKGHLQIAKILFQLGTYPDARDVSNRTPLYYALMNNQKELVQLLLSHKCNPWSFP GCTYGTDDPILTRMLKIARRLDMLLMMTPYNTRQQTWINYCRVFYEF >CAK73241 pep:novel supercontig:GCA_000165425.1:CT868152:49836:51610:1 gene:GSPATT00009554001 transcript:CAK73241 MSRFNYVFKPLSIDTFEYSYMDGKTEKSFTIKRKTSLKEGKSVMQRSEPITQFNNETIKQ SQKRAQTSRRMKQSPKPRSQSINSNTPKIAIRKIESRLEDELEITDIRNSIQKRSINIPQ MNYLNFNIAIMSGQTSSSFPKAQELINKDNKLLRKKTLDNSKALKTIENEIQQYERLENY HPLNIKEHIGRMLAINEKRTPIRQRNKDREAFTRNLQFLEESLQQSTAPEKKLQTVIQQY FLKKKSFQEEKAKQEEIQQTKLQEETIKININKGNMRMSTTFLKRFIHQVEKDKQQKFQV QQSIEDQLQTSEQQQEQTSEQQQQEQTNGQQQQEKTYEQQQLQQQQSQQIETQKSYMYSI KSSQINKKRQNAIYSGQVRTIFKRMFHLILDCVKKMKQMKLTIKELFQHGVIQKKPYERQ GSFQFFEAVENNSHGLITFMLQKCRYYAFDINEEGQTPLHISSILGYYETTERLLQCGSY PDSYDFKGLPPLYYAIQQQHREIVKLLLFYNANPWSNSKYKLETQNNEIRSLLKQSRKIH LLLLLTKHSDRDQIWINSRKSLLS >CAK73242 pep:novel supercontig:GCA_000165425.1:CT868152:51807:52315:-1 gene:GSPATT00009555001 transcript:CAK73242 MQQSNQLLATVANILDEIIKETDALEIEQESQSYFHANKAPSISIHNYLQRIAKYTHCSE QCFVIALIYLDRLQEKHPYLVLNSKCIHRQFLLLAIMIAIKYQDDDYYKNEYYAKVGGIS VREIFILEQEFLELMDHQLFIDEQYYFLYEKKLLEYGEIEMP >CAK73243 pep:novel supercontig:GCA_000165425.1:CT868152:53453:54231:1 gene:GSPATT00009556001 transcript:CAK73243 MQLLTQKEVRRKRRRRRILLNPKRRSTDTEKSNQLHSSYIPLTIKVLFKDLTNNAHNVHK VYIWLSILIDTIVAHAIKHLEWTRQPSKLTQKLSKNNKQLKQQLLLPQHQQEELQLEVPL VVRKERRSDHQYNNIKYNIEQSLFSTYFICVARIQYQSKTIYNILFQAEIQDTKKFG >CAK73244 pep:novel supercontig:GCA_000165425.1:CT868152:55234:57277:1 gene:GSPATT00009557001 transcript:CAK73244 MDSKLIMQQFKITQEFNKFQIAIIILSQSFTPETAHILFRQQSERPNLLQRQRNQKECDA KDLYTLIVNNNMIKEIRIINVFKEEDRESIFKNHIVNYVIQIRTDYIDYTIPKRYSEFER LYDSLPISQKIMPKFPQKKVIQTNSRKTIAKRQEMLEKFLQYLLHHLDHSLYLFLDFIKI KEQFQKGGFDQNVKMHQFSKDENALCDLLETSPKKIQPNNQAEQMILHFLWKLNETVNER GKIFQKMEKHFFGKIQQISPLLLKVLLIGDDERELQGIMQMLSIQTNDVQSHISCINGFQ FFRKILEYDYNPNAEQAIKLFSEISIKQFRTMTIQSHICGQQKLCKQDSLMILHKYIQQN QLKNQMINYLLVDDEAIREYDSYVQKQVNKMNDEVKQIRDSNENLQELLPTKSNSIEHAI LEQEPTLEQLVEISQMNLNWKYVQECGRHTMYHLQGQFIKTVHPIECPIEKAIQIFTDLK QQWFHGMLQKDVLEKVDDYRSVIVEYYIWEDKSTFKKMAFISDQEILKINDSTYQITIVP NNKQLPIHYKLKCDQKGQKMSLILIKSIQSNHTEVVIYQNIMDLTFRNALAPVILKEIPW FNDAISKLKALL >CAK73245 pep:novel supercontig:GCA_000165425.1:CT868152:57339:57764:1 gene:GSPATT00009558001 transcript:CAK73245 MGTCQSDNPYQNESQPQSEEEHVETEQMQYVVLASWKHDQQNGSNKPNHIVFGKQNGDYL QSHNQFQMNQGSVQHSRIAASSNQPNVPNISNITSKPFNQIEQKQRFSAFSGYSQTDRTA GLVKDQDIQRRPINIAKRNIF >CAK73246 pep:novel supercontig:GCA_000165425.1:CT868152:57809:59173:-1 gene:GSPATT00009559001 transcript:CAK73246 MGPSWGSPQKVQENIYRNRQYLQEEKDAQLTPQKCPHLRHVKHFDFIYKTINQDRETVQM ITFECYPDFTKLKSLEELSNNNELNPYHFKEIFKQLIEGLYELHTNKLFGRCLSLECITY VKETNQLNYGSFGFFHSADQLQFPPECLYKLMNTYEADFWQVAKMMWQMYKKKKDYYGKQ IVELGKDLLAHKQIDQKIDDKNEPKEVVRVVNSLLSFYCGQRMTIEELLDQECLDFEVQK REEMKNFYRTDPFELYFLNLMKITRQRDSQKIFVYYIDLESPICQEYRKEIFQILLTYIA LQLSLHYPNNNQQAVDRYPKLLSTLSKYLTMSSKILKDKLVLQEKGFLDNRNKFLTFRDE IVKSHDQAYRFEKFVLKQWQLDFQELKGYDDEQIIKIIHFKLYQFKASAAKPKSVSQKIL LNLLCNLKVNDDNFNSGNFKEDLQNLLKQFQLGK >CAK73247 pep:novel supercontig:GCA_000165425.1:CT868152:59773:61321:1 gene:GSPATT00009560001 transcript:CAK73247 MKPFLPLNVNENIPSDKIDSYISYMSQVYNVQQYMLIQAQNQIMQAQLLKLQSGTVNQNA LLQQKAVQLSEQIISKGVQISTKDFIPSSNMPILAKYIANIYIYLMKQCSSDNDEVTLNY IKDPNSIVIDQKLWDLICEIISKKLVCLKANDIICTNNSQIGIVSQSLLFSSIIRKVIFK KLHGNAQQINFQTLNDLLGQENRSDEFLYKFLCEEDKNTMTRQSCQIALEKMFEKSNQYF HESNLIEQIIENQGQFNINGNFEYHAEKYLSIIKIYQNSDQTKVIEQLINGLFMNQQQID AKQFIFNSNQLEEKLKKILFMFVDIVRIENSIISIVLENKISEEPQKNPLEYYAKLIERI KNKCRSREDEFCNCKRCLCVKRNRDSAREAQKRKREALEKIGPLQQEYEKINKKVQLLQF DNSKLKAAFFEALRNPVIENIIKTQYQNEFSNLAIMMQQESQDIQKEEYQSQS >CAK73248 pep:novel supercontig:GCA_000165425.1:CT868152:61717:70940:-1 gene:GSPATT00009561001 transcript:CAK73248 MEKSVNVQIQLNLGVFNLRCQNASIIQLSNLGCSDKFSKHACMNVRNHRCQWDLSCQYVS NEQIEFMSCDQQYKMPVSPLVCSSIPKLECMNSGPLGDYKCVSIYEKDYSQLKCTELGLT ELACRKIVAFEEKCIFQNNVCRKIQDNEIVNCNQKINKLACLSIDNPNLSCYWMNLQCLE FKLSDENQEIPSNISVSSNVCSMLKDPYKFDAKKYQCVPIPSENFQILDCNTIGLSKKGC LLVKNKNCCFYGGKCQELNEQDLKNYLCEMDLNEQACVNLETEFQYCQWNGNNCERIFMN QDIDCPLKFNNDLMKVNGNVCQAISKSNVLCKYDKNTNLCVASTNYDECETPFLNFLGCI SIQKHHQTCQWYQNKCRIITIQQNSTLCETLKFVNAQSCSQVYESNSIGCYYDQNSYQCK SVSIDSNQQILNKIQELYTISCKDLSLGINKVLCASLTTPSTPCRWYQEQCTFAKQQSLK NILCQNHINANYQACAQIEFNKTKCSYSYYDKQCFTLKPGDIKGCNNNGLNKYACSSIDE FCYYKDFTCKYAQGNLNNIFCQSSYPTKKACLAITTEGQFCQWSDKINECIDFVIEENHQ CEIQNVNHLVCAKVINYAQQQVEDKDFKGYCKYNENKNSCEALGEYQCTTNCCTEAVGIN AHACIKLSNKSYICYFYNLRCQELTKDVVDINDLKQVKLYFNALKLPCSSIMKKYCHMID WSETQRCFSYGEICIHFNTNYYPNIKKLIIQKQPVFNKFACLSVEGGSEKKDEKDENDEF KYLTYNEEKKICQPTRAKYQRCEEVNGNRNVCMSHTENLYCRWNASKLKCVTITEEELDQ INSCELDQNKNACFKNKHKICSFDINTDKCLNFKPDEKNDEQKNVQSVCVQLEGKPYQFS QSNECSDKDIQKFSGCVETNINSLACYKYSQGYCRWNSEFFSCYENEDDFDTLGCSDNLN WALCLEVTKEKCIWNEAISQCQSFEKMTNNDCENKLNENACLSMNECGYNQKDKTCQKLE QNMNCSDIKMNKSGCLLNTRGHKCHYTDGNCQQFQSDQTICDSQIDINIEVCMDIPKPCY FNIESLKCENVEIEIKTTCESLFDSFPQYKNNNIADQESKEGRYYNKMACSSISMDLELG YGKEQCVEDSTEKQQCNYQKYCFWNSAVYTCNVYELLKSEYDKQYHIGTTEYLWESKYNN GSNSTLEDFEKLEIDKECSQQIYLDGNYTQQINISICENVKKKCQDNSDCVSLITYQKPT SIEEAQYQKKKSDQNTNANSNCQKYCQPVQSCSNSTLETSLKFTNNLEKVCKFFTFYVSH PLCSNFKNIYKITECDNIYSKALCLQYIEADCYFDINQGGCRQLTGNEHKLPGCSAISKK CDTSYSKNAICKVKEISQNCQSIEIETTQCQKIVNLKQITFGQKCSEILPGQPILCAKAK DVCRFDGTKCYSNTNQDPCKCDKSYSKELCEYCNCDYMFLGYCQEKVKENDKEKNLSPQL NEDCSNQYSLCYEVTEFVEYNKKKVCGYVDQACKFKDNKCEDATHSTCTELIDLIVSQQA CIRCKDQPMKYSTTKQKCEPIYQTINQCENLNKEACLSQSKGIKCKWKWNKTSKCKGKKF EFECQNIEDKDLDLLDCAILNEDACKEKQYNLCWFNQETKLCMKYNPFKGECQSFKNKQT CIQSMVESCIWTNDTCQIASEQQQCDGLNKFGCLNCQSKPCVWSDKSNKCELAIIIDPSQ NCTDLQQSDISHVNALTCRSMKTKKPCILSKNYKCREIIEPILYQCETVGLNKYACILNT KNKCAFLNKECITIHTQKEGCKDYLNQNACLNQEEQCRFEHICMEFKYKNYDQLYQSGVK NYSRNICKQFDKDLSFNKSLIYSDIEGRFIEIPQSNAFISKCGTNGINKQACLSNTQDLC QYVDFECKIFRNQNQQECLSSLNWVACINLDQKCKFVQFKCQPLSEESCESLKIEKAIIN YKVCANTIDKPCKYDSNTLSCTDEIDQDEPCSALGLNQKGCVFKTKSSKCKFQDNECKSD YETAQTALCTDKINERKCLSIQNQNCKFFQEDGCQNICQIGTCLEQPCYYDEEGKICKQL QLNDDHFNGPNLHLLNQQACLIIENSNTFWDDQCILATEEMLKTLECHKKLNKLACRNVK TKSQYCQFLDGRCKAYDTESNQKCENIRHINNGIICSLIKNQDCKYDSSQSNCVIVEQEE SKKLNCEEKAQQFYSQKACEQDKNCRFIEKCIQKKEVQDQNKEQCTRVTNMPSFWNGGEC KQKEKKLSDSCQDISAMSGNLNACIQVEKFGEMCVFKDDKCQAFHENEGNHTNCPNNINK NACLQQTKFECFWKSVQDNVLEHCEIFVKQNESDCENNLSLKACLRITTEGTYCQWKHGE CQKVQVNELDTELLLKRYVNSNTCRLLKNVAVIYDQNLYYCVQIKKTDYLSCSPPNPGMN YLACLSVKRKDQFCTWNYEKKVCQNNQEANLENYERNCQIQGTNPINCSQREVKGPCGGI EEGCDQVDLNKVKCNHIGLNQEACVNLKSQPCTWVKGMNDDFDHCEETIPGKCEGNDLIV NALVCSMVVEYEACFYDSENHTCKRLDNDITDCNVQGINSYGCMQIKNCYFENYTCKLFD QNSNMSCRDANFANESVCSQIKVGTCKYNQLGFGCIESSFDDFCSTPGINMNGCNQMDQC QWKDEICQCKKLIMQQKDCLDNQDQENCNYSSKCSFDISISEDSKRVCKKINDGFQNVCN QLESGKACYQNIAGECNEADSCNDIQNPQCDCSEYSFDNMACINGDNDRCAQFESCEKLN QIECGKYTSNCVLLLSCVTKQCHHNKDKQSCINFNCTWIDYYCREQISCSEIKTEYYCNI NYQNGMQCSWHSITENHITNQFCTFEGCNSLQKNVFCHGVQVLQSVCLQVHSNLCLTCQQ ILDACECMNYADYCEYDVKKQLCKSLSCESYNQLSCPKTRCQFNQQIKICIPQCQFNYNK KQCQQFDICTWDDLFTPPCYKVEIIEEIPQSVFLTNSQKIYSLLIFIAMLL >CAK73249 pep:novel supercontig:GCA_000165425.1:CT868152:71589:81225:-1 gene:GSPATT00009562001 transcript:CAK73249 MQHLPSVSPLMYKQHKPSKMQHCSKIIGRYDLEENMCLEIDQRNLGCSSNLNKEACIYQL TDEKGQDVNCRNLYILGIFLERCRLINDVQLQTLSCSDRINKLACMNLPQKVCVWNNSCK NLDDNSNLNQCYASNLPVTPYTCQQSQSDLCMSSWKHGNFRCLEVPIDQLNTITCNQMGL NQKACIAIKTIDQKCIFENKRCSSIEPSQITSCDLKLNINACLSSNQNNELQCEWFESSC RVFQSKEGDCPTRGIVNSSVCSNSKGACMYNGKEKICQKIQRDTLHSLKCNTIGLSKKGC LLVKNKNCCFYGGKCQELNEQDLKNYLCEMDLNEQACVNLETEFQYCQWNGNNCERIFMN QDIDCPLKFNNDLMKVNGNVCQAISKSNVLCKYDKNTNLCVASTNYDECETPFLNFLGCI SIQKHHQTCQWYQNKCRFIIIQQNSTLCETLKSVNAQSCSQVYESNSIGCYYDQNSYQCK SVSIDSNQQILNIIQELYTISCKDLSLGINKVLCASLTTPSTPCRWHQDQCSYIKQRKDI ANVLCMDLQHANYQACALAQYNNEPCIYSYEQKGCSNNLKKETDCKTDGLNAIGCEQIKK NCYFDKVSCIVKQEIDFIPVVANLNDYQIIRPNYYDSEECKSIYLTKLACSSITKKGQTC IWSFKLNTCTETSITYNEKCFAYSGASVIINPNVCASILSDVSTFCTFDGKTKNCKIPLK IDCNTKCCTEYNLIGINAHLCSRFSDQTKGVYCYFRDQRCQELKVETVDISDQYKVKKYY NDLKLPCSSMNRNSCHMIEWSTNQLCYHNGEVCNNLNFQLYSNLTIFTQEPSQLNKFACL AIEATESALNKLKYFTYNSTNLRCQEFQVNSEYNISKCEDANGNKNICTRYTKNNWCKWD PDALQCKTIKDDVFDEFYDCNSNVNLKACVENKISNCFFTYQNDTCLEYTQDVNPILLNY DWQGSFQVCKCINAVGQKWRYSEEKKNCIKSDETFEDYNCDISKFSGNNRYCYDNTIGQC RWDSANLICYQNGTEISELNCDDYLNKVLCLKVTKEGCMWNDKEYQCQIFQYDPNALKDS YKLINEKACLSIQDAAYYYKRENNECQKFKDYQSRSGCTNYQMNKYACLVYTQGYLCFYD QNESIPNNFCKYFNDQQFACAPKFGNYINIEVCRNLPVSCYFNAITLECKELETSQQQYN LSDFQKEKQYPNKIAFASYIYKQTDPIDYNLTYQFGGLCQLENNCYFVGNNSWTYEFSSD YQEQKKNPYIQISIEWIQINMFCKKQIIKFQLEQQFITYEQNQQKFCIKNTTYISEEMFC YNSSDTITEVYEELDDDADSTYVSIYTSQLNNTQTTAERNQNLSFSKELCLNFKKEEYFF DLKQGGCIQLKNNEHNSPQLNEEDCSNQYSLCYEVTEFVEYNKEKVCGYVDQACKFKDNK CEDATHSTCTELIDLIVSQQACIRCKDQPMKYNTTKQKCEPIYKTINQSCLSQSKGIKCK WKWNKTSKCKGKKFEFECQNIEDKDLDLLDCAILNEDACKEKQYNLCWFNQETKLCMKYN PFKGECQSFKNKQTCIQSMVESCIWTSDTCQIASEQQQCDGLNKFGCLNCQSQSCVWFDN SHKCVQAIFFDQPKNCTDLQQSGISHVNALTCTSMKTQKPCILSKNYKCREIIEPILYQC ETVGLNKYACILNTKNKCAFLNNKCITIHTQKEGCKNNLNQNACLNQEEQCIFQGGKCES PNFMPINQLLQEQYATYSSSFCKQIDSDAYVYSDIQKKCVKAENLDTNLTDCSFPGINKQ ACLNQIKSFCEYKNNQCQKIDKIQIDSCSKQKLNKFACTQVDRISCKFENNECLEVKKDE QFDCKSLQLNVNEKICSYLTESCFYDNKNRVCVKADPDEQEKCEGLNFEACINDSNKLLC EFNKSENKCESSYGYSFCDDQVNKNKCLAITTKGQFCRFEKKKCQKIDITGIKCETQFQT NPITCSKIEDKEACFYDKFEKMCKIYKQYCDNVTCFSHQRFYQNYQLSNIDSFNKNTCVQ FQEAISYNPKDLQITKLPVRLLWNDGCAEVKNIQLLYLRCDDYVNIYTCVSILTPKQYCY FKDNKCLNAKLTDFEDKQCDEIININSGVFCAQQSGNPCRFNQLLHKCESFMDNKIACVE ENPEKKGYNEAACQLDSENCIFSDQCYKVKKDQLQFCQNALDQEQCSRVLIEGCKSTDNI CLKIQDSEYNELKCEDVVNRIGCVNIQTQDQYCQFKESKCVSYTIQKSSYMYFQSSISSK ISAQCEMFQNVNHYRFCELTTDLGCMYDFQLNSCKVVPENQYLICQRGINKIACLQQTDN NLKCQFLDYCQDSDSGIQNCQFSDKNLCCKLAATKENCLIQNIYQCQWQNNECQSYQENE NKECDRIKFSSLSVCISVEDKFCKFDKDSDSCISFNPNSCDELQSLSQCKRMSTLPCIWP DDKQDKKQCIQMVDQQTSGSLQLSCQDVKVQSGNLKACMNVKLQGQMCIFKDHQCETFQQ TNENNCLDNININSCLQQTKSDCYWNLKVNFGEQCQAFQDFDKNDCSKNLSYTSCLKISK EGVFCIWKNQQCQEINDDQLIVYKPSSFTQINVNVCGLINNGDKVQYDKNIYQCVKVQDI RKLSCSTEGLNKEACLNIEKQECLWDVANRKCIKYIFNPQLKTCKILNVSSQSCTQINVQ EACGFVKNRCDKVDLKQVKCNSDGLNKYACLNVEEYPCIWIKNSNDVKYHCEDFIPSTPC EQVPKDVNSKVCTLVQEDSCYYNKDKSKCEVPNQNHTDCELVGLNSIGCVQIENCFFKQK CQLFQKNSYASYKCDDFPVANKLICKNAADSCKYNEIQYGCSSANNELCSNDSLSIEACK HQQQCVHKDQSCQCKYGTQPGQEIKENADCEQFKCENLEEKLCLNNGECCKFEKVCKKKQ CYDLTQQQCIKNDCDWNNIKESCIDIIECEQFQDQDLCNRQQFQKRQCQWVEMKGKQICV QNKCHNLEKNQICTGSRVAQQTCVEMRQGICASCEEISDSCECLRQSDYCQYDNRKCTSK KCNQYSKELQCPFEFCIFQEKCQTKCEIITDKFNCKQEENCEWIESIYRCNMKCIIIKKS EICDQIYYCQWDDVGKQCLQDFKNEQVTISIFIGTKMIFPIFALISFILI >CAK73250 pep:novel supercontig:GCA_000165425.1:CT868152:81666:84154:-1 gene:GSPATT00009563001 transcript:CAK73250 MIQGLPCYWNSEVDQCEYKEKKLYDECQDIEVASGNMRACLDVERPGQLCQFVDNQCKTF NEVPSQNNCLNNINKVSCTQQIKNECFWDLQTIKIKKTSLAKQETEITIGECKVFKEFDT WNCSDQLSYLSCLKITTKGVQCYWNDEQCQAIPIIKGEIITPNTYVLINSNACSLVNNGD MVRYNSDTLTCIKDTDFNNLTCFPMTPGLNKQACVKNTLQKCSWDEKNRTCVFEAKRLLV EEQLQSHRLLQSTTCKRDGLGPQLCSQLSLQLPCGSGEVGCDLIDINTAVCSDKGLNKFA CLNLKTGPCAWMEDSDGNFVCQEYIPFTSCEQVLIDVNSSVCSYVLDDACVFNQTLNRCQ IPTQTYTKCDVDGINAVACSSINGCIFKNQKCQAQDPNVNVLCNQAELANYQVCTLAIDR CKYSDLTHGCIKSTITDNCNTLGLSALGCNVLDECKWSDSKCQCLAYLEQFPVCSTILEY NKCENLDYCFFEVSESKTNKDITQYLKNTNYGTCRNKKCSDMIASECQGTVIGDTTCYLN TKSQCLPANNCSELIATSLDCSTYKINNQRCKNKTSLTGCENLNCNYLDQANCEQFINEC VFVGYCKIRSCEYMGKTQCLQSNCDWDDQQEKCTLQLDCSQISTSDNCILKKQQGIQCAW IATLNKVEECTSTGCRYLGISQGDCMGTHIGTDVCVQMNDFSCVSCEEIKDSCICLNQSL FCSYDNLNKKCRSKSCQHHNQDDCPSTHCRFNNSSKICQPLCQYNYNQLQCNQADECVWD SINKICASHLSNVVAPQINLPAPNNANILMPIFLTIVMNL >CAK73251 pep:novel supercontig:GCA_000165425.1:CT868152:84297:86473:-1 gene:GSPATT00009564001 transcript:CAK73251 MQVNYALEIKIINVENQSLQILLLYACINLANSNCKYDNNQCQLQPSQDVGCLSNLSIGA CINQKKTCKFTEGVCGDFTVDTIDDFLKSEVNYPYSISVCSKLDSSSETYKESFIYNTIL QRCIQITDRSPYISDCTLPGINKFACLTKTNTFCSYTNNQCQSQTKTSLQQILSCSDTLN WYSCSLIVTDKGTLCKFKDNKCQDVDDKLDTCQTLQGQKAIVSSSVCASRTDLPCRLNTQ TNQCKVIDKSEIYVCKESGLNLIGCRFQTQGSLCIFQGGTCQNSYGNTNCKDLVNKDKCL SIRTKKQFCYFDDTLGCQDIVINADIAKCGVFSKQTNPLVCALATAQASTACYYNDNEKK CEEFKSDTLTEWANRISFNSKACQLYEADSKLTYWKDECLEIPTQQLLYLDCDSQANRLG CINITNPNAQCIFNKTTNKCEKVTDFTKACVSYENINSSIICEKPTDSSCYFSTSDYKCV NLNPEDEVDCSVQTNGYNKIACATNKNCVFSDRCYQKEEGEYSLCADATNNKTNCQAVKY EACQFKDNSCTLISDLSSIKCQDAVNIFGCQNVTTNGVYCQFIDEKCQEINPLTIKDTSC TEVGIINSFMFCEQVSVEDELCKYDVKKKQCVLTEPTDEFSCNRGLNPLACLNKTTQSLQ CKFWNYCYGPNYQILNCDPKQVADCCTLASNLESCLFQSQFNCVWTNQCLNYTSNQN >CAK73252 pep:novel supercontig:GCA_000165425.1:CT868152:87527:91879:-1 gene:GSPATT00009566001 transcript:CAK73252 MVLPRFFLLCLIFFMGSTMFCNYYPMDAKACISKTDGIMCEFSLFENACLETSITTYGCE PTLNKLACLNQLTNPDDSEATCIFTSKCQPAKKQHFKNLGCSIKYSKYGCMNALKKDCIW NNGCQAYENEIPLGDDCSQIFDESVTPSLCQKIQNIQCMSSGFKGDYQCVTVTEQLLSKI SCDQLGLNQQGCTQISTKDQELVCLNINTIGIFENNQCKNVISTYPQKCGLLLNRLGCLQ IINPKLKCQWKNSACKDFVDTKQSCEQITEVNSIVCSGFDGICLYDSTYKKCVNPTSNQL EKIKCNTYGLTKQACLQIKNQYCTFFKGICQEMSLSDLKVYQCKMDLNEDACINIETQFQ YCKWDGVECTRIQLNQDINCPLDSLNAESKVNGNVCQSISKPGVTCKYNSTTHLCVRSTE KDYCNSSFLNLQGCVSITTAGYSCQWTKDGCVNVKIVPNNTLCESLGFANAISCSQVYES NSLGCYYDPSYQQCKSIILKEEQVFLNTINCSDTKFGYNKSICASITTPGQVCRWYQNQC SQIKSKEQIAQIQCIQLQFVNKYACGLVEYGKEPCRYLDLQRGCVNSIVGNMNCLTPGLN SYACAVASGSCYFDTSSNSCQYVSNPYQQQTQLTAAAKAELLSTMTCDSSSPTIDICAQI VTVGQICSWSFRTNRCQNQFVASNSLCSNFSGQNVVVNANTCAAIENEFPDYDPIGGAKV DVNRANCKFNSKTSNCEVNKDSCSTPCCTEPEKIGINAHSCSKYSSKAANTFCFFNNDLR CQQLTTQDVGIVSADTARIYFNANQFKCSQMSVNSCFMITWSSKQRCYYNGSSCVNINYA NYNDFSVFVTAAKLMNQYACLGIEAAKTNKNTKKYFTYDSIAYKCNSQDAPIDQDSCEKV IGNRNLCLATKITVGDGYCKWDPTNLKCITIPKDEFLQINTCNYNQNKQACIKHANLGCQ FNNDTDRCIEAQTDVTCSSFEATGQVSSPVCLKVSKGKEMCKFDDTYKCVTHDVDAVKCD IKGGNSIACYYKTNSNQCRWDPISLKCYENKTSIQLLGCNDNLNKNLCLKVTKEPCVWDI SQYKCYKLESFDSASFNKIESGSEFNKQTCMSLIGNSYYHNVSTDSKGVESQLCSILSSQ MSACNQYYTNKQSCLLQTRGISCYYDADETDLSKRCKPFTAEQSSCTTSTLISIQVCMDI PKQCQFNTTSLLCLSTTIQETDSCSDLKNKSGQYYNKLACSSINSLISGTYGISQCLGKE EHQQTCNHEKYCYWNSSTYTCDIKTLHAISMGTEEHCEDQTVTNPETNETTTEEVCTEVP KCQNDQNKDALYVKECSYRYSKALCLEMSEANCYFDLNQGGCNPLTQNERKLPSCDSVYS VVQNNCVESQSRYALCKVESIVRPWRELQLNALQILF >CAK73253 pep:novel supercontig:GCA_000165425.1:CT868152:91959:92446:1 gene:GSPATT00009567001 transcript:CAK73253 MSTNSRMRLVRDLQKLKQMIPQGFQAAPFDDNILLWEAVIFGPDQTIWDGGIFKLLLEFT EEYPLKPPNVIFKTKIFHPNVYTDGKICLDILQNQWSPIYDVWAILTSIRSLLNDPNPDS PANSEAAKLYLEDKITYFKRVEECVEESWID >CAK73254 pep:novel supercontig:GCA_000165425.1:CT868152:92453:93153:-1 gene:GSPATT00009568001 transcript:CAK73254 MFGFVSLAYNAAQLIGWSAILGLVILELVNGTEKTGQIVWLVQVMQISQTYDLISNIVGL TSGSLISNILQLGGRLVVALLFMYEGVCFCCLINAVIAWSLAEIIRFSYYLFKNNSLFKT LRYNAFMVLYPIGILGELRTVNQSLAVYGDIQIRGLQTILILGGFFMYAQMLNAKSKHTK QTAVDQKQNVKQRSNSPVNRPKRE >CAK73255 pep:novel supercontig:GCA_000165425.1:CT868152:93185:93875:-1 gene:GSPATT00009569001 transcript:CAK73255 MLFLETIWYYYEGFPLNKLLAILSFYTNFTQFSVVVYQVWSIIEGFLWNQQSLPLKRFQT FLVYACFIVAISFWSIYLIQPDAVISKRHPISNYILLTFHGGSFLYLYFITIYTSSIQYS GNAKIVTISGCLYVLFIVLNYLVNDRVPYPFFRHMNPLLAILIIALSVFMISSLYVFVCK RYHQKKSLEEENKNKLY >CAK73256 pep:novel supercontig:GCA_000165425.1:CT868152:93915:95661:-1 gene:GSPATT00009570001 transcript:CAK73256 MAHHQEQVFGELEDCYQAFVPKKSKSKQVPEANDFMELYHLTVEQIDLESKDIVDKKFLK LSNSILQWIEEELKNTTFQILELHSLNQQHHYVQIKFGFLLVDQANLYSVYSFYSSLVKK KESHPILNSDCVEFTMEGGRVIDHSLSFELFDKIDYSLPDLLNYVLIHCAKQFKSNVRAH TSIFLISKYFVSEELSDLKKALLEEIKLRYPNTIGNIIFVLPLQSTATENYEITKIYSFS DPKKMFIHLLIKLISNPQIPLLSQEIYSLWEKDFKIYTMNYQQQLFKIKQAYFLMYQTLH FMTSYNKKNTTMKELLDTLKNQPNNNIVYKQYLQKRKQLSDAILVFSKYIEQLKSENQQD IKDFKQKLFGLLCSNQASKWFTLSHDCKLNKAKQLFKDLLSIGNEQNIDQAILVYLENDN YDNQQVKKQNDRFTQLTKDNEKVKFEDYVNQFLNKYIVTIINDIKKSCSQYIFKDFDKYL ESTNPDILNHLHKNLIDTCTSNFKQTGENYAKIQQQYQVALSNLIEKQDMIDFQTAIANL KLLGLIEDTKRVKPTIQRAVYAKQMYINYNNEF >CAK73257 pep:novel supercontig:GCA_000165425.1:CT868152:95707:97533:-1 gene:GSPATT00009571001 transcript:CAK73257 MDQKSPQKQSSSPIKMSINNIDKITYAIKDSWKNQQDENQKLFDQFLQYCKSQYPVFNIK FTCSISGEWLEQPVSFTQCIIDKKHLNDCFDLKQILKYLIQNDSNFMQDGYIQCPLCRSK SHFKKDIPLIPNLFFQQLKQQLNDKSKPITYYLSTQTLIPFYPGFLGKEQFQYNNQIQQI FSQQQDAQQSFSTIRKIIKGNESFKYFHVCLLGNIRIQIPVRGKQCRHLECYDFLYLHWY MQQRDQKQLKCLLLGCQEIINLNELQLDSELYEIGLKSFNFSSDFIFDPQSSTLLDTYSI TNYDPQIAAYKKLVPTTTENMNYIKSIYDLTDRIYSSTRNQELTDKFYEHPLNGDLNVIK DQSTNCKVEIPCRCIRCDKVNVCDLRFMSCILNQVQHPENRNGVKTYSVSCPLCNNPFSP KKRHRDQSVHEQVYVDTKMLNFITTIKNFNYLNKEKYQLFLQKKLYPSLRFEELESPIQE LDQFNLKLRCLITNQKLRNPIRGIYCHHPEAFDENSLTDLCSTGQLDLNLAQCPLCQQSI HKLTHDNTLKELLGQLQGDFDEVQVFPKLKLIKQLK >CAK73258 pep:novel supercontig:GCA_000165425.1:CT868152:97935:99908:1 gene:GSPATT00009572001 transcript:CAK73258 MADNQVQNWGDLSSDEENEKPQADSKAQNQQGAQNQRHNQSQQGSSGRQQRQRQEQTQGQ VDLNQEGDEHDNNINNPEQSNQQGNYNQRNNQQRKGNRDNRDNRDNRDKRNRGDGNYRGN RDNQKNFKNRDYNNNDRQDRNDRNDRQDRNDRQDRNDRQDRNDRQDRKQHQDRDNAGLIL QKKEQLSNYNGDSINILIHYKFDENQIKETLKDITTKNFIITEDQTKFDVDKENALKLLE VYKLQIELDGRIQKLYMRIGSDHHDRNNQGNRGGYQKHNYQQRQQNNDNQNDFGNRNRDE KYQNKRNNFEPTKISRNISSKVDDNQQQPEQQQQSQTDAQQHQGDHQQQDNQKQERRDDK TVGQEENNQQHIPQEQNQRRNNYKGYDNQNRGYNQNYKQYNKHNNYDREQNNQNQDQEGQ NRDDQNQFRNNHNNNYRGNRDRQQYRNQNDDHDQDDQNNREERDNQRGGQRNYQNNRGNY RNNYNKNNRGNDKDNEVDNDKPNFNKNNNDGNNNNRNYYNNDQDNNRNSNKEHYKQRNYH NRDNQGYETKQQGGEEETQRKQYYRGNQQNEIKEFAFSSHHDKQKKESQKSAVKSNNIFD ALK >CAK73259 pep:novel supercontig:GCA_000165425.1:CT868152:99934:101028:-1 gene:GSPATT00009573001 transcript:CAK73259 MSFDKLMLNRPNYTARKLLKPFFASSRPQNFVPTPTMNKTENKDRPLNLIETQRKTFHQK NDSNKSQSISIKKQRVQDAYNTLSDFNDKKQIIASLKTLNSADERIQLIDKILERKDEMM IAQLKLKAVKLEPIFNVIRRKLRKQRLGSATPNQSKKGTLILRQKTSEDLPQTMPDQTRD SKDKQDHSLNQQETTNEDQIIEKLQTKFTAGVAFSWLANRHDNKQDPDPTERQYLRRSTA LQHGKNTMNRLKQQVSKKVSENSEELIRRGNFNPEQKKEKFDLSRIKAKVDSGLNKPQLS SLPKINIIKRQETLGYVTSDRKEISALGEIQTLRSDNKLRFQKL >CAK73260 pep:novel supercontig:GCA_000165425.1:CT868152:101059:101726:1 gene:GSPATT00009574001 transcript:CAK73260 MSQQSLSQVSQHSQQQQHQNQQPGQKIGSSIEDITQDLEELFESLKIEKQGQQVDQIEKS TLLALLLYLNIDKSETQFFNYVVRTLDQLQSTTLNKEQFKTLFLDPQINTDNLKSEEFAQ IFSVFDLQKKGSFSAEDFLRLYKETSEYLNLNENQKQQVEARIKKDFEIISPEHKEITPI EFFKIINNQG >CAK73261 pep:novel supercontig:GCA_000165425.1:CT868152:101753:104948:1 gene:GSPATT00009575001 transcript:CAK73261 MDQDQEIKRKIRSHSVEREKTESQSQFTDEIEDDKIVTIGVCCMAKKSQSKEMQEILNRI NPEFFKIEIFSEQMILEDPIESWPIVETLISFYSDGFPLNKAIAYVDLRKPFIINDLKKQ QLLWDRERVYTLLKKNKVPVPKHYFVFKNPNKYIIDDYNRKEIEKKYKPRKTEQEIIEEE DNVDSVISFLQNEDSSQHIKSVQTAKQLQELLLPPRQDTMEEKNKNKMKQGMRSYDARSL SAVIDIKKDPKELQITKIEECDDYLLINGQKLVKPFVEKPFDAEDHNIYIYYNSRDGGGC KKLFRKVGNQSSIFDPTQNSIRNDNENYIYEVFLPTNGFDIKVYTVGEFYAHAEARKSPV LDGKVVRSQNGKEMRYPVCLTMEEKMMAIKIVNIFGQNICGFDLLRSNNKSYVCDVNGWS FVKGNAKYYQDCATILQNMILAKLRPTLLQKQISDINLVKGFYKNSFRPSSKDLDGKEQN SELRSVVAVFRHGDRTPKQKMKMRSANELFLSFFDEVADPSKEIKLKHPKQLLKLLNLTR ECIAKTSCQDDNIIKLLQMKSVLELGGHFEGINRKVQIKPLKTQKIEKNGSIIEFPVEVL LILKWGGELTQLGEEHAVRLGQVFRHDMYPTEKDGLLRLHSTYRHDLKTFTSDEGRCQYT AAAFLKGLLGYEGEVTPILATMVQKNEVAQELLDCNNLEIEEEVEIKTILQKMLTNDEDM LTQIYQYFPNFNMTQTQHDLISKFKCPKSMLIQLHTYINQLTKNIRLHIHLEKNYYITPS DMEQGVQTMFESENLTLFFKRWYKLEYDFLQKEKFNISKIPDIYDSVKYDMLHNQDKLQF YENSKEFYYLAELLSHFMVPFEYGITTKQKLTIAKRVVGPLCNKIKQDLLWWNRSESKNQ QQEEDYWKFRQTDESDLNSPWRHVRTRLYFTSASHLYSLFNILYYGLGHYLIEDEFKQKQ LQQILMLQYLSNIVIKLYEDLSSEKEDPNRFRIELSVSDGIQMQFPIDKNMLPKSDNIHI NQSLRLDQLEEFLNQIIDCAFGDDVQSL >CAK73262 pep:novel supercontig:GCA_000165425.1:CT868152:104991:106290:1 gene:GSPATT00009576001 transcript:CAK73262 MGYFKNRPPFQKRVANSSLLSQSDEILLDDLLFLISCFENNHSEFITKAPSYYIEQYFTL ANEQEYANSYIIRNKVFLTCHLMLHEFQLGIDFADHFLNQKFPVLLDKKSPVFVKTTSQK EEWLSVNIQLSYFQYLQKLAVNIQVFHACKVQQYPLFQEGQKFIDIQTKLLWLFKISNLV NSGLGQMEILKQALQMVPEDMLLKEIVLVYWSDIIVFYNFLSKEILQLLDYYRHLATHIC LQFYELYLQLFQIRNSISDLYKYRKHFDRDNVIKQPKWFDVNKPVHKQIEEFMLKQKLNI GNNTDRAASKPQMSSRGSKSQIALDTRIDLSKLPRTNNNTIKTHRAGRQGESEGDDEDFE QLKQILQNQNIQTKLTMKKQQKQKQQDSEISFQKQSQEEGTDLPKGD >CAK73263 pep:novel supercontig:GCA_000165425.1:CT868152:106429:107073:1 gene:GSPATT00009577001 transcript:CAK73263 MLTQYIILVTLIYFRQVSLFQILQENIQGFTLLLFTGLFQNFYQILSYQKYIFQVLLTQV FLVSYIINTLNQLKILFFNQTKQILWSLIFPNVQENNFLHFQSFKYFNLEWIVFNFKSHN SSKIIIDLGILNATFLIIYIDFLYRYSHCKKIEYSIQLNNSSLVHTKQIYFKQKLSQIIN LWPIFRFFQILIQSIRYIYRLIKFWEDVQNQLCN >CAK73264 pep:novel supercontig:GCA_000165425.1:CT868152:107143:108022:1 gene:GSPATT00009578001 transcript:CAK73264 MQKTSLVNFLHNGSNYYPFGDKPEKLSSTQGRFVPFIFERNQVGKLANAEFYHLNTNQKS AKQRTSEQANSLLADTIIKSKLHTEQDTPKYDPITKYVTLIPTSQKIDELHKTIDIKTYT LNHTSVERGQLMRKQQKGLNHKHQLISRSTKTLTSQIPAIGIYDPIPLNRHLPNIQIKSK SIQKLPEVWERTTQVTTPKINIKSSESEMMFAKQIAQINREVDSQMKRNPNQKFSTLQFD VHENMNLEEAEQMRNRMKQYFQSVKDSLTKIKNLINVKR >CAK73265 pep:novel supercontig:GCA_000165425.1:CT868152:108086:109444:1 gene:GSPATT00009579001 transcript:CAK73265 MSSAKVPNITRIKSNLEKKFVDVYGNNPQNQQMLDYIIKELKGETAQSEKKTKSSKQQPL YSEDKAVQSVPQTAPQMTEKFKEKTKEPTNQNPKLTEKQSSHHGKSAYEVDSLKWGKYAK EQDQKQKLEDEEKLKKLAQQQEDLRQQLCEIKLRKLKEKEDEKNSDIRFAEQQKQLIKKQ EELSRQNKEMKAKQKKEELQQVNAYLDNLKKMEKKERKQRIAEEQQEIAQVLRKMQIDDE QDKKKKLEKQLEMQKVLKQYDQDQVRQKQTIEKEKEEDRKIMKQNSEIIKKRDEQREKEK NDRLNKIDGIANRFQQTVDKELQEKIKEEERKCQKHMKDQEEKERREEERKRKSQERRTK EMTDELKRQQQQREEAKRREIEEDKQLAKQIKEKMMQDEKVSLIKREKYNEQIQDTNQKI KDQMEVEQNKKKKRKT >CAK73266 pep:novel supercontig:GCA_000165425.1:CT868152:109500:111307:-1 gene:GSPATT00009580001 transcript:CAK73266 MNFDQIESEKLYNNRFFIKKKISAGIFCNQFMPQGSFGVVFLCEDLQTKEYVAMKVEKDD TNVIGLDREIQMLDELRKIPGSKFTQIGIPKIIWAGNDHDRNCLVMQLLGRDLSYHMKEL RTFSLKCVVNIILQVIQIIEGVHRRGIIHRDMKPENIMTGKDQELNQIFLADFGIAKFYR EPDGTHTPFKESKPFVGTTRYASINAHKGYELGRRDDLESIGYMFIYMLKGKLPWQTFHN VNEKEKVKIVGQMKSQISIEELCKDCPPQFYQYFQYVKQLQYKSSPDYRYLYSLFEQIAI QNGFKHDKRFDWTEFSQGTTKVTAEQTQQETRQQIQRRSKVEDKSPKNSELNLNRTELNS QQKDTSKSRIRSRHQSEQRVNKSGNSANSYSSSCINSQQSSVLINYQNSQFTQSNVRIGS SKLLSRLQERGIIHKNSDSRSSIIQQQSSKSFRERNQSSQQIQQVLSQQQQSQHNNSQMM SKFQEDFQDLEELEERLQKDKQSIQRNQQHKNNKPLKVKEIQHHFEQNENFTEIGGMTQL EDDASLENKVKIYNFDAPKLKQRLAKY >CAK73267 pep:novel supercontig:GCA_000165425.1:CT868152:112145:112534:-1 gene:GSPATT00009581001 transcript:CAK73267 MLQFASKHIQIVKTKRKAVYHRSLMYLQFNIHFTQKQIIISKSNLYEQQYEFNQIFDPQC DQEQIYLCVVNPIVTDALNGISSNLIIYGSQGSGKTYSLFGTIGSLFTLKQGLVSIESGI AIRMIHVNM >CAK73268 pep:novel supercontig:GCA_000165425.1:CT868152:112561:113419:-1 gene:GSPATT00009582001 transcript:CAK73268 MKGYLDNPYHSKVHAQDVAQTCNFLLSKCKFIEIGQLDEQDIACVLIAGAIHDYGHPGLT NAFLINSRNELALTYNDQSVLEMYHPSQCFKIAWGNQKVNIFENLQFHKYRRIRESIILM VLSTDMAHHASELAITNSRIAAPDFEPNGKDKQRLMDLIVHSSDVSNPTKSFEIYKQWTE KVLAEFWLQGDKERDLGLPISYLCNRFTTNTAEAQVGFIDYVVKPTFACVLGFLPAYEPF FQNLDKNKAKWQELIEYYKQQLASIQPK >CAK73269 pep:novel supercontig:GCA_000165425.1:CT868152:113539:114549:-1 gene:GSPATT00009583001 transcript:CAK73269 MLPSRSDSQQRSLENPDKQTMRVDSTKRTDKLIEVPRKKLNMRLYTESEQRFQDYVNSKI VTISIIALVGAYAILIFIIVALEELLDETEFNNVSSILSWIELGILIVFILEIFVGLYAW GIKKYYKDKWLILDTLIILLSLFFVIFELADQKTSNVIKVIQAVFRFLRIFLLIRKAQTF RRLATISTISTPAEKIVRFLAELKEVLEDENMKLDIDYCIDKIGNNQLYQMGKLDEDNAE AMAWLNQSQQGRAEVKRIVTNEQQQQQQQKKVIANFQKLNIPKRLLEILYKQHDDLYIDP FEM >CAK73270 pep:novel supercontig:GCA_000165425.1:CT868152:114751:115700:1 gene:GSPATT00009584001 transcript:CAK73270 MKLSPICQTLIHSKSNPLSPVIQNIKKHSVHSIENEKKDNNNQLELRINQLVEENKKVNL QLNDKIKENEQLRGQIMEQEIQINKLKGIEHELILVKEISDIRFQDYEKSKLQAEHLYQE LTDIQSVFQDSSNKLMQQSEEIQQWKIRYAELDSTRLQQMQELKQKVLQQQEEDEQKQSF LKVITEMEKTIISLQDQLKQKDDSLKLANDDLNQWKIKYSKVQVQQKQLANDKEKDQKIQ MLIDEIERLNDLLGQRNDEIYTLKRLAEKTAQLISISQRAQRSASGQKYK >CAK73271 pep:novel supercontig:GCA_000165425.1:CT868152:116644:117228:-1 gene:GSPATT00009586001 transcript:CAK73271 MKSIISVFVYFYFKIQNKSNPLFCMVQVKRQDRRKVYEYLLLEGVIVIKKDMALPLHAET GVKNLEVWMLLRSLRDKKLVDLVFSWQYYYYYLKAEGVKYVRDKLGIVEDVIPATFKKAD KKFEEDVPERRGPRGNKPFGRGGNRGPRRAEEQTETAQQ >CAK73272 pep:novel supercontig:GCA_000165425.1:CT868152:117867:118074:1 gene:GSPATT00009587001 transcript:CAK73272 MKSKDITLLDGCNNVIVIQQQSEYFEQNQMKMIEIDKCQQQMSQGRKQELGDWEKFKKQK EQ >CAK73273 pep:novel supercontig:GCA_000165425.1:CT868152:118272:121889:1 gene:GSPATT00009588001 transcript:CAK73273 MDENNNQDGEQIIIFEEKITKVNGEVAIKRYQRGKFLGKGGFAKCYEATNLGEQEAKSSL TRNRARQKLVSEIKIHKSLQNSNVVQFEHVFEDHENVYILLELLCNQTLNELIKRRKRLT EIEVQCYVAQMINALKYLHNNNVIHRDLKLGNLFLNKNMELKLGDFGLATKLEFDGEKKR TICGTPNYIAPEVLDGKVGHSFEVDVWSLGVIIYAMLIGKPPFETPDVKSTYKKIKLNQY SFPDQVQISDNAKQLIQKILVLEPNKRPTLDEIMAHSFMNSGGTIPKVLPLSTLACPPSI QYNKQFQQPSRASETAQSNPKMATVRPNISSERQDQFGATSGLNTGYNGGYNSSQRPSSQ KPQDFKQSVSTKSLNQFYTSGTLQNQQSIKQKYEIFVRRWVDYSSKYGLGYLLSNGATGV FFNDSTKIILDPKTQEFEYLERKGTDKQDAIEKFSINSYPKELQKKVTLLQHFKSYLDAD SKTITSSDTNDYDPFQSVYVKKWMKTRHAIMFRLSNKIVQVNFTDKTEIIXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKEKKRKRSRSQKKDKKKQKSKKSK ERKSKEREKSEKKKSNKKSEKKKKKDKEREKEKDKEKDKEKEKEKDKEKDNNKVDAQKQG EEVETKEQRIARLKQERRKRSRSNSAVKHYQKQILANNPVAKSNALGYDKQDCFWDGFTW VPKTNLHDKVREDKEKVMSLTSRMRRIQICNIPTGLTNRDLYAELSRFMNRNYLNDVGNA KPILYCHLNEKDRTCTLELSSVEESNRMLKLEEIKLLDESCKIFRLGDSLYGQSVNQSQL VQQAHNMAQAQAAAYLALKSLGYARGQREEDEILGLGRYPIQDYKGWKFLKCCYGNKIQI KMNGMKCEKIQLEGFSQYGHIEDDFFLLNLFKQVLGRQLCQLTTLAEAGSLFLVYTTIED AQRTVISMTGITYNQRALKIIFINEQTYIRSYIPLKLKQQPEIEEPQINQENDNYDNEPF EDQEDYLDQMDNKVLENNNNKDN >CAK73274 pep:novel supercontig:GCA_000165425.1:CT868152:122056:123733:-1 gene:GSPATT00009589001 transcript:CAK73274 MSTVTNESKKKETIDKALIQQQREQLKQTVLERFIKDFGKSNKTKIQIITQIVNDYFTKT RVTDVTLKTLKQQVQQAIQNVGSTTQSQAEKSIQESQVTQQQQAQHVPQQKPPSSQSRKS NKQQLQQQQVQQYNQDAHSEISSKAPKSVYMMEGDEDDEWATLVKFDTELYKKEKELENV RKQEFKKKIKNELDRQIDEKQNKKQEEFQDEDAYVKLHHYQLNVYDQREKEKQDNLKSKI FNEKLQRDKQVRDEQQRKKVEQKKEKELDGLLVKKIREELELEQREQLNRRNKERERFLR MMKENEEYRKKALEDAKLEKEAETQMQQQYINLQNQLEEQRDLERKQREEKMKKVMGMFA EGVVRDQKELIRQEDDKMLRNIIAQNEREKIEEEKKKIKQLDQRQQLRSFLNSQIEEKKR RQEEEEELNKRQAEIWKQDLNNYNDHEKKKFDYIKEVNLRHADILKSQIEEKQSKIKKKT TKMNTAELLQNKDKLKVIAQEVPELGEKVKKIEI >CAK73275 pep:novel supercontig:GCA_000165425.1:CT868152:124784:125685:1 gene:GSPATT00009590001 transcript:CAK73275 MRNYITITQDNNVRQYPRLLANEGLQNQMAKFKKIENEGGFKKKVETNFVLKSLHKMNHE THSFRLIKVLDTPFIQRRKSECDGVISEKIQNIDMKYPKTTIGQHQFLDRVKDLRRKAIK GRMKNKFQKSFRKIDTTKPSQFNHQPHYTMSKRDSTYGSLQEIKVLRPFARDRAYYQRTL EKKGSDSFCESPSTPNLHIRRRTQKIGVTQNQKQFVLLQLNKFSPKNFEQIKKDSILKIL SETKESQSQTHVVPQPASIILNKFQPYICNPKIMNLKKCSILTSSSRVKTLF >CAK73276 pep:novel supercontig:GCA_000165425.1:CT868152:125722:126586:-1 gene:GSPATT00009591001 transcript:CAK73276 MQEEISYNPEIMKSQLSMLPPIEQFTLQQLANRRKVNFNMMLSLPFLLKDKALEDRKDTI ALFRKRKFEPLLPQKHNIPLINSGRIHCTEFWADKYQSTALRDSHIEKNLNKYRQIIKTN IQEYLVKFEMQAKEQLEEARNIKYQEIYKKQPSISEDDFAPTMNELNVSQCTQDEIFNFY REPKKYNVTQLQKTSLRNLDKITSSSLKQSYIEKFDKVISVCDRYSINSNRHSMDNINTI GLGNIKKRKKNNFDSKTSRSHIN >CAK73277 pep:novel supercontig:GCA_000165425.1:CT868152:126645:127321:-1 gene:GSPATT00009592001 transcript:CAK73277 MLFDSMIHVDQMSKMNNTKIRLYCQLTEGEGKLPNFNELIFDPSSLGRYKNFIGASSKIA NYIKLLDPKIRKKFIVEGKQEDYLKQSKHTKIECERIKALIDQHNANYTLNLKGLAGIES NGIRKANQKKSPCKLTSICTARQSEQMTNDILKDIDQSRIHATQRGRKVKVKPLKQKQQS EVIFNDSPRSVPLQPIKRSQLYQLFVN >CAK73278 pep:novel supercontig:GCA_000165425.1:CT868152:127399:128025:-1 gene:GSPATT00009593001 transcript:CAK73278 MVYVYIDLLPQEIKKHFKYTQDPLNPTNYAKFSEIKLYIDQYNDDHIDQLNIQDVIGTKF IMQSDLQALQITWEADHRPKEVLDEIRAREMRCKDRAFISKSSQLTKGVFQEKKQESIKE YEKSVNFGLSFIFSIFASGLLGYYLGIYFFQLTYDQSLALAAFFLIGALIVETGLYIVKI MKEDRIRKINQRKNNKQNKTVPTKFKKE >CAK73279 pep:novel supercontig:GCA_000165425.1:CT868152:128054:128885:1 gene:GSPATT00009594001 transcript:CAK73279 MDFGIQNQTDEQQQANKESSNQNVNEGNKKQTGFCAFLTVEFYTPYFNVTETDVIQRIQG TFLPLKPDFLNLIRGNPDLWGPIWINATLIFMITAIANLRQIDEEKENQSFVISYVPQAT ALLYIIAFGTPLVLAAVMKFLGVDLSFFQTICLYGYSMSTLLPITILCYFQNELFLWLII VYGFANSSLFLIINLKEELDKLQIQKKYIIIGIVVTMQLSLYLFYKLVFFSYVTE >CAK73280 pep:novel supercontig:GCA_000165425.1:CT868152:128891:132585:-1 gene:GSPATT00009595001 transcript:CAK73280 MQNSQSELGQPDPWSLYLPYDNKYELQGCCFKTRPHWRDMKDRTIITNIQDHELPDNTIR TCKYTLWTFLPLNLMEQFSKMANIYFLIVGYLETIDLVSITDGQPVIWFPLFVVIAISAF KDFLEDHKRQLSDRDENKRIALVLTPYGLVEKKWQQILVGDIIRIEQGEYFPADVIVVKT SEKGSCFIETKNLDGETNLKIKKQHKGLQFTRNLNDYLLQKEHILVHYDKPNPYLYKFNG TITMPPDHNSDGDQKVYQLDEVNFILRGCSLRNTHWIYGLVVYTGFDTKIMLNSTKARPK SSTLESQMNFFIILVFFIQLVICLFSAQYSVFWQLDNFMDIPYLELDENDLQTNIVFRTM ERWGTWLLIYTNFVPISLLVTLEMVKYLQGMMIENDKQCKSQNHRTEVQTSNLNEELGNV KYMFTDKTGTITKNLMEFKTISIHGKSYGNVCNRSQILNSDEITHMPQVTNVDFRDKQLF NDLNQNDDHSRRIVEYFMHLALCHTILVEYEQGKIKYNASSPDELALLMGAKFCGFEYIG LDDGMMIVKYKDQLLKYKLLQVLEFSSARKRMSVIVQDQNDQIMLLCKGADSMIINLLDK SNRQNQELLSITEQHLEQYAEKGLRTLLLAQKELTKQQYDEWINKYLLAGLTTINREEQL LSLQDQIETNLVLIGGTGIEDKLQDDVGSTMQKILNAGIKIWVLTGDKLETAINISYACN LLNDSQQKIVIQSDDQFEAQFKINSGLDLLKTQFQNHSTALIISGDSLIHLDEKYLIKLI ELAKQCHTVIACRVSPKQKQELVQLVKDNIYNIVTMAVGDGANDVNMITAANIGIGIKGV EGNQAARAADYSIGEFRILQQLLLYHGRECYRRNQVLVGYNFYKNLLIVLPHFWFSFYNG FSPLNLYDPWLYQFYNMFYTSVPIMIYAILDQQYSSKFLLKNPQLYQTNNKVTLLTFFFW FCSGGIQSAIVIYAVFPSMEQTSIDKEGRILFLSSVGMAVFCYAIIIVNLKVFVFSYMNS IGSVLSIFGSIILYLLTYMFLSQFTDRLDISHTFIHLFSNIQFYFILSGILILTILFDLA LSRWSIFSEQQLFEIHRVRDAEEPASSEKSRFGSTPPSSLMPPDKFENLFQEEEDVYDDT SVLLPVKPVKTRKYTGYAFAQQEKKLDEALRNAEN >CAK73281 pep:novel supercontig:GCA_000165425.1:CT868152:132698:134266:1 gene:GSPATT00009596001 transcript:CAK73281 MRVGINLHQKTLQHTKCPFLKTFNINMTSEQAITKYTKFCPYLHYKEMKSETKKCPIDHT QFYSNQFKGVIQQIKDEGRYREFKSLLRTNGEFPRAINKTPSGDQAITLWCSNDYLGMSQ NPMVTQATKNAIDLTGIGAGGTRNIGGTSIYHVELERELADLHRKDSALVMNSGYVANMA TLDTLGKVLKDVTFLSDAKNHASLIEGMRATKRDRVIFKHNDYKDLEEKLKQLDIQQNKV IVFESVYSMNGTVAPISEFINLAKKYNALTLIDEVHAVGMYGDRGAGVTEKLGLQKEIDI VTGTLGKAFGCSGGYVSANSEIVDAVRSTASNFIFSTSMSPIIAAACLESVKYLKTHPEI RERHQYVASLIKAKLKSKGIPALNSASHIVPVFIGDPILCKEASETLLNDYNIYIQPINY PTVPRGQEILRISPTPLHTEEMIDELVDSIECVFKRLNLRMESQHTAEQRAIFQ >CAK73282 pep:novel supercontig:GCA_000165425.1:CT868152:134302:135551:1 gene:GSPATT00009597001 transcript:CAK73282 MWRRAFQNCLKIAFIGSSVTFTSSFVFSQEQLYAWGWNNFGQLGIGNSISQYSPQLVPIQ DVLFVACKSSVSAAIDKSGKLYTWGRSKFGLLGNGQVENINVPSLVQCLKHLDFKFVACG NYHMAAITTDGQLYTWGNFDHGKLGHSFDNSAKLPSREKYEYQKNLGSAKLPELVQLNFK VQQVALGDQFTIILSEDGNVYSVGLNKKGILGYQTKNVDEPTFKQIPDLKNIVQIDSGTD FSVALDSDGNMFAWGSNYFGQLGTPSPSVVQTPQQIKGLPKIKQFSCGEQFIGALSTDGV LYTWGFGGDGQLGTPSKQDIPTPHKIQFDQNIDKVSCGQAHVGIVSKNKLYMHGRGKEGQ LGRGSETESPNSSRYSPLLVMENVIKIACGGSHSFAVVNQK >CAK73283 pep:novel supercontig:GCA_000165425.1:CT868152:135665:136371:-1 gene:GSPATT00009598001 transcript:CAK73283 MSNSYYDWLVKVIVLGDSGVGKTNILTQYCDQKFSQNYMATIGVDFKIKTITVEDKKIKL QIWDTAGQERFRNITQTYYKGAFGIIFVYSIVDRNSFNNVETWIKSITENTTDEVCSILV GNKMDSQDRRVQSSEGQALATKYKMPFIETSALENKNIQNIFDILGLNLKKRLENESQKP QANPEGKPTFQINKDTPQPQQAPSSCNC >CAK73284 pep:novel supercontig:GCA_000165425.1:CT868152:136876:138379:1 gene:GSPATT00009599001 transcript:CAK73284 MNSGLNNQNSLLQQLTPAQLEECKLLSSYSRYHITSYAQIIYLAINYLFQIATIFHQSFE QQHEKPYKSLASFNSFRTIFLEPHRHRICSILIVVRYHQFYINYNIVTLQLFELAYICLG LGGAINSIDYMKILQKSLQMNSNAINAFNQNQFFCPLGMANQKKTNTLQNPITVEDDDPP QFQKCQNSKCNNKGDRKTKSKKGETLQFCEKCSRMYNRGNFCDFCEQVYSNGSYDHDEQE WIQCDECEKWNHLNCEAKCRNQNIKEETENKVYYCLNCSKIKKQQQQQQFQQQKKQEKTV EEYQPKQRTIMECEDARTREKNINFVATKDNKVQFTFRLNLYDDEIKSDLDFLRNSGKKN IKKQNQQDSPIIKQILIPQQQQQQQQQQQYQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQLIQEEKNSDQQMNSRPRTRNNKNRVNYRNLGGE >CAK73285 pep:novel supercontig:GCA_000165425.1:CT868152:138427:139495:1 gene:GSPATT00009600001 transcript:CAK73285 MSDLRFDNKVVVITGAGRGLGKAYALFYGSVGAKVLVNDNGCDLDGKNTNPKFADEVVAT IKSKGGVAVANYDSVLNGDKIIAHAIKEFGRLDVLINNAGILRDKILAKLTEEDWDIVVK THLYGTYSVCKAAWPIMRDQGFGRIINTSSGSGLYGTLGQTNYAAAKAGIVGLTLTLAKE GERRNILCNVLVPVGASRMTETIMPPDVLTGIDPNNLTPILAVLTHETNKDYNGGIYECS GGFFSRVRWQRTEGVSLDLPVKISEVQKNWAKINDFSGNNEYPTGNADLFNKVQENLDRL EQQAAQKPKL >CAK73286 pep:novel supercontig:GCA_000165425.1:CT868152:139992:141933:1 gene:GSPATT00009601001 transcript:CAK73286 MLQKQLNNYIYEERNIGGGQFSEVFLGFEIYTKEKVAIKQIDCSRMRDQVMMQMMKNEIG ILKIVPKQQNILKFYDVFQNNQLVYIITEYCNQGDLQQFIRKHQITEDLAIDLITHVLNG LQHCKNNYIIHRDIKPANIFMSNGIPKLADFGFALCKNVQNGVEIQNYNVGTPMYMAPET LLNNHYSFKSDLWSAGVVLYEMVFGQQPFRSQTEPELVQKLRVYQQNGLLYYPFQCSRFL DILIQNMLQCDKQLRWSVEQCLDYLRQRPQQRMVTSQKYIPQQSQHVRSVTPIQIVQQQQ QQQQQPQQQQQQQKSILPIQYQQQFKIQQYPIQVNTSQQLSQLPMQKQMIPQQQYLHFSN PSPHSSRENQQPLNAIKKTQESSYLPMRFPSVQRERNTTLQDDSQRSNVEPNQQNQYSQQ FGNLMYKIAKLFINTQYFEQFSLGKMYTRSECMFLQLKIQFCLLQCCIQIFSHSNPCLPE CQVKLFNVRQTILQMPDQYKEDPRFMSLFNNNWVLENIFEQMREYNYAAIHLINDYLNKD CSNPQLIIILDYLVILQQLLKRFVACNTWLEFDKRNNIAAIVQAPINPNPNQHQWIKISN IIQRDIVK >CAK73287 pep:novel supercontig:GCA_000165425.1:CT868152:142768:144934:1 gene:GSPATT00009602001 transcript:CAK73287 MGNADSNASIKAMHTNYVVVRNMKDDARYGEGRIVKQKQTKQELFQKEINLTDEEKYQTL KILLEKKECNTYDNLINITKLFYHEENQFCGQFFKIFILMEYIPTTLCDILEQRLAKKQE FQESELWTILIGCIEGCCILESQKITHQGLRLDSISYLDQFPYVKILDPTLSGVPTTYQQ LIQDETKQINLNYLSPKLIQSLNDDMQPQHNPYKSDVYSLGMIMLHLSSAHNCEDCYDLQ KMRVNHQQVQRRLLILESTFSSQYIQVLRTMLLLNEDQRPDFLELRSEMQSMSPPASPKA HIQEQEEEVIQSKKTQIVQVQAYEQAVESFAIPQQQYQLQASQPPQIFVNPEQSAKFIQQ QQNSVHNSQVQVQRSIQLRQSQQSVQSNQSMYIQRNGLKDLRPDICDHLKIVLPLSEQPP EPSQVDTNIGNQIGTEELLNRSNIQQHDILMPITSFSNSRQYEQFLQSKSNMPVSYESYK MESSFKNQQNAEPVSKYDYLYDKQMSNQQKFSNYQSDLKRTLESKRVETVSESYPNGSRY EGQKLNGMRHGQGTFFYQDNGGVYEGQWFENKMHGQGSTLFYASGKPAYEGEWLNDKFQG SGTLYNEEPQALYGDFDYGDFDRIGDYWTRYIGQFNQDNKEGQGTLYLSNGDRFEGNFLQ DLINGPGRYIKKNGQIISAKWWRNKLQQ >CAK73288 pep:novel supercontig:GCA_000165425.1:CT868152:144985:146286:1 gene:GSPATT00009603001 transcript:CAK73288 MSYPYGFCSPQRPLPPQLYYQNPIPQQRQAPYSLPPPVYRPPPVGVRPPQQPYYPQYQIQ NQVPPISFTIIIPNPITKSKNPQLSTLSLQIIITGRSKTPTTMIINSTLIKRNSSISLLA IIIISLEQPQYQQPGQQNQNKVVQPPKQPYQQQYVPSQPKPQSHPSQHRPEQQNQQIPQQ QQQQQVNKPPQQYKQPQQSQKDDDLEKKFQDAIDRTRDLVQKYQNPQTKQPQQQQQQPNP QPKQEQHTNQPQVDDNQLQELALQYEDGYIYRGQGYEPATREGYGVLTDQDDNPVYDGQW KDNQYHGQGKLINVQAEQIDGPFDYQDLSVIENGWLGYEGDFLEGKMNGFGKLQLTNGEM FEGQFQDGMIDGEGVFSAVNGQTIKGLWREGVLTQVFA >CAK73289 pep:novel supercontig:GCA_000165425.1:CT868152:146317:147761:1 gene:GSPATT00009604001 transcript:CAK73289 MLYDSKSSKIFSPPKIFSELDKKRNDAMDTVQHQHGEVQNYIDQVNDKTLIAIQMEEQRL NQRYFHLLNQLEKTKLQIIKLEEKLRQQNDLENHPQIKQIISELSKVDQYRLALIKKTQQ LTQELQLNQFTIKELKEQVQNRRLEIRDCVRDCWQIQQQILNYKSNYTQSTSRTQRIQLQ SLDISSNRSNINLFITNEDTQTNRLSLSQLPPIKLKMHSKSRLLEVLQKATFRGQQQPVN DIVEIYKQINILRRRVIEQTQEMKKKQNQYSSIRNLLVYCANLSLKQFKNKQKITNNKGY ANSIYFDFSTSMSQSITEHSSNPNRERKIQNILYDTLQQIMVNMIDVQQKNQQNTTEESI IKNSISLDQFMQFSSEQILGLLALQPRLMQELLEKFEFKYRQLGILSQKMKNLTQNF >CAK73290 pep:novel supercontig:GCA_000165425.1:CT868152:147878:148966:-1 gene:GSPATT00009605001 transcript:CAK73290 MNPINRSYYQLNASNILSTKRVPSLTPPKNYPSSVSKDKITKQVKDKAISGNSQQKSDVY NLPMQNALFLQQQNAILSARKATINLSDSSDKLRKTSPNEKSPINPRLSTLQKNKQISIS QSQQAIAQVLAKMQENDNISYMNKQLYHNPEIESTQKSTINSREYTNNSSKHKTEDYQKL QRENLILQRKVFELEQKISALESVNQQLLTLVKSCDCHQTQLRELELTICNLNYLKETVN PIQIQNLTSLDMQSNTEFKKKLSYSQDYSEIKPQNLFTNHNSTKMIEQFIEQHQLNQSEL NVFKDLTKKMEEKQAPIPSVLKALSLVINV >CAK73291 pep:novel supercontig:GCA_000165425.1:CT868152:149122:150038:1 gene:GSPATT00009606001 transcript:CAK73291 MNQQLKKLAVLLVSKKKDHTCLPIMQQIRNQFPQHSYLIDDEYNNEPVDLVVTIGGDGTI LHASRMFQQTLTPPFVTFGKGTLGFMCIYSLRDQYEVLKNLQTPYNIELKKRIQGSLNGQ YVYTALNDFFITKGNSIHVVCLDIYVNDTFVTQARGDGLIISTPTGSTAYCLSAGGPLIQ NRVPCIAIVPICPLSLSFRPLILPLDVKISIKMNANSRGEGVVICDGQVQYDFKRNDCFD ITPSKNDVRFVVPPSHQDLDWVIKLQRMLNWNSRFQQPRD >CAK73292 pep:novel supercontig:GCA_000165425.1:CT868152:150091:151699:1 gene:GSPATT00009607001 transcript:CAK73292 MSDSSSYFDIQGKGIWEKCENTLNEEDILYKDMLQYLNKPTQFCLTQYELFRFKKNGELK KIELLTTSISLLNDNTILLQKNHKQVFLKSTPQNVKFWFEQIKNKCIQNNFRLDYDIGEL IGQGQFANVYKITSKKNNENYALKVFQKVALKNLNVDNVSVTKEIQIMRQLKHPGIIKIY EVYEDKEFICIVMELMQYSMKQQKKRHSEHQCAQIMLQLFMVLQYIHDHKIIHRDIKPDN ILYKNQDTICICDFGLADYYNPMSVYQYQRCGTPGYVAPEVLRDQKYDFKVDVYSAGIIL YTLLVGKQPFAAHSQNKVVQLNYQGKIDYAQVKASDLCLSFLKSVLSINPQVRPSAYEVL HHEWFIKTLGESNYFQLLNNNGQQVQPIQPISKEIQNFQAIQSMMDEEQDESSNSKIQIE IPQPTTQHRTNNNGYYQSSIPQQQQPQQQLQIAIDNIQEAIKQIKIKQQVRDLNYLTQML HMQHKEMSGID >CAK73293 pep:novel supercontig:GCA_000165425.1:CT868152:151771:152714:-1 gene:GSPATT00009608001 transcript:CAK73293 MDMLQTLQLWKQIKTTLQSKFSDLKGTFDSIDSNKNGTIELNELSQELNNNHGIKEKDQI QSIFQYLNISKNGQITQEEFEKQWTSAEQQIQQEQNRQQFQKQKQQVQSYIQTNQQLKSS VIQKSTLQKSGSSQQFSDLFNSYKSNNSPTKYINIQGDFSINQFNTPPLLDRRSSPLKVL SPPKPEQYPKSRQEAKDDKLREIQRQISYLFNGKNESKNSTPRLKQNLDTFMNTMKLGGG GGRRSSGHTLRYEMKTQTISPKLSDQISLQNYAYQFNDMKMQFKQTYTGNKSNNYIKGNT FFRK >CAK73294 pep:novel supercontig:GCA_000165425.1:CT868152:152754:153486:1 gene:GSPATT00009609001 transcript:CAK73294 MLFGLVTSQQIKFFDPQTNDHYNDYTFDPTKFNNAKIIVAYPFQQNSIKEKIGQNLQIEF FNLSDVLQTRNQQLFNLNDKQQPKVQLEIDFDNQIVKSYFKTNEGVEIISNKEFERTFTP TQDIEDQYYHFLKKYEIKNQYRNVNQLPQFKDALNLTNIQAFSKENSQIIYSNISNNLIC DLVISNLATSVIIISKNVQNFSSLNFVKDRQYFKQLFCDISIRIISEQGSLFDYI >CAK73295 pep:novel supercontig:GCA_000165425.1:CT868152:153497:154387:-1 gene:GSPATT00009610001 transcript:CAK73295 MLDLQAKTNKEIWQAKKLLYESDGNTKKSLIVNLVALGLLCILLFYSIVGSDDKWTCEIE SANNRGHYICVEMRRTMNCKTISGPIRSEIFLKVKKDLQASAIIECPWEIAISEIRLCFI LLSISSTLIGIYALRKTNKKYAELSFQIGISFAILLLISAYFDYVSIRTSQINNYNLCNL QEEFQIEEKMKGQMECSFSFYNFTVILEIACSVAMIINSIYINQWRYKQITELNDQL >CAK73296 pep:novel supercontig:GCA_000165425.1:CT868152:154906:155922:1 gene:GSPATT00009611001 transcript:CAK73296 MFYKTNLVSPNRTQSPVVVHKQSIGQVNNPLLTQQQYQPQTYYASSKTTPIKNLEQKFEV IKQNITIPTQSQMSPERNKLLVANEFDMQVLRNDITFREQKIISLQKALDLSNDDRIRLR SALEQKSNACVNKEREIAKLLATIHQMEFKKTESQIVRDLQLQIETLKIFIQENALDRSN FKNEDTNNLKSKMNLLQEELTQQINKNDSQQQYINELMNQNKELSQKYNEKCFELQKLEM QLDQIKILQNELRGKDEEIEILKGELNNDSTSNYTKEQCSFDQQNEIQKFLSQIKQQYLE DSPKRDTDDQDSMNVYRHIYSFQSTDDFNKGDLQKINK >CAK73297 pep:novel supercontig:GCA_000165425.1:CT868152:156097:156481:-1 gene:GSPATT00009612001 transcript:CAK73297 MSDDSESLDFPNTPLYTFNITVGLTLSLTKLCANKCRLFKPDQGTKLSENDVQCVKNCAA TINNNYQTFTSSLKEAINFEDSFGVFEPEE >CAK73298 pep:novel supercontig:GCA_000165425.1:CT868152:156540:157067:1 gene:GSPATT00009613001 transcript:CAK73298 MKSRTEIPISNQLTPYLANLQDKQDRVLRMLLKKNNQLEFKQIKVVQKQTLKHKSQHFQL PQIQNADFNENKDRKYRKYKNFYYSDQKSQQQEYNLESRVQEILDKICHVRKKQINDFRP ESNLMKRKGYSVDLPKQHPSILQQRQKSVQQNTFNYFLQPTQLNSESDWLEFVFG >CAK73299 pep:novel supercontig:GCA_000165425.1:CT868152:157170:158247:1 gene:GSPATT00009614001 transcript:CAK73299 MLPIVYATSYINYPQLNLQQLYPVPTQYYNMIPTKVMCDQACQFPEITFNEEVSENTDQN RQTHSKEALVLQELNLLLLYLQKNISLLKEKTFEKFASENLRNLISIHCDIPNIIKKRYI QVNKTKEEMTKFIIRRCFQFIKTQINYQEKESLGAEERDRLFYHTFFSSDKEFMNTLENG TIDDLIPFRKESKLKTINDAYLNKLFKSQKFSYYYQKFLNEFQTICQHENEEKIEKMTKQ ILKIIIARDYDKIKSYRRFPWKDHEFNKCENRAQEIYSKYHSQKIKNEKKLLKKELIKLE HIEISESIK >CAK73300 pep:novel supercontig:GCA_000165425.1:CT868152:158717:159162:-1 gene:GSPATT00009615001 transcript:CAK73300 MQSYKEALQLFQKNSMNLPMKEHEDKLNIMLEYILHELERDKKELQILRQEIIHESYLQS SINDDTSTGFIEELADFTRNFRKLAEESNNETNELSNQMEVLKNEKARLRKLTNLLGHRT FEMEKLLGVGLNQANSQESNN >CAK73301 pep:novel supercontig:GCA_000165425.1:CT868152:159248:160167:-1 gene:GSPATT00009616001 transcript:CAK73301 MKQQNIAIEEELREARFAGYQQIKNKFLSKFRKKQSLEVYNQIRKNRTYLNKKQAFQLSQ EFHENELKCKLCKDYYIKFTIAQCGHSFCYYCIFEHLLKSHKCPCCHNILKGLQFIYCKT IDQFIQNSKLLQNNQPILNRKKEFKEWKKKKKLTNFKLGDSLDILDTEHIWCVGQIINIR NQKEMLVHYKGWDKVYDEYISISSPRLSPLGLFTDRTDILLYQSSPNDNAMSNYIRQIEE NDQLNYLILQQQTSNSNIILAIESPTNNTLSSLLQLVVIIREIHDEFHNDS >CAK73302 pep:novel supercontig:GCA_000165425.1:CT868152:160393:161223:1 gene:GSPATT00009617001 transcript:CAK73302 MKLNHHSKSCQIIINKKITNFENQATQRTADTTPDSISSGEENLKTNYFIKKISSDCKLA RELHEASLQSHWYIEQPKETVDKRLVKLKKQQKNQKVVVLDLDETLIYHKNDQTKIRPYC KQFLERLSKICTLVLFTAAKIEHATNMLKLIDPNKQYFKSVCTLDNMIGKVKDLRIFSTD LKDIVIVDNSPQNFIAQINNGLPILPFEGQNDDNQLEELLSYLEDLLLVEDVRVELAKTF KLQQFYKQLNGKQAINKLYI >CAK73303 pep:novel supercontig:GCA_000165425.1:CT868152:161396:162301:1 gene:GSPATT00009618001 transcript:CAK73303 MSNRPPTQQPGQPATTAPQYQPQWNPTFSPPRAYQAPVQLQSPAYIPQYYTQPVAQSYVA PPVVQQPIVYQQPVVTQSVVAQPVVTQPVVQQQPIIKGESRVEYIPYEKSVIEYEEVRQK IQVPREKYVTEYQAIEYQTEYVPQVFYDKVTEYVPVDRYQERVEYYPVERQVVHQPQIQT VAQPVVQQSYVAQPVVTQSYVAQPVVQQSYVTQTVPVVSQPIQYAQYPQYAQPATQTIYG PPVPIGNGPIPASQLPAAVKTGQFQQTPQK >CAK73304 pep:novel supercontig:GCA_000165425.1:CT868152:162411:162945:-1 gene:GSPATT00009619001 transcript:CAK73304 MGIPKGIKRLGLDDIQLLWNSNTNCDDHLQNLISGILAGREKNGTISIAFIGYYTMNPLD KLIRPFIFYGPIYYLFDIIVKVGSGKAFESGCSMSFFSHHVITLVFLPFAVYSKHVPWFI ISTGLFHAILLCFKRSYLQYIYLVAVLLYHYGILQPPFNKQQIYFYVV >CAK73305 pep:novel supercontig:GCA_000165425.1:CT868152:163895:165088:-1 gene:GSPATT00009620001 transcript:CAK73305 MKFIIVVLFALAATTYGSKTQDQILALLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAAD QKNETDEWVGAQTIEQFTKIKSLNQKLFNQAIENRANYEEVLKQTKNYLAWNEARRDEIA RKIDALQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIINGDSFEFTQVKAQSVAE KLKQYSNLFQDHQIKSFLALANSQEETASTGGQTLAEKVLAVLEGLEAELSASLENLKQN EINASWELAGWVSLSEAEISSLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQDA LDQAQADLESKRADYAEAKAKRQEENAILDEVIIMFKKQVASWSGR >CAK73306 pep:novel supercontig:GCA_000165425.1:CT868152:165209:166326:-1 gene:GSPATT00009621001 transcript:CAK73306 MQFSYIFIIELEKEKADLEMRHKDELQRINEELKKLSSFKEEKEYMIREIHDLKEEMNKK DYAHLDEQSKANKNFLKQTQNLTNVNNAKIEDVQRNANKLAEEKVAAKYDGILKENEKIK SELQEYERTLSIYQKEKETFQQENINYKLNLSIKEEQAKEYQMLNHQQIIKIKQLKEKIE YLKTYIANVNTYLKISQEVTKQTKEIEIMKHQSNTKVQELEMQLRNLRHLLDQRTKELKT VKALGQMILDQRSDIEQFFLQAIDQVKNEINKKNKNQKNSRLPEISQKSQSLESNKVDIN DLDLEEKEKLLRILFSKMNQGVPPTNWKSQLGHSKSQVDGSSFRDNQFQ >CAK73307 pep:novel supercontig:GCA_000165425.1:CT868152:166385:166710:-1 gene:GSPATT00009622001 transcript:CAK73307 MPPKKKQQQEVVEFTEAEKKALEKLDHSNLLIDIQVLKDRIEELQKKVYDLNETIRTINE QNVKNLSVQQSSLQLSRWNQISTEKKVIIMLFYSNMTT >CAK73308 pep:novel supercontig:GCA_000165425.1:CT868152:166861:169297:1 gene:GSPATT00009623001 transcript:CAK73308 MINNNSLILEYHLYDTIQLLKNNKYKKFINLSRKLVCNPYLANDTFYIQLNIQLIRRLLY CQLKVIKKYLLNFSSKQQTIQEVLITCMSLCQNYQILLNKYETSFDEKQIKETQISRKLK FLNESNKLTIKQFANQFDKLEPDTKSTIWIKYQWQQLFYYFQKTLLFDYLYCKMSLLKEA SHFLFKLQKLKPISTFQSQFLYFEILTLKNSAQFELSNTTTNDVLEHGFQMLLNSLKEYH KPKSDLINIQKQLIKVTTTILINLDIQSKSQEINDNHLDCIQSLNLAHFLASQILKPLKV KAEFIEYIDSEFEFTNEKFEQILLEEQDLTKFTQFLYGHHVNQKQNTKIIGNSKLQEMIK SKLQQNAQFQKGFKTVNSNQCMYVSHSQDKLTMQDYLPIKIKSYHHKDSTAFSNPYSQTS INHTIQMNTLTKESPSTKRERINQNAHPSSFLSLNNVSVVKQNQEQPKKRHVSVKCKRVN TNSDHKNSYLSQLINLRQKSSLTIRDEMNELEKNHQKLLNQLNNQQKSTKVSTIDEFFNK KIEAIAFEECLTLDQIKDTAKKIIQTEWEIHKEMPVTKSLMKSISASDIQNEQKQAAYNK LQEYREIQNVDYYNTEKDRAQDQMQQTKEVRKLKMNQQRFSMKHRSSQQSLFNSQQIKSL VLKDTKIQEQFSQQILQQQNSTDQRIEAKVSPRLSRKNNSMLYNSNLEQDNRQKGFTLVK GQLIDLMIKNLEYRDELNTQEKELKQFVLPRQFRTKAISPQGGEFSLKSQNMSDFKSFAY STNSTQITQQNLENKLHKTQKQG >CAK73309 pep:novel supercontig:GCA_000165425.1:CT868152:169394:170572:1 gene:GSPATT00009624001 transcript:CAK73309 MSQSIMNEIFKLVCFDGVQQKKWSQCKDLDSQLQFIRDAIPQKINEATKNYDYEALEKQV QKYEHEIRKHIRMEQQIKLYAESLQQKLEEQEGINDCLSNTKQLVNVIIPNFQSLKKENQ QLIEQVKRLQSENYMLKTKLMDVNERINSHQTSPIYDKTKNQDSYHIKNSQRYQTIDFVA PKQKLNQKNVEDYSKQYAEVKTQISNTIDSSILKMLQNRRDSLKLNDTYKSSRDSSTIEK NDSNKIKSCINQQRAASQQQKTQHKQMMDQSVQQVKTTTENVKFDLKNQSDNLRAFLNTQ SFLCNKKSKTNSRPENRYNKSHSEHQNANTNQQEIQKSNKIQKEYLQFSQNYQKYLDNQL >CAK73310 pep:novel supercontig:GCA_000165425.1:CT868152:170718:175367:1 gene:GSPATT00009625001 transcript:CAK73310 MEEDFQCNILSDNSQDDIILKNKQTNQLTIEIEQKISLAQQDSLTKNSKILDDEFGVDII SQDSWKSIPIIDPQMSNMNNQSGLKKQNQTCDFRQTIQQEQKKPDITNHYLEQQDEFSNF NIMPSIEPKKFSHKKIKQNILQKSKSNNNVIQQLKYIDSDNTDEVDSEDDQKNKPQNNNN QLKDEIQDKKQEDQIKSKEQKQAWLLKNKRKIHENQVDKKDNEIWDFLEIVKDEPNQPNL FEQGLFKRSDYGIQTTIEQLKQQKFKKELHKSDLKRLQLSSTLMIQEQKKNEILDSTFME LQAQNNNNGIEDFSDPKDLKQNFEVSNVFNKRVESQKVNPCVQKHIIKNTENNLIESLKI PQQLQASDQFQQIIKGSNMRTMHDHQSQKNLQNQPQQVLKKPKGNEINLIQQNQSIKQEK RDFIQKCDDPSIRRDKNVDKSLKLSGRDYSNNQKQQKQQEVKQSNMEQQLQKQQRQQERF KNNLQNLDAQQQYCSLTDREKGRMKNDIQSHTLDNLLGQRGIEELLNEDNNEFRSIKVEQ KKTGESKRLSKFKMMLESNTGQSFQTGRPIFEFNRLLKYLFLKNYEIPDIADQEQKIIPN RFESALEYCKIFEYLFLNEACAQMKQELIEFLKKIEKTTKYRKAQIKIDENDHESEGSIF VMRGPQTIQQKVPQAKNKQQTELEGNDQGYQEDQLFCYDNIKDGVCDLTTHKNFVVLITN KLKLKLRQINQLQGENMCFFGILIDPQKAQYLQQIRIQTFVNKQNFNVNQWHNVFLFPFS KLTTLIREYQMITKLNQKTPLAGLIYNPSTQQQQIGSDIVGNGTDQFKNQIQNKDLLDSF FQLVDEKYNKSQANSIREIILKEKGICLVQGPPGTGKTHLLLGLLSGAYEYMKLTNKFPK KKILICTPSNAAIDEIILRIVQKGGLFDSKGNSRQANLIRIGLLDEENIHSEIIKKVSLE DLAQHKLFSSKKFNAEQDQKTTAELRIELCQIQTHVKKLEKKLTQHGLPSDERKIIKEQI MQFNDLRKTKQEYLEKTKDSKRFHKEFYNQFCEKLLNDAEIIFSTLSSSGSDKLSKYLDQ IELLIVDEAAQCTEPSNIIPLRLGIKKMILIGDPKQLPATTFSPVSHQTLYNRSLFERIL DNNVKPYFLDIQYRMHSEIRMFPSEYFYQNKLKDHESTNTRNLPSKFFKNRVLFLDILDG QEQKDGTSNINEQEAIVIVQLIKSIKEEFPTQTIGVICAYKSQVRYIKTLLKQKFQDENI FDENTISINTVDSFQGQEEDIILFSCVRSSQTGGIGFLNDGRRMNVALTRAKNALFILGN AITLSKSNLWRSMLKNIQQRKLYRRIESYNFQFEQILKDQWNQNNKTLSQKLIWYLNQTS QGNHEKSTYTISDFQIEVTGNQKTNPNQNQKIIKDTTQDVKNQQESSISYDHNYGVDCKE KERSTSEYQMSKLDIRNQKSHNIQHQIKNSNNINNKFHISKDKKVQQKRKVQADVQWQKN EYPNQEDFDIIKSLDFF >CAK73311 pep:novel supercontig:GCA_000165425.1:CT868152:175757:176871:1 gene:GSPATT00009626001 transcript:CAK73311 MAQKFSIDIVPNLDGISIISSTDQKLFELSFSDQNIKFQWDEQIEQLAYPNFVFLWIKKE TYKIFGKPDNLQWLYDLCKGKYLYLSKARAKLRTFINQNETGIIYKLDEQCNSFELCFEK IINQKQQKYKYDYDIPEEIKILKLINQNKSPYLIRLETIQYNGNEFSYCYKTNRSDTLKS LIKESQKLKINQVLEIIRQLLYVTSYFEQIKLVHNQLDFENVQYSKIDNIIQITNFSNSY LEQSNTYIFKAQTSGHASPESYSFQYPLTTATNIYQVGILFYIMYTIQYQLGYLGRILLG IIRKRFRKIIQLENFLYHNSMDQQWENIKKYLSKFLR >CAK73312 pep:novel supercontig:GCA_000165425.1:CT868152:177586:180617:-1 gene:GSPATT00009627001 transcript:CAK73312 MRMYLHDLLVERSSYALKDENPKYKAILRQDSLQQTKIQRLCVQFVKGVLMKKRNCIQNR YSFEYKNDQKLLSKICIIDQDDIFDKFCLQGSLEEIINLLIECSNGLAFSSQPKVTLNTI AKQYIVNDAIWFNPKTFQTCAGWVINEFEKAIALIFYQSQQGNQMDNYPIQNDDLKIYWM DNVDFKYKILHQIPQICKEFKNAPKKKNTKQEDILWAQLIMRKPQLQEEGQNDKNTLILQ QQQINEEEINQLFQNNNFDGIYCLPLEKFTLLQSFCEKRVLRMIRDQFKEKLVKDLQEIE CKEKKKGIDQKKKSKKQKKQKIKNEKDSQKNMISQDNCNSFEIIDKQDSTKDDFHCTECE CNRHSNPYSDNKQIDSLTAHLDKQDINQIVPSKITEIIQDMELKQNEQNAQNDDEDNWVL ITKPKKQKKKPQRKFDSSQDLQFKESNSYNQCNQSNQCNKITSPQKRKPVKINQFNNQKN DIQDSSKDKQPFSNRNRLQANTQNTFPQQTLTPQKKETKSSQSVIIDIKKINKSLENPIM HKLDDNILIKPLQQIIKIQELSLCQDESVLDGCGQNNNQTQNNLDLYFTNNTEDIDTIIM NQARTLMIKKLDMDIREFNDLILTQNQEILQMRRLIYDRIQFVINSLFREYNATVRLFGS CATGLALPESDIDIGITGFELLPSNQLNGPIQKIIEFLQNMKWVTNIRAITTSSMPLIKL QVDPSISFVESSHKILLPQIDLITNYDKESPSRIFSVDISFFQYQGSKQNWHLGQISTEQ TLQWLSFYSELRPIVLLFKSLLKKRGLNDQYKGGISSFCIIQMILAFLESCYHQGQASSI GFITYKFLQFYGMEFDTKKTGINYKGINQDPFFELDEEDNQLQITIVSPITNEVISQASS FVQTILQDIRTLFLAAENEVTFFYEKLKYNKKKKGKKEERNLFQKELNKFGPLFSISTYN LA >CAK73313 pep:novel supercontig:GCA_000165425.1:CT868152:181313:183528:1 gene:GSPATT00009628001 transcript:CAK73313 MSSLIKKDQKRAPNQYLDFDQLVHKKYHYDQKKANDQSVKQEQYILDLKKAYYTQIISYD QQKLEIEPLFQKVELLQIERKQLMDEIEQYKMSQMEILKKYEFEYQEKDKLNKECAKLKS QIQAQQKMQLPKESQEKKCHELKESLFVTIKHILNDFLDNYNHIMGFKDESELSSNLLEE LLQQIDDSIIMFVDNLPAESNKQLQSEELQHLKEQIEKTLQTVSNLQAENQDLSTALDIE KQHKEKIINQRNNQQQVINQLNNQIETITNEKKNLESQIQEIQMKNLEFQQDICRVNDFK EEENEKLKSEFIDSINELQKQISKLQIENSKLTSLVQNNTQQQSKDIEILNNKLRELESK LNQEEIKYEQKSREMIRIEKEMNQYKAEATKLFEENNLCQKQLEVIKEKRKQDKEVLDQQ KEKFKKLKLQLQQSENESQTKIVQLEEQITQLTQQLEQDKSTQQSNQNTEVLMEKELELS KLDQLVSEQTQKINDQKQYILEMKIQINSLEQELQDLRLTNQQHQERRAVAFSEINRLEQ QNSILKQVIQLSLCQRINDQDQTIKQLQSEVQELVKQPKSNSQQNHTPTHHQSYSKTLMN EATTLDSSRLSDTDSQISKTAKAASLILNGLNYFKLRPQVTNSQIEDPNRKNAEKALQKQ AAELKSNLEQLYKSFLSTLLLNQVNDEKFLKFERQINECVKKTQDLNDNIEDFF >CAK73314 pep:novel supercontig:GCA_000165425.1:CT868152:183594:184445:1 gene:GSPATT00009629001 transcript:CAK73314 MHAYISIILKTIQDELLFLYLLFFITAEVNKLKEPRYAAGCLANRYPSFLLIHGSQRFRI CLPSFFLLDYSFESSFHQGLYYYQLRIGFAEILCGFLVIMNFRCAGQLFSFFTLFFVTVI HNLYLHAAKQESINKD >CAK73315 pep:novel supercontig:GCA_000165425.1:CT868152:184665:186186:1 gene:GSPATT00009630001 transcript:CAK73315 MGLDINLLREDKGGNPNLVKEWCQKRFQDPAIVDKVIALDQEWRKARFNLDQLNKEYGIA NKKIAEKKKANKQDPCVEEVELAKTIDLKIKEAQKIEQEQEIVLTQTLNKIGNVVHESVP VSKDEAENRIEVTWGQIPDIQVNSTPGRCHHHEVLFMIDGVDLKRGSKIAGHRAYFLKGP GLLLNQALVSYGIQFLNQRGYTPVQTPFFMKKTCMAETAQLSDFDDQLYKVTGNPDDEDL YLIATSEQPISAMYRKEWLEPKDLPIKYGGSSTCFRKEAGAYGKDVWGIFRVHQFEKIEQ FVICSPEESWKFHDEMITAGQDFVKSLELPYRVVNIVSGALNDAAAKKYDLEAWFPGYKD YRELQSCSNCLDYQSRALEIRFGAKKTDEKEKKYVHMLNATLCATERTLCCILENYQTET GVKVPVVLQPFVGKDFIPYVKPLPKKEDLGA >CAK73316 pep:novel supercontig:GCA_000165425.1:CT868152:186192:186992:-1 gene:GSPATT00009631001 transcript:CAK73316 MKQQIKEKRKQPIRTCLHQPFEYNFRTLDQYSSQDLVKCIQQIKNDQELSQYVQTKVGIR SAIRKLRANQSNFLALILIYDQSITDILIQASTVFWKYNQQIILAKEEIRTHLQKIMKIE RINCVYLFTKKDIELPEDVKQKIQKITEQMNVFRKESVCEIPIILGDELKYQTVKLKVPQ EQEIQRKSFQNQKGNSNNQEQNQNTNKQQKNKGEKGNTNQQKNQQKQANKLNNIINNAPL DQ >CAK73317 pep:novel supercontig:GCA_000165425.1:CT868152:187027:187709:-1 gene:GSPATT00009632001 transcript:CAK73317 MLYSIRETDAEANLSSDSYTARKSLNSDLQKKSYSRSLPRQQQNLDQEIQKLNDIIVQLR KVEQLLLNQVQDLKIELEEQFKNSNRNQKYIDELNKELQQMSDKLHSEIMHNGQLQKINI ELKKTILKLEQEVITTNKLNQDTSEELQILKSKYSHEHKFIESLLHMVVSCHPDNSFREQ PSLKQTWKWLKLILADYMTLKQQSRNFQENSGQSSSSTNK >CAK73318 pep:novel supercontig:GCA_000165425.1:CT868152:187715:188113:-1 gene:GSPATT00009633001 transcript:CAK73318 MMHNILSEIQAKITGIRDVLIPLLQAEIETKDGEIKALNSILNDARLNLSEAEDNLSATQ NRWIERTASHNTLIQQYDNELLAIKDAENALKKGGIFRQ >CAK73319 pep:novel supercontig:GCA_000165425.1:CT868152:188123:188949:-1 gene:GSPATT00009634001 transcript:CAK73319 MKAFITLSLLYLTLANQGILSATGESVHAFQSVIVGLSEVASKDFNFQQLFVALDELAES FKARKNEENAFYEQEYQQYQADVQYYQNQITDFKNKIAQLEVDVKDLTDERGRLQQLLTE AKQDLYDATKLFNAKEAQINSDKSVFTRQFNEYADTIAVLDQAIALLNEVKDETSLLQKA ENIKDVSQKMHNHLKQLSSKRVFYQPLVKALTQIAQNNYVDQENLNQSHQLYESIKTIFD >CAK73320 pep:novel supercontig:GCA_000165425.1:CT868152:188952:191095:-1 gene:GSPATT00009635001 transcript:CAK73320 MKYLIFQKIVKPQVPAELIMKTQPDEDSEVEDFKLEIEMKFRTCKINHTFKFPKEQYESS SDTSLQSLKDVTTYNLNCDNLDRLTDATQPHFMKALNERLMKLTDQIVEETQPKTKQFNY APNLKSSRMQKLRGTNISNTSITKPTELSVNAASQSQGRESVITKPSSNLGFRATPTLSS EKKNKSKYIQQILKITQQKQMNNQNIGGTFYKRTPQVNNNYININQNINNSIVVNGKKNF QEIRIKTEQDEPEISLQQYQVVPNSRPKMRESTIKGKKLDISIGHKNLTLDSQYLENYSR HAKSLIFQYNYYIYFIRFFRLAMILNEISRDDEQQSFISINEKELTLQTQTNLKQQIKML PPIYLSMSLIVLSAAILSQFQYARAQTFPLFLELPFQKNQLFTIGNALISLMGIIIYYLV YQANQSKPIRKRYSARSIPHKVFSFQIFLSGVLSHLAFLAFALLPIEYKNQSNFLYTEDR ILLTFSFIMNFLFTSYYMCYKQGSQPSKLRNQLQPFSLSQQIKAALFTVICFLFTAFISV NFISIFMGMPMAQGEPSLNDGLSASEDFIANMVSEIYSTLSYSLYLMNSFFIGMFYSDLQ KINLIMSVDQEMMLNRNEKSK >CAK73321 pep:novel supercontig:GCA_000165425.1:CT868152:191311:192057:-1 gene:GSPATT00009636001 transcript:CAK73321 MSKQLLKEQIKFGHCDPNLFKQLKIVEGIENDAAIELLSKRATLMEIKKDKTVNMDDLYY YKLLLGDIIIREGKIIASMNSDVILINKGLVEYFQQEEKQRRIETSINMLLDISVFKGLS YHQLKQIIKESSFVKLNDNRILLHQGVVPTDCIILVEGQLQQYKSTEGVELVLNQNRKHF AIYECLNSKAMQFNVKTISECSLIKINRSSLLKFELDLKVKKEVNLDFSQFKMDIARKKD WLKFKTSK >CAK73322 pep:novel supercontig:GCA_000165425.1:CT868152:192083:193185:1 gene:GSPATT00009637001 transcript:CAK73322 MLSGLLYSPNWTFVDKILRDPQLVDLEYFVGGGPGHDWLAKTVRVDQQKYLGPRAKFERQ FNEEPKTWEVVTDNLYRLTLLAGVERLLTLRQAYGGLKTRFTFGDSNTACLQQIFNQGLF KGYFRGALLNAAQFLTVWAHPLVYSRGNGYASHYLYSSLFELITYPIDTIKTIIYSDHLM SLNRFMQEMDSVISTEEQYLSWPINGTLIYHLRNIYEQDYIQQLVSVPLLAIGYGFLTIK TRLQLASTDLSFQETPQKGRIAANLFAQKTPFSIYRGVIPFLLLTDFFHYKLFALYSSTA QSRTLDEFLVQYKHHIGSPKDENLWQ >CAK73323 pep:novel supercontig:GCA_000165425.1:CT868152:193190:193925:-1 gene:GSPATT00009638001 transcript:CAK73323 MSNGQQVIKYMLIGSNMVGKTAFLSRFSDNNFNDKYEESKGVDFKSKLYDSGKYKLCIFD TPGDVNLRFASYGFYKFAFGFILIFDLTRPQTLQNLRADFSQIASQSSQYAQLILVGNKS DLSDLNDYRETQADAQRMADELHISYFEISCLTGQNFDLVIEDLTQRVLIQIGQGNLGKR PGNQTDNIQKDENIKHEGGCCCKIF >CAK73324 pep:novel supercontig:GCA_000165425.1:CT868152:194040:195200:1 gene:GSPATT00009639001 transcript:CAK73324 MEQTYTFTIESKKQNIDALTEEQQQEFKTHADECQIIVLKGNSYSKQFFNQFSEYITSAK QLQKINGNDIFVGKGKDEIPQSLQILGNSLIGLNILSLDLSNNAVNPFGAEALKPFLKQA HQLQKLFLNNCGLGIRGVTQISEGLQEGEHNLQILAIARNRAECDGAIEISKAFPTCKKL QELHIYQNGIKQKGMIELLSSLNKNCTELTTIDIRDNFVHEATTQVLSDLITNCSHLTAI NISDCNIQGKQNKQILEALTKLVKIERLGYNYAELNDVQGNELYDIIVKQVENVSKLELK GNEFKKTTKQKFKELFATKEKVLGAFDSDDEDEEEADELQKLFSQLVI >CAK73325 pep:novel supercontig:GCA_000165425.1:CT868152:195472:196210:-1 gene:GSPATT00009640001 transcript:CAK73325 MANIIVFGDKLSNALFSKCIYYGAFNKRFYSKVNQMKLAAVDKRIRILAANQVGLEQNLF IMLPQSSKMIPSEYKVIINPKILKISNEVIENTEESISFPQFKAKVNRYKTIFVSYDDKK GKTVEEELKGLESIWYQQAIDQVMGIPCISWIASQGKVELKPEYQKQAELNPGFLKAFKE YVDVGQQQKYQERNLYSVNPQDEREDYALQGPTHQPHNYELYEKLLRNLENELFYTF >CAK73326 pep:novel supercontig:GCA_000165425.1:CT868152:196213:196512:1 gene:GSPATT00009641001 transcript:CAK73326 MNIIKVTKQFLSGKPKGFYLQLFVKPKSKAEMLEFSEEFVIAKTKAQPIDNEANEDVIRM LSEKLSIDQSSIKIVKGQQSKYKTVFIENEMQAYNKLRN >CAK73327 pep:novel supercontig:GCA_000165425.1:CT868152:196562:198251:1 gene:GSPATT00009642001 transcript:CAK73327 MLLIFTLLFSLGQSELVYLGYKNYTMAKKEHQPLIVFYYGDDKQSKKFLDDFIAIATGQH SLQKTGSYPTNINFGLVNSVEEKKLMEKTQIQVTPSIFLFYADTQKQKYSGAYNAQAFLN WLKKTYRDSPELNEFYPSSLLQKWDQFVNKINSFQLIAFLATPEYRVSPLKAFVQAAKQL PQGYVFCHIFNAELYQHLGLKKEESGLVIYSKLEDLEDISEEKTKKYQTYLHKFKGDIKN TDEILQFLKIYAFKKGFVYVDDTKFQKLSRRVDLLPSVILLYNKTNPEHEQYVQKMQDAR YPLLNKAIVGVLDVNGDCEERLERVYEINVNTTQLPQLIAMKPIDDNRFYKYKNGPADPI QFYEQISSGQIKPFYRDEGILQNLYDGAGIYKLTRSTYYPFIKEQNDKGVDVIVTYTAKK CQPCKDFRPDYNEVFKQHKQAIEGKVIFARCDIENNEIDDLHIDNYPHVKLYAAGKEHPF TLHLQKTEENLTQWLSEQSNYGSIFKVKADL >CAK73328 pep:novel supercontig:GCA_000165425.1:CT868152:198553:199964:-1 gene:GSPATT00009643001 transcript:CAK73328 MMSLDIQSDYLDYASVLDDKYFIIDTIGVGRYAKQFQNVDYHRVKLAIDVQDKQKYAIKI MKNDPTANQNKLQQFINEVSHQAEFEHNNIIKIIQCSINGKYRKVDGRLCQVSYFVMELA DQGELFELLEQTHQFSEKFSRGIFSQLIKGIEYLHERGIVHRDIKSENILFSNGILKLAD FGFKSNLRFNNTWDLQSIIHRNFQIQLVNKNIIPPNPLMYLHLESAPFKTSKNTDPYYSL LKQDKQKFWQVFQELNNNISHQFKDLIEKILEENPQKRISLEQIKKHPWMQGQISNLADF KTEINNRYEIVQNKMKEKITFRRQQKFTNRRYQKRIEMSIPNLIPPKYLQESLVVIDDIN DKLQKRLLPQAYQDQISQQAQPTAEFKIIEIQQKTKSKRQLEGSKKSSPATPPNDSDN >CAK73329 pep:novel supercontig:GCA_000165425.1:CT868152:200220:201395:-1 gene:GSPATT00009644001 transcript:CAK73329 MKNLIKCQDNQILEDDEEELENMNHQKKKLQFVNRLQRKNNKSFDQTWLNAITQENKNEL QDQIKQAVGDSKFKFKYLFQIPQIINNPKLVQRKKTYEIFTKNSQLEQYYLQLKKASSGA ARSISSKADYKDILSQISNNKVHDTYQHQFKQNNGVIYTNELWSQKLRNQIYDQSRLKEL SELLEMNKIKMSYEEQIMNFQEYFIKKLKPKQQSITEMKQIIESAFFSENLQSHKSDTNK EVKMQHKSLISYFKSQNEDQTQDFSTKHSRMASRNQSQGLRPQSQEMSFLSRRASTSQQN NRMLIVPQFSTLSSPINSPANRLEQIVQDTKSENQNTKQTIKQINNFIKKENDIQEKIRD DRMKKYRVQALNNAIKLKKNRETNSHIRSEL >CAK73330 pep:novel supercontig:GCA_000165425.1:CT868152:201804:203289:1 gene:GSPATT00009645001 transcript:CAK73330 MTQLLKKNIESLFPQFSVLEILRQNVYKKTILLKRGNKNYVLRLFNLEGINKERVISIIK ILNKLSRKNNPHIVKFYEASHDIDNTYLGVISEYIECQYQCPLEEKDILIILIQLCSALS LFHPKRPHGKILLSNLFCFGKNAVLGEMNILFYLHPEEYLDIYLLAPEFAKSKTYDCKSD IWMLGFLIYQFMFKEAPFKANNINVLHKQILKGLKFTYNPQYSLNMNNLLRIMLCYDPDL RPTLESIRSFAQTALASSEKIDIFKLLPKYKLEQIALHKKREDKKPQQIDNQIYLNEDTS KYPSFRPSKVLKTFKKILSPSPSPKHIVLNLIEKNESLINNQTQPSQNHFSQLEQFDSKK NLSTTGKNSNQSQKLINQSQGISISNQQSQRNSLYPVQLPKVLQFQFSKIKFRHEMTPKK ELDESIQEQPMAKYAQLPQKINNIPLKKSPPKMLFHQYQDTVLRSFSQRQ >CAK73331 pep:novel supercontig:GCA_000165425.1:CT868152:203381:204868:-1 gene:GSPATT00009646001 transcript:CAK73331 MDQFYDDYQPTTNNKDIKIYRNGNSNLCCTLTIRDNTKFNEIRKSLQQKWDTQFNRLRLF NQEGVEITEDDLDYIKNGTVLFASKGEEFDESFQLAEYEQLQDIGEGGFGKVVLGRHKQT GEKVAIKMVKQMMTNAQDVDMIFREARALKSLKHDNIVKIYNAFFLQNLQTVYIMEYLEG GELLQYLQTKGRFEESEARHYFKQLVSAISYCHQKKIIHRDLKLENLLLTSKDSGIIKCI DFGISGFASNDNPENADAGSLRYMAPELLKGMDKAVSPLVDVWSMGIILYGMLFGTLPFA GNTNKEIIAQISEGRLNIPHEYNNKLSQNCLDCLYRALEPEPKKRITSIELLNHPFVTNE NTLSTATNKPKIQTQLQQIDEGDNQPVQQQSQSATNKQHNVQFSKQFAKQHPQSTRQLTQ TQKKTPTPQQSPLLQKQPQSTGQTIKQTKFSKK >CAK73332 pep:novel supercontig:GCA_000165425.1:CT868152:205031:205689:1 gene:GSPATT00009647001 transcript:CAK73332 MKVDFLTRFKGRTSTMNKREGVTGIIKSNGTPIEKMPASYTFSSYISNQHAYNYSDVEKH SQQSNDVTPTSKDPQVITNIKTFNKNRKLSHASPLKPGTNMSNMYRSQFVPDKEMYSFPL SKTGSVNLNDYELIAIPKSVLQFGIRVEHTDGDQPRQTVLPNISRQFQSKSQSLDLQQDR PMIKSEILNQGSYAKQKEKRSLLIAGMNSIK >CAK73333 pep:novel supercontig:GCA_000165425.1:CT868152:205827:206287:-1 gene:GSPATT00009648001 transcript:CAK73333 MSTVTQQQQRGQKQKLDPTDNKLHISSKKNARDFIFISKIFLKKFQNVELHALGEATKIS VRVAENLQRQGLVTITKINSITADIEGRKRVKLVVSLQLTQDGKARIDQELQA >CAK73334 pep:novel supercontig:GCA_000165425.1:CT868152:207819:208415:-1 gene:GSPATT00009649001 transcript:CAK73334 MLFILDTMVSSDTTSISASSFFGFMGVTMALVLANLGAGYGTFKAGAGIAAIGIWKPEII MKSLIPVVMAGILGIYGMIVAVLLSQKVKNPLEYSYKSGFAHMASGLCCGCSCIAAGFAI GIVGDVGVRGNAQQERLFVGLILILIFAEALALYGLIVSLILSQS >CAK73335 pep:novel supercontig:GCA_000165425.1:CT868152:208471:210048:-1 gene:GSPATT00009650001 transcript:CAK73335 MSESYLNKLRDQAIQLIVEGKTEYLKGATLEAKQGGYDKMKLGCQELVKYAKQETSPQLL QITSQKLNEFTSEMQNMKAYLDNYRASLQAQNSFPAQQQQQQQQFSQNPQPYQQPKTQNG NNGLNKSTNQQDDQGKQKLVEGQQALRNNLSTAIVTEKPNVKWDDVAGLEKAKEALKEAI ITPMRFPELFQGARKPWMGILLYGPPGTGKTFLAKACATECDGTFFSVSSADLISKFVGE SERLIKELFNMARESKPTIIFIDEVDSMTGNRESGSGNEASSRVKTQFLVEMQGVGNNNE SVLVLGATNLPWTLDPAIRRRFEKRIYIPLPEFQGRLSLLKNKMQGTPNNLTPAEFEDIA KMLEGYSGSDMNTLIRDACFEPLRKTERATHFKQIQTPDGMKYTACSPSDPQGQQMRMFD IKKGQIHLPNTEYDDFLSVLPKCRPSVSQGDLKKYEDWTAEFGQEG >CAK73336 pep:novel supercontig:GCA_000165425.1:CT868152:211223:212150:1 gene:GSPATT00009651001 transcript:CAK73336 MIYSQHPYDQPISAFPQGYPMYYQHYQPYPASFEPYQVLSNVIPITEPLPQAIIYPQETV IERYPPLQMPKKVEVEYTQQEKRKNLYNLDNNPYLFSSARARNYSQQLPPQSYQQQPHEA HQVQFQTNRMENTQRIFDPQIQSNQQRDLRVSESTRQFYPPQQQSINDQIDQRLRNTSQY PISNIQQSKILEQKDYYIQSQNIPIQEYVQPIQTQSLHPQYQITAQFQPLQSKSLNDQTG RQLNESKPPIIQSTIQYNAPKQRPGLNLNLNQYKSASQSLSQSKLRRSKIFD >CAK73337 pep:novel supercontig:GCA_000165425.1:CT868152:212690:213172:-1 gene:GSPATT00009652001 transcript:CAK73337 MKFDGSDQQGEDYLDPTINTSKQQETNNCSQQRTGHWDDQEHAIYLEFLQNVRGADGSYK KGQPLFKKMSEIIGTRSPSQCRSHHQKFNPYNQLIKKSKKKCFKNRIKVQSKPILRSKQI MRKYFALIRETTDEE >CAK73338 pep:novel supercontig:GCA_000165425.1:CT868152:214171:215014:-1 gene:GSPATT00009653001 transcript:CAK73338 MYQQATQYPNQKYKTQLCRHFTSNGVCALGLRCQFAHGPQELRANSLQAGYVEQVIPANN FNKVQGINPMVVNYKTQLCKHFNPQTGQCKNGPTCTFAHGESELNAIPYLQSQYQQMQQQ MKQMNQQQLQADLTQQILIMILTNMEHIFPGQQQILYLLKQGQDKAKQGDTQGASDIIKQ IIHDQERSKEEKQQYQQIYNNAQRHYDQKLKEIQSQQQQQLY >CAK73339 pep:novel supercontig:GCA_000165425.1:CT868152:215531:216126:-1 gene:GSPATT00009654001 transcript:CAK73339 MGKFLKPGRLVVLLAGRQAGKKAILIKANEESTKDAKFPNGLVVGIQRYPRKVTKRMGQK QIRKRTTLKVFIKQLNLNHIMPTRYRLEESTLKEVRDRIERVKEAELKNVEKRKDLRKNL RKYLAEKYRTLPAGSLADKKAQSRFLFSKLRF >CAK73340 pep:novel supercontig:GCA_000165425.1:CT868152:216347:217040:-1 gene:GSPATT00009655001 transcript:CAK73340 MPAKKRTVRIGNWYKSDDENVHFVRKRKAPRPAKLRKIVPGQVLILLAGRFQGKRVVFLK QLKSGLLLVTGPFKINGVPLKRVNSAYVIPTSTKVDVNGVNAGQVDDEYFKRTQAQRRKN EQGFWAKRGELTAEQQTAEKTRLDGKRKTQKSIDDALIAAVKKTPLLKQYLGARFSIGKT TRPHDLVF >CAK73341 pep:novel supercontig:GCA_000165425.1:CT868152:217507:218877:1 gene:GSPATT00009656001 transcript:CAK73341 MKGWNNFYKVSQRVKRQENYITDITNFENNNYLINQKIDEYLDYEFPQNECFSLAQLIES MKCNCIKIYKGQVLIIILQVLKHLQQLHQKRLSHGRLKPQNIFIQLKNEKDNKLTIIQSF ITIQQIYFINYRYIDDVEYEINYSQDVYDIIDCCIELIQAYSNTLCELLLLIINNLNQYK NNIVEGDIYKVLEFIDLLIKECVDQENQKVKKTKIYPSKLNEQTTSTIQCQEGEEYNMIS KRWRISTIFITKFLDVICSQSVDCELGLQNDSIENRIDQYVQYIDTNLLIQEELQIYQIV ESIIRQQMMKIIWKNYGEFLESWQQEHDSKCEEIVKIINKRNKYILNNHLIFILNEYNNV KKINDEIQEDIEKNYKFNIVYDEQKAKDQFILELKVQAQNQDFNNIQAFIDNIKTQVKQE LQEYYNQILELEILTLIHDLI >CAK73342 pep:novel supercontig:GCA_000165425.1:CT868152:218912:220887:-1 gene:GSPATT00009657001 transcript:CAK73342 MAEQDQNLEPQEYHPDQDQQPLKQDLDNNQNLDQEGQQQTQKYSEGNQMGSVLNQIYIEE DPKMMRRDIIKKKKKQQKEQKLISARRLKRLLRKKPVDKVRLAYQAYFFLVSLTVLALSI LSYRDQTVYEGDYKQFLDNMGSYVIDDIQYTYTNKNCKTQFGSEYSSLYEYYWPGTMIGC DCSQGFNFNSLNNQNTENVEGFFKQSFMLGRVCSQDMTTKSCETVDEQQPKVFNSWNDGS YGRPFVLCARRKTGISLQTNQSYCESENKTICGTGDNKFCVPPDMSCPISDIGFTTNSNY QNLSKLNDTNIGFIYDLGKGFYFYYLKNTSNLPLIEFRVTESDKVCRRNQNQNISPNRID YPLMTDRRKQCENTDPLFKLIHSIDEEQFYLSNNVIYLKEKLPYFNIDTRYNWTMHSKTY IPWAPNCRGQLFDDVIHEGSTLSFVYISLRVQLGVTITYFIVIGLIFNIVGIMTACNFVW SCMATRPDSQYNYIFLIEIGFKIALQIAEIIVICVSFAIIDTKRLVIKDVNDNNCVSDPV SDYFFTNLENDLTRFAWSYNLANLVIFAITLILDLIIIKHSLNQGHHHGAKKHHEKGEHQ ELEIGSNGESVNQQDVKSDQKLQVKSDILQSPK >CAK73343 pep:novel supercontig:GCA_000165425.1:CT868152:222342:223537:1 gene:GSPATT00009658001 transcript:CAK73343 MSQVSQRQRSPSPQSPEKVAQREKAAQAMKRRNQTQPSFFDKYAYHLVIGAFGFVCVYAL YSILTRSSKKLTTAPVIDEEEIAGHNSLGSYLQGPNDFFKDWKFSDAKFIFNNHLTFKGK IPQCPESGVIIPESYNFREVQPECAQPIYNQGNCSSSYSIAAVSATSDRLCKVRNGEFQD QLSPQSPISCDNKNYRCGGGSVTRVLEVGKKQGFVTTSCLPYTGTEDAKDNCDALFTNCE KYKIQDYCVISSEENIKREILNNGPVVAVIQVFKDFLVYKGGIYEVVEGSSKFQYGHAVK VIGWGKQDGVNYWVIENSWGDSWGLKGLAYVAVGQNQLQLEAYSVAPIVAAPQEKAE >CAK73344 pep:novel supercontig:GCA_000165425.1:CT868152:223616:224987:-1 gene:GSPATT00009659001 transcript:CAK73344 MKGWNNWYKVSQRVKRQENYIRDITNFENNNYLINQKIDENLDYEFHQNECFSLAQLIES MKCGFIKIYKGQVLIIILEVLKHLQQLHQKRLSHGKLKPQNIFIQLKNEKDNKLTIIQSL ITIQKVYFINYRIIDDVEYEINYSQDVYDIIDCCIELIQAYSNTLCELLLLIINNLNQYK NNIVEGDIYKVLQFIDLLIKECVDQENQKFNAQTTSTIQCQEGEEYNMISKRSRYSTIFI TKFLDVICSQSVDCELGLQNDSKENRIDQYVQYIDTNLLKQEELPIFKIFESIIRQQMMK IIWKNYGEFLESRQQEHDSKCEEIVKIINKRNKYILDNHLICILNEYNNIKNINDEIKED IEQNYKFNIVYDEQKAKDQFILELKVQAQNYDFNNIQAFINNIKNQVKYELQEYYNQILE LEMLHLDDDLI >CAK73345 pep:novel supercontig:GCA_000165425.1:CT868152:225726:226282:1 gene:GSPATT00009660001 transcript:CAK73345 MGNGQGMEEQMGTFQPQEIKRLYKRFQFLDKDGSGQLEPSELFDVPELSQNPLVKRVFQI FDKDNDGKISFAEFITGLSSLYGNDEEEKLKFMFKIYDIDQDGFITNGELFKVLQMMVGN NLTDVQLQQLVDRTIIKADEDFDSKISFAEFKKMVKDLDVASKLQMQGV >CAK73346 pep:novel supercontig:GCA_000165425.1:CT868152:226910:230994:-1 gene:GSPATT00009661001 transcript:CAK73346 MGNSIEISQTLSYQTIQGQEILLPFKELKGKQNFPKVPSREKDVEIMFQKWLSKIVIGRR EKEKLKGIPLKYKFRLCYKHDQIIQEITKGEQYKQKVMQETDKLIQKVLSQQDVVSLEAL SRNLTNDELLEHKITLLVKADLIAKLVVELKTQELLSRHSKNFRDQIVIIKIFEKLLHHE SGVQLLCDTSNFFQIMFSTFHPVEPEITGLMLQVLGGKSGLCWQPECLDNILDAMTEFQN NHRLQTKFDVIIRSIYYTKNLITIYHLLQFLFNFLFSVEKPESDILHKELLETVINGKRI DEVFQQVKIRIVKDYYQIEECTYQGVRQKLAQFQHPLVEKQSQENDFFSMQRFKTITDKP PKDAIKPNIEFDLEETGRIKFENCFYFEQHDIKLFSILKVQIFELMDGILNIDSILIQIE NDDVDQTEIVSNPNNVFNEMQTLAEVDEEEEDDYDNRLVSFSKIRNNDQFRNQGNMIKYA NANLQQEYNSLEEKHQILFSKYEETEKQCRELSLQLIDEQQKVKKLEEQNEKLLYHSTSE NQDQIQTLVQEQIEFLKQQHLIQITQLSEELTKLKEQTQNQTLIENQLINQIQIESTKVQ TQKQQIDLLQRYIDQYASMPISSISDKNDEKLNEVQKSEGESQVIKDIKDNNDSQKLIEQ PIEAEDKSKDDSTLEKSSENQQVQKTEQQEQNQTLQIENNIIDQNLNQEVVKSDTDQLKQ QENVKETITVKKLMVDSETQTNEKIYQTKEAQTTFEFPPNPQTQVAAQVQKDQKANPPPE PPTVKVAPPPPPPPPPSLKPGGPPPPPPPPMKGAQQAPKPQDILFPFNKTELIPSVPTKP INWILIQPQNMKNTIYEQIYQESYEIDPKYLETYFYKIQSAPSTQQQTNENQIVKKVVQT KIQLIATDRSKNIELVLGKIKIPNALIMKSLLSIDLNILTDSAIDSLDTIIPTDEEIKIV SEFQGQRDLLGVVETFIDTIRQVNGFQFRIRSLKFRSVYDDYKQDLIDKLGILTSRFQEI RNLKGLQKMLLITLNIGNFLNAKTPRGRALGFKIEALDICAEIKTSDNQNNNLLLYIIEK TEQIIGCEIISEEKMKTFEVLQRVPVHQLVVDLGDIKKGCSFIKKAMESQTDDPQDLVQQ KFKDGYESITKDIEELDKKINLLEEEYKMCAQFYGENPKDPSDKFGDKILKIFRQILRQK LEKLQREERAKRQEALKKRQMMKSTPLTARRADEGPKPPPKEAQRQSVLKPIIPRQSIKD PIKLEVPGNKGPKKSFIANEISQLRHMKQVRASILTINKK >CAK73347 pep:novel supercontig:GCA_000165425.1:CT868152:231214:238067:-1 gene:GSPATT00009662001 transcript:CAK73347 MLISQRQPLIQFDDFMSFLGSQWIRLNYLSQAQSIECQNDFVNFIDQSKGRIKIDQEQLA KLETTNQKINILTLFIYGYYITYIEKQLFCYIFFKKFINAIDRIEIKSLEHLQQIIEPQH PICKSILLECIIMLLHQSNQLLETTEQNQMEDYVDDFNNIPESKLFYKQAYIHLVDQMKG ALFKCYNQGQILITIFINQLFLSEEIYAKIQQDQATNILLNDTKFIIELMEEYITCSDKA ILNTRSIIKQTFLSNYERQGKISQQIFNNLQKLNDQTILSLKAIKIWLNSKNQTVFQQIL SFLENTFENANFSFEYNEQCIKISFEILTYIQSQILQDNVNFTEELQKILIQIFHILWIT LEQQTNNQLEDQKTEFWLNYIHKLNLLLNPLTPNSKEAKNIKECAGLYLILHDHHNIKKY ISSTTILFHFIDQIYPLDQILIKQNPLLQKLIKSSIEYLVDPILSENKEIMITIQKVLKQ VQFISPQQKKNIFQYIWTKLIPENRFYLEVLQMVVTLYLENEDQMLGTRNSFFISILEMQ SHKRIVHPQKFLALLQICQYLCLENAPINIEEIFSQNDSNANCLKLKQFKIKRSFQEFFQ VNNYYNQIINLISATINPNNLVQQLKEFIKLLWLLTEGLKLCQVDFADDFVQFLEQKLSL FTDDQMRELIELLFDCSVSMISRFIRQTNVSNTAEQYGEVMIQNEFCLKVLVETFLFNTS PSEQLRLKCLQFLGILISMNDYNKMIFREAIRLSNFLLCMRNQKNKSLQLSMEEVLKRSL SFIRDEQIQKLIQNAPIETQKNKVFKQSAFSQYVGTTLENLSNSGSETKFKRYFQLLGND SGIIIRNYKDLCVSKSWKSFSILMEFKREGFHFINSNAKTLDDIYKKEQILFAYTGHLER QEKGGHQGTSQKQNIVQVDLITVSLINQNLLSEEQNKNHLDNLIKIAILNSELQVQEFTI SYTQQQKDETILLIFMFDDRRKGEFIVYIQNQKKQTFSVKPFLSEYIKKHADKHPLSVNI GAYYQNQQFQRTFQGIINNFILVENILVEKQIAAIFSRAQKNLVTAVEEETMNFGDEIQS REMQYLEFDKFKSSFQIVEIKDVLKITRAQNVQDQNFLMNMLKKQIQHKISYEQNQEAKV FYQGANIIEDTSLAEVFLSLENIEIILFIISITTQTYFEIEPQERRSCKLRSVKEVLQNG STSSKGVFKRTNHFDSQSRQLFLVKDLRKCRDDYINCQQYQLNLKKQNSSMISQGESPLQ QRQNFIQLDQNSSNSNTNSISLASQFQAASQKNIDQLDQTSLFNIPITQVQSTFSQELQS PFVMKSNQSEQKQSSPGEVPNLEVPSQIIPKEKFTLEQFNIDRKVQSYFVGRKNTRNQTC NISATKAFQMNQNLKNVAEIQSDKNINWNQQNSESIIIDSNLYHCEWIRVKMQIYGELKI LEKGKIIQFQSDGSERPDQDFYIYGTIPYNLKKLKKTKIINSSQILEIQTRRYSHKEIAI EIFCKNTKSYFFVLYDQDRRTQFLNQIKQNNLFTVVVDKRAEFLAKEYTKKWVKGKLSNF EYLMLINKYSGRSFNDLNQYPIFPWVIGDYTSKSIDLTKRETYRDLEKMISASNEERLKN CKIRAESLRQTQNEYFLFGSHYSVAAQIINTLVRLEPFTTLSCELQDGKLDQPDRIFFSV SNIWQSCQNDNQDYRELIPEYFYLPEFLKNINKIQFGERQNQELVDDVILPPWASSCEEF IEINRQALESSYVSEKLHNWINLIFGPYAQGEEAKKRDNLYHWLTYDSCLVYLEKMSSQE KLGYLAQIQQFGQVPFQLFVKPHWPKQKLQLNLFSPTNLIKLLSEKKYINSKRIKKFDKK FVIQIYRESENLHVLLNDKQVYKIKQYNNQERRDSEEKLTNALTFSIRGNEKLHSKGLQF QFDDHVLFVCGYLSGAVYIYNLLTDKSQQAQICHKIKLHKKRVTCLSYSSKLKILCLGAK DNRVTIWNAIQGNEKLISFQSTPSLILYGHDKTIKCVHIDDALQVVLSVDKIGKLQIHSI ISGLFLQDFKLYLAQSEKVKNIVTNGNGLIVVYTNQNQILATSVNGLIIQRYLYKNIGNI TQISSFLQSHLLVSTLRGEILLIQDIASLPDQPPIFFHLYQNTSNIGIVTFSSFIESESL VFIISLMDGTLHRYVISCEQNGEFYHYLGKLGM >CAK73348 pep:novel supercontig:GCA_000165425.1:CT868152:239255:241441:-1 gene:GSPATT00009663001 transcript:CAK73348 MSKFKDQPTSSQSGCGCLGSSKQKNMMKFSHIEVDDEIVSASIIMEMIYRGQNAQIALSR CRLNPNLVLLQFRDDLEYFVPQLINQILYKQLEYVLNFILKAAEVDFFFAHTFFFAWRSI VNDNNPEIENYLRLFLSTLDRVYKKTMLIAACLENHQFNDGIEQQVSKGVPIVQQVNKLP DRIQEYGTLFYSNHVFNDTLHLANYESIFRQAQPSGFCSTIDFWDDIIYISQNLHLADPK IISLKADIQKINRGLPAAVYIPFQGVRNYVVLNIVVDECRVFSTKCRSPFYLCFEIYRPE EEAELIPNPLRNSRLSVPKTVGKMDNKNSSENNETQDCTRNRPTYLCKIVEAEEDAEEGK EDLSQFSNILRDNDVTHSYYEDLNNLVSIQNVSGQQLKKMSKKELTDAIYGTDTSEKIRN SSPFRNFKTWKLVHLIVKSGDDLRQEQFAMQLISTFDQIFKIEQLKLQLTTYEVISLGPG YGLIEVVRDALSIDSFKKKLNDILKIKCLSQYFRMNSSQAMISNFLNSLVAYSLVCYFLQ IKDRHNGNILLHRDGNIVHIDFGFFLSSAPKGNLEKEVPFKLTEEYVEILGGYDSNLFKR FRKMFFEGFKAIRRHKDKILLLVKMMENSNLNCFKEKTQADLERRFLQNDLSDTQLYVQC QKLIDLSRGNWRANWYDKYQYYFQGILY >CAK73349 pep:novel supercontig:GCA_000165425.1:CT868152:241461:243605:1 gene:GSPATT00009664001 transcript:CAK73349 MNNSSNSNSRQSAQNIINKYEQNNNEDRFTFQVRPNSPRETFGKQTQARNSQVQILREID QANLSKMLSDFSIVSEQEESICQNQFNNPIGIGTCLSPSLVQPTQVIQQKQQEQVQENQS YRGTFGKNPFFQPKSEVTEIIEKSYLEEELYDDMSVLKDKVMGLLQAYADDLRKSNNDPN IKSKIHVDAKQVLKEYSQIIEKVNQSEISQLNVSQNPGKKEQELNLMSNLVTEFLNKFDM QKQPVLNVSQVLEQKLAKSRVRSSNQQLDLTVMHQIPCDETFYQHDQYNDDKLEGKIQKL KQMLQDELDKQQLVYSKKILSDMISNLNQILIANDTQTVKTIVYKIENMIKQLPQEDCGS IQLYDYMSKAMKATKRRMKQMDPQNQQQEKTLKRKFFDLATQLKNKLPQDHPGRNEMISV LYDLYCQANKPVEMEDFIINQLNLEFQSHQGKQQIKIQSLLKGKAIKAKKLNNSFLLQNL RTIALLNNGMLVYYSKCFKSIQLEGDLIKQKPKYGVYFNNVFYSCVQISEKEVELIVKFK KSQLFNFDDNLFSYLMDPKSQDIVLWIFTIPIDVYINKQHSDLFKGCDSLNSLNNLQVSQ IEVKSQKCNNQMAQKEKISIEYVNNTTKTKSKKRVQWLDIWTQKQIPESNIQYLNSEQTT YNSKSIQQDDQLETYEEKTDLKIKNIEIRRLSLT >CAK73350 pep:novel supercontig:GCA_000165425.1:CT868152:244417:244938:1 gene:GSPATT00009665001 transcript:CAK73350 MNPLQQFQLLLTNKASNTKMFLTRQQIIDKVKKEKELQQIQNNRIQNKKISKPQSVMKVS IAKSSTQKLTQQKKTFSCSKFQINELVPDYELICKNTNKTQQNSQSTLNTDYFNFVNTKS KAIKDIQDRKDEFQQSEINSSQVLQGLGQYQQMKNELLKSFLDIEQMLLRKSH >CAK73351 pep:novel supercontig:GCA_000165425.1:CT868152:245140:246432:-1 gene:GSPATT00009666001 transcript:CAK73351 MKSVNILKMLEANKENRNFQPAQNRQNRVQSTLFIKDEFSQQNIKPRIIRVSKWNKSQNI RDNMKREDHRHISYEMPRQKTDQYQHMQRTLKNRSLTNIQISIINQAQNNNHNNNASISL PNTNKYLVTRGLDKFQSTENNGNPQLVTIYKQDIYNYLEELNQKQQQQNAISYNAFYSQS QINLKMRNVLINWLFEVHHKLKLQLETLLLTIWLLDKFIETNLVQKNRFQLFGIACLFIA SKYQEVYGVPKSGQLSKLCDEIYSKEDILKAEGQILQNINFNLSFISPLRIFEHVVEVDD QISWHHLVMDLLMEIPFKQWPLFQTLLEKSLTKRLIPQCQSSQVDSNQTNKLAKELIQTW FLDEVNQPFPSLIKKYQHSHYNHVSTINFSLDLFL >CAK73352 pep:novel supercontig:GCA_000165425.1:CT868152:247185:248265:-1 gene:GSPATT00009667001 transcript:CAK73352 MSDEQLTILFMINPDPIFYGMMDQLIIETFQRLKVNVIVTELEELSVIVGNEITFYRKGE PIHFDAFLAYGYMAPKHYQDYMYFNFAVHSAGKITLHHPSTESILQNKLLQYLKFSENQV PIPRCGASFSLNTFKQNLRRFNDKAIIKEVEGYEGTGVKLSVNHNQSTELFCKSMWNGEQ AIIQDFVDDTVGRSIRVLVIGGKAVSVTEFQDNVDFKSNGYSDDFRIESKMDSDKKQEYF KFAERACAAIDPHLTIGGVDILDSRKNGIVVLEINSWPEMTFSQEATGLPLFDQFGQEFI EKIISNNRERTNST >CAK73353 pep:novel supercontig:GCA_000165425.1:CT868152:248357:249506:1 gene:GSPATT00009668001 transcript:CAK73353 MKKSPLFIYTTRMGSYYHLTPFNQQTLNLDTCLLSAGDFLHMENTLKLLQSETNISFRQY INQENKILYMTSIDSYKMKCVEGSSNKDGVKIAQLEGIKPMTNQQYIELAKTINPEMLVS LTEKLEIQAGQKSTKRSSAKSAKFLKESLDQLKDTQISIYGAIQGGLDTVSKIIAAEEQK FAIDYPNFKGFTLFGFNQGSTWQEKEDALSVVQSVFDGYNVQYILAGYGDILSILWGLSW GIEGFEIEEPFRFAQDLKVLLPPKFDAKDSELEQFSDDKINFYELEGKKINYLNFPINSD VKENVPLNPECQCFSCQNHTKLYISHLVECKEMNAQVLLTIHNTYQYQKLQQKLQEMQNK KQLNQWIRWYLQFL >CAK73354 pep:novel supercontig:GCA_000165425.1:CT868152:249543:251128:-1 gene:GSPATT00009669001 transcript:CAK73354 MYSSNQRPPSTTKDKMQAQGLPNYPLLLNKTQSTPSSQEIKSGYTYSSYGTSTLSTQPQY VSFHYLFEQTRPYEQYGQKLNPYQINQQQSSSGMTTSLSTTQKTNSTISSMTQSQPEQQQ PSKYMSNVSKGICGLRNIGNTCFMNSVLQCLLNLPAFNEHFFNGDYLKDMNSKNSSVPNE YSKLVSTIRSTPNFQSVAPYGIKSAVENVMPCFRGYAQQDAQEFLVALLDGLSLGLNRVK SKSTYKEMNDNLNGRTLQDLSKEWWEYSKSRENSLVLDYFQGQLLHTIKCSYCNHNSYAF DTFLDTSLAFTRAFKILEDMDLDRLLDKYVIEETIDDYYCSKCKKHQKVKRKFTIWRLPH ILMFHIKRFDYRRFSSDKLNHRVKFPLELDMTKFIQDSRKTFLILVDQSTKNCQYSLCGI VNHSGTLYGGHYTADSLNPFNLKWYRYNDSDVREIDVKQQRYDTDGSSSPYILFYARKSL Y >CAK73355 pep:novel supercontig:GCA_000165425.1:CT868152:251161:252868:1 gene:GSPATT00009670001 transcript:CAK73355 MDLDFEQFQRYIQSEHHHGQKHDSKLNEKEKERGHEKNKDKHNNRESHKERERERERDKS AEKKKKKKDEKKDKKKRSRSASKSSKKKKSKKDKDREKDKEQQQQTITTQILMTNDIQKK REIAKQRKIEIMEAEIRELERKIVEVERELEEAHRQDLTVLMYCLPLKAKEKHIYQFFQT FNCGKIRDIRIIRDQKSGRSRGVAYVEFYQEESIPMALALNDRLFIMDGQQVGTIPVKIQ LSQAEKNRAARDQKNMQIKQNKLQSIQDLQNMNGPARVQITGMAEQLQKINEEDIREAFV PFGTIDTVEIPKDEQGRMTGVLYVTYEKAESARNMIEVINNQQFLLNGKPIKVQLVSGAN NYMDLQLDDDLVQNPVMRITLMKKLMDDNLIDNINLPPLMTQLGLTVQRILNIIQSPNPS LGCISGWMPLKHNPPACPCITKVIVLTNMWTELEISNQAAIVELKEEVENECKKYGDVEM VWVDKKNEGNVIVVFKQWEAAKQVNVLMNNRKFGNKVVQSYFITESQFMSIIK >CAK73356 pep:novel supercontig:GCA_000165425.1:CT868152:252908:254136:-1 gene:GSPATT00009671001 transcript:CAK73356 MKDTTRRIFEKENQAEVSNNMDKRTNQKSFTQLVSLNLLNRQPKIEFKSIDIKQQPQQLN YLTQRNPNVSSLHYRPEIKQKTTISTTEQIYSPITVRQTTSISQSNQNYLNKEQKNPQNK LTYLYGDNILTNYQAQDSIQKFDFLKKHSITTNLRAKMVDWMIEVLTSYKCKDQTFFMAV RLMDTFLHHTTKQHAPQDLHLVGVTCMFIASKFEEIYPVKLQIVHEKIAHKKLSKDEIKD KESQIAQALDFNFVGTTLSEIISMTLQLINQYQKLHQFVTYLAKIVLYDYELISQYNYSS LSAACVIIGCNLLCQESSDEIISQTLQVLNVDKDETVELSNKVLNLAKNFDKLFPNLENL KKFNKNATLDIIKLQK >CAK73357 pep:novel supercontig:GCA_000165425.1:CT868152:254413:255892:1 gene:GSPATT00009672001 transcript:CAK73357 MNDNEKQEQSQKLISNNQKSWHNTNVRWIALILACLFQFGSYFSTDYPSVLAEEIKDSFD KSQSDVNLLYTYYSMPNVILPLLGGLFIDAIGIRLAMVGFFAILIVGQFLCYISAVSGNF TVMLIGRLVFGLGGENCVVAQSYIVSKWFFGSELAFALGLNITFARLGSVLGAILLGKTY IWFGDSLSASMFFCLALLILAWVDAIILALLDKYSDKRDKVEAKIEGDKIKLSDIKEFKL DFHLLTLSCLTCYSAFFLFQYNNVEMFKHIYHMSSNQANNVYSIPYYSAAILTPIFGYVI DKFGRRTHLLVLCGGLLVLVNLIFVSIVCDEQEVCLTAPILGQILNGIYYSLYAAVMWPC VPLCVPERAVGTAFGVVNAVQNIGLTVFPVIVGHLISDETPAAYRHMMLFLGSTAIIGLI SNIGLWFVDKQNGGKMAKPSLKDNTEVQDLNIQQQESDTPNSEPKVD >CAK73358 pep:novel supercontig:GCA_000165425.1:CT868152:255925:257586:-1 gene:GSPATT00009673001 transcript:CAK73358 MSILFEEQLLSHNKEIEMSMKILLQLEEYTEQENIMQIGRLLLSQSQLIKEQQAALSDVE TLCKDLQEQIDSLCIHKQQLIIENHKYSSQIYELQNNYNSIQKELDSEKYHSQHLSQLES HINTLQLEQDSLNNHNAWLIQENKRLNENNQQLLKIIDDHEALIQRMSNDQKQLDCLKQE VQNQIHANKIIAQSHDILVEQLKQKIVEYELKLDFLQQQQQSTLQSRMSFSLVEDLVNQE DLYQKVNETIQSEFQSIIQNTDNTETNNTEQVDEFTYFKKEYCGLKDNRYIKEIIKKDRE NMKKDYIFCFSDFIFRINIRGEKSKRIMFITESTFYFFEEHNQNIKITRQFPISKVQQLI CCEFNPILCCLKIKGQNDDYLIETFKLKDFIEFLNETLNSQIQISYQQNFLIQFKNYTHP KNLNEIGNGLYDGSGKQAAFKVSQKQGFLQMQQKTLFGFQDWVEVFALMTDVGLLLFKQA GDMHPILFVPCADAIIIKNPLKCKPNCLKIKYRDCEYVFNVTSQALLEEWFQELNRQVVS QHKLQLSQSLRNL >CAK73359 pep:novel supercontig:GCA_000165425.1:CT868152:257721:258466:1 gene:GSPATT00009674001 transcript:CAK73359 MNNNYILLYGSLSGFFSEIVGNLLNFWTYTGYYHSCNPFLTNRLFEKWDEKKKQNINLFI DLFELVFQKNKASKDQQLNCQMMQSGAQQHGVLDFIQQKAQGQTQLKKIQILRIQVNFYI LLYLEALHNFASHQYYKYGIFFKVNHSLGSTLPLAKQYFLEHLLGIYFLIQIELMICSKG FLKRMMTKKFKWFKTIALLNFHFLWVVLHIHYYTIFQRNKGQMKFIQNDYYFLKKGQLYF F >CAK73360 pep:novel supercontig:GCA_000165425.1:CT868152:259929:260748:1 gene:GSPATT00009675001 transcript:CAK73360 MFNNNNAQSQRLKVLNNENRNTQEGYFKQDKENYYKQWEQNLNQRESQLEQKYKELKNYS KKLKVKSDEIVKQKLELGEKIERYNRLLEQIIQVQFSERQVNRAGSLTPNTSKTRINKSG INECQQRVANLLQKIRHTSKTNLKTQQFSYFGNNSDYEEILFSKRQNHSTSFTGIMNVSA QDQTNQQHQQQQLQNETQQQEDKNQKQSMLSCENELLNHQTQLQQMEQNQKHFYSKVYEE MNKLKQQL >CAK73361 pep:novel supercontig:GCA_000165425.1:CT868152:261401:261781:-1 gene:GSPATT00009676001 transcript:CAK73361 MLQRCMQLAKTAQFSQVVKSYTSLAQHKWLDYDQTHKKSLQTHKSRAEEFIHKIPVIEVD QDVVRCLGGTHINAGHPQVYIKLDTRTEGTPQTCKYCGLQYVKKGHGSHHH >CAK73362 pep:novel supercontig:GCA_000165425.1:CT868152:261847:262683:-1 gene:GSPATT00009677001 transcript:CAK73362 MRQQIYQPTYGVGFSTQLQQISQPTIKIVSQQSFQNQIQPNVQQPFQQQLQTSFSQQQNR TIFPINNNGSVCRPIWDQPTRQRFHTSQSNITHKKQMNLVDLQKIEEPWRIKVQELQRKI KILEEEQQLKQQDEDVESELSQAYSQIQQLVNTIKILQEEIQQLEEKAETKQSIIDGYDK QLAEKDKELENANLYISELHAQLEDQTINLEEKQKYLVDEVNTWRRKFIEQNKEFHEKQE ELMALQAELDNLKNQKIISNKSSDYKQIK >CAK73363 pep:novel supercontig:GCA_000165425.1:CT868152:263306:263662:1 gene:GSPATT00009678001 transcript:CAK73363 MSFQTTDSKKEEFRKYLEKAGVIDQLTRVLVGLYEEPEKPNNAIDYVKKYLGSPVDIDVD KLKLEYEKLKDENIRLKREVAELKKELQAAQQEQN >CAK73364 pep:novel supercontig:GCA_000165425.1:CT868152:263726:264217:-1 gene:GSPATT00009679001 transcript:CAK73364 MPPKKKKSKKSKKSKKKEEYLPSIFNIPQYENPDIVTPKVDLIIKLVHPVTDLFTLKIRV PITTRVEQIHEEISKMHQGAISGISVCRDRFSPEDVLDPKKTLEQCGIFDGEIKLLYDYK PIVGPLLK >CAK73365 pep:novel supercontig:GCA_000165425.1:CT868152:264644:267272:-1 gene:GSPATT00009680001 transcript:CAK73365 MAQNQIVGAIKIKDGLFIGDEYASQDREFIMTNKVTHIINCAGTEVQNKWTLMGAKYLTF NWLEQDNEVLFDERSENVNKIFTFIEECFQQGESCLVHSVRGQSRACCVLAAYFMKKYSW TLYKTLEYLNSRRPDLEIRASFFYQLNALENRMTKQGPKRTASWNELTTDEQNPSQVQDE LIIRNTFLNSHNGPVDEIYTNLQAKQGVLGKATNQKIKWKDQINKENISLATIVYSGSPD FVPVSEINLKIDPTTPSILKGAQKNQSLVQPPKQPQIQSNFPKSSNTAPQVRSASSKSQQ QDQSDLQLNSNITSANLTNNPSFQNLLMHCAMKTRTPQQQQEQNAKKNPLINNLVKPQIE NQNTQAISQIATNLLQFQAQANPATGIRSNSLQQNEDKKNQAENNTNNRPSSVKQKDNQP SILTNFQEFKNQVQQSLNYFNKQQETISNMDKQSLQSQTTQLTAQSNTTQQQLFLQQNNA QQKSKLEQLISPTMIQQMNSTKHSEIQKTLTQSISQLQSSYQKFQQLQQKNQIKSSQSQS CIQKQNAQINDVSVVANPSGLNLVKVQERSTSLTKNQGNADFKNRPNSAEVKDGFRAINQ TKKDSLSPFQKDAVKKVTQQGPPLPQSSSQIYLRNVQCRRQAASTLRNQQKPNNSFQDKY LNQSANQVQNNSSFNNNSNSAIEADQKQSTKGVVSDLNQFKKLISPQNLTKSQAQFVKNQ PIRVLQELTEKTQSQKQVKAKDNISSTSFTLVQKPSTVNTRTFSPAIKNETKNKPNNSAN VRQKVRNSSPGISKDQDSSNSLQNISQTFQGKNSWKML >CAK73366 pep:novel supercontig:GCA_000165425.1:CT868152:267779:271477:1 gene:GSPATT00009681001 transcript:CAK73366 MIVKFHKKTERIKGLSFHPKQPWLLVGLHSGEIQMIDYRFGRTINEFYEHEGPVRSVQFH QSLCLFISGSDDFTVRVWNYKTKKCQFVLRGHLDFVRCVNFHPELPWCVSGSDDQTSRIW NYQSRQMIATVTGHSHYVMHCEFHPSKDFMITCSLDQTIRLWSIAQLKKKFTSKSIQLGE QASELELVQILEGHSQGVNWCSFNPKDNTILSSSDDKKIKVWKYFDTRGYEVDQYCGHTN NVSCAMFHPFGEYFISNSEDKTLRLWDMKKKVEVDCFTNHELDRFWICAVHQSNNYFAGG SDSALYIFTLFKNRPAIDLVNNNFVYFGNRKVIKILDLQNGSEKTIKNLQELSCVSDNLL QDNAEQVLHNIYENQKSQILVRLRNHIHNKSKGVSKYLVFEQQTNLSQFFLAKSAIFIGK SKILRSKENSEIEIYNFETDSHILLGHKTDRLFTFTGGKAIYYSESMINVLDPIANKIIN QIACTNEFQNIRQVKVNDYCILIQTKNGIYIFTKEFQTITHISEKINIKSVLFLSDQINI ILYSTKMHLKYLLLNGDTGIICSMETVPYLVSFQNISEKPGFQYKLFYMDNMDKLLNITV ECSEIFFKYALIEKNLQFVQNFIKNHQKLGDLIIAYLFQKGYSILAHQLVTDKRAKFQLA LSSNNLEIAYRTCEDLKNPKCYQMLLEEAMRQGNHNIYEVCQQKLRASQELAFLYIITGQ LEKINIISNIAQEQNNLDLRFQTLLTMDSLKQRISFLKGCSLEKLANLSQMAHGLEFEAN KNCAEDIEWIQSLKPEAIITPQPIIKSSQHPLFSMNWPHNFVDEDEVFKLSGDDSKVANQ SKAEITNTITAHHQNPFDKNTQAKQKINQNIDKTEENDEQFQDCQWDLDEVELLENNEQS QATLDQKAILYGYPDYKKALQPVEYLITEQYQQSLQVLQQNYNFVSLTPCQDYLKQLALR SVVEIPQIPFLLPSPLIVSSSQDKIYVNKSFNELLKVGYKHTSDGKFQDALNTFKSILKQ AIFQSLNNDVVPICLNYIMAMKCELAKKDKEISRQIELSCYMAMCDLQPVHRSLTLRAAM SLSYKNKNYLTGSQVAQQLIKLLEQAPKGAAYSKPEVLENVKKIYNNCQQNPKNEYNINF EPFYLKEGVKNLCADTLTYNDDVQQIYFCSFDKSRHSSKGILCQVCELCKIN >CAK73367 pep:novel supercontig:GCA_000165425.1:CT868152:271521:272659:1 gene:GSPATT00009682001 transcript:CAK73367 MNSNETLVEQEKQILENTENIDTTNTEQLLDFTTMFKNQQATKPKLLNRQQQQQTQSTDE LTHKQLQNTVPRNLTITQELMSQRRCLDVRRWYSLSRPQYKKSCGISSVVTCWNYLFSTL GVGTLNPLSQEEVIVSLGPEVGPHFNEVEFGSFSGNMSLISWFKNLCRLQKVQGRAYFLW KNEGDFSTPGVDRDVALSKLTSGLKSDKISYIYHAYNHYFCPIGFECTPNKQVEAFADEI DQNDCQYWIIIAEPAKPYPMFTVRKWVDIAQDLELKYPQYMKIRKMNEGIKRYKNEKGVS QHCIMAFERIDPKPKKMIEKKEKPITNEALQTIKKNNLVDQQVEQISANNTIPQSLVSDD NLKQNEQQ >CAK73368 pep:novel supercontig:GCA_000165425.1:CT868152:272995:274322:-1 gene:GSPATT00009683001 transcript:CAK73368 MVWIDIFSDISEFEQIILGDDQYLFFSFIFKKYIKRFSLTMPKAPKTSKAKKVQKKVADR KKNPLFVKDAKNFRIGNDVQPKRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSKT LDKNQSSKVYSLLKKYAPETKTEKKQRLVKAAESKAQNQKTDSKKVTVLKFGLNHVTTLV ETKKAKLVLIAYDVDPIELVVWLPQLCRRQEVPFAFVKNKARLGALVHQKTATCVALTDV RKEDQAEFDNLARDLRQHYNENHELLRTIGGGQVGIKSRHQQEAIKKAFEIEELKKTSQ >CAK73369 pep:novel supercontig:GCA_000165425.1:CT868152:274712:275443:-1 gene:GSPATT00009684001 transcript:CAK73369 MKVIIIFGCPGSGKTTLSKLLNADIIIEADSLQQEHQFNPDDWKKSRFQVRQILEEYLQE KKFQTIIIDDDFLLMSQRKQILHICQKFKASYIEILINLNLQDLLNRNSTRDVKKKLNDE IVELLFNKFESKSLKNTIIIDSKYNTFELLNQQVIDMDIRHQNEYLNEDQIVNEKENQIK QNQENIVHQTDLEIRKLISTLCKQTGKQGNYDPKYLSLKKKLFLQSHLTENVEENVFLFL QTL >CAK73370 pep:novel supercontig:GCA_000165425.1:CT868152:275465:276593:-1 gene:GSPATT00009685001 transcript:CAK73370 MRHIAKNNCIINKIKDGLSTTYFNRLDKLNAVNLEMCEDILESSKFWNEHAVVTILKGVG NTFASGADYEHILNVPQNTNDLSNLSTNGQLRDVSKFSLFGDQQKKAVHAIGSNKSITIS IMNGPTSGIGAAFGINSRLKIATENTTFVMPHCKLGMVPDGGSALKFSKIYKNYGMYLGM SGEQLDGLKMAHLGIADYLIDSECIPEIEMEIEQAHYLRDEESIKEFLLQRYCYLNQTEF EVPKQFLNVLSLSSLPSIMSAMEAQFPEQAAQIRKNSAFSVYAFYELFMRNKKAKLSLKD NLKMEQSILDKVAARPDFVEGLRSLLVDTSYEPKFNPKTIEQVDLEEIQRCFEPCSRKLF DD >CAK73371 pep:novel supercontig:GCA_000165425.1:CT868152:277207:278807:1 gene:GSPATT00009686001 transcript:CAK73371 MRKFLKYSLGLGLGAFGYDEYNESKIIRRNANTIRCGLNILYQYKIRFNAENANDVHETV AKDIYETCIRNDGLYVKFGQGIAASEHLLPPPYFKWMSLLQDKAKAVSFKRVREILEEET GRKIEEIFDEFDEIPIASASIAQVHKAKLKNGDVVAVKVQKPNIKKQFGSDMFMHHVICG VLQYAFDMPLLQFQESIQSNLKKEIDFRIELENGEISRRALQIIGRKDVHIPKFYEELNT QRILVSEWIDGIKISKQDEIQKLGFNTKQIMDTVISAFAEQIFISGFVHCDPHPGNIFIR PKPGNNKQYEVVLLDFGLCIKLENQFRMDYSEFWTSLFLQDFTKLKQIVTKWGIGNEEMF ASMQLMKPYQMKQPVHCHQVTKEDVMKLQLKMKDEIREMMKQTDLFPKDLLFVNRNMNLV RSVNKRCGSLVNRINIMARYAQQGTQQFNDILESQKFNRKYHSSIMFELRLWVSGLVYSL LSIWLKWKKNQKVEDFLEQKQKEQFQGIHEQYGFKTPDEKTFDA >CAK73372 pep:novel supercontig:GCA_000165425.1:CT868152:279020:279585:1 gene:GSPATT00009687001 transcript:CAK73372 MAGNFFKGTSTDQDSRFGDKERKLIMNKQWPEVFNRKLNMKNIDLSVIKPWIEKKMIQYI GIEDEVVQRQIINYLEQQSEDIRGPDPKVLSIQIMGYFEKNTLPFMTELWNLLVDAEGQD SGIPNQLLDSKKLEYEEKKKELQRLLERQKLLYQAIEYAEKSRKKTKTEQQ >CAK73373 pep:novel supercontig:GCA_000165425.1:CT868152:279709:280245:-1 gene:GSPATT00009688001 transcript:CAK73373 MPPWQRQHWTLNGHQYVSFHIIYIRSVCIQLNKEIFQFKNIIINIMAQQPQAVAYAALLL AGSNTPLTADNVEKLTKKAGVNVPKQLAAAFVKAFEGKDILSLLSVGGGQGSAPAAQTTQ AAAKPAEAPKAVEKPKDPEPEEDVDMGGLFD >CAK73374 pep:novel supercontig:GCA_000165425.1:CT868152:280256:281787:1 gene:GSPATT00009689001 transcript:CAK73374 MLSSNWRNGIQTALTFDDVLMVPQYSTIESRTNCIVETHCSQNIKLKIPFISSPMDTVTE TEMAIHMAANGGLGVIHRFMTANEQVEQIKKVKRSEAYIKNRPFVVGLNYTLKKVLILAE EWKCKTFLVTDDNLIENNEDYEETDETGSPRYKSLPLLGIITNRDCYEQPLTKLVKELMT PREKLVTLHYLDVSKENARQMMLSHKLEKLPVVDDKNNIKGLINLKDLKLDSELKVLDQM GRLIVGGAVGANDDEITRAKRLVNSGCDVIVLDVANGHSQLAIRTVEQLKKEVSVDVIAG SIATGDGARRLIQAGADGIRCGIGNGSICITRVVSGCGVPQFSALMDVAPVCKEYKIPLM SDGGNKNSGNMCKALAVGADCIMLGRLLAGCQESPSKEIYREGKILKVYRGMAGFGANVS KAQRTGKDEPSSTTFAPEGVEGYIPFAGKVSIVLEQFKKGIQSGMSYCGASNIEELQKNV QFIQMTNAGFVESGVHGITKI >CAK73375 pep:novel supercontig:GCA_000165425.1:CT868152:281892:283602:-1 gene:GSPATT00009690001 transcript:CAK73375 MNYDSKRTEANYSPYFEQVTLNKLRRDEYHSQQRIHDNRKNKLLLPSIENSTLEDISLHF SKCVTSSKSPEKKVDKLQVNKYQLEFIQQSFLELEQTKMSITMRDMSNMRNKFNCHQRVI ANKEQYTVRNLERQMGSNLEDYSNLMYLQQMPAQTKNKQETNISFFEEALNAYSYAIPSR RESAQIAVIRDKVYVFGGMSGAGLSSDLWSYDIKKQEWQLHQSDINLKVTNHSMIAWKHM LIVFGGSGYYDHKMKIRQVYSTLAYFNTQINQWSITLESVEPRREHKAALYMGKYMIITG GLDSGEQLLNDTLMYSLDSRRWLGSKIYFDEGIAQHAICEAFDFKRNVDTIYLFGGKTKS LGSFPLMRLVFSGQAPQSWERVQGIGVAPQGRYNHTMACINDSLILIGGRSQAIQEYQNE IVLFNLILSQWIQVKRQGLMTKRWSHCSCVFASNIFCFGGIGEVTYLPPVVFSIETDQFK IKNKMVIIKRLSTIAEDMKKQNTEYQQIKRTCRLEKFRKAEKLYEKVTTFLPLPKMKTPK MRYDIWMQFVRKILHSLNLIF >CAK73376 pep:novel supercontig:GCA_000165425.1:CT868152:283602:285138:-1 gene:GSPATT00009691001 transcript:CAK73376 MDQNPIPLPKEYPVPHDFHSNLRKKFLDFFKKQLGEQANNSIVFLKGAESIDKHDDDQQY RVEQESNFKYIFGCDLLDCYGILEVESGKVTVFVPKYPESYKMWMVVFSNEEIKEKYRLD DVLYVDQLEQWIEKRAPSKLFIYKGQDSDSKLISSYPQFPYLSKYKVDEEELYWTLNECR VTKTDQEIEILRYVALLASESHENVMRKIRVGNKEYQMEALFKYHNFVYSGCRFTPYECI CASGTGGSTLHYIENDKTIEDKQLILTDMGARYYGYNSDITVTFPSNGKFDEKQSIIYNA VLDAQRQVFASLKVGVNWGDMHFLAERVIVQHLLDAGLLVGTIEELMQNRIGKIFFCHGL GHLLGMRTHDVGGYNKGCPPRIPELQSLRFRRDLEVGMVFSNEPGIYFVDFIIQEAFKDE TKARFLNQEKISEFMYVGGVRLEDDIALTANGPEILNLVPRSIAQVEACIRGEDWKLIQ >CAK73377 pep:novel supercontig:GCA_000165425.1:CT868152:286022:286269:-1 gene:GSPATT00009692001 transcript:CAK73377 MGICFGILEEDKQEDNIRNASPIQDQASEGKKEEEITYQLENFTFNKVVFVAGALWKVLL AEPIIKKWYFPINKY >CAK73378 pep:novel supercontig:GCA_000165425.1:CT868152:286297:287546:1 gene:GSPATT00009693001 transcript:CAK73378 MNPDPKSLNVPKGRHSFQCGGQTFVVDQKYEYIKQIGHGAYGVVCSAQNKRTGLKVAIKK VANAFDDLIDGKRIVREIKLLKFFKHENIISLLDVHKPEAKTGFNDIYIVTEFMETDLHR VIYSRQELTDEHIQYFVYQILRGLLYMHSANVIHRDLKPGNILVNKNCDLKICDLGLARG YENEEDFKTEYVVTRWYRAPEVILNASEYSKAVDIYSVGCILAELLGRTPLFPGENYLDQ VQRIIAVLGTPTADDMKYIGNNNAIKYIKSLPKRSKQKWEALFPKANNKALDLLGKMITF NPEQRYTVEQCLQHPYFDELHNPDEEPLSGKAFDWSWDNFEPTKELLQNMIYQESLSFND EVPERKKKSNQFQLKA >CAK73379 pep:novel supercontig:GCA_000165425.1:CT868152:287721:288099:-1 gene:GSPATT00009694001 transcript:CAK73379 MIKKPQLLNLALKPITNDVEEVSSKSEKQVSENQFDISQKLLYALEKPTINTKNANSCRN STGRLSAFDFLNSSTTKANEKKTFSITLNYFKNEKSNVVIARNSANLYGFSKVSSKVR >CAK73380 pep:novel supercontig:GCA_000165425.1:CT868152:288155:289003:-1 gene:GSPATT00009695001 transcript:CAK73380 MKTQVSQQNTPKAASKYSYKDSYQQRTPLRQDNFLNLYEKYLNCQGRKRSHQMDEARSPL QQSTSLHYLNKKNDVGVHRFSLDNFNLDKSSKGLKSYLTTINSSNSILNQKANNSYFPNK NDSSQVRSQTADGQSVLTDEVDKKPIKDKYIIDVVCKTKRLAEKLQQMNKQNQKMKESQN LAFLLKGHQQKKQSFTSSDLKKVLAKTKIILENYKRENKLLIEHNLFLNDELLESQDQLR QKNEEIQRLRQMLYQQ >CAK73381 pep:novel supercontig:GCA_000165425.1:CT868152:289752:291118:1 gene:GSPATT00009696001 transcript:CAK73381 MIQNDEKVEYPWDCVLCSQQNAYFLRKCQHCGTVKQQFCQQMKFDYLQNDNQAFSEINII DFECNRVEDRREILELLICKLKLSESDYKVVFSKQLIIKPYLIPLIQNPEYSSITQEQAD QGVPFQQTFQYLSGDIYIFENNEKAKIYQEQCQYLNLQCPITKYIELRRVFPNSNGLLTL NAMMKELKIPYQSDCFALAEVVSELLERAYKFELNMLQTLKFPIPEEEARLQGFDNIIIL DFEATCVRESDKKYLQEIIEFPAQVYNVQERKVKKEFQKYIKPVENPILSDFCTELTGIT QQQVDEGILLDQAINEFIEFKQGLQKCCILTCGDYDLHLLKKEAARKGIPISRELQYYIN IKKVFPKSLRNPKDPKDPCMVEMLKLCGLDLLGRHHSGIDDVKNITRIVHYLINEKNFQF DERMVSYTFKL >CAK73382 pep:novel supercontig:GCA_000165425.1:CT868152:291394:292968:1 gene:GSPATT00009697001 transcript:CAK73382 MQSTQGTIDQMLKNKNIPLHVQILNVLECERFDKFILQKCEIHDGIKSLNIYLILNECLS QQEVKDQKPIIEIEDYRLQNGFVVVGKYKEVTCLPYKQSGIKFEEGAQDLMELNKFSDLI KDNCYNIKATLQDQAENLSFDGTKYLILTLNDSLSPKSIKGLIFINVVGMDAYSEFKSLL VNQVEYIFENVRVGLYKNQNRIYFNNTTKIKVIPSSIQWIKFNDIKFQMIGKNCNLVGYI YKIDQLKQISANLKMRKLTIFDMDKNKVKIVVWGQLAEKLDLQEKTTLGFLNLVVKEYQN KIQLQLNYKTRIISNITSYIQIQNFSEQIDSIDKKSNFYKMPVSDLKSIQSEFKQNSDLN FIKYYRITSSIFSIQEVDSIVAGNKNLQLQLILGDELQIDLVVRVSENKFIKRILSLNND DLKVLEENTQKFDKNKLLKKLRIKFLISLQLDRMSKQKMKQNQYLKWLRLCQMKIRSLMK FKKRLSQIDIFNFKIYINKYFDYLLRN >CAK73383 pep:novel supercontig:GCA_000165425.1:CT868152:293006:295135:1 gene:GSPATT00009698001 transcript:CAK73383 MYANNEEFITLQKIEKELQGDHVLFNICKQIVQQNSNIRLLQFFFEFFKILLSNSYLKDV TQKAIKIFNAFIQKSKQRNKDAQISDLSHYINQKYFDILANYYEKAVLGGIEEANKHINY IYFKKIVKDYIDQPQNQNQQSAKSILTLIEQTYKNFQGTTPSDFEIQLYESLNSEEQELF AQIVSDDQNKVAKKQESLFDKFLLTFPNDLQDRYKTQNCSTVYEFYKCLQKQKVGVEKVL GFIFQEKLNYYVNQQYLNIQTQKAKARKNDLQNTLSELEKNILEQNKDYFSFVEISYVKY FYKSIKAKKCQQSLQQNFSFRRKLLEFIKQEINAAQTPKQWKPFPQNIWQEIYQELYSNL NDINNQEDANLQFKIIQSIQQLIIYFFRFRWNYLFLFCVIIVEANFSNDELDNMINIAYD MMTSTTRSNLNIPFGKILSMFNEKAKTQITSVYFERQGQNLGYDSLLLVESQLKFINNKL IKLILQTSSFQNKVKIYGELKHFELKLMSDCREHLKNYKKVFEQLADCILEGEKEEKEWK AYFYKSLTGSYFQKWYSIYREKQNLEKNNMQQFWLYALSIQTLKQDYQNKQLGLYQDVFE REEKICNICIQFIQRDVEKIYMQFQQLQPAQQQNLEGYKELMQNFIDIFLKDNPFIIFLP SLLRGIPQNRSKSLNIEKIERDQVSSCCFQGQELK >CAK73384 pep:novel supercontig:GCA_000165425.1:CT868152:295449:296841:1 gene:GSPATT00009699001 transcript:CAK73384 MNDKSNFESQSADQLMVQMTQNFMEFKNYKSQLIKEANSNSGDQAFNSKCFELFQKCFYK KTIVLEMLDYKKLNKIALNETENHLFVQQLLTIAYNQESKHVDQLNKKKVDDYFKQLSLI SPQQAIDKMQKDLVQQQDTYLKELLKRNLQKFKEEQDKYINKPQQQVSQGKQSILQTAVV AKKLQQLRNQYKEFQVEDQQVLAFLSDQFSFYMKNLLGKVIQSVLIQKPGYEFKLYQNKG ASAIDTQSVKYKQQMEMQKKYGPQKNQFIDQSLLVGTENKLSLTYELCDYTPIQRQVEFR NFLSEIEPHQEDKLKNKIRKNKWKEEHPELEEILKKRIKRGAELWNQVQDFLCKRENNDY IGDSEEESDKVKEQQQQLTDNIDDDQLDLKIQDIQRNSERLLIAGKTHIKTRLNNMESDN PLLTQKVITVKTVQFVLEQHPYFSRSRILYKSYIL >CAK73385 pep:novel supercontig:GCA_000165425.1:CT868152:296982:298494:1 gene:GSPATT00009700001 transcript:CAK73385 MNNVTASLKDLLPSNQKAVIKFKITPGKKIDSICQCNLKTCMICSRKRSSSMLSLKSIKN SVVVDENSPGLKRTDNKNNFVIQSSLLSKQLSRQVSNHQIQNQFYNGKINQESKGSTNST TWIMTNSHSRKISVDSPIKEVQQQTLNPMGIGRHSFKFLYVVGKGGFGKVWRVEMKANRQ EYALKEMLKTKIISKRSVNSVMNEKFLLEHLKHPFIVNMHYAFQDRENLYLVLDLLRGGD LRYHLGRMKKFSEEQTKFFACCILLSLQYLHQHGIIHRDVKPENLVFDKDGFLRLTDLGV ARLNKDSVANDTSGTPGYMAPEVMCRMEHSFPVDYYALGVIVYELLLGKRPYNGKNRQEI REQILAKQMQIKENTPGISNKAIDFVNKLLIRKPQQRLGFNGIDEIFSHSWLYNFPWGKL LNKEIRSLYVPGSIDGNYDFQSQISGDSEPQEDSSMVLRRKSVQGLFEGYKFQ >CAK73386 pep:novel supercontig:GCA_000165425.1:CT868152:298903:299833:-1 gene:GSPATT00009701001 transcript:CAK73386 MLHFSPWSQKIDPLTYRLQNKAQLSLSPNNQPKTGRLNNLSLQQHPRKMNSQYQKQQNSG IQEESKQYNNASSQTDLPSLEMEEIFQVQQQEQSSRKYQHAMLQTKNHYHQSTIISLLQT IHFKYDQHQSLKNKAQQLKRVIVQNKNRTITVIVVNNAAQFVSYLLNSNYFDQPPGNFFT TQHHPSFFITQITISNSNSSNTVHSYQDLLTVTKKNRIYDISLNRLLYDQSQSFIHYCPD IVIVTIGNLQEELSHRQRDKSFLIKSAEQIQKETIRLSKKRTKFKLKELN >CAK73387 pep:novel supercontig:GCA_000165425.1:CT868152:301489:302345:1 gene:GSPATT00009702001 transcript:CAK73387 MQLEKSERVIWNLRMFNQRMPFLDDSRQCLFINNQGNIYFQYIIAYQFEKAETLLFNCEI GTEFQKALKRASKSLMIIRNYKVEPIKFEFIDSQTEYILVFKAQNKLASKCGIAKSAKGG KGGKGGKGGKFGQGKNKKAPQSRSLKAGLQFPVGRIHRYLKQRVSAKNRVGTTSAVYTSA ILEYLTAEVLELAGNASKDFKVRRITPRHLQLAIRGDEELDILIRATIAGGGVIPHIHKA LLGKQTPEGGIPKE >CAK73388 pep:novel supercontig:GCA_000165425.1:CT868152:302351:303368:-1 gene:GSPATT00009703001 transcript:CAK73388 MQDNKKVQQFLLKEKVGQGAFGVVYKAVDENTNEIFAIKRIFSGRSQQIEQSTFREIAAL SSLKGQNHIVPLQKVIFENGCVYIVLPFYPYNLYEHIKKNDEIDYKKVFKQLLLGVHNIH KLGFMHRDLKPLNILVDENQNVFISDFGIARMNFGQCRNFNSNCEKHSSDVITLHFRPPE NLLGCQNYQVSVDVWSLGCVFYQVVMKEILFNGDAQIEMLFKIFSKLGTPSDFIAPSLCN LPLFNAAAFPRFYPTKYLYTEKLLSKIGYEGADLIDRMIQLEPSRRITISEALQHQYFL >CAK73389 pep:novel supercontig:GCA_000165425.1:CT868152:304142:304946:-1 gene:GSPATT00009704001 transcript:CAK73389 MPKKNTNSNRKGMVYNLNSQEERKRIMELKRQGKKAKEIATIMNKKQKSIESVNSEERKS EYKDFKQSMISYGLKIFKDKVIYDNIKKLAKKVRVSINQILSSKKNNEFRLLIPKGRKFS CQRRIKETIVSTIVSAILSRQNSPGNETVAIQNQKEQEILCTKENLEINKTYQNSNDCEE SSENQMDDDQDDYLIIDPITPFHLGLKQETVNFFQSYQRVNQVNQIEDLSTNYANSFEMF KQSVELPSYNETNDKQLSFN >CAK73390 pep:novel supercontig:GCA_000165425.1:CT868152:305262:307277:-1 gene:GSPATT00009705001 transcript:CAK73390 MQQVERSWDMLKPSARAQVSLNPIRNWVEQVVPQVEKEGKKEGRPEKKIVFLLGDPTAYP KFQTPNEYKEIVANSVGKIDGYTDFFGDFNVRTQLAEVLSSHHRKLEADDIILASGGSGA LFYATLALANPGDKILMPRPTFPLVKAFADFYGIQVVFYDLNPGTWQVNIIELEYIYEQN PDIKFILVNSPSNPMGSELSPIALTEIVNFCERHNNLPIVSDEIYENMIFEKREFKFISD YTKTVPVLRCSGLTKKCLVPGWRLGWLALYGEGDAFKQVKQALRNITNILLMPNTICQVA LCEVYKKSLDIIPEKMEELHARYKALHHGLHDAYGISVGETKGAMYATLIINTEEFSEIN SSIDFAKKLQQEQNVLVFPGELFYGEKFVRLVICCDLEIIEEACQRIKQFCLDHKKQISA LQSQISYFPLINIIILFQQTDKHYMDFKVFKNNRSKRYDKTTSEERAMIIQMKENGATCK EISDSLKKNIKTIQSIKSIEKRSEYGQLKKALIEFGYDWVIQNKHFNIENTRKLAKNLNR LIKEQMTSNTSKFQQLIPVHKKLSSKRRIIQQIVDSIIQRVLTSKNLQETLETTNQEKII TKQEEPEDQNNGFLDFGQLTVLQMFYAYTQFIQQSIQQNDLKN >CAK73391 pep:novel supercontig:GCA_000165425.1:CT868152:307976:309136:-1 gene:GSPATT00009706001 transcript:CAK73391 MPFPKQEYIVQVSQYLNRKYGHHYYIWNLSEHDYTRELFQNQVSMHSYIGFACPPLYELL LICKCILEWIQHEGNIAIVHCQQNKGRSAILLSIFWSLVFKTSIQESFYIIAKALGLTSP LKSQLMYIHKYLTHLLSNEQLNTQTIKVKSVILSGIPKQLEQFKPYFQILDRRGILYEEK SQVIQKDEQCIFILPNLQISNDIVFRCKHITPENDLIPIFRFQIHTGFLFKNIIRFQAGD LDFQQSFDDDFYIDIVYLRGHENKNLHDSDKLNYDQQQQEGYQMIKQLLDTCQMMSLNVK LQLFQENDIINYLPEHPQAIQKLEQVEEVVEQNQRKDSFQEKESQQVVQNQNLQQEETLV QGNEGDQQQIEKDGDDNDDDDDEIII >CAK73392 pep:novel supercontig:GCA_000165425.1:CT868152:309189:310531:1 gene:GSPATT00009707001 transcript:CAK73392 MDYQKHLKILKETLEQHKITPENLREKRKSFKEFPKQINNRVVDAFQSFAPFDRAPVWMM RQAGRYLPEYREIKAEMDFFATCQNPFIAAEITLQPTKQFDIDAAIIFSDILVLPKMMGM EITIEEKKGPVIANPLVTPDDIQKLHPPNPEHLEHVYDALFLTRLALQGKCNLIGFCGAP WTVFAYMVEGGSSKLFSKVKKWLYLYTEGSLQVLELLAKESAKYLINQVKQGGAQVVQIF DSWAGQIPALDYIEFIIPSLRILFEDFKKECPDTPLIMFSKDQNDKKVIIEFLKLTYNDK PLVDGFQLDSNVSDETLIKIIEGNRTIQGNLEPGVLFGNPVIIKKRISQMIEKLQTTRRY IVNLAHGLTPDHEVEKVRLFVQESQRQSKLYKAKEILE >CAK73393 pep:novel supercontig:GCA_000165425.1:CT868152:311236:311442:1 gene:GSPATT00009708001 transcript:CAK73393 MGCCSTKGRRKGSLSIPKISILKKTNPTQQVQKELVNTKKKTLSFQMLSLNSQEANRRSL HTRQIISD >CAK73394 pep:novel supercontig:GCA_000165425.1:CT868152:311889:312920:1 gene:GSPATT00009709001 transcript:CAK73394 MSWGQPEEVPQQAQSWGNAESTWGNTGDQQQQDNKPAWGDSNNGQENKEFRGRGGRGGRG GRGDRGGRGRGGGDRGGRGGRGRGRGNNENREEGEIKPQNEGGGWGQQTSEGWGQTQQEN KEPAQGQSDGNNWGGGAPTENAGGWGSSNNEAQGWGGNQENNNNQDGQQEQQKGKGKGRK RDSPPPAKRVRPNPIVITVEDEEDSETQHVIINEYPVLQNKQKGLIKSEEQQVCFEGNIK IDENNMNAQFISFDTEIKVKIDQIEDYTIENSKDLLKTIGDQIIFGIVQGVDKDNQKAIN KLADNLLDKQQSIHFKDVSLFSIKQLYKGESVSRLAFVKQIQV >CAK73395 pep:novel supercontig:GCA_000165425.1:CT868152:312922:313838:-1 gene:GSPATT00009710001 transcript:CAK73395 MRGSLISAPYLHYLVKNKSRIKIVNVIMTDHHHNKEENNKFAHAHRITLDQSTLNLIDIT ATPIPVARKLPTQKTFEKYIQENQYDHLTPIVFFDEHIHFAARAWFTFRYFGFKRVYVLD GGFNKWHHQHDFDKNDSKVYEDLNLQDQPKDQVTSLSTKDVSVISYLKQHKDAKGEDWLL LDARDPPRFKKGSIPGSYNLPYSEYLNDDHTMKSTENLQKLYKNHQIDISKKIVNTCQTG KLSCIALLAQEILKKKELLLFDGSYEEWNHEHPMKQ >CAK73396 pep:novel supercontig:GCA_000165425.1:CT868152:314262:315276:1 gene:GSPATT00009711001 transcript:CAK73396 MLKKLSHFARLDNRSIVSIKGREVCEILQGITTNDLRQIQQSQSTLFLNTNGRVILIVLL WQYCNDEIWMDIDKEIKSSLINHIKKFLIRKKVQITDYEDQLHVFQVYGPQVKLSNKEGE AITDPNNDLSDEGDYRNLVAVDPRSSSIGIRMVTNEMPDLKENDIQVQDLAHFEISRLTE AIFEGKEVVNKIPFQVNFDFWNSINLTKGCYVGQELTARTYHTGVIRKRLLPFKVVSNNN TTNLEDQIINNGEQEVGKVVKSSNNFGIANVNYLDIDLEKEYQVGNQIISFILQEKLRSN LIKYIELIQQRK >CAK73397 pep:novel supercontig:GCA_000165425.1:CT868152:315650:316974:-1 gene:GSPATT00009712001 transcript:CAK73397 MHKNQIQNTNTRKPSNSYLKTEFSCSSQIKDLRYTTDPSPNRANKENVVKPSYLKATLQN HLIKQDRNITPNVAKGSVISLFLKQKQEEQQTEIKLNSCQMHKKKLKYEINQQQMCSRCA IDYAIQFGVPIRYNDLDRKMLTFGQDEEHEEFQTFTQNTSKELQYISNIHTQSDGIIKKK ELKDFIVKVGDVISQNMQFLKVIQQQSLTYDNSKQQVNKILDELQIMSKALLNDLFDKQQ SKSQGSLCISNDLPQQINFNSFKSVVTQQINDLQNIKKDIMENVDNIITQMDVAPFKIII NKYSEKLKTFENTLFEIQEQYKQLPLQGDNNTFTKKMRDLNFKKKLSKLFNDLLENSVAK TGQLSDQVNNNTKFIQLLQKVNNNQNTNTIFYSSILKDINSDEKPKQLKANYKPSE >CAK73398 pep:novel supercontig:GCA_000165425.1:CT868152:317050:318981:-1 gene:GSPATT00009713001 transcript:CAK73398 MKTLQKAIKKKGSLTLIKQTDMKVHNSYKVQNAPFQNNMSKYILNGNQVYVASSSDKSFE ALKQNLTLVEQFTPKCVLLDTLSNRVLKQWIQDSTVETQEQQLLGKNKYTQLFLKVFPKQ REFFTMHDNGTYVYYVKNRQIEFQDQLSVAYYACNDPKMMIMISKPDEVLQIEDIVNNFT LEDLKNAFLDTIEKMKVAILKPQADSSDSFTDIIFIFRNTILKNHSYIFETYVNYQAALL QKSSRVMDKCFLFTNQFLADQVVKVSKEKFFDYNTFYLEEKFDQKSKDELLEKIVIAGYM VDYVLRVRAMNGQNPFENTKFNKYLNQDDIPKYLELHNFYADQLYDFQNFTIQASMFKDS QKSQYLSFQESVKKFKEKSQTFKYQFEIMQNSQMKQGLVLQDLFKRQISKIIQTRMMQGI NQKDIIKSMQNIGKEMYSKEDMRNYLKILLEANALLGYPMKFPGNLKDMMLPYIQNLQIE KAAKVVSGLTFQPLTKKLSFAKLPKKESKPDEFTDDEQDEGCYRYVITQDGSKQQLPEEL KHKSFEEMKLIADEYQQINFKVNSKGKYEVVDNAQTRLNQKEVDKLLQELDADDETQKNK KKNQENEDDESESLNTRGRKIKQKKK >CAK73399 pep:novel supercontig:GCA_000165425.1:CT868152:318994:319395:1 gene:GSPATT00009714001 transcript:CAK73399 MSQNLTYKSPDDIKFDEDLERILDKFIIKLCCALFMDFVGLLLMFLVFDFSFSTYIFGFS LVNTIFEFLKINDIRYPLRAIVGVACIVLAIIGFNIIGSVLAGIKNRKNPYIYLKGAFNR YVGKIREAKFKRN >CAK73400 pep:novel supercontig:GCA_000165425.1:CT868152:319398:320463:-1 gene:GSPATT00009715001 transcript:CAK73400 MLISLTISRIQRKLLIQQLSLEGLLKNAKETDLPTLLQSAQFIQLKSFLADDGEEPELTS DDNEPPHVYDEVTETPEETSVTETEEAEQEVTSAAPEEGVEAADHEIEEAQQTDEPEQAV PEHPLEAEEKATYEQLAKEAAAFNKIIEKGQKAPAQGGSGKDYSQFTVAQQELLNFLDIL EDEVRGSFGKKQDNQVNSAMGYSDFKGLITKENETFRGHLAAEDVNLEKLQNQLITILQA TAACKDRLKKIQNSIDLANEDLTNAEAHFKSVSETLQEEQNTFEDVYRIYSSQVGSQSTS YKRDVQSKITN >CAK73401 pep:novel supercontig:GCA_000165425.1:CT868152:320479:320841:-1 gene:GSPATT00009716001 transcript:CAK73401 MKVFAIMALLLITAYSQSNEIDVVLKMLGDLKNGTIKQLQELESDWEATKYQKQDIVNDL QRVNAIEEIKNGQIKSVTLEQHLAILIGLRRESKRIMKDFKDWM >CAK73402 pep:novel supercontig:GCA_000165425.1:CT868152:322199:323506:-1 gene:GSPATT00009717001 transcript:CAK73402 MQQRQSHKKLPSEVIHSNNYYITPTSLKSSQTSASSQERKKVVSTKNVDQFEQIYNSEKL LKTNQQNTTYQSNFQLQQALHYSEQNNQNLSRYHQQLIQNNVDLQSLYNKSEAQNKKLQN ELKLFQNRISLKESELKQAQENGVQYMRDITQLKISVQKLNSTLTKMNEENSFYKEQFNT TETQNVLVGQGLSSRKDLDQQGEFNLNRSKQSQNSRQQSQSFQNNSSSTNDNIQKYQNQI LQFQRDLAEKNQLIVGLQQQVKNLTDFQRQLITKTSKKQHNKGLKKQSYTSPLQTPIYKV QINNESQLSTNRKLLNLINDEYQMPDLRLHRTNQSDEYDVAPSIKSSITNRKSKQQLQQI YKNINQSTIYTAGLYSSLLDYQYLSNSKLLSSSCSKDTLQQ >CAK73403 pep:novel supercontig:GCA_000165425.1:CT868152:323547:325123:-1 gene:GSPATT00009718001 transcript:CAK73403 MSSKFYIEEVFDEIQNEKLDIPNTDKNKIMLTLEENLKEKNDFLLSEDFNQFQQLLVISL ITINFSSDDPFFRHITMVVKAIKKAIKKRLTQDTNYFQSVQEYKNQQSTLFKIFYQDINI GFITSTLQIKSTAFIFLNKSIADSFMNKKISIEQKNERVRLTLSFFNINIQKELNVQQDF KNQFLRNNKFKNEFIVLNEELDQQKQSTTFHVYKPMDDQIYFVKRIQLQGIPINVTFQDI FNTKSSNQDYLTIQEARILQRISHPNIIRHYDWWLESKNQQLFLYTQIEYCIYPGYISQT KNLLSYSYFYMNPMPSEQKSKLIIEIMCQIISGLEYLQQKQIIHGDLKPESIMVTTSITG EIQVMLADLNHSYSYFEDMQKQFKQDQPQYKQQSLQALGVLLMHLILTFPGEPTLRNNYV SKLSNYDIDDSLSEFDKWAQKSVKNRHKEFSFFLYKNLMSLAKSLLKKQQFNELHEVRTF IQNNKQFDHLLV >CAK73404 pep:novel supercontig:GCA_000165425.1:CT868152:325240:326371:-1 gene:GSPATT00009719001 transcript:CAK73404 MMHEEQEAEITTEQLQSLKMCKIFKDHQKDINAIDFSKDGQYLVSCDDQALNVYDVHQGK KMRTLYNKVKEIDLVRFTHHNSCVLCVTKKEPYDIYYWSLHDNQILKKFTGHTNIIHWLD LSPVSDDFLSCSMDGTLRLWNLASEYQTSDGLLDLSAKKTFCVAAFDPSGQVFAVVFLEQ YYCISNNWLYLYDFKKYHSGSFQSKKINCSQVKCIKFSNNNKYILCSTGDGTILILDAYT LDTICELSDFNNQGSMIEAGFTPDCNYVISGSETGTIHIWSLPNGNQIAKLDGHQKRCKV VKFCPTHFLMASGCRNLVLWIPDQFSVDSMNNNNSRRSLMSI >CAK73405 pep:novel supercontig:GCA_000165425.1:CT868152:326667:327383:-1 gene:GSPATT00009720001 transcript:CAK73405 MNKNTNAYDTLIKVVIVGNCNVGKSCILMRYSENYFTSQYYNTIGVDFKTRVIKIGHLNV KLQIWDTAGQERFKALTNNYYRDAHGVVIVYDVTERSTFDAVDSWIEDIDKYGRKSVQKL IVGNKADIPNKRKISLQEGLEKAKQFNARFLETSAKTSDNVDKLFISICEQVMNMKQQQP YNQNNNLSQQQQNQSQNRNNSSGCC >CAK73406 pep:novel supercontig:GCA_000165425.1:CT868152:328140:328891:1 gene:GSPATT00009721001 transcript:CAK73406 MNMNDSFFDNIEVLNQNVTTYSKPQTICKSLERSFAICSNPPNKNIHQQSQSHKDVSIQR HQSYKLDYFLKQQVKNSQNNTQSKSFRSIKQQDYNTNNLTQNPQKSYLKQQMRLKINLEN DAYQSNLRHKTSSSHNTTNPYQSSLATLMKQQMQKQQQSYANICKTLNDESKPRISSTHR QSIVPQKLTQRQDSVNIRKSNSVYMQEFRKMFSKSKYSMHNNI >CAK73407 pep:novel supercontig:GCA_000165425.1:CT868152:329582:330225:1 gene:GSPATT00009722001 transcript:CAK73407 MKRQKKSTTTVDIYYMECQINKLIRSNTNNSIHPQSLKHHVDTQLNIPKRSTLHKHNPVL IENKIQASTMYQKKSLHIHARPISEDQTRKFHPINMEELILPQRSNLIRQIKNQMMFPRQ QWSKQENIENRKYPEIFNPLKISRKPIKTFSINLKSSFKQVSNTSRLNTGLNLSNQRNFS PMRTMTERPNVDLTGWQTTEDVEWV >CAK73408 pep:novel supercontig:GCA_000165425.1:CT868152:331964:333254:-1 gene:GSPATT00009723001 transcript:CAK73408 MRCFKVLRCITLWSKVPYGSLDPSVNLVAQYDADNYYQKVNLGVNTYRDNQGNPVLLESV QEALQIVREKNLDNEYPPIEGLQSFIQATIKLGYGEKYYERNGKYIAGCQVLSGTGAVRL GFELLNKFVSPGTQVFVPNPTKTLHSTIAQMAGLQSKEYRYFNPITRQVDFSGLFEDLQI VPNGSIVLFHACSHNPTGCDLDLDQWKQLLDLTKQKGILPFFDMTYQGFTSGDMDQDAQA IRMFTEAGVPIMLGQSFDKNMGLAGQRTGCLSIVCSNEREKEMVVSQLNLLARSLWSCPP VHGARIAETILNNPEIYQLWLNEVKQMALRLKNIRQSFTKALKDLGSPHDWSHLSKQFGM YSLTGFGQVQIKELMEKYHIYLLHNGGISIAGLNDANIKYVSMAFHEVTKNYRL >CAK73409 pep:novel supercontig:GCA_000165425.1:CT868152:334152:334406:1 gene:GSPATT00009724001 transcript:CAK73409 MEEEKENKIGYVDAQFNGRFIKCLFQLKPKIESHNDYMVLIYIDWSLFIDQDLEFVQRNR IPLMQMRGQDFYIFLENQRKYNYC >CAK73410 pep:novel supercontig:GCA_000165425.1:CT868152:335048:337875:1 gene:GSPATT00009725001 transcript:CAK73410 MIKYLLICLLAIVTNGTVLGIDFGSEFIKAVLISPGKSFTIIENTTSKRKTENAIAFYNK ERLYESDGVSKKSKSPKNTFTFLNKFLGALANDEKLVEISRQSYEDFKIEIEEREGTFAF EVDGVQVEGKDTMIVKVEELAGMVLKFIAKLVDFNHQIQIKDVVLTVPSEWNISQRSALK SAAQLAELEVLGIINENTAAALYYALERSDENKHTALFYNIGSYNIQVSLVEFQAVDAQK KKVETLRVLADYSIPNAGGQSLDLLLANHFAREFDNQPSRKGKKSIFTNSKAMNKLLKAA NKYKEILSANKETQVYLEGLIDGEDYTTSIQRSTFESLFENKLQQLTEPINYVLEKCNKT KEDINIVELIGGGIRVPKIQQELANYFGSVEVGTHLNGDESMAFGAAFHAANLSHSFKVR PVQLTDGFSFSSSIEINGVNDDDYHKEFSLFGYKKKYGSTRSLEFTYDKNLRLDIYVEKD GQKSKLMSYHLTNITNATELNFSKPEISLTFKSTSNEFIKLESAEMKVEEIKLIEIKPNV TTTNTTSSQDKDKPTNKTETNDNENTEEGDLSEETEKQQDEHQQSEEQPKVTEQVVQEPQ FKKQKFIHTFPINYTITHHVVLGLNQQEIDDSKKIIKQFETAEENSRKLSEIKNKLESLI YTVREVKDAEYFQKASTETERAEILKTIEEHSEYLDSDEAWTADFEKFNTKFTQLNNLLK PIQVRLDEAKARPQFINETITKLTDFHKKANNLNQTMPWVPEEKKVKFLGHLANTTDWLK SKLEEQEKRELHQDPAFLIEELKKKIDKVSEEYTKIKAITKPRKTQEQKEEEKKKKEEKK QTKKDAESDSKKGSKQQEQSQQDEKEEQQNQQQQQDENFQDQQDSQTQGDGDPTIEDL >CAK73411 pep:novel supercontig:GCA_000165425.1:CT868152:338514:339074:-1 gene:GSPATT00009726001 transcript:CAK73411 MKTNNQASNSNNFKLRIFLKSQSKGESSSLYPILDSQKLVFGEDEKNHKKPQPLIIYEDI SDRQKSTTASSSSSHLKKITQKINQSIDQEISPENPFNFYQIIDNYYQQQINLPIKKDRY GSQEKATKKIQRLYTEQSEFKSNQQKPLKLHLTQFKQQFQQEITSVKEKLINQCKRKYKK YPPILN >CAK73412 pep:novel supercontig:GCA_000165425.1:CT868152:339395:342734:-1 gene:GSPATT00009727001 transcript:CAK73412 MMKNKIRLTALDIMALVTELKQKLIGTRLSNIYNIDAKTYVFKFSLQESKSYLVIENGLR FNLSDTIEKNKVPSGFTMKFRKFLRSRRLESIEQIGVERVVVFTFGREDHTYYLILELYS QGNIILADKDYRIIQLTRQHEFSENVKVAPNEIYPFEYTATNYLEKFDTSMERIQKVISE KQGQKLKEVVFKLVPCLHQSLTDDIIQQLNMNQNEKIVNQFDSVKKVVDFAMDYINKYRA QTQYKGYLCAKEAPKDAEQKPKFFDFAADQPAYYQGKYVVETPTFNQAVHQYFLVVDRQE ENKQSIEDIAWKKFENIKQDQMSRIQKLQEEQDEYIMKAGLIQENINDVQAIIDIIQKMI ENGIPWDKIQRMINDSKKEGNPLSNMIGGMNLKQNKVTILLGNKDDEYSDLIQIEIDITQ SAYQNARKYYESKKKNRDKEIKTKEAVEQALKQAEKTALKEIEREKNKIQKVQNQRKKYW FEKFFWFISSDGYLVISGKDVQQNEMIVKRYMNKDDIYMHADIYGSASTIVKNPSEGPIP EATIMQAATATICRSKSWDAKIVVSAWWVHASQVSKSAPTGMNIPAGSFMIYGKKNFIYP PRLEMGCTILYQLDQDSIKRHEEERKKKLREEQSQVDESEQNESEITEKNILDSDNDDNE DNNEDDNKVDLIDLEKTNSVQTIQQGDKEYTIVEVNPNARMIQQRNDKKQKEKEQQQKQQ TQQQQPKQQPKQQQQQQTKQQQPPKQQQQKQNVKEVEAESSEDDKKNQKNPKTQQVRGKK NKMKKVKEKYADQSDEERELRQKLMGATYMKNEARPEKKKKDKNEPKKQEQEQTQQQDGQ PKDKEYYKKQQLKQESITVKLLKQQGIEVTKETNPDQQSQEAIKLNEEQQQQQQQQQQQQ QLEQQQQQQQQLEQQQQQEQQEVLQQKDPENADNKQEEVDSDDEKQEKQPEQEDENIEYS EMQKLVSYLYADDKYLSLIPMVAPYSVLGNYKFKIKIAPGSLKKGKAGKEILNFFQVNKD ITNQEKQLLKMITDEEIVQTMLPGVKLTGVGMLQMKQKEKQLKKQQPKKNK >CAK73413 pep:novel supercontig:GCA_000165425.1:CT868152:343442:346664:1 gene:GSPATT00009728001 transcript:CAK73413 MQTQDLGYLINALQLTYGTSQESVNNGEALLKQASLQPLYAISLLRIVDDQTQPELLRQS AVVNLKTFLEKHWADKKEPGHFVVSGEEKSVIRATIIDALARQLNNLISQYEDLIYKLVA IDFPNDWPQLVQQLVIKLQNFTSYEDLWSALLTLRRACEVHQFLLENDRKPLEPLVASTF PILETLIQKFLEGYNEQSGQLVKVILKIFHHATHLVMPIYMRDYNAVAKWMLYFKTIIQA PPPPELSTLTQDSEEETRREKTYIWTNKKWASRIILRFIQKFANKKMVESNMAEFAEHIK STYAIGFMEIFYKILTDNTQFQGPRTCLFALKYLFYSLKLDNTKELLKPHYDKLLYHIAI PKMQLTPRDDQLWKNDPEEYIKRLDDFSLSTYNIKNPANDILQEVCQQKDVNGNLMLISF LNYCQTAFSTNIDPLTNQPLDLLKKEALLSGIESLVHQISKINSIQGGLEQILEKFILQE FSNPVGFLRARACHLFNEYGSIEFKNKQNIQLAVQGISKCILDKELPVRVAAAIAFSSIL KHKEAQDLIRPQLSQVLEIYIKLMELIDNEKIVRSLEEIVKNFTNEITPYAHQLSAHIAT IFQKYCNKQNQGDGDSDDDGEAELAASGCLEAIKRILNAPLQQESYIQLESVIFPIINFA LTETGCDFINEALEILNIMLYKRKQLTPGLWFYYPVLCYIILGIPEETNVYSIQGLSEDQ YVLLEGCKKDWGSEFVSQMLGSFRNYIQKGGATFLTQNDFFGNSFISLIFRFIQKIYAIA DNGNDETDQNQVTTILIALIENYPGQIDNLIPQIVDFTLLNLQKEKKTSRFKIVNIGVLC MCIWYNPNLAVNYLNSKGLTDQILQTMLQMEKFYKYEWDINRLIFALCQLYSLPQIPNFL LSTSSEVGKLFVRLSTKILDLREEEESCDQEDQAEEEEDLKKTIEKIQDLEQDDDEDDED YDEGDDDYAELYDSPLEDYDAILLMEKLVLTLQQNNQQLYAALFSQLNQQEQEQMTKNIK DAKEQYDEWLKQKSQNK >CAK73414 pep:novel supercontig:GCA_000165425.1:CT868152:346894:347492:1 gene:GSPATT00009729001 transcript:CAK73414 MQQQQTNQQELRQIFQLFSQDGAEDINIAQINQMIKELETINMDSQSINVPFQPGRRLAK KGNSNENLEDAQKDSSPKSPTYVSVTELKYFPNNKTTMQFSDFSELFNECMSNKDQHDEL LESAFAIFDYDKSGHIDSKKIRKVFQKFKDNTSEEEIQNVLKFCGVLHDQMSLQEFKEFF KKNL >CAK73415 pep:novel supercontig:GCA_000165425.1:CT868152:347493:348836:-1 gene:GSPATT00009730001 transcript:CAK73415 MNQQQYNEMFTAFQTNKILVEQFDIYLKQKVLLLIFMKCGHFYDEHLFQQLIELSLNNGV IDLECFINQYNETVDTLQYRSEILNNKIKELRILHQQLSDQLNSNALQQNSLTLQIMDCQ NIETRDPSVYLQICCGPKTHKTRTMKGVSPQFQEQFEFPIDSGCQDVIITLFDSMNDNMI GYTQFLISELREQKLKDISVNLQRQDNMKIKTKLHVILKFNHSKESLYKQEQQKLNHEIN QNTLEVKETQQALNCLAKVFSGGCIKIRQLPPILEIKEFELKCLDTLNDALQERIQDWVP LFYKLTGLVVALSVMISLYRCQFLELTILAVLMQLLILREINQKWMRIFTYLNAVLFLYD LLWIYLCGGSNGITKGEQHINKFVTALTYVELLAKIPFTIVLWKHSVEFEQFLSYFI >CAK73416 pep:novel supercontig:GCA_000165425.1:CT868152:348916:349578:1 gene:GSPATT00009731001 transcript:CAK73416 MKNESESRVSIDNLSINKATAPLVTNYKQLVCCLVKQMLFDLVIPGQKMFTEDKKQNLRN QILFYQQGFARNMNDEQAYSELILLSTSSPQFIELLKMTCFSLIGQNQEIEAQVNKELNR SISSIETFSGNIKMIEKNVTVNWSTNKQKLYSKTVIDIIMRGFLLSYILEIIIRSSLKQD FTFNFSITIEYNQCCLEKEVQPSFMINDQTTIYEFKFYKQ >CAK73417 pep:novel supercontig:GCA_000165425.1:CT868152:349815:350132:1 gene:GSPATT00009732001 transcript:CAK73417 MKDYSETRPLNKKRVVRSESPPPLRIRYNRPYKTIVLSFFLLSAGILFTEQGIIQYQEKG LGETYPIFILAIMLLIPGVFYSGMFILIVLGIGGFTYDMLPSVNN >CAK73418 pep:novel supercontig:GCA_000165425.1:CT868152:350156:351219:-1 gene:GSPATT00009733001 transcript:CAK73418 MNPQKLSNSELGNFDKYIEDLYNGQLLSENDIKLVCQKAKEILVDEPNIVSVRAPLTICG DIHGQFHDLIELFRIGGRLPETNYLFLGDYVDRGSQSVETFSLILCLKIRYKDRLTVLRG NHENREINKIYGFYDECQRKYGNEIVWKHFTDVFGYLPLVAIVENSIFCTHGGLSPAIES VDQIKQLNRIQDIPHDGAICDLLWSDPEETKPGWGVSPRGAGWTWGQDITDKFLHQNKLK LIARAHQLVMEGFQHVHQRKTVTIFSAPNYCYRCGNQACIVEVDDQLKMSFSQYEPAPRD NEPQTTRRVPEYFL >CAK73419 pep:novel supercontig:GCA_000165425.1:CT868152:351557:351947:-1 gene:GSPATT00009734001 transcript:CAK73419 MSQKFGPLQLKKKIQKTNPTEKKQYVQQEIVEKQEQENEKQKKQQFNPVEMAQQGGTGKT QAEIQYDLVRLKRLGDKIEKKMELTYRQKIETFNKKIQKMPEHYDIPKVGPG >CAK73420 pep:novel supercontig:GCA_000165425.1:CT868152:352650:353291:1 gene:GSPATT00009735001 transcript:CAK73420 MSYNQDQQFFSKRSQLTYQISERQQGYKNSDGIDRFAYERKINDKGRKQIRERNLIGQIS TTNHYMNIEEEQVEQFEVELKGLGQYLGISSISHRVIFLQEQQPIKNPNYCPSPQEQLTY SIPSSYINGNQTNQILDEIQLNLIQDRYKNNPVGLTWKQSNQQVRIQKQSSVLSLSKRAL LPEKQSFTGIYQNKGAINYYPYSE >CAK73421 pep:novel supercontig:GCA_000165425.1:CT868152:353441:353832:-1 gene:GSPATT00009736001 transcript:CAK73421 MLLLFVLVNVNNFIKSEHSLSISLEQYDLDDSTHMQKEETLTLLDIANELEQYHLFQVLG IEAQDRQMKRLAVASIISILTIAACCGCSQIIQAYSKSCKQKLPPPKNQYRRIEQNADSL >CAK73422 pep:novel supercontig:GCA_000165425.1:CT868152:353879:354782:-1 gene:GSPATT00009737001 transcript:CAK73422 MHKIHYSHQQSPSATPGQSSSPLKKTQLVLKQTNRTITSQAENAVCYKKKSKTTMNAEIE LKKQIQVQNQQYKELALKYELSIQEIQKYQMIIERNNQKRNHEKQQLLSEISMLKKQLEQ RSKSQIDLHENGILGHLVNEVNQKAILMELSEYKDKCKQLLNELDTKNLQLDQQKMLLIL SVTKKLINTPSCQELINQVQELTKKVNEQESKLLQYEMQLDQQQANSKSKFLFRGSEYFN KKILDVDNFSSSSNIQSTMSNQNENSSQGLSSYIKF >CAK73423 pep:novel supercontig:GCA_000165425.1:CT868152:354811:358554:-1 gene:GSPATT00009738001 transcript:CAK73423 MIGRPPRTRNERQQNPQMNEDQLKMELQMQAENKKRELRMQQLQEKEENLKQLEYAGQDV ESFRNKVYAEYQDVIPQQSKAEQERAARIKLREEERLRRKNGLGSAYEQEKERLPVQLEQ KLLQNLNQININQNADWLDKQQVVSNQQNNNYHHQQQPVANYYPDQVYGGRQIQQSQLNN QMKNDSYKQQDKQSYEPRYQPSPQMNNNSNYQKNKINEAAEQEREKKRKYKEQLDMQISQ KGQQNQQQIQNQQGLQQYQQQQNLQYQQQQQQQQYKPHSQQSQRQPQPQPEADFFSKFGQ PQSQQGWRQQQQQQQQQQNQDNAALQRMKYREELDRQIQEKKNREMEQQQLKNQQDFAKR PASNPTNVFDFPQEYDYRNNPPPQSPNYYQPPQSAPSDDQSKGRFLQRRFTSGQPEDPQK QAIKEQYAEELKRQMEEKKRKKKEEEEENRRRDELEQQQYQQQVMLEKKRQEEQVKQKQV EQFDQQVQQKEVGRRGKQNIQNEQPQQDIIKKSALQILSPESDLQREQLSSVQDQRHQQQ QQQQQQYMQPQMQQPQYQQYSQLQQHVQQTPYQHNPYHPPMSPLMNVYNQFHPQDNIQQY QQVLFNDQNTHHPQAVMVHQQYLMQLQQNRQEIDLVRQILEEKLRLQQIEMQNQILIDQY QRKVMDIQREQKLFEQEILKLQDFIQGKQKRPLDPTVFASSVFFNALGKKDNQNLSPHQQ QLANIQPIKISPFQSPTANSQAQQFQKQFKQSDISSQYSHQYQEVNQLHPEKHLQPYSQQ QQQQQQLQQQQQQQQQQQQQQQQQQFQQQNYKPKGITESMIDNDVELPGESEYVHYTEEQ LGKSFMPELRELKLKTQQSQNFKQPENAKTSQSQQFKTLQSQNFSNNDFQQHLQQKSSIT DESCELPTNAIQKSIPPQLSRVQASQNMQDHYQDEEMDESNQNSDEENYDQKDFEPEEEE VNEQGIDNPFDQKDEQDDQNENSDKEQYDIEIPNPQNYDLNMLKTQEYYAMFVENIKPQS RQQELKFPTKLLQQNTLKLNNDTIQQSSMCEQSEIIESQLKCNYEKQGDVEEVQMSQAQF SVYQQSMAIPEDEEPSQPTASQKALRNSSRKYQKPKTVDIFKNEQPRNSNPQSQNQIPQL QEQSRCTNQQIPDQSRCTNLQMPDQSRCTNQNPIASQTFLYQPRVELVKSRLENLFQHTN ELMADLPKVKVEKIVAVDDDEIKSYKIEENSQWQQQLDLFAYEQSHS >CAK73424 pep:novel supercontig:GCA_000165425.1:CT868152:358571:360684:-1 gene:GSPATT00009739001 transcript:CAK73424 MSEGSQDLPLLQLIQLLPLFKGDHQELLIQAISNITTKQKDFKQDQLNLDSRSVECVCTI LLQNMNRSVKNFSHIILNLSNNKENQQLIIKYIKEFIQRITREINNNFDKQCQYDEIFTA DKVLINVFQFVREMNDKIGENNDQQDTRSNFKELLEDQGLIQLWRNLIKLLQDIPQQKLA KLSTKISPYLECFFIIYQLVNPIRKNKNVQREPTKIASMEGQQQEVQEQQLHDELFQQIC ESGKVLLNMMIRERLQELREKGKLVNDSLGVIIFKNPRIVDFDNKQKYFKMELKQLKMQN NRHHYGNINLRCRRKDIFMDSYHRISKLKPEELKGKLHVEFDGEEGVDAGGVTREWFLML SKEIFNPNYALFTPSLNGQMFQPSNKSHVNPDHVKYFKFIGRIVGKALYDGQLLDTYFTR SFYKHILGQKLTIHDMEDIDLNEYNSMKKILEENVTDWGIYWTYNVDHFGKLEERELIEG GKTKLVTEENKQEYVQIYCYQKMAKEIKDQIEAFLNGFHELIPQSLVSIFEWKEMELMLC GLPDIDLEDMKENIEYHGYDKENKVIQWLWELLESFDKSKRAAFLQFVTGTSKVPLGGFK ELKGMHGPQKIQIHKKPYVNFELPTSHTCFNQLDLPDYPARQILKEKLELAIMEGKEGFG FA >CAK73425 pep:novel supercontig:GCA_000165425.1:CT868152:360723:368864:-1 gene:GSPATT00009740001 transcript:CAK73425 MKIPLNTLEQPKEIERRLLASILVSPNFLEELLQLVNDKSNVIHKLSLGSLTLLMDKLDD YIENMAQLVQQNSRHDCSQLLNLINSLMEYECYRKLFNSLEHLLSIVEHTDSLQNYETIM NILIKICDQAINLNNPQQKEICNQQLRDNIPRLVYLNRFLFDHNNALSSTKLELIDYYYD DPNYLTITDNPIQFPQLQFEYIEPTILDESYADLCKNGIHKKELQHQTSIKNFSIQDDHS ISAILLAKKTLGQQDMPLSPLVEAVKYRILIHRGLAKNKIETNTLVLGMHILSLEMNMLL DAFCSTHDCALLLDQVFMEKIEYEQYFCVYAKLFQLKTVQPKLLTTILSTLDEILVAERQ QDNEIALNTAKNYDETFLQLIYDVLSMQPHQIEIESSYPLKYNGQLLNEQCARDEEVACG IFKLFSSESGVLLLSRSNIALGSSQALIRTLLIQDNKLLLPPEILKAAIGMLGRLSRKED LQRDLKIFNDLIKVVENLITIGPNLIKPTDQGYQHVTFDETDSIISFAIQSINEHFKDDL GRRYNRANAPTIARKVSETQIIKKLQSLLQDSKYTLIIQQTLILISHLANEVPTLIGRLI DSQLPQQLNLIIKSLNYAQINNKMVNAIFQFYFNVSLKEEGYDQFNNCGLEFMGKILEFS LTVSNVPKELLTSVGQNIAKYLQKIDKVGPQVINILNIGLKVLYDQLIDKKNKLKEDTYQ QYYEIITKISNLSILTFKMIHNLHPSQQSEMNSQGFFEQLLKFFEFPTFDFKIDLTKVFR LFAQLDEFDNPFKALSKVLASISNLEDKLGCSFEKTNILIDESYYSSEKFFLTCPLDQLQ QKLEFVSYYSQIESLMEVLKHYLMNGQIRNEQQEIGKLLTKSCSLFLILIRSIKYTEDQK IEQVFKKSVFYQYIQLLKVIIINSYRVMRRINNELQPLSNLFCQLSQNLKNENIQVIQMH TYLISDLLNNLVEGRKYADFQNLHPDFLSLLINSEFLDNLKSGISQFIQLVGQKSNVESI DLLGWQYANIIKLIYLNKVDMNKKIQGYMLIPKQIEEIQNLLTITQCLSADPIYAVQEQL FNKVIFIFRPQFLQDILQKDKDNFFQKTYQIFRDENGGEIDILQQDPNEARTKLRDMGYQ EDQITIALQNNDIYDVNRLIEWIQDNFDMIEEQRVRIMEIQKNEPSLEDVTQQIQAQQKT LKEFIQTNMLSFSRFEESIFQLLEGQVMPSLLKCLKQVAFEQLKLQLKFKDCELEIKQPQ TDNMKVIITILHYIYKQKNLVEEYESIVPELMKLAQELMKQQDDLSLKAINHILAILTLF ITDDQKKKKNHHDTVNDILLGVINILKGKVQNHTTSKICIEILVRAFQVNKENVSKFVSQ MRGLEYLMRIKGDQQNHMFPLTKLALSIVHDKSLVIAQIEAKIKKILYNQEYNKEIENIN KQQQQPQSQVQQQHQPHYPICFQPIYYNIPITNDIKIASNHPALLAIQTQAFYTDEVKEV MSHLFEDGETVEGVKYLNLRKGCSLHTFDCVQPTSFQKHKEPETAKKSKQISKKKKSEDK LQLQSNFQHTQEAQILIKLLIQQMISSFFENEQYQYHWYTITQVLQILIRRYPVLIPKIV RVNCSKFLKPYQKQLSTDYQDLEFPRKISFLTLITRIMTPAKNLLFELCLDNVLLYQMAN NVIVPFSNETRRKIVSELYEGIEKRIKNFDSIVCQYSYTLIRLLQIKSVAKICYRNIHDT TNSFNFIKLYMDGMKNFELNSYYIYEKELQFVTETLAVLFNMAIYLLFYKPAPIVISKQQ QEQYGDHFQLQGMIGQLLPEEINSQLVPNKSILYQDSIKYFQKWPLLPISFLEELNSNQQ HEELEFQQILSPFRRRNRLVNVELIANIEEDDGMLELEYDDNDMHSEQSGDSHNSHESAP EDLEEEENSWGSSQSGNNQIEPEEAQNSSSSWTDEEDEDEDNDQQEEEEGSEQDEQDEQE EENASNSEIQSDAEEDMDQEDEEEHEEDQEDGVIDEENEGFEQEEHNDDEISEENIEVTK QFHHLTSREDQAFAKMTKSIIQLIGIQFPQQFVKVLDKLVYSLPKMTSPEDSQPINWWTE LTQFIAKSFQLDERSYGQELRMQPLFRDNRLTNEIFRIDQNDRIFNRFQAARFDQIDRQE RLEQIRQDRYRSTFRNRREELQLEQQLQQQIQPQANLFQQHQQQDYNHSRESQFIRLFNW NQISQSLQQPQQQQQQQQQLQQQQQQQQQQQQQQNPAPLQQQSQNLQNLQDQIQQQFMNL LQQQSQQSQSQQSQQQQEQLQIQQQIQVEEQQVVIPKTNSEVMQIEASSQESQQQGKKQD QQQQQQPQQQQQQQQQQQQQQQMQTEDQPQKVEQQIQTIQQEQQLNLEQLYPNTFSFLQR AGRTFDDLTNNNIDPSVFEDLQEDMMIDIISNIPENKEINDIEGIDPQFLQSLPPEIRRE IYQQYVQPTQNQPQQLSDLDQLIALINQTPYDDREEMYLQISAEQVRNLPQDLRQEATYI RDRADQRAIEQTERQLYYQVDPQQQLQRNLNPQPQLQRKENQKSLNLKNLLQTQRHLVQK LGNVDDEFAESLLRLLYVESHSFVNFPINLFIALTNNPNVEYKLIDTLFFILKNRNQRTD QGEFPPQVLIRRNGLLRDQNKIYEIVSLKILYLNFKIVGSINKILL >CAK73426 pep:novel supercontig:GCA_000165425.1:CT868152:368883:371045:-1 gene:GSPATT00009741001 transcript:CAK73426 MLQDEIMKPSFRLSDLLKFEDQSLFAESPTKRKSLAPPKPLQRHIFEITTGAFQFTIGEF TQSIKKERVPIQKNLIKRINVYVENECQQLQVQQQLEKRIQQKIIEDLNLLVFALCHISK PIVDFLENHFIDYAEELQITVWEINLQLFQPKIVELIKKYIATSMNIVSGSLYSQLIEQS HQLLTSLSYEVSDQICLILEECRGYIEPFISKFRERNIPQILLNKYTILALFQELSQNCY QTIQDLLEFTLFHYAEPDVVEKFMRYAIENYDTLILKNKMLSNSAQQNESISRQTVDQVL DCLQQCITNKSKSIAVYKIQCRQKLQKKSSEDDEDQCTQSTGIKSFKMQKDARYYLNGLY FESEDPQSKFIIYVMNEEFKVIYAPLVSKRWAFQKTFVIDQQEKDGFFKKDSNRKSGWGV YPVKFKTMKELIHSNWVHEQLALMILNQYQEDEYQLRKQAEGEMDTMFGSFLANVNRNKE FLQNFGENAVNNGCMQQLVVYMPLLKDFLNLGGFGAQALLTSQEIYTPQRTFTSTLAIST SLVSGALIGQALIPIPLVGGIVGGIIGGYLGNKGMTNYTKSRNEKKSQEMVQKLLQNQLQ DGHWECNKINLDIMVINFKILNDHMPNVLSEDSDRQTKWMNLVIFAVLSLFFNSNEMPGV WQECLDCLLKYIQIEKISLGDTLENLKQIVEVIVKNL >CAK73427 pep:novel supercontig:GCA_000165425.1:CT868152:371052:371922:-1 gene:GSPATT00009742001 transcript:CAK73427 MKVFNNPHFHSKGSSSKPSSLQSSRVGGSKILGSPQAPEQDLNEMLQSLNPTQIIAQEEE SKFDLLQEMQQLKTKVLGLLKKYQVMLQNENAKQVKSKIKADVQEIQIEYDDIIAQYQVD QSQLEIENNIPTVEKEKELNTFFNLVEEFLERFSHHKATLNYFSILQQQKMNRNGLGVFS VEQDGRQSMYDFQESLPDLTDSNNSENTHEAQQAKIALSNSQKLRSQIRRRFFDIALKAK EGLPLYHPGRQILVSILFEDAEEEGIDENDWESWIVKQLNK >CAK73428 pep:novel supercontig:GCA_000165425.1:CT868152:372246:373284:-1 gene:GSPATT00009743001 transcript:CAK73428 MFRKIYTNISITLIKQLREASGSPINDCKKALESTDGNFEKAIQYLKERGLAQAEKKSGN QTKQGVIVAYTNNKVAALAEINCETDFVARTNEFLEFSTNFIKTVVNQEKDFNSSNIESV LRQSQNQAYFETNIDDKRKQLVGKLQENIVIGNLNAFVATNNSIFGVYQHNCLKSTICGL GGSVVELITEQGLNDVKSQILREGANNLAVTYLGLKPKFLYENEVASDVIDLIRKEVQRD FGSKTPQQQNFIVQGKLQNYYSDNVFEHQEYILNEDEPQTIKQYIKKELEDVIKDKVKIG RCLYLTI >CAK73429 pep:novel supercontig:GCA_000165425.1:CT868152:373847:374855:1 gene:GSPATT00009744001 transcript:CAK73429 MGNKVPKASIMIGGAAITAVALILNQRRQRKNSNKGQNQLEQTQTEQFNEEQVPQEQTSQ NVQQVINSTENQNPEDSNSIDSISFINRVNKELKAFQEQQVPLSFDVLMKITEAGFKLVA RNYSQMQNKNRNERRQLLNIPQQYTLKVLEFNNELEILINQNQLDICKKLRISSEIYENS FVLQFQTQAALERFIVFQFSMRQQLIASIPSQKEINESQLAQVADIQLKKLDDIQFFKNY LQQLQGEDQQFSSIIITVLLSDIVYQQLGFEEEDILKAVLAYPVLKNQLSLIQQKVQAVM EMCFQ >CAK73430 pep:novel supercontig:GCA_000165425.1:CT868152:375084:376023:1 gene:GSPATT00009745001 transcript:CAK73430 MDFTGQFRLDEDSMAINIFQTPIKGLIQGIFKLFISIPYNILPVYGGIFSRLYYNFANCN SQANTNQKLFVQTEPFDKPKIQSDLFKTQKKWSLKYISNRVMKELSHEAIAYSDICQQLT DEMIQELDGKQNDRQKEIKNLRRRVYDALNVMISIGIVVKENKMMKKNSEAQVNLTKQNL IIRKQKLKEQLQIKKASATTQIQQQDTLKKLVELNKMRDVDESEKIRFPFILVKTQLNNV DEDELVLEQNKQMDYLKVFSKNQLDLQLDLTVVQKLFQSEHMIL >CAK73431 pep:novel supercontig:GCA_000165425.1:CT868152:376687:377494:1 gene:GSPATT00009746001 transcript:CAK73431 MTSIQQNSQVEGPQEQMKNNTPTSPIDSDSSDSSYDFVKDVNQKSYLKRTIEEDQELLSK YKGKHGLKYQKISNEQRQQLIKQVTTTGCMIKHAARDLNINFSTAKAIMQIFRREGRMSK KRKRCTAQSEFKNPEILIQDVKEGLKSQFGLMKEEQCQQRLNNVQDNLDTIHFQQMEEKN RNQAIFIQQLKTQVRNLNKFQNFYLQGRANQLQQEIQQLNQNYSYLQIQYNQQQQMVRYA MPQYQQQFQF >CAK73432 pep:novel supercontig:GCA_000165425.1:CT868152:377667:379550:1 gene:GSPATT00009747001 transcript:CAK73432 MDFEEEHAGGDDVEEIQDGEDIFGEDADISVHDSGSKKDAVIFLVDCKKALFDMDQDGQG TVFSKILSAFSSFMKAKIISSPDDRIGMIFYNTKSTNNQLKFNNITEIYKLDGPSADIIK NCLKIEQNFEKDYQLGNNAHFHECLWLCNHEFKELDKNKFNMRIFLFTPDDLPYFKDVNA RSSALKYAKQLKDADVQIELFPLPSQNEFKIARFYGEIITVDLDEVNNAVLDTSTKIMDL HQRIKQKEFKKRALNRLIMDIDDIKIGLKIYCLVNKAKKPYGKPLDRRYNQQLKKKAQFI DEETGQALFPQQISTHLILGNEKIAIPKEYMAKIKGFEKPGMTLIGFKSSSALKDYHNYR ASYFLYPDDEHVNGSSQFFDALIQQMILKERIGIVRLVPKQGSQVRFCALLPQAEQYDEN HFQTPPGLHLIFLPYADDIRGLSSVKQEGAEISRQTLNAAKILVNALTIQDFDCSNFEDP SIQKFYTYLQGLALQEQNIEEPEDLLQPDFKGMEKYRDIVNLFMSNVSLECSNMPSRSKG QGGGRGRGRGRGRGKQEESDSDDCHKVKGRGRGSTSKQKVEEEEDSLEGEEIYQPIKKRG RGR >CAK73433 pep:novel supercontig:GCA_000165425.1:CT868152:379745:380915:1 gene:GSPATT00009748001 transcript:CAK73433 MGNCNVLDKKEEFQEAMQSIRNYEILDILGKGGFGKVIRVRRKKNNQLYAMKIMSKAKIV EKKCVSSVLNEKNLLKQLHHPFIVNMHSAFQDRENLYLVLDLLSGGDLRYHLFKNKRFSE EEAQFFAACIIVSLEYLHQNGILHRDLKPENLVFDEQGYLRLTDMGIARVWRPDNASDTS GTPGYMAPEVMCKLKHGIAVDFFALGVILYECMLGKRPYVGKTRQEIRDQILSKQIQIKR SQLPVAWSIEAGDFINQLIQRKPENRLGTDNPSDVKNHPWFSAFDWEMLLKKKYNPPYYP KKFKGYEGSLEDTMEDENDKKFILLRNNTLCEQFNEYRYNPEDCIKK >CAK73434 pep:novel supercontig:GCA_000165425.1:CT868152:380968:381258:1 gene:GSPATT00009749001 transcript:CAK73434 MSSAYITILSSNGIEFIVAKEIAGLSEIFRKQISNADMRGESQILSDFTADILEIILQYL HYKNRWQLESPINLPKFQIAKEKALSVLQAAIALQI >CAK73435 pep:novel supercontig:GCA_000165425.1:CT868152:381264:382425:-1 gene:GSPATT00009750001 transcript:CAK73435 MNIFSQIQRIRKNSWKILQNIKIQESKFNSLSNLHIKIASLYILLMRKKIKLQFQTNWIS ILLSADNILIIAKDNDDQLKQKDDFIQKLEQNDRETANNFQNLCNYPDANTYSENRKFVN RQQIEKKKQICQTTNNEQENFEKSQERRINNLNFTIYELQQEIFKIEKDDTENQLNEKLI HLQQQKISISTLTQEILGLPIMEQIEYAENNLIYLNKAERKELKKNQNNLVHQISNLLSS RKSKYFSLVNQNDEFVKNEERLKKQIIMVQKKNQIQIEKYKKLIVQNQQLLKECENTQFS LKKTNNTNKELLEKQLDQQMKQELKAKKQKGIKLKEKLINLENTNNQFENENQSIDFDNS FFEID >CAK73436 pep:novel supercontig:GCA_000165425.1:CT868152:382834:383686:-1 gene:GSPATT00009751001 transcript:CAK73436 MDNLKLSDEQFTNMQESFHAFNRVYGIFFGVKVKLKLTQEKYIKFDCNNSIDYFCYVALH SICISPCYLKWLYYFKYNLCCIWFHTYHYFDNDSNYRFLIIIKFIEPGVLLKRQISDNQQ PESSLTESNEEAIQIENNTQQDDIPKIYRERHCSTCNIKRPPKASHCRECNHCVDGFDHH CYWVGTCIGIRNWRYFVYFLIAAFTAPLLAFLVGIILCIYHFKTKKLQILFLQQQHYGLR CLILLEHLSLSFIYFIAYVAFGSIILYQISLHQQQ >CAK73437 pep:novel supercontig:GCA_000165425.1:CT868152:384470:386461:1 gene:GSPATT00009752001 transcript:CAK73437 MSQENVDGSIDFVIRSSKEEDKENDFNVKYRQHQKKGNGLFYKSFVQNLSLLKSQKQLAS YPQFVFIDKFTTLRKPQIKNKSWNQWDTYLGIINLVCLLIFYFSLVFSKEYPNEELYDQF IFNQNQGIIEDIIVLNSSILCPSEYESLFNYNWPGTSVGCDCTQKLSPSEISSQAVFTEN FKKERQCEQSELQRGCKTMFEIKEKSLILLNDNNYSKPFLLCAKRNQNVSLRYNFTYCQL ENKTICGSGEQTYCLPPEVECPITEIGFNTNFDNQNSKFKDNPKLGKSFNVSNRLQFYYT KNQTFMPISQIQITETEAVCKLNSQNNISPNRSDYYLMKIQRKNCQENDTQFKIVSRINE DQFYLANNLLYLNRSLSYFKTNSSIIWSLQTKSYTQIKDSCQFGSEDKISQYEQFIRKID LEDYRTLRQVNSFLIIPILLLILKFFHLEIEDIDLNKLKTIKRSKIIVLLTLRFVKLSIH LSISALVLNYDLKLSQYIEHFTDFINSQCIVTELASNIYDFEQLSDSVLFKVSEVLLAII VQFFFCLYFLLQICKILPIRRLNTQRQISEHEFKQQNQQNNQQNNQQNDQSNNQQRRIAN RFYKPTQVIPDRESEDSEDAHIREDQNNNQFQEMGQQK >CAK73438 pep:novel supercontig:GCA_000165425.1:CT868152:386944:389180:-1 gene:GSPATT00009753001 transcript:CAK73438 MLQISHQIPPEINRIRQLICKAGDDGADTFKKDLQKIVDVLGEYYQQNKQEVKDAMIQSF IQCAKLLPLKAGIYATILALLSTKQTTQSLTDQIILGLLEHLPQIAQEETPILGQTILKF LSELMNVGLLNTVSFVECLYDLEGGAENEQSPFYLQILLTTLPHAMIKAMEKNQIEFKNI IQNVEVLMTKRKTEDRLFHLWQSIRNFLNNQEKDAHTQIFQQFLKTSYPRPYLLFPDEMT QVRQLRRGFKIPIVKRTQIWRPQQILVLNDEIRSQNSNKTDVQIEITRQWIYETIDLFKT NRQQIVFQFQLYQNCIKMSPTQEVQFRQTLIFTLLNMAIEVVPRKDQLSSAFYSGLLTHL SQRNSDSIKEWNETIEQFIDQIPQNNILIVEQLSDCLSHYFCNSNFKLNWDKYFGKYNPD ETDSFNNYLVIMIFRKLFLLVSVEKITDLVPKNIIDWYNQLPDITKPLDIEQHSLAEKIN SYLQQKLNGVDMLEQLKQITNPNPDAVIQTFIECLFQCISKSITHLNVLSKRYLSFLLQP NIIKPEKLGEIMLNTIFRMWNHSVFHLKVYLKEFLNLEVISNLQVVNWLNDLIKQKPEVF KIYNVLIAINDVFRKQTKLDQVQDCTYESVKEINTILTKMIDQEQDVVIQSSLENIQLQI LIAFKQTNGTQLEKLLKEIKSNNVKQIVMSF >CAK73439 pep:novel supercontig:GCA_000165425.1:CT868152:389226:390186:-1 gene:GSPATT00009754001 transcript:CAK73439 MKSPTYILKNAYQEHLVEQQYIKHVSKIQNTTANLQVSQSEHEQMNKIKYYRQQKERTRK FMEQQTQLFRYQSDNVLAKKLITADSKTRKVHESKTVKSLIATAQKQQSYEIGLENIRLG KRILHLPSVIKKKEFDKSYAKHEQNMMYASRLRNQNKSKTTSNKLIKDCGPIFTEVPIAF KLVVFNKELQNKDQKTECLYCVEAHLKDQLLHRTDSVTFEQFQQLSFKVPMNEQQYEGYQ NDPLILKIWKIKNNSELYMGQIFVGFNDQLSEKDLMKRVNDREIWNGFIQL >CAK73440 pep:novel supercontig:GCA_000165425.1:CT868152:390331:393240:1 gene:GSPATT00009755001 transcript:CAK73440 MDISSKILLDSTIESNRDSKNIIFSRIKEITLETFSKQFTDEIHHLQAELRSEQALNDQI RREFLEREQRILQECDSKQREFQLQQIREIQNLQDLLEAQESQFQKYQLQNEKLNKQIKE MQNRDIIKENLQLSDQRNKSLSSDINEYRQKNEALNQLNQQLDRQNRDFKLDFEKVLKEL SDLKKKSQQQIDLNVELDQEIESLKNDNERLKSKKLQDQQKSKELLDQLKEKSNNKINDL KNKLNQAQNIQLYQQQQLEEFQEQIKESENQLNQLQINHKQSTKSLEHQYKNQFQEIEIQ FIKERQIIEDNLTIQFEQILLSKEKLIQEQNRELKQIKERLYQYSLEFDGLQRQCQHSQQ QVISLQSEIQQLNVHSEEVQKQNRELDLELSKKDLKLKNLQLELDDNKIASEQALNNLRA NQNQLNEIEKEKQQYQKLFQSISDGLEIEIPGSFSYQKLGQKVELKLKQLRDEQSQKQEE LKKIKEQYNLEIQEKESKMKLLQDYCNDENNKIQKLLQEQQLENRKQKNEFKQKLDEQAK IIIELQKDQIESNNQQELLQIQNQELAQDLEKINEELIAAKQDINELLNREKFNSDDSKQ IINQILNEKSQIQLQLEEALFKQKTFKQRIYRVLNNQRELMNKQIQDIKNMCINKLKQVE SECKIILQSLFKQQLMITENKIQLIEAEKEYEIESMNQDIELKIENLKKQFKQSELILQE ESLLKLKQKQQQIESLVMSKTNDIELQNQIQLLTRENEELQKQLLLQEQLQIEQKQNYEL ELYNLNKLIKEQQEELISQQQFSEQTMNRERQYFEQRYLDLKSRQDKKMEQIQSDYQLQI NQLENIIQLPQKQVSPSRVTQKSPFQKQQILAINKQFHSSSTIISTGIKTPNKQIKQIDN TEKTIEDLRSEIQQQKEKLSKMKLSFTESQKKPYRRV >CAK73441 pep:novel supercontig:GCA_000165425.1:CT868152:394012:395184:-1 gene:GSPATT00009756001 transcript:CAK73441 MDKNVDSQFTSTFWRQIHEEYFMIEAPVSLSNVIKQSKQIPNYANQQTKELIQKVLRLSK KKKSKIQQLNESNLMHRLHLILMQREKSSEPIKQLIEEMVDVVCEDKLVDELERCQKLIQ KIHQMPEERQIDIYNQEEQKLQSLHFQIDWPDQISQVIMELENDLTQVQLKNTSNIERLQ THVSEINKSLRYQYSIQKTGDFTLKIYRNHVQHHQEELDQLYNLIQMYEDYQVAESIGKV SYNQKDNMITVSLDRYLSPNPIELSLQQFESLQRLREVRINLTEQGQELQQNEQNQDLQM CHYCRQMIEKSNQKQCTYNHVDMNLHQYNDDLLNQQRYCISNQNMQKFYQDLYSANYIIE SIQIY >CAK73442 pep:novel supercontig:GCA_000165425.1:CT868152:396206:397492:-1 gene:GSPATT00009757001 transcript:CAK73442 MNSYDVKGFDFTRDDGLVTVRVKQKESNQVPNQVSNKVPEPIQKQINVQLIKSKYRCLKS DIQSLKTLLKRSYNLREFKEFYRVYGPKLIEIDFGLIELFNVKGENQFSINEIEKFQYGL HFIRWGILFTIAHLQGYKDGSQLKDQIIGLQYYNMLVKERVFTLRTLRYYKNDIERKKFP YQYLFIYDLEDNLKFSLSFFQEYLSNQQDHQIQQIKQQEELLPTMKTQFYQNYFKDFQFT NIIAPFFQVKKEEQEQPQIQLFFIHFSLDGYQFKNYQNSNDVSQSNQEYKCYIFVNFDTT FYSQVQLQNGAREFANIHNFIMSNSDIQFINIKNQGNNFLEEYVKYIESEFFLLETSIEN QMNYIFNELPTELSKVQVNSTINDKFIIPQNVQNVMKQLFGEVK >CAK73443 pep:novel supercontig:GCA_000165425.1:CT868152:397805:398559:1 gene:GSPATT00009758001 transcript:CAK73443 MGDIDIKDDEKTLSLQNQNLTQIAVNHSKQPIQCIKQYNQINEWNIYFSQFKSFKFIQQS NQKDIWLSKFNIIVCFDFKQQFQIQLIQGLIKCLELNTLILSNNQIITVQGISHLIKLEK LQLSHNQIELIKEPNIIGFTQLVNNNKLQEISAFFSQLTKLKRLEIGKNEIKDPLQILVL SDLKLIHQMLQVILVQKSLFQLQLNFQELDSSIIQQLGSFGITQIKNSLKNHRKN >CAK73444 pep:novel supercontig:GCA_000165425.1:CT868152:399053:400141:1 gene:GSPATT00009759001 transcript:CAK73444 MANETFRITPTGTCRIPFSFMGSQQQEINLEVIKEKPTREQTQRDFVGQTLSPNASTIKR SYNNETDIELQNNPFYMTPVDYDCSNWIYKTPQQSNKQVPKCNPRIQQKNRTNLQRQQDS LHEQSTSVKKITTQEFSEVQVKVFEEKQCKFCNEQDWSVHLIRPCLCKGGQQYAHQKCVQ NEIEANYMDFNRRQFIKRLQCDFCRFQYVIKTYKEYSVMKSLKDPLKFNKLFWLMSSGVI LASLIVATIILISLNVGSMRQQIESLILTIVGCLTCVLLVIILIFNIFELIVIFEWKVMD KDHQNIEDHGLRMSQDELNLMLELARQKLDKQISQVYPQQQSQIPY >CAK73445 pep:novel supercontig:GCA_000165425.1:CT868152:400178:401208:-1 gene:GSPATT00009760001 transcript:CAK73445 MNIQYSFLPNYQFQRIIGHGTFGYVFEAYDRTNDKKVAIKRIQKISKQLSREYEILLLLK GSPNIIQIEDIYLSQTDEGINVQNIIFEYKDFSLENILAQAIRNRQFLQPNQIKSLMRQI IIGLCSLSAKDVVHRDLKPENILVDKDGNLVLCDFGSAKVIDQRGMNTSYVVSQYYRAPE LILSHTNYGAEIDIWAAGCIFSEMMDLKVLFEGKNEGDQLYCIFQTLGSLKDIEMIYLFQ HQSYNQEIQKRFKGYEQKDMKFWLNKFSHIPNNELAIDLLLKMLQINPRRRITAKEALQH PYFQA >CAK73446 pep:novel supercontig:GCA_000165425.1:CT868152:402162:404255:1 gene:GSPATT00009761001 transcript:CAK73446 MGNILRNQKEISRNLDKIAQGQVQDTPNILIKESKQGNVDVIKLNLQIINAEIVYDSVDK IQLDLTFSSHINFQMIVHTFVTETKGGKQQRYEKSMPSSTSQQFKCPSGLNYQFPTKYIE FMIIDLLRFQKMRIIPDAQYHTLIIEMRALNSKSFQIIYFYRIDCNEQTYQCELTNTKQI LIQKGRFFEINELYGVQNTLFNPEWNPNTIEDKECVICFYNMINTVLLPCKHMCTCSVCA DHIIMSQKIKQCPLCRIDINNYLALEIKDKQRQDVQLQKYKEEQQKYLESIKDKKEQQAI KQGSIMEQLKSKLKEEQMKQQQLKIKNMKYFNDLDDFNQDNEQNENIYGNQSFCSYDENQ NDPDHNQFNNSVKDNRQLKFEDDNNLRISNQFDDDKQKSTANQRSPCDRDFLSSYQQQQE MKDSRQNPLINQSISVENDISQDQVHSPQQHQVSLEVTNKDDEQNLNLSSQQQLAQQNGI KLQVIQETAKECQDIIIEFQQHQECQQQTNTQLIDFFDEISEQNEESKQQNRIKSLSQFE LHQQPQQFQQQQQQQKPTNLKTPQQYNYGLIQNDLQDDMNQFGDKNFPQFNDFKLKTNQS QISNEQNQDFYKSVRSAEYMQDNEQRLDQEHGIQKQASLIDLEDKQEEQKDPSDSKECRS EQISLIAFD >CAK73447 pep:novel supercontig:GCA_000165425.1:CT868152:404287:407864:-1 gene:GSPATT00009762001 transcript:CAK73447 MRTKHLVLIGVILLQVCFVQALHYDLTTLLDQQNELDCENTDFVQMLQDFESWGEIIELL QDGQLDSEMKQLQELSDFTNEMISGNYHKYPLANPNDLMEDASYDEQLETLDEQLQTIQN QYNGPQRKFLFDQSRQISDQIRRVIESRSFEQKRSQLAMLYGLIEYLLKQISRVQNQYQQ TTQPQPRQQQQPQPQPQQRIKEQKERVIVIKDKCGDRYQQRKVSKQRVVPGNYRQNDHPV PEEVGCDIPYPQQRQPKCVVGSDIILTSSISADQPTVETEVKKLKDVNEYGFGFWMRFLT LYPEQLQTGLTDQSYFVAKLTKNQQDGDDKIGDRLLTIFQTQEQYIFSAQHDKPERKEAT AAIVFGDIEAVWTYVYYSYSAFEQQAIGFYKQSNSQLVKQVTLPASQGTPQYLRFVLGGQ YFNFPGFNGQVSRPVLAIGFGTYLINEQEFLQYAISCNPQPYVAPQKLIPYQFVRDSKYV DIEDNNAPPTQEFIDLLLPDEYAVQGWFKWEETDLQENWHTMFRLSNTPIRKQQILLGER VLSAWLGKPKGGQIHFSTYSYANMKGSGNPNAHQYVQHQDQHLHWHFVYFGYSRDQRKAY AQVLFKHVHAKSLSFVNVNHFVSPKHYFYFGRERQFPVYSGFMAYLEVFFSSFETSTNTT TPLRKRCVEGPNRIINAKYDKGPVVHVELHKDDLKDTTQYGYGFWFRYTGLAGGQYEGAR PDWSLIARLTNKKETPKDIRDGLLTIFQGKVGFFYITANNKAKKLVELAQPFGDIEGVWI YTYFSYTRYKAIAFYQIENQAPITLEAKVTHPRMEQLLFQVGGKDPQNRYYSFNGQFHRP VLRLGSGSFFDTLEEYNPFCLSCNPQPQKDCSSKGLIRAVSAKASQFNGNPVNSGDRFGT TEQYSVQGWFKWNGKTSGKDQLLFRLTSTLAGEDALNFDTLSCYFDTRDQTLNFYTYTYT DQLGSGNPEVRQIVEGKTFVKDWFHIYFAYSRKSRQADVIVEHSQGKGALSFKNVNHYVA PSLILYYGKDQLTDAFQGFIQGLNLFACDITYQPTPKPVEDCTPMKDPICLAGDQYENVS LTNIDNVVEELEKRKQLMQDKQFVLPTTQCFCFPQQGKKVIPQRQEGFLEIYDDDEEPQQ QEPVEI >CAK73448 pep:novel supercontig:GCA_000165425.1:CT868152:408426:411296:-1 gene:GSPATT00009763001 transcript:CAK73448 MFSNQLLKYRRLYQQSFKLKSQHEIPEFQITAKLYEHQSAAQLLHFEAQDKNQTFATIFR SEPTNSQGTPHILEHLICCGSEKYPVRDPFMAMIKRSINTYLNAWTGPDYICFPLASLNK KDFENLQNVILDLVFKPTLNELDFRQEGSRLDFEKGKLVYKGIVYNEMKGAMQNPESVFW QEMQTYLLNNSIYQHNFGGDPKAIPSLTHKALLDYYKQTFHPSKAIFYSYGIDQPNFDLV NQYLQVQKLNYQQQSQQDIKPLTPPDIISLPYQDGDKQCFLAFQTIIKEADYVQQLALSI ISHVLFDNQNGLLYSLIEEGLAESFSRNVGLEQYGENHVFSISFQGVEDDRKSLDKIQEK IKYILEQLTKEFPQDKVDAALHQIEVQFKMPTSEMGLKLLKFLINPIINQKNYIEYLEFN KNMATLKSKISQGYLQQLIKDHFMNPYVLFGQPDKKYLQNLISNENKQLEEIQKTLTQEE IDKIIKLNKDLDNREESDFSILPTIELQDISAKVERVQFNEDVVRGVKVYETIQKTNGLT FFKFKFNLGEISEGTRQYIDFFIQLFGKFGTTNFTHSQISQMFSEFTLGLEVSYDSVQKK GTYLMFSIACLNSNVSKTLELLSELCCNVKFKDRSHLATLLRNHKVALQNQIFDEQLQYA AQLATSQISEQYYLTDTNFNTKFQLQYAHHYLKADNQRKSMYVDDFEFQMTDILYTIMNK HKLEVIIHQDGSSNYIQNLEQFINSIRHKYPGFDMDPQPQYIEQFNEKFGSVASLIPSQV NCSSRAFKIPYFTHEDTPAIQVLGDCISNSYLHREIREKGGAYGSGCSVRSIMGTFCLWS YRDPNLLNTFKIFDNIQLDLDEQKLKEAKLTVFQRLDQPIEPQNKGLDYIITGLNFEQVD EMRERVISVSLSQVRDAFDKYLKSQPYSQGSMVSEEHQDVEELKKLGWQISKLSID >CAK73449 pep:novel supercontig:GCA_000165425.1:CT868152:412177:414340:1 gene:GSPATT00009764001 transcript:CAK73449 MFSLLRNIKRFIRSYDHFGVSLNFNIRNRKQYQSVWGGIMSIAMTIVLLYIFITGLISLL LRDKFQVVMLFIQIDVQQLQNMDPDQQEMNITNFMFAIKLDNPFSLYYPNENKTPFQIVM NQVQISTLADGSRNKTTLHQIFFEQCRDTHFKNLNQEGFQELKADLGSYLCLPLNFSLKL KGTFNSKFFLYPALKVSICYTKDCYTKEQIEYLAQNKSILVSLSTLIQSSIYMRNSKDNY LFRYLNSDFYLQTNLLEESKSDIFFKNNKIIAENSLLSLYKEEERKDFWSFQLYNYREFK GYHASPSTLFSLNFRISQDYEQHKKTAETIDSFLSYFGGILKIISTIFGLVALSYNEMGL KISLANHLYQFNIPKRKNGKFEFSYDKLLSVIQNQLNRVSDLQSKLKQHTKTLVRTSMVM RVWNSQKFQHNSISNQQSLQRDQIETPQIDQLQFSKYVEQLQDYKGNFLQRLITAFNSTR HDLRLGINFILYQLLWCSCCEEVEITKKMLRECKTVIDKDLDIIHLLQKIQEIDKLKSII LEKDQIKVFSYTPKPIINIDPTYQHQPPEEAKGIELFQQLNQQRQNKKIKKYNYSLNKPK RLMKIYDSYSRLKQQHNNKKNQRIIQLLGPTIEMIFLKYYEIQNMAKGMNIETTENFENG NPQVEFQVEAPLPENSQNNTHRVIQPKLDSQIIKFHQITPKNLLEKDELLHSD >CAK73450 pep:novel supercontig:GCA_000165425.1:CT868152:414445:416380:1 gene:GSPATT00009765001 transcript:CAK73450 MAKKSEQSIWLIGEIVSKNKVDFKAQLLTKNMEHDMSVSQIEDNGTDYVLRCIKGMYRGM FIYLNLVENGETIGSDESCTLQMEDCGLEKTHVKIKYKYNEEQKKNGYHLTCFGPTLIKI RYDCPALIKNNMEITIGKQIYIIQTVQEGFNEILEWLSIYDLQGLKHFFFGNNIHNLKQL KQNKIDQLISSVQSYSATQDQLLKLREAYNHIDDFILQNYDAFKINLLDKKKNIIVLQFG WSGATISSKTQRYQKPPDILLKKQEIDDLEEDPDFQLQIKFIQGKYWIWGSKTDTYNTFY KQNKEEIRVIQPDDVIKLNTIELIVQRFNYGCFQDPGTKRTQEDRYTIIQDLQVSSRVPV SCYAIFDGHNGNTCANYMSQNLMDNIREDFNQEQKEFDHQNKFLAFLYENIRSTFKETDN DFLTEVVQPNASIDSGCVAVVVMIIGDYIVSINCGDSRAILSRQGEAINLTEDHKPNVPA EYARIKKKRVEVIGGRLGNLAVSRAFGDFGEKKKYPKNTITSKPDIRVTTIDYKTDEFIL LCSDGIIDGFGETQESEQNLQQISPSQRVIDFVRDMYKEQQIGLQDPQEAAQQVVLRAYQ YNVTYRRQSDNMSCIIVNLTRGIVF >CAK73451 pep:novel supercontig:GCA_000165425.1:CT868152:416972:422401:1 gene:GSPATT00009766001 transcript:CAK73451 MKTSLLQLERSYLEAITEFGEHSQKTQGTRIRLIEMLNQTGRYLLFQKLSGEKYLQRAIE LTKLLNMEEQLMVKYQTYMYYAEYLESKKNYSMAYNLLVQLIPLAMTNERNIIMIQIQIL NHIIENGRVCKKNNITQLNEQLLNLMEQIGLSFYLYKEFPNKFQIILLQALSFQAKIYQK QNKDKEATQLFFQSFHLSEELLGFNDKRTQEYKKQYELLSDKISVNLEIQSQEEEEEDKI QKIQNSQKEEKNILSFRGKNTYINNYIINVDSARAPKLAKKIDKTKIEKPIISQRAPPKF NEQQIQQLFILKNQIKRPLSSQGTSKMTSPTRCYSIFSKNNMDKIQNRTPSVEQSLIKQL ETAQPKDRFNDLILNRPQYEEVRKYEIVKAIQQRKSKQIASNYVIQFIPPSQSSRPQIRE PSNTNILYKVDSRKLSSNPSNSNIERFSQRKIPTNDIQPTQSNIRLGSRINLTNEMDDEN IKQQEDDQIHSFEEDPKKVITHSDPIIAKFLEKHPIEVLLEAVDRIKVKMKHHIYYSRMR RNDIELKQNEIQPIKLHPHTKHFTRSNTYGLEIIGIVEKKILENEASKVINKLISPANQL DWSPIHFYNKLFTDNETSKWVLQNPKITVQILQKSQDRQQYQQLSVDLFYAIIQNQKKST TIQLIASIELSNHIRKFQVRFIIDSLAEHYKEISSYEDMMDLFNQLTKIYFIQENLLNEW KEENRQYTLYVVKQKQIQDSTIKNFSENHNESQKIDYYQDLLQKILFYVRRKSYVKTING FKFKSETQELQESIKIYNNEIYQQKNQRIKSYFQYRDLNMTCKVQESTKQLAISYRKQMQ DKHIIIHEDTQYSLLNDSDAQQVYQRGYDFQQQSRINNNLVRDNEKLSKQNSSDTPYSKF RLQSIKQNGGISQQQFENQAELNEIFSLETQDYLQRYFTEFKLNVPPINYYPTSSNLSQK SLIQSHYYFKKEYLSVKCKKLSYKPIHSDNYLIQTQIVKLDKQFYYLTLTNKLKLEQILC KDKWEDELDIQLKELINYSIGRTGYIIDIIKLQEKLQQKLEIVNCKIQLNQEESDDLLIK KNLKLFRQNKKLYYVKEAIQFIEDGLKEISQDSVNIEDECIDHMPLQKRDPVIDIIFLIG VMQNNSYVKYDAHLKNCELLKHIDGKLMFAEPDQPRKPKFPIRLILSHEDMNKHIARMNE NTISSKDILLFPHFNSLFLFKQTQFNYKTIKMKLEISSDFNKLHKDIRNNSAKARLFIYK NNNNKHVIYLNSQQTERWIHAFQIDGRIQDILFSYKTMMKKTLTGRKLQKNENRQSISKD IRFLLIQDKLVSTKQYFIQSKISDLGQVFVTGIVFQDLLLVKVMPIGYKTKVHIFLYAIL DSDVIKLLKQLCKNFILKSTYTYSKLYLVPITQNLIRKKYLLGNQFGDNHFLIGQKSYSR VIYKQVKKIDKNYFIITVTLLKNYFQIYFYNQGNCRKFYYTIHRSDFLIMNQYFLDSIFP EQPKEVMEQLFRNWRFKEISKIHSLIIKAPETFKNRTEQYIKTIKDTKKYFKRSATSSMQ SVNNLMRSSTLQLNNMQENSFEESLNKGCWLFDTVLLRNQESIFEKKIWLEILKQMSINN NQITVDTFKTTLNELVYSNDRLCNFLCYIPCQEIQQSFRWQPIRLRIYEYDTCKGVDIPL RIRGKQVQIYKQCNVILENYLHSKILPGNQEIMKVNKNNDNQLIKYQLLYKGAFMKHKML FMAIYFTNDMFHVCIQSRVSQIIRKLDIIQVELKIPHIRQLLTLNPYEAGRRISLIYRNN FINASFLNL >CAK73452 pep:novel supercontig:GCA_000165425.1:CT868152:422420:422896:1 gene:GSPATT00009767001 transcript:CAK73452 MSVYSGFSTRALESEYNQCLLQLITILAKRCAQALSVQQLQDDTKFAGNFGKLYGQIKKL EEYKYAPPKYSVALKELSEQIGIIQFKQTLVPQICKRKFTIQSANHQQVNLQNVTPSKRQ TKTSYLRSNRLKTEDSAYNRAIEKSLRYRELEFLCQFD >CAK73453 pep:novel supercontig:GCA_000165425.1:CT868152:422923:423276:1 gene:GSPATT00009768001 transcript:CAK73453 MEVKYRPPLNDVNECNWLEKNFVSCLKEKSVKDDLPKRVCKVENILWFFLECPERSSPYE NAESLRKIYIKQKLADLNPTVPEPRKRK >CAK73454 pep:novel supercontig:GCA_000165425.1:CT868152:423355:423960:-1 gene:GSPATT00009769001 transcript:CAK73454 MIFHDIENYQIKKYYFKSQNQKMGSEFEINFFIKNIRFIQKSKDFDISHRIRQRIMKRLI NFIQLGKFFNNKKNLIFNTAKSFLIHIADILKEQQQHAQNISLKIGLTLVRISKLIKISQ IKVIRKDQVPNKLIMIMNFKQQTQNCFNQKIKNLRVVKRKTKFKQSILACVPTQLYLNNN WFNQVRQQRKAVNSNSKGIQQ >CAK73455 pep:novel supercontig:GCA_000165425.1:CT868152:424971:425624:1 gene:GSPATT00009770001 transcript:CAK73455 MINQISHNSYEQYKSELTSTKRSLSQTKIIQDASVQVEFSNAQLMKIIDDIDKIHQQEKK ALLEQINYLEKKLQQTKVKQLIQCINEVKNIYLNQIEINKPIRMALDSLEQLINEKKHYE VSDLKYRLHQAEKVLKLPTAEFKTYPVDKLVNSIKKCQQFESEKISLGNILEELNQADDQ WNQIQKDLYDESSTLFNSLQTTTQNIFYELSLLDCNK >CAK73456 pep:novel supercontig:GCA_000165425.1:CT868152:426388:427890:-1 gene:GSPATT00009771001 transcript:CAK73456 MGVERIRYHWLLIKQNIKHLIISSTIIVNQAASNLKEYLRTRDAKGLNQKSFEDIFCENP TYGIKLFRFLEAYSGSPGLIKKEPLFEFLELLVKDVQTNIPTFKNLERFELVSLISLQDS KFLTTKEELAQLQLTYLDTIGVIKDLIKMHQIGKKAVSTNERYIKTLVDMLYKNEAGSFS WVSLVDFVTKQMPGTKEAIKLYFQAKFMGKQINNFIPVVNTPSYFLTDELCFQLFLSTNS VLKNCSQLSLLYSSVAHQGGFNQMIQAMKDSKLPTLLLVQHEETYDQKVKQQTFGAITNL KWYDTQQYFGTKDDCIFSLYPYYKIFKAKKGEQNYCYLDSQKGFGFGGKNGEGCRIWIDK NIENSYCNQFDDTYENGPIVLPYVKKLKIKIIEIWAIQHPADDMDDNTEVVVDLKDPLLQ QPDEVQFPDSNADYYWVGQDQKIDEKNSGYYWEVQSETK >CAK73457 pep:novel supercontig:GCA_000165425.1:CT868152:428302:430378:-1 gene:GSPATT00009772001 transcript:CAK73457 MIEVKQKIQKRVQKYSYFLNDILGEGYSSSVYKGINIYTGQVVAIKVINFSTLTTPISQT LLKNEIKILKQLEHENLMKVYEIFETKNNTYIVCEYCRDGDLANILEKTAFTQVEILNVF LQIAKGVKALHEQKIIHRDIKPANILRSDGIYKLSDFGFAIFENDFESIVKRFSVGTPVY MAPETVQSNCYSEKSDIWSLGVVLYLMVYKEIPFNLKQDGDLYGKQQQIHQKIMNDKALI KKIQNILLGVLEIDPKKRISIDGIISILNHSKKLKCNNSHKNMPFKVIRKLSGYDIGDKD NFENSQKILKTMPDESVDINQETEPFSFHNNLEYHTLELNKIKQNPLCNNEDYQFKFQLS PIQIKDQSKKKIQIKIPMVQSMQITSQNANLNQASSENSDTPQHSNPNSTNDTIKNNQIS NCQSQNQMNSNSPLITPKITETFTNRNQGTSPIHIKQPRNQIESPLKQRKTPTTQSLTDF QNFSTKLNEQPQKKKEYLKQRVKTEISINRQTDVQNNKSQKDKEKYKAAVESLTATIRPT YKFLIYLNQALKQFQSINTEDKQKCYFLLRKLLVVKAYAIKNYCPSQIQEELQCLIDNFQ KYFEKVSPLLYNHHDRKFNQYLNNNLEQFTKGFSQQIQSNISVVNQQLLSKDLLIIQEVI KENLKQFNDPILFARRWENDQL >CAK73458 pep:novel supercontig:GCA_000165425.1:CT868152:430510:430934:1 gene:GSPATT00009773001 transcript:CAK73458 MNAQTKSSFKERHTLEERIKLYQTKQLAYPSKILIILEQFIDSSSTSQLQQQHIIKRCAA DPEQLMVTFEGDISLLFKKKIPKAQHISLFFFINNVLPQKDQTMGQLQKKMQDQEDGFLY IQVKSLETLGSL >CAK73459 pep:novel supercontig:GCA_000165425.1:CT868152:430988:431506:-1 gene:GSPATT00009774001 transcript:CAK73459 MRKKNQRIDLEFEKPQVKFIEQFRMRVNGGSNIDAQDYKIEDKIQKKVRNNNNDPLIVQQ SDDEDNYDVENAQVIDGNGNDGNLEFNMTKFKPEYVGSKKQKIIDQKEKNIKSVIGKQEE ETGREKKEEELKKQFAKLSQTQKPTLVKKSKIDEIVKKTNKPTILSFDQDDY >CAK73460 pep:novel supercontig:GCA_000165425.1:CT868152:431653:432550:-1 gene:GSPATT00009775001 transcript:CAK73460 MQQESIYNLIPKEYVPPPKEPMYRSAYPNGLVPTASTFNNHTTSRPKVNNINGDFDLVKG PHTHKGQSHSLGKPKGSYKPDSTMFRLKNTGTMGSNQLPERIVQSFKYPQSCKAAVPKKD EKPIHGLKSNKNFIVTNAVENILSAPKQITEEKAWTEKKDYGKVPDYLTKIQSSINNEYE IIRNMHMNEAEERDKQKYLMTPEEVERLKLGLKRKWESVNKEYQSITHIRMIDTVGLKRK KEQCEKELALLEKDIEKLNKNYVFIDTQK >CAK73461 pep:novel supercontig:GCA_000165425.1:CT868152:433338:434476:1 gene:GSPATT00009776001 transcript:CAK73461 MNQKRSNSTDDCLIDHRTNSYSPRVNPHDGRNVLPMIDQNAKRKSLSHFGREHSAKLQPK ESDSLDDGQSDQVEQTPLQQSSSPPNKSLRSSLNQSKKIQSFRFRCVLTHEILEPRDKIK IQTKNTRIKLDAIKLVGGNIYIGEWLDQVPDGKGKYTFSDQSFYQGEFSKGCLHGRGEFK SKEGNTYRGQWHNNRMQGQGIYTYNNGCKYEGIICQRLLGNWERDLPNGEGMEWYANGSV YVGSFQNGEKHGLGKITFITGEVYEGEFEFDDFNGRGVYRWQDGRVYDGNWIDGKMNGKG RLTWPDGRYYEGEYINDKKNGFGIFLFADGRKYIGLWKQGLQHGEGEFFKGLGQESTKGI WKHGKLVKLL >CAK73462 pep:novel supercontig:GCA_000165425.1:CT868152:434876:435595:1 gene:GSPATT00009777001 transcript:CAK73462 MQPQDETSNLVVQIQEECKIEIDFTQQRREHYNVLSKREKDQAVQMLGPNPLYDDFKRVS QAVGTTIKNLKRWKRCGTERKKGCGRKKLNPHAEKQLIEWVIQRVHSTGRKISRQELRCK ALQLFKNQHFCASKGYLDKFVKSYQLKQRMNEILKSIGKLNTKNFSKNLQQNDIKIEEEP QADTVKKEEEDDIKIENLSLQPNKSCSAFQTQ >CAK73463 pep:novel supercontig:GCA_000165425.1:CT868152:435860:437762:-1 gene:GSPATT00009778001 transcript:CAK73463 MSSLESSPRNSLNIVDILEKYKQKKAIMSPLPLESFQQIVQEAGQELLQQHPEGDNSHFI SFKQLNQDAIDELQRSLHQSKIMWNNFSRALFAASPIEKKNSSKKMELLHNRDAYYRIKK QNELQLKLQLDGINKEYQKIHLETQGIKSYPSISTKDQFRQQQIRRQLQMGCSQELVRQE TELIKQQIELTKSRLINEVKKIVVYIYSNQSNSWNPSSRESSAMVNYNEKLYLYGGSGAG HISDLCQATFDKCIIIELCYLRILQMDDDKIKIVSIIQLIIFGGIQYSPLKEDRIQCEVT NEVTHINLVNYDVKVVQHAGIVSPRKAHIAEVVGRYLLVQGGIDSKGHYLNDFIAYDIVT QRWQNVEINAPVFQDGIAFHKSCSALEHKSIDLYRHDPDISFENQGIYIFGGLNSNGHYL DKLIRIDVRRRPLFIEEVQSKGSAPISRCQHSMTYVDQSKQIVIYGGKNDDINVQGFLND LHILEIRNFSWTQVDVRGYSTLGRCSHSAAAIDDKLYIFGGVNYTGFVKSDFLIIELNQS KAQELSQYEAQTERISKQQVPVQIKPAASPKMVLNLKQQVEQIKKESEKVKLADKLKRQS VARHSRNLTDIQIYKTFATVTD >CAK73464 pep:novel supercontig:GCA_000165425.1:CT868152:437778:439130:-1 gene:GSPATT00009779001 transcript:CAK73464 MYSEDRDSQYSGNNIEQYDEDQDQGDGQDGDMEGQQYYDDEEQDMQQQEEYQEYQPEEQQ DDQQSFKYQPFDQRKWQWAEPLIEGVPPCARGGHSATLSGASIILFGGHYYANKDEGYKY LNDTYQMDVNANRWFKAKVQGTPPAPRYAHSAVLAGQRIIIFGGKGEKCVFRDLHALDPL TLTWYQGPEGSGSPSARFAHSATLYASTKMIIFGGWNGIDYFNDLYVLDLEVMAWSQPPC TGPSPTPRQGHTAIQVGANLIIQGGFYYQEDKTLKTLPKTANPRHGSHLRGCYLNDIRIL DTEHFAWSRLRVSGTPPAPRYGHSANVSGADIVVFGGWSLNSGARSENNFATPPDIDYLI VLNTEKMCWEKAKYEGNAPRNRYGHTATSIGPHILIFGGWEYNRATNQVVVLRDLNVGQQ QQEKKK >CAK73465 pep:novel supercontig:GCA_000165425.1:CT868152:439165:440513:-1 gene:GSPATT00009780001 transcript:CAK73465 MIIEENEFDKQIEDLLNKEKTARLANNLVETLKVTKQIAKLCFDTKQYSKFNELIVALSK KRGQPKKAQIELVQMAMIELKTLPINQKLEMIDAIMKVCEKKIYLEVEYARCVLMLTQYK EDDNQIADAAKILQEVQVETYGSMDKREKLEFILYQMKIMIKKLDYVRLFIISKKIEPKN IEDDNIADLKIIYYSFLVIYYRHENNYKETAHAYSKILESLHKNRQLEATKVDFNFRIDY NTILENYAMYTILSQYSEEKQKQLQSIVSTYKYALEALPNMNQLIQAFLGTELISTSPQS HNVQAVEIFDENIENNQQRFVDFRRQLIHHNLRIFQIYYDSIYLNRITELIEISTQELEE EICLMMDQKLLKCKIDRIQGIVDYQLKKNENDVLQEWGDNVNKVLNLIDLTSNLIKREEE LFL >CAK73466 pep:novel supercontig:GCA_000165425.1:CT868152:440567:443677:1 gene:GSPATT00009781001 transcript:CAK73466 MIYEGKQSIFSHQDQYALIRLVNSEDDATTKKHEEGKITFTLKLAKYLSTSGIGDYLDSI NVVLSFLLPVLHLIDCSSWEQGGTFDVGEAQPYITIPELICYIYFLLDFAINFYLSENKL FFTFQTTSLVEYVSIFPSLLARLNIITGYKYIYLLRVLRFLLCYKLDKVLQRQSMEAYKP IVTVMAIIIINSSILYVVEQDYSIVEYIYFMVVTISTVGFGDVYPTTIYGRFSIIVAILI MFLVLPTQVEMLTRVYSLRSQFARNKYISKKEQEHILLLGSSQVEGFKTFLNELYHSDHG LNDTNTVILQPSAPTEEMTLQLKQPALSEKVIYLEGHPLQNKDLERCSSKDCNCVIVLAN KTSRTPKRDDYRNIIHAFAVKQFAKKQKSRKGARVCLQVLQPSSKDLYFNSLGGHETDQV ICVDELKLYLLGKTCLCPGINTLISFLIQSSKPSYDTTKYDKNKGEWIDDYLCGMQNEIY RVPLESEAFVGLTFSQISQAIYKELNIILFALEVELESGTSVFVNPADYLFEDYLHYGYV IATEMPNIEKIQQTKFPEYIQKNYCFPNVQRQANNKNALQQEAEYLKEILSEGIKDDSQK HTSYYQVKPQTITTGLPKVGDQDKFENHFIVCGVVSDMKYLMMPLRARSLKNIQPIVILN QDLIPTEIQLQINKFPKVYFQQGSPLNTEDLKKACIQKASALVILQKSADQEEGISNIVD ADTIFIYKTVKLLNSNINIITELASISTISFLQISRNNYVQKYDWSVSEPFASGEIYIST MLDTLICQAYYNPFITSIFDQMILGSASMNKKNKKLYQACKLQQSNLFLINIPPKYLEKT FGELFEILLTEQKMIPIGLYRGEKVKNNNKPYVFLKPPMDVVLQSKDRVYVLSAKQPKEL ETIIDNEPIQRQENAAQYFNIKSKLQGEEGRVDIEFSRELMKFNDKMKNFNNELIKINQN VFNQGLIHAEFISTVRSTLKGELANLNQAV >CAK73467 pep:novel supercontig:GCA_000165425.1:CT868152:443742:445303:-1 gene:GSPATT00009782001 transcript:CAK73467 MNQLKKHISVKCINFNTQSTNTRSRSISNQVSKNSTDRSRSVSKQCPAIKLPVPVKNSET TSMSNTKQVSKLGKIKIDLGNNATSRSQQTTQKSRSSSQISKEESFPKMLPDFGRMETEL LDCQDFFLQLPEIHFPTNEEVMKERVILANRIAHTIKTKKRIPPSTPDFYKVPNLQPQQG KGAFAKVCLGIQILTGAKVAMKIIEKSTLKSESAKKRLLLEITIMKILSQYKQFAQLYEV FETKKQIYIIMEYVEGGDLMKWTKEKPIPEIQAKNIFAQLTLALQTLQSHNILHRDIKLD NILLQGDLIKICDFGVSRQIIKGQKILEQCGTPAYLAPEIIFSKIGYEGFASDIWSAGVL LYILLVGKVPFKGNNMNELNHNIQNGQLNFIEMKKQNLSNDSVDLIKQMLNVNPKLRITL TEIMNHQWLKEINIKQQKQQPNSTNLDLRVISQIEQFGYQREFIIKSLQKQTFCHINAIY SILTSVQK >CAK73468 pep:novel supercontig:GCA_000165425.1:CT868152:445333:445785:-1 gene:GSPATT00009783001 transcript:CAK73468 MLQFLVIALFFQQTFCQLGQDDADYIDQYEPDVVKVTDEGTTSGLSSSNLKKFVPQEDAQ NCVDTNCAEEIVACKHNEDCFGNYGRFEICYNQTLSFKNCKEPLLNSTLSTVTFELQDLM ECYNLCGWSKTKGLNGFLICVVFGLDLLLF >CAK73469 pep:novel supercontig:GCA_000165425.1:CT868152:445827:446833:-1 gene:GSPATT00009784001 transcript:CAK73469 MSKINQRANSIDEFRMINRRTQKENQNQNNRTLDEKLLLIEKQLAQNESYNDQYLLTNSI EANAVPMKTQNQSISFNLQSIENDRFNSKKNSIMEECQKKSYDSMRDNASKYQSNNNDSA LVMLLNEFERRFEQIEERLQLSEKTNRQYQQNNKSSADYFNGIQEKLTLLLQRIGKFELK LKQLDEAFQSHKNQTDKRIIEYNQHVDQSLKSFLDVSIQIQKKIERITSKDNLTEVEVLK QKTDNLTYNLQRTHDLLNQQMNDFSQLQQSVNQVIREDYFNNLFEEIETIKDQYSQTMQY LKELQEFLIK >CAK73470 pep:novel supercontig:GCA_000165425.1:CT868152:446938:448342:1 gene:GSPATT00009785001 transcript:CAK73470 MCLSQEFQQNRSLNKQYANRFLGEWHEETYRVTRKYVPSQTKGLNLYTTYCTPENPIATI VILHGYGDHSGRYFHVADEYAKYGFQVILYDQRGFGNSGGIRSHADIKQMHQDLECILET IERSQSIFLQCQSLGAAVGLSFCIANPSIILQGVIVVNPYLQFAEKYGFFKRMLLTVMNK IIPGLMVNSYIDYGHCSKNNNIIKSVAEDSLVQPFMSIGMAYNILQLDSYILPNANQFTQ PLLILHGKEDKVASHMNSVELYREAGSKDKTLKLFDKGFHELQNDVEFERVKNVILNWCQ KQINKDKRISYLRGLSHGLIAKNNNSSKFLVLSWIFIYFMLKNKMKNLGKIAKSICILAL MIASLIVRIRW >CAK73471 pep:novel supercontig:GCA_000165425.1:CT868152:449084:450974:1 gene:GSPATT00009786001 transcript:CAK73471 MLQNDCLENEDPQKEEKEQCMICRNMILKAELKQHQMNCMNTLSLTDQEFLDEQLAQLIR DQNFLSTIFTTKKPNDSQNEAQIDPTQQQKIIGVEIEQKQAQNQKQNDNIIQNVLESNKI SEKQFNRQKNADQNEQFSQNIHLDDILMIQSQQQQKEQQLKQQQQQQQQKQQQQQQQQQH QQCQQQQQQQQQQQQQLQQQKQQQQQQQQQQFQQQKQQQQYQQQSQQQQQQQQQQQYQQQ QQQQKQQYQQQQQQQQQQQYQQQQQQQQQYQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQLQQQQEVGDLQKNTSQNKKYEKPQNDLMLQDESKQLQNDQLKQVNSQQQKPQNKQQ EKKFEKKQKLNFGNQTIQIEPNFNQFENKDKINYKTANKEKIDLRKKIDQTNLQSYEPML LAAEQINSKKEKTNTEKQNSVDGGKQQQIPNPIPIPQVKRTLQLQNQYISMKYEIQRPQT VNKSPINYEQQQRFVQPPFPLYSMQQQQQPNQKKFEYIPGMRLTNYQVNQLSQEDVYEYF TNLALEEQVGYQSKIFQLEKKKVQINEVGSCPICMEDIQPSKEPNDVQLDCKHQFHFDCI KRWLQTQKNCPICKNHVDLCFT >CAK73472 pep:novel supercontig:GCA_000165425.1:CT868152:451105:453027:1 gene:GSPATT00009787001 transcript:CAK73472 MQQISPEVEQHLSELLKPVLERVEELKIRDQQNEDQINSLVEELTQLRHLVNSLKPLKSE EEKKQIKQEQKKDNNGNHENKKPDRPQTGVVQKNDQNKVKEPRPQTGQKPLSQSVIVDKT ATTEADKVTLKSPRDSTQKQPKPQGQPQQQPPQPKPKPQNQPDQKDKKDQKDTKPHGQEQ PQKQAQPPKDEKKVDKPKQNKQNPPVQPEKTEKPKEAPKKSDKPQDQKGNGSSKNQPKTN DKKAEPKQDDKKIVKKGKQPAAQTGDQQQTDEQFQQQQQASQVNQEPNSAEKLSKENHSE QNEQQQENHQEQNEQQQENHQENHQENHQENANNGQDVEQHAQEQPQGEQNQFQSQEHQE EQIQQENQENNQPKEEPQGQEQEYNNIMSKYFNQFLFNQLQCRAQTKLLAFQNKNLQWIQ NQKQKQQVNQFMRRINKNNMKMELSERKHDDGSLEKSIKITPMTTGDFIGIWAIAILKSL FLICFLLMAYEIYQDIQAHIDIQKTKVLIEMKNCAAEFDQNQCSENYLIESMSEKCKELE ICKNYNIEMKVRTQQLWLQVIGNSFENMFKALSLKTCIMISFISIITSIIFIKN >CAK73473 pep:novel supercontig:GCA_000165425.1:CT868152:453032:453313:-1 gene:GSPATT00009788001 transcript:CAK73473 MANIIQFQFYQNMRWQINLLLILIVFNFILLKNRILQQFHTYSKYLNSHKSSCQLILRIK YKNQTHNENNFSTSLIQKQQHYFVEGLFTQRNK >CAK73474 pep:novel supercontig:GCA_000165425.1:CT868152:453324:454638:-1 gene:GSPATT00009789001 transcript:CAK73474 MLFSRIRTFKNLTTTLKPLQNNRFYKFSNAEASKNAKFDRNAHFEDPVNEWVDPSKRWLL GGWLLLCAGGVYFMVLLGGYTRLTHSGLSMTKWKPIEYAYPRNQADWEKEFDYYKQFPEY QHTPIDLEKFKKIFIVEYLHRVSGSTLGALYVLPLAAFTAMKWIKPQYAKRLGTIGGLGL LQGLIGWWMVKSGLDRPKPEYQQKPRVSTYRLTVHLSMALSLYSLLIWNAATLFKKPQHL QITPENYKTHLKFRGLGIGLIHLVAINLLTGAAMAGIDAGKVFNTWPTMNGQIVPANLFK ASPFYKNFFENVVMVQFNHRNAAYLTYTFGSYITYLAYKSNLPKQARYMCYALYGVLNYQ LLTGIVALLNQVQVHSGSIHQFNALNVLTASLLLCHSVRRPSVPYRNYLKQFV >CAK73475 pep:novel supercontig:GCA_000165425.1:CT868152:454697:455005:1 gene:GSPATT00009790001 transcript:CAK73475 MDEPSEQEIDELQNQYFQDKMFRVCAKAVINDDAYFNRLYEPEYLQGLADRAKDQKEKND ILNQKKKVEFNQNNVKEMFYQCFNRYFYVYGVLQQVAHAEED >CAK73476 pep:novel supercontig:GCA_000165425.1:CT868152:455895:457240:1 gene:GSPATT00009791001 transcript:CAK73476 MMNQNQTHKNHTKHNWNKCLKYLYPPLPILQVESSEKSNIHKISFHLTEQVQQSYTQYYE NISYQLWSQVEEEPAHTVQRKYTHFEWLNAALKREFPGLLIPAIPPKTVLAKINLNEHTQ LVREKRYRQLAEFLNKIKDHPQLQVSSKFQVFLTASQDVMQQELLELSQYQTYVHQIGSA IANAGSKAYDLAKGSFNYLSSFIYNGQQNSQKQMQYPELEITHEIKECFESWEDLLIEEQ QKVKRIFEYYQNIVNIKLEQNDETKKVISSMKELELPQLEKDIKKTEVSCYLNETILNDI KLSVIFKLELCILDLDEAVGIIRRRSDLIYQIQQQIKMHNQIESFNEKIQYKDQIRENQK VLKILESNFQKDITRFANWLNNYLQQLIKSYNEQFQKLYKEQNKNWNE >CAK73477 pep:novel supercontig:GCA_000165425.1:CT868152:457258:459861:1 gene:GSPATT00009792001 transcript:CAK73477 MNIRQLDNIVSWLLSKFKSSPYRWNEQQLNSFCESVGLQWAVSIITVYDFINMQVQAAPK QRSYNNQEAHTHANKLQIPLLDACTRQYEEILELCNALGIYKLISHSMDINLYYQIQKLK IWSLIVLKYQHYREMFKKHYGSKFFSTSKCKSCTAEISCACQILNNLLCEIEIYIKSIYE LPSTQNQNRRCSMYISSDIINLLLKSISHLPSYDQQPLFWDMDDIKVLLHVIKFQDYYQL LFQNQIDGFILLILVNPPFKGDNLFLKCLLMSQQNQTQKSQNLLYQNRQSMVRNTMQTAS KYHQINQFLHLLHYLLQILSLAAYKNQESYTEYKQLGQRKVSQIRWISLYYFTKDSQVGQ ANRKSTLTDQFMRSSKSYGNVEGQMKNRNKTETLDQFEIKIMVTDQSLEQIKKQFSQPNV THSAQTSPYVQRDTNWIKFKNQTVNQFNVEDSVNNRSSSFLIPDTDGNTIEQERDRLNTE THVQIEEDILSGSNVNQSQEEQSQQLSNQEEEQQELSDQMQQSVAFMAQSILIQQSQLLQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQDMGESVILGQATVMSQSTMLQQSVMI QQPTTMQQSVMMQQSVIMQQSTMMQQSTMLEKSIQIQQIIEQQDNQRIQQQRSIKEKILE RIEKLTLQPLFDLFQLQSQTHLKLKCDEQQKLKVVNIQGASFGRNEDCTFAFTDHSKISS KHCQIYYKDKTFYLVDVGSKGGTFIKINHNFVIEKDMSVYIGNRFAFKIIDINTETGFLE VRYEHEGTAKHKQIQLKRGDKFLIGREKSKNNFTFMHSQQVLSQRILDATDQ >CAK73478 pep:novel supercontig:GCA_000165425.1:CT868152:459921:460073:1 gene:GSPATT00009793001 transcript:CAK73478 MINDLESKNGTWLRLSEKQVVSAPFHLQKGVKFNLSFELMFDVFELVCDV >CAK73479 pep:novel supercontig:GCA_000165425.1:CT868152:460109:461088:1 gene:GSPATT00009794001 transcript:CAK73479 MSQKLEIIGRKLVVVLAASVYSIHYWINFNLWITEELSILLYVHMITLNIVFLMLFWCFI VMLIIDPGRPKMQIGNEYIQSPFSKKVYCDKCKCQKPERCHHCSICDRCVLQMDHHCPWI NTCVGYQSRKQFILLLFYALLFNFITVVSTTKTYLLSFRFSIFNMIYALACIGNYVLVFL LFNFLKYHIELLQKNQTTLEDIISKNNQTNFNFYDIDPHTNVTQIFGQNKSLWLFPIYSG VGCNDGHTFPKIDYKGMQASSPQVVSVFYEQQYVSSDKNKNENSNIQTIYKRGTEKQKIS EIKMN >CAK73480 pep:novel supercontig:GCA_000165425.1:CT868152:461165:462015:-1 gene:GSPATT00009795001 transcript:CAK73480 MKATTQDLDIIRLLSSKEWQTAYKNFVYQFSSQFQDNQQNLNQQQELHKKFQESLQPITI KYISNESQEKYKKNIKELLKNYAQDTIIQYICLFDFQLFQTEMISQNQLLDLIALELIRK EAKQDQQESSSDDEQAEKEYFEKSREQTKQQIEGTGNVEEEKQKLEKTIRETIANFNLPE VIKQPEVEVDQYGFNQGVVEKEDDIFKKSIKLRTLKLKQTIPKKEIKLTADQLKKRRLQI ELVKKAIKDQ >CAK73481 pep:novel supercontig:GCA_000165425.1:CT868152:462491:463042:1 gene:GSPATT00009796001 transcript:CAK73481 MRHQNNHIQNLHLIAARLSAAKSTQKSFLNESCQILTQQTNLSINQSFSSPKYVSNQHSF ILPQPVNSSMSMRDTQQSYKCSSPSMRVQTEKNEKDDKKQQQFIEATFKLLAQNEKLEKL ISQQNEMLQMYRDDKKELEITIKRLNQEIQFLKDTNYKQVSLLEKQLKQVKGNSYYLPKK LFK >CAK73482 pep:novel supercontig:GCA_000165425.1:CT868152:463376:464700:1 gene:GSPATT00009797001 transcript:CAK73482 MGQFLSSQCCEKKVEPPKSLLQEGQLLNLNQDYQYFFSQDWFGVDKPQFEPQKFIFIYPG YKEDQSQMEKFWSKADTINLRHGELVRQKGKVLNKKWQYLFRKGVPCSLAKKIILDTFRL TYVDCEAEYLSALKVVFKNKQIPKTFKNVPLFGCNTNEDDDEEFNYLNESEKKIQLILKV QILNQQGMEALIRLLWIVNNLNHFLEYNPMLLHIIVMLLIFLSEAQTYCVLQFMIKDSQQ SLTDNQTKQQLRWHLIMNEEHFKETATTFYETVSRRSKTFSTIYQLMQNMHFDSFELFQE MSISLMLTYLPFSAILKIFIIYLNEGIKIYFRMFYSVLRYVADDIKSCKSSSNLKSILRK KLMNLNIEEQNNIIKQAFKLSLSESEQVKSFMRTTVSYTKNQPCYLPIPSQASDLITNEQ AFIDI >CAK73483 pep:novel supercontig:GCA_000165425.1:CT868152:464733:465239:1 gene:GSPATT00009798001 transcript:CAK73483 MSNMYKLSDPFLIFASKTDGHSLKYLYTKCQDLNDYPVLLVIQTNQNIIFGAFCDKMLAV KNKYVGSGETFLFTLSPEERKYNPTSGNKDFMMCAPDYLAFGSGKNGPAFQIDSELNRGF TYQSDTFDNPLFTDQKTQNRFKCLCIEVYYLK >CAK73484 pep:novel supercontig:GCA_000165425.1:CT868152:465257:466106:1 gene:GSPATT00009799001 transcript:CAK73484 MKYIVLIFLLQLFSLSLSEVVVTPKIQCRAQECEGDNFCFQGYCTSCEITRRQWNQGKPL WGTKVGEAIKVPAYSNFGDPNVLDTKKDEFLEDSKRIFGEEVYVGQKYQCVQFARRFWIV HYNSTFGSVNYAEQIFYLQQAYNFNTKQSLQLKKFQNGDIHPPQQGDLLIWKKDEEEFPY GHVAIVISVEMESSYPHVLIAEQNYDQSWDARSFSRALRLIKGENNEITISNNRQIFPTR EDLKCRDTEVTSQGVILGWVRLNIQ >CAK73485 pep:novel supercontig:GCA_000165425.1:CT868152:467125:467548:1 gene:GSPATT00009800001 transcript:CAK73485 MPILADLKYQVELQMKKTQSNRVAPSPKEKVMLLTRILYEKKPIKEACEELRMSYAWGKA IWSEHISQYNKSKKLYKKKNDSPKTPPSETEQITKKVAGVKMLPQGQHISKKFHIQILIH GNPVVSKKSFD >CAK73486 pep:novel supercontig:GCA_000165425.1:CT868152:468554:470250:-1 gene:GSPATT00009801001 transcript:CAK73486 MKSRDMKKLWQITIRQFRNIQKILIIIIAKVQYNMNDLLAYTLDRMRKFSEALENYDLAI QVDPKCSDYYYHKAFTLHKMNRFEEALKIYMLAIQINPENTDYYNHKATTLYKLNRFEEA LQSYDSAIQKNPQNSMYYFNKANTLVKLSRFEEALKFYDLAISKNPENSDYYNGKALTLG KMNRYIEALQNQDLAIQNDQQNLVYDINKASALYEMKKFKEALEYFDSAIRKNPEISEHY YRKAFTLVELRRFEEALKLYELAISKNPENSDYYDGKATTLNKMKHFEEAIQNYNTAIQI NPENSQYYINKAIPLESLNFTDEALENYDLAIQKNPEISEFYYRKGLTLNKMKRFEEALE CYDSAIQKNPEDSRYYNSKGNTLDQMTRFEEALECYDSAIQKNPEDSSYQINKTYTLDKL DEIEKILKIPKNLDDQKMYNYNFQEIKSMINLTNQLKLLYQQTY >CAK73487 pep:novel supercontig:GCA_000165425.1:CT868152:470297:472168:-1 gene:GSPATT00009802001 transcript:CAK73487 MYCQKERVEELYKVLILSKDLDKVFFPILIYVLKKEKIQDCLEFLSQDQNQILLQIERQK VDNLLLLNKEQTFNSEISIKRITDVLKQIRDHEFNQQNYSTDNYEEIKKDLIIKISFDKK IIEFLRFLVHLTALDMRFIQSGSNSLHLLIEMKIDCKECSLENISIRNTQQQQENLLKCH LNGSTLLSNQSKQFQASICDNFYDFIAFNNNSRLIVSQNPKRIMACFGIVKNKSKIQIAI KTMQSQQKYNKELKVAINKVQRKLAKDSQAKKNQIRLNVYCNTKIRFNIYHFDQYAPIKS VVSKNPKNAYFYIKKGMIPFFDDFLAIPLEKMNRLNEALEYYDLAIEINPEISEFYYRKG IIDGDLLGLTLNKMKRFEEALECYDSAIYKNPQESCYYNCRANTLNEMKKFDQALKYYNL AIQKDPNNPILINNKDLLGNTLDILKRFEEALKYYNLAISKNPEISDSYNRKAITLNKMH LFEESLENSNLAIYKNKEISRYYYIKANTLSKMKRFEEALENYDLAIQKDPEVSDFYGWQ RQNQIQMITQRFHYFSIYLKTNLDQSKFEDALVNFDLAIQLNPEDSRHYI >CAK73488 pep:novel supercontig:GCA_000165425.1:CT868152:472622:474226:-1 gene:GSPATT00009803001 transcript:CAK73488 MFKPKSQKEQQQQPFYLSWFANQGYLHQELIQLRENLKRGGTAPQQSRKDKLPLKSPYQQ PLITVSHQTPNPYTKAIQPPKSYQNNIQQISKIYAGSFQGMMKRRSVEKRYDDVPKSGVA SLKPSRTFTQQNSRAFHSCEQQSRPQTQQLNTFEPKLQCDNIRAYNYEDPQLTPRDNDEV EIMEVDNIDIDSNESNDADSEGDHNLQLPTQQQEEPHIQKNKSFVQKQLEEPIISMRKAT ATLNRPQTSEGARRKRFANSTNEVQENTTEKKDSEFQLFDYQANIIQEDDSENQEVMPII ANSLNSPKDILSIRSGQRRKPTDHVLDNLDNNDRPPSRHKTPPKATGLDLPLEAVDSLAN HEIPIKNMYAQIDDKVNNNFNDDLDEFDLGFFKMNNKVNFNKFQSKDGYHTDEGKKANVN YKHPQSANIKFNNIGLQNISYSPLNNQITSAHGFRANEPQIVIKYNNSSQLNKNQAKIPV KVPFQTSLGQDFLSLFANDCAD >CAK73489 pep:novel supercontig:GCA_000165425.1:CT868152:474237:474767:1 gene:GSPATT00009804001 transcript:CAK73489 MKLESKKEILAKLMQKVPLIREHRLKLGQQVRYPITQSPMNVTPIMASRQAETITDTSTI YQLVPGFKINNQSTYRLHGSSGRKYQDKSCSITKFKTQPSFSLNSTISRIYLSPRPAKSS KYKKFVETSHTFRKNIPRRAIVLQSNKQDCYHQPSQWNLERWDTNDDRNENKSFIF >CAK73490 pep:novel supercontig:GCA_000165425.1:CT868152:475078:477257:-1 gene:GSPATT00009805001 transcript:CAK73490 MEAFMKYLRASDPFAIDVLSRNVKQIFDILFVDYEKVQAEYLAIYSDLITKIFEIIDMKL EPLMKQIEVIWEVIFDLRWRKTAPDTQWGIAHRLLELMKERDKKFVIDQFQRPNFLVSFL PFIHVNSVAQIIIIFYEFGFNQQQLDFLKAGFVIFQNFDSFSAINFTFIVHEIMIRNIND AQVSSIIKGEIQKKMLSIIVRDEINHITKKNAAHILSLVSNYYSMNMQNIQLEEPETQEI IQNFRNTEFFQSFDVQIIALALNQSLLYQTKVGLLNIKLVEIVDNLVRITDIQLWERVDK ANIMELIFSLMHKFNKSDIFISYVNNMIQFIFDRALNDYHPYWASKLILKNKIHEKHHRQ IDNQIYQFEQKLSLKLIKDGDFLPYFDYLKQIEEQLMVSHNWRTLKVHQMKLEERHKNKL GEDPSSPQFEERFIVSALDVEEAKYLEEDGIDEQTNNIFINCQSNSTPKQNYLEITQNKF ETIPIQNADSESNSESYISESEEFKEKKNIQDKNDENSYNTLNEFELVLQDMGYYTDYSN KLSYSDPLDNKEMKDRALSNSFNKVKQQNNAMAISLNHECDIPPQVPQRRSSLQLVSNVK KLNKDFEEFHIDYLNNRKILKTNDNHILKVDEIDLQKDSPQKLMEVVFQKQNNEIVFRTK LIEQSNFEKNLGNKIEKVEDIKFRQIQEKDLHFLEFLNNQE >CAK73491 pep:novel supercontig:GCA_000165425.1:CT868152:477469:478531:1 gene:GSPATT00009806001 transcript:CAK73491 MAQPHQHCLYDGHQNSAILGVCLNASCQNKKPYCHHCISQYHSSHVNDLKNFDQLKIWSN QLNQSYQEIQSTSQEIQKHLLTLADQMKGLYVDPNINFSECNLTDLEHHVLKLINIQQLS NSLLLSNLQEINSKINFAKAGESQIKGSALQLSLIQTNSQSIIDNTSANSIIIQPANHTN FRFSEQLTFKGIAIQENGKKAVCTGLEWGFALCEPAIPKCGISRFVFQVSHSNVCNIYVG VCHKDKIIQSNYSPISFQQLGHGAYLIFSTGLILSHLQAEINYQFKGFSYSNNDIIIMEV DMNKQQILFTHQQKQQQIAMKLDVTQDLYPYAELCVSSSIQIIQV >CAK73492 pep:novel supercontig:GCA_000165425.1:CT868152:478903:480187:1 gene:GSPATT00009807001 transcript:CAK73492 MIAPEILSDKPEDQYQRLEKAMIELFKYDNLLNNEYLVRKFETDILKPDWYLLQYVVVQR ENSIKSQYVQNELNTRKKYHLILTDILNKYNKQLWNFDHQMLIIRPYFPTERKRIQIKIP VLNVALIKETLQKSGFTKEELIYEEDIYQADKTYVKLIVTSQTENYAIGIFDFLMSKKAE LQIDSVIMQNVDYLDEFHKQINAKKCSMMTKKIEQQDNDQQGYKRNDQYEEQDKEFDRSY QKSRARGNRQRGGGNYGYYRNDKDDDRHEEKYHNREKGDRNERGNDRNDRNDRGGKDKDR RGGQRDRGNNQYVQKNDVHHQQQQQQPQQQYQLIPKAVLQKTPKQNIKPNALNANDFPAL DSEQKS >CAK73493 pep:novel supercontig:GCA_000165425.1:CT868152:480228:481255:1 gene:GSPATT00009808001 transcript:CAK73493 MNHRKSLSPFSEKVRVIQFTCLRLEFLYPLVSEPLKVIVCEKHTFIAKPQDQRCAIVNQT QAIEVFNHKIKIEIQNLGTSQLDLNTYQAFSLEKVPIYDRKNQKQLIGFLCFKIDETSKK STPNTSIQNSREQSLNFGSNLFTIAFSDQSQQQNSKQQNSIQKYSNEEIKRNIDQIAQIY EEMSREQNQLLQQDLKLKKLDNEIKDLNQQLKSLHDQEHHLDLQFKKLQTQSPQLQMLIN QKIQQQYSILTFKKKQENPIQKQSPSQIIRTEQTSQRQKKTNVLPQQVTISLIEQEKFMK KQNKEPEIPVNMSIPFSFNEYFSLFKN >CAK73494 pep:novel supercontig:GCA_000165425.1:CT868152:481312:481979:-1 gene:GSPATT00009809001 transcript:CAK73494 MGKQYAREPAVAKKSAKARASDLRTHFKNTYEVAKALKGQTLAQALKYLQDVLQHNRCVP FTRFNGGVGRTGQAKEFGRSQGRWPEKSVRIVLSLLQNLAANAQVKNLTNDKLIINHVQV NRAQKGRRRTYRAHGRINPFLSSNAHVEIWAAEKDENVKKEANNKVVARQSRKQAARSKL AIGA >CAK73495 pep:novel supercontig:GCA_000165425.1:CT868152:482208:483229:-1 gene:GSPATT00009810001 transcript:CAK73495 MNKQGFVQVTQEYDQVKKRVNSLKFQLRDIDQKNFLLQTKLKDLRQISPKFQKSPITQLQ EQLGLDRKQVIEQFKPIVEKEGIYLRNERLKTETQLQQSKMQEIQQKKMRVKLIEEFNSE VTKRREQYQEKKIKEVRERQLQEMEMNRLIVNKKMFEISKLKKQEKELIEEIQSAKTKEQ QLCNKFCNSLVSNDNSLILPSIQKSFSKMSQNSTSKQQRKGCSPIQKQNKVIERLQRSET RNENSIVEQEDNRKGCLESRKKSQSIDQLNIACQTSFLITHQNLESIQYSLNYDSYMSSQ KDKTTEKSITNLTSDETKDYHEKNRQSNKKIQ >CAK73496 pep:novel supercontig:GCA_000165425.1:CT868152:483691:484713:-1 gene:GSPATT00009811001 transcript:CAK73496 MQYQDSRRVINPIYQDQPKQVRPRSISNTTKLLAWDTQQFNSKTDITINCQTTSNEVKHA KKNQRNTSSILGGDNFAKVINQRPYYDFNEIIKSQHVVQSTKEKIINPNKLTLQDDNQVL SSKESKSQERQSTKQINFSKGEKENYSIHQQELMRLNKTENVKSRPKAQNDESKQHISEL KRRMMNYPQRSQSPSQHNTNPKSCIQMEDNNITIISMNLKDIKDDVQAQEIKQLCQNIGY HMVKFDKEYDKINHKQNGFGSIQIRGINTDQKLATFQRSLSRKGINIGEQNQKLKDFVSN RYLLKSKEHSQANNQQSQNQEEKLLNNFKKFQSRQKGLFV >CAK73497 pep:novel supercontig:GCA_000165425.1:CT868152:484752:485835:-1 gene:GSPATT00009812001 transcript:CAK73497 MFRKVPGYCFGKYKFNANIINKVSTLLKIKPSLANEDINFFGDVRLLDSQNKLIGVFACE EARKKADKLGQDLIMINQEIKPALCKVCDYSDELASKFMNDIVKIKEQQKLNDQHFKLSH TITMQDLKLKVNQAKDLIKKQNNLRVTIVCPIETAIQAKSILYTLKDLCQSFMIPIGDVK TKDYKEKEQFDKKKEQQEQVQLDIEFEAIEKTELNLDLAQIKIERLINQYYSRSLQEKTE DEQDLADFFRVQQTEKDKKVETKETKKTKLEELMEDDELDFQLQDVSTNNQSCFLRDGNL SKYVKLYKMIEWSQRRK >CAK73498 pep:novel supercontig:GCA_000165425.1:CT868152:486227:488118:1 gene:GSPATT00009813001 transcript:CAK73498 MQPTEVHFMLQLKETQVLSTSPRMKTDRFVSTQAVAMRSIQKAIKKQDLSFILGSFNNYQ GGSQQQNESLQLFQMEEKEVHLKLGELRKEFDTYHTTSINKEKEITQFKDQLLKLNLEES ELINNTSDLTKELECSKTDYVQAKQRFEESQMSEKSYNHIINRLKHDVLCFRKKLNEMVD VFEKKKTEANQASAKQWESIQLNQMTRKLCDEMMNNIEIEKNNRQRQIAQYNKQIKAQLI AKEKRDERMIKQREIAEHASNDKDASEKKWRKLLLVHKVVHSLLKNKMEKEMEKFQVVET AFQEIKTATGISEAQEIVQKFLTKESTYGSLLGTIAENEQKIELLKKGKEDLRKKLQQLK DSLQIMEIDAKPPKKGIDSEIYKQFYSIDDRAKKAEIMKQKLYVWSIKMLGKIEKASMQF LDERTNYYHIYPRGKDVELFEQLQKLIYEDVDQARPEEILQIIGEVNRSNIRNDTLKEEY QKKNVRIKYKKLTQREALKRTKSMDSKADLSNRSFSQFGQSSDSSAEQSYYESESEGNTI DENSEKNHFNYLRDEAKQVKKTKKKDDGSDGKK >CAK73499 pep:novel supercontig:GCA_000165425.1:CT868152:488168:489578:1 gene:GSPATT00009814001 transcript:CAK73499 MNHQKESEYEDSDRSQQSQSIQQKNNERKFRKIEKLQSLINKPFYLLTQEEINKRRILSK VSDLMVKTTNASQFLQPINQQKSSDHKFQLNINHLQNTSIPKIPPTIADSEKDYVDYLMM KKCNPINIQNLQKMTKKQNLKENYDQLWQQQEDISDKEIQDPFNVSKALNIVHKKELQKS DERIYFDKNSSSVQSNSNFQSLTNSRLILMQDQDINETEFREQQARRTLKKMKTRKVINI VQKATKKIYNKKEEQIQKELIMHQVYKQMSKDKKKESIKSIDSVFRNSQSEQTLLQSQNH QKMITNKLLSEKTFFQPKKLSEIRPIQSRVQLSHIIQKQDSRIDDTPPKFQSRNISLIND KIANLVSQIDQIQQKEIDQMTFIKQLENEQDLYQTYRQSLQPDQIQDTLKSLLGPSNNNY RKPFKFSNKKFFNQVL >CAK73500 pep:novel supercontig:GCA_000165425.1:CT868152:489918:490457:-1 gene:GSPATT00009815001 transcript:CAK73500 MQTQNNFAHFSRGGKLSTPYLKFNKVDAETAKKAFTPQELKQIMDMFVYFDKSGNKTIQR EDLGFALRAIGYLVTTIEIRELGNYLDPKKTSILNFEQFITACYNVRNRKPNKQVIINAL KIFEKNKSGYIDVKEIKSIITKIGDVLNLTEFDTVVSEITQQHNGFIKTDDLVNLLIMS >CAK73501 pep:novel supercontig:GCA_000165425.1:CT868152:490493:491216:1 gene:GSPATT00009816001 transcript:CAK73501 MQYQQDIVNHYHSIIELYYKEAELSDENKRIENQAATKIQQWYRMHVKRIKYLKIRYNTI YIQKFAKGYLARMLMKRNSDNRFNERNLKYFSYQATQIQRYFRGFHYRKYYLNWATRKEY LSFLKRKNETFLEELKKVEKEEAQQLRIRQEQLARTEFESLARNLHHLSSTKSISGIYSR PFGNRDIVFDMDVESHLKIVFHSNYEWEKSQQMSRYTRTKKLSMQTKLKPLK >CAK73502 pep:novel supercontig:GCA_000165425.1:CT868152:491223:492360:1 gene:GSPATT00009817001 transcript:CAK73502 MLRQQSKILLILFNSCAFEFQWVSLTQILQLRHDSQIFISSMIILFFISLITFVNSLSLK SVLMAIDCGGQESFNSKEGFLYQDDQYFSGDSRVSDYTYNDVVYDGIAYTFTPKVYFTER HGSSFDYSLPINKNGQYTIILQFVELYFQNEGERQFDIYIGTKRVIQNLSIIDKKHQKGS AKEVFIYIEIKQDTVFHNDEECTKGFINGKLKIGFRKGAADLPKVDGIVIVKGHNAGEEE KKHLIDNWEQMLEENRKKEAELQRAELEAQNMIVPDSVDPEEGEGLISFLLSPIGIGIII FGMFVFGLLIFTGENEDQKNKKKNKSK >CAK73503 pep:novel supercontig:GCA_000165425.1:CT868152:493068:493448:-1 gene:GSPATT00009818001 transcript:CAK73503 MGKKKQKNLNYTVFFEDDCFNPRLQLEQKIGISLSPPKITPQKINNQQDQQQVTMAVIQP TISAMYNQNIEFISKISKEENLSKEIQQSEVKLKPTETFEQKIMFRPVQLKPVIIQFERE RSSRKK >CAK73504 pep:novel supercontig:GCA_000165425.1:CT868152:493558:494052:-1 gene:GSPATT00009819001 transcript:CAK73504 MIDFCPNQFLNLGLQTEFTIQSENEYRLAQQNRNKLVSVGSQQKNLNNIEKHRYLKPNSS FEEYSGEYSVICDQLSNQNRAQSITEKVQNLYINSNLVGQEWESQKSIIIVNVDEHAIDQ IDLNEFFIDQYSIFMNCGLRISLLSNSRRLSQAATKASLLQSQE >CAK73505 pep:novel supercontig:GCA_000165425.1:CT868152:495345:495821:1 gene:GSPATT00009820001 transcript:CAK73505 MGSACKNMKNTQDLKQVYMNQNGPFKQLKRAHFQHPKQIMDFQSSHSICSERNSSQCSKT IGNINTSSCIQMTRRDNRFFTKRVSSSLNHNSLNFLKKDDLNSQSDLSVELIDNNQLTLI VPYHTRRSWSRRRVTTKFDLLMKVKNNKYLEKYTRRQT >CAK73506 pep:novel supercontig:GCA_000165425.1:CT868152:496676:499210:1 gene:GSPATT00009821001 transcript:CAK73506 MMLVILLMIMQTFASNIDVQISYIDASLINIHWCSDTVLALTSKGSVYRSDDRGRQWTKM SEIFHRKALIQLEDAGDRVGIVNNLVASPVDKQLILFTGTDQIAWISLDCGKTITAVNAG KQLREYQFHPIEKDWILASAWKQCGSDELLAGKPCVSYKELLLSQDTGITWLSIATYVNQ FTWGVKNKDMAKYTPKERILASFDPQGRGHQSISSWNMDANLYYSDDFFQTRTLSVPAGS RFLLTESFLFVAKVTSQYTQEVSLYVSGTELGQYKYAIIDADSKLLEHSYSILDTSENQV FMVVNHLKPSSPLGVIYISDSTGTRYSRSLENVARLENSAEFYRVQGLEGIYLANVYAED QAKIYSHQVLEAMEEGFYAQQNGFKDEDLKKYKQTRITFDKGGQWVPLKPPTVDADGKPI NCNKCQLHIHLSQAFYQFAPIYTQTNSIGVIVATGSIGKYLSYRQDQVNTYLSRDGGLTW IEIKKGSYIYEMSNHGGLIVMSKDQETTNQIVYSWNGGIEWAPFNFLDQKAEISNIITEP QNKGTRFIAYGSIQLESNGIKTEQGLLATIDLDELHQRNCIGQDKPGEVGSDYELWTPSG LVNPECLFGKKVTYMRKRREAACYNPDTLEKIISVTPCQCSQEDYECDLGFVMNNQLCVP IDGTINVDPPAECNDYYTVSSGYRKIAGDICEGGVEHPSMRFSCPNSKGWIFDWLVIGGI LFGLFWVYNNQDKVKEYFTRTEMSQLPQSKSDKLIKQLQQKQPKQQQQQQQYQPINLEQN DQQDQKFDEELIEKDENIQMDDDGSHELI >CAK73507 pep:novel supercontig:GCA_000165425.1:CT868152:499427:500265:-1 gene:GSPATT00009822001 transcript:CAK73507 MKGSSQKNKILEQIQKQRRSQSRQNDSKINSLHFQGIQIQEFSKEIDDYLRTFSNLQQLG FQRCKLQSHKQSLRLQKYQFIEFRRKCFWRKEALNRQRIKSKISMKLSNQNNSTNQHTYF QRKILVCDDDNFTTKIWGSATKTQGPGMERTRKTEIYDDDEEDEEEDEEEIDEDDDDSFS ISDDEEDEEYSEVEESESESNKKKKKVKK >CAK73508 pep:novel supercontig:GCA_000165425.1:CT868152:500693:501775:1 gene:GSPATT00009823001 transcript:CAK73508 MTGRITNSNRWRRRLQLNRMSRNSRRQKYWANYWHSYNHQYNQSKIRRFRKQKIMRSSFT FNNQQLLQKVPPIQQSMRYGNKAFRDWLDQINPIVDDYLKKQLPQNLQNAAVELRSYLLD SFGNKQRIDYGTGHEFQFFLFLFSLFRLGIYTEKDYEGIVRLAFYKYIDFARKVQMTYML EPAGSHGVWGLDDYQFLPFVFGAAELIQNDDGLLPDSIIKENVIKNYKAEYMFFQCIDIH QQCEKRTIP >CAK73509 pep:novel supercontig:GCA_000165425.1:CT868152:502047:503577:-1 gene:GSPATT00009824001 transcript:CAK73509 MLAQSQQLQSDQKPKLTSSIGNTTFTRIFTLLSILQILSLKPPRHPQSDQIDQSRIQKQM GQKRYKNEEQEAKQNGKVSLPSLPGIKKQEIPNFEPQQKLINIANYGNGLRIPSRLPKLD AKSDVPKQKHSSNGPQMRSPKQLDEEAPMPHYSSVTPERRQQFSPERQVLNKRRLMAKTW QGGLFASKTKAGCLPNKTLKTNQDAAILFPNNLEHYNCSLIAVCDGHGTNGHLVSNLIKQ QLPKYLEQQFQTQGRDIEKCLTVAFEKTNKEIIESEFDTTLSGSTAVSVLIRKEQLWTAN VGDSRAIICRNQDGWKAIQLTRDHKPSDEQEKQRIIEAGGRIDSQRDFYGNQLGPERVWL QYIDAPGLAMTRSMGDKLGAQAGVISVPEILEYTITPQDQFIIVASDGVWEYLTNEEVMN VVAPYIEKDNIDLAADKLMAEAINAWKKHSLARDDITCIVVQLKNQT >CAK73510 pep:novel supercontig:GCA_000165425.1:CT868152:503616:507033:-1 gene:GSPATT00009825001 transcript:CAK73510 MQVETFKDQLYSKLRQKNSPQNEKGLILLQSIENNLNLEQRTNPTAYIAQLINLLKSTKE GQDVIILLIYKILPSVPIVVVRKLIPALLEEIGKAQLDNLSVGVFGQILILSSKEDLNSP KMHQLFNLLLQQTISDKKKLRKQAIKTLQAFYQQKALDIQQVPEINERLRTHFKTNLQEN NECAQHSLTLLASLNNLIPYSILVDALYVIIEEIQNMDSKYYPFIFLSLEQVLQQNVGAD QAEKLIQQLNQLQPEEVNAQHAYIQCIRQCLLTLSWSSLSLAASYLPSTLSNMAELLLQN NQKLMQHCRLEMMTLIEKIGKATLSLKQDLAEDIMNLELESAGTLQQKYIATLTYLFSNR FDQANILDVFAKFFATIDDKTFEHCKDIVKEFVHNKSRWPHVYFEKTFGQLCVGVSMSNL LHTFPLKTEGVNPLDKDFDEKSNCWILYILSKYANPKLEEFLDFLFPLTNLLSLASNANP SHEQKIIQNILFHVLEIASNAKASYESQNHPKLGQFIELTLNYLKKEHVFFEKLLLMIAK LFSQLVTFPSLQNFAKQYISTLAKLVEQNNCTHTLNAIKVMSFAAPKSYIQEAFKKNIEK LLSSKDKLDDRALNNMDIVLQVVSAQQMTSDLWDYCINFVKEMINIPLIQKKIYKFLVAI IPKVHHTFLAEVYNLVLEAECEPSSRQKRFQVILQLEQTVTSKLDSYQTFIQQFLPEMVV AIRDNNIKTRILAEKLIENIGQRLLGLDLLDQFISMVFAGLAAQSNVMKADAVATISLLV DKFYPNLKGEFIDEMTKLILLLLKEQNNEIFKSIILYVKVLIKHRHTLNSQLFTQLLNVD EVSRDKNIILLKRLLMKLSKAYPSAESLIPQEHIKLYKNAIKIERQQKKKKNKRDQEREL NKQKWQEKKQKKQKDSDLESDEESEQQLETKPKQQQGGMETEAEVENNLLLKYDFKKEQF HFEDAIKQKKKVQEKEQQKQSAFQPLVEYKDGKVIVNEQKEVLGQKRRREAANSDDEERA QKKITKNDRKTKDVVHVVKESGSTFKSKQRAGGDVTVKGRPEPFAFIQLNPKALNKRFKN QASKAFEEVFSKKSGALKGVKAHK >CAK73511 pep:novel supercontig:GCA_000165425.1:CT868152:507674:508973:1 gene:GSPATT00009826001 transcript:CAK73511 MSEDIKTRAINYLNCTLGDMHEGEQLNFVCLDSTCKEIGLICPVCRSQKHAKHKVIPLKI FLADISNNVNGKQNQNSIDQLLTQIDQVRTRLLSSLKDTVQKMVLQIKLLEDQINLSHKN TRQRLLEQNQTQMNFPQIFQQILNCQYKNADQLKQDVRKIIDNVSQLQAGKIEIDFKPQR LFDQYQKASSEAIAQFNHLLTQFKSSTSKISKPIEKFTQPLQAQNSSNFTFSTVLKSPSI TITEQKFAHQTADQNSDNRFILIEPQILESCKIAIKITNLSNFIGIGIAYKNVLSLKNMK FDHQNLGHGSYMISSNAHTWSHSKKEENMAQKSFTFTNGDIIIVDVSLENKTLKFTCKNK PNDTQAILLTFDNPDNDDIHFCINMCSFGERVEILDDWE >CAK73512 pep:novel supercontig:GCA_000165425.1:CT868152:509017:511179:1 gene:GSPATT00009827001 transcript:CAK73512 MQQQKEYIPIVLIDDKIKQQRIETEFTEEKLPLIESVIKTSKTSTHLLNSRTSSTKYIIP TGIKPIVFPNILQKTKEDMIKLRKYQLAQLHFEGDQHQNAAILKTENRPKKTERDKSSQK MYTQVTKNSYNRPIITELTTLARDNYSASPRSDSFKFRSLSPEIESQLWQVNNKVNRINK AYEIQKEIHHLERFKTQWKTDVIQQNLSQKIVQVGLNTKKGLRPIFILIFLPHQNIHLRS SQTEVKSITNEVELSVFPYFVPVYEKIINEKEIHENYKEWFSYFLDILHYRSYIPSAKTF TLDSIEISALTQIPQYEKFLYIQLQGQFDFWSIMKSKLNLSGEFQVDKYPKLATKLLDLR SKEEVSRFFCKRENLLPFYFRQVQMGSQTLIPSQYYIEIENNIREPDDEMFEQSIIQMNE KEQDTYLKLLQQYIHSNKSKYSKKLKNLEENMRLRLKKIKAMRRQSIQVRLKTKHFLKPE EEEHFERKLLYEDEKSIEEIQEDAYDHILPQNTHIFKKKSKQITSVEDPFQSFSAKDNQK FKEILQLINVEKIVLEKNLSRQDVYHYLSLFKALMDSDTPQKIKDVKYPTLFISLDQLRR GVPFIALYKNKVNTKKLAEVYSRKYNYCEFMEFLDIFTTDYKVTEQELQEVEQEIIYSQQ KPNQGTSSVLQKQASVILGTENQKK >CAK73513 pep:novel supercontig:GCA_000165425.1:CT868152:511249:512912:-1 gene:GSPATT00009828001 transcript:CAK73513 MNKESNKNFVSLFDEPNKSLWQKTKPDFKLYQISSILTIKKTNSQSIKKGKFFISNEYLV EVDTAYKRHIDLNNIILEQTNQPFTFRLSRNKKQIEFTTNEQEYQLWFQLIKKYAIQRSF NEDYKLLKIQGQGNFAKVYKAIGRDNFAYAVKSFEKKSFKNLTLERDALKLEISIMRKIQ FQGIIRLYEVYETEHHIWLVTDYLEGGELFQYLRNQPQGFNEIQVALLMHNLLNSLDYLH SQGIIHRDIKPENLILRSPLNASDVYIADFGLADYYNPEGKYLFKRCGTPGYVAPEILQE KNYDYKVDVFSAGILMFIMLSGQSPFQTKSKNELVIKNYNCEIDYTINNLQQKISPEALQ LLMIMLSPNPQTRYTAKQALCHPWFIKCFAPVYQMNPPQLYMPPNMIPQFQHYPDIFARS PIMNQLLNQSISNLSLSGSSNVTPQRTQSNSLQVQNPCKIAIEEDHQDFEVIQEEICQIH EINKYSINNQRKQTPAQQNKFTQ >CAK73514 pep:novel supercontig:GCA_000165425.1:CT868152:514319:514833:-1 gene:GSPATT00009829001 transcript:CAK73514 MLNTKYIDQDNLFELIIFQGYKNLVFYWNFAKGMVTIKSEIQDLLKQNRIQNIYGVSEGL KGFSQAFSSEPITNFKYFQTSYFKFTIYEMCSGVKIILLSNISDQTDYSETLKEVYTNYL EIIKRNPFYVHGEPLDNPLFIEKITDIFEPFQKKK >CAK73515 pep:novel supercontig:GCA_000165425.1:CT868152:515200:515849:-1 gene:GSPATT00009830001 transcript:CAK73515 MKNELQAIPEINERLEELIRSTHPPKIQNVVSTVDLGTELNLVVISNGARMAEYNPKRFS AVIMRLSNPSTTALIFQSGKMVCTGAKSEDQSLIAARKYAKIINKLGFPVVTLLQASTSE SQSNQTNLLKDQQYRSVVQYEPEIFPGLIFRKITDQKKITLLIFVSGRCVITGGKKTEDL NNVFKFILPILDQFKKRDLEY >CAK73516 pep:novel supercontig:GCA_000165425.1:CT868152:515914:517269:-1 gene:GSPATT00009831001 transcript:CAK73516 MQLPPQPVGVMTYYSDQYEPQCPLCQSGEHTSQTESNFVQSSHAMTGQQIRGRVVYTSPE RIVSRKVIEGVALSPMQLRQQQAITPPRVMPITSQIINTPPIIQQKSPILVQQSPVIMQQ APIQLQQSPMAINTQSNIIQQAIYQPPIQQQYQVQPQIQQAQITQTNINTQQFNQQLQQS QLIQQELLLKQKEWAEKYYKQSEEIQQHNLAYSQLQSQYQEQLEQYEALKQAYSLTTQYQ TQNFIPSFLQTVQYKVINKDGNSQYSQEEIELYWRHQVYQLEQQMYQLWEKINQKKLKKD LPTKSEDTQKIEQLQLEVGHLEHIQRMKMNSVVILRNKLNDLMYQHDPATEATREYDLQL QQLRQKVMQLNSRLTEVQDEIQMSEAQNEAIKSGKGFKFVSVKQATSQVRQVTNSVRTNQ AQDYQAY >CAK73517 pep:novel supercontig:GCA_000165425.1:CT868152:517442:518455:1 gene:GSPATT00009832001 transcript:CAK73517 MLGQFHSKYEIDDLFRKIIEDKYELMQRTKADNPNLLLPKDFSKFITERLFMDFLLTIYD YCVELHKLEKKQAILDQQGKERNGVAPKLLSSETDKINQKLKEISEIYSKILLKKGTYNI IIKDQKFFETLIYFITQVLKEVFDKSDFKYVEEEINRIFRTNPFNLLKRKNINEKIEQKH FGARDYKVVKQDFNPDDDLSKNDLIQRILTRRGMPKSIDREMMVEKSNLKPFFIRSTRCA AINARSPLIAIMLPSVKERLFQMENERKQMTSRFTQKQRPVKDQVEFWIQDLENRQARMK SEHENTHDKSKFNNKGSQSFCQ >CAK73518 pep:novel supercontig:GCA_000165425.1:CT868152:518648:519757:-1 gene:GSPATT00009833001 transcript:CAK73518 MYVYLFVLLTIVAAEDYYKLLEVSPEASDNDIKKAFRKLSVTYHPDKNPGDKQATKRFQD INKAYEILTDPEKRMIYDFYGEEALTNPQNYNRQKGPNAQAEIHVTLEELYNGTDREFTL QKKVLCKQCKGTGSKDGTLKICKHCNGRGQRMQNVNMGIGFTVQMQTACDRCGGRGKISS GNCSNCRGNRVQQTSKTLQIEVERGMTDGQTIVFRGESEQSPDYFPGDVIFYLRQMKHPL FERRGNDLYMDMEITLKEAILGFKKRVKHLDNHYVEVESNKIIQPFEVKQIAQEGMPIHQ LPSVKGDLYIKFIVKMPAKLSEQEKEFIRKIFA >CAK73519 pep:novel supercontig:GCA_000165425.1:CT868152:519798:521482:-1 gene:GSPATT00009834001 transcript:CAK73519 MGKGKKQSRSRTPKKKNRSKSKEKQRSRSKERKQSKSKERDRKKVETGPGRSTGWGVASQ PKTGNTDDFLRDMYNNPKTSATPQTAQALIVPQSHTMQTQMQYTFVPGMNPTILKMLNDP TKVRRKIKIPPDLSFNYIGLIIGPKGVSQKKLEEETGAKILVRGRGSQKPEQPPQPDDDE DLHVLVVAETPQQAANACDRIERILLADADELQRYRQEQMKLIAQSQQTPTTAQPIASTG SVDPSDLSMTTPYGPPSKDAYVYPVPNEFVGLVIGVKGETIQQLKEKSGCKNVQVAADSA PGSQTRNVFIVGDPDCVKKCQGLLQEIIDTQRKVRTAPGAKKIEFQVHDQFVALIIGKKG VTIKAISERSGAFVAITQSPDYQVRPDHKAFVLSGTEEQLNIAIREIETLLEGAKKAYFA KTCVDPSTINIPTPLLINPPNALANYQITNNEMAPQQTMPEFRTPEEQVAYQRQLQMQTM QMQYYMMIPAQQAVVEERVITKDNRQR >CAK73520 pep:novel supercontig:GCA_000165425.1:CT868152:522159:526150:1 gene:GSPATT00009835001 transcript:CAK73520 MNTQKLPHLSSPKRPQTKAKAKSITMNLTIKDSLQEISTFRTTTQSRSNIQLSQTDRLKT YSDVSYLNFRSVTNKQSSVSSPKIPLSNIARDPQLYEFHSQANRTNIYTSIIKENVKGTF IDNPAELKIYDKTIDFSPLLQNIKIHQFKYYLQSILQQECKTIFDTKCPPNRQQAIDLLL WFDKMIQTLKTDNLRLITDLTNQLQQVYQTCIHELARQISYECKERGTLLLIIWNSFVSF IEMLIQNVAMNFDEMEAQSIDTVHRIQKTHQTFVQQLQQEICQLKQQIEQLESEKSELND KNIYLAKKNLQLTNEIKLLGSQLDEMTDNHNKVYNELIQTKLQIETHSSQQTQTTQKIKI GIQEGQSVVAHLLSMKNTMFSKLQKKTSIQFEKVEQLREDEEYFKQGLSKTDIDQLNSTF QYQNMLKLQSRAMIPINTEDKDVQTDSSFSFLFDHIEDLFNHRQSDQGPKIQISKNEITQ NYKEQLIELLQFCNSNQSESDGEIKKKFIEQMLELQEQKVNAKYYEQTLQEATQEFIELL KKKKQKIKKLKKSQTLLQNRLNSMQSESSPPKQDIPQVLVNSPGVKTPSFRNSSIFNQQD ALQEDIIESDEKSEEDSIIQNIQQYSNQNQNQQNFDFDQSNIQHLNMNDEEFKQFQDNSA EEDYLIKQVVQDNQDHNQNQENNNLSVEVKNSESNHSKDIKNSQNKNNLNTSASRKNSKS SQSKQSIEDLEQQHQKQKNLSPIKSQKNRKSLQIKSLSAIKDLDDEPKQQQLKRKHSKVV KHTQQKSSNTINTLDQSSDVNESENLSLVSSDDLSDKAKEEDIKKIEKIRSLVQQYGGAN IQKRRFTKPVAIKLSLLSDLKKEKRVKRIYSQNTDAANSLLHEVMNVKMKKQKDSIIPIS SIIKIFNTILADTAKNQEGYKIPLHVSIYDFFLKKYGFKNVAEKKIKQLLQFIFHKKNQY PKLCLISRLCYMDDEMDEAVYKLIVESIKYFHNKEIQLNKPEINLTYEQLIEYCNDFLQQ LLGQSYISQLLQQLKQTNNKSIVFENVMIQVMNLYFQKKRQCEDSLKLIFQAADLDGNNL IEFSEFKNLYKAIHNNIYDKNTALEQFISYADYIEELSKNKMITLPRFAEMAIELNLFSK EQINQYSKGFETLLQEWEEQKNFIKYRYLKAEKFPKVKFTFNLLTEQINLLKKKQKTTHD PQTLWVSYKLLQEKSQRVVLNHEARECYFDLVPHEFWIVQQGYRAIEELCI >CAK73521 pep:novel supercontig:GCA_000165425.1:CT868152:526535:528707:1 gene:GSPATT00009836001 transcript:CAK73521 MLQGFCFVSMYQPSFTLNLKVQKVILLIYYCQILTLAFPIDGWDQWNYRDGALRMMKNLL NIILIFPLVIEVKSQALIYVLIVLFFLFNLFMLSAIFWIVKFKNKLSFILTITYWYLVLV PKLFFIPQLFVFIGSMSFGKRALLYSNFEFQVFLPINILSILVLTYNCFFSIYFIRKMRL LKDNGLVQKFSQLCFLRELVVIAIIYLHFLNRIPIVNALQLILMNGFFLILLLDALHFNT YHPQIKRFALILISGCIGLLLIISINVISQNKLIPEEQLIIIQLIVATLFVFISVFYQNR KIVYQLQGDSNSHYQIQFVEWLFYTFIELNQKKKKQQNLFFYSLFIQYHQQKCASCLKKI LQHKKIASKSIKYYVLNCVLQNALKLSFGSDYELLEIYYADFLNKIKKQPLSSYVELKGF VLKHQKISHHFKSTISYLFEELEEIILNENNLVENESHNYEKNYLDQILPQVLQLIDKKI EIWSEQIRGLDTIYDLEKLIFGYSKEVVICQDTLQKYLQIDLLKFNEIQKVKSVIELRIT SIFLLIILNDFYNSLKCEQQIQEILQIENSLPNDVISNIDILHDNLCLVMVSMVKDRGFI KNTKKHQIANYFGLEVEEVESINHINLFIPQYLVEIHTQFLQSYLETAQTSLFHQYQIHN KQNWKITLVFLMIILQLHVYQKLRKHQSSSYLMRVARFYQ >CAK73522 pep:novel supercontig:GCA_000165425.1:CT868152:529075:531748:1 gene:GSPATT00009837001 transcript:CAK73522 MTKITIYENLSKIIELLYENKTSTQSFSLIANSLLKTSSQIPIMTTTQIQTSKKQKKQNF QTGRFYINTSFKLSDEIKLLCPKFRTQVYEFMQQFNKFKFVEYHTKIQLQYRTIGKKQLQ RSYFIIEILDFKKNTGSVNAVKQFKSRNVQNLEQIEKYQIKQQFVFQDQVESIPQKVDQE NKNENQYIQVSHLNDVSKFGSEKNFQQRNNFLKLQSYENDLGFLYKQENQSLSSQSSNTL RVQNLIIFKSFQFQPKMNKNLKILLFFTVISILILVSMITYNIQLIRYQLSEQIDSSYSL NAPLLFNRYFFQSYALSWTLLMNGLNIVNQSDFLINQTSFTLKHMERETFQNLSNMYPKF LEIENMGLLPNISLKLLQLQRQTVSYTEFITYIQNTLQYLFQFNLFSQEHRKKVLELDYV NAVVTLRYNIKYVFDMNKELIESLDQMYQNQIQNNNYNLKIVLIIEICILFIFQFIQIIF WRKFENQKQKMLILVGKYSEFKANEMILLHQSYKQVMQSTQLDQINWKTQNFTFLQPLNI LGEEKKAIKINVSNKDRVKAKGMLNSRVTNTTYRNVKWIFSPFLLLFCFLIGGYFFYNFL MKNLQPQQELAINFIRFSSYFDTLITSALVIKTQPQVYPGIVQNNIYTQSQMNSYRDPLK QLFHMFIDVYEVYDENLTQIYEGILYSQDIDDSKKETLLGLYEKDICKIMNQEIPFCSFE QLGENNFNEKYSQFYLQDNNRDYLKNGLAGITSSVSNFMKTNYDNEIETINYITDFSQLN KFYLTQEFNNILVEHFSSTTQSTEKVLNIILSNNEELMNQNKITIIIFFGLIGSVILIIF IFFFVWLINLHSIRFIYIKLGLSLIPKEIMADQYTISSIKQFN >CAK73523 pep:novel supercontig:GCA_000165425.1:CT868152:532887:533534:-1 gene:GSPATT00009838001 transcript:CAK73523 MENKDNLLYYFLTQCLCSLHESLQKLIKQKFVFIRWSKTNKGVSFELTILKQPSSKVFSL LSPFLHGYQFLETIQLSQNEHNHFYNLTSQLIKYKLIFKLLYNNLVFHQYRMYPIKWRFY WIHNLTGCIFNLPLYNYPSASAIVDKSSLFQTLAFLSPSVSQTIKQQQNQLFKVHQNKII NMILQNNLQKPGISLQQQPLALVYQLQEVLLLIQS >CAK73524 pep:novel supercontig:GCA_000165425.1:CT868152:534208:534814:1 gene:GSPATT00009839001 transcript:CAK73524 MQPPPPPPYGYPPAQYPPPPPPAYGQPPYPQPGYQPPPTGYPYPPTPGYPPPVGGYPQPG YPPAPGYPPTPGYPPPQPGYVPPTNYAQPYPPQSYPGQYPATAIVVPQGQQFYAGGNVQI KIRPSCYLCRGTGYYMKKGRTKQCKDCLWAMGICLKCNGTGWHKKGKVCKCKMYKIY >CAK73525 pep:novel supercontig:GCA_000165425.1:CT868152:535072:536321:1 gene:GSPATT00009840001 transcript:CAK73525 MTDIHSQQKSVQKQAYIDDLFTNDNELDEDKDIIKQYNTAKKERKTIEAHKQLLDNRVAL LKQEEIRTLKKIEETRKKALEIYYLKKKNEEKLKKREEEKEQLQKKQEQQQQIRKQQERE HKLLIEKHRSDKVQKAFIIKQQTKNNEVRKMSSQKQSLTTLKQKNQIIRETRDLNRVKER IVLEKREAIREQLGKKLEFEQKLKDGKQKEIEQIETYEMDLLQKLQNTQQMQKTAFEELE SALTMTAKEFAEKYLQPKQKEDQNKDLSNFNTDEEGGQQHQDRPHIDESDKDQSSKISIE QINEINEPNLQNDTNKDDNGDQNDNQNQDKKDSDSRQDDQNNQQSFHQEEGNQNQQNNQN EIPNVLDSPKAQEKQDDQQQEEL >CAK73526 pep:novel supercontig:GCA_000165425.1:CT868152:536501:537058:-1 gene:GSPATT00009841001 transcript:CAK73526 MNTFLSVLLLHSLFAMPALSCNQSCFCKKYKTLKLRSTFRGQYKNVLQEQKGALISNKNQ IGFAGNQFNRSPLRSELYDVNTGKGYTTSIIGKFVIIDLPQIYEINTIKFWVYDRDVTLR TFDLKVYVQNSQSLVQLVYEDTVATSIKKIKFSDTFVKQILIYDNNGSSVNQYLHILNLQ AYFEF >CAK73527 pep:novel supercontig:GCA_000165425.1:CT868152:538061:538541:-1 gene:GSPATT00009842001 transcript:CAK73527 MVVKSLAHKRIVHKRTKRFVRFESEDYPHKLRPSWRRPRGIDNRVRRRFRGNRPMPKAGY RGDKKTRYLDQTGFRKLLITNEKDLELLLTNNRSFAGELAHNLSARKRATLVRRAAELNV RLTNGKGKIRAEEKKE >CAK73528 pep:novel supercontig:GCA_000165425.1:CT868152:538974:539926:-1 gene:GSPATT00009843001 transcript:CAK73528 MDLDHYNYLLKRDIKLDISITEQMLQMLEMLIHWIFQVGEQNKLEIKTIELAAILSKLFV SKNQIHSERADLLGIVSLMIAVKFNECQTKIQINVQDCVDQCQSKYSSGEITDMEISILS LIEYDANITTITDYFDGEDVQTDLVLFVTLDSEFLYFQKYELFEAIRNFYSQDTSNLSQN TKNIISKISTKIQHLTTKDEKKTPKIKKKMIKKQGFKRSIICSSQSITL >CAK73529 pep:novel supercontig:GCA_000165425.1:CT868152:541833:542360:1 gene:GSPATT00009844001 transcript:CAK73529 MYQQFPTHCYFSQFLKVKNADKLNNNSKTINIKATTFIIISKITIQVYKTMKNIQKNMHG KIMIFWYHKIYDGDSVLLPIDPNINRGNQQNANKNCKNISIPILFGGQNNPQPLYTNYNS DMNTVKIQSKYRKVYNGIEAKGLFSSLILDASFICQI >CAK73530 pep:novel supercontig:GCA_000165425.1:CT868152:542398:544141:-1 gene:GSPATT00009845001 transcript:CAK73530 MEEINTQKQDDSPAPSGSFIVNVEKIQRDSVTEDKIQPEEDFELQALFNKLNSTIDEAPF HETKCQKQKQDLSYKAEFIMTHFIKFYIYQLMFYIFGPFVYLFLLNKPALMFNLGFWSFR QDVVFQYIQWVGHIFCLLMYFYFKSLSSLEIGLLWFSLLTRSIIVAAKFSTLNEERVELY ESTRLSKQIIYFDVVLFDWAIQSKKIKNLEIAKAAKRHDFDTQFFCFNFLVEPLKETQYA LLDDKETQLNLPHEGAYGGINLISYFIDSYQSLNTRKNQLTVALLISFIVVITPKVLAYE RYLQNPVEIFLNIVCGVFQILQFNCIFLYLLISLEDMKRKIFLLDQVYYLISTKRVRCNE FKLTPTIDINCPRTIEAWSMLRSIAFDYGASYHIRNQIYHTILILCCVASIIFSFQIILD YFQLDYYYLITLGIIFLIFLFFISLYLLSAAKINQFFEDFKTQIENLKFICQDVKRMRKQ YFEENNSEPQNFVHKSFVNHLKAQHDNDNLIIYQRIDNLIDSLDNAQRHIEYDSRNYPLK LYGIRITYEILQNLVVGLFTLISFVVQQRFSS >CAK73531 pep:novel supercontig:GCA_000165425.1:CT868152:544185:546025:-1 gene:GSPATT00009846001 transcript:CAK73531 MIKLGHMLVQEQARLRSEMQVASKQKKFRNATASTFYPNISKTSALLQASPTNSTNFISY NCSPTQSPKYYSFSPDPDQITGLKKNNVQISYKNKLETVQLAPNKPPLKRGNKVTNLRNI NTNNTSQPHSVKNEEPKEKLLEQTHFLCAIMQQQHSTTGKIVANFLNGNLEKNSYESIIQ MEKSAQALQQSAKEMSKALYASDDEGEFENLQNKFIDQIRFSKYRKPTQEKNIIFKRNYG QKHEMMIDQVAQQRQKTEENQDFDVFIPKSQMDQFFKIIQDKIDGRMKEQTEQLIKEEQK LVNGMITNVKKFREDIREVKYTMNHIRKNKKALQSQQQYPQSTLRTLRTMKSQSSARLQS DQATKLNEDAKADLKLRVMKALRNFMDKLKRFNITLEDVVNNQVFPTQAYERPNSVEFFR QVKADNIREIENILRDCRFHVYDRDNQQKTALHHAVSINSIEAIKLLVENGADLDARDMM GRTPLHLAAKNNNCDTVRVLLVYQANPSIKTVAGKTAQDLTEMPVIKALVKNAKKLHFMM NLQPNNKKKDFWVEKAVVYFQEDDPEKILKLQLYKNQAKLFLQ >CAK73532 pep:novel supercontig:GCA_000165425.1:CT868152:546562:554324:1 gene:GSPATT00009847001 transcript:CAK73532 MLLASFSTAQIAILASHNFIFQSHSFFSLLSLPNNCSFALMFNFHPWISSNCLKYLSIIP LQQQYSEFLNHNFKKLLQICSLYMQFIFNRVFSLLKVINCLKSQFNNCYKIISHKQFKKE CEQQEIYQLSNQNKKFLNILQPGGIIIQIDNMLIQMTQTESILRGGGCGSWKANYTNSGI SMLNNQNLQNFFKKFNFYVEQICQKAVVAADQSESQEIMIALQWFKFQEENIYSLNKNAQ SVVKSYDLIFGGIQKLLNSCLTYIRTDSFKCLSILQTTASLSKVIFSFHVINEERFMKCD LQKNILDLSDEIRQHMEIEKNDLIQNQMELYLFLIKTSFQIAPNNSNEREEILKGCLSGI ISSISQMKPNEELLQSLFQGACHLYKLYVINNNKKQYEVYFQIDMLQWEIISYFKNDKIE IYDKIVKNSNDWKYHYLWVQMIGNILQYNPLLTKLKLSQLINTENIGVKSDQIWKEYQRK GLLIQMNHCNDQALILLNQFQDKQLSQIDRTILKTCFKEWDVFLLLKDFLINEKHQNISF TFGSYLKSKLEIQGQELEQNVNIVAINNIKKFLGFYVSNKLLILIQINTEKLEEAIKLCR NFTQDKQYDENLKLKILSQQIQKIIQNLDIYLQNTQVLLKIMRLNQSKQKNGEEVKNFEK FNKLLRLQQILQLYIILDQDQNQDQGSQTQHQKIVIANNDIEEWRKLIFDELNNIDFKED KFSSLQLTSNLQNSKKKIRREVENLIENYQKEIQKLELSYIQNDLLQYFQILVNNLNLNY ENKYYEFQNHFSEYYKYLQGIMIIMSKIETVPSRVDLKKVKQALSDLQLLKFLEKLRQSN LKLKLNLVASKKSFSFLLEKAKLEELRKLSEIINLNRFLFNIIEEFPERIMTKRTNFDRL IYTELQNIEITGDDFEFRLSKQKGIIKSLLFKQSLNEKQFEQVGNDLEMFEKEFGDQFTK QSFSLQKIQKIFQDLEVDKSKEKMEKKKLNSLEIKLEKEKYEMFLSQLKEIEDFRKFLQI ENWNKLKQQTETVIQTLEKFECPNKTIFLKLINLELNQLQTLISQQQINHEEQQVQISPI RHERVDVIDNDKCFQIELIQQIHLEAGKSENVVDTTQNGQKFNFSSNESYRVYLSFITKV VKIKQLIMKEEMVLLSNLLEEVTFFSNTFCQIEKYEKTIQTEFQDKFQDGIKEFIKKLEQ LQFSYVNLEQKKDENFHSYMESLEIKLFNREKDQVATQIKINIFDFLDCLEFYLLEKLAQ TRCFSNFKIEQDFLIQQIKKLYCEESSEEFEKEEESNLLDNLVQRFQDFKNNEQWKIKQG LVFTIIQISTNCFSDSITSFCQKVLIQLWVQEKDQRIRNFLKNQQLISMQMQILQKDWST QHDRIAKKMQEMLRRIDELQEQISHEANLNKRDLYLKELDETTEQLDQQIENISEMGQQL RLITDFVNHIRKGLIRIEGKINEMKEQLNTIGNDIKFLRGKSIEQLFEIRKWKVLKEAAY KNAKSIYVPLQTQEIGKEEWSILMNFENLNDPGAEVNEFLLQELPEEKKTVLLIHGQAGS GKSTTAKKIEEFIWKLHNNNQKIRNQVLIPVYISLPSLKNPVFQAVDEALRQDEYGFDWL QLKECKELLKKKEFKFLLIMDSYDEMKLEHIQKNLYLSNKVNSIWSNPLVIFTTRSDIFT SRNYTTWFQPEKKEELKEVQLLKFNEAQMKQYLKKYTIQTIKMKIFEVYEQYIEISNRGV VDIKKFDFCWEKFISQFQISEVTSENLLNEKQIESILQFLQDDELIALKSIEALRSLRIN LQKLWSFERYEQIIRKINLNQLVETPYMMEIVVQVLPDMVTKATEINNVKQNFLKNFPNM LKVFQDSQFFIQMYKMHQKQLINKYNFEIGKENYNTNQEDTFTDLENLEIINYQEITQKI WNLLEENLVTIQFHTTKEVDDLKTKLAKINIPSIFVLSNNIFEKIADQEETIISVVCDAL QQYNLTSYDFYEEFINQYHLTQIEKLKNLGKSINISSFVHDLNKFSIELAKNMSFRQVTQ IQYQQKGLLYFEERFEEEWLNQFFNDYSKNGNYKKDVRSCSLIRQKGANFSFVHKSIQEF LIASDLLEVLVLSQNLNTQIFSRILQILVKEKTQSVNTIKCIESWMQQSKCGTFKTIMQQ TLNILQIIRRHELNKIDYSTIIYKETRKYMISKIQKKEQIIEFLKFLVYLTAFDTSYIIC GSNSLNLLVEMQVDLTSHNFEKIRIKNTSLIGGNFAKCNLSQSEFDNVNINGINLNGAQM FHCNWKNLQSNDLHSLIGHSSAVASVNFSPDGTILASGSYDNSIRLWDVKTGQQKAKLDG HSNYVMSVNFSPDSTTLASGSYDNSIRLWDVKTGQQKAKLDGHSNYVMSVNFSPDGTTLA SGSYDKSIHLWDVKTGQQKAKFDGHSNTVYSVNFSPDGTTLASGSYDNSIRLWDVKTGQQ KPILEGHSRCVRSVCFSPDAKMNQSFYGMFRQDNKKPNQLAIKIEFFQSTSLLMALNQFQ VVKITLFVYGMLRQDQRWPNQMVIQVLLRQSISLLMVLHQHQVVKMNIF >CAK81136 pep:novel supercontig:GCA_000165425.1:CT868394:273:782:-1 gene:GSPATT00039443001 transcript:CAK81136 MAFRRYLQQLNSVQKDTGNFIICCQILQTSLQKTANLTFFFLFLSYQSSALCTQTCIDRI TCYNVASHVLCLQYVSFMGCQPLYCCYSCAVIFIFIFEVLTHIIILKVYNLKYSPLDECA HLYYVLIHTRLVFVQFKMLDHKCSCVTNYAFDLDDYKACNFIDQQRLQS >CAK83121 pep:novel supercontig:GCA_000165425.1:CT868461:2:2398:1 gene:GSPATT00039534001 transcript:CAK83121 YIYPNQNYVLLQYDCIMILIVMIVCQNVEIVFLQVQNNVKMGIIILMMDVINANTNVMKC AKNAKKGNVQNIIRDIIQMGNNAQRNVEMFKYQQIKIRNRCLNNNIKIGDELCDDQNYIE RDGCTFCEIDPFYKCEEDLNLLSLCYRCQIIVKFCKRCKSGYSLNIIHAIHVQISVKSAS ILPTIARCAQQMVAQDVTLYLGFIWINIQNHVLRNVETILLLEMNNAMMEIEQIKMDAIL DARSIKNLFAKRTSAMFHLRKKLVQIIQTQPLQDLMIDDICEKLKIRIELFKSHDFKCEI KRKDNQNQTQYATCEIKFNFFKTIVESNLIHIVVPLKDNLTRLLEEETREIVISPRRFTY YNQEQKAQAQNIVKASSTFTFLLQFIGPLTILLGGFVLFLVDFRCNDLDQQPFILQMQIF LRMFQLDQVFNIPNFFTLNSPQDPYYFEAPAKFTEKDVNPFQLFCEFILLAILGYIFSTC IMSAIQIRQKSNRIITNKMKIFSVIEINKSVETKQPQNQKSKQPPYLILFYIQNYYGLQR ILELYYFKLLDQFFWIFVWLVLFNQNIQKIQTVVGVLFIYIVFQIYSFVCSLHQILYETQ RFKNNYSSLYEDINTKQSLGRNYCYVNLIRKTFLYFLQFTFMKYLCCKLPLIFYQNPFEN RRLLIFSLVNDFCIFMIICITVLLAIDDVSNIFSFDEKYFIGWIILFFVGLSIFVQAIFM VQQLFQGMQMRIKNLKNFLCYQPNQQN >CAK80497 pep:novel supercontig:GCA_000165425.1:CT868367:3:2239:1 gene:GSPATT00039393001 transcript:CAK80497 NYILQCPKHQQLFFLLIYLLTIENILRNSNKCYQILTHLLYLYIFLRMMIQFYSLFALFE FILFVLWQPLNHTLLHLEKQPLEKNTTSPNTPPQKQANPIAHLSYGDQVQQDLLEEKSPH PEKNANVALDEDLLNCLPYGLALIDKNYHVLHHNKKLLHYLMVQSTDQIVNSLDQLLSNA ELNSFKTHLHAKHKSPIVPLRKLKQNSANQCQVNSGRTLNQTNWLSDRLKVNLKYRESFQ SSIHDEVIYSYVQFVMNEFQSNIHRRMSIGQDSQSKTSDTTHMFQFHVVQDHKSKKKHYQ IKVYEVKLQSKLKDPVYLFVIENITNKEELKELTFRFKFQQALLNSFSHELRTPLNCSLP LLEVLSKKIDEELYENLLLPAITSCKRLLLQINDILDYGQIECQDFKLNLGKFCISEILN DLKILFQSECRQKQIELILNFNGSLQLCSDKLRITQILVNLLSNSVKFTKQGGRIVLSVK KKENQYVFSVWDNGEGINSEQILNVNNKLLQQNGSIKLGLGLRVSQGIVKYLSGDGELQI KSEKGFYTSVSFSIDEHSQNEIKEIEPSLKDVEEIASNSKDSVQKVYVSSKKFLNQQCKC PQILIVDDVPFNHIALFALLQAFGWKADSAYDGDSAIRKVKQKLHNTCCKIFRLIFMDIE MPGKNGFLVSSEIQEILRKERQKTVIVMCSAYNGQENAQKAHESGMHEIVSKPISLESLQ TLIGKYFC >CAK80498 pep:novel supercontig:GCA_000165425.1:CT868367:2356:4269:1 gene:GSPATT00039394001 transcript:CAK80498 MFITLEQPLLSKSQGETNKLGKNVQMQTFNYIQQDENGSQLEDVPIMTRKFLRYTNGIEA YLNTFPSFNPDHWAIFTWRLFICFMVIIYFYLIPILMFFGYEIISENLHIENFAVFLYIV MSFLFIDIFVTLNTGYYDKGQMVLDKHSIFVRYITQEFLFDIIAILALILQVSFRDHYGF LIWIPYLFYFKYYYVTLVDTQVEQLLQVQRKLRAFYQILKLILTILFLVNLFACLFYAAG DYWVNQRQDYGSWLISSGLQNDIYSLPATIKYEYSVYWALTTMLTVGYGDVTGKNPLEIF VSIITMIFACVIFAMIVSAFQNVFSEITQHNMQFDKKMTDINRFMKDKNIDQETQQKVRR FFSFMFSEKVRDFNEQIEIINQLGPQLKDEVIMQSYGLIFKRTFWSSQFSLDFQKKIAYL ISEQMYCDGEIVFKQNLCSLNGNNDDDCLYCVSIGHIQLFLQFEEQDMSFYEAEQDSMFG ELGFLTGNSRTLSAKSTSISQLYKLKRMEFIQLLQQFPLDFQYFCQLRDQILFGQYNLIN LSCFCCQSSSHLANDCPKSHYYPNKIFLISRIMKNQQERQPFKRNNKDLEGLRISQIRFV ICI >CAK80828 pep:novel supercontig:GCA_000165425.1:CT868381:2:3429:-1 gene:GSPATT00039419001 transcript:CAK80828 MGLFKTFYLPPHHKLDLKFRIFFSSQTDVQISTTLDDYYFQTHDTCAYYELCDTILFSCD KIYDYSIRHQSSTLQVQVFLVDYPNYLATNIFWGITDVFLSVYQCPPQCEVCINSITCLN LTQFSVYFWEQDISKIEGWQKRNLPFRGTHKCGNFQFYGPFHEFDVIKFNSIILQDHTQI MIRFKLIIVQLQRRRSLEILVNNKQQNHNYHFALDSIDKMFICGQSQVIADIQAQYQHYV PNLSIEISIPDMIDWGAYFGIRDFEIFTDAQKVTELCNDHNINPFDGCFNFQFDCSEGCS NCVQGNCLECYSNWQHDLYNNDCIPKCGDGIIVGIEECDDSNLIPNDGCHECQFSCPLNC LQCQFGSCLNCNSLFQLVNNNCIPDILNYKNKQQLECIECENTHCMKCMPEWNLFNFQCV QCEADDCYFYEAICGDGKVEDLEECDDGNTILFDGCYECQYQCEYSCIECVEGVCLKFSD LKDIKIIECDFGFHLIDQNCHSNCGDQIVVSDEKCDDGNNEPFDGCFQCQYSCSLYCQDC YEGYCLACDIGYQLQNNRCYELCGDGIKLTNEECDDGNILSLDGCSEKCQIEDLWKCSTQ DQERSLCFQFDNPALQLQYINMTFTKQYILMTSSQLVKQRDSNVNLTSNLQTSIVNVNQF DYIITFESQVEPTYEELKDIQYLFTIELLNQLEVDLIFNVSFNISLVNSYDLEIMNKELK LKLKNPIVLNEQQKQASQKASKFNLMIFIILGISSFIILLSGNPSDCFEVLDTLQYQSYL RYINVAFPENISIYFESSELLSIQPFLITFNLLEVFQSAFDQEILDSFGKFYFYSINADL LINFQSQFCQIAFLTLLTILYYGYVKINYKSCISQRQIIDLRREHSMFISHIVLILYQIH QFILRLGKMFSLSGLKLWILANSWDILFKIILYLYSKPQNSLRTQLSIPLCIGIMITLFA IAISYTINNANNKKLRDLKMYRHHGLIVIKKFLFLFFLIKAQDNSIIQCIAMALINTLYL GLIIITNLLKDNIDKIVIFWFEMPIIIFTFSSVSFHPDFAKHLTNNQQILIGFAQIMILS FGLLSPLIKYGYVIIEKAQSTIIIKCQRIPCLKNTKKGHRKG >CAK73939 pep:novel supercontig:GCA_000165425.1:CT868173:2:1172:-1 gene:GSPATT00038957001 transcript:CAK73939 MNAPHPEKMIEKFNQQMDVLLKHEELLAKQRKINEQKLNNIFDRNLQIETKDRKLQEQIL SQRYQNEQLKIQQEKQKQLHDVQKQKKEKKTAQNEVWSSEQKQHEKEIQRRIFEIQNKLK YEEFKKKTDDLINQLDYNLRKVNIRYLEKQEKKERQVNEYMSLKQQTLNSSMQINESHNQ NVYSNYQLQMKRIQEICQQKLKNYESKINKIYKQKYEKMDQLTQKVQQSQEHQELIKERS NQIFQTKIRKLKEKMDYFQDKINFIEKKRLSDLQNNYNKELEHHQQMNQVRAKSENIFRN KSLNLLNKQLLKEQTSKLVQHQNQLELEQKLLRLNQKQLTQQSQVMKADQFKQSYLQQLE QRISQR >CAK73940 pep:novel supercontig:GCA_000165425.1:CT868173:1282:1977:-1 gene:GSPATT00038958001 transcript:CAK73940 MYNFDLHLNINNEQEPRSRRALQKSKKVKSISIQRNLNQMSSDFRCITDVSPSNLHQIPI LGGSVTERKILSFRYGPSVVHRQIYSENQFEQGQQRKFVRQKLPSIIQGYSAHELSKNRM SVEPMMSPLKNKENKKSITEVEYSESQQLNEHLSIKKLNDLLGHKKIRPEKVKQDFPSVP EILDFSKQMQQIYKKNNINPLKLRSPKPMETQNKNTQHIDILINLLKRRYL >CAK73941 pep:novel supercontig:GCA_000165425.1:CT868173:2093:3104:-1 gene:GSPATT00038959001 transcript:CAK73941 MCYFIKNTQQPSNQQINNHSINKSLKFDDKSNQSTVKLTRVSEIPPPLVNPITLISESLT NDEAKYQINHSNHFKDDEQQVLVRLEEGGYAAYIYDIQSEQYRIETINNYTLLLQVQHLV IGGVDRDKSRFKAIASVYEFNHTTLQLSLHSEMVLPRSMTSACQVGNFLFVVGGSSTNDE NTSMAKAEKLDLNTKRWQTIEDPYFKCSGCALVAIDHNTLFKIGGKCDIFTPCNSIESYD IQKNSWTKVEFKFLSNGYLRLPFNSCAIKTSYDQILILGGSVHDVKSNETQVFDLNQQQD SQFEDEDTVEVERVAKFD >CAK73942 pep:novel supercontig:GCA_000165425.1:CT868173:3238:4022:-1 gene:GSPATT00038960001 transcript:CAK73942 MNRSQSPLNDSRSHSASKRYVSPHERQNTQENEQNHKKLPPTQQVKSKIINVDQSDIGSI LGEVNINNTSKMSNMTQFANFMYVPCPTHPEFFITNLCQEQNCIEPLCPECINEHLEMHQ KKGRVPKLENIQRVRKDNTYKIDEISKSLQFKLLDCKKYFQEQPSIIYNNNLGQLRSIHE QISNIIDDYFETLYKDLKDQNQEEYLKQLNQIEQDIQQQQHKLSKLQEDLHNDNYVRAVI QMM >CAK73943 pep:novel supercontig:GCA_000165425.1:CT868173:4043:5079:1 gene:GSPATT00038961001 transcript:CAK73943 MLNLIFILVSGIYSQEPELCYKLDGHLCVNNQIADTTFVPLDSTIGLWRFDKNQMDDSQL LNHLLQPCELGPGRGAVGNSAYYSGEQYSIIPHHDQYHDIVTISMWIYPLSSQQSFTTIL RKALKSTEYTPTILLWPFHDEANVGGGQIEENLRSKGSVTGRKWNHLAIVLQGLSIDLYI NGIHDNVLSLKARPLKNDGPFYVGGDPWFNGPLLYLDDLTFYNIPFLQLEISKLVNFPGQ VNNRLFYLGCDGCNYHQSLSSCKQGWHLCSLSELTSGIYMHARQNGWLRLTKDFWSRVDE IDQELAKNYLDPQKTKAAICCSDSFY >CAK73944 pep:novel supercontig:GCA_000165425.1:CT868173:5137:5844:1 gene:GSPATT00038962001 transcript:CAK73944 MQNTNLISLKSNTPTCITVSIEINPNKYKKKCILYIIVYQQQRKISTHQILQPFPNEYTI RQLKHQSMYTLKIRLEHGQENLLQTLHCNTKSDANVVIASVQQHSHLIRDPVSSNSEQQL VDVIAAENEGINIFENRQEIKSMIQKARNNSSAKGFIYSEYKVNKPPELKQERLKRIWMI SKGQEFFDEVYGNIDPGFQFLKNDWFMGPFYSYDPNEELLRKNQENRDLQINQSL >CAK73945 pep:novel supercontig:GCA_000165425.1:CT868173:5875:6964:1 gene:GSPATT00038963001 transcript:CAK73945 MEAFFDFSYFEDLRKICSQDTYREKLRLFLFNPYMEMKEHQETVDLFIEYAELSQEYGIT FEHMINHNIGIKEPQFYHYFFNKLKDTNYRKALQCIQMAKQFCYSNFEQIDSMNKELENI LLFQFESYYYSQNYSKKQIEEKLKQFELKFYQSKNKRIQIHIDEQFRKLDLKAIIHEIES FKENKCNSNASTQIPISQSYHHLNPEESDFNCQLESKEFKLLKPKFQNNRSNLQIITQSP NFSNDIALSEEINKLTPISSSSTSSRYIFKINNNYNIKSTQQQKLIIPIPIINQQISPLK SFSRMKYKSIQKLRQLIFEDNAQSSVKEPLKFESPQ >CAK73946 pep:novel supercontig:GCA_000165425.1:CT868173:7009:8176:1 gene:GSPATT00038964001 transcript:CAK73946 MYCFFKTTTTTKRSFLELQPMVSQLQQHEVLAIQYAEHLRNQIMFGNFQLIPTYIQTFFN NLRPILNRQSFFHPQFEYQPIVSNSQLKSPQNSQEAFEVDYLMLLYTFCCESLCHSFEQI KNIRQAIIEDRLPNPNDVAYILEKLKAAYALIKHAQVNSNSLLPNLRNQRPQEFKEFTEK FPFRFNTYIIVMTNLIYFEKLRETNNYQNLIRRQNLCGTMVNMLIQFGNLYDDRLGQYLY YLSFYLKCLGYKCIIDRYEYQIQQNDYAEKSYTVMSQEILEISSEIKNICQIILVTRDLN EDYMDVFKIQAERWIQFSDTQVRVYSGTKRNQQIIYTNDSILLNSGHFNCLD >CAK73947 pep:novel supercontig:GCA_000165425.1:CT868173:8247:9159:1 gene:GSPATT00038965001 transcript:CAK73947 MDIQLRYQNDQTVFNGVESYDDLQQEIQLKYPLLINIELTYQDEEGDVIQVSNTSDIIAI TDLSKVILQMDAQIDYVKLGELERLEREEDQRQRLLQDRRNLLQYEIKKEMENYEIFNLE KSANESKYNEEIEELMDRCKKLKDTEREPIIDFKIIFQNSNILGLIREKESNLLLMEDKT GFDTKLQSIQREVDDAFGNLLQQRILDHQAKHNNWIEKKCQINKIYQELQILETEKQEQL ERLDMILKRSQENMAKMKAQLNQPPSNDDYQFDSFMF >CAK73948 pep:novel supercontig:GCA_000165425.1:CT868173:9283:10710:1 gene:GSPATT00038966001 transcript:CAK73948 MRSSALVICILVLGSALSAELDLGTSLLSMDLSDVALLDTTSMSCSTPQNEFERVSAQMA AWADIVQHKDSLHRDIDRLETIKELIQKRKFKSLEKQLNKLELPKTESKIGEPILAEFRQ KLQGLSNPETADECEATLLKLCIYLLKQFNSCRQQCQSSPVTVIKIKGKIKDLQVVQQGC GQPAPCEDEPGVPDEPVPEVPEVPEEKGPEEKEVPPEVEPQPPTPPGEEEKPEIPDEKGP EEKDIPPEVEPLPPAPPGEEDKPEIPDEKGPEEKEVPPEVEPLPPAPPGEEGKPEIPDEK GPEEQDVPPEVEPQPPAPPGEEQKPEIPDEKGPEEKHEEGEPPVEPPVEPSPEESPEEEG EIPDEPPVNPNHEESPEESTEEWVEEIEEEFEEFENPPIVAPPIEPPSAVEKACLNSPLH QSINNWKVTIQMALSLSNILGSWKII >CAK86548 pep:novel supercontig:GCA_000165425.1:CT868584:554:844:-1 gene:GSPATT00039774001 transcript:CAK86548 MQQFKLLAQQFRIITFKYLFQYAFNIDCIKCIFTSLFGQILTLFYIKIKYLEALFGNSFN LSTHIDGIVGHQESIESYFHEIFDKAFTAIHIFINA >CAK75744 pep:novel supercontig:GCA_000165425.1:CT868214:1612:8555:1 gene:GSPATT00039082001 transcript:CAK75744 MLFQQFGVAVQDIIIIMVNVWLVHLIAQVVQVLLHVANVSQVISNILLLTNVLFVKLDAK LVVQTFLIHKNPAQFAFLSFTNLVQIALLALIITKKFVQLAHLEVYVKVVWMAIYLLDLL AQLVMLLAKLVKMALVLVHHVMLANTFQIHSVFFCQSPCSECVTNQTNCTSCIDSNKTAV NYQCVCKDGYYKDGSNQCQSCTPPGSFCQYDATHCIGCIFPFKLSSIQYYCECSPGYIQV DSQTCQQCISPCKTCDQNQKYCLSCVDINQVVNNLHQCVCKIGWIVNSNGITCIQCQLPC LECIQTITKCITCQDQLHQQPDTCECEPGWIQDSNYLSIPCLQPCRTCQSYTYKCLTCLD VNHELNSQSQCVCKSNYYSDTLTTCVKCAEHCKECDQNGCISCLIVNQLLDQNNNCVCNP DFVIFGDHCVLSICGDEKVSEAEECDDGNLIPYDGCHECKLQCQEQCGHCIKGICYSCID IGWTLNQNNICITQCGDGITIDQYEECDDGNDIPYDGCYQCQFQCSEGCIQCQSNQCKKC DHMHLLDSQTGTCFKQNNDDQQIDFSILLLEQITKLRCGENYIIIDNICVDKCGNGFRDY FFEECYDGNFYGGDGCSSFCQIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADKRQNYLN FLLIGELFYAYQQNLSLILQIILI >CAK85064 pep:novel supercontig:GCA_000165425.1:CT868534:2:1081:-1 gene:GSPATT00039715001 transcript:CAK85064 MLDLQSINSFPCPDICGDGITSGLEECDDGNNIQFDGCYDCKLDCQVQCTKCIRGLCYEC LTYGWIINIDTLQCIENCGDSIVIGSEECDDGYNLDENDNCFQCKRLCRNDCKTCSSNGN TCLECKVVGFKPQSYFCVNICGDGYLAVDPFGRNTEECDDFNLIDNDGCSSIFCLTCLNG KCLQCIDHYYLDTKSNKCLELCNDNIKVGNEACEDMNTLLYDGCYNCQLSCQPSCLNCQV NGCLQCQVGFQLIQNKCHNICGDELVVTGEDCDDGNINPFDGCHFCQFHCGPNCQLCVSG GCLRCQLGLKLFNGVCLIQQSENIQIIQQDNLSQQNYMSQSYIKKCRQQIND >CAK79301 pep:novel supercontig:GCA_000165425.1:CT868326:89:319:-1 gene:GSPATT00039319001 transcript:CAK79301 MDPSRHIDLIEFPDVLRNKGFDIGAPLGENLAFILECFGELEVDNRIVQKIKEEKDAKKK DANLQEGWVMMPPKPC >CAK79302 pep:novel supercontig:GCA_000165425.1:CT868326:452:907:-1 gene:GSPATT00039320001 transcript:CAK79302 MKCHIVGQRLYLQQYCFDRDQEIKQKIDDYGQEDLAKTLTQQVVTNMINGWLKDYQVFKP SDLFLEYPDSFKDLECITIKPIQVLLEALEFFGLPKGYMNGEGHWKDWAKKKEEEQKALS LKRPKRQQKKTNKKAKKEDKDEDIFKPPALF >CAK79303 pep:novel supercontig:GCA_000165425.1:CT868326:919:2002:-1 gene:GSPATT00039321001 transcript:CAK79303 MSFSEQQGQELQIRKADLDDYDEIMQLMQEEGEEDLQQLYAYPKILTLFERSYLSVTEGA VFDDCPQGVTGQVDFKHENLWEQWIHDGWDIGFHVSSFNCLWMTFFFLDLAKKRFQLTED QQLQITKQIFQKVYDYLNVVNGIFFLRRSEAIDATQQELDIALENLFEILPKRQDFKLKF MQGVNQNCEIYYSASSMVTELLEIRMAREEDHDDLAAIFNRQSDVHTEEFGEFFIADLIA TQNQTRRQARNYRSDGKAIVGQVGDKAVGLMSISSDIDYRLLGQCFDLEVFDNLYKSEYM EAIRNRLDQLALEETNQQADHYFTRSTLN >CAK79304 pep:novel supercontig:GCA_000165425.1:CT868326:2018:3222:-1 gene:GSPATT00039322001 transcript:CAK79304 MITWIKDSHDLFDYENTNRIAQSLTINSSIKLYRDKSSGKIQSTQQGKTLIRIENRQNCF WIEPRVCICMQELASQKTMTIMFGQLQKSISQQENGVKLQYNDVIRVGKVEIKLKELHFD VSEKKLDERETILSQSVDDQRCRICLLGSESIDDPKIEPCNCSGTMALIHLKCLQHWIVT KYNMESSNAIVFLWDLMKCELCRQQFQTENYNSMVKQLIWWNQARVLEMKKPLLKSKERL TYILNLEKLEQFKIGRANDNHIRLCDISVSRFHCKLTLHNKEFYIQDNNSKFGTLLKLKQ SMPLLKEFQNVQVQVGRTLFEFENVSNGYQ >CAK79305 pep:novel supercontig:GCA_000165425.1:CT868326:3284:3629:1 gene:GSPATT00039323001 transcript:CAK79305 MSTKRTTNTPPQLIGGAPFYLGPHHPPLPAAKTLHYPLPNDRHLKPKLLNIHIEQSAPMI VFSDLGVGIEDMGWGGWKAEEGELDPWDEALLNDDVKKLEELDKQAS >CAK79306 pep:novel supercontig:GCA_000165425.1:CT868326:3809:4430:1 gene:GSPATT00039324001 transcript:CAK79306 MNIQETKNIPEEVAKGLGQLAKDPFTQQNFDKLSKCVFMNLAGEENNTKILDQLNSEPLT NELFNLILLFTVQCIKLQLMEIEISTNLYDYGFDQTIVDGYIKKFTQFKQFVNDPDNAII AANFESKIGFNYLVDVDWQQEIVVSSKYANQSVLGNIQNLIAHQINRQQRQGYCVQINNQ LGIMVKNIESSSDLKHLK >CAK79307 pep:novel supercontig:GCA_000165425.1:CT868326:4448:5202:-1 gene:GSPATT00039325001 transcript:CAK79307 FTKQINLELETLVSCNHSNIIRCYGAFLEGAQVAIALEYMNLGTLQDVIKKSGKIPEGML GLIAYQLLKGLDYLHRTKKIIHRDIKPSNLLINSQGEVKISDFGVSGQLLNTQDQRCTWV GTVTYMSPERFLCEPYSSNTDVWSLGLSLLECAWGVFPYPHPGTNDTTHSLGFWEIKEYI VSRPAPPSPPDFSQIGADFIASCLQKDPRQRRSAAELLEHPFIKQFEDVSLQYLEGWLNI NQ >CAK83937 pep:novel supercontig:GCA_000165425.1:CT868487:835:1056:1 gene:GSPATT00039569001 transcript:CAK83937 MLLKQFQVNRYYVVIHQKFEIHKLIIGLKIEIIVVEDAIIKNELVKYRFLRRDITLQMHR QLVC >CAK94872 pep:novel supercontig:GCA_000165425.1:CT868680:2331:2896:1 gene:GSPATT00027199001 transcript:CAK94872 MGYGKQSPEHYTIILVVGDTSVGKTSLIIRYVHDIFEEADIRKKTLNQTSLLKNDTLKEI FIENQCIHLQIHDMSGPEKYIFIDRICRKAQGILIVYDITNRDSFNSISLWITQIDRQEQ LDNFSIASLDVIRILIGNKCDQNDNRAVTFEEGYLLGKQKSQINSLIVWDPIF >CAK94873 pep:novel supercontig:GCA_000165425.1:CT868680:3222:4313:-1 gene:GSPATT00027200001 transcript:CAK94873 MSYNILADSLLQDNEKQLKQYDWKSRWPLIFSQIKKYKPDILCLQELDCDENDLSQLLIQ DQYEKLFLKRSQENQKDGCALFYLKQKYKLIKSYNLHLKQEHLFCNSKTRMDKPNICLIA VLQGFNDQNPLIVANSHLIFNKNRGDLKLSQIQLIMITLQSLQLKYQNSRIVWCGDFNLT PNSALYSYISQGQQQFNKLNPKRISGQHSISYHPTDYMQDRLNIQKKCGEFNIQYEQQDL DYDYDLYVQALRCQIDNDTFSFHQAELNPYPIVETPIQFRSVYADLQRAKSKDLHDFYAK WSTYEPLITTMSSSQVGCVDYIWINKLQVSQVLQMPKIEYLIENPIVNYEEGSDHLPLVC DLY >CAK94874 pep:novel supercontig:GCA_000165425.1:CT868680:4464:5140:1 gene:GSPATT00027201001 transcript:CAK94874 MEMDKEPYDYIFKIIIIGNGSCGKTSILYHYLNGKQPKNVAQTVGVEFSSKMISIKGKSI KLQLWDTAGQERYRSIARTYYRGALGAICVFDVTNAESFQNMQQWIKDARDFARPDICIM ACGNKIDLIEQRRTTEGQVQKLVKEYSIDSYFETSAVTGEQIENMFLSLSGKLVQRIDNG LIEKNDLKPILLATHSSKEEAREQTCSC >CAK94875 pep:novel supercontig:GCA_000165425.1:CT868680:5503:6474:1 gene:GSPATT00027202001 transcript:CAK94875 MNVDDIKNKLKELNKKQQESLSKRKTLIKEIKSMPFQQFAFNMIIEGIIIKIRNLRMKMK RQLQNTNVLKTFVINLEGAKEERAEKQKQLDQKVPIAQKEKDRRIVKSLFGNHLQRAKQD LDGEKQKLDKQIEINKRLEDKDRQDLMAFKEDQDKRKEQLVTERKQLERELAADEFRRQQ LLLELQLKTMRDFFITKTAPYLLWQPVKTNEGMNPLKEYSNERFNEMERDLKEKLQRAYQ QFLDFQTQKIKEQPVEQEHESSQSESDESQEKPKEDGQE >CAK94876 pep:novel supercontig:GCA_000165425.1:CT868680:6574:8449:-1 gene:GSPATT00027203001 transcript:CAK94876 MQQNEQGKLWQTIPKDFVQPKVKYEATVTKLGKQQHQRHLVVTEKHILLFKQNGKFAHKL LPLDFSTRFEIFRDAPILKSNVQTKKSTPNTPHSNRVDIVKPEDIQTLGDILHIRLSIES SEKYWDFTSDQETLKTFRKLFGQKINQMGFHHMFKVFKKIGKGNFASVYLAERIEDGQQM AIKAFSKSAVYAEENGKEGLINEITIMRELDHPNIMKLYEVYESQNSLYMGLELLQGGQL YDIIKKKVILNNKQIQSIMKGLLEGLAHMHSKNIMHRDLKLENILFKEQNDINSVVIADF GLATFVNLPVYLYCRCGTPGFVAPEVINITDMSTTYDSVCDIYSLGLVFHILLTGKPGFP GRSYNTIVQQNKEAKVNFKSSVFEVVQPQAFELLKQMLEPNPKNRITAKSAMKFEYIKLC EGVQQNISSEEDGDIDEVDDKPELNARIQLINQQAVKFDMLRINQLTNSPLKSPVMQATN KMKEGQNKDQQMIMRTPVITGRIVECEESPNMNQFVSPSVQFKKLQDKQNSFFIVSQQQA PTGNVLLKYTQKPQQQQQKDNEDDKKEQSNIAKSVKAALSKHI >CAK94877 pep:novel supercontig:GCA_000165425.1:CT868680:8510:9616:-1 gene:GSPATT00027204001 transcript:CAK94877 MSNKVPALITLGVDRQDLQKFDDKMQLGNEEFKISGVKVSLNETQICGIAFTYQNIQNGR KIICNDTCITGKNISQYEFEIGPNDYIQVLFGYYESGITQIGIITYKGQQAIFGKDQGYK FNYMFMGYTFTGCSGSYKKGCLESLTFKVTKLPREFLTQQFSPLLEIIYPSNPYENIVLT NFEQESRYQYEQQPQTVMQPVVSSELIYSMKQNQTPQLQQGYPIQNQINAPNQIPPYGNP YQQPNQYQTYQQYPNNQQYYPTTQQGPNQVIVVNSQQQPQQQQQQSYQKQGPGFAGTMAR TALGTFAAIETVRMIENIGHHHHHHSANVVVVGNKHHGHHHHRR >CAK94878 pep:novel supercontig:GCA_000165425.1:CT868680:9920:11526:-1 gene:GSPATT00027205001 transcript:CAK94878 MAEIKISNKTKDRANACKVYIERKYKLFKDEEKERLQDWQQLDLILKSLSFTPIEQELIK KEIQRKEAMLLRKKRQKITVEDFESLAIIGRGAFGEVRVCRHKSTTEIVAIKKIKKSEMI FKNQLVHIRAERDLLVQSKCKWIVELKSSFQDDDNLYLVMEFLSGGDLMTLLIKKDIIPE RHAKFYIAELVLAVEEIHNMNYIHRDLKPDNILIDANGHLKISDFGLCKHLGVHYDMTIP YQNNTQEMQSKNASSRRQLAYSTVGTPDYIAPEIFSQKGYNQLVDWWSVGVILFEMVLGH TPFYSDTSKKTCQKIVTWKTHFKIPKEPKISSQCQDLITKLICDQNERLGEPKKIKKHPF FCGIDWTNIRNQQPPYLPDKKKLTANFDKFEEKEPWKHIVHTQNDTDQSNNKSMNENKKY FHGYTYKRNCEREISPIKRALEELDNIRPSGIKADFDKKQRSQSPKPVNIPPEINQSQRS QSPTIKDQIKNTYMQYIGKFLSPVEKQKQI >CAK94879 pep:novel supercontig:GCA_000165425.1:CT868680:11584:12599:-1 gene:GSPATT00027206001 transcript:CAK94879 MDQSQLLNIWKGIKETLQKKYNDSNTAYYDLDVNNSGEIEIDDLESELKRNHNIQSDETI KQLFEYLNTSKSGAIDLEEFETHWTQADYNIKQAKNQQQSQQKPKQETEQQLLTSSGQKS TQQFSDLFKSYASGNSPTKYINIQGDFQINHFTQTITPKYEQQRPLNFLDNFNYNKKISP PKHSYLVKDRNEISQDRLKEMQQRITNIFNGIPERKNSSPKLRMDFDTYLNKQLGKPNTT RRQTSYPQFSFDFQNLYPQRRSQKNYEQRFSFNTRTDTSPNRSQDRISLQQYAYQFFDRT YELKKNFTFYRVQPKTTFMFRL >CAK94880 pep:novel supercontig:GCA_000165425.1:CT868680:12775:13695:1 gene:GSPATT00027207001 transcript:CAK94880 MQTLSESKKRIHEQSYAQKVAYPITPDKSHIKLNESLFNAKIVAKKSCSLIKDVQKDVQL TIKKYDEFLKLVNTPNKVPDSITSSPSIMKLHQIEETTEQKDDDQALLSQYQRYASSQEL RRQSVPLERSELRLSSFKVKEELFPVEDISPIKQQNNSYFVFRRNSSQILTSPPQKKSQQ SILSDYQGSYAKIHLRKSPTAPRIEIEIKQQEKPQSPPDIAKRNQEWKQRLQDKINFEQK RKSEKEMKDCTFKPKLNETKMSEKSKKNIVQKNKKNQNKSQTPEVKEIMHIIADLNQFSK QLKKRL >CAK94881 pep:novel supercontig:GCA_000165425.1:CT868680:14271:14872:-1 gene:GSPATT00027208001 transcript:CAK94881 MKQDKKKQTLLQNPKNQSKGPKQQVTQKLPDDMESEIRDCFNFYDPSRTGFINRQNMRSI LGNFGFINKTVKDIEEEIRDVVEETRDSFSLKDVIQLISRKWFENKGRDDEIDEIYELFV RKDRKVGLTEIKNVFAQYLDIQISDADILEFIQDASKDKDGLTKEDIAAKMGYI >CAK94882 pep:novel supercontig:GCA_000165425.1:CT868680:14927:15666:1 gene:GSPATT00027209001 transcript:CAK94882 MNSNSKSIYKNVEFQDEPYSTNNKFAVYVPKQEIPRYSATQQVFYNQQAQQSPQKHLKVE FQDEQENRNQQNQNEQQQFEQEQQQQSQCNQPKADTHKQRNVTQNRYRLSNQTPKTAQGK EQQKFYSSTPSQSIQKQRTLQQFKDSLYFDERINKVPKSTIRNQIIDSIVSDADLLLQKR ELMTSIYKLKQLNLLKNKEFAVNRLPGIGKSSFVCNDYHTKSTNNGYSRNFGGVFYTR >CAK94883 pep:novel supercontig:GCA_000165425.1:CT868680:16009:16783:1 gene:GSPATT00027210001 transcript:CAK94883 MNNFIVVIYDINQIKIYLFFKMGVDAYKKTRVQRNVQRKVTSTNLYLKLLIKLYKFLARR TDSNFNATVLRRLQQTRTARYPISVSRLVKQINTAKDKTRTLVVVGTVTDDVRLLTVPKI NVCALRFTETARKRILAAGGKVLTFDQLAQQNPTGTGTILLRGPRVREELKHFGRASGLP GSHAKPYVSHTARRGKGAR >CAK94884 pep:novel supercontig:GCA_000165425.1:CT868680:17167:18078:-1 gene:GSPATT00027211001 transcript:CAK94884 MDLNFQNNSFFYQCLRGPQSNRRNSTPRKRHTQPQANIQLDLSLLHHNSNLADSAIVSVS RSRQYKDVQCAQTVDILKKEFQIRKPMLTNKSSNVHRQYTQMNDLNKQLILLDHTKDQSD VILQYLPQGQTFLNKTNQSILQAWIPTLPQDERKQIERNKKNFPIPRNIAYHEIIDGLNI LYGQGKNRRLWPGEEALLMETIRLYIGKILQNPKLLMHSVPFTLQQIIKISGLTEIANYS FCMLQLECHWKMMITRFKYNGNKELNKKSWQHWLELAQLRGHFLNLQNDNALTQYLLKHQ QTE >CAK94885 pep:novel supercontig:GCA_000165425.1:CT868680:18115:19373:-1 gene:GSPATT00027212001 transcript:CAK94885 MVIKKTAKKQRVLRTSQGVLKQSNKKVGFISATALTQQPGKLLRRLGEKKKGMRELRRIK RETQKQTRKPKDKQQEQHEEHDDVLELPNTFIIDSLKISPEDEQILSQFMVGNDTKTNQI IEDFQKGLQDDENKKHHENIMNNPKVVCVYENVAELMKTYRSGKLPQPFHLIPKLEHWKQ VFELTKPSEWSPQAIFAATKIFSSALDRQQTEYLYSTVILPAIRLSIQEDKRLNVHLYNA LIKAMYKPQAWFRSILFPLCLEKDFTLKEAQIIGSVIHKLHVPPIHGSIAIFKVAQLDFT GPVAVILKVLIEKKFSLPERALDEVIKYFMRYENDQREMPVIWHQMILRVCELYQLKQDH KDQLKKLISKKKHHLITKEIQKSLNKGTKMDVEK >CAK94886 pep:novel supercontig:GCA_000165425.1:CT868680:19407:21695:-1 gene:GSPATT00027213001 transcript:CAK94886 MNADKLNSLKNFFESEYFTPEMLLQNISKYRDQPDVLNLLAERLNKIPEKTITFYSPQFC QLLIKTEVQQLFDFFKQQCSQSMSMFFNLYWLLGAYLQLEKQKKKKQKIDQFLRQMEMAM VNGQFNEKRFESLDQEEQNKILLRDFQEKDLRQEYFTEVQKFMGTLIKHSLVLKEFARED RKHHLKLLIQKQNQSLQRIVKKFQLGISLPFYDDDKQQSNSYCIVNILEKHQICFHTKKR VPYLILVETIKDSPIEMNQYQVIPAQLQPNIEVAGYIEAQLNDQGFERQMAQIDVEEQKL YHEALEKIMKMEKKGKRQSQIMQSIDQYMQKQVKKQQISDDQDKKKMEQQQQDSFQRKRL LSLQSTRQPQNQRKSRSQEKNEEKYQEFQKQLQMILPVEKLYMLKKFKKRNFQLWEQSWE EKIQNYKKESRYQNFPSFKIRPIIIKGGDDLRQEMFAIQMMKQFNRIFKESDLKLYLRPY QIIVTSANSGIVEYIPNTTSIDSLKKMFGNGTKTLYQIYQEVFGNSFEEAQKNFIESLAS YSLFSYLMQIKDRHNGNLLIDDRGHIIHIDFGFLLQTSPGGVNFESAPFKLTQEYVELMK GRESDYFGYFKTLMTKGFLALKKYVIEIENFFKIMVEKSDLPCFGNLDLKAFTNRFQLNA TDQEIMGLVDRLINQSLSSWRTAQYDNFQKRTNGILP >CAK94887 pep:novel supercontig:GCA_000165425.1:CT868680:21789:22543:1 gene:GSPATT00027214001 transcript:CAK94887 MTQNNEELPFNPFNPIKTYRLYSSRSQTIHQTNRQNSVQLVKQQNGSTNPYNQMGITNYA LFIRNSENKGLKSDQNFQAYIQRLEENRKLSKNLIQKTKQQQKHESMQTQQFEDDTKKTS HGPQIKNTAKDQKKEKFQHQITEMIAEIKSPFYKKGRYLLNEDKDKEKKLAKPLFYGIQN QTKQQQRRKLVFSQIDINKLRYKIFFDDYNPLSRMVTSQQSQQKEETVNESPLKTDQQFF IEQ >CAK94888 pep:novel supercontig:GCA_000165425.1:CT868680:22608:23026:-1 gene:GSPATT00027215001 transcript:CAK94888 MSLHWSNYQKSKTSDPIELVVWLPQLCRRQEVPFCFIKNKARLGALVHQKTATCVALTDV RKEDQAEFDNLARDLRQHYNENHELLRTIGGGQVGIKSKHQYGGYQESF >CAK94889 pep:novel supercontig:GCA_000165425.1:CT868680:23026:23595:-1 gene:GSPATT00027216001 transcript:CAK94889 MHPYIYSNPQRTYTETTKINTYQYMNNHYPYIYIIFYLKRYQNAPKTSKAKKQQKRVADR KKNPLFVKDAKSFRIGNDVQPKRDLSRYVRWPRYILLHRQKKILLQRIKVPAAIHQFSRT LDKNQSSKVLSLLKKYSPETKTEKKQRLTKLAEQKAQAQKGESKESVKS >CAK94890 pep:novel supercontig:GCA_000165425.1:CT868680:23648:24360:1 gene:GSPATT00027217001 transcript:CAK94890 MDTNKLRSSGYQLSDRVQFGGVFEPEKCKQIAKQVMELYDANKDGYIEQNEIAMMLSDAY RAMNKGFNPTSQDVSNCQAILDRKSTGRVHTEDVEQLLNQSRECQRSLSRIAQERLEVAR RIFKFVDKDGSGFLTEEEVPELLKETYKHMGMNDYQPTKEDVTIWIQMTDTDGDGKVTLE DYEQLVLDSLRKQGISLE >CAK94891 pep:novel supercontig:GCA_000165425.1:CT868680:24367:24624:-1 gene:GSPATT00027218001 transcript:CAK94891 MSLQMVNLPEFSYKSNTRQSLDLENFFKEFEMNKFLEECNYSEQELYVECRKMKQFQQKR KLKLNHYINTYLESPSVISPVQLLL >CAK94892 pep:novel supercontig:GCA_000165425.1:CT868680:24810:26972:1 gene:GSPATT00027219001 transcript:CAK94892 MSTLSTICQKHIKHIEGTLSTKYLAFCSDDCSLLCINCILYDGHKGHQFQSLEDSLRLEL NRFYSISENVQQNHQKAIKQFQQLAQMNDQLDIDYQRLNIEITKFFNAIRQSIQERESKL QEQLNQSLLNQRQQISQNQSKLQLQLQDINDYLQELSELDEKSQDPIKFLQTTQNRTKLI NKLPKSIVTIDQTIKFPELNKEQENQNLIKVLSKKVNTQQVLPPPPTQQTASTQQKIVQS IKKQSSNNQQQQSYNAQYQQQQNQILQLQSQQQQQKKMNQSKKVPLCETNGPCAVQINLK KKVEEKQNNQVRLTNFHEARNQMKERNYEKSIERRKDRDHSTPRRLFKNQSKVSQDPQSP FLNQDDSKQEINTFLNSIHDFEPELTNVSHMSMDYQYITIGGFVDSLKQIVEKYDIKTDY PSEKDQLKNNRCKFGIAHLINGNVLLMGGKVDGVRIDTCEEYNYKDKKVIPSKIKLPSSR SGFGTLNVNQFIYVIGGNDGQENLKDFDCFNQIDNNWIKFPSMIEARDELAVCMYENAIF AIGGFGVNTCLKTVEVFTSGKWGHCAPLNIPRRALAGVSLPDGIYAIGGFDGTQYLNSVE KYEDGRWTLIESMIHPRCTLSALATPDNQYIYVFGGFDNGPLDSVEKYSVLSGNWEEINS LMAKRFMHQTFITLV >CAK94893 pep:novel supercontig:GCA_000165425.1:CT868680:27044:28239:-1 gene:GSPATT00027220001 transcript:CAK94893 MKCQNAESELQTTIHSKLYPSEIHSPQAERQTIQQKKRKWNSDEMAKYCPIDIPNAFQYD KVNLQKFRLFLDGKYSANKLVKDMNAVTKRKRLTQLEQEFHKQKEEQQLRKEQVERRFTK VRTLINTNIKLRESLKLESNLKGSRMFSQLNNHIVDHIAKIKSKDLLTDRIDGVRQCEPT KLYDYSKSGIKLKFNKNQKLIKSQTQFCLKQTTSLEVQDHVEQYFKQQQDALHSIEFKQK RKAKLKIISDRKHRKYFTEFDINLQNKKAPPSIKDVQLFKYIHGQMLIERFQQKQEDQKE YFGNPLKSRKSYHILSNFDDPSELYAFDDCDQSFESMKEMKLSNLYSQSIELQKKLLAER RIVNQVQQSKQIDQTTNMIQVVNKQKLK >CAK94894 pep:novel supercontig:GCA_000165425.1:CT868680:28408:29961:1 gene:GSPATT00027221001 transcript:CAK94894 MGICAPKQLKKTREYYSMPKQHPQILEPQKLQEPLQHHEQIYMLDKESNIQHMQKYNIPP DNQSNHIQQQQIVERGNSIYKQNQNTSQILISDVCKKCQKHIGEAQKVVIQSCNHLYDIN CFTDHFKEHKTCCTETKIIINQAKYPKAQVNEIMKHKLKQLIANIKNNIVVQCPTQFCSF LFIYRKEYNKNQKKKFYCQRCQQEMVYDGKMCKFIDLQTVQNDNNVSDTQIYLKLETIQF GCQIQDHLRVSTNQIILPCNHDWCKKCLFVNYKDCLTAVCHCGHRYPKNIFDQISDKEEW NDIYDRQLQIIMWESKYSWQFCKNNCGFFFECKNMDDDCYCIKCDSIKTCNKCKKEIYSQ YIQVNQCNHYYHLLCSFSLLMENNLKNLSCLCNQKIDNDIKVEMNIICIICQKYEDNLIM LQCCHFIHQECLENNLQAFSSFRCNVCLIPIDNIIYEPRLLSIRDKLISMKKYSNQNNLL KSDIVFQNKQEANLVGNQQLQQYHNQQVIINNNYGYK >CAK94895 pep:novel supercontig:GCA_000165425.1:CT868680:30091:32472:1 gene:GSPATT00027222001 transcript:CAK94895 MPPKKQHLKTQSSELSNQALMNYLSNREALHARMSNSPTFQIECKVSIYLYLRPNKLGFT NLICLNPMLHNPISQLLNSSTYDYRCRQTQRKVQQQDLLKSKGFMSPKITSQERVNNKAQ PKSRGNHMKTQPHSNQSSQIKMKSVESIQDDSPKQMYKNQHYSPSTKAESLFKQQASDTK QKHSSDLLARLNFQTSLTVSSVKHKTTQFSGAQIYQLQNHQKSNSAQYIESQKLSTQTSS QPRLEILTEKIKTITTRKFQQDFIQIILQFRSFKQKMTIDISTNKISHLVELIKEELNKK FVDNDSLSIIGIKTYNISIPIDYILSSIDRPLSLLSNCPNQPLIIEPILQAEQENRSSRV CLKDFEFIRCIGMGGFSKVYMVREKRSGQFYAMKLIEKNSIISQNKQIIIQNERDIMCNL NHPFIVKIQYAFESRRYLVFVLEYCSGGELFFLLRKVKRMSEEQAFFYFAEICLGMKHLH DRSIIYRDIKPENILVDFDGHVRIADFGLSKQLDQEIAYSFCGSPEYMAPEMLLKQGHNL QLDLYCLGALLYELITGLPPFYSRNTDEIYQRILNQKLSYPQHVQMSPLLKELLNGLLAK NPKNRIDKIETLLRHPWMTQWGDKYLYKDLLSKKIDPPFKPDCFSFNFDEEEFGQGEAEF LQQMKTLQQNIMENFPKETILKNFYYNPKEINLTESTRGTNLSTKQLEEQSGTQRQKSKR FNTYDDDISNYVNEQKILLLNQLRLQTESELKRKSA >CAK94896 pep:novel supercontig:GCA_000165425.1:CT868680:32474:32993:-1 gene:GSPATT00027223001 transcript:CAK94896 MGRVRNKTVKKAAKVLIEKYYMKLTSDFHFNKKILSEVGQVPSKKLRNKIAGFATHLLKR IQTGSVKGISLKIQEEERERRLDYVPEKSSVDIDNLRGDQDVKRMLEKAGLEIDIPIDEP VVEEKQQKQPRRGQRRQQ >CAK94897 pep:novel supercontig:GCA_000165425.1:CT868680:34358:35530:1 gene:GSPATT00027224001 transcript:CAK94897 MESSPKDIRRINGRAEFDCIYTAQEYEATCQCESTTTQLHVGETFCDPTKVQLHQDFAGD DGKTASFEQIRNLIGPLNELQFGDPNSYHFSCLDGRNEKGVLGTPGGDFGEFLLALSVYE QTIRTPLAQDTVDSIFSNYLQYMKQEGFYMCTDDDALKHLEKELGMTLTVDALIDPPNSF KNDLLTSLLKSENTGCMHLKSILKNPESYDMRPELAGYLIRTYFTILWNKSNPLHHKLIL EVMAGRHEERAFLEVRINEACIRQNMAPLLRPKRRITLASVPQGDTSTTFHEGGTTEIFQ AYVNHIDAASIRRKELSKFFAENSNLNGVHFEIDVMHHRLNKKGLMYLEITGQKVARYLP FYTVTIV >CAK94898 pep:novel supercontig:GCA_000165425.1:CT868680:35798:36600:1 gene:GSPATT00027225001 transcript:CAK94898 MDHARILSFLNSLQKQACTQQMDNAQCPKESLKSLYEKFTKEYSLQRARVRNYGIINLDL EQRREGGQSFDIQFSFVWGNSKQICLKQKEFTSLSVVFQQVSPKKGGVFYDLGSGIGKSV IAASLMHQFDICKGIEFLHSLHEQACKLKQEVEKQKSLIEEEMEQIGIKDYHQPKIEFIN GDFQELDWSDGTFLFASTTCFEPDLMNQLSKKAEDLKEGSYFITVTKTLEPQTCWDLIKS IQVQLSWGKRK >CAK94899 pep:novel supercontig:GCA_000165425.1:CT868680:36629:38351:1 gene:GSPATT00027226001 transcript:CAK94899 MKQSHSKKNLTSSLYIANNQHTELIDYLKQENKQLTEQLNELKGLLQLNKKALRIMTPQH NDEQNKALLMVMKNLQEENAKLHNQIDKLIEERNQAQNQVLINQQITEEAQRHEKELILS LQNKILTLQNNLNKAEQQLSKMEQLKPEYDEISGILIKYKEICEPDKITIKLHNQIEMLK AELIDQLRKNKLIEAEKYKLQGFNLKLMSNLVQMKNTAMTYHGNKLIIQQQQTNQGAEQL IKFQNNLLNVINKEENENSSENDDHSSVGSSPLMSPLPLKQENQVAKQMKEVPKLDLTKA KQIQEINAIRQIQQMQDMKKQIDPNIAEKINKYERMLEELRKNYQREMLLNKTLETSNNE LQRKCDDFESQIQILINSNLRHQEKLQKINKQYYFLQQFYINNKDQVTTTQSHNRRFSQS PTELSQEMILDTSFIDANEAIISNEFQEQKFIQQQMLQQQQQQQQAQQFQQQNFYSLYSP INIEDSKKFLLGLAELIYCGLQEKIEQMQPQSQEQQDCQEKKQQKFRSISDIIDYQHKGL QKYNYLQQQ >CAK94900 pep:novel supercontig:GCA_000165425.1:CT868680:38384:39390:-1 gene:GSPATT00027227001 transcript:CAK94900 MVQGQPIIDVTLDGIETRKNTRFQDKQLGALKLPVYSGDDDISGVIEVRMNKQKKIEHLG IRVELIGRIEILNDQKQSSDFMSMSRELEPQGFLFEDKTYKFQFQKFEKQYESYYGNTVR LRYYLKVYMVRSYGKVQKEVDFAVLIPQPELEEQPQTSLKLEVGIEECLHIDFEYFKSRY HLRDVVTGKVNFYLVKIKIKYMELAVIRKEQYGQGPQQQTDNETLVKYELMDGCPQKGEV IPIRLYLSGVDVTPSVKNVSGKFSVKYILNLILVDEDDRRYFKQQEITIYRKK >CAK94901 pep:novel supercontig:GCA_000165425.1:CT868680:39483:39824:-1 gene:GSPATT00027228001 transcript:CAK94901 MSYYKVFNIQLNPYQDHFLLDINQSFNIKIVISTKQQFQRNSRIYQDVRIKIDYYNMDLM TILRKSMMKYELFDLNLVYLQNDDNPYIKEQDQWVLDDVIDFKDTW >CAK94902 pep:novel supercontig:GCA_000165425.1:CT868680:40206:41247:1 gene:GSPATT00027229001 transcript:CAK94902 MNKQHIPAKAQEKIFALILKRPENLVCADCLTKGPTWVSLDYGVFICMDCAGAHRTLGPS VTRVRSTNIDGWYQENIDIMESIGNATANSYWENTKPKDYVKPTINTGLDSLIRFVQEKY VKKRFIPQQQCLDPKQQYMLTKTAVKPFYFRAETKQEEPKVKLGDLIDLNEQFDNFGSQD IKMEIVHGNNTQYGTTHSLSPEKDNTDFNQTKQQANHVMHSLPSLDILNLYKPDQQQKQQ MPSQQTIPQKNANYAYLQNLGQQQQYNYQQYQNNNTYFNQQQQQQQQQQFQQNLFLQQQT NHTQQQQYKQNGPINIMELYQR >CAK94903 pep:novel supercontig:GCA_000165425.1:CT868680:41575:42378:1 gene:GSPATT00027230001 transcript:CAK94903 MSFEPYQETKKNVKVAPIKIVQNQNEMNVSQNQMATYPQLNLQFPFHNRCTNYKQFTPAV TIPLSFLQQLIQQAQSNKILNQLIEPKIPFVKQEQSEAVNNLITQIQYQKLENIKQQDED TQIKMYLIKSQMKFIIEDFVSKERVQLYFADNENLLPHFWKWIKSIELKGQEEMLILCYT NPSNTRKIQDIFKQLFQTLSIEFYQNHAYAQILRSNLQDKIRYLSAIGDILNKIIKPQDY FYFNNA >CAK94904 pep:novel supercontig:GCA_000165425.1:CT868680:42387:43879:-1 gene:GSPATT00027231001 transcript:CAK94904 MYFTENPVFAQKLYIFMRNYGGQNFVDLNTFLTSIVDLFTRSSMKLQSQLKNLYIYILFI LVSLSSSEIMHKETFDFNNVFKISISYSNAVKLFKEPINMQSHKGDILCNDDDQAPILLV NNIFENHSILNYKVFNDKIKQETPQISKIVKNYIAGKFINKILRNTMPKLESSELMNKQL IALLNLSVPWFNKCVSVNQIYRFEVESGANYTFNILGNILLQARGPNVILFRHLQIDKDR DKVEKYIFGYFSPSQWRVSPNISGSKSTFIFSVHPKFQIFSTNGQQQSKFALIMPIITKK QSQQLNSQFNQGPKEPGLGIGGSGYEQHRIWINGKQLQASRLIDDDKTFESGPILQNDIH LLNSQIDLIEIWDLQLSTGAQSTSYFKTTSHKPYLNEEQQRFHQNQLRDVIITLNQKKGK KDYRGGIDEYQTSNPLLQISEEEEEKQQGK >CAK94905 pep:novel supercontig:GCA_000165425.1:CT868680:44121:45081:-1 gene:GSPATT00027232001 transcript:CAK94905 MSIVKRQFSNGRMKSDADVFKQAIEEVERKRPGSGQPMSTVKSPLPPRKPLFSGSQKQRL VINANNNEQQIPQQKIIKSNQNSQHSSKASLSITQNQQQLLQIKSPQKQAKPPIRIDKKI IIARHEQIGSSGRPAMTGDVQSRNNSKQKVSSAGTNTKQFNWTEVIDVPQRESSLNKRRL VSREKLQALPQQYQTHQQQSITQSYRSEQNTYHDFEHQLTKSVENKQPLCYTDFSMTSKK NDLSKALASIKLEIKQASQEAKQNQVDSYMNAIPEQEDDGCSSLAQSTLYQFK >CAK94906 pep:novel supercontig:GCA_000165425.1:CT868680:45267:46075:-1 gene:GSPATT00027233001 transcript:CAK94906 MDDNYRDEFQGSESEITRRSDEKQFKCIRISIAFSIYLIFQMLALRIEYQIQWTYIAIVF IICDTFIWILTTIWLFQQQYPRIAKVFLGIAQHSTFGLLPVFALLLCLQLDSIINQNSIL VAFPLFTWEILLLSFLIFIIPGLSDDTQGSKKWVAVVILYYIAIIYTEIATLIQLQFHFN WFWVTSLLIFTFFYHIIILITDYTITVIWQCTILATCIFCLFEFTYILNDVSKEQNKQMQ YLAIIPMCVISIINFIKQIIV >CAK94907 pep:novel supercontig:GCA_000165425.1:CT868680:46476:47218:-1 gene:GSPATT00027234001 transcript:CAK94907 MDCPIKVSQLKSVTEQKEVFDKLFKMPTQHVQRSQYKQISKSDLINELRQENDELKNTII ELKDRIQELELQLKGKQSLQIEEENQEIISVEKPQQEFQEEMNEEEKSLHIALILQQQEE MEFQNRLIQMQNNVDLDEMSYEQLQELQEKMGFVSRGLMENQISILLKQCTIRNQAVDCC TICLEESGNPVEIQLECSHVFHKECISEWLSREKHCPVCKRDIDLGKLK >CAK94908 pep:novel supercontig:GCA_000165425.1:CT868680:47517:48421:-1 gene:GSPATT00027235001 transcript:CAK94908 MIQQLAKTIEMLKVPPSREVDIHPLACTKIQHTRTPVTPKTILSFKVYRIFLYLDNKINT NHDYQPSIDVQDLNGPSQSRQSHQRNKTDADRSTNLNNRISLLSRQIFNYIRKQCNPKKQ LEHTKQDFNKQDQQTQSSQIESNKSTKRYLGQTQQIVHQTRFRRLIIIQTLQLSKYSMSQ ILVINSYANDKDRLSYEGSRQDSQQKQRNESISYTDSKQFKQSTIKQIQDFHSTKLDQLN LNKQEDYMKFSFGFQCTKIKSTKKFPKDIFYNDVRKAKKCLSNQ >CAK94909 pep:novel supercontig:GCA_000165425.1:CT868680:48520:49126:1 gene:GSPATT00027236001 transcript:CAK94909 MDTQERQNLFILHPYPFNRDAKFKYVEISYQPSFSETTKCMMETIPIFNNDMDPAQPGFI PLIDQPLHLQHNDQYQNQYQKKYQELTQKNKVLHFPKPKSQSKYCNVCKQHYEDYLDVLL HLNQHIKSKTHKSQFTKNQYIKKITTLAKEAQEKVVTTIEQQESTEVQPKKMKKTKLN >CAK94910 pep:novel supercontig:GCA_000165425.1:CT868680:49302:50210:1 gene:GSPATT00027237001 transcript:CAK94910 MRSFSLGFQQNICKSFSKIKKENCYLIIYFLMLKFLQNRDNPRIKRGQDFMRFESNSHLP NNKQFNSPIHQDVLIHHDKPQYYVTKKLPPISIYNPLVQLTDKVDRPEYIVKQKEIYHSR YMDEYQQKGFKKKQGIFESFQHLLNLNHILIKGVHPDKKPLSFAALKEQRQRNYKSVNQD KQLSSRSKDNSKVHQSNLDSSRSHVSSYNKKNNYYVSEQSKLRTENKSIQVSLERMDRSS KTDQSIEFRPKIIQSFLIRQEEEAEKERQMRQLSIFDKKIKEIRIHQDKYGKRKMTIEQK SE >CAK94911 pep:novel supercontig:GCA_000165425.1:CT868680:50278:50716:1 gene:GSPATT00027238001 transcript:CAK94911 MNAIQPPQQQIQQNPQQQIPQYPNYPPPENQVRYDPRTAATFLNPKSIQKKAKFCPICDF PASVRVVINPCKHFMCYECYYIDGQTFCKFCNDIVVSVKRLDDTEKFYSCDLDTCFKYFE SEQQLDEHNTQHAILLG >CAK94912 pep:novel supercontig:GCA_000165425.1:CT868680:50829:51787:-1 gene:GSPATT00027239001 transcript:CAK94912 MKAFVISKYGTKPLWQQVLKPSLLSHQVLIKVRYAPVNPSDIYYSLGIYGIKKALPTQLG MEGVGEVVEGKQKGKLVAFLPARSVGSWAEYVAANENDIYEVANEIQGSMAIINPFTVLG FQERAKQFKSILFSSARSSTAIQGIKLFKELGKDVWAISKENKDYVNTILDDENLISNVQ KNIKDKTIFFDSTSGDKAGTILTSLPGNSVLVNYGSSTAAYIGKINPNQLIFNGKSVEGF WMHNYYTSLTRQQKEVAFNYINSKLDTVFKSDIYQIVKPQDISGEELYKLQSQTRGQGKI LLEFK >CAK94913 pep:novel supercontig:GCA_000165425.1:CT868680:51963:52960:-1 gene:GSPATT00027240001 transcript:CAK94913 MINYLCNYISYSSFPFTLSRISHLVLNYSNSESKSKQIIKGDLRKTAKKSSLSHLIRLLK ERDDREQDELTPLVTDKQKQTKKFINLKPLKKAIEKINQIRQQQQSRRKSAYGECFGELT QKQMENLPTSIEEHKQINGQRFALTSFADILERKKRKRTTQKPSLLRKLSKQESLVEYDY LERPPSCKTPPKQIIIKQQPKQKIESTKEKKVKQLDKKMINFLQGKPPEVQQFVQRRKAY TINYQVTTPQSLSIIGNHRNSTLLPNLPINKVNRCQTNSYESKYSPQFSNRQIQNTDRPY VRYNKTLIKLIKSDIKNN >CAK94914 pep:novel supercontig:GCA_000165425.1:CT868680:53634:54899:1 gene:GSPATT00027241001 transcript:CAK94914 MSYPNIQEFLEDFEKENELLGKGTYGEVYKMKTKRIINPELERVLEGQEGFNGQQYAVKM MDAKTEKSFSTIQKEFLILKSLPKQHPNIIRLYKSYAWANQITKTYTLVLVMELADKSLM KEIEDRIQAKKYFTDDQLHNFFLQCIQTLNDIKLHSNIYHRDIKPENILLNKEQQLLISD FGVSRKLMKEKLPTINGTLVGTPAYLSPILWKAFEEGQFQVSKINKITHNVEKSDVYSLG VTLLQTTLLLNTEIQKLNSGEQGEQTTRELLRNVQNQRIKFILMRMLDHDEKQRASYNEL LQLLNENIPKQIVQNKKPIFSYVEPKLGIKEQFSLQAKITFENNCKQDLHQNQQSPQQID TQTDFDTVGQGQTNQQMEIEGKTKDQ >CAK94915 pep:novel supercontig:GCA_000165425.1:CT868680:55062:56563:1 gene:GSPATT00027242001 transcript:CAK94915 MSYLIAALTILMTMAVDPKEDKAIFPGWGDYNFNTYSGYIPIGTGQRQLHYVFLESQGDP STDPVVLWLNGGPGCSSLLGLNEEIGPFVMADEDREFKKNPYSWNTVANLLFLESPAGVG FSVNKDTFYVYNDTNTGEDNYQAILSWFSAFKQFQGRAFYIAGESYAGMYIPYTSKAILE GNKVSSLRISLRGIMIGNGLLVSDPKKRFYALQEYFLRRNFMPPTTTNTIRKICQVAPES IKCLLAQSHFEEVCLGSNINIYNVYGYCKEDSTPDFLKSKHQTQKKVKYPYVPWFEGNRV ENKGKDNGAPCTDFGPITEYYNRQDVQKALHIQDQPVLWNACNLQINENYHISEAGSYQI LAQLRDEYGQQILIYSGDLDAIVSVVDTEQAILMVPGIRETTPWRPWGNKDLDLAGWVTY YDKLTFAVVRGAGHMVPQDQRQNGFELFQSFIYNLILPEHIEKQQIY >CAK94916 pep:novel supercontig:GCA_000165425.1:CT868680:57464:58307:-1 gene:GSPATT00027243001 transcript:CAK94916 MIIPLYFLEQIYFFIVCGEMIIFINYKQRLISKNLQFLYNLLLINLYMGERQKQQDTLSQ SYQLFYFSETIILLIIYKYYNLKQLKRYTFHQQNLITSTLFSAFQISYLHQLEVIQQIEL VLYNCRYRMSNKHHNICIISYHFLAIGGLYKIFLGVKQQFHYCLTIASENLIISQNVIIT AWFKVHQLSTASGRKVTLPEIAAALNSYFSPMIYDFKGTITYSLITSALICIFSLCCAIM LLLFDILNELLCFPY >CAK94917 pep:novel supercontig:GCA_000165425.1:CT868680:58449:61108:-1 gene:GSPATT00027244001 transcript:CAK94917 MLIQSERNLSSRRSSIGPTFTRNPSYIHYRKQNSDCSIYSESKEQADSIKQLKQECDERQ SRAQSIYSSFAKKLVSNRMDNIKKQNSDSGQVTNFLKQIKSKAIVNRFIQNLFRNSYILP QKLQLQFQDEYISKRSSDWRQTLNQGDNHQFIKIFQPGSQLLMYWDLIGIIINMISLWLS PFQAAFNYQSPIVLIITIILYLFLEILVNLNRSTISFGEIITTRSQIVKHYLQGQGVQDI ISLIIWIIIFNKPEFSLIFEVLQIIQIIVTIKKVITNFDKFLESLYSKGQLSNLIDLFSL VITIFFFAHITACVWYYVGEKSDMLLEKSWLQKYEIENESIMMKYNYSIYWATTTIVTVG YGDLTPQNWIEIVFTIIMMFLSSCVYAYSLNSIGIILKNIQDTKYEYKKMLLRINGFMDK NKVEVELQLRARNFIKHHLFQNQNQESQEEINKIMEKLPEDLRNQITKSIQLRILNQITF LKDLFSTQAVTDISRYLETQYLVSNDIVFQQNGSTDSSLYFVQSGQIALFEEQSNKILTT LNRGEKFGEYSFFTGLNPKYSAKCMSDTVLYKIQREKFLQIIQYYQKDFQRFHNIKDQIL LNSDYSKCISSCQNCRLFTHEIIDCPLIQYKPNLEQRIKVATFNERQNLRMFHKRSLHKT NCRRLCKTIELSIKAFQDENESKVQISDYQSGYLQRNTFEERDKNTSEEPKSKIVIAEQD YVPPTNFVSANSLQQKRHQTQVRPSIVAQLPKQKMTIIQQEFKSHNYLLNNSFQSQSLNK FQSELFLASFHQTCLQLDQMRNYKDYMPQNNISAIIIQMDKAPKKQSKRRKREIEDLNKY TFFYYVKTMAIKLRKFQRKSV >CAK94918 pep:novel supercontig:GCA_000165425.1:CT868680:61278:62003:1 gene:GSPATT00027245001 transcript:CAK94918 MRSDFEKPDKNSDTLFQIIQFQNIKHKEFKFQFKLTPRSGTDRAIFNLTFELNVIQRVTC TGQINNISKWGNMICRCELYLELLNQSHMFIISKSDPNFRIQNNCTSQIDLKDYYKFERL KFDYLYQKLDIYFFQETNHMIQSSNNIPKQMKNQLNLIQSLFSFDKNYFKKLYSIDQLSI QLLNNHSIQRLLTTLFNRRNVSTKFVSFNQILCKSDNNVSSVHQKQVNQEQNPIAPILTQ Q >CAK94919 pep:novel supercontig:GCA_000165425.1:CT868680:62435:63181:1 gene:GSPATT00027246001 transcript:CAK94919 MDNQMPIIRKRSNISDKGQEKNSNTPPMINCDKLITNLQKITLMDILDQFAKDSESKSLI EILRSIFEISEKPQRVQQKSQADLWSINNYKDNQKFEFNDEQNKFNRKNCSDNQNTKQKD YRNRDNDKNYHNEKFDRYDRQDKYDRNERRPKQDNNERNDRHDQYTKNDKYQKYDRKDNY DRRDNQDKHFNDNKGRRDYHGNDNQNQTQEIRSDWVVKIPDSVAFGQQQWGAENFNQNQL QLSVATPK >CAK94920 pep:novel supercontig:GCA_000165425.1:CT868680:63206:65027:1 gene:GSPATT00027247001 transcript:CAK94920 MLYGLQCRKHPSIQVSFVCTKVNCNYGNLLCQQCINGNLQHKNEHKNYLNQLNEFVYQQN GKTKTVLIPSINSTLQELDKRLKEYNALIDREAQEIDTDIQQLLKIFYESSEKAKKQIQE IIRRDLCEQQAKNLNFKQQLQEIQSNEQKRNDNTNYLFNTIFFRDQDKELPVSEQSLMQI INKNGNLNQLRCDILNCLNNVIGTLQPTSNRIRYKSTQNQMQLFNLLKNDFIQSLNCLFQ KLTDLTQEFHTVEDMKRSLYQFGSLDGSQSLKQSQVVQRTLGSSQFISTGHQSQRFHRSN SQSQIMSVKTLESFPPLSHMIQSILNKIGHLQPPQADSLLNNIETVPPCQFPDGIIYEGQ IQNNQRFGWGRAIIGQDYFEGYWRGGLPFGFGRIILASGDYYEGFSQNGKANGRGQFVSG IYSYEGDWEEDQKHGKGQEIVQGKYEYKGDFKNNMKCGQGTLVDLVTGNVYQGEFLNDQL QGQATIEYKNGDKYLGYVLTVDGQIKRNGQGIYYWVDSRKYEGQYENDKEHGDGVFIWPD QWMYKGQWYFGMQHGKGIQCNPQGKEREGIWEKGQFNKWIS >CAK94921 pep:novel supercontig:GCA_000165425.1:CT868680:65039:66459:-1 gene:GSPATT00027248001 transcript:CAK94921 MNIYSTQIEKQRLLEGLFGIFAVFVLIDINFQLSDYPFLWKYIINFIEMNKFITVALIAL VSVQAWDIDFALLLQTGSQSNDAIQAVYDLLNDLKTSNIEAQGLADEKNISDEEIGQSRI AALSKVNELNQKAWASAKARREQIGVEYREATDYIAWATQRLADIDRREVELEELRCFSN GLFVRAIKQHNDALGVIRILKNDLSGYLTGAPSSMVELKVENVADKLKQYSQLFNQDAMS KFAQLASEQASGNAELHALGESEGTTGGDRQPGVNVGQLVYNALSDLEDQLKSALAALEQ NEIAGYYQLADWLADSEAEKVHLQDEIQRKTQLQDKLVVQEQAALAVQAKANSVLKDSQN AINAATASLYELRELYDTELNRRNEENAIIDEVIHIFKQQVLEMANQTSYGKK >CAK94922 pep:novel supercontig:GCA_000165425.1:CT868680:66854:67666:-1 gene:GSPATT00027249001 transcript:CAK94922 MQNSKKKQIFCNQFELFKDKKFKQIPSLKLVKVEQPIHQEQIFNESSAEDIFEQMGNKET NQDKLIQANKESKVNKNDDWKSYYNSRLKEIKLERPELNHNQLTQLISEEWKMIKKQFKK APQPSNQDEDVLNKKKIKQNKDKSKFCQEVIYEKQESDGELDFNAFECAKFIENLREKKF KLVINDLTYELPGTAIIEAVENDSVLIYLSENNNNNNTNTAYGTPNEKVNANLEEIGSDS EQSICKGNDDFILQNNIRSFL >CAK94923 pep:novel supercontig:GCA_000165425.1:CT868680:67812:68612:-1 gene:GSPATT00027250001 transcript:CAK94923 MANGVTTQWEDIHVKHGNYLEREKVTTLAETYQQAIQKLDDKQDSDDDFFEDDEFTKQYK AQRLQQMMEQSQKKLYGEVYEISRDEYVKQVTEASKDRFVVLSLYQDYIVESLKLNEVLQ ELAKQYQEIKFVKMVATKCIENFPDDQCPCFIIYKDTKAVSNIPFIHKLRKITFASIEDL LIGQGVIPKPAEEDEQYEEIKDKLKHPYKTNNVKDVDDEIDDREYSHKVQFQKPN >CAK94924 pep:novel supercontig:GCA_000165425.1:CT868680:68739:69220:-1 gene:GSPATT00027251001 transcript:CAK94924 MQIPNNYGNAYSQNQYSQQSYVQYPQIYAQQQYLGQQVPQQQYAQQPKNKPTVPPKKPAP FSFEPGSNKELDDALNSIDTMYNDMFDTVDNQKTKEESGQENADGYSMGFPVQQKNGNQQ AQLNQIPNTIGNIQQDKEKWDYYNGNRNSFY >CAK94925 pep:novel supercontig:GCA_000165425.1:CT868680:70530:70806:-1 gene:GSPATT00027252001 transcript:CAK94925 MKKFIFIGALLLLLQITQVNANCMQLAQDFKCTYMHLQCTDNLNGECSCEDGSTCRWQDG IGDDNCPCGGAKPKRRRRKIHDGL >CAK94926 pep:novel supercontig:GCA_000165425.1:CT868680:70951:72486:-1 gene:GSPATT00027253001 transcript:CAK94926 MLQFSNNETTNQQYKQVVEKYQRYLNERAKLKQRSEDKLSIAVRKIYLNPLLPSLQSDYY QETNKLSQRQSSLLKEQYSQFKQESIDTITNNIQSSIVTPNLNYVGKTQHRKSQSNLDGS QQQGFFNGLYKPKKVEYQSRNITIKDLTQNNRNSLESCQDNRTHLPSLQQSQNEMSKYAS RKNTSKKVLPEEIAFTNRWERDRGNNVVRIDRFQVEQLNNDVFDYLLSQDIMKMFFQNTN LNQLKVVLQELLKGVYYESIINFEQMIQFFTTLQFTYLEIFYIKYAIAQVLMKANYNYLF IERALNQFEEYRYLIQKPIPFITKLFDNGFYSSLAKDLMLRLLRSVAYNKFLQTQRFESE QFIVAIKNGLFPYLVGEYVALPLHSRIKDIKAELFRQQILKPFINSTFIIQVKNLLGEYN LEDLYIKDVERRLLFPRSEMDQFEDTHFPLHYILTYIQCKNTLIPSYDIKALIKVIKIDP IITESDSTIFVDNVKYLSKFEQIQDDIQVFL >CAK94927 pep:novel supercontig:GCA_000165425.1:CT868680:73924:74334:1 gene:GSPATT00027254001 transcript:CAK94927 MQFDQDFGPWCNDQITPRSRQNYSHKTSSNKNISEINTVQKNFHNINSTKRSQFPKLKLN NISPQVQTRRSSNNVSVKKKEEQAEQQTLPKLQNKALLQLKILRHRHTEINVVSQIENII RRNLFYIPTRKYSMID >CAK94928 pep:novel supercontig:GCA_000165425.1:CT868680:75009:76322:1 gene:GSPATT00027255001 transcript:CAK94928 MNEQGRYSKLSEEEAAAQFELRKQQIMGNLLEQYESSQKNKKTSKISKPQEINQQEFIVF NPKKPSLKNPKPKSKQLKFVKEESPKQNSTPYPEADEEKIEQSVVPTPIAQTPSIVKIDS GNLKKILLKDIGNIDLEIQRLTRETKDFEMDKLANKSQFSYQTQSTKEAASRNNPRQTSS QLQQQSKVYYELRQRPSSKESRISESSYTRKAECFKGVLRSSSLKKLPQQQQQQQQQQQQ QQQQQELKKNQNSSQQKNPNEKQTVNKTVTIESENKEPTNKISQSERKVPRPMIQINRSQ SKQDSPYVDKKTTPVKSMLKVQFNSIPIGSNSTKNNSASYFHHHKESYNSQTSFQKNKCV EAQIEEAFQLYNNLKFLMQSKSSVLQVRKDGNQMPRKTVDFFQHKEIAKPTLF >CAK94929 pep:novel supercontig:GCA_000165425.1:CT868680:76434:76827:-1 gene:GSPATT00027256001 transcript:CAK94929 MQQISKEKEQQYHQYMELEKEVMNTLAKVRQYEASMTQLKRNIQKCQITLKELGSIDQQK TYQPIGKCFILKPKQDIANEVVEIIKSHEKDIDEYEKVRQHLITKGKEKETQLQEAMKAL KI >CAK94930 pep:novel supercontig:GCA_000165425.1:CT868680:76850:78428:-1 gene:GSPATT00027257001 transcript:CAK94930 MQSVNKLFVKCQSEPAKEHIIFKTEFFKNTNHKSVLLLLCSDEHIYKINVISQLLSLQKQ KIYKVSLSSLRFSVKAEQANKQTQKQMKGDEFHTQIYKFTLERDDTDANEFYNFNFQTMY WFDNLRNKLNMLDYQVNYDISKLIGKGTFASVYEAKSKFDSQMYAAKAFYKKTLFQDPKG KEQVENEIRIMRQLNHPNLINLHEVYENKAQIYLIIDLARGGSLEYALKVLNGPVPFLSA KVIFRQIVEGLIGLHEKNIMHRDLKPDNILFRDFVPLKRYGLIHMGPNIMVSDFGVSSVV QEKLNVYQYTGTPGYMAPEVFETETDPTKTYNEKCDIFSLGCILYYLLIGKPLFANKQAN MEMKIDWMGVEQELNSSHSLTMLLQKMLSPSPNDRPSCKEILENKILEVEYGEDGVPLFK DFQRPKSSPPKRLIQEDPLKNKGKRGSVLMNNKAINMMPIMEEKQRDSGNHKFTKRNTNM DIQDMRSSQISLNPQSVKQARRSSAIIPGTAKRPSQQ >CAK94931 pep:novel supercontig:GCA_000165425.1:CT868680:78727:80222:-1 gene:GSPATT00027258001 transcript:CAK94931 MVLISYTNIIVDDFGYARRNPLKRYIYFLTHMHSDHYQGISNGWDYGQIFCSTVTKALLL VKFPGVKGVMTIPMKTPTEIELCKKYKVKVTFLDANHCPGAVMILFQGYFGTILHTGDMR FNMEMIPKNPQLYPPQNISNENGKCSIDIDELILDNTYCDPIFKFPNRDEAFKMLCEIID KNPNNRVFLCVDSVGKEELMVELAKHYDTVIVVNEQRYEIVTAMNFYVEYFTLDKTQGWI EVIRKGEVEERLKDKNTIAITATGWANTTSYQMPDNRRYVIPYSLHSNFNEMHQFVKSIQ PALLQKVVKNNTGKSSKIYNITQFSQYMVTLHHIKQRGFEFFLKNYTDEKRLSQEYRSIM MDSRKQREINQELGIELSEQDHQIINQTMFQDTNQNIFQKQKQVRKGYKFEQPETNKNFK ITQFIRAKKQLVNTEKALQQDVIPVDKEKRKDNNAEITEVTKQTKDPIIID >CAK94932 pep:novel supercontig:GCA_000165425.1:CT868680:80239:84983:1 gene:GSPATT00027259001 transcript:CAK94932 MDELNNRQTPKLRFRQQQVQQKAIESDPKQTQIARLPSGSKNAIALPRLDSRAATQSLVM KDVDKEMNQLELSSKVRDLEILMIDFKANIERRIQKTIEDNPQKYMQSIKQLESQEMHLW KQVNDKQAILQDAISQLRNQGEKNRDNLSQMILDLQKKCNDQELKLGALINQQEGIELKL NVSKPTSIQPVPDNLEVKLLREAFNNEKTHRDVIVEDLQKQWQDFHYRLVKQESDFYNKL KQQREEFLEQNEKTKVSIKALDNMKLAKINQDQDYIKNLIGSVEARIADEVERRLKSEFD QRNQLENKIQAFKEEIRNDEKQILLGEQKFMKQMHDSVSSLNQIIKNTKEQLEANLAASQ TIVTENIKSISKTVDMIRESSLAKVALIEQGQKELNFRVGETRQMMHEHANLINNSLQKE FQRQQDQLQGYESQMQKSIEIQNKLLNDERTLNEDWKKQFAQKNLVIFTEVSTNLKNIKG DQIKDKNELQEGLKKITNDVENNDKKQLQLMKNLDQKVNQGLNSQEFKLDQLRIELSEII KNIQLQYNIQMHEQIQFAIETAKKDATQQVLESSQQINDRITREIEMADQQTNAKLDSLS IQMKDLIRNREEYILQRLQSMIDEEKELRQRLQELIEKYGDMVRKLKEDLDYEMQQLRRD QDEKLNEAIQKIERDYSEKVKDIERQLKQEILNSENRMQTKLDVLSLEFSKTMEQMKLYI KKIENTLKSYVQQELEQTKHDLKMMIKGIEDDVFKLRIWTIQQMEKMDSAMKEIHIQIQT EMYLERMYTMTTLENFQEHLINVMNKEKQDRLQWQFSMENQLNQLDMSLNNKIDSVQNNL QQQLDDVNAEFKQAVQKLNEQINEQLGKLEEDFNQKLNDVHEQVKQAAKDLEDKINQQMD KMNEEINQQLTQFKNDVENQFQELTEKIKQDLQDLFDEINQKVNNLRVDTQNGLTQVVKL QTTLGNQLKSQIDESFAYFQSKFYLMEQIRQANEELDKERHTGIIGKLQLLVKEMERLEQ KKNQIKKDLNDFVKGVQNNFNNITDAIKAHGQFLNELDSKLTIELLLQQTINEAQEYKLQ AQLIEMNKNSEGKIQELEEKLTNFDTTIHNQLEQIQVDQEETNNRIETEQKNHQEQIKMQ EEQLKIQEDQLKTQDEQLKLSFVQLQQIVGNIQLMKTMNGAMEINLSDYVKTQIQQTLEL ILKNNQTNLDNMVKQEKNIKLLIEGKIGQQNQNLKQITDYVDKIVQDTTQMQVDVNTEFE KVNDKIQLLQKEQGQGQSQSKDLDQNMVAYGIALDTVKKDLEGEIKRLQTQIDNLGQNVA FQKTGNQDKNQPQSDNKMKEMEIRINDIEQDFQKQGTDIESLKNQVKTLQSNKQIQSPVS QTPNNKSQNNQQQMDMFAKEIDRIDQEINKLKNQISKLGSGVAGGAAVNNSDATKEIQEL KSYVEDFEKGVTTEIQNFRTEVESMKKNKSGSAATGITAEEFGQFQSQLDEEFNKYDGQI QQLNEEIQVVKNQSTGGAGKAGDNKLIEGRLKLMEQKLLDLEGEITQMQDVIDEHDGKLN DIAK >CAK94933 pep:novel supercontig:GCA_000165425.1:CT868680:85080:85951:1 gene:GSPATT00027260001 transcript:CAK94933 MAQEIKEFLNRKDYYEILGVSKSATDEELKKAYRKLALKFHPDKNQNEGAQEAFKRVAQA YNCLSNPDKKRVYDQYGTEKPENQRYQHHQDQNGYYYEQSYGDDFANEIFRAFFGPQRGH PQNRQHQNGQVNMQFLQFLPILMLILLSSQGFLSLFQKAPIYSFQRSYEYPTSQTTKTLQ VKYFVASNFKEEVSTKEKLREIELEIEQQYVNQLKRDCNNVEYKRSMYESYANRAFYQKD RDSYRNAIRRLDFSSCDQLDDHRRTIPRFDQLY >CAK94934 pep:novel supercontig:GCA_000165425.1:CT868680:86282:87029:1 gene:GSPATT00027261001 transcript:CAK94934 MDYKQQYDEMCQKYQQMEEEYNQFIEESQILEDQQQKTIETLNKQLAQTQNQLLQQKEET QRARSELQQTQNQLEKQLNKKETQIAEFQKNVQSLKLQIIDLEVDQDLNNNKIRQLEETN KDLEIKLDKVLEQLAMAQTDQEANKIQSSEEIERLKQTLKENQDELFAAKNQRVCVTTTI PEVVKMPKIDSLRANAAGFNKSLTLIQALIKDLDDKMTLIRQPKA >CAK94935 pep:novel supercontig:GCA_000165425.1:CT868680:88403:89676:-1 gene:GSPATT00027262001 transcript:CAK94935 MQYIYGTFIDGWYNLRFFLISYFQDSEKRLRQIPNNIYILNHVINDDLAVDQKMEKLKSL FEGTIWFSYRSKILQLQYSTLTSDTGWGCMLRVGQMAMCQQIKYFYNLSSSQELTELIQQ FADNDEEELSKFMDRNDGDQTIQYKSPFSIQKIVVQTKLELQKSPGEWYKPNDILFVLKY LFRYSKYQKNLRMHINHENAFILSDVISLMFNKNGGDEEWLKEQIEKGQNDEFGVSIFIL TRIGLDTCNQEYLKVLNDIMTYPQFQGILGGFPNKALYILGRVGNYYIYLDPHYVQNAQN YQEMENDRSSYTCQSIQLIDSNQLDPSMAISFCVKNALDLLDLWRRLKQTKSENGESFFM ALTETHVYYQLAQSYYCVSDEDDFVTILH >CAK94936 pep:novel supercontig:GCA_000165425.1:CT868680:89688:90698:-1 gene:GSPATT00027263001 transcript:CAK94936 MKSNKSQKQNKMQLFYNAKLHNITITDIADESKTRMNHSVKILRTETKEDLNQRVQTLPI KNTTMKNSQVKRRRLKLRISVINQMMMYSEEQLQEKCIDIFRKLAKPIAGIPILEQSRLY QYLIQIFHNEQIATKTVRYLQVPQNMNYKQYCDMIMGFNDWTKVDILFYCFYVFQDNYSA ILDSNSLFQLLTSEQCFSDDSNFIIRTLRQRQEFNHRDNYKTYHTKLLKIPNLTFRRYKR EQEMIIEKLRKQKLDVYQIKKKMMISNFKEHQFVDKEDNIYQLPIQKDDDIIVTFETFKS IYSHSHPCFFGWLMKCLGDIDIYFIGQKL >CAK94937 pep:novel supercontig:GCA_000165425.1:CT868680:91599:92740:-1 gene:GSPATT00027264001 transcript:CAK94937 MKKNQFGLLEDLTEPGLIKKSNDVSWKSDQIYKQTNFEKNTAYFHIMGHEESHAVRRREI LKKHPEIQVLFGNDINSAWLGITFVLVQFSFISLFNQLSLFWFVITAYVFGATLSHALHV LVHDFTHFTCFESLALNKLMAIWANFGQGVPSAITFGRYHADHHTFLNLETLDPDLPSRL ELKYIKGPVMKFLFVACLPLFYALRPVILRPLKPNAYEVINAVSVLFVDYLIVQYIGVQG LLWLLLSTYFGLSVHPFAAHLIAEHYEFVNRMETYDYIGWANFFVLNIGYHTEHHDFPMI PWTRLPFLRKMVPEYYENLPCHTNYVHTLFAYIFDGYIGPFSRIVRSSPIYFKQ >CAK94938 pep:novel supercontig:GCA_000165425.1:CT868680:93570:94119:1 gene:GSPATT00027265001 transcript:CAK94938 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNQLHKPVVNIDKLWSLVTDETREKYSKSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK94939 pep:novel supercontig:GCA_000165425.1:CT868680:94499:95154:1 gene:GSPATT00027266001 transcript:CAK94939 MRFLFCGDSNCPEWFLAESALLTKIASVKMKLVTQYILQNIVEGVDTSAKIFKMLEGSGF NQQEINSTIACVSYIVENSAKYDVSEQVLIKELIDLGLPKENCEQLSKTFKQYKDKLQQV YENQIIRIGKAVEFHYGISKFIVSESVVPQKQIEGNRFIDTNITFQHDNGKQECCQFVIF PEQLEDMLNSFKKAQKLMMQL >CAK94940 pep:novel supercontig:GCA_000165425.1:CT868680:95770:96603:1 gene:GSPATT00027267001 transcript:CAK94940 MIIFVRNGERDKKYDGLNKAGADQALKRAKEIKTQIQDYCNENDYELSDINLIICSSPFK KCLETADIIKQNIESKELKFKKKYNKIFTQYELGDYQHPKLCSAEDVSLDFAGAYIKSVT KETLIDPKQLPQNNYKKETSEQVEERIGAAIYQITNKLQNSKDNTKQVYIIITHKVTLEI LVDIFEGSREISESGLITIKFKSDSTFGISFVGKVFKMKEKKQINEQFEDFQQNISEDED QQQEDEEQQEDGEQQENEDSN >CAK94941 pep:novel supercontig:GCA_000165425.1:CT868680:96933:97819:1 gene:GSPATT00027268001 transcript:CAK94941 MNYSALAKEVFELQNKVRQDPSLMIPVLENRLKYFKDKIIHMPGTQATNTKEGASSVQEC IDFLKKQKPVGPLTYDKGLEEAARDHAEDLGTNGLTGHEGSDHSTTKQRIERHGSWKPGT IGENISFGKSTAEDIVIQLIVDDGVPSRGHRSNFFEPAYKQVGIFGAPHKAFKFVFVFDF ASKFIQGGKQEQQQGEQEKEKKKKQEKKQKDDDDDDKKDEGKEKLIPGAKKVQKKVKTVT KGGKKHIITTKIYTMNDGTTQEEVTEKVEDA >CAK94942 pep:novel supercontig:GCA_000165425.1:CT868680:97857:99332:-1 gene:GSPATT00027269001 transcript:CAK94942 MFNQILCIMMMISLHYCRTKEEWKNRTIYQLLTDRYASTKSNSTSCNLGNYCGGNFKGIE KNLDYIKNLGFDAIWISPIVQNYDGAYHGYAAKNIYQINEHFGTAQDLKDLVNACHQKDI WVMIDIVANHMGNQDLDFSRNYPFNSSSHFHDLCEISDTDFATHNLVNIERCRLFMLADL NQDNSYVANELINWIKWVVSEFNIDGIRIDTAMMVKGEFWKKFTEATGIYSIGEVFDGDM GFLKQFIGPMDGLLNYPLFFTLRDVFLHWGDMYRIESFYYNQLQTYGKNNIPYMAQFNDN HDNARFLSDEVNGVSASALKILQFKAFTAFTLTSIGVPIMYYGSEQLFTGGGDPKNREIM WNSFNENSDTYKFIKKINQARKAVNAGAQEQVQRYADNDFYAFTRGQLFAAFTSKYDRDV IRKITYHPYADGTKLCNVLFTGDCVLVQNGSFQVYLRNGEVKIFLPENIVVEME >CAK94943 pep:novel supercontig:GCA_000165425.1:CT868680:99390:101177:-1 gene:GSPATT00027270001 transcript:CAK94943 MQIYSLQQERTLGQGTFGKVQLGYHTIADEYVAIKILEKRMIEKHSDLVRVQREISILKK VNHPNVIKLYEILESESCVYLVMEYVKGGELYEYIIKKKYLPENIAVRYFQQLIFATEYL HSQNITHRDLKPENLLLDENRQLKIADFGLSFISQTQGEYLKTACGSPCYAAPEMLVGKT YEGTKSDIWSCGIILFAMLCGYLPFEHENTQQLYELIKTSDFEKPEYLSKNAIDLLTNIL VKDPNKRINIEQIKQHPFFSSHASIPSKNLSLNDQGIIAKMIEMGYQQNQIITQLQKNKH NTLTTIYYLLQKKCNQPQKRSFFQNIQNLGNLKLDINQKRFTISKFQPSQQGSPILEKID KTKQSIRPKLDNSPYLKTQISQKFKKVNLSVQSKRVSVQIENQRQKTNSVQEKHCERPYI LTQIIQDQRSRSQSRKNSKHQATMQRRDLEQAIKTDNNEENLSIQKGIYAQVRQRNKTQP NKNEEASKYFEKTSKLNTSHQMAEKNPQFSPYINMNISNHRQLFKQNYNGNLCDLMKKKG EFKTRIL >CAK94944 pep:novel supercontig:GCA_000165425.1:CT868680:101256:101438:1 gene:GSPATT00027271001 transcript:CAK94944 MKKQSQKEEKRVEPKVGLLGTYDNLTREQLIEKLLVTEEILKKLYKENKELKEMINKQQN >CAK94945 pep:novel supercontig:GCA_000165425.1:CT868680:101680:104967:1 gene:GSPATT00027272001 transcript:CAK94945 MDRDFDSESNFEERLIEDEERNDQRYYTTQLLLSIQGLGTFTKVDNMNVYAKGEKAEGAL KHLCQQCKKDIPDKPIARIYLAKYRVFQLNLINLLTCYPQDKVLSYYVVILMEQLTNVDR SGIKLQTPNAPQMLDTLQEYKLYFLKPIVLQTLVNHFAECIQVDQECRTKVHNDMIELIV LLFKNLLSIPDIEETKIGLESGKEVKRNLQRNFIYCMIKESVLSAFIYISQDFKSSFMQR IALPLMEIFYYALTPFDPEWLLRDSEQEKELILKQAQQYRLQKQQHLSTIPTRHSRFPGL FVTKRVLSQSGQISSQPFHQHQVNKLANQRQKPQVRALISKQKTIFVKPLTIDLYDPEKE KNFKEELRHSTIDFLIHCFSKLIDQAYIEMNKEIVDSDDKVHYFVLISHFLKFIRFHCKQ NKEDNQGQLSSEFVSVQAALQITQFNFIYSNLINESTQLKKKNFNYRNFFAVINVLFEFL MIVRELSLSVEQTDRRNSQILLQTIVTQDIAKAISIGYGYCQFGLFNDQMLSTLVKLTAL YYDLLELHTQGKAWSIRTNKLLKKKIKKQQNKANRGRKRKQDQPNEEDNDFIEKGPDEEH SMREEEEDEENEEDDDENETEDDQPIYKERRCNFVSEFAIIVDYKVLEKYFYLIKEDKLL RNSSELNIAIYKYFKRIVDTLKADWIFFQLDFLYVINSIIQNKQLTYKSEFLNLIQLFRR IGISFVQLFKVNRLMSVEILFRFPNAATKDSILKNYQDSVAEGGADLENYQQEGPKKKVI WTQKEDKILIENYEKYKDCEQPEEQLSLLLSQNGFTIKSPAEIRKRIRKFRLEEGNEKAI ALYQQQYEMISMKKVEIMAKSVKSLLQEVGEESLNQFFNHLFSTLENYVDYRGQESIEMP IPPLDKLQFEFFEKGSVQAIFLGLDLKPPSQGEIYWRLQTHTFRPEINEMRSMLTKYLEK AKKIDDDQIIEEFQPLQINSQKKQLDSKKKVIENISAYAEDELDDENEQQGSDKKVVEKK MKLDKKSKDLDSEEERQIYEKYMEQQAQNEQIQRDVENKSKDNYDDIFD >CAK94946 pep:novel supercontig:GCA_000165425.1:CT868680:105098:106043:1 gene:GSPATT00027273001 transcript:CAK94946 MQQKVNIYNNHKRYHKLDLNKGSIQKVGLFVLDKDNERFLKIGCILIMDKFDGKLTQGFV KNINFQDLIEKIKIKESAKWNQVFLYGFKTKDIVLYDLSFALSPIKSLYWLLIFIQNYVK YMYLNNNNKYFIYEEIQLKVLLSCCKEITFNELKSNAETIFKPKLIRIKNFLCRIGQKAK EREIDRQNSKVTNKQDEIVQQKLKKTTMKNIENISNQNSDDRQCISGGSILKEIKIYFTI LCYVHEGKLNKEKKVENEKKRDQTQYRG >CAK94947 pep:novel supercontig:GCA_000165425.1:CT868680:106048:107061:-1 gene:GSPATT00027274001 transcript:CAK94947 MIENQQDDQISPIDYGKHHAEPQKDHRDLKYMATKISEPRGSVQYPQHVQVAIEDDTSSQ NDSRWYAKNETYKQLIGNERTGFIKKKVYSIMIIQLFLTMIMCLISYLSLDYRRFQLDHS GFAYLALGISIFIELLLFCVPKFAWRVPYNYILLFIFTLCEGYLISNLCSYVFDRYSDNG GFIVLMAASLSLAAVIGLTIYACKTKSDFTTKGALLFMCVTSLLLFGIMAGVYYQNVINL LYSLLCCLLFGAYLIYDTQLILGGSTHKLSIDDYIIGSMIIYIDIVYLFAHILMVLIACL R >CAK94948 pep:novel supercontig:GCA_000165425.1:CT868680:108026:109230:1 gene:GSPATT00027275001 transcript:CAK94948 MKAILILGLLVFGITAVELEAGVPILLKEIKAIKTDHTDFTFLLDLELSQGGKVSEVVAL VGDLLEQMKRDQLNDDLEYAHRTTTLGLDIEWLVIVLRNLTKERQDKNNQLSEVNQVLVG LQQQLDALTEQLNILNGKEEQLRQTRAEEAASYQTRQENNGKVLAALNEIIPKLQVAVFD QEGKSLLQTEQFEIVEKIKRELGHNHPIAIMVSLTTKFDVSTVKRIIDKLEHIRNAVISL MQQEDEQETQSQITFQTQIQEVAELREKFSKDFEVTSQLIKKRTNDKVLLEKRLTIINRD LSLTEQLLIQTREYKEQYDAAYAVRKGKRISEIKTVQQAYDLVENHARKHK >CAK94949 pep:novel supercontig:GCA_000165425.1:CT868680:109267:110201:1 gene:GSPATT00027276001 transcript:CAK94949 MCLPLKFIQLFIRINCFCFIILGIVLISQVFVTLSQGVSSDGEGIVFTFSVGLAVVIVGA SGLLSSYCPNFCIIFIYSCFIIFIGVLTAYITISLTILKNQLHLNYLLLRKQRSKFYGRK LNFVNMTAFVISRKSKTGILTTWRIIAYIIQPIDKSVIQHNIKNAKSGLKLMELHILTLH IWRKNIVVLDGANLIQSTFFQTSIMGSHACYPYFEQEYEYYVNKSYIDYLIVDFLYLFNL CFAICQCYQTSRNKKSRIYPQILYELAPQ >CAK94950 pep:novel supercontig:GCA_000165425.1:CT868680:110265:111427:1 gene:GSPATT00027277001 transcript:CAK94950 MYFYDYNPILIAQFCCIAMSLLSVIGVIIVFILGKGWRYFIVILFFTQIICMLFFYVPQV LTPFIFYDESESTENDFCQFKQNNENDQQCIQQTFCKLQGYFINSSFLASTLISLYSSYI IQQTLNPNSQIGKKVKQIYWIHFGIGIFTFTICIPMLFKAQYNHYGTSWGNQLIYYVCNL EIESDHLYTQTVFWLQTTITTALILVGIKFHWSAKKLKSKIRTNFVGEFDACSSLNLYIL PITLLIFWTINVMQKLIDFKSDYIRQSGYAIQAIWFLPQLLLALQGFNYASLFFYAFYLQ LVPNLPKSLQSTYLFFAKISFYNCIYGRIAESKILKDSLLYEADSAKDSTSSYIQKDDSS TNR >CAK94951 pep:novel supercontig:GCA_000165425.1:CT868680:111457:112146:-1 gene:GSPATT00027278001 transcript:CAK94951 MSATTNWTTSKNDLIKWINETFKLTITQLEALGTGCIFCQIFEYFYPNSIQSNKVVWKAN TEVEFIKNFKTLAEAFTKLNISKSFDIQNLSKARYQDLLDLAIFFKTKFDKQAEKRTGYD PLDFRKGEEKKIIITQNRKPVSALKDKTNLEDSKVSPQSVLVKRSSSKAQNQRRNHESTD LTKTPQLSSITEIVNNTEDDEATKVKKIKQLFI >CAK94952 pep:novel supercontig:GCA_000165425.1:CT868680:112366:114517:-1 gene:GSPATT00027279001 transcript:CAK94952 MEEQVYISEEGMKHILKVFDPKDPLKNILWTTNYRMELPLRVRKSGAGSEVPITLPEQFK MDCSQFAKKPALSVKRNNKWITRTYQDYYNESLQFAKALIAYGVSEMSAVNIIGFNAPEW FISFMGSIHSHNLPVGIYTTNNPEACFYVSEHSECELVVVDTREQLNKYLQIWDKLPKLK GVVIYNDEIPKEGISEQRRSQIFKWKDFLEFGKKPELINQVVERVSQLRPGNCVTLIYTS GTTGNPKGVMLSHDNYIFTITQQRKKYQFLDNEEMRIVSYLPLSHVAGQLIDIVGSCRWG AHIYFANPDALSGTLINTLKEVRPTVFFSVPRVWEKIYDQMQMIAKSNGAIKTKIATWAK SIGKEGTFALTHGQKPPLCFGLADKLVYNNVKKALGLDQAKYLIFGAAPLSPVIREYFLS LNMYLINGYGMSECGGVTTLADPLHFDKFDDFFMNSTGKTMEGTELKIDQPDKDGNGEVC YRGRHIFMGYFKDEESTRNTVDKDRWLHSGDIGKLDSKGNLTITGRIKELIITAGGENVA PVLIENEFKKTIPIISNCMVIGDKRKYLTILLTLKHQLKPDGTPTNKLLDDVIQAFQQLG SNATTFEEAKKDPIITTYLQKLVDDANTRVISKAQYIRKWTLIPSDFSVDGGELTPTLKL KRRVVEQKWINEIEKMYQDAKL >CAK94953 pep:novel supercontig:GCA_000165425.1:CT868680:115098:116229:-1 gene:GSPATT00027280001 transcript:CAK94953 MSYGQNLQVFNPQRFKKIEKEMQQNKKRLEEMRLEEESQNTRLLKVQQKERTVDLEYKDR KKKMEETQEQLAFEAQKFNDEQRRMNQDLESKKDSVVMLNDRKKRQDDERYAKEQKITLA KEQEKAQALNELAKLQEEVNQLEVRRKNHQQYELFFKKVISKSKELETGQNDDENAIKEL IDRYERLKKKEEEFKKDRELRENQKDQINQQFNELNSKIQAETYEFNAKYNKLKLAIQQI NDENDQKHKEIEGTQNQNEATQKEYIQVIHGIQNMKDILKQRNKIVKRILLSGKDSDNVT EQQRNRKKEKKIDNQKIEKYLNFIKDELQTLQQFKQEFDRYLAKEKERD >CAK94954 pep:novel supercontig:GCA_000165425.1:CT868680:116307:117855:-1 gene:GSPATT00027281001 transcript:CAK94954 MLLYTCVLATTLVLSLGQEDSESELRTKSKRITYEDPDPYKNLIQWLKDGKAEVSKVSIE VKSEGYRTLRASQFIRQGEWVLFVPRTHYLSLEEVKKSCLINRKMIQLNYIPNNIQTYFV NHLLQENRRQNSFWKPYIDVLPKDVSGFPTNFDAEQDALLKGSPTLFTVMNQRKTFQEEY DNLKEAVKEFQRYGYTYNDFVKFRTLTISRSFPVYIGENEQQQLLVPLADFINHDNNGFL QYGYSPDADGFFMQAVRNIQKGEELFYNYGQWSNKYFFMNYGFASLTNPMNQFDFDICLD RNDRMFKMKVELTGGNICWGNRLVNETDHDTFRQSLATVRFAQISKLDDFLQLEEDVQNY NQFWPGWHTTPKTIELEKATFKALRDLLVSELANFASTIEDDQRRLNDPSTPEFRRHIIM LTMREKQIIKKNIEVCDMMLSVIDKTSEQLKDLMRYYNYREIARFVNSEIIPMKLEAEGL A >CAK94955 pep:novel supercontig:GCA_000165425.1:CT868680:117900:119380:1 gene:GSPATT00027282001 transcript:CAK94955 MFLQIFALSIFILCAQPKEENDLHVVFDKNSKQFFEKNEVSMIFFYTPQCGHCERFQPEV EKAAKQLKEEGFVFAKVDGHNYKDIAKQFEVTGYPSVFLSQDHGKKYKKFEGPRTSDSVI MWMYEQLNEGTKELKTIQQIKDKISQSQLMYLYMAQNDEDRGFRRYKDYSHTYENLEFYH TFLENAQQELGFGPTDSLVAFKKYDKSPVVYQPKQIKVADLKAFIETNWFQRLQEYNEDV AKKIFKQDRSTLILLNDETQMKDRNAHDALKSISLDHPHEDHLLFIKCSVTNQLFPELQK QIGDFTGTPALFGLQKYGVYKYKFNQAFTKQNLDQFILDFKNGKAQKHFKSQKLDNPIYS ENVEILTGNSYQKIINSPEDWVVFYYNSFDSEHLTLLPEFAEIAKQLAQISKVKFAIADV TQNEFSDFSDPTDIYKIRLYKGNKNYTKFIQKVNKIQRERLINFIKEHSNHEFHDDRKGS HHDDL >CAK94956 pep:novel supercontig:GCA_000165425.1:CT868680:119383:120379:-1 gene:GSPATT00027283001 transcript:CAK94956 MSVDKYVLILMNSKQTYIHRFDKINTPDVIIVIQKFRQQEDQDEITLSQSLKITRRFAKY LLKQAGHYDRVRKAQKEYQNLSKRIGDLTKIFSKYEIDGLIQYKDEQNDSFTKFMKDAGL YDHQILQLYITYKFGAQKGAAFTLDEFLLGMIRLKCYTIKDLKNLCPDLLKKIQKENKYK KLYSYYFKVISQGKNVIRFSEAITLWDSLLKGQFKEIIDFISFCKAKPADFQNQTKVSFD LWCQVWKFFETIGNDYQKFDENDAWPLLIYEYVQFKIK >CAK94957 pep:novel supercontig:GCA_000165425.1:CT868680:121020:126047:1 gene:GSPATT00027284001 transcript:CAK94957 MKRTSLFEDDKTNLDKIQHSFKIMFFEIVHNLILGDNFPFFIYVFFILIESLQVFHFFFT DEFSSLWKVQSWTSALNSFFSYFMIQPYLKNLSFQTYILVNYIVMGLIMLLLIVVLFIAL KSASLGRMTGTLMILKIFFEVLNYVLFMPILQLFLTIFNCDNSTHIHKIYKDQQCYNGNY LLHVLLCCIASIILIAVSTLVTLMFFESRFQINNPQCKVTGREDLIFLIFKVVMVLCFTI LDVQNQRLLIIIILTLFSIKLFHSFNKSSIYLNIYFAKLLNGQHMIILWTMMMVVLGLIL ENTDYDGAPQLWVICTPLLLIIILVRKEYRYEVMMVDSNKFDSLDQSLQLLQYLTKLVGY YDKDESIASLLDGFVEYHKVTCKRDDCPCSPKNMNDKRIEKFKKGVKDLSMKSQYVILIY IVEKIYVLSLTRYPNCIELRISHALFLYEKMQSTIQALQELALAEQEKPYLDQQFLIFRL RKIIEEQMFDSGNLTNVNEFTAENNLREIKMLIEKSTQLHLDFWIKLREDTPDLGKLYEV GLRMLYVDKVLDDQWKRIMKINFDMPPTLLMMYSRYLIDVLNDREEAEDVVERLKNLQTF DMDRGKIINNLKDFVNESTALISISAEDGNFSRILGLNQSCAKTFGYTKSELLDRKINVL MPNIYAQYHDQFLEGYTQSQESRILNRERLLIGKHKSGYIFPFFIYVRYIPSLINGSQFF AAIKQERYFKNQAYMIVNSFDMCIENISAQFISLFHIDLNYISKKKTQVSDLIPQFEEFK QELMSKQGYETTVQYKSRDQFIQQQFQVYVSEICICVNRFMNNQNKEGNLVQTNLTLNVP QSQHHKKDERQAQLFGYIIKMEQIRGEKSILQTPSEHGPASARKQLNSAQKFLFQFDSKE IPGMKEKIEQLKKQYKKDNNTKLPDQFVYKFIGEYISDQNFQMSAISISKQDETYEYSQK NDDDKTPSSKLDEKNNSLALGIKTMILIGGQLFDSEEYQNLEFEEEEDGQSKIVGQMPSK QEEDQEQDNGNANVYKSKKTFVQFLKESKSANQSSLTCFRWNAALLIIFLGVLGLLNYIL TQQLFFGVYDGYKNVINSNQRVALGQRILWQTVELYLLIQLNPYVDQTKNIADQRQSLNQ SISNLQELQFVLQSAVVEGDQVDLIRTNSVKMTGRDQSGTLSTQLVDLNQGTAQILSKAL ELLQSYNPESQFFVSYNLLNNYFEAVVQSANIYGAQLRDKLNGSSIVITLFIVACAFAVV SVPLIACMFSLVSQNQEIIMKLFLQIQINKVKKLVNICEGFFNSLQVGEEDDELHRDNEG FMDDDEVQDEDEEYLERHKKRKKYKYDLKNKRNFYLKFAISMVMLLGYFLTHFLIGDYLQ NAFSSLIDEIEATSQLVPNITFSNNVIRQMIIDPTFEVKGSSSYLISSTFVKELYDLNTK IQKEHSSNIQYHNPTYNTHFDSLMKSGACSYLFSIVEIDINTCQSFIEGIIDQSLSLALS RYFEDIRSQLQEYNNIIKDPSHKISGTLYNFTSNSTYNKALSLLYTDTQRNEIDILQDLY LRQIFSYTTDLFLTSVQQDVDTNTTTSVAIFIVFLVVLVLIYLFFWWPTATKINNEIKKT TLLLANIPLSLIKRSKAIREYLNRMHEIE >CAK94958 pep:novel supercontig:GCA_000165425.1:CT868680:126308:130402:-1 gene:GSPATT00027285001 transcript:CAK94958 MFKKNQYIVKFLGKLLEFENKAYILPEYLVNILLLQHSLVNLSYIYQWNEKSWIQILVLI ARPQLIIKIEFLNYLMLAFIVLWFVFMFNQNLYGASLISLFNTLLKTALLNFVLEQMEDQ FGLTIGLFISILAFFDVLLVQGTLTVNTINFQVSYSNYLNTLEFILNILLIYFYLFQFDQ IVLQILALIKSGFQLTLIVYYNNYRNSIIRKLAASFHLATILFGIINFVHYEDLITLSLL PFLLKIILYQQFQNHNVDDPILKASILFQEGKVFQCFIILNNLKDLGIMRRAKCNQQMKQ CIDQITIKISKPNQTLKGVCQQLMKNDQRNILLSNSLNNLIQNKLLLLQNFKDIDFNQLM LYTDKVTSISHQLEQYYNQQNSMMIQSLLVFFYSEIVNDLLKAQQIQSSFSTSQETQIKF NDSVLSKLIYLVANYQNNKLIIKSISSNAPKTFCNKTLEELVPIGVREWHSLLVDKFVTD GQSKYVRTLHNNYIANESFIENVQFAIDIFYTNEVDFVCLFQPTLLQTKTVIVNQHYQIT SMSADFSDFVNLSQQIDKFIPSVTQITESCYLENMIVMQSDQILSANNFSEQKTEMNYYC DVNITVKMHHDKMLYMIIQFDNFKKQIIKKNEEVFEEQIAERHTFEMIPFELENNIILDP YEFSEDLNSKQNKNNYLYIDEKNLVQQQIFTPRDEEVSLVKFQRVAKRFQSQFKKSQFQE EQQAKFYDVQSQVSSLKIFRSSKFYRKYELYNKFLQFIPYNRIHKFIISIMFLLGLLQII FIIIQLTDNPLVTLVEDVNLLEIKNLIFQPMELFLVTRWTLFNYKNQLTELKISQEDYTN RTQFALSNLDLGYDQLNQNIQSVLFKKELQALLQIKHIYAYQYLDTYKNEQYNMTLRAAI QVLLNFQYTLKMNYKIEKTVAIDTPQVFYSYKNYKILNDIAQQLNLDVISQTLLRASLIQ DEIETILAINQSLMIFLLLLIFILHHIIDRRLIQCINLIQYVDERELEFEIKKYQSFLSQ LKIDNSFKFQYRLDVEVKEQQFQQFQAYEMQTKIKFQKISTNSLLKFGLLLSSIYVFFSF NHFSIYFLQKNFLEKYPETTDYLKGFGNLGVDFPCMFAQREILYERKRLMYLTQEDFEYI YERIILALTNTAEFDSKEKDFSKILVTKKFSDYYEQLEVSNLCNFIPKNIEQKSLSICAQ IFNQNMQLGLKAVLIYAQNLIAKEIEINKFTKRLTLVYNELDGVFILSQIIKDLNTEFVE DLKNLTNKLVLVLYVNALSINQIINICYLVVILFIILIWITKLAKKLINNTKQIVQFVQI LPTYSLFTNDFFERIMRSFLNQN >CAK94959 pep:novel supercontig:GCA_000165425.1:CT868680:130519:131486:-1 gene:GSPATT00027286001 transcript:CAK94959 MLPKITQSQELYLLNYSEEATLNEVKRRKKELELQAQCVENRIRQLRNEEGKLIKRIKQT EELTSDVYKQKVAAQMKKQQKLQKNAIYYRSAQNNTRELTEQSTGNERNTELYIKTTIER NQLKIVKQEFRQYKHNEAQNYRKLLKYEQFKFIEEQKKWHENQKLKAALRKQEQNLSEVL IREKLNEKRNKIKQELDKEKFKTIQENEERELHIKQLEIEELQIVQKLQATQQREKDVKG KLIAATRLQPDEFAKTFYSLNNTQIIVEQSNVQNNKQEVCAQLGQNNNEQKQDQSNGEQQ GTQTEE >CAK94960 pep:novel supercontig:GCA_000165425.1:CT868680:132392:134646:1 gene:GSPATT00027287001 transcript:CAK94960 MHNFLFENRLSTYSTFSPFGSTKNSKPGIITKIKNKIQDLFLPSINPSSTIQRIQYYNEL NLEKELSHQFKNKLAIVDHLLPKKEENITLKRKSLYTFDEQREEVVQNENQISKEAQSNS VNRKIDQSPQVNETKKQKKFKKNHAKIEKPRKMEADQQQRDYDFNKKSQNQNIESNQENS SGYISNYQTQNNDCFKDCIKSATPYKKQKGLTNEQMDKKNQVEIQSFKQDQKFDSQQMHD LKQQIQETQNGNSIVGVKQIIQENELFSSSTGTNTKGEIMESLLRIEHIFYSQKSDSEML EEKRSNHSQLEIQNKLFSYFHSDLEERIDFDKKAELEQNRNPNDVKSTKSINQNQTVLET QQQQQQQVKKQRNLILDSVIINLQIQCSRQKQIDCKEEQQKVISQQSDQQKLNQVIQEDS IINHSYEKIQISNKQELKLQYNTIKQEEISQQTQVEKKVQHGQLQKQLDEKLETPLTQNQ LSTINQEGTPKTPKITQEIDQQKQLDAKQDQMQKQNNPFLDTSSHISSDQVSQYFLQGFL TGNSQFPLQQQQCTRNQNFLDLFKVQTNNQLFNFNVPLQNQGYQTQNINNSQNIAQKNDS SLVYKQMEIIDQIPFSEQFNVTQQNNYHQTISNYFTPNFEQKYCQPATYQQSQSSNELIS YQAPIQQQSLFPQMQQSSYQISSINLFQSNSPQPSTNMNCCQEEGCTFIISRYKYEVGLK QQIKHKFRFICY >CAK94961 pep:novel supercontig:GCA_000165425.1:CT868680:134793:136223:-1 gene:GSPATT00027288001 transcript:CAK94961 MLNQVVSEKLAQCANNNKLIQAKLQIMREARKPVLEDIDDIPKQNYIYPPYQPEIPTQEY LKQVNKQVKKINEEVKVINQKAELFEKKYNREERKRQDAKLFKKPVIKTKQQIEQEKKEK EDKRLDDFVNRIVAKYEPYLKKPEPIKESEMKAKKIYQQKFEHYQTKKELTNKIQRGLES KEQKEFDLVTKKDNWVKQKQQNQFELVEDYIQPEEEIKLEQESKSIIMKREQEKRKKKQQ MLFYQILDEKVKLDQKIFKKNFNFLVTKIFQQIDKQKTGYISKEELLSYFKDYTIFIDIF EINWQPFKEFVIKIKATRKGLLNKLELIEILLDQKLHSKIENVAERIQNQQKEDYQLPEF DQEIQADQEEVVPEPQNEQIRDPEIDYKQLEFITQINQEFQDLNYPIYHQDLENKKIDCQ IEKEQQQKQKKKLDPNFIMFNNEIGQLSEQYNKGMLEFQKNEDFVINSQLYNFQQK >CAK94962 pep:novel supercontig:GCA_000165425.1:CT868680:136255:137187:1 gene:GSPATT00027289001 transcript:CAK94962 MSEAYKKQKIDSFDYYDPPENYQPPVQQLDQSKVIEAYKTLIMLKNHMFKVNLLLSHEEE SSTIAELKNIKDELVLAKEAQEKEIASLQKTQDNLFSVNRLNGEDIDKLAKAYHEQDRKW YNAKLIQIDTEEQEADIQFIGYQEVVKMHAIFIKVQPIPDSKLFTVGQQCEAIYSSDGRY YLGAIEKVTEEGYHIRFKKNSNREIVPLIYLREAKKQLNDSKKMNFEEMDEFQVPDNLKY LPTDNEQQRQAKKKKIKAMKQTFKLSKITKYAQEKQSSWQSFAQKISTIKPDLGQKAESI YRQKCDQLKE >CAK94963 pep:novel supercontig:GCA_000165425.1:CT868680:137228:140161:1 gene:GSPATT00027290001 transcript:CAK94963 MKKKLDSQIQALVQSGINEHIRSIFVMIGDRSKYQVATMHQLLSKLSMIQKPKVLWCYKK DLGFTTHKKKRETEIKNQQMKEETQLNEPFNLFLSSNEIRYCYYAETQKVLGNTYNMLIL QDFEALTPNILCRTVETIAGGGIILFLIKTMSSLKQLYSLTMDIHSRLRTPSFKEVQPRF NERFIISLSRCQRCLFVDDELNLLPVSSTALEKIEQGTPINSNQVADFAQTVPNEIAQKL IKNCKTLDQAKIILGLIDSLQEKVFRYTISISAARGRGKSAAIGMAAAAALKLGFSNIFV TAPSPENLVTFFEFLISSLETLDFRQNQHFDVIQSTNPDFNNAVIRVNAYKTHRQFVQYI QPQDFVKVANNADLVFCDEAAAIPIQFVKSMFGSHTLVLSSTINGYEGTGRSLSLKLLQK LRETKQTGQARLLKELQMNDPIRYSNKDIVEKWLYELLLLDASDAPKLQKGLPHPNDCEL YLCNRDTLFSSQKTSEKFLFNLMSLFISSHYKNSPNDLQLLSDAPAHAVFVLLGPLPTDK TMPDILCAVQVCFEGAIPKDLVDQVTNQQKPSGDLIPWTLSKYYLDNQFPQYSGVRVIRI ATHPNAQKMGYGSKAMELLNRFCKGEILDPSAQLIHIDFREQATAEDEKDQLIQPKKKLP PLLKKLSEVYPPQIDYLGVSFGLTQELYKFWSKNQFSPAYLSLRKNDTTGEYTCIVLRPV KDEGSGIDNLCKAFSNDFRNRFINLLGYDFKDLEPYLCIDILKANVTTANVDEDIEIAKD DNIITKEELRQQLSLSDYARLENYCKSMADFYLILDILPSICKIFFAQKCGKAIRMSRTQ AALLLGMGLQYKNIELASEQVDNAVNQSLPLFNKAMRKMRNFIKMVLDDEQHQRTKPKQQ QQQPSKQSVIQQKRGKVNYQIDGQIDQEEIQQSLQTGDVVTLGRSKDQAYINYKKKVKLG >CAK94964 pep:novel supercontig:GCA_000165425.1:CT868680:140497:141830:-1 gene:GSPATT00027291001 transcript:CAK94964 MNVLEQSLKQIQELHIKGQYRKAYEQLKQLGTDLEAYDPTFKVFQTRACNIEMLAAFYTE YGSVALKLSLLDISKECVQCAFYHLKGFANMNLFILNVLTYQRINFWLCRYLDSIHLLKR LLSVVPNSLVHYKIEIYINIANSQRAWCFYDDAEVSINQAEQLASLLNPSYQNDLLKTNI LFLKARLYMDTDRSKYGLDFALDCLQQREMLLPRDHPDIAIAMELVAKINFSQNLVQEAQ KYHDQAYKMKIKAFGLHNFETIDSINEKGRLLLSSKKEQQAMYYFQLIGEISQVLLGPLN QYQALSYNNLGCALFNIGKYEASIQQHSNALFIYEKLCGLEHSKLVFSLNQMANANKLLN RPKAAFMIWKRCQTILEKNPQINSKQLNAIKSSLQGLSIQEED >CAK94965 pep:novel supercontig:GCA_000165425.1:CT868680:141864:142740:-1 gene:GSPATT00027292001 transcript:CAK94965 MYQQGNNYDFKIILGRVKEKNMRLRLWYSQTKGEDYEIVIKLKVKSDIDVKSADSEFKER CKSTYTILTSFVKQIRDFLKPTFALSYHVDEHYAYITFKYANQIELQEFMEICQFIRETM ERSQEREEVELQIERSISNCYLPNEFGSARKKAEFQKDSIKLLENLKLCKQENLPFFLQK KQLSQILLYLQLLQKAHAEVEIDKVEYDVGFAQPSIELPFKFAIYGKLQRFAEQYLDDGI ELAIKGPGLSVEINFTYKGSIFQLIDNVLIIKQ >CAK94966 pep:novel supercontig:GCA_000165425.1:CT868680:142769:144258:1 gene:GSPATT00027293001 transcript:CAK94966 MKAFRYQVTLNISKVELSVKFPCTLQVMWKRGLNKCLTRTKEKTRNIFIVNEVLTLDFNV GENTQKKTHLIALLNVDGQSKLAGVVNFNINETMPIEEQCALDLERSPDPNAKIYFTYQV VNLGETDLSESRASESFHQKTLADSFGFDKENLNQRILSQKKSSTQQISNYEAILTKELY EKSLKDLDQQKIKNTQLQDQLTLLTNEKSNYTEELKKLKTQIIQLKNENEYLEEQFESQK QEIIKLKENAQKITQFQVQNEKLNHEIENYKIQNSVLENKYQQTFKKAKQLEEKVEFSHF NKIERSTNTQFHEIPEYVQQNTTIAQLDDQVKEHARKNDKLTYELQFTSNKLKTLEQELS QCKCQVVQYQTQSSQLKEQCNRYETENSKLKMLIKQLEQDQNNKDRVQNKSQTEYQKQVE SLKDQLKQNSEKLEQVNEKYRSAKERIQKQNEIIEQQNDELTLQNMTFLKELQLIAKRQE QFGIV >CAK94967 pep:novel supercontig:GCA_000165425.1:CT868680:144310:145693:1 gene:GSPATT00027294001 transcript:CAK94967 MLKVSNKSRKNEQLETIQAIEKCTKIMKEDRLQLRQMVKDENVKLIELIAKHKKKNDLND LQQELRKFLKEKKTSPDKVQGAPVVLRKVKSKERCEWNTSMCSNPSIRSPSRSNLDFKKK SKEESNKKMESQKKLEKPENLKIEQNENDDLKILDEIQEVKPEQQVLIFNTANSVIDTFH EDLTPVKMEFQTIVSQAPLNQSDQRSECILQYNAAEIQHYMQSDKHYVSKETYSLPQLVS LNYKQIAGPDYQYLFTDWKTLLKPEQPRYHLNECCLFDEPQHLEQSKLINLKTDQSQIVK SLEIRGVTAEELFTYLVHHRFTKRLNQYQLIENAKLSIPNAQLDLESLFKLSDHDEDGWI SLEELGKTLQDTCIEKEQHINPIKGLYNRLNGVVNMENFMNAMNDRLQGEILYHEIDLFS KGFITYWEMYNHYEEIEKLLNK >CAK94968 pep:novel supercontig:GCA_000165425.1:CT868680:145823:146323:1 gene:GSPATT00027295001 transcript:CAK94968 MYVLSNTRNKLKFSLVLLSKVKSVHFYLLMSFTTISYLSYYWQNITQGYLNYLESRLKEQ QKKSEPKVPITGSSIVINEVLRKESINKMLGELIARIDNKESTQHNVAKQMCKLGNLSFL YDKTFVLTKDLLIQQVFNNDEIFVKLRDLLIKVLNDQKSLSGYFGM >CAK94969 pep:novel supercontig:GCA_000165425.1:CT868680:146602:147697:1 gene:GSPATT00027296001 transcript:CAK94969 MIIQNQEMSGNTKTQTFTPLSNVQASQTVLNQNYCDEPLLKQNPNRFVLFPIKYNDIWNM YKQHKASFWTAEEIDLYQDLKDWEKLTADEKHFIKYVLAFFAASDGIVNENLAQQFCTEV QVPEARCFYGFQIAMENIHSETYSLLIDTYITDENEKNYLLHAIDNVPVIQKKAMWAMKW INENDSFAERLIAFAAVEGIFFSGSFCAIFWIKKRSLMPGLTFSNELISRDEGLHTDFAC LLYKHLVNKMSNQRIHEIISSAVEIEKEFISEALPVELIGMNSNLMKQYIEFVADRLIFA LGAPKIYNSKNPFEWMDMISLQGKTNFFERRVGDYQKAGVMSKKQDKVFTMDADF >CAK94970 pep:novel supercontig:GCA_000165425.1:CT868680:147752:148947:1 gene:GSPATT00027297001 transcript:CAK94970 MLQKYVKEQYYENLRNFKYNGQNFSILYNWILGDIAQFVVDHIPKTVAPNVITITGFCTL LSSYLLSLYLNPMFDQGLPQWASLYITLTIFIYQTLDNADGKQARRTQQSTALGMLMDHG SDCTAAWITGQLYLSAFKIAFTPFSMLTAIGVGFGGFFFGVYCQQHTGVFQLGVINGVDE GLPVIQLFFLITALNSSDFWLNQIEIFNGINLQYNKILLLITIGACTITIAQFCYPVFKL MNWNIIKILNSLHLPIAVVFTFVSLIYLSPTNVLSKWFHIYMLTVGLQWSKIINIWQLAI ITKENFNQFSFTWLITLGTIIINLYSHYFTSDGLCYFDEVKLIFTLLAFSLLSYFHCITS IVTQLCEILDIHAFSIKQKY >CAK94971 pep:novel supercontig:GCA_000165425.1:CT868680:148976:149879:1 gene:GSPATT00027298001 transcript:CAK94971 MLIIDKINALWQSITFGTKFVLLSSILCCILDVLTNDILFDLFVDVPNKTFNQFELWRLL IPQFFHGNVYNLIISLLGFLLCAIEVEKSYGTIPFFCDIFFTNLIIQAIYVLLCFSLQKL SIEAMDSQSFGFWNITFIYMLNKGLTDQDDYQKFLCFPFYIPSKYYPAAFFLIMNLIEFP RLDLIAATLFAFIEYQLFDGFMMKLSKNKGFVTGIEHSFPFKYIQSRQDFITCDQVNHPF MSEEAKMSQYHLEIGTISTTETRVQDQQQI >CAK94972 pep:novel supercontig:GCA_000165425.1:CT868680:149908:151259:-1 gene:GSPATT00027299001 transcript:CAK94972 MPPKKEQQEEKKNKLGRPSNTLKMGIVGMANVGKSTTFNTLCKLNVPAENYPFCTIDPNN AKVPVPDERFIKLCQIHKPKSEIQAVLSIVDIAGLVPGAHKGEGLGNAFLSHIKECDGIY HVVRAFEDENVCHTELSVDPIRDMDIISTELLLKDLEFCNNRLAETEHVIKRNNNKDARE EKEVLDKVKLLLDNKKWVRTGDWNFKEIEILNKYYFITAKNVVYLVNLSQPDFMTRKNKW LKGIKDWVDANCPGDIIPYSADLEKTIFEETQAGTITQERSKLSMLPRIIKTGYKTLDLI YFFTAGEDEVRCWTIRAGTKAPQAAGVIHTDFEKGFICAEVMKYEDFVHLGTITAVKAEG KYRQQGKEYVVEDGDICFFKFNVGGGGKK >CAK94973 pep:novel supercontig:GCA_000165425.1:CT868680:151357:152342:-1 gene:GSPATT00027300001 transcript:CAK94973 MNNQPVYMSVEQINALFQRDSTFEMRDDRINSFQNDLKKNETWKSESAECEENRPTNQSE SMMMITREEYQGLQQEYERRKDMEEKYKEDINRLMRELKQKSAELESVKRDQDILCEQNQ RRETILQNLRSQIQEANSREQKAVIELAEMQEQHLQMKQQLEIVQQKYNYLQKQHKFEID RKEKQIDQLKSQIVSLNEDNEKLKNEPQAGILYLDSQRVSQEKIQNQWAQFNSSAYKSSI QFQKEKPVTQIQFKSNYDSKVIFGKKSQNSLKQQQSQSDLLSKGQ >CAK94974 pep:novel supercontig:GCA_000165425.1:CT868680:152547:153971:-1 gene:GSPATT00027301001 transcript:CAK94974 MNKLTLQFKAADIELKYQKQSIQKTLRPLHFGLLLMSLLMNTLQIIKVTTRQSFSIPIIN ITFVALSILSFFIVRKKETLTQKIMTLENAFILLLQLNFTASNIHGQEYYLYGSSFSLIQ AVTYFATDFYLSCPSVIIHLIFRLVVTTFYAGRFDLVGLGLTIVTAVFLISVLYICNRAQ RQQFLMNYKEDTINYQLQTLINKPFTKIIYNEKKLQIDVHQVNQEHQFLGYNQNLCYGCN IRNFIRNCKVGDRILEKWIIHDQNSIRGNCLAVVKRNKIKIRLCQFNTDNSLILILENSK LESKKKQVPQIISNQLVEQFSNCKKNRFNLQFKFGVMSILMLGQYKIKTINVVKLLNKLL NTYVFSATVNLNLNGNKVVKLKTYAQFFKIYLIQVFNIIQDMDLNSFHEISMNNFDNYLA FQVKLSNKYQFLQLYQKNFFIKYTEQHLLVTPLNLDLVFYFNKLIPFDETNSQL >CAK94975 pep:novel supercontig:GCA_000165425.1:CT868680:154428:160009:-1 gene:GSPATT00027302001 transcript:CAK94975 MLSIFLLATIGNTLLYFILYILQSDDYRVRKKFIGKVLNAVIQKFVQLSEWYIWIFHYPA LVVFFENIVCGPSMDCSMNAERKVLIAMSVLGVCFGLFNIFFLSVFFHNDSSQKKDCFNT ENSLYLAMFHLFRAFQAFLISFSSNDYSYLWVMMICIFLGYSFFLLNCLFYSGYMAFATS IIRNTFLILLIIMVSSSFSMMIDELYRWNTIEQSFENFSLFFTLSFIFLVCCFIYNLRNS NYEDINYEKASETDFSRQVFIVISLLEVTNQDINVDLFFKGILQRHMEQECRHTIIQGEG RCFCKKKKTFDSKKKKEVMLDDWLIHKNIFVKFLIKSWIETKLMDNPNSIELQLLYARYM FFKFQNHQISLHILNGLEKRFLFVINRYKAYQLKMKIKRYIKHRNQESYREKLEIQNALY VEDLIETIKKNINQIMIQNCAFWKCLQKEVIDLQELDELLKNQFEKIEQTNNLWVQIQNY LDFKKKWKFYYAWFTLYIQNKKLKNKILDNFQGLSVNENDIFSEEVQENNVEEDIASVKS DQEQKLDMDKIDIKSKKIIFDKKACIIQTTDDPDAVIIKVNKQFTKIFGYTHEEVANKFQ ITQLMPDIYSKVHPSLLNDYKLTGKGHALYSQRKVYCIHKKGFMFTAQKFLKLYVDLNGQ SQFVVMIRPTDLNNEKKHDVIILNQDWEINGMTPNVIQSLQIDQSLFQKQKLQTLINILL FAPKLIQFSRACQLINEETDLELFGMQKIKKKKEEPLGKSVKRVNNMPGQFKIQVNMTNS SSHNLIPGKSGNISYGEVDLSSNYLQMQSLDVPEDNKQFQQQISNASERNDAQDFKNQID QYSEMQKDINEQQNKKVDEEIKKMYETQFQNNYKRIQTKFESNIHAGGDQSDDNMSKDEI VKQKGQFVLDGKVNNGEPISFHMKLSDKFLPACKHYTESKYKLYQIKKQQDDHVKQEEKQ DKEREKDKGKLKVNDKKLFFKQGTQQREFKKKARLMFQKAAERRKNDEDKGYYDLIKEIY QDILKDQQKVKSFKIICTISFYKIRDERIGIMKVSNISEILKNKIRQNVRKQSYMMLNKG LSRDMSRLKSIQNIDGAKYLTMTSDGESKIVSPHQPQSSPTNSNVKIKVAAAKSNGLGYQ LQKEDNLEDSEEKDALVDFKGQPKMINWETFQNQFKMQQGTQSFSLNVLDNIFRKEDNNR PRKLLVKISYLTWFLRFMYVTILALNLITYFLKPYSDFLPIKTQSARILQLSQMQTYIIE AYDTVMDLLLYKNADFKNLLVEGVALDTQDKFFTYQTLQIDQSYDYLKLQIRDLNLQETF LDETIFHSNSITDLTIAQIQNVPIVLDYKDVFTKIILVEHQVSILSSEELIQLNESNSLV KYMRNITVPTLYLEFNDAVLLLEDILINKSSSMSDFVIIILILESCIFIIGFFGLLLIIL WICQTFKAVLKMFIMIKKNDLNKIVKQQQFVQTQFQYILNKDDDISGIIQQNDRKLQINT KTNFQQQQNFLLINEVEDQNLTKRKDKQILEKQLLKKLTIKMSLIYILYVLFSSSASLVF FLTLSDSSQQITKLISTGADSIQDFSDSQLLLVSVKEKYFNPDQYNQNCLEQIQKILEDQ ITDIKSTPSIENPNYGTYYTSFQSIYFGNLCQYLQENSYLDTVAADDCQTILNGKLKQGV VAFNQLYSSVTEDYVLNKETRFGQINLETIWQYNVAIDYIKSAFKALLTSWSNDLGNLID QYFTLILVLMIVMVVFQLLVFITIAEMYLVNQLNKSFQFYRKIYKSYMPNDIIQKEKIIR ASLIKYSIIKR >CAK94976 pep:novel supercontig:GCA_000165425.1:CT868680:160053:160349:-1 gene:GSPATT00027303001 transcript:CAK94976 MDKEQVIPTLQQQERELEKRRDGKGLEEHFKLFNDLLKLKQASYTKAIIMLIVSFIHHIM SQIRQYLNQYIQIQERDRSPLLIGVNNSQLNNRLWHIL >CAK94977 pep:novel supercontig:GCA_000165425.1:CT868680:160378:161623:1 gene:GSPATT00027304001 transcript:CAK94977 MQEWCTIESDPGVFTELINAIGVQGVQVEEIYDLNDEQQIAQMQPIYGFIFLFRWTSKGE KRESLKIYDQDLFFANQVIQNACATQAIISILLNCPQIEIGEALRNYKEFALALDPKERG NCLGAVDIIKTAHNSFARPEPFIFSNDKKKAKEGDDVFHFVSYIPFKGKVYELDGLQEGP ILIGEYQDDWVVRAKEAILTRIQHYQEKETAFTLLAVNQCRKFKANNIILQSQNEILQSL KTLQFIGVDLTEEQTQQLLQLSNQQNIEQELLQESSEELQNRLALANNRIQEAQIILSEE SAKFQKYQEENSRRKHNYIPFILELFKMAQRKGQLEGLLEKAQQKQQQKLQQQTKK >CAK94978 pep:novel supercontig:GCA_000165425.1:CT868680:161685:163075:-1 gene:GSPATT00027305001 transcript:CAK94978 MDDVDGNNFKCLSQGGSSSYQPMDNLFQIYQDKVGKKTEQQRRFFSGQKQVTEPIEQLSN NDISNSDSNAKEKGKEAVQIQLISFYEQNLQNLKQMVIDKDEDIKIRDEKILEQSILINL IITQYNKILNLLQQERDEILEKYMGAMDEIQNQSQKYQQQQNQQQQQLQSMQQKIQQQLH QQLTQHLQNFQVPTDYDSKLIELHETKQQVNHYKNQCINLEVKVKETEVKLEDANLRLNE AYIRIDLLEKEARNVSQQNVMLDQQFKSLLQQFEQYQQIQKKQSQQLQFREATTMMSSAY SNASSSEQQILQNLSQNASKHEGLQENDNLINAYKQFKKQNSIKQFNQMLVQSQIKQQNS KQDPQQVTYNQGGILNFPSDFRTQQQKDLEFQLTNQLNELTIKKQTLESELNKKINISKS ALERRKREQLEQELDQIQDQINKVRLKLRENKFI >CAK94979 pep:novel supercontig:GCA_000165425.1:CT868680:163117:164451:-1 gene:GSPATT00027306001 transcript:CAK94979 MIQQQLSKIYNLIAILEFTEILIWINSQQLNKIKTTQGRIANVRQLRSFRILKDFFIDFL YQNYYWNQILQIFGNPFHKFIRGQIREIQQLIQKIYSKNYRIMGNACRCNQHSDIINEEQ ESNNPEVYQAKTEKPEDDKCDQQQQLSKWRNIQEDDNLPLPEFREEVAKSNNGSRIQLPP VTMKSGNIYEGEWLNQQKDGKGKFTWKDGSYFEGDFVQDKAMGIGKLVHVNGDSYEGEWK NDMAHGHGVFNHFRGVKYAGQWKYDLQDGEGQETWPDGTEYKGTYKEGKRHGQGHMQFQD GSKYEGNFENNEICGLGCYTWKDGKQYKGQWLNNKMHGQGECIWKDGKSYKGEYADDKKN GYGVFTWASGKRYEGCWQDGKQHGEGIIINAEGVRREGLWEYGKPKNLD >CAK94980 pep:novel supercontig:GCA_000165425.1:CT868680:164772:165833:1 gene:GSPATT00027307001 transcript:CAK94980 MKQSVQRYSVKIPKHDVIDKKVYYTISVYNLEGGQPKEMKKRYSELESMHQKILEWIQIF KIKIPLIHFPKKKFLFHTNLSEESIIKRRGELQQYLNEILACPELHCLGVVEEMLPKDPK IGGHKQNDQPIDRRWQEIQQLKESYLAKHGDSIFSLPQKKIELQNKQQYIFKFEDHIIFD DSALYTVQVTDTITNKSWKFTQRFQDLRDYHRQLKSIHLDFPLPNFPEKKLVNISDATDL RERKSQLQDYLNRIFGYQTIVESDIMVFFIAKSQLDGNEIGCRSKGTSQTTLENSSIKSK SQTTIEQYDDDHKQPRKITC >CAK94981 pep:novel supercontig:GCA_000165425.1:CT868680:166071:170893:1 gene:GSPATT00027308001 transcript:CAK94981 MKLSYALYNHLSSLKVFETIKQANKNPILLTESQQNLIENGIAVGKLVKSIHNLKSEISQ RTLTPIAQLDQLKQLTTASTKLYNWNIIISAINQLQIPNDINNDIKKLILMGDVQVIEDT LNQLFDFYLKLQQARLSKSKTSPNQRNRILSEQQPSGQGYNHLNLSQSQEQIQISDSNTL EYFTQLLSKWLNLQYKQVLSLYAENFKFWAQIVTKGVQRVYEPIISIYKDVFLSVEHLQN LIAMEKDKALAFSLNLLKPGLVSKSPEVCIETIKTLGNFAIQAYNNKISYKEDIYKWYVE SCFQTTLLSIKRHPQLVDSLVDLMILFCKFHLVDLFTTILKQHSANNIEMLINVEKLFNS LTPDYILEIQSMGIMQQWLEQGLDILENTNTLKSDEKISILRLVYSIWLKNQYQQYQERV HNAFKAATSDPRECVAIFSIQFLFSALEYFGKNKIPEAPILYKIIAIQLVELTNENLVHF ILQNLLIVFQTMPSIPLSIVLDPFIVNLQEKTYPSLFVFNFLANVANNPNLTTKSQIQMM DLLSKIAIYDTLHYRIASQIFLQMVTNTQNTPSTQEFVQKFVKISLAVYFSVYKKSDKKK PNQQIVDKQRKAQIIEVLKSLAQIHNESINQVIKMMAGHTNLQFKMISKQNKGLQVLLSL LGDINEIMQQVDLEYQEAQTNKQIYSESSVKEVQQVQENLKKFSKIKLTKEEQYHLAKLR MPKETDPKVINDISTIKKQYQEKQMEKEISKFTQEEQLKLKKEKLRKQLEKRQIEQGIAS LNQKDVTVKLLFPDGSRQTELAKEKKHGLMTFDLLDLNLEEEIEKLMVEQILRKYTKVFR YIFTKYANTCLQGMKQPNSFDQYQQRTESINIAEISKFIHEYEIAIPVENVQALARQVGT QILHNKTEFKEFDQIGFNQFVIQLSIVLSKHKLADIQPYQCLIKFINHLRNVTASKGQST ALFDDPETQYFMENDIIKEFNQRLLIDPDYELPQGYKKVTTFEIQFSYEIPLLDDNVHVP YQILNDLLRDALGINIIEPMSKKVMVFKAKPDAFGYIQSKLQQEPVDEQFKIKHKKTKEV NVSLQKKKVLEIEPKRELSLNMKLAVAKCNLKDKFIAENVANLLDDMIFAIEHNKSQATR QIQIINRVQEDKKQQQLEQELWEQKKEQLRKQREKEIKDKLREEREKQKQLQESQEKERL EKNKTENNLKKEQLDKRMSYLTEQKQKIKEKNEREKEEQLKRILEEKDKKEKEIQMKKHN FQEFNQKQITKLKEIISQGQNKIQQSEKDKQDQLKKQKFLLEQARSKILTQNQSRIQEQK NLEKDIENNFKSASYQKIIEKYQFGLNSLFQFLIKETFLPIGQPSSREQITFKTFAWFTD RFNLCPEIVSKDDVLQLFRYLTRSKEVIDGIPPGMNYDEFLQALHRISIKGSAIFNKVAA NVKSQKGKLDIKTIKQIVEAEQIGDQEPQSQSPKAESIVIETPLRNKSKSNYLESSKVLK EYQLFFQQSNEQTFESLILYLDVSNDKNQLDQRFRKTLEERKVPTKLRRKILTDKLGIQN >CAK94982 pep:novel supercontig:GCA_000165425.1:CT868680:171771:172226:1 gene:GSPATT00027309001 transcript:CAK94982 MNNAYPPELQEYSLFAQLTKPLYETAPMDVMLLNHEMEIARMMGTQGITLRNYSCLVINV HGLHLIFPIPYRINILKPSYILTALKSVYLNLYSYAKNK >CAK94983 pep:novel supercontig:GCA_000165425.1:CT868680:172983:173661:1 gene:GSPATT00027310001 transcript:CAK94983 MNKGNVKKRTSSFNKQPIIYTHDRCDTQQKQFYCPKSKKDVKHLISDAKQKSSYENTIVI MPQNASFKNMLTELAHKSAKKYVKKQMKKTPSTSLHTPADPTIRKTEQQSYLMKQQQSVI HQQSQQTSYRSLLQHNKQNQWIDLINQKSSNYIQSDQILSTEYKTQLDIMTTSNLDWKYP YTCYKEQRCHGEVSQMENLEFDCWKEFLKNRLILRQSK >CAK94984 pep:novel supercontig:GCA_000165425.1:CT868680:173774:174360:1 gene:GSPATT00027311001 transcript:CAK94984 MSDDENQFEQGNAGSSHTYTESAGSLKKGGYVMLKGHPCKITDVSTSKAGKHGHAKASIV GKDIFTNKTYEDSAPTSHNIDVPFVTKKEYTLMDIQGDGFVILMNEDGSTKEDLKLPETE DDFNLVKEIRDMFDAGKDLLISVLSAMGEEKIVGSREAQDK >CAK94985 pep:novel supercontig:GCA_000165425.1:CT868680:174364:175383:-1 gene:GSPATT00027312001 transcript:CAK94985 MSLIHQKLPQFDIIRVAGSGSFGYVFEAYDHNRKQKVALKRIEKVGTQLSREYEILFEVK ECEHVVKILDFFYSRNEAGKLIQNIVFEYMEDNLENRIQAFIKQRKTFSELTIKCYIYQI LKGLQFIHQKGIVHRDLKPENVLINNKEQIKLCDFGSSKLITSTSQNTPYIVSRYYRAPE LILCLTKYGVSVDIWALGCIMGELVIKEALFKGKSEGDQLFAILKVMGSFSKKDVEYFSS KVPFDNQSIFKELSRYKKQNLRQKFSQIKDLDNYLDLLNSMLQYNPEKRISAKDALKHPF FKDVVNE >CAK94986 pep:novel supercontig:GCA_000165425.1:CT868680:175479:178066:-1 gene:GSPATT00027313001 transcript:CAK94986 MIKDQANLEQPIFGPQITQIPDLTQYQKQHLESQESLRSIESPNNQPNRYLVSRRRLQRL TRIINPVQNNQPKLEQKINHKIDENVQFSRLVRKNRLIQKFKQNLLLNSYILPNALKDKI LSSEKYIQPQIFRFLQKYDQTKLQSAILLPQSPFLYFWDLLTLLASLLALWICPFLLSFS FDEYSFPKIIIGLITHLIIDNILAINRAYIDQGEVIVDRKHILQQYINHQAIFEAVSLIL WIGIYPNILNEFNSSKQILLIMQNIIVLIKLKRTNTKMENIYLKHTLSNAADLLTLILSI YYFAHFMACLFHYVGVISEANQLTWLIQRQIRHESVWIRYNTSFYWATMTLTTVGYGDIT PENQVEMLFVNLMMLLSSFLFAYSMNSIGIILRNLYEQNKNQKRAILQLNNYMNHNQVDL SLQFRIRNYLKQKMTNINYEQIEFINKTISELPKGLRFELNQNISEQVMKSIKIFSDQFS KITQQALTQKMNRLLFSPEEYIYHQYEIDDQSLYFVKEGIVDICEEQSQQVIQSVTKGQT FGEYQFFTGFPTKTCALSRTQSEVVQIHRSNFLKLIKDEKKDMERFNHIKDKILLYSNYN SILLNCNHCNRSSHLNINCPLLTYQPDLEALIKKENINKNPQKRTSYRRKGDKINTRRML ATIQDSLNKFQSDFNNNSDDEQSRYKSPDYSSRINYRKKSIKKPHQMISIQNTVNSDKEV IPSDRHISILASRENFEKKKTTPSKFLIQVEESLYKEQNKDKLSEFETKFQFHHISIDQI KIFQEYMPYNNIHNVIKGLEQLNNTIQYNKSEKYTFNYVAKQQSQSLRKWYENKFK >CAK94987 pep:novel supercontig:GCA_000165425.1:CT868680:178240:178422:1 gene:GSPATT00027314001 transcript:CAK94987 MGCSLRKSKPKQRDDIDQIEQLIQSDCLGMEQNYALIKNPIVMRRNCSKEKQKLSDSKPI >CAK94988 pep:novel supercontig:GCA_000165425.1:CT868680:179768:181074:-1 gene:GSPATT00027315001 transcript:CAK94988 MIDLFSSPSPIPQQSITKDENLQCKWMQQRFLFTQSHCKKIDFDNSHTTKSIFPISSQEE IRIPSAKIESKEFSDPLLLSSYTQSHIDKNQLSLACGSQKSQVTLNLLIAGQSGSGKTTF VDALLNKKPIARQYQGNSSQTNQVQESMGVIEYENQIVYLNIFDTKGFQKNDQKEWFKEL RHFIDQKFKTQIRRNKYAYLNKTLYSQMSKLVNDERIHLCLYFLSGPAYFNEDILYLQKL SNLVNVIPILARGDQYTKSEVLELKLRYNKTFKEFKIDIYDCLKINDEQFKQQLKQGEFG QCSPFMIIASTYEYYNDQGKKIQGRQYPWGQCDLWNPQHSDFLLLYKSLIGYYIYDLIKL TDFYQHSFIKRKQETQKKNKSRMGFLNQILVQINQILYLID >CAK94989 pep:novel supercontig:GCA_000165425.1:CT868680:181382:183128:-1 gene:GSPATT00027316001 transcript:CAK94989 MQKYLLDNLPLSLQERNLLNANGQQVYDQVMKDGGFVKVFCQRELPEYLKEDDWVSFYQS KVIAYEYKSMVDGSCIGKPYYYNYNEMLKIYEQKSLSWLKHQISILTIDPKALQMINVFF NFEALKQNHGNQSIDIRVQQPGYDSFQPCKINQQLEGMKIMDYVDYLDDPSKIIKKERLS AEQRLFAVNVNMQGWDKEINHLKQFLPKCLRPQGDNDGLSYLRQEIDGVNIPQIYIKTDG VWTGGHQENLSVNAININHGPADCVWITVDNEHVQKLRQKVLELYDTDIFKNEGLWFKEP EFFLKNAIPFRYTLQKKGDIVILGAGCLHWVKSFGQTINTAWNQVALDDVTLEAMSERHT LNKEINYRTVIPYKNLMLDLYIHEKSLSQMAIERIQLELQNYFDQENRKLNLIDPPSFSL VECCKQVQLCSKCEHEIFLYFYHDNEQYLCLDCVLDKSNHKGLHISMKYRYHCFYFLLQA QPTNCNQEFCCSYTGKTKCSIQQELKPAQLKYRGQHKLNSMLHGTDKMKKKKVERIDSEV DVKTTENNDKQKTRGRKQSIDKSQPTKKRSKNY >CAK94990 pep:novel supercontig:GCA_000165425.1:CT868680:184025:184495:-1 gene:GSPATT00027317001 transcript:CAK94990 MDSSISNIIEDQEENDALCIKYEELLIWTPKSKDDVKLKNQSHIARKELSQVGSLATTKE WTKTLLHTSTLISKEAYVRSLNSMEAFYEKKEKESADNQTKSLSPVKHQFEEISDYTFKL LGGIKKVRFRLNSDYQA >CAK94991 pep:novel supercontig:GCA_000165425.1:CT868680:184838:185913:1 gene:GSPATT00027318001 transcript:CAK94991 MKNLYIVALLSISLLSLQLYNSEQQTDEYSKWKQHHQKLYQGVEDTYRKQIFHQNLQIVN DHNARYNQGLENFEIEANQFADLTFDEFSSLYLYSSYPDQEYINNSFEKTTKKQKKTIKA DLPDHYDWSTTIQGYSQPYDQQKCLGSWAFAVAGSIEGARYLGGYEQISPQYLINCVYQV NPCLEGGVKSTWDWVTNNENYIDLYTSVPYTGTKAACVESKDNIQLLNGYEMINQDSFVE DSDYYQALSENIVVSPVSVSSIYFQLYKQGVYDKPCGDSLNYDALVVGYDARTDQGYPAY YKLKMSWSSAFGEQGYIKIAMANKCIYAKQSFANRV >CAK94992 pep:novel supercontig:GCA_000165425.1:CT868680:186522:188265:-1 gene:GSPATT00027319001 transcript:CAK94992 MSAKEYEAEDDLLGSILRNDDYEEVFSNNNSPLRKQGFESDSVIENVSTSEKHYFDSFNR SFEQMSLGSDLAQSPMSNRVLYPQCPPNHLKFSRQEDRQSVSISFDGPSFSTQENSINYR KVGNEHIGYYNKQQIRLVDYQVPYSPGVMRPHGIYQPGFNYQQSPNGLGFTGYQQERQPL IEINDDIQLTNDLDNMCGNQVLSRKVQKIFEHGKPDQRELIFNKVERSCVQFSKDVFGNY LMQKIFEKGTQHQQQRLFNKIRPHAYELSKNNFGCRVIQKIIEIISVNESLQNQFIDSIR LQVKSLLYDSSGNYVILKCLEVLQQEKIEFFLQPIEDSTLYLCSSQYGCKILLKALELFS PIQTDKIMTTCLQHQFNLCQQEFGNHILQFALKSSHYQPFVVNFVLTNFEKLCLNKYASN TVEKVVEVSTNEVKQQVISILISKSQINGQIIFVNLSINPFGNYVMKKMVIMSNHNILQP LIDILRQDQYLITAIRSSDFGQRIYQLIEKTLLMYKS >CAK94993 pep:novel supercontig:GCA_000165425.1:CT868680:188686:189827:1 gene:GSPATT00027320001 transcript:CAK94993 MQPYFFQGEQQFAFQLESDIQSKYYTRFLQEYMPYQNYNEFAYLPQFSYPAPFPGYSVDC FPENEVIHQQKYKKSKKIKNTDSIGLLPKTLEFAKHAIEEPAQSQAQRDQMYLIHLFIHR PKQITPYSMFHCIQGAPMPKLQSDIISNQEAQGSQEGSQQTQKQKGQHQSTKKPKKEHIN TGHWSTEEHTTYIGFLQQYESIMTSSMMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPY ALRGENGKRLPRTERSRAGRKKKNPSSDIPKAEEVNLQQHHFDQEQLMMMYDKHLLHQMP YEQWNPYYDMHQNIKKEEDQDQEQQHSQQIQLNNQQEYEEFARLNYQKQMDFIEGHNSIV NEY >CAK94994 pep:novel supercontig:GCA_000165425.1:CT868680:190185:193380:-1 gene:GSPATT00027321001 transcript:CAK94994 MIVRQSISPKRKSMTPSMYEGRQYQYTLRCSNVKTESLDNRHVCSRNDDSKRKQLNISNP RKSISIQNPQVTLNSSSNSKNLKTLQSSTRKLSLQTKTMISSTTSDNCQITKFFLHPLTS ALQTCERLIKAQRLEEAMKELEEIDHPHLNQDYQSQIAFLKGTIYMQNHQYEKAINSFQK CRQQGDFYANALVLQAMSLGKLGNFIEAISLLKDFLLKNNAKQSPVYYDAVLQKGKLLLK LKKYKLAMQDFMIASALEKDIQTFQGTMGKGDCLRLCGKAFEALIIYEGIPDSHQVLIRK VICYQELEQFDKALEIINRILIYDSSNSQALYLKGQIFMKKGEFNEAILSFEQSITENNS KKAVTKSLIEIAKIKIDQKDFYSAYYTLQRVDHLDVEKASIIDLKQFTEGVIFLMKRKYK DAIIIFTELINKQQLGEFIKKHIFVYRAYGFIQVNKYQNALKDFNYISNFYPLDPPQFYN KVICEGIALTQQNYFDKAQSLFQKASQLIPGKMEPHFYKALTLIQFVSKLQMNGKDKLIK NALKYLDKAVTLNDNSSNLLYHRGIIRFYFGQLELALQDLTIAIEKNEQRNPKILYARGL VQACLDNPQQALIDFTVAINWDPKYAEVYLNRAKVNTQLGNRTEAYEDLQTYISTNPTDP QIYLWAGNLLFHLGAHGTAIKTYSHSPDLQNDVQLLSYRAMCFIIEKDLNNALIDLSRVY DLTSDQRWFIDKECLSALKIAIEQNTRELILISNPMNKSIKRSISYRLASRRLKQIISSE VEGYLFMKSDLLFYRGVMKFYQGNYIKAINNWNNSFELMKQQQREGVASNVQSDSSEGSD RLTVEMDDIRFQDHTYNMHEYQYNVAVATILNNLKPEGKLLLEELGRLLPQTLAQGIMQL RDAVDGQQIQSVFVFPETSRLCEVFPKFKVGNAETRLSFCLPKLPSPCMKPGFDQQLLQS IQSIDVENKPEAPWIKRSLDGVIFTENVQYIELDLQSETQRSELDQTEEQVADQMDGNLY TLEKSTCISN >CAK94995 pep:novel supercontig:GCA_000165425.1:CT868680:194178:194414:1 gene:GSPATT00027322001 transcript:CAK94995 MCIPFQSIAPPTQGTKKAVQMLQILGVSEFVVGLIKLFVLQQIAGFYDFFTIFIHIWHGH NQIIVIGQLLCFTSYKMY >CAK94996 pep:novel supercontig:GCA_000165425.1:CT868680:194812:196304:-1 gene:GSPATT00027323001 transcript:CAK94996 MRRLIFNAEQVRRASTVLQKFDFRQSLTGSNNKDLIDVIVYVLQKPCEMRTSTDVFMLRS ATRSIEFFNNLESDLLHEQCCKSLQYKYLQAGHFIFKEGDIGDKFYIIISGRVQVLKDFN PNDLQASIYDHEIKQLSNGDTFGERGMDVEAIRTASCRTLENTHLAYLERESFLTILNNV KHLMKKTYFEEFANLDLFHNWKFQDIKAFYDKVFIKKYSMNACVYKEGGSLDYVYIIKKG EFKIVKTVLTSTIDLNEMFMGDFEKILLGMNHKQKTLSEIIEARYQKKKFGNNYYKQMKK LVTIKYITSGQMFGEMELLMQNQITRTHSVYSNTDQSELYQLKVEHFEAILDRIPQIKQQ LISLSEQKNKYFMKQLLSYEKNFNDLTEVKKEIEKNINLDFLEIGTREKPKPTKPIQHLD TEFSTTRKQKFIKTQIEMQQQQQSKKKFLIKKQYQNSYFFNSLSSRMRTDDNEEEDIKYD YNFVHLSCK >CAK94997 pep:novel supercontig:GCA_000165425.1:CT868680:197015:198169:1 gene:GSPATT00027324001 transcript:CAK94997 MNDKRIKICEFSDTCQVQNCKNLHSSDQGFFYKVQLEKAKHQIKCKKNCKHFNTCLDVHE NERLFIQCQKNCDDSDCIFAHETNIRQNEESLKNANLYKEQGNELYKKGEYENAISAFDE AIKHNNQMSVLYSNKALCYKKLKNWNQVKELSVQALKIDENNYRAHYLDAISTVELIKQK PTSSIIDVLRNQLQTLQLSRLYSYIIVEIQAIDRQLHSLMNLSNEKNKVEILIEHFKKSE EGLNLLKYVENSKLPQEQKENLVQFLWSKILREQTILKPLGHEEDMPEHLTCPITFESFS DPVLTDSGQTYERLAIENHTKKNGYFDPCTRKPLKHQYISNLQILWAVQFLKKKKKLHLQ FIEAIQFE >CAK94998 pep:novel supercontig:GCA_000165425.1:CT868680:200176:201458:-1 gene:GSPATT00027326001 transcript:CAK94998 MYKLAVCTLLILSVTAIDVTNSVWTSHDQKAFAQIKQSGWGNFILNFGELHLQTGGILAE LNTEIAKLIDELDEELAEVHHQYARRTDVHNREVSRLEQEIQDKEREVFNAHDFYDNVLI PQRDRFAAQLEQLQENIAQNRRTLNEATVQRANDHAEFESQVAEHNEAISAIDESLQLLS QLESPSLVQIQKVQKNLTKIQQSLKRHSTFQTFIKTLLEIAVEANFADQGALREILTAFN NLRVQLVDSLNQLTADEAEAQKDFEARVIQLNQEHAEFQRAVVVKTAEIEANANKIEQTL DLIDVLHADLDTLNGQLQAENDDYAFATDVYNATVSEYNKELNAAHQALDLLNQPRFTDY VKSQLKGAF >CAK94999 pep:novel supercontig:GCA_000165425.1:CT868680:201614:202603:-1 gene:GSPATT00027327001 transcript:CAK94999 MNQQIPSEYFIQKFASLHLSETDDDTSRSQSPLLDSRLKELLKNFKPSSNFQLSKQNDES IYFGLMEGSLKHGEGIQLWPKIGNLLKGTWQNDLLEGVCTMFYANGDVFEAYFSQGTTIG YGMFKSEKKVVKGIWINNQLQGEGQELRSDGTTYQGQFWAGRKEGRGIQMFADRCKYEGF FQNNKFEGDGIFTWSDGSYYSGQFHKGLIRGFGNYNNNNGLQLIGQFQEMKKTDITDQNL KKKNLQTITFLNQYNQTLLIEKIRIL >CAK95000 pep:novel supercontig:GCA_000165425.1:CT868680:203659:204277:-1 gene:GSPATT00027328001 transcript:CAK95000 MFFAPQFQEFMEKEKSIYSSLQKHLDIILADQLDFTYHSVFQLFCHISILHNTQATVFQA LMKMMGSLVEQNKQQKLNDLLLIDNQPKSAKILQPNFFEIFEDRQTQSSKTHKLQKTNEE QKKFSIQTEVELPKEKVFREVVKNRKERQQMDAHQCEECERFYKALPNNNQTEKLKQDYS RHRMNHKINQEKLLLTPE >CAK95001 pep:novel supercontig:GCA_000165425.1:CT868680:204308:205594:-1 gene:GSPATT00027329001 transcript:CAK95001 MNDIIITRREQFRIEIRKQNLEKQFKLKRAFTIPQNQQSSTQNNSIQFELNFTHQIENQI QQILHNPTNSKIEMLLSLIQELDVQNKITLINKNVYELLKSPNLDLNLTLLVMYNLFSEP IIVNHIFSDQTQTDRLMQFINYLNDKLMDANIIMFWEFLYILSVSQHTPFLCLKQIYVLC CKEVIESRNSTLSQSARIFPQIIQKFPENFQFYWDTINQLDDEGRRPWKCILYFLKQQGV DHISCQYLLLTIYYFIKQISDNQEDYKFYQIVNQELMSILLINMNRHEKTLVVSAQLCIS IFERDNTYFSYVVEDLVKLLYNISIEGQIEVLKILDLLLRDESIVRLAIKSQYIIEQLFN LLGSNIQIIINYLALKCISNSIARCSNIQLFSIICNEQYKSTLERYSLDANKQLKEMAQQ LLDFYFEY >CAK95002 pep:novel supercontig:GCA_000165425.1:CT868680:205605:207068:1 gene:GSPATT00027330001 transcript:CAK95002 MSYSQVHFSDMQSTFISNYMEMEEPVQEKEQQLNWCQRTFGPLKKGGIRMSIISLLAPCL GVGMLGLPYRAKEQGLITELLLIALCGIISCVSMHILSWSAGDLQCSSFSELIQMSLGNK MKRLFEILTICYCLLGITQLQISFQLFIYELFRYFEIAVDQRELAIIVQLIFIIPNLLIS FQKTLFKLRYFSLVGVISVIYITFLTSLDYFVRGSYSDDIKIKLIDVDFKIFTTFAMTFF CYICHLFIFPIRKELHNPTISRQSKIWKRQTLICYIVYSIICICGYLRFGDDTKAWVINN YHGDLFFMGKLGMSFALFFAVPLNILACRQIIHDMLLSDEMEYQIKHKSLRIQAQDGKIT FEVDSVDSFRSKIHIYSTLLLQFGSAILALILPNINRYVTVLGGLLGTTMVCLIPVLIYL REYRDKLNYYIVILEITGVVFGWIGAVYGQF >CAK95003 pep:novel supercontig:GCA_000165425.1:CT868680:207106:208958:1 gene:GSPATT00027331001 transcript:CAK95003 MIYDAKIIGVETHSDNVLYKMRIINSQTLEYREIRVRYGFLSELHSQLQDLNQDYQLPQF PKKEFLYSIFRENAFIKQSEQMIAEYLSQLIKAPPPICKPLLEFLREGVDAVRQKELSRE ISSKSELLKLIKPEKVLKKSCFGKTTLCSLQGKKVILHKFYVLRHQSEQFFGHYFDTHLY FTDFTFICKVIHIFFLHQKLNFMDSMFGSTKQPRSCKSQHFAHFFSSQYKQDVVKLHSIE VYEGQNLEEIIKEKRIKKTPFTNIELGNLIQKLLDALVFLHNNQCYGNRVTATSIIINQD NLKLTGIQEPNERYKDKYLIDGLAFRTEQEYDTIYFPPEKLNQTQYNSKMADTWQFGVCI VKAALLCTNRELEGIHQPNIVHDLISEIKSQYGDEIANILMLSLKNSIQQRASIKDLHSL ASQSSVIPFQKLFLNQNQDPPITFIRLNSLNNEEQQRLTDQLSKSTMLSIKINLYDQEVD NKEFDKLMQLLGDFAIIRDIEIILNKTRKANVSSILSSLASIKSLINLNLDLKGLEIDQN DIIYGISILKQMTMMQRFTLDCSSMDFINFLRAELDEKTKMIFYHESQLI >CAK95004 pep:novel supercontig:GCA_000165425.1:CT868680:208964:218175:-1 gene:GSPATT00027332001 transcript:CAK95004 MISPKEVVNLNDYTKGKSQDGDEIMLDGTILINECYVEFDCIQSIYLINLLYLSRVMKQE DLNYLTYGSVISISHVQDDHSFITADGFVKRSVCLKNFHHIDVVTAKNLGKMKSRPYFNT LFQIFPKFTNNTKQEILKELQGEEQEEETQPAIQNILDGIQKQQKADEKSIMSKEQIQSF SQKLLQEFKYNLDTFEKCKSHKVSYKSHIQLLHLASSKFLACHQKEARVESSNYKITLDE LPSDASLFKILPAYKYQKEGEQVIYASDIVYIVRATSLMNKLTFLHASQEMGNYGKTKKK QKSDEDLQVYKNEKDIIKREVNASLDEENQTQWRISVYSDYVPETSGCLKCGDVIWLHHS ETNTTIAATRKGKPVDQKNFHSFNLVEWLGVENVELNVLSGSTKESYDEYTGDTHSMWII EAEDYKEGGIVTFDKKYRFKHFASGLYLAGTIERFYLEKQRTTQTLFYFSQLKNTQMREK SVTRGNYAYICHENQAQENTNYWVDIQWGKSQIHTPVLHQEQHKTDQSVFKLYASSSNDV WEISFVLSCVKTLMNYLEDVSQLKWENDANDKEVLKDHLLKIQTTKQCIEDINDFCNNRL YNSTPEQKYGTINQFRQKLLKEQYYIDLLVKILVQQLKPEDLVMWSRRFMIIQMQDTQQQ QEKMSNTEQSNKKQGLKEHELLAYVAFKINMNQSIYTLLTSICKQNPENEKYTFDLIGHF LDHCRHIPEAIKCMISIIGNNQELLSQLSANMKIDYNPKEQEFFEPEEEKMQVQHHKVQN NFLIFFLNLLENDDQPHKADYLTFLREMCVYNNQGINQNQEAIYKLLKKHNHKKIALIKD KEDPDKITLKDGKLIIPFKLQNDPKEMYFINEQLQFYAHVSFGRNYLWKQELEQYFSKDF LFQNIWQDDGNKTYSVLQAALCKIAMSLYIDHDPLNRVQLPKYCNLYKGIDQVVESQHMD LYKPLIEDLFRYLQDVRNQIVHSLDSKENGENNRGYDVLDGNDEKSLLINELLYNSCQMM QLVLELDVFTLLDKEPNYYYQQIIDIVVHFFFYDFKQLQLMKSVYKLQKNEISQRKQRKD NNPLGIGLNLGANLMNMANPMNLNLNLNNIFGSDEEQKSDTEDEEQIDDGIVDDVQMYTN PLMRGFIKLENELQSTILIREESGSNQLEIKIKLTLCNIMDRFLDMRQNYLMLNVLSFFK KQIIERMQDGMQQTKLTKDKEELLVGLQDSEIDIIKIQQYVQAKTDSNLLGLLPNIAKTG IKDIDEKEEQKDAFGIGALNFLNKLAETKLESEEFKIFTQNLMLIYDLDQYFSLNLPQED ITKISNPIGVVLPFLFTNFSQIHEEELEKRCLAIIMRLFNQREELCNNLLKLQLISDPIK SKLYEYLSEHVYRLNQLIDRSEVWLTDFMKNSKYEDLDETLEIIDNFRQGFFKDTQISAR IMSTASEIDPEKQNLMNALKIYVPILNLIRDQLQSLDFHLENNKNIQKKQRLAQLFIYAF QFLTYFCRSNHENQILLSQSLSSFEFIHIEVGQIPLICEIYRDNQKMLSSINRNDKVFHK FVEAIYQNGRKAQYLDFFLTIIKQGNKYLFDNQLLVLNTFLEKEELLYTEKNEFLFDGEI QLQQSDFLNDLAHPPPMNQPFRYHAKLLDLLLQCAYIQVIEGDQKDNFTINVAKLKKKFS LQYLVSLICKQDSLTSKNPSIQDQGFGLIKKQLWIFLMNVHITSEKSHNVAKDIQNDILR ITDFEIKRLEQLSMDQSYSKYFDFLTDAVLPLFTNYLNRKNVPTRSEEDEEAHDHEQKDI AQLLCFAKLLSQTMIQLGKYITRKRHVQSLTGFFSFYQTTEMGRCCSSLENQQQKWEDLG EYYNLRLVMSNIESGENDNFLTEQQEPILMFNQNAIQQSHGDNPVPKTIFQNNNNFAKPD VVGMQFWQKQNKKQKEEQPIIEMEVKTEDVEKIALAWDEFISHLCLADKSKIEIQAETQK LSEAILKFELYFGGQNENKNEKNEKILKVERTTLIKKFINFLSYALNNNQNNKTIITLLS VLRKLIEGKSKEENQGELEVEGENNEMEEMQNLFNKLGATRMVLTVLSESTTLDSEMLRH FLMFINTLLSGGNNKVQKTISEFMKTYPKSEVIFSRLNNVIQAQIKQITIKAKDKKNEDQ LQNLQLQTDEPNIQELQQEQELELLLTQVLKFLQNCCEGHYLDLQNYIRQQSNSRNSYNM INQVAELLLTYYYKDKAQYDNMVLCLDTLNELVQGPCPENQVAVADSKFFEIASDLFGSR KDKKSAGKAQTMGSNFRTIKTQNNKYKSSRFQKQQNGSDLKPWQIERMQTKCLILILSLI EMREISDSNPIIKRIMRHLTPSLLQKHLVKSFDKYEKQCKEGYQIEVLDHIKEDPENTKE NDDTRDELILQKGFYIYFLMCYYMESDKNAENQFVQMYRANTRKKLKQDKGALEDLLFGD NIIGQLVSFVMSFANSWIDLMNQIKKEAQKQIANQNQGPDQLDKLNKEKYAEQKEEKKAE KQKYIKRAFDFFYKNSASIEVVRNNEIEIVYFILLPYTNNLPKEQKVEFHENVDRSSTKS KVQFLVQESERLIEICEHEEQLRRIFQRQKFLALFANYVKLWKDLAFLFTLLLNLFIIGS FAKNDSGNRLTDFRLFRDERYSPQQTRNIFLICGTIMACCSIFVVSFFLFKNAPLIIRKA WKTKLPFEDKFTYWPIQLIYKIFKLLAVLFYILKEIEVVYYLAYGALAVIGTVLHPFFFS FHLTEILIRYPTLKNVIRSVWEPKQQLGLTLVLFIILVYVYGLIAYTFFFEDYKGKCQST LFCFLFTFDWTFKANGGVGGYLSGLEDENKIDKYQLGRFVFDNTSNIFLVIIMVNIVAGI IIDTFGSLREEESNKIRDIEDKCFICGNLKTTFDRLQDSSSMGGGFDHHIKVNHYMWNYV FFMAYLKYKDPTDYTGIEQYVWEKIQTKDLTWFPFNKARELQGLKSEEEEDSKRIERLST DMSNVMEQMQSVNQMLNQIKNRKQSRHNAIKSVELL >CAK95005 pep:novel supercontig:GCA_000165425.1:CT868680:219610:220798:1 gene:GSPATT00027333001 transcript:CAK95005 MRALITICLVAAVFAADTNKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWEALGGVRGDVEAQVRDGYQWLAWAEGRLAEIERRN AQLQDQRCWANGLFVRSLADHADAIGVVTLLTQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDAIEKFQSLAEVKREGTTGEQVLSILQDLQGELESTLAQLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTNLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETVRRAEENAIIDVVIQLFKDQVRSLASQTSLKRY >CAK95006 pep:novel supercontig:GCA_000165425.1:CT868680:220907:222304:-1 gene:GSPATT00027334001 transcript:CAK95006 MKQAILDFINQGILITKPIDAFYTQQFETFTNECYNCLVAFYNNSQSNDKLKNAYIALMK VVQISKVENLNPLIFCAAYIAQKNYCNQPQLHELNTKKIERIHLDCFHIITFTLNGVIVS DQYIETTLNKYFIDKFLDCTKKTAPAPQYIKPTKFFGHPIKFTKLESDEGSEFAQILLGI FKAPNKHKKFPIVEENSQYQVSQQFKSPPLRRMKIDINSISPSLATVLHRTSLEFEKPKI IKHPLMKSQLGDIDFMQRLKDLETEGQNKLETKIQKSHRKNIVEQHNLTYPPKEYFQKNV KVDALFKNFLELKYVLDQRKEAMSSIKDINDYQSRMPFVPTYTPYLQLENPQAKIQQFNQ KSNFEMLASPRELDKANISKFDTQIQTTKLTLNKLAHPAQANNKLSSLSRHSTQYSFFQY VNKQQEYKRQFDRFLSDESSADRNINQINSQILKKNSIIKKSQQK >CAK95007 pep:novel supercontig:GCA_000165425.1:CT868680:223501:224168:-1 gene:GSPATT00027336001 transcript:CAK95007 MQQVTPAYVRNLNGITQDFLCPVSANTYNIQFLKFRIRDMDSGQTLFEVERDQDEESIEN LPPEYQDEARRIKYHFGPQFFELKTVGAQLTFSVGNKPVKNFTIIERHYFKDHLLRSYEF QFPFCIPDSTNTWEHIYTIPEIDEAMRQEMIDNPFQTKSDSFYFVGEQLVMHNKAEYDYS PFD >CAK95008 pep:novel supercontig:GCA_000165425.1:CT868680:224653:225034:1 gene:GSPATT00027337001 transcript:CAK95008 MSTCSLEMLLKLHKHRRQTVFITSYYRNKNPIVQYTIFRYVRSPYLTVLQRLFAIKVVHA VLASKEYYSNVAQQQQIVGQSLNLTSELSITRNATADENNKIYCIRSNIMMCITIFN >CAK95009 pep:novel supercontig:GCA_000165425.1:CT868680:225988:229881:-1 gene:GSPATT00027338001 transcript:CAK95009 MIFITLIFLVQSCLLVEEEFEHISLYPTNGEYLEYSMRDLFWGDSYFYHYEPIIPNVKIA NGFNKITQIEGYEFISVSSNQTHFATITTENQVLLYEWNNLTLNQYGQIVTIEDRYQCNN ILLFADLEVLLDCYDGKQLYLLQLMNTSFNIVYSIQAEKPISSEIQGIYNESKAFIIYSQ YYEFIYSDANFHLSLQIQLLTKINSYKLVFLQEQTLIYISQNFTFEETSQHNSTSQITLN YFHVYYDYQALSECDQIFILQQDSEKNRSEDYQHLYGCQNQLDYYTMLSYYLEQQPIQIF MNDEFVLFQLQGYLKLYQQEYNQWAIAQTEINQHNSQIYFNPFNNYLFEFDKQIIVYQLV IPSLQINLTDQQVQTNTYDITIYASFLDLGFNKMCSVQISITILNKNDTNIYVIYQDNTF QEIFEFTNQQFSLAQLLQIKYTTKKGDPHNLYFIGIAKKQIQIYIKIKNQIFKPITLINI TKQAQSLQVPYNIYETLIIGLGDKNTVYLYQIYSNPFQEYREYRFKQHFSQFLITYNCLI TLFRNREIQIMSLNFTGLVIIDQDLIRKLFNLDDLHFTPIQITANTISLSSFLFINNIKN VIILTISQNNQPLPISIINLTFKIKQINIVNQQLVLSYLCNSGFHLCFQVWNIQNYLKPF FVKNMMSVHYDNQIQILSDNLFFYVQFANYTVMAYNPYLPEHTSLYYQIELSSKLICTVG TTGERQIQSLIFYENKISSLSIRLKIELQVNQSLNFERSYPLVTFNYSVTSLLNETALQY TPNQSQTYLSNFTYFQPVTVKTLNLSKSDLNLNERTFTIPMDIILDRQVSLYKFSDNQDN SRVQYFSNNLCKFTNFSNYSSEPNILDFKLVTAINNSFFVLQNNKEIQIINQKKQFLYSF DYQYFKFSYCLKSTSFNYTLSSICENQTAQYWLSITFDQLGEVIDKNISLIPNRFTNISK IINLLTLNFILGSLNQLDYQVYLFNSTSGSIQQIGYKSYQDISIALFNSSIDINLENLGI IFYVQEGIVNYQLFKFRNNLIEFWGIHQLMWKSHYTILYIIYNQAFLIITNNEGLGQLVV FNLTPNNKFQLLKLESIIATIPAYGNLQQIPNFAYSNGFLIRQFQQENTYIIGFYNITQI FKKKLEEPILMMGSLNSISYEYALITNKENQNATCLAFRNGSIIFYPINTINLKCHFKKH KDTVNVNLSCLNEISSGLYDLTFQLPDLQTSRSGWIYSLIIIIIIQLIGFYILIKYRMKN IGYINTQIEI >CAK95010 pep:novel supercontig:GCA_000165425.1:CT868680:230173:231434:1 gene:GSPATT00027339001 transcript:CAK95010 MYYTPSRPAENDKQPTRHQRPPGQNPQYLQKLPEDPRRVDDYHGHPQERPPPQQRPPYQE QGPDRGPLPQRHQDHPQDRPQPPYGNLPRGFERPPPGFNPQFPPPPPDRFNNAHYDPSYD DDRYYRPISAPYGSPYGPRQQPYGEPSPQGLRGPARAYDPRRVGPPQDFRGPPPDYRGLP PPDFRDVPPEFRGLPPPEYRRKRNARTNGISRPYYQVEMLHLEVQIIEDCLLLQIFEEDH HLIIEDYLLLLISEVDHHLILENLLLIIEECPHLLIIEECLLHLLIIEECHLLLHLIIEE CLLQITGGLLLNMAEEWIQGDYHLKNQEDCHLQVTIEERLDILREELLPMSFIEGNILLS IKEEEIKFTGEDLVEETLNQDDLCHHKHKEEITDTTSLVHHNKELSKP >CAK95011 pep:novel supercontig:GCA_000165425.1:CT868680:231696:232930:1 gene:GSPATT00027340001 transcript:CAK95011 MVLVQDSSKTRTTMIMLLNLTPQQTTESLKIWKRKFCITKTKLPQNTQLRDDTIEALAVS EEDIRVTISDIANNEATYAREEATRNQQHETFVSKVAAIDDVIDAIDEAAKLIQHLSLGA SFAQLKSKYDTLHKKLSDNTSHTALLQPVITALTELATHGVNQKALTKIAQLLSEIRQQL VSEKAAKTDVEDRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFH TLELENSEERLAGQQAWYAVQSEIYETQTAERTAQQEIVDRLQEHISEKLSTTAQFIASR N >CAK95012 pep:novel supercontig:GCA_000165425.1:CT868680:233128:233265:1 gene:GSPATT00027341001 transcript:CAK95012 MKKQGCIDKSIKTEIEYQGIQIGDAVINTVSNISKSLYKYSNSGL >CAK95013 pep:novel supercontig:GCA_000165425.1:CT868680:234635:237305:1 gene:GSPATT00027342001 transcript:CAK95013 MHNQQFCEGRKIDLGWLTDAKNDYQSLLIKSFRQQFKEEYQNIANKELITDEMIMSLCLS VDQKKEELVMQKKSSVTGGYLDRLVRFDKHVLQQLKDHKPVKSFPLYQKVYVETKAADQK TWFNKNGDMARLLLEFEKGHSGVYIYRKDKNQAIKWQRYLIIEKLLQVRPTLVERCFQYC YKEYEDKFTVTWTLKYAQLIGTYTTQMNQLKKQKAKLDENFLISLDRQVMNYHIKKWQAK SDKQYKDEQDYKEKLKQLSLKEQELLKATEQQRELERLAKEKRRLELLQQMVTHLKPKNQ DVKRFYRYWLDRAIHIQQNNPMKFSISFVSMYMQSNTQLIRPQLEVRFVSERTENTRDIE NSKLLDGLQYHIEQNPYCIKRLFTQMSQQRRRDFTTWIFKDGLLGASGNTSITIENFGYK DYLDLQVIDTIKTNTASKVLRIQLQDMFQFLHEGRSFWVQLEFGPSKIFVEIDVLEQSNL DPIFLDRLKFIELNDILRKIVVKIIDQRTPIPIVETVRFSLEINKYSKEIQNLYQRFYIE NICLQPQCIPTAWFSTLDGIERVVKPIVDILKRNFQEFKDSSENVQVYQKLKSIPNLNLP LEKDVNDFISKLPVNQANQHKQFHGMLMTFLIYCNLRGIQLNVQMLNSLFTIASKFICIT HCHFFYKLSNPVFGNKFDSEAQAFWLFVSFLDLMKNIHFPLTKEDQVFSPQETVIQYLEF QHMNLIKHCKVYDIAIDNFMYETLCCLYTNIVQSLPLYNIWSLIIRKMSTNKNVYLIVLI VLIEELGDKLLICQNTEDFKNAICLQAQLMDILQLEAAYSNCESTLNRIINSEHSIQQSI EHSNIHGPLIQDQ >CAK95014 pep:novel supercontig:GCA_000165425.1:CT868680:237383:241157:-1 gene:GSPATT00027343001 transcript:CAK95014 MMLKIKYSYISTQVLRSQNVVRFDAEIKQSHLLGEKFGQQVFAKLNHNHKHNILTPTMLN QIHRFLESYDLDEAINIIWLDCQSKGTDLKYLQHLSQQEKIEYMRQIQNLAVYFGKYNKV LMPVITGEASGSMAALACSTPFQFFDQKGGIRFNDVNRGFVPHAGASYHLSRLPDQLGLY YALTGRWLKNDEALNLELIYGNLRDKNKAIDYIDQTTQQYKTPTTAKMYPKQVKREIQKF KYGRKKFLAKLSQEMLIRMQNEDAQQVAQELLYKLKRFQEENLLNQNEWPKQTIANPYLQ FKTLNIDSLDEMGIISRENLVKLDKALIARCFNGESVQEIMDKLRAEQSQFAKEILTELE AQPKLSLDLTFKLLREAEKQQWRDCLVAEHNVASNLAISGLMEKQGRVDNIDSFFSHVSP SIDYLPDALEPVRDFYAEYPDNIRVFLNEENLRKGLIDRANQEVDVAAFMKNNQHMMDGS IRVSDLRKMRVELAELKRDVKIKMDNLKSIVGYEENLKKYIAERQAYLDGIKDFGTVLEE TMNSFFEKANQARFNGYANVAKIASVKPKRELFSRVRNSILNHKLTTEDDEIEAIKKQQA WNRSMQRLLIFPKDDRKSFYENELPEKIVDNEQMDQVFRARATREKLYETRYGDLYQEFS QQMDKFYRNVVQNVTQSNKFANISEYLEGKEVKEILDQLKENKEEIIINLKKVPIVQVNK EELINQMKQEIEQLKQKEEIPTTLEGLINSDNNLSIIMEDFFRCPDQFKPLQAMFEQLLT STYRMRMKNFEHLNELPIIDDQINEALTFDSFKVIENNLMFTFSYVLLCKLEEIYCSFKQ GNNYEIQVLKFQQDFGFQIQNYKQFEKLIEYLNGKLRAAATLYNTYRQRTLVQSDKLLDY ILTLKSTKYPTFKAKAIYTNLLSLKIKQHYENQITSLEEKPISQTTPDDVYSAIENKQYR MSAFYKQNLPKYKRGQLQRRIYFRDDLYMATKKEIEIYGKIQGLKAQKRKRLIIGINHQL KQLDLIAERQVEITPKEIQQQKEDLEKVLKKKWFSYQPIQQWFKELVQETLEEIYHPDLI LSETEFKEYVYISFNAQKKLLELLRAELILGVQNERDEFIQEQKFNPELPEIQNLAQQLT VAESLHVEGLIEEIEPTETLFVGKKGTILKIGGQLPVQLNETQYRELRTKMIGRVEYLVG EWAQEPKLIDKIDIELLYDKIQQIQEKNRNIKR >CAK95015 pep:novel supercontig:GCA_000165425.1:CT868680:242382:242898:-1 gene:GSPATT00027344001 transcript:CAK95015 MQMHLRIKSDIVYDQFNLNLQQSTSQRILKSEQKSYSLSSQLCDGMLSERKLDLPCSQKI RRNPVRHQLNSCYSWFNDDDQELEEHSIWGDSEQLPVANNKENQLISFLELYKYRYERTT KKPVSSHNVIQMAKKLIGRHPNSRIKYCGINKLQWIDFRDWSK >CAK95016 pep:novel supercontig:GCA_000165425.1:CT868680:243084:243772:-1 gene:GSPATT00027345001 transcript:CAK95016 MIKGTQTPINKEELKKLNQILQQLIDSNDSLEFRQPVDHKTLGLHDYLIVVKKPMDLGTC SKKLQNSEYKHVEECLDDIQLIWDNCKLYNGPSSWISKLSEKLEKSFKKYVKNYLPLINL PQSSIKVKKITEDTGVQEDTQQTISHNEKVEFSNNLKQLNPDQIGGIVQIIQTNSPSAFI VVNKERFQIVIDNIDFDTFVKCQNQIQTWMTGEEVSKRVKI >CAK95017 pep:novel supercontig:GCA_000165425.1:CT868680:243948:245590:1 gene:GSPATT00027346001 transcript:CAK95017 MSKSIKGLNLSQDVLAQELDAQRRDRMKAISTHKNIKDRLDRMEQERDMFGNENFLVKRR LSDDKREFIDLIEFHKKEKEELREEKQKIEYEYGVLQRKMFDIDEDYKKMMDKQRQIFET DKQPLIDKIKFLEARLEKIDGFLQTKADLESEREKLIETLAQERRDKQRELADKEKEKVK ETSIEMIMNQIDQLRKEMENKVQETKLSERAQQKEQLETTTRLTVLQNYQMTTELEYQSK QTGKLLGESTKLQEQVTSLKRDIEIHKQVEQELAKRSHFSQKLIKKLSSRIKDLEDQLEQ KEFEHQELNSQQARQEMEKIRETEIMQLEKKIAQLQNDNEILRSENNHLLTKLDTIRLDE NKFANLSAILASNLEYLKNNFNHDISEIIDLSELRDKSVSQWTSNQKITIMSILLRQTQQ YLTKKNLNISDTNQININHTMTENATIVDNNNKSFYFPSTLQLNCLEIKSEEDQTTQLSS KRFQGVPLDISNSIVKSNLRDWGKPSALQQSSQLLRKYKLK >CAK95018 pep:novel supercontig:GCA_000165425.1:CT868680:245771:247432:1 gene:GSPATT00027347001 transcript:CAK95018 MSTNTIKMLQQRNMDVEVSCFEDKLQISPSKQNKENSHHIKAKTCDMSDLIKMLNKVEIQ STQQGDQIEIEQDSTPKKQVQQGKTCRYIPLRSGQKQTLIEEFQYREIEDENQEPQKGSD YKDQGNVSLKDIYRMHVFGQPLQNEELHWEQKNIFRFNDNTPQKRNILQDINPSVLETYN NLIEYREQFQNSLDYQYSQRKINKVPFKVLDAPQLQDDFYLNLIDWSSQNVLSVALSSCV YLWSAYNNRVTKFCDFGNNDVVCSLIWNPMGNQLAIGTGSGEIHIYDQEKMKRMQVIEGH SARVGSLAWSGHTLCSGSKDRSIILHDPRQKRQTGKFEGHKQEICGLKWSPDEYQLASGG NDNKLFVWRMGSQIPLAKFSQHQAAVKAIAWSPHRHGLLSSGGGTADRTIRFFNTLTTEQ LDWIDTGSQVCNLMFSKNVNEFVSTHGYSMNQIVCWKYPSLQKVTTLMGHTSRVLFLAMS PDGETIVTGAGDETLRFWNAFPKKEQAQPTNTILLPQMIR >CAK95019 pep:novel supercontig:GCA_000165425.1:CT868680:247736:248207:-1 gene:GSPATT00027348001 transcript:CAK95019 MVRFKNRYFLCEYIQENQEQEFSERDLFIEIKDQVEYHFGQFGSGNIQFSFQVKYLNSIS RLFILRVNREYKNIIWSTLLFMNMFRGVPIKIRTLSCSGTINKCEIRARRLLTKWVHKIL KCDMPNRLRNTIIRDYQQTQQILPKLTQ >CAK95020 pep:novel supercontig:GCA_000165425.1:CT868680:248207:249550:-1 gene:GSPATT00027349001 transcript:CAK95020 MKIQVQTPVVELDGDEMTRIIWQQIKKYLIFPFLDLKIDYYDLGMENRDKTDDKVTIDSA NAIKKYKVGIKCATITPDEARVKEFKLKQMWKSPNGTIRNILNGTVFREPIIIKNIPRLV PGWKEPIIIGRHAFGDQYRATDFLISEPGKLEMVFTNKQGQITKYPVFDFEGKGISMGMY NTDESIIDFAHSCFKYAIDRNYPLYLSTKNTILKKYDGRFKDIFQEIYDKYYKQTFEQKK LWYEHRLIDDMVAYMIKSEGGFVWACKNYDGDVQSDVVAQGYGSLGLMTSVLVSPDGSVE AEAAHGTVTRHYRMHQQGKETSTNSIASIFAWTRGLLHRAKLDNNKELHKFCTTLESSVI QTVENGFMTKDLAIIVHNDNNVSRTKYVNTEEFIIKVGEQLKKNLGIKANL >CAK95021 pep:novel supercontig:GCA_000165425.1:CT868680:249589:250620:1 gene:GSPATT00027350001 transcript:CAK95021 MNVYTGSSFEKTINRTTTHQYLVRKYGNKSNEQYFNFEEILNQIQDVFCMSCESYVPSEQ INIHTQNCNGECDQYEQVDSLQAKLQKCNFLINKIKKMLKTYSSDQQYIIQYLQMLWKCC SNIILASDHNVVSQCLDDLDLFQQYFENQQQDVAVEQVIQQILTIISKVMQFSERKARIL QSNQNNVINHLKESIATSLANEGGLQKKLQIHENQLNRYRNPFENVQNNIHQSLKDDFQS RFKQANRQSLSPITETSFIEKSKIGNLTPRMEESMQISSTMFHKTEAEKKNQFFKIAAGL KKTFPKKQSVQQALIIALYDNANKNNIQQHQYENYISNLYQNM >CAK95022 pep:novel supercontig:GCA_000165425.1:CT868680:251233:251901:-1 gene:GSPATT00027351001 transcript:CAK95022 MQQIDQRLSQAYPPNSQNNTRYIAEIHSVLANVKIDDIGFKDIKFRKVQTKRDLEQLKLL QQEWFPITYGEQFYNSVLNGRVSSLIAEIEIKYPTGRKEKYVIGAMVYQQRQCKTKYLQN LTWKQWFCLFFQTQNALYIMTIGVINEFRGRGIAEYMVEQLKKTVLQSNKTIAYIYLDMV DYNEIASRFYQKQGFNKMRIKKNHYMIENQTFDGYVYVWQPI >CAK95023 pep:novel supercontig:GCA_000165425.1:CT868680:251974:252859:1 gene:GSPATT00027352001 transcript:CAK95023 MLLSTSKLTSSRLGKSQLQSPQRSTVNYLQQDLDSPSRQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLSSEKPKDEQRFKVLKDHVLKLQDQAHNEKSEREAFDDKKEKDF RTLSDNVALSFDQERNGRGQAEIKLQKQIDERFQQITLTITRNTHQYEDRSQVKIAEVLQ QVQLVKNQLDQERRSREESSESLSEQIDSEINKFSDQLLIEKKVREETQGKIFRMIEDVH GKLQQDINVERREREATTEALLKLLEDACIKIDKNFRSY >CAK95024 pep:novel supercontig:GCA_000165425.1:CT868680:252967:253792:-1 gene:GSPATT00027353001 transcript:CAK95024 MQKTQPVSRDTQIFDTLDYKDDSIQDLEFFGPWHKQNNSIQQQIAQIKKTYTSSTNQMPN EIVERPETQNLQMRMINTSFPNKRRITRKISIEQSNQFIEKPKYDESRQVERIIQEFFTD ESKEKKKKQRYRGGFFFPHAYKSNSNTKCNGSSFQTTQEYFDKIAIKMMKLMPNLQKQPS KSSQPLKNQKTKQKLILDLPMATKSYFPPQLLISSTQNKQVSPQNLNSSQNKTQIKTQNF LSKTGKGPVWKINNYTSI >CAK95025 pep:novel supercontig:GCA_000165425.1:CT868680:253876:255328:-1 gene:GSPATT00027354001 transcript:CAK95025 MNQDPDEKDMIGDLLDDSFENVGKIKKTYSSNRPPALQINQNYNQSPIYCPKVYSPQVQQ KQNSYDLDLRLTKVPVQQSAPNSASRPKSYESEAQFNAADEISLDGDLISKCKDQNGARC IQKAFQESSQAIKEQIFNKLEKGLLSLSKDVFGNYVIQNLLEFGTPFQQQKILIFLQPHS SQLAFHPYGCRVLQRLLQNAYNTPDFSSLFDTFKTRVRELVIDQHGNHVVQKLIQLMESD ISLWVLDGIEGQVNKLVINSFGCRIIQKAVSISNNHLERQMKILIEIMNLSYELCISQYG NYIIQQLLKDGPLVIKNQIQQIIMEKLEEYSLNKFGSNVVDCAIKCSNNQFKLKIMDLLL NQKNQQVLFVRLSTNAYGNYVVQNFLKFSDSEIQKELYYKITNNQQLLQEIQQYKFGQFV YQMLTQKLELESFKL >CAK95026 pep:novel supercontig:GCA_000165425.1:CT868680:255562:256727:1 gene:GSPATT00027355001 transcript:CAK95026 MGMCQNKELEQTSKQPNFKAKFPEAEEQDDTNEETTQLPIEGESVASNQKLSMQDFELIK VIGRGSFGKVLLVKKLDDQQLLAVKILRKNMLSKKKYQDQAIQERNIMSQINSPFFVKLR YAFQSPSRLYMVMDFMQGGELFLHLKKNVRFPEEWVQFYAAEILVAIDLLHKSNIMYRDL KPENILLDKSGHIVLTDFGLSKLGFEKNEMTYTFCGTPEYVAPEILYQRGHTFTVDFYSY GALIYEMLSGTPPHYSKNKKEMLKNRCEKPLEMRSCFSFYAASLLKGLLTKDPGLRLGSN GIKEIKKHNFFNGIDWKLVEQRKLQPPIIPKIQYAQDLSNFDAPYLQQPIVDTPESKLNE TFVGFTYHQQM >CAK95027 pep:novel supercontig:GCA_000165425.1:CT868680:256780:257271:-1 gene:GSPATT00027356001 transcript:CAK95027 MSNAFKSAAGNTLSKNDMGQTGQSFAGSQNPASLKGKLMSLEETIKGIQDEMNFHKKEVQ ILKSEKDTLESVLSMKTQDVKKTLTNELMRIEEEMKRHFAHQKAENSRLQQQITALKGEK TALQQQLLGLQRRIAELELQVGQEQG >CAK95028 pep:novel supercontig:GCA_000165425.1:CT868680:257292:258209:-1 gene:GSPATT00027357001 transcript:CAK95028 MSRKEQDIKRLIASQCHIGTKQLHFDQKRYVSHRSDNGAYILNLEETWQHIKLAARVIAA VEQPQDVMVVSSRPIGQRAVIKFAHYTHASSTRSARWTPGTLTNQSNSASGKFQEPQLLI VTDPHLDKQAIVEASYVNIPVIALCNSDNPLQYVDIPIPVGNRETKSISMIYWLLAREVK ILRGELRQDEEWDVLVDLFYHKEITNDQLGVADNQVKQEGDGEEQEHQGEAEKADKDW >CAK95029 pep:novel supercontig:GCA_000165425.1:CT868680:259296:260591:-1 gene:GSPATT00027358001 transcript:CAK95029 MEALQSLKNKLSILEQQVAQKQLKLDDDQLHLQQLQNVEEYNSHKICKGSKIRITIFDAA IQFPGHSRVAIILGCDDKKYSTKPANRQAPAWNEKFEFNISTGDEEIYIVILDEELIEKQ EIGGQAKLNLKEFHDQKPHDISLQLKDKYGVVLYSTLNLRVQWIHSQTKYFKESIKENQQ VIDDLAQDINEYKSDILQLFYPFDEKHQNQPNEKYLVTEQSTVQQVHDFQFYDTTQAQLW VKLALAFTLIYFVLVLIANLFKTQFVDLIVLFYSLFYYMNNNKLQSLLHFKIISAMIIVT IIFDIVWFIIYTNPWTTNSVLFFELENGLQMYEVIISYILLGIKVILLCIYANLYLMCPD KRVNIYDAQYEMIFGPNLQKSEDSKNQDAYSFRNTNHYLHQSFSKNI >CAK95030 pep:novel supercontig:GCA_000165425.1:CT868680:260856:263417:1 gene:GSPATT00027359001 transcript:CAK95030 MRPQSPKMFQTQTIATTRVVSSPTRESRQDSCGRLAKVTTCQTRPKAIVTTSTNKPAIET RTVVLCTDKKCQGHENLIEQLTQDNNKLNQRIHELGLQIDKYDSEQQTWMSTAIEIESMR KLLEETQKNHQQEVSYLNSEILNYKTTCQYQEDKIKTLNSYMNSKQQIEEDYLTLSQEME RYKFIIQDKVDLLQQQDYRIQDYEKQLQVGENVIEERNQQISLLLGKINQYEQEFVTQND IIHQLKLEIQQKDIQNQQLLQQKDSLISNYRENLDLLEQRVQQDQLIDNEKNEKLVELHN DKENLNSVIADLQLQLTNLQEQNIHLNEQVTLNDQANVHLLSSIEDQTKNFELQLQSQVD QHQQRNQEILDLQTELYQTKLEVEKKDNLIISLNDQIDDLTTQMNDKIELLQWDVTQQIA QTVLYNNKQLQKYLIINEELKIELNQRKTNEKSAQNDLEKEIENKNQLLESLNQLTAQIQ ELEKSQNLLENEIQKKQQQIEDQKSQNEEETEKFSDLVNSLQKQVEEVLEEKSNLENQLT QLENEKQMKIHQLEESLLEFKQNEDKIVQEKQQSQEQLLLQCLEVQRLQNENKLLKEKFQ QQDEEIEKLNQKSTLENENKLLLTKEQDQQICEFQVQIKDLSNQCGTLKSELKQQVELSG ELQKKISFYQAQISHLQEVESQLRYLNEQNTQQQKNLQKELDVKNETENTLKYKLDTLLE DIKVKQMQLIELDRQLQLVEQTNQKQQVNLEDKINYLQNEVEMWKEKFVILNRDYHRVQE DLMMVQAEFDAFKRRGLELKNIKESTCFEVRKSSLYKENIDIKGSQTSIGRLFKENI >CAK95031 pep:novel supercontig:GCA_000165425.1:CT868680:263507:264632:-1 gene:GSPATT00027360001 transcript:CAK95031 MQSDPYNWPFPNRNDLMNLSTIKNQKRDFVYDNRAQSTMSIEGIDGAAPKLKPYQYINKE QFCNRDDDIPGTKSRPQIRYTNRSDNQLMIDDIKGTRPQICKFQTNRQPINPLEPTYKIP SFEQAEPYQTKFIRDSYNVNDIEGAQPSMNNPRLKKQPIPLPEIEGSHSKQLHVQKVDGI NNFQVKDINNDMLHKYIRNTNPIEPVYSHRDEDGKKIEIGFVEGSKSKQLHPITINKFAS SILTTQDIVGAQAGSHSQHFLRTNDRKDYRQTNNVQDIEGVQAGSLKKGIVSKRYTNPLM PAYQMPGNAELYSTQQKSVFSKNASNQMFTNAQKMDQFLAQG >CAK95032 pep:novel supercontig:GCA_000165425.1:CT868680:264708:265098:-1 gene:GSPATT00027361001 transcript:CAK95032 MNKNQEKPSSMQDKERMIEPLCKPNYKQKTITMLLKLICTIELCLNTTKKLKQVSRLQVY SLNPVSNHMLNQKQEFDQQLHYIHKSISLSKFLFIFVQCIQFTYIGIYMQICFLLQEECL I >CAK95033 pep:novel supercontig:GCA_000165425.1:CT868680:265098:266017:-1 gene:GSPATT00027362001 transcript:CAK95033 MKSALIACLILSVFSVEISLNNVATTQALEKLKESQWASFIVDFAEVELSSGGALTELVE AISQLIDQLEEELDDIHHAYSKRTDEHNRDVTRLEQEIQDADRDIFNGEDFIDNVLIPQK ERFQSALAQLKNNIEENRRVLDGETVNRKKQHEQFLSNIAEINEAIGAVR >CAK95034 pep:novel supercontig:GCA_000165425.1:CT868680:267304:267858:1 gene:GSPATT00027363001 transcript:CAK95034 MYLENNRIGSIEEIEYLQNDIFPTLHTALAKLVEYVEKTEEVNKHKERLEQIKICDKIEK RRVERNRLKRELGSDFGSDSEGQAENLENKSINNDQYGKKIEQLIPIENEFQQQSQHLID EIAMQEMKQEINHLLGQIQEDQGEEEAPQKHELEKLKLQLRLQREAMDFNPLIYLASLLR QLAK >CAK95035 pep:novel supercontig:GCA_000165425.1:CT868680:267908:268867:-1 gene:GSPATT00027364001 transcript:CAK95035 MNNPSIMQFTQKESEFCWLAGLKAISTKQPMITMWMKSEQRKVDPSLLKNEFMKQFQSGF LHLNSIITGDRNKNSQLIKGISDITVIAIVRVIREEGIKEFEQIRNDFQKLITIITYLSL TRSVMSDAVMKFLSNQQSESHQYLDNLLSTLAKIEQMKNAMNSNKHDPIKDTQLYKLLFG KGNFYDPFTANHQTITTGQQAPQNHQANQLNHQEKQTAQVSPMKQALTPTSEQKHHQQQM NQQTILQHQQIIKQTKPLVQVEDFSEDSGDSSVDLGNKYIVNAKAQKQINGSDSDSEIQE IPNFKI >CAK95036 pep:novel supercontig:GCA_000165425.1:CT868680:268942:270972:-1 gene:GSPATT00027365001 transcript:CAK95036 MLNNINLSLSQIEELTKDETQKDQQTKVKVERKIERRLGSTNKDESANVQMKIEKRIGSA KPKDSLQQYTNTKIKNIYQFLENVESDSSQVSQQIQQQPVRSIEAIEKEHEYQSKIQQLQ GIVQTQKIELDEQQKTIDNLKQLLEKSQVKLKESESNFKETINRRLNEQKAELEVVINRH LGFIDQLINDKKELNNQVEQLTSELKGIDKQQKRQLEELKNNFAREIKQKSEQWQIQEKQ KREKWEKEKLAELKEQTIKGLEPELNRMIEKNKLEIKRIEEKCQKEKELQKIQVIEESEM KMQIFKEKWAAEYELLQQKEREAQLIKQKELYRTYEDQFAKERDAYKQRMQQDIEDCERR RKEEADTYVKRMKQLSDSQAEEIRRIKSDCQREIDEYKEKYFNMESEVKEALRGDQEAWK QQFTIRAEADMNRQIEKIQKKLEQDRNDKIEKIVQKLAEEKQQYEQNIQMKYDKKLRDQQ AGKDEEIKQLKKQIKALEDRENKDGSEYQAVQKSNQDLQRLIEKQEQENQKHFKEQKKLK EEMLIYKEKLTQFKEEQSLEIKGIKESHEREKSRITEQNNKLQFELANQKQLLEETLREL DIKHSQNLEEIEENVKRIILKKDHEIKQLKEELRMKQLACEKYDELLKKQRQDLLRQVEQ >CAK95037 pep:novel supercontig:GCA_000165425.1:CT868680:271291:275030:-1 gene:GSPATT00027366001 transcript:CAK95037 MFVKFERHSDRVKSVSFHPHRPWVLSALHSGVIEMIDYRIKKRIATYEDHKGAVRSVQFH PQLNLFCSGGDDFTVRVWNFKQCQFILQGHLDYVRCVTFHPINPWVLSGSDDQTARVWNY QSRQTIAILTGHTHYIMACHFHPTQDLIITCSLDQTARLWSYGVLKQRYAQKKNQEYVLS GAEVQVISILDAHKDQLNWCAFHKTEPFIITSADDKNIKLWKYNDTKAWEYDTLSGHTNN VCCSEFHPKGEVIISDSEDHTVRIWDYATRKQIGVYENKHFDRYWIVSCHQNNNYFACGS DTMLQVFTLHKDRVPFILVNERYLCMAEQKTLKVVELTSGQQQTIRDIATVITPTPTLLE DNIEFIEYNAYDTQKTQLMIRCIRAFAEPTKPKRHLLLVFQPQKGDSGVKQFFAKSACFI GKSKIARINQDQQIELYNYETEAIQIIDEKQSNKIFPAPGGKILIQRNETTTLELFDPLT KSSLHSVEFSGAKYIHYVESYLIVQSKLSITIFTKQLQKLIEIQEQNNIKSFIWINNFII YSTKSQVKYLLLNGDTGVLKSTENILYLVKGEEQQQSKLKLTALDNAAKYLQEILDISEP LFKIAIMNKDLNSIHKFVEHNQNEAILSYLYQKRLASVALKLVKDKQAKFSLSLDSGNLE FAYKAAVEIREPQLFEQLRTEALRQGNHLLVDICDQQLSQFDRLSFLYLCTGNTDKQEKL QNINPNFIYQSQNQRKIAIKNSIPKVAQIMEHLNGTPQKLDENQKESIEWIKALGGSQAL IPPVPIMKYKNDPWPLLQMNEQDIINLEVTDEAVVPQDIFAFQKQVIEEPTLDEQENDTQ WRLDEEEPIEEFVDAKVGDPINKSIDEQALRGNFTYQNGEVAIKYFAAGDFETGIKLLKQ QINLNNCQPLFKLLADLPNFQVLTMSPYLSNAILPVKINRLNEIKNLIKLGYKYTTDAKF SEVSNCFQQVLQKLLLTDFEENQVDEIKRYINLSRNYMIAMRCDSLKKDSNALEMACKMA TIDLQPGHRILTLRQALSISYKQKNFITCQMIAKKLIELLKNDVNQKPEVLQNAQKYEKA SQQQNTNAIQIEFQEQWLNEKPIYSANTLKNISTYKSCPYDGSVYETDYQQTCLICGLCK VGKAPGLKYK >CAK95038 pep:novel supercontig:GCA_000165425.1:CT868680:275183:276264:-1 gene:GSPATT00027367001 transcript:CAK95038 MSIQREWQKFVRPPRYNYSYFDLGPEYIQLHQGYLRKHERVITNRQNCKLEMSYFELNPR TTNCIVYCHGYNGCRIEGVKYASIVAQFGFNFCTFDFQACGHSEGDFITFGHLEKDDITS ILQELEMQFQQKQFILWGRSLGATTIQLKEQPNVKGLVLDSCFTNFNKLAISIIQKQTRL PKFIIKAIIFLTKGTIEEEAGFQLNDIQVQCNSNMPTLYICSDKDSLIKAKNSLKLYKQH KGIKKLIKVEGEHNDSRPLEIIQQICSWCKDRVQIQQNNYVQYNSVPQVARLPLELIYDS NIQSGMQTSYNRIPKNPAIKLKNQISIQLRTSCRNLHSAVQY >CAK95039 pep:novel supercontig:GCA_000165425.1:CT868680:276329:277633:1 gene:GSPATT00027368001 transcript:CAK95039 MSEEEEEEYFNAKAEHRPIFSQLEDRQQHKEQNKKVLLNLGVFALLTAISSLIFMGWLSQ KSREDQKQIILPFHNPNDRSELLCPLNTSFDTSRFSCLECSQNCEYCYHEYTQRCVQCAH PYKLKSNHCVEDCISVDNICINTTSDLVNLFNYSVVDLGTELRVNQLLQVEIHTHLYQHL YIIFPPLGQSFNFFYDHIKLPEMAQQLNATLFVFSTPYTEVLQVQELSDSIDEEFRFIER VHEFINPYISEETKSINIIGLGEMLFFAHRLSTYIVKKMPASTETNVTVILMQTLEIPLY DSLNLSFLQLQHLLAYIDQSWDISHKENKSNLIANNEDDLYSQIQQFLLHLSFNNLVNPS YNMNIDVVVISERGCKDIQNLYQDGFNAKNFIQIFLDDNHLATQFAKLISLQ >CAK95040 pep:novel supercontig:GCA_000165425.1:CT868680:277692:279598:1 gene:GSPATT00027369001 transcript:CAK95040 MQKPEYIYNHQSQPLNILSIGTATCRFKSILYLNNNIILPIATSIAIYDDMQIHCVIHRT FNKITEFQTNQSTIYSLSHNDDFIFAIGHDGDICQLDIKTYQKINYINQIGSQCRWSSIE GNALLISAEHSKNKGIIQVLNATTLEQIQSFDGCYFYGCVQNQNFYMLKSKRSGKLSKNE KESLNYKDLKKYKNNKQFLFSLEVHSQNGLEKVLPFETEPGDILVIAQSPKYIAYFYYDR RVLVYDIEKHQIAIHKIEGNGVIQAACFQNDSELVFQNQTKQLTILNLQTQEKVVQSYKQ GLNTLVQGIYKKGEVLVTASEENVTIYKGVHVLHKEFGGLTKCGIDIYQNDYVVSGDFLG NITINSLDTGKTRGEYFIGSSIRSVSCKDNIIYIGTMEGQLYKYENEECQQFDQIEGSIT CIRVKNDDLLISTTHGLVRLYKNEKQLFTFLAHPPKEKSELFGSLNIHADIWTCIFNPEQ DIFATGSEDQNVKVFDYNQKQIVELTGHKLAVTCLDWKRSQNKLILYSCSDDRTIRLYDE TYSLIKVINTFFINEWFTLTYMSVYQNLIAIGCQNGYFFLYDQEKGDFIFVQKTHMGGIE GLVMNSKYIATCSSDDCVNVIKIN >CAK95041 pep:novel supercontig:GCA_000165425.1:CT868680:279901:281998:1 gene:GSPATT00027370001 transcript:CAK95041 MFQQNNGEDQQEPNPQQQQNNNQEGQELQNNNQDIQPNQDQLEIYLLRYINNKEQLSQQR AQLDIVIQEINGTPNDRFIYRQFLEKICNKFQSLSIKEYDDISNFEQLHQMIKDQYKKRR QEWESKLSQNQNLINDWNDKVKMAQYYQIMKILKSPNRSLYLTKDITKEVYFDEIKQELT PQEKEQLNQTNGIPESLKEFGIFDNYKVQSLEVFQNHLNLMKKEASQSDDPENFITLMQQ NMCFEIYKILAFPYLYFNQCKKIDVEAQSVHFQLPNNMSIEVRSNYIEQSPLLNENSIMN SIPPYLLQTNILPFLSIIEVFKLRIVSRYFKTIVEKYWHIPAKKQIIDLEIAGELAYNSA FLSNFKIAAVTLKQKLRNCVDMIMNFINWQELHELIEIDQLQIEVYRPLIMMLRLFNKQQ QISLPYEIDCQFSIKELAKDIKQQILDYLNLEFLPLSFNQMKQLQSSALSAPEFNITGLI HPQLHLSQLLTFLLQGLYFHGWMLQIITIHKELLKQRQKELQNMDEQQIQNRDFVRQARK FIYKMVDFEQSQDTEEQQTIMTQIYYQMNSSLKGFTFSVNDVTQSRPCAAIPYKNDVITI HQDIYCQIELLTYIYARQYIQEMQNNKQNSKVKQEIDSKQEEHEQQSEKIDSQPDLSHQQ NEPN >CAK95042 pep:novel supercontig:GCA_000165425.1:CT868680:282097:283258:-1 gene:GSPATT00027371001 transcript:CAK95042 MLSIQKVNIATLYNRNEEYKSCNRETDREDGSKIQKFKDLLHQHIIPINELIHLAWSGVP SELRSTVWRLLLKYQSPNRDANLAIIERKRNMYFEMCDIYFAKNQQYDEREKKILKQISE DVKRTIPDSAIFRNPSIQIVLERILFIWNIRNPACGYVQGMNDIVSPFLIVFLSDYIDID TTKLQFTNEKQLDCLDQRLIRQVEADSYWCLCKLLESVLDNYTNSQPGLVRFFNKFKEIL SALDKKLYEHLTTSLSMELYSFIFKWSTCMLLRLFQFEVGLRLFDTLLAEEQNYFELCLF IIISILMKFSLKIQKLQYDEIMILLEKIPTREWSESDLSLCLSEAYAYQRIFSKK >CAK95043 pep:novel supercontig:GCA_000165425.1:CT868680:283281:284860:-1 gene:GSPATT00027372001 transcript:CAK95043 MQEQHFKKINQYYFQKVIGSGAFGIVYLGWDDNFKRQVAIKEICISKFDLNQVQDKRQYQ MIEKEIEICKKLKNKNIVELYDSFKTDKYIYIIFEYCSNGDLEILFKKNRFQEAEVKAIF QQIIGGIKYLYQQKVVHRDIKLANIYINQEWIIKLGDFGFARNFDNYMQSYCGTPITMAP EIICGKGEYDEKCDIWSLGMILYMMIFGNSYLQQFITPKTSLKEFSEIVQKSQVAFPAKT VISQGLRDLFQKMLLVDAKKRIGYQELFEHYWITEGENESFKKSVRFISKRMNSGEASDI KVPLQQTINEQLGYQFGQYGIVRNEIIENIRDMLNLCQNIDNVKRLCENFLKKLRSSQNN YQITLIMKNQNRVFKEGSVKLFDIKYKLTYLLLHILHELINTDNATIDRLEKAQKLFDLA QKTNISNVISVKITKEEIEKMDDSELNQQFEQLGDELREIMIQQQARQQQRPHKKDYEIT FENKQLEERTLLTLGNGIDNFRLLRNEII >CAK95044 pep:novel supercontig:GCA_000165425.1:CT868680:285571:286490:1 gene:GSPATT00027373001 transcript:CAK95044 MSTREELIYMTKLTEQTERFEDMVNYIKQVVQNGQELSIEERNLLSVAYKNSIGGRRTAW RVLSSIENKEEGKAQSQPASQKNLVLIRSYKKKIEEELNQYCHDILNLIDSHLIKTASTP EAKVFFHKMKGDYHRYISEYASGDQHKKAADGALAAYQAASNVANQELKTTNPIRLGLAL NFSVFYYEVLNDAAKACQLAKSAFDDAIADIEQIQEDQYKDATTIMQLIRDNLTLWTSEL DDEGGNVENL >CAK95045 pep:novel supercontig:GCA_000165425.1:CT868680:286545:287229:-1 gene:GSPATT00027374001 transcript:CAK95045 MSEEQCDYVFKFIIIGNGNCGKTSLLYHYIHEKSITNVKQTLGVEFSAKIIQVKQKKIKM QLWDTAGQERYRSLTKVYYRGALGALIVFDVTNSDSFEALREWIKHARDFSKPSIQIIII GNKVDLEKERVISEQTAKQFCQDNDVQYVETSATTGYQVNEAFTLITTKTLDLLQQGAID GGMIKPKFLMSKKVDEKEKQQQCNC >CAK95046 pep:novel supercontig:GCA_000165425.1:CT868680:287536:288147:1 gene:GSPATT00027375001 transcript:CAK95046 MTEQDDEMLVEEILCCQNDDDIRSLVDSQCLDIIGNSLQDQLILAHKQLFRVIRLFREFL DSSPNQIWDQRKKKEHNQALQQDYLMIHHELQKEKSKIKQIEEVNTQLRLQMKLYEGDFE TIRSQSFEDIKKVEDQLVKTKNSISLYKNSLIQKFCVICLQKEYCIVLKPCGHVCVCEEC SKRIDQCPIDRVKVVKMKKVYLS >CAK95047 pep:novel supercontig:GCA_000165425.1:CT868680:289447:289794:-1 gene:GSPATT00027376001 transcript:CAK95047 MLSVQNLSQQFYFQNYQKQNKLDMSKMKLKLTHSSKDQHFSQDEINQLRQTQEIEQMLSS PEVKKFEAFLFESITCKQWLSKKFSQFTPLPQRVINFYESEFSLNHSHDHQNSEQ >CAK95048 pep:novel supercontig:GCA_000165425.1:CT868680:290877:291546:1 gene:GSPATT00027377001 transcript:CAK95048 MKSSSPKNQLVIEKRGLSEHVQATSIHSGSRKTSIVTKESSNLKGNLQSIQKQTEINNSQ LKKVSSAGKLLIPKSEQQQQKQKRFSSKEGDGSNVIRSGYLYKQISPKVTMLEDKMNVVF VQELNKYQINNEPTSPKSPKLTDSNLFMHGMTQNGFQLKGPQSPPSHDILANGQLKNKIP KSVLSHHQNLITTSGKKITELKTSKQYQNTVTYY >CAK95049 pep:novel supercontig:GCA_000165425.1:CT868680:291691:292485:1 gene:GSPATT00027378001 transcript:CAK95049 MLFVSAVQNALSSPKYKSAEKQKKQKPSIDSEQLTTLLQLEAVFHERLYSIDHVTKLLEI YGYLVEQLEPSLQTLKDYFLEKMEFILSRPETIELMMENDERSTALQNRYTTLINTQALD QLKLDEEQRFNRPFLQQNSDSNSKMRFSVFKKHQAKEREICMEVQERKQDQKVDLNSLLQ EYDQISKEYDSVVKKQLQDQNNALNQRMAIRKNKKKRTKQSNSQENKRTSVVNPVRTTLS KWKLINEIQESAHFWRQSINKIKK >CAK95050 pep:novel supercontig:GCA_000165425.1:CT868680:292531:293763:-1 gene:GSPATT00027379001 transcript:CAK95050 MNQEDKQSQDSINEKQIENNLKDLLERILLNADKFIDTKEELNQIEGELKDYENLSHLIG IIKAVFTNMMMKVEKKISKLEKQLDPNLSQTYSMRTDEEYEKLEQTMIKYEVEIRNHIRI EQQLKLYAESIQTKLDESEMNRTELLNTTKQLISNLKRENQTYHEVQQKLKNEIVCLKQI ISGLEKENRRKSLDLSQRDHIKLQCKANQQSISQSFQLQKQNHEKSLQENKGIFKQNQKC ITTENNEIPINSQQSLKQNYYNIINQGNTANKVDLQKATQQKEVNRAYGQRLRSKNNSLS NIQDVIQSVSVQDRKKCINSQTISKNSSQDNSQVVKYKEPADLSRSKSSRRGNLGQKLII VESQIKLTC >CAK95051 pep:novel supercontig:GCA_000165425.1:CT868680:294622:295342:1 gene:GSPATT00027380001 transcript:CAK95051 MSIVTRFASYFIKSRVINYSLQVDRIMTEMCKAGFQDPEEGFLERDPMTYYECRFYSHIA RNWTPRLESFEVSQYELAKQKFVQFENLYSFILDLHRLTWEYRSLYLELTKEIATHNTWF RSEYTTLTYEHHLEEAINKYINLLDQLKEYPLWQERVKEEIGYYLHLIYNSTTHSSQSKE LFAKFDKLYFFK >CAK95052 pep:novel supercontig:GCA_000165425.1:CT868680:295544:295914:1 gene:GSPATT00027381001 transcript:CAK95052 MIEDDESEGFFQLKLQKKIKKHRSIPLRIQKHYESLSLMQTKATDQEQLILSLIQKRAEF YSKNKQIIQWKENISESHSTIFVNLIKSESETFEDSEFIFQQPLDEFPLGSYSH >CAK95053 pep:novel supercontig:GCA_000165425.1:CT868680:296602:297353:1 gene:GSPATT00027382001 transcript:CAK95053 MADFNPQERVKKMVNAIKAEATEKSEQIKDMAAQQFRIEKNKLLNQQKERIIEEYKKKIE SYTIEKRIQRSSKINQSRLSKMQARFELIQRLKEEVRQKMAILIQDQSVYKELLKNLIVQ GMIKLLEPRIELTCLEQDVPLVKSILGECQEEFTQIIKRETTKDFKTTLSINQSQYLTEK SGKPILGGVVLSCANNRIVCSNTLDDRLELSLQEFLPDIRNGLFRK >CAK95054 pep:novel supercontig:GCA_000165425.1:CT868680:297398:299164:-1 gene:GSPATT00027383001 transcript:CAK95054 MYNPVEYYYSNDYNISQIAKPEITRINTSYSPRKLIMGYWKKDYKSFIKQRTMNLESKEI QPHSAKQKGIKQSFTRLAESILNVSNCFENIPNRQTKTDRFVQKQKNKFTTPSPQHSKLH NHETHKIPGRLYKGTLLKNFDNSSNQIGESYILDPKKEFGYSQMSPKSTKKKEHSSEQLR QKHKQISNTEQVVTPYKDLKQQLMIRRSTLNNTNSTNSFKKTTYNIIDEKLEGMKFECLL IRPSPNNVSNLVMIQFENVLGYDESSFFGLQPDFIGSKQHEEYLVLRDHYFQKATPNSSF YIHKNIKELFNSICRVYQVGLYTLNYSQLLKDLINEKKLKVNGAFSILDYKIDTFVVDIT NVLTNLKIKNPQLLIFIQPFKLLNKQESFIPNHTKMPYYDYYGQRFFIPFTQSIHPNQYR LLALPSLAMQSLLKNEEYSNGFVQINYFIEQFTLALQSEGNFAQFLNKSQNRITCINQSS YFRQIKQRLLQQIYFIDTLKLNQDKYDNIRFKKKENFDRYSSKNDYAKQMEILYNDIIGR NKEILEKFRACNYDHTNNLSQLHQELQQSLIRLNYCEMFVDSCYYLPY >CAK95055 pep:novel supercontig:GCA_000165425.1:CT868680:299195:304050:-1 gene:GSPATT00027384001 transcript:CAK95055 MSIMNHKKSQLEKGTSQEMEYKFKISLFNIMHNIIQNNLCNTLIYCVLLSIENLQLMYYP IHPVIDFLWDNQGLNYFRMILRYFQYNYLIDQGVTIFIILLYISFGVMVIIGVIFFTSQY QLSQLKYQEQQSGMLIFTFKLLSLLLIILNTVLALPFYNVFLATIYCRSDSAAGADLGCY EGIHILHMIAAIIGIVLLIFFHFSTTLLYFDLNPKSKFPFNGYQSDAEYARMLFKFVTPF LIIFNAPGTYNSEMVVLFGVGDLILLFSRYNYPTGYNNFIHFYKITLELIVLWINICVII TDFLDTGAPDDMGLLYLFVLIPCVVFGGLYAFKNILKESMTFQIKNLKNEEEADNYLNTL IQLILDRQSPNTKIKLMGVLKLHYVNCTKTGCACKSLISYDHKKEEGTKEHETWMKLLGS ILDDIIADEKFKENARFKIFYSYLCNDELKNKYNALHYMMKAEDTKDHTLRDEFCLFRFK QHIESEMQEDSEKAQDIDVNSIVFFQNQLVVLTNMIQKSAESHLEFWRELQEDHPNILKL QNIGLKVLHQIDLCDEGYQELVEINNNNLNLLEFYNAYLQMVVHDKEQHEQLSQQISQIK KTIYQRKQGGGQESKITESQETIIITMSGNVGSIGIVASCNNEIQKSLGYPASELFEQNV SKIMPKIIGDQHNQLVENYMRTSNSNIIGLERFVLAQNSQQYLVPCKLMIKVLPNLEKGI LLVGFLKPLEKLPGTHYMIYDGISQQILNVSESMKDLGIRVEDIATTSAQEQPIYTFGNL FKELGDVLNNTLNQNDQPLKNQVYKLEGDIYTAETIIDVQLFKQLLQSNIHDSDNKKMGG SIKDSAIMNYSGDFKKVKVKLELIEERFPLTDDGQPAGGSIYHTIVIREDIDQEKVNYGK SLIKQKLDESKHQIIQQQSIDEEEDKQKNFQSSVDDQEENKQTKDIRSALEQSKTPRQII YLRYLTLILFLSTLALSISKLVLALQQSILVDEGVSAVRQAHRRHSLMADINLYSRYLYL TSFGHYPSALEGAFKSNISVLVDKLQVIQFDMIEFRIKVESRSGLQIDDDQYDVVFLLSN NETKTYGNIFNDAVFAYITSASSFKKATLTQFNDTSNSSSVSKNLFYVVENGLDVLRNGS ERIADKFYDFYYDQVDAQTSNAIIILIAALVIIVICEIVYVPIFMSIFKERKRLISYFGM ITNDDIKNLIDQGDRFILDHITKFKSSDDINQIEQPQQQQQQQHIIESSLNQDQSQDIAD SPKQKVEVDQRKSLFDQTKEKHQRIYESKGGTHYFLIFSFIFIGSLLMIEYIVMYVLEDQ SISDAKLILDHYLYISRRASIIKYNILFTLEALVNNGQSRFYMENDLSKYYNQKVYSNEQ DLFKTLTYTYPSSFDAYFSFFNDINFLNVCEHITWINTLDLITQSFVFVDTTDNFTNYTF SSSELTKECNTVKGGLLTKGLRDAIFLVSLGNNELLATYSNLQESLEAFQIQELLRKYIL PTFWENNNIFQTCFYNFLDIRDQVQIYNFVGFVGFLILIFFFLWISYIAKVQSGIQQSMR MLTLIPDELIDKYTKLKEAIEYHVLGIKQQQQ >CAK95056 pep:novel supercontig:GCA_000165425.1:CT868680:304702:306994:1 gene:GSPATT00027385001 transcript:CAK95056 MDDNVVPQQIIKLLQDKSQDKKQQGCQQLKSLVESYAQSGKELLIKQIIETLKIKLTSQS QLQYKRQGMVAIQTVAELLVKEFPQLAEKCVKDLTQPILECLNDKEDKARQYAVECLLQL TKIMKTMILLNFNEIFDYQLGRSAEQESSIVQAMFLLDQLLKSQVQTAVQEKFLDPGKQY YFNLNSFMNQLQNKLKTRVTYVRQFLLGWIKVLNQCHNNDLYIYFPMILEGIFMMLGESN KEVRNGADLQANEFLKQVEIKVNLDQRVNEQIIEILLKICQMKGNQNNYAKLNSLLWIFE YLRVFQQELEEEQKCRLGLQHSPSHVKSDEYLRSNTSPISKNQIQQYLGGFESPLRKTIL NSLSQILGPILILLSHEEEEIRKAAQKTNELLLKVMDRIKNQSVEFINIVPTIKEMLTDK KSNTAESALIWMKHLLEMYSESLFPTIEDILTKLIDKLADSESAIVQNIMDVLANISMHS QYFEMVIDKILIMLHKNQEQSEKKGDQIFKKLCSLLNPQKVYFTITAKLLEIYSENDVIF ISNTVQILTNLLISEKELQNLRNILRNLKHEKDDKKKQQNQEIFETLYRTFCYNSMSVIT LCLLSEEYELAYKIIISFSEVEVNECILSEIAQLINVLETPVFIFLRLQLLEYDSHPFLM KCLFGLMMLLPQGVAFNTLRQRLKNVSNTQIQSLQQDKKIEIEEFLDIQRLLSLFRDIRF QCINQESKQKDQH >CAK95057 pep:novel supercontig:GCA_000165425.1:CT868680:307017:309211:-1 gene:GSPATT00027386001 transcript:CAK95057 MVRFSFQVIKNQETPNIVCSFDNIRYIFNLPDGFQRFTKDNQVKINQGPRIFFTRLSTQT TTGCFGYLSTLLAQNCGVNTKIYGPKGLYIMKQEVLKHYLIRCKNLMETAYWEQKIQSQQ KNYCSRTIEHIIDPKESNDASIYMKNSTLFKDQNIQIQAIEINDTIQYVISSFPQPGNYN KDKLQQFKVPANKMQDLSKNGKVILEDGQIVTIEQVKEPDIIPQVAIILDIGNEEQMQKL MGHIEFKKTLEQFQAKQVNLVAVFHLSHQIQEEYYIDFIKKYSYFNHIFCNYYKESDDKI CQTHMKIQPLSELLSNFLHKQYPFNFPHIECLKLRPIPIDFKDVNQIFGYIPLFEYLILP TKQQGYKPVQNFSPKIMSEMFTNIQFKNYQQQFQQKGIDHIRLIFLGTCSMKPGQLRNVS GIILVNEKLKSNIILDCGEGTFKQISDENIVLDNKPLIIWISHAHSDHHLGLLQIVHNLC KCHNEIYLIVPAIVVPWLMFFKEILGEQCNWKIIVSQQFDQDFKEDINQLIKDCFHQCRI RQGELVQIQDNQNFIKNYEDLISCLKDQFELDSIKVTPVEHCPQSYGVRLNLCDGRSLSY SGDTRPCDRFIKLSQNVDILIHESTFTDDLQENALQNMHSTISEAVKVGMLADAKVITLT HYSQRYSRQIEINQENLENDRIIFCCDHFGGYLNEYSKLSQMSKEIIQLFNE >CAK76738 pep:novel supercontig:GCA_000165425.1:CT868244:87:529:-1 gene:GSPATT00039153001 transcript:CAK76738 MNRRLVQMFSKQFGQVPKFFASNEQPIILRNEKSGYYVNPEAVARRMIKVISLHDDVKNP SAITLQSTWTDIGLSDMAYVEVMVEVEREFEIEFPDTDVECFRTVNDAVEYVARSFFAV >CAK76739 pep:novel supercontig:GCA_000165425.1:CT868244:546:1369:1 gene:GSPATT00039154001 transcript:CAK76739 MSQNILKDILELLEGPSSDSFKDLDAQLLYIKGAILQNLQNQDYQELEKQVQKYESEIRK HIRTEQQIKLYCEQLQQQLEQFHQNTVNQNLIHVQLQFIYQQLKKEIATLQEQKKHLMEE NTFLKKKIQETNETQTQTISSKQPLKLVDFIRKQKLPFDKESYLSNTIDTCSILKKEQIR LNSKTISQQITEQNYDHSRQFINNFNIICNKQKITYNANRQKKSISEHKQIKPKLDLSSI N >CAK76740 pep:novel supercontig:GCA_000165425.1:CT868244:1537:2335:1 gene:GSPATT00039155001 transcript:CAK76740 MVKSQECSEILKQTKKYQKIDKEQRKIVLELLIREKLSLQEVFAIFPKQFIYIYYYIYCL FTIHFQVANKLNLKYCTVRTIQKAYEQDGRIGKKETRKKKLKVQSILKVSVLNPLTLQVQ PLCIQSDNTQMYVDKQPSLMDQLNLANEQKQLISSQYQKLTQALTSEIKKASLVQQQLLQ NLLLSSQMVFKQILDPKPQIQIKQEFDSVSTSPYPFQPISSYPQFYPLPQPFLRT >CAK76741 pep:novel supercontig:GCA_000165425.1:CT868244:2467:2955:-1 gene:GSPATT00039156001 transcript:CAK76741 MVKLASNRSPMVKAIDSTWSIIRTLYFAVILFLFTLIGMKKESNKYTKEELNYIIDDKMP FKRKPKDDDDDDGGKEGTKPKIVRGLGGGADCKS >CAK76742 pep:novel supercontig:GCA_000165425.1:CT868244:2998:4154:-1 gene:GSPATT00039157001 transcript:CAK76742 MKDMSKKIFEKENQAEVSNNPRHQNKSLTQLVSLSLIGGNRKNDLKALELKNNYTSISSH PLVFCKPETKLKTQTTSSPQKQSPVKPKQVETQSNELCKLINNYSEPILDYYLELNTLTP KNCLSNHTVSANLRAKMIDWMVEVLTSYKCKDQTFFLAVKLMDSYLSKTTQKHIPQDLHL VGVTTMFMACKFEEIYPVKLQIVHEKIAHKKLTKDEIKDKETNILSTLDFNLVGITVLDV ITIVLSILNMNQQLYQITLYLAKLALYDYEFVNSHTYAQIACAALIVSSKIVEQIDQSLS SEVVIPLIVSTLKMDNADAMESANKLLSVAKGFEKQYPNLENLKKFSKFQMSDILKTQP >CAK76743 pep:novel supercontig:GCA_000165425.1:CT868244:4345:4773:-1 gene:GSPATT00039158001 transcript:CAK76743 MAQQKGKNKINHRQRQGDWICGSCNNMNFAFRDTCNRCHTLKNYKDNENKGFKSALFLTE SNGDIPPISDRSNKSSGEKKDNGNNKFSFDKLPSMEPILKQITKETCKKQLNQKNKNMIY LSLNGSAKNAKKIQLILQNSLC >CAK76744 pep:novel supercontig:GCA_000165425.1:CT868244:4804:6321:-1 gene:GSPATT00039159001 transcript:CAK76744 MNPLNIQSENKDSEVKEDEGVQNEQEEPRTFTNLSIEDKIKQKKINDDHLERNKKRLIRQ FTILFIITLFIILERILYSIIIGVENELLSDFQNLFNLRKSKLTEFDEMDYFNDNFLFDM AGSVHRNGISIMIFTNYFITLYVGFDALIAVKILYTSFLSVFLVAFLQLIYSDPRPFWVD EKLVTSLCIPSYGNPSSFVCQLAFTLFYTVYCYKTKNHTRSFKTYDEKIDRKVKIVQLCI SLFIFLYSILLFLMALQYLINMILGLIYCFVFYAFYVTFENQINNIIKHSTIMNIDSKRY VFYISFFLLITETIAAMLISNEYELVNIEWANNFMNCLYMTDINAMKIPAQLLMGPHFTF QKTSVIFALIGALFGVSHCFRHINSLEWYKGDKRRRVLRIIVANFFTIPGWIFALNVESI ALNSRMYEWGASFFLMESVAFLLFYFFMFAMIPLYVFKYLHLNASSSSSYVVVKLSDDD >CAK76745 pep:novel supercontig:GCA_000165425.1:CT868244:6377:6568:-1 gene:GSPATT00039160001 transcript:CAK76745 MIFSKGLITIEIFVHFHKMLNFQIYNSTMNIQLPQWNINIEQRFYRLCYNNLINKITNIE ELG >CAK76746 pep:novel supercontig:GCA_000165425.1:CT868244:7300:8138:1 gene:GSPATT00039161001 transcript:CAK76746 MKNLILICLIAMVLSQQVQQKNQCNDCGQLKSQSDCEQEQTVSGACEWVAASGTTAAKCQ KKTTVDPTTSFKPYCELVDKPETNCAKTLGCAYLDSKCTHFAGCQAYVKSTTTDCQAISY LCVSDGNACIEAKICKEYSQTQCETTPSISGILKCKWDTTAGTCRDYSCSEADVSLNTDI KCSDWLAGCVTKGQGCVNSPRPSCTTYTGDDAACQSYIGSDGNCELATGTTNCKAKECAN APTSLSTDDDCKAYQKGCITTAFVFQLLW >CAK78296 pep:novel supercontig:GCA_000165425.1:CT868304:51:4262:1 gene:GSPATT00039279001 transcript:CAK78296 MQDLEIQEKDEDDSEIQVTKDIQTKITLDHLQMLKQLSIEINASEKDKQTLEQVEKQLNE FRQKLRGMIEQKNLNEQMRKKFQAELQMNKIYDVPEIQELQKRLEQFQEVEKIRTAKSQT LQQLEQAFEKSKELNFDEKIINQFDQEITKCLQKKKEIKALLDNEIFDTEQLDQAKQLLQ DISQSKIIYEEKQLLQSLNKACQFIQQLYEFYQKYKSPDQEMEIEDDKNPDLQFKINQVC NPFQILFKDDNETIILELDNILSKQSIILDKRINAVLNNYQHFLWEKQAKILLSLWQSKQ EIQSSLIDQVISKSYKLNESDQANLIQFSEMFSNQQKIVFALGSQIGNYLFQVPLPQDLD GWFYLYSQITLSIKGGIWDQVKIYNVWVTIVKKYFEITTTPEFTYESLKLLQDVIIMSHI PKQALICVSLLQKIACFNALVDKIKDYNNRKQIFLEKKKSKQDLQKFKQCFTILEARLLD QEIKSINIAHDFISKEFYSDFYNIHQIQEEFSKCSCEDILALTALYQRLIQSFIQDQQLM DNLKINIYLLKLKEIQKGNKTVDLFKAKKQYNSLILLLQTHNLQIPDQIIELNSLLNVAE KIQNIANKIKNNQSYTFEELEQSIKDIDKIQNINIERSQDVEIRYHECLQIQNEIQEISQ SQIKTKEVVVQNILEKLQNLPLPEEKQLVQSWLNSFEQLKQSYSTLRQTISKQKASPNGK ELTDLMNNFQKKYKDIIIIYPDADHFIREYQEFNKKLEFIENNLQTEQAFNDFHDLLSIF RWGDQYERVKIAIWIKQVNQFKENPQQKWGFASFRNMLNQGYDIIDQALMNNQKLSIEKM KVPLIYLEQIMAKLIDSVASGSCLDSCVDGKVDASQLQIELQHITQHDKLERLRELQPKK PFDDINSYFDRKQKQQSIRKKNQQRQEAEEQNIEFISIKKVKKTQEAVTQQLRDAKKKEL LQAILRNPTLQNLRNQIFYEARVKIFENQEFGTFNTNKLMYTERMTTLIQTFKELQQLPN FSKRIVEKGFNIEQVNYAIKKYEGKALLQIEEKLMQRPNAPSSQQQQDYNPLAPNKNKVV AIQPVNQKNAQPVAKQVQSNSTNTKLSKEDVLNQILNDSYPNVKLQQQQTQQQQQMQSQL KQLQLQQQTQQLLKQQQQQQQQQQQQQQQQQQQQQQQQKQQQQSIYDNFQSDQNKQTSQF QSQQSIQSQQSLQSQHQQQFQKSIRSPSRSVSKGINESMSSDLPELEIQKIENPNKPILY NPDDDEPEQKIVVQKIEVTPLGFGDKILKIGKRYKFHIKEQQITCEFYTNALDNKLKEFP KISSEFKHQKYFSQDATLQQMEKSANKNMQIILAGWVVPEKYHEFGEIHKFSDMLKKEQQ FMSISHK >CAK78297 pep:novel supercontig:GCA_000165425.1:CT868304:4288:5008:1 gene:GSPATT00039280001 transcript:CAK78297 MHYDQLQSSQLFANFKLQEFLSESHYQRRDSGIIKFWGNLISKLCFVITIKQQAAAVMLS SFTPLQYETAKNVVKSKDVCKWVESKIIEKKASQKQLQQQLQQQQQQQQQSQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQLPSQQQQQQQQQQQQQQQQQQQQTELEPVTSEEEDNNVSS NHNGLSNLFGGTNGEENGYEQLVALLQQDKQVMGELFKNNNIR >CAK78298 pep:novel supercontig:GCA_000165425.1:CT868304:5061:5999:1 gene:GSPATT00039281001 transcript:CAK78298 MCVGDILGMCLGPIATCTKYLCGAIGLGLVFTAGAAGIDLMADWPIILIILASILALIWC IIAYCGGLQEREDEPQYLAKQFELICETQEIVRQYEVRHNYSSELADGCIQISNTIIGMF FTQLIYALILLILFLLSTIWFIPVGTKAGFVVGLFFGFASITIIAWTAYTFAGRSICKVI TTAIQNKWELYDFMYTVGFGVVLMCIASIYFIFLILFFLFRSLQGLSNDNKPQDKLQLMG ICCCGYALGIIVCAFIYRESTSILGRSIFNAIDGLVKCDRNLSFNNPYISSPTKLLY >CAK73543 pep:novel supercontig:GCA_000165425.1:CT868155:1048:1662:-1 gene:GSPATT00038893001 transcript:CAK73543 MCIIQYDFLRTFEYIGKDEQYLKVTTSQQLVLQEELVHHIYMTITGCTRQARVRAAQNQD LYEHYMDEGDEKQQIETKLIIGATSYNIFNSNAPLTQNTDQQKEQLTDTVSTHLLNEFDQ FVLYWFMSSQYLVFYNKYYIFYQQGVKEGVLVQKLTSKSKLPELIQQFIKNCNPVFKNGI NNKAEVGQYPEQHRQK >CAK74902 pep:novel supercontig:GCA_000165425.1:CT868206:93:5242:-1 gene:GSPATT00039063001 transcript:CAK74902 MISKLQLVWVDSNINNYENQIYQKRLKQIINECSFINSTNEALKIVQNLQQRGDQIILIV SGSIIEETINFALSNNQIVFCGNFKVHFSKFLETKNLLVLIDSGISKVENYVKQNIEFLK AQQSLILQFHQKVNHQEQYSHQFQEISIKFLLINQKLQENYEDKEEQLNLEIGKLLSQEM LTTFQQSVKRKETLKIEKTKEKFNNFEKLIYYYTRDDQPISFYKILNQKLAQQKYEELEQ IMCTIFYGYSNLKNQSLSTNKLYRGISFSLSQKDVYENIIEELKHCQRNNKSIFWNSLTS TTMKQSATSYFLQFQFKILFEISLSQVNPHPYFKLEDYNSEIPNEEEVILFPQFEFQVSG IYQNDKSFIIRINQVENNFAMALDVAKRKQYWENRINDDLKPKLQTITSFNQIRINQIMK KIRKFSLLDEDIRKILKTNTDDYFLKLVSQLQQFCDNQDVHVNYLSQVLSVIEQEFSFEN SITDGFFQVSMNLFQKCKERLINEFIQIIKKIYNLEKFKNDIKRLIENSIKQSEIMRKKN EYNEDLDQVLLLSKEVDGCLFKILIDMFKKEKIQNCLKFLSKDCYKKHLEQYITDGAQSQ SINKQKYKKIKNEIKFISNVIYIIKELDFNKNDYSTENYQENRKNLILSIQKEVQIIQFL RFLVLLTAIDNEFIQCGSNSLNLLVEMKVDLSKQCFENIKIKNTSLAGGNFFGCNLSGSE FNNVDISGINLNNALLFNCKWRDLKILELYKIDGHDDKVLSVYFSPDGSTLGSGSADHSI RLWNVKTGQQKGKLDGHTGTVHSICFSLDGFTLGSGSADTSIRLWDIKTGQQKAKLDGHT SIVYSVCFSPDGNILASGSDDNSIRAWDVNTGQQKAKLNGHRAVCFSPDNHTMAFSNEDN FIRLWDIKAEQENAQLGSHNNYVLSLCFSPDGTILASGSDDRSICLWDVQTKQQKAKLDG HTSTVYSVCFSTDGATLASGSADNSILLWDIKTGQEKAKLQGHAATVYSLCFSPDDTLAS GSGDSYICLWDVKTVKQNKSLNGHDNYVLSVCFSPDGTSLASGSADSSICLWDVKTGIQK ARLVGHSEWVQAVCFSPDGTILASGSDDKSICLWDIQALKQKGQLHGHTSSVSSVCFSPV GYTLASGSQDNSICLWDFNTKQQYGKLEGHTNYIQSIMFSPDGDTLASCGFDKSIRLWDV KTRYQKAKLEGHSGWIYTLSFSPDGTILASGSDDRSICLWDVQAKQQKAKLDGHTSTVYS VCFSTDGATLASGSADNYIRFWDIKTGLEKAKLVGHANTLYSVSFSPDAMILASGSADNT IRLWNVQSEYEKQNLDARRERCHQVTISPNQAMLASGSYDNSISLWDVKTGIQNAKLVGH SQQVQSLCFSPDSTLLASGSDDKQIFLWDVQIRQQKAKFYGHVSTVYSVCFSPDGSTLLS GSKDYSFYLWDVKTSQQRATLDCHKALCFSPDSNTLAYGIYDGSILLWNVIQSRQTAKLI GHTNYIQSLCFSPDGNRIASGSRDNSINLWHGKTGQLQAKLIGHSNWIYSICFSLDGSQL ASGSYDNSIHLWDVRNRQLKVKLEGHNNCCSSLCFSSDSTTLASGSVDNSIRVWNLKTGE QLKPSDKSYKNILPWFQAQLNNNFTTNTTVLCISQSLQFSVNGTFILQGEFFNHQGNDLR QLFKQKGCYIFESQIELQKKASQTCIMS >CAK88838 pep:novel supercontig:GCA_000165425.1:CT868652:5104:8858:-1 gene:GSPATT00022006001 transcript:CAK88838 MNQSYLLQLFTQPIAEGLPIVELDQFLDYIAKVQSNQENQQSVDLYMENNFSLKLILIMR TLYHFDSIMQMNPQKYNPQRFKSMVIFATQQLRKLSLITQEQDQQIIFSLSIHLGIKYFK SHYKLITHLIAMVFEAFQEKNELFDFLLQQMSQSLASSHQQMSTSIISILFILDQLLRFL FNIRTLDQQLQIIIEQIFQQFQFIDYSKIDLQVGKTLSSIIVVCIQKYNCYQQEKLLILS QDQHLLTFIQKYILQDYIITSHLVKSLSMLIKNQQQVQAQLKFDSQQVPYLAQLTNQILP LLIQSFLEKKFDHSTSLSVLQFVNECLSYSFSYDFFLNNQQQLLFNVIFDNLIFDDDDHE NFYENPTDFYENIQAIINFDNDTIKGLMAQILDKLSQNIDGFLKNYYDLAYELLLFHFEV IQETSICNKLQKEIIQCNFFTCSPDLRYNTIMLSFCILNKQLTTRKDVRQSIEYFLKQNL KYMKKKADALGKCLGMEFIKISLPQIFCNSDRSFTKCIKFCFGILNQSKQKRGVKQFAVK CLIYLMQNQDIQQKMEDSIAIQHNEFCVQLAQCDLDIYYEFTSIVVQNCNVIIDTYLDDI MKAIAKRIDLGCKKINLNQTQYINHTSIVFSCIKIVDTILSQPYTLKYTAQLEPTLIQIM NLLLQPENLQFIDEIFDASAKFIAYTKGLTEIIYIIYDSLPGILEQEGKLTIESVFRLFL SSTIHASEVIKSKPQWISIFQMIKFIYSELHKNDSIEDNIRISILIQTFYQYYNLDECCL LFTEQFYKILSLQLQQKEILPKTFISIFMPVIMDNSKILNVHLRQIIINTSGYINLTFGR ALTVYNYKFYFTIIHFLILNSHILYEEQHRQQIIEQLFNNFLNKYAAYIEKISFHQKIGN PNFSQQMEKNIPSFDLEYQDNQLELHLKEMSNYKKENQIEKPNMIDLETTRIMSQNQQTQ LVQNILQCLKINYPAVLSQLTQADQFLLNSILQIKEVDAQNQLGVRQIMSVKKFQRKNQN LKQYNGLNIIQYFLILLTVLFYDNFNTTSHKKQKWNKCVIITSFSKKSNILNEQFEEILN SFNLQSETLRYKKMILDSSQPIDNQKQNRKKYNIVDFNQKKVNQLTKMRAKVAHQYLKDQ IIVGILFNQWLVQQKKTFSTANKKRLTLPNDFEESFLDSKLNGTHISQLILIKFQYPHDH I >CAK88839 pep:novel supercontig:GCA_000165425.1:CT868652:8985:10925:-1 gene:GSPATT00022007001 transcript:CAK88839 MGCGSSAGTVVEQNRAPGFKGPVFMTIKHALLERDVRFIGVMDPYIKLIMGRQEFKTPVA KEGGKHPKWEGCTHTFQKLLGEQDVIIGQVWCENTITSDILIGEGAFSLTSLRKGNAEPK RISFLLFHHGEKAGEITLEATFTLDPSGKDLPEIKAPDTEGKFIVKPKFGRLKKNTNLLL KMDPFLTVHFGNETMNTSVDAAGHTTPKWTDEIIFTRETNEDTLYIEVWAESLIGWNDFL GCGYASITDSLALKENQLQTVTLFYDGANVGEVEIECTFKTSKPQDYLQKDKQSAEAPSK ESFQQQLQTQYQQPQQQQQPQQQQQQVFPQQLNQFVQNYEVRYQNNYGMQPMNGRVDFTY PNGDHYTGDMMNNLKHGQGRLQFSYGGYYEGQWANDQYNGTGVLVVGDSRFEGQFINGKK NGQGKQVWNNRQQYDGQWIDNNMHGNGEWTFVNGAKKKGVWAHGNRLRWLDDDQNIIQAG KTMTSGFMLTSPNQQFTLSFFHDGRLYIFHNQTRQPIFSAINFNRQPIPPVWLKFDPSGE LQMIDAKGVPYWVSGQNFGNFAPPFVLVLQDDGRLVIQDANGQPKWYSH >CAK88840 pep:novel supercontig:GCA_000165425.1:CT868652:11148:13132:-1 gene:GSPATT00022008001 transcript:CAK88840 MKGEVVNLDPETNPKLKEILDLLLLAGYFRIRIPSIKPFDKILGGLSWCITQSNFAIDIQ YNDDYNIGQKIKVSEQIVKSLIEMQSPYNLQPHQIQGLDLNAIYPVIKWLIKFVLETREF RQDQNYRVSKLVGKNANQALYQQDQEEKKAIQEIVSQLDLLQDNRQTKNLKKLSKKDPLR VYSTLIEYGDLSSQRTYNKFCALISGIQKQKQGNQQNVSAVNSKTQQNPTLAFQQNLFLD EVPEKKQTNQNQQVTLEQLTNLQKEKMQQAQAQIKEMSQIAGPSAEATLNEEEGRKFQKL QRRNSIAGQSLSQLISKEKIAEGLKQQTQEEDQEVVNTVNIKLQEKIILEQQFKLQQQNL NNLEKAIEQDMQEVEQLESQNNQLTEQINEEKSRLTKIVDALKVIDSKTKKANQVDIKRA EEQVAKKIELKEQKTELKKEIKQEQQKIEKEKEEALRNMPQENDQELLKEMKSQYNQKKE KFEKKFQQFQLLNQEIVVLERKLESFPQPSEIAQYHQRFLELYDSINEEMESNKKLLLKY NNLLEVKQLAAQFVDIYRTFKENYTNNLKDKKGKIELSQNLDSTYKQLQKQLQLYQEKLQ QSENKRQSIMEQYRQALAIEREYYKLLKEIKFEYEKYEQYTNKNE >CAK88841 pep:novel supercontig:GCA_000165425.1:CT868652:13455:13707:-1 gene:GSPATT00022009001 transcript:CAK88841 MACCSCSQSLIFTIVLITLLFSAVTIDGVRFAWLTISFMFGIVLMIDYMFSNEKGFMYDP DYKTWRQKTQTDA >CAK88842 pep:novel supercontig:GCA_000165425.1:CT868652:13751:16339:-1 gene:GSPATT00022010001 transcript:CAK88842 MSKQYYNNMWQETINDIQDLIQVENFQNEPDFLKKPSQDLFQHYAQNYIRYIGIYKKLED CYDQMVHPQKKINLKELLELAIIRMIENKKLVIQYNVHSNSYQSDMVNLDELITDLKLTP DYLEVPIPRYFKKETDKERLDERNFIIDKTLQDMDLGQPEETVIEEQYFLDTKEENILEI IQRNERGRQGIQRGLQCKQLRKERLRKENKTKRLAEGEEITDEQENEKAVLIIQKYFKGY KGREQVYFMREEEMKFLGIQRDAEDPKQQNSQFMKAQEQRQKMTLIQQQHMKDYDAKKIE LKKLVQETEGPEIKEKKIKERIDWIDMYLDKTEGKKLPAKAIDYYDDKKRYPPTQEELDA LKGGKKGAKKENKPEKKGKKGKKDKKEEKKDTIDLTTVIKINERVEEYLTTWGSTDDSTN FQQTFVTDVAKGLVRSSVETEMNSLVDELIEIELDNRHLFLLKKPRKRPPKPKAPKPPKP KNFKVPGAGGVKGRDVFDLLSELLEYGIVKKLMPANLADLKGGQNVLGATQEQQFATTPD PSYPQLRSALAEFVGMQLGSPILKEKLDKMSYFLFFGPSGSGKTLAIRALATECNAMLLD ITPSSPEVQQKFSDKSSIGKTFYTVFYVAKVLHPTIIFMDNIEQVFGSAKKKKGVPAAPW AKLKKPLQDFKKAKFIEPTTRVVFIGSTNQPELMIMKDTKNFFEKKFFFPMLNYDTRLTL LKYFIEEKGVKLEPNFPLSTLAHLTEGYTAGSFKNALDKIITPERIKKIPDEPITIDEFV ARLARQPYVKSQAEYDKLRTFFDEVTGTKDRREKSKAVAVDPKKGGKKK >CAK88843 pep:novel supercontig:GCA_000165425.1:CT868652:17158:19630:1 gene:GSPATT00022011001 transcript:CAK88843 MQLTSDREDPEQLNTQGACSVEIPPQRNRSSSQYLFHGPQNRKLKTQATVSIFGYWTQII DRTFNTYEDKTTITRDTRSVFTRIVKKLIIIQCFFDSLEQYRKERLQQRIEFYFQKRTKV IPFYPDDCLIVYWMKVVTLLQFCSCIIYPMFITFNDLQTDLSWIVMILDALFSIDILLTF LTAYIDETSTLKIQIVDITMHYLKTWFIFDSISIFPWISIGLENLRLFRLLRILKYFIYK RKHSYKGQADQHQIVPSFLPYNDMQLKEGIKFLIDVMVTAFLLIHIFACGYHYLLGIEYL DAFYQSTQTITTIGYGDCSQSIKYEYQILWLIIGVGFYTFTIGDFAYMMQRSGVNQDDEY YFQLEQLSYVSDFPERIKNQFQRFITTNLNNNAFWSSYHKKMVHDLPYQIQNYLVLSGML QICEAVPFFMQDINFTIELLNDVQFLFIEENDLIYREGQNSNEIYFLLQGDVRIMTKKKF TLLNILEGTMFGEYEAIEESLRATYAVAIQKSLILKVDYAIFEKSMKMSPNLYFEVQQLF HRRRKLLINSLRYEKSQRKKVRRSVLIQFSHVQLQQTRQEMKRQREIQELKNINEYNQMV LQQLIDKKRHKYEQLLARFKSVVFRIIHFNQQLIRTPPEDWKDLDNYNLIKRVFPLRCLS DKLQVFFRKSSSVHSQSVHRSSIRKRIECHPQYDKFKWRLSDIQIKQIILMHNKRSVKVF PTLKSIVQNYQKSTVASSPQRINRFYPKWIKDLVLEDHTTAEMEKFVLKYHQINKRLDQI DKMWTKGLIIRFDEFKLKSQKDLDHLDTESSDDK >CAK88844 pep:novel supercontig:GCA_000165425.1:CT868652:19769:21573:1 gene:GSPATT00022012001 transcript:CAK88844 MSAANLNLERDKYKDLLKSIKDESMQLAIRHQSNTSQVLEKKRKLKMESEHTGKLALPSI ENSTLEDISSHFHLRSSQAGEFVELIPNKSQQKFIQQSFLKLQRNKMSQTYRDISLSEKK FKSLQRKVPHQETQSLKQLEKQLGIAKSTEKQTLPTIQRVYQQKRKATEEKIEEEQPAVS FFEETLTSYSYAVPRRRESAQIGVIRDRLYVFGGMSGGGITNEVWWFDLKKQEWSNYCSN VQLFITSHSMVVWKQFLVFFGGSGYYDHKMKIRQVYSTIGLFNTINNQWTTSLESVEPRR EHKASVLFGKLMVITGGLDAAEKLLDDTLFYSLDSKRWTGQKLLFEEGIAQHAQCTAYDS KKNIETVYIFGGQAAKLNSFPLMKMLFYGLHPVGWEKVQYSGQAPEGRYNHTMENVGDYL ILIGGRSQEKIEYQNEIFIFNLKNSTWIIAQRQGLSTKRWSHSSCVYGSNILCFGGIGEN TYLPPHIYQIETDNYKIRGKIGVVKQLATISEEIFSSRPPLNINKDQESDSKKVCRLEKF RKTRKMYEQITTYLPLPKLKTPRMRYDILIHFVKIVMKQIGYI >CAK88845 pep:novel supercontig:GCA_000165425.1:CT868652:22420:22731:-1 gene:GSPATT00022013001 transcript:CAK88845 MSEEQEIDWGVGAQALHYMTRATKDCSKRCGALKVNRDFNESETECLKKCAVYHAGASST HMRFLINYAETVHLQ >CAK88846 pep:novel supercontig:GCA_000165425.1:CT868652:23113:25454:1 gene:GSPATT00022014001 transcript:CAK88846 MQNKLLDKVQEENAANKMSKLFKGNQNDYYVSMKEEDGHQIELNKIQRKKVFLSGSEDEE ERLPTSTPIVNKEENKCKIISLSVEIQVYQNQENRNSDILDEVPTQENIDELNGMPQFEL SPLNIPTGKKKTKTKKNKLKKKKVKHQALPFNWNTYKFLLHYPLQHLKLTILVNVLIVLS AVAQMLLPWILGRLIDEITKNVEQAQQSGSNPENNQGTQNVVIDEELHSTLSKQFLYVLT AYTILSLVRAFINQIWQEMIYNDMREDVLKSFLSFDLTFFHTYRNGEIISRMTNDLQSAK SAVSGNIILLIRNCCLTIFNIIILFALSWKVTLAILAPMPIFIVLGTIHTKMAKKFEKLG QEYQAKLTTLADEIVSGVVTVKSFCTEQFEIKKFHKQLDLNIKLAQKRGVNTGCYQASSA LFTQLGSLIVLWYGGKLAMNSSAELSAGDLTTIILYSLQLSSSSSDISESFAKIVSATGA FEGVLDMLKWESLVKEAPDAIDHITPTGEIQFKNVVFSYPNSQVQVLKGISLKITKGEYV AFVGPSGSGKSTLMHLLERFYDPQSGSIYFDEMNIKQFKLKALRKAIGLVSQDPVLFEGT IETNIIYGTENYTKDDFEWATKAAGVWQFVSDKFKFPHGFDTFVGEHGYTLSGGQKQRIV IARALLKRPKILIFDEATSALDAESEFQVQSAIDELIQKGSKNITVLVIAHRLSTIVNCN RIIVLQNGVVAEQGTHQELLNNPEGIYRQLVERQISGVIDSKDNDGDSEAQ >CAK88847 pep:novel supercontig:GCA_000165425.1:CT868652:25854:26994:-1 gene:GSPATT00022015001 transcript:CAK88847 MSFDQLLLSRPLYSPNQKHLAFTVHSHNPTPYSITTQVSPIESPRIGDISTIPKTSERGQ LYEKLKANLKNYDPTKSIQNGIKGQKVKEAYNLLSDFNDKKQIIQSLKQLSSAEERILLI DKILERKEEMQIAALRLKAKRLEPIFNVIRRKLKLKQRLNQSADKMKKQSIFGLKTADQP NEVLNPMHSYGNIDEQQQQDENTRKELVIEKIQTKYAASVAFSWLTNRAEKQESLQNLSP ETVKRNNRRNTTLKQSQKGITGLKQMITKKVSENSDEMIRLGQLKMLENQQKFYNSKDII AKSKAKVDTGRVKSVQVNRSLRKKKTLDFFTNERKENSELTQQLANEKSNLVFKRRLIVK D >CAK88848 pep:novel supercontig:GCA_000165425.1:CT868652:26999:28705:-1 gene:GSPATT00022016001 transcript:CAK88848 MTDKRIDKYIFNVKDRIGQGSYAEVFKGTNEKTGEKVAIKMLSKSVINADDYLREGLIQE IKIMQKLKSPNIVQLLDVMETNNNYYIVQEYCDGGDFDELLKKRKLLPEKEAIKFLVDVL NGFTQLIKNGIIHRDLKPANILIDKQTYKLADFGFAKCVDNFKKTMMASMVGTPLYMSPQ ILDHKRYNSKTDVWSIGFIFYEALFGKTPWTARSPAELLKNIRTQPLKFPTDKNQVSQET QDLIIGCLQADENKRLSWEEIYKHPAISQYFSVINDLSSFQDFIKGNNKLEDKAQYLIND IRQMICKEKIDIHKLFADLDMSKDKALDVNELGKFLQKVDKDITREEIEYIFNKFDDDGN NQIEFEEFKKWLEDNQIVTNANPQQQQRGGGSHKKLSILPHQLKSQSSIEERANYVIEKL KLSIQKYKINLLDLFKKFDKSADQRLDKSEMGQLLKRIEPNISQEEIQACFEFFDANGDG EITFQEFQTSLSEEVGKKRQSIDHHE >CAK88849 pep:novel supercontig:GCA_000165425.1:CT868652:29355:29913:1 gene:GSPATT00022017001 transcript:CAK88849 MILVLLVNLILATGKLKKHHLNHQVMFKRESNVVILDADNFDAALMRFEVLLVDFYAPWC PHCQNLMPEFEKAATQFKEQQSIITLGKVDCTHESVLCDEFKVRGYPTLRIFYHDRIYHY HGDRNAEGIIDFMEMHLEQEIEKEQEHERKNSQKHKQDQNYP >CAK88850 pep:novel supercontig:GCA_000165425.1:CT868652:29914:31427:-1 gene:GSPATT00022018001 transcript:CAK88850 MGNCVSSQDDIRNANKVSPVSLTIKHFTWNRDSHGLFDYENKNVIKGQIKANTQLMRLIR QKENIKIIQTKEELQQFQNQPDVIELLKLTRQFSKYQVESSLRVSKAEDQEGLNDNCPWI VVKSTKSQLSDGQGYDLREGDYVKLGRVRFRIREIKCSVDNNNTNKGNMEPELQKYVSEK CLNTMNINTQEDDKRSQSEEPCCRICYNDSQTNKDNPLIDCCKCQGSVKYIHIQCLQTWL VSKLSPKTTKFSVSFQWRQFDCEVCKAIIPSRIRYQDRIFETIIIPKPDAPYITLEILSR ERNKSKGTHIISFAQKQQIKLGRGHDSDVRITDISVSRCHALIKFINSGFVIEDQQSKFG TLVLLKNPAQMSVDSNNNMAIQVGRSVVSFQVSKDWNIISSTNKGNVQEDQMLQDDTDLI GNAVGDEDQAQIEQELQNFEEHTP >CAK88851 pep:novel supercontig:GCA_000165425.1:CT868652:31539:33095:-1 gene:GSPATT00022019001 transcript:CAK88851 MDIPYKSALPFVLLVVNAVLGSLFFGYSISYLNSASNFYPIYYLIYEYDVSTQNLLKALV GGIAIQIQQLAIFTLSAGAGAILSGNLLQQFSIRKSFMITDFIGIIGVVLEIIPNIYWFY LARIFIGFCVGLNSSLIPLYIKEFSPNRLSGSLGAMNQLTINIGVLIGLISAFDIEEESQ NDNVFRLFLELPLIFCLLRTLLLIFVFKNEPPSYYVQKNQLIEAKQIVERYYLREKVDFV LEKIKSVIEQKKLQKETYRDLIMNYSQRKRLLIGCGLQVLQQFSGINAIMFFSKTIFSSI LNNNETKINWANVAVGLINIIFSFLAISLLQKFGRRTLLLYGTIVCTFFLSIAFGFSFSE DPNTTIEILIVFAIFGYLGAFQLSLGPVAWIYDADILSEKGMSLAVLCNWISCTFIAFAF VILDIEHNKERLAIVFGVFTGCCILGVFFILKFVRETKDRTQSAINIYFSLTKIREEGLL G >CAK88852 pep:novel supercontig:GCA_000165425.1:CT868652:33122:33574:-1 gene:GSPATT00022020001 transcript:CAK88852 MNEVNKFYDKFGDSYFELIDEFALKDICDNMKINEPINQDDLLSLEDELLYQLQKLWIFQ HKNFYPYCLSHQISDIELETFKARVVARGGSFVSSINDEKLDFIILFKDQTFIQNERLKQ FFNDKPYTKTTTYTQMMQLMEEQQQKILPS >CAK88853 pep:novel supercontig:GCA_000165425.1:CT868652:33800:36019:-1 gene:GSPATT00022021001 transcript:CAK88853 MLQDNYLDEEIQDEDEEIREQKEVHEFAREIKEKVEETNKLNKEQQDQQKVNVSSTVGPI RVKFDVLRCFFDRMSKVRGKKKSELLDVLTQFFFKPPRIPDHTYIIMRLIIPFQDRDRGV YKLQEKNLAKLFRLALGLSDDDYHRMANYKQASMQPYGAPVGDFPMVVHNVIKDYCRKDS IITISEVDQLLDNLVKAQDTKEQELVIVDLIKVCTADEILWIIRIILKDLKIGLKYEKLL QLFHKDAPEYYNATSSLKEVCKEFVDINHTLKNVLRIFHAIKPMLAAKKSPEEIRKLIEG KELLVETKFDGERIQCHFTPEVIRFFTRNSNDYTYLYKDKLGDIVRQSVQAQCAILDGEI IVVNKETGDNVRFGLNKTVALSKDVNDDAFGLCYKIFDILYLKTYQGQEVNTMSAPLSTR RSLLQRIIVPIPNQLEVVQAATIKSFEELIQQFDMAIERNQEGIIIKQMDSQYLPNERST KWVKMKGDYVENMTDNCDLLVIGGYFGTQSHRVETFDEFDRITHFLMGLAQKIDKNQPTN SVILPFVKVGTGFTDNELSTIRNKLRNHWIKKQKPNYIPQNWNPGVNDRPDVYINNPAHS IIFEVKAAEITKSNTFPTDYTLRFPRCYKTRMDKDWYEMMTTQDLSSMINDSQYTKNLKK KNDKEGNDKQTDDEVENEDRPMRQAKERKQPLRKGGAHSKQITIMTEYQGLDPRNITKES NLFVGCEFYIVNLEEDYNK >CAK88854 pep:novel supercontig:GCA_000165425.1:CT868652:36147:37605:1 gene:GSPATT00022022001 transcript:CAK88854 MNFCEFIQITVEGEDKLEEIRIALARLEMFELNTVYNRLDQPRQNAMKEEQILEFLDDNN LQVTQEESNYIFRVLDVDRDNLITLADFQSVILPKTNDQVKDQALNHKSYEMPQSMLLPK EVEATLTAFFEQLKTNYNQYANIQEPINLNELAIFESENLITLDSLKNWLQSIGQEIEDQ ILEKFLIIIDGDPNNLQNLIEQIFYQIEQQEDQQNEAPQQNQEQEQPKQNQIEVQDINYS QPDLQKSDALQESFNPNQTQKQHQNQEQSEQKKDEQQDQIAQSKFLQESQPYYSESPLLN YYHLQIRDEEDKIKKLCDELNITNTYTQKREESALIKYYEKEIAREQEIYNRLLQESRQT GAPSKISKSTFTPDPLYLDDYQREINRIDNEIRKETTNISFLSSKFDNSIGLSTSYLKQQ QNLHLRYESPRKHQESYLYDNFSGQKKQFGYQ >CAK88855 pep:novel supercontig:GCA_000165425.1:CT868652:37657:39239:-1 gene:GSPATT00022023001 transcript:CAK88855 MSKQQQQQLSYICKDSQNQKGQGQSYRRMNNNQNNFDDKNGQNQKKQNKYNRRNNSQEKQ QNWQNPSSQSVIARAQINQPLNKIYDSQTLISAFQENLQPPKEFEEFIENIPHLFSKISQ KPLISSQALFIQSDEDEPQWMQSNDNFQILDIENEMEKRRIEYQKQHGTYEKKQEQKSKK QNEDIQEEISELQQAKEKYRQLREQEEKQLQVANETKAKLQELFSYSELEQPKSANPSFN SQVLSVEEVEKQVLASTNNKQKDIIKVEQPQQKSENYFSNFNNNETDDFFEQLEEMGIHI QRKQQVPLKPTVKISRDKIKTTPFSLQAQLHQRSAKESLWYYFDDFGKIQGGFTTENMDQ WFQHKYFKAKLNISWEVPGKWITLEQYLINLDQIEKKLLDPKGAMDPLTLKTNINNINNI QLNNLQQLQQMNAFNINSFQNQQMNAYQYQVNNNQQQQYQNQQMNNLQNNVNKKKNNNSN NNNGRAQQQNKYDYQKYNQSLY >CAK88856 pep:novel supercontig:GCA_000165425.1:CT868652:39291:40348:-1 gene:GSPATT00022024001 transcript:CAK88856 MQFNKQKQEYQEKYNSFRNHPAFSRLNNYIIKALIDCVYIQSFIKNQSVYIENELMANVY IVKSGEFKMSKRILYQNSVFYNQKRWKQFEICLLEKGETFGIEHQDEEPKGCYKYSVTCQ SYEGSLYSLKLDQITQILQDNYIKVSVDNLFQYQQQLSKNRLYINREQQINQLYSNITQS LKHREIRSFQNANNENLKIRSQSNVNNEVVNNNNRFQNRSISYDISYCDKIQSLINYQKQ IINNPRRIIKTEILEDDNYVNQSVNNHIRALKPFISNFASGRNLTKRTQPTSQDKIEESN QNEKIFKLKVLLKLGCRPKNNLQIRLHQIHSQHLSKMFPFHPK >CAK88857 pep:novel supercontig:GCA_000165425.1:CT868652:40485:41642:1 gene:GSPATT00022025001 transcript:CAK88857 METETSPKILSFRINSNVNTLNSDLIRTNQSHLDQSISKINEQNKKIHQLGEGVFSENTD RNNQDSTNNTSCISNLSPLKPKQGQKEFENQFTFVSQEDSYNQSTIQKQSKQGKNSRLSI SNKINTRKQSSSSIKEQIQEEKYCGICGQADQFSNFIRPCLCRSKQHNHQQCEQKDFCEK YIDNQSNKITKPLQCENCHFNFVIKSYKDINFTKAFKDPLKHRKVLMYLSINLSILILVT TLITLSILNRMQFRYHVEYIAGVIIACLILIGIKIVVTCNLIEYISNFTWYILDREQAKV NDNGVRMNQQTLNVALEHHQRKKQQLLQIMDQMISKKVHSELPIQNPEHIK >CAK88858 pep:novel supercontig:GCA_000165425.1:CT868652:41661:42711:-1 gene:GSPATT00022026001 transcript:CAK88858 MQSHKFQNKQLTLLDLVLKNEDDRYRIKSSTNSSRYDREDTHHSQYNQLGISLYYDANIT GNEQFVSNQLFRSILKNIEEKLLELETRIDNKDHLTKFTFQIDQLKHQQNRNPFLKDDYT WQEYKRQDSQISEMIDDQSVRDTGVKFQKASRSRSTCTNNCDEQLDQSNNNTSNLKSRKN KITEESTFSKLNLFEKKLSQFQDQFQKQLQKEEISFKKLEQQVQAALKDAEMRLLQNTKS MDKYTESLNNYSDYHKEFLTKLSQLTAQINNHQQEINRLSDDQKIPFIQLESTIAAMNRL QNIHNDQILSIKESYTYLEADILAIMKNYKDLILDKQNKSNR >CAK88859 pep:novel supercontig:GCA_000165425.1:CT868652:42738:48272:-1 gene:GSPATT00022027001 transcript:CAK88859 MNWQHPFVDVFKQFGVFEIAHTQSKGQVSVVQDNSIGRKIIRLLGAISANNMVQIPDPCQ GIKQLGLTGRYIYIEFIPIKGRYFTIHFDLQIKDRDPLIKLTVSNMYDVHKVQQSQLLIP YPKNAPNKWTILILDVEYFLGSFGLLPSSLYKSFKGIHSLKSFQICSSMNIRGIYTSDNL YDWDTMPKQMLFKLAKGQKWTEEYQIAYWPALDVQPHVFKQPLVEVTTDILDNLQKIQEN KEKYKQETQKSFKQGQIQHNTQQIEELVKRRPGDQNIAQSKSVDAQDHPLQPYPIQQLER IIGFTVNSCPDIKWGRQTDTQKQITYAAGQLLIQSNIQDGKQKFFMGHSKAISCLDVSKD GEWLVSGQSEKNAIIRIWKNNCDCLSAFATPYSQLNYLSFSQDRKMLVTVGQDEHNREII IVWGLEKIESNRKPILFAKQTSSCNILAIKFSPVDNNKILSCGHENIRFWRIKDEHLPGA SVVLNHHARNTTFTNFDFEFNYEDQNVSNIYFGSKNGYLFQVAYQSQKLMQVYKVHNDAV TAVCLSAGFCITGSLDQYLRVWPLDFNEFYIEAKHEGIITSLDISIDGLQVACGTSTGGL GILDISSHHYKTVIRSHTNVINQIQVHPYSKLLITLSEDCTIRLWDIEKSDQVYEFTFPQ DDKCVCLSSSPIGMYFAAGFKSGTHRIFDIEQTSILFEGKYHDLEIKSIQYSPNGQQLVI GDAKSIYKIYDVSRDYQPIKTIQHSIQSQNVCGQFSKDSAILSIIENSTNIELWDTLSLQ QILKIQTRFNLKILKWAENNVELLCGTTDGRIIIYGIERVNDTITAYYLREQTFMHKQSL NDFVVSPNMKYLFSVGAEQYLKVWDYEFQIKGPGSCQVFIGHSSQINSIDCADNGSTIIT AGGKEGIFVWKFLGYTGKNERLIRMEQEQLVQQCTKIDMQPDQFDQQEQQDEQNEKLDDY YDLQENNENVQPNKDAHLHTFQQSQLVEMFSLVRQIPQKEEQEVEQGLANKNVNTPFKPD HFKPSRLQQEFKPITFEFERQILNKRRSRSQIKKQQNRQHQSTQLPFRQYILPQNKGQSQ QPTLSCKYMVGYNTKTHDNIIWCQSYGWYAYTSQNYIIFEKLQQDRQQQILSFNATVSVI YLDKTEKHLIVGLAQKVQDAAPIYVYEIQNNASQLGFKQIAQMNFHTQGVHQILLTEDEK YLISVGNGKECTVVIWDFSTKKLITSSYTLDRINDIKLSKYSFSKERIIEFATVGRDQIY LWAFTKDHKLEYFDVFIPKNVNTNELEEITAFDYIVYEGHRNKQTSQSLNLSERVSFDNS QQSQTVKTEEILLEEGSKNQMSFPQLQQEPTQESMKQQQQQQQSNKNQITQLLILGLKSG EIVIMKYGEFKSIYRKQITNAEITYLKVNQAAGKIILGSTEHSFFIMDIKSVQSLQQFLD SGFAFQRIQLPAMVSSYVLDPFHTQGIFGLANGNIYLISFTTKQYFLLNASNCDQSEIEI KLCKLVEDNILVTTFNQGIVKLWRMDTAELLVEYQWEKEIVEFFYDAQIKQIVFIQEFGQ IKTIHVDRLDIYDQYYHIGKGGPDKIISCVFGIFDSIPGKFVLDESGQLFFLEHLYKNQE YIIRYIKALELQNVCKLVEQCEKRVIFAIEQDTGVVSTLQIDYNSTRQYPFAFTLFDQVN FLTNPHGNLDTEQSQKDTQALYSSVDAQTQIIPAQGFDNVYFAILNTLQYLYGRNYNKKQ IILLVNLNDFPLYLSLDNNLKVYVVTQNGSIEVVLPNDAMNQNQIQISQLNSQIDHIICQ KRKPNFVITNKTIMNFYQYI >CAK88860 pep:novel supercontig:GCA_000165425.1:CT868652:48443:49869:1 gene:GSPATT00022028001 transcript:CAK88860 MEKPTIEEMFKIIFEVNKDQRFIFCYVCQIRFPIVEPEQATLYIHHFSKDHVYNLSTIAT REIMKTRDNIKTREIIKTKETTKKYNPVSQAIPLFDLEKALNIQQQQSKNALHQYLKDCI TKLHNLYDQLELNNLEAYISTLRRLYLHLLNHNTSILTPELCFSFVDIYKTDKDLQLIRK IVRAFNFPLTNEQNKILNPHKQSNPNVSQDLYIEFYQTFINDLTDLGLSKESLVYHLAKM GTPINKIIDLKLYEIKNKINDFINKGINEEQNIFFLNIIEKLERYENLNSHSYVFTKWNT ECQMRKCVRQIRNRLRDQTTDEKYKLFLAAASLQQVQQWHKILIQNEKNSTKDMISILET INENKNKSKKRKLNVKQYSIPSNLNFSDFEGKGQEEADNSEFDISIIDSTSERNVDEGLN LKQFNETTKQNSTEKKNLSETKHADSMKEKLDNYKNKALLKKSSKR >CAK88861 pep:novel supercontig:GCA_000165425.1:CT868652:50448:51550:1 gene:GSPATT00022029001 transcript:CAK88861 MSQESFQNQIIQQQIQLQNVYRSFDGADSRIKMDHFLKVQSQFIKCFNNLIRHQNNKKKS GIIVLPYQGAQFYVHFKDEINLKKAKVFESTDSNFGQFSLLKPQLPFIPFSPFQTIPPHY SQELLFQRMNPMMQSQDRFPAQPKQTKQQAKHFKLKEKFLVFSGKAEESQSYHSEDQDYD EKVSDHSFSIRKSKKINKVNDTKNITKNFSKAIISYITQNKDIGLRLLDAREFEDFMTIL KEKKNKMTNIQQLRELWVDCDDEKLKQFNHTFRIFRYSNIVKYNSQYFLRQQSVAYIYNS RIMNTGWHLKYRYNLLRALREPQNFKYIKDI >CAK88862 pep:novel supercontig:GCA_000165425.1:CT868652:52839:55519:1 gene:GSPATT00022030001 transcript:CAK88862 MLSQRSSRKLLDNAIGPSLRYDPQANRCYEKDRSPSRLSIDSEQQLKINNSEGIKLSIHD TADKKKTINLNIARRKSARTQQMSKRKSEQTKKFEGLSILRTMQQNQLIQKFVNTLLMKS YILSEHNKYLLTQESYMQSKVDKTKYQNQNQSSTTNIFAPGSQLVMIWDVSATFFDCFSL WLCPFVSSFVDDQSCRAAEMFLIIHIIIDIIIHLNRPIMIQGEIIFDKLQIIQHYFKGQL LEDLISLTMWFLMYFDFNQIPVTNEIIALILITISILKVKRNYENFIESLYLQGAVNQLV DLITLIMMIYFFAHFMACVFHHVGDLTSDSENCWLIHYNLANRPISVKYNHSFYWATMTM VTVGYGDITPQNEAELVTVNLLMLLSSCMFGYSMSSIGMILKSIQDAKYKCKRSLILMNS YMRQSQVDQQIQSRVRDFIKYQVEMESKENNDDVNQIISDLPNQLKQELQTNVQMNIMQK IKIITSQFTKQIQSEVSQNLVQLKFTPGDYIFHQDQIDNNLYYIKEGQIQITEEKSGKVL QVIKSTNTFGEYQFFTGLSTKTSAQSIGFSEIYQIKRDIFLKIIRSSNKDFERFHNIKDN LILNSNYYVIFQNCNFCKMYTHSNIDCPLLNYKPDAQILSIKKQREHPFQQRKTFVRMGN KIKSMCIQQQITLAVEEFLEKQSSFHEVIREIQKHSEESPNTQQEQQPIQIEIKASEDQP IENQGSSLLPPNTTASTNTGPPSLAMMRGKSSRYVFNQSSKGSHPKNYFVMAPSNKEPVK ELILKQNSFIYYLYFIYQFHSNEIDQMREYQTYMPQNNCSNSIKLREKYLDKKQSVRSGG DNGQTKTYIHLRQRDLLSSQILSPYN >CAK88863 pep:novel supercontig:GCA_000165425.1:CT868652:55595:58247:1 gene:GSPATT00022031001 transcript:CAK88863 MDSPNLIVLNTELPIMGPQLSPRSSYIKYVKNCSSSYIQQESERNEDEMFSPNLSDNHQE QKLINLESSRRISKKLETFKFTQIAKQNKIIEKFKNNLLQFSYIMPQKFKTKLLSIENYL QKDKLKKTSSIKSKQFIAQITGKNQNNIISPSNILLFYWEIFNILIQFISLWICALIVSF SNSQEVNFQFFGCLFISINIIEMIITINKEIYIEGQIINTRNDILVNYLTKSSYLDVCSI FIWIMISFRMIEQNSIVQVFAILLLIIIFARIFRVYDYIVEQLYQKGFGGYAFDLLTLVV SIYFFAHIMACLWLMVGLNSSGERMNWLRDNDLETESIWTQYNNAFYWATMTMVTVGYGD ITPRNNYEMTFANVAMFFSSCVFAYSMNAIGILLKGFNDVKQNYKRKSVIIMNQYMKSNN IDDEIQNKVRNNLKYLIQNQEGDNIDANKLVNKLSIGLQQELQADLLEKIIKNIKVLSCN FSKQILQEIQGLVKIVQFSPGEFIQKSGSVLNEDEIFLYYIMKGNVNFISNTTNSLIYKL GAGETFNQYQFFTGFGDQKIDIISDDFCQLVKLNKSQFIKLIFESKRDWEIYHSIKDNAA NNNDLIDLNYKCQFCQRNTHLRQSCPLLTYQPNIQHKIRKQDQKKYEQIRSQVSRNCVKL NSKMILDEVKMTIQQYMMNNINDFNDNLSRKESKVIEQDDDQQFKKIEFISSTPIQINNP SAKKATIIQNLGSQNYIRSKLPAGTPLRANNQLKFHKQTSHQNSTNQSQPIASQTNVQPA IQFRMLDHVFDSMKFTYINIDKMHNYINYLPHNNLRRILIQMDAQNQHLKETSKGLIKID KSLGFTAKLKSGKQRSNNALKQSQPNIKA >CAK88864 pep:novel supercontig:GCA_000165425.1:CT868652:58275:60127:1 gene:GSPATT00022032001 transcript:CAK88864 MSERHRFSSQQKLPILDLTQTNRFPTEGLKVNITVQSGDAQFVSFRSLNELNPKFGFPIN SMDSSQQLNQTIQNDLTQNKKMLLMLGKTLYKQKNEYQEYQENMIKKIRTVTRMQKQVKK VPHRIESFKNTYDNSPNAIPQFAKKKVSFPMYFNGAFFTKLQHVWVPTIRENTMAADFQK KIYMFGGIGSEVMGDLIEYEIQTGKFREIKQNGDIPLNRYGHCLHSIGYPMTLSTQFSYD NQQYSQINGKQLIMYGGEMQYNSALKLRENLSDTRIFDLETETWQILKPNIENIPESRRS FGSCIVGKGLIVMGGIRSRFSVFNDIHYLNLNLCKWNPLDTFKNPFNKGLAFQQLVCAYN KPQLIFEKKDTRSKPYKPLEHEGIYCFGGCYIDEMKNTQFYSNFMALLKLDQPNNPWVIP ETSGKQPAPRIQHTASYVQEMNIIIIFGGRDDSRSNPYFNDLFAYKIQEKEWVQLDIYGN LPRPRASHSAVAYKSQVLYFGGVSMNGYLEFSVNVAEFNQNQIRQLQAEMKAYEQTTEIQ SPKEERQSILTKQYFSLHQAAPIQKPKQLTKAEEMNQQIQQLSFSNFPLHLK >CAK88865 pep:novel supercontig:GCA_000165425.1:CT868652:60168:62761:-1 gene:GSPATT00022033001 transcript:CAK88865 MLQQDQYSNQKPRMITKQVTFKSESASRLSEISEDSERQIIDCERSKSNTRHNLRQPRLV IQEQQLTQTAQRKTCESTTPFKSSKTIGNSAGYNYFLKALKTERIKKQFINNLFTNSYVL QNNKKQILNDEYLKEGNKQQSMESLSQSRIPIIMPTSIAIIVWDALGILLNLIILWFTPF LLSFDNMENYFSFDVIMQLTTFFLISDILVSFNKGIIVQGIVIKKRKKLIQQYFQTNATT DLTNLTLWILIQKNLTSYQILGECIVICQLIVTFYKIQKYFSDYFQYVFVKGVSSYIMDL LSLICSIYFFAHIVACAWHYVGVKSGEQSWLIKYDLEHEAIWKKYNYAFYWATMTMTTVG YGDITAQSQIEIIFVNVVMFLSSGIFAYSMNSIGMILKNIYDAKLKYKRSLLQMNTYMSK NCVEPQIQSRIRNYLKYYIESEQNENLEDINRLVGILPQNLQLDLNTDIQRKVINKARLV INHFSCNTQQLLSKSLELVKYAPGDIIYKRGDVSDKNLYFIIEGEVDIQDDQSKMKFSKL FQHQQFGIYQFFTDFPPKTSAVSVGFSNIYRISRNKFLDILQFNKRDLEMFHFIKDQIIF NSNYRLFSMECKYCERQNHQEIDCPVLTYKPDLEQRIKKGNFYPVIQQRQKRRRFGSKIK SILQQSEISKSVKFFTEDTTAGVEFSHSLQVAIIAHRSKTSNYSEVQNIEQKRSSCFVTE DQDQEQKQQYYDCSLNRIGIKADQQSQIENQLSQSRQIHKEQTNTPKDFNMIDDLYINAR WYPPVIQCDRQQSYEKYYPRQNLENIIQSLEKNNIKYQKKLEKHVLNMNKYTFFYNVKIK ALKLKLLYLKTVNIE >CAK88866 pep:novel supercontig:GCA_000165425.1:CT868652:63186:63823:-1 gene:GSPATT00022034001 transcript:CAK88866 MRQTLLKLREEMDQYMEQIEQKTMNIKMNKVNYMEEINYQMDTLNYYPPYELASRSYLTR LNSRLVPCPNPSAKKVFECNDDQDIEEQTNQVLTSYQHLKLRPQQGLAYSIGDRLPQPMK KIHQKFEEQPAEIENQTQVLCVCFKEFQGESNLAQWQIITGQKENLNFNMRQANDELTNY RSASGDGSLPWEPNSTYSSIIHD >CAK88867 pep:novel supercontig:GCA_000165425.1:CT868652:64229:65363:1 gene:GSPATT00022035001 transcript:CAK88867 MNYLIIVTLILYTAADKITCPLDIDKSVICPNDNQPVCAFSSDGSQLGSFENPCLACRAN NASYYETEKCIVTSASLESINPGSGNISTTTNKYQIFKCDILKSDNIGCPAVYKPTCGLF DSTIQCVKAPCGQTFGNECEACSAKNIDSYFYGKCDEFPTENPKNPLESIINYCTEPRPD ICTLEYTDTCAFISTPCLSESCMISAGNYCEACSRKNVVGYVKQSCSNYLKMFIEDYDYP EYKDNNTNQDSEEKLFCSTQKPSSCDDNIVKEVCATYKCNDTTCLKEYQNECQACLDSTT VSYVSGKCQSQTLSGVILGLALFVQLFM >CAK88868 pep:novel supercontig:GCA_000165425.1:CT868652:65389:66422:-1 gene:GSPATT00022036001 transcript:CAK88868 MGALYKCQTNYQCSESLIDLIISSGILYYFIMKAKLMFERNNGILLLIDQALCAMSIFQM MLQVLYFMFAKSSIWLISLRSIGLVLELLICIILISVILEKEKHEQLFNAGRLGLFLIGL ECVYYCGKTTSYQCNEISNLFMSFIGLMLSIVAFVFGFQCVHQLHQYKEYLLDNKNDSFA HQLLKLETRIIQIKILKLSGLVQFGVQFCWDSLAYFLSSTTIECTRYFIPTTMLTVLIYS LLKLISLITLPVAVFLVCYECNKEYFGINHNIWNFSRSHRSLKVLPDHEQLNYVEMSEIK >CAK88869 pep:novel supercontig:GCA_000165425.1:CT868652:66522:68488:1 gene:GSPATT00022037001 transcript:CAK88869 MSKGLKWNQKRIENMKDDQIKVRNMILIKDLINSDIQIIEVIQLLFVMNSGEIIQNMNDD TSLSSEDEEQTSKIIENDPTGRFSKYNEEIGKGAYKSVYRGYDNESGCEVAWNVFQLMNV SGVVDEIRRAKQEIAILKTLKHKNIISFVHSWQSKSKKEIVFITEIVNGGSLKNYLRRIT RPKLKVIRYWCKQILEGLEYMHQQNIIHRDLKCENILIDTNNNELKIGDLGLSIQMQSNN TSSVLGTPEFMAPEIYHGNYDTKVDIYAFGMCILEIVTGMKPFCECKGGTAQIIKKVMET QKPQSLECILNGKIKTIILECLKPANERPTATQLLQQYFSSSNNDEDNSPVLLNESLLNQ ISHDSKNSSFFKNNLSKNIGELKGTTNNHINNNLTNQTNKLISLQEFNPNSMKQSEVRLC EQQNKLSIDTVEDFSIEQQFGKQYKEYYITQNDETLLDLEQRQERELQLLLALHKQQKAD LSNKLQQTNRTTIPYGSGFLSPKSFSTLFEFNNEQHQQLQGNQQQQQLHQSQILRVSPVC DITNSEENGRKLNNKEDDLGVIQSVRLNCIYQKQQCKFSTDVVMQSKAQSVRTTIQG >CAK88870 pep:novel supercontig:GCA_000165425.1:CT868652:68663:70432:-1 gene:GSPATT00022038001 transcript:CAK88870 MEDFYDQFSEFFDKVKDITNVRFAYMKDLYNLGLEQFPENQEISNFQKNNYGSIQTGNTK LKKNWSDEDKKVLIWIIGKYMAYHKRDFKQICQEDWQNISSMMLRRDAFQCKQKWLQMQK LPLQQAPWGQNEDEALVRIISEFQAQNKGNKWSQIATELNKTTGLNVHRNGKQCRERWNN HLNPSINRNPWQQLEDLELMRLAIQNGKKWALISKKLKLQRSENNVKNRFNCLMRKEKSG KNIPQSQDESDNDNQESYMSDPSAEELSPDEIKQIQAIIKKIEWRMKQDGTTYEIKQEHQ DQVSKKVQLDRRALKSKQQLQDNPNNNKVQNVNNFNNININIENYTPSTLNQQGNNNSNQ IQYQVLEYNQNEDQSQLSFCLVNRDKGFIYFLNQEQFNNYVNTQNQINNNQLIGIPSFLG GQPVNSNMNYQNNIVLHPNVELGQQQNQQVPNIDFLSDNQNMNSFYLMQSQMNFNQPSQA NFNQPSQVNFNQPSQMNFNPPSQMNFNQPSQVNFNQMPPTRSQLNLPNHLFNNFNSTPQY LANLNYHVTCYQQPQQPQLMFQSQPSDRLDL >CAK88871 pep:novel supercontig:GCA_000165425.1:CT868652:70444:73177:1 gene:GSPATT00022039001 transcript:CAK88871 MELQNYTNYTPHTWLQDVPVKLTISNLWDGNNVLDSLIDDPNKDEQLIIVASLYINGQLQ YPTKTFKAFSRHSLQKQETIFFNSNIKDLSYNSLIALSIYSTQQKYEEAKPLGSTTFPLF DENLKLREGKINLLIWPNTQPDTSFNSKTPGLVKDRNIQDLNFCTRKIDEVSKGEQNDTN KNLSKQNLQIRLYYLSKIIPTAFLEVQLDQYFQQPILFEDYEYPQNDTEQQIIGGGYYKQ PEDQIANQAKAPTQRIFIDQNQLSDTFRTSDFDQEFGKPDPIHDMMNLILRYSDHPETLK PQKDEEEKMRKMMARPLIGELKSDDKQLFVKYRYWAMQYGSALPFYLHSVAWQNQKEEQD ALTLMKKWSPIDREDCLFLLSSFFCASEFKKKYAVEQLGKLSDEKIENVLLQLVQALKYE PFDQKSELVNLLFDRAIKSKSLATYLYWYLHVEKDGWSADSKNTILGFYYTTFQGILIHQ TINQILKEYIQGQTKFRSKLQSLATEIRSLKNDRQFRINYIKNQLSQSAYQNSSELPQHP CCLYPEYELIRAVPERTTIFSSAMAPIRLGFHVIKGDEESEIKLIFKNGDDLRQDQLVMQ IFNLMDELLKGVSQDMKLLPYRILACSKSDGFLEFVPDNVTLQEIIIKKNQGMQPYLRQI SEDKLNPYYWKTLTLKNNDQKDPDNLKIIQDHIDQVVKKAPYGINPTFMTNYIYSSAGYC VLTYFLGIGDRHLENLLINNEGKMFHIDFGFILGKDPKPYPPPFKLCPEMVEAMGGQKSD LYTLFKSKCVEVYVYLRRYAKLIINLFLLMADAGIKDYSQEAIEQMYEKFRLDDSDENAE IHFLGLLEESINSLFAKMTDKLHFWASYMRK >CAK88872 pep:novel supercontig:GCA_000165425.1:CT868652:73587:74144:-1 gene:GSPATT00022040001 transcript:CAK88872 MITVQIRTPKVKISKHRIQNSQPTILNYSNLNSFHEFFNNSSHSNEKRQRIFNSRCKTEN AQMEVSIKTNKQKLSSQSTTISLASSTSPNQGMKNGKGNSNSSFKILQQSNSVNPFQENG TNEKLKQQLHKIVERTKKMMNNYQEASKKWEQREIELKQEISSLKQIIQKQNAQLEKHNL NPICQ >CAK88873 pep:novel supercontig:GCA_000165425.1:CT868652:74664:76364:1 gene:GSPATT00022041001 transcript:CAK88873 MLAQKNTKISNDHILNGSCEMEDLTSNPQDDCLIVYPYELVNREFFGFIEKTSLIKENLE LLSKVGQNLRLNYKDWPTADQAILLFQKNIQNAKKKSIKWSDFEKKLFYWIVMRYYIYKG IKDNKQLVWNIYQFVKATEEWREISKIVLGRNAHQCRLKWEQKYKIPLSEAPWTEQEDNL LYQVHEQEYFQVLESLRKQVGRISGLKFQEKYLKDLIIKYSDNLNSVENDGLTVWIQIFR STKKIIISDPWSKQQEIDLLKTILIRGKKWSELSTLYGRARTENSLKNKYNSILKKEKIK YEFETINPHLFEKVQKLRKDYSKSYGKVTPIEEIDNYEWQFIVLAIQSLYIEKCMQEGKI EEAQKINNDNFFDLFHEEPLIKTYKSILYKKELNIKKVDQNLVLNNEKCGVVVFNHKNKK VFLTPYNVIDFQNIVLNQIKKKVKLEDNTTTTSTQSDPTQNYIRNSIGTQSIQQLLYFTN QPNYFVPLSQSIFNSYWLPPVFPQQIVTSQVQNNNGIGCQQFQENKLNENHQQQKEDLNN LFEEIGVDIKIVNSDDEQ >CAK88874 pep:novel supercontig:GCA_000165425.1:CT868652:76687:79044:-1 gene:GSPATT00022042001 transcript:CAK88874 MPPKRQHHKTQSSEIPPQALMSYLSNREQIQARFSNSQTLQIEFKANKQLIQQQSPLLRS NGSQNNLRITQQQYLFIIKTETNVKKRFMSPKFVSQEQKQLQSKTVMRQVGNHIKTQPHS HQNSQSSLNKIDKIQDDYKQLTLISSAMPKSSEIMLTQPQSAMNKTELTLKQKADLAIQE MKQRQQEEEFFQRINSQKSLGVSSAKHQSQLFMQSNSYKSNSTKQLEGQKTQVHSASQPI IEINPNKPFTNRKQFQDSINIILLCKTHKEKITVDVQQNSIQYLVDLVKQIIMKNFGTTL PTVIGIKTCNISIPVDYILTKVERPLSLLNSIQLQPLIIEPVFALENEIKTPRVSLKDFE FIRCIGMGGFSKVYMVRERRSGQYYAMKLIEKNPIIQQNKQTIIQNERDIMSILNHPFIV KMQYAFESRKYLVFVLEYCSGGELFYLLRKVKRMKEEEAFFYFSEICLGMKHLHENNIIY RDIKPENILIDFDGHVRIADFGLSKPNMTEQDIGYSFCGSPEYMAPEMLLKSGHTFQLDL YCLGALLYELVTGLPPYYSRNTEEIYTRILNQKLNFPPQLQMSPLIKDLLNNLLAKNPKN RIDNIESLLRHPWMVQWGDKNLYKDILQKRIESPFKMDHYSFNFDEEEFGQGEAEFLAFI KPLQQNISENFPKEIILKQFYYNSNEANFQESTGGTRNNQMEQQCTQRQKSKRQNTFESE NQMNQQDQKLYLLSQLKLQTDHDQKRKSA >CAK88875 pep:novel supercontig:GCA_000165425.1:CT868652:79194:80099:-1 gene:GSPATT00022043001 transcript:CAK88875 MNDKHEAFFFAQTQKRRIHQQNYALKVVSRTKVDKETIQLSESLFNAKITAKQSSCIIRN IQRSIQQKIKFYDEQLRSSDSFKKQANTKSLSPDTIKPKCIENQEQSQNQQISSQQILIQ PNPIKNNEQQTQTQTPIKNFNETQQSQKSMKQYTQSISPAKKQPYNYERMRTQNYSSSKI NHKSSSYYLQQNERQRCYSKQKVKEQQKEQENNQSIHRAQTARVTAIAERNEEWKQKLQN KIDIQQKKQQQKEMVDCTFKPRINNQRSSKKYQQSVSKEFQTEINELMHLIHDQNRVKSK Q >CAK88876 pep:novel supercontig:GCA_000165425.1:CT868652:80232:82876:-1 gene:GSPATT00022044001 transcript:CAK88876 MNQIQQEITTIPTKIFRNAQWISKNSSVNKRKSPNYPCKRWGHTAVLHDKYMYVFSGCGK SDNAKQWELIYRMDCITFQWERLASPSANHPAGRDSHCSVCLQNKLYFFGGSSNDQIMGD FWSFDIDTSEWTEIQVPKDMQAREGHSMVALSQRLIYIYGGWDQVQNTMTESHWLYDIKT NKFLQIVNFTGDEMIKLESHTANKIGESVYIFGGQGQMSQKQLIFYKDLYKLDFENINDL QQRFDQQDSIEDKKQNGENNIAIKIEKIKPNGSQQPTPRASHSAVAYADRFLFIIGGEGY QYDQQKDNEEEAMEQDQEDNFQNVDEEEKPIYPKNDIWIFETIMRTWSKLVPRSKTPMFQ PRFSHSCIVFKDQLIVFGGLRSMGEVLEDIMVLHLKDSENHLQKFSRDEMKNVCKYCQLI YGNQQEEDISFNKVAENNAIRQPKLSLTFIDEISKLVQSPMSCFGLFLDNAKISEASELK IEYAYRLRRKKSQYTTDDMQEKIPLIILFEKETKDLEDLSDFLFNFDIPKKKICLNKQDY EQITRNGGEGLIQEEQQQFNKKQYALNFKIASLRLGDSVMICHKSQNNYYVGFISMNNLL NPNDESLTFYNYTLTISSEKERKVDSPESKNVLLSAITHLLTEEDFIINCNYNYTKIFIF DLAKIHSHQKVFELNLYNDDIVSNTYAAFDLKKEEAIKYPDYSLKEYIKFYSLDQLPYKM FVNDQPQAFSSIKNKMENKFKVMVGNEKLVNRLNECTEAYGICRLPQNNLGIFLYYQGRL INRYKRSLGVFLGDQEYSGYFNLCKFIKPNLTSEGFQNSYLSSILFQILGSLEEELEPER RKEIKV >CAK88877 pep:novel supercontig:GCA_000165425.1:CT868652:82903:83277:1 gene:GSPATT00022045001 transcript:CAK88877 MITNQIKYSFALYSKYFLVSQQYNQDMYYHRIPLRSEHENQLQKLISKGAQIIGGAQFPY NGAYYIINTEDDNNIKSFINNDPYTQNNLAKTTYEQIYPQIDNFDQLSQLYSFK >CAK88878 pep:novel supercontig:GCA_000165425.1:CT868652:84713:85842:1 gene:GSPATT00022046001 transcript:CAK88878 MKVTIALVLLVALASATSTQDQILALLQTGTKASDAIDTVFGLLNDLIQSNKDAQFAADQ KNETDEWIGAQTIEQFTKIKSLNQKLFQQSIENRAQFEQDLHDTKNYLAWNEQRQDEINR KIQVLLDEQCLSNQLFVRSIKQNREALEVVRVLKQDVAGYIINGDSFELVQVHSVADKLK QYSNTFQEQEIQSFLQLANKQEDGASSRGATLAERVLGVLESLEANLQASLEALEVNEIN ASWELAGWVSLSEAEVANLKVEYERKQVYADRLATQIQAALAQQAKSKIILQESQDALDQ ALSDLENKRADYAEAKAKRDEENAILAEVITMFKKQVASWSGR >CAK88879 pep:novel supercontig:GCA_000165425.1:CT868652:85867:87664:1 gene:GSPATT00022047001 transcript:CAK88879 MSISETYVQKIPNIFEINTLLMITFILLFVWRIITLKTKALSNPLKILGIATLKLIHYVS SFYSLIMFSFLISYVADQLAFRNLNKIKLIQFFFVIIIFCWGTDINLFSLTLVTLIEKIT KKVIQSQQIQKEDEISTILSYVLALVIILNISIYEMLLSEELEAFNIMEFPLFNLLMIGL FMLALQKITYNITTEQHNKFIGVIMCLLFLIYELKDKLHDPFNHQVMWVSLSILAALNIF ENTEEELQLIQSNNNRVFGEDEISFQAFINHLKNNSDSKKLMIQLSLNFSFMFVELIYGW ISNSLGLITDSLHMLIDSSALAIALFASFMAKRKANSTYTFGFERVEILSGYANGVFLLF AVVEIISESFERVITPQEVLPEKMLIVSFLGLLVNVIGLFFFHNHGHIHSEEVDEQLEEK HHHHCSHHHDHNHNLSGVYLHILADALGSVACIISALLIYYYQFHMADPIASIIISLLIL TTTITLLKDTSKILLMHVPYSGKKVLAAISHDFNHRGFEPQEMKLWQYKEKKLIFTARFQ IKQSDEDQIKSEIDGIVSKHKDVIINAIDFDYVD >CAK88880 pep:novel supercontig:GCA_000165425.1:CT868652:87706:87963:1 gene:GSPATT00022048001 transcript:CAK88880 MEDQFSEDYHLSLHQVQLSLIHLFFSQNRTITHLPTVKRIKQFIKRNKLILQVKDRLIII GYIYPKMKKPISSIFSKNNLFRGIK >CAK88881 pep:novel supercontig:GCA_000165425.1:CT868652:88591:89616:-1 gene:GSPATT00022049001 transcript:CAK88881 MIIENQRCFGKEIVNSSLYQSMESGVIVEKTKKPFSIIPRVFAISLDEKENKIFRRESER IQIEIESEKSKETKNPQKVALYQDEIIQHLLIEENKYQIDLYMTSEMQPNINIKMRAILV DWLIDVHAKFKLRDETLYLTIALIDRYLAKEQVTRLRLQLVGVAALFIACKYEEIYPPAL KDFVYITDNAYVKSDVLEMEGLILQALNFNICNPTAYQFLSKFSSELDPKNKALAQYILE LALVEYKFIVYKPSLITEAAIFLVNKIRSPNYRTQNEASLKPCAKELCQLLQTADLNTLQ AVRRKFNTTKFYEVSRIKVEKINK >CAK88882 pep:novel supercontig:GCA_000165425.1:CT868652:89987:91043:1 gene:GSPATT00022050001 transcript:CAK88882 MTKISFTQNKTPQMQANICQSLHQYIQSTSQQYLLYIFIIIHFVYGLWPNYILDKYLYRL LHIQYNPIFVDQCIFFFYKSKIHIFIYTEPLRRQLIVKDQFSKYKIVKNEFIRGCKIYKE IIRKKQLKQQVFYSSKIRGAIFKILRVEGTLKLDKTLHSIKYNLTFKEINLYLLNQLASQ LFRQDFGISNMFSEYIVSLILNYLAYAILGDLSQFYELSVKLGIRISNSFFILFLILQAL LEITNLLYLINFKYRDSTLQNLLSYLKVLFRVYAIIHTKKRLQSQKRSTQLQTNSIMSRI MNINQQYSLYRWRFRIIHHNQHIRSFKKKIINKKEYQQNWS >CAK88883 pep:novel supercontig:GCA_000165425.1:CT868652:91250:91585:1 gene:GSPATT00022051001 transcript:CAK88883 MFNSQNAQVLTAIKEIFTIKCREYNFNNTNAFINLQTYCNQISLENPVFYESNIDEIFNG DRIYVTYSLKVKSVLNNDLLMNGTLTRGIRQYDFLLSQLAQNC >CAK88884 pep:novel supercontig:GCA_000165425.1:CT868652:92227:92753:1 gene:GSPATT00022052001 transcript:CAK88884 MSESQESSEFQSGSSKLENKRSNQLGLTNVESGDELIKKKTKLNDENGQIKISRKVSDEL IKIFERLPNDQITKLLDAIKFKNSPDLPVRVNLVDLMQKDEDFVLDSQSDSQKEKKRKKR ESKEKECRCCKQVVKRHHCTHTECEKPCQIQEKMKKNKT >CAK88885 pep:novel supercontig:GCA_000165425.1:CT868652:92960:94399:1 gene:GSPATT00022053001 transcript:CAK88885 MIFLLIIKITTAWKSESVKENIQTCQIFQNPNQLECELSDLVVFRLDSYYAICLISSEIG IQSLLYENSSGNQYFICILKEQILMYSLPYACGSSFVLLQHQNKFSNYSLTKDDSYMYIF GGLDDSQLVNQLYKYSLGDLINNQVTFSVLPNNFIQKLTVNLELWDGTAEEFQFSSNIPQ LQKLKNLGYEYKISSILENSQCSSSNIPKCLQQSVLVYVDECNCIKLFYGRNANNVNQYE SWNYYLDEQVWQKTTIDSMIKTASSPIGQYLPEINMVGFFTENAYFFYYDSQWYNNYDQL TFGSTFKQMIVYDKKTFLLNTSSILYSQNDLQNAKSQQYGIYVCQDNYRGYNCQIQDYQC PGSICFNDLLFERICVFCQGHGTCQYGNCKCDDGYGGDDCSQYEKCLNDCSNQGTCIQYY PTPQCRCKQEDKRGGEDCSTIFCLNDCSNNGECKDGVCNCITGVKGDDCSILNLKFIDE >CAK88886 pep:novel supercontig:GCA_000165425.1:CT868652:94492:95784:-1 gene:GSPATT00022054001 transcript:CAK88886 MRQSKICQQPDCKRILSTPDGKGPIIRCKDCHTNSFEKSITDIQQRKIELLKKKEQLQTQ FEEYFIVNKQKWDAGVEESLNALKVSLQNEKYQKLQHTIYIRKRTLAKQQEELDDHKKLI DKLQSFSKSIQEKYYSQKQSLIKKRNQIQDKQKQLKYRQYIIFAQLPFLFDNHMIYNQYK INEEIQEIVYDTIYELTSTRDDLLLSTSFLTIDFEQLRLSTSKINTKKGEICLSLKKSTV QWKEYFHDNAQYYENFITSIIKVYKLIYYLGNLFNVVLPYVIYIDNHGYPQFLEYQIFTQ KRSSNLIDLKESSLSQMQINIYYLKYYFKLDIQDKLYSFFDIIDLLQSYYDKFEFSQYDI LKNLIQDYIPSIKNPSIKQLNINQQKRKPIPIKLVQTQCPKFLLQYQISEQQVSNKLDNS SEFSDVEFIQ >CAK88887 pep:novel supercontig:GCA_000165425.1:CT868652:95984:96680:-1 gene:GSPATT00022055001 transcript:CAK88887 MQNIAIVILNTKINLKVFDFYYNQNVFLICADGGANKLYEYKKEIIPKCIIGDLDSLKPE IQQYYESHNCSIIKVDDQDTSDFEKAITYLQQLDGFHHIIIIGGLQERFDQTINSLHTLV KFNFTGEIISDYSIVRYFKEGFHTFKFSEIEQEKGISLFPQGTQARINTKGLKWNVTQDH PLILGKFLSTSNEAVEKQLEFDSLDNFFFVTQLKDEYI >CAK88888 pep:novel supercontig:GCA_000165425.1:CT868652:96913:97408:1 gene:GSPATT00022056001 transcript:CAK88888 MVSRLRKCRKMRGHVCHGYGRVGKHRKHPSGRGVSGGLTHHRINFNKYHPGYFQKTGMRH FHLKKNTLHKPVVNIDKLWSLVTDDTRKKYASSKDKAAVIDVTKAGYFKVLGKGRLPNQP VVVKAKFFSKTAERRIKAVGGACILVA >CAK88889 pep:novel supercontig:GCA_000165425.1:CT868652:97409:98941:-1 gene:GSPATT00022057001 transcript:CAK88889 MQSVIGSIDSSTTGTRFIICNLNGEQLSYHHITHKQITPHQGWLEHDPMEILNNTIECIK QAYKKMDGEHKLVTIGVTNQRETVVAWNKNTAIPYMNAIVWSDTRTHDICQEYLNKYPKN YFQQKTGLPINTYFSSYKLQWMIQNNKTLKDDLNSGNVLFGTIDCWIVWNLTREQNHLTD VTNASRTNLMNLHTLQWDEELLNQFNIPKNCLPQIKASNSNFGTLKIPEYEGVPINCVLG DQQAAAIGHALFHEGDCKNTQGSGLFIMANIGQEIRISEYGLLTTVLYQKENEKPIYAFE GAVESGGQLFNWAKDKLQWFDTWEQLSQSTENTEDNGGVYIVPAFSGLFTPFWNFDATGT IIGLSYYTTKDHLRRAILESVCYRTKDVIKAMEQSGIKINKIHVDGGLTKNKELMQLQAD ITQKKLVYPEIIESTASGAAMFAAVGLKLLTEQQIIQNMKVQQIYEGIKSYEKEYKQWEL AVNCALQFKKIE >CAK88890 pep:novel supercontig:GCA_000165425.1:CT868652:99024:100262:-1 gene:GSPATT00022058001 transcript:CAK88890 METVTQQIKSLNQKNQELAALENRLIQLKQQLNLQEANNNIVQAKVNKIVYAKKQKFEDQ MELSKIMEANNLNDNGIHNFIRKNKQQQQEDTKKMKQDLLDEKCLKTALVKQQLALQMYQ NKKKREEELLEKQQQYARISEWEFNMKQDLEQKKAERIEKIRQEQNLFKDQIAKRLQEQQ AMCDYMGDEEQRLLNKLKDSQVHGNSLKNDLMTALNLSIKEYNSISGLRPQISKSQSFQK LPKESQNSPYAQLPTMLQLKVSGQLSNYLNSNSSFRESLMKEYQFSLPSLCKPSFNEQQQ QVDRLYQSKTIKNSKQDLQSILNYCDNKSKSTYTKKKGRMNQSEVLSSDNHSISSKQNRK ISQQQSISQQQSKEFDKSTQNQQQSN >CAK88891 pep:novel supercontig:GCA_000165425.1:CT868652:100449:102748:1 gene:GSPATT00022059001 transcript:CAK88891 MDQKYQKALQLEGYLEKQSPALLKGFQKRHFAVRDNGKYLVYSKKKKLSKDVKPKGVIPI DQITSIGVIKGTEREFALQIGDRQMLLKAESSSARELWTSTIAYLQEKLLEQKAQESKPN SHQSFHSRGSESVKLVQKASWKELDSETKASIMMDKEKQEVQDKFQENEVKNEEVMKAKG LYAYLDKFDSKKFAQFVKCGFLYKKGKIASLTKAKRRWFIIISSVSLLGDDANIQGPVQS DIPDRFKLDHLYYFAYEFRNDQSSYKGELKANQIEDFELLNVDRNLAFLKQLTHSVSYGF KFRHRERHYHFYSDSITEIQSWVTTIRTIKDYKATLPAEQVENEEQDLGIKLEDVLLQEQ MQVKISRRIRKDKWFKRMVYLKKDSLIWAKQETGDSENYILIISIKNVIGQDNDFHVYTK DEKTFKFRTNSQEIRDEWVKKIDFLQLNLKGEFKDLEKVTELPPESVTKSQSIEQKKSHS IEKQKVQPEEIEEKTNNSQDIASFYDNFFQQKKDLNLVGNQYFIRFSVVTLKTMKLIEGF EQQFIKVLHQINKIIIYQTQQQTLIFRWRSFNLTQKSNPANKGDQHHDYRLQDTHKRETH QKEEFKLELKITLKICQFSTNAISVLIKRKLITMTEIQRCAMQYVLSERDILGTSMIGSG KTELHQISFIENLYVCKGHSRWFINLNQKLIIYASIKVYFTQFLTYFINQLVKRIINMKV QNLDEWIVLYVDLKSFIAF >CAK88892 pep:novel supercontig:GCA_000165425.1:CT868652:103607:104266:1 gene:GSPATT00022060001 transcript:CAK88892 MKIFEQSFGKQEGFQKTAQFFNPSIGKMNEHVQKRRRENSLPQQNLEAIKSILSKTKILQ KNVLCRKTTIHQMNDCKQENILSHQSTKQSTKQSTRLSTQDSQDLSSNIPKIVDLNIKHP INSFSVYNQKSSFHIRKQTLKEYSSQTTQLIENNSMINKISKSLEGKKYLIKVKNPFGES KEQQTQPTRKYSSKSFINQKAQIKLVNRIFSSRSESLQE >CAK88893 pep:novel supercontig:GCA_000165425.1:CT868652:104495:106029:1 gene:GSPATT00022061001 transcript:CAK88893 MYQSASKSAKEQTLVIGKASNSNLSRRVQTETNDYDEVEQDIQTFQDISNFKHRNKFISF NKPAKKPIMKDFNAQYQQCLKNENQIKPISEAVKKCQKPQGLDKINTKSLLIPAKITRQD YSTYTYQNLKAIGSGSFGTVYKSKVIETGEIVAIKKVLQDKRYKNRELQILQELNHQNVV KLKHAYFTPSDNNDETYLNVVMDYFPESLYSYNKSFRQAQARMPEILVKIYSYQLLRSIY YISLLSICHRDIKPHNILVNPNHHKLQLCDFGSAKKLVKTEANISYICSRCYRAPELIFG AVNYDTQIDVWSVGCVIAELFNGEPLFLGDSAVDQLIEIIKVLGTPNKVQVLSMNSEYDM QQYKFPQIKARDWKRVIKTNDSMATDLVSKLLVYSPKTRFTPIQALGHPYFDQLRDLHQM KQLQEIYNFNIQELFEFIETNKMTNDEIKKLIPDWISNSSSKIVKTIG >CAK88894 pep:novel supercontig:GCA_000165425.1:CT868652:106118:107497:-1 gene:GSPATT00022062001 transcript:CAK88894 MSTKTKLFLSQKVDLGYKSYEDSLRPATTTAATGSDFQFESKSQLGTSKSIFMKPNSLQT IRNIFDEVKNEERKKYKDNQIGPRYEDDKKEKVVKWSIVGKAEQFLAVRNKNKQRVVIKQ RRQEGDDSFSDDVPSVRPLLLQQSQSQISGMGQSRKTPKKPRGEFKMLPRQDALIKLVEA KRRIETDKIDKSKQELKLPLHLRNAITRDKRTLESFDQAKEKWEQVNNQIASNCERSPTE TILVRSDNYRDRNIKLNIIESQKNEDEKHNDRLWYLRLRWYDCKDDRPPFSLLTSQRPKS ITMTNRLINRYVKDYKAEHLNQQQNFVLSDIQSNFNTKVIDNPYQQIEKVIPNASSQNLY SKKLEKYFDEKIKPHNSKKKYVDTNEYLELVGENVYKKELNFIMKQQQLEYQYFEEKPDE EEVYIDNWDNQQLAKMGQPLIQHI >CAK88895 pep:novel supercontig:GCA_000165425.1:CT868652:107552:107881:-1 gene:GSPATT00022063001 transcript:CAK88895 MNDNNTSDPFSKANIQKADAFLAQFIDIKQLLPIKEGLTKEMIEYFNQFKFSTQAQIGPL TYQERQKKIQKYRQKKNTRQFLKKNHYDYRAKKAQTRQREKGRFVSNKK >CAK88896 pep:novel supercontig:GCA_000165425.1:CT868652:108569:110410:1 gene:GSPATT00022064001 transcript:CAK88896 MANKVGATQVYETQPMKLYDENIENNGVIAPYNLCPKGVYILNMCTTIANTFLLSSINIF VQLSKASGQVYSWGNNCEELGRTCATQAEASRPGIISFQTKIMQICSGNNHILALDVNGV VYSWGRNEAGQLGQNDLDPVSLPKEVEFFKKSPVVQIYAGGDSSYAITKKGSLFAWGDNS NNQLGLGDGDHSSPQQVIQTPWDNAREVKIVYGKNNLGYLFNIDNITKEQENQVVSMQLK EAQEEIMKLQQKFNEQQSLSAKQPAIVEKTMGEDQLLKNIQAQIQSATGQSNSQENTLKL TAEEILQLEKDLQMIMILVDIFAKENELNNQREEVDMQVRKLERSDQGKSAEHELKIKEQ KRIKELFQANDNIKNNLLKRQNQSEETKLRKQEELGKQKEKAKDLQNLISLLKVVETDRK ITLKKKFLEQNKVDLEKFMEKITHFFREMCDCNIAEIAKKTNQLSGMANIIKQSNEKLSY IKNELLGFKINSSDESYEILSKILDILSDNLNLRKKLNDYMVGVIMMSEEKTQQPIKVQE IQSQQQKKLQQFIEKQQKELQEIGRLQIDGRKYKAQVQSINNMKLKQRDFCFGLI >CAK88897 pep:novel supercontig:GCA_000165425.1:CT868652:111555:112447:1 gene:GSPATT00022065001 transcript:CAK88897 MQNQLSETIHWNEDGDAILINDIEELVKSVLSVHYKQSNFLSFSRQLNQYGFQKQRIGKK TYIFKHPYFQKTKKENLINIVSSHKIKSFKIQQQEGDDDNLVNINEQSSLATTIKKLQNQ QKIICQQFSEQIELQSQIKQIIIFLKKKIYECDQTIDNYCFIGQLHLVKAMNLIPNDEEH QQEIEFIKQILEQFSNIYMEYIDQSQVSQRSYNLSVRSCTPLPFYQYEQSQQQKPPAGLI DQKNTQLKQNIANYLIWLQQWYQEALQLYGNLK >CAK88898 pep:novel supercontig:GCA_000165425.1:CT868652:112590:113439:-1 gene:GSPATT00022066001 transcript:CAK88898 MDKRLKRFDVFRLSRNQQSQKRLDSTRKLDDRSFLQLKQYKHEFIRTPSNSNTCQTQMKK LRLKHKPQINQNQILSYSLSIQNIEQLPNRSLDPKVIKLIIPNHKKNTQSQKEGITTTRN YYNNYFAHHDQSENRTSFPSIKFKNKIVKSQFEANLQFYKKQQSIPIQVKLANQDDIKRE FESIRNKYGKSRTELDNYADQNQPFLKTSKSKFHLSQNKHQNQKQLNINNNSVESQTNLD LDYLEDFQKYDKKNLNQLRDEAIQNS >CAK88899 pep:novel supercontig:GCA_000165425.1:CT868652:113889:115016:-1 gene:GSPATT00022067001 transcript:CAK88899 MLYLIVILCLTISNAYYGSEYYAEWQTGVDNSISKQDQFLFPTYPMRFQSQVTFSTRFSV CPQVLLMHQEIQWSRGRNINYQTYVSDVTIYGFTINYVIWGPAVVPILSVRWVALVDDQV EIQYVHYQEKDLYQLSQGFGARAQDFMISYYPRYPAPKVTAFIVGADFEIFNSLFTSVRI QMGLPTSNSMDVRFLTRDYCHVRSLYVAYFISNNYLTLAGSVGAYYSTQNQFLDNGNRQT IRAQKFSRLVPSIYSLDPAYNILAQGISGFDIHSYAGSAYLRVTAESMINQSQVYTLVYG TRGDTILTYMQASYILHPPGMPYHYYYKNYDWIITKDEQIVLEETIEQEKKISELKKQ >CAK88900 pep:novel supercontig:GCA_000165425.1:CT868652:115159:116383:-1 gene:GSPATT00022068001 transcript:CAK88900 MDQTTTSINSFTTTDRELENNLKDLLERILIQVEKHIETKEELNQIESELKDYESLSHLV GIIKVIFTKMMLKIEKKIQQVKQIHAINRKLEKFNDPTQTRFSRDDDEYEKLEQTLIKYE QEIRKHISIEQQLKLYAESIQTKLDESEEIRQELLETTKNNISKLKRENQELHEKEQSLQ QELVQLKQTIFQFEKESKRKQIEVNQREYLQNLIYKQANQSSNKCGNQKLFQEPRQSNSH SEHKLTGNHVMKESLETSDIVLPTQQPQKNNYYSILGNANKQKKSDMIKSLQQRDFNKIY GQIMRTKHNSLSSINDIMLSISQQERKRIEKVYSVSKNSSQNSSVIQRPKDHNEYQQRSR SSKRAEDTIKYKTLDSLIQLK >CAK88901 pep:novel supercontig:GCA_000165425.1:CT868652:116692:117339:-1 gene:GSPATT00022069001 transcript:CAK88901 MGGACSGTKPQAKKQKLQQQELNIVDQPYLEVKSIPQSQSSSRPPEQRSETGQSVKDLLI LYKKFTDFLGLVGNLDHLPQHTREQLNNCIIQRSNINILIQNSIKRIMREQQIIKRVEES DYYLIHNDEKFAITFTQIMQKISTIILTELKDDQDFQEAFPMLIVSFVDLAQQISNVIEN FQNFKTLSSKKYITKQSFSQESNYQKTTHKISLQQ >CAK88902 pep:novel supercontig:GCA_000165425.1:CT868652:118026:118730:1 gene:GSPATT00022070001 transcript:CAK88902 MNKNLSLSFSKSSTKPSSLDLDSPSDQENSPILSFLEKQKKRAVSYIGFRVVTIQVKANH AYYMVMNICHKFQIEVNEYGTKWIMMTRFSVLQTFYKGLSRLFEVKCTLSSHFEFNQLSP RNLKKRAIKIQTFLNQIGNNFKILNSKYCQQFLNEQKCLGQVLIDYTKEQNLVLEA >CAK88903 pep:novel supercontig:GCA_000165425.1:CT868652:118939:121168:1 gene:GSPATT00022071001 transcript:CAK88903 MFYSRSNPKLSDCQNKLNTSSYSSKSRGSFKEISPETRSKASSNIIDITPSNPNRPLTAR NEYTNPSKVKQLLNNLIQGEQSKTSTQLFGQSLFQKKQVDSRSSRGDSLCKLKLIFIVSS RISNFGKQNVKSPQNNETFLKSAFIIPDTSRDQDKKPYQNLYYQSNKQHDNNTSQQKSNK NSKLLTISQIAQQCKKNSITPTRQNTINNENVNNQNNINYKTNSNQKNKQQQTFIAQVCS NKKNPTTYMETFNILKQKLDNNNIQSNQTSGKKQNEKSINVSPLKSPKSKIENTKYIPNQ TIDDATQQNIYKSLLQKEQIWNDIIILIDNPNQMTLATKCTQLQKLIRMISEKHHSEYLF RIEPQILEIFLFESFACYLLVSDYLQDQTNEDQNIKNLIQYIINSNLYVLQVLENVTNNS LNLNLLKQRIDLRTFNIRKVCPKGKQALQKNNFIIKSILGLMYFIQSIQKSKRIDEITRP EIFMILSRITSTPDQYILQLKKINSQIEQIMPLDLERALAASNKDYTLVLDLDETLVHYQ EVNQYTIKKFPKGGGQFLVRPFAEEFLDSLSKYYEIFIFTAALPDYANFIIDIIDKKGVV KQRLYRDKTIFKDQVYIKDLSILNRSLAKVIIVDNMPENFQLQPENGIYIQSWFGDTKDK ALKDLQPLLESIKKCKDVRVALNQFREQMIERVQMGIKNPYQYLQLN >CAK88904 pep:novel supercontig:GCA_000165425.1:CT868652:121409:122536:1 gene:GSPATT00022072001 transcript:CAK88904 MDFKTWIEQKATKTFIIIIVIQMTYLASIFIADVILNLNYSGNDNNNPQDECDWSLDDYY KWAVLFLFQIGDCYYVFNAIYKSNVLELFAYIIISFITFLCTFARFFKPTSYFKSSNTDE GDKVLAAFDYVYLFYSFCVFVLSAVCYKPFYDVFVYRNIMKVGASPKIQDMFRNYSNFSI FMQLYLLISFSTFLTFFFFFDRLYWYLYLIDSFVILFQIASIFIGYWALKEENIKKFWMY ISMILLVQLYILTKTSYYMIYYYNQKNSKLIDDCTLQDTPYGDSYTVVIVIGTTIACLVI MIFDGYYAYQCKLGFGNGLKEALKSQISETGQELGESSFSTQK >CAK88905 pep:novel supercontig:GCA_000165425.1:CT868652:123752:124558:-1 gene:GSPATT00022073001 transcript:CAK88905 MTEGLKYVKCALLQQENDPLEYCVCTLPIPSNIGIPLFPCELPNNFKLFQSNEAFSQLGM KIMDIEEEENHKLMRTICCPSLRPLTITSRDFYNNIPIQAERKFAIPFIQKNEMKVKYDG EYAGKIVQEQCCTFMKGMFHQLFIYDSNEYLIYEITANLKQKGLLCFLPFQSCNSIKYSI IDKRPAEEQLISENYTNGQIEHLFYGWSNELCSKADQYGIKFPDSASEKDKALLIMCCIF IDYLWFENF >CAK88906 pep:novel supercontig:GCA_000165425.1:CT868652:124618:125526:1 gene:GSPATT00022074001 transcript:CAK88906 MFANLPGKVALITGSTSGIGLSVAKKLASQGVHIGLNGFAKPEEVAAIRKEIEGQYKVKT FYHGADLKEGPQVKSMVQETSKALGSVDILVNNAGIQYIETAVNFPEQKFNDIIAINLTA AFLTTKYSLPQMLDKNWGRIINIASVHGLVASVNKCAYVAAKHGIVGLTKATALEVAKTG VTINAVCPGWVMTKLIETQIQQRADQYKISFEEAQIKLLEEKQPSVTPVHTDQLGDLITF LCSDSASQIKGSAYTMDGGWTAQ >CAK88907 pep:novel supercontig:GCA_000165425.1:CT868652:125529:131073:-1 gene:GSPATT00022075001 transcript:CAK88907 MQWIRDYMNLIIQTNLSPSINNIKLTALQILIYHLQLTHFITQQYDENIFRLIKALSEIS ILTTLFQDIYAINILTGVLLAILNVLPYLIILHRKIRSENQNVDIISKSILKSATKLVNY YFLYFTWLLYLPQMHYISWNLMCQNDLLLLILALLNLLFSVVSLTISNIYFINFEFNELQ LRKHFTYNNCVAQLLIIPMAILNQSQDQMMNIISRTIHGIIILILFYEAYFQLPFGFSKY SIIYNRLLVMHILVFIFTTIMYKNPELRYSLSTLMLVTQPITQYLFHVLMQDKRLKTYHN TMNQYQELLIIEDFFEIIQATQRSKKRTIELIQKFSLHFNRCQSVKCQCRRFGASGILRW DDAVILTSCLFRIGFEKHRSDYKNLEIYSLKFLTFINKYRNNAPKSYQELKILFQKKRDY SFYFIQICLLLQYILQAQMQKDEDYNINKDTRVSNVKLQVSKSERSIVQTLYQMEQIKQN ILPLLMQLSHFKIQFWKSYLAGKFVSFSDIEQQVQKLQLLKHQILYQLKVYQPIFYNNGR TFNVQFLKYSALIDLLLFNNVRKYFELEKERREILQQEKSMNTFEITNINFFKGEAISVK VCIAQGPNIGKVLNEVISPLIPKFFGFGHFDNPLEAFLDYTKGNINTLMPAWLSPVHDEI MQNYIRRGGTARIGKYFQTFAKIYDQTLIRCQVYLAHNFSKDLTDDFTMIGCLKSLEEQQ PKVSVEEAKKLKNVVFKGVQHILFDVNGNILGVTQGLFKMIDRLQRLRANQNLSSLKQPS VEKSRSEISSLESESYDVYDQQWSNSILTIDDFYNKVLIWMLLPFICREIEQTGIEYLMD GETPPKNRYPNLIDLENSNNVVSNKETYLFVPEDLHLFVQQYEKALQKIIDDTRVQSNNF SSGSQYKGQKYDQESDSVSVQQAVSIFNEKLCAFFYDEHLKRHQALQFGTTRQSEMQKTS VKPQSQTQVSIPSEEDSEQLKTRAEKIYQDKYTKYIEKIVPQDFNPVPVYYSVNYEEYRY KKNDIDLKQQMFLIELIVNEQLLLTTMGYKRQVRDTIKQTFQNYQSKRQLIEQQLQTEDS ISVQGNISEQRSDHDAEVTNYLFPSHPSHYFEDIYIDSQKLDQLSSPFKQMDNAQLLSSR SDEVEIQQKFTLNSQESLIKNTEKQVTTMNSKAFAKKKQQDFFNENDSKLAMDSRASQQQ IQEEYQVKYSENLKLFDSNYKSTLQKIEDFINPTTFRVQKYLLYIVLFLIVGYIILLTIA VYQKYDFGDCLNLIDLMLSTQKAYSQITHGLYRIELDSQFQMDVKMRLFYLQQVDLGLQD FINLQSNQLFDINQVEFSVNQFYNVEDELIQKPTLQETIQMSLAQFYKIRNNTLLNPSIT QIAVANLEEIGQLPHLAFNYCYDDKITNEEQTQQLLTIYMVVIFFLVMLLQFLQIPLISR LSNDHRRLYKVVMKLQIYEVQDEIETYEQVQSIFKKSLYEWMLIDFVSETRMFESSVENM QYHNQQLTDQSQTQLLNTNNKKNKYKLYEKLKRQHINQTRYIIILLIGLFVILAYFLIIF FVIFVLSQQLLANIDLLFNFKLAQSSFMNIIHNMDLISYQTYDQERFINIMSQVQFINYS QELRSDKQDQFIEFNNNFVSIISDQQLKTNLEALNQDDICLQSVGILCNETQTYLHNPNI IQYYHHGMKYLITQINKIIESYPQFFYNNYGNSTQEHLSDFFDGLEHTIYIDYGSDILVE AYDKVVTTAQEQFDKSLSIYKETLMIFILSVGVLGLSIIWILGRLLLKMQVDSIGTCQTS LLLISPKRYLNQNIIQIAQKKR >CAK88908 pep:novel supercontig:GCA_000165425.1:CT868652:132500:133302:-1 gene:GSPATT00022076001 transcript:CAK88908 MKCHTITLFFILITEALCFDGNDKILLSLSQSFCSIIVTELGDKTFFLAAIMSIKYNRIA VLIGSTLALILITIISTIFGLVIPELISILYAQVLVSIVFYGFGVKFLYAWYTMQKEKEE LQEVEQELTTLDKKLMNLPDPETDQVNDNVTKSKHPHYLTIDFIQAFTLTLLGEWGDKSQ ITTISLTAIYNPFYIFLGAIMAHFFCTVIAVHGGKLIANQVSEKNFNFLGGIAFLSIAFI NTYMALFL >CAK88909 pep:novel supercontig:GCA_000165425.1:CT868652:133590:134783:1 gene:GSPATT00022077001 transcript:CAK88909 MNMQQFPNYYPYILEPQVTFQGEIEQQKQSSSSNYNEFNFIHQNFYPPAYSHYQFEYVQE NEIPSQMKNKKSKKNKNNDQKGLLPKTIESEKQIVEDLQQIAVSQQQGDQIIKPITPYTV LHYKQGSAMPKLQSDLVSNQQNEGAGSQEGSQQTQKQKGQNQQIKKPKKENMNTGHWSTD EHSTYIQFLQQYENIMASSMMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPYALRGENG KRLPRTERSRAGRKKKNQQCDVPKAEEGNLIMNYEAADPYYYMMLEQQKYYYAGLHQDYW NQAQEVEQNQSIKKEEEPDHLNHGQMQQSEFDEYLKYSSQRNGNQLDYNFDVRNQAVSDL LM >CAK88910 pep:novel supercontig:GCA_000165425.1:CT868652:134894:139594:1 gene:GSPATT00022078001 transcript:CAK88910 MINTSPDKQSKRYKPIQSRYIEQVRPDESLSSNTDIDQNDQQIRQSSHKTLPKKGSIRIR NLDIDSDIIKEDISEENKSDQESDVGYTQNRNIQVAFTPNNEEEAQNPFKTPGHQYSDSL WKVNIVGNHHFDLQQNGTPKESKDHDSLKAEITIKSYKNLNSANSLYSPQKGSQMLIQSE DTVYQNFNAFQRLVLLHVFSFMREMKRLLSTSVQKINFYHLPKQAVNDSIQFNLKILYQQ IKTNEQSNLSTLTLFKLFFWRINKLVTLLVIFLAMTETYSRFVMAILTETLISAVENQNI GDAYIQACALALLSLVALMSKHFQQYLIPTNFRYLISNIATKMRMILINLIYDRVIELHS SQISELNIGKIMNLVSSDFNVIEYQLSYVYQIAVIPGSLLLTSIILWLRFDGPIGLIAIL FCGILYPLQILIQKANKSILLKTRKLQDQRIQVFSIHHIKQTNTVIEGIKYIKMYVWESV FEKKIYQLRSKEFLYYLNIHILNLIDRSFNFSVHIWGSFCFILFLYCYDIPLSISSIMGT IQLMSMIKYYCIFQVSYAFQALMNFSVIFQRVSEILKQSNNALTTIDLYNKTTFTAYDLQ HQPIQLLQERTRGLNRKGSLNLQSATLLTVFQYYGRWHKDAVPTISGINLDIKSGEIVAV IGKVGSGKSTLLSAILQDVPYYEGMINQQRKLKLAYVEQDPFIYTGSIRENIVFGKDYDY TLYLKVLEVSCLDQDILAFRQGDKTEIGEKGANLSGGQRARLSLARALYSMADLYLFDDP LSAVDSKVAGKIFDNAIKDFIFKFQPNYRPSLIRSHQAFISQTPSVILATHQISFALECD YVIILDQGKIIHQGPKSKIKKHILEQSNIQTSNKSLENPLKIRQLKIRRPSRLISKVVNQ MTKTNKEETPSLYVGEDQNQQDASSATYRRYFSYWKPCLLIVVILLQNVASEIINNYYYK EMASFRQDQYYNNDIVFKNASILVIGAYINNVIKYFLNIFGVLTSNNIIHNKMLKRLIRS PIEYFDKNPSGRLINRFSTDLSLADSQIQQTITDIFEQGAQFLVSLITIAILQPYFTFPA IFTVASTVAIFRATRIVISQLKICDLISRSPLFDQFKISVYGVTQIRINENQSWIKDKFL KLSNQSMQANLMFLYSQRCFGFYIDLFGQFANIAGIFLIIAMVDDPTIFSQALLLLSTFN TQAGTLRQFMAFDSMMNSINRMFEICDIEIEDQSKQQCDLEVQIWPRLGTIQFQNVEMQY RKNTPYVLKGMSFNIKDKEKIGIVGRTGSGKSSIIQSLFRLSEIEEEGHINIDDQDIKKI ELNKLRQEISIIPQVPFIFKGTLRENLDPLKQFDDQKILNTLAETELESFLQLLPDGLNH NMDPDLFSIGQKQLICLSRVLLNKKKILVLDEATANVDMITDCLIQQIIKDKFNDCTILT IAHRLNTIADYDRVLVLEDGKVLEQGHPYELLVQNPKISTYINSDSTFAKMVLQTGSKNS SQIYGIARKCYQRTHDIGSRSNGNSKIFEVSPSSINEFNF >CAK88911 pep:novel supercontig:GCA_000165425.1:CT868652:139615:141814:-1 gene:GSPATT00022079001 transcript:CAK88911 MLEFQGRSSDKQLTLEPDAQGLSMQYVAEEQNLQKQCLDLKLAREDSKNQKTVFGNQFGY AAKATRRISDIAEEEIKEALNFDILRIKPGKQYKGAKSKESEDSAIKILELLKLRDYYSF DGHEQSEISSKFVQYNSKNEPYIIWNRRVDVLPILENTEVLQEDGIIFTKSGENIDVIVP TMLQFISDLINLMKCVGNNSIASFCYDRLKFLEQKFQMHEIFNHQNEQLDQKNIIRRDFY NVFKVDTHIHHSAAMSAKHLLEFIQRKYEKCGDDHVDIKDGTKIRLKDIFKSINVDPIDL SLNTLDVQADKGIYKRFDRFNNKYNPMGTPKLREIFLKTDNYIKGKYLADLTKELMDQLD KQQYVGCEWRVSIYGKSMEEWHKLGKWLIKNKLYSSKVRWMVQIPRLYSVYKKSGMIHCF QDMIDNIFRPLFDITINPTIDPFLYQALFQITGFDTVDDESLYEYFAISDLKQCPKDWAG DRNPPYTYWIYYIYANLYTLNALRKQRGLNTFKFRPHCGEAGNIDHLATAYLVSDGINHG LELQKSPVLEYLFYLKQIGIAMSPVSNNKLFCRYQKSPFQKYFQIGLNVCLSTDDPLILH LTNEPLLEEYAIASQIFDLSAIDQAELARNSVRQSSFEKEIKDFWIGENYNDRIAQKNAE DRNNLPATRFMYRKVTLNEEYEHLDKLNIFDKLNI >CAK88912 pep:novel supercontig:GCA_000165425.1:CT868652:141961:142970:-1 gene:GSPATT00022080001 transcript:CAK88912 MDCNNFLNDEDILKDQNRIQPFLNAITRNKHLFKDKVILDLNAGLGLIPVILSRSGAKQV FAMKSHDHAQKIIEQNNVNNVTLHKKSIKEVELECKVDIIISAWMGNLLFYRGNIQELIA ARDKYLNKDGLILPDKGQLLLQSIEDGEYREQKLTFWDSVYGVNMKWMKRWVKHEPLLES IRQDQLNSDPVLIYEVDLMKCTLEDLSFSNSYQVQINRQDFVTGVIIWMKYSFTFTHLPI DVIMGPSKSPFWKPVILYFNEEIPASKGDKLKGSLAMKFISEDLIDLKLSVHTKQYHQIQ YFRLN >CAK88913 pep:novel supercontig:GCA_000165425.1:CT868652:142981:144007:1 gene:GSPATT00022081001 transcript:CAK88913 MSEFPCDTCEKQFKLKRTLEAHKMIHTGEKPYECAQCQQRFRQYSSLQKHQRTHSGAKPY ECEECKQAFSQISNLKRHQRKHSNEKPFKCEECGKQFITSQNYQQHNSKHQQDRKQYKCE SGCGKTYFYMCSLKKHEKEMHQKKEKPIFTTESYNQQIKNLKDFFTCNHPQVIHKNHVDV LFNGCLYYYNEENQKIEYHELVDGGKLECDPVKDHQHFQKNQQFAQIDCSQCPQEVNCCL ATNQGNIQESEYPQSYVLHYHGPNCGHPIVLHNGHVDYLVNEMLHYPHDGHCDNHGILNR ITVQ >CAK88914 pep:novel supercontig:GCA_000165425.1:CT868652:144034:146081:1 gene:GSPATT00022082001 transcript:CAK88914 MSRVLETSISTTKVFISSESLSTMMPPKMYTHQSXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXQPPQQLLDKNLGVEHRQKSEKMRLETKVQELGVEDLNSFPKTDTQPII FEEFTPREDKDKNEENIVDADITNSEYDIMSYRGIHLIVLVHGFQGNSYDMKLFKNYISL AHPEAMFLCSSINEENTEGNIQEMGEKLATEVINFISENCPENTLGRLSFIGHSLGGVII RASLPYLDKYQDKMYTYISLSSPQLGYYYNASKIVDAGMWVLKQWRKSKCLEQLQMTDNR NIEETCLQKLALAKGFAWFKNVCFFSCIQDSYAPYDSARVQLSKEALEDQKNKPYVQMVK ALLRHLENTNVYRIDVNFEIQEKNLDTLIGRTAHIQFLECQPLLRMIVSLYDQFFC >CAK88915 pep:novel supercontig:GCA_000165425.1:CT868652:146148:147114:1 gene:GSPATT00022083001 transcript:CAK88915 MQRIQALSNQFNPTIAPPAGNVTYPWVMKYPDFFPFEQVGNLKGKTVIISGGSRGIGLAI GIRAAKDGANVVILAKTVDPHPKLPGTIASACAEIEKAGGKALGIQCDIRFEKDIQAAVQ KTVETFGGIDIVVNSASAISLTDTESTDMKKYDLMHQINTRGTYLMSKTCIPYLKKSSNP HILNLSPPLSMQSKWFAPHIAYTMAKYGMSMCVLGMSEEFRNQIGVNALWPRTSIATAAV QNVLGGDSMMQASRNVEIMADSAHVILTSCHKKTTGNFFIDDEVLASVGVKDFSIYRCDP KFSDSQLAPDFFI >CAK88916 pep:novel supercontig:GCA_000165425.1:CT868652:147395:148475:-1 gene:GSPATT00022084001 transcript:CAK88916 MIGSLEQIIKQTDKFMEYSLNKGLPSCLRYLMICHENLVLQFCIQYPQIEIIQIQYQSGL QMKTKAFSQSCSIEGRLRAYQARSLNASHYYQFVEAPKSRVSQATTIKTENQEKSRRLLF HHRTLLIDKLIQRDKRQQKQRMIRDKMYEVNEHNQRRLSCHCNECGKQSYKMNKFHNLQL KSEKLTQDLWSSSYLQQKQQSLLTVSTKSLKSTTTLLSIPDTSPHKLRSKIESPNQKKFN SNFKLICTPSINLRPYLWEKSPQKRTNHLQTDSTQLFLRPISLRSSAPNLETFDYSSKNS SPRRGKSNQNIKQDIQVLTQRTFSKRMIQTCYLKTFSGIHVRNKILSSKKEIKC >CAK88917 pep:novel supercontig:GCA_000165425.1:CT868652:148493:148949:1 gene:GSPATT00022085001 transcript:CAK88917 MKKFILKQRREYDKKKQIVESGQLTQRGMAKYLKPNRLKWQKNELRLCNTIIETKLKIAD LILNTKGGQSRKDTLIERIQTQIESPRQIFSQKKIKSEHNVQQFIDRIKSQSIPFKLRSD AQSPSKINQSQKIIQNVKTICKTE >CAK88918 pep:novel supercontig:GCA_000165425.1:CT868652:149694:151836:1 gene:GSPATT00022086001 transcript:CAK88918 MNQNFFDNANQKQQLYKALSKYNGPTTSTPSILSEYLSSAKTQTSNNKNNSNRVKPLLSP QNISKPIQNDSLRIKTSTLHFPQKQPVSHHIKSLSSDIKQQPQPPIRRRGRQERMIFQSD SQQAPFLIIQNKVRDSRRMDTVRKSTLPSLQLESQRSIQITSPRITDIPLVPIQQVTEIQ DSKSQLFQEADKFYMDEVNNADDNEFVNEDKKASDLFNILQRKVSITEPNELNELKNQNE NQEEVFQTEREVNFDDDELQSSRAMKKLSVKMKFKNAVSQQVQNMFKHRELKSLFTVIIS PEFSSKHEEERQKISDQYEQGNFILAKNMQTRKKSNNIESRQSIKCIKDKYVFKHSHKIN TIVQSQLQKLNTTNKAFQRKISMIKGTQWTTKECDYPQQQQFSLLNKFKKQSVYSNQIGQ GQVIQNNYEQILPNLMDDANKIIITITNPILQNTILEFNKPKFIVHMDTIIDEQVIQPIS PPKKAIQHSSSLHSSFKLQKIQNSASQSVIINKDHISNHNVYNSILNTNTIEDNSNLDFN QEYSKSNLYVRLYYQNRLHKIPQKISYTQHNLKEIEDIFYQYVSMITGSQMQILFLGLVL FKQAVILRNKLRAQKNQIQFKNHKYFLRNMIFPSYENSSRLTLKIQLVFYLVNQIRVIHL IKFQRDQIAVIDFIKLDQDFKLIKNMKVK >CAK88919 pep:novel supercontig:GCA_000165425.1:CT868652:151870:153372:1 gene:GSPATT00022087001 transcript:CAK88919 MTLNHRSSLRMLQKIKYVNLQINLEISTGLLNTIKKVKNKFHCPLNAQVIISVLDQSTKN DLLTFQMMEQSEEQIQQFIHGDCDTNALTNLDSFYLQRKLRNMILNGIEMRYEKILIGRY QNQQRMIDPEHSDLVQSTILEAPQHLISSSQQSQQSQQSSEYQLLQKQKLISLKQQGRSR NLQRDSLTVIVKPKLNSKHTINSPDVTNVHSKPTSQYTNQLTYNVFSSFNIISYKAYQSI IIICQSLKRVKSRGKFVPIGDKSTIILEVDKIQIRHGFLTYYILDQSSQDVEKSKNQRFS QSVSRKIDPSSLANLIKGGINSFQIIIKVIQWFIALITHYGKQIQSLSMFSYSLRMRNQA LGHNRRQNLDQFKILEQLIYDNDFQEFSEHLQQVPQMYIDRRLENNDTLLILASKSGCKD MVKELIKMGADINIQNQDDGNTAVHVALSYGHYKIADILIRAGANTNILNNIGKNAWSIL >CAK88920 pep:novel supercontig:GCA_000165425.1:CT868652:153389:154204:-1 gene:GSPATT00022088001 transcript:CAK88920 MNSVPFQDILNRTNKTRCNSPYISSCSSKRQSAVYSSNSSYAESNQKSKPDEKTINQLYS LFKKQGFDNINGLLKYLDAQRDQQTRKSTSPMLRTVQDENDRKKFGIMINEAKQGLNQLE HKIINLQNKQDCRNNMTLKYKNLLTEDDENHEYLYENRQDYKKLYTQTLNEKEQLQKKVD LIVQENKQRDEKINSLNQEVELLKKQIQGLLILKEESSQSPRPSISGSDYTFTLNVCNSE VRGDKPISYKDFLHTQSQQIKRI >CAK88921 pep:novel supercontig:GCA_000165425.1:CT868652:155488:156187:1 gene:GSPATT00022089001 transcript:CAK88921 MEQLNKQIEEQADEQDIVEDLNIEGVKITKFTEEMAALFTKHQSLLGLAFEKCGLTTLEG FPKLKKLQNLEFQNNSLTGTSIKYIADNFKELINLNLSQNNIKSVDDLKPLASLTKLESL ELKDNPLTKEAGYHKKVFQLIPSLKVLDNKNEKGEEVLDDEGDDNDDDDDLDEDDSFDES EEDDEESEEPAKPVKKTKK >CAK88922 pep:novel supercontig:GCA_000165425.1:CT868652:156922:158747:-1 gene:GSPATT00022090001 transcript:CAK88922 MQQCEIECCDKGIVIDGECLCDVGAFGEECQKNLQDIFIGPYYTFQGVYCAAFVIILFIT IRQFQVSLKTSKIPAYYTCLQYALALIGSPQNYILVLTIILSLCKLIWLILDPFEVYKGK TIVIERLLSEVVYTILFYIYGCLLIVWYTMYDEISYNVYSNKEKRKYIFKYYKEVLKLRL FIVLLVQITVSTMNGIDFHIIKLGLRKGVQYPAFLMFCYLFLLFNFFIFILEFLIYGQSL QECIKKQIQNCKHQFLEQQKQNNEQIEQISQNDSFSKSPESMNKYVRMSRQVSIEDQKNE VKQIEEVKPKLAVTKIKSVSFARTFIKRVRGSSFFRQESQKEIKQIKNQDNNSDSNQVDN QYQADQQVCEINSCCLQEDQQNGIDWENNDDRVTQQDTIKQQIKSVKETKQIVEKRKQQE KSTPLFKSKQQNENKKLSQISNSSQDQKTQQIHYQSDEYQEQYNNKSANLAIDRQILFKI QLLVYFGILFEILFGGLSITVLVTDLIRDPVGQLIYLYGSSTLQFFSLITVLKLFHDIKR QEIKNLIWIQKVGNKKNKINQDFIFTIPEDQKDDEQKLKLEQRINMITLY >CAK88923 pep:novel supercontig:GCA_000165425.1:CT868652:159951:161052:1 gene:GSPATT00022091001 transcript:CAK88923 MKEYRQSWNQDEDQQLQELYKVYGDKWKKIAELLHLRQKSQGKIRTAAACRERYQNILKP TLNKNLWTREEEEKLFSLQKLYGNCWTKITIKMRNRSELICKNYFYATIRRVLRRLSKQV GILKPSQMLKSIKPSVLMSIYLEDNQETQLVFNQEVRLNLKQLITKYQFIQKEENIPLDN EETKNIRQLIRDLVDANIQYLEFKGRFQIQKKNKLNFQSNKEELQKEQENIIKRIRLQED VFVMKYPHSQNQIQKKEQTLKDSSCNENKGFKNLIANQNSQIPESYFSTQQYFNLQQTQA YFQTYMDYSKYNAQYQFGNYFDPYSYFYYQQTPYQFQLQQRI >CAK88924 pep:novel supercontig:GCA_000165425.1:CT868652:161124:166391:-1 gene:GSPATT00022092001 transcript:CAK88924 MSHLTALLRKNYILWKRNCCCSVCEIILPLFFIGLLLTIRAQVEIDDIPETSYLKAYNDS DLPRILSPNLNSFSKNPNQDTEKLLKSLPQLKNCIDNHDYSGASYRNGLIGIGPKENEVA QKLSNYFIDYYGYDVKWFQNNDEVDDYVRSDGYNKLQDTQGLCLAIIFERDDVFQKDFSY TLRFNTSDSTDYIEYPLNRKDKINSFKYEDQEITYLFYENGFLTIQNWIDNLIIQQYDSS VTIEPTLSHVRSRDHIEDKFPDFVNGAYGIYLALPLMIVFLRMTHGIIYEKEKKLREGMK IMGLSNTQFYLSWIIQYLLIYGIISILATAILKSMIFVESGWGFIFLNYYLFCLVLIVQS LFLSVFFSQALTGLIVSIVWYLLMFLMLNLVPANAIPSRSQYWGVSFSSQASLAFSFGVI TLMESQGNGFDGSNLTTTINNYSISIAWTWHVINIIAYLILAIYLDQVFPNEWGVKKHPL FFINWIWKKDRMDRVSHSSMSLERMNTHDDKFEEVEQALKEQELKNEALVIKGLHRTYPN GKQAVSNLNLQMYQGQIFALLGHNGAGKTSTISMLTGLLEITKGDVIAYGYDVKSQLEEL RKIMGVCPQHDILFDNLTVKEHLELFAQFKGIKSSEIQDQITKIIADVDLTDKTDYLSKN LSGGQKRRLSVAIAFIGNSKLVYLDEPTSGMDTSARRYIWEMLKNYKDNRIIVLTTHFMD EADFLGDRIGIMGEGKLLCSGSSVFLKNRFGVGYNLTLVKDSTQVESQKIIDSIITYINT ATVLSNVSAEVVMQLPIDSIDKFPSLFEYLDKNLKTLHVATYGISITTLEEVFLKVAKIG AGHEQVDDVQNKEKNDEINQNVDLNIEKIQGFCSTFFLHLFALMQKRFRIFRRDIRGLVC EIFVPILVVISGLAIMTVKWMKDDELAIITPQGLYDDLKLQLFWGGDQAGQNLMQYFSPN DWTISKLSSKLEQADQEYFDQFELRESPGWYFFNSFVGSTYSYWFLQNSVFVQGSPLLLN QMNQAILRKVTNTASANLEVSFYPFPQTQNEQSIDQSVAGYLSAFIFSIGFAFIPASIIS FIVKEREINIKHQQLVSGVSVLAYWASNWIIDIVKHLIPAIVSALMVLAFDIDALILDGN YGAVFLFFILYGWAIIPFSYALSFLFKVPGNSLLSSFFIHLVFGSIISIVIYVFFLIDST RDAASYLVWVFRPIPSFSFALGLLRTSLKQFFELIFENSITPPNTFAMRVAGEDLIVLAI SGVGYMIIVFILEFFEDNGSLQKLGSNEASIPYNPKILDDDVEKEQQLCQNYRPQDQAIL VRNLRKVFMLEKKQHKVAVDNISFSVGNGEVFSLLGVNGAGKTTTFKILSGELKPTSGVA YVSGYSVIDQIQDARKNIGYCPQFDALLENLTVREHLELFAKIKGISNLHLHELVEKKMV EMDLKRFESVEAGQLSGGNKRKLSVAIAMIGNPPIVFLDEPSTGMDPEARRFMWNVISRI STQRKQSSIILTTHSMEEAEALSTKVAIQVDGNLRCFGSVQHVKNKYGQGYEVEIKLQKP KVNLLDQIIEQMGLSKGIKLNQSATLSALQKINQGQLMNYIQPKESGSHMYNDLNRHGIS VETLAEYVLVEQNGKKLLEFIQQQLGQYEIIEHFQTFYRIRLLSNISAGKLFSVFEKNKQ QLTISQYSIKQASIEQIFNIFAKQDLQQKDQKQEQVQIQVES >CAK88925 pep:novel supercontig:GCA_000165425.1:CT868652:166930:167298:1 gene:GSPATT00022093001 transcript:CAK88925 MSTRDELLYMARLTEQTERFEDMVNYIKQLVSVGQELSVEERNLLSVAYKNSIGGRRTAW RVLSSIENKEEGKVQKSHILLIQKLVPYINSSLFDYFRLKPIQQVKETSPQLDLIRKKLN KN >CAK88926 pep:novel supercontig:GCA_000165425.1:CT868652:167299:167775:1 gene:GSPATT00022094001 transcript:CAK88926 MDFAMMCSTSSTPHSSGQLLIQKLKETITDISQNIQVEININRLQKEHQKLTHKASNVAN SELKTTNPIRLGLALNFSVFYYEVLNDPTKACSLAKQAFDDAIADIEQIEESQYKDATTI MQLIRDNLTLWTSELEDEEGPK >CAK88927 pep:novel supercontig:GCA_000165425.1:CT868652:168598:169224:-1 gene:GSPATT00022095001 transcript:CAK88927 MKSTEYKYTTTWLRPRSKNLYQIMLEHQQKDVFNEQILTASCLQTPAKYQKDESQFEIIN QINSFQQISNLDIERLINPLHLFESSISEIQMNESVNNLVNYQKKEISQELNKYLTNLSI VNFITKYRILDSGFAFIIRLQQNQSVQIILNQNSELKFAIRHQYHNIVCESTHSQRILKP NTLNIIKNQVTIENVGDLSIPICFYIHS >CAK88928 pep:novel supercontig:GCA_000165425.1:CT868652:169241:169823:-1 gene:GSPATT00022096001 transcript:CAK88928 MSINVLLIVRIENCFAFYRFYKQKSRFEYEMTIFLATVKVVNSFSAQNANGSIYIIICEW VFDLFLLCNALCYALSFCTIIIFVLIVIFGLIRGDFPIVNRGLSPEELQTLTRKPWIEYQ VPQQEDCPICLCQLEQMEIVVQLPKCNHYFHDICIDEWLNAKPLCPSCRNNVRMALLND >CAK88929 pep:novel supercontig:GCA_000165425.1:CT868652:170505:171444:1 gene:GSPATT00022097001 transcript:CAK88929 MSIIDQIDLSLEKASSLQQKRFEAEESKQKSLNYEINQLRSQFNESQDDQDEQMTPERSH SQNYSQSQYSPLRNDIKQLELQKQLLQSSERKLQQEIEDSDNDDNQLQIYPKYDKKHKQQ NQQLQQQQKYPQQKQNKDQSKQPNKTQKESTQKMRSKKHQLENTQVRKDLLQKTQKSVPR STQIITTTTERRNEKENGYVIQEQKTEYQRLQQHIKSVKAQVSNQLVKNEKVKQETQNDD QNQYKIRGYRDQAEIKYFGRPLPCNECVFLLNKGMSSDYCSQHGKMNKERPPNKTLPKRL AKFF >CAK88930 pep:novel supercontig:GCA_000165425.1:CT868652:172063:173367:1 gene:GSPATT00022098001 transcript:CAK88930 MINRQTLFQECYLLFPKLCYVLDKIKVRKIFEGQVEFDQQEMEILKQFETYLISKRFMPK FSPNQFRWTESQLLRMLYATKFKFEKTYAAIQAYIQWKNQAFPLKENQDTSKFLLSGSIY LHGRDNRFRPIIVVNAVKLAAQKNIDITLDSMTIFLEHVLSNYMLPGQIENWVVVMDLGG LGITSLPRQQLQRVLDYLQNNYRSRMHKCYVINCPSTITFSWNIVKGFLEEITVRKISFE KSSIPTGLFEHCHKSQVEQKYGGVSSNIENTFWPPKEISQQYFLPTDNISDILISKQQYN HLYEVGKLSKNHICKELLEDLGSASYNTNMDQNIDKKMKEEVPDPEEEQQVINNLIQNNQ GFYQTEVEEKFDAVFWEATIQKPLSFNIHKNMLKY >CAK88931 pep:novel supercontig:GCA_000165425.1:CT868652:173516:174701:1 gene:GSPATT00022099001 transcript:CAK88931 MGNDCSSACNVCKNSETVVDATSFPNDLKQYENSKNLDYTNASNQQQQQQSLQQQNFSQF QHQPLATEEVHSPHPEQFRSNSQLQQYFSQADKQETTNYHNDQNTHPERRPPFTFRSGAI YDGEWRGQVREGFGLQIWPDGARYEGQWKDNKACGQGKFWHVDGDIYEGEWKEDKANGFG VYIHVNGAKYEGQWKDDLQDGNGLETWADGSKYEGSYKEGKKHGFGKYIWNDGSSYEGNW VDNKICGRGIYCWTDGRKYDGEWLNNNMHGRGVYTWRDGRRYEGEYQYDKKHGQGVYIWA DGRKYDGQWAYGKQSGQGKYHLPDGTIRLGLWEDGKRIRWLEEDAQVAKNNHQRY >CAK88932 pep:novel supercontig:GCA_000165425.1:CT868652:175138:175803:-1 gene:GSPATT00022100001 transcript:CAK88932 MLQRSLSPIYQNDEDYLKPKIPQITQKMPQFCQYYKENIQYPSLIQTVRKKQIFDQRNVN QQNISPIRTNQIQFRVSQPKSPIIRQKIDMPLQSRSQTSFLHQMPTSLTPPKKQNSKILT SQTIIPQHQNPQLIVKTPLNEQIKSQINNYQMQSQNYQDRGQQKQMKIDQSQKDIYSCLQ EELQKSEMKLKQLTERSQQMEEKRIQLRNTFKNSKLQQKNH >CAK88933 pep:novel supercontig:GCA_000165425.1:CT868652:176092:179391:1 gene:GSPATT00022101001 transcript:CAK88933 MKQQYSKQENSKDFEEFHHIEELKELFLLNSINDGSSFQKVVKLGGDQGLAKQLKSHLLK GIDSEAQVQENREKFGNNDPIEKEPAQLYELILECFGDTMLQILLVAALVSTVIGIINEG VKTGWTEGATIFLAVFLIVSITAGNNYLKERQFQQLRRKLDDGMIQVVRGGIVEISIKEI VVGDILQFGIGDIFPVDGLMIQGSQIKVDESPMTGESDEIKKLPFNEMTQQQLNNKDHHH YSPFLISGTRCLDGNGYMLVLQVGQNTIQGQLKLLLNQDNPPTPLQQKLEGVAENIGKLG TLVAILTFIALMGHLLYDVFVDHKHELFTLLSLQLIIEAFMIGVTIIVVAVPEGLPLAVT IALAYSVGKMKDEQNLVKNLASCEIMGGANNICSDKTGTLTQNIMQVTALWIDNHNYLNQ EINITSKISKQSIEVMSESICYNSIANPTKDRNTNRWTQIGNKTECALIELADNFGFKYS NYRQNDRILRQIPFSSKRKKMVTAILNPKNQSIRIFSKGASEIILQQCFRYVSNNGAELP LDKTKKDDILHNVIENFASHSLRTIAIAYKDLEPQTHVHQINEDEIDKDLTLIAIAGIRD PIRPDVAESIKQCTRSGVTVRMVTGDNIITAQSIALECGILEKNRAQQEFEVIEGKRFRD LVGGLVNAKNEEGNEIKVVKNMQIFQKISKEMKVMARASPEDKYLLVTGLIQEGNVVAVT GDGTNDAPALKKADVGFAMGITGSDVAKDAADIILIDDNFSSIITAMKWGRNIYDCIRKF IQFQLTVNLVALFMSFTGAVILKQSPLNAIEMLWVNLIMDTFASLALATEPPSIKVLDRQ PYRRTDQIVSPTMYRTIVGASLYQILVLTFILFLLPKFIDCSIPEELIEQKYPKNVVQMS IFFQAFVLMQVFNSISCRQLDYHTRNPFANFCNNPLFWVVQTITVIVQVLLIQYGGKYVK VSHLTLFQHLLCVGFGIGGIVFSILFKFIPEQLCQKIHLFREEEIKTEKMDDTLTSKLRR KSTMRLHTSQRSKHDIGSLKKMSSDKL >CAK88934 pep:novel supercontig:GCA_000165425.1:CT868652:179673:181012:1 gene:GSPATT00022102001 transcript:CAK88934 MEKEAVETTFKYFCYKYTYINAIVFLLTLCQYQGAPLALPLFCMILNEIVIWIKFSNGQI VDKLDHILHILFYMYVTLMYYLNLKSYIFSNIFIVLSFILKVACDKQYNEQHDYSTIIRL VFLFNIQSIIFYRFSLLIAILCITLKVKGSVDWTWSQTFWWYWMFLSGLIGTTMTFLLIL INKLIKIKCNFRVNQTKNEVKLLIWLLYIGTLSSVIAGIWIVNTLNELGIGFNIQIGDVS IYIIIPLNILIFCTISYLLFDSVVEQVLTINQIQTRHSNTKSNSQEKEKQNKKVLIKQSI FMQKLSNAYFRKIKTLEILQLKEAGQNQILTERNMNKNIIKKNKPAHHNSNNKCIICCEQ ASNAVFMNCGHGGICYQCAVQVAQNQKECFLCRQIILQIYEIDEKDASIFKRVISKTRIS N >CAK88935 pep:novel supercontig:GCA_000165425.1:CT868652:181043:182692:1 gene:GSPATT00022103001 transcript:CAK88935 MKSQFEVFLRLKPSNNQSQNAMQYQIYQNKRLQVLLPKHVKFGMINNSRDNLDFNFTHVF DQKSSQEHVFTNVTTPVINSFLDGYNATIFAYGQTGSGKTYTMSGAETWQLRGVIPRALS YIFDEIDKRTKFEYKIYISFMEIYNENAYDLLEKRHLETPLEQWNKIALFEDDQNNIHLK NLSIHQCNNEQEGIDLLMMGNFIRQVSSTPMNQSSSRSHCIFTVTLEGCDTTSETCFVSK LHLVDLAGSERISKSQVEGNLLNEAKYINLSLTYLEQVIIALNERMKGANRQHIPYRNSL MTTLLKDSLGGNCKTVMIATISSENDNIEESLSTLRFSQRVGQLENEIRRNEKVDLEAVV KRLEQEKLALIRELEQYQRGGTSTSSNKKIQQQQQNLLPQSSGMPISQKEVQEKVELYLN ERIPLLDVKSVEETQKCFEAMKDLYNTRMKEYVTELTFISEKLQKYDEILTRKYENIQSI RKEQSSFLEQKENSSPEIEVYRRNESPQVQFKKRPNQ >CAK88936 pep:novel supercontig:GCA_000165425.1:CT868652:182750:184621:-1 gene:GSPATT00022104001 transcript:CAK88936 MDFEFPQLLNPTDNQPYVLVSEPKEVLNSKLLTARHVTQKLHETGEKTELVVITDFLQDL TKKSPLAYVPDAESQELVQNYKKKKQAYETQVQEQVGSFKQLNQKLKQGYEDACNNIVSK LVGSAQGEGDNVQRAFDSLQTVLKSDPEQEATIPKPKEIVDKISELRAAPELPEYLFATT KKVRAWRNAPNELCVWNKTKEQFYRDNLEQSLNQAVQDKTELALKFWNSQIKKLGEQLLN IIQEDSSIQQQNFVSLNIETAEAFALLLNTMITPETRIILHIGDFTLEQSKSIAESISRL TGILNLQINWRVSGKAQGLSHIAQAISQAEQLKVLTFEFSSQVQANSAKDFFNEFKNVKL PNLEEVNVLAEQSNVNAAVQHISKAVKSIGGSQVTRVLFNFSQSQVDNKSAQQLGDALST YKGVKKLTLNFSGYSLQSNAIKDDGFAGLIQNVTNFAETLVELTINVGRNQLTDNSLANL NKQFSASKWVSLKSVNISVHYNKITEQGARKLGKFLHNLPILVSLSVNLNSTEVNQKGLS FIINQLGPQVNAQIQAKQSNVSQEEAAELNGKGASLSI >CAK88937 pep:novel supercontig:GCA_000165425.1:CT868652:185499:186881:1 gene:GSPATT00022105001 transcript:CAK88937 MFQKEQRNVTNSGYQSTSNAYVMAMKALQEKIKVMETQRNDLQPSNKMKIKDDEILIQQI QELRNQNESLSIQLQRQQNDKENINNYILQIEALQQERLIQLKEYQDRIQELIQKVEDGK KQKCEMQNQIDQLQKQLEQYKINERGYMHKLDQQKLDENQKQIEQILDLKHKVEQGQQYA KKLEKRYERLQNEKNQLESNYIDYKERCPAFKLQEYEKQIQMYRSQLEEKERIFLKMMEE IQDQCQTKEDQLTRRIQELLKKSNEQQSTINKLTTELKDLNLKHQQLQLRLEYEEKNNKF NRQRMKNASSFEDQDVDIPNNIPSQYYINYQIKKGAKLNGEQFQNQFSSPLFEQSLVKSN NVLKQAIKDCLEDMKQTSLLRPESHLYGDQSLQQQFSKNKRISPPISSRNNEIDNQLKQL NNRYEQLLRQAQRESDFKLKAQIRKELLDIAEQIKELNRK >CAK88938 pep:novel supercontig:GCA_000165425.1:CT868652:186915:188945:-1 gene:GSPATT00022106001 transcript:CAK88938 MDFHHKNNERNNKYGNEKSNYDRNEKHNERNNDRNNDRNNERNNERNNERNNDRNDRYDN KNDRKRKDRHQKRKNRKYSSSSASRPSSSVSVSVSQSESSSSSEKIPRYRPKNHDNMVLL LENLPEDLTKQQLDSAFNEAAMEANVIPHEEISIINAFGQAYIKYCTVDYARKVLIYLKG KIQIGEHTLNVDFYDDTSGRQRRNRHELKNSLINQPPTSYDWICDKCGYENFAKRHKCNK CLNPRNINCKILSVMMAPPTGFTDDSICNTSLMIKAKSIADATDSDILDIFAPLAAVKDI RLVKNKVPKDPRMKEQKDFAFVEFFSVEDAENVYRYVTQNEVRLHGDQLIIQYSRNNRAS RYEDHSKPFGFYPNNQFVMQPQGPLQPQIPSSISSQVNTQQQPQQPQDIIQQQQSTQMQI PLPIQMPISMQIPIPSPMPMHQIPQIPPIQHNQSPQTQPIPQLPIQMPEVSIVQPIVQAP YQAAQLAQERIILKQEQKLTLSTPPMNLMTQLPPKIHAPKPQQQQQPSQQQSQQKKQQKV EEVDEEVKSDKEDEQPSPLPPKVINKAQPQLTEAQIRKKAELELKKWEKLQQTKKPEVKV TPSKPIINQVEAQTRLILYICPICRKKFPNEEIMNYHSLNSEMHKQKLLSM >CAK88939 pep:novel supercontig:GCA_000165425.1:CT868652:189276:190568:1 gene:GSPATT00022107001 transcript:CAK88939 MKKEILIVMTLFMCINGIQQSNGILDLQNLKAHQLFTKNVQFDSEFDEVPKVVVSIKQII GEQYELYTRTSNINLKGFDLQIISSSNIDNIKINYLAINDDSLSIVCENYQTKKDQIIVP LNLEHSKVAAFVTGLKLQKNENVNFKLSSITNSQVVFETKQKAMGLCLVIGDEDKIAVTK QQITTTLKDNKLEFNVENIEDSIKLPLENQILSFDDIVNKLSVETKEEEQQSQLSTEEEQ YLDIDSIQIETLGINIIQQNNEEDVIQSVDIEYLEPLKLVPDDKLLKIEEKHIETVEDKI SEIVEEQMQLEQIEEKKFLAQMSQVNVESVQNIEDPIQQEIAIQEIYQVVPQNVDELDMD ALYKEFTLGQELNDDLIAYNNQLQQQQQQQQQQQQQQQQQQQQ >CAK88940 pep:novel supercontig:GCA_000165425.1:CT868652:190796:191659:1 gene:GSPATT00022108001 transcript:CAK88940 MISIQNQVKKRMKKYKRHFLLNIQIDIYNAFANEETEKLNQKENEDHPFVEVETETQPEE MPDELDNQLSGLREGLEGIDFSLFFDEYAKPNELVVNPEPEQQLDQELIQNKKPQQKYEE PKEFKQPKEKIEDVMKDLQITNDITSSEDEKILISQLKQKSAELKQKLQDQYEIVPKLEQ AKKQTEVSIHPIDEQYPKIESDSKIDYEVESKYMEWSMSQDQKIKKIGLDLTEDDLRNPR FSLLQMDQKSELDIQKQINDFLGLDDISFVMIKQNLRRR >CAK88941 pep:novel supercontig:GCA_000165425.1:CT868652:191826:192232:-1 gene:GSPATT00022109001 transcript:CAK88941 MTQQFYINTSIIAKNFYQKINDQIVKCASYTRFWGWIKNRSNRLGDGDKIIQSVQLLLQE VIDCKQEIQNLIQAQPTKSLKYQSMEKELLQTKQKYYAVERSPKFWNSSNDTPKAKFFNY SNSSGK >CAK88942 pep:novel supercontig:GCA_000165425.1:CT868652:192406:197962:-1 gene:GSPATT00022110001 transcript:CAK88942 MFDIILHLFQLKWQKENNKSEITYKFQQEQSTLKRHVTRPMFDEGKTCIRIDPNLQNTIV IEGQNQQEQKFFSFDNVAGPDTTQEDIFSMIGEQQASNCLEGYNGCVFVYGQTGSGKTYT MTGTSLQPGLLPRIIDYLFRCVFDDQEKDPSVEYLIKCSHLEIYNEHIIDLLNPDLGNLQ LREDLNKGVYVEFLTEECCSNVVEAMEVVQRGNENRHISSTQMNFESSRSHSVFTIQLES RRQSHQLINHRFSRFHFVDLAGSERQKHTQVQGERLREGCQINRSLHILGNVINSLVEDK EQNRYVHYRDSKLTFLLKDSLGGNSRTHLIANIQQSNLFYQETLSTLLFSKRVKQVKNKA RVNEDESGSLESLKNEIKRLKQELAKWIVGLQTTSKSAESPTKQNLAMHNINFQDINAND QRYIKLEEILKVYLEQSTESETALHLEIEKYLSGIKELREAFQLSSQLEQQLKLIIRLQN EQIVRLKHANGAEDLSNDYQEELSKALLSQAAVMKKFSDSLRIKEGSAKNVEKAKLQITE NVQLLNTIVSTVNGSLDERKKLQNQIQVQLSQIYVPVEEFTQLKSEQEVLNERLAKQVEK EEKIKQSLDKIGITIDVEDEIKVIDHKQRDLTLDQQNEQLELKSRAVEQLNQQLIQKGQE IQQIIEQNEKCIQEAHQLNEQIQDSKQEVQQLNQQLQSQQIQLEQAKQQSDSLSNTVNQY QAENERLKQQIILLQNEKETQQIQSNQNLEELNNSLSEQKQQNEALLIQLQKSMQEQNNL INQIHSSLTENSELKEQTLLLTREKQDIELENKKQIDDLLNQIQSLIKQQEQQEQQYQKE IQSFTNQSKIEQINVQKDYESQLQTAVNQHQVEIQQLKNENKRQLDQFVNQQEIEIQTQI NQLQNQISQLNTQLSQTKLLLENRNKEYELLKENQDKLEYEIDQQRNEYEYQLEDKQASF QELEEQQKRALEQKEKQLEQQKNDYERRIQQKEAEIQELKLHYEKILEENRGIIKQLEQE LLIKKEELNQAVQELIMKEEEYQEQLSQVNEKQKESEDNCFELRSKAIPEKDQTIDQLKA DVEQKDYELKIQNEDFMNQQNLLFDIIKQKDNEIIKLQEDLDDHSNRLEEASKVIDKYSN CNSELKGAIDQLNHQLEKQKQIINDLQIKENTSAQILDEKVKSLNEILQSKQSEVDNLQM RLESEDTVIKQQNQQNTKQIQTTEKKIKDLEQENQNYLEELDEKEQCISQLEQKLQTSQK EKEIATNQLTNQIKDLQQQLLSSNQGVEEQKIWVIHYKKEVDKLNKEASLSQQISQQYQS QKNENDQIKLENQKLNKLLDNYQQQISLIKKEIDQAKIEKTKLIDQISQQENKILELEEI KLQKQILQGKVNELQKCQSEALQKYQQSQAQLHTFQDDLQHSKKEIQEIKQKNKVLAQQQ QNEMSKFNEEMHAIQEELEQSRKIQMEIKKSEQEQREQNLQLKQNYEKLVLENQQLNNQL DEMQQDINQDKEEILKKDETIYKLSDQVKYKTQQLEAQNSLINQVEQNKLNQTNQILLQS NQLTNLSKELFSLKQQLQINDTQSESYKREVERLRRELEFQIKQVEDYKQQAKKQQQQLD FEKKHSIKQKVYEASELLSKIDNTLSPIKGVKGQTLYGSSDSKTQKRIFQQKTPQKEYGF QNSNSASMLNASFNSQDQLAKENRKLKQSLEQKMKKIIEQLEQALLQSEQTLKEVHEKAN QFCSQQEDEIKQIKDQYSRLEQEHNTILAEREYENQQDKQTKKNKGFVQKFKFYKMKY >CAK88943 pep:novel supercontig:GCA_000165425.1:CT868652:199269:202012:1 gene:GSPATT00022111001 transcript:CAK88943 MQQITNQKFESLREQLTSSYKQSQILSTQRHQCQLYQSSIIRSEDSCLEQSKLEQEQEQD LEQGTLPTSIRSMKKKKQQARVMASQYNQKEILQSTSKKSIIPKIIIIQRNYRRHLNMKS FHKKLKQLRSRKFVVDEFHQIEKNYNEDLNIIVGRLIPDIKRNEILTQDELLSLFLNVEQ IQQLNCKFYVTIQQILQKYKHFLIISQQFKIYIPFFKIYYDYCSRFQLENINKLRNSKAE FNSYIQDIEASGILKGLTFESFLVKPVQQLPRYVLMIEKLVKYTELNHPDYTGLKQIQEQ FCKINEQINQMMNTTQSNLKLLAQFGTIDQQEIEMIQKKQQEEEQFLKNLQQQVSIQIVS TFKQKDGINTFIVYIIQIQINTYRIRTYQRYSDLIELQTALLDRNFRTEQFQRNCINLIS EDKLIQARQDSIQDFLKSIFFNKQMKKYEDILIQHLDLPENFFAIDKDHNETLVTMRGSY TTQMRNTLFQEDEFEIVVFLPDDKSITLQITKHCKTIDLIDTVCRSIFLDRNFDFKLMIL QNNTCRYLDDNEVVYKVMKNYNHKKRNFLSKLLNGIYYSFSSQQQPKFYLKKYLYLSYEI EFQEFNKNKRRSLLLFYQELSQLKFNHSNLSQKDYVIQIALAICILYNNQIHKIRTYEKV RMHWFQNFVPLLILNSVKKVDWKKQIFQQIKRILIELQDQQNQQESKNEIRILEIQFLNN LYKQKLHGMKMFTVKMMQNSFLKKQKRMPINITLGILHNEILIFELNQRIPLMQFPIQQL QQISIQNSVMVTTFLDGYITFKHQSIMEVYRLMEEYQQISLLKDKLGYPDTVETNDVSQI I >CAK88944 pep:novel supercontig:GCA_000165425.1:CT868652:202027:203571:-1 gene:GSPATT00022112001 transcript:CAK88944 MFILLFFYICNAFHHQGTIQINQTLTLTTNFTEMVPSIIIKFLLDQDRNRESAVACFVSN LDLESQTFDIQNLKLNKESQIVYDLHSIQSNSNAQLIEYFYYHTIYLLCQSESGGQIDYQ LTYNQDPYKAGCINDCQGYNDTKSISQSYCQIDHCECQINQVGFFCQLPSKYILSSNQEN VALDSFSWIFYYYQYKNLDLQLLSDTTIDDKTVLYSLAFRDIPQKTIPDLSMSKVLNNST SLLEELSNLQPSQYGSNILYIGLYNNKSEKAQFKITIISNDSADYGQLERNKIIIIVVGT VVGALLLVTCVLSFLKTKKLQAEQQALRLRQIRINQNNQVQLEVIRQKKQPNGFSEKFIK DYFGVIAYKKLVQLYPGLTQFEECVICLESIKHGQKKQQRNCSVTPCFHIFHQKCLTSWL EKQKNCPFCRAEFSTILIQNKYPWLDLKQQRINNSTQDDSKYIKNMKLNSNTNQSQENQL NESQQELVKKDKIILDL >CAK88945 pep:novel supercontig:GCA_000165425.1:CT868652:204309:204473:-1 gene:GSPATT00022113001 transcript:CAK88945 MQFPFICQVSGYFEIEVTKNGKKQVVHSKKKGEGIFNEKTCQPILNKLKNYVEA >CAK88946 pep:novel supercontig:GCA_000165425.1:CT868652:205343:206149:1 gene:GSPATT00022115001 transcript:CAK88946 MQDNQDFDPDIPAPPILDSPKSQEYQKLQQKYQAIKQIVMSSENSKNPSEVLQKLQAFFN GRLGKVESQSEQTQPEMIKSANVSRRFIFQKPGTANNLNYTQDLEKQNSILKQRILKVSQ ARENDTNQIQLEYNKMKVRVENLESENKKLKTDFQKCYTQLKEMKQEKEEEEKMKWHREA VSDKNPYLMEEFRKKLDEKDKEIKRLSKNLKKFTILEKKLWVKEKAFEVERSEYLDRILY LSGRLGLTKLE >CAK88947 pep:novel supercontig:GCA_000165425.1:CT868652:206355:207416:-1 gene:GSPATT00022116001 transcript:CAK88947 MKCQYHEGQVKTHICLKGLCKHAVLCPICIAKDHQNHMTIELLQFKRSVANLILQHDNPE PLDTLNELTLQIAKFDQILQESLKLLYQKRKETIEFDHFKQVEGILQVEHPTNSQQMALK KVGQHMLFYKDQSNQWTFQLVADNQEYKIWDKLIQDLRDQVKQQTNQLSKILDSLQQISK LIDSEAKQKKIIINSNNSDPQNDGFNNISKKIKAEGRELDTQQQQDEFFKAEQQQSIRLL RMLENNSDSEDSEPKIIHQVQQQIPIPQSQTTPQLQQQPFLFAQPDRKYVSVTEQFKDSD IAKSGQVREKRKYVKKADKLAMMGQKRIYRRKTYDRFKFNTNQCIAVFKEMLD >CAK88948 pep:novel supercontig:GCA_000165425.1:CT868652:207462:208785:1 gene:GSPATT00022117001 transcript:CAK88948 MQIGQVILTTLYFIQGLIVGLPGGAIQVLFAHEKDIGIFTLCTIPWAFKFVWSPIQDYYF IEKFGKRKTYIVPFLSLMGVLLILVANAEHTLLNLFYYYIALMVCLSTSDTAIDGWSVTL LSNVAQQSVCQTFGQQVGWFISQSIFILLNQKNIINFGQYQQTLGIICIVMSIIVIFVKE TNPDEVIHYQSLIHQIKQVKGLYYNKNLRFLCLFIFLQRNGIAFMDVAAPIVMIRAGMEK AFISQAQILIFCCTFWIPLVIGYFISGKKKENALVISALTYQTINMTFFLLDYYEYRKHP LTEGTINQFQYLFCFQLLVHEIFKKIFTVCAFSFVAEIVEPQLAGMQISIISSLHNVSRV ILDPLILQSTAYFNIYALVLICVLYQIIVLRKYGDEFKIKQFIAKENWTLHTQDTLDGYQ ELQEIKGLNNQP >CAK88949 pep:novel supercontig:GCA_000165425.1:CT868652:208819:209155:1 gene:GSPATT00022118001 transcript:CAK88949 MLRKLFFSFSQDIEKVMADKLTQVLKATKVEVIDTSGGCGSMYRLEIESPEFQGKSKVKQ HQMVVEALKAELAGAHGYSIKTNIPK >CAK88950 pep:novel supercontig:GCA_000165425.1:CT868652:209495:210540:1 gene:GSPATT00022119001 transcript:CAK88950 MKKYTKYLVEIPTHEYQEKKVYYVIKVTNLETNDFKEVKKRYSELESIHYKILEWINIFK IRIPQLQFPKKKIFFLSTNLSEESVNKRRAELQKYFNDVFSHPELQSLGLIEDFIPVTKN RKPLDQSQISQIPNNQWSEIEALKKSYLAKHENQVFSLPIVKPQQQIKQQYTFKFGEHGF FDNSAIYTIEITDHYANKSWKFNQRYQDLKENHRQLRKIQNSFELPEFPHKKVISSMDNT DLKDRKTQLEVYLNSIFKHHDLVSSNLMIFFIAKSQLDGNEIGCRSKGPSQTTLENSSII SQSNILDQNDDFDPKQPRKITC >CAK88951 pep:novel supercontig:GCA_000165425.1:CT868652:210601:212570:1 gene:GSPATT00022120001 transcript:CAK88951 MSYNSRTIDLILKAQEPNQKQFTTFQQFFKSNNPPPLLFEKNITPKNVKAQRSFSNKTQD SIKETKRKSLSQLKYPEYRMLKIYARIKPGECQLNYDKQSILIETNPYNFDRVFEQSATQ GDIFDIVAKQTVDDFLNNINGCLIAYGQTGSGKTFTMFGESSNPGIVLRTFTHLFSRNQE TIYVSILEIYKDHVYDLVSGVQDLKLKEDLQLGFYVDGLKKIKVDKLESCQELLQIAEEN RHVAETKLNALSSRSHLILTIQMGKTKLHLVDLAGSEKVNKTGAIGETLQEAKKINYSLS CLGHVIQCLSQGQDHIPYRDSKLTKLLMDSLQADCRTSIIVTLSPESKNQDETVSSLKFA QRARFIKKEIRIATQKKTYRDLELEITQLKQELLETKQRMSQTSSVSQFQCRYTTDSEIP CTESLRLTYRSMTNENKENYLPNLKTQENNTQLELENKINQILVELQGLNKEQSLDNVKR QVAKINELTIDMKKTYKVLVSTQGLNNNQLQIQSQFIETQQEQNKQLQKIAEQISSMDSS KPSFNIAINNMIKNLQQQQKQNIEDMQSKLEKVYYDIKKNTTVQDYNLNHLALKLVASPN RQQQQQQQQQIKRSQSKTNINPSVKYLKNKK >CAK88952 pep:novel supercontig:GCA_000165425.1:CT868652:212578:213762:-1 gene:GSPATT00022121001 transcript:CAK88952 MFLNPQGLLEDSNQPELLKEQEKRNGHSSYKESIFANTNFEKNMSYFHIMGHDEPHAIRR KQILQKHPEIKILFGPDLMSAWLGVGIVLAQFWCINFFATTNWLWYLVSSYCIGAVLSHA LHALMHDFTHYLCFESMQYNKLMAIFLNFGQGVPSAITFGRYHSDHHTFMNLPDLDPDLP SQWEIDHVKGPLLKFLFMLFLPLFYSLRPMFIRPLVPNKYEILNLVSIIISNSLIYIYMG PSALGWLILSTYFGLSIHPLAAHLITEHYEFINRLETYNYLGIANFLILNLGYHTEHHDF PNIPWSRLPLVKKIAPEFYDQLPYHTNYTLAILAYIFDGYMGPFSRIVRKELKLNGKTK >CAK88953 pep:novel supercontig:GCA_000165425.1:CT868652:214377:215162:-1 gene:GSPATT00022122001 transcript:CAK88953 MNMRESLAKQKGKEYLKEFRELHQKALELEKEEREKQLKLLQEQKKKKKLELPQITSTPK IVKNQTLGTLERHDYLQEVLQQAKKEREERREKERLEKEEKIKEEERKKEEDRRKREEGL ESTPRKVVNLDNLDDEQKEELRRKKLALHNQKVDKIKKKFIDDKTNGESSQQESYLKRKA DQVNKLDKQTKSMESIVEASWTTKPLKAVEKASEVDALYIKSIQQKLSLLSSAQKA >CAK88954 pep:novel supercontig:GCA_000165425.1:CT868652:215208:217252:-1 gene:GSPATT00022123001 transcript:CAK88954 MKQNPAAPPTKQQPQQQPPAKDPPKSTGKPNDQKQQQPQQQQPIQQPKTAQASPPKKETV KASTNDIVIFLFYGMQDHIQKMKQTMMDFQNKYFETLKNKQDSISQKLSLRNAERVEDKI LNAIRKGVLQLENSKYNYVEGHMMDKKVLIVEKEKDQLQTKLQNVDTELKTLKSQKINNA YSAYSQPTLKLYMQEENLNKQQQAQETVQKIQNEAKTRREKTNKILSEKEKREKEEEERK KQEDAFKTQQLKEQLHTKLRENIEDLKAKQKQRLEKMDKMEDEYFKSLNDKLSKMNKERA LIASVDIPKVKLKPYKNEGQKKDKKKKIRPKSASPNSKILHPPKKIEIEQPLYMRVLDKY NKKIQKQQQIVNQERKMRMEKNEFYNQEYEDHMKEYLKKLKMKRREYEEKRMKALEKLGL EAGKYSQNVNASFDSKLWLLQESRVNKSLDDISVIPKETLINQAKEKKSKLKKPLKSKKI KKKRKNYLFKGIKQSIPKDILEWSKKMTKNKKRNLSLKSTKEKKKPDNKEKKNLKKKKRR DFQNYKKRLKRTLNNQSY >CAK88955 pep:novel supercontig:GCA_000165425.1:CT868652:217312:218639:-1 gene:GSPATT00022124001 transcript:CAK88955 MKDFNFSIFSGYLSITDSNQSFHYVFVQSQLNNKDNSVPLVLWLNGGPGCSSMIGFLQEI GPFVFLNDDDESLSYNEQSWNRVAHLLFLESPSGVGFSHNPLNITFNDSQTADHNLKVLQ EFYSNYPEYQKNPLWLAGESYAGAYIPLLAQKIKKFNDLEVAVINLQGMMIGNGITNLTH LPISQLVYQKQHQLLPPNFDISACEKNVTSEDCKDVNSNAWRITKRINPYDIYGYCYYEE KEVEDEQEWLSEMKQFMLIHDDNIIQVTNHELGVPCVQIDNIQNYLNDIQIKTYLHVDES IQWFMCSRYHNKQFKYVSDPPLVMKVLQEVINYDLYTILLYNGDADSVVPWLDTLQTLQT LNLSITEEWRPYYVKNNQLGGYTQGYSNKLRFVTVRGAGHMVPQNERIGAFYLLNQTLFG QAF >CAK88956 pep:novel supercontig:GCA_000165425.1:CT868652:219395:220116:-1 gene:GSPATT00022125001 transcript:CAK88956 MYNNIGLMTPRGSGTSGYVQKNLAHIKPTRKQDEFLKEIKAMKENVIQARKKANPEIILH EMKRDIELKKITLQEELEARGIAEEEIKQRIQRLEDKLKDMLNKGEYQLDHVADTHIKTQ RKEEQEKKIGDAFGIDKDQFKPGTAFDFDAEEKTRLERKVEREMRKAERLIQLKEKKKAE KKKLKELAQQQQQIKEAQEKDVKKEESRSRSRRKEKKSKKHKK >CAK88957 pep:novel supercontig:GCA_000165425.1:CT868652:220678:221732:1 gene:GSPATT00022126001 transcript:CAK88957 MNQNQEENSSDDVIQFEDNEIIDFNNIESNHSPKDCQPQKQELKNADESINCSQLMLIQQ QPPQKQQQMQQIQKSPQTTIKNKKNDCPELQKFKDNNYTILQNLQIDFSLEQFLTSPAPK GGMIQCRFYRASPKFTLFLEQYNNILLNAEKKSFQIKSSYKIFAKGKKEEYLGKIKGDFK GLKYLIYDNGKTAKKSKDINQLRKELGVVYYQSYEKKLRSIQSFIPQITPNGQMYEFKCQ KPYTSIVNSLDNVIELVNRKPQWIPSYKAYGLNFYGRIFTSSVKNFILTERGSEIPVLLF GKNDDREFVLDFSYPLTPVQAFCIALSSTDHKIGCK >CAK88958 pep:novel supercontig:GCA_000165425.1:CT868652:222223:222655:1 gene:GSPATT00022127001 transcript:CAK88958 MQNKACDWICIECRNLNYSFRKICNRCQQCSRDAPGIQFIPNKIDSGLQILETIKLQQID LGGSNHSSADSNDDDEDNGIFSQALFLQDLSKNSDSFKKSFSFLKKCQVCCNQNYFYLQK CSNCGNSSFN >CAK88959 pep:novel supercontig:GCA_000165425.1:CT868652:223475:224975:1 gene:GSPATT00022128001 transcript:CAK88959 MNQVCSYKYCTNKTVLELEYICSVCQIMKYCSQKCRDTDWTLSHKNNCRPCQIKSKTELN DSASTLKSIRRSAEDFEIIIKDNKMELGKGSYGCVKLVKDRQNGQMYAMKVMNKKQIFEY CSVENLKREIKIQRKLQHPHITKLFHYFEDKENVFLILELAENGSLFSYIRKRRRLPENE AFVYYFQTCLGIDYLHKKNIIHRDLKPENLLLDKSGNIKVCDFGWSAETTQNGVRRTFCG TLDYMAPEMLTNQPYSFSLDIWCLGILLYELIHGYAPFKGRTENEKCNNIVKMAPIEYDP TLSIEAKQLIQGILKFNPAERLSMNQIFDHVWMKKFYKSYGIDLRSYMYKEDKQNDLSSR SISPQNEDLMSRSFNKNTKQISSNGDIKNLSKSSNYVIPQNVNNNCKSTACSNYNQDDDF KTRVSRVSQRQQMAQGLREIQAPQQRQEEMGFMDKVFSAFGCLSRDKQQSQSHNY >CAK88960 pep:novel supercontig:GCA_000165425.1:CT868652:225014:225535:-1 gene:GSPATT00022129001 transcript:CAK88960 MIVITIINVNGILQTIHYIADRRPFKRSFRFKKSGKFDSFRTGKRRFRKLSENKGENLEN KLDKQLEQYKSGQQVVGNSASSKLDNALDSYFSRKGSNTKENNLDRELESYWKKNN >CAK88961 pep:novel supercontig:GCA_000165425.1:CT868652:225535:226733:-1 gene:GSPATT00022130001 transcript:CAK88961 MNLKEQESINDYFKDDSKFIGEFQLPDYKVMRGYIGGYGDELRLYYTKFDPPHKKASLCI VHGFGEHQGRFLHIADIFAKLNFAVHLIDLRGFGYSGGPRGSQTLKELHMDIEVLLRQVS KDLPLFLYGHAMGGLLIISFLMRNPQLKISGIITTAPMLGFPMDRKLKGIKYIAVKYFGH YMEDLVINTKLNITGMSKDDLHIQRCFEDKLMMPLLGIGMAKSILETLNFMESKVQTFKY PIIILHGKQDAVSSYHESVRFYEKCGSRDKSIKLFENGYHELQHDFEFVEMKQIMIDWCQ IRMQKAEPFGILVQSRLNYGIPMGRNNNFKILVGLLFFLVYVLVILKFRGRFQKFQYPII PLIIIYRYYNKKL >CAK88962 pep:novel supercontig:GCA_000165425.1:CT868652:226758:228504:1 gene:GSPATT00022131001 transcript:CAK88962 MKLADRKKFSVHLDMSALKQIIQTAHPLSTKRKIDTTPTSPNTPGIASEKKFKPVQPLHT NINLNNNNNTIQNIILNFKNKAIKEKENAPISTMSKFPLLVDQFIRLFNLSKSEVLEVQQ LKQVYYYKQSKIQQDGQNGEYLFYAKDHIKYQYEIINLIGQGSFGQVFQVLDHKTQKTFA LKIIRNQEKLKKQALVEANLLMMIRERDPLNKSNIVRIEEQFNFRGHQCIVFERLECNLF ELLKQQKFRGLDYETLRKFSYQILIALNYLQKLNIVHCDLKPENVMVQDMKSKIVKLVDF GSGCIDGNQVYTYIQSRYYRAPEVIFGLKYGMEIDMWSFACLVSEIHTGQPIFPGDNEIE QFNLIMEVIGAPSTEFALKCPRKKHFFDENGLPKKTIKTYRKPQSVQLQEILKTTDDDFV DFIQRCFTWDAESRLKPQDALNHPWILSVNPRENSSKLNNKFFKDNDSSSAFKKSKTQSN TILQEFEKKLSKDNIFLKLQQTRTLIANNTFENKPVLSSRYVNDKPTSSDRIRSNIAHSI HQILSCRQHNASNTQFIRKPSLG >CAK88963 pep:novel supercontig:GCA_000165425.1:CT868652:228561:229577:-1 gene:GSPATT00022132001 transcript:CAK88963 MHTMTQLCINFNYIELSGFSFFSPRNIRDNQNLILKTSTTPHSKQRKIVFPKKKKQKSKS LPPSCRIPQMEDDQQFTTLTLIERLKKRYFQPLKNAVAKITEIRQQQQSRRKSTYGLMFG QLTSKEMLNLPTVIEQHKQVNKQELAIASFAIMLERKQRKKTTRKLSKKLSKQESLIGII QLLLFKEYDNMERPPSCKTPPKLILTSKPKVKSKLQLEKERYFKGLDLKMCHFLSSKLQQ NVLPQEKSRVKTHFQTKSCVSLQNLQICSFISSPKLKPAVKLTTEINLVLQKQKSKSTLK TERPYIKHNTQLLKKIQQTFETRNTTWSRQNSLEQKQY >CAK88964 pep:novel supercontig:GCA_000165425.1:CT868652:230998:233726:1 gene:GSPATT00022133001 transcript:CAK88964 MIDDLEQTLYNDLKQAGSSRISDSFRPQLIHLDMQDIPFKENSESDSIPQVNSIQNLRFK DISISKSKNKDMYSLKSFQYQNNFLDKNDKQGHQRNSGITKMLAINNLAKQFIEKLKKKA YIFPSVLGEQIRENLREVMLKKKNWKKIKQMQTSEESVKNQIFRKLPVFNPASKVMLIWE FFRALQLTILLWWLPFKIAFTPSQSRNIDAFESALTYIFAADLVIKFNRGIFDQGKLIKN RISILKHYVSNEMHEDIIYFITLIFVISDIGIRASGFQEVIVLVQFGLNFIKLKKYLTKY EETFVESSILTEIVKLIQLIIITFYFAHFMACIWYYVGVKSSELNEISWTQDPKFDDAGI LSMYVYSFYWATTTMVTVGYGDISGKNIYEVLCAIVLMIFSSGIFAFSMNQIGSIFTNMD VQKQQYKRTLLLINEYMNNNQVAEQLQGRIRNYLKYHYHKQEKLYKNEISGIIEKLPTTL KSELIQDVQFRVMQCIPFFNKNFSEEILPQIACELNLQSYTPREIIYQQKQIDECNIFIV WKGEVNLIDDNSGKVLKKFTTGQCFGELEFLTNQKRLGTAISCDFSQIYYISRNQFLKIL NSYNNDFQKFHLLKDQILFHYHSSTIECYCCQESHSIWKCPYIHYKPDLERVIKKSFFQD SFQERSQFKRKAIRQGVYYQESLMQSSKHIKTSNDLDNATGGDIQQIGSINESNESAEES VKYEKKSDQILTLSYGLPSENRRISQRSKFIPASPLRTESPLKKPTDFLRYGQRPRKSFF YAEQSAFNANLTKRHEPIQKTASLGQQQNLIKQSSNYENDNNPEPLNIIEGLDKMIIYSH YFPDNNFDKIIESFLKYIKKFRLSRQYMIPSKYSFVRLQKYDKMKSQWKKPLSFKQHDFI R >CAK88965 pep:novel supercontig:GCA_000165425.1:CT868652:234069:234275:-1 gene:GSPATT00022134001 transcript:CAK88965 MESAFIVSKWCTQLTKSRKDNEFRIKAKDLSHIPQDKYGDHSNIGTEYSCLELGCTRWFD TNDVNQKI >CAK88966 pep:novel supercontig:GCA_000165425.1:CT868652:234387:234949:1 gene:GSPATT00022135001 transcript:CAK88966 MTKKTKKVGITGKYGTRYGASLRKIIKKFEISQHQRYFNTFTGAHSLKRQAIGIWRCTQT GLQIAGGAWEVNTPAGLSAKQGMLRIKKLKEDAEVEVKDEKKDQKQKQQQQPKEQKEQQQ TQKQGQKGKQQSQGGDKKKVQAKKQ >CAK88967 pep:novel supercontig:GCA_000165425.1:CT868652:235102:238024:1 gene:GSPATT00022136001 transcript:CAK88967 MKATNVGIEAIEIYFPKTYVNQAELELFDNVSQGKYTVGLGQVNMAFVRPFEDVNTMALT VVTNLLEKYQINPALIGRLEVGTESLLDKSKSTKTTLMRLFGDNTNIEGVTSINACYGGT NALFNTIDWMQSDAWDGRLGIVVCTDIAVYAKGSARTTGGAGAVAMLIAPNATFTLEPIR TTYMKDNYDFYKPNFHSEYPTVDGQLSIQSYLQSIDNCIQSYFRKNNNINADFHCFHSPF HKMVQKSFLRVKLNESFVQKVETEFTFQNFNEKQSQMLKFYQNDWLNKALPSCLLSRELG NISTGALYAGLVSLIETQDDLINKRIMMFSYGSGCAASLFFLRCNKSTGVLKQKLKLQDR LKQRIRIPCIEYDHIMQQREINYNKNSQQYQPKQVDLYPGTFYLKSIDDKYRREYLVHKQ FELNQTSDMIVVDNSKPINKIQQIRDQMTNNNGNNDQSTQQQSQKQYNQIWTGFYKKTIQ QRLDQLEKTMNVNVEPFKDGGLSLQNANLMVENCIGKISYPLGLGLNFMINGQCYSVPMA IEEPSVIAAASAAAKTISEAGTGFQTYSSRPVMMGQIQLLDVQNFSKVECLIDSNRQTII NRGNQACQSMVKRGGGVEDVKCRNLGRGQCSVDIFVNVCDSMGANLVNTVLEFVAPLIAE ITGTRVGIKILTNLCTNRKVTAQFCLDIDKMNYKQLTGKEVAKLMIEAYQFADLDIYRAV THNKGIINGIEAVCNAAGQDARAVNASLHGYASNQWIIQATFILQNSELTVPISVGTQGG VLPQNPLYSQILSILDYPDSQKLAEIIVSVGLASNFAALRALAVEGIQKGHMTLHARNIA IASGIPEHLVDEAVLFMKNRNSFNKQTALEFLKAYNIFYEIGKAKGKQMKAFCTFSGSFD LIDTQEKVDLHVVFECDQNKINLNYADDSPINRQIFGLKGQEWLKESGFQC >CAK88968 pep:novel supercontig:GCA_000165425.1:CT868652:238126:238796:1 gene:GSPATT00022137001 transcript:CAK88968 MLSLDFDRSKNFILNQKPCLGGAVSLKYGLSLMNELIHIFEYNIDQFIGFPELRQALMAE LNNIILAHIKSFELLQQAKQGQFSCDEFLCTRQKRLSCTMMLLCDCISLKNFSPSIIEEI KLLGRVIEIQMTLTRDTQKWNKSTLSEPNVYTYWLIANQKLILGENGEVLASFYNEHNKL LLSLVQELEQKITPQLSHLKDQAMNAIQLFYKPRL >CAK88969 pep:novel supercontig:GCA_000165425.1:CT868652:238808:241446:1 gene:GSPATT00022138001 transcript:CAK88969 MSIMKQISDSNEQNRDLHPNGPRLKFDQDELQKFQFQQIDSNSSLPPNKMKNTTHCESTI LKQEISKQLTRKRRSEFKIGLYRTSFLRTINRNSLVQKFKNILLMRSYVLSKENKYLLHQ DWWQRQTKRNQYTHSRIPFIISGSHVSIILTIWSLFIQIVTLWITPFMISFQWETSIFQV LFIIIIFQVFFDVFIKINSPLIVNGETLYDKTERIKDFLKKNLFEDLIYIFTLIISFFPI FDNIQLQGAFCIIIIFISYKKLNQNYESLYDILYLKGQYHYAIDVIQLTILIFSYAHLMA CIWHYVGEITIEQGQSWLIQRNLQNGAIWEKYNTSFYWATMTMSTVGYGDITPTNQFETL AANLMMILSSCMFGYSISQIGMILKSQYEVQQKYKRSIIIMNAFMKNSQVDLQIQSRIRN YLKYQCENEANENKDDINKIIADLPIGLKQELVQDVQMNIMKKIKILNNQFSQSTLKQLS QYLIEFKFTPGDVIYHRNDLNDQSLYYIQEGIVNIYEENSQKLLQSLKAGETFGEYQFFS GFQSKTSVKSQGFTKIFKINRSSLLQLLNQNSKDFQRFHHIKDNIIFNQNFQIVQKSCNY CKMADHLNIDCPLLSYKPDILQRIKKADLRPSIQQRHFIQRNNEKVRCLMMHSAIKDTVE EYKANLSNTQLDDIDMKLFSTYATKDFSSHGQKESLLDKQLTRPPPVPPLKKNSSFLIRQ RNQTQQKKVGIFLNLKSVYRDSDRQLKSESEDDQLNNSPKNQTSQQFQLDFNDLQMNQFD DQFQTTNFNIDRLMNYFGYMPTSNVIYALRKYERLYKYQKVKTINYHESEPRKFSIPLYY SRRDSMNELLKEKF >CAK88970 pep:novel supercontig:GCA_000165425.1:CT868652:241473:243042:1 gene:GSPATT00022139001 transcript:CAK88970 MFNKKFKKQGSISFHDQEAKEQKSNTNATNINQKKQTQNCLSFEEDQNFDLTKKYVKVDN QPVVDTRIDVILLENYQYLKELEEENRLNNYLTKKSLENVEEINIVDLENDGIYEDDQQV RRNKKQQNVIIIDETDNNLITDMDDRRMNMIGIAKQEKLFYEHNSDDEEFNRFEKNIIKS NTVSYDYANNGFKSFKFNDSQQMHYCSFLDNFSQINLESIIGNLQTLISQEIFKKDKLQK EVERSTQALQSSEMLIKTWLEQNQELSKQLREFELVNEYFQCFNDMIKQKIPTLFKLYDE FEEMHHEYYNLIEARIQEQELKFSYLFQGLPNEIILNYAKDICLHMNDVDEEYLDLEKIC ENYFNMMFVVPNAKVLQPIFDYHILLRFIELYCENMDDCESDLFEGLVSDKSLLCQLIMQ CRFIKGLLTLNPVQTKFIQQFFRSILKHTYQFLKQSNTEEAQQCLNYIKSLLDSVVKSIY KKSCAYGPQIVEYLHLMKDILPKDTLEKLVKTFQ >CAK88971 pep:novel supercontig:GCA_000165425.1:CT868652:243172:248538:-1 gene:GSPATT00022140001 transcript:CAK88971 MMEHLLVNFNIGKLKRPSYFYSVVLITITFCQLISGIFSKYYVIITYHYPDLQFSQSSQI ISLIKYLDINSVILENQIIIQILFWWLSTQCIVTYTLYGICYYQVKYQNKKNLIDQSSAK ILTQLLLIDQWITCQPSQLVYLNIVYCSTNNNCLNIAIGTQIQQILSSCFVFLRFISQNV MILFFINHHSIKKDTLSSGSRSILLYLELFRILLNIQLAFPGMSQELHVTILIYLFLSTC IACFLFKISDFKLFIANRNVRQVFKLFTFSLFGISIGLAINSISIHFNITKSDNSITISI VSVGLIIWIFNQFYFNQQIQHICQPALDGDHLIQKIFNLKQIANQIHLNHEFGQADSFYL GIIFQHFSNPCPQEKKERCFCMMRSLYDPKKHKDIKNIQFSMLRQKTLYLKYLIKTWYEI YLFYHPQDVKIRMNYAVFLYYQMNNQIQSQIQLKLTRTYKLNLLQDYDLSKIELQFKNEI IDNNSLSYKNDSDFEYVVKMEQIMKSIEQNILNFLEFSIKFWRQVKQKVIYEQDLWNLNE QIIMTIQKSDELWSQISKYKIINRDKQIVKNYLTRRPKWQFVNNWYHLYVLNKKIKQSML EYCDSQNMIQQPVDEDSGSDNQNQIDQLSYFKPFNYTSAIFHTTQSGQIVNVSESTYDIF GFQQFNNISQLLPVTIIRNHQIGVDQFVQTGKSKSLYKKRKVLALNNEKYLIPCKKYLKW HVSPLSQIEYICMIRPIYRHQSVSYILVNSDWEIDCVSEQIADLLQPGLCLFLLCPKLLK YSYYSQFLTEEDLQLFKLKRAKNDNTNQDSIVDAKFTNKKITIVPTNYKNQYVQPQETQD LVSMVLEDDSKNVEESPLWINDALHEDQQEEKDQLFRRFHKVIDEDHVKLTFRLPKKIQP LIEEYAQIKQEIFLNGLDQITIKSNKIKNQRIVKRNSAGKLIFDKKILFSKLFQYQEHYY ETLYNHFKTSFQKYCMTSRMLKTVIKIEASIRFTKNTIMDKYQIIKITNLNIIESQALDR KSKAVKLLNRQNQVVRQRQTVFQNHQSSHLQLFLEQNFQQQIDQNYQKLLLSNVQNSEVE SYRNTTNRAFMSEDQVLSDFNTQKITTLSLKTQNNIFIKEENNKPAKRDMQLNFFKILNR IFIVFLIIFNLIVLYQGPNINQLEIDELGFQSAKKQYDFLNIMIESYDKLLNAFYCQSNI LGQIKNQSEFYQDLQSSFNNQLSEIKNLYEQPSFIQKVYRSKNFDNNQSMIDLINQYTSN IGNLQSIDQYYDFDQSLDFFRTIFIPFLFNYQSRNIFQIIDNLALTYETQDQICFTIIMA STAMFGFYLIYNIFNILGLITQCQKVAKQLSCIEKTQVEEAIKFYLNLKFQFSCISNQNG FVQNNYFQSTNQTIIRQMEEDEKSSNLKRQKQKQYEWSKRWKRIKVVMIVRYLFFMSLIS FLSYFYFIHIMRFNFQMSNLLNSNIFTKETTQLLSLTLSKELFLYDFFNQTYIDIEHYKE IQLRYITSNDETQSQLYETNVDEIDEIFNGDLCTSINLIINFSYCDIYLNGALKYGLKSY NFLLSQMAQQLLNPEEGLYENTTVEKIFEFDQVYTTFMNQVNKFQRFGYQEAWKILGLRY TQQIDDSAQLEIIFLSCSFTFTLILFIVLFELSFFDQLLQIILEVRCFYKRYFSNNTIDR YKIIRAQLIQCKIINK >CAK88972 pep:novel supercontig:GCA_000165425.1:CT868652:249522:250877:1 gene:GSPATT00022141001 transcript:CAK88972 MSETKSKESQQQQNLIIIGGQYALKSCIYRGGTHNLYLAVNKQNPGIYFVVRLVMQLRAN TNIDSIQEEEKLLKKLNVGIKMTFMPNVLASGDVRLHNINYYYQVFDKYGPSLKLCFQFA NKNLTLGTICMIGIQMLNILEKMHGQFIVHRNLRPKKILTVPGKNEFVLIDFQQCVKFKH KNGKYIGLGSKFSNQTKLTKFSSLNQHLGLTASPKDDLESLGFILMYFLRNGDMFRVKET GSKSKQMEEQKLRMIPEKFCKDMPIEFLQYFQFVRLTNVQQYPLSDYEFLKKLFRNIFQQ LNINEKEFQYDWVQSFGNCQLKKMNLQSQNQQQPKEDGQTKIVIHDPSNLEGIQEVQTEL EKSSFKRDYYRKSSSLCINDEDDKQFESVSNKMLQLPNLYDVIKLKH >CAK88973 pep:novel supercontig:GCA_000165425.1:CT868652:250903:252756:-1 gene:GSPATT00022142001 transcript:CAK88973 MQYQFLESDELFTRVKTLTNLAKQAAAAAQKQGKKTTEKLIGEIEKPINVPHLPAGFKVD VPQFDVTKRLNKLPRSWDLPQELYKKHLPKYNVGTIYRIQELLNSGEGYIGQTVTVAGWV RTVRDQKNLCFIELNDGTSFGGLQIVVEDKIGNFEQVAKTNTGFSLKVTGNIVKSPAKGQ LIEMLHEVVIIGQADPEEYPMAKGVQKPETLRQKAHLRPRGNFFSAVTRIRNNLAYATHV FFQNNGCLYIHTPIITGSDCEGAGEMFRISTIFDNDISKIPQIKGKVDTAQDFFKKEVNL TVSGQLQVEHFCISMSNVYTFGPTFRAEKAHTHRHLAEFWMIEPEFAFADLFDNMEAAEG YVKFCINYILQNNIDDLQFLDKRVKPGLIDYLKDIVSKDFVRCSYTQGIDILLKAQQAGA KFENSDIKWGMDLNSEHERFIAEKVFQRPVFLYDYPKEIKAFYMKVGTDDGKCVRAMDML IPQVGELIGGSQREERYDVLAQRIKECGLKLEDYGPYMDLRKYGTVPHCGFGLGFERLVM MVTGVENIRDVIPFPRYHGSAEF >CAK88974 pep:novel supercontig:GCA_000165425.1:CT868652:252936:254049:1 gene:GSPATT00022143001 transcript:CAK88974 MDFENDDEYPIPNEQQIYSSFQSDNEISRLHFLATTQSRYETQHILYLAKYFLEFEQKKI NNQTAIIVSVCLTKLTQELKSLNPHLMTKILAHIRRLIKNLSSINELHIGFLESCISSSN YKIGYEFIKSKIFVHGCLEKKSLVMIEYFYLSGVIANAQMDFDEALRCYKIAYKFNSNDN FTLEAQKMETLLSFRLGLELRNWSHPANHELVSKLQSLINQKEYKELKEKDFDFQDKDAH LCLKEWSLQAQLYQFLKDEQELHSKVSFDQLIQHFRLQGQDALLDLLLQINRIHKMFLIN EEKNYIEFKYNNLNYKEINSKLESRYNLLKSLADVK >CAK88975 pep:novel supercontig:GCA_000165425.1:CT868652:254102:257787:-1 gene:GSPATT00022144001 transcript:CAK88975 MKNSNERSKCQLPRLKDYSKEKAQKLKSFFRSNRSISDNKIAESKFKASKLLIDNQYSND HTLMAYYIKSGDKLTEMRQILTNTSVQSDQGANKKKNFPLGLLKHRFSPIEKGKKETQEM NEDISREIVETEQQSIRGGNSFEYEDVEDIISNASSEDEPKRFNGVEMQQEIKKIQQKLN HEIDQSYFYFIKRQDRLKDLAINFNKKNVDPIHFYCLNDNIFPKRIDLSNSLVNDKEMHF SDLGLTEKYFPLIKNLLQSVKSKSVKQLFLCNNQLDDKSLLYLVEGFPLSLKEINLANNV LGRRGAIILSNQIQKFPNLKSLSLQNNLLADKGVSVLLNCFQKNITLLKLNLSENQITDS CSTVFYQFLLQNNYLEVLILNWNQLGPTAGTMIAKGLQQNRSIKVLDLSYNHLGQNERSN FIQSLCETISHPQITLAHLDISYNQLSEKQLYLFSVALQKNNTLYGLHIEGNKCSARVNP YGFIEFTHDSEEFKVVQQKKTAIDGVNYIPLQGDNLFGNDCCWICQGWMEYRFQYNPEND NNQDPIFIHLDFLDYQPIPMTSSYELRQQLIEAQQRKSNYFDLTTGEIIHQLKQLVNTEK RITLAAITEAYAEETNKKSEEITKQMIQELSKFYYTTYQMCPPKRKILYFFSNPIKEEYF IDPRVMNMPAPLDNAILQGKDPKHSVHIFSDGTTLAFTKIQQVNYIFSRQEYIIDDKDNY KPLIKVFPRSSQKKYVLRRFANFLVRKQAHLITWHKEDSIFRPFVGDTEELLNECFEFDW NSSKISRFVKSEYERLKLKEYFRQHYQLIKDIYKFYSSVGYQPPNFDVFCIQFPQYLKLL NKLAIIDGDLLKSQDVEIDIVSLKNNVDPKYIYNPEKAIIRYQFLEMFFRIANDKYVRTD IYKSYADALFRLLKEFKEQYERFDLIQEWRSCRLWTKECDHLLQIKMPFIKRLYDQVTDI TNRKWYFKLKWISIREFKEFCKQFSLHEYLSEKLQVIIYNFSMMTQIDELALDRNIRMTF IEFVEALGRIAERISPAPIQEPAEQYTVLQRQQLPLHVKLETLLTYLYYQMKSKDFEYEQ YCDLFVYFKAEQSPKSSFPKIDKSKKLDKDHDYHNDLSSLTIYNAVSYLNQNKQPYLNPK YQQFLKQNIIQTSPIRPFQHIFQSDKIKRVRLQPETYNLESRLNQQQQKAQSPNIHTTLS QNA >CAK88976 pep:novel supercontig:GCA_000165425.1:CT868652:257966:261689:-1 gene:GSPATT00022145001 transcript:CAK88976 MEIQCSNHTKKSIHLPKIHQNYSQIKKDKLKQFFRTDRSISDTKQHYFYHSSKKQSPQFN DQPQTERLPRENQQLILLIFSKEKILDFQKIDQPTQRHLLLQKWKINPTTVHRQINAQIY DQSSNNSFSSNKTQLFDESGSDCEYSSNQSSDDEDSDQISEMRFKDFEKDIDKIQKKISN DLNRSYFGYIKNQDKLKDLISTFRKRDSLNPLYFFCMNQNLFPKRIDLSNCLIEQKEMNF KDLKVNSKYFPLLSQMLKSKKCKKVRSVILSSNHLREHSLSIFIDSFPDSLKDLNISQNE LGRQGALLIAKLFNKHKSLKALNIASNLLGDQGAITILNAIREARTVKKLNLSQNQITDN ATLELQNFLLTNQSIEVLILNWNQLGPQSGIGIAKALNQNKNLKVLDLSYNHLGFNEKSN CIMQWCQLIENPNLALCHLDISYNQINEKQMALLQKALAKNNTLYGIHIEGNKCPAFIDA FGFLQFSKQENLMKQVQQKKIQIDGVNYIPLCNSQELQFNCCWICQGWKEHQFTYTPEQN SEQVPIFLHLDFLNYKPIPLTSSFEMKQQLMEQNRSNKQLELTTGEIIHQLKSITDTEKK ITMAAIEEAYHVETNTKNEAQNQIKEEFNKLYYTTYQMCPPKSKILYFFSDPLRERYFQD PSLEYIQSPLDDLILQGKDPKHQVHIFTDNTQIPFQKINFVNVLITKQEYVIDDKNNYKA LIKVKPRTVQRRYILTKYLGNFKHRKGNVGIWSKDDSLIFRGMNGDSVDILDQAFEQDWS CSKIQRFVKSDYEKIKLREYFRQKYQLIKDIYKYFSSFGYQPPLFDVFCIQYGQFNKILA SLVDGENLKQSDVESDLVSIKNNVDSKFIYNPDKAIIRYQFMEVLFRLANDKFIRSGQCK NFADAVYRLLKELEQHYEILDNSQKWREQRFWTKEVDMILQFKSAFLKKLYDLASDLTSK KWYFKLKWVSIKEFREFCKLYANDILSEKQVTVIFNFSMQTQADEVTQDRFLRMTYNEFL EALGRVAEKISPAPIGEDAQVWPVLARQTLPLHIKLESLLTFIFLKLRRQPEFVQFTELF CRDNVDAQETRIIFMPKVYEKQSKLENSDEFIITTNQLTVYNTISFINSHHQPYLHPNYR NFMQQIVKKRTLINPNTIYTLNNNGFSRQTTGRTTIYEQQQQALQTNNMIAVMEEYDDQ >CAK88977 pep:novel supercontig:GCA_000165425.1:CT868652:262758:263916:1 gene:GSPATT00022146001 transcript:CAK88977 MKKFLEKHMSNDFSIRMNNLSQNHEYQLNQYKCSSPVVFGSRFASPSPFRSVTPIQGQLS PANQSRRESAFSRNSDNFDFSVKEKSKILNKPITQYFKKQQEYYDEEECNIDYFFDKEQI IIEEQELLHAKLRMIRDDFNFKIQQLEDYLREYLRERQSILQNLSISVKEEQDRFNEHSK QSKRKNKQYEMQHTRTDSINKQDSKSDSQPIQIEIMRAASSMKEQRVDPNLDRRDFLDIR RESRHESINGYSSHHRRESSYKTVKLRESRVKREESLKGDSVKDEQPLKSALKKKDFNRQ TYNCQDDSQDDWKFIKEAKNNLEKQKTQLDDKQSPNKVRFVNQEKSQKKQQDKVVKSKYL NDIDIFLKCRY >CAK88978 pep:novel supercontig:GCA_000165425.1:CT868652:264378:267153:-1 gene:GSPATT00022147001 transcript:CAK88978 MDQQESARSINISSSRFSDKFQPQLIQMNANQIQKNQLDSQDMSPVYERSNDEIAHDKQL RQARANLKKTSNYYTASQFPQDRIQNARGSKIMQLIKQKNQLKKFKEKLFQNAHIYPRHP NEQLLSFLEEQYIHKNQHHQKNSQQESRFDQVHMELLKSIDNVQQQHKIPIINPTGKFYM FWQFLRLIQIMFLLWWVPFKISFDPPKTSAMNQIENLLIYLFGVDLLIKLNRGMIDQGQI VYDRLKIMKHYIIYELYEDAIYLITLTFVIGSDPITIYFFPEVIVLIQFTLNFIKLKKTI NKYGEMFAIQSNFTEFVSLSQLIILIFYFAHFMACIWYYVGNMSQSSFSNSWIQQQNLEN SAVFHKYGYSFYWATATMVTVGYGDVTPQNIYEVLCTIIMIFFASVVFAFSINAIGVIFS NIDLQKQSYKRNLMLINQYMNQNEVSLQLQSRVRNYLKYYYEQQVKGNKQEINSIIEKLS YNLKQELLEDVQIRAINCIDFFTKNFQPSTIHQIACRMSIQQYTPREIIFQSNSIDENSI YIIQKGEINLIDNKTNKIIQKCSKGQCFGEFEFLTSQKRQTKAISTTFSSIYRMSRETFL DIITENSIDFQKYCEMRDKILFHYQDLSIKCAACQGDHLINNCHYITYKPDTEFLVKREL FNSKQDRSSFTRKNLRFQRIFNNHEEHDPPNKIQQQDEQSVSEDSENRQNSSVLESEHQN ILLNDEITQHIKKRISLWVTNPEQPGMEEKKSIQKSNRHNSDSPNTKQYKKSLYNSSQDQ SKRYVNNQYLQISDQQQLHQQVMNSSNNSQTKSNHQFNQLEHIQSFHSYNFELDKMETFQ IYFPHNNILNVLNSYQQQQKLQKLYKINISSNKYRFIFIQKQPLPNAFKRSLYRVNSQI >CAK88979 pep:novel supercontig:GCA_000165425.1:CT868652:267574:268286:1 gene:GSPATT00022148001 transcript:CAK88979 MRSTYSPIRSSPCKFDIPITKCTEIQQRLDYQSWSDVKKGIRDKVQSRVQGFENQKFIQK SDLLYNFKNSDQKLSSQALASRSSTSYVKNHLENKFPKQESPIKMGLTHAIYSPSQKQSN PVDDIKRSNIYPSAFGSFTEKDNYKTHSSKRDLMGAFKSSSDIIDFSRKSKPITERVSLT EIIKISNHLSDLQQTDISSLSNGYLSELVGLQSNINKLLKNANSKFYK >CAK88980 pep:novel supercontig:GCA_000165425.1:CT868652:268318:269558:1 gene:GSPATT00022149001 transcript:CAK88980 MTSQIFKDLQPDQEISCLIGDVGGTNVRLQLVKISLKLTYQKAPQLKPYTTYNTDLYPQF QDYIVEYLKDVQKDNLPQFAIIGIAGPIKNNSTLMANTKWSQVDGNAIGQALNIKPFLLI NDFQAVAYGILGLQQTDLIQLNPKNPNPKENSVKTVIGPGTGLGVARLIPSLKENHAWEY NIWPCEGGHVGYSPSNDLEIEYLQYLRKRLGLGQIVAEKAMAGQAVPFIYTFLKGRLGLE SQIEKDLDQALFEDKNDFKQFPSTQVFQYGVEKKDELCQKVVDFFLTSYGTVIGDLVCNT MPYGGIYLFGNISIGVANYIINNPQVNFLQDYVKYRPHLAEIFDQIPIYVIKQASLGLEG AYQAAYRLLEYNDYEKEI >CAK88981 pep:novel supercontig:GCA_000165425.1:CT868652:269698:271175:-1 gene:GSPATT00022150001 transcript:CAK88981 MYYPTCQPYQSCQIPLTQCVSQKQCLVQTTQPDINGAIINEREQLRMQLMELEDRYHIDI EELKINLLSESERILQKSLNELVQQYEPQIHQKDSQINVLTQKLQAAETGLQQFQAENAK LKEVIFKKKDKIKYYKQEMENQSNFHLQKLNEQEQFWRERLNRELNQQKQILLQEFQFEK KSLEDQIYKLKSQLAQFDEKYQQILFDLEKLKQQLQDKTHECEEWKTKCRRLEHLESIKP QAIEHSPSRDRVLESRIEQLEREIRIKDAKLAKKKDKVQTIIQHVPQQVIVEKPIERIIE IEKIVPVERIIRERVPARQPIVVQQNVQKKVESESEDEELIEEIRVLKRKIVELQQVIAN LKIQLDDSLNERDDLKHNYEILLAKVRQLEDLLRSRNRELQDKVSSLQHVKKDEDKIIVH VNQGKNKTSHVVKR >CAK88982 pep:novel supercontig:GCA_000165425.1:CT868652:271675:273495:1 gene:GSPATT00022151001 transcript:CAK88982 MKRRYEQRFPKFKFQEPGETYGLMPRITPSSNLKTEIIQNNSTEQIYPHLKKRIANSQSK EKSDDSCILIGNTLKSLERRVMKPRISYQPEISPNKQVRLRSKSIKKQPKFDGIYYEGEK FCQEQDFQEKYANMRQYYNYVNISNGLFIKPTVNYYKANVSKSNNGQLVRTLLKQRWWWL VVDNDKDNVNFLWESARNDNFIISMPCSEQVDRNDNFNIQQDFLDAQTESHPYAKILPKN LVIQMNLQDKQIGKQGKLLNYEQCQQFCNNIRIFEITSTTKIHNHIQNNTHLGSKKNLFL NLKKYYELNSLNVFEFLPLTYHIKNKYDLENYIIHNFDTKKIWIVKPGELTNRGHGIQIF QNVNEVNMFLKGNHQHRNGSSKTFIVQQYITNPLLYNQRKFDIRCFILFTGINGRQKGYW YQDGYIRTSSKEFNLNNLQNKMIHLTNDAVQKYSEDYGKFEKGNKISFEEFKKYITPEKF NLVYQKMKQIALDQFKAAAELLDPLKRENTFELFGLDFMIDESYNTWLIETNTNPCLEQT GPLLTGFMPQLIDNLLKLVIDPLYPPPQFYSAKKFVYECLDNKFELIYDSSKLKLNRKNV IIDNEL >CAK88983 pep:novel supercontig:GCA_000165425.1:CT868652:273556:274821:-1 gene:GSPATT00022152001 transcript:CAK88983 MNELIYNKRENFRVQIRRQSLHNLFCQKRVLKQEMKKLISELDSEKEKKIHEQNSVEKSK QKDYDETIQEYLFQIFNQDDISIAFSIDLINKVLNNQDLREFFEDNKKYKTLLQSFLVKM QHKFSQSTEKQKYDQLLLTIIESDLLKLSDLKQSFTYCCKEFITQGITIDKALFISKMMK KFQNASEYFWKELNEYHITNQCAWSLILQFLRENQQQYDISKLLISMILLLMQIAKPKIY QKIVNDELIITLRQNLYANESQAIKSLECFNYIFLQGEREKRFLPLIIDAFLDLLLNNPY ASYLISISILDCLELVSSDQNFGSSLLNNQELMNCLVSMLNDEIMQVNEVVLKFLVCYLG QCSDDFIIRIIGDSNFQNVVEDLTISLNNKVQIYAKKIKMIYFD >CAK88984 pep:novel supercontig:GCA_000165425.1:CT868652:275372:276126:-1 gene:GSPATT00022153001 transcript:CAK88984 MSRNHKRQEQNIEPAIKQMEFRKRRGEKMNYLMKRELPEEDDFWNNNKYFNQDNIDDDES YQQKENQKEDSFDSDFIDSSDSEESEEDNQSHKSTTKKVDKEKTRVIRSVSKTSYIHDHF NQEYLIREAVQTELRNKVSLQYLIQIEEDKKKIKVERENVIDGPIIRQLDNQNEKTLQFI NFEKGEYPQLFLNTSKKNEKSQKTKYKDPQTGIGFSTIQEFKTIKRQNKIQDKINQLVKQ MNS >CAK88985 pep:novel supercontig:GCA_000165425.1:CT868652:276441:276870:1 gene:GSPATT00022154001 transcript:CAK88985 MIKLFCNQIIKSQTKEFLDRQFVIVKELASDSNGAIYEAKAQSSSYCSNVAIKLSYNIKQ QEENYIDWLIQQQANNTQLIRFYEKIEQKQYKLTIMELGGCNLNEYLKSNQKSNSDKFKI FIQTLKAIKFLNEQ >CAK88986 pep:novel supercontig:GCA_000165425.1:CT868652:276965:278771:1 gene:GSPATT00022155001 transcript:CAK88986 MNSKFLNNQTSKIQDIGPLIYMFCEIYKGEQFFEVQNASGINGIKQDWLFKIPQIQRQDI TELIKYLLRNPDGLNYDQAIQKFTNLNSFFSEANQSQNKSFQQIQQPPARNNLNSSITSI INVPTEQFPRRQFILTQQLGAGGEGVVYKATPINESFYNADVAVKIQNSIKNDELNFIKS LIDYQCNLEPKSLKKSNLIRLYELYKWNNNQLIVMELGGKNLFNFLTEKKYQSEMEKLQI CQQITQAIAFLHEKQIFHRDIKPENFIQCGNIFKLIDFGLIKNKNQNVKLTKMVGTPLYQ APEILQGSDEYSYSVDIWSLGCLFFEIFKYEPLFNCTNIEQVKNAVVLHCNNQQTLNNQI DQLQIKQELKNLIKQMVHPLPNSRPTIQNVLTQLQPSIPQAQSIIPIAQSAFAFKPSLSL VQQFQPQKIKGQEDVKLQSAINDYLMSQISKSNYSIQILQPKDLEQIFKNISDKCITFLN EEIKNQFPTQQQLSWLGKQADNFCNKPTTKVNMLSPKNYQKNIVDEIDPNFDSEIHINEV KSQRYSQHNNKQNQINYNGFGQSVINPQTKHGSITNN >CAK88987 pep:novel supercontig:GCA_000165425.1:CT868652:279656:280669:-1 gene:GSPATT00022156001 transcript:CAK88987 MFNIQSNEQVQEEILSKISQLQLIETDDDNSRQGSPALDSRLLEVIRELPQHLKYKLDSL IPKTNYQLIKQNDDSIYYGIMENLQKQGEGIQIWPKAGNLLEGQWENDQLEGYCRMNYAN GDSFECQFTQGKTNGYGIFKSQKKIVKGLWIDNTIQGEGQEIKSDGTKYFGQFQNGKKEG RGILILNDGCKYEGQFKNNFFHGEGTFLWNDGSYYTGTFTKGQILGFGQYLNGNGIQMIG HFTELKQPRESQKEKNQHLQTIVFVNQYNQSLMIEKIRAL >CAK88988 pep:novel supercontig:GCA_000165425.1:CT868652:281235:282005:1 gene:GSPATT00022157001 transcript:CAK88988 MIVNPYDVDIPEAWKNEIIYQKYIAYQALRQTTLTLNNIQNQKLNENDFIYRDILFKGQL PSEECDTYFDYMKQHYKSRKQLNSSDFLKQRREECQNKKFNFWQKCSKLPINTKQKLETR NIVRDIKYQHLFVPQILFLSGSILIAYLLKMYRNPIKFQLITIGFGSSLGYFHGVYNYSN QIFNDIPYLPDPELELEHQHIMNYCFSLDQDLIARYVFSHENLHK >CAK88989 pep:novel supercontig:GCA_000165425.1:CT868652:282159:283344:-1 gene:GSPATT00022158001 transcript:CAK88989 MRALTIICLVAAVFALDTNKFAVLLQTGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWEALGAVRTDVEAQVRDGYSWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHADAIGVVQLLEQDVAGFLTNNAGVELVEKAQTIADKLSA YSHLFQQDAIQKFQSLAEVKRDGTTGEQVLAILQDLQAELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTNLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETVRRQEENAIIDVVIQLFKDQVRSLASQTSLGRK >CAK88990 pep:novel supercontig:GCA_000165425.1:CT868652:283384:283848:-1 gene:GSPATT00022159001 transcript:CAK88990 MLKQQPQSAKSGNAIKQKKETLERFAYCNSQQLFQNNIFDLRQFIKQNYEKYCELLERVE STKLVVKSKKEILEQLRNKFEQKSNKPRANLCVLDELSQLKRQIEIKQSANNELKSQLEQ MNQWTKKQDFFQEYQEICKQVDDLRIQNDQLLKA >CAK88991 pep:novel supercontig:GCA_000165425.1:CT868652:283968:285588:1 gene:GSPATT00022160001 transcript:CAK88991 MAKMNCYEYYTAMDPQATQVFQVEGELHIKELFHRLIKKVIDKAQENEILRKLPTVSASN SLNLLVMPLAQAFYKPENPIKDVQSGGLEEDFEPLHIQHEQWLTSKINMEIKPEIRPGTC QTSSPRTFAKSRIQDQTSLIKEKKQLDPMPIDLVDPLDISITEEQLRNEKERQTKEKLEL NEAEKRRKLKEEKEEQLKYELMAKDKKSKQYTYDYDGKLISVNPAKGTKLPLPASTLASK FEEDQAAKVNPKKKYNKVPWNNKKTDDEKDKEKDTFKFNQIAPLVVENMNLQPGIVVIHE GRIKEGTRPTTVDLQNMSNPALRMARNEYLSLTQQMNSSINQNVQMKAEDKPIKELDKGK GFNYDRFKRLSQQTNIQLGVIKINSTKIFEQLAQAYFEEAEELPKIVNQQTQTSKSYQEN TSKGIKSPVDQFNLSLYKNNVVGRDQQNEIGINYEKLKTQKANSRDLKSSIGSRTNRPRE RKMQSEQSTLPTVRSQKVFKL >CAK88992 pep:novel supercontig:GCA_000165425.1:CT868652:285660:287359:1 gene:GSPATT00022161001 transcript:CAK88992 MELLRDPSKDRVVKTLKPPPHRPLSNQLMFPDKLKNKPDWRILQDHLSKEGRIAKEDLIK LVTECNKILKNEGNLIYLHDPLTVVGDIHGQYYDLVKMFDVGGNIETTKYLFLGDFVDRG SFSIEVVVLAYAIKINFPNTGNHECRQLTSFFNFKDECLYKYDQETYDLLMDSFDLFPLA CVVNNKFLAIHGGISPDLKTLEDIKKIDRYHEPPRSGLFCDILWSDPVDNDQGSLDNGWR GNEVRGCSWFFGVEAVHRFLQRNNLISVIRAHEAQLEGYKMHRWKGSQDFPVVITIFSAP NYCDVYKNKGAVIKFENNTLNIQQFKDTPHPYLLPNFMDVFSWSIPFVTEKVTEMLYMLL KHEDQDQESENDKINQQDIEKFKQITQSEQSKTYEKNKSLQKQLSRSGKEKLRSRLKFVA TMMKMQKTLREENESIVQLKGACPDKRLPKGLLLQGKEAITDALQEFNYMKLADSINEKM PNIQIPQQSIQIKKPGQSSKKK >CAK88993 pep:novel supercontig:GCA_000165425.1:CT868652:288186:289324:-1 gene:GSPATT00022162001 transcript:CAK88993 MLKLKTSQQTKNQAPKPENTKIVKKDDPKTSNDHDDEQDETNLVEVAKKPEKKQPTIQQN TNDGWQQSDDPKDLPTNGIGKKPTAQYKKQDETAVEIRNEEFPDIASVDDMSKPTKKQKK MNQQPKQDQPIQPVVNNAGLFTNSSSKPQTQTTNNVQTKNTQSNSNSGSLTFTNTKKSEN SAYFQQQQQEQIKKTQQEPAPQAQPQIQETQKSNPPQRQVNLSRNTNAASQQAPIEQVKQ VKVEESIPTFTNSKAKGNPPVVSQQEQQQQQQQQRQQLQQQQQQQQQQQQQQQQQQQNNE DEDSDGWETVEQKRKPKGTFSRNQKKP >CAK88994 pep:novel supercontig:GCA_000165425.1:CT868652:289443:290638:1 gene:GSPATT00022163001 transcript:CAK88994 MQKVAILAFIVAITAAKFVDTHTTLAQINADPFGHVVLSAIKAHLQAQTPANEVNMLLNA VGAGIVQDQNDHDHAFELDTTTNNRIVEDLEKEVLYHQNQIASNTQLRDDTIEALAVSED DIRVTIQDIANNEATYAREEATRNQQHETFVAKVAAIDDVIDAIDDAAKLIQHLSLGASF AQIKTKFDTLHKKLSDNTSHSALLQPVIAALTELATHGVNQKALTKIAQLLSEIRQQLVQ EKATKTDVEERQAAHWAEFSAHLANEHTRLVERKAQLEVQIQEQKDTIEDAVSWIEFHTL ELENSEERLAGQQAWFAVQSQIYETQTAERTAQQDIVDRLQEHISEKLSTTAQFIASRN >CAK88995 pep:novel supercontig:GCA_000165425.1:CT868652:290790:295458:1 gene:GSPATT00022164001 transcript:CAK88995 MAFQFKLFEKQVIFMLSHLLTLEYKMPQLFQSLIIIYTITQSISYSFYYQYTQDEELKHS LTKITLLTRLYLYIDNPNIKSALFWLLAVVQNLIFLMAGFVAYKNQYQLGTLSFWTRNIA VLFSFYIYYFSTAFFPLIFEICLINSSDLAQFLVSIVIMLQALIIMILGELVFRRGLIFK STSHLYINMTTYSFVIKILKLIQIIIFFYLKSQFLSQMIQCVINIIIQLCLIAEQIRVKV YAKKIYSITTITFGSIGLVLSFDVLLTQSTNNNNYWILICIIVIKILLYLDEFKFDKISN YGIHELKYLFSYLDLSNHEKTVTKLQIYMLFKSHSQSCDKKQTCHCTKEPKDYYTSLIRI IEGRIEEKLHGKIEISRDLICTDYAQILFRNQQYVQAQQHITRFLAKESFNYEKNQNPLS TITIFLLEYIKAEVLNQVLIQMTEKIVLSNQHNKLLNESLNSFVLNENSDSQIMVNLKKI IQNKIQFYSNFVEHQNNYFGNVNYALQFINQIKQFKEVLLDRYNQFPTSSNQNILKYFSL NILNDYIDSFNINKGKAFEDDKFNQISGQMYHKIFGINPAYFITELNSEFDVIITKKSSR AIYLLQQWKAIQSEQKYHLNTELNVFLPEYVSQKHGSLIERFLNDGQNKYYQQQNLSFLK QQDKVMMPIQIMISMNQTNYDKFSFLTFFYESQDYRSYLVVDKHLEILNISSNISESLSM NESKGHQSCITKIIPNFQQLVATHQHQFYNQEIKLLKEHNHQKVPCSFNSNIKIERKFQN QYKCYFVELDNIRAISKKVQGDNSPVYLSSLTQFPGSTYRILNVNSEINNSEEKRVPYSD VENHLIPENSLCLIQQDNHRQTINQTDTNMACTLQMMEFGKSQNMVEPLSQKRLLPSSKY SHDDDVKKQVNKIAEDDEFIEIGSTSSVSAIRRSKYYKKFEIITILMRSKKQSEKIIKMN KFIFLYSIVILLGMIIQILMIQNLEQFVEDIKSLSIRYDVVEPYESFYVSRFSQVNYREQ LSGGYLNASLYAQLTKYPFSTFELMYNHLRDSMYRVLERREIDQLSDNEFISIYFLNTSY VGYSKNVTLRSLANILMNYQYDFKLGLTIRSVAFDSPFFYFTSKNYLTIKHTFDGLNKVV LDATLQRSNLEREKWLSVLLPFIIISLLLCLIIINSYREYNQIMNSIFQYLMDLDQQILD DEKKRLQKHLTIINTEIERVRRFEFDIDAIDVELDESNYQVKRNNSKRDSKKFRITNNNL RTTTTIAIVHYLLFLAFFLVIFFLIKRYFEKYDQTAVIFQRISDLGVDIPTLYAQREVLY RRKLRYFFLTDQEIDGVYDVFFQAYKKVEEYSKLNIDLSSINYLFDENSVNFYQSISTGN LCDFQTDQFKELSNSTCELAMNGNLLQGMSQILPYILQTLKTQQLDSKNFTILPTNTRLE LEGATIIGNVMSTIISELYDNLLISCLKLMNFTQTPCIIFLLFQGICIVLYIVIMNQKHK SQFQSIKQSIFLFPRYTLIFDDQFYRNFRSIIKDEGIQQ >CAK88996 pep:novel supercontig:GCA_000165425.1:CT868652:295523:296870:1 gene:GSPATT00022165001 transcript:CAK88996 MFKQVTFFAYLIHLCLGDELLSVHIMWRHGARKPYFCNWGCDEKTKSSLSELTPVGMRQH YVLGQWLKKKYIETGFLDPIFNENQIYIESSNTNRTIMSAYSNLQGMFPKGPIVPKVSND LLLPPMTDVKTPEGIQDFALPNQIQVIPIHSLQEELDYVMYMYCPALWDGGAHNLHTDVY YDINNRSQELIKRFNKELNQNLTNIVELYEWRDTFISNEYNAGENPRELTPDLMAELDKI AGLGFLLYYTQDYYQAALLTSEFFRTLLDGFDGVLNRTSKIKYHAYSAHDSSIYALLYAL NLTNVECYNQSYFGKVESHTTCITTYPDYTANIIYELYNSSTLGPYVKIMYNGTYVSVCQ DQSLTCSYSHFKSVIKGIRRDYKKECKIEEVSIPVDYQTPWWAVTFFVLVLGLCVCSFSM VVIIHQKKQRYVRQNNRYGV >CAK88997 pep:novel supercontig:GCA_000165425.1:CT868652:296890:297675:-1 gene:GSPATT00022166001 transcript:CAK88997 MATKKQLIDEIFEICDSILEKSYKNQQSLELLIQKYPLNEAIQQFQLVPVSQYEDQSRNQ LKQLTIDQLSYLLEDAQHTLDQVMYEELQINKLGLRVPKKSLVVQNYEQWDFLLQWPKSN NLDSIIINVQSITNNIQAQLQRTKDKCDSDDFQKKYEEALERIEYLEMQLQSGSNKNIGQ KNTIKEHVLITRNQMNRCKLPKRDTIELMDELEKKLLDLEAEKEKMSSYSMDAFSKLLQT KQ >CAK88998 pep:novel supercontig:GCA_000165425.1:CT868652:297752:299233:-1 gene:GSPATT00022167001 transcript:CAK88998 MSKLLGIGGEGAVYAAKPRNCQYNTDVALKLQLNMKDAEIAFIKTVMEYQNQFDHDPIKT SGIITIYEKFQYQNYQVLIMELGGQNLFDYINTKKNIPINEKFRICLDLFRPIYFLHSKK LFHRDIKPENFIKVKDRFKLIDFGLTKGLTQDLNRTKGIGTLFYSAPELIEQRNDYNEKV DIWALGCVFYEIFTGETFIQSQVENEIRQEILQFKHKKDSYYQRIDQINIPQECQAALKK MLEPNPNQRLTVFEALDSFNKYQDFSLPSQQPQIQKAHVLQSNFQPQQKIPGQSQFQGQK QLPQSQQGTIEQFSKQIIKVISETITPAQNQQQKPTSEQSTKTQNNKIDMEFKNAVQEIH KVVETLMKTLQTGENKNKGKEDLQKKQDPKSEEKEAESQNVPYTALLEENQRYQQKIQEL ERLLNQQDMQQQKSQVILNLNDPEQELQQQYSNQSDIERNNSQQIQQDLDVQQTYQQ >CAK88999 pep:novel supercontig:GCA_000165425.1:CT868652:299366:300258:1 gene:GSPATT00022168001 transcript:CAK88999 MHDLILPIVTIFLSVLSIISCGFVIYIYGTFRELRNDQFTIILQIIVFNLIFDLILFGDS FGYLFLRNSTFQMSDKPVLCQSQSFFSVYSVLSSALWTSILIHSLYHSIKEREANQYMQS YYPGLGYGIPLLISIIPIIIDAYGQYNPMPQTNCFFDVNNVNVELYTMIFYNIPIWIMFL YNLIVIILAIRINKNFTQSLYSIYIYQGILAVCWIIPSIVNLFGFTSLVWKTIDFGLGGL IGFLNSFWYSYTSLADRLSFENGQLVQRIKETTAEQELAKI >CAK89000 pep:novel supercontig:GCA_000165425.1:CT868652:300553:301167:1 gene:GSPATT00022169001 transcript:CAK89000 MQQVTPAYVKSLTGPTANFLCPVNANIYNIQFLKFRIRDMDSGQVLFEVERDPDEQPIEQ LPVEYQDEARRIKYQFGPQFFELKTVGAQLTFSVGKDPVKNFTIIERHYFRDHLLRCYEF QFPFCIPNSTNTWEHIYTIPEIDEAMVQQMIDNPFETKSDSFYFVGDQLVMHNKAEYDYS E >CAK89001 pep:novel supercontig:GCA_000165425.1:CT868652:301201:301785:-1 gene:GSPATT00022170001 transcript:CAK89001 MLNNIGYLAYISTMAVLLIVTPSSFIKKGDSEQEQSIINVYLSGLLQTELGLRICGLYHL ILLAAFILKQEQLKFVCLNVYALFLVSTIGSLFFDGVGQTQSERLERFGYQAGIFSVIAF NNWPQTKQEKKDSKVEEQKSEAQTTQKVEQTKEKEKSNENTQKDQKQGKKKGKAKNE >CAK89002 pep:novel supercontig:GCA_000165425.1:CT868652:301858:302892:-1 gene:GSPATT00022171001 transcript:CAK89002 MPFKTLNKDILILNPLFCDLQTYQSTVNYSESDYEDKSHIDADECFIILLLWRLRELINQ KYQNQVSVELILFPKIHSFQVCKIENNKELLLYPSLSKIQKQSNKIIEALNAKTLRELEI NPFEIYQSDITIQNGQLIDKRVSQNLSMREKMDKNLIDQQEIKYGIKGQNCFQLIYRNPI EIQSNLCLTGDYQQDLQHKILFKSDSNPKCVCGRQIDAESWDTVQIEQRLKEALDQIFGV LLKDKTLDYTVFLNPLFIETETDKYENEWIKLYFKDKNNDKVKLGELLFTARMYNTSLDM TTEIETDQNELFQVEDVNDYSDCEIDNNRSTISKNSNQLTENEW >CAK89003 pep:novel supercontig:GCA_000165425.1:CT868652:303224:305152:-1 gene:GSPATT00022172001 transcript:CAK89003 MMDLMNLQSGVVIDAPTQQFKNRSFQLKHQLGKGAEGQVFLAKPQNWNKDFEVALKFSKP LKETEREFIQNLIEAQNENDKQGSKNKLCSNIIRIYEIFEIQYYSVQVMEVGSVNLFKYT SFNHNRMTNVEKFKICLQLINAINFIHMLGLIHRDVKGENFILVNEEFKLIDFGLISINE RLMTSKPGTRIFQAPELFEDQGQYTQSLDIWSLGCVFYEIIKGEELFPCINMNLSIQQIK NHKTNQNHVYQLIDRLKVCQEWRDLLKQMLHPNQFNRIKIAQAIKIVQLCIVKEENSGGS IELKASKQQLNLDSDISKIEFELQLNQKQNEFNKILREKEQIIQQLEHNLKKKENEVKQH LEDIEQLTIKVNKFEFEKNKLEQEKKKNEESFQQQYQQYAQQCQNHLNYQASQISNQQAS QFNQQKQIYENEIKNLKIRIQVLEQENSTILKKHNQIKEEENAKSKVVDISKEILFLDKL IGDLDNEISNLEKSLESFKSIQQLLQNNNTLVNSQIQDIEQLITQKKKSVYNYQKIKLEI QNQDSNQLKQQSEFAKNTVEFNQQQDKVLPQQLQGALQITQNIQSLIQNPNLFKPYVNSQ IMPPPFVMQTNTMNFNQKATNNKNQIL >CAK89004 pep:novel supercontig:GCA_000165425.1:CT868652:305424:306197:1 gene:GSPATT00022173001 transcript:CAK89004 MQLNDYKINRKLLKFTNNINFIGKNKYLSRESSANKMEQSLYKSLSSSQLLSKSHRDNNH AKSKIQEISTIGSIYSKDSAYFNSLSNEQLNIPPIRSLNKDDGDKLIANLNKQIKQQNLQ ISKLKQQIITQSSDLDNMSKLNEQFLEKQQSYEEITQSYHSSNQKSIKTYQIQYYNWRKL ERYSLALIIIQRSQHKYIQMIINSKLQHNGEIKNQSSIFLENGQQLQRLQNKLTSQLKNQ KKISCINIIIIGNDFQY >CAK89005 pep:novel supercontig:GCA_000165425.1:CT868652:306810:307250:1 gene:GSPATT00022174001 transcript:CAK89005 MMTGEKIKEKRYFKLIQKLFNVLKRKAAYKKFQKQNSDLIISQYNRRIINQSLKIWLSKL LKQKILLDQEQSCCISQLIVIDQQQSVEVIELSQNYQNKLEQLKLYEEEVNNYQMIQANQ NQIQKELQMQQYIFILYL >CAK89006 pep:novel supercontig:GCA_000165425.1:CT868652:307953:309929:-1 gene:GSPATT00022175001 transcript:CAK89006 MNQKKGKAIPMQTLSFTVSNQLPQIQTQSLTQVNSQMQTVQSAKQPPKPQKMFSDYMKQL MQNPETKKVYDDAANKVPAPQIEDQKQQQQQQQQQQSKPFSIKQSQTSNSFTSNANSTKK PFNQFYEQSKIRTVNDSIPRPQSEKRQQENHSYVSTQKSEEVSNDIDNKSVKSETFSISK SSQDLPDSSSKYVKKKRQEIKFDSDLWVKNEIPKYKLQSKEYKDIMNQVEQLVESREQSR QKQIKELEGYIDSRQTSRTQTKQQLNEEQELENQIQMLAVELDQVEKIELEQKTKIDIQR FQQLLDKPLDNDSQDPCLSQNYFVTENNLRLKSLDNNQSESESPIKFQKIDNQEQYIEQI EKKIEKQQETLENIAKFKLPNEYQLRLESLLQDIDNLKQSSIKNYSNPFLIPKEKLIEVH KKIKKLNPKFVEDADLEFDKNCMLPKDPILRKEADRQNPLKLKHKKSMQKSQERPKMQQL IEQIKKKTQYTPSEFLKQKMLQFDDLSKNSKNCAQELTTIQCNQILNEFSEYKKQLDVAI KQNYELLNQSKEQDLSNELELLHEQQQRVQNLENEYQQVQQFIEKFTQDFSLPTINEDDE QNENVQIQIEQQTQPLQSIEELDQEITRKVREQHPELFEE >CAK89007 pep:novel supercontig:GCA_000165425.1:CT868652:309958:310741:-1 gene:GSPATT00022176001 transcript:CAK89007 MINQIRDEQPPLNLIRPPQLGAFQGPRIAESIINQQPNGIGGGIDNTRQYWNEKEQNWLR LSVNLNPLAFANYELFKSANMDISESPNPVQCVLCFIIKFIILILYFLTPYYQTMKLYET IVILGAVDFWIIKNIRKLVGLRWWVEINDFGNESWVFETSTQEKGEVQRIHSRIFWFVQL FMFLMFVGMFIINASQLQISVSICMFFPAAFTGYNLYAFNLCNKIKRSKLGESFLQMSAG RKSVKMPLKLE >CAK89008 pep:novel supercontig:GCA_000165425.1:CT868652:310931:311753:1 gene:GSPATT00022177001 transcript:CAK89008 MDQQAQETQSQYESIINEVIGKLKKNSDKGQLQMSESEIQTFKDLWQRCLKAIQDGSDNR FKQHIQPQIKQNIDNPIEYDPPIVKKIKTNVDTQIIKKVYILTILLSQEEDDDQNRADVA FSEDSGDETSSKEPKVDDQKKYLDYQNTKNESQREKTLFDKIRELEQLKNLVKVEEEDEN ESEEPRVFDTQVYAQKINTENQVSRIRPNKSQKMSLENVLIKEKNNKEALYPQAQLLFNF KTSKKKNSS >CAK89009 pep:novel supercontig:GCA_000165425.1:CT868652:311789:312060:-1 gene:GSPATT00022178001 transcript:CAK89009 MDQQIKKEDDEFQIDEIKYQISSAKVDGKEYHLYEYKKELNKDENDKLTQFLQKLETGLK GEEGMEGVMSICKGWKKECEQKQFQ >CAK89010 pep:novel supercontig:GCA_000165425.1:CT868652:312513:315089:1 gene:GSPATT00022179001 transcript:CAK89010 MPPVLMNVDYMRKKKRAQSAKPEETIQRVLKQFSVSPQRFRFTKFNHKKLGVIHPIPSKL KKAKTQTSIPQPATYQYGDKEFMEVLGKKCPITDVEPDIHYTKNDKKQLDEHLVQPFFYV QKLFPKGGQSVMEHDDVFVPFQTLKQSKRKRKMVDEQYIKILKEMNKEERQEFYTKGIRM NVEYAMIFKRFMKGLIKKGLYDQDYKFSTENPKIHKKELLKKGQEMGLTLQKQNQPIQQI EISPKKKRQSKKQKQQYHQIYISKENMMKFLKFCCYDEQFLKSDIDILFLNVKMGDSPKD MLLVKLANMDSFLLDLNAFLHNAQKYFKLKYKIKNLLSVNAAKQRNVYIKFSLYPFLGEG WKAFKVCLKQLESTEKLILSKTKLQQYIDLIQERDTLINMKRVWSKNLQRTPLQYILLKF QKVKDIYVNAINYNAERIDFFNQFQIDLFLYKEQKVDQINNSRFFRNQNISSISLDVRTI TLDEVVEFLVEKQFNKEESDQIVDIFQKILKYYGLRKAPRKSKWQLAPEIEPNKILTQQQ IVEYLSYYSQKQFEEWKIFKTFIQDFHRFQIKKINLPEGRLQHVRQAWECNIIGEWLIKQ LRKLDKSISVLLFKSLEVCKLIEKEGELTDQNILQAMEQDGKCSFDKFINEKTQQQLQRL LKLAEKQQQYLNSKSISIDDWDSDLTKAISNYQVISTKLFLNQQKVQANQKQSPKQQPVQ HVSKDQIVSAANFIDDRSIVHDQQQIQVLPQTTQQVSQIIKSPKIEQQNTTLLTVPAKTF SQNNLTKPGTSHDTISRSNLSSNTQMTEAELDMKIQNAMRLQNRIFSELGNIKDLSFRSL K >CAK89011 pep:novel supercontig:GCA_000165425.1:CT868652:315312:317674:-1 gene:GSPATT00022180001 transcript:CAK89011 MFVDKNLGTTKQKQQKIDIGELEQVQKKKVKQNANINPYTGNQYSEDYYKILTVRKQLPA WDAKEQLFMLMEQYQVIVLQGETGSGKTTQIPQFLLEKYSKGRGIACTQPRRVAAMSVAK RVAEEMDVALGEEVGYSIRFEEKTSNKTILKYMTDGMLLREAMHDPKLERYSVVILDEAH ERTLNTDILFGLLKEIMLKRPEDLKVVIMSATMDAEKFQKYFHNAPLLDIPGRVYPVEIF YTQKPEKSYLDAAISTTINIHAYEDPGDILVFLTGEEEIEEACKKITSEIQKLGDDVGPV RCVPLYSTLPPNQQQKIFESAPQPNKKGIQGRKIVVATNIAETSITIDGICYVVDPGFSK QKVYNPRLRVESLLASPISKASAQQRAGRAGRTRPGKCYRLYTEQSFNTELIDNTYPEIL RSNLSAVVLQLKRLGIDDLVHFDFMDPPAPETLMRALEQLYYLSALDEEGNLTKFGQQMS EFPLDPQLSKVLLSSKDFYVTDEILTIVALLSVQQVFQRPKDQQQQADDARYQFVHQDGD HITFLNVFKSFKEHNESSDWCYQNFINYRSLKSADKIKVQLRQIMQKQQVPLTKTDPSNA LYYTYIKKALIAGMFMQTAHLTKNGAYMTVKDSQIVAIHPCSVLNHKPEWILYQEFVLTS KNYLRTVTDIEGKWLYEMCPEYFNPKTIKNIETRKEFEKIERQVLEEQRRKPDLLTVEQI QRLHKDVKSERTQSISSSRTIQK >CAK89012 pep:novel supercontig:GCA_000165425.1:CT868652:317827:318699:-1 gene:GSPATT00022181001 transcript:CAK89012 MHNIKKSKYRLKDVIEGKTSNDEKSAQKFVQLSHIKKNVQEFNQLKSTLQDRKVTFAKFA FRQQELIDDKKLGPVLKGICNRTRIGSMQITELIPKFDLYKFLKQSHLEPSKRVLRRYAH WEWDQEQEERKEVPPSYKFTSKTEFEYKKKMETKDADKKLRKDIIKKWASSLPDVCGLTA FGKQFTMQSSPLISTKTTLSKTHTYFSPNPRSETVQFVSGLIHELDEIKLDNLQIRKKLR GTQCALQKIIEKQQQQKVAHMEINGE >CAK89013 pep:novel supercontig:GCA_000165425.1:CT868652:318724:319651:-1 gene:GSPATT00022182001 transcript:CAK89013 MNLLIQVLLVLVLKAQLDYPYSCDCQEHDNQDSCEWHKCLWDENQIGTKKCRVKGCSERG NKVAECVAPSQFYSTNRTSCYPQGVQTETCEPISSCEELDVSKISPSYARRVCKVNFCGY NKTSKGCRSVSTCSDITTEDECNNLFINELPSLFQPSAYCYWAGDVCMTSTRFFGACENK NIKNKNLCKTHGCQWKDNNCVNLNCTTITTDLCNKEHVDSFGNVHICSLKNSQCNSTLSA DIRKEDCDKMTAHTFDDAAQICKPCKSLAKYLEKLASLQIITESYSIILMLNIIMIAII >CAK89014 pep:novel supercontig:GCA_000165425.1:CT868652:319826:321301:1 gene:GSPATT00022183001 transcript:CAK89014 MQLNYEILQEIYQSKKVRIEKVRSMQDNQTYIQKTYLQNKNEGLNAIKMLAQIKNQFVLQ VIEYLENEEQQLVIIQEYCDQGDLKTFTLQHIGYKMQESIIWSLFLQMAYALLQLNELGI QHRVLIPENILLLVRTEGYQIRITDFHKSSRQTLCQLPCAAIYLPYEYFFEGQYTQKSHI WQIGHILYFMVSQKLLFPTTTLENQVKEQLRRGRIQINIPEVYSQGLNKLINVCLTIREN QRPNILQILRMKEVRDALARPYYEFSLDQRRHLMYWINSSETAISNPVQFTFNEFDLHSP RQIQKDVVIKKNFRNSKLPEIFQQNQHAFKSSTDRLPESDNIKTETKRKEDLYLQQQLLS KTYTNKYFQGVVKPISFHKKKQVINNTELPKFSIPYQKQLDPVFQNLLENKKQICDRWIG ETEYMFLRSQIKFNKTDKLLSYLQQRHPKVDGSVILQQINDIAHLEDQRGFYKKC >CAK89015 pep:novel supercontig:GCA_000165425.1:CT868652:321617:322584:1 gene:GSPATT00022184001 transcript:CAK89015 MFKFLLRPSLLFSKRTTKLKNLIQSKDLEFIMEAHNGLSALIVQEAGFKGIWASGLSMSA GLGVRDCNEASWTQLLEVLEFMAERTTIPILMDGDTGFGNYNNARRLVRKLEERGIAGVC FEDKIFPKRNSLGDGAQELANIQEFSNKIKACKDFQRDKDFQIVARCESFIAGWGLENAL ERCEAYRKAGVDAVLIHSKQSDFTEIESFVKVWNNRLPVVIVPTNYYKTPTEEFRKHNIS LAIWANHNLRASLKAMQDTSKQIFERQNLIGLDNIASVKEVFRLQNEEGLEKDDKKYL >CAK89016 pep:novel supercontig:GCA_000165425.1:CT868652:323079:323378:1 gene:GSPATT00022185001 transcript:CAK89016 MGYQAYQPPIDTKHYSNLAIVLTFIGFCFLSYFVIYQVTQNKQQRSLAKELSVGLFASLF LSSGTLFSFLALGLFF >CAK89017 pep:novel supercontig:GCA_000165425.1:CT868652:323546:326604:-1 gene:GSPATT00022186001 transcript:CAK89017 MIVTSNIVNDLNTAFQLINMGTNDKIRQGEQLLQQIRGDIQYPKVLFDYFQAYEISLGLR AAIELKLWFKEYRNFDDYQAQYVQNVVQTIKQHIISAYIVSEAPLIHQLKDAIVYVASRD FPTQWPNLMAELNQFLAHPDYVYKTLKLIYKLTEKYVYQSRSDPLYEEIIITCDTTHHNL LLLAKSLIQQIEALQNLQLSYEILKTLLKVFYNLNFQDLHPHFEDNLQSWMEFMKVVLRL QPVQGVEQFLFKCKGEALKCVLLYAMKYRDDFGDLIQVFSSEIWNVCTQTSAGRDSDKIV LCALRYFKTLIAWQDMKAFFEQNIKILIESLIIRNLSLSKDEIGMFSDEPQEFIEKFFEQ SDLESRRAQAVELFKTVTKHFNQQVNLIIQEYLQAYIQSGMNGVDNEIILINLIIEASTS SFTSKDGTIDIILSQENVLGFYTHCLKPKLGQIFEMQQQNQSVESKFTPIQLAFYCRYLF YFRNVIDKVELPTLATLVSKLQLSKKTTLSNIACYTAYSLINVRQDVKNYANHQLYFENV NISQYLQLILTDCYNNIKQQQKLETYSLKLTNSLINLLKQEIFNAIAALCNLLQDLLKNI KLEYEFQNVHLVFEIIASVIDVCIIAKNSEAANQLQQSILNQLDELLRENKGDVTNFVLQ IYSLFLQVQTNASSYYQNLLQNFLEIQNWNESNSSLFQAYIIFFQFGFQGTNLPTAQLQT ILKSIVSHSTPSYTELSKFLIKSNSQWTNIVLAIIFEQYNIQQQSQPQISSKSKATHLSQ RLINKEVFLLLAHVFTTYGVEVLVNECSKISPQLLESFLINELGIIKSISQRSERKFIFT MLSAILFSGQYIIHLILRFLTQQSWNHVFQAMVENITLKKRNPLKFMGRVTDREVTSSSG FQPLKPVIARNNPDKILNDEDKLFSAQFKTYYSNPIVQQQLPIQQLLTKQQQELLSNLIQ SV >CAK89018 pep:novel supercontig:GCA_000165425.1:CT868652:326699:327923:1 gene:GSPATT00022187001 transcript:CAK89018 MRECLQVSKSEFLEQINLECSGCISALKDFIALNRKDKYITINQEIAINDDLIDSQKISK TLAKLQSYGELIKSTISSIFKKATPQNNKKRCQLHSLKQVPEINIQEHVHHICNDIVEQN ENCIIQDREFDYHLQNYLKTKKFCPSCKENIQAALKHFKLQIPQPDCACSIICYIKYDHQ KCEIQIPYDAILLNALLNKAQIQPFYQHASTKEDAQEELLICFGLLIKEKLTTLYRERQS MEIIKYTFYQQIGEIFKRRLENYNKMKGEYQSQLEELEQFLKNEDEQKDKQRKKRQLKKK KRKEQQLSSDGSVRTNYMQESSRRSISPSNSEKNLLKSFGWQGSPSVSPQTRKELEFLQK EHLQEINNRRKQLREQTKQCWCEWCHHHKQK >CAK89019 pep:novel supercontig:GCA_000165425.1:CT868652:328057:328696:-1 gene:GSPATT00022188001 transcript:CAK89019 MNTNQLKTKVIFDFTKKNKQLLDEWSRKAVQAFLEQSRKISQKKCVQEIYLWLMMDTMTH WSNQKYSRIYLRVQKRNENQISKKQREIYVQIENFIFYCQVIRDEKFTKSVFKTLREQVI EEFCYQQLNTQSKNEEDQLKLRLPRQVFTKWRKLAHNETILAILHQEYEQLIAQLENVLE KKLIELQVEEEHHKKRINIKI >CAK89020 pep:novel supercontig:GCA_000165425.1:CT868652:328782:330601:-1 gene:GSPATT00022189001 transcript:CAK89020 MALLKDDTAFTHVIAIDFGTGASGYQLNQFRFGLAPKVLDKEGKPRIEVFNPCDDSDDQK TPTAILFDNNGQFIEFGSQALQKYASILDDGDSAYLFQNYKMHLYHMHNNARSLDNRELP LMLLIKETLKYISNKAIQKLKEQVGKVISTKIRWVLTVPALWSEEHKQFMRKAAVEAGIV ENLNSPNLLLCLEPEGASIQCREDAEQTLKEQMAKSSVVMVLDCGGGTVDITVHKLLCEP NEKFLCNELIPSSGGCEWGSKYVDLYFEEFLKEFLGEKLFRCYQLNAIARLDILRDFEIL KRKFKGNKDERCMIKFSYLGEELNTAKLTQLVKEHNSKHAAEYQIKLKGLSNVEIPSALM ASFFQTLFENIKNKVGQLLQQVEQKKEKVNFIFMVGGFSESPFLKSEIIKRFENNAIQIL VPRRPQVSVIRGACLFGLSPRSITSRIAKKTYGINTLTTFDAERHPIKKKVIIEGEEFSE DVFDAFVRKGDAVGCDEVHTKIYCPVRSRQTIMRIIFYVTEKREVEFVDEQGVQQLGELC IDIGKPLQSVEDKTVKVTLLFGNTCIYATATNKDGTEIKNCEFKFECGQ >CAK89021 pep:novel supercontig:GCA_000165425.1:CT868652:330670:331543:1 gene:GSPATT00022190001 transcript:CAK89021 MKNNYVKENFSKPNDYLEGTQDELRGKIKVLMNKLQVTKKEKEILSKENQNLQQEILQMQ SNLRCMVSGFANTSITFPMTNELSNSIAEFYKCECFDIFFDVLSQELNMKGIIYFFQTAM YRIDKIINDYFTPLFKNIKDVGCLTTIEGPIINVLRKSFQSNYKQIYEKCMVNLGSVTIE LQKSLRLNNGDIIESFLNKLSEIMFNCYISDPNLQFDIQSIGQKQQFNQCKNDPIDGFIK NKEECIILMPGVYKNQEQMAKSLVLSYSYQLENN >CAK89022 pep:novel supercontig:GCA_000165425.1:CT868652:331640:332773:-1 gene:GSPATT00022191001 transcript:CAK89022 MLNSYDTPDFRETIEYKGNLAFKPIKYDLKLFDSVETLICPELSITTRCQQIFLFMQMMY FFVIHMAYLIIPRALIIESPLIYVFSWRQLINKNSILVVESFQINLSPNTSKRFPINILL FTFGSFGRIFVYLFISEYFDISKFLLLNLMSISLIIYIRFKVLQQAYHTYMEINQMKNPF QLNEEFMNEPQVDKDWDLLMSRQEEDLKQLENESQEQEEEASEHSSEKEQEQVKSKGQKS EITNKNQPNFNELKQEDQLKNSDVIGINNILDFKVFYYNYMIAIIVPCFMWAFFYFPIKQ LVSVLIFNILYLTFIWIHCKTYNSERRIFVLIYNMENAYLLLTAYFDSDFLCPWWMVYEL IKMGDKNYQKKMRLSQY >CAK89023 pep:novel supercontig:GCA_000165425.1:CT868652:332802:334515:1 gene:GSPATT00022192001 transcript:CAK89023 MNKENNNTSLTQNQNGRKTMKLNSNDIDQFVDSRIVQLQKQLLEKEVEIENLSKLNQDPK NQNSNKYRLMEIKQQTLQTENQQLKTQLQQYESLNKTQQRKCEQYQTQIEQLTAVFEETQ KQLEQERQEKYNIQFQQSSNHMDVQQEKILIKTQLDSLRKEKSQLILKVKELENSLQLHE QNTNSNCSELSSLKQQLQQQIQKTSEYLEKNQALEVKNTLLEAQSKSNHSQNDELRNLRS QVIQLQKDKNSQLELQQDLETKLRLQTEHVLKLQNLLNDKTKQLGKYQEKLHQVESIEED NSILQQDLEKMTNSLEEIQLENQRIVRFQQQKIDQLKKELQQTEFIREDNNRKQEEIAQL KYQIEKKKGEQEKDKEQLQELSLLREASKLWAQDKENYLKKISLLTDELDKIAKQQYDQL RIQVETQVQMKFSTEKVQLENHIWQLSSQINDIQASIEQYTTKISQLNIENDQLREQLNE KQITQKTVIVLEGQLRNTCSELEKLKNMFTQRNQELDLARNKILALEGCAGYAQELEKKV QTLMQDNQRLNSIIMDRCKNNW >CAK89024 pep:novel supercontig:GCA_000165425.1:CT868652:334544:335059:-1 gene:GSPATT00022193001 transcript:CAK89024 MHNQLIERNAIESLLNVCYDDQPQYDNDYEQEIRSLLKRTIPVKQRKEDNEIASRQNTIS FRTPLRYQNEFRLKTETRSQIKQPKTQRIPKQIPQITLKQSVKPQVKSFRDINHKNQVPL KASIVSLQNSTGFSFLNTSEYQNPVLVFQKKSLYLPRFVLRLKQQQLFNQK >CAK89025 pep:novel supercontig:GCA_000165425.1:CT868652:335368:336433:-1 gene:GSPATT00022194001 transcript:CAK89025 MLHESQFVNVSQKHLFLPNSPFDLNNQSEKVYTQIAFEPQQLEMMFDILPFQKAVSQQFN KNPSKFSNLKQPTPYTILQSKRSIHHKLQSTMSNYQYQKEPLKSQKGTLNQYLYQQDLNI CHIKVKQIVFEFKLTSYKDVAERLIKEYYQEQDRILDYDNSKDEQNIKRRVYDALNVMIA SRVLKKEGKTVKANFDNSGFAKNLLKLKSLHEEQLNKKQKIIEMKKKQLADIVWKVKAAN SLIERNKSLEFNEQQQLFYFPILTFTQDAKHPKFIKDKKLLKILMKSKTKFTADLDIVKQ LYIEQIDSKYLLDECQNLYI >CAK89026 pep:novel supercontig:GCA_000165425.1:CT868652:336496:337022:-1 gene:GSPATT00022195001 transcript:CAK89026 MQHYLDNNLNQQQQDPFVNDDFQGEDGDNLLLEEVDPEQEIQEQRNQLLSQQHQELVNKV LQEEDEIIVFHRDHIDVMVEICKSDMILLNSLDQNQVAVADYMVKLKQNLQVKQQAINDF ITKLGQYELLLEQEAELNEQLKEFGLGNNSNQTNIQ >CAK89027 pep:novel supercontig:GCA_000165425.1:CT868652:337064:338946:-1 gene:GSPATT00022196001 transcript:CAK89027 MEGPKITVVIRKRPLGKKELARGDQDIVQVKDQATVLLSEIKQKVDLTKYVEQHHFNFDL AFDESVNNEGVYATAVRPIIEAAFNKAKCTCFAYGQTGSGKTFTMLGDPEANVPGLYLMA SYDLFSILQRPEYGNLYVTISFYEIYCGKLFDLLNDRTQLAAQEDAKGNVQIKGLTEKKI QNVQQVMQIIQHGQNSRVTSQNSANSESSRSHALLQINLKQGKLVHGKLSFIDLAGSERG ADVRDQDKTTRVDGAEINKSLLALKECIRALDLNKNHTPFRGSKLTLVLKDSLIGNCRTV MIGNISPSSANSEHTLNTLRYADRVKELKKPQEQKSGGDALNRELMLARTDTNVIRREYR NPDSEDEEGDDLYSGPQGSQGSLTQMNNQKYQQYNNMTSSQQYSQQQQQQQQFQYAQQQQ QQQQLPPPQTQSQQHPRTLSANSQPQSQQQQYNNQQFTNPYAKQQPQQQQPPNLPKSKSA QPNQPQQQQQSSSQTQLGNPQYFMQQSMMTPQQPNIYQQQQQPKSFSNSQILKLSLKPQQ YQPQMYGNLQKQNVVQQNPIIISTTTKINSLNNNCFSNTLNKRTQTTKCIKNNHP >CAK89028 pep:novel supercontig:GCA_000165425.1:CT868652:338953:340170:1 gene:GSPATT00022197001 transcript:CAK89028 MQIQKDLKSRIQEILQKHNIKQVDLAREIGIHHSTLSLWMQNKNKPRKQDISNSQSTRIE ELLERWIATFHANKGQGMGTGQFRLPDSRASQFMSHHTVRPVLPISKFHILRNQYQNSIK QQRQEIILRKTQEQLKNNPNISLQQALNQSAQDIPIEPPKHQQLVPITIDFELDGKKIYQ TFCWNLNESHMIPETFARIITEENQLPSVIEQEICTQIKKQVQDYKCYYPTKDEMLKNLH VDLRVENIHFNDQLEWDMNNTMNSPEALAELTAKEMGMPEQYEPRIAHALRESISSLQRQ MEPKTGEDFSNLKKSTRTLIESNANFNQFKNVMFSNQINFKNWVRCELEYDHQFEWGPSI ELLDQNALRNVQKQEDRKTRYVRRQR >CAK89029 pep:novel supercontig:GCA_000165425.1:CT868652:340218:344661:1 gene:GSPATT00022198001 transcript:CAK89029 MEEGNQKQNLSFQIAQLEEEYNNYIDSSEVVMEYYKNQQRSGLDKHFLKIEEWLDQDAKG KQNLPLVIEAEDGVGKKTLLVKWIAYHNANKKGRYQDIIIPHFAMVGGNNSNYFYAIYRI LVKLRELLNIGQKVELLEEKLRKYFAYWLDICNSHIENQILKEAKTLYNRIILIFEGVNH FIDQGNGISKEANVSFWLPQFFPPRIKVILTASKNSGAMQYLQKINSQVISIKVEPKIIE KMIETHKKRKTFLSDSLKDKLLNILTQTKCEMSSIFCKTFLSLLIPYPSVGIIAENDVRP DVIESLVSQIDMSKLQEVSCLDDLVNFILDHYQAKMFKDQDKFIKILICFTITQKGLMIQ EALTICQLNENDWKTFLVFFKVYLMHYKEYWIITNDIFKSIIQKRYLKDNSSVPKLHEEI ADHLNKSTNSIRKLEEQTYHLFMAKTYFKLKEIVSAIENFLLLFNPNNKYDLCRYWQKLE EQGFDPVIEYNKAIEGFSMQYHPSSEDIFRIIVQVSRFLKEFCDFETYHTPSFRHPPIQG IEDELDDIGLLNELYRMNLCYIIDANPKKIGKNDENYKSPQERINEKIEKLKSKLVLQKD QKQTKKPGKEEDIKKTQTTISLLEKKKKPEILTKLEKLNVDIPYNREQVKKFFEEKIGIS SAQEDKQREKESEEAKIYQKLENGQISMRDLDINLSKDEDVQLKKPGQLKLTHNQIQVKK LEEQSLVSERKPTDYYYKRWIWIQFPWVCLSVHSDYSAKMKQCFAKATEYMSVQEEKAFT KQALKIAIEAKLKKKMMYQKQEDVNSTIFEDKELSVIPIKQEQNTSILTLMRQQQNELQG VKGFRKEKSLAENTLNNLNYKSNYREVKSTKMHTTSLQFFITEDQMSLSQIHKEIEEQKK QQQQNQVDKKQTTLPAINKTQEVTLNTTMRIIDKSKMQKEKFKQFNPETINKSSQSILPR LKSEIALHNGKELYIMLQQAKDLKKELDNIIYQNQAATKKLASLRTLQHNEGFGTDVDTV SQIQDKIENLKRLREEAEHDYLLSMVQDSRLRIIIKISGDNRSYNEEWIRDLNYLQCNLN KLIKYEKLDISKMEAEIKQLHTIHIQYVDAFNNNMNYSKQMIDQINRTVRQKEDFDHYFQ QSDSIIQQQSTQKMQKLQQQYAQNEDKEQQRLKRIQLERNSRAISDKLEVLRQTMAHVSQ YIEVENPDYAKEESFVVFLNQLEIKTSLEAKISFQEDLLSDLHLKLHKQKMYLNTFKNAN SNESKKERVKTIQFINGKVQDNETIQKLIEKRDQQLKSNQEKEKQKNKLQLALLDYNISI KNVRSKLQSCKFKPTSEQVTVSKITLINDIYEDLTKRQNFIKEKLGEDLFQQFLNKKLDF SKVYKAAFKYHQDPTLTQMEPY >CAK89030 pep:novel supercontig:GCA_000165425.1:CT868652:345688:346659:1 gene:GSPATT00022199001 transcript:CAK89030 MKQFLTAAIVTLLMTAGYYHLQEDDTNDFERWALKNNKFYTESEKLYRMEIYNSNKRMIE EHNQREDVTYQMGENQFMTLSHEEFVDLYLQKSDSSVNIMGASLPEVQLEGLGAVDWRNY TTVKEQGQCASGWAFSVSNSLEAWYAIRGFQKINASTQQIVDCDYNNTGCSGGYNAYAME YVLRVGLVSSTNYPYVAKNQTCKQSRNGTYFINGYSFVGGSQSNLQYYLNNYPISVGVEA SNWQFYRSGLFSNCSSNGTNHYALAVGFDSANNWIVQNSWGTQWGESGNIRLYPQNTCGI LNYPYQVY >CAK89031 pep:novel supercontig:GCA_000165425.1:CT868652:346682:357674:-1 gene:GSPATT00022200001 transcript:CAK89031 MVSSSFLQYLFACICVEQLRALTLVPEQNQKTETINLFWVSTSQFKETSNLYGSTQGGTS LYMKAIGFDSIVTNHIVYIGKYPCIIDETYAKEQEVSCKTTAPLLNDNSLQNLLVSIETS DNKKSVCTSQTNKCLFSYKQEISPYLHYLIPSIGFANSVLTTKGQWVLQGEEQNEIEKIL IGQKYCVKNQYRILNTQMQKGEDVISCKLAEDLISSTYQVNLSTRRGNILSQKSFKMLPI ISSLQWSNEANDSFILLIKGQGFKSGLTDNIVKISGTDVSITVLEASINQLKVQIPKITD QILLDSLNVVGSVFISGTGLLHTKYDLRGLTNKYTNCDVFRNDIISDSQELKARIIQHGI QSQPDSIDEVESQYGQYFRGFLKATQSGEYQFLLAADDCATFYIQTAETLKPIRAESPAA SCQQSTQYRNYWGEQLWDSSKAVNSISQTVNLVENQYYYIEIFHVNTVGKGFVTLSMNAK ITEVQAPNTKQSQLFQVKTSYTPKSEIISIDIYNSQGNVLLLDTFKLKFTNSNQLSYTTE DITLNYNSAQIQQSLLDCCNYLTQVKINKLDNSGLILDDASETYAGNQYIITFLSHRGED RSLPQLITTQEQFSFNAQTTQEPLDPISGTFKLSITVKAQVQIFVDENNNQGLSYDVDPS VLADNFQRLIGERPIVWTEGRPKDGQIWNILFSSCANTIQNFQSSWNNLQSGNGIVNLVV KNIKSCNSQLYEPIPTLKLFTYGTKPQVQVSTSEGQGACLYEGACDFNFQQTNLFVLQSY GVSNEIMSLSFTIQSDAQFTLAATDFTIEFRGAICGDIKIQTNDGDLFKLLCTLETQNAQ VVAEAGTNQYPIVLNKLYGYIRRDPSVIAALTSLEFNSINPSSASPDGGAEITLLGLGFP KDFSRKFVLKMGTTQITPLTVSNQKIVFMHPAGTDGTIKIEFNGNSYSDSSFTYNLAYKL TITKLSSILISPFFRDTLQVTGTNFGTVLQDVKAFIQNKEIQVIDVQDKLLTVQVNVTLE IAKTQLLVQRKQYGQTSSAITVDISITHLTPNSSTIKIKSFGGYQVKVLGSNFLNGPSII SFNSVNPQICDITYRNDTNLSCMSPPQILDVQSGVFRLIQNFKHVTTCLACKFEYQLAPD FIKVTILDNTINYLKYKPKYLMLWSEEAKQQNSNPLLFYKQSQYIDALQSYDIVWDQKIL EFTPGQAVQMLFQLSATASDLMTKLAAIKLSFSQNGQTKFSLITQAANQVTIVIVPQLTQ GLHLTQPVLSYSSTFLYWYTRFGLGITSISDTSSGGGVILIKGWGFNEIYIKDILVQDKE CTEIKVINSTDIQCNFKARLTAGQYMLTLNQIDQENQDKVLTRNMAINIKDYALSPKVTS IQIDSEDKLDATRNAIIHISGTFQLKIQGENLAGTDVSVRLIGETEIQTGKKNSGTSTIL ELEFSNVPIGTYQIEIRVDSYYGTFSDGNYENLILDQSQAPTVNNPSFSTIGGVIINISG VGFSSNSFSHLVKFCGLTCKVLSSSFNSLEVLAPMLLPSQLLQSTPYSKILLKDLIISGD KMELAQNIYDDIISTEYSSDSQTSCFIQFNLKSQYAQLKLTKLRFQPKLEFDQNKLRTIK IYYTIDGQNWISLEQLKYINEGWNIVILQTPIDKINAIKFIDDQGVQGSQCSFSEIELYG WIYYDDDILTTCQLDINVNGIQLPSLSNANTFPYQHQPIVESVVPKYGPVNVETVITIKG SGFIPDQTIVTIDNVQCLILSVITTQITCKTGIKTVFTDNSGLVVYVSKAQALVIQQFMY IQKWSDASTWEYKVPKDEDSVLIKNYQKVLLDVNTKQLERLIIEGTLIFADEQDTKLIAN SIILREGSLIIGSQDQPYQHQAIIMISGRETDFQFPLIGNKVIGCIKCQIQMFGKQRTFT WSFLDSKVLKGDSIIKLSSSVDWNVGESIVIATSSNNIEENEIRIIKAVSSDKKSITLDK PLSYTHTSELTSKTEVGLLSRNILIQTESQGSNNYGVHIHINGRQSEGTIVKISNVEIAN GGQTNLVGRYPIHFFNNEEMDQSLIEGNSIHDSYSRCIVLNNVKYLRVINNVCFNIIGNA IHLTSVADIHNRIESNLVISIKSNQYVDNSCSFFNFQTYINQLQDIDRQSSAFYISNPQN TIINNRAISSDYIGFNIFVNRINSHDHLMTQPLGWDVKNIQNNVAHSVVGHGIQINILLD TQQQQDQQNLAYILKLFSVWQNIFNDLNLIIRTETEVFETQFNFPNADAIDLSIISSSTL TNLQNPLIIKIQYLLSNQNFQVYRFRDQAFQPLLEIPNQQNCQFGDWYNDQITKSLYICI SGQQQKSKYPIKVKGMICLNNDQCNFANENAQCPNILLIQNNDDGSSGGQDSNNTENNNN NNGGDSNTDNTTGNENNNGGTDNGNTDNNTNTGNTDNTNNNGNTNNSGNTENTNTDNSNT NNNNGNTDNTNTDNSNTNNNNTDNSNNNNTGNTDNTNNNNTGNTDNTNNNNTGNTNNTDN NNTGNTNNSDNNNTDNTNNNNTDNTNTGNNNNDNTNTGNNDNQVNTIYNWSSPLAWKPNQ IPKVGDKVTIKKGYQIILDIDPPELDELTIEGQLIFDKKRQESVLQSSSIIISQGQIIAG SKENPFEGKITIKSLNIQVFNRFELYSTIPQTFQTRLVVSSQAGSNNIEVQECQDWQIGD KIALGPSGTRWNYNEEKVIINKQGCVLTLNSPLRYDYYGDRSITIMKNNIGQLDMRTLVV HLSRKIKIQNPDLSQGGTIQIVHGLIENDIYIGQISLQGVELVNFGQIEKAGLTIENIFI QASQTSSIVGCSFHEGQGKFLRISNSNKVSVLNNVFYSGVKALVEIVDQKYLIFKNNILI HVKKAVTSNWAVLANFIFSKSNLNILRSNLEITENIGQGSEDAGFYIVSTYCNQVDQANI YNNVCSSAQNACFAVVQRNSACDAISDLYAYHSKIGIMFSVNSRQLEMKKFITAENKINI ILKGSSINEMNNVIKISDGYITAVARPNCFSCYENIDVNYCSSTLGMQLATMSSQSFVPT VDNLMSDQFDWISTKQVMDLKVLVNNLEFNQFKVNNNQVANCVENAVFRQHPQAIDMTGR HYLKNTLCTDCEFESLLYRLRDSDFNKIGFLGGCGSMECTGQKNILIEDLTGDFFGEIGQ GISNNTEFGQHAKYCKRIEQWNGYWCPGRNIQVLYFMSTAPDENTRLFSPVVLSDGKNKN ILNSCYEWNWLQSKPLQTRESNFIGLVSANSIIGLNTTGLQPTSSKFWLSQNSDAKAQDQ VIISIKFDIKLMPKLFKNGITISPSLLDSCGSYNYSFIKNKLDFTLTQEPDCYVDVKLFN YLAIKQKFLANQSLNCIQFELTMQLYFQIDSQNTVCSNSIDEGRRRLGESDLVEITFEWA IIDDAQPGTPEAYGSEERLSKYIDKLSQFTPDPDFGIYEILSQSYESYILTEINFPSSEP TELLDTIISNPIVETNTNYSDTSSQGLDIISISENESVNQDKNNNGKVIISKDSEPFDDN DYNQEQSLIIILSIVIPLAFIILITIVCIRRVNQRKSQVVIPTIQIQQNETTNNKLPDTT LMGKSAFKTPKAGYNDKIEFVTKI >CAK89032 pep:novel supercontig:GCA_000165425.1:CT868652:358804:360764:-1 gene:GSPATT00022201001 transcript:CAK89032 MIHPLYQIPVDYQTAYQFENEVMPKNQMIEYAMNQNLNEFPIYPQFQYPQQVINYDIVVD QKSQNKTKKSKKHKYLLYYYGRDDQKELLPKTKAQQEEPQKEDFMKPAVQPQYSLVHFTQ GTLLPKLQSDIVTNSSPVGSKQTLKSNKHHQPKKPKKENINTGHWSAIEHTTYVNFLSQY ENIMNSSMMKKTSKIFKQMSELIGTRTPSQCRSHHQKFNPYAHRGENGKRLPRNERSRAG RKKKSQFVEITKDEQLVEQDPYYLMFDKQFYYHPYMANGIEYQQFLNDVNLKREDMHEYI QQVQPQDYEEIQQLVRLLIIIIFNYFMIALEHCQQLLELYILEYSVPDQIYVHDDEPQTP VFDRHTTQHVQSVLWKRQSIKLNESTSQQELEVKKNYGLFETYEPKPSLIVVDRDKSDDK YRLEAMKKKKDKKNAKLRDQNLPYNEYIHPARQIQVKLLLSKKPLKDYQAYDSDGSIMRK REQVKQLSPIVNTVKSKLQGRVDKKQSEAVAEENIINQQALFKLKVSTKVKTQYDEPYNF EAFPLKPGVKLTFTQEKKKKEEEKVNNDEDDLTDADTYQLQKFIKKPSFTTLPLFKLPKQ KHNITHERSFRTIRQSKGFDYF >CAK89033 pep:novel supercontig:GCA_000165425.1:CT868652:360894:363059:-1 gene:GSPATT00022202001 transcript:CAK89033 MQPRTISPTKYSQVLASQDFSQPGTVKKAHPLNNQSPYIHSPLQNKMNFSIVSEDAENYR IKCHELERELAKYKADNELQRLVQQKSGDLEYKVVEVLESNNALQGQLERAQKMALQRKS ESEMWKQKYEAQMGSLMQIRQNYESEIKALTLEVQKANARSSALDQEKNRIISDQRNVAD NQTTQIQETFKRSNNSQADMYEAQLKKLRDMLEDRTLQVAQLQSQIERQKIESQDTQLRL MNEIDITKSRLTAIQIDHQNELLAQKQKLDLYQETNLRNQQTAHENQQDVQGSEITKLKN LLEIKTQEIETLINQNQKQKIQSELEISGLRSEIEMLRRQILSNEQLHQQENHNIQTNLD NIHNNDTTNLKLTHENQLQALHKEILKLKEIIDHKNSDIQKLVVEKQQQKDYYDGEIQRL INTIEDQKRRAILLENEKNREIADQHARIERLTIQYENMQIDLQKQIQLLNQEIINLKNL LDHKNQEIQQNLKNYSQIKLEFEDKLRNLQNDLELVKLRAFETEKSKLQEIQDLKTLIKE IDTQNREKQKQLEQQIDHQKYEIQKTFDMYNNKIKECENLTIQRNNAELIQKRIQDENDK LIDKLHHFEQEKNLEIDELRHKMDSGAAYQFENLKSAYNTQVGLLSDQISDLQNQLAIKN RELTEMIEKYTILDKSLLPETLVSRAAYNSRIGVPAELLANKSIHDATLKSQLRNSPIQR Y >CAK89034 pep:novel supercontig:GCA_000165425.1:CT868652:363533:365720:1 gene:GSPATT00022203001 transcript:CAK89034 MINLENFDQTKFKNPILDSPRSIQACRLIGILPQELIQISLQELKQRRPDLKLDKQDWQK FWEHQEIKRQQKLEACIETRQQIIQAGGMQGYEHDDQNFKDSRMSDQQSNAIEREKKELE KMKQRQQKEIDQMLEYERRMQEIRERNEEKQRKQLEKQMRREQELYEKRMQQELEKQMRE EEKKKKQQDEERYNKQLASEHFRREQERAKMEQEKQNYLKMEAKKKEEERRLKQMQFQQQ TQQIQQQYEEEMQRKRLIMQQKEVDRLQTIEIQRQQRIQESQQAQQELAIKLVNARVKQE DQLNNMKKSFDLKQQKIEQRRREFEEMKMQKMYENQIQALQHQEKIKEVMEKNQMQEEQK KYDYFSKLQEVEQRKEELERLKQQEIISKKQQIYEKELQRQQVLQNNEKQLEQKTNDFLR KFQEKEQLIYEKQFEKQQFQHEQKVKELLKEQDKKEALERIMRLQEYEKELQRQKLQDKM RRAEILQIEKNQMLEQRMMIKKEIGFQKQELMQKLELVKLGKLDPSALNPDGNSIRASNS LQNSYHNVRPSTAKYQNTLSNKDMRHNVRPKSQQQKILTNSSNTQFGSSNQGKFTMTNKH ENQIDQLKVRQNQEMIDVLEEEQQLEFEREQAMANTKNPEEQKRLEKLFQIERNKTNQRL DQLKREHQRQVEEFRNQG >CAK89035 pep:novel supercontig:GCA_000165425.1:CT868652:366239:367713:-1 gene:GSPATT00022204001 transcript:CAK89035 MGSVCAKKKEARINQVIQEHSASPYMHDQQSSLLLQDKKTQPQTLIKVKIPKKNKKVRET VKAVTLKNEEGQKMLNDYVFDEFLGQGAFGKVKLAHKKGDPTQRFAIKILKKSKLKRQRE FVKDANGNLVVKDALQDVKKEIAIMKKLRHNNLIQLYEVIDNPTSDKLFMGKFPHFYTLV LEFAEGGQLIEWDDDEGKFYKLNEDEELTEDILSSLFRDCIKGLAFLHKNKVVHRDLKPQ NVLMSGKTAKIADFGVSQVVGSKNDVLENTQGTYYFMPPEACNKETAKDGYSGRAADIWA LGVTFYAFTYLTVPFTGNSIPDILKNISENEVEFPENFAISDGLKEFIHFILNKDPKKRP SISEIAKHPWINQSSAQLLDEMDQEEKVMEVSQVDIDNAYSLVSLMKIKSWAQKWRTESN LKKAGPQNSVEEIEIK >CAK89036 pep:novel supercontig:GCA_000165425.1:CT868652:367922:370022:1 gene:GSPATT00022205001 transcript:CAK89036 MDDDQLQKLIEGDEMRKFTDYFSYKATIGQGAFGIVVSAVNLTTQQEVAIKKKLVNRYDQ LKQESTILASLRHENIVKFIDVKETDTRILIIMELIQGGSLEDLMQKLQKSNNWFTEDQC KAIIRNILQALAYMHKNNVVHRDLKPENILVNEDLSCVKLSDFGLSSVQNQLMTKQCGTL IFMAPELLMNKIYSKNVDIWGVGVIMFMLLNYGQHPYYKQGDSLEQILQKTKTMHEQGRL TQLQYSLFKKLTELDQTKRYRADQGLLHPWLNEQIDVEIPQTMEEIFHTWIQQQKLLNLI KSVMCLSKMGYMKKLENREICNRFSEQKNKLKEDKNKKEGKLTINKEFYDELFEDYQKTL TEHQRRLVLQTQKVESEKQIKQQVQSQALSTVQAKLQFIIRARKPTSSQKNVDEPVHDID NEVKLEQNESQPDMLQLDNELQNDTSAFEKSYHDMSMQINPIVKSPKKKRKSPLKTQTKL YIQKNESINNSQQGIKEKPSNSQVPSPTKLINTSVINSNNTTKHQIRLKPLQTQIEPPKE IIANNTILNQYPTNYEPNFRSAIRPIRQSHVPRLSRLSVDRDQVQPAVLDLSSMAMGGFS PVHSNQFLFPSKIPSYCPQKRVYQNEFHPSKVLQSLASKKSNDHYNFINGGPK >CAK89037 pep:novel supercontig:GCA_000165425.1:CT868652:370066:372111:1 gene:GSPATT00022206001 transcript:CAK89037 MNQNNTINIRAIRNKKSIKKDLSLQPKNIHDQYYQESDDSEDEKILSKIGRLQTKKYKDK DFIGYDENLNKIQKKKQFQDTIDEFINKTENKDWWRVVRDELNNQDVVLSDKQLQLLDRI RTGKTAIKLSDDYYFEHEDFNQFDLLSSYNPKRRFLPSKWERIKINKLVQGIKLGRIVLN PPQKVEKMFDIWENVAEDQVLSKYLPARIPASKAQLPSNVASYNPPEEYLFTEQEKQQWL QTDPEDREIPFIPQKFKHLRNVPSSEQLAKDLFNRCLDLYLAPRIRRKKLMMKSTDFLPE IPKPEELKPFPTKLNFEFGQIDTTKSGQVKQISISQDGQLLGVCYEFAIAFFDTKTSKCL YTKWQSDDKQFLGLDFSSSGLICILQSNGFIILNSYIDKRQHPNVEDGEKANKQIPKNSN NVFEWNFELDDNVFQQLLEVKMPYDPLYAQFHSKSDYIVSTQPHTHVKSHCILVHSISKS STSIPFQNMKASTEVQQTIFHPTKPELYIMTNKNIFIYSLTKQQLMKKLLAGNQQNSTIA IHPYGDNLIVGSNDQKVCWFDLDMGKTPFKKMSYHKNGVRRVIFHKTYPLFASCSDDGTI NIFHCRISTDLNQAPVILPLKVLKQKKKESVMDVAFHPTQPWIYSASKEGVVSLWT >CAK89038 pep:novel supercontig:GCA_000165425.1:CT868652:372567:373520:1 gene:GSPATT00022207001 transcript:CAK89038 MIKRNEKLKKQIAPSRNQNNKACLKPQVFQFPQLMNKTTTFTEAIRFQGQIQQPFTPDVM IRQSINKPRMIEQPKFKNNYGIQRFSAKIVSKQQNVKEPVIIKITTNKNHNVLQQLEEQS EYQLSELGTFDDLIQQIQERVLAKNSNILQLAQDLCSIETIDLRGFQCIPQLIKHLVISS VQQQLHISQILSILFTLCEEIMTRDDLDESLLEIIKVYLQKGYESNLQMIVIFIKRCGQM IYYIRSNKQKVFSELILTLLLKFNSTSSFEESQLQLSFNIILRHKQLRLYIKDNQDIYKL KQLSLVDQLQRFKIKIN >CAK89039 pep:novel supercontig:GCA_000165425.1:CT868652:373554:374385:-1 gene:GSPATT00022208001 transcript:CAK89039 MTDNHQVPFGSGMFQGQAKFVDYAHMNQQEVQVNTLDEPVMDTLLRDINMILYKLSYVII PRMKETQGRKLRNCKRLDQIKIGDLWGPLLLSLLLAMTLGINSNQSSDTIFGTIFIIMWG GSAVITVNAKLLGGQVSFFQSVCVLGYCVFPINVAAVLITFLQSYLGFFLRLIIVGAAFL WSTFSSLSFMSSMMNEEKKVISVYPIFLFYMFLSWFCIFI >CAK89040 pep:novel supercontig:GCA_000165425.1:CT868652:374633:376678:1 gene:GSPATT00022209001 transcript:CAK89040 MNQNNTINIRAIRNKKSIKKDLSLQPKNIHDQYYQESDDSEDEKILSKIGRLQTKKYKDK DFIGYDENLNKIQKKKQFQDTIDEFINKTENKDWWRVVRDELNNQDVVLSDKQLQLLDRI RTGKTAIKLSDDYYFEHEDFNQFDLLSSYNPKRRFLPSKWERIKINKLVQGIKLGRIVLN PPQKVEKMFDIWENVAEDQVLSKYLPARIPASKAQLPSNVASYNPPEEYLFTEQEKQQWL QTDPEDREIPFIPQKFKHLRNVPSSEQLAKDLFNRCLDLYLAPRIRRKKLMMKSTDFLPE IPKPEELKPFPTKLNFEFGQIDTTKSGQVKQISISQDGQLLGVCYEFAIAFFDTKTSKCL YTKWQSDDKQFLGLDFSSSGLICILQSNGFIILNSYIDKRQHPNVEDGEKANKQIPKNSN NVFEWNFELDDNVFQQLLEVKMPYDPLYAQFHSKSDYIVSTQPHTHVKSHCILVHSISKS STSIPFQNMKASTEVQQTIFHPTKPELYIMTNKNIFIYSLTKQQLMKKLLAGNQQNSTIA IHPYGDNLIVGSNDQKVCWFDLDMGKTPFKKMSYHKNGVRRVIFHKTYPLFASCSDDGTI NIFHCRISTDLNQAPVILPLKVLKQKKKESVMDVAFHPTQPWIYSASKEGVVSLWT >CAK89041 pep:novel supercontig:GCA_000165425.1:CT868652:377285:378150:-1 gene:GSPATT00022210001 transcript:CAK89041 MTDNHQVPFGSGMFQGQAKFVDYAHMNQQEVQVNTLDEPVMDTLLRDINMILYKLSYVII PRMKETQGRKLRNWDLWGPLLLSLLLAMTLGINSNQSSDTIFGTIFIIMWGGSAVITVNA KLLGGQVSFFQSVCVLGYCVFPINVAAVLITFLQSYLGFFLRLIIVGAAFLWSTFCEFIQ TINSFTVFYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX VNGY >CAK89042 pep:novel supercontig:GCA_000165425.1:CT868652:378997:380547:1 gene:GSPATT00022211001 transcript:CAK89042 MEKNQSLINKVSPFTSITEGQKYIITKNLPQLIQMVLKDGAEFQSTQIQKLKALQQLKMI CCIADIGEYLTQIFKLLAKVYTNDDKYYLIECKQICYVIGLKIQIDYYLPTLINQARFEL QAQQSKTLCNLINMISETIVHENDNLDLQSLVHLISDVEGVYSDCWEVMECIYYLENRII SQSQQELPIYVRQLFQALLTIRSFNKIDCEPLLHQLSTKCGYTSVTDLHANEISLILEQI VNQEHFKTWKHNSREFLKFKAIVTGCGDGISKYMQQIIQIMKFSLGGDQEVELRLEVLLL LDKVVQIQSIKDTIKMYAAVMISQLLIQSMVWKANKPTVKVRKAAVIITLQLSEMLTQEE ILELFPALAAPLKSCMTDDWAPDLRFASSKLATNLIQKCQILNYEIIRDFYPSLLERLDD SQNQIRIEITYAIAAIMQQKYDSTTILEYIVKATLIHLDDSSEEVQRAVFECLLKCPEKA VVLKEAEQAVKNFKYPRLCQELIKLVS >CAK89043 pep:novel supercontig:GCA_000165425.1:CT868652:380973:382266:1 gene:GSPATT00022212001 transcript:CAK89043 MKRRGNNQVIQQDSDQKLQSRKYWKEEEDNKLHAAVFKHGSNWKLIAEFVPGRNASQCAQ RWKRIKPKENERNQKWTEEEDKEVLRLTKIYQFNWKQIANEIPNRTGRQIRERFVNHLDS NIIKTPWTKQEDKKIWEMFQKMGTRWSDMSKKMPGRPENMIKNRFYSFIRKQYGKIQNPY YVVPSKVRVLEEDGQKQSQGMKKIRKFKRIQQSFKVEEEIVGKENKINEEINQQQLQSDL QCQQGPFTQFQTQQSQQQQQQIQFSQSQFPSLQHSQIQQSQFPQIIDPFSFFQDSIRSDS YRVNQYPEALKQYQDFQNWLKESLKSSSDPELNRMYKFETPMMSFLYMNPSLIHSMQQQQ QPIFMKEEEHDKLFTGLENSLPVQIRPDIFEEMKKTNGDTQQQQQHINHQQKQQ >CAK89044 pep:novel supercontig:GCA_000165425.1:CT868652:382268:383457:-1 gene:GSPATT00022213001 transcript:CAK89044 MRTGLLICLIFGVLSVELNMERHSEEVLAKLKESKWASFIVDFAEVELGSGGALTELVEA ISQLIDQLEEELDDIHHVYSKRTDEHNRDVTRYEQEIQDADRDIFNGEDFIDNTLLAQLK TNIEENRRILDSETVNRKKQHEQFLSNIAEINEAIGAVDESLGLLSQITNPSLVQFKRVQ TNLGRIQTSFQNHSSFAPIIKALLELATEQNFADQGSVSQLVKIFNELRVQFVDTLNQET ADESAAETKFAERVAQLEKEFAEFQRAVLIKNSEIAANEQKLGETIVYVGQRKDDRATLQ AQLQAENDNYASETDLYNRTVAEYNKEIEISKQALGLLTQPSFEQYVKSKVGI >CAK89045 pep:novel supercontig:GCA_000165425.1:CT868652:383756:385786:1 gene:GSPATT00022214001 transcript:CAK89045 MSFLYNLINILNLFLLQNIHVNELQRRLLQQFGNKFLNPEQKGQGAFSKVYKCQNTFGEY VAIKVVNLAQAKPIVIPYLKNEVDLLRKSDNENVIKLFEAEEKTFMLYLVLEYCEFDVKS MMRTYFKNKLPEDLVIVILKQLVNGLHYLHKNNIIHRDLKLDNIGVVITPKDFCKLTTSN EKLIVEIFKNASYKLLDLGLAKQFFNKTQTQTYAGTEVNMAPEVLERKPYSFEADIYSLG VCLYQMITGEYPYYDNLNQKKQFELIKEESANFEIIENQVLRNLIQQMLKYNVAERLTFS QLYQSSYIFNSQEVIPSLLERSLIKNQKFYDFNQQIDSSQLMQNYSQVKIQQYQQFDNLQ LPDQKSNSQQHIFFEKFNKHQISTFSSMNNQGNILNQQFEVRSSQLRSQIGKPKSQFTIT FEKWNQRKNLCMLIQNICESLLSLIQNIPEILKYISFEGEFQTYVQYFSKLGQILINLLK EEINQSFEYQSNHLECQKLNNFLEQIEFSTFNQYQYSTSSKHWYLARKIFNLIDTIKESK EQIGWDNTNEDFQRNNSIIFRQIIGLLQSIYYQEKKIDNLEYKKLNNLNCNLYIILIKLA ILQAAFDKNFQFKENDITKYSPEIFDENPDQICDYLVQFMHQYQIQYYENK >CAK77371 pep:novel supercontig:GCA_000165425.1:CT868265:589:2877:1 gene:GSPATT00039198001 transcript:CAK77371 MDLMVVQGKCQMCSIIENQIDTKLPHYKVQLYIDVYGYHTIDGNEDIKVSILDNIYTKST HLNPYSYGQKLNQCNNYSGKIIRQSIFTELSHTQEQVNLQIQSTANQTLTDESYLFRNVQ LILYRCYKTCKTCSGDGKQQCLSCYSNIVMSASNTCDSCKGQNGQNFLQIPDGCLSQCAN NQDYDEDNYVLVPQEKCEIDCKKCTNTQSCLVCKPQKYLYFGQCMDQCPEYTRVQGDNCL SSIESILKMKNLRITQLFKEFHDLSTTKVTTNEHFSVILSNNDNSFKKGFDIYYSYFASK RIFGGPLVWVNAKFKFTQSWTQEFQFIRIFFEVILGDIKLSSAKLTYKLNDQQLEKITLN QNYSGSNRNIEDQIWPNDYKFNIYKVDKTLKYIISTNKQLTMEIECSNDNNLGFCGIQNM VVVGHFDCEPEYNFDSFNYETGRNPCISICGDKIIVGDEECDDGNNDPFDGCFNCRYQCE EDCDNCVYGYCILKYTKQKDLQLKGDSVEYKLTYNQDVIQLPCIFQCNICISNLCLQCNY GYYLNTINNICETFCGDSIQQGNEKCDDGNSDIYDGCYQCELIQYDKCDKEFEIKNNRCA YCQFGKCLKCMDGFLLDGDICISVCGDGLLNLIEEECDVQAGDGCRDCKIQDGYVCGKKD FSTCQTCEIECSQCLSLDKINLICKSCVDGYFPVDGKCQLCDSNCITCQQQSNLCTSCYR GDCDFCETTPGLFANKKTKKCDSICGDGITS >CAK77372 pep:novel supercontig:GCA_000165425.1:CT868265:2883:4679:1 gene:GSPATT00039199001 transcript:CAK77372 MSSVDDQNQNNGDGLRILNVIQQFSDNEFNQMKFYQELANNTYDLKLVSQYQLQILCNSA KITIDNMEITDYNYNCTQVDDLTCKLQFDFKKSIYQYNTIHALLQFTNSMKRLLVEKNDK SVKYDITPREFIILNQNDKKQQEYIQSAQQSFNLFFLIMIPVSIIFNLFNYLWAVLEILS WINNFYFLNVNYPFNVELFFLNSNWSSFINFPTFQELNQPGCSYYFEAPKRFMNKGIDPL FFNNIQIPFMFILSSILIFLINYLFYSFFSLLDDALKLKISLKDKHISIFNVQIKKQPHQ NAIKEHEQMIVQNKYLKSITDFFKSNSTNLVNQCKSTISLCLLDITLAIFLQLFCSKNNS HIIVDSNQFLAIMTIGIIIFQCYQQYQILNIHQLKAKNKHFEEKFGIYYENINLENSFGY YYSFFGQISKILYIFFMVFYYYTPLLQVTLCYLSSSLCFIFLLYQNPYNSKKEYVIKLLS NFCLSSIILIIISFAMNDQIQVEFIEQNKIILGWMVIALVLVAIVIEFCILAFGLILQIF HFFQILWNRIQKKSKNNSNQHNEQQSEQKETRNETKKTHNKNGNQLQSRDTNFSIVLF >CAK77373 pep:novel supercontig:GCA_000165425.1:CT868265:5075:7382:-1 gene:GSPATT00039200001 transcript:CAK77373 IFDKIVKKNECYQIQQKIKDELKLKRYSNLTSLSKSQKNILSQGSSNQMLQLYQNLINLF PYGILIINQQQSINYINNKCEKILECQGSEQVLEKVKICVNSAKMQENVSETSNRQQRKQ HHYHFLQQIVRKLQLANTPVDILDIILSPQKYFGILGQKEMQIYKDFQSSFHQQIFIYEW ILKSELLSSNSQKRLKLIIIPTFMTNQQQEYISASSQIRSSSKSHISNSNNDKENPVLLI IIKNVTHKYKCKQMRDESIINHSLIKSFSHELRTPLNSCNQMLNIMKLEQPSKEIQDYIN IAQCSIALLIHQINDILDYAAFQSYQFHYNIGNFTINQIIEEIDHLYKLQAQQKNINFKI KISNCLDERNFRNDKQRIVQLLVNFLNNSIKYTHEGGRINLNIKDVSPQYINFKVKDNGI GIDDHKLNQIQNSLLDTKEFGAVLKSHTSSKQAGLGLVIAARLIEGLTESKDNKLIITSK KNKGTTVEFQIEDLQQEKNILSSHLPNQQSEKLSRLANQQSEKITHQANQSESNIQTQHQ QKIVILNSKNQKLENDKDFQEVKSESFMTLRLNDSEKQNQILLPISPDYFSKKNLEYYNN LQNQFQSDFSQNEDILCQNCVHILIVDDIPFNQIALKMILNKYKIQVDQAFDGFQAVEKV KQKMFKHCSAYKLIFMDIEMPGMDGFQTSKYVTNQSNMQILELTSNQAFIVICSAYDTQE NILQGKIIGINTFIQKPVKQEQLNVVLKTVFKIETNSNFL >CAK81370 pep:novel supercontig:GCA_000165425.1:CT868403:3:1674:1 gene:GSPATT00039454001 transcript:CAK81370 KIQQTQSQFCNYSQKQDDEQRDDLKLDLHYLMQHYQIQIVNSKKQYEGKTQRNEKKLKKG KIQAQLKHRLQIYLDTVSFLYYNSIRMQLNLKERENTLSQIGFVIVKSENKVERGEPTNI DLQIILVEYQIQKCLNMNNNILSQKLNNMLQNLQVEGKEINQDYSYEWVVGNDDLINMEK GISIAEFTEQSQNRDQEMEFRILRRLTETQYHNENLYDFHPDILKLNRYGNILPFKHSIV KLKCDEEENQKESYINADYINLINGKEKMMIATQGPVTQTIGHFWRMISQENIQSIVMLC NLKENGKVQCEQYWPRNIGESLLVGNITINFVSQEDLGNNIIKRTLQMQEQNGEEKQIIH LQWCGWPDQGVPNHNDFNIIMELINQILDKVLNDQKVVFHCSAGVGRTGTLISLVNLMII LTTYKSHIGIDNASTIILIKQEIFENPDQFRISVFGVVRRLREQRWGMVHTSEQYQYVYK FIDQAIKYMFQQQQ >CAK81371 pep:novel supercontig:GCA_000165425.1:CT868403:1692:3105:-1 gene:GSPATT00039455001 transcript:CAK81371 MSQLEPFEHHYSNQYLEVFGCCHQNRHTYSDKVVCKECGIFLNNPNTRVYKTLKMKFNAY FNPIKVLQSILVEGTPKGPAKQRQQIIEFILQASERLNLSLNTSFLAINYIDEYFSRVTI NENQTYLFVATALMLAAKAQELDERVPFISKLKRYASMTNHPEVNQYSIQEYKFAERSLI QTMDWKLQRVTLLDRIEALLSFGVIDDDDSLGQQLQKENKDSSHQQHVKLRDLQESQILQ YVKEVESKYADIALQIIRDDHLYFETDQTILALSCVAYLRKKAGLLNIWSQQLQCLTGIS AQKISSSVSQIMTLIAKSKSFKTITSLQINPQEVYFQPLMPTNTLTTISTNHLNNRPYPF ETKRQSYGDIMMQNSKLKIYQSQSTLQMLDVQKQNHLYKNTNFTTTTNYSYLNENPVPHT HMVQQFAALQTQELDKKYEQVHKVSASMFRPIQ >CAK87548 pep:novel supercontig:GCA_000165425.1:CT868622:1:2049:1 gene:GSPATT00039812001 transcript:CAK87548 GFIQSISLLMVLHQHLVVQITLSVYGMLRQDNKKPNQMVIQVVLIQSISLLMILHQHLVV QITLSVYGMLRQDNKKPNQMVIQVVLIQSISLLMILHQHLVVEITLSVYGMLRQDNKKTN QMVIHIMFIQSISLLMVLHQHLVVQITLSVYGMLRQDNKKPNQMVILIGFIQSISLLMVL HQHLVVQITLSVYGMLRQDNKKPNQMVIHIMFIQSISLLMVLHQHLVVEITLSVYGMLRQ DNKKTKLDGHSHYVYSVNFSPDGTTLASGSSDNSIRLWDVKTGQQKAKLDGHTNWVHSVN FSPDGTTLASGSADNSIRLWDVKTGQQKAKLDGQTNWVHSVNFSPDGTTLASGSDNKSIR LWDVKTGQQKAKLGWSFMGQLLQSISLLMALHQHLVVMITLSVYGMLRQDNKKPNWMVIH QQYGQSISLLMVLYQHLVVQISLFVYGMLRQDIKKPNLMVILIGFIQSISLLMVLHQHLV VWITLSVYGMLRQDNKKPNQMVIQVVLIQSISLPDGTTLASGSYDNSIRLWDVKTGQQKA KLDGHSNTVYSVNFSPDGTTLASGSADNSIRLWDVKTGSQKAKLDGHSNGILSVNFSPDG TTLASGSLDNSIRLWDVKTGQQKAKLDGHSSCVNSVNFSPDGTTLASGSGDNSIRLWDKK TGQQKAKLDGHSQYSLISQFLS >CAK86039 pep:novel supercontig:GCA_000165425.1:CT868560:688:795:1 gene:GSPATT00039745001 transcript:CAK86039 MTDNMILRGLPGLYDKQLNDVLYLHVSGWLPYNYI >CAK74882 pep:novel supercontig:GCA_000165425.1:CT868199:8079:8971:1 gene:GSPATT00039043001 transcript:CAK74882 MMNRINLSLVKPHFLPEKSNDQGLITTKIVQAKKRYNSGKQLLGRQIKINITFQEQQEDF SAYECLTQKLMDIINMNDSLFQILFFEGSVPKVENLMSKSFQENLLASEKEKNYRKEIDE ATQNKNGCPLQMGKILYNGSTSNNQSRNLENEDKESTCLCKQNQKKQFLKKNLINSQFLK DNNDLTSLQTFKTQMEQNSRQQSHEVNTYNFNYHFTMIYNNQQDFLDLYYQELEKEMIQG FSQEGLHKMGELKEENYLNQRQISERIRQQGDIRKGQVQRSVLTTR >CAK87553 pep:novel supercontig:GCA_000165425.1:CT868626:3:770:-1 gene:GSPATT00039817001 transcript:CAK87553 MIMLIIIIFKTHQTLIYEFNATSNVLDGWECQNSYNFNTYQGIHYFGSSNINRYEISRIF LDLDPHSHIIVDAQFLIIDNTYQPYIYIDFQQQSNQFAILPQNYIFSGAQPEYLRTISIT HQHNRRTIWISINQQYGGLISLKLKCSGCIDNYHANCQEWKLHQYSFNQKNFTYSDGWTF ELNQGYYFQCGNCQFLKISYIKYKTQLPPHKDYVGRKDLPCLEVAHPYVR >CAK90285 pep:novel supercontig:GCA_000165425.1:CT868659:2778:3664:1 gene:GSPATT00023460001 transcript:CAK90285 MGELILQSSITQGQSPQTKQNTLKLQQIQNKYNIQAGFERVQRERKKKEGLQNDIIQNHQ WYLNQIYYIAKAKVYEVDRYLTGMQQESWMYVNEVRRCIKSNMNQIAMKRKLQVLKTEER QWRDLWEKFHQLLLVWRKQKLYQSWSKEITYKKHFGYYSNEKTSERFQ >CAK90286 pep:novel supercontig:GCA_000165425.1:CT868659:6008:6204:-1 gene:GSPATT00023461001 transcript:CAK90286 MQVELILKIQNGLQQKNQTIAKTISVINIDNQSAPMNTIKELNMQEKNLKIYFVSIN >CAK90287 pep:novel supercontig:GCA_000165425.1:CT868659:7625:8220:-1 gene:GSPATT00023462001 transcript:CAK90287 MNFKLKLQLWNVFIKPPYDYSASAFWQEKRKTYQRTIQLSYKKSIKVIGNLPMNTTDKFV NSCAKKTNNIYKLNKILIYQRLANRGFSLDLEQQDFEDIKGDQIRVKQLPNNIVQIYKLC MLRCKKHKVRATAKHLIERNLKISEDKLLKLIFSNQGKKKRIQKMERQIEECIQKSNFVQ M >CAK90288 pep:novel supercontig:GCA_000165425.1:CT868659:9194:10417:1 gene:GSPATT00023463001 transcript:CAK90288 MQQVQEAVAQNQDPQKSLQEQIENLQVFNHNCVQQIAQLKIKVCHLEKETQNLENQKQII ISGCQGQLGQLESKTEQKLAAQASIYQVTISELNNQIKRLNTMIVEAEKNSEQIIREKDS TIEKQWNIIKLMGKSLQINSSIEQNQYSNSIAQSNQKKCQTSNLMITEEQNGYKVNQNQS ITNDEQMLQIIEHFQAQNKHLKQEFDSFLIKNENLKKLSNHIKDQQKVIYEKNEIFANIA SYVEKLKKNQQNKEQFDELFKIQELTILGRKENGLNKLFNCCDGQYEIVYCQSRKCVYHI NCLYDKVIKQGQITMNNCDCDQPFPYQLLRRMNFVEAKCLLEKILEGQQIKLLTEIVKSQ KLLVYKCPNLFCSFEWCFKPNSESLNTGSVSYCPNCQMIVQSEVKVE >CAK90289 pep:novel supercontig:GCA_000165425.1:CT868659:10437:11101:1 gene:GSPATT00023464001 transcript:CAK90289 MNEILPDVSVRNPSCSADISSLHQVSIVQALDHRQANRVGRPKYKGRICICCGLQIERES FNFGISSNQLGFLGSSYPLYFDFIKSCLTIIAIQYITVGNFQLITHIGTLFELSETEKRL QQKQDVLSLTALYFAMIYLIYFRHNQIKLDSFCDLKQTTLGDYTVIFQGLPLDLPREELE LKIQEEFENVVKVCFIFKQIIQKKKNQRIFLDQL >CAK90290 pep:novel supercontig:GCA_000165425.1:CT868659:11151:11702:-1 gene:GSPATT00023465001 transcript:CAK90290 MGGRNELLNNNKLIRYQAKSQKNSPSMNLAMQIVNKDIKIVNLNDQLFQDCVQDMYFLKV ILLVIYGKKQQQIIVIKGVKQDLKDIILFLLYSVVQVTTNKDINKKKLKKIYRSLLNQDN SIHSFKLFQLISVGSGAYLTAKLIPQKFTYPSLFQSRFNDCFKQYLKVLYFLFSGIQVCL AYI >CAK90291 pep:novel supercontig:GCA_000165425.1:CT868659:11894:12502:1 gene:GSPATT00023466001 transcript:CAK90291 MSFIGLSLFYWADKYILLSHSTVKHQPSSSLSLEMFTLISYTPIIISCQHFMNSNENRIP LIQLISAFVFYLIPKDLIINNIWKTKKLKEFSPYDESQFNSCYDRTNPALQDKAIHNWVN QQKKAHSIQRRILHLLNEEQKMKHKRSLEKQLAKIQKQIGYVEAQLEQKQTTKVLIKTNL KTLEILTCVNICKLFIQFFQCE >CAK90292 pep:novel supercontig:GCA_000165425.1:CT868659:12522:14150:1 gene:GSPATT00023467001 transcript:CAK90292 MDPQLGEEHRQLLEMNQKQLLSYGTSGFREESKYLKFVGWRVGIFIGQMSKNTKLKLGVM ITASHNKIIDNGFKIVPPKGGMLSIEEEQNIEIFYKSDEIVKSETLYDTGIVYVGRDTRP SSESLCQIVLEGIKEAGANGINLGIVTTPQCAFLVYATNLGLVNQIESKQEFFVEYFCDI FLQLVKTPIKGTLVIDGAEGVGGIWMKQFQERLATLLTIKRINYGEESHLLNDCCGSEFV QKEKKLPKCFVYDPTYRYASLDGDADRLVYYSFNENKLQIIEGDRFAILFAMYIKQQLEK QPALKLTIGIIQTAYANSASTKYITEKLGIEAKYAPTGVKYLHRAAHEFDIGIYFEANGH GAVIYKNHVLQKVKEFELFELELFLRLSNQAIGDAITNILMTEFLLNKLEMNIQDWLLIY LDYPALSTKLYVKNKQIIKTNYEETSLIEPIDLKQKVDEIMSKYDNSYRTCIRPSGTENV VRVHSEGPQLEIIREIDSRINELLKSYMEI >CAK90293 pep:novel supercontig:GCA_000165425.1:CT868659:14442:14759:1 gene:GSPATT00023468001 transcript:CAK90293 MRKNEYDFYVDDQITFNKYQLTLKCKYYTKITTLKQYLLKYIKKNFDIIHNDVTLKESMT LDEVFSRYCQNAIILFVDKIRSNDRCVGQTFNSVSKYKKIKANFK >CAK90294 pep:novel supercontig:GCA_000165425.1:CT868659:15043:16535:-1 gene:GSPATT00023469001 transcript:CAK90294 MGNKMMMKSQAEFEQDYQRKQECKPITNNNRETISAGIKSSNQKKGSSKYRILILDSTNW QRIIFRNTQVKIVQKNATEKEEERVLSEVNILEKLDHPNILKINEYYSDDRFHYIITDFY SGGELFSKIQERRIFSETYAARIIRQLLYSVNYCHLHGIAHREIRPENIMLERCSEFASA ILIDFGLCSKISHKMHSSVNHPYYQAPEIQQKKYNESIDIWAIGVITYILLCGYPPFGGD TNRKIIDNVLKKPLIFDEQDWSKSSNESKEFVRKILLKDPSQRITIDQALNDPWIVKNYE LQVTDSQLKRVLTNLVNYNCQSKLQEATLKLIVLYLASREELSELREVFTCIDTKNDGYI DVEELQAAMLKIFDTETAERQSNKICAEDETLSYSQFLAQAIDKQAILQKSKIETAFKLI DRNASGNINVEELSEAFKCNLTGNDYWIEIMNEVDANHDGALSLVEFTNMMKKLIQS >CAK90295 pep:novel supercontig:GCA_000165425.1:CT868659:16597:17056:-1 gene:GSPATT00023470001 transcript:CAK90295 MSQPQQGTPLKTIVRIMNLSCAAAIIAITIVDVITIVELFAFWNWIFMIYQFLFALMILA AELRLDFILKYFRFLEGNIGKGWFLILQAYKQYLSCGMWLIGQTLAATITGGCLIFCGFA TIFILTCSK >CAK90296 pep:novel supercontig:GCA_000165425.1:CT868659:17091:18212:-1 gene:GSPATT00023471001 transcript:CAK90296 MGSFLTKCKECLLLFKSKPSAQVSSHQLVNLSNNPVEESQGKIQAQQISIAQDNSHSNDQ SLCEADKNDEKNDNDLTLETITNKQLPIIKSPSTNQKGQQKDEDKFMIKQQSKQISDKAI HQSQQQQTVDNWMIVFSNINSIRINELTLYAQEALEKFTELVDIIDDSDTYIQNIHNIEL YFSHYFVQKTMFIQIKFQFDLNTEISKFLKWSSTNSLFEFDLFENYKLQPINEQMSIGEL QLNKHSMIKKQLITYLKYIELLNEDYYLVYKSIRLEDQYQSRIAQNETQFQEGKVMLGGL RISQKDGKLIVRGYLDGDFKIKAGFNLTIKTLRDKVIGCINRLKDLFN >CAK90297 pep:novel supercontig:GCA_000165425.1:CT868659:18406:18817:-1 gene:GSPATT00023472001 transcript:CAK90297 MQYGIKGEDLTRSKIEILEDLTRESVESLDSLLPQFHCDNFQQLEIERRVVAYLRVLGVK FKTDPTQKEQEFYEYPKKDGIIFQQVIGESPMINNIQIIKVGTDQSNTKSDSEKFLSPFI LGKQRSFEI >CAK90298 pep:novel supercontig:GCA_000165425.1:CT868659:19954:21858:1 gene:GSPATT00023473001 transcript:CAK90298 MQQLLHEGGNRNPKQNQFEWRSITPEREFGQMLQGKPLITEPNENKQTAKSMSQENNINN HDFQSKHTNLNKLLIPDSQQQKFQRPLNYMQTSLKKMSEIGQQINSILQKFKQNRIHRPQ SDLNMFDKHSMMKKTSQNDISLSTNLNLNHSYIDNRGTETERSYFQYKNHKENGKQQSKD SKDYQFIFQNSNNQFQTNSLKKIIEKKIALAMQTNTNNILYNDYKKQINKESQQDRSYNI QKYITNKFLRNSSCLQPNEKSDRKNKQMSSYFSQNHYSKSQSSFLIERENSKSENTTSRN NRIRVSSYIKTPTKNNSDLIKQFSPRFISQQLSSYQVSSSKINSLLSSMNKDIEKIKTYN NIQNLNIYHIQAIPLFLSNDPNQTHVIVGCSDLSVRAINLYSGRIQVLGKHQKPIRSITK IVIQQNFKTFYSCSLDNQVIQWVSESMHGTYRLKHSWRMDEVPLQLYQTEKGVLYIVTAN YIFYFSSVTKKQIAYHGVFNCMIQTSNNILVAIDNFIYKLQADSLQLVCTSKKPIKNMIY EEGLLYVLQRDGALLIYEEAKHLKFNSEIDIGAMNIFSMQNGQIIIQTNDSEFIVYSQQI SKSYQIDGKIKCLLALQQMLLIGSNKKLIETLKF >CAK90299 pep:novel supercontig:GCA_000165425.1:CT868659:21942:23797:-1 gene:GSPATT00023474001 transcript:CAK90299 MNFDADYQKIQEEKKSRTAIESEISIGNKPKGSNFMRLIRYSWKHKLLLFLGNFGLLVTS LSMVALPYLTGQMIDTITKSDGKEDLNKLTFYFIILTIVSAVFTFVRAYAYNILGEKITF DLRNELFQKLITKDIEFFDSNRSGELISRLSSDISVINKGANDSISMILKNAVQFIGSLI LLWFISWNLTLVLFCVIPPFTIIVILFVRSYKKLTKEYQQAVAASTQIASEVLGNMRIVR SFSTEEKEGSQYQNATSTVYGIGNKIAILGSQFMSIGILLGYAVILAILYYGGSLVIEDK LTIGDLSSFVLYTLTMTISILAVSGFMNQMISAAAVSEKIFSIMDHPVKIQNGSLDAQKI TGEITLKNVTFQYPTKSNVTTLKSIDLEIKKGEVVALVGQSGSGKSTIVQLLERFYDSTE GQILFDNIDIKEYNQQKLHQTIGFVAQEPTLFSGTLKENITYGVSAYSQEDIDNAMKLAN AYEFVSNKQIFPDGLDTIVGERGVKLSGGQKQRIAIARALIKNPKILIFDEATSALDSES EFQVQSAIDGLVSTGQKTIIIIAHRLSTIINSNKIVVIQNGEIVEQGRHQDLIEKKMESI NS >CAK90300 pep:novel supercontig:GCA_000165425.1:CT868659:24345:26263:-1 gene:GSPATT00023475001 transcript:CAK90300 MQELKSPKLLNNLVSNVQKKQNPIPPSTDINILQQILDQVQPVEIIHNNDDEANQKKNNK FLKINEGQAEEQLIDFIHLGIQDFEANQYSQCVYHLKQAEQILTAISYSNPLIHYFLMIR INLGVVFYAIGWLEQAFTCFEDAILALKKTPRTDQEGYLLSITRLHCYLQCCIILSESGQ HSEALAFAKMAIRKASKILIDLQKYLKSQIHQQVLEEILKKSLPILKPKHQTLVQGYQMQ TQATQESYDSVYSGCPQAYIHRANILFFIQMNPVNLNNFKHVDDFPTNQQYLHMICLFVI SLYCTSTESKFVQKVESFCFSEAENYLSRALEIAYLYLPKDLPLINQLLNVHNRFYDISK QAIDEDAKMKMDQGHFEIVLLKPVIESQKCGFVIPIIRKSKYVGMKSRTRSMKEQQFSNT KQVNFNVEPEHQIYEAQSKTHKRKLRSISTTNKYKPNSPKWANHQLAEVYLNQQYPFKSV QIKKQQQKYNNKTVDLGSGKNSGNQNSKLNRSENQIKKKQLNIQLMITNNINQTSSTQNS NNNGQQTQVNNVTQQQLFKRKRNNQSFNFDQITTKSPVNLFVQKQRDDKTLSTIQQKIKS VIKQC >CAK90301 pep:novel supercontig:GCA_000165425.1:CT868659:26493:28155:1 gene:GSPATT00023476001 transcript:CAK90301 MGFFDYFFGKKKEPEKQAPQPQAVVQQPKSNKQIEEENWVYASILQYLTSPIWNITISEF VDQNCIVFDDEEENKLEYQALFKKYKKMMANLIDNMMNELGVNEEQFAEQVEKGLRHPKE KLVFEKLLTIDNFLVFKTQMIKRNKELELEALKEIEKQDQKKVQKGQVVNPQNNANIKLL EMEAEKAELEHALQMSLAVEEEKKKMVTEEDRQLQEVLRQSLVEFQQGLKKQREALELKT RELKKAKEEQAQKAQEFEQQSKLQQQQQQQPQQQLQQQQPSQEIKQQGSLNSDGTPTPIL KSEYQLQNIQSQIQQGPTMAEFYQVQNSNNSQPEKVEQVQNQKEPIPILQSKKLDKLSHK PVLPSIQGQAQPVIQQADSVIQFEMDVAEDDAGVKKSVIANLASQKTTEINNVDQGESVE DRKRRLIKQREEIRKRKEEENKKTLEQYKQQQANEINNNKEDDAFSIQRKNTQEELQRKR ELLEKKKNEIKLQKEKMEKEKMQNQEEDIDLL >CAK90302 pep:novel supercontig:GCA_000165425.1:CT868659:28986:30171:1 gene:GSPATT00023477001 transcript:CAK90302 MSQQFYQTYVPNPFIPTFNPTINSSRIDYPSTNSAKQADIKESSNDQIQQNNVITSSFSL NPQTNKSIVLKPILTNQDEYQRKINDLERSHKKELKELEFKMQSQIDSLLQKRQQEDQFK QLTDVRQQIAELQSTLQGNTYGHPYSQQSQQQHLFYSQNEVERLEKQLKKKKNKIKLFKT EIDSLKSELQFRQQRITELEIKLHYSENKPIIQQSLLPKVINVPQSIPQPTTIIQDSPEL IDRIRSLEFERDSLLQELRRLQTTFQPTQKQQYAFPSVEEWNRLMHEYKVLESENNKLNT IILQKDDLIKSLEQRISVELTKQSALQAQGHQPRAIQVLYDPQTQQQSSKSQQLYPIAVQ NTEQQTNIEPTLQNPSAQ >CAK90303 pep:novel supercontig:GCA_000165425.1:CT868659:30240:32055:-1 gene:GSPATT00023478001 transcript:CAK90303 MDNQIKVIIRFKSILSTEEESSPNWIIEEEAITYKNTKQDEIFEFDVIMPPMTLQDEIFQ TIMLPKISFLQQSIFHISSLQGYNSAVFAYGATSSGKTYTILGPESTIEQILNNKFNIDQ SSGILPRSFYQIGYQKIKQQESLQQVTLKANYVEIYNEQIYDLLNQQYKTNNQKLDLKIS SIADGPKLMGVREVQVESVNDLMELIAFGSYNRAIGATQFNSRSSRSHVIFSIELFVEWK DKSQRTSKIQFIDLAGSERLAKTVAKGQMLEETKKINQSLHCLGHCIMALSSRKSNKHVP YRDSKLTLLLKECLGGNSNTSFICAVSAASEHEEKTIQTLKFAQRAKLILNKVKINIKLS YEQIEKQMTMLKQELSMMEKILLMNGIKYDKQIFKEQNFQLQIDQAMNIDELTGEYRKSL RRSLQQSSSKSDIKEQFSYRFQQYLDNENLKPKQNSSPNSKRIGQRQSGGNLRKQSLPCS LDGSEAEPDENGDNYLNEVQLISALKSISETPRELQQFISGRGSQIKFQTQESQFQSSTT NQTTNTEQMKEQPKKRDSCCQVF >CAK90304 pep:novel supercontig:GCA_000165425.1:CT868659:32093:32977:-1 gene:GSPATT00023479001 transcript:CAK90304 MGMHILIARLDMIVLLTQPEPLTEIQQFEIQKYLRLNKSLFSENQINSLIQLIQIKNYEH IRNLYLRRYTRLEELLSLDLSIEQIYDYCIRVYLKEIKKLGNTTLKTYNNIIETHRIQQT YFLREPQIQQSHYNCLDLEQDETNKYLLLSSLYNRNRFEEPRFIYMSDLLRRRMQQMTKD ISDRNQTIQKSINQYYHQNKRKSYCLTPKPARSRNNTFYKNNAKVTGLRVQTEFVIEKRL PARSIYQIKKIQLLDENENNTPNNKNSCKALGRPRSLIRTKFQQLIN >CAK90305 pep:novel supercontig:GCA_000165425.1:CT868659:33021:34478:1 gene:GSPATT00023480001 transcript:CAK90305 MINLFPKIQDNQYNRQSWGGLLFLITIIFIVIMIWTEITNAFKGKISLLVDSTIDSRIRV NLDATIQAPCQALFQHIRYDGFLFIRSTFEEAIFKPNVNFTSCYGAELIVDQRCYSCQDV MMAFAQRRWTQPNFESIVQCVGQPIVQFDDSELLQFLKTDLNHQKQLYLTKNELINITPT QLFKQSFELDDNDTTNQILTQEQRGKFKKALDLLSEFSYNPMQWDEQRLQEEKNKQFDQL INMLNISMDHIIGGTKKSKQFKFFNISIVINLIQFQINHLINLKSHQNTRQLFSEPFKVE QPSQQNIEVSDIEGHINEACRFFGYFYIKKVPGILAIQSNKQAMDFINRTFQGNHSFKLS FGEQPQTQTETNSQFSSKYYLKLVTTNSIDIWNNRNVYYTFTQQRSLYNATTAPFIEFQY EFDPISMTVQSTTIINYLVLVFAVIGGIFAVSKYIAVLLMILI >CAK90306 pep:novel supercontig:GCA_000165425.1:CT868659:34696:35556:1 gene:GSPATT00023481001 transcript:CAK90306 MEPIVNEFSQVNDDSVEKEKAQIKNIIVFETAGTLLLIYGALATETNFGVALVYFIGLVV CARLSGGYFNPICTLIGYIDGSISKKKAIYYVGAQTVAGLIAGMLLMPMFASKQTLPYFE RLPSHQVFGTMMSEIAGSIIFFTFIQIQTAENTKITTTPVQSAIFVSVIYFVARQYTATM GNSLFNPSAAFGLQLFYGIYYGKWDQMINLLMYILGPWIGALLAITFFWKIYTPTLVSKQ SN >CAK90307 pep:novel supercontig:GCA_000165425.1:CT868659:35560:36323:1 gene:GSPATT00023482001 transcript:CAK90307 MHFSEQNSIENDNRIKQLQKKREAIQKQTEMRRKEIEQHSDLDYEKIQKENEILIQDKYN VEQRAAKLQEVYNGLKLSVMKQQLQFKFYRVGKIQVSADTLCKHKQNIYEHMVRHKSKEA DLFLFATNTKPKDYFQVLEDNLNQGPLVQEKEKEMEALKLQNQILKNELEIHKNQQQYIR EFSNNPHIDQDIIKNMVNENQFNRINTSKNERSNSQLSMETKQIMDFYNEKQQSSSMYKE QLQFG >CAK90308 pep:novel supercontig:GCA_000165425.1:CT868659:36361:37998:-1 gene:GSPATT00023483001 transcript:CAK90308 MNFNNFGINKCIVEAFEKMNFKKPSLIQQKSIPSILQKEDVICQGEAGTGKTLCFLVQVL QYGLEIQQDAYERKARIQQTLVVDNTIQNFENPLPFALIIVPTRELAIQITDILTKLVNK LEGVEKDYFQSHLFIGGLPVEQDRDVLKTRRCTIIIGTVGRIMQMIQEKLMNLCNIKLLV LDEADKLHENYTFQPHFKKILANIVGRNQDQNKPQILCFSATYPTKIIGLIQLCLSNPKL IKSNEEICLENLTQFYIKLNYDDENKSKYQTKTEAIAKIMKQLSIKQTIIFYNDKVRGEN LFMDLKAEGFNPVLIHGDLTQSDRIKMMHQLKRNKANLIISTDLLSRGIDVDTLDLVIHF DTPSSYETYLHRIGRTGRFGTFGMSLLLEQTNFKDVYEFIEEEIPRLNTKLDEKHCNMVE KKEFYAEFLNGVSDWKQTNYKFYDENKFQYYEDEQKEKDQKDNFEKEEIVIRPRKQKKIQ ECDDNQNQQQQMDVEVQEKVITTKQNQLIKYIIMQMLQRVLFIIKMFKQ >CAK90309 pep:novel supercontig:GCA_000165425.1:CT868659:38920:39454:-1 gene:GSPATT00023484001 transcript:CAK90309 MSYSIMQILSLFQENEEQNLHNIGCPCDSEPRTPAFKDEKEQLIRQINEVRQQNQILQNE YQKQILILSEKLAQTQTLSSQIEKNKEQLKNELNQSQHLTKQYLLKHEACFEMKQRILQQ KTTTKIIYEDAGKRITTCPSETSTVQSPSFVIPSSPKPIGLCYSSFNQNF >CAK90310 pep:novel supercontig:GCA_000165425.1:CT868659:39969:42709:1 gene:GSPATT00023486001 transcript:CAK90310 MINSQLFDSRREIEQFKLQLGKLEGIVNISQPNSKQNIILLKQLQNKVSNLEQNLEQKVN AMCSNVKLYLIKIIIPDIQPQKLPELNEMQRKRAVSTDVANHSVNIEKNIFLQGNKASRY SKMNNFRQQSILNEYNDESLKQKIDLSFEQSQQHHQTSFQIIHKPQKQRTQVLLFDCPAP KPMKKVEKKQFQFMIQKGKRIQAVELLAFKQKNLQEWNLINAIIQRMESINIKYQCPTFF VDGRSIIHLIHCRRTITLSDIVKCIQNKDEIIPYLFPRLFIGQDGLERAAEKIQAAFKGY IQFRRFQQLKTLYKSTIYIQKYFRRKQQKELTKQRVLQRTNSIYKEFLQRQTMFQDNWNQ YKLYPRVEIHLSSLNYSEYQRLTMDKFIQKENSQISRIFRAIDPQVEIIYICAYEQDKDI LMYYERIFEMHQVSFKKVRFLTPENADKFNTHMSLLQKLIYSPKCLKQIRKIIENKLAMV IPGYPSNEFIQLCHMLNVPLYSGLPQHHLLYSSQSGAQVLFSKCKIPTSPGASEIYDEIE LYNTLTVLIVKNRNTRIWIFKIDCSFGGRGIATINISTIPGLNQLLNCNEQELDENTISA IKQIIKTQLPLKLKIAVKSLYQSYKEYITAFLTSGGIVEAFPIQDRLKIQKTSISFHVSP QYEFEEICTYDSFSAHHYVQTLHLYPSITSTMDKNKFYEEMLVFLKQKKMFGYFTLHLIL FDNQFWAIGLDSYLNVATSINYYFKCLNKKQTRFYLYSPYVIHPGLQKISIKQLFSTCRM DQVQYETEYQRGQTFLFTDILQSCMVQMISVHENIQRCISQFDEQLKVLFKLGGQMNVNY GESIYESRNDSINILDVQGALKMLRRKLQNQQ >CAK90311 pep:novel supercontig:GCA_000165425.1:CT868659:42807:43980:1 gene:GSPATT00023487001 transcript:CAK90311 MIQYPFFSLPPKSHQTIPSAPRKLLKDQYELLQRDLGQGTYGKVKLAINRNNNKKCAIKI ISKNYINQYNAKQHIDNEINYLQCCKHKNIVEFIEKFEDKENVYIVLEYCQNGTLYELIK KKKVFSEDEAFSYFYQIAQAIQYLHEKDIVHRDIKSDNVLLQNNTVKLCDFNWSIYLPNG GKAQPCICGTTEYMPPEVVKKLKHDKGVDVWALGILLHYMLHGELLFKAKSKEELHEKIC NKQLIKFNENLSSECKQLLQQMLAHTKRFNIQQVLNSEWVIKMLTTKNISHPLELTPIKN RSSAYELTKFSVISDSTCFSSSKFTTKSYDIESPFKQSNNYVNQTPQPSQPLKVKSTALN QNITAFRVEKRQFD >CAK90312 pep:novel supercontig:GCA_000165425.1:CT868659:44054:45745:1 gene:GSPATT00023488001 transcript:CAK90312 MEYIAYTDAKLQFIGTRKHFFRDKQYYVSVFEDYFVMGIVNHSTLIKQTSDAQSPKYKVN IDLNIKLSWDLKKQKNNIIIQSFAFPYNNSYKTLYADHNDLMKFKELLGLSVTYEGIGDL YIPILQIGKGSSAKCSKYFGLKHVCNKGYREVVFKLNGKRTGLGTLTRLMNQAAYKMEVR ILKILSPYSQSFLNLKEIYEGDQTYYLVTDYLEGASLSEEIERAKNLPDRRLPIQTIKIL MYKLLSNLALLHENKIIHRDLKPDNLMFAKKNDYSSLVLVDFGLATLENLEKYTNQENIR FLFPKCGTPGYVAPEVLNLNPENKYTTKVDIFSSGCILYKLLTGKSVFGGKTFDDVLRSN KKCFIDLDLPPDHIYLTDLSLNLLSQLLNKNAKSRITARQALRHPFFDQINEQSTQVLSS FATNMKFSHNGNLNIQCEEQMSKYEIEENQVNCEMKEEEQNTISIPATKRCSLMNEFQSS SRSPTKLYQKEPSSTIRCFKLFDLASPRGDSNEIEGE >CAK90313 pep:novel supercontig:GCA_000165425.1:CT868659:45910:47377:1 gene:GSPATT00023489001 transcript:CAK90313 MAEIDLNQPFYGYPVEKVVAAVQTNLQKGLTKVEASARLTKYGPNELEKEEKESIWEKIK EQFEDNLVRILLLAAVISFVISQFEDHEDSHAVPPWVEPCVIFTILILNAAVGIWQDLDA ERAIEALKDLQSPHAMVLRDGEWTQIEAKDLVIGDVVEIKQGDRIPADLRMADLKTITLK TDQSILTGEVNPVNKITDSVQKDKAAVQDKINFLFSGTLVSNGTAIGIVCNTGMRTEIGK IQKEVQDAAKEKQEDDDPLSKRLDEFGDKLAKYVTYICIICWVMNIGNFSDPAYGGTIMG ALYYFKVAVALAVAAIPEGLPAVITTCLALGARRMAKQKAIVRKLPKVQTLGCTTIICSD KTGTLTTNEMCVKELVLLTGQEASSLQVFPIEGTSYHPEGKIDGLESKLFKGNDLSGNLK RLCQSMALCNESQIIRGQRQSLKKWITN >CAK90314 pep:novel supercontig:GCA_000165425.1:CT868659:47386:49205:1 gene:GSPATT00023490001 transcript:CAK90314 MEDQSQMLHNNIMIRQLMNLTKRATLEFTRDRKSMSVLASSQNEKGNVLFIKGAPDYLLE KSTMILNSDGVAVPLKAQDKNQLLTIVKNLAEKGLRTLAICVQEECGQLSDYDGPKHPAH SQLVDTNNYKDLENKPIIIGVVALQDPPRPEVKRSIEKCREAGISVIMITGDIKETAQSI AMQIGILHNQSQFPTHSFTGMEFSTMGEEKQKKVLEQVIGRPSGLVFSRTDPSHKRELVK LLTGQLNQIAAMTGDGVNDAPALKQASIGIAMGISGTEVAKEASDMILADDNFATIVRAV EEGRAIYQNMKGFIRYMISSNIGEVVSIFTSSALGIPDGFNSIQLLWVNLVTDGLPATAL SFNPPDPDVMQKPPRKHDEPIITEYVFVRYCVVGTYVGLATVFVFIYYYLGYEWAGDGHP VVTFHQLRNWAECHHWEGFKVANFDKYDFSKDPCLYFSWGKQKASTLSLSVLVVIEMFNA LNALSEDGSLLKVGVFANPYLILAIFGSMTLHCMICYVPLFENIFNTVPLSLQDWILIIG VSAPVVLVDEVLKFFSRIRNAKLLEERKKIQ >CAK90315 pep:novel supercontig:GCA_000165425.1:CT868659:50122:51076:1 gene:GSPATT00023491001 transcript:CAK90315 MLILFFFFLLTEQQRDVEFNDKFFWTSIDRVNDKNIYRITNLEQPYYYVQVTLLQQDLSY FLLFDLNQIPELNGSPGDYVSYYEERRTRFLKIEPNGKIIYISASSNSTSGYYNITIWGS KEEFCDNNCSYYGECTDDGCECNSGYISTDCHQTAKEIQENQTQINLFGDEIQFIYLNTN VYEQMDIKLRLSTDSPSGIEIFFELTNAIIIPNQNYLDADSVLIKQKLIYDSQPFDFTIK KNSISEYDNIQFVFGARQLNSQLNSLNFQHTIDMKIQFLLLLQLQLSS >CAK90316 pep:novel supercontig:GCA_000165425.1:CT868659:51106:51478:1 gene:GSPATT00023492001 transcript:CAK90316 MFFVCKNIRSRKRLLQQQRLRMARYGESSGNYQQDQINIFDHLSPVSISELNNQDDCTIC LQKLNDELEVRQTCCHHNFHSLCIKEWLKNNKKECPVCRSNLVPKDGNINTIPQQ >CAK90317 pep:novel supercontig:GCA_000165425.1:CT868659:51533:52449:1 gene:GSPATT00023493001 transcript:CAK90317 MKKKNLASIDLSTSMFSGKSLKNNYSISNPSSNRSPSLQKAINRLKQDSRVSLIGISSIS STKIPKRRGYYEVKSSQSTYRISHPYQEPKISLDQNDHKKETIRKIFSLQAYCKSQSALQ LAAQKYTVKRATTENSQIFNSPRPKLLDKFSKEIIKCKTEKDVIKQVLVKEVTKRIPKKS KEINYELDTMFKNYEKYAQLCLSPNSFVNQPVIYNGYFKIYSQSQKEKIVLDQIGEKEKS LSDESEAYMDFIEEVDEATIQRDVYHSQLRNPFLDDKITVRSMKQAGFLKRRPIDIL >CAK90318 pep:novel supercontig:GCA_000165425.1:CT868659:53192:55722:-1 gene:GSPATT00023494001 transcript:CAK90318 MSVYSLSPRSQVELKLFQQLSPHFNDPSLQNLTIDHQQCQDNFKPFQKLPVLQKQEQIKN QCNEKITYDNPIEDQNELPKSQVCSQKVSKNIFQLIKINNLVKRFKNILFSRSYILSQSQ KEQIKGNLILQEKYIQDKKNISKNLISFVIGPTNRFIILWDIIMFFVILSLIILIPFFWS FDQSYPILKIEEMSLFMIFFLIDIILKLNIAIIKKGNIIKTRIYILKKYLKTSFILDITY LFLVYYTMKIESTLIFISFCALSLIKLQKVIGKIVKLLNLSEIQREIISLINLVITINLI AHFMACIWHYIGMTTMEYEKNSWILDKNLQDDTKQIRYIFSYYWAIVTMITVGYGDITPQ NHVEAFSCIFLMLLSCAVFTFSLNQVGTIVQNINKQKRQFQEMMRILTCYMQQHSVPDQL QSRARSYLEYRCIKRNQQSKYHLQSILEQLSSFLQQELMLNVFKNLLQDCKIVLHNFSED TIKKMSNSLQTVYYCPDEYIYHQNQMDDNYLYFLDYGKVEIYEQNSQQKVTELQKGKYFG EESFFTQQPRKFSVISRSFTKVFRISQTTFLNQLSKEENEVYHQIRHLFQFNAYIIGQKC LICSQSGHQIDTCKLLNYKPDIEKLILKDNLKIQGRQKKKRCGKRSCKALQIHNQLKLVQ KAIEQSQGQDDIQFDEDENMSLNPQDLELNTNNGSPASKRKESYPKQSEPSLKADIKISH DSNKRIQFQKSDGINKSKQFQTSQQLRQFSDTEIKLFGGATENRTQVGQNSISCNFQIIG FEKAMEFKKFFPQFQSACVDF >CAK90319 pep:novel supercontig:GCA_000165425.1:CT868659:55943:56918:-1 gene:GSPATT00023495001 transcript:CAK90319 MPQMQSKIMLRQMCKTSKLLWEQTLILQTLEVLQTLIIEYQIQILYLIVSQIYKYRGLRK IYKGTQQIIVKNIVIFSVSFQEQKYRICVPISQATSQSNCCSTIKIVGIVASMPLTFMRR KCKQQLTIVTTRLINDLQRQLEKEGLFWCYRVQIKVYEECCCCSFGREFIEIQRILVVYL QKVRTLRNSIFQQQYVDRVQQYQLF >CAK90320 pep:novel supercontig:GCA_000165425.1:CT868659:57349:58848:1 gene:GSPATT00023496001 transcript:CAK90320 MTSLQEVNENLRQQIIELKQKLELKEKEIDQLKSPQKPANQFETQTLELQIIQYKHLQTK LENENEQLRNKLSQMVNSQVETQKTLLKQKQQMQNVNKNLFSLSSQIQEEDSPLKQIINK LIDNDQDPLITQSLDIEAQLKMNELKRINNQLTSRIQQLEEKSQYMIARQFQDAATILKL KKEKQGLIDQLEQQGQELQQELQKSRLTAFPDIALSLSDLYLEIPNIDQIKEKFEQLIQL FLQQLLQQNTNNELGESLVKTHRSSSSRRLDQVQNQFNDILKHINRGSQRIREFTLENQI FESFIYEKPQNQDKSTQTEKTENDASAEHFLSEQTRKLENDVKSPRFQGECKGVDAEQQT EDIDLNQFLLNSMTPLMFSAIPQLHTESDMVEEQQQQQQVQDKSPLFLRSGIKNDTRFDE GQLIGIVEQLTLQLKYTKKQWYTSVDKCLRAQEELERLSEIEAQYNHLSQQYLTSKKDLE KQKFKKQQLLDRYFEIELK >CAK90321 pep:novel supercontig:GCA_000165425.1:CT868659:59185:62715:-1 gene:GSPATT00023497001 transcript:CAK90321 MSYDDEVWIEDQKEICKIERLNEAQAAKKFRVDPLAKQGIQDLLCLSDLNEFNCLHNIRI RYLREQIYTQIGSSILVSINPYVFLPNVYNQETIAYYKIKLGQHQSELKTSVRENEFHPH LFKIAQLSFDQLFETKTKELRICSMIISGESGSGKTESTKILLKYLAAESKSGLFRTIQQ GQTIEQQIFASNPVLEAFGNAKTARNDNSSRFGKFMHLYFNADTKRVSTAKVDNYLLEKS RVVKINNQERNYHIFYQIIASQIPELKLQAPNKYEYLKGGDLNYQRDEKQEFEETDKCLD NLNFSPDQKRYIYQILAGLLHLGNIQILYDSQKSTLKLDDSVKLASQLLGIQIQYLEDLI CKVFNYVGKETVTRNNNLESAQSARDTLAKHLYEKLFNWLITKINEQLLNIKSLSFQNQQ QPQLTKYMIGILDIFGFEIFTDPNGLHTNSFEQLNINFTNEKLQQHFNEQMFVTEQKEYD QESIKWQQIKFPDNKKIIDLIENSQTSIYKMLIDQTIVLNRGDKEFLSSLKQLPKDHLVL VSDLQTAEIESRFDKKLHRVAKFDWFCLKHFAGAVAYNVSGFVEKNRDSINSEVFKVLPN STNPVLKEIWSQVQNDNQNMKQNNVVTKFQNSLKDLLNLLNLSIPKYIRCIKPNNFKQPL TFDASEVRRQMTCAGLMEAIQIRKAGYEIRQKHSEFIKKYQHLVNKNPKNIKNIEEMLKL LGENQQIGAFLKEQQTELGFQIGKTKLFMKQHFREYFDTKLIEFRLKYILKIQKQFRIYR QIKIMKKQLKELHNKLIRIKRAIKRFLFQKSIEKRISLRRKINALVSSCIKAQSKINKHY SINQLKDRIQEIKNLEQAQILDDVEIIDKSIEFTKVLRIDSDSKESDLISKQQQEPLQKE IKQQIEPQQEQTQIEKKEANSKGSFLKQTVKQNTQEIKQQVDKNQDQIKLQELVQSKEIQ FYEEKLSSLMKEIDEEKNKRVQIEQDTNQRIQSLKLEQQSEITEEKHREQIQKLENEIFR RDQIIHDLNVKLNDYSKQIKQSNSMNRLSDVQNIKISQLESQLQKKNDLIKLYQDQIKSL NKGWRIKILENECLFNYFNLKTYQQKLPIYEQFQITQIVETGDLLQKQIKDLQQQIQQLE QSLSKSQITTQ >CAK90322 pep:novel supercontig:GCA_000165425.1:CT868659:63036:63946:-1 gene:GSPATT00023498001 transcript:CAK90322 MKYLAVLVILQAALLCTCYSKSTEEKEKSVYFIFSEECFDKLVIQKDFLNIECVKKTLSE FISYSIVALSVILKAPQIFKIVQKSKVTGLSFDSIFFELFVYSFSIAYNVHKGNPWKLYA ENVAILFQTVIIVALFKVYEKSFTLRQFYLRIAIFLGVNLPLFTGLIPNSIFNLAIIINI CLILFARLPQIWSNFRNKDTGQLAFITIFLQFAGAAARCFTILVSSTDGMLILLNIISVT LNFTLVFQMIAYWNSKKGKQD >CAK90323 pep:novel supercontig:GCA_000165425.1:CT868659:64806:66810:1 gene:GSPATT00023499001 transcript:CAK90323 MRPKYQQTQNDTNSQVRINIKHVSPIQIEVLKQSGEKVEENEKIGVYIFENQNKSILASS QGFIVWAQNQKVFEIPVTEQYFTIGIIYKNKGDIPNQEELENNTTSTSSLAQQNYVKLNF AQLAIKNCQGNIQILKWKVNVGDYISPTMILGICSDETQEDVIDLKSKINGRVIELAKTK IILPRNYALMVIDSSQTCNHLKIENNYCLICNEKVIRNVESLDLNYSDDISKKISKEIVL DILKKRKLIMVLDLDQTILHAIKVSTTFNKYEFCEKQNKMIQADSEAQFNGFQQLGFNIK EHLLDMTCDQQSKFIIKLRPYFEQFFLTLIPLFDIFIYTKASKSYADFILSFITHRLNEF IPEHKPFFPPQRVLSREDTICSNSKSLNRLFYPGIATNLLVILDDNAGMWNQFKENLIHT KPFVYFNEHGSTKDGQGIVTDIEKEVQIFNKNDFWLYTITQKLKEISDKFWSQIKQAQDD PNFIVEIEQQVCKAKKVKTEIIEDTSLRLEERNLLDIIINRKISVPTIYLEMRRSILSGV TLFFAISEAHEETIKRGLEQAKDKAVILGAKVYREFKEDNFVGQENSYVITVGRRKIRSI MIAQEKNIPIIHYKWIEDCDNYLFRADYKLYVEREDGNNKSLTEEDQRDYVLKCQLLKI >CAK90324 pep:novel supercontig:GCA_000165425.1:CT868659:66860:67849:1 gene:GSPATT00023500001 transcript:CAK90324 MYQYYSQRSSIQENDRNRSFGNMFNSTRSDTLQTDQRHSGCLERIQILVQELDRMADAQR VANQEISQLKQELEQAKKSDQTLVVNNGFDSEILEELKLQQQKFNQYKQVKEQQIEELEN ELLKVHKQYKEEKLMRMDLNQKYEQKQIEVEYLRKELNKYTQDQQDSNDSGILNQLHEDV IELQSENKELRNNNLSYQQKIEELLENQRILEQKLKYSSKEVSFQPSPAKNQNQYSTTEQ RTDPIQKYNRTKTMQPMQFTNDQSSNQQTNSNSSYNILQQRLSQVQIGQKNNSENLINQQ DTIRKDSLRSKPKPVENIKYSTNKIVQQF >CAK90325 pep:novel supercontig:GCA_000165425.1:CT868659:67876:68286:-1 gene:GSPATT00023501001 transcript:CAK90325 MKQKGKANKSKNAQTDHQTKKDALARIKITEDVYEILAYTTNEDNDIRLAAASQLCPCKV QEDVPEFWTRIFQLADDPDPRIRSRILHIICDGSPNRLQIEVMEALEKFNRDSDSEIRRQ AHKVLAKAQKGTWNVL >CAK90326 pep:novel supercontig:GCA_000165425.1:CT868659:68423:69628:-1 gene:GSPATT00023502001 transcript:CAK90326 MDNNEHKENQEKQYICIDPKCDQSSRLFKAKNDIHPHKAHDYQVYSEFQKLIRAQFPQQI REQINQQFNKDELVKAIQSRSVQMHESVKIFEENLIKTIEAYDVLGADKASINQALSQLN ESNPPQQLNVQGLLHVLKINKLGPQKVLEKWKRENEIILKELNTQAESALKTLSNATLQS YMHIQHLQSPLILQKMHFAWSHNFKASSVTIREEAAYEKGNAMGLICIQQQLEKDQIQSL TIDLKERGGNLYIGVMDINERKQKGRRAFSFHDWNESGHGLYLIYHGGCNESIINLDVFS SNDPSINTKKVGFNFDQNDKLILTWNGPEHSVTILKVGSSHQFAFKVNPDGQYHFAVGLF KCEVRLLE >CAK90327 pep:novel supercontig:GCA_000165425.1:CT868659:69846:71598:1 gene:GSPATT00023503001 transcript:CAK90327 MNKIMLSFKNTQKQNQFFVDQLPMLTFFYERVCVFGSISAIIIFSLEFVYPIGFGRSKYF IICLPLIFLMTFKLIKKHPKLFNYIIPFNNLLIGLIYNVTVLFDLIPDLNLMIGQTVVLV QFSLLLGSNFALNMAIIIFNFTTLVLVQTIVQNSFNGNQVMLILALILTCLSYYSNEYQK REFFLLKQRNEVQYGSLIEQFGIQVFKVKYDRKTNFLKLESQNQTTIGFNFSSQEDFRKF IRQILIPKQQRPAVLQTKISSSRVRSDCLFQNSQIRLTRNTFPNLDSSETLEQILHKSLT KQKSDHFEFLQGYDFNEKCDYKIKILHTIEKGEAKAIVLLQQNEIKKEFIKVKQQRDSFR KIITQFQKLFEIRIKQMSSLITSRGLQQKSILLSEVNFRLLQFISEYFSICINNNDIPQL KISTINIQSLIQQIENPLRDYLNYQQIKLSYQTEHNLIITNNTYLITHLILSLLCFLIEK SPFSITLIINQKHETGFKLENVDEVVIKIHAQYSGTIKKVFEIECFNRMITKVIKIIGPS GKFILKQSDNQFGQEITFEGVIFKNLSSFKASLQNL >CAK90328 pep:novel supercontig:GCA_000165425.1:CT868659:71777:73411:1 gene:GSPATT00023504001 transcript:CAK90328 MQRQSINVYEELKQQQSDNIIFDKSMKSFVLKVGPTNLYTYHNSQDLNLRGSNLYIQIYV IEKLKFTLEFTSKDETYKIIVGPNFQQAYTKNKNEVKISSAFILTKKWISLAFDIDSFFK VGEMQVKTLSIHTLCRLKKIYESNIMGKLNDGLCVIQKICEKRILELLPMSVRAQSENLE IRKNQISNQLRRISNISNSQERNIQSQQERKSVQPKQIYMNFQEQTKLSNPNGLKVKPTS FAQQQRKPIQLQNGKNPSINRIQLQAKPIVFEDRIKVTNATQEQQQAVQQSSQLMQSQSN RSKKLPQMGAILTKQYSQECLLEKTIQLATGLQVIEEVASTKPPIHSKSNSTKQSTQMQL QKKAVQSFLRHNQDLQNGSNYTHDPFDELKTENDQKHKQSQMSSGVKDLYYECDNSEYQT KYGKFSNTFSRPLGLSSYNHRLVSQQSTNQNQGSTNEYFYLSSQQIEQNQSVNQTANEIE EQIEAPELKSKMTDESFISINGLQIKQEDSEEELAVSKFE >CAK90329 pep:novel supercontig:GCA_000165425.1:CT868659:73492:74631:-1 gene:GSPATT00023505001 transcript:CAK90329 MNKQFLALGIEGSANKIGIGVVTKDGSILSNPRRTYITPPGTGFVPKETAQHHRNKILEV LDEALKIANVTLDDISLICYTKGPGMAGPLSIGATVARTLSLLYRIPIVGVNHCVAHIEM GRLATQCQNPAVLYVSGGNTQVIAYSKNRYRVFGETIDIAVGNCLDRFARLVNISNDPAP GYNIEQLAKKGKNYILDTPYVVKGMDMSFSGLLTFVEDVVNTHPQVKLPEVEGNDRAKRK SKQTKHVRKWINPIPQDLTTEDLCFTLQETIFAMLTEVTERAMSHCESTDVIIVGGVGCN ERLQEMVSIMVKDRGGKIGAMDERYCIDNGAMIAYTGILEYFSNGPTNFKDTYVTQRFRT DEVYVGWRND >CAK90330 pep:novel supercontig:GCA_000165425.1:CT868659:74646:76808:-1 gene:GSPATT00023506001 transcript:CAK90330 MFMVSIWNDTLMDIEVYNEQLDLNTFFPAQMHELYVQSSIVNGTAMIRPEEKGSKTEVAM ILFAEKCGIIYEKEREHHVANVKIPFSSKRKRMAMIIGKRLVIKGASEIILEGCNKLHSK SRGIISIDSNVRQSIEKAIETMASQSLRTIGLAYRELNGSEDLTSKNDKGVYDVETENLT LIAIVGIKDILRPEVPGAVANCKTAGIKVRMVTGDNKITARAIAKECGILIDEERSLVLE GPDFVNRIGGVVCKWCKTATCDCPRDQSTAKQLGKPVRVDTIKNGEEFDKIYPLLDVLAR SRPEDKYALVTGLLERGHVVAVTGDGTNDAPALKKADVGFAMGIAGTEVARESASIILLD DNFSSIVKAVMWGRNIYDSIKKFLQFQLTVNVVAVTLTLISSVLLKQEVLEPIQMLWINL IMDTFASLALATETPTPELLQRKPHNRNEYMISSKMFKHIIGQAIYQMIIMLVLLFSAQS FIPEYKGSEDSYADFEGNLEYKYSNTYYDETIKIHLCPNHQDYCNLVSFSTDYFVDGSEN YETFYKKSYIPSRQFTVIFNTFVMMQLFNFINARRIKDEPNIFQGIFTNILFPIIVIGIL ALQIIIVTFGGIVFHCYTFYGLRIQQWLICIAFGSGSLIMRVILRLIPDPKLSFLNKLGH RHDEAQKLASPNAQNNTGYQIQVIPQESELANLNEHPQESRMM >CAK90331 pep:novel supercontig:GCA_000165425.1:CT868659:76870:78159:-1 gene:GSPATT00023507001 transcript:CAK90331 MLQVVLSDEQNLSISQELFHQGGNFRISKQSLIKIVSAAQERLFAEEIDELENINGLQNL EMTLCTSFQKGLKGDDFQEREILFGNNRKPVVPPKTYIKLLLQALEDFIMRVLLVIGVST ADDGHRSLAWIEGFAIFVAVFVCCNVTAVNDYQKERQFQSLNQMADSRKTVTVWRDGQKI DLHQSLVMVGDIIQIFEGMEIPADCFVVEAAELTSDESAMTGETDPIKKDTYENCKKQRD KLKNQQNSCGRHDIPSPVMLSGTKVLSGEGKMIVAVVGDSSCVGKISSLLATEEVQTTPL QEKLEAIAQDVGKFGLASAALILLILLLRFAVERIKENSFEKDHVKEMLNFIIISITVIV VAIPEGLPLAVTLSLAYSTKRMLKDNNFSQKNGSM >CAK90332 pep:novel supercontig:GCA_000165425.1:CT868659:78220:79045:1 gene:GSPATT00023508001 transcript:CAK90332 MFGEVLSLLNLIADNEGQLGLGKNRGSSQYYHIPKICHYKIGFVQVSCGKDHTALLTQNG HVYTMGCNEHNKLGHVTKQSVQAPQKIEQLSKYNLLYQKRVIQVSCGYTHTACVTSDGSL YTWGDNSCGQLGVKQNGNLNKVSALTNCIQVSWRIQIIQKEAQECFGFGLNDNSQLGISR LKTIIEPTKIKLPALQQVSAGNLFSVFLTQDGIVYICGLGFGNLQKLNYKCKKILLINRK VYQDRWEEHSNRFDFNERINLF >CAK90333 pep:novel supercontig:GCA_000165425.1:CT868659:79127:80066:1 gene:GSPATT00023509001 transcript:CAK90333 MVVLIQNKREQLNGKIDRSIILEIHGNSHLICIEQKGSSSFIESVCSSTTTLRGKHEERS KSNYTEKQDGSQSLRIQQLEKQLEEKDRLIQQMKADYQSKLQQMQQKQKEMEGKLQSKDQ EIARLTNELHRLQEDHQNKNSDSEILQCHVQEDGSDEEEDVKVLKKQTSLPYQQPNLTYH HSSHSQLHNYQNQQQVKSPVKAIIQENKFEDNPQRNKFCKTDHDREAKYQSFEKLKKKEV LNERPQSSIREKTNNNNEKANLSTIKLRLTDLQKNKEQLEQRMRQFEDRLRENKLYTK >CAK90334 pep:novel supercontig:GCA_000165425.1:CT868659:80092:80412:-1 gene:GSPATT00023510001 transcript:CAK90334 MAESKKRLNSSMTYEEYVSIFEKEPKKPSLKPKLNPDLAMDDLDLLSDATTTDNLETLFP EIKEQQDQKKVLPYGQSQNRQRTYSENISYTFLSFIQKKIERKQSE >CAK90335 pep:novel supercontig:GCA_000165425.1:CT868659:80591:80964:-1 gene:GSPATT00023511001 transcript:CAK90335 MKLVRFLMKLKNEQVIVELKNGTVVLGTITGVDVRMNTHLSKVKLTLKGKNPVGLDQLTI RGNNIRYFHLSENLQIDNLLVDESISKSKKVKAGMEKNDPNFKRKKKNKVTRLPRR >CAK90336 pep:novel supercontig:GCA_000165425.1:CT868659:81016:84016:-1 gene:GSPATT00023512001 transcript:CAK90336 MFTISKEKLQQILASGLTQDNQDSMGEFNSTKCFEDSLKCNIECGLSNDGVLLRRLEFGE NRQQNQVCISRMKALWIILFKPKSMIFFSLSIISMILTYLSTDGIYTNEWIESLIIFGLI CLTLMVSFKTDEEKQKQIQILDEQTKFTVVLRNRELRRIMARNLVVGDIVILQETDKIYV DGLIVEQHNLYVDQSYLTGEEEPVQKVTLEETIQSQQPISPQKHLVYAGSRVVQGCGKLL VLAVGSEAQVNKILISTEQEEQQPPITREIRNISNKMERIGFIGLIIIIIMLLARFILQY GEQNINRYSMFANILNLIIALKTGKAYETIFTHFQIQMSEAVQMMLYQQNLVRRLQKLEN LAFMDTVVVDQTSILTQNRILVDSIMNDTMEQFTTSQFNLYPQQFQQALVDSCFINNYYD PNTDSGSRIEKALFEFSKKIQLNLSERVQQVTHRIPFSNARKMQTIIINNNKVAVRGSGE HILNSSTKFYSLKNGIVAIDDVLKNNIEQLMFELGQQGSIMGIAYRDVELQNENVKELIE NNQFEYDRQDLTLLGFLLFVDPLRYETKSAIQGLRQAGVKVILTAGNDSISAKNFAIKAG IMLNDSTVIEGSDFSERFNRKAENSKKEIEDIHVLSGARPKDKYNLVQELQYFGHVVGAC CDGENNVPILTKADVGFSLGIGGTEIDREASGIILLDDNINSVYKGLIFARNLIDSIKRI VQYLIASYFALFMILIVSVAFGEPAISPLQLIWIHLFNDLFATFALSYCKPNSQLIYQKP PNKSGFIMDENVQIHSSILSVYLIAVCILFVNQVFNIEIIQPLMVFNIYVMTTIFNLINS RMVYLEVNVVHGFFRSWISFIAILLIGVIQFVIVEYGGSVLDTFDGLSLKQWCVCVAVGI GSVAWRSIAILVIKPIVLKSGKGKLKVN >CAK90337 pep:novel supercontig:GCA_000165425.1:CT868659:84734:85403:1 gene:GSPATT00023513001 transcript:CAK90337 MQTHHLLATLRIEKPTQKPQFLKILQDHMIVNCSNDQIDQVWEHCQEKECQQVIEVLQQQ RMKSISLNSQKYKRNYNPQLKYSIKWTTNQQIIDCLEIEAERKLKDFINESCLGCSFTQV LQEKIVEFQGEKNKLNEEQGNKKAQQRLIERQKIRSKKLEQQIQFVLHNDYTKARAQAIY TKLLNTSVTRYKSTLNDTYEQRINQIMNTKFLL >CAK90338 pep:novel supercontig:GCA_000165425.1:CT868659:85412:86844:-1 gene:GSPATT00023514001 transcript:CAK90338 MLQQMDQNSFQIHCQRKHFLKDKHYHLLIDDNRIQISQSQQTFTKLMFWKTEQNQLIAFG MKYNKVLKWFHAEKNDLEALYRELHTRIFFGNISAIYESKEMLGAGASCKVYKVFNKITH YEFASKCIRKDYIFKREDKERYNRLLQEIELMRLIEHDSIVKMVDIYEGDKSYYIIMELL KGETLYSFIKKAQLNISQIKAVMSRCLKALCYLNFLNIIHRDLKLENLVLLEANNVETVK IIDFGLAIPLSTPNRQLCGTPGYIAPEMFIDKYPYTTKVDMFSLGAIFYKLLSRRSLFSG NNSDEILENNKRFKCNNHLKCCSNEILDLLKQMLQKDPNKRISPEQALLHPFFEESIQGD LGVADESPHEVVRTFPHIKPMQITNSNENIADIKIQVIRGSQPSFEQSLGSNYFPSFDEI NKKSRNGSDHIIE >CAK90339 pep:novel supercontig:GCA_000165425.1:CT868659:87021:88051:-1 gene:GSPATT00023515001 transcript:CAK90339 MSLLLLNASNRIAQGFLKVAAESGKYEKIICADIFPTYFTVQRLLKFKQQFSTKIELFKV GDRQDLHDVIKQANNLLYVSHDYYQVTASKKNLLVATLDLAKTRNYKTVAYVAPVVHMII RKRSMNGNIQKLKAVAPFLNWQSTFTNKIAQRIYNGQSIYFKSTGQSCAPIFTGDLEAIV ARVLAGDHAGKLLLAKGHKHIDFKSIIHLIEDSLGNSYKAQLNSSFIEKIIHPTNNCIVG QQLYCPSYINLTKLIANYKALENTGYDQVVGDKLVDIEEYHKNNKTVVDQSLKADYELSY LIG >CAK90340 pep:novel supercontig:GCA_000165425.1:CT868659:88111:88769:-1 gene:GSPATT00023516001 transcript:CAK90340 MKHYRDSVSPEVRQFIKQFHNCQKDQKRDKQTSTEESNSSIIVERQDDINVKEVFLKSPN KEAKENRQPYLKISKTPSVCSNNNSLSSIDQDKYVDIIVQKVVDEMERRNNNKRQPVLSI QQNTVQVKIAPKSELDNLDDDSFIRHIQQTLLNPHHTPKKVHNFQNFDQRQKQFQIQREQ KLQKQREAQQLTKLVDEFKCYLIRSRKSGGF >CAK90341 pep:novel supercontig:GCA_000165425.1:CT868659:88995:89423:-1 gene:GSPATT00023517001 transcript:CAK90341 MLLFLFFFNQLFQNPSIIQSYQRLRIILQSTFHLFLSIQQLQFLHICDNQVNKSDLFSLV FFFQQSEKLFNNYIIFLPLFLYILNSPIFRSWILIYTIKIISFYDYYVKIYYYNIIIPNN NIVVVQQFLINFISERLPSTKQ >CAK90342 pep:novel supercontig:GCA_000165425.1:CT868659:89436:90423:1 gene:GSPATT00023518001 transcript:CAK90342 MQIKNQRWIEEDIQYNLVSLKQFDQQDIQQSLHRLMNKSIDKILLQDIQKCAQRMKSINF SSYSIEMVANTLVSCIAQLKNEKPRYSVNSTSYQGIIKFFWKANYFFAFASQYYQRHKVG QSEHVEVSLEQQKEPLLHSQGKDCRISCQINVTSKSRSIFKLFSTQTQFKMLSFQKRKLQ IIELSNKFVINQYFDCSYYDHYLILCWRQNGYQHAGCFALKHTPSEQFAQTLQSPHIIKG MKNLSCSLQTLKYFTNEWRTQVTFVSQDWTPTTMINQIGNQLNIQLFKLYQKDYRSRSFN YVHKQSNLRDQQ >CAK90343 pep:novel supercontig:GCA_000165425.1:CT868659:90965:91250:1 gene:GSPATT00023519001 transcript:CAK90343 MKVQKGLLQLQEVSTEITKTNVYNTTRLLDYYISNTYYDKINRNNRQGEEYCDIKKGATN SKFLQLRSLLMIHNLDTIENVIKRK >CAK90344 pep:novel supercontig:GCA_000165425.1:CT868659:92322:95550:-1 gene:GSPATT00023520001 transcript:CAK90344 MNCTQHIQNQVSFICIAPHNCQCQRKLCAQCQYGHGVDQKYTVPIEIFEDIRKKKFQGSY LNSTSELTIQRMNFKKMLASALKMLKQIWDELAESIKQIYDLIEMEDKSYLNYFNNNVNP SELSYTQLEKLVQIIIGNALEDWNIEKNSQLKRLEMKKNYWEMEMKGFCQKLNKEMKEIR QIIQKTDNSFENIQSNKEIVQIYNGKQDLYQVLTQTKNIDGYFLNQLILMLKKEKITNFL EFFSEKSQDQTLFQFITNLMYNISEIDFNKENYSTENYEQIRKDLIKQISYDQHISEFLK FLVHLTAIDKRFLQCGSNSLHLLVEMKVDLRKQNFENIRIRDTSIVGGNFVRCNFNGSEF DNVDISGVNLNQASLFNCKWKNIKIHELNKLNGHSGTINTLCFSPDGTTLASGSDDISIR LWDVKTGQQIAKIDGHSHYVMSVNFSPDGTTLASGSEDNSIRLWNVKTGQLKAKLDGHSS TVYSVNFSPDGTTLASGSRDKSIRLWDVKTGQQKDKLDGHLNWVYSVIFSPDGTTLASGS VDNSIRLWDVKTGQQRDKLDGHSNWVYSVIFSLDGTTLASGGRDNSICLWDVKTGQQRAK LDGHLGYVYSINFSPDGTTLASGSVDSSIRLWDVKTGQLKDQSISLLMVRYQHLGSVDNS IRLWDGQTGQQNSKLYGHLSCVNQICFSPDGTTLASGSSDNSIRLWNVKTGEQKAKLEGH SSDVYSVNFSPDGTMLASGSADNSIRLWDAKTGQQIAKIYGHSNGIISVNFSPDSNKITS GSVDKSVRLWDVKTGQQYVKLDGHLSIVTSVNFSPDGTTLASGSRDSSIRFWDVQTGQQK AKLDGHSGYIYSVNFSPDGTTLASGSVDNSIRFWDVQTGQQKAKLDGHTGYVYSVNFSPD GTTLASGGSDNSIRLWDVKTRQQIAKFDGHSHYVKSVCFSPDSTTLASASRDNSIRLWDV KTAKEILLQDNFYKDLHSQFQMPHQSSSFLLTTRIDGTILRICQNPILEALGTLILKGEL VNYQGRNLKSLFQSKGSFIFENNIELKQKNPEKCVLY >CAK90345 pep:novel supercontig:GCA_000165425.1:CT868659:96871:97245:-1 gene:GSPATT00023521001 transcript:CAK90345 MQRDQLNFSYLKRLFPNLEQILYTGKFTSLNEFDKCTQLWHQAGFQGPFFLIKLTDQCVF IILNQNSTQDFIRTIRKGLTFELNKGTQWFYFNFQDEQQKVFNIWFQEQQDFENFKVCLE RIVK >CAK90346 pep:novel supercontig:GCA_000165425.1:CT868659:97273:97950:-1 gene:GSPATT00023522001 transcript:CAK90346 MATNIQHEEINLSLNNDKKAFEDLSGFFNLLAQALEKVDQANKELIECLKKIQKQLSSEI PKLAEVVSLVAESMSVGQKKNMEYVDLIKSKIILSLNGQLEQIKTKQKLLDDYKAKTAIE ADRDQKRKNTEPAKQKETYQAYEQAKKEKMLAGQTLNTQYQIYINEKNQEFCSMWKHFLN MQMYCCAAGLQSFSKSAQEIHNREQEVKKDAEIFLSKLLGNQRSK >CAK90347 pep:novel supercontig:GCA_000165425.1:CT868659:98467:99891:-1 gene:GSPATT00023523001 transcript:CAK90347 MNKFSLIFSDSQLEERYQESQRPQILHGFKINTIIPIISYAFQAVYWMIKNQIVLSIVYI ILTILYTFFYYKVVIRQQWYKIVGTIVLSFSLQASIMQFIPFWIQNLADSEIVWIEDTAI QMGLHMNITQNLVQNAIFYAIFISTRIYFRSILEFNPMFILFLLFTILTCYNEYQRIKGL RYQFLWKEKNLNQYFIFDDLIKESLVILNYNDVWDKFKVVYANKSFYSEYHDSPLEFLKE TQLSLQKMSTMTFLHYKIQDFKSSFQINAFWKNRNQHLFIECWKYSIMDTQIILKITTKK AYTENNMYPLLYKGILKKLKKIDRQHYHTESLKNLLAALLVKPKSIVNFKIQCLNYNKLS ALLMNILRDNAALFSLNLKSDAFYTNIPLLYILMISVSKYYKVSTFTVLQLNESILEIIV KGPIKKNTEQNQQFFKKLMSIIVDMIGIEQIKIENNEFMCKIMNMENPFISTMT >CAK90348 pep:novel supercontig:GCA_000165425.1:CT868659:100466:101251:1 gene:GSPATT00023524001 transcript:CAK90348 MSSKRKQVKLESEKKVKQEESNYEDSFNESNDEEPKSTGKQKTRHDNSLSVLTKKFVELI RNSEELTIDLNVAVNDLGVQKRRIYDITNVLEGIGYIEKISKNKIKWVGATDDPQLENEL RQIKSELDALQDEEKTIDYWIEYLQKNLQEKFQSDPEVAKYTFLTHDDFKELSKSQQADH KGEALFIITAPKGTSVETPQENNPEYPYSVYLNSSKVQGQNNEIQVYICSDENYPIEYEK KQK >CAK90349 pep:novel supercontig:GCA_000165425.1:CT868659:101665:102438:-1 gene:GSPATT00023525001 transcript:CAK90349 MRRLIVNQTRSKTVAARPSANLDRINKWLQTLSAKANTLESRFYASQLSSLFNFYSKPST GAAQEIDWNHWKEQITTEGLVDKVQKGHDTLLQREFDVERICHQVVSSQSKELEDLENEL TFHSAVWSNYYLDQHLALLDLEQYGDRNSYVIHEDYDFYPRIRSRLRRIDRNSQLDSRFK G >CAK90350 pep:novel supercontig:GCA_000165425.1:CT868659:102439:104112:-1 gene:GSPATT00023526001 transcript:CAK90350 MQQTGGGHQDILGALLNDSYKLVMTYSFFQNKMENDQTAFELLFRKCPFGGQYVIFAGLN EVVRYIKNFKFTEEEIEFVKQNILPSDANPKFFEYLRNLDSSQVKIRAMPEGSICFPKEP LISIEGPQGICQLIETAVINLVSFPSLIATNASRMRQLAGSAHLLEFGLRRAQGPDGGMS ATQYSFLGGFDGTSNMMAGLRLGIPVSGTIAHSFVTSFSELNDVESAELNGVNIKLRAIE YHKKMGVKTNQGELASFIAYAQSCSNNFLCLVDTYHTLKSGVPNFLAVAFALDDAGIKAK GIRLDSGDLAQLSIQAKEIFKHYGDQFEKDVSHLKVAASNDINEEALIHFNKKGHKIDIF GIGTHLVTCQKQPALGLVYKLVECKNQIVMKLSEEYDKTTFPGQKNVYRVYTRSDQCVDI ITSINYLPENNEFKCIDHINKQRYHIKPTFIESLLQPVDYDYVPDLHAAKKHCTKQLKEF NTKVTRLESPQKHLVLMSTEYYQMFQSKYDEIAIEEVIE >CAK90351 pep:novel supercontig:GCA_000165425.1:CT868659:104143:105190:1 gene:GSPATT00023527001 transcript:CAK90351 MRENFHVKIRAEQRQKEFAKRRIIKLQATPQYFRQAVLENPISELLKDIDQPDYLQFISF LSSVEDYQCNKYMADQGVLVVTIEKTISLLKNYTQNSTQEASLNYLLSIIGNLIDFIQFP DDKIRLIIQRAIEISNYSLTEFKLTLIWLIDEYHKKEKLQEDFLFCVINFLFNHMGRKDL TSAVFDTIINLQCNQPVYLSKENLDELAKHIGDDDNQTVQKSLFILVNYLEIAKKIELDE DVIILLNELFKNKDYLALLRLCNILYPRIQLEPKLILQRMQMCAFGLKLQEYFALINKLI ADNIVCPQEYLKSLQNIEMENQCENNKSMILQQISQYQD >CAK90352 pep:novel supercontig:GCA_000165425.1:CT868659:105374:106493:-1 gene:GSPATT00023528001 transcript:CAK90352 MKSIKTKLVTNTSQIETTINNHSISSRKIPKLPYLNTKHNQVLSSSRKLVLEENGVLVQL NTQKKELIKCIYERQLDEALEITKKLVQQSIGYDLTIFGKSLHLLADMYISNREFNNGLY IYNVLRILADVQNNQTLKIEALIQMGDLCKLQQSYHLSKLYLKKALQYVWYLNDTENEAT IYDYFGVLYYVQGELRLAQEYHDRAMNFIKESNDSAAKNHSVESIKAYIKKINYQSQVMN NMVLSKLGLIQGNDTDIKLTTQMDFGTLNNQILSDPEFQVEVATPNRPQRCVTKNGVLQN DVDMFENVKELHERQLKRKFFEKKIPVMNQTKSILKQTIEEQLEQRMKSDQKKNVFPLIH YLVS >CAK90353 pep:novel supercontig:GCA_000165425.1:CT868659:106628:108278:1 gene:GSPATT00023529001 transcript:CAK90353 MFNFQSRWINRSLDVLDNEDSVQKNVRQIFSLILQNGMLYILALAQLLSLVVTQDPVDKL FEFQQLMKQKTKVPKQKISEYDSSDIFSEGYITVSEQSEDEEYQQYDEISQYDEVDELGN ESIDAELIIQQQKEQQRMEQQRIEQQKIEQQRIEQQRLEQQRQEQLRLEQQMKEQQLVEQ QRLERLKFEQQQQQQILENEKKKKQIIEIPEQWSEDDENQVIQVPKQQKRRKQKKPEFDD HQILEVLNSAKKTKVQSLEDLEEMEKKIVKKKQKREPAPQDEFVQKVPAQNQTFIHFNNK NNYLELAPCSNNPIQQRACSLCKSEVGYQLDDDNWYMIGLQHGYKKEFLLLIEITKQSFG DSQLYLSFSSNGSACMTSDTLKQDIDVENVLQRFSGKSWRHFIKNELFALPQKLEGRSFQ FKAIYQNKQFAFSDFEIKLASNLIQELKSLSKQEVSIRTNTVEFIGESLQCTLTGLMKQQ NDELPFSLKFKNGESTSELLIPNCQIPLHNEILYGVSDLYFGEN >CAK90354 pep:novel supercontig:GCA_000165425.1:CT868659:108344:108974:-1 gene:GSPATT00023530001 transcript:CAK90354 MSEEKVQEIDQSIENKPAPPSGPKRPEKEPQLENLDEIFKRISDDFSDVAPLQHIAQKFN VNPGQLVLGIIFVAFTLTIFGAGNLLVKILIGILYPAYMSVQCVKQKDDAKSKMWLSYWV IYLLMFLLDRVMWFAFHDLLQLYFPIKNMTLIWMYYPKTRGAIIIFDKLVLQLNQLGLLE KPKQKHE >CAK90355 pep:novel supercontig:GCA_000165425.1:CT868659:109088:110707:-1 gene:GSPATT00023531001 transcript:CAK90355 MTEEIEPHIARKFEIIQKLGKGAYGIVWKAVDKKLKTVVALKKVFDAFHNATDAQRTFRE IMFLQELNGHENIVRLLNIIKAENNKDIYLVFDYMETDLHAVIRAGILEEVHKKYVVYQI LKALKYIHSGELIHRDLKPSNILLNSECHMKLADFGLARSIAITEDDSTPPVLTEYVATR WYRAPEILLGSTNYTKAVDMWSVGCILGELIIGKAIFPGTSTLNQIERIIELLGKPNDAD IESLESPLAVNILASVSIQKRRSFQQFFSGAQEDCLDLLRRLLQFNPKQRLTVHQAIKHK YLKEFSSPDEEIECSEPIRIPMNDNKKFSIKEYREALYNDINRRKKEQRKKWQAKYLQQL GMNPDELINGLHQEQSEIIPQGKSQGHENVNGSSIQPQTQQQQQLQKSNIEEIQNIIKQQ QIQSQQLKKSQSQVGIQQQQKLTSQKSASNFVSQMYNTFQQQTQNIDNKFYQQQQFLQQQ LQQLQQKAQQKKKT >CAK90356 pep:novel supercontig:GCA_000165425.1:CT868659:111972:113927:1 gene:GSPATT00023532001 transcript:CAK90356 MLNHSLSPPLQSQSSQNALTVLPPSANISAKQKIHVSNLPLNITAHHLQQAFQTYGHIID IRIIRKTPNGLPLNLSCYAFIAFADNESAEKAIKDGGLADWSVKPQIDKQMVVKQRSRSR SRSQEKHRQVITSGHIIPLEQSPKIQIQLYVRELFVNGISKNYDEPRIRQIFSQFGSIER IDLYPNKHNIFNSYIKFFTIEQAIYAFQNLDSIQQQFSTQIKIYFSDPIKRHNIVGNNLQ NESQSKLSSVLFIFFPPNSNKKVDHAFLLEICQNNKCRPLLWNYYQQDSNYKSYTLLQFK DTHQAIQMRTYLQQNAANLLGDPKCEVGIVSIPTPQQFQPQLPIMIQQQQFTNNVMMPQQ IPPQKLQMPYQIPAYPIQQQQPIIYQQMHHHHHQIQNNEQRIEQQQFMDPTKFFFHQTPT QSEPKSRSNNPQQDSTQQNGNQEILDGFLNFSQSQQRQDLNVFWSGFMYRSKSHRVGVDA FCVESDVIFLQNEQPPIQMSPQIQVNYKGNFSDAKKCANDSFKFILCPSDQTQHGAFQEY IDYFLDKKKIGVAQLGNGVLYLLPPCEIANSIMSISGLELLAIFSEEKKKALNYSVDYQ >CAK90357 pep:novel supercontig:GCA_000165425.1:CT868659:114040:116311:1 gene:GSPATT00023533001 transcript:CAK90357 MEELFALQQQLAEIQETSTKYRLSDRIIIDLIEKLVKEYDLKVYHSTDGQSLVTPNQLSK EIHQLILSNKRVAVNQLPEILGIGFDKIEGQIEKDSNGWDVVRMQDEMMSHDYILNVCEE INEDLQQKSIISLQEIMQKYALPMQFVTKYILKQVGAVIQGVCNEDKLTTVTYQEIMTAK LCGILRATLSPVSFLKLNKDLEIQNSQKICEQLLITKQIDGKIFSGQYVSSRFLQNQEAQ VKSFFDQNSYVEYDKLHQQFFIQKPKEYLKQMFKDNVIFLDTCGFSRDALLSKQDQIQEL LLNEGHTNLQEILPVILSDQDIETLFSLMQLNNCEYANFMIYHKPFLDNLALAFKDKIIE SIYQNPQKLIEQQQNQDDTPQDIQASAGGFASKKNKKQQQPQKKKQQNKQELFTNKEITD LLTQKKLVEYNDCIDELFQFLQPRLSVLYEQIKIELFESKKSASSQVIQEIQKKIEDMAL GLLITQRSLQKIQQECHEVNTKVLVDNCLFGYRLLVENLVVITCKKYNIQLPQNLFADPN HPSVVNGQINFGLSKSGRVFLNKVALGEAILFLPKEQQKALKETMELYTKKSLNVLSQHQ FFDAFNLKVHLDKKNDRNLLLFIKHHCKEYIKQRQNEIFDESVFNQIILAMSTDLGLYFI GNYDQHVYSAIKQIVYELQSDKQINSLLNNITHSINQQLEITAEAKELLELLKLL >CAK90358 pep:novel supercontig:GCA_000165425.1:CT868659:116543:117403:1 gene:GSPATT00023534001 transcript:CAK90358 MKQLFNVKQKIEQFISKQTEISDLERIRMKNLDNKLGTNTHFHEIQSQEERLDSDNVMRR QVLARRELQKEKDLAVAHLFDPEQLYTQLVQIQSLKREETMKHRYFKLLDCYQNIPNYTY FSKDSKEGHPVYNLYKGKNERKNKELIKDDDELVFSGKIIADLIPKHFEDIILDIEKFQS LYKEFLPNSTHDIYGCIIEQLSLRFLIIRSDKIHLVLENVYNTFTFIDNFLYYNQKSDLD LQKYVLKLNLQKEPLSIKFHLEKM >CAK90359 pep:novel supercontig:GCA_000165425.1:CT868659:117448:117825:1 gene:GSPATT00023535001 transcript:CAK90359 MANENKFIDLSGYDVVSYKEGYKFSIDLNTVNTFITQAPLEEQSKEIRTQEVQLIYQCKQ GGIQQIGQIIIFWPFMKEIHKVLYQIDQQFSKKRRIMNTDTRIQILNKFGIKLDQRVKYI IFSFD >CAK90360 pep:novel supercontig:GCA_000165425.1:CT868659:118385:118611:1 gene:GSPATT00023536001 transcript:CAK90360 MHFYAMLQIQTVQNGLFDNSQKMIDFPIIPGLRNTFISYTLNDELTYQSQKMVALLRENK DNEVDLKY >CAK90361 pep:novel supercontig:GCA_000165425.1:CT868659:118751:119200:-1 gene:GSPATT00023537001 transcript:CAK90361 MVYGRLIYNNVKDYTPQWFKTIPYQQTVKPSFVRKPQVVSRLNADPKVKALWRFLGRNVA DNPWAWQVYIFANSFVIFALCYYPWLWVYQFNNKKRTIDYALQQEKEFKAKQAAAEE >CAK90362 pep:novel supercontig:GCA_000165425.1:CT868659:119999:120848:1 gene:GSPATT00023539001 transcript:CAK90362 MSPFVLFCIFILGHSLKVSYNTQCSCSKFGSDTSCLEQTNCIWRTEGCQSRECTEYYDKE KCNRVASCSWNVSKCEKFTKCANYYMNDSYDCYKIGDQNLNLFCEPSDEPNMCQDYEVQL CGDVEEDCTGFQSQWSLCYWNNNSCNVVDIRYCDKLFDEDLCIIFGEGLGCQWKDNKCTV ISCSDYTSESTCVLQRQNFIEDDPLLCKWSEIKCEEAPDISHLDFSNCLVNSFYNYIWDS INKQCVSCLIYVPPSTDPVQP >CAK90363 pep:novel supercontig:GCA_000165425.1:CT868659:121338:123975:1 gene:GSPATT00023540001 transcript:CAK90363 MGNACRCQQFTNDNLELNSGKVEGTNKKGDSITIPQQNGTTISKKTTQKQNEDEGEEDDQ PQNLQQIELKRGDRKKQAKINAVSDTVEIFENILKVEKRKSPFDYQLMLNAFNDHFIFKS VPQSDIEYVVEQMFYCTVPDGQFVFKQGDKATSYFLIERGQCQIIINGELKKTLKGGEAF GELAMLYNAPRSASVKAVGDCAFWAIDRNTFRKVVEQQNQRNYDENREFMKKVEFFSFLT EEQRDAICNVLITLLFKKGEIIVSEGDVANSFYIIKKGKVSIIKGDKEVTQMNAGESFGE AALYQSCQRAATVKAVDEEVRCLSLSKDDIQKILGQKIQTVKYINTQKWALQQNPILGKL TSIQIEKIIQTVRQVHYEKNEIIVKVGQPCLKVYIVLEGEIATIPSNKYVFDKGKVFGDQ YLKSSTLDNKMAECLQVITEEAIIAEFEIKTFMQIIGGSVEQMIQKNENSHEQKYLNHPS ALQKKDYSNLTLDKLICIKKLGQGQFGNVYLVRTSQEDKMYALKCISKAQIVEQHLERHL AQEKQVLQTINFPFLMQFYKSMKDQNYIYFLIEFIKGMELFDVIREIGLLTVTDSQFYIG SLLICVEYLHKLQIIYRDIKPENIMVDEKGYLRMIDMGTAKFLNQKSIRTYTIIGTPHYM APEIITGKGYTFTVDLWSIGVCLYEFMCGGVPYAEDADDPYEIYEEIQKKTLNFPLFMKD KKAKKLIEQLLSKTPEVRLGGSYAALKANNWFDKFDWDKLMDKELKPPFIPKKTRMVQDK EIQSALATGKLASKEINTVGVVYKKEKARDSNWDSNY >CAK90364 pep:novel supercontig:GCA_000165425.1:CT868659:124150:124894:1 gene:GSPATT00023541001 transcript:CAK90364 MNQPYLHPANQIIQDSAPKETLYVTGLNDKIKLEDLKFVLYILFSQFGEVLQMVMKKTQK LRGQAFIVFQNITYATNAKSALSGMIVYDKPLIIEFAYKKSVIFDRIEGKFYYKQKQHKE LQPTLPNELMKEKKQKKFEEKIQNSSNLNQGEINNVLLIESLPPFVTEIMLSELFRQYPG YSLIKLIPARGLAFVEYQNDDQATVALKGLSNFKITPECQLKVKYAKK >CAK90365 pep:novel supercontig:GCA_000165425.1:CT868659:124944:126185:-1 gene:GSPATT00023542001 transcript:CAK90365 MFNENDNIEAIDVINFDEYASNVPYFLYQQAVTPKIQIEDQRPSSPPKIIKNQQFHKNLG QNQAFYQEDKKFDINQIKVENTIRFNQENQIQPKQEESEDDDYGSVIQFQEIAEKEDEEN IHYQENNDLNQQNRQSPQEDQQQIHAEESPKKIDQVGPSLQNSVISNASVVSAYPLVNKT LEFYCTKEFLASPLNEKGIVQCTLIINTSGLNKLKPKFYLEKNGKIFLAAKKSSHKFIIS MNKDKIQRKSSNFIGQISCKKKYDYFFYDNGNNPKRNKLPVRNSIGQLKFITSSKPTEPR QQEFRLPQDDSHIFMNRKPKWNEKTKSFTLNFYDRVKVSSIKNFQLIQINEQPEQIYVQL GKENDGKFNLDFQSPFSPLQAFMVALANYDKKIEY >CAK90366 pep:novel supercontig:GCA_000165425.1:CT868659:126216:127113:-1 gene:GSPATT00023543001 transcript:CAK90366 MHISNKQQQDSSIYEVQKLEASLKFDHRQSAVPENPCYNFRFRIFIFFSYIVITQIGEIL GTPQDDIKGIFDIGHDFTDGINQLYHSHTWFASLMQVISGLILDFAFFYVSLYWVFYVRN FRLFAALIIFYGIRAIHLNIFKLQFADNYYWKDPGVPTFVVKYGNYSDFFYSGHVGFLVI CALEMRKLGKRWFALFFFVSSFFQAFIVISFRIHYTIDVPAGYIYAHYFYNLVCYWEVRI DHTLYWISTKCSSVKISQSSTLQTKIPDLDTEKH >CAK90367 pep:novel supercontig:GCA_000165425.1:CT868659:127285:130221:-1 gene:GSPATT00023544001 transcript:CAK90367 MNRRGYKFLVEATILNVQLLVHFPCKVQVVIKKGSQKFETQQIYELQQGVAVINENLQFN INATLTQDGNIEENKAQLVVILVTEKGQKSAGFYNLEFSQYLNSQNLEFQETLALDKCPD KKAKLLVNFKITRMAELENDVEINDQSLDLSQVSVITSGQESFTIQNLQKSPDQVLLTEK KLDESGIKQKTPEIENQLLINKDVKINEQNKYIQQLESKINDMVEQEIHQNMINKYEQQL KDQDKRIQSINDDNTQINLQLQAQRLTIERQNEELTKLKQIQQVNSQSKSINRQQSQQSD EQVIQPDLQKINSEKEELKQLNESLVQKIEQINQEIEALRLQVKDKNEQIQNMKNLTSGT ISALQQRNLLLEKDLAQQKDINQKYVDQQPINAIQNDKLIEELQSTLKLKQQELDNLQQK YESELAKKDEIVQSLQQKMDESFRKDAESNRLSHESKTQIISSEKQIDEINVRSKSEQQI NRLKNQIQIWQSKYESNQKALSDYNSEIQYLQRSVEDVPNFLEDQKELVEPLLLLRRAIQ RKLQNYNLTQQHFESRVQQLEQELEVSQYKLKLEQSQQSSDPQFQSQTYTKNDEFAKLKE TIEQKNEQLRILQLQIDQQKQQESETLKSSEEAKAQLASMRTNFAKCKQQLAESMQEKVF LQAKLAEQTKALKEKNQEIQKEQDLISELEQYNIQMEEALSQTLDQYKLQNTQMKQAYEK EKKLRSELQVQLKTLKEEYAKASKGELPKAKDAEEKQQQQQCNCKEKIQTYEQKIAELEF QLSDLQLQRLDKAIQRNSIAQIRTSLLVSHRESLAFQQMEDLNTNSNKGNETTKTKELED QNVNLTDERFKISKEYQTILERALQTNTQIENKYKNQVKELEDKIEIQAQSLVKMKLDMA DLYSAAIDIGGAMLVDKLQIALGIRE >CAK90368 pep:novel supercontig:GCA_000165425.1:CT868659:130477:131418:-1 gene:GSPATT00023545001 transcript:CAK90368 MKFHTTIQNSFKEQFKSKKQVVLNDNLQIYKQIIQNQELFLQFQNKYFIQDCNLPMEDKI TSIVTDDRIDEILHRNSTKEELHLILDYIIMDYKKFSMTDKILLQSQKMQKLTLEECVDN RFVYLFVPGVKNSMDSTSQKEVRYTYEPKDGKICKEKYRNGRKTESTEITFEQIKKMLSS FNQSTGAFLSSKPNFAESRFIAPLLPQEEVAKRAKVQVKGGQMQFAQLPRKNKPAFKNYT AEEISKHNTPGDVWTVLNGKVYDISIYVDYHPGGAEKLMLGAGKDCTKLFNQFHPWVNGH AFLEQDYIGNLKH >CAK90369 pep:novel supercontig:GCA_000165425.1:CT868659:132261:133419:-1 gene:GSPATT00023546001 transcript:CAK90369 MSEQQSLTFCDCGDHNCDTHHHHNHHDHNHPHHDHDHCEHDHDHHDHDHDHADHFEPEQE QSQDTQFIKFIFGSSDYKQAIIIAFLYIALAVQAFYSGLTSSSVHLTEESFDCILNSIVI VFAIYCGIKAKTFQPTKTYPFAMQRLEYLSSFTLCIHVSILTMFQISRNAHEILEDLHVI NHPTDEQQQNQNNELYYASLRIALSFAGIFLFVEYIQPNFITDKEQLQQFVSNYKDLIGS NPSKYYYTHYLNMHAITMLFLCELLFNIGTFLECMLDNSLSYAAIGTIIAMTNTIIIIIQ LSPLVQTSIQALLLATNEKFTGIRQLLQEFNSEVYIWNHGADHNAIILAEGSKKRETRQK IKEIVNNKFKNCFVNIA >CAK90370 pep:novel supercontig:GCA_000165425.1:CT868659:133445:135973:1 gene:GSPATT00023547001 transcript:CAK90370 MLIIILLNLQVAVYTRYAATQVKNTAAFKQFKLHTSETSVSRAGHKINLEQNLILEIRQE NIDQVDIQIYDEANKHFRIPSDPPFNYNDVKDPQQFDHYDYDVKVQENPIAIQIQRDDAT IFQITDLIFSETYIEFTHIPQNKQMWGLGERNQVGFRFREGIYTLFARDEPNIIEDGKRP GKHVYSSHPVLLSMEESGKFNVMFYKTSSPMDVTYEEDKMKFITIGGIIHIKLFLGDSSP RTAIKKYHQYLGGWMLPPFWGFGFHQCRWGYKNSSVLIDVVQQYQKNHIPIDIIWTDLDY MDDRQIFSVDNHNFPKKDYQYLKGLGVRYIPLLDVAVGVKYGAKDQGYKKGTEYDVFLYS PYTGYRFQGYVWPGDSYFPDFFHPNISQYWNEMHEHLYEQVEFDGLWVDMNEPANFCEGE CNWSTHHRDHPKREDKLNKEIIFPYIPGEIPLANKTLPPHLLHHGQYLHKDVHNLYGIMD SYYTYQAQKALGKVQPFQITRSTFPGTGKYAQHWTGDNGASWDFLYLSLGQVFQFQIFGI PMVGADVCGFMGDTNDKLCCRWIQLGFFYPFFRNHNNDLSKPQEFFNLGVQVVQSAQKNI HLRYTLLKWFYSIFIREQNHGSIINPLFFIFPEDYLTYRDFVMDTQLLIGEELMGAPILK EGVTRVAYFPDSNWYDLITGLELKGKQDHTLYCSYNEIVPIFIRSGYLVIQNTKEIIKNL KSLDNHYRIIAAPINSEAKGVFADLDNFEDEEKALEAELINIKLVVEEKSVKISISKSHS ELIIDEILIYGLECSYKQCTSGYYKYNSVFKGPFSLGQDKTELVLK >CAK90371 pep:novel supercontig:GCA_000165425.1:CT868659:136045:137680:-1 gene:GSPATT00023548001 transcript:CAK90371 MSLFAQMEKNAQFQAELQRYEEQADFLFRKQRMERIESFTDQFEFLANEYPCAVYYQGLI YNSVYAAYQSARTQDEEMRQKFVNAQSVQEVYQIAQHIDDPPHWTKQRLRIMEVLLRDKF RRNADIRDSLRATNNYQLIHTFTHSTPSNQYWGVVDGKGQNQIGRLLEQIRTDINNYEDQ DKWLLMTFPIEQESKMIPKVELKVLKEGENLPKIEMKHSAFLYFGSHQRNHVHLAHPSIS RRHAAIFINNQSQVCLVDLGSKGGTFHNEQFVEPHLPVVLANEDKIKFAKSTRIYQVLIS YDDCKKWLKEKVEKLRNDLKQLERINKGKMSQEELKATLSGAIEDTILIKNLPEKASHLE LMELFRKEGKIKELKIPIDRQTGNTRNVAFVRYENERDAKRLINDRNKVFEYKNEKLKLK LVDYAYVEKFQEELRWGITEQIPQPTQQQQQQPPQLVQPTLTKPVEIKQKVPESSSSSDS DSDSSSDSSSDSSRSRSKRKKHKKHRHKKDRHHKKHHKR >CAK90372 pep:novel supercontig:GCA_000165425.1:CT868659:137832:138721:1 gene:GSPATT00023549001 transcript:CAK90372 MENLLQSPLTGRTYSLIKIIGEGEYGTVWLVQRDDGLFYACKLLKPKFAVWTIEKSKKFE ERANQEIQTLIKSTHVNVVRYEEWFQIQNSGRFDRYIISEYCEGGTLSKMLETKGRQSEN DALHIMIQLADGLQYMHNQKIIHRDIKPANIMLKQGVPKYIDFGFAKHFEEEKVDQIQTV DVGSPQYMAPELLEESGYYNQKVDVWALGIIFYQLLVGQFPWNIEQATSYQMILNIILDD ETIYFPPQIPISERIKQTIIQMLIINPDDRIDSNKLCLMLQN >CAK90373 pep:novel supercontig:GCA_000165425.1:CT868659:138726:140097:-1 gene:GSPATT00023550001 transcript:CAK90373 MSKQQNLLYDFNEMTPAQQAMFLRARNELEKEKAWAEIRKQKEEEEYKRSILLSNSQTQN PQNQKVNLEKISSDSESSDSLQSENEFQKEEPQFEQKVEEKPMIVEPNNEQLDTYFKLIQ NAQLKRDQIYYLLMKHYCDNVIEGSFVKINEPNLIRRKDQSYAIAQVLAVVEGEKSYQLE QTQTYKLLKLQFGEVKDAKLRHITLISNQQIQKQEFAVWQKRCEENGIQIPSKEYLQRKE RDIIKSTSKLTDDQIKERINHNLTKWIQNPKSNIHFRDLPVYQNFIKNLISTNRSIIEQG EEFIADLQDPGEGETEKKQLKQEETSKILRYQRQNREKAEENVHLNEELKKIEQLLKQFK ETQSQKVEPPKRQPQQVEQQQVVKQSKQIAKFSINHDYQKQLDSKYIRQYPNIKTIDGSE QFKAKILNMHSRELPIDNIIDKMMVLE >CAK90374 pep:novel supercontig:GCA_000165425.1:CT868659:140110:141822:-1 gene:GSPATT00023551001 transcript:CAK90374 MSRVQTAKSQQNIRSIEEELEHQIKKSGLLDADRKNFYNNAEETKRKNNEIIEQLKKENR DLKKLQDELIANKRGASASLAKTQGAFISWSGDIKDENYWRRKLDDAKHLTKAKKERLIQ LQDKLNEVSDTKFGVPEESPLMRQIRILENNLDKVMIKYNEAQSIRKTYEQIVKRLKEER VGYDNQLAAIERSLKGKEHDYEELLLLAHDATHAKELAQAELKKYEHKKAAVRELRKTYL DAKRKAIEEREKVIQKLEKREKDNVQTQVEYNTSNIPIQESSNPNVDTNIQKQKLADYEE AFHKLKEATGFRDVNDIIQKFTSQDETSKSLSDLKGEYQDKIEQLVTERQKLRDHLNDLK YEGGEALTRKQIDEIENNVNNAINKCERTKLKYERVQKILVNVKAGIEHLYEKLEFFKLE GKANIVVSDDTLVEGLSQVVEKMKLILQLIKNDASYRAQDFKQTWKDFNKEHIDQFINLN LRDNNIKPENVSRNIRVRIQDKEDDDVSDGEIEDDIDQEVTAKLKQKYGAQAKDKNAKKL NKPNKK >CAK90375 pep:novel supercontig:GCA_000165425.1:CT868659:141930:142397:1 gene:GSPATT00023552001 transcript:CAK90375 MIGLPKSGKRTLLNQISCKFKHTPIYSGDLITALKYSKFNLLCYHNIDDNSIQNHVNPVF QKIEALIFVVDSSNLADLNNSHVLLQSQLNQLKGIPILLIISKYHKAQITIQEIVIQFEL IKLRQPWFVRTVGSDVSEIEEGLDWLYDQIKHIKQ >CAK90376 pep:novel supercontig:GCA_000165425.1:CT868659:142516:143034:1 gene:GSPATT00023553001 transcript:CAK90376 MGNLLENNQNVQKSNLMMVGLDNAGKTTILYQLQRNKVETLYPKIGFYLEKIQYKQFEIF SWDIGGSDPRMQIYNPNGFTYNGIIFVVDFNDKERIECSATEFHRLLSMENFKELPILIL ANKKDLIKVNIQEMTRELMLEKLSNIFHIQPCCAVKGEGLEDGLKWMQKQLK >CAK90377 pep:novel supercontig:GCA_000165425.1:CT868659:143300:146696:1 gene:GSPATT00023554001 transcript:CAK90377 MSRGNELDDLLFKRRDQNSKQGEDLKQIIENIPYPHADITITSYSEFSDNTPQKYLHKLV HTENTEVQPQKFRRHRSLVGDAFATDSEVEQRELKSQKVDQKRKPLQQQPINQQEIKPPL VPQQQQQLQDFYASGGSYLESMTPQRMNKIVDWSKQHVTPGDAKNIFPQVIAPSIEFSNE NSLSLQFKNVDPEPKKPQILSHQNQNNQNSRLENRIWEKQKRYMESEIQGMKKQQRKLEN ENLVLAQKLEEQEEITKLLQEKHKDYSCLEILRGKYLEVAKQQMKQLKQQLELKDQKLKD LNEQTKGMIENEKRMQEAIELELRELHAQLDIKDQMVRALKNQQNNEDNERQKALQEYEK TMSDGNKFISKLKSQIETQMKALEEKESIITSQDAQIQNLQVRLLKEAEYRKQDEKVINE FKSKEALLYQQVTGNTMPEVHNRAMEELQAECINQKILISQLQSQLTQQMQMHQLQHMTS NSYKECEQCKLCKDELANIIQECSLEEEAKTIDATQSIAQIAREVVTLLLTKQREQIDHA FLQYEEQIKEQAQQIDYFKEQNDEVMQENQKISDQIQIVLEKKEEQEQQIFEEYEKLRQI NQNLLSENKTLKLELEQHKQQLRNETQAHSNEIQQLKHEIQINKQSLLQAKQNESKLVVQ QQSQLKCEEKILINQEMENMKIVFQNQIQELYNKLHNQDEQIQELTKELYTKKKQIDEMQ QQQSSIKKQRKEKEQKESKEQTQLKTYAQQLSDLNDEKSKLLQKIQHLEQQQTYQTKRLD EEKHDKLEKLNQQIKEKDKKNIDLYNQNRTLQTLQKELDDQISSMKDEIEKQKKQIQLKN SEIKQLLEQNKQLQDKNQEINNRLSILQQQMNQFENEIKHYEQSPQIPEKLRSQASVRSF DKTPHAVIELVEGFNQYQQEFYHLKKMFTEKLKAQEQNLLSLQQTNQQITQQNLKLIQEN NALKDEISSASHGKNYEDRGSAQTGDSLNIRSFLDQDHKKTLPCKSPEQHFSIVELVQPE IMSIEDTIMIFKEILRRSVKSVEMIKMICPLPEIKELLMIILQVEEKMQQQSQVTANKKS KIINSILGASNIKLIDQSRKKSACGGMRVKQSHRSETGVIEFYE >CAK90378 pep:novel supercontig:GCA_000165425.1:CT868659:146738:148189:-1 gene:GSPATT00023555001 transcript:CAK90378 MEIIELKEEEAYRISDPTDIVQVTLIEGAVEIFGQELLMKKLYEFPPHKPFSLFCWVQSK LKIKYKSKEDQPGYIFNEEELNYHKMMHDFLKINHVLESQRQMSFQLTDKIGPRLLVLGS HSCGKNTLCKTLINYSLVYGWKPIYVDIDPDNQQSEYPHSIRAEVQTCVKEQMQKNRVTY YFGYQYKDIVRDISKRMLFDRLTEELSVQVDRKLQADLERAKEQKVQMLNQLGKVKDQKL CELIYMKSQEDKDEKEIYASGIFVNCPNFLGLHNQIMEQIKFLIEKFKITMVIVIDKPDI YRDLDKIQDIIVYKMEKLKGVVDSYNLKELESLNYTSFQSSQSIPEYQLELYQMQVREDK QIMFEKTKSTNIVKLTIAVLHLKKTQLEESNTELNSKLILQAPLAFLAYVDNFDKDKGYL IQIPNDNCRQTLQNNVCIIGR >CAK90379 pep:novel supercontig:GCA_000165425.1:CT868659:148373:148850:1 gene:GSPATT00023556001 transcript:CAK90379 MRKLSEYQLSLFNIQNNFYFGQNRDNKYALGMITQQLILNQILYRNIQLESQDQYFILIQ IYINICQEMDQKHLMRYFVEEQQALHRAAAVYGSGLAMQLNLERNILGAPGQSSYIHLEI AMNKLEDIDELDFMGNKKLEQEFVKGFQQI >CAK90380 pep:novel supercontig:GCA_000165425.1:CT868659:148870:149577:-1 gene:GSPATT00023557001 transcript:CAK90380 MRHQCIQIPFKVNLLNKYFISGNHLSQLGELSGYYCVKDIHQRMLQHPIGQRILNDKPRV TPQTFKIEELLKLDENTFGHQYGKFMKDRDFSSGERPIVKYIPDLELAYVYQRYKEIHDF IHVLLMYDVSVYDEIVVKWFEMAQLGLPSATLSAFVGSFKLNCQEKQKLMETLPQILKRA HKSEFIMNVYFEEHINTDITQLRKSLRLL >CAK90381 pep:novel supercontig:GCA_000165425.1:CT868659:149657:150826:1 gene:GSPATT00023558001 transcript:CAK90381 MYINSENLLPKLQGEAFGIDIEFSNKRICLIQISDGKEIYLFDPIALNLEQYMRDFFQND AIKIFYSGAQDLKWLKTEYQIEVNNYCDLKVLAQKEPDQSLIALWKKYCGVQFERDDKKR LQRSDWFARPLSQEQLFYAALDCKHLIMLRDILLQQYTEEEKKFIHFSTDLKEPKLLRYL KKQLVDNEDVLLPQKIYRHIKFQDPFQTDDQKVIGLIEQLTKKHHEDYKEQKKQRFLHFQ EKFTTHKPVYSNCRIFSLSGQQLCFCDQKKIEWYVKNGLGEYMDEKSIKLSFDPVCEFDE KEMKFYNEERANRCVVCGASSNILKYQIIPYMYKHNLPNHYKSHRAHDVVIICARCHEKA SALQDKKRAEVCLVVWSSFVILWGGEESS >CAK90382 pep:novel supercontig:GCA_000165425.1:CT868659:151096:151245:1 gene:GSPATT00023559001 transcript:CAK90382 MDPQNLPKEWAIDHRFKRNFGEKSIYYQKQEASEEKQELKQQEQQDIQQ >CAK90383 pep:novel supercontig:GCA_000165425.1:CT868659:151774:152598:-1 gene:GSPATT00023560001 transcript:CAK90383 MQEIDVLRLHKETIKYLEIENIFKRLTSTFLDRIYHLIKDIFAEEHKFTIQVHNRYNQEL LKLANQKEISMKEFKLAEHYKLNSEIFKTNLQAIFTIVIDDLQNSFNKQVLIEERAILEP RLLTQIDEESQICLTENINEVSQDYPKTTRDEVKTEETPMVNKEFKQSQSKNPLTKLLQL NKSSCLSIVSSPRHSRDNSINNSTFKQSNKQIQQLVLNNKMQIKERSIIKNKSFELNQIA ESLLAQTKSPKANQTMILNNLMSKMVNLKENALK >CAK90384 pep:novel supercontig:GCA_000165425.1:CT868659:153339:154768:-1 gene:GSPATT00023561001 transcript:CAK90384 MTTTLPFISKNKNYVEMKAVTWQKDSHGLFDYETKSLSVKKHRVEGSCKVSREENEIVIQ DGKAKDEAHLPLTSIQAQGDQYFIQPNQNSTENENYLIVRSLKNADGVQKGYTLQEGDLL KLGRVEYHVIEIRDSKGQIRTVKDVFQSEAKITPSLDGNVTQQCKICLNEEETPEDPFIT PCKCNGSCAYVHFNCLKQWLESRGYKKESGNTISYRWKKLECEVCQELLPQQIRFQGKVL DLAALERPNQPYIILENTQISEKDKKAQRGIYLIKGTPDDQIKLGRGHQCEIRISDISVS RLHAFIKYEKGNFVIVDNNSKFGTLVRLQTPYLICMDKIAIQVGRTVLTFVMKSFQSINP NIHAAGVQTLHMNHISDQQRAAIQGQGQTGFYQGANKTQTNNNNNNNNNNNNNNNNNNQK KNEKHSG >CAK90385 pep:novel supercontig:GCA_000165425.1:CT868659:156041:157035:1 gene:GSPATT00023562001 transcript:CAK90385 MSWLFNKAQQPAQQLKLPAQFAEKVLNLELDVDSNAASKDEIQELLQLYSVINFSQSIQQ AVEYYSSMKSERYTVFTNKIQTLLMKPYVNKILGSEAKQEQKQVQQQNAQKEQIKNNIQY IQQMDSNKQVQQMMTAAIDEKIKIDNMIADDFKLQDHRVQQRMLMRIKDPSKYNLAISKS TSLRHLKLDIESQSQQSTLPDMQEQGLESISEQAFDKISEAEAQQQFTDLIKNDNDSTEQ VHQIQEMNTQSNPICLPIKPSQIQDQHQAYFIDDPQPIDQQNWTPQLGDFSHPKTKKVRD MVNKNQVLKKQKMELNNVLPC >CAK90386 pep:novel supercontig:GCA_000165425.1:CT868659:157166:157732:1 gene:GSPATT00023563001 transcript:CAK90386 MGQENSNAKLSQNIIVFGVNLLLDEKLMGSEWQKDQINSCQDFTLYKNSSEKPNQLLWCP KYSQVLQQTVESIILNIEFEGVLYVFEHTQDQFQLNEHRKLIKWLMSEDSMRQCSLVLLC VSKSNDLAINIKSQMEIELDLESMDSKQARNLIIKQKEKEINLQFKKIITQLIKMKNDS >CAK90387 pep:novel supercontig:GCA_000165425.1:CT868659:158396:159145:-1 gene:GSPATT00023564001 transcript:CAK90387 MSDQYKNEIDRIQKLIVSIKTDINKREQKKKAGQQFTIIEGEIRGQLTTLDREIVLLGDL MKLQENTLMQKEQEKRRNQLQEFKNQRDLISEQFKKAVLETKQEQQAAPKQMAELSNMSN RELFKNQKDLQQEQDKLLDQTNEQAMVLKYSGQNINQTINQQIRDLSKLNDDVDKTNKDM TFVNSKFASIISKSSNCWLIIIIVIEVVLLLCFILFL >CAK90388 pep:novel supercontig:GCA_000165425.1:CT868659:159900:161254:1 gene:GSPATT00023565001 transcript:CAK90388 MKHRKIDPQEQETASSGYSTPDEKSVQKQAKIKHLRKHAFVNRISFLDDMDKDSELNKNR LVGFYNMLYVVAFYYFIINPIMTYWKSGEWIETSLYNQMRRDLFMCIVTWPLFYAWSHIA LLIQYLAMMNIPKVLIFIIQHVSQIFMFVYAQYLVLTRDWYLPQGAFVTFQSCVFFFKMH SYTMTNYKMRREWIDQGCPKSNDPFSYPNNINFKNFTKFIMTPVLVYEPQYPSSGKIRWW YVIVKFINTISMLIMGYMIVSNHIYPIILNVNALTLVDSIFQMTLPLIFLCLTLFNMIFE NYCNFWAELTHFGDRQFYTDWWNSTDYEEFNRNWNRPVYEFLYRHVYLELIFEFGFGVKK AQLATFLFSAILHEYTLAVSLKQITPIMIMFMMIQIPVMLCTKRIKGTKFGNLFFWWGII QGLPLILNLYLRFNELPKLLFE >CAK90389 pep:novel supercontig:GCA_000165425.1:CT868659:161294:161646:-1 gene:GSPATT00023566001 transcript:CAK90389 MSEDVIVKKLKLSNANFVLQYGEPKFDTKYLMQSDAQRIFNQYSQIVKDEKRPQVFGFIN QQTNAFLKRMEEHRQILKQQIELQLKICQGNILLQKALLSYELKKMN >CAK90390 pep:novel supercontig:GCA_000165425.1:CT868659:162749:163497:1 gene:GSPATT00023567001 transcript:CAK90390 MRQIKDQNSLSPIFLQKLTKTSEKFYKALARLQEAQYKEQNSVNPRYPFVFQFSFNEIIV NFKYIEQVHLGRHQVQLSQPQDSYSYQFKKIKQIQKKPTLPQKYSVQKRIAFSVQDQKDS KNIPKNYCKAIITFAQKNQQLCLQILGDELKVARFTDFLSNQKKKLLNIRVFSALLQQCD DPFQEEFNRTFRIISQIFIKKYAINYIYNSKIVQHNWHIRYRQQIYKGIKNPKSFSHLKK L >CAK90391 pep:novel supercontig:GCA_000165425.1:CT868659:164284:164937:1 gene:GSPATT00023568001 transcript:CAK90391 MSSEINIRQLLYYKSLNPNPSQQSLRVVKTMQHRLNKLRSTAQSDFRLTTLQTQTPANNF RYGFNVDEQQNQNSQIHFLEVYEHPMKHLNSYIAESKKNIAQLRDQSNRIRLEMSTITSK CEFELNQLQNNFRESMNQKYKLLKEDNVQFIEEQYRLSKEYSRLVKAKQLMEEKQQQLLK RVVQLENTLQGVTLELKHDQNF >CAK90392 pep:novel supercontig:GCA_000165425.1:CT868659:165058:166216:-1 gene:GSPATT00023569001 transcript:CAK90392 MGNKCLKPHHSEMECRNTFVDEFYAFKQLNDQNTEPILNQASIKDYQLLKVLGRGGFGKV MLVQHKKNNQLYAMKIINKKNLTSEWMRRSAKTERQLLEILDSPFIVKLIEAFQTQQKLY LVVEYMSGGELFQYLKHYGKFSEEVAKFYAAQILLSLEYLHSNGIKYRDLKPQNILLEKG YIKLTDFGLSTRNDGLQFSQCGTIDYLAPEVLGNQGYTNKCDIWSFGVVLYQMLIGCDTE QIEFENTSISTQAKDLLSHLLDVNVNTRYNLQQAQKHVFFEDIDFEALSKKKITPQSMFL EHSRFKFFSKSCLEQSAVDTEESECASQVSQFTYNQYKSKISRADTLCSSYGVPKSF >CAK90393 pep:novel supercontig:GCA_000165425.1:CT868659:166573:168352:-1 gene:GSPATT00023570001 transcript:CAK90393 MIQLQYQVNVQKPTVRICFKYSYDKDYRYFLNQIYSSFIFKIIVPQLIHLNISNLIISLY QMKCFLSKLQILGMVILTDHLKSQQFNSQNNQKGRIYNKLQKMSNKNNYFANDFQCNLFN RLTYKELKSDLSKFMHDINEYYSNKQDFYEAFQIYVLAREKLMGTKSINQEELTDLIQQL DNNEGMIKFYDFYRDQNFPPKPQALKNLLTHFQREKTKFQELLSPQNENKQTIIFVSAQE TNFQQTENQQILSEIQLGPCCQELYNMVLNYDNDSMLDKAYKTIQLIDKTQLSLDQITRL SVIENSYEFMIKNLMELDSNGWTLDKSSHGISVSYKFPPKSSTVSLLMEAEVEADCAKLM SLITEVELFSEYVPFCNYATTIKLLSKTQKVCVSQLYFPVISNRETVFFGQGIDRLEENG TIVFLCKSIDQDQQFLDYYDLQLHKSKNVRLQLNYYIFQITPITKTKCKIKAVNNCDPQL SLVPTWLVALIARKFAFQLIEKIVKYTKNFEKYPWYKKTQENPEFYQWLQNKIDNYFAQQ FNSK >CAK90394 pep:novel supercontig:GCA_000165425.1:CT868659:169498:170793:1 gene:GSPATT00023571001 transcript:CAK90394 MISKTIKYKLELYNLYKQAQKLLEMQYKQIMKQNDKHPIKSKIPVAKGKHAFECGGQTFV VDEKYEFIKQIGQGAYGVVCSAKNKKNGQMVAVKKIPNAFEDLVDAKRIVREIKLLKFFD HENIISLVDLPRPDAKTGFNDIYIITDLMGTDLHKVIYSSQALTDEHIQYFAYQMLRGLL YIHTANVIHRDLKPSNILLNKDCDLKICDLGLARGYESEEEFKTEYVITRWYRAPEVILN ASEYTISRCIIAELLGRTPLFPGEDYLDQVQRIISVLGTPTPDDMKYIGNPNAINYIKSL PKRTKQSFTQLYPKANPKVCELLTKMITFNPDKRYTVEQCLEHDYFDGLHNPEAEPRCDK VFDWSWDNFELKKETLQKMVYDESLQFNPVKL >CAK90395 pep:novel supercontig:GCA_000165425.1:CT868659:170815:172364:-1 gene:GSPATT00023572001 transcript:CAK90395 MQPKKHNSNLSNSKIEKKQLEEKVNQFIQENDGLNSSDLINKASMVKKSDQKSTSQNKKL PIAKQQEQKIGKPPTNKETEKQIIQQKPAQIKKEEAIQLNNVSQTESMKTTSTINERQLQ VKLKEKQREMQLLNDKVKNLESDIMLLKNQNKEYQELLNEKTMKTTLHYNQRRYLVLSQN ILSKQQQIFRMTQIVQLTRQIQYELENQLINLRETMESIQDTYDGAYKISTFCDKQLERL RYIQSQQLHEQEVQFEQKNNSQKHILLTLSKVDACISEVVNLFDSNRQQYNFIDEQLISK LDALSINLNRVIQCKELPNINLKPNLVILQEEFHRIQGTFNSIFPHYNSVSELIKKQIQN DLIYSQLEDISKESKELKNCPLAIRQINILRSLVIHCLLSIHTFNFRPTFKPFFEIIQPF LQDCQGIFDQYQDNNQQMGQQIIWELWKSSNKNLQQCTENIAKEINQMKQEYSGYWNHKI FDLQQK >CAK90396 pep:novel supercontig:GCA_000165425.1:CT868659:172873:173711:1 gene:GSPATT00023573001 transcript:CAK90396 MDIFDLHILYQNVVIHVQIQIFEVCFVCISNKMYCPKCPNIQITKSTIRKDPYLQSIVDM LFPQFSQADQIILDRLKKLFGSSIDFNDLQNLLVENNKKKRKNQKFEQKQGLVHLVQETF NGTISYDQFKDNIYDEQKFKQLLDYVRKQKIAREEQLMNRVPGQNNTNGSKLSVTIIGKT EQDKKIAKLDCKVTYHPNEQQGLIKRLRKLVLKSVLKQDLSDQVINKSKANHQNIVLYFK NIDLRDDEDEESLFIGIADPTIVYEILFKA >CAK90397 pep:novel supercontig:GCA_000165425.1:CT868659:173747:174323:1 gene:GSPATT00023574001 transcript:CAK90397 MRDLQSELRTLYVKLGIFYVFLIFDLIWSSFIEPTTMNQISQDNKEGQTQILWMSSVHII VTGIIFVLFCTLMWQTQPLKLGMIKLLIRYSKLSYFRDFLYVFVVSGGMLILVVIERVAI FITNTKSNAAISEGIKENWSSFFYQLFYFIRYLLRPLYIFVMLHGSMKITKPYYHMRNPE LFIN >CAK90398 pep:novel supercontig:GCA_000165425.1:CT868659:174703:176072:-1 gene:GSPATT00023575001 transcript:CAK90398 MHNYYEQVDFLNGGKVAHQQEVRYQDQDQLPQETEKTLKILDQELAVDEEYKEAFEIARR LLKFYKSENAIRNGQYFAGAALYFGFRCKNAPYLLIEISEFIKKDSATKVAKCYLKLLKF VKADAKAPQIVQLAKSLQYLDPSIYIPKFVRLLEISRDKYKAIVDTAMKLIKRMMLDWMA YGRRPSSLCGAALLISARFHGENVPTSQVCKTVQVCDETIRKRLAEFNQTGLSQLTREQF EQIENIETGIPGPVNDPPSYRRIKQQEEEMRKGLTEEQIKQLEESTLKKALEMIELLKVQ PEVFKQEDNLKQEYPIPVKEPIKEQKDNEILSSLSEIDEQEYILNEQEKANKQIVWSALN KEYIYDIELKNQKKKAQQEKNLLSGNKQQTQKARKQAKVEKSFPTPQESVAKNYENDGIN PQAVKNLFSKEEAFFAQLS >CAK90399 pep:novel supercontig:GCA_000165425.1:CT868659:177055:178634:1 gene:GSPATT00023576001 transcript:CAK90399 MFPKSCDELYQCRLYKKKFLGSSPRYFYIFLDKAVVNKESNRKRADRVFNVENTKRIVWK YTTEQPIKMKGIIFDNGNQPQEYFGDEDVLRELKKHISKLFFQAKVQEEYVAMQVIGQGN YALVLELQHLHSNQKFASKCIDKKKLQTIEQGMESVLNEIQIMRILSPHEQLINLIEVYE GDNNIYLIMDLAQGGSLYKEMKNKLTLYSRKEVQKIMYQILSGLQYIHSKGIMHRDLKPE NILFKEKGNVNTLTIADFGLSVKVDSYPYLYPKCGTPGFVAPEVVNLIDKTSSYTTACDI FSAGVIFHILLLGEGLFIGNGHQEILRMNKQFQVDLGRNKYQQLDSDARDLLFKMILQDP DQRFTAYQCLNHVYFQNDVAPQLKLKIQDSPTKVSFDHYLDTYNSPDQKLMNKDSKKLSI VTRTPIYAPKASTPELPKQRSIMEELSPLSSFSLDQLCNETQKEDQLQFAITK >CAK90400 pep:novel supercontig:GCA_000165425.1:CT868659:178980:179607:-1 gene:GSPATT00023577001 transcript:CAK90400 MLSEKEQIEFLGKKPPLCTKSTIETLMMNFQQISKNIDHLDQDLNLLAKRLDYLEESITE HYTLVQPQKQETKKKRRTANLIKRDFQCPYEKCDKVYGTDISLNLHIRLKHNGGSKIERE ELAKKILDAKFQDEVEPQHNFNLPPNFIEDFQIHHQEYIHNLEKTYNKRILL >CAK90401 pep:novel supercontig:GCA_000165425.1:CT868659:179796:180925:1 gene:GSPATT00023578001 transcript:CAK90401 MDYDKLYTRIKKYPQKWGLQEVETWLAITGLSEFQFKFVSNQIDGALLSALEESDLIEIG IDEKSEKFPILIQWIKQGLKDYSYFLKDKLHQEYILQGTTEDDLSITKCQRSSLIASQNY EKTIQKVVTFYQEEGAINEVQPFQQVSQKYEQNLNGLILILYQDPPKQYHFLNQEITIGR NYDNTIVLSEDYISRQHCKITWNSNNQAFYLQDLGSTSGTYILLIYPTLLQEQFIIQMGS AQYRIERLDIQGVKCSVQMKIVEGNRLGFTFCFELIKNQSSLLFGRNQQLFAMDPNLSNI HAQFQFIDDGLVIEDQGSRNGTWLRLSVPSQVSEPIKLINGRRFRLAYEKVFECVVNQI >CAK90402 pep:novel supercontig:GCA_000165425.1:CT868659:180962:182284:-1 gene:GSPATT00023579001 transcript:CAK90402 MKRALLLVLVIVGCLATEFDQQIAELEETQFGQTILQTIQMEMQTDDPVVSNLVDIMQHL EQTLEGEQKRDDERIVRFKQNCDIALSQLTEIINTSTVTSLTLKSDLDSLNPQKVQAVAS LERKNLEIADLKAEVDYQTLKRQKESATYQTILDNLEQALFGVNQVKGYFNKYLDVLVKN RNRFQQPQPSFLQEDFSFQYNDEDVEEDIDSKGISSFAQVAQKVNKLKHHVHLEGYKSII EILSQLASQAQTSADEPSQCEVLTRKVLSILKQIENYIQSERIREDQAENLRQSSYEDLR TLLSDQLVKANQDKTYMEGLIDSLSNRIQQAKNEKFEVDQKISIKSKEKENRDNDCRLKR QEYETDTASRIKQKRSVAVAVDLISSKLGQLKRKLIAN >CAK90403 pep:novel supercontig:GCA_000165425.1:CT868659:182559:182785:1 gene:GSPATT00023580001 transcript:CAK90403 MNSEIIEVCQHQKDNILVKMKNGEKKWFKFDEVLKQQPKMLAQWLEKQVFFREVEEDKLY >CAK90404 pep:novel supercontig:GCA_000165425.1:CT868659:183514:183916:1 gene:GSPATT00023581001 transcript:CAK90404 MNKEQSRIHDKNQPKSALKKEIKKGNQIKRFDRKGQEIKQGSKYEITINDKAQFFTLNDN DNEIANLDLSNSSKYENTESCSKTNLRTSRSLELNSKEEAFLKMLSYNFEQNKKKGKGKE CCIIQ >CAK90405 pep:novel supercontig:GCA_000165425.1:CT868659:184323:184729:-1 gene:GSPATT00023582001 transcript:CAK90405 MQIILDDMIMNNSGQKLSLTENQKIESICQQLKNICTVSSKLLTPQPIYKRIKKQRVLKK MSKTQSSEEESCEIQQKKRTPNCSELSRKELEEKIALINGLQQQIQQLKCMMSQIQEVI >CAK90406 pep:novel supercontig:GCA_000165425.1:CT868659:185373:186884:1 gene:GSPATT00023583001 transcript:CAK90406 MFKLTPSANSQRSTPKSYRRLSSISHSNGEVLMNDYFNLPMVSTKSTFGNYGVIGFKPNT ISVIGDYDENNQQFSQENNKRPTQMQIVQGENSQDTTNYDILEKYYQNKAITTQRRMEVK KTNTRENIREVRNLSLQKKNVQNLDKLNESQKYSSGRNYIIRENQFKQNNNDVQFSNIDY GYQQKTNTIEMISKRIENSKRTLKKVFLSVFFSMVISKKYRLFKQEEKQIITILNCKLQS CQKVINQIGIRQVEEQQQQFVQIVSNKVIHYLNNQTYINECNQNDDVSNPVQSMDWKKLR VQSFSKLIYQNLELLTRECNFPELLKCQLITSLYKTSKQQTSFFVGERCHFYQANRIQLT REQKLAIAMEYLLFQIVIPKLLQIVNGLPTYSQNHKIYTQQIIVIIASLLHRQFVNRFQN MKKVKNPNGYMVNKKLSIQYLENGLFCNEISIAGNTGDNNEVLEGLLEQDQIQSIENSKP VWKQSMDNLFDQILSNVQDVINF >CAK90407 pep:novel supercontig:GCA_000165425.1:CT868659:187319:188757:1 gene:GSPATT00023584001 transcript:CAK90407 MNQEVENKEVKDQKDQIEQESDDNEKNDKKEQILQQEQKKKKKKNKKKKKWGEEEEIMTD FDDDWQNKVKMSKILQDPDLPQHIKEKYAIYENNPYMMIVSNVPLNVQLKELEEYFNTLI TSLDPKITERPIKAIEYGATKSWVVLECSSKEAKRALVTQDQVQFVNNCKIKVERPRKFL ERILNPQAREGELSAEQKQEDNTRLYLGGLPTYLRDEDVMKLIQSFGTTKYFNLVKDTTS NTEISKGYCFFEYENTGSTAKALKALNNLQIGDKKLKICKVQGEPQQNKKINGREQPSNY AGSFLASCDLLRLPQIQQMLTIPQSALIPSKVVQFLNMCSVEDLYEDDLYEELMEDIRSE CIRFGQIEKIEIPRPDKESGFCNPAVGKIFVKFYYQIPAKKAKFHLAGRTYNKRTVVTSF YPEEQFDYKDYLING >CAK90408 pep:novel supercontig:GCA_000165425.1:CT868659:188783:189115:-1 gene:GSPATT00023585001 transcript:CAK90408 MKYLLVIVLVFVITAKEFSYMQTDCLPATDLNGQKHDPTNAEQARKHLTKYAEQSTEDMR KYSGRQPYPNLNTYSEQNCAGSGADLPKRDRRFRRAG >CAK90409 pep:novel supercontig:GCA_000165425.1:CT868659:189134:189869:-1 gene:GSPATT00023586001 transcript:CAK90409 MSEDPNEKWKKILLNPYGKSKDDVQNEIRAMHKEQQMIDEAITREALLQTIKAKKTYRNL TNPNTNADKSKQVPVDGDLKQGAKVFMRACASCHSLEIFTGKPYAADFSFQESSGPSLAQ IYNKPAASQRVYEEYTMALLDSKIFWNSYNLFMWAKDPQAMCKGTKCLQRGELLESAEER ADLVKFLKGFAKATSDLYRRSFTKYHGYEWQNYREQGLAKAREVAHQRQGYEPEKK >CAK90410 pep:novel supercontig:GCA_000165425.1:CT868659:189903:190701:-1 gene:GSPATT00023587001 transcript:CAK90410 MLIRRAIFRFSKEKINKILDHEEYLTEQIDKMAAAFNPQNNKIFNNYTVFQNKLNVDGFS TKLNRSATIYITVWSMVGGLSYLYINPWVTLIPVFFSIQTISSYIKGRKYLGKLVQSITL EKDQRQCLIELGNKNVLKVNVTDNELLNISDVISVSNNKIEEEVNQKYRQSNYVIQFETK YDNRLYEDLRVLVTKDNAEIPNIKLLQDIITGEDVSGYIYEEIVQNQEEVVRKETDEELE KRLKDELKI >CAK90411 pep:novel supercontig:GCA_000165425.1:CT868659:190714:191486:1 gene:GSPATT00023588001 transcript:CAK90411 MRIATYNIRNTQDQYLKRREILQSIIQGLNADVISLQEVALPELDYLAGDYQKFFAPIQL QFPLHPDPEFRIDGNAILVRKGLEVKLHEYVHISVFRNIQHIVIGDVHIYNTHLHHVIGD DQVRSHQIDNILTYLLGQMNERIFLMGDFNLTPESQEYKKMTHYFKSSYTLANQKEPEIT FPTGLTGPFMDTDPAGTFDYIWIRGNNIEVKECTLFGSDKIQEGVYPSDHLGILGVYNI >CAK90412 pep:novel supercontig:GCA_000165425.1:CT868659:191852:193319:-1 gene:GSPATT00023589001 transcript:CAK90412 MLQQKKEQFRQEIRKQGLDKILNQRRQILLSENAAQQFQDGINDQIILLYNQIEVDNSFD IYKSPLLKPILEGDLTNQLILLLQLIVKKCRDANQIEYLETFFEDSFLGSRLLSKCENEK SLVFLDEQLYLLGQLSQSSLLCQSTLIQLNIGQTLKKILDLEFESDDYLMSTFMYLITTF IEPNSGIHFQDVQEFMSILDQLCKKLRGINYLIIELDLEKKDKYTETKNSLLNRILLFAR YFIDKSQFTVNLTLYPNFWVILTNLAFVVQEQSQLRQIALQVISDSIKLNDQKLKFDIIE KYPDIFCSLLNIIDHNYKSNGKFIRTQASIIMKQLFSEQSQYIIQNIHLNQIPLQLMIII ASENDRQVLYHQLECLFLLCSNSSFEQCTDLYNNGLLTLLISKYQEIKECQDYVLSKWLL QLTLAVLQHNSSGNSILNLLKQYQITSYLKDIAINCKNENTSKRANQLLKYIN >CAK90413 pep:novel supercontig:GCA_000165425.1:CT868659:193401:193792:1 gene:GSPATT00023590001 transcript:CAK90413 MDQIEPQPIFDEIPSKININLLPKHKPEKFIEASQSKSIDPVYEDGHEVGFMIKGENTVY ELDYEEGFFEPNGCYYSRDFVPKGWWVLDKDSQEFFYDIDGKLDGEDEEDENENEQLIQQ Q >CAK90414 pep:novel supercontig:GCA_000165425.1:CT868659:193850:194580:-1 gene:GSPATT00023591001 transcript:CAK90414 MGFVFSKIFNSLVGQKEMRILILGLDNSGKTTILYKLHLNEVIQTAPTMGFNVETLTYKN LKFQVWDLGGQNAIRLYWRSYYPNTNGIIYVIDSFDEGRLKTSKEELMTLLQEEELKNVP LLILANKQDMQGALSETEICEFLKLEEEKTRNWTIVKCSALTGLGLSEGMEWMANAMKK >CAK90415 pep:novel supercontig:GCA_000165425.1:CT868659:194971:196226:-1 gene:GSPATT00023592001 transcript:CAK90415 MQGYTIKQLLLDIAEVIDNDIIDTYEQINKVSVKQISEQSEKQQYDNILQIVAYLNRVKT VFEEQLEEHINNDYETIIQKLEASIRTHIRIEQQQKLQIDALIQKIDEVSAEKDIIIIQQ QEKIKSLEQAIKDQHKKIFDSSPKEILHKKTPSAFERLSKLVSNKSQKSLQNSNNEANFK ALLKICNTEKDRSLSKGRKQVTVKQESGNQRTNDETQAQTDRNRKTKRFKSQLSNHNNRQ VQRNCISIIKRKKNRHQLDKNKQWAAKVLIKLVKAYKHCRDRFQTLACKIIKVHNYSNSC RRNDFIYKTVIRPFSNYLQFSFGLNFFSIYLYLLSSTGTKFSSLNSILFAKFNNSIIFCL INT >CAK90416 pep:novel supercontig:GCA_000165425.1:CT868659:196244:197112:-1 gene:GSPATT00023593001 transcript:CAK90416 MNKRIKKPSLIAQLYFDLIQEMDNRAQSTMIQISHDASLQFNIIQLFKSIDQMRQQLQSQ LNEHIKNDYETIIQNLEASVRKHIRTQYQLNLQIEILNSKVEELMKDKEIQVKQFEEKIH KLQLQLQDKHTESTGNLQNNFLNHFSQLDLNTQKKTPSAYEKINKLMSNKSQQNILSTNG FLNQDERSLSQRKTSYPLRKSIDTKILQQDNKKRQICFQKSRLQQSIIKQDYSCSQTQRD EQVSVDQRKTKKIILQLKGSIPQYSNIHLTRSGLQF >CAK90417 pep:novel supercontig:GCA_000165425.1:CT868659:197464:197803:-1 gene:GSPATT00023594001 transcript:CAK90417 MAQADRKAVVKNADMSEEMQQDAIDCANQALEKFNIEKDIAAFIKKEFDKKYNPTWHCIV GRNFGSYVTHETKHFIYFYMGQVAILLFKSG >CAK90418 pep:novel supercontig:GCA_000165425.1:CT868659:198452:199339:-1 gene:GSPATT00023595001 transcript:CAK90418 MDQQIALYLKMISYYEQKLQTIKQTLYSNNIDNIHRSFELLSNSEDTITKNNLLNFFVQN LQPINYKDIIEFIWFIGDKDRFTISKQQFQNYLTKLLLHKSNTIIDHTNSDLQVQLLKLL IQQIRVIKQIKDSKLQIINNEEFNILKIFQNLSGNNETINCASLMNYMKNNKVQFNNFDF DLLTFATFGKKCNISFDQFQESSLFSINISNKQYIKSREIPEEKQMTHSYIVNLSKSIIQ QQQLMPVSEASSIIEFKASELKPKTKRYQEQTNVPRPY >CAK90419 pep:novel supercontig:GCA_000165425.1:CT868659:199362:200331:-1 gene:GSPATT00023596001 transcript:CAK90419 MQLKSITSDKQGNKTTGLGSSRIDYQRLNTAKLKSLESIISDKNNKQVLQPLSRKDQIKM LQVYLNEKINEYSQVEKKIELYRKEGDQMNDYVEDIIKEYCLQLVRQNNKENPSTDQIKE LQEKKQKLKQETIQLHNMNKVQYFELEVQQGLSLKLNAQKQQSKKKIQLFEEYYKKTEQK MRQKQPNLDFNEMPKAQRNKQKPNAVAIIQKTPDEILQEKTILIDEYTKKIEQLTFQIQE ITRTCILEKQELIKKIQDTKEEQLKLSDKILNLRLRLNKFDKLEQSLSSDKCISDIMSND TEHSLQQQQELII >CAK90420 pep:novel supercontig:GCA_000165425.1:CT868659:200434:203426:-1 gene:GSPATT00023597001 transcript:CAK90420 MENIRVLVRVRPLNYRETHLGANSCVTTSNNSVILDSKKEYNYDHVLGTNSTQEQVFDKI GMSTLESFLNGLNCCIFAYGQTGAGKTYTMQGKGLDDVQNDETHLGLQPRLIQKLFLDLP KENTWTIKCTYLEIYNEQLIDLLNDTKPLPLTIREDSKRVYVENLTEIAASSYNDVLSLL QKGINNRHVSATQMNLESSRSHSVFTIQFEQRTKGMYTRRSKLNFVDLAGSERQKLTAAT GERLKEAANINKSLTVLGLVINSLAENPKRFIPYRDSKLTFLLRESLGGNSKTVMIATIS EASSSFQETLGTLKFASRAKNIRNQAIVNEEVGGNVESLKAEIKRLKNELQQQNSNSEIF PKKQKEVELISQISSLNSQLNESELFQEQLSKELKQMKEYYESRIVELEENNEKNTESIK IDHSNRDIQLQQAIETQKELRHKNEILNQRILELSQEDTILKHRRNEQQLIITQLEQTLK EVHKDKELLVAEIENQQSLIEKYENQLDTFQEDKSQQIQDLENKIAELNDQLQLYKDQMD TDAQTIEFQSDEISRLQLEIDQIKVELENLQSNLKSLQEQSKDKDNLIEEQVKELIKYRK LSNVKSKKFLKCLKVLKKEKENYHHLELRQLDFLQELNQQMELEQTVPTNESIQVAVKQK FENIQNLLNNEVQATIQLNKEKENYLNLYNQTQGSLDNISKENEILKSELEKNKVNKEAV QRYMQLKDEFLYQKEKLGKFLDSFDIINQKLIMKENEISKLKSELNLEQTQRTKALEEID KLRTAKIELSNTKNELQQTIQQMQMNIQNELDEIKQKKLSDNVLFLQKANQKLNADLSTL QKCYKQLLDEKEIMQKKYEEKLIYSVDLTKMRKDINITNQLKEQLEKKEKDYQSLLKELE LLDEFFKSINVKRFCNSQTLNEGSTSEKVKQYFQYLNQCEQEFEFRQYQLRTNLQLLNIE QASCNMIKEENRMLKMQLRQ >CAK90421 pep:novel supercontig:GCA_000165425.1:CT868659:203477:205554:1 gene:GSPATT00023598001 transcript:CAK90421 MQQQYEGLIEENTQLKKAIISSKAVISRKINEYETLQAINEELKSNLDKMRLENQDLLQK YRIALHDKKQTEQQFDNATKNWKLLIEQKQREIEEIQSRLTPAFDQDMMRIKLLNELDLP HRQQLEQKQLEIEKLNEIIYQLKRKIDLEISRVETIQIDKDKEMKLLSEKHKLDLADLQH QISDLTRQVEDNKDRDTIRRLRKDLEEYKLKFSATDTENEELRNERDKIREEKNDIMIKF ARQLDSERNDKRQFKSDFDKLQVRTRFLEDEFRKEKQRREQVATDFEILKTEKDQLLTDL RKKDDTIHYLQRKITDMEEEQVEQEQKVQDKLTRLYQDEHDKYLQERNKAVTLQKDVDNL KKRFSDLQDDYKVLRDRYQKENIENKDSIRVQNEEIEKLKKTVSQQTKEIGDLERSNKNK EEQLHDIENENETIKRRNRELQHRIQLIEVNPIPTPVQTQPIMNQSQIPTVTQVPQFVQQ EQFETTKPIKQSTPVQSENDPQNQQQMQAQKQSLQQITEENRSLISKNKKLNKKLKIANE KILELSMKNTILEKSLQRKHSQPPAQDIDRSNFGLHAHSQSPFKQDSFQQQGNETVQQRQ QQQQMIHTDTRLDRYDKQDKQDRQERQTRQDRFAQQSQEKYRPRGQSGVDARGAQGHPTE IRDDELLSKVMMLTDASGQNIYW >CAK90422 pep:novel supercontig:GCA_000165425.1:CT868659:205587:207766:-1 gene:GSPATT00023599001 transcript:CAK90422 MPPKQQKVTLRRPQPKKQPKIANQNLNQQEAEPDGDESEYQHERERERPQLTEKELNVRH AIQNHQRISLNMIIYKENTKLTRWMAKSFTKTQMSPEYRRNYGETKLALIKEAERNQEME DPGASKDKEAIKQTMRNKFNYNAREAQTDGRVIRERGVSTEPPPSDTLKGQITQWEIFDA YIQNKAKEESQKKKDHTSDNTVYKPSFKRCLKIMERTVVHNDQKEKYNDYKYYWNQGEVV ETSKNEGHLLPIWKFSNEKQKKKHVTSLCWNPRYMDLFAVSFEWVQFVYILSKTPTHPEY AFTCEAGVMCLDFHPQSPALLAVGLYDGTVLVYDIRNKHKKPIYQSTVRTQKHTDPVWQV RWNPDISKNYNFYSISSDGRVMNWVLMKNKLEPEEVIRLRLVGKNEEESTLIGLACGLCF DFNKFEPHIFLVGTEEGKIHKCSRAYSGQYQETYIGHNLAVYKVKWNNFHPRTFISASAD WTVKIWDSKISTQIMSFEQGMQVVDAMWAPYSSTVFACATQDKIFVYDLNVDKIGKLAEQ KPSKQPRLTNIAFNQRDPIILVGDTHGGITLLKLSPNLTKSGVKASNFPDSKVPKEFENM PLE >CAK90423 pep:novel supercontig:GCA_000165425.1:CT868659:208003:209276:-1 gene:GSPATT00023600001 transcript:CAK90423 MMKIFFFFGQQTKQIERIQKLTSIAPTYANFKKMNISLDESLRAFDHLQTIHSNQSAKIV NNLLTYVRKVHNRAILRSRQNLISHILENISEQDHDNLPALMANITYTQDPELFISKACD LLIKKSAEMNIKALSNCAYSIAKVARKNIQMDLQTEKLIAYNAAQLTLKNLMPIIQLKIS DCNDEQIIMETLVAYQKLEIYDMHEFLYELLSKLEFKYFGRVEAQIIHTLAKSDLESPTK HQVMRSIYNCFEDAVHQKRLNLQSMIVGLHALQATATNDLVEMCMELYCEDYRTANIQDL CMMTSVLFKKGIMSGPYSRQLTESYEALKLSIDINVLVYLIPNMSTMINLKLLEKKFINQ FLISVKILNEKGKINRNFYENFKREAHQIENEEIKEKFKQIFHLISRK >CAK90424 pep:novel supercontig:GCA_000165425.1:CT868659:209411:209731:1 gene:GSPATT00023601001 transcript:CAK90424 MESISLFRKLVKGFFPRIEILHFIKYELDKRYDVLKRMEEENVNSYIKFRITFCHCWLEY EQDQLKQRKQELIRQYYATQKIHSQNVTTVEKDADLESFIRGNMKK >CAK90425 pep:novel supercontig:GCA_000165425.1:CT868659:209778:212298:-1 gene:GSPATT00023602001 transcript:CAK90425 MKLNLQVSNIRKHYDKFDYILQELINEDVDIQINVVKLTPQTDALIQKLESHRFLNLDHF YKIPLHQFSDILKYDKELEYKLKEFVASTFDTQFSWFIQNEYIVTHLDCSYQVDQFLNKL FYSQTNFKQYADYLNAQHQIKVYASQDDIPLKDYKKYNTRRTYTLYGFKSQLKQQNVFEK KVVRHENDVAMHNVFIEYYIPIIKQWIEQHLYTYVEVRRGHQIIDLYGPHQLVTKAEEIL MKEYTALNYQCGILKFKDLDDESIQLMQIQEVLNQNQYYDYEVAKHSSFALDQLENSLKS VKCRIFSAILVIDFRNAKLCNNAKYYFNFNQDRKNIEIILENEVYKLSKTHRDGKALSYF VIINGRFQQSVFLKKLNDDLDIIHQNIHNINQTNYLRNLSEKELNNLLNTYQVKLKFNQN CIDVLGKQQQVDRFLQFLIKQISPQADVIDFHYFRNQFNYNVIINNYKDTLQDMLQQCQC QSSFEEKSMIMKIFSRDSSKILQLKTQLRLLENDIEKSKKTIQIQIEKPLNIHLHSLIQQ ISSKFPSVVITIEKNNDSGLKQLSSFTKKQSKIKVFYGQPNLLYDIQKDSLNLKNSMILI PTEKIHDQPQTTQLLWLNELIQQNNITLINQKENSSFELFQYELNFQQKLTDSDSIQKYV YETVEDLLKPQNYIHYFDKQSLHKSYDKLIDEIKTSNPTSIIITSLVQKNCQDLRQLIEY IKQADFPSIQISLMTDEKNDLTALKSFLNENFEEEYSNQDKQTYHISIKGCFQETLNQVI KELNEI >CAK90426 pep:novel supercontig:GCA_000165425.1:CT868659:212318:212741:-1 gene:GSPATT00023603001 transcript:CAK90426 MEENQNLEENTYSQEEVDRITQESIESVLKEVQYEESKVQGWINAICEKVTQQLIELGKP YKYIVHCMIMQRNGAGAFVTTSQWWDTVADGQIIISWPKDKQAKQEQQKNTLHCICSVFA VSMI >CAK90427 pep:novel supercontig:GCA_000165425.1:CT868659:212753:213602:1 gene:GSPATT00023604001 transcript:CAK90427 MKQQLNYTLWISFLVSTVLIYLFFSSGEFSFILTLACGIQCFGFGLVFVTISITKETSGL SRQTFICCAQALLARLFSILTFEGYLPSDATGDYVYRLFEILSLIFCILTAFSIKNNKQE LFQWYYFTPVMLVTAYYVHPGLNSHSFCDISWVFALYMESFAILPQIHLFTKREGIIEYH TSNFVITQALNKVICVIFWFYSYEELNRSSDESTISVAPWLSGYFVMVAQMISIIITADF VYKYLQSWKQGIPLVMLPS >CAK90428 pep:novel supercontig:GCA_000165425.1:CT868659:213635:215463:-1 gene:GSPATT00023605001 transcript:CAK90428 MKQQQLKEPHFNGEFEDSDSQTEDNNSKKKTTLIMNVSDTQYDVVKFVGKRLFKWILQYE SDATNWDMFWTDTAVQPETLGKMQPYQKINHFPGMYSLARKNHLGRNLMKMRKQFTQEYK FFPQTWLLPAEYGDFKTQFVKGKARTFIIKPEASCQGRGIFLTRNLNDINPNDHYVAQRY LLRPFLIEGLKFDLRVYVLLAGTDPMRIYVYQDGLVRFATEPYVPPNTSNLDDMCMHLTN YAINKENPNFVFNKDASRMDIGHKRSIKAVFAKLEEEGRDIKKLWQDMNEIFIKTFCAVQ PILSHHYRSCQPDNYANNMCFEILGFDIFLNHKLQPILLEVNHTPSFTTDTPLDSMIKKN LIRDTLKLMNVSLKAKEQIIASRKESLQQRVLTGKKVKLTMEEKMSQMKQQEQQRNDYEN SHLGDYVKIYPLEDSQEYDQYIEFASSLQDSWTGTSINLMYLLDIKRNQKKNTQTPQQQQ QIKNNVVRAPFKPNTPANNLPKLQKILRPESINVHGEDEQVCAKVNKLSNIKMQPRQQSE PPVLRFKQTVKKQLQLVIPKPYLQPKLFDMESIGQDKFNNKRQQFQDMMFTK >CAK90429 pep:novel supercontig:GCA_000165425.1:CT868659:215474:216230:1 gene:GSPATT00023606001 transcript:CAK90429 MDLYHYLFKFIIVGDTSVGKSCLLLNYTEGKFKEEHDATIGVEFGSQGFKHKNKQFKIQI WDTAGQESFRSITRSYYKGSIGVLLVFDITNRQSFHNIVRWYNEILDCAHESVDIVIVGN KIDLESERQVSADEGKQFAEQYRIHYIETSAKTGQFVDQVFQQMAMRIHDKIENKLIDQN NENLGIKLGTFYRNDEEDDKELQTSLGQKKKKKNDDCC >CAK90430 pep:novel supercontig:GCA_000165425.1:CT868659:216257:217596:-1 gene:GSPATT00023607001 transcript:CAK90430 MQDNIIADRMAPYLQLQMYAKFTQLAVKNSCVNMGQGFPNFPPPQFLRQAIAEEALTESL QYTMTAGHPRLMKAASDFFEKHMGVKVDSAKEMVASSGAQSVLACVFQALLNPNDEVICF DPAFDFYRPLIEFQGAKHVGVPLKPGQLNSKASILNRFENGKIKFSKEDEWHLDYEYLEQ KLNAKTKMIILNSPQNPIGKVFSIEELDRLAEILEKHPQIIVCEDAAYHHVVFGGYQPFS YPRCITHPKLKSKTVCVTSAGKMFSATGLRIGFAMGDEKYIKAIKSAQTYHNFCLNPVLQ TATAKCLEQTIDGQYFTEIRDLYEEQSTMLLKGLLESRLNLHCWVPQGGYFLVTDISDVE IPEKYFKDDQDGHQLTKDFAFAYYTACEIGVVCIPCSPYFENKELGSRFVRWAFCKTTET IQDACNRLK >CAK90431 pep:novel supercontig:GCA_000165425.1:CT868659:217730:218332:-1 gene:GSPATT00023608001 transcript:CAK90431 MFTKLFRFSFSATTKNPKVFFDISINNAPSGRIIFELFADATPKTAENFRKLCIGDTVSK ISGKTLHYKGSSFHRIIPSFMVQGGDFTNHNGTGGESIYGRTFPDENFTLKHTTPGLLSM ANAGPNTNGSQFFITTVPCPWLNGKHTVFGKVASGLEVLNAIEYCGTQQGRPSKKVEIYD CGELQ >CAK90432 pep:novel supercontig:GCA_000165425.1:CT868659:218791:219402:1 gene:GSPATT00023609001 transcript:CAK90432 MLSSNFTSYLENVDKQIANNELDYEQATEMISKLNPQSLMERKELMQIKKRVQQLQKDEA KEKIKENLNSHIFLLRELLEKSRNVNNCVERYKLLSEAEEQKKITEALIYTLNQYKDHIS QKFVGDCKKVILEYEEELRQSFVSAKREEAIFLTNQKSFAQSMFVSEIEYGERPISLTTN RSMRAKIKQYFIKVLGCLTTSNH >CAK90433 pep:novel supercontig:GCA_000165425.1:CT868659:219780:221522:1 gene:GSPATT00023610001 transcript:CAK90433 MKAPTHRCLLSSLIESNEKTTRQAKTHESFERLKYSQPQTATPKTQMTNRKSKQMSLVQV QQLIQQPLLRKNHTALSEVPHTWNTSRSIEKTVDLLAMPQHKRVKWLEKQKSLLAQQQPV TERVQTSRIKQMATATNYNNFLKKFNGEQMMLIDKTKTAAVPIQKVQNETQENKERQFVF KQPLRIVKGMSEHMLRMKSISRQHESIRSPPRKLSNPRYELTNANRVRFSKYYQDDSLKV LKSQKRDPNLIFKELHIEDIQYTDLDRAQNHPINIALFNMLKDEEIILKQQQLEEAEKYT AQELIKQRQEIERFDELTRQEYHRKCYVDFKSKAINSKYQYKQVHLDQSLLEVFPKTEIA NPEQQKRLRRQKILNMIKMLLFRLHDLHLNLEDLINHRVYPLTAYSHERAKLFFDLVKSN KLELTHRELQENRYLVYEFDSSKLTPLHHAVIRNHVEMVELLLEYHADVNRRDILGRTPL FFGIRSGNNDCVQQLLYHQAIPWSNKKNQYDLYLDMLNDKVRDQYRKAKNYHLQMQMMPH TKRAAFWMERRYYFTQ >CAK90434 pep:novel supercontig:GCA_000165425.1:CT868659:221640:224583:-1 gene:GSPATT00023611001 transcript:CAK90434 MEEKVQKQYIDCVKKLQRFIQNYPDRVPAKVQQKLQVLQASIDNHKFGKAELLSEEEIHQ LINPSIPVKFRMYIDIVNSFIYSEDEVQGRLEMKKKSGWFWNNKILKLNMMDRKLMISRN DPKKRDKQLPLQDFSLKWCESMKTKYCFQLCGKETFLFGSEDFQQAERWFNYLRQCCAFI DDDQLKKIKYDNNIIKQRQQKQFQRQSEDFSQSLNLYPQKRSEIVQSKPQNMIDEKLKTH HKTQSQVTKPINESSAQRSNDQKQQVIEKEQSVSVISIQNVKQVSQIMPQSENKVPTLLQ NILHNKQLFSLDRLMDDSEYQLISFKNKLRLLQHKTQQEKIKGVVNITSDNIIPIVSALV CPDVMKQWNTQIDQTIILDIMLNNNSMIISEMRKKYGLLYLKRSYTYLRYVFKDKDAFFI VDKSLSYQNNIQNGYQFEGQIQLSIIAVIPQQQQQVMIIWETETKNGGYANDAQLSLHYV SELQNLDSYLIQKQFKIPIPQIVEEATKEPLNVEIIEQYQPQRVIEVQMDSSLSDVDCKQ FQSQIILDDEPELINKNDYLQLLELVSKNPGIIYWSAIHNYQLTPLEPDNIYYTETAEHK NVILQSDWEILEKGGLRFINKKKLEIQKEVITFMLKKLGSNLLMGKSLISISLPVNIFER RSNLERACYSMGYIWLLEKAGELQDPVEQMKLVAQFSLAYNIMFLNMEKPFNPILGETFQ GYMNGNLLCCEQISHHPPVLGLYVLGRNFKLTGHLESQANFSANSITGQNYGYLQVTFAN TTSIIFNVMPGVIQGMTIGHRQFYYNGVGWLVDLENKIFCDLTVNAFPGMFSKKVTPQDY IEGYIIKGSVKDVQKFNLETYRKYQGVKALPQQDKLSNITGRWTTGMIIDGVEVLNWFKH FPYKLKYEDYPLASDSNYREDLIALKTGDMNLAQDRKEKMEIHQRHDKKIRQKKKH >CAK90435 pep:novel supercontig:GCA_000165425.1:CT868659:225762:226604:-1 gene:GSPATT00023612001 transcript:CAK90435 MFLIKPQTPTFGDKKQCRKPQLQINEITNNSRFYSVPTRQTQQQSRSSSIRKKNDLLVNQ IPHLFCRLKSGKNSMKNRPAIICASLKLITYKILSFQNFNYKNDFIIVLLQLLQLLLGSI FNKVLILISQPQDLYNQIKLHNQYPLSYPKFNLLNSQINYLNIINHFHNYLNINILMKPI MCHLNYQPVTSLKTQNLDFDLLELEKINFSVKREHMVVQLNREQEISTPTFKYKHNKIQG PGKQIRNQFRHLTSISPKKLIKSTRNISTSQKEPLNDLKN >CAK90436 pep:novel supercontig:GCA_000165425.1:CT868659:226604:227759:-1 gene:GSPATT00023613001 transcript:CAK90436 MQGNEDINKVYTLEKTLGEGAFGIVKRAVKKSTGEHFAVKNNQQGKPYQTKTQVEILTQI DHPNVVKLYEIYEDDTYFYMVLELMTGGELFERIVEKDHFSEKEAAATLRPIIDALAYCH KMGIVHRDLKPENLLYSTMEPGALLKVSDFGLARFVGSEEVMMTQCGTPGYVAPEIINGK GYTEAIDFWSVGVILYIMLCGFPPFYDEDNDKLFQMIKTGTFAFPSPYWDQISNEAKELI KGLLTIDPAKRLTTDKILKHPWLLNNTHKSIVNLQAKLKDYRASKKIKRIANVLTIARGW GKMAQVKKN >CAK90437 pep:novel supercontig:GCA_000165425.1:CT868659:228186:236805:1 gene:GSPATT00023614001 transcript:CAK90437 MEASDALLSKALKIGCTVTLCYKDDDGKNYYACCEGFTSMKLRLLPASRIKEQGRLNQCL FKVVPPFQFVEYNKGKEAFHQKETLNQVTNVDQRDSRLEFPKQIKLMQDESSLNKESLEK MKEQSIFFGQTIQFIHQASNKYLSYLKDQGSDYESDSWKATLKKEHGEDTQFKFQASFSF QQETGGLIFDEDTVYIVSVLDMSVEPGLHASNKKKDREKRELNVAPEKRSFWKINIFSKF MKDELGYLSVFDVIWINYSETNLNMIVQKYSPDEKKKYLKFEKGQDSEQINQFIGDSNGM YVIENIDPEKGDFLNGGYVEWVNEYRLKHLTSNYYLKLEEITENHGSFRLVAVESISESS IFKFGMIHSTIIKKENQEFLTKDSYFRLSSRDTDNQFWLKIDTVGPNEIYKIEGDNFIED ITIPIFNQDKKDEHVFNLACASLNEVRFIKYLLSCMKILKRSKEYLDVDLNNTNFFKNSQ FQDIYKKLVVRSQHLEQVLDDLYLLCHNKLEGFVSFDSEFGFPHPHTQNLLKEQYFFEIL IQILVICFPTQESLQKADEPVELVQVTKLLSEEKQVDLNLEKQIIARFVEKKKIICTKIF KLLQAMVIDNIQNQEYCSKYLPILSMQAMYLNGAISTIISIIHNFEELLLNLNKDDNPLD MPQQIFKAASIKTPSINQNKQKKRRRNEINKPIIDYNAQYKILCKQIKESTPVQLQTWDL LMFFTTMLKSNQFKFNKKIEIMYFLKESVCFEEKGININQESAYKYILSSQRVFEDEILI RLKSDGPQLKVYRGDQESTLLDYYHKYSSNNDPRLLNFIPYVQELMRMYSRMCNSRNYTW KKFAENFFNVESLIENIQNNQYNVEIRSIICSLLNKLYVDQEPRRIIIWPELCKIVRTNR KQNKNDINNTMLSEGKQQNKVTKTDPVDIPKVQEKINGYLADQLIFVQNQSDLVDLSKGP TERHQMLGIVFNNAPEIYNELTLEVIKLFKLLIQFGKYSIVIEEDEERTIKKNQQLFSNI RALTAILEYNSTYPEVRKILSIKRKEEENQKNSANFLGFGNKNKKKEDEQGQVKQQYSGE DDAEDLSEAENFQKQVEFLSLRMNQFMYQQQLPNDPLIKLEVCEILDLYLDSKMDFYLNN LKLQFSQSVNKLNLFNDVFNGDLDIDNEQHKEKIQQQFRDTIKKSLDNMLPAIMKTGTKV DDEIFKKKDENQIFGLMNIANIANIANKLVNTDEDQTKVKSLDAIELSNIIQFSIFPSLI SIFCLNQSLDLESKILHILTRFYNQRHEFAYLSSNLLLLFDDTNITIFKKSKKKILVLAK CVDESETWVQNLDEPQNLKTLELVQQHFEFFTQLLFKGSYLNESQELEKGHEGQVDSIRQ DMMRHLKVDKLGVDLIKDSVFMVEQEDIDPELQLKLKKMFQVCLTFLKYFCMNNLTNQNV MAQHIVALISKLNTDLGQVELLCEIFRDNKTVCYERSQEVIDDFVRLIITNGRRVRYLEF FLVIMQIKTEYISQNQKAVLNLFIDPRYKTQLFYMLPKAETDEHPIFDFETKIHENPNYK DEPYDYHQKFIEVCAQCTIGKEGLLSSENKLKAQITFKYVMELLLEKDEFSEFIEPPSGL ESEKQFIEIPVHKQRSQLQSGISIIPLNQAKVEGITKLKPSLLDLLFYTYLESEKISEEV FSCFDMIIQFIANEKDRIMRCKNFIPQFYDYFFSNLMLVLNGLVKVLNRELGSQALVQGS KLQKQSTEFYRELEELRNFLTDQFTSRLQLSNRQKTLLQYFKDNIIMKEELNKQNQDDQR YQQEKERQKNRSRQASQYKTPKRLQTIQTSKRELSTDQIWKELWDIFLTEFLDSEELMEA INKEQMAFAQAISKVEEIFIKDKIVHQQINKQIIFQRLIAFLEKSSASADNITTFKLILN VLRNFIHIEDESEFTPDMDEEEKKNIVQRQKKDNQNFLNSNNAMQMTLTLLSDISDPINS QKIFSELIQFAIDLLDGGNQDIQKSIWNYFQNFTESETIFLRLHNEFQKAIKNMKKTDEM QQQFGFQKQPDLINQILRLLQLFAEGHYSELQEYMNFQKFNHHSHNLIQDFIDLLQSYMS AVEPDYFDNMVQNFDTITEFIQGPCRTNQKALIEANFLDLANKLYEIDEIALEIQDEIIE DEEFLDDDNQELYNVQKHLPRWMIAFLKYKCSITLISLLEDREGDEIIQRIQKSISNENL KQNISNIFYMFQLYSNEIYDLKLFGHFTNTLPKTKEERTNCSFIIETGFNLFFIYQIHQK TKNKTVQQSKNEEEETDDMTQQLLNNPLYKLLLLVQSLIKSLQDSALQVAKIYKKTEQQT PKERMDKLQQARQQLFPRAYKFFLSKTAKIEIMLESKNVEETYFYIPPYCQLDDDVKRNF NETANRNSNKAKVTSLVESSEEIIKTMKLNYKIQVYLNQIKTLQVIIENIDLLRDIEFII ALLINIFIFLFYKKKYIGTEINADLSETENWSDEDSFEYQDVIDALGILSIVLSILIVAF FLSRNAPLLLEKAWSGVGQEKLNPLAYLIRLLKTVYYLLSDFFALYYIMYGTAAVLGRFV HNFFFSFHLFEVMIRFPLLLNVVKSVWNPRKMILYTGLLLLIFMFVFTVFSYRIFYESYN AGFCDSMWICFLSTLDSAFKYDQGIGGFLKNPYEVYPDDEVKLVLRFVFDNFFNILVIIV MLNIVAGIIIDTFGELREQLQEYNQDLENQCFICGYDKETIEKESINLQNFSDHIKKEHY QWYYLFYIAYLREKDPTEYTGIESYVADKLDAVDITWFPLNKALCLKKDFGNEEEKNIVT SLESIKENAQELKNILVEIQTEIEEED >CAK90438 pep:novel supercontig:GCA_000165425.1:CT868659:236913:238113:1 gene:GSPATT00023615001 transcript:CAK90438 MIHKNVVFGNHITAHKLERLIQKQKILLKSPLDQKQQTKNRKICKSKSFRFEIQPHVFQT AHNSTFKNQPLLLLPIDRSPNRRSSSKPVLEVFSRSQNSMTSLQLKNAIVTNKLQRRWSN YELVSEKQKRLNNKAPKSVIKYQYLMAVKAKKKKIQRMKMQYKFLGKKNNKVRKTRSKTL PLNPVIKMRHKRAQTVIGEPYEGLIFPLEDSLIRKIFKLIMSKKAAVKRQTCFQLPKRSM LLSKEKLIRFQQQINEKLISQSESDSQIEGSPYLDSLRSNQRRVYFEEKKNQLKIMSKKK KNLIKALVNKQSFNIINSYVAQKVKTQNSQHQHSLHQKSTQQTLCDFMSKQGDRLTTIHS THEQPQISQKTKRSKLLTKLFPYIQPQKIINK >CAK90439 pep:novel supercontig:GCA_000165425.1:CT868659:238247:239180:1 gene:GSPATT00023616001 transcript:CAK90439 MPLLLICGPPASGKTQRALEIQKLVKEKLNKESIIINEENLHLIKDDAYKDNTSEKMTRG FLKSNVEKYIQAGQLVIFDSINYIKGYRYELYCLARAAKTTNCQLYLNVPLEICQKNNET RENKFNDNLLIDLYKRMEVPKQKDRWECPYFEVRFQEKTPFEEMIEVLFFAEKTAKDPVA TKKEQQNEGNFVHEQEKRVQEILDQILNKQVECIQIGNGSIKFQGTKSTLILKRALSIIE LKKLRLEFLNMLRITPVKTLEQTNEAFINFIQAQVERLGQ >CAK90440 pep:novel supercontig:GCA_000165425.1:CT868659:239312:240378:1 gene:GSPATT00023617001 transcript:CAK90440 MNLAFDSQAVELLTNYEDLLNQTLDQSQLEQICHELIDTFFLYIEEMQNYKSEICLELKS KQTEIDMLHLQNTKQINQLEDQKGDLTRQIEICQQLELQLQCSEKKTQKSEKEILQVEAE LRKKLHQQNQQYRDQIQILTSVQEEIDKQILQLSREKGQLQLQFEQLQKKYEEQQFLISN LTEQLANLQTNSSFNTKIQQNNDVVMDSQDDSIEIKPQEKRGLYSNCISHNQINFKWEKI QPSISSIRNSMTVINPTNTGCDLQSRQKIKSLALLYNKPQKQCDPYYEFFKLITQCVKLD LGQAHIFTMNFDYLFEKFKNNCVPFNQWYKKILDEIFT >CAK90441 pep:novel supercontig:GCA_000165425.1:CT868659:241078:242229:1 gene:GSPATT00023618001 transcript:CAK90441 MQPQQLKQQPQQIKKIEGPSMSPYGKIKFENKQQQNSPSIQKEIGQYVYNLNDVIGTGEF SSVYKGQDQNTNETVAIKIIDRKSIQNNQIFRNLLVNEINILKSVENKCLLKLFNYLETM NNIYIVTEFCSDGDLQSIIEKKGYLPEHNAVKILKHLIKALLYLKERNIVHRDIKTQNIL VSNQIPKLADFGFAVDLNQPQTREILQIGTPLYMAPEIYSHYQYTSKTDLWALGIVFYEM LYGKVPFNAKNPKELEQMFQMHKKNQTIQYENGPQKVTEVAQDFINSILVIDPKQRFDIS QAANHPLIQNPHPHANKQN >CAK90442 pep:novel supercontig:GCA_000165425.1:CT868659:242382:243915:-1 gene:GSPATT00023619001 transcript:CAK90442 MIREKRELFRVQIRKEKNEQIFTKKRTNITQSIPIDFPKVDYDQLISKTNLPQCLDNIDQ FLTSKTNIDPQIIQALIPGAQITFDLLQYFPYECSKFLTNFTYHMNREQMIQLLTQSQIL NLNNLLNGSSDELKENLTKIICNFIVELNSEEALKLAQSLQLLSNIYYSYTTHGCNERLS MNYLRIIAHLFFNNYKEQNMEYILEIIDNISQSTVDDDLKLQSFKTMRAIIGSYHINQFE IVNQFDKFLIIEDGLSAFNAVLQEQKVTELGIQALKMFNAMLQKNKQLMKLLLEKYSLLT FYCNALSSNLNLDKTIIKLIYEGFLLIIEDDNNFVLNEQDLCFEKIVTQVQVYNKKNKEF PSNTCSLITQLLKSRYKEQTIIEFPILKLISEFYQSEKQLITIDDYLFIAFTVLQTGEMI YPDRYVGEFLKYQGESIIQDIQKNTNDFEHMQLIDEIIKMAEYDEEVDFYH >CAK90443 pep:novel supercontig:GCA_000165425.1:CT868659:243943:246075:-1 gene:GSPATT00023620001 transcript:CAK90443 MQWELLPSDVNDYSPRTGHTVIAYKECIYVFGGIDEQDRQNDMYKYHKGWTKLKLSGEIP SARSGSLGCVYEDLFYFFGGYTWKHGEYFNDLFRFNPANNQWEKITPKTQPPPARVDHSF TIQKNLCFIFGGSNGQKRFNDLHELNLCTFEWRALSQVRQLSARLGHTITSYQNELYLFG GWDGNNTLNDLWVYSNSNGTFQMVKQQNPPAGRYRHTANIYKGFLFIFGGVDQNQERFND LQRFDFQTSIWSRIVVQNPPSPRSFHKCVVLGNHLYLVGGFDGQRRNDVHRINLDSENGR QQIEQFKQAPHLMWIQLDLKDRFTPRTGHTACVLQNKIYLFGGVDQSGNINNDLNCFDGN SWSVIVTSGQIPSARSGAKMVAVDDQLMLFGGYVQTQSQIYCNDLYRFNVKNNTFAMETQ QGANPAKRTDHSLVEYCNGIYIFGGKGENKQIFNDIWKFKGQWIELDHDQQITGRFGHTA VSYQNSMFIFGGWDGTSCLDEMYEYSFVTNTFYEIRRCSGQKPKARYRHEALVYNQNMFL FGGVDHLQIRYNDLHQYNFKKREWIKINTSGNIPSARTFHKLVNLENQFFLLGGYDGQRL NDMYTIFVTKTEKINFTYPQEDSSILQDEEVVTLKEQVKELTNKIQREEEKHLCKICFIR QIDSVLMECCHFILCFNCTENLKNCPICRQVITRVIKTSMF >CAK90444 pep:novel supercontig:GCA_000165425.1:CT868659:246093:247113:1 gene:GSPATT00023621001 transcript:CAK90444 MYYQAPTPMMPLAHAMNNPLQVQQAANIRKPDFPPDMQPIFVIPQIAHIKSQLKPKCRAY DPILGVGRKAEWMLDKFEDEYEQMEREEREKGVVKPSRLPLKILKKQEMRRQHSEEVRKR LQTWNPFEDANITSDPYKTLFVGRLNFATTDKKLRKEFEEYGPIKSVRIVRDSTHDKPRG YAFIEYESKNSVKSAYKYAVDKRVDGRKVVVDIERGRTILKWRPRYLGGGLGELRRSRSE ELLKKTKDDQVEKEEEDKRRKTKKDDGKSSKKPRSRSKSAKKHKKRDESEFKKNKKREKS KKKHK >CAK90445 pep:novel supercontig:GCA_000165425.1:CT868659:247199:247837:1 gene:GSPATT00023622001 transcript:CAK90445 MNSLYKIYLRYQALKRAFKSTKLYLRYAQFKEELEDQKLNRICVGQDRMGNKFYQYYSYY GLPTKREIRFKDDRERIVNDLAYYDWLYKRIEQPPTEEQVEQFYKEEQLRFQRAREWDEQ QEKMMLAFYEQRKIREEQYKKAYLEQKNFNQNPEVFAEIASNSELKQESQNEQWQPKSKR >CAK90446 pep:novel supercontig:GCA_000165425.1:CT868659:248249:248714:-1 gene:GSPATT00023623001 transcript:CAK90446 MNANNIYPSFEMDSEQRQYIKLKFVILEKDWPYPNFEIVVAYNDPLMFINKELQDRHGGI TDIRLYLDAEKQNQLQYIFNKKIGDALSIKGSNSQDTAPIVTIYYDFKNAIKSPVQLF >CAK90447 pep:novel supercontig:GCA_000165425.1:CT868659:248742:249404:-1 gene:GSPATT00023624001 transcript:CAK90447 MGALCPRSDIEVVHIEQNENEIKIDPQVEIDTLINQETNYKLSLSPNFSLNNPTLQTCDQ VIQSILSISLSFMVKDFSEQINFLKLQIHKQIQELINHKIDFQDELLKMLIDYYEFLSYV QENSATKVVTWWTEPKTVVDLQNAIKHWSQQFFKFGGRLRREKAIRQLNFEPKTPERFVK TREWIKKQTEGQQDQQDQQEPKQPQTIERSLQVEDGFEEL >CAK90448 pep:novel supercontig:GCA_000165425.1:CT868659:249446:250993:-1 gene:GSPATT00023625001 transcript:CAK90448 MILSLLYFIGFATAAPAEDLVDGSTWAKFKIPYSGKMYSGYLPIDEAGEKQFHYFAFPAF SLAGPLKATFPLILWLNGGPGCSSLYGAMVENGPFTVELGTNNFKQNLFTWLNFANMFYL ESPAGVGFSFGNTTSSDESTAKDNLKAVLEFFKKFPEYKSIDFYIAGESWAGVYIPTLAN EIIDYNAKAATGDKIRLIGLMIGNGCTDPTECTPLAFQFPVHIYKFLHGHGFISEKLNDK IENMTSYCHMKTIPECIQIQGEVIGQIYGAEYHFSINNLSDLYINPYNIYGKCYQIPFKN LKGEQEKEKRFKLNPMQDGAVGELNKCSEAEALLLYLNNAAFRKALHIREDAGYWNDCAK LDYRPDPRGTYYLYPKLLKSGLRILKFSGDVDAVVPITGTLYWIDKLQKELNLPTIEEWR PWFIPGEKGTEPQNAGNVWEIDGLTFVSVRHAGHMVPMDQPEAASIMASHFVFEIPFPSD IL >CAK90449 pep:novel supercontig:GCA_000165425.1:CT868659:251108:251666:1 gene:GSPATT00023626001 transcript:CAK90449 MSISSDMPVISTQTKTTLPVLHSTRSLIKREKQYSLDVISKNQKGKQTIKKKIKRKRLFS GSKRTASNCRGRIQQSETFSLNEDRLILSSVIKLGPKFKVISSYFPQKSLSAVKNRYYKH LRYRWVQIMGKDFESLLRENQSIIKQDDEIVDTAELFPELKDILKNMITNIKSLTQS >CAK90450 pep:novel supercontig:GCA_000165425.1:CT868659:252704:253710:-1 gene:GSPATT00023627001 transcript:CAK90450 MAKRGDPKTLVLNNFEELKFVDNIFCPQRGQLRLFRFHPFAKYKCTFLTDIWFRNWCVLF LVYIVWYVNQQQQLLKQYKERCKIKQPHIFYSIESESQKTMKPGQFGQTEVFQIFVFFEY GQLTLHELTERRQAKRYLVPEPEVWCLIKGVMQAMHFFQNNNIPHGMITTKTIYFDEEYL LYRVYDQELIGGRWGNFIKYQQTKDPEIQVFLAPETKPFFNSEASLLETAQINPFKVDVF AFGIVLLQCLTLFQAFENPLKWLDQFYSKNLMTFITQCIQPDPNKRQDWIGLYQLPLEPS QDQKINERNSMIQSHLENRGQKSYR >CAK90451 pep:novel supercontig:GCA_000165425.1:CT868659:253797:255051:-1 gene:GSPATT00023628001 transcript:CAK90451 MDQKENNNNDNLFKNIIFGNQVQNSYGNFRSFCAAIAHQDQINIIMDYIKTNEKFRRYNR FSYAYRVYEQQQFFVTQDKPGQEQQENRIIEGFHEDETLEGSGEKLLHLLQKFNVENVLI ISAIQQYNPLYRFEPSHYRIIVERSKDLLNNLYTKVIQQEEEEQKQQEALHQQSLAQGKI IKVQAKLPKTQIEIPQFHQQAKFSKPEDRLQNRPGHFYAENPGFKPPKKSQQQQQQQSQL PPISEQKKLKDLNMRLKNIAYQLEKILESLTEKEYLQFKFIAKYDQNKLVEKVLGLIMLI FDVDSRDQLATDFDIQNKLQNATVNDLTEKKVRKINETLRGDRRLNAYGLASVNPLISTI FAFIVLLIRSYEIGNDMLKITQSIAVQESEENQLLEDPI >CAK90452 pep:novel supercontig:GCA_000165425.1:CT868659:255334:256161:-1 gene:GSPATT00023629001 transcript:CAK90452 MLFKILFTVTLSAFVVKGISFDSSKHCSCSLLTQADCTNQKSWCQWDPNDKECIDIYAIC DSYTEAITCQQDLGCFWNKNLECAEFTSCSEYTDKQCPSGLCKVVNETCTTLQVEKCSSK TTKDECNESYDSMDSHCQWSKASTCDSLPNIPSCGGLADFEEQCLESGCYYENGNCREKK CSDFTQTQCFLTYVSGSGNQYTYCSWNTDTNKCVEGTDASKIAQSNCNTFTLHNYRWSED QKLCVACDELDDNDLPNSYSIQLGVILLLLTFILH >CAK90453 pep:novel supercontig:GCA_000165425.1:CT868659:256523:257584:-1 gene:GSPATT00023630001 transcript:CAK90453 MTHKPSHNPALFDQYIQYDGPEGEGFRIAQSDSSTDIYIVDDYGGWVDKELNYYDRDGEP AGYFDQDYNFYDMNGYRIPGGYKGGKTIEREIKKKQKYLDSVPDNSKQKFVVEIQNLPPN TVPIQIINYILERCNLKQGEIEECKKVQKQATKGYVVVTGADKVQKLLILEGRKFRLQEK DGKYTSNEIQIFVREAKFNNGKQFSNNSNYSKNNQQEQVEQEDDGYKIAFENLDSKKTNQ EFINWIVSQTNCKIDEFELKRPNQKPSGQLLLQNENLMYLILKQNGRQFGDSPITFKQIS KQ >CAK90454 pep:novel supercontig:GCA_000165425.1:CT868659:257769:258918:1 gene:GSPATT00023631001 transcript:CAK90454 MKSKQVIPKGKHAFDCNGQTFIVDDKYQFIKQIGHGAYGVVCSALNKKSQQLVAIKKITD AFSDLIDAKRIVREIKLLKFFDHENIVSLLDLQRPEHPQNYKDIYIITDLMETDLHRVIY SKQELTDEHIQYFLYQALRGLLYIHSANIIHRDLKPSNLLLNKNCDLKICDFGLARGYED ESEFKTEYVVTRWYRAPEVILNASEYNKSVDIYALGCIMAELLGRQPLFPGEDYLDQVQR IIQVLGTPTNEDVRFIGNKNALTYLKSLPKKPKQQWKNLYPHAQPLALDLLDKMVTFNPD KRLTVQECLAHPYFEGLHNPEEEPICECTFDWGWDSFKPTEAILKQMVYEESLSFHPLK >CAK90455 pep:novel supercontig:GCA_000165425.1:CT868659:258920:261813:1 gene:GSPATT00023632001 transcript:CAK90455 MDLSSQLNQMKFIKGLDFRKNLIDNGHLGQGNNMVYNVLLNGKMYALKQVIMNSCNIENE IKIMQSLSHSPYVINLIDYMILETVHQDKYTQSQQFAYLLMEKGQQNLEQYLKQREQQYL EVEELHRILEQLINIFEDLQQKNIAHRDIKLVNILIMEQFQLKACDVGCSSQVETIQTIS VVGTESYLAPELLNAETHKLKHNPFKSDVYSLGLCFLYIVTLQLIHSRQKGYTQVEEEIT AAYLKIVKRITAEDNLILSILKKMLQINPINRPDFIQLKQIYLQLKESQNQLTNLSKGTT FESFESPKRSPLQFDYLSPDAVLKRGRNTGSNFYKEERQHSVKNLPSNLSNSKKQKSQAN LLSESTRKIQSSKSPSNSSTQNLHLKDSTLSKTSSSNPIKITQQNKPKFYQAPVSPNHSQ TNFQQRQFSKFHQSVIESKKAYTESYSQPFPAIQTILPTEQQQPYDDFLYCSYEDLINSY QFQNYVCLIQTRQKSNSKRSKCQLPNSCKLLNLQLNNQTPELHLSPINDIQILILELIDD CNDHNQNYRWSENLICMNFQAITQLKIIVNTQQSQANNIIQFLNKIQHIPKIQLIFQSQI SESDHRTIMWKLSRYNCTSLEFRLPHIKLILTQNSQLWQFNQSLEELYLDYEDCGIHQIF SFLVASLRTVEFKKFYINLSNLQSKDSEISLFLEYLGTKQLLRDLTLIMDHIANYDRMVQ EKLKNNISKLKNLERVQLSLVGNNTNVEFLEKLLHILESLNLVKVIIIRLDRIFQKAQIR ELIKIFPFLNSFVLQFYGTDNTLTIIMHNNGLQKQQDYGTTKCNQGKQVILSQMYECIKC SKVHSFFLKHKFQICSTCVTYCHSKCDNFNLNKETITVSSDSLTQSQFLSNNIKKNHTFI ESNDTQDFKCYCNLLGNCTQAICNKDRNRNEIQFKYKTYIYQMQNMQQDTLSIVLL >CAK90456 pep:novel supercontig:GCA_000165425.1:CT868659:261915:263566:-1 gene:GSPATT00023633001 transcript:CAK90456 MNNNNSHTKFDILPTIMNSQHKLLEPAYLRNTRSKFSHNESKVLPPIQRSSIIKQLVVQR LVLSLDFSNRHFGAACDRGFQGLSKFHYSQKFGHSSARLEPLNRNVVTELTDTEDTESIP KEDRTYFKKIYKYQKMPILALIKSCEIEWIREFEGEVNKKSTKPLQLTFQMALAIFNTHS DPLDFFQKNLQLLERNTVALKQKGLNRLKYKVKHFNTEEFQRVVVIKNQSGLGFFRIYFP IIQLYHQEYQYIQTIDLSPQKLYDIVKNNFFEMHSFAEQFDNQNLQLYLQSKLDHELILQ DLNEPQSQQQISQSDLLINPQDNALIKNWGFQQLIVNLQLINFLEKHEFPEKILSQRWIK KVTSFDNNFYTCDFLPTQILIREKISQQIIKIYSPTLKELDSIIDLLNTKNMQQILDEHV VTIFDLPPEAFNYKDFKKGTKIKLNLPEITEQPIEITEEQINNGLLNYWTKEIEINNKDL QIIIEPCILEIYDQLKASTIKRVCTSKELTQILSKRYQITYRLI >CAK90457 pep:novel supercontig:GCA_000165425.1:CT868659:263578:265966:-1 gene:GSPATT00023634001 transcript:CAK90457 MSGPPSMQGESQVSHPLIFIDIWKYSGNDMSTMQYGRSWAQYVSQVILESQNNRQVPHSF QKKRQTEIEQVLQKIGAFQLYKNKLMNQGNQMSIDESFRKIICKDVQESTLTEFLERLNQ LNYDETSKYLSGLVYLFTRKRWPQLGEYLLKKCAEHIAFYEIIKWSYEAYSYKERQSAEN SVAVFDQKIEEAMVNQISENQFLEKESRSNYVNSIHRFVTCLTVLSLHLKNVPLNERQLY LRRNLIKGNRALESFRRNNPGIKYCKGIILPFKGRSQMVVRIIDTEAQSFNTKKRVPYKL VVETVDMNEYEQREQSLVTLQKQLSIDSQAFLEFDVLHQFDYTVFEDFLQENKDKLARQQ ILHQNKKRFDQQFPPLKKEQITRRRSCIDQIQSYTNKQLYEKDFKDLFKQEDKLKHSDKE LDNVTFLEQIANEYPNKKEIIEKIQKQLNAPKNLVKQQEIQQLPSPNDLKSGPFSEQWED KRQVIQSNSPYGQFKSYCLRSLLIKGGDDLRQEQMMMQIIKVSEDILTQVGLYVKSYDII ITSVDSGILEFCNDTLSMDQLKKSMPSMTLKDIYNSIFGDNFEEAQLNFILSLTSYSLLQ YLFQIKDRHNGNILIDNQGHIIHIDFGFILTIAPGGIKFESAPFKLTKEYIELLDGQESC MFQLFKSKLLLGYLELRKHVDKFAFILEAMKIDNELPCLEKFDMKVFKDRFKLDLNEQQL EEHVYKLVTQSANNKYTKYYDDFQKLTNGIIP >CAK90458 pep:novel supercontig:GCA_000165425.1:CT868659:267284:268840:-1 gene:GSPATT00023635001 transcript:CAK90458 MKYSPASQQYGQAYGYPQPQQSARPPYQSYKPNDNAQSHTVTHPHVREMYHREAPITVVT RDEIESVWKAKCSQLEQALTECQTEVMRLRGIGATEKITYVEDTLKINQLYLEIDRLNKC LLDMSLELDQYKHKLYMATDKQARQQAEIDVDKMRAIMVENEAMLNAEIVRLRDQNEELS KRYRILELSMADSRHQLSLKEYEATLSQLRQEIDRAQFSVNSQRAETEEWKLKYQRLEAQ LRDSAQYEVEIRRLKEMVDNRNREIESLKLNSQFENDGKLQNLKLEIERLQNELRQKNDE TNRLRSQIQQQESTIKYLNSKISELDNKILQMKSEYDSLKANQLSFKPTIQSNNDDKDQI DRLRSQIQQLNIEIEDWKSRYNELDKMYQDLEYEYQNSNTTYEETTKLTNDVQIWKNKFQ ELNREYHQVQEELVVATAELESLRKKQNELSKSGVRSNAASYRPGQYPDVFPQQQI >CAK90459 pep:novel supercontig:GCA_000165425.1:CT868659:269165:269960:-1 gene:GSPATT00023636001 transcript:CAK90459 MPIKALIFRIILIPAIVLAQSNMELSEIETNPIPTMVNFDSNQRNTDQVLSQQGYFDFDG HFISSNTDITQNNEINNSNEDPNNFILDHLSNNTPNSELGQFSNDENSLQGANDIPSLDM IDTQSTQSITQQDINQNSETENTVQNSDCIVIYSQCHFKGESLHLCESQRNIDNFDHDIK SIQIPKGYSVRLYNQEDFSGEKIILKESQECINQPLALTQLYEKKSHKFLVLAQNFNLRA S >CAK90460 pep:novel supercontig:GCA_000165425.1:CT868659:269995:271663:-1 gene:GSPATT00023637001 transcript:CAK90460 MITQYREYRTLIQNTQNILCLSESQVQQSTVTKKILLYEIINKLRLQFITYVSYENKIQE QQIQDSFLIKVIYNDYNQFFIGTLMKNDHYRIILQYSQLTLDSLTFPYYHVDKGCQFNFS ELDQQIQIQLKQDESKYFKCPVCHGQGQLLLDFQYIKEEYYNKENQFAIKMEDQQTFILQ KSSYFDSILSKEQKLFELQKQFNSRILSDNHNQNKFTLQLIENLNAQRNNEIFQIYRKSR QEQQPTLIGVINFKLILFYHAFKIKIELDLYDDTNKNNRIKLADFQYGQMTTYQVSLINK VIYLVVQQDKLYQLYRGNFNQIFEGNQDKPIFNVSIMPGYTLLGVSRLFQFNNHILMIGS KAGIVVKDKIDEKVAMDGQLKIPKLTENSQFAAINNRSILFAGNNQIDKSVGIIKELFFS ESKIIETQENTFKIPNSELDFSLLIDDAYYLLSSEKLNQQKVKVIQITATKDRNLLTRTM KIINHHSYLKVKIIPTTNLKVGSVVCAMVGLTFENSQTILPGVLVFNDKDNIIEIELI >CAK90461 pep:novel supercontig:GCA_000165425.1:CT868659:272009:272617:-1 gene:GSPATT00023638001 transcript:CAK90461 MSPSKLELQINIFLFYTNQQQRRKMKLKNYNEDIRFKVRQRYTTISDWMSKTIDSESVPE VKPRSHYSTANKIKPSAMISLKPKSMDTVLNYQPRLSKSQQPTNLQSSKIYRKFIDPNYI TRSIDYSELGDSKNNIKKQKLYQNQRAVFNKMRLILDSYNNRERVLLQYISKLQQEIVTL KQGHNQTL >CAK90462 pep:novel supercontig:GCA_000165425.1:CT868659:273443:274189:1 gene:GSPATT00023639001 transcript:CAK90462 MNQNDNYFPLSYNDHNHPLQKWRLHSNSMHSQPLIKIDFDHKPKMVYQLPDVFMTSRLNQ LKQSFCENPKKSRKASKVSLNSLEVSPKIPKLTKSKEHKDKELSELHKSYGQFKKSLNRV KKQHLIGENNCEINPMNPNSSFYLNGVKLPYSLYVINKNRQMAITNIMNNASPHAHEQSQ QQIQMIDNQIKKVRNIGPYIRDLKSEQVKIEGIQESILFAKCVLLYVMCEL >CAK90463 pep:novel supercontig:GCA_000165425.1:CT868659:274298:275188:1 gene:GSPATT00023640001 transcript:CAK90463 MITTPNLIDSKFYELQNSTLASKSEHEEFKQILLRLWIQISKSFNEDFEEILQLGINSDI QDVLNAILIIEEARLEQIKCDRQQIQLLEDELKAIKQQNTTPSGNDELRETQKQYRELSE LVISLKLQNEDKEQQIIEQNKLNKKLQVELNLLTSKINNLSLALVQSKEEKIQYMEQSQK EIKLLMEKELQQSKMIQILQIQKIELENMLKKSSQRNSVESSNPQASQTFKEDDQARILS CSPRTTHIDISKIVLQSLQNKKAEMVSGQSLSQIPYRFGK >CAK90464 pep:novel supercontig:GCA_000165425.1:CT868659:275315:277441:-1 gene:GSPATT00023641001 transcript:CAK90464 MQVNRNQFIKFLVASDNHLGANENVGPKSNRYQDAFEAFEEVLQIATQQNVDFVILGGDL FHEKHPTEHCLLKCVDILQRHVFGDNFGGIQLELNSLNYQPNFSCSNFNVQLPIFIINGN HDDIVTERNESVSILDILHESKYLNYIGKITDQSFVSIKPVVLVKNNQKIALYGLGYMKD YQLHKIINDGKLVLESLDENNFNILIIHQNKYKGNHFQDEKNFIDPIYFKKYKIDLLIWG HEHEAIYTLDTCEHFQVFYPGSTVATSIIEYESLIKQAGLFTLTKNQMKFESIKLEKSYR PMIYKNIELSELIKTTDNNLQISNQELAEKLLFDFVEKELINYYQTSSYRQKKPLLRIKV EYSGFELMRMRYIETKFADRVSNPDQIFKFWEKKTNLQAQIQKRKEQAQQLNQQFDNILR NKVEINSQNQTMMNEFSSMLSQKLFQQNFQIVEQGDFLNILDNFLSSTNKEKSNLFNDLY PKTIEKFRENVIPQYSNRILQIVKKQRENQDSLIFQIRDAIIKNFEGKSKQESSNCADFA NDINQVFIKFQEDFRIETFRTSLNQNNKLNASFQTMASKQIQQSSNQQGISQQAPFQIEE DDTFPRYGQTQASLFDQDQQEEEEEEERKDNKGKKVPKKRKPAKKEQEVVNLDNKPKQKV QLQPYKIGMNKFFF >CAK90465 pep:novel supercontig:GCA_000165425.1:CT868659:277506:278561:-1 gene:GSPATT00023642001 transcript:CAK90465 MNQPKNNHRRALSNNDFQQILNSKISELLEENNTHKIIHKNQSFGNVLFQIPEQTDIASS TEQSMQSAKKTQLFDQSHKNNHSQEQKENLKNQGSSSNLISHIQYMIKGNHPIKEITQKT LNSERQDKNKHLSFTNELQKKHSQIILQSNNNNDSSSLTPDRNRAEENGSKLNSHKNKSD QQEKSKIELSSSLFNTILKVDGKRKLNVEQQESYRRIEVQMNKIQKDINNIKMRQDQLDQ FNRNIQNQLCDFMTESKIQQDYGHQSLQKLEQLEQITKRNEESIQLIKQQLILDQSKQIN KGNENIINFGNLTFYKQDSDQFKKFIHLKSNNIL >CAK90466 pep:novel supercontig:GCA_000165425.1:CT868659:278612:279681:1 gene:GSPATT00023643001 transcript:CAK90466 MFGYKLFTIVREKSVVIVEQLGKYNRTLQPGLNFLIPLIDRAAYTQSLKEEILPIEKQQV ITKDNVAIHLDGIAFIRIIDPFKASYQVSEPQNAIKLLCQTILRSEIGKLKLDQLLQERS ALNRALQTGLSKAAAEWGYTSLGVEILQIEIPEEIRVSMQAQVVAERNKRREILESEGKQ ISEINIATGAKTASIKIAEGDAEAVRLVSQNEAKALNQISETLKEQSKKRVLDYILLQHY LKGYSSILKSSKVVVVPKAKEGQGNDFMSLAAMMMFNNQNSSAQKIFEVSAKPSDMTSNS TTTQSEISKLTQEQLDNLIKKNVYYNDPRLYSDDEEKRTH >CAK90467 pep:novel supercontig:GCA_000165425.1:CT868659:279775:280603:1 gene:GSPATT00023644001 transcript:CAK90467 MNNSQIRAESPSFQKMQALADRWNQLKTGIDKGKFEKKEILETSIFKIDNLLSYDKINDD EKFKTIKEQLFKITEQVNNERFGKEHYLRYQEQLLLFEEQINKQMDEEKNNKRRVELQLL KQIEDRFETVQSMLGKNNSLYQDKLNRQIGDIANQLSNLKFTIENEQQNRVESQTHLIEQ MDLELNKFQEMMTIEKSVREETEQKIFSMIEDVHQKIQNEIINERISKERSQESILRLLE STCLKIDESFNS >CAK90468 pep:novel supercontig:GCA_000165425.1:CT868659:280726:282352:1 gene:GSPATT00023645001 transcript:CAK90468 MIQSDQLNIDEKTLLETIDRISLQLDQFEYKMKSNLQVLILVGDTGSGKSTIFNFLCGAK FKYVINDDEEYLDLADKSDQYSEMSSGMKSVTKEPKYFYNETFNHLLIDFPGFHDTSGEI SQLIIQMIFYKFITKSNVKIAYVLFHPQTNFISRGIALQQFIKTVFKEKNLEISKLALIL NHFNENMKEEKLIINVQKQLKEVETVKHEWISVIRRINSPEKLNEVFSEENREKLWFELI TVNEIPFQPQFLPYSDRISTYITEKSNLILNLIFQKLKEINDEQFILLQSLIGSIQHILS TTPEHTEDWFNKFINVSLELSQTFHFENDIHEKSQNFLKIFNFFSFFSEFISGYSTFEKH CLQVQQKCSYFLETLQKKIELQRVNEEKLREQQNRIKAEQQLNTESQIRIQKEQMYAQEY ENRIRYEQQLKMEQQLRNVIEQDKKGMEQQYKDYCEKSLQIQNNLQNLIQTEKVQKQQVE QDLKRQLQDLQNKLNEMEQRRKEWLEHRANHPPHHGPGHGHHGPPGHHGWFKK >CAK90469 pep:novel supercontig:GCA_000165425.1:CT868659:282597:282911:1 gene:GSPATT00023646001 transcript:CAK90469 MQAPNKKQAVHYKQLAKVYKQCAETQKFIDEFEKKNKVHVDKFVPLDYLELPTKIPNIIS ISLKNKKRFALENIVKDGSQKSSKKSRNSKSKQKEKEPQVVNTE >CAK90470 pep:novel supercontig:GCA_000165425.1:CT868659:283069:284153:1 gene:GSPATT00023647001 transcript:CAK90470 MEKSLITVGLLLLIGAGVYLNQNGEELGELQKVRQFNDWKIQYNKKFSSEKEEMYRYLVF QQNAQLIEAHNNDKSGKYTYTMETNQFADLTEQEFAQKYLTFRPKSTNKSKSTDYVPNGQ ARDWVEEGKVPPIKDQGSSCGSSWAFSAVGVLEINSNIEFGLETTLSEQDMLDCSGPYGN QGCSGGWMDSGFEYVRDHGIANGSVYPYVGSDQTCRTSVKRDFKYVTGFVDVDGCNGLQT AIQDQALSIGVDASNWAYYKGGIFNNCKQNLTSGSILVGVDQNGVWKVRHQWGSKWGENG YIRLAPGNTCGVCLSASYPVLEKK >CAK90471 pep:novel supercontig:GCA_000165425.1:CT868659:284738:285207:1 gene:GSPATT00023648001 transcript:CAK90471 MNKLPYQPLGFVLKFDPPIIGLLYHPIENKKVNKKKKKCYAIHLNNLIFLVEPEDIVEAL FNEHQEFLDPDVVKPQQVYKLVLRLVAYRDHQLRNMQEMGEDMADGYYEEEEEEPVQQPK GKGGKAQAQQRRTNDNNRSF >CAK90472 pep:novel supercontig:GCA_000165425.1:CT868659:285249:286197:1 gene:GSPATT00023649001 transcript:CAK90472 MRRQNLKHDLYSQISTIGQSSSSKRGNLNIDDLIYQFKMRKSNQKLAKQYSEIIKIFDKK QSPKQPFNYQSTQLHTKVRLRTDESQEPFQFQETKQRLLHQIDIHQIINETSPIKITPRL NYENFKTFQETERKSYEQNNYHTHLANFGPLSSSNQCETDEEIKQISQQSVQKLSKFRTF EQEQFQTCFNKVISKLEKLNLQYQKQGFLMIKFFSKWTLKEKATKMYFRFFERKISSYFD LFKIYEPSIEENNHDNQNIYQRIQTDNVLSPINMNTLTFLIPTLKPVTQFGAMNFEEYLK KKNQNIE >CAK90473 pep:novel supercontig:GCA_000165425.1:CT868659:286374:288125:-1 gene:GSPATT00023650001 transcript:CAK90473 MQKRVQIQSRKAQTVYLPLAASSITIHSRITSQSQSQNNIVKVCVTDHQKQETKCKKKYY HKLIVVLKKYQPREIQKQIVPQWNHQQQLLINNFNLFGNLLIDHTFILLTFHIHVHQYYI LELLQQKRTQQFLVEEIKKPLFYKLQGQVPFKCVLNAFEAAGFERTDDDNWLLYWGLASK ETLNEMKKYQKTNHFPGCWSVGRKDNLWIHLSKVKRRFPTEYSFIPNTYLLQYDFERFER IRECAPKKTLWIKKPVASARGNGIKLISKKSKLTADKRYLVMDYISNPHLINNFKYDLRV YVLITSTDPLRVYMYKDGLVRFATQEYSLKSSDIKKRFIHLTNFSVNKQSPNFIANNQDI GKQLKTSKWSHKEYKQQLMNQGINHKLLFKSIQDVVLKTCIAADPLLLENRITLEHKNNY FELFGFDILIDEKLKPWLLEVNVSPSLNSSSELDAQIKSQLISDMLHLIGLEYHTKKAFR IQIKKKLYERNINDIQSINSRNFKQKVNQEDLDVMIQSLEEQLRLGQFKCLYPNKKNIFE YEQYFEYPRFHNKLIQKYFEDGSNWVI >CAK90474 pep:novel supercontig:GCA_000165425.1:CT868659:288144:289812:-1 gene:GSPATT00023651001 transcript:CAK90474 MTEAQQLEEFTIYGIQSEFSARGQIKYEDLRMLISDYMQMQPIFFLVVQILEQEHQIQIV EKIKIDIQTIFSTFRSLYFLQEVPRFLNGRLTHFNVRNIKAAIQLYHDGLLTMKDIKDAC LIFHLREQELMSEGVPCNATEINNLLWAIGKAISTSVLEEWIKRCTDFLQIKGFLQEFEF LYLMANTVDRWAYYEKIPKTKMDLTRDPKMDNLYVVDQTGYKTAKNPDAKIQHYMNYQYN MDKAVFKGRSLKDRNEYYIQKRTQKHQQFLKNKDAGIGEFKKLLRDPELYVEIKSKLKNA QQILNHSKLNGKMLRVQLLEEFVGSKEFLQSQANQHNKQLQNEESRKSIPNIKQLKEHPQ FQKQTQKIQISEAIEDIRQDVQQHKKMMQDPAFMTEYLQRASSAVSTKASMRKNISGKLG VQSQTSLRPSTAIVMPTQMKMSRPITAISNQEHQKPRLSQTNIPKILEEEIEIFENEQQE NKNQNTVFYGSSNSTKRLYNIDLPWHKSSLQIQ >CAK90475 pep:novel supercontig:GCA_000165425.1:CT868659:289840:290513:1 gene:GSPATT00023652001 transcript:CAK90475 MDYEQNNYQAFDIEIIDTEQQRIEYARLSFIRKVFLILLFQIGFTFISTLIAYSQIAIIE YLLLQTILILDIYGCIDLSNIFANELFKSKFICKSRLAKQHPYNYICYSCFTLSISYIFI YTTHHYPTYSNHILSLITLQIGIIISLITYSYFTTSEINLNKGLICIVITTTLLFIFLFL YFKLSLIFLFILSFLIILYGMHIIIDTLLIVNGEVRS >CAK90476 pep:novel supercontig:GCA_000165425.1:CT868659:290670:290903:-1 gene:GSPATT00023653001 transcript:CAK90476 MQVELECQKQKLIEIQKQQEVSEQVKEEIKRSQIEQIKRQMESQVEILESENRTLRYQIE MKNRECEEWKQQYTRNQ >CAK90477 pep:novel supercontig:GCA_000165425.1:CT868659:290989:292504:-1 gene:GSPATT00023654001 transcript:CAK90477 MSSFLKPVPTSSPPKSRNTISPNTQSKSPHYTELQQGSTLQKSQQSLRNSHYTSKFEKSI DMDMKQSNTFINPEFEIKKLMNLVELQQHEINNWKRRYEQAEARCISTNQTQTMLEYEKH LDQLTKELKNSIQQNDELNSKLREKDTQLSRLNKQIDVQKQQLNDFSSELKYLQHEKLNM DKDASMQLFQKDQKYNQNLQEIQQAHLEQLQLMDDQIQKLQDELVRRNQAIEFQKQEIVQ LDKIVNEMKVGEKNLMQQLEALKVKYQDLQEEKKREVNKLIQQNEQQVKSQEKEFLDEKE CLVHRISQLQYENNILNQQILEQQNLIQGLQDEIQSQVEQNCNLQEQNQLCSQDLDQKYR KSVSEIKMEYQQQINKLLQEIQKVNQQLDQTQKQLQENQQLNQELQISLENLNQHNQTTT SQLKQVQNEYDSLQLQYENDIQEQNQEIEQLNDQVNQLTELLEQRSNEYDE >CAK90478 pep:novel supercontig:GCA_000165425.1:CT868659:293082:295694:1 gene:GSPATT00023655001 transcript:CAK90478 MQQQVQQPVDKITEIYKELQFIQNESQGQYNKEKLMNQKILLFFGFTHQEVDAFYAHFSE TKVIEENEYLKMVRLQKQSKTSYIINTPYSLGNITNRQLCLKQYISRIILFKVLLQFKDC QYHIIVNFDNLQGETQQAQLNQIVDFGLFNFGKLTFKVEKVTLINKGINDQQTSFCLCKD IKKGVQDLRQVFKQQTLRYIFQQNVFYKPSIEKKREDFGPMSFFLVEIMDEFAVQLTKIL QNYQDKLLLLQQIPILQDEQDLNKGIISLLKNLNDVLTQSSQYSLEITFQLFKGINEVNE SHKKLINGCLSKLNTKLMETFLAEKEISIENLYLPQELVNTQQLEFINKMLKKYVENYFK QKNVSEIKNLSYNIKCWNSYSSLMVESIIQNFKQITKSIQPGPKEERDFGVFLFGKSRVG KSTLINILKNPESLTIEKRISELCYVMKNQFQTEFKIEHGCMSETQNITSMQIGDVWYYD CPGFDDNISQQIRIAHRISLYNYLRKTKKVIGFFLIDSSNRDAQIIKDTIDPIYLLLKDK NQLLQDNQKWASLVLVKSKENTRRDYIENWDEAYHKLLEGKYTFYREMYQNDNLCIEFPK PKKNLSQEQILQQNTHIQQKVLKRINEKKQNEDFQLNFDLQIDSKLFYLYEIVLSMLQKK IEQIVGLLENQINIYIQDCEDTLENKKQLIQSLKIFIGNEINQQNVKEVLKKILEWCKIN ELFKINSIFLQNTIDDVLQCLMIDDYCQMRKISPIKVDSSKLRKNADKIINIIQNIENIE LGLKATFIIGSIAAAVTSLGAALLAEGAGLAATEIIIAQIAQRVAIRAAITGVGGASAST ITYIAFIFKQNMLKQRYQSYLYEQDQRINT >CAK90479 pep:novel supercontig:GCA_000165425.1:CT868659:296428:296811:1 gene:GSPATT00023656001 transcript:CAK90479 MGATCKFRERDRDRDVLLYNSNSNLANQSQYLDNKLNQKYRMCSKSNKSRNATNSPITIE RPHFFIIYPKNENQLNDLVLQLQNLNRNNNYFIEEYSQMTSQQEESKYESNFALEGISEG SFNVFRI >CAK90480 pep:novel supercontig:GCA_000165425.1:CT868659:298135:298587:1 gene:GSPATT00023657001 transcript:CAK90480 MDQFQTCEGKLLFITYYLYKSNRIGIEQKSEIKGDIYNQFTDMLIAKDFRIQQVMQEIRN ETNVQKIERMLENINVRNINKRQPEEHSPRDIDDNLSHTYKQKRPKLFKFQKSNFSKFIE AKANEDTDTFSSNKQLEFRQRLYSLAADSC >CAK90481 pep:novel supercontig:GCA_000165425.1:CT868659:298618:299878:-1 gene:GSPATT00023658001 transcript:CAK90481 MSDIQEQTTPNERAKEISQAKTPENPDDIQKSKSEEPKYFYRLLLTQLTVILISTFLPTK RYFFYSYAHYPRSGITFDEYCSKYEKCRQSYYYYNSSYYYQDQNQYEVWKNSVAQDITVY SNLYYFSFFIYPALLVIQSVFRNCQLFKQRQKLFHYIQYPFLSLFIQVCTHQIKSSDYSN LFYHLRILLIYKIGIVGFFYFNQKCLQWQLETKSTTQRLVNGSFALICLKELLNCISQSH SSWIPHILFLLYTQVYLLHLNSNLFQENNKYAIQNRSVLQLTKQKIQSFFDVQDVYREVA QTYFVISKKIMYSSIGGIVLAIILRDQIFKLEKVLVLSGIFLILGWETSLVSTSSKLTNR DQYQAASLYFLDFMLPIRNIVMAFLA >CAK90482 pep:novel supercontig:GCA_000165425.1:CT868659:300047:303285:-1 gene:GSPATT00023659001 transcript:CAK90482 MGTACYSHQTRKQHLNDIRFGQQNLMFRMVISGIKVKNIQQQSAYVIVKVNEHLYKTTMI RYTKNPHWPQTFTFDLHIDPQSMQTQFFTLQILDDSDKVHYRIKYTIYEIASGPQHHDIL FSNKSSIIFDIKVAQRLHFKINTKHIRCILEEQLGDIAYSFLLKIKSQENESQSAISPKY MNPTYSQNDDVPYKYQHIKGGSQRHVSTKKALEAKNEILWEFRTEDSPSMEFDIFADDFQ ITTFVFVLYANKQTKLEEYKRIGSTYISFNKFFYDNTSQIAQTDTLLIQEALLNEQLISD GTYIGNWAGTFIIKSNQYVSQCSGIKTETGIVNQSTLLLKKAKSKNNTNKEVDWLIGLQI KVETLSQTMRDKKIKDSEKMKNEILSTLAENHNIKYQSIDDMLHSQSVQIKLWKYLLEQS SKQQTEMVKEICFTVLSELIYRDDMDLDHLSIDTQIERIHNAQLFVTLMIKVMPLCLQRV VDTSCSNKEHDFFVKFAVLSYFRVPQFKQALFPEIENLSIHKFYDLAFQNEKGQQLKKEL ESLKLEMPDKQTVHFYYPFVIQWVNFVQSKFGFNVNWQNIHGYDEIVSLLLSNIQLKNHK FIEASCAIINNSMLLSKFIHILFNQTKLYDAIQVFNTLNIVDVWLQIVNQNYHVLPTNFD YSFFFKGIKLILNGDHAVSISKSLQILYNNFHLITTEPKKELCDFLFSTDLFFKIFMHWS PLVRNTFIHLLIYRIQHRHRSLQSGFEKIRDINKELQYAFFKDYSQKERDQLINDMIYLK YSYIIQIIRKIYHQFQLYNGGKQYVQKVIQERSLKAKLIRKKAKEKKEQQILDDKQNEQD STTLKNSSRKSELPEISFQSQVSKAQSAIGFAEKPNNSMKSLDDGNQKVEDEQVPDNTPI QSEFYQSFSSFIDLNKILQEVELEQENEENRRRLPKKQSNRCLSPKNVTKQQDMKILTRK FPELEFESSGLKASNLKYLQIAYQEYTIVQKEYLRWINQMKSNQRNISQFDQVKDHQVPL LVIRVPKDESNQEAPQSDD >CAK90483 pep:novel supercontig:GCA_000165425.1:CT868659:303314:304405:-1 gene:GSPATT00023660001 transcript:CAK90483 MKIFALLLILVIVSGANHHHRLNYTKQRSITAVMAEVEMKIKSHSPLDAVLNVLTLFRDS VNEEQVNHDQIFNVELRECQAEYEFRNAEIQNAKSTLRDSNAQLSTCQYSKQRTIEQQQV NQQQENTFQQHLNTILTTAESESAYFKRRSRQYEDSLHAIDEALTILEGISFGYRSFSEL SKVSQRMLQTSFDINKTPIYAPIFNAFIQLATQGQSIDLSSLQQVEHLLKDLRSAIQEAY DQFTESNAQSVGLFNLQKEKVNKVLSRLQGQHERQQVKLDKFSACIGVQSAVSNSASSKQ QRNQQLLEQAQALCSTFQTEYNYGTQARRSEIQLVNQLEEMVKQRFEEVHEEKIMLGYSK LKY >CAK90484 pep:novel supercontig:GCA_000165425.1:CT868659:304664:305841:1 gene:GSPATT00023661001 transcript:CAK90484 MSNLQVQLVKKPDAKYPRVNDVFQVKTTSTPTATQLKDGEVLLENLYFSIDAAMRVWISG AKTYVDPVLPGNTMFGQAVSRVLASKSEKFQKGDYVIGIVNWTLYQITSDAKLHLVKRGG DIDDLTGYSLLGPLGISGLTAFVGFEAIGKPKEGETVVISAAAGAVGEIAVQLAKTYYKC KVIGIAGGPEKCDYVKKQLGAHDCIDYKNENLSKRLRELTPNGIHVYFDNVGGEMLDEIL MHITDHTRIILCGAIATYNQTGEPYKVKNYPRLIIKRAVMQGFLYFDHPELFKPGQATIT QMLKQGQMKIRYDVQNGLEQAPNGLAKLLLGQNNGKVVVKAKSDQPKL >CAK90485 pep:novel supercontig:GCA_000165425.1:CT868659:306488:308003:1 gene:GSPATT00023662001 transcript:CAK90485 MFSSIEILTKNERQNSRLGTQRKKFKLTGNSILNGEQPITHDFSKRRTHESDKGDHQGFL MKLGYSVSDDPNYQEYQKKNRGSSNSTDLQSGNRLTSRQSNSNSVATRVQSIELNNNYEN LNCPRTSVYNPRTIRKTFQSDNSDGGLENFSDHSHHISLDKTPKPNTSASFPKEKSSIIP TLIQYKFQRIRRCFQMIKALLRMKSLSNQKKTSWSLKQEILRRNQKSLKFNESLTMIKIK QWTQMVFSKMISFIQQKRLDKCKLNFIDNPESMTQLEKDQAIIVVSNIFTFAMSNLVIMT SCTNLINELQVMMYQEQFYDYRKQFSKFVSQRANYISSDYQVLTEQEKQIILSECVIINN LIPSLVKLTESLDVLKCNKPSIEFLIRSLISLIQYFFIQNFSNFPKIAMKKQKINFFQYQ LGKIDTSMIIVQNTQLKSDDMIFGTYNEQQLKDFISKENWSESNKSKMNQVANNLIRIF >CAK90486 pep:novel supercontig:GCA_000165425.1:CT868659:309092:310502:1 gene:GSPATT00023663001 transcript:CAK90486 MQTEKPTPKTEADYQAQAAQLSDAQLQEEINKLMKRAHELRNQCSREVNQKKHYEDSLES TKKRVGIMTTLPYLVSNVVEILDIEAEDKDQQDQSVTDGYATISGKGVVIKTTTRQTIFL PVTGLLNASQLKPAELIGVNKDGYMLYEKLPTEYDARVKTMEVDEKPQEDYTDIGGLDKQ IEELREAIVLPIVHKERFENIGIRPPKGVLMHGPPGTGKTMMARACAAQTKATFLKLAGP QLVQMFIGDGAKMVRDAFQLAQEKAPAIIFIDELDAIGTKRYDSDKNGDREVQRTMLELL NQLDGFSPDDRIKVIAATNRPDILDPALLRSGRLDRKIEFPLPNEEARAQILKIHSRKMS VAKETVNYVEIARSTDEFNGAQLKAVCVEAGMIALNRGGTFLIHEDFVEGIAVVQAKKKS SLNYYA >CAK90487 pep:novel supercontig:GCA_000165425.1:CT868659:310639:310952:1 gene:GSPATT00023664001 transcript:CAK90487 MEKQQISKSFTYIPTEEKQKDREKQLDVHQKQLLHPKLKWTAILLALLSVILYSIGAFIQ IHFEEDSTALYLIASICLLPLTYCLFKVCKKKEDL >CAK90488 pep:novel supercontig:GCA_000165425.1:CT868659:311781:316374:1 gene:GSPATT00023665001 transcript:CAK90488 MNRRMYDCGICQMRFEDITSYQNHKQNVNLVNNSNQFCNGTSFNGNFNEQSTTMNLGKSK VNVILGQQQEANQSSTMLLNNTTEQLELNEQEYKILRSRILERENNSNINMSQMMNNSLT YDKDQLSQLQESMNASVRVALFGQDQEREMILKELKDRTWKEREYLKDRDTIDREIKKAR DAQRVQELKQLGSDRDILFERYNNFIAQCNEILNSKSGLYKKDNLDRVIQLNKIKESIEQ DRMRIMSSVFSDLMNQTGHRSNDASKYAAQQILSDNSVQGAGASDIAQHWQFPDDQKVVE YDPQQIKYDQDMIEKNLQAATRLSQEIKMHMVGMDPALKRYAESNGYGSLESIFAEKSED YSSMTPEQKKLINLLAQETDAKRALERLPYGLDYQIMQSKVDKITQMRLELERTVQEQKY YKIRENYEQTVKDSDQERKQNIRDRLLHQMESWRGEKKYNPTEGLVIHWDWCLNVPKKYD RCRLTWGMFLRGQTLNKPQIVEDHMCISDGFRVNYCMFNEHQYVYDVIPNKDIIVVIELQ CYYQEGGRKRLDIYGWTVLEVFDINMNLIRGRFKLPFYPTGTNPSQLVSSDKPLKSVSNT LLFCRISFPFDNEYSKMEPLNPSTMTQEYYITGIHSRTIIKHDYDHVPQTIRKRGYNPLA FDELFNQKREIEPEEVEVVEVKEPEWQMMELGENRRGLYINIHELLNYFVKTKSKVRCFL TDVKRGVLKDEKDIPCSFETDPYEMNEQQLKSDYFGGPFVFIEEEYQFFVDLVTYAHNNR AWDDLYLIFQVFDYPPEKVEQEDDKKDNKSVVSEQSVTDVKPVGKRWYAFKLFENNILKI GRFSEFIYEPPIKKPPFDPFECRISNSQIDFSINLFDYNMGNLNEQMEKFKQLRQLKRKQ RGHGKPKIKPKKEKKEKQVAYDWQNLPLSDRPFIENMKQQYQDRPFDKGFGIDFYIDQAR FLPDNVTVCKIILTFMNTNLEKLYPPKSVLPDIAATTYSPTFNYKNELRSPHFDPTTIAF LTILTKDNTQKEVRIVGYCAINLFLSKATKMQPTNPLERDVVLMNGLYQLPIHCQHPAMI KPFNMNRVQKLDRLPCSTLLVRIFLAPMSDDGFKPLSINDFSQKDWEAKKVWQRMPPYGT GLYNTQFCPIRETEKLLYNIRVQRKDPKLVEIMERLLSMENIQQTMTAAQFLSWLDGALV RDAYTDLIELTFFAKYKGQVGFKVSLDGFHNVPDTDHPYVAIYSINPPGRLYLQQNQQQQ QNDINLANEIITCTSYNWESALQSPQFNEGYFKFKDIPFDKNTHLVFDIRRVKFSQRGTK SIVEKVGWTVLPIFTQNGFVKSGIYQVPVLAGEITFKIIEEFQRGEIWDQIDELTKLKKQ PLKYLDNMSIIVRLLDGHREGHFQTPFDHSRMIYSYIPKNRMSSYVYNAGVDAKLKTAKK LISIAPNKQQLNEFNEKISNALYQSLGLKPQDAVAAKLVQIENPNNQTNQDDQQIL >CAK90489 pep:novel supercontig:GCA_000165425.1:CT868659:316516:317088:-1 gene:GSPATT00023666001 transcript:CAK90489 MEFLQKLISIPKNVIMSLKYSQQKKQQIQVIEPIKFEEDCKIETIQIVEQQRVIKKKLIA DKQIQTELEFVRTIKNSEKKQVIKRNNNKQSYQFKKIEKCAQKQAQSPSEASDKTKPSQY SYCQNNLIDSFELDEKQQNLQYDRSCYTAQKKSVEQAHQRFLDIYFNKVRLQLEDKEKLI MKQNRVKSNN >CAK90490 pep:novel supercontig:GCA_000165425.1:CT868659:317210:320791:-1 gene:GSPATT00023667001 transcript:CAK90490 MLNQKCFMVNLLQSMILSYSIYHQLESSCLLNLIQLIIITSITLALILLQNVFNKFMLQG TIICIQLDMICLIIMNVHNFGRIPFSQIIMAQILIKDLYLEFKLRLQSQLLALQAIISIF LLVYSYVRIEFDREQPQLLISLMISIYFNSSLMKQKPSQITSILTEITNTNKQEMLNSKR GVSQFDLDQNPNSAGIRDTSALADNGISDSTIQCLELLKEGVILLVPDSNSSNFPYIIKY LNQATKTLFNRESEQEVLQFIDSLNTFQLVNGEANDDLLFVSQIQRQPSLYLFKQTTEIK DQTYLQQRNLSLDVSQGQEKSQKYKIKYIIDMLLKQKNQDCIVVQTQLNLRQTVFTNQQI QVSQTYINADNNQLLELTLTLSKNQNIIIICRDVTHRQKIRYLKEYDKQKSKMLSFVSHE YRSPLNCIIQMLEQVLKQTQIKNNPQINEQLQIALDNSNYILNLSNDLLDLAQIKNGKFK VEKVPFNLSTLIEECQKMFELKAKLRQVQLSTNYSSSLPQFIFQDRNRLKQIIVNLLSNA FKFTQSGKIKIMLEMVKSKNLRIGVRDEGIGISEEDQLNLFKAFSKVNSEESRKLNQQGV GLGLVISNQIVQNIGSAGLNIDSKNEKNNHYCHFYFDLLIDDFFKKKVSSFRIPEISLQP QEVDEINSFQKIPSNTKEDLSTQQICLHYLIVDDDCFNTFAFKGILQEFEFDVDQALSGS ESIKKIQNKKCCSTCSGYKIVFMDIEMPQMNGQQTTKIILKSFPNQIIIGCSGYTDQQEY EKCINSGMADFLVKPIKESYINDIYSIYFCFFILIITAIILKIHYYVFVKFNILVNRIFE LINMDKLPIGYVKGKGNPLDKKVPKNKQYDHVKQTLNTGPTVRDIEVVSNAKIAKKRSEL FKRIKCSTVFNFISENTEQETIYKLADQQQQAQEQLQQFDTQSQHSQMTRFTEVSQVSAI TYATEQLGITDQSEFLLLDLRDADEFELYHIKEAVNFPAPNLRQDKLTQQIHRFKNQKDK HIIIYHFDEKNGIPSATLFAEKGFENVYLLSGGIEKFLQNYPQGVIGIKVPSIPKPEENP NKIIKRSNYKEADSQINKSEKNISDTKSQISQKTKITRQSSQQKQQQSQYIDK >CAK90491 pep:novel supercontig:GCA_000165425.1:CT868659:322431:323176:-1 gene:GSPATT00023668001 transcript:CAK90491 MLNTNFNAYFVPHQLMYPYGYQYLIMPQQFSVQNQQQYYAQPQTQISENISSIEPNHSIE AIQHKTYSETESKPEQTSNQEEIKETNIQKKIKKNNLLKKSQKPNYLVKSTNIQKNYAKA IVSFACRQRNLIYQILGETGAQEFLKLMNRLRNKLRNIAHITRYTHQENFLQMFRILGNN FLRKDSVSYIYNSKIQQKSCHVANKAIVKNSVLKY >CAK90492 pep:novel supercontig:GCA_000165425.1:CT868659:323641:324774:-1 gene:GSPATT00023669001 transcript:CAK90492 MEQQLQILFQRLEEFKQDQNEYWEEVKQLSDETDENQLPVILENEEDCNSSEILTILNQI MTLVNHLREGEIKVIQCFKQIIERYKYELKQLALELKETQQYNKEMKSISIQTDQDQYEK MYFQERQRYSQLEKKFEGLKRLDESSFKQDVMIELATLKNKIQILTETSTEAETLLIKKD QQVKEYQKQLQKCQKELTQKRAELSSLNDQLKSKDINLQMSQNMNRTYETELQKVSRKNS GSLKRPTKCYETLTLEIQELTYNNSQLKQQLLFTQRRLKELASELQAKEQQLVEVLNQID EIKQHMQQTNKEDELKEAMEYLELKDIEIDRLHRELDNYKRNVKDNPQIRDLQNLLLVMS RNLQEKEQQLLRYQQYN >CAK90493 pep:novel supercontig:GCA_000165425.1:CT868659:324785:325153:1 gene:GSPATT00023670001 transcript:CAK90493 MAQNFHGNLPKEFEGFLHEVKSVVQARQQALNENIQQEQRKCIEGKKEQDFLKCQTLLAK KLEKNEALFQFKMIYWRETSVQCFKAQEQKGAGTDQCKADSKKLLETVFDSFKI >CAK90494 pep:novel supercontig:GCA_000165425.1:CT868659:325180:326968:-1 gene:GSPATT00023671001 transcript:CAK90494 MIIFLLGIVTVFGDNIKNLSDYAIILNQTQEIKHYDLEINEEAVLFQYPQGSILKSSITS DNKTTLCGVNHDYISITTNISKQIIKQDQEMYTTLSDQIIAAINKKTTMGFVTEQNNYIE FDFISLDIKNTMVLNSHMAQTQIYQSGIYSIETNLTILFYTKTVLILDDTNNVVSQNITQ MEINEVLSSLGYLYVATKKGLMIYKIDPTNKAIIFNQTIEDKQHIIDIALGGENGEILYM LKNDGIHIYQITKDGLLTKHPNLSIIPIDYGIAFDQDNDESLSILIRPQKHVIFVDIEIN LDKGVWLLESQHKLKINAEEVYINGKYAILRGVDTHNIIRHSLPNIFTVSIQSYTQRIFN NYPFMLSNAVLLGFHELNIEHQDDTVHNWYKNSTKNILYGVDPNQIVLYQWQNFEGQISC YTEDETLIGQTFVYDVQATLSECDQKNEYKDDIVSKDLLVCQFQTKLYIKVLEDIQSYQQ NILIIICAALGGALILSILVFACYRRKTQSQLIQFKEQIKKYEKFQGEGSESHGIRQDTP HPPAHQIVNNFKIDDDDQ >CAK90495 pep:novel supercontig:GCA_000165425.1:CT868659:326980:328181:1 gene:GSPATT00023672001 transcript:CAK90495 MKKNTINPSKQNECIFDLLPEASPTLQTEFKKQQPIKQETSIINTQDQTDKYGFKSQKEL KQKNDPKTLNARIQKWRITIDLFDKQEKPDKKLLKNRTRKGIPDGFRNLAWPYLAGVPQA KIDSIIYLKCGKYEEFLKSQEFNFEHQIRLDVLRTFPDNVNFQDQTVLSESLVNVLKALS VAISDMGYCQGLNFLTAALIMVTNDENAFWILFRLMTKYNQAEKYKNPSSLLREFFILDC LINQYYPTTAKILKKNNIDLFYFATEWFITLFASTLPIDLFYRVFEIFLLEGEKTLFRCA LAIIHFKEQKLIQLQNNFEISLRHLKSLDDFFTIDNDQFINVMFNKFKFSRQLIQQLEEK YKKQKKK >CAK90496 pep:novel supercontig:GCA_000165425.1:CT868659:328239:330068:-1 gene:GSPATT00023673001 transcript:CAK90496 MQQMEEEEQQEQLQNLGSLFVFQRIRETQRNYGLQHGDYQRYRTYCYNKISKLRHQMQFT HGKRFQKKVIQDVVKNDPRVLQVLLYQAEKNWAHAMTLKQLINSGVNKKINKRQVKVYLV KKFKRAIQYSKQLTTICEQRTEKRTSLESEAYNWYLQGLYHFETEKWERALESFAKCYTI YEQIIKVCDQFSSGVYQERLEQLNQQIRYCNAKAKKLATLSADELTKMLKDQSDPIMIAK FQEMLEEQRQAKMTQQQGSFEIEYQDSKLPIKNEKVVKLILKIQESKLIGDLDSFTTLFS LYDEASKYVKIDKDQSTSEQEKEIHSKVLGYINYQRQSATLDRNEMHIKQYTEKFIKEDG VNNLQNLQTKKALKLKLTTPQEIIKYCDNYSQILRQIMDEERFNKDITLFKILDAKEFFC KALRCFFVGCLYFTNEKYREAYSLLKYHDDLTRMADRKYIENKLEPHGLLKLLVPLSTQI QNKSKLNGLKLQQDQVKTIGQEIQNIGLEDKQNQKTLFELLDSPEQITLDNVLSYKPADL ISLMQPIPPKPIQLDIAHSYLTYPTLEEPKKGGFWGKLNIFKKQ >CAK90497 pep:novel supercontig:GCA_000165425.1:CT868659:330068:330632:-1 gene:GSPATT00023674001 transcript:CAK90497 MDSQFESFPIQDNQQDIQEMILRQLQQQAFTNYISSTQTKERILLNENSRLKEDNQKLQE KANMLEIKQEELVNEIQDLRLLVKRVYNEGEIHAEKLRMKNMELVQQNETLSKGLENLQK NMESFSSIKQISEFYENVGELEDVYQDNN >CAK90498 pep:novel supercontig:GCA_000165425.1:CT868659:330669:332301:-1 gene:GSPATT00023675001 transcript:CAK90498 MKLSIQRSLQLQKREWQEQSHSPSIDIHQNVPSEILNETSSPFSLPIFLMPLIYGTFIFI LMIQMHQQQQPQKDPVSASATLKTLQENLASSPILDIQNTIEINRLHRHYQSCPYGFEIT TIGIWEGINSGCLCSNGELKERSYCYTHFKSDCQSVLYYKRQQFQYWKGEMYCVEFAQKW KWVGNQDCPHDYYKCANGICISSSNPKCPITDLIETKSQTEKQIKIGSKYFNKYRNGSTP LINFQIVPGVSPNSMCLNSQVQPKFQSGKYYPLNIVPEKGCDKYGNTFRLLVQIVYDDND FTNFQTIPYFLDYIDSMDTYTLQIMSRITINSTHPECNFVDPNSIKTLRLQGETIIAYSH YVGKISLVLTTVLLITSLLFYLLRDVTFISVDFTKFQHIEYQLVISFILSMSNIALGIIY YTQADGLKGIDGQNRIYHEYQKYNCFIDEGITNAFKEVILFAEHSYLNTEPWVKGCFYGS TCFIVIIAILLFLQYQKVQHFFVKPWKVKLN >CAK90499 pep:novel supercontig:GCA_000165425.1:CT868659:332520:334446:1 gene:GSPATT00023676001 transcript:CAK90499 MQKSHFKNLKDLLQNKYSYQEAKPNSRTSSIAEVLQNLKKNKDSTPVSSMKEEIINFTKA IGFGIQAPPSKSKSKEKQALPKSIEKKPILNNIAPQSKGNSRNNSSQSRNTSAHSIVVEN TNRSIARDPKIPKGFQTGRLRERQEMNSIVEQQKIKILNQSQDQQKQSLNNSNVSKQGTI DLVNLLKQQQNQKQQQTNETINESKTFGEEFCGLNKGHFIYNYVIGKGGFGKVWKVELKK NRQLFAMKEMMKSKIIAKRSINSVMNEKELLSQLRHPFLVNMCYAFQDRDNLYLIMDLLT GGDLRFHIGKMKRFKEHQTKFFIACIVSGLEYLHNNNIIHRDIKPENIVLDKRGYARITD LGIARKVRPDNSQDTSGTPGYMAPEVMCRQNHGIAVDYFALGVIAYEFMIGKRPYNGKSR KEIRDQILAKQASIKKEDLPNGWSIEAMDFVNKLLQRKPQNRLGFNGPSEVKNHPWLKGV PWEKLYHKTIEAPYVPINVEDMYRQQISDDGEESQDELIKENQLLLRKNSVQNLFAGYGY DCNQDPTYKGTRSTASTHNTSQQNETIKF >CAK90500 pep:novel supercontig:GCA_000165425.1:CT868659:335406:336521:-1 gene:GSPATT00023677001 transcript:CAK90500 MGQCVCSEQITVLSEAPPLNFTSNIETIREGAAITDEPMIQGDLEKNSSQTKTRQTEDSL LLEIHRPDIKEASLTSQLFQTSSFKVKELLDRLGPYEVEETDAYFYGVYELNNGSLYKGG WLEGQKWSKGVQIMKNGSIYEGQFSRGLANGKGRMIYADGDYYIGEWADDQHHGYGEYYH GDGAMYKGNWFENLQNGYGFELFSDKSSYTGQFKLGKRDGQGVYKFPDGSLYEGWFKNNQ FNGQGIYYWHDGRKYEGEWLNDQMDGKGKMTWSNGTVYEGEYKNDKKHGFGTITWPDSRQ YSGQWEMGKQHGIGEYVNCQQGKRKGQWINGKRIQWCD >CAK90501 pep:novel supercontig:GCA_000165425.1:CT868659:336559:338444:-1 gene:GSPATT00023678001 transcript:CAK90501 MSMQLTQKPATSYQVRQSSATPLQRHHNNPNYMSCQKMRMSIIDQLSPIKDLPVNQSQRS SFYQVRGKSGSTTTLMPNKTQPNRLLDSTSFNTTLNYTQESNFDKKGSTIPKGMKINNYE ILKDQLRFAICQLEDLKKSFDKAVQSQFDQVIQVIKSSVQLSNSLVDDNNQLRQQQHSQQ SNSEYDKLKLIMEQLQQKITVLVNDNSKLNHQINIQQEENNKLVQQISESDRRYQDLLLS SQNRLSKENMNPNISQYKHINTHPNSSNTKNNYTQRELSYKLEQAEIKLLTLQISNDNLR QQLQHTQLDHQTFQQLKETISSLEIKSESLLNQKDALQQENDLLNQKIQSLETQLKDQSQ KTEYIIYINQLENDLKQARQDKNNNHQTITSLSNTVSQLKEQISILSIQKDQSENSYIQQ VQFQQNELQQANSRYQLLRQEIFEMRDRLEQRQMQEDSHNMLSNAEKALFETQIQNLNDK IKDYEQMLLHYEQKSRQSIHLCRQLESQVCQNQLEMDNYKRQLEQMNNYNNNSLEIQELQ WKMNQLNQIIQRKDKECQIKIDELQNTKSAFEQQVKKNQNLEMRILYFMEQEVKANGNLI RKQ >CAK90502 pep:novel supercontig:GCA_000165425.1:CT868659:338444:339600:-1 gene:GSPATT00023679001 transcript:CAK90502 MRLALCLLVILAVASATPKFNLDYSKKRSMTAVMAEVEAKLKNKSPLDAILNVLRDFRDA VNTEQVNHDEIYNVQVTECDSENAFRRAEVTDASNVLRDSTAALNVAQTSKIRATNQQEV NQQQYFSAQEHLNSVLSAAETEAGYFKRRGRDYEDALHAIDEASDILAAIYSGSGSFAEI SRVSKSMLQTAFNIKETAKFAPVFYAFSQLAAQEGQLDESALERVAQLLETLRGNIQEAY NDFTESNAVSVAAFNDQKDRIGQTIARLEAQNERLQNKLDSLNQQIGTQSAIAQTASGKL QRNQQLWDQAQALCSTFANEYNYATQARRNELQLVAELEEMVEARFNQVEDENHERNQRL ANQA >CAK90503 pep:novel supercontig:GCA_000165425.1:CT868659:339713:340087:-1 gene:GSPATT00023680001 transcript:CAK90503 MFKQNPILNLFSDDFDISSFFCQNRQLNKLKRQDIVKKTDQSYDYRLKRMIIDCGNKCGI KYLRPNKNQITFMKIRNCSIMLKQSGNSELPNMNQRTRNKSVQPSRIEQQFEIGAWTKES WSSV >CAK90504 pep:novel supercontig:GCA_000165425.1:CT868659:340153:340734:-1 gene:GSPATT00023681001 transcript:CAK90504 MYQDNQDEDDKLKNDIEKFRNLLDEEVEGNHKSEYLSKCQQMSENIKKEIQKRIKKGDEN QIMKLMKYYSQQNKRLQEMEYNNVDEDTSLLHDDHQVEVQLFQDNVTQRKQRLQNVHKSM ETVKNIYEKIHEVATQQVDQMFTIEENYTYAENKTEKASQELIKAQQSLKTKIGYRIVII CILIVIVGLMFIK >CAK90505 pep:novel supercontig:GCA_000165425.1:CT868659:341870:343348:-1 gene:GSPATT00023682001 transcript:CAK90505 MSITLYFNPYSHRCLSVKTVLLLTKSDFNEKIIDVLKGENVKQAFTNINPNQTVPAITEG FFSLFESHAIIKYICINKPDYKLYPNTLQQKALVDSYLDWHQNEFTKLLDYSKECYLQPL LIGNKIPENRVSRLVDVEEVLVFFTKTFLNNGQYNYIYDQPSFTLADIRAVCDLTSLFIC NFDFEKFPILEQYIWRMFKITDLYQSHKEYFNLIQKQKYKNQFIQSILTKQIKQEQITLY FYPLSSPSRAVRSLFLMAKIEYNEKVIDILKSENKSDNYTQINPNQTVPCIKQGTFTLFE SHAILKYICEQYMLLDFYPQENLRLKAQIDSYLDFHLSEMRQITEFVMSSQKNQNEQELK DKQKNIDQLLQFFVKIFLNEGKYKYIYNHKTISIADLSAVNEILFLVMINYEFNNVPQIQ KYIANIIENSQVKQSNKEYFSTISSNQHNNLNQFNKQIITTTKKRGCC >CAK90506 pep:novel supercontig:GCA_000165425.1:CT868659:343422:344453:1 gene:GSPATT00023683001 transcript:CAK90506 MKYQSLSNDIKRVKQIEKNKEDQLNKLITNIGQEIQQNIDDPYKYLIKRNYSIEDRTIKK RDNIQQRRPQGSMSIAEIWTKKMKKQCDKILEEMKKKQNKQILDLSKQQSIDNRQIEESM QSPQIASVIIEQLGLKALMGKSKHKNKNKQIAQSPHQKQLSQSQIVNQSPDPIRNRFASN FNFEPIQYSPIKQYHHNSQSTSSSTKNLINSNFRKYSINNEIKREVNKCINECDSLIQNY KDVDKKLKQPQKSRLDIFVEKQRKETLEELIFIERNKPKKIY >CAK90507 pep:novel supercontig:GCA_000165425.1:CT868659:345030:346190:1 gene:GSPATT00023684001 transcript:CAK90507 MYSLGLMLLMVNLALGQDFTALDDYVELQIEQKRFPGAVIGIQDGNYQYTFKYGRTEYPG DPEDQALLKTSIFDLASVSKVVGCTTAAMLLWEQGRLNVEESVQYYVPEFPHPTVRIRHL LLHNSGLPADAPLGNRIWTKEEVLDWLYTKSTLLSTPGQKYLYSDLSMVTLQQVIERITE TTLDVYLDENIFKPLGMQSTMFNPSADLKYRIPPTQRDEVVRKRLIWGEVHDPTAFYLEG VSGNAGLFSTVYDLLKFMQMMLGKNSLFKYETLLYWTKVEEGLPYANSRALGWDTVPIQT YPPCGSKFSKNSFGHTGYTGTSVWADRDTDLIVVILTNRVYPDDKTSVVQFRYEVTNIIV DILKKQHELTQ >CAK90508 pep:novel supercontig:GCA_000165425.1:CT868659:346318:346924:1 gene:GSPATT00023685001 transcript:CAK90508 MQSMMKTPTKPIPSKTVQSFNIAYPTAATAIQFNPVPGPGTYNPSKPKRNNKINFSASQR QPLISKQNSQLGPGSFEPKKISATSVKIGTSIRPPLAIPTSAPLPGKYEYQSKIIESQGI VFKGSSYDPIIKEIHSRPGPGIYNPEKKSSSPQYLFPKSQRHDFTKQKRGIPGPIYEIEE KPQTPQYSFGKCKR >CAK90509 pep:novel supercontig:GCA_000165425.1:CT868659:346997:347373:-1 gene:GSPATT00023686001 transcript:CAK90509 MSYQFKNSQWQARKKELKSRRQSQSRKFNNIKAQVQINNSAFNCNNNYISDLSIEAPPSL KPAKRYCDVTGFEAKYKDPVTQLYYCDSIVFNYIRNCPKASAETYLNIRGCTQKLIS >CAK90510 pep:novel supercontig:GCA_000165425.1:CT868659:347562:348593:-1 gene:GSPATT00023687001 transcript:CAK90510 MQQHNPIQIHVEDTNAEATMAKISAIKHKYFQDEFSEEFVKGTSKKDVLIHRGYWCRFNI FHRIVSTYISKQQKCNVISLGSGYDTLPYIMWQTYQNKEFTFVEVDLPVVVDRKIKKLKE SNKLKTLLGEYIISQNKLSAKSENKNYLLFGEDLCNTEQLHQSLQSLDFTIPTLVYAECV LTYIKSEATTRLLDGLTKWFPHLTFLNYEMFNPSDQFGKMMVRNFEYRGCPLVGIDAFPS LQAHKDRLNQWFNYVEIYDMKTLYQIATDPEERKRIEKLELMDEWEEWNIMQSHYLVSLA NKDQTELIVNLKV >CAK90511 pep:novel supercontig:GCA_000165425.1:CT868659:348598:349440:-1 gene:GSPATT00023688001 transcript:CAK90511 MNKSKFILIRHAESEYNLAARLAVNSSKEVTNFKEESLKIKQDESLIDCGLTQYGIQQCL ESATKMSSFKVDIVLVSPLRRAIQTAHYLFRDHPNKPKFIVVPFLREMLSSSCDIGGNLT TTMNEYSQFDFSRTLNTEFLQQYPNMWTMEYLWNQEQKQQMKQYLLQQQCDDLNSRGPKH ILDYLFQYPPDSVVETFMDTMNRIQQAKLELSFYRNQNVVCVTHSRFLQTISASQFDAEG KPKDGTWIKNCDYIEVDI >CAK90512 pep:novel supercontig:GCA_000165425.1:CT868659:350027:351163:-1 gene:GSPATT00023689001 transcript:CAK90512 MMKNAQKNKHFINLLLIGMVIIGTLNTLVYKYQNTTIIDGVSFIHPYMQGLCMFIGEAIC LVFYFLFNMKVEEDPNKQNGGFRRLAIPALFDVITSSLQNVALNFIPSSIFQMMRGGLMI VTAAFSKFVLKKKLSLQQSLGILLAILGIFIVGLSNFIYREQSKSDFSWEIKLISILLII LSLFTQATSYIYEEKLFLQYNYHVFYVVGMEGMWGILTLGILIPILNFIPCNFRDGCVYR NDKGYFESTDLFFQQLGSDLWLTLSVILGIFSITLYNIFGVNVTKHASSLTRSVVDTLRT IFIWAIGLVVTATTSRVWENTSYLANLIELIGFSILVLGNLIYKEIFIIKYLQSKNQVLL EED >CAK90513 pep:novel supercontig:GCA_000165425.1:CT868659:353013:354520:-1 gene:GSPATT00023690001 transcript:CAK90513 MELEKKCGEYIILGTLGKGGFSKVKLAEKDGQYVAIKIFKKDKDTAQNIMTLANEVNILK LILHPNIIKLIDFSDALPYRKRNGQIVNRICIILEYAQGGEFFDYIKNFGCLSEEITRFY FKQLLVSMIFMANKGICHRDLKLENLLLDQNFNLKVADFGLAAFLHPQNSVIGTKCMYCE NLEYAAPESLQNIPYDGTKADIYSAGMILHILVTGSPPNNEAVFKQKLKQYLLKRNENLN RNQILQRDQQIQEDQIILENQTISEDLINLICGMLVLNPNNRFSLDQCMQQPWTNGPMAT EQQIQKELQRRYPKTQGEKTDFFMKRQAQRIKDEFRSSDESKVQECYSQIIEQYQLNFQE RVLTKGRELGFPNEILIYHNPKVVFCFLLKECSRFSSKVSSVHNKKYYIDFQTEDEIDET IKFSVQILDCDNEMIKLNIYKISGDYLGFKEIVNRIEQSINNIGQVNI >CAK90514 pep:novel supercontig:GCA_000165425.1:CT868659:355637:358283:1 gene:GSPATT00023691001 transcript:CAK90514 MQCTYHPQNSITVICIAPHICQRKLCAECQYDHNVDLKKIIPINIFRQLMIKKFQDCKIG GTLEFQDMKNSSKQRLSNSENEFKSLLGHLTEQIKQTQEMLEKQEESYLDLIYQNENFVE SSITVLQQLVQILNGSILDEWNDKKKSYVSKMKLALDQFDVQVQICFEKLKEEMKIIQQI IQMDNDEKFKWQEGIQEYKCRQWDYYGQKFINTKFLIKFTKEKEIQYIRDGSILRSQNIK NIYVKPEVLSNLEQIQYLRWFGKYNYNNQNVGKWIATWRGEGLRDVGGQYSDDGKKKGLW KELIPDYWNKTYSQGSGVYMDGKRRQTWKYIYKDQELCGGEYNENGEKNGNWKEFWQGFW EKSFVINKGEYRNGKKIGKWEMLYKPEDDNQYKKIGGGQYDLEGSEIKTGEWNELREGFW DKSQVIYSGVYDNGKKVGKWEIFYKQQKIGGGEYDKGNGIQIGEWIELSEGFWDRSQVTY SGNYQNGKKAGRWNIQNQTKQIGGGSYHEAGSGIKVGQWTEIREGFWDRSQVTDKGEYKE GKKIGQWDTYYENKQIAGGKYDEQGNQHKVGQWVDLSDGFYEDQQVTYCGEYKNNKKVGK WETSYQNKKIGGGQYDLEGEGFKIGQWIDLNDAFQYYSQVSYNGEYQNGKKTGRWDINYQ GTKIGGGSYDEKGDGTQVGQWIELDDGFWEKSQITYVGMYKNGRKISKWEILHKGTKIGG GYYDGDNGLKLGKWTELKDGFYYMSQIAYIGEYESGKKFGTWVEMDLTRNQKLNEYKFYR >CAK77055 pep:novel supercontig:GCA_000165425.1:CT868260:4858:5618:1 gene:GSPATT00039189001 transcript:CAK77055 MFKKKDLKTIWIWEIETLEINDNPSENKLLKILENPKVKKSDQNQSEHQNVLDLLIDIFN EGQEESERNRQQIERELLEGLNLACYEPKYIRPIEGINFLPYTSINQDRGPVNLKGSLPK EFFVDLLLQVNQEPLLMKTIGRYKNIMEERSKGLEQLWINEVELDYRSFLVRLYAQDKAT KKQYVGSRLKGERPQMWTRPRNMRRKGDDQSQLLPYDDSQNNGYMNRIVDAQMQRYLILT K >CAK77056 pep:novel supercontig:GCA_000165425.1:CT868260:5940:6544:1 gene:GSPATT00039190001 transcript:CAK77056 MLSSRILKRGNQKVKSRQKGFKKFKRYFCQVKYQCNVLLEYRVTLMGLMATKQSITENCL VFATLEGFRRLILPKLVLTSFKTPMHVDFAKTIGQKPYSGNGKWKSLGIVETQVDLLSQV LITQQNFRMELTIQGLMQRSVKPCCQYGLCDIHPNNLKKDICDLNGYWGESQKTKKGEWA QIMKSMFNQEN >CAK72124 pep:novel supercontig:GCA_000165425.1:CT868116:7791:9448:-1 gene:GSPATT00038741001 transcript:CAK72124 MDLYELMKLVRLLQNLFKQLYYANPRSQLVLLIISLLSQDLPIYCSAKKHLKIAKSNEQD HQLAYGLSASYCINNVMHQPKMLKQQAQFLQDNSLLFKSFNCVYKQMDTQESMHFQQCLQ RMHEQISNCNKLAQINCTIGSKVSGGDCNWDGLNYVDKTCTNFIKITHNKCQYLLDQCAV NNGQMNCQTWQNSCSSYSIQDNCVITSQIKKCIWIATALRNTICAHFHNTYNSDQECQSH PVSDDTCTVVYKIGGLGFVLKTKLIVRIIQHKSNVIKTISNYAGVDDCKWSMEKCYSLST FAAGACWNIQNWMHKTGLLQKKCSRFNIHRLLSIGYNMSSKKKRLLNVLLVNLLVNGEQS ASCFRFTDGLCVRNSAVPPTCQSVAQASDYALQTGLTGLDHSKCQAYNSLCTSVSDGTGC QIISKVVQVILKQILVQLLRQVINAYIMVVLESLQLPRQITQQYQQDLKLTLMDMLQLEV VLHTKKKYPGIDLDAQNHQLENVIYTQLLLGSVLSELHDK >CAK72125 pep:novel supercontig:GCA_000165425.1:CT868116:9453:9746:-1 gene:GSPATT00038742001 transcript:CAK72125 MNTFIVNSRETCENLLQVTICDKFLNNQPCIWKGKVCVLTSSSITARTDCQNYLSICTLT NPGSGYVVLPLKCETITIEAACQIKMNGQLC >CAK72126 pep:novel supercontig:GCA_000165425.1:CT868116:9785:10018:-1 gene:GSPATT00038743001 transcript:CAK72126 MYPNIRFQLLHTSFSMVVKQLKYSLGGCIINTTYERLRQECVWFDLLLGVNKHKWKRQDM FECTINYKQYIILIQFK >CAK71760 pep:novel supercontig:GCA_000165425.1:CT868106:2:390:1 gene:GSPATT00038685001 transcript:CAK71760 QEERDWGYVVRREYRYDVTYSSLVDGWACAAAVSMVRMFQTKRFSWAPYFVVWPIAYLYF QPIKFLKHNKKYFDMCNLGETFYLGRERNKVLAECNRILDREDF >CAK71761 pep:novel supercontig:GCA_000165425.1:CT868106:480:1555:1 gene:GSPATT00038686001 transcript:CAK71761 MFNYLNVIQLIIISINMFTGLMRAGLTITRKKNPIDNYIFLMFFFAILTLTAEIINLFLC KQTVEMHLVCVGTWITFSLTLQQSLAFMAILQFLTFFLLQTQSKEFLDEMKKSQNQFIFF AILAPIIFNLLNLFVHDSQYRIFYPPNNRFQVIVLFAPLTFLLIIISIFTGLSIKMLRTS SQDLTIQFRKNFIKTLKVALFTQLICYTPFLLIVIAAQFTNQLNFYYNILLALINTQILQ YNYLFGLNPYIQKIQVLKMSKLLGIAASDIEESEQYSYAHTQTQTFTETQLTNNVRISAT PSKVAEMAISRDSCNIKSQTQKQPKILGSEKQKLTTVEMKQKAKTHVSN >CAK71762 pep:novel supercontig:GCA_000165425.1:CT868106:1606:2228:1 gene:GSPATT00038687001 transcript:CAK71762 MSLVNITNIVIDDKPQPFDSPINIDIFFDVIVDIEDEIEWMLLFIGSPKDEQHDQILDQF SMGPLQAGAKHFTLECNPPDWQKIPQNELLGITAFILTCSYREKEFFRVGYYVYTTYTSQ ENIENDPPKIIIEDISRQIFNNKPRITRFEIDWKGNNQNQTTSEQIDNKQFMFQEQQEKQ SADVTEVEDPQLIQNVFDS >CAK71763 pep:novel supercontig:GCA_000165425.1:CT868106:2760:2927:1 gene:GSPATT00038688001 transcript:CAK71763 MKQIYQRKKISTRFKLYIQMYSDYITTNFVFNLYNDKLEFPLNSSVLYVEFYLRN >CAK71764 pep:novel supercontig:GCA_000165425.1:CT868106:3004:3577:-1 gene:GSPATT00038689001 transcript:CAK71764 MRRSSYINTLTNNWCQAEADTDPNIIDSKSPSIKKKRQGRYKNVPYTFGRHFRNWIVTEV DSIRCPVVQKFISKRKTNPRYHDSFKDFNELFQHSGIGRQLGQIFFGQKKWVQYLLENER VDGLQIYFEVESTYYEAALKGAKITEQKVRNL >CAK71765 pep:novel supercontig:GCA_000165425.1:CT868106:3823:5450:1 gene:GSPATT00038690001 transcript:CAK71765 MFDQQFVEHRKSKQEQVVNTKSRHLIVMNQLKKKEENWNNRFYVQNQNKNESVEKQKPKY FNNAAKLIEVQDYYNIPEFHRQLFLMCLQTLPKNTQAIQFEIEEMQQKKSHIQVLEYLIN KLCMQAVEAREKCLAFLINHIQQFQDCPGDEQLLQKSAELITHLRILSINVVEQILGWRQ YLMKFLVNIHSHESISLPYLYQRENYLIKMRKDISYITNSILSNYYQFSVKPDPFFVAIT KPAEDSSKIVQFISKPLLKRIKNCEVIIQEETSSIAKDDKSIYNQANSRDQIKMSPEKPI QPGRIRPPKRQEQSQNQKRIIYKQHDQNEIISTIPKVVIARQPNKQGSATPQKQNSIKVT TNNDSNNKQHAQVSLPLSEQKHQNSLHTAGPIADQQNGIPEEDDTLKVKKCKLTDEKVID HLNNINEDFKKSWRGEIQLMQNQYNQQDDSFFDGFGIVLSRSVFIRKKNSVKSLFNGRSP IISGVAQSYYLIYLGN >CAK71766 pep:novel supercontig:GCA_000165425.1:CT868106:5472:6539:1 gene:GSPATT00038691001 transcript:CAK71766 MALYHYNQNDSFQANKEITTKLKELGFKWKVVQSVNSETRFTVMAIRRPSDIDQPKQFDP IFLQHLYLTCDSNTIQNTDAFTSLYCLTTLNTKIDLDNETISSHKDNLIKTEFGFRGIKL QEHSSDDFNAYIKQYFEGFEQLNLSNSEVSLSSDKVISSFCKECFKWAKCRLAQHQRLIY NGFPSVSNAETAKVFMSDSGNLKVYLISSDQSSTSIFVFEYNEEITMQKVQSILNQCGVQ VPIDQNLYVPQFIVNSKVRFSDNVIGLGRFSTQYRPKMVDVQNAEGYIIKPPFVFGILNE DFNEITGMPNLFFKVQDTHCIQL >CAK71767 pep:novel supercontig:GCA_000165425.1:CT868106:6684:8359:1 gene:GSPATT00038692001 transcript:CAK71767 MDYGYYCSILDHTNEPIIGFCFYQECDKPKQICFNCFSQLHQRHQNDCLRFDKIALMISD SVKILDNLEKQEQNKMENMINLFKKNLKFISSEKAKLAQLLQQLNNQDCSQQWRNVTLNK YVKNNKYWYKFHFNSLGLQLEEIKKFERSLDQFFQPYLKADTIFISTSEPQAQIGSIERQ NSNRSQESPTQPQGLKSESLAVECLTSAVDLYKEDNYQDALNFSNQAIKINSNLEQAYLI KGLCLVHLEQFEEAVDSLNDCLRLNLKIETVYYHKGYSLFVLKQFDEAIECFDRALELKA NPDFYLKKAQALMSQEKYNQALESVNRALDIKTKKEYLHLKGAILHKLGNSQEELNCYLA AHGLDPNSSSINHNIGVALHKLERYQEALQYFDAALAVEPDSPDTLNQKGITLLASQSYQ KALECFDSALAYKEKPEYLTNKAKTLNFLKRQKEALDIFEYVSKNYGE >CAK71768 pep:novel supercontig:GCA_000165425.1:CT868106:8366:9340:-1 gene:GSPATT00038693001 transcript:CAK71768 MNNIGQPNDLQFIKRKSPYLLKEIPQKLVKISMMSQTQFKDFLESLSLNFTQLSHNLQQM LTLYNVQIFHENDDDQLMTDSDTDAFTKFQRDWLIKTICKVGVNHQFWPEIAQVLNKSLS EVKAFWITLQDNWTNELDNKLTQFRNQQLNWLEIALRLDKNKTNCKHRWNNVLDPSLCKS PFTIQDDILLLQKAIQLSFDWKKISKIVQPKRSQTDLISRFIKFIILIQQYRQSHPKSPI YNCSNSLNTIKIPCGFCLKNNQIRSRRLLQNMKLFSILDLNLLDAMALQFCLVKQDQIFF VTLEYMQQLVNLKLNQRKLLQFMK >CAK71769 pep:novel supercontig:GCA_000165425.1:CT868106:9347:11114:-1 gene:GSPATT00038694001 transcript:CAK71769 MSESNSTLFYAGITLASGLITDFLINRLNKKDVAEEPKPLRRSFINSKQAPVPEALLNSE NPLLDEIKFLNSATCDIERVNHLSLGLDDEFPNIKSPLLGEGNLRSVFGGEGFLPDDAFV YCGSYVINTAVFGPGKRIEQTKKWLRAGPRKSLFFDPKTVKAAIVTCGGLQNYICPCITT MVFKIFMESSTDTRDFILMIGLSLMQTMLKTFIILGGTILGSSRGGFDLNKIVEAIVNHG INQVFCLGGDGTHGGVLELFKELRKRKLKISIVGIPKTIDNDIAIIDESFGFETAVEEAI NAIRSAYVEANCAENGVGLVRLMGRNAGFIAMSACNASRDAHVCLIPEFRFELYGERGLL EYCYQRLKKKGTLVLVIAEGAGDAMLDYKVNVLETDASGNKKPQDVGVIVKDELTKYCKN KAMSITLKHIDPTYMIRTVPANPHDKIMCTQLAQNAVHGAMAGFSGFTVGHVNNRLAYIP IEELLSGKYSNRVVADSREWQRLLASTGQPSFLNNEEQMIQQKQQQQI >CAK71770 pep:novel supercontig:GCA_000165425.1:CT868106:11161:11991:1 gene:GSPATT00038695001 transcript:CAK71770 MTLISFSSFSQWQGYQIRQYLYSFSIFPFLFTQQPQNQDVIQKSSVSGDSSSQMSQIKQL FNFSNFIKMQKQRLKQLQLDQPGPKKQSSAYRKFYDEQLVLLQQQYPKRTTEELIKLINL KWKVKEKMNKQKYFQKNGYVNINDTERVPVPQPPPPVMIIFQKHMRKQLEMTHPEYNGTQ IDRTIKFEWGSNSALKEQAAEEYKKLRQEFENQKKDFIIKYGFWPQHKKHQNESGNQIQH PSQQANPLACLLNKKVKYE >CAK71771 pep:novel supercontig:GCA_000165425.1:CT868106:12737:13300:-1 gene:GSPATT00038696001 transcript:CAK71771 MLDNCDSVRKGLLYTPTIGEQIDDDIAASFEQKRGINYQHEYMRLYIANVVLTQQLKELL QEKGDLISKINRLEDVEICKTNSRKLDYEELKKPEVLPKKQIEGSTKYNDENAVPNHQYQ TRKLIKKN >CAK71772 pep:novel supercontig:GCA_000165425.1:CT868106:13604:14996:1 gene:GSPATT00038697001 transcript:CAK71772 MNIYSRFLSRYYFGTVKIFKLPDLGEKIKEATIKKWHVKIGDHVNEFDPIADVSTDKMFT QIPSNYTGKVHKLFHQEDETCLVGGDFLEIEIESDNQQSAQSETHHHFKIHQTIQTNNTT SNHKLATPAVRHLAKQKGIDLSKVQGSGKDGRILKTDLEKDKQSPKEQPSSSTKINNKSE STSTVIKMSDFQKGMQKSMTEANSIPHLYLKEEVDLTELAQMREQLKKEKNITFMTLLIK SFSLALAKYPILNSTYDPTKQFEYTQHLSHNVSVALDSPKGLVVSKYQEYELNRLRTLGE KGQLSFNCLINIGTIGGTYTGPLILAPQVCIVGIGRLITVPRYDAKMNIVPRKIMNLSFG CDHRVIDGATVARFNNVWKTYLENPTSMFIHLK >CAK71773 pep:novel supercontig:GCA_000165425.1:CT868106:15505:15963:1 gene:GSPATT00038698001 transcript:CAK71773 MAYQQINDNLFEQQMGEIKNFKIGYQKSIHQRQRVHKNQQGGKYQKKVRGSKKISKKQLS NNFCKKSCQQDESIKIQQEDESISLNYFGENSNNGIEEIKARLFSLNLEESNQQFYAVLD SNHTFGFINQNQVKNIEQNIII >CAK71774 pep:novel supercontig:GCA_000165425.1:CT868106:16821:17712:1 gene:GSPATT00038699001 transcript:CAK71774 MEGGAQPTTNEAKEGHELHVQTLENKIRELPLKDRLKAIAIQHHYLQKEKLDKECEEKIH ALQKQYDIKSLPIYAEQQSLINGQRAVSAEEQELFKEFFSEAELQEAQQIAQKAEPIENY WGTVLTNCDNLSHVFGEKDKDILKFLTSIVLETSADTEVFTLRFTFKDNEHFKNKELTKK FIIEEGKDFPTSTVGTVIEWNEGKDVTVKIVEKKQKNKKTGASRTIKKKETQLSFFNFFK NSEDKDEGEEEGKAGNIDEDYEIGKTIAEEVIPYSLEYFLG >CAK77971 pep:novel supercontig:GCA_000165425.1:CT868287:1:5798:-1 gene:GSPATT00039248001 transcript:CAK77971 MSNSNQCKRYAQEDCREQEQCGFYFGQCIDFVDCMVFDKDNCQESSYKCVSNGEKCIQIK ECIDYKTENECGNRNKYNKYCFWMGETEKGCLDVITCEGLPTQLNNHQMCKSGLNGCTLS EQGYGCIQLMELCSQYANNFQCFESKKNNCYWDSKNLKCVEKVCENLLFTQDYECKEILS HCTTNGVHCVKRKQCSDAQSIFGCVTDAEGKKCEYHQSECKIKSCNTAPESLKNYQQCQD YDNLLDCVTSENKGCKTRPETCYGYAQEVDCYSIVGQDCIWYQDKCEQRQCYHAPVYFTN EDCHFYGNCIGRLNGGCQMTPQLCEEILEKQFCEINYNKERCIWLDGKCELLECKKLKLP TYKNHQMCQMASQYCTFNVNSQGCTDFLCENILEIEYCTIDSNGTICTLNQGCVEKNCNT APPFYDSNSKCEEWMPKCTVNVQYIQSSKILIGCTEKKSSCELSNLDQCYSTISGLWCKW DEYNKKCINQQCTDANVSLYLTNEDCYQFKVLFGPCILRSSGAGCQQWPTDCTQMVSQNQ CQLNLYDGTYCFWAGTKCKKQECSDAPKVDYTNNVECNRWLKTCIFDHSLGGCKDRPNPL TCSSSPNNIMYNNHQECFAWNPKCTVISSLRAEGCEPKKANCHEFIRQRNCQTNINGQFC YWDDKLQKCMNEGQDNNGVADCDKRLYGDLTHQDCEDFLPKCTIKNIYKTCQNLQSSCNY NYQQQCVIDSYLQPCKWDNQNQTCKSVDCVDNNTAQTEAECLRFRYSFDCQLKINPNGTY GPGCEKRPRSCSYVTNPVVCKLTMTYYFERCYFIKYNSTCSYLSSSQCELIESQSNEVCQ FYNIYCVLQPSGQGCHSIYVCNNISSQVCNSALMNYNNRCIYQDKCNADVCSSRQLLLIV QRIKTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNINNN VQLTYFINLANGIIKIKSVNLWLVQITLLLKLSLNVQDSDKITNANQKLTLMEHKVLVVR RDLTTVGRLQILSYQRCYFMKYNSTCAQLSSSQCEQIESQSNDVCQSYNHSCVLQSSGKG CHSIYLCINLTSKVCNSAIMRYSNKCIYQDKCNYDVCSSRYLSLSRCSGQKTAFGIQCSY VYSCPSADSCGYKCINSTAQVNLTFASSATLADKRQLCQNYSSTYRYSTSCNCCVILTSC SSQQGSQSLCNSSINQYGSKCGYNQSSNTCELRVCSHLNSTYTLSQLICYNWGSDCVYSV TGCMTFSGDCSTIGLIQQCYTLQCYWQDAKCVNQVNCDLNTTAVTNRECLLSNGSYCRLN HTQGYGCAFRLCEHIRDATVCSSAKIVTGERCYWDGGNCTNKMCFDHTIQSDCENSYGYY YSAVTKCYWCSLNVTKCSNNKYCSLTNMTTFSSHEDCNQKSFLQTIQFTSVSKCTLKQQQ CSNYTYKEACVRTIDNIDCYWYSSACINYCSAAAAVHSIIIWTHQQCQIWKDYCMSLNNV ECQLLNCSKLSIQADCAIFSTKCFWHGSTCQTIGDCSRYSDDSLCLNTSNSQGIPCFCDG TQCKEKTCSNKPTSSNDQIECNSWLANCQWNKHNHQCIEDCTQADISNNTHLQCESYYSN KSCTVKVDIIQCVDLPYSCPPAKENQCHKDQFGNECYLDSSKKCVDLLCSNLEASFTTHE KCNQRLKSCTVNKNLNGCQQLNNCGSYSKIEQCKIDSNNVECEWMISSNTCTIKQCSTAQ LNEYSVNSCQQYFGDSCTIGQSQCPNYTYKQCNSEGQINLSGVSCFWNEELNICMERICR NGPSLAQSHADCIGFLSTCQKSSCRRKESCASIFEDKRCATNGFKCILRGACEDIIDIDG CTFDIHLNSCVWIDDKCYTKSCETASISITEYQECNAYFPSCTAK >CAK65431 pep:novel supercontig:GCA_000165425.1:CT868039:1523:2096:1 gene:GSPATT00034910001 transcript:CAK65431 MKPISINKSLHILRGKSKFVHIGQDVKEQISLIQQNFEALKIKLISEIHYFISKYNMSNF NKSPYVHTMIKCYRGLAYQDLIFFNDFGKRVVNFHGALERVSYLENLPQKIYDDYYRCEV VKIPTQSQFCFEDLKQQIETVIKKPYSSFTNNGSDNCKELLEKLNIDRLPSDNFDKKGLI A >CAK65432 pep:novel supercontig:GCA_000165425.1:CT868039:3005:4860:-1 gene:GSPATT00034911001 transcript:CAK65432 MTEQKVISIFDFGKTRNKFWQTCDKSVLDSQTFLVEQEFLTAGKVSVKQVLIVLGLEYIY KVTLDKLKCAPLITTHLTYIEPGADHLVQLNSDEQQYGFRLQYQTKTLEIFLSDKSCYDQ WKIHLRKACLLENFHEAYMVSKLIGKGSFAKVYLATRKDSNIQYAVKAFSKSFMMQQHKG IESLLNEMKVMRRLNHSNIVRLHEVHETANSVYFVVDIVAGGELLQRVRETGFLPAETLQ RLAFNLLSALNHMHQFNIAHRDLKPENLLLKSYENNHDIVLADFGLAALLQDNNILFKRC GTPGFVAPEILEYIDGHQIYDEKCDVFSAGIILYLLITGDQPFSGKDQKAILKANKDCFI DFEDSLFKSAPIQLQDLIRAMLLKKPQDRLNSSECLRHPYFKELAKEQQVKQENYQQNLN DYNQFYKNNVRMGSIDLNLEQRQPAFTGNLNSIESISCVSNNSFAKIEMKAPSVVGASKF SQYSCK >CAK65433 pep:novel supercontig:GCA_000165425.1:CT868039:5384:5515:-1 gene:GSPATT00034912001 transcript:CAK65433 MIDLSFKISEEKEKSALGESSTIYGKSIMKSRCLQIQRTCKTS >CAK65434 pep:novel supercontig:GCA_000165425.1:CT868039:6002:6672:-1 gene:GSPATT00034913001 transcript:CAK65434 MLPFAYIPQDSQSTKYCHSLGSFNFCQPSYSFPWFNPYYQYVNITPSYFSEFHRINNCFQ QPQIHYQQNIIKKTSITEKCSETLHGSLQNEQIDTPSIVDNQQSPLSKKPETIIILNKKK KKKSKKSKNFKKGHWTNKEHRLYQQFIDDNKVIMSDSDQKKMKQIFKKMSDLIKSRSASQ CRSHHQKFDPQKQNSLVAGKKSIQSKIANDDIKR >CAK65435 pep:novel supercontig:GCA_000165425.1:CT868039:7719:9663:-1 gene:GSPATT00034914001 transcript:CAK65435 MDNLEIKIDELNQCFFKYGAILGDIGQGLLYNFAIFVIWRIQCYQKYLEYKKQEQNLSVL KKRYLIIIIFKLKIIANEIINQSIRQNSVKFQIDARQELNQSVKDPKMQSCSLIDELDNK LFNKNQKQYSFDQTLHYINNPVNLIANEVNERTNKIREQFAKQYNQKLQETQMSYLNLLH QKLQNLSEKVTINSQAIEFFIQLDNPEDAPKMLYQIMMGYLRGTLAQGQLNNIQDKFKNI FSNPDDTCKISKELSDQKYQKIYLLSDFISGFINTIQEQIKSIQNLRIEIELFELQIQKI RSNLIGCRQCCPTCKRKCDQDNVDGHSHRCRNGHLLRVMAGILINNMPSLYQCEEIKQHY LVKEFNQESTNNMSQLKEIYQDWAFDDNINEQTKEKFTTFWKNNGKEFCQYRFSREGKEF KFVENQVEIQAAQQPSFHYIILLDDSGSMSGDRFNQAQNGLISSLSSAKDNQNIRVTIII FNDNARCVVDSQTINMQTIKNAVVCNGGGTSFQSAFQLAYQKIAAVKNFEQFNKHVIFFY TDGGDSYPTQALNQFANLPQAQRMKIDLIACCLDKQQKTMINITDFFNKNCSFGKLQDQM EPSQIGEAWRNQTRQIIV >CAK65436 pep:novel supercontig:GCA_000165425.1:CT868039:9768:10988:-1 gene:GSPATT00034915001 transcript:CAK65436 MQQTNELRTQSNTIKQLEEVIQKINTNHINLMESKEIINQFYDKQNNDDKEIVIKHLDEK FPQYLALIQENNPNHQIQFQKLDQYIQTTNQEDVDKFKEKFDEFWSENEINLKEKQEVQF NKNCNIELLKIQNKTKKYIHKSGNQESKEQFLNNLVKKEPSKEDDFDQYFEITSKNLVQE NVFDLVFTDKTAKQEINQKPLQDTQKKIYSEQFKDINLQIKNFTNNISISQYSKITNLDV ELEYISKTDLDKFLNTKENKDLLKFCENKDKTQAILQFQQFFKIFGYSFDTQKLDEVENL VLVKKEKTLLDYLAYQDNSYYENHLNVSHEFNFLVGLNLSPQKQVIKLYEYKKYIKEFKE NQIFIIQKKLFSMKKLAIVNSRTIVIMIQIMDFSMRQ >CAK65437 pep:novel supercontig:GCA_000165425.1:CT868039:12042:13300:1 gene:GSPATT00034916001 transcript:CAK65437 MNSRNTHLTEYTIKEIISEKFTSWKQINPSQISISRLTGLTNITYKAQAMIDTTPQTILF REFGNAEGFVDSQQERIIFKSISDMDLGPQQLECGDRWRMEEYVKDGVHPNNQKMADANF QFKSMAVLQKFHQMEIPISNDGSSLILKKTISEEMKENVIKKIEKRHLYTDVELKQLECL ERFITNEEEFNYLNEMTQKENHEELKFCHNDLNQLNIFNTSNKDKEIIFIDYEYCSYNYP SYDIANFLNESAINYQHEEAPYYVLEEDNFNSAPIQAHFLALSYILNKECTQMEIDQIYK LINEQTNKNKDELKTFIGLVKQILEQRLSEQEIVDLFKAISYLKRRIRRFQIISNLNWVW WSILLAYEKNSLSFEYIDYGFLRFKMLEKLLELEKARKSTN >CAK65438 pep:novel supercontig:GCA_000165425.1:CT868039:13371:14801:1 gene:GSPATT00034917001 transcript:CAK65438 MQSIEKEEIPYFKFCQKLGGVKMKDIYISPTKAATFLHLINNKCPKQTAIQFAQRRICKW DTTIKMDGPSTCRILKPKDNKEKRINGWQNIGLEMYYDNKTTIADKNQLELDLDNDAIQK QIEKNEMKLKNDFKNKIAHLQQFYKDLNQKYCFKSSDPDFKNKISKAKLDLLNSRSQSIK YFKTKGMKYIEIYNESNKKSTNNNTTEGLLKRMRVFNQLKTDQYRVKEKSMADIYKQKKE NQSPTHKELQHDINIYDNMELQSEQMLKIIDQSNEFSMKLRRNDLKPVVSQKALVFADDF NRAKYLFNLTKQNQIQKMFEIPEVPQLVIPQSSQSIRLKKNLSSPTSLDEQFDNCLTQYA REVLEYQQEFQEKEISTDNIEKLLQGKEILRCSQMRKVSRTYHSPQPSVRLDSSRQLRQQ QLSSRDRESTKAGNSIHQKSRTYAVSPFCK >CAK65439 pep:novel supercontig:GCA_000165425.1:CT868039:14903:17466:1 gene:GSPATT00034918001 transcript:CAK65439 MQQRARSLIARQYYKNKYGPQLIEDDNVLDVQIGDDNTLQARQGLVITINSDDDEPKHKH SISNFSETVVKKQECALQKFEDRNSTSQLQLTLQQQLQTQTDIFKQQQNYGTSSLDSMSQ LQKSLSQIKIQQPQPPSNVSNLKQPQQVKQQQQVRQPITKGIGDQNQKNNEKQKQRVISI PDQIQTKMNIESDEEEVQMNKIPRKQVRPNDDETLPQIHFKKKPDFFTQLKQKITQPLNE NTALQIQYKYKQRDEQSPENEKPQNVAPVSYQDFIAMKNREINAAKQRKQDEEYRKQLLQ QKKQEKVAPDKIREGETLQQYQQRMIEYLHKQNKYKNKKKYKRGTDLDNKKTAEEKQKIS ELMKMIDPNFQQDDIDEKPFKPDLLNYDVLLKNGLILKQAFVKPPEPDINPMLNVYKRGR YATEFIFSGGIDMDDGPYGQQSKKKSKKLPFPKHRLSLSPWEYYGVGPEEYFATRNPNFE FKQKYVPVKDYYNEMPRPKQNPKYFSYDVDQLLAKRYQSIHLEYQYQTSFIGYKQRHWTP ASHLKAVCPNLVQEYEKYERDRLFCYIVCAKVSKYQLIETVGILTSKYTKNKIENLVMTY WKGDSKGEKKTISNLERQVPQECSKRMMMKKRIRRKEQVKSKDIQKMNGIPQKMEATERG KRNKLRKEKFSRIAEELKLKQQIQPEKNQKPKKNDAEGDQMEEENQAKDENQPSQSKKVL KKQKRTKKKKQEQSKQVVEQEANQFEQQFQQEQRNDNEARSKEDSEEQVFQDNEDLVFKA KMIEFNQKRFNFTGTDVDLQESMKLVFPNNQQQKLDSERYKQFIQK >CAK65440 pep:novel supercontig:GCA_000165425.1:CT868039:17496:19048:-1 gene:GSPATT00034919001 transcript:CAK65440 MKSSLKKKQIVWARTKGHPWWPGIVIFIYLIKITQVFDGNQYAINFIGDDSQQGSILMSD NLYDFQEKFEEFNEKVKKNKKLKEAIQTAQKLQSIVLSSLEKKIKHVEQEDKKKGTMNKR NLRKQPKKPETPNKDNTQKSPLQETPQMQQKKQYLDREFQDLLLLLIAAQLNYDQIKQKL TPILDTIEAQVTQNSTITEVLSERKGSILNAVYLILKHQSEQNPDHFFQRDIHQQITRLH DLISKLKNSLLNQFFNSATIIQSLSEVCTNQSREKKAPVQQAPQQKSSTEQTKRQRKIDK TEQKAQTVECNTQIPENVSVQNSNNNNTINNPPNQQKKEQVSNEEAKIEEKKIVNEQPKL VKQDVVNQKKTQKKNEIPDQPLRRKNQFNCQGQEEEQAKKITIKIESLGREADPQMRNKY AKLMWHCIVNLDCKLLKREELLTLIDENADQSEAWSKLTLQPIDRTRKLIHI >CAK65441 pep:novel supercontig:GCA_000165425.1:CT868039:19057:20087:-1 gene:GSPATT00034920001 transcript:CAK65441 MQNKFLNHITHLEAENSASSGSHWLLITGIIVGSLVGIAGLVFGYLKYRQYRVYQEYSQR PESGIPRERIGFAQRNKFRFWKLYENKEEPNDDLVKQQQKENYYGQYIELTFLCKPGETL TIRADKPMARDATSYFEIEIEDNPRNCDIIIGFCSSKEYQKQFSLGRTQTSVGFHSLTGQ VFQGNNLHQHHKFQAVYGETIGIGIRQKDGRVWLS >CAK65442 pep:novel supercontig:GCA_000165425.1:CT868039:20631:20840:1 gene:GSPATT00034921001 transcript:CAK65442 MQFKSDSFDSELTEYLQIEQEFEIKQLFKQLKVQNQQLPNKKSKKIKKLKLNIQTNSIMQ RRNVNVQQI >CAK65443 pep:novel supercontig:GCA_000165425.1:CT868039:22294:23361:-1 gene:GSPATT00034922001 transcript:CAK65443 MFHFHLSFHKEMQEIFYNNLLLILSEFLQLTLNLTYYIIAPINSINQQDVKERKSPIWCA GGFSEDVISTILNNALIPIILSIIDYKYAWQLIQITYYKYLNTNSNLTQFQANQKVQKKM NFNVKNTQTNILIHLCFYYGYIFPICYPITLIELMIIYWIDKYQLINYGVRKDLKLEFRM FKLYIYFSIMLQICSSQIIHAVLKVSIYTRYQLYTSIFLSFLLIALFLLTSWFFKKEAKQ DSTNGTLMRSLEHNSSYSKYNPLIDETLWLNEDQEVDEQQLIEGLHLHKFSRQAKFYDAL KVKLLRELEKEFQQKSVSTARIQPLQVETI >CAK65444 pep:novel supercontig:GCA_000165425.1:CT868039:23600:24609:-1 gene:GSPATT00034923001 transcript:CAK65444 MDTNGLEFNEQVNLLRSPPNFEFAENHRKAQIIHKEILRNSKRCPCCNQPIQTINYPLST DIDIFIAHGTAYKYFLMIQIQFILLTINFIVAGIYNLIQNQKGYSCMNNETCKKTLNNYL SILNRMDSDQQYADNLLDSLYLVSIFIQLAFIRYLSYSEYDYHNLDHEIDDESAIKSCSM EILFFPSESSKKQIMNYFVNQQLEGEGVQFNIIDCCLIYDQEHLENQLKVKIREILAQNS DISLKDVLRTTVDQIFVLQNRELFLQFTGRVFLTLSNEVKILLSLIQELGHQIQKQNQEK LFNN >CAK65445 pep:novel supercontig:GCA_000165425.1:CT868039:25161:25814:-1 gene:GSPATT00034924001 transcript:CAK65445 MKELQKGFETNGEKQRQKFLKHLYEILDNPKNYQIIGWDEHRFVIWNVEEFKKQLLTSNF KHNNYQSLMRQLNKYGFKIKSKENLKAYFSHPTIRENNREKKRVLQIKKKLEKIDYEKEL NVLKGQLEDLKKGQKILNKQFQISIKIMMKLQSHYARLNIVLLLLLLLIMTLYTVGFANI IGNLSYTNHKTIWGNIGGDLFQVFKFYLK >CAK65446 pep:novel supercontig:GCA_000165425.1:CT868039:27066:27414:-1 gene:GSPATT00034925001 transcript:CAK65446 MQLINVTFYKQCFQTLQGLFELQLITKEEKLYLKGQILKLEITADDSIQLEDLSKFLLSC LKQKHFHNIKASTKSQLDMIDEETDEEQL >CAK65447 pep:novel supercontig:GCA_000165425.1:CT868039:28356:30064:1 gene:GSPATT00034926001 transcript:CAK65447 MRKKFTLQFSHPTIEQAYQKDRLLKYLWMKKILAILILLFSIVGLISSLFYEKQEIMYWE VGFTSYSIILIIISFKGSPEKVKAIFQFTNILLCILQVRANYYSTPQCIYLSGQNIMIFN MIMFYFSSIQEAPIQLIFFLVSRCVITGLVNEMFMGQDLISIILTTLCILIFIYHNDQIQ REHFLLQFSDKQWEQTLPIIIQSPFVMFTFDEERLNFNLKIANDMSEICWDSEKAPSENL RYFLRSFKMGNDNLEQYLVTRSRTCSDYKKIYQFQLRIQRNEQIYGTKKFVIRFSDFYLR EQVFLIVFDQHEQQIRVLEKVKTALIQGINQHQNLTINFLQKQQQLLQNLIVSSNSISTI YKMKIHCMYFIGKYTQCNSFQEIEQQIIQINLTDMIKGLIHIYCMAYKTIQIEFSSSTFE DVYVFSNEQLLNIFLVIIFQTLVRICQNGKTVFIHINEADKQQDLELIKISVLFNKSEEL KQKLVYNQLFYKLQVRLSPKVDILSHDNACHFEIYKDLNLLNAIRMLEEDIS >CAK65448 pep:novel supercontig:GCA_000165425.1:CT868039:30606:31169:-1 gene:GSPATT00034927001 transcript:CAK65448 MKILNQILSEVKVQAIIFGYIINKIGERGQGIVLLGRHKVTKELTAFKIINQQSWSGWEM DGVPQEQLIMKAFDPKNIVKLHQSYVTQNQIEIEMIMEYLKGGLLLNYANLYVIIAKLPE GDAKLHSKQIVDAIAYCHENNIAHYDLKLENIMLNISFFQRNSFQYLKLDLNY >CAK65449 pep:novel supercontig:GCA_000165425.1:CT868039:31490:33098:-1 gene:GSPATT00034928001 transcript:CAK65449 MNKFTQTFRQQHLESLYQKEKEQYCYDTFRKIAFLSFIITLIRLISFARQENVVGILITS SILSILILMYVLILKFCSSGAIFCMAFINNMLILLQINDQQGTNQFILGTNLAIAHTTIL LIIDYKLAVINIFFQTTFKLLIAGLFDTQTDTCSVVLSILCPLCFISIISTIDKQRRLLF LSNNQGNDWHQLLPSVISDPFVLFSFDHNRMSFKYKNSSKIDRFPYCNQELSQEDNFKQF FRLQHISEVSIEQFILNRIEKQSKFFDLNQFTLRPNQYDSVDFEETNILLAELYHLDDTF LIVLEQSKQKALYIESTKDNLINLIGQHQQLVHNFLKKQASLINMSLQNESSRVQLLYQL KLHHLYFSGKYKISNSFSQANKYFETVNIDFKNVFLAIISLFEKAYSGINIQFDCTDSRF DVVHYKDMSQDFIIQLLQMSLRKTTRDQSSKTRILLHTKSEILFVQIICLNSYILLENLN KNLVIRLYLKLHSPESEIRLSDNGIKIQLYKDVSQLKSLNKFQEYN >CAK65450 pep:novel supercontig:GCA_000165425.1:CT868039:33396:34285:1 gene:GSPATT00034929001 transcript:CAK65450 MSRVKQSLYAQQSPSTGRIQKISEKLSTIQIGVENERFQKLEQAEQRIQQAEDAFNEFQE QIFTRLNGLRDQLGKLQKQVEDDKQAKEQAIEAKNRDVLALTKKFENAIENEQQTKKEGE AKILRLTEDKSALLRTEVQKETAQRIDAIEGIHQGLQNDLPKIQEAIREEANERDESDQN VMKSITDELVKLSNLINVEKRNRDESEQSIFEMLKDIVNRVKVELDQEKRTREQSEEHLL SLLEDTCNKLSIAANL >CAK65451 pep:novel supercontig:GCA_000165425.1:CT868039:34295:35361:1 gene:GSPATT00034930001 transcript:CAK65451 MVLIHYKKTELNQFLYECAANTPTDQVIKDLVEINNMRIILDRLACAMEDLATHGPLKPE DTRGLSEQSLIDAAIETMAPEKKPWAVTPQQLLPGQRLNPDKTAYRTGVIQTEELSKMVI ENCTKVKQAISKNLVDLKQCLTTKIVQEQLDLLRGCMMICYPGYHGLPPWEPSREILEGQ FDTQATFTEQYDFLDEKNTSLWWAGKELLRGKLLSDFIGKNDKTKIIVRLQKTGAGAPVR EPAVDAETQKKMMAFYYKKQQEQKELEAENEDQYLNSQWANPKQLKQQLMGASDQISWKP K >CAK65452 pep:novel supercontig:GCA_000165425.1:CT868039:35361:35573:1 gene:GSPATT00034931001 transcript:CAK65452 MKRKTLLLEADIIQQIKSAFNLNQIEITELLTQAKRYDPKGTGYVSKTEVDDIMRGMKLI QQYRSQIAQQ >CAK65453 pep:novel supercontig:GCA_000165425.1:CT868039:35578:36064:1 gene:GSPATT00034932001 transcript:CAK65453 MIAKFKEELKQQDAKMLDLKQICDIYCKLKNYQQQLEDEETITQEYIDAFVALGGNPDRT GVVQKQTIFDIVQSEFELKIDLESFLGDFQGNQLEFEDFCQLFENAGEDAKSFITSFSQA KRNNNSDFTVRFKDFEKWEKTAM >CAK65454 pep:novel supercontig:GCA_000165425.1:CT868039:36108:36607:1 gene:GSPATT00034933001 transcript:CAK65454 MINVFNKIKLIILEFLSKHNIILKQTESVALDNQISIVTKLDYQFMTPKKKNMNQQFTSI SISKSEYVSSQEMFSKIEESSKKSIEENYTNNLMSMTSSELKLQFGAAVQFILNQKCKNN QLNFVSIHPIIFSIVNNFFAN >CAK65455 pep:novel supercontig:GCA_000165425.1:CT868039:36884:38528:1 gene:GSPATT00034934001 transcript:CAK65455 MISFYVSMVLFYLIIIENTLVDMNNIEYLSLQTIQLFELQKGFIRLQQSHSLLFRVFYKA DYDNVYYRINFTIGFNQNKYQTNYHQNMNEMEANRRSNFFAASGKRVVQEQQEQQMAIRE DQKYKLQQYNDDQKLERQEPVPFYLQRNDRPQKITAAERKEIIRNIELEANRNSDGGIFA KVYKQKDAKDQQQQTQKQQFIDEIQKQIEEKKRRKEEERQKQIEEDRKYEEKLKKEREKI SQNQNKEDDKKLIIKTIKNKHLRQQQDDSKFIFNEFEDHQINLTNNNIVPNYLKEVDDKY DQELKHKVNPHTPLTKLQSQRTLQDQNLINITYNPPNTAGQNQNSTSFRNNSQHQYNQQL NQYEISQMQSQQQQVFQQQSYLDSYQQSNYQYQPIQYRSVMPQIIQQIQQEQQELLEINK FKSREQEQGIEKLKEEIEFQSNKWNEELKRLRGEVQINTEKKNRAFYELYNLKDELKKQQ LFEEANLKLVKQQVANRRPDSLASSEKKLITESDYKKSSHQSRQLSNIEDNLFLETFVDQ I >CAK65456 pep:novel supercontig:GCA_000165425.1:CT868039:38891:39130:1 gene:GSPATT00034935001 transcript:CAK65456 MNQNKCQSDSGGTKTKVPEEDHFLLVMNQVLERHKSIAAKNNESQLLQNALKALQQELNE AESKNPGSAKQILDSIFNN >CAK65457 pep:novel supercontig:GCA_000165425.1:CT868039:39462:39837:-1 gene:GSPATT00034936001 transcript:CAK65457 MLTKKIIWFTGQNEEEKNNTNFNYKERTSQKKSYIIWVIKVWQELLLLLNAEVSNLMIEI RLSESYNSKFLFSEQIYCQINLIECKFILQSHYEVYYETMYKENKVIYKETQL >CAK65458 pep:novel supercontig:GCA_000165425.1:CT868039:40051:40637:-1 gene:GSPATT00034937001 transcript:CAK65458 MSLIVLFALFIFGYCDQKEAGLQKVKVQVATVLDGYYKEFDGVLCYSEKYNQFVIYHERS KAKNLKELFPETDFFLQFGMNGNAVGLSLQNFVPQIIINEDQYKSVVLTVKPEVKKGTTL KIAFVAEDYEGEQERFIDLLENGVSTYKPISETQLNFAAMQFEHKFIQYVKKMHDEL >CAK65459 pep:novel supercontig:GCA_000165425.1:CT868039:41228:42222:-1 gene:GSPATT00034938001 transcript:CAK65459 MFFVYKYNEAIRAQFMLIQKDRQWERILEQLIHKQSYIMLNFNESSFQFEYFMAHNFSNG FKDKEDIQNFLKEAQHQKKSLNNYLYDQMKQHQQDRIDLFKKEIMVKQQRELIKLEFSIF FANQPTILLIFHKPKLRIQNTSSTIESPVFFQYFVQLLKSLKKKLKQKQHYITFMKRIRL IEIYHNLQNSWEQTVQEINLCHIISKQAKYFPDLMVQINIKNTINLKTNSDIFSLVLFKI FSNTNTYMIKFRYSKLDEDTIQLVVSGHFNSSVVLSFFELHQESLSRFVILTQVTKYAIE MSFEINPYIPFSGKNKKQIDQLI >CAK65460 pep:novel supercontig:GCA_000165425.1:CT868039:43930:44620:1 gene:GSPATT00034939001 transcript:CAK65460 MNMDDQNLFEGEWNGQSLEFLGTMLYSDILAQNSTNMIDIISTKCPNQKQLIESSNTIQE NNREKKQVLQIKQKLEKIDYEKEINYLKGQLDDLKKIKDFKYIVLTFNKNNDEISIPLCQ IEYVDIIHGWICKYIWKSIIYKSQDNLGEYRRRSFLSIQILSKMKLQLNSIFQGFPQLLE TRLPTPEITNLGTPLPFYQFIGQIKEFTNLLLQ >CAK65461 pep:novel supercontig:GCA_000165425.1:CT868039:45021:47269:1 gene:GSPATT00034940001 transcript:CAK65461 MDTNKSEFIDEENLLKAPPNFLLAEKHCKAQIIHQEVISNSQRCPCCNLHVETIKYSLLT DINTFVQEGTAYKYLLFIKNYKFVTLQQLLINLKGDSCIMNETCKQNLNNYLSILNRMDS YFFEDNILDILYLTSTAIQLAFLRYISYSKDDDYNIDRELDSEFTISKCSLKIKNLPSNC NQKEGLSLFVNNLYKSDNDPLNIIDSCLIYDEEYLENQLKFKIKEILTQNNDSSLRDVIE RTVDLNYVLQNREFFLKYADRGFITFASEREAIKFKDLLNRKSVIDCPRPSDEKWITKKQ KVNLILETILFIIEWVCFYLIYLFQNIKDEYTETNSGYQLNSKTLLSFSMPILQKISITL QQRTYQKVLQYSQFTQLPQYYFEIFQLLISSFNVVAFPLLIFEQRGQQESKLKFWGDGGF NEDIIFIIVISSISFMGLSIIDQEYAWKLLKTFYYKYLNRNSTLTQFEANQLIQRKLDFN AKYIGLLHLIFSCSYYGYIYPICYPITLIALFIIFWQEKYQLINYGVQEEFKFKKDSLKL PILLLFIFQVSSPQLIHNVFKISPTTRPFFYIFLFLIFLLIYLFFFSDLIFINQSSDYQT AEALMKSLEHNDLYSKYNPIINETLWPNKEYEKGLHQHKFSRQERYYNTLKVKLLRELEN EFQQVTQNQINQNSIKKVSIQPIQGEQMVVEE >CAK65462 pep:novel supercontig:GCA_000165425.1:CT868039:48851:49060:-1 gene:GSPATT00034941001 transcript:CAK65462 MQFKSDSFDSELTEYLQIEQEFEIKQLFKQLKVQNQQLPNKKSKKIKKLRLNIQTNSIMQ RRNVNVQQI >CAK65463 pep:novel supercontig:GCA_000165425.1:CT868039:49676:51460:1 gene:GSPATT00034942001 transcript:CAK65463 MKSDSLANYQIKNPIGRGAGSFVCKIVNRLSKVEMAAKIIQKTSSNAQKIQNEVAIHLEL HHKNVVHLADIFEDADNSYLIMELCDSDIYQLIKKEQFSEQQIRYYGRQLAEGLQYLHSH NIIHRDIKLGNLLIQNDILKIADFGLAVKLNNDEEERSTLCGTPNYISPEIINQQPYGKK VDLWSMGCCLYAMATGHGPFEEKNAPLGEVLRRVKVGDFDLPTNFSEAFKDLIINLLNLD ADQRYSIEKIIKHPFFIEPIPPRIQSRNQSSSQIKQLLDLSPFVKHQHRSTCSMIGLEKQ QIVASFLQKQQQLGGKKLLFGNTTNCKGVLKQNYHLENVPRFFLPQESHSNNTSCNHHNK ENIHKDNCNKENIRDNSVKLTKQNSSTINIYNESPIKLEDLKSCRLQTKNGLLQIHGDGR FEMDVCNKDLNFIIQTNGQEILVQKKGQKPKQYRLNELPQKLQKFYTYSKQVCNAIRERN QKHKINNEHGNFALKNTKLGQCFEGYIAQSKIKIQYILNSDTIKLQLQNGTLKSININEF QQLSTQARMDPNEVYSIKIALKYLPQCQQ >CAK65464 pep:novel supercontig:GCA_000165425.1:CT868039:51491:52807:-1 gene:GSPATT00034943001 transcript:CAK65464 MPFCTLQVIQIKPYVVFVSRMDSNEDRSSQNDQANKLGISRKQKKKNEYDYHRKKRRGIE GSNSKKSGLKFTEEEDRLILQLVLNNGPKFQKIHRHFPGKTLAMVKNRYYKHLRFRWELL GQYYYFRIRNYKHLSVPQEQLETLCEQQKKVSNILNAEKDDLITQITSRTTLLSNARMLV EYILVDYDSESNSDSAPEPKKPLNKVITLDHLNKLSKKIKTNEEIAVNVDQQSESDGNEQ IPIQQQEIKNGKLHLLLPQPKNKVEQQRTTFVKKRLPPMPPGINFKEAEILSNTAIKKLT KEEQALIQEFDQDDIYMQDQKTINEKGIVKVSYEVGEDNAQIMNLHGTNEKYWEMEREKM KQKQEAFLKVPTDVNQLKREKNHIDSLNYQAALKQEGYESRKQNFKDQKDKMKATYGW >CAK65465 pep:novel supercontig:GCA_000165425.1:CT868039:53513:54750:1 gene:GSPATT00034944001 transcript:CAK65465 MNKLKNNVIRSIPNLHQEMKLEQSKILSAIKPNELQEPKTAKYMELDLKNKINFSIRENI TSLSSERQQPKKIKKIDGKVATIVELIKQDLLPMLNIQNDRIEKLERRIRTKNESEGDSD LRKSSLISDKKSNSVVENEVQNLSVSVDEIKKNLSHLDNFVKYQFIKRKCQGSEVQNDTK NKSAISQIQAKLNTEIIQQKIETQQTTKQIQEYITVQLQEIKEWINKISIEQQKEIEVKL NLMETQFYQFLYQPFEVLRQIQTNQSDVQSKIKEFESIINSNIFQSQIQNSQEFANCCKE NHNNKRIIKINCGHFYHEECLQTQMEEDLKEQRIICCKRMSQLDQVKQNPLNKSLKGNAG SNLQRVDKIVTEIIETRYNIMLEMWIFVHQRLILPSSRNQLQQS >CAK65466 pep:novel supercontig:GCA_000165425.1:CT868039:55225:56088:1 gene:GSPATT00034945001 transcript:CAK65466 MDLRNYATILEQHLNYVQCEKFYRIMNELICGFLSKSQIYYIQLNIIRKWEIRKNSYKNF LVFLKYLLVQLQSKEHEDCIQWSQDGNSLVVISAELFEEKVMPCYFNSTKISTFYRQLSQ YGFKVKQNEMRQKQFYHSNFQQGNLQFYNIYSQRRKKIQAQLDHNKCISEENKQLNNQLK VLQKQQLAIQAQLNIHTQIYMRFCNQMKYVFDVSIEIFQYLKQDEDCEEDYKKFFDSVIS VFKGFNHDIAQNIFEELKHIGGPLSPQLSPLLFPYLRLN >CAK65467 pep:novel supercontig:GCA_000165425.1:CT868039:56355:57302:-1 gene:GSPATT00034946001 transcript:CAK65467 MSTDNTELLSKFLNEYNQLKKQNQEYQMKENSTYQSLINENQLLVQELNRIKKENQRLID NNTFLTDQLNQLKELYQETKQELQESFNFIQTINKTMKTGTFEHDDRDINKLMEIFSVNS MEQLLTTAEKIKTVMLGVAHLESFCRQICEIIYDQNEEQYNLEQVFPIIQKWKFDSKYVD CFLNFKNQLEQTLSLKQSTDSQIIDAIKSLQNTSNNDIQTIKSLFKIDSSDNLMFKMNQT FILLQDIQQFIKIAKRLLDLDENMKSEACMIYILKIIEKIKQNQFNDPDLILKIMKTLKV DHPSQILAKLESCTN >CAK65468 pep:novel supercontig:GCA_000165425.1:CT868039:57411:58187:-1 gene:GSPATT00034947001 transcript:CAK65468 MNQEFDSEDSINSDEAIKVIEFKYLTPNFHHELDDKGTSVNKRMNGINTLMCKFKDVLGC KDDFCVQSCGFKSTNQVSTQNMHTQSGQKIDQTLDIIQQTQIHLANSRRRQNSRNSIDKH PRSRQHQNTQALQTNLISSRKPKRQIYVTQIVNDHNDLTSFPFPHPQRISRMLANNDGLN SQKGSSASTQKGILKSSSFEVIRIGSRQSMQSLNSPLMRPTSKRVSFEFTSEQLRKLRNH STSIDPQKYQRLKTKFQK >CAK65469 pep:novel supercontig:GCA_000165425.1:CT868039:58429:60243:-1 gene:GSPATT00034948001 transcript:CAK65469 MELCQLLPSQFNKSLNLSSKQITRIGKLVQNSPFLNLQHLHISHNNISNLDGIEQFKQLK SLSLSNNQMVDINELKKVNRNLQYLSIHSNPFTVYDYHDVCYHLFRQLKRLDGMAVDMRI VKSQRIQAEVVSRWLIPWIYQLNNQMLNIQKVLSLRNATKELDQRSQLKTVSNDLLLQID WQFIDRMLSFLGSSTLQNLSKLLQSLQYNQELDQAQTKEMKKIHYETFQDVIKLQSSNHY AAFIDYLIRLNDGDKTNYYKVFLNIQKPQLHISSDLYPKDDDLIPQEQPQKIIQTVPTFL KDKIKCQEVISNTYRKQRAIPDYEKELFFQYFPVFPFRQEYIQSILQIAILKLTELKNLV SQVAYLKQTAIQSVPQSVRTLRKKQKVSEEPVPQKLNLKPQKPEVSPREDVIQPLEEQKT TTRIYSDVKCEILRQRKAQALSVALEKIFGRRMKRFFGRINNLEQENTRFLDYPQVVYLS SLFYAMKRVLELNKQKRQRQQKKRLMNLAFQGLKLVKYVKQREHKQVNKGYQVLQKLYFK RLVDGIRSMKHLLNYPQKTQKIQPFRLMLREAPFQKSMETIKFRRYNDENNENLKLCSVC TYQF >CAK65470 pep:novel supercontig:GCA_000165425.1:CT868039:60281:62790:1 gene:GSPATT00034949001 transcript:CAK65470 MIKQTMNFSLKQRRTQSLEPVLDEEVFGELKNEQDFEMRLQQLNEIQQIHQMTQSSGISM SSGRSKPAKHQMVESYAHLIQEMVHNKELQQFCHQFGFSIQELEQYSSIEERQNFVVVAM CKHIKEQMQSFMTKVELRMHQYRVDEWNELQKMNKQNINIFEWLNVKSKYEHLRKKLQYA LIDSITATQTKQQQEKRNRTFSQSNISQISRASTACATSSRKRLSSIQEEVTVNPKIQLV SEYKDFKAQWNEDLEKQYRLKGKSHKVLGLYPVIQKAILDNVGQSVWNQQRDRLLTKYTE GGCLTEEEVKFIATTSQLLNSCTDKEFLQKIITHLDKNIIDEIEFNIAECILATYTNISN DVKSIRQVWLKKISANLEQQVKDTHYSVMKKMQLQKSQKALEKVKMKMVTIFRDLQKRHL MKLQRELDKDHYIQQQKGVNKVILKFKDKLMKRVKKYRNEKNIGIANNFINRNIPRQGSL LFKINKEMKSTEFVQRLFHPPSKLIVKNPLPGSQTDRAVKEEKKYKLRSTSTIRQTDFKR YLSGGPQTERQFVSKSLADQNQQRISGHKNPHEWITSEVEVMAINKIKAAIRAYLQRKKY QKQKQLKQEQEESKKNLLASLAKKNPERIKRIELEVINVIKSLALNKQKSQSTMYSSKQR IQSDMKLKTRKLFQAAKKKCLNIAIQSGFMYTLEDANQKDEFGRTPLSYAAENGDEAICN YLLKIGANVNIPSLDGMTPMHFVFKSNSVSLIMRFIHLGGNLNKLNNDGLTPVAFCNQET LKKLNLTQMISSSHKQGSFDNHSILNKKYPQQSEIIEALEFSGNFSKFQ >CAK65471 pep:novel supercontig:GCA_000165425.1:CT868039:63216:64392:-1 gene:GSPATT00034950001 transcript:CAK65471 MFNCITVQTLPPEQIKSFQITLAFQHFKDLLAKCAEYLNYDVQLFLDKECNQEIDSSLSL CQASLRNIIYAKRVYDQSYFPKVTCWFKQDGIELNMGVILNSDLSFEEVLEEAKQKDIIV QMPQSIIVTDFEQTKILASMIQLQMCKLVNPQDKDPQLIIHFTTTGQVPQVQLQQKKFFP QFPFPQNPPRPLANQGTLQPSGLQNQKFQFPIFSLQTKPQNLINNNKVKIPENAGFPASN FEIQNNQQPPKAQPFMNPFGNPPNNNTVPFQNMNTQVFQQKVENKANDVTTLERMALKCV EQMILVGKFILIINENSDFVAYQCSSPQLSGFFLQVKGKKGSLKNEQQIKWESKYGVQIA DNLGKGFIIKWKNNTYNSLNIQLM >CAK65472 pep:novel supercontig:GCA_000165425.1:CT868039:64759:66162:1 gene:GSPATT00034951001 transcript:CAK65472 MNLITLKFKDQILEKQYQELKTKSIRKPIYFGIYSFCFCLNAFKITRDLILQESQQLLYI NYVFLAFMVLSIILIVQNQNFVKQALVISNLVSGLLQMNFNEQQTQKQEYYSFGSSFAQL QAASYFVSDFLDGILQVGGHLIMKVTITSMSTHKIDALCSSFAITGSVFILVTIYICDCS SRKQFLSTVCEDIWDQQLPHLIQKPFIKLSYSNTIINILQSSLIEAFPSFTNELCNGCNG RQFLRDCQIDKIALSQRILKPSDILNKTVQANYKCHRFNLRVCKYGVENMNLLVILEKII TTTQTKVFPTEIRKDLSRQIRINHQDCLRFFNWGLFSLVLLNNHEIKQIKLFLMIKRLNK CYRNYLLPIQLFTKQNELQLNTYANLLRIYLIQLYHILIELYSKSYRTRIQVRECDEYIE MHILINSSMFHTLYENNVFIQNIERVILYEPISNDLRIRLSKQLSFK >CAK65473 pep:novel supercontig:GCA_000165425.1:CT868039:67221:68014:-1 gene:GSPATT00034952001 transcript:CAK65473 MIPSYSFIIFRLSQINILKSSSFLLNLSLVFLYSYTYHIRIEITNPSLFLLKQFQKFYQR FKIMGKQPTQGQKKTKDQISKAASQRSNQTKKKWTKGRAKDKLNNAVFLDNTSYKQIETQ LPKMGALITVSTVSDKFKVNGSLARRCIRHFAKSGLLVPAGDQNSKQYIFTVNAQVVAAQ KAAAAAAAADKPQAQKKAPAPKKQ >CAK65474 pep:novel supercontig:GCA_000165425.1:CT868039:68116:70547:1 gene:GSPATT00034953001 transcript:CAK65474 MGNKELKVLNYNPQDPDLIQFRQLYMRYPKQSSVKKSQLIQNTQELLEYEIVIHNEAFSE VFERNHYINLKKTQMRVDIDLTRFCSGYHGQQMRLLGYNTILNEKKSVGKFMRLKDFVEL VTENQKIRILDLSDHSFQFTRKLNPEDKLQIEYRYILKHEILQNNVRILCLQLNPECPYK ISITTPELSSVLSPTHKLQINNNHIQCNFYKGTDSSLFILLFTIKPELICTKIQFGAPGY SINQKYCMYLNLPLPTTLYDEMIYFNCEDPLKNQEQETMESMPSYSSITPESINQHYWPY FFNITPITHQRNITFFLDQSNSMEGTKIMLAKQGLQLFIRSLPSECYFNIYSFGSKWRKI FQTYQKICEPVLKQCEKEIKMMEGNMGSTFLLGAMNDALLNSVKSSDATWFILTDGRIAE IEEIFALLKSNPHIRVFSLGFGLEFDQEIVEQLANQTNGSCIFCQNVQSLNSQMIQLLQL ALLPKFKMNVKFDSHLHITTMYPSPNRPQRELLCYLDNQQLQDQSEIELEINFGNENEPQ IIQQYKCNLRDIQTNYLLHLYGYIQILEKILDEIWYSDSISTILCNQLKNSFDINNPLLL LFFNPLNILMIDNCAVICNINIENLQKLINEQKKSRDYLGLLLQYISDQTYEQAIKCINA NEKISEESVSIEMGSSYTQANQDYLIKVIQTQQIDGSFMDQQALALVCPSQSMNAILAKM PESNQSQSLVNGRQTQQLPDFILTQIWVTALVIAYLKKYQRQQRGQWIIIYIKAINFIKQ YLKNWQSLLYKARIELINAGLK >CAK65475 pep:novel supercontig:GCA_000165425.1:CT868039:71351:72615:-1 gene:GSPATT00034954001 transcript:CAK65475 MGNMCEASSSPTRISSLDKFENGPVFDESPTIQSASPKRRKVNRDDFLNLGLIGKGAFGS VYKIMKKDNGQIYAMKELKKQTLSDHNLETVNFLERFVLKSSECPFIVKLRYAFQTSSRC YFVMDYISGGDLHRVLKKNGALPEKVVKFLVAEIILALEYLHTQLKIIYRDLKPENILLT ETGHIKLTDFGLATYIKDEHTYTIAGTPEYLAPEIIARSGHTYEVDFWTLGILIYEMLSG QAPFTCEDRNVCTIQNLIMQNKPIYSPKISSNARSLISSLLRFNPKERLGAKSFDDLKRH NFFQNIDFLALSQGQLQSPLETVAQKNKVEQEESLSPIKNEDRLQNSPLNCLPIDDFSYD PHLENETNALKG >CAK65476 pep:novel supercontig:GCA_000165425.1:CT868039:72682:73922:-1 gene:GSPATT00034955001 transcript:CAK65476 MGCCEANGIRNRLEQINPFKQQRDHSAPICKKPIFDDNSTQQYKSESQYYSYKTQQFRVS RSPNKFDDFNTNQFKLQPKHSPIQHRIQTQQALSCPPIRQQTNQFMSNQSFSVPVSTLVQ QPQYVINSQPQQSQTYVIYPQSNNVSFRGDHHVNQILNNLNNCTNIFVLYLRTTSKAIMK VEDDKLTNNDREVMQDIFHKLEKTSCFNSKKSSKSNLSGSMQMNSKELKKMYDQMNNQLQ LLNKLIVCLDDKWNLQDSKQVKQQLKEFSEKVRELGEVTLHNSFIEQQMGDKSFRSQESS FLPKTNHTQVNEMDFSNLKEEEDSQIRQMKDVMQQYRNQTTELSGLFQRDLNRSSFIRTK KQESKLSSERMKENNSKIDSLINKLHHLNQSYKLLSNE >CAK65477 pep:novel supercontig:GCA_000165425.1:CT868039:74132:74396:1 gene:GSPATT00034956001 transcript:CAK65477 MNGSNMKSQMRVSSMSEKEEAQETLEIIHEMSQILNCGLDRQQLAILVSMIENGVNPEAL ALVVNEMKTELNTYKKIHKQ >CAK65478 pep:novel supercontig:GCA_000165425.1:CT868039:74405:76144:-1 gene:GSPATT00034957001 transcript:CAK65478 MGIENLLNFLKPALVERCITFYRGKTAAIDAMSWLYRGCYSCAHELNQDIKTNDYLYYVQ KMLIMLKEYEITPILIFDGRNLRAKEKTEQMRKQIKQQNLLKAKELQESGNTEEAKRYYQ RCLKIRKQMMYTTFDVLRELEVQYIIAPYEADAQIAHMCLSGQCDFAITEDSDLICYQCP LIVFKLQSNGACFELELQKLRESRQNRAHIKSDDIRQFLAFKNEQLIDVCIMSGCDYVPS IRGMGIKKAIDYMSKYDDISNTISKLKKAKQFNGKIPEEYEKIVKATRLIFQFQTVYCPT KKQWVQLNQEKYDGFLETLEQDKFLPLDQIQQLVGERIQENLQVQFCNGDLDIKTLVFRE RMKIDFTQLFKRIERRLENSKQQIVDIEAKQRVQQFLQTADIDNLPEFVEKPANVIREQQ FLPAKRTQTQKEELSIFKLCEEIFDQQEKHNQQNVDELEIVQGDDENEEGMKLQQKQVQI TQQNNIDDIESNESSSEFFNPFSIKSKVTNTQCLSQIKSKPTHDQSTQKKQSIKSIEKAL KQTQSTIFSFVKK >CAK65479 pep:novel supercontig:GCA_000165425.1:CT868039:76619:77401:-1 gene:GSPATT00034958001 transcript:CAK65479 MHIMYLPTKLVTIFHSKHVQTEMLTILLISINLYIIIRLKLLKSKKHVNQSLHTNKDPHI NQHKFKSQKNITSTKLHSSRRQKSQDVETIQQSINQAIKQPPKQSKVINGYMVKQQLNSN SYQSPKQQQIIDYQSFNKDKTVIKTEPDTQICSDRIICYRTPVLTSKQIQSKEQIKSICK QIYPLYKQTDETTNLKLDIRNENQNKNTKLKTDVPDKILDLLMLSTRELKIKLSEKRTKQ TSIPKTAKAGLPIDFFNFKK >CAK65480 pep:novel supercontig:GCA_000165425.1:CT868039:78390:78864:-1 gene:GSPATT00034959001 transcript:CAK65480 MEEQKGSRNKTKSNTAIQRAEASICSTRRSRTTRLLREKAKFTNPKEKPCPFLLLKYRVQ IEHLHMTKNDFSSHRSFVMLVNLYTRLFQYLKNKSSTHIRELTKDLGIYRLQSRVQLQEK LDVIRYFKNSFLLPNLGATENKSSNYTT >CAK65481 pep:novel supercontig:GCA_000165425.1:CT868039:79864:80019:1 gene:GSPATT00034960001 transcript:CAK65481 MVPKSFKSVDINRQFDCSMFDHIFQQSKLFTPAVLTSEFFEI >CAK65482 pep:novel supercontig:GCA_000165425.1:CT868039:80255:88268:1 gene:GSPATT00034961001 transcript:CAK65482 MNIEELKDSIQKLGSQSQEQDKIHYQSCQKELEIVWQQIQHQVGLDLIYQSGKAVIELRS QATGWKQANKILSHIVKVCLNNKEQLKAWETLKIVMDPDKQIYKCHELHQIDVNQFSHHE FTQYEFCAELQEGQQVDALYEDEKGNVIGWCRATIDKLNEKFVWVKWHENDDKRKIVRYS MDLAPFKSKVTDEEWQWRHSLQPGDLIDCFENRHWQNATIIAALKDEEVEYIVGFRVYDE KGNLYDSMGKRYIGWNSQNDERIRAVNPRIQKRNTFSKGQYPNPYCQEDIIMDCNDFLYP DTHYAIPRFTTKQTGRSIVLTQMINDFGTQGLFHYILEQIQAKCTIDFLHFYMQVLNNIH EMLNKQFVTSYVPTLEIAVQNNILQSPDNNLRNFSAQKITDILQSLANLLKRVYTLQRRQ EIIDKFDFDIAFKCFTSDFLERKIQGLKAIQELIKRTKDQYNQYGIQEYQKQAAIQTILE WLNEKKIFESLYVGSGNSHLVQRSGEFFKFLVEEKMIGIQNLKEILSSLDKAEYEHKLAI HKLFKDVSNSLDKEWLDFLTDEICNKDPKEVSKDDLDLLLDIVKSNHKYKEDYIQKCCNC YWNVLKDGQLNQTLFEQYLAQYIEQVTSFEMRPHKGGQVNMIGESIVKLQSPLIGLKVLT KLIEKLDITVAVWEQYTRNTALTDLQQNYQIIEDVIFQIKNAKQQNENFEEIKVRMNFIQ IFYQNITTYDYRLQFKVISTLWELLVCKSDCQQEKELVYKWFNALNNLDGQSQLASMVTS QELKKFFSEKMTADLAHLTEEGFNCLKTVLLTINKSESFGIDVLWQIILEGENEKVSQMA IEYCHLFESSVDRLFQKIQENRESNQKLLRCLLVLEDFIDQSEVNGVGNLKSLNALSQGE ELLIQIQYDNSQQRRFTIQINDNQTIVELRVAISKVIKIQWDALGLNSLKGEIKFTENGK MIKDLRLKKDEIIIVFKKQIKEVPEAQLLEGDNLSEQAKVVFTEIFSEYSTDGKMTKEDC TRFVTGCTGNPCSIDDANIQRTFEQYDKDKDQILTLQDFFEFYTDSARTKKSTVWLNLQT LHYRNDLIRGDRVPLPQINPQQLPRGQIVQNQEYLDLLFEFLSSSSIDVQEKTWYLLRRL PPSPQLIKQMLTFENITKPTDWDSILVSNHYRLLYSLYIIEFLMNQQDSNNLQALIEDQD ILNLKDKWMSKFLQYGGFDRLLQFFKEYQDKSVSTLSQIEKEILSFLLNTFQNYVIAACA VQIPNLFKASRGIQIIRPLDQVLLDIRQSEEPKEFQLLVQKLKESRLGDNIIEKIENFIS VLINLIQELLKCNELEQEDRQIIEHSIIIIIVILLHNQELLVKSIENIEFINIFFSGIFT GNSDTLRNLFSRAILVLCHESQKKNNQPTRIILQQLIAMENSQGSSAQYYELLSQLIDSA FESEESQQFIDYQQLSQQVLQNLMNYQSQETRSKNTSTDKILVGLLNLLTKLYRFIKQPI VDIIFNDCLFSMQEEKEIKCKSADSRKVAFNLLNKLAQQQNMNTIIANLQLLSQKIPNIN RWNYIPTSEIRSTLGYCGIKNLRCICYMNAMLQQFYMIKPFRYGILQANDQKEVDLQISK TGFTYDDNVLHQLQQMFSYLELSDRVDYNPQEFCAAFKDYAGEPVNIFIQQDAQEFLNMI FDKLENMLKETVYKNIMDGVFGGKTCTQIQCQNCKATKSKDEIFYNLSLPIKNLKNLQEC FDKFVQGEIISDFKCESCNQKVDVNKCQLLAQLPNILILHLQRIVFNLDTFMNEKINTRL EFPINLDLQQYTINKDQCTSYKLVGVVVHVGTADVGHYFSYIDIKNQDQWLEFNDHKIKE FKLKQMQQECFGGSGNMDYNENDVWGSGFRENSQSAYMLVYEKVQKDQISLVFNSEQELQ NNLHQFENYTIDPNQKNCLLVDYNSQKPYIPKEYQSKVNCDNQQFLLERNLFSQDFLKFI LDASEFVNEENANKMIDVLIKFNYDLLSRSYENSLMEQFNTKILQLIQQYPNINYLDLVY FGKLNKVVDLLLVCPEARTRKHFSKLLSTLFNQAIQIQGEITEKFNEGLDQLFLMIQDQV PKNWTRYEFYFQFWLDFLQEGKPQQEYLKKKNMFLYMIDFILDRSSPLQLYEKKVQMGNA YVAMNIQIQVQIVSTLLNLDQQLSLNEKKLLYQPKLYDKILKTKVDDILPLIQKLSYKNK YFSEIISGCIMRGMSNGEVDEFKNYLQVAQSFLLIDDNLQIERLEWLIGIPSSKQKDSTK IYDNQINEIPSFGLFGLSSLEEDYWTFASPLGWSNCLFDYFCSHRGIKNYDTQCLLILKC LLQISVQNQTCFNYISNLPCVNYQYKYNEDIFKTFIDIYILDTKRFYSLNNRKQESEETK LLLEEYLKKLEQTRDPSKPQYDYIIGKSVEVIKKTKLFYLFNPVTKEELTVNEESSNFKQ IKQQIDDGSLQKIITLEEKVFITHVCDNLPTGQTNEALPEQYVKGTQIHHFSVDPNSEAA NFIQSKAWNVESNDKAVVSNPRQAETVRQIQLQNNTNRNLQVILEIKGPQNACHYIPTSK IQSLMNPKIIATMLTTIKMKSQDEFPKLDLFLQYKKQEPKSESYLYISDQNEMNLELL >CAK65483 pep:novel supercontig:GCA_000165425.1:CT868039:89009:89311:1 gene:GSPATT00034962001 transcript:CAK65483 MQGIQQMELEKVMTERNDLKTKVLKYELLGGELAQLDDDEIMNQLEDRKKKSRRTAADID RQFFCTFNNCKKAYGTEASLIQHQRLKHGVNSGMDAYFRI >CAK65484 pep:novel supercontig:GCA_000165425.1:CT868039:89976:92283:1 gene:GSPATT00034963001 transcript:CAK65484 MLNRSDYRKNGQVIFTYITTSFYRNVIDSFLFNQISNFVIMDFVNEKYAVIPKSLKSDEE IQKNMAPECNSFVERAKFLLVNGQIFQKIFVTKNIGDSLSDPIGASQLLEIIANQLQSLH DEALIKEYIKAVSEIFNKIEITNQLKQSYSDICFNIFTKQYQQYDIINSCSEILKYLDDL DIYKEGLYLETDNQMYQILKIIEIYYHKFTPELKKQAHLQIKSSMKHKDERVRKTISEIL LSNQLLLKSILREFDWIDKFTTLIYDSSKDVRTSSAKLFLVTYELNEEIHKSRLLLLLEN IIKQLDSSQFEFCQSISQYSLNQDNLQSLLIEKITEIINHNNENTFLFLESIHKYYSIIK QFNEKHSNSIDDLLINSIEVLSEDMRSYDIFKCLSNLYSNLSQDMQFKVIQKLFLNFELN QLMFIPYLSEIIEKAALINKNKIWLQQLQMIYEMFKRNVFQFESKGLWNEISNMIDIMIM ISKILFLQKDFLQQCLKMSQIGSKSIRDKIMNFLIEKIIELDSLESRDQIIQNYLGLINH SNYQLRQLSIEFIIKLCQQRSRKFFISNNLINILQLQYDSVALVRAKIPKLLFQIKLLFW NDEKEILSRIVQIFQNLMSDKKLYIQQISKDFWAELANIQFSNAENTIEQNQLMDEREQQ EYANLKMIKQQLLLNPKNIKNDVSKSRNQTPTSRLPPSNKRQNNFLQVPKGTQPRKTSAQ RVIQSKSPQPFK >CAK65485 pep:novel supercontig:GCA_000165425.1:CT868039:92302:93143:1 gene:GSPATT00034964001 transcript:CAK65485 MFTFRTIYKFTAKAVDTEALLKKLQTKSLITLTRDNYQLNMGLLIQRDTIFLHYTEEEMK LRKFRYQLEKRNNYIPKLPKELHEYTHFTGDQNVFGDETPTHVRLVGDVRSVFLENSKFF KHCDPNEKNNKLIQYNSLHTVYLLVKQNGIWSFPNINIEEKETFQETQTKLFEKMTQNKW QVYYFTRSPRLVTVDPNPDENAKIKGVKTIYFQAKHLNGKVQIFGYDDWAWASRLEMNKY LTESAYNKVIHALDL >CAK65486 pep:novel supercontig:GCA_000165425.1:CT868039:93215:93836:-1 gene:GSPATT00034965001 transcript:CAK65486 MKTMISINSNVSHNYRASCKSEQSITPLRVPYNGGSQQNCLSKKSCTNTNFIESVLMEYK QLCAWVIKEMNLKKDHNQMISNLNKQLYQFEMFLAKKLDGYTQEIQALKLVQQENQVTIH KLSSTNQDLKNKLKQISNNINGDFLSSSPLKKRHNYSIQLITKQPLRNISYSLQEPSCDK ENREIFPKKKSLKPKFKI >CAK65487 pep:novel supercontig:GCA_000165425.1:CT868039:94932:96374:-1 gene:GSPATT00034966001 transcript:CAK65487 MKILKKADFFGVPIVQNIDHDQAKYKSIFGGIITITIFIVSLAYVFWIGYLWQTNQMSPK VSRQNYISDYSLLDLSEEVVRVSYWKYEEGIIDPFEQKILLPLVVYADNNFLTDAQIIQN YTPTSSGDFYVPNMKFGFSNFDDYIYTSNEMYIEIVLCSEKYLKPGEKCASQELKEQFFA QIGNVVIIEFYSTTIDPRDGKKQRGFQEYYLQIEQHYCYSMQSFFKTTLFELQNYILFGS SQYKEYIVDLQIQTQTNSLEYCQKIYQNEALAIVYLGMKGTQEKIILEYPRVGDLLANIG SIVSILFMMKYIIMLFNEYFLNQKVLNELMSFYYPEFKKIEITKNWRGKIVEVRLNKLKI DINNYERFYEKVSNQMRQKFSYLNLLYEISRLYFVMRSSKFRNEFQKSHQIGIKINLLQQ KESEIVFSPKSEKSYENNYALNEDDADILSHSRKKIDKSYDLISEEIYNDIDYYYINKIS >CAK65488 pep:novel supercontig:GCA_000165425.1:CT868039:96611:97246:1 gene:GSPATT00034967001 transcript:CAK65488 MADWTATKNDYISERNQNEKLKAKVESQNEELKKLQEQIGQARTQVEGLLLQRGKKQEVL DNLEYVKQVQVTTGQNKLEELNRQLERALAEQKALQHEQNVVQVPEVAELVIKSKQSEVD NGKLKVEFELKENSSQIEVQEQLLANKKSVQLKGYAILDSTLYYFDKVIELWKQVQERES IELNGHVLTIIYK >CAK65489 pep:novel supercontig:GCA_000165425.1:CT868039:97641:100265:1 gene:GSPATT00034968001 transcript:CAK65489 MRKQAEYELYKRLKLLGEGSFGKAYLVECLQDKSLWVTKYMDLAAMTPQEKDETLRESKI LEFLSHPNIVKFREVYKTKKARLCIVMEYADGGDLAQKIKEAKGKYFPETQILDWFTQLC LAIKHVHDRKIIHRDLKGQNIFLTKEGQIKLGDFGIAKILKKTVEKAKTMVGTPYYISPE IIEGKPYTFMTDIWSIGVILYELCALQPPFNAESLHFLALNIVKGQYKPIPSHYSKELKQ LVQSLLQVDYRRRPTIQEILKMPVITNRIKSFLSETIQKQEFSHTVFHNKVFEVKGSLNQ VNLILNEQPCPPQYLEQNAKNLQEFPNIAKKPSIQEFDAVRPPQLSKQQQQPSSRQSDPQ KDTPKIIQQEQQKPQELPKQIDVPRKIDPITKNSPLVQKKDVQKGTPPQKEIKKQSPLQK YNSRPSSDQEKQQRSEPCKFDAQEQKKHSNSNEQPKHQQKIEQPRPPTAPKEKPKPQVVQ QRPLSSKPQVQEQKVVQKVNVEKKRPTSVDKVSPAQQQQQKQSQSEQQRQEERIRLLKQK QQQEQQEKEKKEKKEKEQQIQQEQLLEKQRQYEKQQQLEKQQKEKQQQQQLEKQQQLERQ KEQDRIRQEEKQKIAQNQQVSKVDVNKQDQQKQNLERQPSQKQSQMDSKQNESKAQLKSK ADQLQKIEQNGQDFALMLKELESLINNVNSAIDKFPNMGGKENYFDFNSILTMQMEDRED DEDEPTNQFEKKTKGGLKLISKEEEECLEMLNKQESRPSSLKIKLESVLGVEKMNKAKNI LKQTLEKLDLETMEQEYGPNYEKLLPFLTFEERKKYAPLLFTYIICG >CAK65490 pep:novel supercontig:GCA_000165425.1:CT868039:100800:101576:1 gene:GSPATT00034969001 transcript:CAK65490 MTDFALTTFSSKGKLLQIEYALNAVAKGDTAIGIKAKNGVVLVVEKKQSSILIEESSVQK IASLTDNIAATYAGLGPDFRVLSQQARKLVKKYDLKYQEEIFVQTLSRELAEDVQIATQR GGIRPFGVSILIAGYDEEGPHLVQLDPSGAYYSWKATAIGKQAKNAKAFLEKRYNADMEI EDAINTALLTLKEGFEGQITPTNIEVGVIRDDHVFRVLPPSQVKDYLEELE >CAK65491 pep:novel supercontig:GCA_000165425.1:CT868039:101592:102411:-1 gene:GSPATT00034970001 transcript:CAK65491 MLSIDYLDSLIGQNNSSKLLMAINAIGCLGIMVILKELEPNYVTLSIQYGFILLLDSQRS QYVYESQYDALMMAVIGGLNAIGYFTLFAALRKIDLLVIMLVFNFKTLFVHSIQFQNNLS SILFLFGFTLNLMSNDRILAVWALVFGQACQTGSGIIQQRYRFHNQNLQLYKGAFMIMMS LFNFGFYSDKIENSFLVYIASFPVLITYTFFQWLPQEVKLPNEMAENAIIGIQLLVLLLH HSFKILPTISCIFYFLGIFSMFIKK >CAK65492 pep:novel supercontig:GCA_000165425.1:CT868039:102430:102879:-1 gene:GSPATT00034971001 transcript:CAK65492 MQQLPQLLILMIRLFSKYSASSSKMVTFYFKNKDNSLTKVTTPIGQNLLQIAHKNEVDLE GACEQSLACSTCHVILPKQLYDKLPQPVPEEEDLLDLAYGLTETSRLGCQVKVDEKFENV IIQLPKATRNFYVDGHKPKPH >CAK65493 pep:novel supercontig:GCA_000165425.1:CT868039:104429:106335:1 gene:GSPATT00034972001 transcript:CAK65493 MKKLIIFKIFDQQFNELSKTTSNNYVLATSTLQDENQTFQIKAYQDKELAYTPQHQCDWK PNLIFNDYQSFIEHIHNLENLDSQMCYHYVAIQTDLVKKMKTKYKVLYKQLGKQDIIIIA LVRIDNFTEKQMIFKFKKFKKYFYDIFAHKLKTPLNASLGFLSSAYNYTEMDNTIKQNYI KPAYINSKLQYFQISDLLEFLNPQCDQTRLQYCKINMKSFLYTLNELIESQCQNKKIPFQ IYVERVPLNSIEKFYISTDLLKLERILYNLLNLTYRYTPQNGQINLHIFFDQANSQIIFI INGSGKLFNDEELLKINQWIKSQTEFQYKKKQIPLKKEIKLSLEITNHLINTLSDYEQHL EISSSYIGTEFKFRLILKDNKTTEGSQEQFTIGFMLKQKSNKSFKTGTTKQKSHKSMIIE LASTSPLNSYRSINEPQANTPIATPINQTKVNKSSATLKRIRSSFTNRGVIDFDKKILIV DDEPFNHDILILMMRNMGYNQFLKAFNGQQAIDLVKEREEDISIIIMDLDMPIMGGIEVF IIQIIKATKVLVDMMFEQSLVYIPIIGCTAHDDKETIDKCIQVGMLYVVVKPVFVKSLID AFKKITNTDDTRKRSFLCVTSQLQI >CAK65494 pep:novel supercontig:GCA_000165425.1:CT868039:106511:107029:1 gene:GSPATT00034973001 transcript:CAK65494 MLCKCNHYKIRLSQKSFKMIQCCFFQWDPQQSLDTQNIGCTSTTEIQKNIIFIQFAVISV QITRNKIQDYILRYHKMDGLLYFKLIGSNKRFRQHLSYIFSHKLKTLLNQALAKITSMLT DTNMLPYHKLMPSQIYICQKVNCKAFWIFFGLCQFRFNNFPNFSSCIKLFIM >CAK65495 pep:novel supercontig:GCA_000165425.1:CT868039:107035:107313:1 gene:GSPATT00034974001 transcript:CAK65495 MIREQCKAKSIELNIIVEKAQFKEYKGYVCIIFNVNSFLKQFSFKESGADSNQDQIVEND QFIMIVDDDPFNHDKLVMIFMRIGYIQFHQCL >CAK65496 pep:novel supercontig:GCA_000165425.1:CT868039:107681:108811:-1 gene:GSPATT00034975001 transcript:CAK65496 MISIQKSFKEPASSSYQFLDKLGQGAFGYIWKVRHISSGQIYACKLVKNQMRKEKKLLLR EIQILYQLKGKKGFTQLFASGSDLNNTYFVMNLMGENLEQIRQSKGNFNKILPIGLEILQ LLKELHSEGVIHRDIKPENFVMHQGRVNLIDFGLSKKYIINGSHINYRENKGMIGTARYA SINALKGIEQSRRDDLESLGYMLIYLHQGDLPWSNIYNQDKEIRYSKIKKMKKSLKLDTL FGLPIQLSIFMDYVKDLQFEEDPDYDYMISLFQQAEKSSRVISHNYSSKPIIKLRSILTQ RRSVRSPSKGVNSSRKISFEDGTSEGSEIDEELCNSIQLKHFVVGIKQPTSSPIYVKCK >CAK65497 pep:novel supercontig:GCA_000165425.1:CT868039:109057:109230:-1 gene:GSPATT00034976001 transcript:CAK65497 MNQRTPEKKQKQLILPQAPKKKRNCSYNFNDSELNTIKRQLFFSEDGQEENKIKFSL >CAK65498 pep:novel supercontig:GCA_000165425.1:CT868039:110010:110724:-1 gene:GSPATT00034977001 transcript:CAK65498 MAKGKQTKQEQAKQVEVVDKKIKKAEEQLMMNQLINLHPLLNLLLKKEKSKHKIKNQRPQ KTDSVLHYSSLDKLYYPEAPSSQFPDQLQQNVINVIASFGASAALKEITNNLSLFYTNAV EEQTVHNFLAQAEESGIIYERSGQYHVAQELIPPEPQPKQEVVAVPQTIEQEPLPQQVIE SAVKQPLDNDQIDFEDQIQHQASEKKEVINDNPDHQDLFDN >CAK65499 pep:novel supercontig:GCA_000165425.1:CT868039:111043:111532:1 gene:GSPATT00034979001 transcript:CAK65499 MLEKQYSQQQISQQQENSNISNLQSQHQKVQDELKILKVIFNQNSNPDLVLESLQIKEQL VVIQQQKINLKQNQKQDQCKYNILGGINQTDLISRSYLRTLKRKRSIKVFFNAFLLQFSP FSIGLNQLVKFLQQPYLITKFDQLYHQVKTEK >CAK65500 pep:novel supercontig:GCA_000165425.1:CT868039:111748:112511:1 gene:GSPATT00034980001 transcript:CAK65500 MSNDYNYMFKYIVVGDTNVGKSCLLLQFTENKFCDHTESTVGVEFGYKTIKINDQQIKLQ IWDTAGQDSFKSITRSYYRGAIGGLLVFDFSRRSTFEDIKKWLKEIKTFSCDKIELVLVG NKSDIPKKDVTIEEVEEYAQQEKLDFYETSAKTGKNVDVVFESVANKILRKIETKQINLD DQMLGIKVNFNGKMRKKSKSQSNQSPYYNITLNGKQSDQEKNEKKSCC >CAK65501 pep:novel supercontig:GCA_000165425.1:CT868039:112724:112882:1 gene:GSPATT00034981001 transcript:CAK65501 MKEFNQTNLTTLFIEYCVIFSHQWTAQNHQLVIVRSNIRDQDVTNRFIILLV >CAK65502 pep:novel supercontig:GCA_000165425.1:CT868039:112938:113270:1 gene:GSPATT00034982001 transcript:CAK65502 MEIQKFHLLDKSIDDICPTRVLNTFCKSIKIVLGKIKRELPESIIAQLSTLKTPFMSINY DGMINCSQKIDKSYLFIEQTLIITSKCDLMIIEQSFQNYTLNVVYQLIQK >CAK65503 pep:novel supercontig:GCA_000165425.1:CT868039:115609:117051:1 gene:GSPATT00034983001 transcript:CAK65503 MKILKQADCFGVPFVQNIDDSQTKYRSTLGGIITITIFTASLAYIFWVGYLWQTHQISPK ISRQNYISDYSLLDLSEEVVRVYYWQDQEGKIDPFTNNILLPLIVYTVNNSLTEPQLITN HSATPFGEVYVPEMQFDFSYYDGYIYATGDMYIQIVMCSEIYLKPGEKCASPELKQKFFA QSNNVVQLEFFTTSIDPRDGTKQRGYQEFTIQIEEKYCYSVQSYFKTTLFELQNYFLFGY PQYKEYIVDVLLQTQTNSLEFCQKAYETEAIGIVYLGMTGTQEKTTLEYPRIGDLLANVG SIVSLLFMIKYFIIFLNEYFLNQKVLKEIISFYYPEFKNIQIKKNWRGRIVEANLNKIKL DINNYTKFYEKVSHQMRKKFSYLNLLYEISRLYFVMRSSKFRNEFHKSHQIGIKINMLQQ KDSEIIFTPKSEKFQENNYILNDDDADILSYSRRKPDKNYDLISEEIYNEIDYYYANKIL >CAK65504 pep:novel supercontig:GCA_000165425.1:CT868039:118170:119026:-1 gene:GSPATT00034984001 transcript:CAK65504 MKKHPSENLLDYCVYYKDRQLPALHKTEAVKRALIMDSITNHEHPLNQLIEKKQTFVPFI NERDSMGRLISSESYHRKRKSDASSIFNSPKSSHHFLSKNSISNTSSLYENQLPATRLKL KNLLGGTPENTELIQSTLLASQSEFKQSFQFNSKHNQVSLRLHRKNNSLQNKLPLFARES RIPELKLFKQPTTPQATRFFQSNYVIEMFNQNKIPDYKMQYVILMERMSKFQLEFLKDVT ANERRRTFVKLDKNRRFKLSLLLLISKAQTQYGMLQ >CAK65505 pep:novel supercontig:GCA_000165425.1:CT868039:119493:121303:1 gene:GSPATT00034985001 transcript:CAK65505 MSKLQESNTFENNKKYKIKTERSTLMASPKNKEFDSQEDLVRRLNESQKSLQKEIEKLHL QVENKEDQIRKLHDLVKLQQKEIDRLTHINEYLMQANQTKLSILRDERQSNQGSKSNVKI KNHKSLMPLEKKVNKQPEDNTQTIKLYRRQTFRNPTLLKYHQEEGDTFKSERNTSGSSNF YDILQQEDCFRTNAILNIQLSDEDATTQYNQDGTVSLMKVLLDSEEIFSEIIQSISAQKL SFLFDKFKRVMSDHQQLFILVLRLKKIVTGALQMNTSVLLDDALQNIIDKCVDCLECDRA SCFIVDQAKKELWTRVAKGTSTTIRLQMGQGLAGFVAQNHTILNIEDAYRDQRFNTQQDA KNNYKTKTLLVSPILDGDKCVGVLQCVNKSNGFFTKDDEALLQIMAEFSKSVLKNALNHD AQMLIQNKLRHIIRTGIILQGCLFKKDSILELLLSSEERLRSLMNVDQAKVVYWENCLYH INKDGKMTETQNLIGIVGKCIEDSQLVAVSNCYTSPIFNPNIDIETNMPVICMPLKTQSH QIIGAIQVVNVKGIGNISSNSDAKINSIDLDMLELFCQHCAQSLLQCKLHTQQL >CAK65506 pep:novel supercontig:GCA_000165425.1:CT868039:121329:122624:1 gene:GSPATT00034986001 transcript:CAK65506 MGNSQKNNQKLISTAWLGVNDEWSSIMKEKLSRLSEEWVVPSIKCLDRFSSRDQTKFRNQ VKLILTKQMKEDKYEELFNKSQVFLNSNEYLRQDFQLGIPISELTISTNTNSIGKINDNQ SISSKNQDSLFMEDQCSQIQQFYVFIYCVNDALISQKHPFIHLMNQFKEWAINKLINIKN PQHKIQQMKQKLQKFQSSSDLFLNFLIHSLNEYLGDFGDEISEEGPTKYIKDKQNYYNLF LSHIFNETLISHLMDILKVIHQSSQNNCIKKIKHMQSKVECFEIPKKYLTHDKPFLQEIS CLSEAQQLIRPTDIFYCFMKTYWMIKDHLARSASSFLIFQVTNYVIVHSNIEYFHALLHL LELFYCNSPILLKYNQSLEFIQNILIS >CAK65507 pep:novel supercontig:GCA_000165425.1:CT868039:122693:124213:1 gene:GSPATT00034987001 transcript:CAK65507 MQQNPKPLLIIDSRYALYDSRQKRTYKYNTVLQKSFILLQQHFQILVIFCHSKPNTNEFF YNEFHKNFQGIDSKQLSIQELKVTSFPNEDIIVVTHNYEQFTLQQKYQLYYFSSVSQHLD KKRQLELKYYESNPKPSFHISHIQQVMSYFSKSQNPFTVGYYFYPKKFNELIQFDALISN ANFNYIPMDFRFYNKYIQINVLFHRVMDIYKKKEINMKQNEVELFQQNYDKFVTENAEIP VIDQVECLKTLIQRDELNVKLQSIFASPQFQNAVQEHHIKIMTPEQVLYDNIGEPQELQN LKYPLIVKSKQGALTANCHIMAIVVNEKGLRELFKHEQFKGQLILQQIINHNSIIYKIYQ LGSKMIVQKRKSIPNIEIHNFKFEEGFYIFDTQKDLFKNVSQCLKEVDEGVHECSNEDQL LKQMELLSSIIAKEFKLHLFGFDIIGMNWEFYIIDINHFPGYKNVENARELFEQLFLQVS KK >CAK65508 pep:novel supercontig:GCA_000165425.1:CT868039:124272:125339:-1 gene:GSPATT00034988001 transcript:CAK65508 MQYQIQQIRQHFSSIDRKFHPVPAFVVTRPLFACRSLSPSFYKLRSKTLCTPLSYSVVKM NPTPQRENKFTFRGTDNTPSKETPIKELNEIKLTKVHSTHEKIMSESQERFYQQKKQSNI NSKSKEKPSHKNLSPVPIQFKLLDMTKLQEKQEQQQLFPKASSNRYMESNNQGDQTDKSE QLQQYYEQPISKEVFNILNQNQQQLQQKLNNDVEQEIKDLPEISYPEDTQQQQQSRLKLW ACNPNDSYRQIMGRKNNNKKSSKKSPTKTPKSPKKQHIIYYNQIYLYKPRENNKQNSSKL SYNSSQKQISPKRQSKQVSYILTTPSKRNTRIQHQQNEEEEIEIINLAPEFSKLI >CAK65509 pep:novel supercontig:GCA_000165425.1:CT868039:125788:129642:1 gene:GSPATT00034989001 transcript:CAK65509 MKTKELWTYTIDKPNEPNSNLTNQVFACAFLKDGDVVVAAVGNALLLFDTQKSEMLRPPL RGQHKDTITCLAASKDGNKMVTGSTDKTVIVWGFNITRGEKMLDAEKWFSHSEAIQCVAI SPLMYQIFTGSNQEYSLWIPGMSNIERQKYKEKIICAAWSADGQYVSFGTLSGMIVITDR QAHQLKEIQTQKGGPVWCMEWTPITSEYQQSQLTVGVWMNSLYQFDCNGQQIGARTELPF DPLHINYQYNGEYMAIAGNNNKINLYTREGGFLMEACSLNDWVWCSKIKPKSTLIVCGTN DGDIVVQELLSENVTALYDDKFVQREQLTDAIILSMISNQKARIKCKELVKRVAIFKEKV AILCGIKVLIYTCVIKGEDFMKYKQFKKFQKRVDCEHFQLASSNVLIGAGQKLIAFNFNG DMDKTWSFESPITVVTCQGGAPKRELVLVGLENGGVYKIFLDNSFPIQIHKVNTPIKYLT MSQTKRKLALIDGNQNLQVLDTISKEILFGEMSVEGVAFNQEFEDLIAYSGKGLLFFKCI TFPALNQKITGNVIGFKGCKLFLQNNNQVQTIDIQQTANMQRYLEKKDFQNALKIACLGV TEQDIRALGIEALIAGEFEIARRCFLRNKDYQFIDLLLKYEKKNMDAQALNELNAEALAY QGRFMDAGNLLIKVGQADRAVQLFKELRRWDDAINFAKKGDVAYRAVTSGGRTGTGVRAP TSQGRTGTGRGQAVMPQPQDIAPPKIEMNMLLREQAEWTKESGDWKAAADLFVTCGEFKK AIELYGQNKYLEGLINVCRMLDRQENSDNIVLCANYFKKLKHHGGAKEAYLKLNDLKNLM TLHVEFQKWQEAFQIGRSNKELLEIAKVPYADYLLLNDRYEDALKAYKSAGRFDITMKMT KDMAKNCIEEQRYHDASQYLWNLAIDCLSQIQDYQNPSGDDVKAITQYKDYSDLADVYYA YQKIHSFICEPFQPLSGESYFLQIMNSARFIISKWKSVYQGIKMSYVYYALAKCAVQLAC FKTARICYEKLNQFKIPAEWSEEIDLQSLLVRSKPYTDDESKLPLCMRCQTYNAIINVNE KLQFCNACLHPLFCSFISFQTLPLVEFKVDNTLQRDDVQRLLNSEKVFVNKRPGQLFQDK VNEIIQQQQTSQDQYLVVELDEAAVQSLSPEEVLIVDYRQYCSTIDVKYYKNMVGEQPIH VCPDCGRFFYVDEHEFEYVQKRCCPFCRISDKKIPQKDVFDI >CAK65510 pep:novel supercontig:GCA_000165425.1:CT868039:129672:130134:1 gene:GSPATT00034990001 transcript:CAK65510 MNQINPRLQSGIQQGQTFTIQGQTKNPNFPGMPQVIPQGMPQGMQQGMPQGMPQGMQQGM QQGMPQGMPQGMPQGMPQGLPPQTFKQPSQNDGMLEVINQARNRETQRKEECLKKMALIN ANFGEKNYT >CAK65511 pep:novel supercontig:GCA_000165425.1:CT868039:131340:132782:-1 gene:GSPATT00034991001 transcript:CAK65511 MKILKQADCFGVPFVQNIDDSQTKYKSTLGGIITITIFTASLAYVFWIGYLWQTNQMSPK ISRQNYISDYSLLDLSEEVVRVYYWQDQKGKIDPFTNNILLPLIVYTVNNTLTQPQLISN HSAAPFGEVYVPKMQFDFSYYDGYIYATSDMYIEIVMCSEIYLKPGEKCASPELKQKFFA QSNNVVQLEFFTTSIDPRDGKKQRGYQEFTIQIEEKYCSFVQSYFKTTLFELQNYFLFGY PQFKEYIVDVLLQTQTNSLEFCQKAYETEAIGIVYLGMTGTQVKTTLEYPRLGDLLANIG SIVSILFMIKYFIIFLNEYFLNQKVLKEIISFYYPEFKNIQIKKNWRGRVTEASLNQIKL DINNYTKFYEKVSSQMQQKFSYLNLLYEISRLYFVMRSSKFRYEFQKSHQIGIKISTLQQ KDSEIILTPKSEKDYENNYILNDDDADILSYSRRKTDKIYDPISEELYNEIDYYYANKIL >CAK65512 pep:novel supercontig:GCA_000165425.1:CT868039:134560:136254:1 gene:GSPATT00034992001 transcript:CAK65512 MGVCSSSKKCESKSSSKNNFNPALTNTAEVQQTLRETILTINEQRINQNQTVQRKLKKAG TLSYFEYKQDQISTDKQQRSNRKIVQKVPQLRIKVTDAIASKDYSVYKGKVKIAEKSTLR VMQHNSDGQLVIMEQLPYDQEGKDYIEWLQKTNLDFYHIGKIHEIFIFGKQFQIISEFCT GGPLSLLLHSKLSEQVVSNIMDQIFEIINFLHSNKLVHNKLTIDSFSFYYDLQNYLIKLT DIRSLHKPLREPQLQIIQYASPEVLSVYSQHPHKSSDIWSLGIIAYQLMTRNFIYERNQD LTTIQEVKSAILRWTAKQDLKDEVSQCFEDLIFKMLHSDKNQRFKIEECQKHEFIVKHQN KNLNCFFKHQFTEEFNSKLQRWLMIYLVNHYEHSHHHVAHRIFDFLDKDKDGKLNVTELQ EFERKLKQAQGQDMNIKVIQNLIGKEVELGLEDFILMFSNKSLYITHHNIHHSFKKLANK SSEITVKSLSKILNISEEEITEEFKNHQLYYESYSIPLEFAQYETIMNQILVVQNEFQ >CAK65513 pep:novel supercontig:GCA_000165425.1:CT868039:136283:136717:1 gene:GSPATT00034993001 transcript:CAK65513 MLNLFNSLENTQKVPFQLRRYRPSLTIQSEPKNQITRQHTIQDLLNFKLAGNITPAQPLI QRKISMRPSLKLAKITPFVTESGDNCTPLIKRTISLENTKKVDFHPSVLVIYSENGIKKR EKLVDEFKVKRRGTRKNCIIIQKK >CAK65514 pep:novel supercontig:GCA_000165425.1:CT868039:137973:139754:-1 gene:GSPATT00034994001 transcript:CAK65514 MGVCTSSSAKNDQKQMKTQPETKPDQQISLVPRSNKSTIQWQDRNLKNKAIIPQKNLSTN QTTTPVQINSTKNGTMSIRRASHATTITTKTMIVQSSQLYEQPLAQGSSPFSIQNKRNNI KQGTKSLQVTASKNYSIVSSKILAENLGKTVMQHNETGQQVQVEVLKFDHKNQQYIDKLE EIKLVSITITQDNIHIVKIIDILVDHQKKTYQVMYECCPGGSLSNYVENRKYDDQQIGII FYQMIEALGYIHSLGLSHDELTIHSFSVFDDSSTPFIKLSDVRSIYQLMYLKEAQYYEDP ISSKHQHPRHSHKHFDKHPDKHIDHIPIEVKSRNKCNDVWALAVIILQLRNKDMPYTIQD IQSFKPEEYLNNYPSEMNTLLLEMLNLNRHDRITLKQCLEHPFLVKMKQVQPQDLLQPLK NMIKCKNMTYLHRCVFWYLLQNYANDHLKILTKLFKTADVDSDGSLSLEELKELFSIEGK DLIDQLNLQQELTLDDFLLLASNKDIILTQDILKSSFKILSRQSNLITLKSLLRVIDNFN ELQVQQEFKSFNLNDGLNLQEYTEFIINYETPNPMI >CAK65515 pep:novel supercontig:GCA_000165425.1:CT868039:140522:141289:1 gene:GSPATT00034995001 transcript:CAK65515 MYTGTISVIYGPMFSGKTSELMRLVKRFTISERKCVVLNYANDNRYSGEQCISSHDKQFL RAIKVCKLNEAYEKCKESDVVAIDEGQFFQDIVEFSEKMANLGKIVIVAALDGTFDRKPF HNILNLIPLAERITKLTAVCWFCKRENASFTKRIVQSKEIELIGGEDCYKPACRLCFHLA ESQLKGQPIAQTQFVNQENHYDQNNLIC >CAK65516 pep:novel supercontig:GCA_000165425.1:CT868039:141289:141609:1 gene:GSPATT00034996001 transcript:CAK65516 MSFNGNALLTPSRYGGESWNAQTCFTAQEDYFECLDRENSTNVNRKLCGREHQLWKEACP DSDRRAQMIFRRLERQNQALYTSEQLRQYNNHQNNRSFK >CAK65517 pep:novel supercontig:GCA_000165425.1:CT868039:141913:143494:1 gene:GSPATT00034997001 transcript:CAK65517 MSYTSSWPVFTECDPCTKFWNRNEGLVDQNILMTEELMKTDQKNIIIKERIYILTNKGYF YYQKQNTLRWVQLNTQMSVKLIELKLPQREHDVVKVIRIKRNQRVYACFWNSDFQKTFQY FKRIAGFCVCQNFEQLYTFQDLLGKGGYSKVYQLCPVNRLPYQQQYYAGKVYNKVEVNSK KNLIQISHLIRQECEILKKLNSPFIINLFEIIQLDEQLILITELLRSGSLYQLLKEKVRI QEVEANGIILRIALGLQCLHSLGYVHRDIKLENVLLDKDQIKIIDFGFAEQINREVLTSG QGTIGYMAPEIFQDQPYTEVGDVFSLGVVYYLLLTGKSPFRGHNQENIMRANKLCEIDFS EYCFVNVSQKVIALVKAMLQKNPKHRINLNEVIQSLRALNPIIDYAYRTNSVDGSQMTKQ AGIRSLYLLSQNSQDSLRGQKTQQNRLNVTDKFVVKTSTFRPSLVNLDSSHNENEDLEDN QLPGFVVEFLFVSMNIMNNTKFP >CAK65518 pep:novel supercontig:GCA_000165425.1:CT868039:143511:144345:1 gene:GSPATT00034998001 transcript:CAK65518 MQFSCMFCEHKGNLPELLNMALSSKYQTDFWQIQLRSPSTIKFQDQVCFEESEEYHAYPH LQDNRKQLTEYYRYHRDIPRIFIKGITSQLESYHEKQREIKYKKIKKQLGMQVKDTTRDD MIYPFKALKGLSELQTSRQLQQLLKQIKPLRYDKSGIANLIQKQKQQMLQSQNLKKLLKI DNTNTATTLATKRTYHTSHTLQDVKKKTHKKQLTLCTEYSPEERNDFYKRWSDVLSHRQL QYHKKKIKCTLLNKKKSLK >CAK65519 pep:novel supercontig:GCA_000165425.1:CT868039:144630:145877:-1 gene:GSPATT00034999001 transcript:CAK65519 MFLTLLLGLLYEGKSVTYQVRRHTTIMDPIHDIHQVEYRVEMQFGSMKQQMELIIDTGSS DLWVPSVEARGFYNYVKRYDCTASSTCINTDSSYSIYYDDGPISGTLAWDQVWIHNIKFN QSFLLIERANGNIASSGGILGLGFNSIAANPNPSILESLYQQKLISKKYFSLYLKDEGEY GSYILFGGIDMQFAQEGQQISYVPLMSASQYLIGIEGMSIDNELFNIPTALVDSGTSCLT VPAVAMDNMLDVFEEKGVHCSYRVESYAPSYCTVHCSVTATSEKEIIEAFPIIKIRVNSS LELLLNPQDYIYSCTIIDGSQSKCNTKLERSEIDDILLLGDAFLHSYYTVFDQTDKQIGF AHSINNKGLKLHFKSRHLSNDALMLIILQIGLIFIL >CAK65520 pep:novel supercontig:GCA_000165425.1:CT868039:145952:147038:1 gene:GSPATT00035000001 transcript:CAK65520 MQSEWQKRYDQVRTITPSKQIRIRTTPSPERPMKLKFAQSPVQALYTTSTKATVILPLQN EQKYTMPETRQGCRSIELSNNLGIVMGGHSHRESLEFNFIDLQNGQWLQKQQFQDWEFLK YRMKESNTKLGSHFSINYDAEEQTLYCFGGERIQGVRRQSNTLSKIQNHQLQQFIINGIP PRRNHTSTLMDNQLIVIGGFEDMNTKPNYFGDIHSINLNTMKSTPLQKQFMKNGLAYHQV ISVQKKNQYFEEKENGIYLFGGKDQEDIFNSDLIQIVIGNQFQVNVVQTAGQQPKARRNF SMNYDQNSQCIIIFGGTNDFEIFDDLYTLNIQMDTQTSNLDMIIVHCIKMKS >CAK65521 pep:novel supercontig:GCA_000165425.1:CT868039:147124:148516:-1 gene:GSPATT00035001001 transcript:CAK65521 MFKKGTNIRDLFAESEKPIQIILKRRASQDSRIDSDILVPPLVSVSPKLMAQPKFSVHVS QAQIHSPERKTYYLGELKTTKRKPLPHSNSITIKVAIFGIKFKNTNLSTTLTTNQYNTYV GKINYTLKFVIGWGGFGKVWKVEHKRSRQIFAMKELQKAKILGKNSVKSVLNEKNLLSKL KHPFIVNIVAAFQDRETLYLVMDYLPGGDLRFHLILNKQFKEDQIKFFIGCLILGLEYMH TNQIIHRDLKPENLVFDQQGYLRITDLGIARLHKLDNHNETSGTPGYMAPEVLLRQNHSY GVDYFALGVMTYELITRKRPYTGRSRKEVRDEILGRQVLLSQKECPNYSASIIDFTNKLI QRKQSLRLGIGGISQLKDHPWFNGFDWVSLYSKKMAAPYQPKCEEYNRKLQQGHQESDKT LIEEFTKQLRIKEVQQQFDGYTYIHSQ >CAK65522 pep:novel supercontig:GCA_000165425.1:CT868039:148651:149402:1 gene:GSPATT00035002001 transcript:CAK65522 MLLFILFPIVLSQTQVCQTSDFNSGYKKGDRIVLCLFFQTADNQQYRQVYQLKVDQYTAL LVSGTYQTLSSKQSNLWANSGSISTLYGTPYTQSGGAYPIQSIVVQMIQGSVIDVFWDNV CFDGSQCKENTITTTTGQSVSENNDFVTSCSGGVCDPKVYVSFLGTDANGQYYTSNGIRM SKFRQYSAAQIFTNTQNAFNSVIDEYSNYTVSTK >CAK65523 pep:novel supercontig:GCA_000165425.1:CT868039:149479:150598:1 gene:GSPATT00035003001 transcript:CAK65523 MSYSCTEKLWKPIIRPPRHYYQLKDLGNQITMIVDTVTKRTDFEIVNKRKLTLQCSLFEP VRVQDRQHSCMIYLHGNSSSRVEALTILEYLIPYNIAVCGIDLSGKPSLINPGSGHSEGV YISLGYYESQDVQSLIDYLRDHKPYISQIGLWGRSMGSVTAIFSASQNEDIKVLVCDSPF SNLTVLCKEIATSGYGVPGCCFDCFFCFVKSKIRKEANFNVDDLNVLQIVGSNYIMSIAF LSANQDQLVPSKHAKQLHCFFKGTKLLKSFDGHHNSKRPNDVMKEVADFVISQLGKQQSD QPQSSRDTKLLLLHNQREKISFQYDEVHPIMTGGQISNNEQNITK >CAK65524 pep:novel supercontig:GCA_000165425.1:CT868039:150624:151845:1 gene:GSPATT00035004001 transcript:CAK65524 MNNNFGSHFHLKTDLPILPQSEVFIRQFSQENDAICNNHARTFSLGIDKVGSDKFNTISQ IDTGKHEPNLAIPLIIKQQTGSEFQINVDNIIQFNQQSLTFMNSNYATNNFIKLASNNEL MQIEDDNRPFTPPQEEHNYEINNLNYVMQSPRKSCKFKAAGNLVLGIRRLQQNVVEQITS SEQFYEKFNPSPCQTTKNIHKVHPIQESKEFQVIDMLNQFKLVFHLTQHSMRGSYEDIQQ MIKLLQRDPKRNLTNPQDPNHIVNQFNQYGQNSLYIACKNGNDKVVKFLLSLDCNAHIKS KVYDDLSESPLDVSVRWHHYECVKLLLEQIKYTDAELRSALKISQNPEITNLIKRKMSSS LFSCCL >CAK65525 pep:novel supercontig:GCA_000165425.1:CT868039:152201:153097:-1 gene:GSPATT00035005001 transcript:CAK65525 MKKNKQYKQQLPIIIQKQEDHLRESKYKQQYRKAKGSYMYDHSLSVERGRYHQSKNKISI RSSSNSQKQQNDKQTFLCGLIPSSKNIKYQKSKFTLNTEEINKHNQKLQADVQSLYQLII QDQFKENKYQIPKQVLTKLNQSKLIDSIQKDHNNLSYNQQVLECCQLCTRSCNGKVVQTN CLHYYHSDCFQSYLEQCVKKGYPQIYCHCKAKIAQQVVLNNTNQLITHRYFQNQCQKIQV KYFKYHNFEKCVNSFCNFFWIKTNQSSRRKTSILQYCPICLNKNFEIGIQMEQIFQKF >CAK65526 pep:novel supercontig:GCA_000165425.1:CT868039:153110:154252:-1 gene:GSPATT00035006001 transcript:CAK65526 MNDSGLGIYGTSYKTMIQTTRENTTIQDHLYLQTSYHNKKSVRIKTKTVNDSIFNGLRIG TTTLQSHRSRYQSTQQESRQLSSAGYQSQQYKGCKNYKCLKTISDLQEAQDENLQTIKAL TQEIDKLKKELQSNTKQIINEDKLKERLVSATGRVQVYKSLGLFGQSIDSNQIVNISKTD SIVLNRTISQFHKGDNINISQLLNNQQSAEQETTKLLEIYHKSQIQNYSYHSYKGNQNQI PYIKCDKCDTIKNLHIVRLECKHQYHLNCFIEHIRLQLSKQQDSFNIVGTLHCHCNANIH LNYIKMLNQPEFSIIYQIIANFQLFLLAKSHYLANNQNQKQSIQKCIKEHCYFIIIGEED KISYCPLCCEFNLFVQIKFI >CAK65527 pep:novel supercontig:GCA_000165425.1:CT868039:155380:156295:-1 gene:GSPATT00035007001 transcript:CAK65527 MSFPQKKIRILSEVTTISRNQDPYQIQSSQKQNDQGFPQQKIIRNSSNYEQGNRLTLRPH NQILSKEFGLQPPQHIQPNRLSSSMMDKSQQNTAQRNASIRIISGPNPQKNIKFKVINQE DKQKMAILMSPNEYQLKQSSQKHQENHRQIYMPQMDAILQKRAQEPSNQSNPNLEQFQNQ QYFQQQYLLQQQQQQYYQQSQQQQQQQSQSQQQQQSQQQSYQQSKQQQKQQQQTQQVVQQ SQKKLISQKQQQQQEQQQQQQKFLAVNNSKNNNNIKNLIKKKNKIQQTLCFRLLR >CAK65528 pep:novel supercontig:GCA_000165425.1:CT868039:156703:157296:-1 gene:GSPATT00035008001 transcript:CAK65528 MFQAEKGANAIPGYTGFIPSQDYDQDLLQIQGNRNHIPNYAGFVPGIKSENLFGKTFGKI TLLSSTHEHHRGSDLPADIRYKSEVKEAYCDQRDQRGRDINLYGKLDSETSKALAYTLSN LTHFEQTNTLPKRSDSRDRQSNLTYEEALQKLK >CAK65529 pep:novel supercontig:GCA_000165425.1:CT868039:158870:159430:1 gene:GSPATT00035009001 transcript:CAK65529 MTQVSSTRFSLPRITENDPKSKQVLTTRAMRPSLFLNQIDIVPNSLKTEAEATERGVCKP IHTEIESDPLIEISYHLKLPILSNLRKSKLQQSLPDLPLENRESKNASPIEYKRPAKRTR LFLRRQESKIDAPVIKFQRKRVEFKKSLIVIDLETGVQDRQELNEVKKPLRRIVHQKTRS YQKKLE >CAK65530 pep:novel supercontig:GCA_000165425.1:CT868039:159592:160456:-1 gene:GSPATT00035010001 transcript:CAK65530 MDKEFVYNLENGNPYVSDFIHQKVKQINSRGINSDILAPQLQAICNSKPRKLDLKYRNSI SYTPHQNKYFGYCQCPNQKVKLTSLGKVSINNNATDRKMDVKPSQPVNLTNCFNKPKTPK ISFIPNVNSVRYQISENDFVDNLQPHLSGSLKDATQVYKSGSIEAMKYRKGTQLRDKLIK LNNNESDQLRFTCRYLNAKKIKSPPYMVMKKYEFIERINEEQEKKMAKSIDDSIIKRITP LPHLKTLVTKSYNSSYQNVDISLSQSIDSQKIKAFLSQR >CAK65531 pep:novel supercontig:GCA_000165425.1:CT868039:161126:162782:-1 gene:GSPATT00035011001 transcript:CAK65531 MQKHTLMESTPHIEIKHTTQATNKGVYVLYYPSINESADPIVKALQFYFKSKELIKDINS GKSAKIYALNDDDPFEYVIIQNATSPRLDGANLARKASNKIATQKVEENSISVLLPHNYT IHDIAEFSFGFQLANYRWTYQSIKEGSKSSSFPIHYLNLITSISQEDYLNQVEQKWNLKY LHHLVKPILRLRELVPTRPNIANTEFMKNYILDIVKEYGDKITSTLIEGDDLLGAGLRLI HAVGRGSIHKPFYSCLSYKGNADSDKYYALVGKGVVFDCGGLSIKNTSSMEQMYDDKGGA CTVLETFRAVVQLGLPINVVCSTAWVENSIGPDSYRVSDVIQSYKGLTVEILNTDAEGRL ILADAMSYAQDKFAIYEMIELSTLTGIIKNALGRYCGVFTNRKGCYSLLQKVEKITKESF WPLPLEDQHRELIKGSVADINNSSSGRVGASAAAAFLECFVEKKVRWMHWDIANVAVTDK NDGIYTKGGTGFGVMSLIYYMTWDVVSKELKEQKQENEDDDN >CAK65532 pep:novel supercontig:GCA_000165425.1:CT868039:163525:164052:-1 gene:GSPATT00035012001 transcript:CAK65532 MLLQIPNKKTNQIRPSMFLNDIDLNTVLLSPKINPSDLEKSPLITQLKQLKKRQVTKLPL IREKSNISLSNKESLENTLFNDAMGYSQSMKVTIQSGEQLKLPQIQYSSPQTDPKHKKNL TEGNLVKKRVEFRASILVIDFINQVTRKDKIDGSAKPLIRKAQQRQQTKFLPKLG >CAK65533 pep:novel supercontig:GCA_000165425.1:CT868039:164364:165934:-1 gene:GSPATT00035013001 transcript:CAK65533 MFKKVKKVFRFIKPKKQKASNTEDFELKRKTTVLQYDETLKTKFTQESTIDTQKNKEYEE YEVQQEDSLIGIALKFDMSVELLKRINNLSSEFIVQGMVLKVFKQDHEDSAEDEYSEEEE VEQMEQDIWKQQIKQYNNILMYYCMDDQNVKGQMTVREDMILFDSNQVQQQAGKRIMNNA CISINDINEAIVHILPNANDQKDYLIQILLSGIGRVEFEKKFRKKLQKFKKQKKSIATIF FRYQERYESGQLIPEEVKRDMCKSITEFINHSVQNLKDQQDVINLTKLPYIDLIDESNHY QQLIQKQELDNVIGKRMGRLWASISYVPKYKANSKCLNESLYKQLIELIPAIYRLSNWSI KFTIDNDGSSYEQLLLSLQYQNPFFFTIKDKDGKVFGAYVSSELQGSKKGFHGTGETFLF QQDELQMIPYYWKETNNDFVYCDSDGFCVGCGPSFGIFVDSKLYFGYNNPCSTFENPRFT QNEKFLIQHFEVWVIEQL >CAK65534 pep:novel supercontig:GCA_000165425.1:CT868039:166882:168489:1 gene:GSPATT00035014001 transcript:CAK65534 MGSCAGSGQNKQKHSRDERIRTEPDKGRGSDAFTGGVNVSNASGKSAELKWLDLPNQKKK TMKKQESLKVTLSITNGPISKYYIRKNLRYLEGVNFKDNMFVVQHIVTGKIRVAERFSMN TVTNAFINKIIYLQLNHPNLLDIYGIFLENNYYTIITDYCNGGSLLSLLSERNTHFEEGI AAQCCRQIYELMSYLHKYGLYHGSLSLRSFEKYNQGKKHFKLKLVDFSSLFCKSDINESN VQFLSPECCSEKQSYTAARDQWAVGIITMAIAFGKLPFDANSLQECLENIQSSCKKEGFL QKELEKQIGNPLIKDLVLQFLQIVPSKRIDFIQALDSKWMKNYEQVSKQQFKQCLDELTK KEANFLQSCFLLMMIKQFDDQYSQIQEIFNGLDIDKNGRLTKPELVKHYTQYFNAQYKPH EIHDFVNEIFTASDINNSGDIELSEFLIALSNPKTIITNENLKCIFNQINQGQPFGFNEL QPLFRTKEAEIQSSLQQIPNQIINFEEFQKLMFELIEDK >CAK65535 pep:novel supercontig:GCA_000165425.1:CT868039:168491:170176:1 gene:GSPATT00035015001 transcript:CAK65535 MYKICLEFLNNNIINNQLHLFYVKVRICNLQCLLFLFAFTSYVFHFTLVQVERYLQYQFH HLIFLISIKSFIIQPLFFYNWKIQRSFHHKVFKFQFNFQFYGFQHENFIGWGCKIRGHIF WNILAILWCLRSKMQQDTKTVIYLCYNQNMLQSTSEKLQQKVSSYKDKIRTQLKKKISVI KDQQKLRHSNSDLQIDTPFQSQSKIDADFSDNYELGVKLGEGAHAIVYKAIRKSDNQEFA VKVFRSSDPEIIASIRKTYQIGSMLSHPNLIKVKELYINQQNGYSYQIMELCQYPNLENQ IPKLNLKEIKTVMRELLNGLVYMHQQKVCHRDIKPDNILFDGLNVKILDFGVSKRYYIKQ KYIDMWTPTGTQFYCAPEIYTKVSYTYKIDMWAVGVILYQLLTKQLPFQDETAHGTIELI CKAQFKDHSALDKVSKDLLCRLLQIDPKKRLNAAEALQHIWFQNKEMIQQCMDDMIVHDG LVNDSSLFIQLSQSQNRNTYATHHQDTKVEAFKPTIHVKQSSFRDSS >CAK65536 pep:novel supercontig:GCA_000165425.1:CT868039:171220:172818:-1 gene:GSPATT00035016001 transcript:CAK65536 MNIKVKFPNGHYLEFQCPDYWTTEQIRHFSAKNQSFYDPDAIKLYHGGVELYGNEKLLEI AKKYEQIVFLTVIGAKKQHPQPNKQLLYLEYTKNIQRALVFNQLNVSNFQPIISQMPIMG LKFQQNAEEKIEKPPEQQQRRNDDKDSPIQQEIFFHFKMICYIGLFYTLFSSYFKGQNYY YLLGIIGIFYWTKYQEFKAQLREQQLQLQRQQQQQQQRDVNQNQEARQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQYVNKKNTTKIQPDANKYSDVNLEQQFEQQEKFDDLDIS NDQDNELDLQLDSQEQLQDFLIRHAKLLQAQQLSKDLQQNKTEITEHKQETNKQDNESFN IIKKEKQQNQFQNNQEKIVKEEEELVFDEKQFHENTQEQPKIENTQPNKDNQIDEPKIEQ QNKGQGEMDVQQEKQDAKEKTQEIQFEKQPNAEQDEEPELEEEVRRLKQQLQNQKMTVSS FFKAIFELVYCYIMSLFPFWHVGLYQQE >CAK65537 pep:novel supercontig:GCA_000165425.1:CT868039:172825:173672:1 gene:GSPATT00035017001 transcript:CAK65537 MQQWDLVNESSDQLRLFSYCFIVWSALFILCYDRIRLNNLSSKKQIDVFNRVVSILHGQF TFWGSLIVILSQTPYQLQEMNSSEMQFVMIVSAGYFAYDVIICTYFDLYDYWLIFHHIIS LMAFGESILNKKYGHIIIFGMFITEISNLPMHLRHILGCFGLRQTKIYESIELLYFSLFL IFRGILSPVLLIRTYEDLHAPLLIKISASGLLLYSVYYIIEMIKITQRKVRSYRDRKRRN LTMYWFAQNPLLPTHKYVDSIL >CAK65538 pep:novel supercontig:GCA_000165425.1:CT868039:173794:175233:1 gene:GSPATT00035018001 transcript:CAK65538 MNKFTLKFDDKILEEQYQKVKLSKIRKPIYLGLLLLSFCMMSSNAILSLFTSQQQTVKAY INYSYLIFMVFQALIVYKRPYLIRYLLVISNIATGLLQFNFNESTPAQIYYDQSSSFTQL QAVAYFISDFKDGVIQVLALLVMRLIMTSMKIGNVDLQTILIGIASTSFILVTLYVNDQN SRKEFLGSIQEDLWDHSLAILIKKPHFRVQYNKEYMKIDLISSHQLCDFPGYDENICEGC NGRQILHLYQYNKVNLSDFILEQAQTIQNSIKVQYKHHSLQLRIVCVGIKNLNIVVIYEK ARADVSEQIVPIKLKNLIFQYIQSQSQRTIYQRLFNQGLLSILLINKMKLKEVEITKMFK KLNKQFKYTMKPLKILPKHVNFKFYTYSSQLKIFLVQIFQIFDQISKKRVSILFQDLEDC NLMQIKFKQSQERNLNLLFLSFYSKNIFIQQIKPLLLFNELNLDLQIQFKKHIPYQLIY >CAK65539 pep:novel supercontig:GCA_000165425.1:CT868039:175256:176849:-1 gene:GSPATT00035019001 transcript:CAK65539 MLLFIFLTLPILSKAIQSYFVKFGEVQTLFEVREALEAQLVQIDDDNQLINNSQFCYLSN RTLLTEGQTFQLPSDQLNNDSIVEDSDLLIDVVILGQSEIYGLTMNNHLFHISLIDETSK IYSFNFTHEQVSLPQLIGSTEYLILAYPDYAHYLDFRNTKNQGEIRNWQSRQSRYYSEII DYYLFSAIGSEGLEIYLINQDYQSYILDKQSIGLSAVDFRDFSISKLRDTNYILYVLCRI NGVMVIDLTIKNQKVMSKLLLKNIGPKTDGIALTINSDSFVFVAYKTKNQHYIIQFNIEL LNKKWGSVARFNISNKIVDVEVNEEVLLVQGFHTHFLIYYQEKETAIPFVLTSVKQFVLA DNYIYGTTSKYLFQIQPHLQPYQIKCFIETDSKQIEKKFKLTYRTNQGWKQKEFMVHFNQ KPFIISIYYLFYILAVFLLSILFYVAYQQYKNQREKLVESQQLELKIRSLPQNRASKLLM PHTFRMVQTNIDTRINTLNFGEDDTILQKDQKIF >CAK65540 pep:novel supercontig:GCA_000165425.1:CT868039:176896:178334:-1 gene:GSPATT00035020001 transcript:CAK65540 MKNSQKLGGIKKLQQNSNHEDLVQQEQLCQELKDEIIQILRMDADHITVMDSINALRSED FIESHKAIIKLRRTLANFEQEIAKENELIPILFHIIQYGSTYLLKLEAACCLYFQYYLEI ISILAGGRSEITRIIMENGILQLSMSVLDGNQSELIMLIITILGKLAGESVKYRDSILQA CSMDKIISKIESKYKSIYIWCLANLCIGRPSPKFDKIQSAFEIFAQVIMEEFNQQNLKMI NDAIWALGYMIDGEPNRIAALINSGVVPQLINLLPMGNFVSILRIFECIFYGTEDQIKYL LECGFIAHIRMIVDPKSRDKSGDMIQTFAATETLFKVLSNQNFLVSLFRSLSDDNQNLRG DALEVIGNAIQQGTNEDVGRLVGNGLIQYLLSMLEIANEKEIASIHLKKGLEILIKIIRK GEIKAKDNKSNQFFIIFVKLDGVSVIQKLLSYKQDDVAKYALLFKQEFDI >CAK65541 pep:novel supercontig:GCA_000165425.1:CT868039:178917:181695:-1 gene:GSPATT00035021001 transcript:CAK65541 MQQGIYISDPAEYLQKMKEHKKILLVKQDIFGFQLYDISLHKKGPMEDKLYLKNPFFLAN VRRGNSILVHEDSIRICRRGMRKFYDLERKYIDYELEQQNVKLSRYHEKACFNILHPIKQ AIQEGKKIHLYKLFKENGEHCQISFTNGYWLVASKNCTILFQTMDDLNLYNDLRHTWAKL IAKQWLEIIKEQNFDDLKSFLTENTLIGEYCGNPDYQHITKYSHLQLIFYAIVNNNSPDI CLPVEQTKQICEQFHLQFVKNSSIKNFDNYEAVLKQLAIWSKLIGEGPMELEGEGSVTYI VAEDQIGNHQTISLCKLKSMEYLIYRKLREMLKVLIQKITLNEHYQLSVQLGKFLNELQI IIKQYAPPQPIRYYLHISKLAFEEVYNNKIPFEKIRYKFVDFLEQIQNMQEDKMSEIDEL GEVKQKNFQRHVRVILLTLPMLFEDKVLQSLKDNLNIQCVECQYMCRNQKVFAQRAISIL HMIPKLPYNINNEQQLNDYLVNSTLQNQEPSNIIFVFLGMNQEAFKISLQNLQSKIFQDS NPIISSFGVQFTTAKPKVQQLSLERWLEKGQVYLKECQKNFPNRTFVLEEFDLQKLEAII KQFECAEQPQIEDQDDLDLEESDEDVKPQKKIVIIYPLMIPGSGKSTLVDYLKQNYKDYP FYDICADEVTLQLIKDKLGDNTDSLAIQFQKVFMYIKDAFLQEFHKKINEIINSNNEKAV LFVDRNCVPHTLLYMIDHVKAIQTDIALKKGVSIKIYNVGFTFPVQESNIQNTPFCYDYA ITILNRLLVRDDHILLGNDVLYKTQVCMKNLALYTGFKAKDSYFQKFHLQKIIPMSYPQD RFNLEKNDLQVVQDLIAKCVLNSQQTRDLGQGEEFTKLIEFMYSIKQKYKISECNLQEEC RQNTQRLSVAIKKLLLP >CAK65542 pep:novel supercontig:GCA_000165425.1:CT868039:181713:181979:1 gene:GSPATT00035022001 transcript:CAK65542 MEQFQNAYKELGKMVKMSTFYQAQKKEQKNNGKQQKISQKSKKIKEAPLASREELMQKLK NKIDSLKADRTTKKDYVPLRKQRQQQKK >CAK65543 pep:novel supercontig:GCA_000165425.1:CT868039:182791:183164:1 gene:GSPATT00035023001 transcript:CAK65543 MQRKQQYESDFNNFQEANKVSLDQMYYKQDLNEKHKETCLKNAKTFELFATCMERHEGKI DNIAKYLSMKMLFIEKQALQCYKVNTNDREYESCHQRVEQQLHDVYSEYYQGLLHL >CAK65544 pep:novel supercontig:GCA_000165425.1:CT868039:183976:184551:-1 gene:GSPATT00035024001 transcript:CAK65544 MSLWNSFHNIWTPSGRQFNFQPFEQSVSCQRETSQNIQQNSDQISQIRRSIENKQKILEE TYLPKKVRIFSARVQIKEAPQIKSLANLKYKQTESRSHTSRTQSATPIMRKSKKHQKPVE IKDTIGLQIEKCFLSIRYPQEKTKLNYKIVPRCRRQKSNIEQPSYNQNKVECKPSITIAK WDQSERSVEIF >CAK65545 pep:novel supercontig:GCA_000165425.1:CT868039:184567:185298:1 gene:GSPATT00035025001 transcript:CAK65545 MLTRLTRFVLNLQSQQFSNLQIKQLLHLMAFSHLINCLLLAFGPFFVVYKARSLAEQGAY KTVLISILGCLLTQFCKLFLLASLSVITEQLIHIKLLFDLIDCYGIILVAKQKTNIDDKI SRVFAIAIGWALMDCVMKHLFTFIPNATTDEFTWTFILRGFTANLEFFETLFLVGLLQSK NKISYALILLKVLVLPNFQDIITRGGLSSAYMLVSRFVI >CAK65546 pep:novel supercontig:GCA_000165425.1:CT868039:185329:186618:1 gene:GSPATT00035026001 transcript:CAK65546 MLQECKSELASCKAKLISLSIKDMSKLLPMCKTSTSKNEVFILNDMIEKFDFDEEKERDN TKTGLRLLRNDVQRYIKELKGFTNSHEYYQKIESMANNITKEITEYKTKMSYLFDDLAQQ EQAFNDELKMIEQKMDNYDVVQKQQVIKRKPMQNNEETTSELGMIKQKVDLIDEKIDQIK LLEPEDHREFLRVRTKHKGKITQNFVNDCLKAIPFITEDQLMEQIDNYNLLQQLEDEKKE LLTEYKRKKEENKQIQLQQEQLQQEIERQKQVKKPLVPQEERDKLKEEIKQWKIKRVTQK AIDEIEYDTKKDIEKQKSEMLKKEREEKKLQVQYFREQKLIEKQREQELKQVETMSRRYV SQEAKERIKMKENQLLEKKKSILEQKQKKQFDQEMKQQAREEDKIYQICLC >CAK65547 pep:novel supercontig:GCA_000165425.1:CT868039:186772:188077:-1 gene:GSPATT00035027001 transcript:CAK65547 MNAIQQYKNFDENTKSRFLCRLINQMKIIAFLCVLIALSSATEAIDLFNTLPKTDFGRTI LQTVQMELSNGSAVDSVVDSLNEILNSAEQEKGAIQANLRNRQAQCQTRQDDAQSVIDRA NAKRADDERKLPLINEELISKQEQALVKDSEEQRNNDKLALITATREQQKQEYQERRNEL TNYVAALGEAKSIVSGLGSVSFLQVNSNEHYLKFKEAHPTPRGYGIMVELLLKASAQAKT PEQVERLVATIQGLVDSIYELQKQELLVDDAREVEFIRQREIILLANQTLAASVAELKAQ VLHLQQDIVEVTNDVNTNKQIAAIKTKELNDWVKTCSDEEKNLRAIFDSRVGQVETVNQI LIIFNSGLSQELRKSIAEIQLN >CAK65548 pep:novel supercontig:GCA_000165425.1:CT868039:188086:188841:-1 gene:GSPATT00035028001 transcript:CAK65548 MGNNFCYSKSGMQSTMSQLLCEQKFNGCDVLLTAGNIENESVDCIVWPTDQQFSNVPQND KPTFIPANGNCIFVGSTKKTKKNYILAVVQENNEMSFQDQQDEERQLIYETIQNCLKMAN ARQMRSIAFPVFQQKDHTTSATIMIMAIKLFITEQKSDSVTKICISLNQIEQVASFKWVF QQVFEQHNSTKSKSYSSTINPTFMEEFKSIRLIQQQAVKEGTP >CAK65549 pep:novel supercontig:GCA_000165425.1:CT868039:190705:192147:1 gene:GSPATT00035029001 transcript:CAK65549 MKTLKNADFFGVPFVQNIDHGQTKYKSTFGGIITITIFSVSLAYVIWIGYLWQTNQMSPK ISRQNYISDYSLLDLSQDVIRLYYWKGTEDRIDPFVSNILLPLVMYSKDTELTAPQLIKN HIVDSNVDLYVPDMKFGFTYYEGIIYTSNEMYVEVVLCDEIYLQPGEKCASQELRDQFFA QRGNEVIIEFYSTTIDPRDGKEQRGYQEYYIQIEEQFCYSVAALFKTTLFELQNMLLFGP SQYKEYIVDVSIQTQTNSREYCSNLFETEAIGVVYLAMRGTQEKTVLEYPRLGDLLANVG SIVSILFIMKYFIMFLNEYYLNQKVLKEIISFYYPEFKRIQIKKNWRGKMVDVSLNKVKI DPKNYKKFYEKVSSQMQKKLSYLNLLYEISRMYFVMRSSKFRNEFHKSHQIGIKISLFQQ KESEMILTPKSEKYFENNHVLNEDDAEILNYNRTNIVRNYELISEEIYNEMDYYYMNKIS >CAK65550 pep:novel supercontig:GCA_000165425.1:CT868039:192755:192924:-1 gene:GSPATT00035030001 transcript:CAK65550 MDQIQKYRISDIAVCVWAVKQQDKEEYRMEIEKLGDTGSYDKED >CAK65551 pep:novel supercontig:GCA_000165425.1:CT868039:193280:194959:1 gene:GSPATT00035031001 transcript:CAK65551 MYNRRPESKNSQITILLHKVATGYEVLEVQTEQRKMIPKPQAQVNPPFVKKENTTTNITQ EATQSKVIIGLQPFQKDWFLQNWEAIIPAPARWFQIDSIHQIEKDSLPEFFHQHFHNDLS YYKGNYKTPLTYLKIRNNILQKWISTQTKYLKFTDCLNFISGDASSLLRNIGDQSISNTN PNNLPNQGQVYQQNGTFLERIKLNFQSNQINFHSEPHYECHICDMKAYPFHQQKKENLAS FQLQPLLLCNNCFLDKKYPKFLKNEDFQQFQQTQKYAPWTQEEIYRLLELVYKHKEKWNE IAKYFTKRSLTEIVKMYLQLPYSNLFPSLDKEPSIPKKPQKEHITFHNDASNPIQLGVAC FKSQLDKLKLPNIEVIQSQEPDKMDENLKQMISVQMEKIEEQLLYLETYEQLVNQEKQCV QTIQKKNLQMQVQVQTNDIVSDNMMFL >CAK65552 pep:novel supercontig:GCA_000165425.1:CT868039:195005:195352:-1 gene:GSPATT00035032001 transcript:CAK65552 MFHSQPIIGELINNHVNLANQLIKQKEELCYQHSKNMDAFADCMLIKEKRFKKLQMKSEI FIKFCELKFEYCLQEKEEQACIKRINNLFDDFNYHMKYENVQKL >CAK65553 pep:novel supercontig:GCA_000165425.1:CT868039:195880:197028:1 gene:GSPATT00035033001 transcript:CAK65553 MQYIYHNLHYQLLFNIISISKKKIILKQNFMIIIPLLIVISHACTNSANTGWINNYSKML ITTVNSITNVDLTTVCPKIDPAKVPGLPPYQYLSSSSCLGYLGPLGPYGPLSSLGPLSNP FWYPSSFFGQIQLPTNLQQIIQWSQIQFGAPMSKDGPLGYKGPLATTQYYGQQDPGKTLF ESNDFAVQLRAFGLWSALGPIGPLGPLGPLGPLGPIGDHGYSVDLNGNYLNGTKIVKTVT IDYDGSSTRTYPLYEFYQSSYAKTVQLDTSFLVESDVCQGDDAYQIGGLPFNQIVTFVLT PLLALDSYSLILQDQFGRVLAQSNADNYIQTIQLNVKMNTKLSVTVHPIFLSTTIGSYRL FVTGSTQYITQYNISGNQILSN >CAK65554 pep:novel supercontig:GCA_000165425.1:CT868039:197050:197594:-1 gene:GSPATT00035034001 transcript:CAK65554 MKSSSKGILKKQSQHDIDMKKELHWDEHTIQQQDLERGNKTKIDEPKTPYEDEIIQQNQQ EDKIEVEDEIEQDLVEAQLNKQKLQQQHQKKLDIEELNKRLKEDLERERKNMDDDDSAEE EEKRKKHDEFVKKRKQHYNEKNLIRDAMHKKQFEDQ >CAK65555 pep:novel supercontig:GCA_000165425.1:CT868039:198214:199183:1 gene:GSPATT00035035001 transcript:CAK65555 MQPVQPIAEGQRTKIIYTLIKDQKYREAINYLNYELQFCPKSRALSLLAYCHYMNQDFTS AVGIYEQLVKYYPEIDDYKIYLAQSYYKDSLYDEALKVCASIENPQYQGKMVQLQALIRY EKSEFQHAKTLLKQNNMDDPDSVINEGCILFKENKFDEARQRFQDGMNLTGYSCELAYNI ALCYYKQKQLAQSLKYIAEIIERGVRERPDLGVGSNAEGIEVKSVGNSQALKESALIEAF NLKAAIEYSIKNYDAAKEALVDMPPREEDELDPCYFDESSLDERRGENSRGIQKVESLIT KSTIPS >CAK65556 pep:novel supercontig:GCA_000165425.1:CT868039:199259:200295:1 gene:GSPATT00035036001 transcript:CAK65556 MQISPSRQLVPDDFEFVDALILSAASPEESFKKFQILANKHIDTLRRITKSIQDARLNRD NEGIKKSLKEFDDCLEKFIPVLMAQAKIYWDKDNYSQVEKLFRQSAEFCADHDVWKLNVA HVFYVQDNKYREAIRYYEPIVKKNSDNLLSLTAIVVANLCVSYIMVNQNEDAEELMRKLE KEEEKSQYQDPEKSVYHLCIVNLVIGTLYCSKNNYEFGISRVIKSLEPYNKKINTDTWYY AKRCFLALIEVLAKHMIILKDTSYSEILDFLDATDQCGKSIPSVINPLEQLDEKHTVSYE SRMIKRMFLKLRT >CAK65557 pep:novel supercontig:GCA_000165425.1:CT868039:201390:201955:1 gene:GSPATT00035037001 transcript:CAK65557 MPSALRFGINSRYGDRLFEDQFSLSRAIAIFGSGGFGLLTLFLILLCHFNPKGKVQNELH IIIGFYLFCGLFTCCSITAELVNGVQSIYLVDLVVLKISNVFLDVLIYPFVKFGEVKLEN KMEHFQNCNERQVESGGIQTKESQSELDSALKIRGEKRNVARKEEEPVTNRWIRCLLEIY >CAK65558 pep:novel supercontig:GCA_000165425.1:CT868039:202072:204064:1 gene:GSPATT00035038001 transcript:CAK65558 MNYKQLLREPMRITYIQDYDKDANLFFAGFELTVTLKQLEEFFVKWGQVVSVKLSVDENK KSRGYGWVQFEKKEQANDLLTESQQNEGKVQYNEKTSIIVKRFVKKGTTEREDNETNFWT SLDTFDLENNQVREQLEAEMRTKLNEWFITYGPIISVLVKIDIERKAPFAFVSFSRHQDA KEAQKTLGTTLGTNAQKDPLNTGRKMYVGWAQTKTDRKQLRDNNVYSKYIYADHLNRNVT EEMIRQTLKEAGYGDISMIRLEKMQQGFQQIIRIGYIVFDQASDANKLIKSFKENEKFDE IKKLFDQNVEQVGGKYFQHLFPQQSQQRGQRRTNQRQSPTRRMYQMPPGPAQFAARMPFP FPMQQQRVPGGGMRRPYQQQFPNPMRQTPLPPPVQQIADLAPVQAVAFDKRQDLVDLLAN IEAFKAKPEDEQVKQLQMMLYYRIKAKLSQDIEPHWKKVSEVLSDPSNYTIDEILDMIKN EDQFNELVDDAVAQLKEEAHW >CAK65559 pep:novel supercontig:GCA_000165425.1:CT868039:204169:204465:-1 gene:GSPATT00035039001 transcript:CAK65559 MSQETLNGINGILQDVQGFGQESYRFIRKCTKPDKREYIKIATSCAIGFAVMGAVGYFIK LVFIPINNIILSAN >CAK65560 pep:novel supercontig:GCA_000165425.1:CT868039:204565:205660:-1 gene:GSPATT00035040001 transcript:CAK65560 MVNQLNLKTKKILQWFQKYISLYQSRGAPKNQVHYDGGQKNSIRTYNDNSNTRQGFQIGW DIDQTRKGDSKIAGKVGQDQRNSYIPSYQAQTYMPVVEQNQDEDYMKQLYIQEMNKKQEL AALYKQPDQYYQDALDNYNNGMPYSNNNNPNNYEQPQMLKQSNKYDHYQQPNDDEELYSK YKQGNSGSKQQYTGKPPMAQYNPITGIAYENAYANQRAHEPQQQQQQQDDALSQFSQLQQ HKVTSMKNKTSNIFNTDMQETENINNNRQNSRLLNKRKEMDDTQYKGYGQFYKNPIEPVQ TQYREQKFVNRNMIDNGIFPK >CAK65561 pep:novel supercontig:GCA_000165425.1:CT868039:205745:208074:-1 gene:GSPATT00035041001 transcript:CAK65561 MELGITNLQQYIQSKKQSDPEFRFQEYEVADFFVTMIRAHSHLQEIKIAHRDIKPENIIL INDENLLFKVCDVRPSLSTQVGFGTEIIDEETRSRTIGGTVTYQSPEVFRAFKKRKPQAK YNPYKSDVFSLGLVFLLFATSQNITKHQKEELFDDDTKLYTYLKEQRKKVKELYPRIKGV SKILKLMLDISPDQRFDFSQLSQIIEERSYLEVKSVTDNHLKQPAKINHKHLQSITNLED FQLDIKNKEDVQLDLNGMQNKSQDELLKFLETIAQQVKNFQMITLQIKLEMSCLNVRTIA PIEKILSRAQKLKELKLQLWNNKLGNLGLLFLTKAIMRMSDLKRLTLEISNNQLSDEGIN NALPLLEGLVQMEELRLDFGLNHLPMECCEAFFTVLSAMPKLKKVDLNLESNYMNQVLSK LLNQSLKRLPLLTHLAINFSNNPMHNEGFYDLGESISELENCELVLWGSQIKDLGVEEFA KGLSKCDKLKHLNLTLWSNQITKKGCESLGSVLPKLQKLSTLAIDLSKNRIEDDGVRFLA KAFHEMPQMLRELKFWIENVSCTSFGLRYVNRFLTTQKKLKNINLNFRSNQIGLDGMELL YNGFGQATQLEIVNLILDHNPLGDEGVQTLFKGLCKLKELQRLFLSLESVQGSGNSVTVM LSSIRSWPDLKELHVNFLKNDTDGADDINLRNRLSEIQSTAKINIELKTINQQIK >CAK65562 pep:novel supercontig:GCA_000165425.1:CT868039:208139:208379:-1 gene:GSPATT00035042001 transcript:CAK65562 MMTGNINPEYRYISIIAKTWNEVYLAENLLTKQKCCIKQVLIDALLREKSCKKQKLIRIQ ISYPSRKASIMRR >CAK65563 pep:novel supercontig:GCA_000165425.1:CT868039:208437:208795:-1 gene:GSPATT00035043001 transcript:CAK65563 MKFNDLPDCLKYCTIKFQMVKYNLEFFLKTEPYIQIAARYQTILFGSRKFDQLDLDNPIK FIAFGGQKVQKKLSGFGKDIEMEIAEFGCIFWREDCDRIIEYERGDVGQI >CAK65564 pep:novel supercontig:GCA_000165425.1:CT868039:209010:210009:-1 gene:GSPATT00035044001 transcript:CAK65564 MQTDLDKSIEKLKNGELLKEKDVKQLCAKIREIFIEEGNVQRIDPPVIVVGDIHGQLYDL FEIFKQSGEVGDQNYLFLGDYVNRGFHSIESICLLYALKIKNPDKITLLRGNHESRILTQ TFGLYDEAMRKYGSLNIWRYLTESFDYMPLSALIDEKVWCVHGGIAQDAKLLDDVRALNR LSEIPDNGEMCELLWNDPNEQELKGFGESKRGVGKEFGQDVFAQFLQTNNLSCVLRAHQV IMEGQSSLLLIIVIGVVIQGAVCEVSDKLEMRAKIFQASENQMKNAQTKIWNQNPDYFL >CAK65565 pep:novel supercontig:GCA_000165425.1:CT868039:210012:210400:-1 gene:GSPATT00035045001 transcript:CAK65565 MFWTWVHSSTGPKTTHFWAPVCNWFFPIQALYDWNRDPAKISKEMQCVLVCYSSLFMRWA LRISPQSYILFCMHLFNATLQGRLLIKRLTWESSQQKAIEDKPTN >CAK65566 pep:novel supercontig:GCA_000165425.1:CT868039:210977:212871:1 gene:GSPATT00035046001 transcript:CAK65566 MNQGEHLNKYEDDQKFTDGDQTFINEQTDDDKRFSNTVVDNKEQSGLEALLELDNRNKQE FLGSEEKSVFQEFIVICQIRKDKYISEQDPHLQFLMEKAVYKGVQKILKAQKIQDKEQWQ KEQQRLMRLFYSDMNIGFITSTGQLKSTVFIYVHQTIANYLDNRQINLNFNKEDLKIIAN YFSIDERNKSSKSSPEFTSPILTKEKSEKEQFKQNSAGSKTLSQNEGEVENQLKRSLPLC HQSNWLKQEFIKKDYFKKQFLILQKTNENELKSGVQIFFVYRIKDDQIYAVKKSQLASNV ISFFDVFSTVNLSEITNDQRKIREAKILSKLNHPNVLTLYDWWIEEEESGYSLYLMSEYC SYPGLKHQTNDLLNYAYYYLNPMNCKEKIEQIKTIMLQIMDGLEYIHKRGIVHRDLKPEN IFVTYGIDCQLQVMLADFDQGKDVRESKLSIITDEKLNDQDLNSIKSRNTINSGTSGYAT ANSQINKEYDKMDEFYALGVTLLHLILAFPGEPKNRNLYTNTFAISDISDVLLLFDSWAV KLVQNRHPEFNFQQYFHLMELAKALLDKKVKEHDHIRKIIQSW >CAK65567 pep:novel supercontig:GCA_000165425.1:CT868039:213432:214783:1 gene:GSPATT00035047001 transcript:CAK65567 MTPNQLKMMVCLLLVAFISCGLYFYIFWKKLDHKPYYEQLAFAYGLLLGFTELLVAIILY ILIKTRAQITSLGEIGRYIRQNCYKEYYALIIINLVFLVTFNIITILDPESVSFKDIQSL HFIAAYYVQSVLFAIFSNDLLKRRSLIPNEYMFEREGIFRCAYEVLYYVVCLFIVLSYFL VTHFEKMPEPNQTLCQLIIFTLLYQFYSITKLGILIKIFLIITTTRLLYSAANSIQDLKE TSQKGSQITLVVITVLFLIRMVQQSILGILRAREQYLNQIQHQTPDILSALNLMPPRDPY IRGSTQIISEEQIQMMPQQKFKLENEFVCSICDMNLLKNEMVMKLSCSHIFHSECLKPWI RIKNSCPNCRQQVLRQGNHENHIQQPVYEHPQEQQHQVLHVQIEAPPSNENNQAVQEFHD RQLEIQE >CAK65568 pep:novel supercontig:GCA_000165425.1:CT868039:215047:216232:1 gene:GSPATT00035048001 transcript:CAK65568 MICVRHHTFLNQKYGIFYVDNLLMIGKSQRNPKYRIPCQIEKVISWCISDNQQLQGFEIV VNGKKKWFDMSHHQTKQLMQVLNGRFLYKNIHAFYQFQHIIGVGSFSKVKLQNVTQVIKL FNILEKEFYACKVLKMAQFDDFKNELSILQSLDHPNIIKVKEVYLEDKQIWFVTDLVDGG TLKEYLDNLTEITQFEVYIIMKVLDLINQFQQILNVVQYLHSKGYIHRDXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYGTDLFSGSYYSEVYYQNQQF CLEQEQFDNNEFDPLLKGMLRENPSTRLTATQALEMLEQLFVTKKDDFIVDISDSSIQQV KTLSIQTMKKLESLDQY >CAK65569 pep:novel supercontig:GCA_000165425.1:CT868039:216963:218274:1 gene:GSPATT00035049001 transcript:CAK65569 MICIRKHALIDQQYVLFIEDDKICIGKNQINPKYVLPINLQSKLEWSFDKELCQFNGFII EWENKKKLFHMSDGNCKKLKSQIDGKILYNEINSQYFPVQIVGEGTFGKVIKVVSLLDGK EYACKVMKLDKKFSKNDIIYELMTMQSLSHENIIKNKEVYCSNSHFFIIMEYCRGGTLRE YLAYRTLNQDQIVMIMKQILNAVIYMNSKGYIHRDIKPENILLREQNDLSNVLVCDFGFA AKVEDILTKHPNCGTPGYMAPEVIKYDQTNPYNEQCDVFSCGSLLYSILTGYNLEMQSEE SEIYNIEAQVYEEKQFTSYNNQFKNILLKMLDPSPHLRITAKDAQKYFDNFDSFVLEELN SLDVIQEVKHICIQKHEFHSF >CAK65570 pep:novel supercontig:GCA_000165425.1:CT868039:218274:219748:1 gene:GSPATT00035050001 transcript:CAK65570 MTIVCCFKSNISQILEIIIYKILSAKQFYTLTLNIMLCYRKHSLFTKKYHIFKNDTQIHL GETITKIKYTINLTPQTMIDWSIDHQTKQLNGFWLSWKKQQKFFLMDATTCTLLKSTLNG IVTYGKHQILYEPIQCLSHSSFGSNFQLVKMKYLDSRDSYLCKTIQKCLQYTDDDFYYQI EILRSLDHSNIIKLKEVYNSNKNYHFVFDFPLGGSLADLIKSKQLQFKEVQLIIRQLMST ISFIHSKGYVHRNLKPEHIFFKEPNNLETLLISEFELGCSLVDFPNKHPNCRVHGYTAPE IKSKIFTQKCDIFSCGVILYKILTRKDLFDHESINYDEKMMLHLINQSDINPQYQNLLRQ MLQFNPDLRATADQCLTIIDSILERTPTFSNFLDRFNLDNDGINDEIISIDLIQDQEELT ENQDFIQKLPIIQINKRIEMSY >CAK65571 pep:novel supercontig:GCA_000165425.1:CT868039:220805:222843:1 gene:GSPATT00035051001 transcript:CAK65571 MGSTCCHKQPQPISSQGKQQILSQAELVCDNSNLSIVKKSNHKEESPGEPPSRLPSQSSP IPQVYEGVSALNQMKNNVPALKFSNTKGTFKDERQLKDSKESFEPSEKSDQVVERNKDME VKLPEVEYTPIEKIVQAEKLEKQNEAQQKQQQDTGTKKSPAASSKTVPRDPIDHNSSRKQ SMASVGSVSVKFGVELFVNLKKQSITKVYTLGQVLGQGAFGKVWKVTHKTTGLIRAMKQI RKSELIKEDEQKMFSEMNLLKNLDHPHVVKLYELYQDSNNYYLITEYLCGGELFERIKKM NQFSEKRASDLMRQILMAVVYCHDQKIVHRDLKPENVLFSGTEPEALLKIIDFGCSRKFN SQKNMTKRLGTPYYIAPEVLNHNYNEKCDVWSCGVILYILLCGYPPFTGKNENEIFDKVK TGKFKFPMEEWDSISREAKNLIQRMLQVDVNSRYSASQALNDPWIQKHAPNTQINKKVLE NLAQFQAKSEFRSAIVQYIISQMTTNKELEDLQKTFQSLDKNKDGVLNKAELVEGYTKIL KSREQAEEYVEKIMSKIDKNQSGVIEFNEFLMAAINEEKIIPIKKVEQAFKIFDSDGDGY ISRQEIEEVMGELNADVWKLFLEETDGNNDGKISYEEFSKLIMSK >CAK65572 pep:novel supercontig:GCA_000165425.1:CT868039:222948:223127:-1 gene:GSPATT00035052001 transcript:CAK65572 MRDVYVKPITIRTLEDLISNISRSEYRSLDYVSNISQQFQFMDIEYAEEDKLVLEQSAH >CAK65573 pep:novel supercontig:GCA_000165425.1:CT868039:223153:225729:-1 gene:GSPATT00035053001 transcript:CAK65573 MLIWLRKQKRLALEIYEDYNDSIYIDCQNELIISQFWPLFVKIMKIYLFYSIVLIMINNI EGYQSYNSQQVTLYTYLLIVLPLATIVYDKWIIQKLSKRQKVTLTQILFILFETLLQLYL QQLLMEYENLQRLIGILLIFIIMQIVMATMNNFKLRLIILIKFFVYLGYKTEFTIFQCLF TYHIVFLNLLLVYYWDQQIMRLSKIKHFAEQSLRSIPTAVCILNSDCEQVLFSNRYMKKL IDKSHTKTKTFMTKSVKQDYLFETQPSIMSNYDEIFLFFSQMFANIEEVKNQLPPDSSKF NLDNMDDEQLNLNQIIQYITKTDKKTQGKIYTLRCQFIDRPEQAIDESQKVVIEVKMKTL LQYEDNKHATLINLYDISTNLKSIYYKNLNQFKSKVIRSISHELRTRLNAIQGMIQMVQN YNQNFDWEVKKYLRAAFNNCRIQNFIIGSIIDYNLLRERKLPVKLEKVRINVLIQEVMDL FKDEAELKYIKIKYEDKIQTSNYELLDSEKFQSILIHIISNSIRFSKSDGLISIRLSKDL STNNNNNTNSYIQIPENKQQTNRHSKLHVPHSYSPQQQRLSGSDCCHDSSNNNKQRRTIS KYKMASQFSSNANLSTNLADVYMIEVRDNGLGMTAERLEYIRSLLNGEDFQVERNSNDAS SGMMLGLRASNQLIKNVSGRDDNTNYISIDSDIDKGTTIQMHIKIRLISGENFSSELILK ESSVENEGIIHSYNNFSLKLGPWSNVTQIHTKNQCQCENTFMIVDDEPYNLIVLESLLKK LQHQVVKAENGRHCIQLLEKTFEQFQEHKCKGYKGIIMDYQMPIMNGEEATKYITQICKD RKYYPNSNIRTNRVFWRG >CAK65574 pep:novel supercontig:GCA_000165425.1:CT868039:226326:226628:-1 gene:GSPATT00035054001 transcript:CAK65574 MNKQQKNKSLPPAKANAQHKPNQFQEIENCKQAIKDIIQHTETENKPKLSQHRSVDKTQQ KKQIHSTVQEYNGNVGKMFTSTKYGIQYMQKKVMHNIDQL >CAK65575 pep:novel supercontig:GCA_000165425.1:CT868039:226977:229079:1 gene:GSPATT00035055001 transcript:CAK65575 MTEEIETHIMQKYEIIQKMGKGAYGVVWKAIVRKTKQIVALKKVFDAFHNATDAQRTFRE VMFLQEMAHDNVIRMTNIHKADNNKDLYIVFDFMETDLHAVIRGGILEEIHQRYIIYQIL KALKYIHSAEIIHRDLKPSNVLLDAECNVKVADFGLARSLLMPIDENAILTEYVATRWYR APEILLGSTFYTKAVDMWSVGCILGEMINGKPIFPGSSTLNQIERIIEVIGRPSTSELES VQAPLASQIVNNIPKGARIGFTNYFPKATPQALDLIRKLLSFNPHTRITVEEALRHPYVN AFHNEQQEPTANPIKISMNDNKKFSIKEYREALYLEITKKYDQKNETKIENKFENSLKAN DVLQQQQERRRTQSFQQPSKKPVGPSNTTLPSEQPIIRQSDTNIMQQIKDYGNREVQQKD YCIKDYLPKDYSSKDYFAQQNVNVSKEFISGQFGKEVNKENQRSKNMVEVTNNNNKLERD TSLSKKQILSMWTQPNQKPQISNPQQRPPSVSVYAALNQNSNNQLKQQQQQQQQYQQQQQ HQQSQQQQSQQQSNNVSTQKRMSSKMPTSGTSTSFYFPAEKTNSSFNYGKKISKDNSFLL DQNVQSIQNIPQSSMPQQPQQGGLYQKTTSVNYQTYLMQKGIPDQRSKQNLVNHQRTQSQ LGANNSVLINRCKEFLQNMHALKQ >CAK65576 pep:novel supercontig:GCA_000165425.1:CT868039:229165:229465:-1 gene:GSPATT00035056001 transcript:CAK65576 MNERIETQTQTYICITEIEKKQKKFVYPRVDKYNHPIIKGVKGKRISFRDIIEKRELCDV YIVQKYHLNKDNSKCSCSCSIQ >CAK65577 pep:novel supercontig:GCA_000165425.1:CT868039:229807:231606:1 gene:GSPATT00035057001 transcript:CAK65577 MIRYARRMQKFCKAAGVFDVAVIGGGSGGLAFALEGAKLGLKIAVFDYVTPSSQGSIWGL GGTCVNVGCIPKKLMHHSALLKENNEGSTPYGWTPSEQEQVNWDVLVENVQNHIKGLNYG YKGNLQKSGILYLNELATFKDNHTLLYGKLDDFKSKDENKLRELKFKYCVISTGGRPTKL QSIEKHAITSDDIFSQQKPPGKTLVVGGGYIALECAGMLKGLGYDVTLMTRGKYLREFDQ DVVKMILDHYQKYLRVNIVPESLPFHSEQKDDKILVKWRSTVNSQEDGGAFDTVLMAIGR QANTQMLNLDKVGIKVNPNNNKIFANYNGEAERTEVDNIFAIGDVLNGIPELTPVASKSG QLLAKRIQLLIKGSYSKQEYENTKLEYNDYPTTVFTPLEYSFVGLSEEQAKQKFGEHDIE IYHSKFVPLEEQLCDKLDENYELMQRKVYVKAICHVSDNNKVVGLHYLGPNAGEVMQGFG VAVKLGMKLSDLQRTVGIHPTNAEEFVLLKVTKSSGADFEKHGC >CAK65578 pep:novel supercontig:GCA_000165425.1:CT868039:231687:233168:-1 gene:GSPATT00035058001 transcript:CAK65578 MGLCSSKTKKELIKEDNNNNNQVGQENNSFHGGSFVNQLQQKSSLVQTPIFHQNTGSIQQ QSSKAIQQGPELSIKQGFSENFRMITKSLDYIIVKQINSDNQYKAYVYKKQFQDSEELIN RMISMPLNHEFIIKLIDIYDDDQNYYALYEHQEMKKFSEYLKMNKLSVESAGIIFNKMVD IIGYLHSESYCHGAIHFDTFNCVQDRSEINLFLVQTKNIYLKLPTKITQKNDVQALGLIG FQLLTGHPFRIMLSKSSKWEIKKELNEEFDCQKLPNKICKLIMKMIDPIPLHRLSLQQVK EMSWIQNLDSRNTIGNSFQKLKCIKFNSLTSVLTYFIIERFLYNEKLKLTDLFSKYDLDA DGKIDMVEFFQLFSIATQNYKYKKNSDKIYEVLDEDDKSKISLQQFLIVAIDLEQLLNEN VISQSYMQLSNKNGYITVKSITKKIDIEEQQLLEMFSEVTDFDRLNFLEYKQLLQDYQ >CAK65579 pep:novel supercontig:GCA_000165425.1:CT868039:235236:236121:-1 gene:GSPATT00035059001 transcript:CAK65579 MDQNNVDTKNTFYKSIYICVGTNLFELQKYFLFGTSEYKGYIVDAQIQTQTNSFEYCQKA YQNDVIGAVYLGMRGTQEKTILEYPRLGDLLANIGSIVSILFMFKYMIMYLNEFYLNQKV LEELISFYYPEFKKIEIKKNWFGKIVQVRFNQMKFFDKASKQMQQKLSYLNLLYEISRLY FVIRSSKFRNEFYKSHQIGIKDQFSLVQRYRDACQLKVRKTF >CAK65580 pep:novel supercontig:GCA_000165425.1:CT868039:236161:236547:-1 gene:GSPATT00035060001 transcript:CAK65580 MNPKISRENYLSDYSLLDLSEDVIRVSYWKDYEGKIDPFTNNILLPLVVYANNNQLTEAQ LIQNHTTTSFGDLYIPNMKFGFSYFDNQIFTTNEMYIEVVLCQEKYLKPNEKCASPELTE EFFAQLTI >CAK65167 pep:novel supercontig:GCA_000165425.1:CT868037:1369:1742:1 gene:GSPATT00034645001 transcript:CAK65167 MQVIQFTKQKLQKPLNLLIGITYQAQQTFPEDNLCKVPRSVCMIANTTALSQIFSNLSHK YDQMFSKRAFVHWYVKEGMEEGQFIEAREALASLQKDYEDQYSYIHEENQEENAPV >CAK65168 pep:novel supercontig:GCA_000165425.1:CT868037:2029:3422:1 gene:GSPATT00034646001 transcript:CAK65168 MNNQECDPDKGCWLLSTCEIDEISHSCVHDPILQLSLYTGFVYFLIPILLGTSIMGGLGS GMIKRPILNLLLNYPSSISTQVADCFLFTTSTLNSLFLFFEKHPDHHNLPLINFDISIIL NQTIPLAWSAGAFLQTRSPKFVIYIIQLCFLMGAIPFLWKYMLAYLQKRIEQDKRENVIL VNQKIKTQEDMASETNFDEKQLTQYQKFYINDHSKFQIKNLCFIFGSFLVNQTIILMRSN KYNNSIIGLDKCTLENNLILLAILGINLTYTFFIYWSKRNEEYYKDIVQYRPNQRFFKDK KQFFFYYMAGFLAGFITGFLGMGGGLIMVTFLLSQKIIAREAAATAAFGSFMISLNSLIQ FILQKTISDEQMIVFFILGILGVIMISKPSYIFMNRFKVGYIILIVDIIQVSINVISILA LIIINSMLYGFDAMLDYSSNC >CAK65169 pep:novel supercontig:GCA_000165425.1:CT868037:4538:5667:1 gene:GSPATT00034647001 transcript:CAK65169 MYLQTSQYQIVSLIGQGSAHQVFKAKHNLTQQVFALKIEKCPQQGQIEGEIKILNVLNQI EGIPRLLEHGKTPDNRFLIMPQLNCSLRDLMKSQQLSLPCILSIGLSVIKTLEQVHRKKI LHLDIKPDNIMIQSKVLKDQKEQILIPGFIQLIDFGLSQFVGNSKFLQNVFVGSLNFASR ASHRGEQLGYKDDLESLFYVLVYLRNGKLPWSQKSSMKSKDLDILQIGQIKTSLFNTMTL SKKFPIEFSKLMSYIDELKYDEMPDYQSIKRLFINMLQTTSLSSMMDQFLTYSPNQSFNQ QGNSDIVHIPVDKLNFNNNSIETQIQEDLTDVEAKVEHLSDLIGKYTTIQIKSILDIKY >CAK65170 pep:novel supercontig:GCA_000165425.1:CT868037:9200:11938:-1 gene:GSPATT00034648001 transcript:CAK65170 MHTNQEINNSQKSETSTFGYNCVYDQKLSVLDSLKLRNTLSIMKDHQDTFQIIQRAPYHI STIIKQDQNNKKEFQDGFAGSGGYGQVLYAEHMDGSPCVVKVQKIDDFEEFLQQLNEYKI QQTLNKVFPLHFVKLIDRVVILQENINTFSSYAGLEIAITTLDVYSQQVNIEKEEYQSIY HQILRSIINMHSLKIAHRDIKPSNIMYTYNKGWIIGDFGCALKYKKSVGVFQIEGTTQYL PKKYRMMLQNQSFSESKMDLFENDIYAFLLTMLKIQMKDCTIYQLQHMLDDQNMYNQLPQ ELQILTQNQFQLSQQLKRGVLKVPLQQVFYAERFYQIKTTLQQFKKKKENILPVLYNILY FRNEIQAKDREIKNEAQNYDDLKIYIELMDPYFTVKESTQIPPVTSETTFEQYQIISEYW FRLGNISQKLKLCQQYQKYTAKHQFQVKLEELSIYMFQEDYQKADQLIIQLSDDEPNMDD ITFVQLQIIKTIRGRIWLEVADQFQRMIYDANRYVDYIENWMFLLLGNFSWIYQTSIVKH YRASKDYKVMETLQQENQILSTNSVHLPRWIELCNWKGLDHQNSVQDMIKQLKQRGADSY GVKIFIQQLIKRIDMNNEKQIIINLFETAILIYENDFPNSSFFWEIYFHFSQYCFSIKDF QKSLDLALIALKLIDIDNQYYYIQIELMIICILTKQNKFDESKNRISKIWQFIAGIKSQA SRSTLLSKLHSILTIFFDNNQIENLECISNELFNYVEQEQVNSIIMQCQTIISDIAFIIK NRKKLNNSYQSWVAFCKNTQEFDPQIFQLFYKYIYVQQVLMKSEEEIKQYLQDLSKYIQN SIVYDEIIGLEGWEVLRIQHSQWQYLQGAQQLDQESLFKQMAIQQKHYFELNYYHHMDEI QNLNNIKINYTQ >CAK65171 pep:novel supercontig:GCA_000165425.1:CT868037:13773:14594:-1 gene:GSPATT00034649001 transcript:CAK65171 MCIFDCRRRSNRHILMDCLRYEAFNCKMCKNLKTLDKLQFCGKFFILQVGFSDFPLNLQT FLIKLQNQSNYIQCKKCKQLFFLDQKDNHLLVSKFTCIHKEKYEIKKCLCCKKISEQKSY QKCKKCQLPLFYFDVQRQVECPRCKMKCCETCKQETQLFQQKKPCNCKIIRYQKRVNLFQ FLSVALFVLIITSLIGSHYLEDSEFYQSIVCTIKDGYSNVNCTLEQLYYDTFKQLILFLG ITLVMGFGVGLIDIIRLLNITQRKLSNAQTRRF >CAK65172 pep:novel supercontig:GCA_000165425.1:CT868037:15408:16850:1 gene:GSPATT00034650001 transcript:CAK65172 MDERVKQLEKDIVQNEVQQQDNQQSQQNETIYLSDFTNLKELLTHFQNTMSELQISFGAI QIVKNRQNIEFAIPIQQVEMDQKKEREQAKQSQLNLQEHIKIANLQLQLLEQHESEQLLK IQNQALINQIQQLSQQVQNLEYQQKEKIQENEGMSVMKKFKYEQINQNDTAKQQEFCGAL AFNADCSILAVGCNKMIKIFDFDQGVLKLIQTLTGHSNLIQTLNFMRNNNQQFLSGSSDN QIFVWTQQQDCNWICHQKLQGHASGILCLILNCSDDLMVSGSKDKTIKFWVKPNKDADWR CSQTISEHQDYVNAISMNENEQKLISCGEDKLILVLERPSKFQDQWNIIQKIKLQNMGFR LCFINDNQFTFQPCKQSYLSVFELDNNIYKLKTEVAVKGGIDDCYSLFPQQFNKSKNVLI NKNVSNINFIRKNKNGELITEQSIDFCTSSMNGKLSNDGEYLATWDRKSWEIQIRKYQEF >CAK65173 pep:novel supercontig:GCA_000165425.1:CT868037:17554:20292:1 gene:GSPATT00034651001 transcript:CAK65173 MDDDFLCQYDGHDEDEILGFCLNSKCKENSQFCLKCCWDKHSSHEEECKTFKQIQKTIAS NKVLEEKQKEQLVNKIKEIQNLCDQITHQKNVDAVNLQALEKDLKDKEYKKCLDHIPLFK QYCQYLQSNSQQVLLDQLDQGLKSLKLFTLGISAILEQTKILDNQSISIKSEIINPNQNE KKPAQVEIQKKDQEVQVNIELPAQTQKKNEIEIVENKDYGKPVGQTASSRVGLPPQSQQP PSTYNYQQPSSAQGYQQPKPQNPSAGQNSSSNIYPPQGSNPPKTYPQPNQYQQQNQYQQS NQYQYQQQNQYQPQNPTNKSYQPPTGSSSINSQPYQPSSGSSSINQQPYSQQSGKVPPPQ TKPYQPSSTNPQNQQYKPPNPNPNQTGQKTYPPPGTQSQIQKYQPQNSEQGNQKMDPQQL MSLLGSSGNEGLMKQVIEYALQQEVNKNSKNGNQPAPKVQLDMEQVKYYQSQIEAEQVIK QCAELLQQDKYKETLDIINKANIGIVVDNVQILQIKWKCLLKTNQLEDALQICNQIIELN QDDTKFLAIKGLTLHQLNRYEEAMELFDIATEINPNEFNGYFHKGQTLLSLKKYEEAIKC FERAIEIDPESEPSYFLLGNALKKLEKFNQAVENYNITVNINPQHQVALTFKAQCLIELK VYEEAIKAADAALSINENNSLALYSKGLGLFKVEAFKEALSCLEKAILIDNTMHEAIALR GEILHKMEKYVAAVSAYDLALQISANPQYMLKKAESLRALEKVEEADILQNQAQQLIDQQ KQPQEKQTI >CAK65174 pep:novel supercontig:GCA_000165425.1:CT868037:22143:37907:1 gene:GSPATT00034652001 transcript:CAK65174 MKIILVSLLIVASFANVNRVLSEISQTSNKWQFNLFTSTAEQNSQTYLLEGEELLLVQNI ISGDGNNQIKMNKYLRDGTEITSPKIIGDSTKACSKARITLIPTIGFVAGWIQKTESSSQ LYLQLFTNNLTALGEQILVSDISQEVHWDLSSEYYYIYNLGNYQLLLLYKQTTSDKSWSG TIYNYNSQQRSSAIKLSNSKFVEIAQNSLGNLILVQRIYVSALNSYLIATKINQNGEIVY TKNIYHIRLQSQFEPRLVALSNNNFVVFYQQSEFIYYQVYNQHFDSVLFASYSKYYGQQT PTWEFNNFFKGSQIQVLALEGSVILVGVNYNTLRFQLIQLDNEFLEFIQQREIHYEDLNI YKFSIQKTNDETIFVQWISGNPLTLLPEFTQSLYQSELNLKLTLSNCAFNCDHCENNVCQ KCNNGYVLNNKKCQLQCQTNCVQCSTKNSCDVCASGYVLNNKMQCVNQSNSANTELKVSI SESTYKYNQQVKQFQDGSLLVYYSEYSTPQQVLQFRVLSSDGEIIQAHQLNYSGWAGYDV ALVNDTTAYLYTLETSEGSTVIKQRQFNPKTFEFTTIIRLQIQNQGFSYRKDVQNNLIVA GFENSVCLILKGMDSGDNINLNLGFYTYNGEFLINQNLGQVQFLQNYSYNEEERKFDYDF DEQYLYILIARGCSLQLVQFNLVGQRVYEESFGYGGYVFCQDFINPSLSKISNKYRFMIA METVQGIIVFNWKDSTVLYTTDSKVKARKPQLNAFKDNIFVLLFEEYDLSDRFTTIQYLR FEFTDVVVNQSINSNSVLPQNLKTLNQDNEYLILSWLAHGSSKISSTNQVYLERVLLNDN LIVGFGKQCPSNCQNCNSDKACLQCNEDYQLTADLSKCVAQCPQGCATCATKDQCLVCQF GYQLSQLNVCEQSQKNTIAILKENTQIFDSIDLDLAQNGNLYVSWMQRDENNNQQTFIKQ IDVHGQQVGQDISVNVCTQYASKIYTTSYLNILSTICGNLEFGADMYLHFYTSNFDTRYQ FWVDHGIRMISYSIGDTPYAIQVISEKEVLIAYQIMNSETEVYVRFIKLCKQDFDNLYFY CGSSQFGPFNQKVQDLQLAFDYQVYYINYKILVQQEDGSIQLQTFKITTDFNCQQVNILQ TFTLNQQTLILSNLNKLDLSINNAQISFTITHGNKQLNQHTIELNNQNSDLQIEQVDVAA TESGFYVVWIEQQQTKQIKAQGFYNNGTQITEIQNLIQSSYSPSKIFVQSVRNNQLIIVW QDQDQNGQKLLATRYNQMLQLLPLNTVLCQYHCENCQAANSCAKCSQGYYYNTDQSQCQL ICPSYCQVCTQKDVCDICQTNYYLVNDQCVYDAQKQKERVLADVEDSTVTRVSVSAFSDN GFVVVWNDNVDGKQQLVMQIYNNLKEQQRSLPLSGENDKQYAQVEVLDGDLIALAWFEGQ CSVSCRLQLQIFDRFGTIQSTEITIAFVTLPSLGKQKPVVIKRFDRKLIIAYITYENGKT VGYSTMYEQDSSSVQANQLIDPDQNIDNLNIISLNGYYHGIMYVRNNKELYIHHTFQNGC FQGCGYFDGAQLMISSDYQISQPSAFLRQDNFFIVLWVEKSAVNGFLIEKTYQSRCWNWG CDGKKQVSSNQFDETYLPQSVGQYLQYGYQRYSIIYGSQDYPNHIRRTIKLQSFNDYYQG MDKKLQINVVSQKIEQFVTYEFQNGDVLVISVGQTSESSSQILLNLVTVLGDQQKLMGNP TCQQRCQRCDASLTCFNCNAGYTLIDGQCLRQCDKNCAVCDRHWESAMLQCNECEFGYKL NNFQQCLPTDTTIIQSLINTYQSGNQYGQRIATLSNYQSVVVWNSENQDKNGKSIYMQLY DQQMNKIGGETLVTKDNIGVQEEPDVVALPNNHFIIIWKDNHSNAGRRILMQRFSLAFER LGDEIVVYDSTTDIISNLQSLVNYVDVHHKITVSLDGSIFVLLYLQQSYNIFDIRFKQFN SNYEEYSSLSIWQTTSYQQTSTSTLNNLLLFTFKSQEGIRVFFINQLGSIVNRLLIPNSK SYSYPSIISISENEILIACVGSKLSQLLVYKQNLDLSSTLSPQMIDFNNQITDVNLLNMN SGYVIAFKQLNQTPYYQSDLVNLLIFNSLGDVLSSDPIQVNDYYGSTTDIRLARLSNDDF IITWTQIINSSYGVGLINQRNIDDLSGDGVYVKRYNVAGQVQSIQNVVCQSYCKQCSKSN LCEACMDGYQFDQQKLICLPICQSHCNQCTRFLGQYKSYCQQCAEGYTNNDQGDCVKVQE QQIQQLYELYGDYVVSQILTLSNGNIVILYQANGGDKYLMNIIDSSGKVVLKGNELTLSC CRKLTKATALTGGNFAVIQRSFDVVVEGFQLFVYDQEGRLIKQDILYNPYYWGYPEFWRN QNLLQINSLSDGGFVILFVSNNDGYISSQSPKLHLRIYNKEYYLVKQDIVIQTYFNRNEQ WDYWNYDYVYNNQRNQAIQVLESVNSIIISFGTSIYNKWEENKNNIQVFVYSKEGQFILN KAIEIPNNDWWVGYTPRINLFEIEINKYLLVYFNNRQVYGQFYDQKFNLQVEKSINLESL GGLHCYGCCIGCWDQITSQVAVVKNVIVITNKPNGQDLYISAYNLNFNQIVSPFKISNNK YDATDYLRNQHFLVNYQLETLLLAQVVQFKGSRLIMFNKLDKNCNIIKQWTSCGENCIDC AENNQQCLECKSGYFVDETQKCKKSCDIQSCLLCENPGQYGGFCRICQAGYQLKDNTECV QVDSSRIQETKLSSSNHQAQNTPRIKTLLDGRILLISLNSNNKIIGQFYDSQGNLSGDQV TLLEEEGINHFDFSVSKTEDKIVLAWVSYRNWNAKSLKFSIDLQSKITEQLVIIDQVYQY SISQITVEFLQDNSYVCVLYGNGQNWIRTVNEFDQLNCFNQINSINQWFCDRWGCYDQSQ FYQAQNRYIDYFSTSYEREPSILTTQNYIVLAYVEYSTLVLRTYDNYGNVQYVFNNQNIN QNEFRQPYQPSVTLLENGKIVIVWKDVMETYNIATYEQQVPSYKQTVIAYMITDEQFRDA KVQYIGMDYIQEERPDVAAISNGFAISWSGRSERNNQNIDLRIAYFDLYGNLQTGFIPVS SICNRPINSQINKIADGSILVSWYQDQDIYVQKLDRKGVIYPLPQPIGCSWFCSKCNQEG CLECFAGYELNQVKECQIIVPKCNVENCQVCEYGWNRCMLCNEGYFLEDNFDHCTLIDVP NKEYKLAHSNVINDHSTSIVSVDDGTIIHLWLDTNDDGYVLKGSTYTQFGSQSIADKLVY LLPKDVFIQVKSQNSNVVVLIYNAEFSQILIVNVKLEIVKDKVDITSIIKEEFIISYEWQ KQNLETFNDGFVVEITGVRQQVINQFDINPEIRKCMVVETLVFNFNIELTTQPFGFYMEG QPSTGIVDSVNNCKITKVLDVLYDDYIYVVSYQYDLYRQSLQVYNYRGEKLKEVWVNQQV PWYSYADYDGQRLFKSQDGKLYITGREGKGIILNIENFQFLEFLDYPLLSNYCIDYRVVR FLYSQELILGQQVFGLVSRWKYSIFRLWDQGFVSKFAVQQQSFNILELTDGQLLFSWIEA SNNSNKGSIQIVRLNQSFQLDIFQRFACLPNCQQCPNDNTCDICNDHYENINKKECKVIC PNLCDTCQYPQSCTICQDGAYLNDQGECYIPNDGNIEIPIPIKRSDNQINPRVASFSDGS FVVVWQAENQDGDGYGVYAQKFNSLIERIGDEIRVNEVVLNEQYYPDITILDNSNVIIVW ADGQIESEATLYYQRFDKNLVRIGGPVILDKIKVQYIQQYDTPFVVQALPQNKFAVCWVN FADWNSNFYVTLIDSNGVSLNSYVWNRPDQVREIAIASNGLNFIVLIKQTCHLISNIFNL EGQFQYEKYIDFANSCNGASGITANSRGEYIVSFIEADYVRVSIYDTQFNQYGRSSKIED SAIDTIADNPDVIALDGDKIAVVWQNTDRLDPIFKSRKLKMVILDYALVALTSVQEVNLI TTFAQLPQLSRLNNNQYVVVWQAKNQKPNVDSEYGIYLMRYNSNGDQTNPGVPICPDKCE KCQESTTCIKCLLDYDLVDKECKPRCPVNCQYCNTSNICDICLPGFELDVVGHCIYITPD PIPYPVPMPEYPSKVNPSFKSIQKFGDTSVLIYGSSLIQVDSKYYLDISLILINDSSKAQ LPYNNKIEIDGEWANVYNSDILVIDQEIVIYWTEHSYSVKQVHLKQIRLDSNLVSQGSET IDTFDFDFSEHTNRKVYLFALNGSYYIFQSIQYINGQFELYGHIVDRQLYTRAPPKKLMD TFNQLNRNQIHYINNEFVITQYTMIIFWRKFNTNLGDLVEGSTWPIFNGDIDYSSIIIQQ LTNTNFVVAWRSKSQQPQSSSNSIKYMNLGLDFQPISSEITVVTSNDVLQSPFIVVTTSS FYVIWNQESVVSSILNCNQFSENGGPALNTIQKLNRLQNNVIFYTGTQITGEDIYVIWIS YQDTTLEYQYQLVKIGPQGRLPNPIDPLPVCPTGCNVCQNEYSCGPGDCLPDYIYDIITH KCVMPCPSNCKQCSLPGQCNTCDEGYKLVNHLCVKNVCQAGCELCDEAQLCFKCPQGYEK VDQFKCRYACDVNCEICGEDKCTQCKESYYWSEKDRLCVDITIYIYQTTKEKPLKAVFES KDYVLMWYETGATEGIYIQLYRSNGKPVGRPTKVNEQDLLGTRRLLQQSNSFDVRLFADL AAIKNELVVLWVDQTQGDMMKLKFRKFDSNTTQMSEEITIKEQPRQNLTSMAAPCIIKPT KNNQFVIGWFNQQAQSLQQTATMFIQSYSSELKPIGELSTLLNADYTSVPLISASENGEV FITYTSQGYTYLAQMSQDGFQLGDPIQIAQPYQTIKSSNLDDGSMIYVFESKSTNVSPPL FVLQYQILTLDKKLNEPQLLTSQQYGEQQPDLLKINNGFVITWRSVDKTFKSQDVYFQVF DNSGKMKSLQIKVDRQGNHPQNPSIQLLKNNNNSNSDELVITWIAESLEDPTVNTIFSKQ FKLSTIIIDPQTPVCSSKCLYCERNNTCMTCIPGYYTVNDQCEIDCPINCQSCSKPYVCD KCDQGYQFTADNQCEFVVACDAGFELNDENECVPICSDNCLVCQSQTSCLECSADYYLTK GICLQSLGDITASMNQSNQGMPIYDIIVIIVASLLFIGCLFYVYKRHQKNLAKREHGQQQ LANQVEDSNRVPQQTMIHSEFTVNRGQPDNLQFD >CAK65175 pep:novel supercontig:GCA_000165425.1:CT868037:37972:39041:1 gene:GSPATT00034653001 transcript:CAK65175 MEIQLLCLYSNHNNSQILGLCLNEDCCSQRAYCKECIETLHFNHLNDLCSLDSFGKKIQE ASLTLDSLSKRLEQVKSYIESITKCQKQLFSFLQSDFYNLSHQRFNPIIDQLIAIKKIDK ELIPMLGNICKDFQPMMAKFLEIQDYQNKQEFCRVQSQIVEPLNIVFHNTMDSIYVFDQG KKAMKSTLGYQFVICHPVIPKQKRVCFTFEILSVGLRTALGVCHKDINIKIQQLKEGHGS YIMVNSGNCFESSKPQGTKTTFEFEKGSKILVEVNTIQKKIIWKNLDNLSEYSVAIDTSK DLYPCLRLGCKSQQESSSVGIIDNYNYFQAS >CAK65176 pep:novel supercontig:GCA_000165425.1:CT868037:39102:42686:-1 gene:GSPATT00034654001 transcript:CAK65176 MFKFSQNPYAIYFTPFMEQSLKCMTIQNLPNKKQMQLKIYNYQFLHQCCNFVILFIREYV IKIKTQLFGQQYISMPFPVQNSFVEGQHPLSQIQPIRISKARIFLAILLSISTAFLFALA LKYFKKLYQLFLFIDCSDESIATHYRIVATSGEEFVCKKKIMFDKPYFVFKMLKYSFNSA GQFYQPIEFEIKGKTLTDIINLSSKQTREHNIKYFGTCQLKIPIESIFIYSLHAFTGPFN ILQYFAVAIWFAEKTVLQPVLILIFTVLTVYLNYFLYVRSRRRLQQLANIHQEVSIKEND QIKVINGADLLPGDLLILKDNQTLNCDCAIIQGDVIVNEATLTGEGIPIPKSALPNQNSL FELEKMSQHCLFEGTKLIQVNNAQQNIAIVLRTGFTSLRGQYFRNVLFPEPPSMRFYIQA AKFIIEIAFITSIIYGFLLIEYIPMEFKSSLLVLRFLDNIVWSIPPSMPIFFQICKTASL VRLEAKGVIGNNADKVESAGRIDTCCFDKTGTLTTLGFKAIKAFPEEEKPILDAIMGCCH HLIKINGQLLGDPLEIEMLNFVGWQCNFNNNPLTIDGNGKTYTIHKIFDFSSSKSMMSVI VTDGSKYYLYAKGSPESINSISIKKRDDLIEEFNLNAIKGYRVLGLAYKELQSNQIDQQR EQLESQLNFVGLLVMENPLKSDTNEIITTLKESGLDIKVISGDNPLTTIQCGKLAGIINS DNEITFLDYNQQNQEIILQCNNNQQIIKEQQPNSIQELESFIQTQQELALTGKFLEFMTN KGNFFVEPKYSSSTFVKSQSKEKIFTEINQIDIDDGSFGQLTISIIKKTKVFARQKPEQK KLIIQIIQSFGRQVLMCGDGANDCSAISQAQVGISFSEADASYTAPFSSKSTSLDCVVKV LLQGKAATMTIIEVFQYQISVNTLKFVAVLFTFLEAETFADFQFTYTSIISNIPLLIFLC LSGPCDTLAKYNPLDDQFAIYNQIQIFNNLIWGVAGLIVNYFISTAVRDVHTCEIDEPID NCIPKPIEELKKFGDIQSVMFMSLMFFFMTFAINLYISNPFKQRYYRNYLLLLWTILGFI LFFVSAIFPKGGKWAKLINVNDNAFKGYNWIMIAVVVIASLIGFFTQAILQKYLPSKKKI EF >CAK65177 pep:novel supercontig:GCA_000165425.1:CT868037:42961:44494:1 gene:GSPATT00034655001 transcript:CAK65177 MFYLLVVKPLVPMIKLKMQFGDACLMKYHLFGGELWEALKEMKTSKDLFKRHRDLYMNHP YKIFVTNFLWKVRINVADPEYYKLIKFNNINHEYLFNHQISNVIQNGLICQMGEKWRAQR LLLSESFDYDQLKSRLPMINEVCLDKINSIHGENILEFLELITGQVVIRSFFGKSADGVQ INKKKIQIEIADLLNELGEVRFKSKYLFVKRFFLGTKSWGFFPTNKEKELLQRISEMRLA IEKLIQKRIVEIELKMDENEDEIKQRETDFLDVLIKEYLKQKKQGTQKITIDEIIQQFIT LFFAGTDTTAVLSYHCLYYMAQYPEMQDEIREEVLTICKNESILDDKIKQLHKLSAFINE VLRFKNPALRLMMRFCNQTHQVKDLTIQKGWSVTIDYQFPQWLPKHFPNPEKFDYKRWLE KEPIKENHQFVYLPFSSGPRNCIGQHMALMEAKIILSQILRQYSIEINHDVDSRWTARFL YQLQPGNCVKLQKR >CAK65178 pep:novel supercontig:GCA_000165425.1:CT868037:46080:46446:-1 gene:GSPATT00034656001 transcript:CAK65178 MKMQGTQVLGIDFLLDRCLTEGKIKLYHIYKAEILVTLSSTNKPFKICQIKLFKTTKSLL LLAHVGDLKPLNNSKSINLQELQTRLIEQYQQQQQPIEEVQILLNSYR >CAK65179 pep:novel supercontig:GCA_000165425.1:CT868037:47286:48891:-1 gene:GSPATT00034657001 transcript:CAK65179 MYQQQLTKLFVKYDAPIPQDNILFKTSFFKMSNHKEVTLLLCSNDFIYKVSNNKAKVYIL KLNCEVRFSVKAEQTSKQIHKQMKGDEFHTQIYKLTLERDDCNSVEFYNFNFQTMYWFDH LRNKLHMLDYQTHYEISKLIGKGSFASVYEAKGKNDQQSYAAKAFYKKQVFQDPKGRDQV ENEINVMRKLNHPNLINLHEVFENKAQVYLILDLARGGTLEYALKVLNAPVPFLSAKVIF RQILEGVQYIHDQGIIHRDLKPDNILFRDFIPLKRYGLINVGNNIMISDFGISTPKQQRM AIYQYCGTPGYMAPEVFLTENDDNATYDEKCDVFSLGCILYYLLIGHPLFAQPVKQTNME MKIDFAQLEKDLNQSHSLTVLLQKILSQNPQDRPSCREILESKIMEVEYGEDGIPVFRDF QRPKSSPPKRMQPLHPEIDRSKRQSMLNSKLIKLMPILEEKQEIRISGKDKTTIIIKQRN SHFDEERTPAQRVAAARRSSAYVPSSNQNSRK >CAK65180 pep:novel supercontig:GCA_000165425.1:CT868037:48919:51780:-1 gene:GSPATT00034658001 transcript:CAK65180 MEKELAHKLDVILKKLSDDVLTIEQDKLQHVFHSVHTISWIVIQSIVPYIREEFRGQTIK VFQLITQLIKCLKDNDFDEVTKKLFQQRAQRRRSLRLINCSLCDHYRKMPSKQDSKQAHY KKYLDQLKRDGVELNSKMDHVVLERKIRKLSDDEDQFVYKTSKACQVELDELLLQNSNSN ENENQRISKSDTFDAETQTESVPSKFSLQATSELRNHFVNYYYLHSQYDSVKQIIDEGIQ ACQKQTEIFQDVNQDTPALKVKYFAQQSLQHVSQVLQQKIAQIEATKEFKSKELKENLPP LGDIIKQVQLQEHKRKAIEAGIIEYDPEFDPELLQNKRIEFRVKEINGQQVIEEVVVDVE SGEVIKSRARQSDPQQEIMLEQEELIKQIKSKEQTIDENEWIVAKYGNQKVKVIQKSDDV TQYEVNTAQAKKIVRVKSVDGNKQLEEEWIAQQADGKHQYKVYQQNGQLLYEDKGQSVGI RLSAKRLDHKESIIEERTTENGSVMRILEKQKYGQDTLKIIRRNSKHEVVESITKQVLKD DNGEMYQHEEEVKQGGVKIMRKIYVNGDDQSKKIDEITIHPNGRRSSIVLKQYKDKTEAV FNDEFGDEIQVTKYTYINEKGQQVECQRKMNMRTKEVQMQKTFKNEDGKQTIETVITLDG LEIGKQIIIQVGPNERIERITKYGKTIEQKVLVDEHGQESIHMVDHENNMKIQTTNFTKN SVEYQQQTINLSNGAKRHIHQRSYFDENNEKIIEEDRVDEFGQRILIKKRINALGEEIIQ EFEVMENDGLNPELKQIRQSQKRLPRAELQSVVVIDIGIQTEGQMPLFTQTQEQFQQKKQ NSQIIIDKPDFNELDKEAFKKLQQGKGKNDPQFQEAVNQIKKFFNKGEGEEIKQEEFNEY LSKLRQNHQRQCGEQCSHLLRFYAKLGFLIQKSALNRQVYKLQKVQLQAKESL >CAK65181 pep:novel supercontig:GCA_000165425.1:CT868037:51809:53177:-1 gene:GSPATT00034659001 transcript:CAK65181 MVYSIGQAKRSDPALKTIAPAPNAYLPKLISKLQAPQWTIGGAAQRAGRSTSIGPGPGQY GYQSKLIEGPKYTISGKHETKQASMSPGPGNYNDESFSSVYKKQPMYTLGLKHHTSQKDL IPGPGQYDLNSSYISSNSIKFPTQPRLTSLEGGMSPGPGCNLEYNSQNTILTKQQSYYQR NLNLPGSPDMRKQDLQPGPGAYHLKSLLNLSKNFTIKQKLQNKDYSSYQPGPGAYDQDVS TIKSHYPSYKIGSELRNTQNAMDKLVPGPGQYYRELDSLNSLQKSAPSFKMPQALRKDLN DSVTITPGPGQYSMPKNQNTQMVSMKGSKYNPNNSSFIPGPGQYNPDDSVCKHKDGSVRI VPEHKSKQLLTQYFPGPGQYSVKSSLEGPQWGFSKDIRQGLIKKDVIPGPGAYNIPPKFN DVPKYLLPKQF >CAK65182 pep:novel supercontig:GCA_000165425.1:CT868037:53208:54824:-1 gene:GSPATT00034660001 transcript:CAK65182 MQTNQNTSTNLLLQNKKELFHIQLRKEKREEIFKSKRIIQKQNETPEHIQLNPEQLDQYI TELMKECIDIYRNRLPDDFDKMLNNLKRLRKLQNLDQRGEAFSLCLFKNDFIEYILVLLN EQFDHASQLQVEGATILANFFGMMDKNSRLYFSSYLPMLNVLSDVITKNFGRLLISKNAP LVDSCLYALGNAFYDQQILVQKFKQHFGLKHLLQVSMHLNTVVWVLDTLTGNREFLNKEE LELSLQILDKQLQSNDVENFIHSLSALRNISQYQIDAIPMIIGLTSFQKIVEIVSQKQDL VHLHSTSLEIIFALSTMNDYEQVKKLDNKYNLMEVYVTYLSSYEKEYRLKSMISLTNLCN LNETFAIQLSKMPYVIEKIISITIQTEQQQILNGVNLIYSMLQYEKIEMNQNFINNKILS LISRILEYLNNDILISTLKSLWILLQSIEYYTASIQIPGDDKIKSQVYMMNQLNVHNIQD KLLTLYQNVNNQEVRDWIDECVNILEKTQNEIETY >CAK65183 pep:novel supercontig:GCA_000165425.1:CT868037:55172:55798:1 gene:GSPATT00034661001 transcript:CAK65183 MNQTQTNLSQVRQKEKVSLNDGLPKIDPRTVIEELKSHLYSDDNFKKLFSDAEDKKYREN FQLFDRDSDERINFTELQELLTSIGYVYDEQELSELYKELEDSEGQGIRSDALFILVSKK KREQDREEQLIEAFKSVDLENTGYIQSEYFKELLMTLGYRFTEDEADEYMKFIDPKNEGK FLYIDIVKKILK >CAK65184 pep:novel supercontig:GCA_000165425.1:CT868037:56711:60130:1 gene:GSPATT00034662001 transcript:CAK65184 MYVINTIPFKYLDLIDLQINHFYYSILMSYPVKNSDIEGQHILFQVTPVQLSKLRVLLAV VFSICSAFVFALALRYSRKFYNAFLFTQCQEESVATHYQIETVQGEQFVCAKKFIDNKPY FLFRMLKYRFNEQSKSYEPIEFDAKEKVISEIVQSRNTLSRDLKNQYFGTCQLKIPIESI FIYSLHAFTGPFNILQYFAVAIWFAEKTVLQPVLILIFTVLTVYLNYFLYVRSRRRLQQL ANIHQEVSIKENDQIKVINGADLLPGDLLILKDNQTLNCDCAIIQGDVIVNEATLTGEGI PIPKSALPNQNSLFELEKMSQHCLFEGTKLIQVNNAQQNIAIVLRTGFTSLRGQYFRNVL FPESPSMRFYIQAAKFILEIAFIIAVVYGFLLIEYIPMEFKSSLLVLRFLDNIVWSIPPS MPIFFQICKTASLVRLEAKGVIGNNADKVESAGRIDTCCFDKTGTLTTLGFKAIKAFPEE EKPILDAIMGCCHHLIKINGQLLGDPLEIEMLNFVGWQCNFNNNPLTIDGNGKTYIIHKI FDFSSSKSMMSVIVTDGSKYYLYAKGSPESINSISIKKRDDLIEEFNLNAIKGYRVLGLA YKELQSNQIDQQREQLESQLNFVGLLVMENPLKSDTNEIITTLKESGLDIKVISGDNPLT TIQCGKLAGIINSDNEITFLDYNQQNQEIILQYQQPNSIQELESFIQTQQELALTGKFLE FMTNKGNFLVEPKNSANTVGKSQSKEKIFTEINQIDIDDGSFGQLTISIIKKTKVFARQK PEQKKLIIQIIQSFGRQVLMCGDGANDCSAISQAQVGISFSEADASYTAPFSSKSTSLDC VVKVLLQGKAATMTIIEVFQYQISVNTLKFVAVLFTFLEAETFADFQFTYTSIISNIPLL IFLCLSGPCDTLAKYNPLDDQFAIYNPNLNIQQFQIWGVAGLIVNYFISTAVRDVHTCEI DEPIDNCIPKPIEELKKSGDIQSVMFMSLMFFFMTFAINLYISNPFKQRYYRNYLLLLWT ILGFILFFVSAIFPKGGKWAKLINVNDNAFKGYNWIMIAVVVIAALLGFITQAILQKYLP SKKKIEF >CAK65185 pep:novel supercontig:GCA_000165425.1:CT868037:60486:61566:1 gene:GSPATT00034663001 transcript:CAK65185 MFILALFSFVSSQIIIGQLPPSINEQLTIKVDTKSIRISYCLSSDQYKNGLKKNEFNYPN PKTFTQLNQKLLLQYITNEIFNEMESKSALFHHENWRCKQYTIYDYFPELFEISINNAKN RILTILKSEKQDAGEFKQSQDWTYQLQLNSNTQQQWMQIKYKKESSGPKYHYERKLIGAG LHQFIETKIIFDKQPSQNVVIVDELDTFTYVDKDELDKLPYEAKLMTIVDIERPNELSTQ HIILSQHKGALEITYLMPYHFRYHLASDTHYKTSYVPNIPRVYLESQSGDPLIKSFFNGN FEEAQISTYKEHLTYQIPVGYLPYKNVVIMITIGITLGMALHISNLIRRDKQ >CAK65186 pep:novel supercontig:GCA_000165425.1:CT868037:61978:64222:1 gene:GSPATT00034664001 transcript:CAK65186 MAGKEATLVLLDVGASMYEPYKQAQGKKITRLELAVDCIGMMIQQKIFNYKNHEVGLVLF GTEDAEDGNTFYIQTLSSPDLEFYRNLTELPNHDIPKIIGGDIFDALDKSVSTLDEYVKT KKMDKKIFVLTAGFGQTEYNEKKIAKLIKMIEKVDVKINFIALDFMNEYDAELDDPSKPE NQETLNDRMLNAVYESQEQSINSRLVYYMVQELRSHMRIFPANIAFELYSQFHTKQMQAR ASFRGDFQINDETSISVLVYKRCTEEKLPSLKKHSATGEFSSEPTRNVVRNDTIHYNPED PNMTPIERENIIKGYLYGRSLIPVDSIMEDKMKYQCVRSFQLLGFVDKSQIPRHYFMSSV DMVVAIDCEKAKKSLSSLIIALIATKKIAIARFVGREKSSPKMVVLLPHKSKSYQCFWMI ALPTSEDIRHFQFAALRKSTPHQQIAVASLIDKMDLEALPNESGEPEELLKMKYIANPTR QYFQQVVMHKAITRTDVIPPISPLILEYLHPEQRVYNYAQDAIQRVKNAFKFKVNEIKKP QDKKVFWKQLFDEQTTQQQQAQQQIEEEVVEINREEEEMVNMFAKQKLGFNDDIIQEIGS VDPISDFKKMITEKRVDLVDSALQQIQKVIIGLVDQSVKGSFFPKALECLKEMRRACISE DEAPVFNKFLFVLKDKYNQSIFWAQIVQQGITLISNIENQKSGVTAEEAQDFLNKEDNKH QQMVDQLQHEEEDLLADIE >CAK65187 pep:novel supercontig:GCA_000165425.1:CT868037:64708:65343:-1 gene:GSPATT00034665001 transcript:CAK65187 MKRHNSDDQLQIELIQDNDQLTLISEVDEEDKSAYFLKNAIYYTQKLLQLLLKVVKTKQN ALTKEIQNNLSKQSQHIKQYLSKFRPITNQILSKEELVQNYEKVKTEFKAQCCKAFSIYS TQDEQLANNSVDQQLKESEQMTTWSINENKTISNENTENTIDNSFKIYSDLEQNQIQIQF PKRISQEYQKDLLKKLDEIAKHHQYQCVQLK >CAK65188 pep:novel supercontig:GCA_000165425.1:CT868037:65382:67153:-1 gene:GSPATT00034666001 transcript:CAK65188 MSNSQIQSDSEEFIQQQEKGDLNFYSGLFDPCEEVPQKPQETQTIKDKVIQQTKQTFSKQ STLNQKIKSKLNKVALKIRKQNEQFYEEPEQVEEEDPQQDLQQEQQLDSKIFAIDTEFHQ LKLNKALVKACHDQGYTHPTNVQAKIIPIIMNGKDVLASSCTGSGKTAAFLLPIMQRFGN LKNLQYSKALIILPTRELALQCFEMFEKLNKYANCTAALVIGAVPIQQQETELRKYPDII IATPGRTVDLLTNSSSLEIQNIEILVFDEADRLMEMGFEKEIRQILQATSKDRQTVLISA TLNATVKQLSLLALNNPIKVNVDFVGGLAYGLKQYLLRIRSNQDSDREATLITLLKTKFK EKTIIFVKTKHDCHRLAIVLGFLDMSSCELHGNLSQQQRIQAYEDFKEGKFQFLLATDLA ARGLDLTDVKAVINYEIPYEVTRYIHRVGRTARIGAQGISVTICLENEVVKFKKMIRQSK QQLFKMIADTNKVRQMRRQIQQLEPQIRKVIKEEVAEMEVRKTEMMTQKGEIPMEESEDD DNIPEPKKGKPQMKNKKRAKKFNN >CAK65189 pep:novel supercontig:GCA_000165425.1:CT868037:67267:69314:-1 gene:GSPATT00034667001 transcript:CAK65189 MQDFKDMKVIACGQYSQVFSAKLRKENKLYAIKKYIKKTLSRQRISPNVAKEIEIMNVLG DNQYTTRLIDHFEDKESIYLVTEYFNNGNMQQKILKDKKLSEQETLFYAKQMIDILEHLH KKNVVHRNFKLENILIRKNEKKQITIKLCDFGFSTYIQHTEGIFNGNDHFGPEQFNLQPQ TEALDIWSLGVVLYQMLTGKFPFEPKPEQLKGKKKQDVLQHNIVNGIFSQKNLSEGVQDL LVQIFQVNPSKRITISQVKEHWWIQGIQRPNDKINIVSILEEEPETYEEKEQEQQQPVQC IDVEEQITQVRLSVKKKILDNVKTTNEALDLKILKKMDKIEVSASEEANLTQVLNTVQQQ LAQINNQNMDLMSELKLRSSGTVHLSDERESVVSYLNYDSKSRQDFCKIQMQQIPRVEGE QFCLKQTIEQQMEQLQQIKENKESVDKYKEEVVNNNNYINDLMQTRLLLKKQIQDLEEKR SFMKLEEGIIAIQQEIEKKLTQLSTDFKDRITILEIFNSTFTESKLEENKQQSQQHKSIQ NKYDLSQMQNEEFPEKKQKKIDLLKQQIQETRDRQNQIINQISENSTLLNQKDEEAIKQK LYLQLAKKEEMNIKLERLSQKIDNLSAENEKQEKELKKMDQQKIEIEKSSQKSNIVL >CAK65190 pep:novel supercontig:GCA_000165425.1:CT868037:69566:70730:1 gene:GSPATT00034668001 transcript:CAK65190 MRDSRERANTQIGYGQYQDILQKQKNLSQINNQTNQLESIEEDEAPSNFSIDQMEKDREK NQFLRKSNDLDIVNQNDSIFKSTLSSEKLKELKSGKNECCICHKSGTLIHKMKQCKFCGS VVCKEHSKKKRRDPNNSTKFRRLCEICEDKYIRLSVETAYIQKRDQQAQQALQLDSQSKE LLQDIRVLQNQISEIHQQGVKNQGEYKQIQNELIFKTQKLDYEIKGFQDENLKLKSTIHS IKKELQQLNERLNEKKVDSQKKQHQLHSIEQEIQYNDIECQKVMLEIEKFQLNIQSKKQK DQQKQQQQRSQIQKADIQNYQQYILADDKPTIQQYNPYLNDNTTINVNSEPETSSSSNKK KKSSKEKQDREEDNCCIIM >CAK65191 pep:novel supercontig:GCA_000165425.1:CT868037:70774:72191:-1 gene:GSPATT00034669001 transcript:CAK65191 MYLTADSALQLLQEKRNKFQVEIRRKRTENTFQQKRAIFVQNKDLELLAQYALEYYNRGD LQESENYLIRLNEYADSQYSANLKSINTITFLGEIATNCDPHILALVLSIYQKIYLPECL NPYLLSQIQRFENSELVKQFVVLLHKIAKNNPINHLVEQCDFVSYLVEISLLLDNFEEWF KIVEVLGAYINQPSYYFKKLVVTCIKFIEQDTDLATFQIMLTMISCEKDNKNSINYLLAN AGFVDLIMDRLEKSMMLSISIITKIVEESDEGTILFIQHGLLKTLSNLYFEMRENQTAFI YITANICFLKNDYVITEVVTSEIFNTILALDEQELDKNDFVYISQMLKQLIRKYSNEQLY HYLLTKTDYVYMLGHMMKQYELPEVIQNTISCVLHMANSQSDELTKLFRYKISGKPIESV LTNIQYLFQDINIIEKVYDFLNIKYD >CAK65192 pep:novel supercontig:GCA_000165425.1:CT868037:72226:73614:-1 gene:GSPATT00034670001 transcript:CAK65192 MNAPLPEKMMQKFNQQMDVYLKHEELQAKQRKINEQKLQNIFDRNLQLEAKDRKMKEQIL SSRYQNEQLKISQEKLKQLQELEKQKKERKASQSELWQMDQKQHEKEIKQRILEIQTKLK FEEFKKKTDDLMNQLDYNLRRVNVLYIEKQEQKQKYLNDYMSQKQLTLNSSMHINESHNQ NVYSNYQMQMKRIQEICEQKLKNYESKINRIYKQKYEKMDQIYQKAQQSQEHLEQIKEKQ NQIFQMKIKKIKEKIDYFQEKINIIEKKRLTNLQLTYNKEHEHHQQMNQIRARSENIFRN KSLNMLNKQILKEQTFQLVQSQNQLELESKLSRLNQKWLIQQSQVARADKLKLSYLQQLE LKISQRDQRLKDQIQNKLEIQRRRLKVIEDMEKQKRDMFLKLESDKVHPQNTSELKYFHS TPKEQSKLFIT >CAK65193 pep:novel supercontig:GCA_000165425.1:CT868037:73728:76467:-1 gene:GSPATT00034671001 transcript:CAK65193 MNRSQSPINDSRSSHSASKRYVSPNERQNTQENEQNHRKLPPTHQVKSKIINADQSDLGS ILGEVNINNTSKMSNMTQFANFMYVPCPTHPEFFITNLCQDQNCIEPLCPECINEHLEMH QSKGRVPKLENIQRVRKDNTYKIDELSKSLQFKLQDSKKYFSEQPSILYNNNLEQLRQIH EQISNIIDDYFETLYKDLKEQNQDEYIKQLNQLEQDIMQQQHKLTKLQEDLHNDNYVRAV IQICDGKQEIFSDKSLVSLEKLIQNYQQSQIKIIFDKNNIELFQTYCKKMCYFVKNTQQP NTSQIGNPNKSLKLEDKSNLSTMKITQISEIPPPLVNPITLISESLSNEEAKYQINHLNH FEDGKPQVLVRLEEGGYAAYIYDIQSQQYRIETINSTIKVPLYHRLYTTTAGKHLVIGGV DRDKSRFKAIASVYEFNHTNLQLIQHSEMVLPRSMTSACQVDNFLFVVGGSSTNDENTSM AKAEKLDLNTKRWQTIEDPHFKCSGCALVAIDNNTLFKIGGKCDIFTPCNSIESYDIQKN SWTKIEFKFLSNGYLRLPFNSCAIKTSYDQILILGGSVHDVKSNETQVQDLYQQQDFQFE DEDSIEVERSYQTSIEFNTQSAIVQFNNVYLLTENEHNLCYLVIKMDLNINDIKLSPQSR RAFQANKKVKSISIQRNLNQISSDIRCITDESPTNLHQMPVLGGSVTERQINSLRYRPSV VHRQMYSDHQFEQGLQRRIVRQKLPSINQGYSVHELSQNRMSVEPRMSPPKYMENKKSIT EVEQKQSQNINDLLSIKKLNDLLGRKKIRPEQVRKDFPSVPEIQDFSKQMLQIYKKNNIN PLKLRSPIPMQTQNRNSLQIDLLINLLKKRN >CAK65194 pep:novel supercontig:GCA_000165425.1:CT868037:76649:77517:1 gene:GSPATT00034672001 transcript:CAK65194 MDDSQLLNHMSQPCDLGPGRGAVGNSGYYSGEQYSIIPHHDQYHEIVTISMWVYPLSSQQ SFTTILRKALKSTEYTPTILLWPFHDEANVGGGQIEVIVSTSYDKENLRSKGSVTGRKWN HLAIVLQGLSIDLYINGIHDNVLSLKARPLKNDGPFYVGGDPWFNGPLLYLDDLTFYNIP FLQLEISKLVNFPGQVNNRLFYLGCDGCNYHQSLSSCKQGSHLCSLSELTSGIYMHARQN GWLRLTKDFWSRVDEIDQELAKNYLDPQKTKAAICCSDSFY >CAK65195 pep:novel supercontig:GCA_000165425.1:CT868037:78048:79245:1 gene:GSPATT00034673001 transcript:CAK65195 MQKLGKLGFVNQLIIITLYCIQNQHQMEAFFDFSYFQDLRKICSQDTYKEKLRVFLFNPY MELKEHQEIVDLFIEYAELSREYGITFEHMINHNIGIKEPQFYHYFFNKLKETNSRKALQ CIQMAKQFCYSNFEQIESMNKELENILLFQFESYYHSQNCSKKQIEEKLKQFELKFYQSK NKRIQIHIDEQYRKLDLKAIIHEIESFKENKCHPNNSAQIPIAQSYFLNPEEADFNSQLE SKEFKLTKPKFQNNRSNLQIAPQSPNFSNDIAVSEEINKLTPISSSSTSSRYIFKMNNNY NIKSNQQQKLIIPIPTINQQISPLKSLNRMKYKSIQKLRQLIFEDNVKSSVKEPLKFDSP Q >CAK65196 pep:novel supercontig:GCA_000165425.1:CT868037:79254:80421:1 gene:GSPATT00034674001 transcript:CAK65196 MYCFFKTTTTTKRSFFELKSINLALYPIELQVIQDADELRNKIIQGDFQVIPQYLQFFLN NLKPILNKQSLFHPSFTYEPIVSNCQLKSPQDSQEAFETDYVMLIYTYCCGQLFDSLQQI KNIRQAIIENKCPNPKDVDVILQKMKTSYAFLKHIKSDSQQLLPKLSAKKIFDFEEFSSQ FFIRYNTYFIIMANLIHFEKMQQLNQEQELINRQNLCSTMFKLLVSIGNFGQDRLGVYLT YLSIYLKCLGYKSIMDRYLYNIQKEIYREKGFTVMVLEMIQLCQELKQICMIILNKDLNE DYMDIFKIQAQRWIAFSDLQVRVHSGIARNPQPVQTNEQILLNSGYFNCVGLINSYMLLF KFIC >CAK65197 pep:novel supercontig:GCA_000165425.1:CT868037:80483:81431:1 gene:GSPATT00034675001 transcript:CAK65197 MDIQVRYQNEQTTYNGVQSFDDLLQEIEKKYPLLTNFELSYQDEEGDTIQVSNTSDIIAI TDLHKVIIQMEAQINQNKVQELEKAKKVEELRQKRLEEQKRKKLEELQKEMNKLQELSQE KLQTEQQYREQADQLNHLLIQLSQFQNQPLAEFKKVFYDSDIFDQIREKEQELLVLEDKK GFDTKLKAIQKDVQETFEKLFSKRTVQHQENYQKWLENKHKIQIVNQQIAQKEIEKQGKL QKLDDKLKKVQESVAKMKAELNVPQQIDDLF >CAK65198 pep:novel supercontig:GCA_000165425.1:CT868037:81621:84801:1 gene:GSPATT00034676001 transcript:CAK65198 MAAWADIVQHKDSLHRDIDRLETIKELIQKRKFKSLEKQLNKLELPKTESKIGEPILAEF RQKLQGLSNPETADECEATLLKLCIYLLKQFNSCRQQCQSSPVTVIKIKGKIKDLQVVQQ GCGQPAPCEDEPGVPDEPVPEVPEVPEEKGPEEKDVPPEVEPQPPTPPGEEDKPEIPDEK GPEEKEIPPEVEPLPPAPPGEEDKPEIPDEKGPEEKDVPPEVEPLPPAPPGEEGKPEIPD EKGPEEQDVPPEVEPQPPAPPGEEGKPEIPDEKGPEEKHEEGEPPVEPPVEPSPEESPEE EGEIPDEPPVNPNHEESPEESTEEWVEEIEEEFEEFEKPPIVAPPIEPPSAAEKACLNSP LHQSINNWKVMIQMALSLSNILGSWNDNLKRYFVARVSESDHTTSEVTDSALSVYLTKEG FEFQSYDVYGLSTTVISVGDIEGKWIYVYYSYCNEKAVAFVKDGESVQLTEVIVIHERPS QLYFQLAGKQGDAPSFQGQFRLVQANVGQNVFIGTLPEANDFVFACNQLPEEKCERQISN LHDFEFHGADSQFDASAVVENADPIYAQEYSVSGWFKWNPVQTSESWYSAFRLTLNNLAT NQNSKELGDRDLALFVGSEKKDSVLAFTTYTYTDLYGNGNPTYWQAIPYEKDLVHWHYIY FGYSRHISKAYGYVEFFTRKGEVHFENVKHFDAPHKYLYVGQDQFYKSYSGKIFNLHYNL CDGSYRELKYDEHWGYTPKPTDPVPPPPAPVPETPSEPASEEEFVEPPLPTIPSEPEDDV PYEPEPEDKPLPPPSESEEETPVEPPVEPPVEPEEETPVDHEEEPEIPDEPVPDPAHEEE PEVPEETSESEEETVEPPVEPPVEPPAEPPVEPPVEPPVEPEAPQPPAPVPQPKCPPTIE VNKDNAADILCELSHYLGEFAQGHVPVAGPSTKTVCFCMTYNGDYAPPTLLQLASKIPGH IQMNEAKVAVPLLKKFIKQRQ >CAK65199 pep:novel supercontig:GCA_000165425.1:CT868037:84820:85509:1 gene:GSPATT00034677001 transcript:CAK65199 MANELDTIQFSASSKFHQHIPSIDSHIQNKVYQYPSNFDYHQINKEIQFIADYAQRLIKT TEQSSDKYKYIIRIAEISMKAKEVDNNKKLLDDIVDELSIILSHCQKSKTVQLLLTKLIS LYRKKQTNFNNRDSNIKKFGLIFNKNINNRNNVLSNFIESTRMNTQTQKSFSPSSQYQNS MFLQKRDKQIQNQVHKGDNLQNYKDEHLQALFNRPMSFQRQKNYYLSKY >CAK65200 pep:novel supercontig:GCA_000165425.1:CT868037:85636:87502:-1 gene:GSPATT00034678001 transcript:CAK65200 MLCYIILLGLELVLGQYLQTPAFFNFNEHISTFITSKNESDLSSTHNCLIVPCTVVTRQQ NCMVITKLTYGGDCFTKQIQSSLNFTSVDDVVTLEFAYLAVHHPQSEKPYTGIVVGWTND VDEFYWIGAEDKTLITSGVNQTNEYAQFVTINSLAENTWTKVRVHIKPNSTKPFRIVFEA YSDATDYAGQFLITNISIFDRGICSPGCNSCLSYFECTSCEVGRLYRGACISDFCYFDAG SITANKISVGWVSESNSLYGIVLKNVKIKECHMVKFIMNKANNDYVDSSNPSLALYQYGF KISESTLTTAGCQYSLKLPLSQQAGYSCLFEFYLLLNNTSVDLVNLTWTQEFYYPNESSI LIAKDITSDQVQFGVLTSEINLGEASTKVEGKVLLCQSSTCRSFYDEPQVLYLNDPFYIL VMLDNKYIDFGADFKFQLVSAMALGNGTSINLKSQGVSEKNQTATIYTFQVPFAVQNCTI SITAQLVERDLDDNYPADSRRRLLLRRLLQTTDQTASNANSGYLVSGTIKVESIEILPYW KVYPKDAPYIIIGVSGGLVVTAILLYCFCLTFIKKVPYNGPKSQGINILTLVEDEDEKKR IKNMFKVNENPIS >CAK65201 pep:novel supercontig:GCA_000165425.1:CT868037:88388:88901:-1 gene:GSPATT00034679001 transcript:CAK65201 MKNELFSSKNPKISNKIFEGPIRTAFQSNAGEKDPDPLAIVEVQKAAVHFLQRVLEKSIK RSIEKQEDNLKLNIEDVMYQFRNQPKFLDLCARKIIYISRLHDMSKKKSFMNENTQDKEE GQEEREYEEDAKEIAQYAGLFN >CAK65202 pep:novel supercontig:GCA_000165425.1:CT868037:89053:89781:-1 gene:GSPATT00034680001 transcript:CAK65202 MLTDTNRNKVSAYFPAALTLADLQNDQIMSEDSDVDLSQSKLQRLGIDMSSLHAPESSDK KDELSDVKTGLQEFNRSIQQYTKKFNESQSHQDRYNLSSNIEQFKQKIQELQVELQTDPP TSDPYKISLHSIRQTTDTKTPQTFTTNKQPNNQTQNQSYSSGAQSYQLPHLHSTNNKTVQ NTRPDPFGNLSEKLEYHRIKYYDQFMPDNQKLLNNPLKYLKYKLDCQNRFNMEHCLDSLI NY >CAK65203 pep:novel supercontig:GCA_000165425.1:CT868037:90092:92296:1 gene:GSPATT00034681001 transcript:CAK65203 MNQVANHQGVNQLVYGILVKIKMHKAKRTQIILFLSPNEARMIQMLDPLGTFSNYFDLTQ ISDMTSEEQNKLKIINSQGIFIKLYFENYQQLNIIREGLQYLMAEAFRIQKQLDSYNQIW SNALKLITKSDLDMDNRLSFKEFQFLIGELQIEIPERKLLQLFDKHQKNNQLDEATLYKL LMEITRKHELIQLYQKYCSKQEGIFDDPHNTMLMSAHDLETFFLIEQGQKDYKAQKQCYN FYDFQNIIFNQENSIFQAKDFDKSQPLTQYLINSSHNTYLETNQLTGQSSCFAYQDAFKM GFKCVELDCWDGQDGEPNVTHGHTLVNDIKFIDVIRTVREFAFIKDDNPAILSLEMHCSL KQQRRIADIIRSVLGDMLFVIKDYKAKQFATLQELQRKVLIKYKADEEFLQEKLQLSDHS LSLSLYCTSTKEDMLIQFEDEQDNDNQLNQYSKTLDSKQGKKSCREILEITSLFAVSLKL NINPDLVWVVSSVSEDKIQDVVKKNQGKFQDYVNTYFVRIYPLGLRFDSSNYDPFPSWSA GAQMVALNIQTKDIFMLYNYGMFLNSSYVLKSKNDIQMTIFIQIISASNIVWEEEKRRQQ EVVDPYIKVRIAGNKEDVNNSEKWRTEVIYDNGYHPIFNYKCKIQLKHAQQDVIYFQAYS YSLLGDSLLGQYCLSPLNLRRGYRIVPLLNSQLKPLLNSFVLVNIKIEY >CAK65204 pep:novel supercontig:GCA_000165425.1:CT868037:92383:93691:-1 gene:GSPATT00034682001 transcript:CAK65204 MSYVPTFVSSEQPLYLKIQISQIPSAWDELKLKHFLSSQGYTVSDVQMLKKDDPKSKGAA IVKFNKMQEGEKATKQLKEVAVDGMQPLVLKWAEGEKERLGVAEETSPKIQIDGLQKDCE EAMIKEIFMKYGQVTDVQIQNQGQSSISAIVSYTFKESCLLAIKNNHNKNQLGDRPLDVR FLGQPATIANKQYPDTPATEEGDPIRDILDQIIYKVAGSEEETNLHSLGDWRNCEKVGYS YHFNSVSFGSIIYSPDGSKEIITKEKYLNDLATFNSKKTGPPGANLFVFHLPNEHKDSDL MDLFSSYGNVISARVMTDPKTGKSKGFGFVSFDKQESAQKAKEAMDGHLIDKKKLSVTFK QGDGTPCQPLQYQWLPSIQQVQFDPFDV >CAK65205 pep:novel supercontig:GCA_000165425.1:CT868037:93719:93898:1 gene:GSPATT00034683001 transcript:CAK65205 MEQTFKEQLSNIEAQARKFEECKIAFTFQIHKNFTIGVSCKNQLQSLYLLNLGKFKNQS >CAK65206 pep:novel supercontig:GCA_000165425.1:CT868037:94007:96105:1 gene:GSPATT00034684001 transcript:CAK65206 MNNKRQTIEDAMRAEQINSYQPQQQGGYQNYYGYNSNVNYRYQPNIPVQYQYNQYYQYNY QGVGNQSMMQNNFYRAPQFVQQPSTVFNQQQNKFQPPPQQQFGNPPLPQQQSVSQQLEQQ SIQQQSSKIQIETNNDHQFLPPVNISELDQPTRWESELKVYSYLYRKLNVEMPNLVSARF CNSREVFNEQSSITVKQYVERAFNKCQSDEERNIMEQHLKATIAEAKRKNEYTIRDWSKF PLPTLQRENQIRTQSLFSSNLQIKQSTAMALSSLGQTSKFGAPSQAQPTGPAQKITASTN LHNLISLYDQLVQQQVESINQNHMKNKKIDYSMDLAILQIGKLQPNATTQIKQQQKQLKK RIEEEDQIIETNMKIIGTCEDLEKPYFRLTGLPDPNMIRPEHILKKALAQLLDKWKNCQA DYNFIIEQFRSIRQDLLVQHIENRFTVQVYEENARICLECGDFPRYESCWTMLVDLYEMI SISEGKDVNLIGNKVEFDSYRIFYLTMLNKQDQLVKIMHQNLDDSRIKFALGLRESFKCG NYVKLFKDYKESSDTMGSIINHFLVRIRVRALKQIVKTYISNIDLEYLADLLAFQDVEQF KQFMQFFDLVRFDETLKFLLIKQSINAFDNINFDKMNE >CAK65207 pep:novel supercontig:GCA_000165425.1:CT868037:96577:98684:1 gene:GSPATT00034685001 transcript:CAK65207 MKGYLVQSLISLFPIQQQETLIKCVFIIGFDKLVKKGFFINGKPKIQEIIDYSSKLTYHL GKLQTAGRLNFAQQNCDVRQELDHLKDEISKLTQQIQYNNSIIQKQTQRSHDRLLFQNIS TQYDDRKRSASVGYKQQQQQLIQKKTKEITPKITETIPQTINQINITISKHHLDDTEIKS IMRDNLIKEKPPKPNKVNQDQLFKKNNQIDYQRVDTEEHHMYEDTDSVPVREHYIPQILQ YSNLQNSQLSQYQQQLQQQQQQQQLQQQQQQQQQQQQQQQQQQQQQQQQQQSNIFQQQTF NQLQQPQLQQLQQSQVSSQSNAKLSDHQSHNTNQTFAQPQSSQKHLVPPQKSPNSQSRSP SKSPKFKYQQKQNSKRNLETVQEESFTLVKHQSSHSASSKGRGSYRQQKAQQNNQAVSKI KALLDQDKKIYKQHMIDVASERRSFINSSDKMRKIQEEIQSEQSQTKNQEIGDSVNKRTF SNNSFYKQQQHQQISPQLDSESKCQGLNQLHQFQGSVSMTNSNRVSNFLANPHLQDNMPN NSKYKQQMPNQYSPKIKYQARPQSVGKQQESDFKRSNDFNRSSVSSTFSMFNPNEELKTF FQNDFLERKRYIPQGYSDMTKQSSLNSSQDHNQHQSKYISQSQIEDQQNYSKNLYSPQQY QNIDKSNVFNNNRLSVTRILNNSQI >CAK65208 pep:novel supercontig:GCA_000165425.1:CT868037:99159:99973:1 gene:GSPATT00034686001 transcript:CAK65208 MLSQVTALTFVYFKDQNGEQNTERFIQYCIVIGILNFLGLFLNAFEQHPRTKHNSFRIVV ELGIPVLGLVPIVTYFINYLEFSMISILIMISMISIWTIECLLYYTLSEKGIQSMLSLCI TTNALLIFGDIALRGSSQISTIFDYSSINFLVLCAQFSWSGDLSTKKPNSLFNIISRQDC FRLIVYFLIFNIVNNTIRTIKENQNAISPTLAIFLPYIWATINFNKRKYRQAFGLFMLSI FIYFPMEIQIKYSQQRLEQTIQL >CAK65209 pep:novel supercontig:GCA_000165425.1:CT868037:100285:101455:1 gene:GSPATT00034687001 transcript:CAK65209 MNNKEKLAKYKEKVNQVIQKMVYELFKHQPDNYVDWMIEYLQLIRLSKHQRESLIVEYNV TSDDEAFEDIDELPKPPGNPKQFRSSVSAEVFGIHNKKEDFQPRVIEKTEEQKERIQEKL MKVFMFQALGENEKKIVVDAMEEKHFGQNDWVIRQGDDGNELYIVFSGELSCYRKMHPQD TEPKFLKEYSAGDMFGELALLYNAPRAASIQAKNDAVLFALDRSTFNNIVKDATIKKREQ YEEVLSKVELLYSMDAYEKTQIYDGLKEAHYGAGDIIINEGEEGDKFYMVAEGNLIAYRE VNGTQEEVLRYKAGDYFGELALIHKVPRQATVKAETDCNVVYLDNNSFVRLLGPVSNILK RNAEAYKKFIKN >CAK65210 pep:novel supercontig:GCA_000165425.1:CT868037:101548:104764:1 gene:GSPATT00034688001 transcript:CAK65210 MQKAQFIELFLLAFLGVIHSQEKSGNPITKFQITNCTYFDQNISYIPESCQNVYLTSCNF VCQKNYLILNVQEVHFKRSNITNCEITKIDSDYIEFHNINLINPNTSQYKLQSRGSISLQ GNLEQQLNHTQLLIESQTDIIIKDAQINIDYLAFISHIGHIEISNSSITSLSPKCNMNKD LELTSNLKIVSQENVTIDSKSKITASTLILYSNNTIVDGVIKSPFDCYTNQGVGKAPTFT IEELVCLSGGGSSAGLGGRGSQNKSCQALEKTSKQFIKSHPYNHPNYLRSGSGGAGTISV GSGGGIILMQSLNSISINGELLCNGVDGQYIDLQNQFGGGGGGAIQILAKVIDGNGVIQA KGGNSDASNKVGEGGGGIVTVQGELSNTSKLKIDVRSGIRQDQQAQNGTIQFFPCEDGFH LYKFQCVECPSNSMSFAKRGHCFKCPGVLFGQQYIDWPSCNEKVCNQFHCDPITFISNIV SSLMNLILYLMLFMFVTIGYVIRRMYRMKEIKYEKLPFDFESATRYMTIDHLLTDELLKN NNFQLIDLMYHVHRITLLGNNSPENPWKLPSHPESGIEYLMDLEEYKRFANKINKLAEWK KQEKVLLIIFSILYYPLYWVILTYTKKKKYLKIIEYFHQSNIMKLMDDQFIKIKISKSAD YTLLYFDIFNYKISDLKYFFLACPYYILVSGEGNFLKPFYLCESNPFLSCLYFSINRNKE IHEDRNKILDNLVTHKTRMENSQKLNNFIIKFNRYARAIDVDSNDFTQNVQQLIIFCNNQ NVKFFSDFLITINIIIIIDDEFTILENYESQEFIKFIEGAKNKNLRLGLFIYDSEANPEE IEDVLDNQRISLEQSNQFQVFEEDKESFDNLQNLEDLKHQYIERMQDSVQSSQEIQQEQS KKSQNRKSDMKIFGKIRYLFLSHQVPSVGQNTKIYVQLLLAILDAIFLPLLIQQGLLADD LYLEQILLISFPYPLSILISPILGCVWMTTQSYGIAKAHSIFNTLSIFNLVVHIIVMIKK LIPLAFIILFINLILKILLMINIKRILSLNIKLNKLNQIKHIVQ >CAK65211 pep:novel supercontig:GCA_000165425.1:CT868037:104978:105403:-1 gene:GSPATT00034689001 transcript:CAK65211 MLITSYLLMNLIHKTKTAQLDLIKFQLYRHLHFVQFQKDQIELQICPKKLQVQLKNLEKS LIASAFDSPHCFYSLNALIQKQGGLFLLLPIFENNLNYNGIMNKNLLYFPTSFREFEFWQ LKPCKIRETMSEINIVNAIGI >CAK65212 pep:novel supercontig:GCA_000165425.1:CT868037:105756:107171:1 gene:GSPATT00034690001 transcript:CAK65212 MSQCGRFYHEVTSNFCQHFQFNQINALSLWGSLVIPISIGVFNSIGLGAQGFQIFILLLV FNYGKKDASLMNQPMQLAQYFLTSIENLKKRKQPNQPAVNENLVLLLGPMMISGCTIGLH SKDYIPTFFTIVITLISLLILMMTTYKKTKQVRYLESLATKEQLKEFEDDFINVGDGILI NVEQFFQQIQSSLQRESFIKILPQEMLEMNLTIDEHKIKAKDFLNQNKEIREQIHKKLVT RSIPKLKVFFYALTILINVGLLFKTTEMHVCDVDAFKNFMIQVWHRNQLQVTCNVSLFFF LALITKTFDIILLIQLFVSSYIFGFLLGSVGAAAQIVVIILLTKLKRVEVSTAEVTMTLP IIMFSVTLSSTIISLATGMIHDVCGVIAIFLLSIIGQKVVKPKGGQQYVKPSVCIASWTL FFRLSCQQSLWLLSFLRNQGKGLSELFIPRAVCK >CAK65213 pep:novel supercontig:GCA_000165425.1:CT868037:107220:108523:1 gene:GSPATT00034691001 transcript:CAK65213 MHSNQEFSCRIPHHKIVNKKIVYVVIFVSHSYCSAKVQHLRYSEAEKLHAKLDKSSQQLR ISVTLPQFPGKKLFGSHNDSEQGILRRRSDLQDYFNQLLKIDKLYSIPCLKSMLPDINDD KSDLTQFLQDKPLQQYNFIIDSFQQLDMFVLYFVQVIDNFNKSKWRFKTRYSDLRDIHSA LKEQIKVNTIPEFPKRKIFGITNDDPHEIETRKKNLEVYLNSIYANPELANTDILDYFIQ NSRRESKKLQKFDEQKMLLKMKLKQKQQKQQQIEQLQKKLLNPENQSDTNTADKASQKQI KQIPRKGLFVIKELLDKYEDDNTTLLRYSSLSTNPLNTVGATQMPINILQNNQLEDDFMK ASSQPHAAQIVMDDLEDDFVIQIDENQEEEAQLTYQK >CAK65214 pep:novel supercontig:GCA_000165425.1:CT868037:109265:109513:1 gene:GSPATT00034692001 transcript:CAK65214 MSEFQKIDEDDYFRLNDIFCIWLMKKQNTHFEDLSYKDAKKKFKKFCKRYNNQKLDPLYY EHEKLIEKYQSDIQSKHKWNFK >CAK65215 pep:novel supercontig:GCA_000165425.1:CT868037:109562:111328:1 gene:GSPATT00034693001 transcript:CAK65215 MIIDNLLDQTHRNTRRGIVFSHTRKTFTIKPDSPKTREACLALGYDPQIFQFKQLFAFLT FRTFEEFAEPGITENVQKMRYDHYMKKTESALQEISKQRKVIIKKQKAITNLHLEKSYQR DEELVNDLIETYNKKMSNINNVENDDSYLSFDEEDPILVLEQQLEKEITKYKKQLQVKAK EVQHQLENEKKRQKLQHDMIEREKKIEDLQQKIAHQKELKKKELKRAAQKKFNEIKEKER ERQKKLLEDKKKQAEKFEKIRKKLEADELQQKKELEEQERRYQERRHAIQQRKELQDKEY NLHLASTMNQIEQKWAETSQNKDRQIWESKLERLTMRSTLHEEKLRQYKAKTQQREESMV QSIVKKLATKEDDLKALRQSKEREELLRIKEEKLRKKKIEKSLKNIKSQQFGKVESLQKK FQLLEDFSQKRKEETEIQKYLKKEKMKLKQLDLDENYQRQERLKDLRFKQLIKSTQQLNE QKSLEKMSNELVRRAQQELQRRLKKDAENLDQSLLNVSLADEKLLNQKVSQLEKNLSNLA QNSKILS >CAK65216 pep:novel supercontig:GCA_000165425.1:CT868037:111407:113467:1 gene:GSPATT00034694001 transcript:CAK65216 MGFDQQLMERFERLLPLALEVEGGQIYQQEYQNQPNNSRISQDSYNQSQYKRQQKNQNKG NNQIKDVSLLTKEDIKEYYFDVFLKNKCDKILDLRTCYLYFDMVINLGSENAALIMQKAC NQTLEGVINKNTISIANNLDQNKLQKSIIEARKKQFQKFCEVKPFCRKFEKDLNNRLSKI QERIASELSDNDSASSAPNIQQRAVIKNNQMKDIKSKINQPKPQKPITQQVVVDKLKQNQ KEIQQIDNKINLQTLNKIKGVDKQTAQFLIDKNDNIHLSDLATVLLVYNDPNLDDKHKGI CFSLDPLVLPNQEEFKQQEKVCWPDKFVNRNIIQDTEFAQILFETDYLLKLMSLGVQDDG KTPFVYPKELSDKGLKSCIAFGQGKFIKLLCRFWLVPQQCTYQFQDNKYVVNDIKIMCQA RQIERVNSQLQDKQAQDINDMSYQFAEKFTELYDQIAIHYPIFNRLKQLFKAVALGKWMY SNRVRVDYQKLQQSIIKVNNQPKVIPILKYEQIGDEMKIPVNYTKEEKIQKAKDYLVEKG HPTTQNLIDQVVQQIPDLKGYQISQQVFYTLGGIDPNCQNMIAQDVVKEKKGQEREEEEV QAEIPFFPCIKCKECQRMVEKQLIYMDQSKCSVHNDFTCFLCLELVTNEDKEPRCCVINK FKYIFHKECSEEYETILNRKKDILDF >CAK65217 pep:novel supercontig:GCA_000165425.1:CT868037:113472:114678:-1 gene:GSPATT00034695001 transcript:CAK65217 MKFIILVTLLICALGQQPEFLQQVASNSFGRQILQTIQLELTQENAARQIYTMLNKLFYD LRDEDARSSKANGERQAQCADQFSLINSIQEKAVVAKADYERQIPGKQEELANKLGQVEQ KNAEIQRNDQLQINLSEQRRKDHQLYEQKRDELIGLINGLKQAQQIIRQLQTPHPGGALV QLKDHHEQLIKNYAQNSEFKSITSLLMELCSDAKIHSDNDNVQVIVDIINDLIESIYDVQ KREMYAEDWAEKFFQQDLIRLQKENVRLSGQIADDQAAAEFAQQRLEDLQQQALLQQIIY DNKEVERKSFEVACKEDNNAAEQARVSRNEQIQIVLQLLELFENNFNDRTRAALLEIVV >CAK65218 pep:novel supercontig:GCA_000165425.1:CT868037:114729:116820:-1 gene:GSPATT00034696001 transcript:CAK65218 MQNQSELQQEYSNCLKQILQILRIKCNDEYLYQQILKGNQIEQKYVDYLITNLDAMYNIA NKHVQELKGLQKFKRWCSLELFGGLNEFRAMIQLQNQNAKRVMIINGNTKIDCMYLINQT DDQPNNTVLFCNPNGGYYEYMYYDCNWFRFYEYNKINVIVWNYRQYGYSTGQINPKALLQ DANTLVNYFREHFNITRFGIQGYSLGGSIAGEVALQNNLDFLIVDRTFSSLGQVASKCFS SCIRMILNIVTDWDKPIYMSYWNYKGHKLIVQDSKDEIIPYVAQLQVAVAREWFSPYKEK YFSKITSMLDQESASFQKFFYQNILNPQQMKILSDSLNRVVSLLVKLNQLEQQMEYLQDR TLINMNTIQTIHNQENYVELITVEELERMQPLLYSMFEIMYALKYSNTALIDLLIYSQDN FEEKIQCFFACCFTFGMHDHHRNFIQQYSFYRQEMTEFLNLEANQNDWENLKQDGQIILD QFNKIVDRFSEYMDDTQQTHNLNESTERGTNIEMKLTSHYITKIGQLINVDCGHNNNLTE LDFDHVKAFFREVGIQ >CAK65219 pep:novel supercontig:GCA_000165425.1:CT868037:116844:118021:1 gene:GSPATT00034697001 transcript:CAK65219 MKVIALVLVGLLCVSAETQTRSPGQLLVDHINSLILSIRNEQTEHDDIYTVQQRECGDEL GFRKKEVQDAVDALTRATEHKGRAQAAHASATADLIRVKTYQQILEDQVEFITTRRNDRA ANFNKKVQNINTSLSLIDGAEQIVNEFANSSASFIQVTKHFNNMFLQATKAGNAGEYAPL LSVLVELSGDGNVSVDNINRIRQLLNELREKLSAALSQLTDNENTQIEVFQARKNRVQDV ITLLVNVQGQLTAYIAQLQATITKEEDIINSATSKRIRNQNLLNYASDMCNAFNTEYSDS TGARRKEVELLTKLRTFVEQRVEEFNQYGGDPTDVFASYAKQGSAQAAEAQFLQLRANLR SRK >CAK65220 pep:novel supercontig:GCA_000165425.1:CT868037:118022:118999:-1 gene:GSPATT00034698001 transcript:CAK65220 MQVVVIAPNKALIGIQNQKLDVFDDGIQLQKAGGFKKIQAIRVFYTEKYIRGFEIFYKLF AGDLLKAGHNVPKSKKELQNTILEIGPDDYVSEIFGAYQSAKKNRIINITFVTYRGKALT FGGRGDKSFGFCFPGFTFGAFKGGNSQKKCVEWLEIDVLPLPEDFKLEMQKLGILRIQRI SEQPERNLSNSTNSAGQGALTGVPKLQIAKPMIAVPAIVVPQINQQQNINLQQQQPQQGN PYQQQQQQYQQYPQQQQYANYPQQQQQQQYPQYLQQQSIPNQQNPYAQALYNQQPQSQNP YSSNSYPKFN >CAK65221 pep:novel supercontig:GCA_000165425.1:CT868037:119951:121597:-1 gene:GSPATT00034699001 transcript:CAK65221 MLIIFILVATTLQLNTTLFVDTSGIKEVKVLLNAEQQIYYQQFDDYGKILSVNFTGNDIS KTFCQPFYQGVSLTISPEGLVPLVTQQVKKSLDDLSEEDLFVGYREKLISIANSPDGICV LTSKGNVYLIQSNGNNPINIKNQTLPYITLNQESGTLIYDEKSRYFFAFFKDHLIKFQFQ QEQLDASLISEWISPQKKMRLVASNGWLYCAQEEDGLLIYQISHNHVKHVKTIKSIDLYN KTLENLQIVDIAVFEDKLYILDAKNGVSLFNIYFNGTFTKNDKFGTINLQDCHSISAKDT TLIVLQNFKSSYQVVEFYVKNDEWIQIRKYVTKSKLQRADIIDDNLVIIRGLHDHKILLT RMPDKYLDKQNHQLENYFFSGNLLGVETSGDSNDTLIAVSPHGFYKFSYYYYPTYMICNS NNAKSGRYQSNMILKSTNCSKKIETQEDLLYCWTDFHYTFEVRRPLFSAEEQQNYTIFVI ILGVVCVVMLSMIGYCYSKQQKRLKQLMEIEKRNKANKAQQLK >CAK65222 pep:novel supercontig:GCA_000165425.1:CT868037:121666:126805:-1 gene:GSPATT00034700001 transcript:CAK65222 MRITLIENLTIVAHSQHRNSTEDALSKLLIIIPYIQYISLLTPQHGWQYWKYKDGYLIFI QRATSYFTITPFFEFQNNFIYYPFTIFSLIILLGVLFYLSFFSLELNTKIRKANYKEGRT SLLCSIILFSIQTLQIPCYKFYIQQIIVANRNNQTNQLIINMCTLILYFLYVLVCEYFLR IYSFTPYHPMQQKFTKLRSSVILLNLIAIVLTLDDQSKLYNLIGLFVLHMIFIIKSLNHL YFQSDIPHKNMMDFQISFALESLAILITLNVASKNRTFPEEQIAIYMMFVLSLGLIIGNH LFTFLYQYNLITQTRQLNLIYELYSCISNINSNSITRQHILIFQLINNEKFAKFKQKALI SNKSTNMSDYYKLGLYLITEMFIELLNEQKNEIEETQLLFVTFLVFVRKKPLVAYVQFKR FEQNVNYRKSYYYSLIKERIDLHLQKRIQEVQKIYQNKQVQSLKNDINNEKRITTFELYQ FCQLEEYFQKALLDIIQYKISIWRFQIKGCQSIYEFQTFALPLSKKIIDCILYLRAQQIN VVKVEFIKSCEDVLTLKICSMFHSFVLNDYCISLLCEQKISDLITTELQQKTLSRSNILN DNTILVILSMVKQLGQILNINKSQLANYFGYSVMEINQIVNIRELMPQHFGQQHDRFLQS YIKEAKTDLVFKDVITFGRSKTGFLIPQYINIYNNYNFLDDFTLIGGLTKITESQNYLLF DEYGRLIGITQEMSKFLIPKENLEFFLQNVDLFYVYMFLPHIHLYISDMLSKKEETISQK SVVLYIYQDLVKLSKIHESIFSTYQAQSLKQIEQTNKTITQFEHLSSMIHSPKQGMNQRL ITEIKSINQQENPLTIDPSRQIQNDYNQERKYNLSTLFEQKEQQMVDFLNAIEELQKSMY QCTAKIRMVEFGNKVQKQYYFIFDCSEFIDKSNLQDDEKKQFIAQQSELRNVNNRNFSNK QSQYKSADQQMDSSIASPIYSQMQQQSCLGQHSNSNQVFTYGNKRGSKQIDALALVESES FHEVNSNDINQNNNNEHHSNQGALLKSQSSGKSGSSSQTAIEIVNKFKTQTSLISSLTIV SILKLIIIMLFIIFMSINLVQVRNFNNQVVNFISEINLPINFNKYFLNVFTHSWMVTMKN LNILNTSEFLENQLEEQSTLMKTTFINLTNMYGSFISLEENNYLDDIQIIHLSENLPAED VEFTGYLYYLEQVGFRLMHSDNQQDYLSNLLKYRINFGNIINNNEKVITSLSSYFEIQQS EKISTFFNSILVQIIVIGLVILSQLYFWKKIELYCQRILMLSNRLSEKAAETQINKFKLI ITVIKQLYGEFGYKQRNCSKLCYSDFQSKRVNLKSLHSKKQRESIFNSNQGESYNKKSTQ LTIPLNSRIQSPSIRLILESILVLSLAIFIILYFLGSYLIYIDQSQKLSPTQELAMDYIS FYIDFENSIAILLILKSELQIYDFMKEVIPSYAKTINYYENHLNTIPLLLSVYNFDHNNF NDIYNNIIQSDAMNGEDEIFILGLYNGDFCQLYYSYIPFCNKNISKIEFENKYGKFQNKD NNSEYLSKGISGMVSKMDNFLSSYFETEIETGESVKDFALLNSQINTQDFNNIIIQYQLD TFIGFEAFIVRMQECIISVIHDQQDQQNIYQILVGVMFILFLLSSSVFVVVKVNLRLIYI RLLITLLPLEIMLDIYTISLLKLLR >CAK65223 pep:novel supercontig:GCA_000165425.1:CT868037:127379:128355:1 gene:GSPATT00034701001 transcript:CAK65223 MKPIVFRIDPNVLSQFVKPSGQKKEAASQVNQVQDCLKQLNIDDDEEVEQFTNQQPSQPV IKNRSRTLYSNGSTLPETLSKNSNQQIQEMNLSISGSSLGWDEVFSSTMPTSFQMFQFKN KRRYEPQQQTTQFQIQLQNIPKDNRTTLMIKNIPNKYSQPLLLEEIDCTNKDTYNFFYLP IDFTNKCNVGYAFINFYDPLDIPKFYLEFHNRKWSKFNSEKICQITYARIQGVEELQGHF QYSTIMHEKDRRLKPIFKQSSEQKLKRK >CAK65224 pep:novel supercontig:GCA_000165425.1:CT868037:129020:130153:1 gene:GSPATT00034702001 transcript:CAK65224 MNKDGKGEIDVDNIIERLLSVRGSKPGKNVNLSEAEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMSIDESLMCSFQILKP AEQGQGAAQQHKTGNAKFVN >CAK65225 pep:novel supercontig:GCA_000165425.1:CT868037:130682:132266:1 gene:GSPATT00034703001 transcript:CAK65225 MEIEEKYSLLIIGGGPASVKFLYNLFRTSRYWFQILQRRLPEYLNGLGIGIVEKGKCFGS GNLESKMNYTTYPASELLKLMYFHKTNQMISICKDLTDTQAYKTLVQYGEKCPPKSLAGY FLRLLGSVLLKHIYTLKKMQIFHPQREAIAIRLLADGWFQIRTQSLSADTQIKDKYRTIK ARHVLLCTGREQTPADIFCQEQGLIETLKQNPRHIVGSGQKAFECAELLLSGPVMYINNE QINAYTQKIYTCPLCKSMKCSCFGSLVPQKYIWHYTDVALPEYRKGELKIYFAKPPRPYF SNHQNDYITIPEQQINKKGEANYLTGLRGKSKILYLKMLATQEVRVALSQDDPISNQFID GKECKCNQIEIKDTDGNIVQFQYSFGLYQLDDKGRLKTQKGSLRNLFCYGSNCITQEQLE RGCQDEYGDCSIGFDEYDLFFMNIKNEINNQWFDNNEFPLTAQSHVSKGGDFDSDPSVHS EVVETETFALNQLQEQFKQKKKKK >CAK65226 pep:novel supercontig:GCA_000165425.1:CT868037:132402:133865:-1 gene:GSPATT00034704001 transcript:CAK65226 MYNILLLVSIIAVIQSKLKVIRPSNLINEKIDYSIANFGIIPFGHRLMGAVDLAYPPNGC DELTPTYGAQFIMIERGDCTFVTKVRNAERAGYQLAIIGNYNDDPIKSDFAMADDGHGYQ VSIPSIFITNKHFTLIRERAKVNRVEDSNDEKIMLLLKFDVVKSDNLSVIFGLNIQDRES FRIIDEYEPYYTQLKDQNINYTLVYSIMSFNNEVDGVQQPNSDCICQNKYCAFDPDGAAI GTGRDVVYEVLRQLCIFELHQQKWFAYMNQFNFKCTKSQAYSVCSQQVMDILEIPKNEIQ QCFDTSFLDVQTNQQTRNESNAYNYRLDHQLYIYKAAGINGFPSVHVNSLAYRGQFSGSG IFGEICNSFQTTPSQCSSQVEGYTPPVIDDSIALYILVITASVVFFLLVGFFIFRKVIER DSKVVTQPQVNEMVSQYIKFYEGKDKQKESGSI >CAK65227 pep:novel supercontig:GCA_000165425.1:CT868037:134355:135508:1 gene:GSPATT00034705001 transcript:CAK65227 MQFKLLSQNRVQTQPTYIHTLDNKSFIVSYTDKTFEAYTEARRYSLKQNFPSALCGYNIS EDTCLLGLENGNGLLVRIRNDQADFNLQEWREKQYNLTFSVAIIFIGKINQQQYIAVHED GTLLILQQSSDVQFSKITKLKYHLNIGQAYFNQEIGIITSANLIFTFNANQISNYTHWEL DKFRQLSASQLKLFGQTINQYNDYWKQQCQINGTIYKMIYHKTLIYLITEKGYFYTLSHK VNSIEIEKIIDLNYSKPLQLNLINEDSLHISFQGSQLLLYNLKNKVVSTLLQIKSDKQLI GSRIERKDGNFLILVIYRNKPSNFLELYPPKLCDAHVKLISGTNNVE >CAK65228 pep:novel supercontig:GCA_000165425.1:CT868037:135542:136295:1 gene:GSPATT00034706001 transcript:CAK65228 MIKEYDYLFKLVIVGNSGVGKSSLLLRFSDDTFSDSYLTTIGVDFRFKTLEIDGKKVKLQ IWDTAGQERFRTITSAYYKGADGIVLVYDVCSLATFEDIDKFWINEVDSYAEKNVELLLL GNKSDIEEKVVTTQKALDYAGIRKMAHMEVSAKTADQVSKAFLSLARKLIAKKDSQGQKG TGPQKTQQTPGQKIGQQSEENKKEKEGCC >CAK65229 pep:novel supercontig:GCA_000165425.1:CT868037:136817:137435:1 gene:GSPATT00034707001 transcript:CAK65229 MYRYISELGFRTPAIINSLKIFIRDFKDVPSVSVTKLNSEQIYSALEIHSLPWKTSSDSS KLTKEFKFNSFKETFAFMGSISTIADEMHHYPKWTQKENVVTVEMTTSECSGVSVKDILL AYAMEQVATEVSATKITTVCDGPKVVDSQILQNWNSNFSKTEEMLQSFQKTTAQL >CAK65230 pep:novel supercontig:GCA_000165425.1:CT868037:137864:138427:1 gene:GSPATT00034708001 transcript:CAK65230 MLNSQKLSFNDQSHLNEEYVSVIKQMHEIADEEIINRLAQKITQSKSFLRIARKDYDFNL VRLGLYLILILGIWILSYVKIIWLLTAIIYPMKCKLSNEQDQIRQILYYVVLGVILLLDP LLYVIIEKMIPLYGIVRFLMIYWLVHNKFYGCGVLYVLIFKKLKQLDPERIKEDLLDVVD KMSARNF >CAK65231 pep:novel supercontig:GCA_000165425.1:CT868037:138453:140142:1 gene:GSPATT00034709001 transcript:CAK65231 MSTIKVAKPDTEFRFVGIGGPQMGHEGLETIGVDYHEFQYKPFFPFRNFYRLATENAMHP VHMYKRWINKKVLNKLDKQYFEIVQHYQPSAFLNFENEFFMIQFYKKLRDSYRHFNRICP PTFQYGLTHKDQPQYGQKYVDHWFTRTPLRQSNWEKFTFPHTQVGPDGLYRAFRHLLSNS PQYKDLVTNDTIYLPGGEFFRFDDFLADRVNEQRKKYRQQQNIGDQELLIFVAGGNTSKE IPFCLKTVAEGISRFLKLDEMKNYPADQIKIIVSVPEFVEHKDKTIKAINSLKWPAKVIQ VETESEKFSALAASDIGLACNGQIVAECAAFQLPTIILDPKPTIQMYYTSLYNSIDNDLN IAYNGIVYPELVMSTIPNKIAYSLLEHYQDPKLRYFYAKQYAPVLQKTLAKSQSAVPTEL ANRFNSVQLTGYSSAYQVIANTVLKAAQVYEHIHSQSNFTTQQNENLRIEALQGLGWAKG L >CAK65232 pep:novel supercontig:GCA_000165425.1:CT868037:141217:141600:-1 gene:GSPATT00034710001 transcript:CAK65232 MNCLEINTLKDWFEQVVNNQRPVVVSFFADWCAPCRKLNPQLIQEAEKNTEKWQLVLVNV NNDELYDVVHQCVKAQVPSVCLFNMGTQTDLMTGYSERKIKSFFEKIRS >CAK65233 pep:novel supercontig:GCA_000165425.1:CT868037:143190:144993:1 gene:GSPATT00034711001 transcript:CAK65233 MEAFSVILIVLIAIVILGFIIYLIKINITKKQSNQKYQISEKGEKGYINPKDQQTQNKNN QNKEKNNQLDYKHNSELKKNANPNNLQNNRQQNNLQQADELKLQSQNITHESPTLEKFDS QLPISQNNQQQNYPEMPLGNQNDYQQQINQNSQLYNSKNDQEQNIENDLLYKNKDNQLQN NLNGYLINEDSQEQNQVNNQIFRENQQPNNKDANRSKNTQDKDEVVIKIWGESQENTVIN QEYHPKKQIQQVISQIINDNQQDNTLSSFKSKPEPIPKPEPEPILQPKPIPKSKYIPKSK YIPKSKYIPKSEYIPKPEPIPQPEPIPVPDPLKRIIAETSKKRKVAVEYKYYIRKFQNKS ELKKHYGLTLKASAELYKYCNAFREVRGDGNCFYTAFGFQFLSILLFKYSLDQFNDFFNK IRQIELPMKIFVPGTNLKIDDKELEKQLLNEFQRRITKLKLIKDINQREEQFRTEFAAYE QQSDEIDGCLYGLSTLFFRNYSNYAVDFSDAKDAVYDRVNLLNWEVECNSNEVVIAELAK QLNIFVQLIYIKNKENVVIRNYGINEHHKIILLEQPGHYNIGYFQSENNLNQ >CAK65234 pep:novel supercontig:GCA_000165425.1:CT868037:146150:147934:1 gene:GSPATT00034712001 transcript:CAK65234 MILSHLFQQYQKIQDKLSLKVPYCNHFKLAKIFKISEINQEDSLKIDYYYDGLNGEQVKI IMKEPEGKQDGFINDFKLEQQDVLMAKQVLQCLIETFHWTANFGQFLDPTKENLIYHKEQ DFFLLIPNFKLITKYLLKDKLEFNWEKFVNYRLQMYQNATYKFFQEFLDTFLNFVKEQDD DKQFYKKQFAILPRNIQNIEEILEINDYYAGVDSLYSEDSVKKKSWRYSFKQSMILYQLD SNKDLRDLFLEKKRIDQEQFRIGKQQPNITTQTENLLAFLRALSDIESESHDVLTSFALK IKSYLDTREELRFKENRVAAVFRPNYKHVVSRNKMIDIAKQQQQDKSKIDKQKIDIEQNN GNFDFVWQSDINSLNIISQFIQSGRNGGDAQSSMKMGADGKQLILKYKFELLEQKNCYIV RTLIPEILFTIETADDIMFLVNVLQKEQGQTNLYVDDNRRLMLYSSIVMGQKIEILSAIV KIIDIHQIYFYLILEFYAKNIAVGRCVRELKYQHFVRELLHYVQDYENDFESQMKNIMSD KIQIGF >CAK65235 pep:novel supercontig:GCA_000165425.1:CT868037:147934:149171:1 gene:GSPATT00034713001 transcript:CAK65235 MSQQDEGEEKQDVEQVEPVEPEEPDVPQDEYYAPEALQIQKLHNDIKEGLKRIGKTANLS GYTFVELLCENKKIDKLFNVMLDYVNLRKISFANNLLQDVNSLQTIKYLTNLNLSFNQIN NLDCFNVPNTFEFLDELNLDNNKITNFGQINVPRLKKLSLKNNLIKSAQGFNGHNTLEIL ELRNNKLESFEGFQNLLKLKQIWAAQNAIISIWHLDQLPELHTLHLRANKIVVLTEIPNL PKLHHLNLRANLIEKLDEFNNLKSLESLKSITMHENPIATEMGDGIRKEIIMILQQIERV NKEPVPPEEKADALAELKERIAAAIQKKKEEEEEAARLAEEARQAEEARLAEEAAKAKEL EAEQAAAEQEAADKAATEGKQNQPKVEQEDDEDA >CAK65236 pep:novel supercontig:GCA_000165425.1:CT868037:149202:151073:1 gene:GSPATT00034714001 transcript:CAK65236 MHQQQDEQKRSLVQEKMQMKQQGIDNGNLRKINDEDIQKIQAYEQMQIENAMKNSTWSTL MLLKSKEIVKSKQKWCIDIFRWRPKKKQRLWDQYKIDKILKKYSIMIEGNDPPPPIKSFQ DLRVDHRILKILSKMKIKKPTPIQMQGLPAVLMGRDIIGVAPSGQGKTLVFLLPALLQCI EEEMKMPVIRGEGPFALILLPSHELAILTYELAKQYCQKFQKKGFPAIHCLLGIGGMDMS SQLQSIRNGVHIVIGTPGRISDMVNKKKINMDLCRFIVLDEADRMLDQVFELEIRNILEH FTGPRQTMLFSATLPKKIQEFTKQTLVDPLVINVGRSGQINLNVIQEILYVKQEEKLHYL LDCLKKTTPPVVIFSEHQNDVDDINEYLLIKGVEVVGLHGGKQQEDRTKALKQFLNGQKD VLVATDVAAKGLDFPDIKHVINYDMPKDIESYIHRIGRTGRQGKTGRATTFVNRQQEESI LLDLKYLLVESKQKIPQFLEKLKSDEDLNGSCGYCDGMGHRMANCPKLEKQKMRILTNPN KESCPDFLKGQDYRESQSAERQNQMG >CAK65237 pep:novel supercontig:GCA_000165425.1:CT868037:152855:153303:-1 gene:GSPATT00034715001 transcript:CAK65237 MQNLHLPTSQLYYEICALSRNKRINDIERRALKKVIPSSITQLVILDDRYIFELIDDYNQ KRITETKLQDQLILLSKDVDMKSDTNDECQQVLDYQFNHAEAKKVIDFIQQNPQIPEEIA SPLGAQLWKKRRAERQSRKGK >CAK65238 pep:novel supercontig:GCA_000165425.1:CT868037:153467:156931:1 gene:GSPATT00034716001 transcript:CAK65238 MTNKVAILLVLVGLMSFASASLINVQSLAQIDVESYGCDKSKLYDAEEGLQKLGEALNFA QTGKLDQDIKELRELQMLARKGMEEQVQVSFVQVHNLQYLYMLKHKFDSLALIEMPNSFA RPHIDGMKSQINLVLAATDTQTRKACCEMLFKMIEVLLLDLLELEEECQKPITINIEGEI RKIQIIRGHCDNTPTPEQPPVIPVDPIPIKPKDPTPTIVIPPKPRDPTPTIVIPPKPRDP TPKPGDPTKPEDPTKPRDPTPKPGDPTPKPGDPTKPGDPTKPGDPTKPGDPSKPEDPTKP GDPTKPGDPTKPGDPTKPGDPTKPGDPTKPGDPTKPEDPTKPKDPTPKPRDPTAKPPPKP KTPSPTPQVVIDCVKGANVVGDMSASSDQAIADSVIEGKDIAGATDYGYGFWIRWLSRYP DELVSGRTKNTYYFVSRLTTNQKFNDAEMGDRVLAIWHYDTGFHFTTLDKASRNPNVNQN IPTGDIEGLWSYVYYSYSGRLKRAVGLLKFGASGKVQQLSLDVTHDIPTYLRLVIGGRQF SYPGFNGQFTNPVFKIGDGAYVNSELELFDYNLKCNPYPKLDCTERAKQTLIHKAVRYNV KTTKVQTVKTSLETPFPEEYSVSGWFKWKQVENQEPWHLMFRVFATKENNNAKNLGDRDL AAWIGTANDGIVLLSTYSYNNLFGEGNPNIMNQIQHKNRIQEWTFVYFGYSFKQKTAHSI VHFKEAREERTFENVNHFLVQRLSINLAKDDHYPSWNGIIGKFRIDLCNGAYDPKFPRTA TPVPSPSPLGPTPPPSKTVEPPPKTPTIKPPPKPVKPPTPEVVVSPPPPIIKTPEVMQKC IEGQAAIGDSSSAPDVKPVADIQVPEEALKDVTEYGYGFWSRWLTRHPEQLFEGMSEPWY FVSRLTSNDPYDNIRMGDRLLAIFLSKDGYTFITNDKGTSNPNLYKQQPYKDIEGVWTYI HFSFSPLKKKAVAFVHANKQTSQIELAGVTHEAPKKLRFILGGNDLKLYPGFNGQFTRPV LKIGPGSFIGSPEDVQKYALSCNPLPEPDCKKGRGVSNLIESTKEFNKGSEVTLFVADNE RPFPDNYAVGGWFLWTPTEQEDWHLAFRVTINGQKHNKNDQLLGDRDLTTFFEQVRQLSL CYLYLH >CAK65239 pep:novel supercontig:GCA_000165425.1:CT868037:157011:161523:1 gene:GSPATT00034717001 transcript:CAK65239 MAYSREQKRVLTILFTKGDRQVKYIDEVNHYLAPLYKIFLGKDQFYPAYNGYLANVQFFA CESAYDPDYKPPGQPEVPKQPEVPVKPPEPQKEPTCLKGDTTIGDSAFDSQKPLVDFVLD KPEQLQDVREYGYQFWMRYMTRHPVPMYQGKRAPWYFVARLSGRKDFEDVGKGDRLLAIY QGQSEYTFATNDHKNNNFNTIAGRFEFGDIEAVWTFVQFSYTSAGKVVSWIQYDNSEPKT FSVAVTHDKPEYLEFVLGGTQGNTYPGFNGQFTSVVVKVGQGSFIETAEQFRKFAINCNP KPLPVCNKAKEVVLIEKSKRFEAQSSEVNEITSPEEQAFPDEYFVSGWFKWRSTVMEPWH LAIRLHQNKGMENANAAKLGDRTIGAFVGNNGNYAITTYTYTNLNGEGDPNKYVQVPYKD QLAQWHFVFIGYSRAKRQVVGFLQWKDRKENINLENINHYLTNSVYVNVGKDRFYPAFNG HIGSLKLQLCSGAFTPVFPEDPQPPQVPSTPPPPKPVDPTKPGDPTKPSDPTKPGDPSRP EDPVQPPRPADPEIVRKCVSGQVQIGDMPSSGDKVVDLKVTEDKLGSAIEYGYGFWTRWL TRWPDNLIKGASEPWYFISRLTNNDPYDNIRMGDRLLAIWLGQAGYTFITNDVASGNPNL NKQIPYGDIEGVWTYIHFSYKSGQAVGAIRIGDKTQFTVLDAKHDQPKFLRLIVGGSDLK QYPGFNGQISSPILKLGAGSFVNTEDDFNKFVLACNPRPEPDCKGKTESKLIEGIKKYES TQPDFYEHVRDEKSLFPTMYGIGGWYKWEPTKQEPWHLAFRITTNDQKTNKNAEILGDRT LAVWLSDQQLYAFATYTYTNMFGAGQPNAAQVIKHQDKHTEWHYITFQYNRETREAYGSI VFKDGKLDLKFPNTNHYLVPVLRILIGKDQFYPAFNGYIADVIFVNCQDPYNPQFTPGNP PELPKPPVIPVEPPQPFPEPKCQMGDETVVDSAFDDFKPLADIEATPENLKDIREYGYSF WVRYLSRHPKPMYQGKSEPWNIRMLRRETDCWPSGKVKELTHLLPMIIRPVIGMQPRTLN METLKEFGHIFISVTAVLQVNQLVLLRYTGKEPQSVTFDVTHDKPTFLRLVIGGSDLKLY PAINGQLTRAVFKIGAGAHLDTVDELNKFALACNPQPKEVCSKAVEQVLIQESKKFELAK STVLEIPSDPEAAFPSEYSVSGWFKWKETTMEQWHLAFRLHINKEEANKNAERLGDRTLA AWVSPAQDGIYAFATYTYKNLNGAGEPNLAKVIPQKQQQTNWHFIFYAYNRDTRKAEGYV QFKDRKETILFENVNHFLVPQVYLNIGKDRFYPSWNGHIGKFRMNLCGGASRPVFPNDID VPPPVTPTPKPPVSETKEICLINTKLVEVTKNNVKDVIKQLEALENSEPTEKTCYCTVPK KASMFIELYGEELSNHVDNPVIQRLIAQNQVRLRI >CAK65240 pep:novel supercontig:GCA_000165425.1:CT868037:161540:162181:-1 gene:GSPATT00034718001 transcript:CAK65240 MQEYKYPKIDAKHFHYHNDGTGRDQYVRHTNGGLLSQKMISSLDLSPKADYTKMIFPKSA TKYYELQQPSSRSRGLLPPQHQRIQHYFGDGKGRDYFVTINDGGQVSLKSWKDHPDQAFP AQLRKYGREYSQPDCVKYLISNNSKLQQLTQTMRLRQSEFTRHISAPKKQSSPLLQSFMP DLNEGGKYQTYQQLKYQSKACFFAE >CAK65241 pep:novel supercontig:GCA_000165425.1:CT868037:162238:163274:-1 gene:GSPATT00034719001 transcript:CAK65241 MKTIFFGSGLFPLPIFQRLHKLAAIDPKLSLITVPNHSHKEKNQIKDYAAQQQFKIYQPN LSDKTNNFVQEIQEKPDLGIVCNYGYMIPSQIIDIFNKGVYVIHPSLLPKYRGAAPIQRA IMNDEQKTGVSFIEISKNKFDAGAILLRKEIDILAVDRYKELSQKLSQLTADSVEEFITN LDKFERLGQNASETTKAPKITQADLRPDFNNTANKIYNQYRGIYGTNFHSLKLKYQEREF YLENITLANDLEIEQLKHFNAAENGTLWLIKSKQFKNNFYIKCNENSWIRVQEFRFTDRG SSQAAYTFKNQFMTDFKFDSLDNHYKLSY >CAK65242 pep:novel supercontig:GCA_000165425.1:CT868037:163300:164115:-1 gene:GSPATT00034720001 transcript:CAK65242 MQSKFWWKITNKEYIRLLLSAFFGAIICLLSTTIGNFILIEIRFNLIFSAYFGFTFLITG VYILIRTKIFMNTNHITQWTLKQKYLVCFASVIIASGLICFCLYFDKKWHEGLNYFTKIP LYIILGVSLSSSICYLTIDLINFIFSFSQNVQNRTIVETPNQIISFIYISSLIGFLQGLL FSSLDIEVYLVYQYQDVENKTILVGLILFEELLLIPFILVLGCVGGFLNEYLRIKGSHLQ SYTFEPIQDPFTDEI >CAK65243 pep:novel supercontig:GCA_000165425.1:CT868037:164573:164946:-1 gene:GSPATT00034721001 transcript:CAK65243 MGTCQIQAQNQDKQNDQSDIAPSSHKYIVQLKLTPLVCNIMGDNDKMEDLYFDIEKQISI NQEKVQVDNQCSQLLLSSKIQITHDPSSQSLDQSQQFPKSPRKNKNLNAKFKEMIF >CAK65244 pep:novel supercontig:GCA_000165425.1:CT868037:166263:168001:-1 gene:GSPATT00034722001 transcript:CAK65244 MDQRTPIQRLVEELHTGSPAQLLEVANQCEQTPNIQLTKSILLINNKNFQEASQLLKGLK DHQLYPFTRLYLLYAEGQYQQVLTESRKNVPEDQLLLGQAYLKLGEFEQAIQLFQKLLKE TKFQKDSQDILTNIANAAIQQNDQTQMKQINQQIIEFIKKNKNQYARELLLNHALLNLQL NDLKTAKELTIRFENMVKVENEQDEDLFLAQLILDVINPGDYKSKVKQQIFINIYRYEIL EKAPEQAVILNNLAVFQEFIHPHHDSLKRVEEALSLDYKFTPQQLHVLKINKAILQIIKN RPAKEQGLPLPVQIAINRLKWEQPIEDPYQYLIFATNNDNINKIIELCNVKNWNQNKVLS TFILAHILKLSDDAPYEKQIQLIAQFHKDLVCSYYIKRGNLDKALLYQTQDQQIKSQLAL LCIEKGQLQQGAKFVDSLQFDGITDMNEIENLEKNIGLSKKVEVQVKKILKKKKKRIRYP KNFDKTNPGPLPNPERWLPKHERKEWKKKKQIHSRTQGGNAGNETVNTFKSSGASTAQVS AAQKKTNKYRK >CAK65245 pep:novel supercontig:GCA_000165425.1:CT868037:168038:168979:1 gene:GSPATT00034723001 transcript:CAK65245 MGDELILKIVEVNPPSSESGSKNIIKATGEWYTRDKEAKIVIDFGNALISLISIQNYHAD QVNIRLKGENSDEIYYDKVIWKKNDEWNKLQSVDIEPFSQEIYNQMIVEVLNYTQKDYKG LKRIRVYGEQDKAVYNERKKIRKQQKKEQKNKEKASKETQNQEKSDQKDLAKKTKIDESS TKKNENWEKYKKPQNQQNKEPIFIDTREKLKNIHKGLSPIKEETEQFDQKAVQTPKQPEV FEKLGINVNERKMIRFNFNQIDKQFLELHQELQQTSGIGKDRQLNYANFETHWLQ >CAK65246 pep:novel supercontig:GCA_000165425.1:CT868037:169032:169834:-1 gene:GSPATT00034724001 transcript:CAK65246 MDKIKKLNLDSDQFSIDYLRPIPSLINSNNFYWNENCMLNNIQWNYTLQINSDKLSSVKE IIQKACCTQVSDQEFKLIQDFLQNDEFLLKNCGFTTQKLPDLIENNANLVFLFLVKNVKS SDFQDYLDVFINIDVTPATIELIAMINYSMKIPPDFVTYYVYYCIQYCNNIKEKSTQTKI VKYVSIFIRHFLKTKAIVIKDLLTELQAFCIEFTRVNEVSQLFKSVKSFNELGV >CAK65247 pep:novel supercontig:GCA_000165425.1:CT868037:170481:173056:-1 gene:GSPATT00034725001 transcript:CAK65247 MINHRISHMNHQNQKSLTLNPFHKIINNRIIQKCHQNSQLYNSKNDQEQNIENDLLYKNK DNQLQNNLNGYLINEDSQEQNQDNNQIFTENQQPNNKDANRSINTQDKDEIVIKIRREIQ ENTMINQEYHPQKIDSINNTLSSFKSKPEPIPKPEPEPILQPEHILQPEPIPKSEPIPKP EPILPPEPILPPEPILPPEPNPQPEPNPQPETIPVPDPLEFIQRIIAETSKKRKVADEFQ YYIRRFQDKLELKKHYGLTLKTSAELYKNCNAFREVRGDGNCFYTSFGFQFLSILLFEYS LDQFNDFFNKIRQIELPMKIFVPGTELKIDDKEIEKYLLDEFQRRLTKLKLIEDTNQREE QFRTEFAAYEKQSKEIDGLLYGLSTIFFRNYSNYVVDFSDAKDAVYDRENLLNWEVECNS NEVVIAELAKQLNTFVQLIFIENSNNIVIREYGINKNHKIILLIKPGHYNIGYFQQINET ENRQDNSQENQVQIEIPQNINQPQHSNDINILQPVNRINELDTLKHIIAETSQNRQIQDE FKYYIRRFQDKLELRKHYGLTLKTSAELYKNCNAFREVRGDGNCFYTSFGFQFLSILLFE YSQDQFNDFFNKIRQIELPMKIFVPGTDLKIDDKELEKYLLNEFQRRMTKLKLIEDINQR EEQFRTEFAAYEKQSEEIDGLLYGLSTIFFRNYSNYVVDFSDAKDAVYDRENLLNWEVEC NSNEVVIAELAKQLNTFVQLIFIENSNNIVIREYGINKNHKIILLIKPGHYNIGYFQSEN NLNFNDQQPQNQNEQLLLNNQQQLKEKQEYQQEEENQY >CAK65248 pep:novel supercontig:GCA_000165425.1:CT868037:173180:173509:-1 gene:GSPATT00034726001 transcript:CAK65248 MEPSLIILIIIVGIVVLGFIIYCLKNSNTKKQSNQDPLIGEKAEMEDINHKVQQTQNKET KNQLDIYCYDKKQETQTYEKNKANQGRAVKKINNMLITKIILMMIQKQM >CAK65249 pep:novel supercontig:GCA_000165425.1:CT868037:175053:175649:-1 gene:GSPATT00034727001 transcript:CAK65249 MYVKFKKEQSDMKEQFGKGVALKRRYSIWNISSSKPLYFNVLNNSTPHNLSTKNKISNII QSFPQFLQQIQINKYIRRRILPSILKQFTSRDIVHYIFYNLIYLVIKQSNCCEIYINQNL MSLIRIKKNQKTLLNQIAIELYENCQSQKQEDLKHMCFLSMTIKNIPINTIIMIYYIIVY LLGMVTKSCRNLNKLQKN >CAK65250 pep:novel supercontig:GCA_000165425.1:CT868037:177194:178594:-1 gene:GSPATT00034728001 transcript:CAK65250 MSEDLPEKETEQPSYLLWYILILVLFLLLLFCYGKKCGQSKIQPKENEGIQLKLLEDQKI YEEQKLSIQENQSTVQMDQNRLIMSNIVKQFQKLQKQVEYQHKSCGNLLIYQTSQQIVQQ SVNKIKQIYDYSSKLRAVDENQKYYIRRFQDKSELRKNYGLTLKTSAELYKNCNSFREVR GDGNCFYTAFGFQFLSILLFEYSQDQFNDFFNKIRQIELPMKIFVPNTNLKIDDKEIEKQ LLDEFQRRMTKLKLIEDINQREEQFSNEFAAYEQQSEEIDGCLYGLSTIFFRNYSNYVVD FSDAKDAVYDREKLLNWEEECNSNEVVIAELAKQLNTFVQLIFIENSNNIVIREYGINKI HKIILLIKPGHYNIGYYLQDTIIDQYIQFLNQLKGINWKDYEYGNNQQNTLQEAEEKIEK LYSEIAILKQNNTLKQKDAFKIIGFQLLPLT >CAK65251 pep:novel supercontig:GCA_000165425.1:CT868037:178717:180147:-1 gene:GSPATT00034729001 transcript:CAK65251 MGMCQATKRNNVQQNKKSDISQNASQVILDQYPMPKPKLGIGLPFMIKFFEQIKIIEVTE SMALGVNQQKQVSKEQDYQCIYLYSEKAKIDIFECGFTPIDISRPDKIIEDKKQYKFLFD FKNLWVFRDNQEEIEQVLNIFKEINVKVKQIYLFTKPLNTFIEHYQMLGEISILNSYYPL LLFDYKDVQDATKRGDDTFRIYLHSEEAFEILIRQNQISLYNRNLQIRNLIFLYQVGNFS EKLGQNQYKVLCYNLIQQPSGQINQQKLVKELIPQLQNLQQMGSNIVLIYSSKESQGMKA ARLAIEQYLARGLLIYPEDIQKYNEQLRSLQVTPEQLIQQQQQQENNRLNQKQQEIIQLS REQEIINLYDRWLKKIKAQQILLSSHNLFIKLITNIIQYPNDEKFRTIQKSNKTLNLNIL QYDEGRQILQLIGFREGETDFKNIFELGLLKMARADIEIAWKKQLEKSLT >CAK65252 pep:novel supercontig:GCA_000165425.1:CT868037:180836:182393:1 gene:GSPATT00034730001 transcript:CAK65252 MWRWKQKNCIFQVLTSQYEIDNQENEMMGDVEKYFQSSHANQKDIPMIRVYEDSGSDLPQ SDQPFENGEAVLDDLGLEKLRIQSVHSDGRATSWSHTDDNNSQNMQYLKRPRTRQQLSNK IVESNQELFNVHYAKSLYTSKISQLVDKQISTNPRSNRVSKSQQKVKKKSSQQLIETSDQ SEQNEQKSFLQSGGEMSEDRLARNRVAARNSRARKRLYFELLENKVKDLQDEIDRLNELC QNQAKQIQKYRECKEDVEIQILPQYQFKLETQRKLIEQLEVCQIKEEDSETTKIVLDQLK ECQLNSEKNTVGYQYIENLLEILLPIELRYIAYSSDENKDLLSDFQGSFLEWTKETYQLM DIQKEQFRKIRKHKEKLNCVKKNITSCINNLYTSVGTIINETLKVDSIWKVIYESLEPLQ LRSLLLTIYQNKHRSVLDCSNLFSDCKYFKQNSQSQNDSNCQRPANLRQYVKKNN >CAK65253 pep:novel supercontig:GCA_000165425.1:CT868037:182431:184017:1 gene:GSPATT00034731001 transcript:CAK65253 MSDPIISEQYYFNESYDVNANQIDFSGIPDSFLNNQPQKRQQFPKIQIFDEADSGINNLE PNQIQFEEIKMDRLRIKNDSSIDAQPRSGSDGDNSIDASLNNRRRRRSKKYSKEEQKHLK DSLQSQIILNLGQTKSTYTNKIQNLVKNENNGRLLITTKARQSRSQQKLERKNEQQLQMN LEHSDQSSSENPDSLNDGNMDPSRLKQVKNRESARNSRARKKIYFELLETRVQELQDEND KLREQCTTLSKSIENFNKQQDKFSQFLEQQEKLFERLEDCIKQGKDATEIEILLDALKYR TSSNKQERIDAAKSYSYSILDVCLPLQTKYLFSILDDKDFFSKDSRNYTDYLRDVFKKID TKTDDFGNNEKIKQKLGSAKQNMHDSFKKIKKEIKLIQSEAGKVDLLWEQLKEKLQPQIL AQCLITLHKVQSALSMQNEFRAEFQASTLFKRQKDKKEQVGMSIEQQLLQRKQVKKCN >CAK65254 pep:novel supercontig:GCA_000165425.1:CT868037:185174:185473:-1 gene:GSPATT00034732001 transcript:CAK65254 MSCIGQAQQQVSEKLIKTDGDDSDTPVPESDKDDLGQILNTEESKGKPIKTLRFSLQNQV WIFDRSSKRQQSFKSPSPLARNSSQSILKNKSKPQATKQ >CAK65255 pep:novel supercontig:GCA_000165425.1:CT868037:185594:187924:-1 gene:GSPATT00034733001 transcript:CAK65255 MGWLGSLVVIILTSIVGIVWYFVHLIAQGFTVQYKDEQDFVSDQLRGLLGVDDIQPSSYR PTVEPEYLSGIVDLIETSINGLWLAQFLYMILLLFLFFLVVDIWFIEYPFSFIFNAIIGA TIVMLFAYYVTATNSKSLTRALIQSQFSKEESFGYMYQCGFAIVSLLFSLLFGMYVLMYY FNVAVWGGDMKKEEYEKVSILMIGFLMGMILGGFIYREGISMMCRSMKTASFGLIRADLN LSVGNNNISQLTRLAYMVSQQAGNTIVNFLDTGFVLVFLCCAVSQIAINSPEVLDSKNRS AFILGPIYLVCIGYLGSIVCYFLKTMCADQETGSFAALNVRWQVIIAALISFIIHVSFPF SFLIDKFTLKGENTKDIDFEGKSDMHACWCYLLGLIFNVLHISLFEWYTSHGCPNVRNMG LATSDRILPMNLIYSNYLGDLFSAIPTILMFLLISIVYSIEGFAGLLFAASGYISLFIIY GVIFFIGCNSSDSYKLTCFAHFISDVQGKIFQIYWEAKNYMVFLKATNAGTAFLVSIGII GAQLYQYPTALNSLFVKNEGFLGLFIGFSIMFVCRGINIWGIINVAKSYFIFQPSNEAEG KLNYLKDIRIIEFTKFKYTHSMLYVAYNILFVTIMILMFGSILGHAGSVAIMIGACIATF LIQYTGLIKGTTLENSRVYNEIQDNRKDHHYLMYVSGDTYACATEESNACPLLPYLIYVF CLLISCQKHFAKAEK >CAK65256 pep:novel supercontig:GCA_000165425.1:CT868037:187958:189403:1 gene:GSPATT00034734001 transcript:CAK65256 MNSSSSLLTHQILDYLNKYTSFRPINDDLTTLSKEFFQQYSTRKQMLLTKLNESKIKQLD LSTKIDQSKYKVLTYRSQLILLQQSRESIIQQIDNKQNELIQLEKRQSLNRPHSKQQKLL ELAEGMEICENTLETVKTEFNDNHKIMDWESSLNQQLKQTHDQLQRQNDQNILKINQLNQ SMQNNTKKIIKLLQLISDLRQMIVQSKSSNQTNPLPLQVDDSSNSTFIYIIEIIKSIIEK IENTKLPGKEQQEHEILNSNKLTQSNSLYLSNQIRVTIYTPRFQSSVRFKANDILPQARI RTEADDKLDYGCEKRIATDCGFYEEDEQSSDDDNQVLKVPFQSKKSLNCERTKKKKQSYI SVASFSSQASSDQKYINLQDELNYLGEINEYYCTRKFVDDQFIVAPCQTPLEQSIELNSK PPIQEEQPKSTSFIKKILGVGLGIGLSYACYKFFKK >CAK65257 pep:novel supercontig:GCA_000165425.1:CT868037:189482:190249:1 gene:GSPATT00034735001 transcript:CAK65257 MNYYYPAYPSHQAPSNFINCVLDFDSKLYIGDAQAFQYYQNYDVKAIISFSTEQNFRANS DADQMVLQVNDRPQCDISGYFDKTNKFISKHMDENHNVLVHCVAGKSRSATIVLAYLMHS QDWTLQEALIYLKSVRPLVCPNPGFIRQLLKYEEKLFGQVKSNLTHDITPYYNVQKADTI IPEGPAVGRNYQSDQNAYGRRSSFVSPPSQLLGHQRSCQQFQYGWRYY >CAK65258 pep:novel supercontig:GCA_000165425.1:CT868037:190298:190600:1 gene:GSPATT00034736001 transcript:CAK65258 MVNSTILSNTKQIRGFSFQLKKPKTQKSISMASQRIRRGFSFVVDQWKISKLQSQLFSQN QSLIQSSISIASQEASLMNSEFSIGKKIRGFNFVRNKKQL >CAK65259 pep:novel supercontig:GCA_000165425.1:CT868037:191015:192732:1 gene:GSPATT00034737001 transcript:CAK65259 MQEFKILQELIRSLKKPFEKRSEDDLYPIERMVRKIEFFQKYFADQNDLNFSYYFEHEYA IQNTAIINIDSVGDKFYVILQGQVGIYVKPNVVEDKASSTKLLEMVKLRFRQAVNNNKFK DYQINKIQSQQSDLALIKILLPGQSFGEMSLIHNRPREFTAVALQPCHFAMIRRQYFKDV VRIGQEKSSVKEMGFFANLEIFEGWNVNSIYQIYKMLEQRSYRMGDVVYLSGESVNKIYL IKEGAVDLQQVFIEEKEELEIRSVSPYMKQRGKSLHRKIATLSNHQFLGLDDVHSQEQKH RFSCVSSSSDTVLFIIPVELYMRKIYTQTTSQLYVQEFIQVQSKYQDLRNSQLQVAIHSQ RQQQQQMLAKINQYKNADMLQFFQNLQGRFKTLSQESAKKFQKSSIGERSQNISAHDKYV DRSCFTRAKDRSLLGKVQTQSLYQNQAYKQVVNESRIVEKNLPNLSQFMERHLPSLHHSK SMQVRCRRQSPQKQQYLQFQNVQTSLNEDEQQLVSNVLSKGVVYQRRTNLVKRVRSTENY SQRRAIRFQDQSKY >CAK65260 pep:novel supercontig:GCA_000165425.1:CT868037:192984:193308:1 gene:GSPATT00034738001 transcript:CAK65260 MSCFKLAGRLCTCKTYNGCDNLTCNDGYYQILHHNSRQQLCQGGLSADETIIVCYKCKAG TLIVGISVQNNNCQTFDVNTGICTKLSN >CAK65261 pep:novel supercontig:GCA_000165425.1:CT868037:193656:195124:1 gene:GSPATT00034739001 transcript:CAK65261 MYWNLLSGYMIEKMCRLYKNRSQFAGNQAVNGVCNICTGYPSTCSACDANSNCTSCSLVI LISYNTQNNTTVCSLCQDLYYVNALGQRAKCDSAGESNALRCHYDATVTGNIVFTQCKPQ YFLDNNKYTQDQSSNTYAKLQVPADQTTINNQNCAECWPGFFANPEVAQQAQVINHLVLY VLMDTMLIQIKNANPVFSIVLHVVDLQLEMVQITKLVISREPIHAQLVLVDKSNHALVWF AKMQLTLPVVQMATMFQMVNVWPVNMDVVNILPQETYAQHVSSDTIYKTEVVFKTMEIAI SLIGSMYSPQSKQIKSMVVRSWMVNLINLIIDSRLCLHVMCLSLNRFCLQNQPIRCYCLI SGDLITIQQSIMVYAHHVLILLLVPKLHALLITSQPISITPNYVHPYQLDAVNQHTQLLY LPQLAKNVTIDLLFLDHKSAFQILIVKLKINH >CAK65262 pep:novel supercontig:GCA_000165425.1:CT868037:195128:196591:1 gene:GSPATT00034740001 transcript:CAK65262 MYSIIRWFYFNSDFVLNTQSTSPTTNTKYYSTLNQFCSAYQAGCQTCPSQYTCTQFLPRY FWYQSTVTTNTGAFIKIMCRMSQVLCTSAATYSTCYLNFQQDSNSTVSACKCPSGYNLIT SGHIQQAQITATRNITACSQCNADNTKCLACITQYQGKSYTLMNMCHLLISCSSCTLMTG STSQTTCQVCQNGYYKTQSANDQSVLCSICSQTGAQLCKVLWKMPPSPKQQLSNVEKIIT YMIYLLYNFNFKQQQLSIMSPWIYIIWKHFLNMRHKQELEILQCNSHNSGLQYNSCQNGY VIDSTNNVRVACTSGCTKCTLIAATQNTSQSISCSVCNDGNYLDNTSGRFFACPYDCKTC YSKYTCTTYKDGFYLKQSQTTFNAKQYTPKTCQSKCAICSANGNVCFDLLN >CAK65263 pep:novel supercontig:GCA_000165425.1:CT868037:196591:196981:1 gene:GSPATT00034741001 transcript:CAK65263 MSRVCFSIQQLFLWPQSVNPTNPDDSSNPNPTPTETTIQIGQIELHKVHIESYWECYTNH SFTMIILKHNNQIFKLRINDLIILLSSFIFSINKKGRYQLSNKETHKYKNFKCNLLLYQI N >CAK65264 pep:novel supercontig:GCA_000165425.1:CT868037:196982:198524:-1 gene:GSPATT00034742001 transcript:CAK65264 MLISGMQLRHSLTPRYFAGVFNKRKPTKKDLDHYDVVIIGCNLGGVLSRQLDKVSHGKYK TMVVLDQNINQITPIRQIYEQQKTAKTDFLLNAKLSLNMYTAHSDQVGSQTILPEENAII LRNGRRISYGQLVVAMGQQVNYDAIKGFEEAWQDFDSPVFTNLDHPSWRSSNHNIHQMAL QFQSCGEVESYNFLLSQRIWEWQTANGRQSPIKRLTIIQPNERFVQYNDAGDQFFKEEIK RRNINVEYGLKLVEVNKQQNTAIFEDIKTGSRQTRPFNHLYAVAPTKPHDQLVKAGLTTS KGLLDVNIKTLQHNKYKNIFGLGDVNDVPTTNCFWAGFHQLHVVRNNIERNIAGKSLNAE YDGYSKVPILLGQNTLTFLCHKYNNENAWHNLYFSNGGFLAALRYYNWCKNFKKAFIDIY LEKNWGPPYYKIKKSFKLPEGESNDHGFLAKLLPGKKESH >CAK65265 pep:novel supercontig:GCA_000165425.1:CT868037:199097:199363:1 gene:GSPATT00034743001 transcript:CAK65265 MELIRLRDLLENLIKNGRENLEKSKEKFKESKQQLQQVQRNNYYQELKFEKIAQLLKELQ LKSYEDKSVQDQILQKLLKSKYKQMKIY >CAK65266 pep:novel supercontig:GCA_000165425.1:CT868037:201484:201696:-1 gene:GSPATT00034745001 transcript:CAK65266 MNQNCYNDESVTIWSDKTDICEEAQTVKRTEIYQQRLSFLVIETSNDELSYRNLLKFKCA LAKRIKKART >CAK65267 pep:novel supercontig:GCA_000165425.1:CT868037:202951:203593:-1 gene:GSPATT00034746001 transcript:CAK65267 MKKYKASSSVDCIYMETMLNKQMRSNIRMIQDRQMNPTQTPKPMSQNQSRRIVKATKEPQ QLIIQLQDNKILMSQLCQPVVIHSHRITSSNKHSTLESPKFKRTCLHLDNPQNKIKHQHY LKKGGQVQYPPKETKSWYPFARIKRKQLLTSFIDQKQAQINQNNLIITHFQSMADLTGWQ TNEDPQMELQ >CAK65268 pep:novel supercontig:GCA_000165425.1:CT868037:203992:206969:-1 gene:GSPATT00034747001 transcript:CAK65268 MKNQDYEEYDQDYEKKEIDIMEKLHKKKMAVIERCFPDKNSKGQGLTIQQFLKVMLEHLD YNKDSKEETKKITLALIELFKEIDVNGDGTMEWVEFSNHIIELGLLRNDRSFKDVIKSYH PAENIKDEQKHETVIDRVYFFDRLKMLLVLEKESPKFKVYNSNTSELIWNVNAHKGYVLS AEFIPDQNLIASSSNDLTINFWDSSSFNLKQILSVPEIQLCMRYARWSSSQSNFLYTGGS DSIIHIYDTYDLKERGTLSGWNPFIKRDSQQYGHSSPIGDILAIDQQNTLVTGGLDGNIC LWDSATHQPKKELRGHEKGVYSLDWSDWSPMNQCLISAGLDHEAFVWNTYVKEKIFLLRG HNHPLVGVKCLPRTSQVVTADISGMVKVWDVRNFLQIQTFNVPADEIQAFTLTYPKKQIV VGARKMFFYEYDEPKDQMLTDEKMCLKVLYNQTLTCFITLHPDSVKTWDARTGKLQQVYR ELSVAELTTMIIDARQRKLFIGDAEGRIFTVNIKNGAKMKKFERHHKMITDLAHWSNDTN RRVISCSREDTVNIHDEDSQDAHKSCRYKMKQHHLSVNSLHVKQDSEHLVSCADDGAIFI IDLVSYRQELVWKGQYELKKVMFLDTHNCIVSVDSIGNVYFIGVLESKFKSKLLLQKTYK AISLTNQEETFPVTSINYHDDLLYLGDELGNLKIWNIKQVLDKVDLHQVEQKIKTRKNND ADTFVTAMDYGQEDIAQIFVIGDIKEVGYQRKAHQDGITFIEVCKDGSHFATSSFDCCCY LWAFKNGQISKIGALILGHDANWGYRIDEKSRAEAAEQEANELLEELKDTIIPTKVEKSD GQISSSKQQLLDRLYGSKQQKDQQKQQIQAEKEDKKEKALGRAKNALKAYEQFKQKQFSY QNQQNQNDQDLKKYDDSEYKDVFQQQDYDEEEEDNYNILTDLQEFKQQQLSSGVYSSKNA FKTKLIKGHHKSKFS >CAK65269 pep:novel supercontig:GCA_000165425.1:CT868037:207682:217143:-1 gene:GSPATT00034748001 transcript:CAK65269 MQKNSLSLLITILLTLIEQVNSSCNYISTESVILSLSNPEQEIDVKLENRYLNSKDNIGY GLWMKYQPFIPITDISFSGKGSASSGQFIYLLQQKETKFNLLAFYTSISDQTLTITHNVF YSFKKTTGTLVFTFEYGNYEGQWILFYFYFNLLSDQTIIGFYSQQQTISTKTQTVNDIPA LVKDITHAVGGKQEITNQLGEQLRLTQFIGRLSTVFSQGSVNIFSNLGTFLLGCSINSEC SGSAYTLSSSNQAFLGQGYTSGTTSVFEYPKFVIKGWLKLQLFEKSPLVTVIFRVTINQN YEDDMEIGDKDIYLQYHQNIAPESNGFQITTYSYNFPTSNRYTSAATDILSDYGAQYLEL FIKWHYIQYEIGTSNNQGEPIFTMYFPSLLVKKQTYKWSSKIKHFTGTKMYYSVGGDKYS KNYLEGHISDMQLIQYCTTPIITLSPNCHYSCLTCDGPNSNNCLSCPLNSQRIQSVTQKI CACKSKYVDIENEPICRPVSEIFPQMTEVELELQCDTPGYDVCTTEKKECSFGYFLYGGY CLQCPGYSTFSTRSVIQCSNCLFYPGAFSQSLRCIQDTVTYDYNENFSYQTVDRTEKDIE FYNVIVNVNGDYELQLMEGFDHSETCKEGYFLSNDNCFSCAKGCQVCQNEEICKTCSSGY VLTVDFQCIQCQGCSDCTIESEIAICSSCATGTYLSSDGPCIPCGMNCSSCDSNGICLYC DDPTKYFQTFDGQNCQPCSITNCIYCYQYYVKNGITYTTLDINYDILELNQEKFLVGCAS CQANLYYNVLTQTCQEKPPTTPTTTSTDPFSGGGGGGGGGGGGSSGGGGSQEQVNDCSSG LIINAAGDSQCLISTTSKTSTQNTDCSSISNCSQCIQKYSSSITFCMLCVDGYYSAILTG QCLQCDSNCKSCTQQSSKYQDYWKWNIKAYYKYILNSDDSHAFESYAVETVQNNFELICT SCPLGYILYKHKCIKNCDIECTDCEVINGVATCIQCLETPQGFLKSHDSNGACQTCPSNC GACLERSVSDIAKFNPYFLSSSSNLKYTKICYEKFNLDSPEGQYFNDQLLKTITFCETYN KCYYQVILVQNVYCSYEYMNQTASDLSGEALNVFKMKNIHISELFQKNYLAAVETTGLFQ YLNEKVIREVVFEYTIIQKSGEICNIQSGGQLFSKIQQNVFTVQSVNIKFIGQLYPTTIT LESTLTLSNFTTITFQNIKLDTMNSQIQSFMESAIILENKALNLNLVIQDCVFTTTNSTP SIRSFYFLSQQGYSLSINNLIIDNLKVINSEIFSFISTSQFNPNSLTVYDLKVINSYFSS TDLFYFEAKQSFLTFNIMMKSITMTNTIFENSNFMKSQTQLDYDIGPVLIKEIDLKAVTI KEKSSLFNIQGAQSFKLQGLTMSDSVISSNSYLYSCNIFQLQDIYINNTSISSSTIFSND VEYSLSYNALINAAKVSIINCTIENVNYNNQQAILKIIQLEKISALIVSIQQFTLSKSVI TVKVKTTYISYQQSIIYLECQTCVLEEVEIQRGYGLPEITILNSQSLSLNRIKFTQSTLY HSKTLHQSVDCMLKYAYENMYFYLYIGLYQNITINNLELSSSIAFNNPFIIIKAYDLMEK IQNEYISITNSKFYSNMLIITKRNTATSLISLFSEQNCKVSINCVVFIKNHLNEYVQDLS RQSASTLLLQSQQGDVEISNSVFKNNIVTNSTNSILYIKSINVVFQKNQFSNNNILQLST LAQNLLLFENQEQIDGMSLTQVFPINSKSGNGLIIANIISINKTSVNNSFSLYGGGFYLD AQVTGAITIQNSVFQNTLTSLSSSSFSTGGCLYIDAQLSELKLRIYQTTIENSFSRIQGG GIYIVPSQQQNYVDLQNLTIINCFSIQHGFFSYILSSLQSIYSEVRFGGIQFVATKTGFM KFLSLLESPTTDDIDEIRNNNPMIKVKYGSVYMENCSFVSTHIQFLLDFEFATSIVLKDI FILNSTILFSPLIRLSLRQQASGSILLININAENVQQYTDYSDSFCFISTFQQIQSLTCP GSIPKDNPIIDDYDTSLYQQLQQLCNQNDVYKIANYNFSLFEIDNLNSSHSFEVQELNFD SIICMSCQYGIFRIKGIYQLDNENILFNKIKIQNSQCGQTGCLSLISQYDQSFLRSDLLA TNRRRQLQKHDYINLIEKLDHQARIIHSKFVNNYATYGGSVFIVQLKTIIHNCLFQKNKA EIGGAIYYYSNESQMIIFESEIIENEAKIAGGLYLNKQQLQKTMQLDVFLSNNNSTLFGS DVFENPRSLTISVDGGQTFLTKVQVTQNSTTIIEKIVVTPYKILGSSEKVKYLTFPSGRQ IESYEFFDQYQSQYIPYNLTFRIIALNKYNTQEKKLAGTTCTLTPTIINITSEVVALGLV GSLSYSKVKFNESTGDYNLDDLIIYFNPKYEEEIILRLNIQCNIISIPEYDANPPYLIKN YITNYNLQVDIKTFNCQLGEFLNSTSGGCTLCDVIQNQYQVQWSAQSCSYKDDLKMKSIE SSMIELRSSYWRAYYYSQTIEYCYHLTENCEGGWSPGDESCIVGHIGALCEQCDLYNVRG DGSYSLSSSYSCGSCDEIFGNVLTIFFISIWTLVSILMSVSSTVQMIEEFIIGIRLKAFG VTVVIKQASTAILIKVFTNYLQIISTISTFQLQVPSGLASIINSAGNPIESMAYSLDCFL INVSDILIIYFRIVWSLMMASSYITVFFTLGGVAILFNSIKFRFSYITTALIYVFIYLQP NLIGGLISLISYRLISDEYWIQGNVAYRYDTYQHFKWLLGFCFPLLLFFGAIMPIYLWYG VRKNLHRLDLTKVRQIWGYLYNEYKLHAYYWETIKILQKELIIIVLAYYEDHIPIKASLV FLVLFGYSFLTTAQKPYMSGDLNYLDTKSTIACAVSIILGSSIYTAQQSNLQEIVWPFYV IIGILNALFIAEILVKILFAYFQKLHDQIDYVKDFIKSHFPKAISRYPFLNELFESKKKK QDRIRERYGKLRVHLICQAKKILEFKRMNNLEIPGRIRTDLLDSHDDQQEKHEQKLGINS INSPSMDPSDKIDFKLEVPQARFQKIYPEFPLHYIPQDSLKSSFHQSSG >CAK65270 pep:novel supercontig:GCA_000165425.1:CT868037:220227:221698:1 gene:GSPATT00034749001 transcript:CAK65270 MSELVLIKGSQKNKQAIRELIFPSLLTAFSFILGYFPNTISIYFIEYKEQDSVLVGALGL GILITQAVGMGLLNGIATGLETLVSQAYGGNQFELCAKLYYRSLFVCTLFMIPISLFLFF STQWMSLINSNEQLVNEAGKFNKYMILGVYLDAIFVNTKVFLNGQNIYNYQFFTQLISCV FFFGMSYYFIVQQGMGIIGCSLGWTCFEIANNILLFAFIFATKCCDATLFRFKISYLHNT IKFLKEAIPIGSILLLEWISYDIYLIIVSYLDESSISAHVLMGNFASFIYQFSYGISIAS TTFIGNEMGRKDTVMAKSYAKATFLIAIIFLVITLGFFSIFGKQLIEKVSHDKAVVEEIQ SIMYLLTFFIFIDGLQAIISGLVRAVGREASASFTFIFCYLILGEAVGYLFCYTLDFKLT GIWIGMTVGSLTYDIIQFINLIWKNWNEQAELIEKKITSLHQLQVQLLMNEEDI >CAK65271 pep:novel supercontig:GCA_000165425.1:CT868037:222304:223926:-1 gene:GSPATT00034750001 transcript:CAK65271 MKFFIYLIYLVIQFGGSQSLWKEIYNSKNWENFTEQQREYPWYCSIQYGCQFSDCGDSKL LGGYSLFGKQAYVQANFKLPAHTSIQITFDFWKIDSWDDEKFAYFIDNQINFSKFVGFQG ENICGGYGDYFKDLKSNQTVNLSPHISSSLYFFMSSTLDTYPNEESWGFNNFKIEIEECP EGCVFCQDQAFACNLWINFVSYWSNSYESFGWQTDTSQSLGYGQFGIFSMAGGISNLLKG QYIEKLIQYLPPHFKVHVVVKLWVFGRWNDTFNLEIDNQLKYSAKINSFDSLFQIGIYKV QIFNIDANTYHTSPEIKINMKSVKDLTDIGYWGVSFFDLYLAKCKVGCEECIWNIVYECV RCIKKWGLLNNECTPAPPLQSSFVYINQVFSLNTNSIFQFQLKIDELDLVMNEIGQIQKL VNANISKISIQIMVQCQEGMQISSFFRNCDGCEGEQYSVFHYCPSQNNIFNYDATFIQIV ESQKELVFNMSESKIEIIQVSIVNNAEKQVLLLKIEI >CAK65272 pep:novel supercontig:GCA_000165425.1:CT868037:227646:228983:-1 gene:GSPATT00034751001 transcript:CAK65272 MREIITIQVGQGGIQVGNACWELFCLEHQIQPNGQMINQQVIEKDDALRTFFSESDHQKL VPRSVLLDLEPTLINQVKTGKFKEMFKPEQFVSGKGGAAHNFGRGHYSIGREYIDICLER IRKIVDNCSSFQGFMMLNSVGGGTGSGLGTLLLEKLSVDYCKKSRLSITIYPSPETSEAM VEPYNSIFATSSLLEHSEVCIAMDNQALYDICKNGLGVETPKYSNLNRIIAQAISSITAS IRFDGALFTDITEIQTSLIPYPKLQFLICSQAPITSHQIMDNEKLSTFEITKLAFEAENM MAKCDPRQGKFLSCSLIYRGDIIPKDICYSISQIKTQKTIRFVDWCPTGFRVGINYQAQQ ALPEDDLCKSSRSACMIANTTALSQIFSKLSQKYDLMFAKRAFVHWYVQEGMEEAQFFEA REALAGLQKDYEEVDQNIIEEDEGA >CAK77974 pep:novel supercontig:GCA_000165425.1:CT868289:1338:3259:-1 gene:GSPATT00039251001 transcript:CAK77974 MGVLGFQLNHYWFREIMTRPYQSQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXNPISINAHLRKGRIPSNSISQEWHYLIQKDIKRLLKPMTNQ SLYNQLIIKYSFRKVNVKITILGRSLTLLILRKAYIIKIGLKRRCWLQTKLLNLTLMSFR FGLQLVYSNLSKQQGQTLMALNKVDEAISCFYRSNKLNPQDEVATACIGIALESINKDDD AKHWFTEAIKLNPKSHVAFIYLAKTLLKTGDHEEAFYAAKRAVYLQPQNQQYQEIYVKAV FMYELSKASKEQKE >CAK67615 pep:novel supercontig:GCA_000165425.1:CT868053:3:802:-1 gene:GSPATT00036394001 transcript:CAK67615 MSLLFQRFYENSQDILINFYLNFMSLLFQRFYENSQDILINFYLNFMSLLFQRFYENSQD ILINFYLNFMSLLFQRYFDKFLSQVKVELIIHNYAHFSFEMVNVQFLAFFLSRLFEFAKG PCYLLRYLRVSSKQRMFLNYFPNGIGQKQYNHISNTELIREIFILNLRNQTFDYQFQKKM QIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAG >CAK67616 pep:novel supercontig:GCA_000165425.1:CT868053:839:1345:-1 gene:GSPATT00036395001 transcript:CAK67616 MQIFVKTLTGKTITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKNITLDVEPSDTIDAVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGQ >CAK67617 pep:novel supercontig:GCA_000165425.1:CT868053:2826:3180:-1 gene:GSPATT00036396001 transcript:CAK67617 MDKIQREVQTFQYDDQNAEMATEEFINLSLSDHFEEYQFGLQKFKIYLNLNYPDLEEPIA QIIKKQLIPKMLFHLENSFNRNQVDKHLRNIILKILSQKMAQCKFQFG >CAK67618 pep:novel supercontig:GCA_000165425.1:CT868053:4324:5115:1 gene:GSPATT00036397001 transcript:CAK67618 MVQLSSILLPLPALAPAYYPAPVPPASLASAAPPSARFSPPGAQRFDQEIFEELAKQKNY NALAKIAEKDKTQFKIFKRLKWKGQLYQINESIRVNFQDSLRIGIIKRITSIKSFVDNQE LPLLQLNWYYSKMDLDSQWERYMKCFSEYELFLTEISDFIFIGQIIDKVKVLSLNEYDSF LDDDISGQNRLFFMRCTYNGDKKSINPNPNELEKVCFCDMPQNPDLVYIFCESCKKWLHM DCVHLTEDEAKNIEEYICVQCNK >CAK67619 pep:novel supercontig:GCA_000165425.1:CT868053:5154:5720:1 gene:GSPATT00036398001 transcript:CAK67619 MSQIVLVVGPLRAGKSSLIDALTYGQLHKEIYTQDIHFQVKLAKIAQHRVYEVQSIEWDG KPLGLIEFYSNLADLVLIVVNICGNYDQMDQVQELYLTISNSSNRKQQITLIGNWNGQTQ RNQQLCQSISRFAKLNDIKLYELDSINLINVQMEFHYIRNQYSIFDDIFNKNKNTILQIA SQAVTIFK >CAK67620 pep:novel supercontig:GCA_000165425.1:CT868053:5787:7015:-1 gene:GSPATT00036399001 transcript:CAK67620 MGQEFYNMQNIKRQKSLFDQTFSQRATTTAESKRTCKMPIQIIDFKNKFETYLSGQASCV DVIKYNNSLPTKKYRNSILTKRKTFHVTHTITQQETMIDYNDIKEFIVNHDQNYSEIKKT LNTQPSLPERKSSKQGYRTYIKSDYQENKRLNHLNLIAEDYRSQIRNNNLVSSPDQLIFK NKIQARTKHMALIRPKLDSAHIQSRIKQLKLENGTKQPIVEVYQKLFRATSDHFLDALKL NCNVKTNELHNIGELDFYELDNKQNPISERLPKKSCQGIQKSLKYNKNCPTNQVDDCIID ESIISETEAKLNIFYGQSKDYQKKIMNRNENPNKIVNIISKIDQIRENIYKVNKNKLYCD >CAK67621 pep:novel supercontig:GCA_000165425.1:CT868053:7201:8440:-1 gene:GSPATT00036400001 transcript:CAK67621 MFEPGISNSDILFKKSLRSGNKSAKLAKKNSQYQYHDGDIITRQLSQIVFDMQNKNDSFD LAIQLLTDDSSILKQHLSFQVFIPEVKTQIGEKLLKDNNLWIIILVVFHSLCKEKSKYTE FIQRNILNIMQKIYQDEQLLKQIQSQAHQIIQKTEVGQQQIVNQSASKYSKRQLRVQFQE DKENQVSSSNQKKFQILNQFESTNDQENTMSNEKLKKKIDELTKQFQEMNNSSDNQDLVV PQVEEIINEQVKELEEELNNQHDLSNKKQRVSLTEKIFSRLGFKPWRSEQKLIKPNPIQT KMRGIRLLDGLDSRELQSNYVAKVEKVIVSNLNDNQLHSIVQLSANNSSEILEWGFYAII QLSDQDCTSKQYFEDMQYYKQLIAFKFRQERQIYVPFGKYELL >CAK67622 pep:novel supercontig:GCA_000165425.1:CT868053:8693:9487:-1 gene:GSPATT00036401001 transcript:CAK67622 MSFIIFIIYTVYCSESTGLRIDLNIEIPLLENQYVIFHSNLYKSGASQEIQPQKKLLANL VFFRLRQKNNSQGVYSQKFDFLELNNSQDISCYQQNVMILQDVQSCTQNCTLQSIQNQIL GASTVSQNITDSSYFIVDNTQLPCVNGTFFKLLVPWVTVQVLFTFQDSSYLIGTIIIVLL VTIITIQIMSIIVLYYKLRKYKKKMKRSQDKNIAKRNNIMRSDYQENVEDQSISLQELKS QQNSYFQN >CAK67623 pep:novel supercontig:GCA_000165425.1:CT868053:9987:10774:-1 gene:GSPATT00036402001 transcript:CAK67623 MSLNSNSSASQRKPWTPSEDRLLAELKRIKDYDWIEVARRIEGRNPSQCSQRWKRIKGFK LRRTWTKEEDTKLLELVNAHGFNWCLISTFFEKRSGKQVREHYLNQLDPLINTSPWSMEE DKKIVELYQSIGGKWSVITKALNQRSENSVKNRFYSCLRHKYLNIKNPYYIVPEKKQKLN EEESQQNQDVQEQQYIPAIQQPIQQSSVQQAPAYVQLYQNCLYQIPIYVPSPMLVPVYIY PSQFQLNNQQ >CAK67624 pep:novel supercontig:GCA_000165425.1:CT868053:11300:13087:-1 gene:GSPATT00036403001 transcript:CAK67624 MLNQYATPPRIPKPSPIPQNQEQLLHVVLHERQIQQQQRYYTPQLIRPPSQQTLSTQLNS PISNQPKQQRYSVMQQVNQNDMNNQYQQIMQYYKELEINMLQQKQENYLKYNEILQKIDN IQQLMANNINQLNINQRNEYQQLLQELQQQRLENQQRYEQLFYNIEQQKDQNHYEKQLQQ REQLFQQQHQIIEQQKQQLYNQNANINKPSQQQPIYYDSNPSNLEQKLFPQEQNSQDQLN YSQIHNRSNKQLFPSIQIPHRNQSQDNNTFGINSGQITPYIQGSPQQPNNNLLQSQEQYN PNSNRQFMNNQMSNQIIKQTKSPREQISPCICINSPKNTSRDNSISHQKKIFEKPVFEQV HNKPLFHQNPPIKNQDHHILNQSTQQQQYYQVQQPFHSDESIIIDESKNTSEFLQYLKAE YGINSLIQNSSLQLVTNQLQLIYCLSCDQYISLQLSDQHLQVCNPQRPQRRQNDSYLDKY IVYRGDDFFIETLEAKMKRICQEILQIKLMMQLAQQQKWTTYEYIQLREFCQVAITILDR LLSNPNSYQLHIYYQHTQQIFNIVYMAPQIFYKQQAKLLQKCLDRVKDLQLLHKK >CAK67625 pep:novel supercontig:GCA_000165425.1:CT868053:13975:15007:1 gene:GSPATT00036404001 transcript:CAK67625 MQNQILTNRSIVKQDRISKILSNYKTEIDKHSQQSFRMLSQKIKRKIGNQISDNQAKYPI PKNKTEVSRFFSDHSKSQFQISVKDLLLHKSQIQKLQSKKPKDDKQMVPRINKIQNDIFK NYLAQRNCNGSKTYRDSNVRIKMSDKSTHSQQALLQTLINKSYENKFNEPRDSPELCYAS QHIFKLLQQSINGQSSLLLRSGSQGNVANTSSSNNKTADPKFNKIKTFLESTKTFHSNTD PYKLTTDQELLQAKSKFVKVNQSKIQSLLQIANKIKNTMDALNQILIKQEKTSSIISNLI SYELSSRDSIESIFTLE >CAK67626 pep:novel supercontig:GCA_000165425.1:CT868053:15036:15572:-1 gene:GSPATT00036405001 transcript:CAK67626 MNQKTSTDCCVQTDLDQMEIEMIENLSPKMAYSNLLSRSVNLRSKNLKIEVKNNFNTFQL RKIDTFEQNSKQLKGMRHNYINKSFHNENELKPLRKRQFIPKSFHIETFNNIATPQQIIL PQKQQFTPLHVLKRKLNYPANPYRLKTCPDDSKFCDRILYTNIIPEKIKHFDGIKAFK >CAK67627 pep:novel supercontig:GCA_000165425.1:CT868053:16264:20368:-1 gene:GSPATT00036406001 transcript:CAK67627 MKTKRAQSFTMIVLTLVCFNNKSNGCPIGCKTCGLISDCWSCDQGYYQYFLSCQQCLIGC QVCSSSTSCSQCQPYYILQNNQCILQCPQNCTSCNSHTTCITCAVGYYADINQACQQCTA PCQTCNQSSTKCQSCNDPIGMVVSNSQCICKDGYFKNSLNNCQACALPCLSCIDNENKCM SCISTYNYYADSNTCKCLGGQYEINQSCQMCQLPCSTCEFSATNCLSCSDINQTLNGQNQ CICNNGYVQILTHCEICVNPCLTCTQSTTFCTSCTDINQNVINGACICKPGYLSLDSLVC LQCNSDCMTCSNNVYNCDSCVDINKEINELHQCICKQGYYFDPNYTVCQQCDSSCAICNI DKCLICKKGYGKTADSLTYCLPCEYPCLDCQDNVDICNECDRISLFYLESEECKCPDGYY STNQKCSKCSKECEKCLNISDYCLSCIDHNYYLLENTCVCPFGYYIDTALNCQICQQPCE SCQFNYDFCLSCPDSLQILINNQCQCVNGYTYIITTNLEQNSQCYQCSDIYQNCLDCNSI QCNTCQQGYYQNELQECIITICGDQIKVENEQCEDGDDGCFDCQCELGWLQNLTGCYSIC GDGIQVKGEQCDDANDIQYDGCYQCEYDCNENCRQCNQGLCEQCQQGYLLEDNQCIATCG DGILDISKEQCDDNNDLPRDGCYNCFLEEGFFCQYNEVFQFKSCERCLDVNCITCILQNQ IQICQKCQEGYFIDQYNSCSQCDQICIDCITTSKNCITFDYEVYQLKECNQSEGFYYNFT LKDCESMCGDGIVTNLEQCDDYNNLNYDGCNSECQIETGFLFDVESNSFINEPQIQVELN YSNNNKYSIVADQSQESINCTATALTVEKFNQTEYNFSVIETGDNCQINMQYSKTIETTN LIHIFVKYKGNYRKRILDEDFQQEVIIVPVRQVYVSQEQKEQGEKMAAASKAMSSSIIAF APLAFLVGGFKFIWAILDILSWMNNFYFLNVNYPENVRLIFQQAEWSNVINFPSISLLNQ PSDDYYFQAQPKFTEKDVDPLFFNNIQIVLIFLFQVIVTKLICSAIRRIMQRYYKKLIVF NQKKTIFQLSDYHINIQKQDQKQEQLRQETHIYQIPKILLPLYSQCTLFEATFITNLIKT IQLSYLDIALAIVLQITNQQTVDNLIVKVNIGMALSSIVILFYFVSFSYSISASHQLKLD YQYFYQRYSCFYEDLKTDSKIAMIYSFVNLIRKTIFIVATVLLYNFPIFQTSVCFLSCLL NMMLLMMGNPFISKKQYILNFIPDLCILLIVGITIIFAFQDRFQILADSKIYILGWVIAL CIYISIILQLFFLLKEILISMWDNFKTLVNFIKNKFNCVQ >CAK67628 pep:novel supercontig:GCA_000165425.1:CT868053:20861:22145:1 gene:GSPATT00036407001 transcript:CAK67628 MQTTLPKVRLQSEYPVKKVVSPQIELPAEYILVEKAGQGSFGEIYKIYNTITKTLHACKV EFKDSRQQNQQSLLVKEYNTLMQLKGIQQIPQPYQLIQQQDYKLIIMPFYGSGNLEELRK KQQNEKFSETSIIRLTYCLITAIEAVHERKFVHRDIKPENFLVGSYGDHHTVYLIDFGLA KPYLDQQGIHIPQADNKGMVGTARYTSINSHLGAEQSRRDDLEAMCYVLLYLYNGLLPWQ NFSCQTRQEKFLKILEAKQKFAAGQLEINIPPILKQIYDHSKGLRFDEQPNYAKMKEICK EGLNKSRPYCFDWCAQDCKFKPYDDMNDGFSELASEFSTFRKQQRGQTTDQISTNIKKIQ PFKQIEEEDEESINEFPNVQKITKFYQNKKH >CAK67629 pep:novel supercontig:GCA_000165425.1:CT868053:22227:22563:-1 gene:GSPATT00036408001 transcript:CAK67629 MENQLKIFQEELQELLIKSQLNMRKLTINNIQLSDLMKTSANDLHQAVEENTDKVNLQIK QCMQMQDEFLQIEALQKKVEIFRLIVEEIQKKKEQEYQSLLKA >CAK67630 pep:novel supercontig:GCA_000165425.1:CT868053:22665:23930:1 gene:GSPATT00036409001 transcript:CAK67630 MYKIIQKLGDGVFGSVTKALDERTHEFVAIKSYRDNSIKDESKIKEIQILKKLNHPNIIK LRDVIKQNKTIAIVLDFSERNLLQYYKSVKEKNRTLCEQQIQSIVYQIACALNYLHSQGY LHRRDLKPENIMIQDNGIVKLIDFGQVTYQNEIHTDYVSTRWYRSPEQIKQEIYNQEVDI WALGCILAELYLLNPLIPGTTEIDQLYQIQNLNLNQHQKLIPMQPLDLIKGMLEEDPHQR ITAAEILQSNWLSQPFKFLPKELELKDDTKYLISPQQTPAKALQVFGRQENNQNHITHHT KPNFNFSQNPVSNNVDDDLDCGYVPSYVSSLQGTSKKRQIRLQADEQQNSQRQLSDLQQQ YQIASTNNQSQQNTKNYYSKPQLNSQKKFGNQAEIY >CAK67631 pep:novel supercontig:GCA_000165425.1:CT868053:23981:25121:-1 gene:GSPATT00036410001 transcript:CAK67631 MSDIKKRSLSIQNRVIITPEQYSKSECSPEKFKRQSQRQQQTIILSTPIKMVGKSTSNLF DSYIDGNQTVKEGWLYQNKKIYVQLTRQSIREFNDEQQTLLIRVISLKEFEFNILQEKQI IKIQFPQLNQILIYQAKDAVEAKMWIGCLNIVLDRHRNHPKNKLQLQEVSKYFGIDVISE EYFLKIVESGDVLLFETDNTGAKLQRVFTNTKYDHVAIAIKMANKYLFVFDANADTGVTF IEWSQFIEINDLYEKLAIRKLIGVDRIKIEKKLIEFLQQVHGKKYEVTFSKLFRQKSLSP SKSNESFFCSELVAKAFKYCGLLESHRASCSFLPVEFTKKLQLTNQTQITDDIVVILKKH LTK >CAK67632 pep:novel supercontig:GCA_000165425.1:CT868053:25242:26598:1 gene:GSPATT00036411001 transcript:CAK67632 MQDRFNLQGIIEKYLTNNDCDGMIIDDKIQKILTSNDFQRASDRQKLSLSEFNEQSNKSN VTSQSKPKKRRVVKLKNQLLSSHVSPDIQKLYYQSQKIETEQQRGGEKIINEEQRQITLP QLQKKEQAISEQSSKTNLNDLIMSIQEIFPPDHQLWRDLQVGDQSIEDVIVQNQDYFSDL LILYMQEMQIQSLKNKKLGCKGKYSTQYTDDYNDKYMKDSTYINRWIYEKAKQSVSFLQN SSTYNQHFVPQKFEKSDNFKPDHLPNQNTMSCLSSYTANYRDWKKQYHGIIGPFYVKSDK KLPFIAETNYSRNFVTQKYEKLDPIIPKPLGPFPVESQSLVRQTTHKAQFSLPQKLIENQ SLTSPRNVELKGFDGYQPHFNKQQQSPQVMNSFADRFFHSSVVQQLMKKNQKQIIN >CAK67633 pep:novel supercontig:GCA_000165425.1:CT868053:26617:29093:1 gene:GSPATT00036412001 transcript:CAK67633 MSVRIKYTSIIEATTGLKSLSLSKKNILALGSGEEEDWLLLNEVDAQFNLHPIQIGKMEK RMISQLTWSPSGLYLATSNDKLIVWQFNGGNLSIYRTYQGHKLEITALCWSPDDQRIATA SLDGNIFIYHIKQDGIQHKIDCGQKTVGICWDPFDKYIVSLKFDNTVNFYKLDNWKQEIT VSLQIQGKQCTTKREDRKLDWSVDCRYLAVPNLDDKTIPTVAILDRNQNFQVVRTIVGPF SSINVVRFSPMLFKNSNSNDYFSVFAIGDNDGNISLWQINENQVDEQPFLLVKGHKKAGE LIEDITWNQQGTVLMATTSKKYIIVVDFQMSLGQVLTENEKQQAIKSLYGDLKNTIKQIR FYQPKINNDNIKFADMQLEKINVQDELKNQIPDSTIQQREIPKQTQTQFKQVIVDGKKKL IPLDVNSSEPVIKKVEQQQPLLVISQYEVLPKSYSFQMNNKTLLLEVQKQQQRIFNQAVY GTLIRCIENNTPKWIDYVEGQVKTIQYNDDLIIIYTDQALLYIENHKGMRQEAPFILPFL SQIVLSPKNHILCLQNTGEFKVINLNSKTISYEGDIKILMQYVYEWMDKKQLLNDDEKKG KQSIDPLIKANLKIDTEGYPLVEFLFKDYCVYTYHKQLRQWIKTKQIDVNNQNQDIKNLK KFNFNQYQTPPYNDAQIYKRLIQKTTIEDAINDIQQISKLEEQLKIFWSDGDIKTYEKAL YTYIKKLCDTWPNNQEKLSNIIETMITNQNSAEYKYLKERVQDMEELKKKIIYILQQFPQ TRDLILCLFPDHF >CAK67634 pep:novel supercontig:GCA_000165425.1:CT868053:29120:29812:-1 gene:GSPATT00036413001 transcript:CAK67634 MKYGSPKISSNTIDVLDETLTPRKSIQPYIREKRSMSSKVKDFAYQNQLFDQVDNKIRAY LQKNQVNYEKTLIKLYKLDSLKSDKAKRLLGELNQTKRKQQLSVVRQTKSMQNSHPSLQR ERNNSLQSSKQMQMDLKQIQQELKKINPDIENKALMNLSIFKFNQSNCARLEQNEWERVA LQYPYKIRPKMTNFEQELDRLHSYYSRNENCLNQLMLIQKDLLHYKLLQQ >CAK67635 pep:novel supercontig:GCA_000165425.1:CT868053:29841:32657:-1 gene:GSPATT00036414001 transcript:CAK67635 MSDSEEEQVKQAPIIKPHQKQQKDFYAEQLQANEEAESQAPLLVVVQGPKGSGKTTLIKS LVKHYTGQKIKKLVGPVTVRSNKQHRVTFVECPSDINAMSDLSKVADLALIMIDASIGFE METFEYLSLLNNHGFPNVMGVLTHIDFFKDNKQLRKTRKKYKKRFEYETGGNYKLFYLQA LKNEYYLKQDIHNLARFISIIKIAPVRWKTEHPFVLADRYEQGKDNTTTFYGYVRGCTYR LNDRIHFVGQGDYYIDSFEEVLDPVEIITAQKKHRSLKDTEKLVYAPMSSVGALAIDATA GYITIPQPIFTEKNKFVNNEEQDDEEMEEQEQEQELPEGVRMVRELQQMTEGIDKQLEEE EDVQLLEGFELEEDKPIKKKNNQQQIQQQRDLVRLKNRVNIKIENGTVMPITDTLLATDL HELIYQNKNGINEFDSVRYIPKVQERKSYREIKELFVTGFYGQTDVVDLENLEKQMEEEE QEDKEQEQENKDNNQNQKDENQNSKEKQQERQQLVNQLTNSNLGLYKKGTYVKIVIKDFS SSIKDEYPMILARSEVGEDNLGFIKIRIKKHRWHSNILKSNDPIIISLGWRRFQTIPIYC VQDPNDRLRFVKYTPEYDYCYAIFYGNFAPQGTGLVCTQSLSNKLSKFRVAATGVVLEMN HQFDVMKKLKLVGEPFKVIKNTAFIKGMFNSSLEVAKFQGGLIKTVSGLRGHIKKPSKLG PDGSFRATFEDKIQMSDLVFCRTWVRMHIERFYRLITNNVKLMKTMWELRQEKQIPLEFK PDSTYQDQERVPVKFAPLRIPNNLQQNLPFESKEKVKSLSFRERLRQQVEKNLPVKSNMT DKEKEVYSLIQRLNTIKNEKEKKREQKDIQKQKVKEAQIKGQKQHLEEGKRKYKQQKQKD KFIKQVKKQQQQQ >CAK67636 pep:novel supercontig:GCA_000165425.1:CT868053:32682:33212:1 gene:GSPATT00036415001 transcript:CAK67636 MSQQQEEQKAIPLDKLTPQQLLQIKKQIEEEVQQLSQSLSQFRIANAKYDESKVILKRLD QTPKDNDLLVPITASLYVPGRLINPQSVMIDYGTGYFVERNIEQGQHFCDRKLQLLKESQ DKLSNIINQKKQFMDKLNIELQKRMLQVQQNQQQQQQQKK >CAK67637 pep:novel supercontig:GCA_000165425.1:CT868053:33424:34719:-1 gene:GSPATT00036416001 transcript:CAK67637 MSRNQSPRRFQNVLDKRHYSKCSEIKIHFFVKNLYMGNQTEDQFLNFVKDRVQKAGPCEV IQITDKNQNERTIDIAIGMRYDNDAKLIYIGKKHINLVSKHQSEKKIIPQISDIFLMMLR EKGEVDKSALEEKLRQQRFGDKKRDRSSSQESSKSEKSEKKKKENAIVLNLQVIPQQKQI APPIVDLQPVQISIPKETIYIFGIPLEFNLQECLMDIKNRLKLQQLPEGTFKSEKQQDHI FEYLEVQLEMLQKNVQLKIKNVPCLICQKKKKTDSFSDILKQYQLFIKTQHPINTNKLLN VFQQYGDIIGLYELLVNQEESSNSYCIVYTSDQFIKHLKPPGQIEIPEEKTICEYRIPDQ NVVSFQRIPYKSTLYAQITRDSSSSSSSSSSSSVISLL >CAK67638 pep:novel supercontig:GCA_000165425.1:CT868053:34747:37447:-1 gene:GSPATT00036417001 transcript:CAK67638 MLSAKEFKTTTITEGKGKCGFDISGNKALVAWNQCLREYDLIEHKKTAETVLESNMLALA IHPLNSQVFAIGDMRVDTYDDIQMTAPSLIVTLTGKAIEIKYNKNGKIMGIASEENSLIL NRDTKISKCKPGHDGMILSCAFDPKDRFVCSIGCDGCLIVYQLSQVPQLLQRYTISKQVS PDAFQKLQSDFHPSGNSFWIAGKINAQYIITQDEPQDLQQQYQILHQSEICIIRWIHNNC VGSCSLDGEIKLWNFKSFTDLSLHWYFNIKCTPLYMKIIQNLFYLFDCNGNIIETTLDPP QQEVESIYKDKNIAFRDLLNQKVKKQNNQRIEEEQLGDENVEENIDDLNGFIGGNEMEKK QKQNMDSGMQDVLRPGETLPSRGRQYLCYNTVGTIILCKNTNMIEFEFFDSTFYKKFKIS NSQRYAIGTLNYRGCVLASKGPNDLDEQGYLVGDGKSIVYFQQFIGDVDDFEFSLPEEEG VELVTIGNDWLAIMSDHAICRVISFCGLEILEFNTNRAIVSMCSYEHLLCLVFHDTSPML NQQVLRGRIYDIDLQKQIEEFDIFLTPFSSLSWIGYSDEGLLSIQDSKGSIRIYQNNKWI EVYHNSRYWLYGIQDYKLCLLKTDQPLASMKQEMIQQSFEQQFVCFSQDEKLIANYRQFL TQLIILNHELYRWNEFGKYYYARNDDKQNDILLRYTQNLYDSNKMEETQKLLRKFRVDLF KQILNESKDKAIEFFRCHIKDEYDMNSIISLLELQKEKSTNNKELQKLLTHIKLLQRQQI IKQQQNFGIDKKPQSPKRQGFGLQKQNDQVNMNQIKSILEHREQQQQQHQQQQQHIQQYQ VQSQRVETQQEDDEFNPFAKSANKKQSSIFDIGEKRKPTQTFGTQNIKKKN >CAK67639 pep:novel supercontig:GCA_000165425.1:CT868053:37532:38026:-1 gene:GSPATT00036418001 transcript:CAK67639 MITFQLLQGGLETTQKKPVILAPLKNRRYNGDHRYQIKQQILEQVRKSKQKHLNEFNDLQ NIHQIQCTERFVRRDYQFNDKKARFNQKALSCSNNKCSFVSISRVADLPPILDWRRENKI LTPEYSENGDFMNYVRKQVIKYGQKSLD >CAK67640 pep:novel supercontig:GCA_000165425.1:CT868053:39488:40316:-1 gene:GSPATT00036419001 transcript:CAK67640 MQELIEIGLKKVLPGILINQIAFYSLYKILKNNECIVDVAYTVSHLVAGSIYAYHFGLSS FESKFKLGLLALWTARLAGFLFYYRIMRGFRDPRYDVIFQKYKKETLKRDVVVFCQYIFQ GFIVFVTSSSLYFLFKNQLNPFQLAILGTSIFWIYFEGLADHQLQQFKDSKNKPQNSICQ DGLWKKSRHPNLFFDLMTWTTIAIASIKSRHDCLALISPLVLYYVMAGLTVPLTERLMKK KRGDQFIQYCSNTNKFLPI >CAK67641 pep:novel supercontig:GCA_000165425.1:CT868053:40355:40830:-1 gene:GSPATT00036420001 transcript:CAK67641 MARTKQTARKSTAGNKKPTKHLATKAARKTAPAVGPTGGLKKPHKFRPGTVALREIRKYQ KSTELLIRKLPFQRLVREIAHEFQKELRFQSSAILALQEAAEAYLVGLFEDTNLCAIHAR RVTIMSRDIQLARRIRGERF >CAK67642 pep:novel supercontig:GCA_000165425.1:CT868053:41688:42271:1 gene:GSPATT00036421001 transcript:CAK67642 MYTKFNHRPVQEFAYQRKPKIKVLTKLGFQELMKLQSNPVQFEEQEDLTLRNYLKQGCLD PFTKKYRSQINLWEKLKQVENEGIGEEEIQEFNEFEIMNKEHPYLLRNHAYPLQEEYQEP LKLPQIQTERYQKSNAPKIWESSLFSGERVQLKRRKQKRQEAFKLAGSLSILRNLMQIEQ SSQF >CAK67643 pep:novel supercontig:GCA_000165425.1:CT868053:42295:42974:1 gene:GSPATT00036422001 transcript:CAK67643 MSDTEIESLNPYYKDIVAYLHTQQILIYQQQDLLDEFKILSQFQFRLKLKISTLYLAKSI YLRTNILTQDYLLTSLWIATKFDQQDRCKWQMSTEIETHILQKLNFEITKPTVMDFIEQL IYYIKKTKRISAEQVRLIYALSLMALPWTLNYEPSQIAFAIIIISFTLKNIKNHDFHSDC YEDFRQQLLTKYDQNIIISQKYPSSYLLIKKNLHRLYN >CAK67644 pep:novel supercontig:GCA_000165425.1:CT868053:43129:43609:1 gene:GSPATT00036423001 transcript:CAK67644 MSQFQQAVFQLRQILRKNSHPDIFNSMLSIIFSDDFSYPNQEQIAKLEKAFFLNKDTAII LQILLTSFENNTFTQIEDYINLLLYRIDSHISLLESNSSSSSSPARFYTQYQVTERIKKE QSINKKIKKQTKNQHKKTDNTSIPKNNNNNQ >CAK67645 pep:novel supercontig:GCA_000165425.1:CT868053:44782:44994:-1 gene:GSPATT00036424001 transcript:CAK67645 MSNAIRLRFHYCFGNIYHNLNNNTSLFLRILSIFFVQLKLQLKIKTIYFCLNNQQTLRFG GAQLPFEIYY >CAK67646 pep:novel supercontig:GCA_000165425.1:CT868053:45023:53725:1 gene:GSPATT00036425001 transcript:CAK67646 MIAISLNIDSKSNNSVGFLYGQLIFYSQSIDMLFAIFFLSLIANFSSLQIREMDSLYQYS QESIVISEKAPSIFNAFRYGLWSKYNPLTNILQVGNVGMFDSDCFLLHSAVEELSLALNL IYYDCVDYSSKKVQKTIAFIDNDEVEHSFTITIDPLEYENVWYLFEIIQWPLLERFELRF IYKQETFNRFLNIKKPFKDENLKLIFGGGMIVTNSKIGIITPGTKFSYFPGKLIQIKFQF EDIPEDEDQELLARTSFKPFEQCDCQINDILTLDDISINRLENQFFTSQNTNCNSFQLSG WLKITDIIQNSDVFTYQLIALTSNFQNQLANKNLSPFTLSYKISQIKNQIIVTTYSYTFP SATISFSDDPFLITKELDLTNTLLLWQKLQVQLLDDQLIINVKFYEGHDIYEYNIQQQVN QFKCTQFKLQYGNILQSTANYFKIIVRNMGFNNCNAIDTLQICHYSCEECDGPTSNNCLS CSIESQRIYLPDFKACVCPFDTIDEKKCKSYTDLKFKVSDKRKVMPKCNYGYFEYEDSCL RCPTIINDQFVICLECIQNPQGWSKNSQCEYDLYISKNGEVANQKWRGTLIYYTFDGDEL LLCNRCSKKSPFLAEEQEIQQILGQQYLSFQCQFLFDYCSRCWISVDGFECLVCFYGFNL IDNHCVSKVKIPDDVKYCPTSSYVTHQRKCKLCPILHCKYCFEYQDHDLSKCTLYIGFGD FDIDNEIKIGCALCEDNYIFDFEIGICIQDTPKIQNCLRSYIYDGQEICTLSSIDDFSVA LEIINCHTHLPHCEQCVLSPQYTIKCIVCQVGYSASIQNGGCYLADEFGVYGGKIVIDAV FELKDGWVQRIQSFMMKFLPNKYFYFQAKFDNWLLQMIVECQDNYFLGQYFQCHKKCDSS CLECIVDGSDLQFCNKCPLNYYQQPIRDQINGICSGCSQLCQACTSRTDAEIKAHQPNFI INGNNFIHTKKCLKQLQIPNIYMDPYDQTTKYCFDETCSKMLEYNFDIYYCEWFNIFLEE EIDINYLNAIGIDSLVLSFNFTTFANSCTIQNLEMNTKLKSKVFSLNYIHFIYTSREGLI MKYIDFLYFNNIDKIEINQIIHETYEQFQVLMENNHQQIQLYLSNFIIQDSQIQDIVSVF QSEKFGDVIMNNVYLLNTSFINSSFLNLTDYMLQGTIQIDTFVIRNCTMLNSNFFQITNN QLILSIKNVLIEDSDFTNVFIYFFDFNVFQSSTVDVYNITVKNSKFVNSSLFNFQNYITL YLRYLQLESNTLISTNFIISNHNTSLSYIKIQNNEFVESQLITMLERTENKSMVVLLNQI EASNNQFENSKCFKLFTNQEFSQIDLTISNLIFNEISSIDSSDTRSYLFQIKALKLKIQN VDFRNLKNIFLFSIFDSNEILIQNVIYANSAQIYQVPFSQSCQVEINEKNQMLKIENFFT LKIVSVQIINQFAIDESFLDLSQRQQFEKPASLDLADLAFRGNVQIYKKSQRLLSLLALY SEWSLNVHINKIQFFENCFHSVPEIYFQSYASLVFMNIKSSDIEIFNFSSQSNAFTNSSN SFIFIEANTIKIQNLQVSNHNILTSELWERFYGLQLGVLLNQQQINQVVFQALNISNIGG VGQLKASVFTCLNCNFQNVLALRSFLFDITTLYQGIIKFINLIASDLIGDLKQETDSQGC ISISCQDSSLELEISKSSFRNIYNRMSPSILSIQPSKIRNKIRLIDTSFEDCLSLMNSIL LVQFSNKIYNKNTVELRNIMIVQQLNSWLKHFQQLGTLSLQELLLISSNQNSLLNIESSS ILIFNISIQGVYLSPIFKIVNTPKLKINQVWIKEIKLFYSFTLINIQQMLDIQTIVLFEH VNIFSTSIYEAKLQRVEISDFDFVLNDCVVVTTLEKKFQENQLFYYLNDILTIMEEHNED QLSLINIYTLSSKHTFYFNKLELVNNNCLYCTSGLIKFDLASFQSIKIQELICINNIIKQ FGCINFLSEIQDSPAIQIFSSAFLFNRGTQGVAISSNKPIILKQCKTIQNIATYRGGGLY LELNSNQFIIENSVIIENQAEEGGGLYTIGNGVLNQHNLNKTYLLFNNASVYGNNLIVNP THLALFINSQEMKVYEVDPEQIQTDVLRITPYSTIQQEKLIETSYLMIPSGQSIEDFSLS MPKLQQTYQQIKTIEIMLKNSLKEFQKNIINSTCLISDKIVKMNGEKINGNLQNVSLLYQ KEKSTFDLSSITFYFDPYEKDYRYLEISIICLKEGQINPLKYVIQAQSYKCQLGEFHVEN GCQICQSNQGFYSVTYDVIKCSIFDKEKFLEITSNAIKLQEGYWRPNYLSDYSTLCFKKP SFCVGGWDIGDELCSKGHVGALCEECDIYNIRGDGQYLKNLQNSECLSCFGISDSILPFI LNSIWQIYKYNIRAILSIILTVKSIVKSNDLFLFLKVKERFSQILFKLNQDRESILIKMF LNYLWIFSLIFTFNINFSFQFNFVDSASNTSLSMANNLDCYLSQIVGFQLIYSKIILILL LIFWQFVIIMIGLLVLPDILNSKLKISIVSNILLCLYIFNYAGFIKMLCSAVSYREISNI KYIQGDLTLKFNTETHYQWMYFLVLPGLIIFGCLIPLLLFLLMYFKRNGLDFYTLRPHIC YLFNEYVSKRYYWEQIKLSNKALIILFLTYFETNTHLKASLIGLSLICYQQFAAKKKPYI IQTFNILDLEMSQKCSVSIFLAAVKYESEISNNKVISLVLQICLILLFFLITFPFIYQIG ITNYKKYKILAFSSFHLVFKYFRFTKASKVFEQFLDEQSKKDQRLKENFTKLRKFLIVFS KAQIKNRPVILSRFNSSKNYQQTLLTTELQAQSVMLTANRLE >CAK67647 pep:novel supercontig:GCA_000165425.1:CT868053:54008:60543:1 gene:GSPATT00036426001 transcript:CAK67647 MEKINLQVSYSFLISTLILLACSRLSCCCNYKTYNDSLIPIEHPISIQTIDSPINENFIY FGFWSLGIPQQTFKNYPQVVSDYISTLPENGQLLFLIQGKQTNLVLGYISMNMIIRQVIY NVVIFRNPNQILEFRFNPVQFEEEWILSLFTINFEQSTFTVEMTGQVKQSISMQSDIKYE KVILGGLGYIGQGFNLNIFKGRLSQIYLSYEIEDAQNQFQYMSQNCRIPLPQEEQQTVYF VKGLQIFEGSSSLFYTVNQLGNRYCLTGWVKYDTSRIITNTEQNLIRISLFKNYNFYQKY GDEILQIIPFINKVNPKMTYISIITDNRYMPIMGLNDYNIIRPFLQQFFEYSYRYYLGVQ QWHFIKYEYGSKVPGKKSLIQIQFFNDLGLQEKIMNNISPINSPFYINIGADDFYSDILQ ASILDFKFEYNFNEDKQVMFQACHYSCQSCRGPLENNCVTCDPLANRYFLEEISKCECLQ GYINVANESRCMDFSQIFPLVKVQEAFQNDVAKCQFGYFILPMNGGSTQCVKCPQSNSFN LLCVDCLYSPYTWYLKPICKYDLISANDSPDDAFSYVERNELSQDLYLINNQGEIVQQEG VLDFCEIETDSSNCFQAAHKHLNKTIFLKCKQNYFALNGNCEQVNPYCLLASAEGECLQY QAGMYLKGDQLFQCPSNCELCYYNEETQSPYCLQCKEQFSSENGYCISCGNYCKICQKYY DPINQNSYQRCQMCLDDSQYFITFDAINCQKNTIKNCLYAYQALSNNFQINTLDKNFTPR NDWENINTHCARCILYYGVVLDQNLCLRMHEVTCLFSVVQKVSDIPLDDNIYYTIIETDF YITPEGEELTFAFLDDYSIVLTTYQYCIISDYYFNQQDIEVAQFQKYCSGYVTNCEVCLR GTPYTWGMTYTCLDCQKGYYADRVSGKCYQCPPELNCYKCQAQQKVNKDRWKSQIRAYYK VVIEKHSDHTFKQYAQSENYDDYEVICSECYKGYEIRNDICVPSCPESCLECQYINGQNL CVKCPKNKKGRMLSLSQNKCIECPQNCALCRVRSKDEIQGINPLFDNDDFIIYTYQCLKS FKDAEYYYNLEYGTFIKCSQHQQCNYEFILTFNLYCSELEYESELSQISSQSEQNIFRQQ NLLFQDLVSGNSFKEFEKDLFYHQANELSITTIKLNISSVKEQICKIQSNGIIKQIFSNN IFFTTNVEITLNFNNNTIIEFEKPLKFLNFNKITILGAIFHPISNDKSKQIMFFSLYPQT ITLQDIIYQQISKDNDQSNMLFVNVQSVQFNNFKILGLVQNQIRNFIEITETVNQKTIIF LNFQILNSFLQNQFTINCHLNQDDNIYIDNLIVNSKFKNVTLIKTGEQEKYGLLQMQNVI FQIDILYCLSFLNIYSLRQVNINNMIIKNSEIQNSTLILLNNDNNLDNFRIQLSKFRQIS YGIMNSELLLDNLQISLSNILLESNEYDYSTKFVFFQKYNNIQTQINITELHILNNYISQ NINDLNLKTQYYALLYLQLDIISIVNLEIVRGYGLMDLSISDVQKLIIQSSRISQGQQFK FLGLHQFMDCQSQQVKGESYLQSLFISSAIDFQIDDLIIRTAQTYNSPILYYKSSEKIKQ SQFETIQLSNIIIESNLVLLTNQKFQTAILFFESVQETALSIQNITFYGNVLHEYIQNNL QISALLLNLNCILGSVIVRDSTFIKNIVINSTDSIIYIKSEKLAFHNCTFSENSVFNYEL LQPYLLWGFLESQQVDSKQINSIFKIKSQSGNGRLLVEHLDIHMCIFEQSVGYSGGAFFI EAQKTSNISIINSSFSNLQTEFQKELGFGGSIYLDGTSSQQLIVTFQDLTIKNIYAQEQG GFFYITAGTSQVQIYLDRLNIQNAYAKQGSVIYATFSSLQQSSQLIEFNEIIIQNTQEDF INYMNLYTQLSKSEEILLINNRVLFFINSASKVSFFNVIIENIILESAILISSITELSIK MLMISNSLINQNLIKISPNIYQDQIIQLKNVQIQNITTVFKQNSYNCESIQIVYAPIQFA CIKQSAPIDLFQKQKSQTISYGDCMLSFINKNMDQIVLDDLNSGLILFIDLNEQTTIKLS QIELGRITCKYCLNGLIYFYFQKISQLI >CAK67648 pep:novel supercontig:GCA_000165425.1:CT868053:60973:63952:1 gene:GSPATT00036427001 transcript:CAK67648 MYQILQYLILFVEDKVVQILKKVMFKTEDCQSQRRNSFNNQNRSSQQINTFAKTTLEQME HMQNCLFQFNNAQQSGGAVYVKESDELLITNSWILKNQAKMGGGLYLEDSNDLDYLALQT KISNNSAKLFGDNVVAIPQKLTLAQFDEKTLFETVQIINTDNILIEQVKQQLQQIQFDNE IQLPSGQKISSYAKFDKHTRSVIAQGRDQQVIKNLRNTYCTIESRILNKSNNDDSGIFSN NLTNIQKVLFNETTQDYNLDELIVYFNNEQPPNIVLQLQFSCDSVIVPIYNKEYPYNILN KHTNYHLRVNIKTFDCQYGETKNYTDFSCIPCNSEQGLFSLKIDSQKCEIKDDISTISVQ SALLNLKAGYWRPYFETNRISNCINKPENCLGGWIEGDTSCLKGHIGALCEECDLYAIRE GTHFSTSQKYSCASCQETSRNFILIAFVTIWTLISIFISVQSNLKSIKQSIRVTSVLLIK LAAIQISNQSAILIKILTNYLQILAAIATFKLKLPIQFQSTIDTAGSPVQTMTYSMDCFL ATLFSFEIQYARMIWQIILPFIYISFFFTCYLIAIKFKLASYNKSVITTTIIYMYIYLQT SLVGGFAQLISYRNISGYQWIQANTSQRFDTSYHYRWILQFCIPMLTLLSIIIPSYFLFS LNRNSENLDKNHVKSQWGYLYNEYKYNAYFWELIKIAQKQLMMICLIYYDDNVILKATMV AIIIVVYLELSKKYKPYNRDQLNNLDCQTMNTCLATIILAAGIYISESTNVAEVKIPYFM LIAILNILISYILISKIVVEYFKKAGLTMERVEKFKQYIREVFPFLNRVPFISRLLMDNR NKRKRIAELYMKLKQFLISQAREIILFKSSQLKLDSHRSSDQQIHTNQLSLRSLHLGKDQ EYLIRESLKQSSLNTPKNVLDSNVFDQINLKRNEQLKSKFNTQTQLNNNEKFNDNKEE >CAK67649 pep:novel supercontig:GCA_000165425.1:CT868053:65225:65975:1 gene:GSPATT00036428001 transcript:CAK67649 MFVKEQKQPDGSLILFPKSEHKYSLIWMHGLGDTAYGFLSMFQKQQIVNPETKVLLLQAP KRRVTINKGHVSSSWFDIKVPEPDIEKNSDLEYFKTTVSIDEIEESKMIINKYLNEEVAK VQAKNVFIGGFSQGCCMALEVGLSYSQKLGGIIGLSGDLFTSTKLQEIQDQTPLLIIHGK DDSVVPCDFLSRSLERLKTPNRINYNHIILPNLEHEVSYKCVQLMIQFFQKNQI >CAK67650 pep:novel supercontig:GCA_000165425.1:CT868053:66639:67626:1 gene:GSPATT00036429001 transcript:CAK67650 MISYASNQPEFLISKLQNQNVIEQEVDKWDYKKARLVKTKVQIRITSENNLIYSTLEGVV LRDLQREIYQDNFGYLEVLKNIEQIAHLQWHGEYGQNYRKLGKWEATWDGETLQNVGGYY QEGLKEGLWKEPIKNYWSKAQVFESGEYFHNKRQGRWNFTQQNKNINQTIGGGSYNEQAK KNGKWTELHEGVYDDLQVTWDGEYKNGQKVGSWNIMNDNLKMLMIINNIYSGGGSFGDGD GFKQGSWVELWNGFTCFSWVTENGEYQNGKKVGRWDMWYKDYDNKQSFKMQKKPQVLC >CAK67651 pep:novel supercontig:GCA_000165425.1:CT868053:67643:68088:1 gene:GSPATT00036430001 transcript:CAK67651 MMKEAINQAIGWNYQMVFLKVLKLLTSVYIKVEQKSVNGIFGTRWSMVMRKKKGCKNSIQ NLHLIMKTIIFFSGGGSYDEEGNKLGNWVEVSEDFSEESQVTYVGVYNNGKKVGRWDIWY QEDDENIKMQEIKYKWRGIIY >CAK67652 pep:novel supercontig:GCA_000165425.1:CT868053:68285:69126:-1 gene:GSPATT00036431001 transcript:CAK67652 MIIQLKVDYSNFIQLQHVYKNIQSYPQIKNLFLFLISFHKFYLLNNLIVLINQWLPFQSI VKIILRNKFHLFVFPNMNVKECYAQIAFTLTKFHLIKPQQYKNFKNVQKQDYYELQISDQ SQLKAQRLRFQIMINELEQKFKVFIQTIRQTTLKMYQEIESQEQFFYKFLTDNIALSNTS WHDINILVDILEGVKLTAHLKIKEQKLFTLQKLNSNLSNQISKLDKNLQNFTTTCQKIDQ MIENQVQKIQKDIMNKIHIKWKDGIFRETGWY >CAK67653 pep:novel supercontig:GCA_000165425.1:CT868053:71033:73733:-1 gene:GSPATT00036432001 transcript:CAK67653 MIRSIASHLKSATRIYQASRYLPKYLLSENVKEKQLRENDMLWIAANADDKRCSIIEIQK FIQEEPLLEQSPIRLMDLGNNYFRHINPRKKKTMLQLLDAYVDNLTSEEAQLFQDALSIY FDNTYQGKDMENLSCEDKDFPEPLLWHIYSLMIFDRLSSHYARYEYLEQFRIIEFFNQRD KSKVNRVKYVFTSHPTQPNSLTQLIAISRMLQGIEQNDYKYLKYAAKLFIQSNKQRVFNK VSYIEESLIYHSQYLPNLIKAVAQAYELGLQNPEYYFETPGTWLTFDFDNHPGMEIGIMS YTHGLTIDLTLQQYKEYIAEAKLEENEDFKKILELYQSALDYSKDIRDLSEKFRVKKEIS LEEFYQQMPIFNIKKIEDQINQILDHILAKNDDSKEYFIALKLKKLYSIFRLTGVLGQIR LAGEDLTDLNKIKPMIKNIFKELSLLNANGQAADMIIIANFQTQSQYDLVNELINQYKVE NVEIVPLLETFSSSNNTDSKITMIASSDTRQRDGLILTELRNMREYKRNPEKYIYMGQGI TPERGGGPYTLIHTKYQALTRAQRKRHIRTIQGHYFTSEFASEDVAFTFLLNGLSNINYS DDFEPSYEYMDFLFELDNAIGVPQRAMQKTKEYNDLYVKNQVVKTMVESFNFAGSREVAK PFESIKNQRAIVQAYCNSDRCSFTHPELAYWDRVPEELINKIANYYYNNHPHMKYMLYMY ALMARRCDLDFAKQEVGLDSTNPYFQAMQKGRAALMKILDQLGLGDNSTPMIAIWKQHLG CQLQSMNMEVNQKFQTFRTLNQLQLYQAKKMVKHGILETDIAVSQRKLRILQSTLANMTS FAGKG >CAK67654 pep:novel supercontig:GCA_000165425.1:CT868053:74197:75309:1 gene:GSPATT00036433001 transcript:CAK67654 MSDMLFYYFRIGTQKLNMLANKNYQHVFRMKSFVSLEELVTKLQIRLVVLLHQVDYFMNG FLTALLKLIAEEECINYLTKFTAKKGTLIESACTTALNGTVSSWNSELQKYFGGNSHLDC QLKKPVYTQESKIAYKGCGTCSLMTGYASANIDQNSYYITRDRCHWHKNTTTNSTSCMIH DSYSFHQIFNWDKKIQQICAVHTGICQAIDPLALTNDDCFLITGYTYIWNTSDNKCGVCA TDNQVNNPANNNSNQTVNNTSTDSGYLFGETIIIVGYLIS >CAK67655 pep:novel supercontig:GCA_000165425.1:CT868053:76866:78314:-1 gene:GSPATT00036434001 transcript:CAK67655 MGACSSKKSTLKCLNLGNLQNNLISEGPASPTLIFSKRKDHKLDFNYADEVIQPTNVIEE NYLKYYNQIRHLDKVIQNQNSIIVQHILTRQIFIAEVLDHNEKTNNYINRFSNLFHENLQ EFVEIYCHCSQYTIIKNYCNGGSLESLILNESKHLTIHQKQVILRQIFNVVSYLHSKDIV HGNLTLKSFEIVDQSSKFQLKLVDLHSTFISDKKPQLKLRYSSPQLLQAHLDHQIIEPTY EDDIWSLGIIGVRLVYNFQLFTGNSLRDFQEDVLQERRNQIPFINDSFHCEIQDLFNQMI EVNPQNRISMIEILESSLMNSFQLNLPQKSNNIIMVPLQQILFYMMAEMFSDNSLEYLAQ LKNTKRITRFQLATLVRKQFKHLYNQSQSLFAVNQIFKSQQELQYIELIVRFTDKRSIIT KKNLTKAFQHFSNQQQYLKLNDILPFFQEKEIPLKQAFKQFSQEKIDIIQFYALMFDYLK QQ >CAK67656 pep:novel supercontig:GCA_000165425.1:CT868053:78955:79518:-1 gene:GSPATT00036435001 transcript:CAK67656 MSNLTSNRFHLPCIASKEVSPKKEATTTRAMRPSLFLNQLDVLPYSLKTEGEVTERNVGN KIYTQCESDPLIEIPYHFRLPKIVPARPKRFQRSLPEIPIIVQESRNASPAEFERHQKRT KLFLKRQDSKILAPVTKFQRKKVEFKKSLIVIDIETGNQDKQEISETQKPLRRIAHQKTK SFQIRNE >CAK67657 pep:novel supercontig:GCA_000165425.1:CT868053:79787:82070:1 gene:GSPATT00036436001 transcript:CAK67657 MNWNQFEAIHQEVIQNLENNGFATPTPVQVEVLNNYQKHKHIIIASQTGSGKTLAFGIPL ISEILKNMDKYPAKQIIALILTPTRELAMQIYKHLKAITNLSIGCLVGGMSKEKQKRIIN AAPVILIATPGRLWDFIENEENDKIKNLNLIKFLIIDEADRMVELGHFPQLDNIMTKITT PSQITHDKDRILSMMNEQSEAAYLNNQKITFEVEKPVEMSYEDFIKLQNGKGKIYIDNDV IQNDIEEINKDDEVEQEGQEEQEVEEEEEQEQEDDVEEEEDEEEGEEGEEEDGEEGEDEE QNEEDIQEEENEDEDDEQIQEDEDENDEVEENQQGKRKAKKEQKQKQKKKPQNFQQQEYT LKTRNELRTFLVSATLTHQFKTGSKFQFLKTSKNDQQNSADKLLMKQMKATVPKLQSLLG KLKVKEQPYIIDLTQQLVFPEKLQFYKSLMEEDDKLLHIYHWFKNNEDQTFIIFLNSITY ANKVTSMLKVLGLPAVTLHSQQQQRQRLTKLDQFTAKKSNIMVCTDVAARGLDIVGVENV IHYQVPFTADTFVHRCGRTARINREGKTLVLIGPKDMARFAKLESDLLSSGIKFEDFKLS FNQVKKTRDMIKQAQRLEKEDHSLKKKKNMKDALQKQLKNDDFDLDEKELKQELENIEEE LIQKRKKLDHEKMQYQQIVSQKNKLDGRFDRRRNGLFLTPEDTLQLAERLKHQKEMLQQK QQYQKNKKG >CAK67658 pep:novel supercontig:GCA_000165425.1:CT868053:82103:82496:1 gene:GSPATT00036437001 transcript:CAK67658 MQSPNPAGAQLQQQLEILQKGFEQLVQRVPETIHLSCLSQNSKDVNRYSDCMMKRSKRVD KEMRLFDFKMVFMGNQFEKCIQSGDTDKCVESAKADVQRYINEFQKNIN >CAK67659 pep:novel supercontig:GCA_000165425.1:CT868053:82828:83200:1 gene:GSPATT00036438001 transcript:CAK67659 MAEQKQQLFLKQLTVNMQSLQRYIQKETNEQREKCYQIYLQSNQNYDDYSKCIQQLQTKR KSVDCTYELMVKYWPFWTQNCFEKADSKDQFLNCQQHTTESLTNFLQNSINQLQI >CAK67660 pep:novel supercontig:GCA_000165425.1:CT868053:83419:84314:1 gene:GSPATT00036439001 transcript:CAK67660 MLISKLPLLEQATSQKALFNKTKINYEISNSLSVEKAYRQTNALKSKRQLSIIKQSNENK KQIPTQNDESILKRIQVTKSQSDCQIMERLQQEFEQKYQEQVRISEDLKLENVKLKMQLE EQEQQIIYLKLINSDLRYQIDNSTLAQQLKKLELEYKDTYRRMDDTLRKAIDENYESQIK MKNLQIKSQQLEQFTVRIILMRQFSLRQQLTCKFCRKELQNTITVIPCAHNYCQRCVSGY VGRCFACNDDSVVQATYHNEYISDLINMYKIFENIMIILKT >CAK67661 pep:novel supercontig:GCA_000165425.1:CT868053:84390:84766:-1 gene:GSPATT00036440001 transcript:CAK67661 MQRKQQYENDFKNFQEDGSISIDQMLKQKDLAEKQKEICLRNSKTFELFATCMERHEGKI DNFAKYLSIKMLFIEKQALECYKGNTNDREYERCHQRIESQLHDVYNEYYQGLLNL >CAK67662 pep:novel supercontig:GCA_000165425.1:CT868053:84954:85745:-1 gene:GSPATT00036441001 transcript:CAK67662 MHFKTNQLNQINQSNLQTTQSNLTLKKSTPTHNPQQRLTRNSGQSSDFTLQNTLRLHRTL SKKGTITIQTVPESGTQNRNSMTVVSPMKNLLKTPTMTRKQSGQQIIQSRNIINLQTNPS LQEIPDSVRQCDIKFLGEVKQQSQSNKSKSQNSYIIYRVLIRIIISQALIGCLFQLNIHF SISGLIDLIILQIFRFFQSNRKWIQIIELILSLALNISILLLFEIDFIWTITFLVLIAID TILEFVILKMQRKPNFDTLPTQV >CAK67663 pep:novel supercontig:GCA_000165425.1:CT868053:87238:88673:1 gene:GSPATT00036443001 transcript:CAK67663 MKNSQKLSGNQKIQQIYNYEEMLEQEEMSKQLKNQLIVILNTSNEQISVKDAVEAIKSEN ILEAHKALIQLRKTLSNFDQAEIFTNELHIHNKIGSYMLNQLYILEIISIFAGGKSDISR IIFENGIVQLALSILQNDNPELSMLLMVILGKLAGDSIQYRDCILQACNLDRIILKMELR YEATYVWCLANLCIGRPSPKFEMIRPAFNIFAKVIIYEPTQTNIKMMNDAIWALGYMLDG EPSRITILIDQGIVSRLIELMHQCNFVSILRIFECIFQGNQEQIRFLLDCGFLSQIRNIL DPKSREKSSNMIQTFAATETLFKVLSNQNFLISLFNSLQDQNSELRGDALEIIGNAVQHG TNEDVNQMVKNKLIQSVLQMLELAQIMEIPSIHLKKGLEILIKILRKGEIRSKDRQNNQY FTVFMQINGNDVIQKLLSNKQDDVEKYAYIFKQEFTL >CAK67664 pep:novel supercontig:GCA_000165425.1:CT868053:89636:91570:1 gene:GSPATT00036444001 transcript:CAK67664 MPPKKATKKKTPSPSPPPAKRSTRSKKEDKVDDKKKDVVAKVTKGQSISKGKGKAKQKTP SPSPSPPPKKAPVRKDSKKSNKKSPSPQPKKTVKKSPSPAKKDEEQNLKKLVFTGKAPVD EFVNNRDNYVVYEDAAKVYDCMMNQTNIIGSNNNNKFYVVQLLKSKSSDTYFVFTRWGRV GVSGQQALQPCGGSLEAAKSGYEQKVRDKSVKGDYRILDKDYSINTDPKDAEKLEKLKED REKESYDKSKLHTKIKELVRLIFDMKMINNQMKEIGYDAKKMPLGKLAASTINKGFDVLK KISEELNKKSHNTTTLQTLTSEFYSQIPHDFGREKAPVINTAQLVKQKLEMLESIQQIQV ATKILEEQKDDDTNVIDENFKKLGINMQYLDPSEDKVKIVKEFVKNTHCDTHKNYDLDVL DVFELQKDQDDNRFKKDIGNRMLLWHGSRLTNFVGILSQGLRIAPPEAPVTGYMFGKGVY FADMVSKSANYCAVTRENNTGLILLCDVALGNTNEKFYSDYYANNLPPGKHSTWGKGKTM PPPAQNIPFPGMPEVQVPIGKGAPSGVANTSLLYNEFIVYDVAQIRLKYLIKMKWNYK >CAK67665 pep:novel supercontig:GCA_000165425.1:CT868053:91650:95638:1 gene:GSPATT00036445001 transcript:CAK67665 MLFMQYYNSIASDLVIQKEIHKTTVLPLIQEDQIHNIDDLEITLKIECGQYLIGLENNYL NKCDQYDLMVEIKLKNGFWKCYKQPEIRLNQSLFIFLIMKNKCIQTDISYFRCKTDQTQT IDHDFEQASLQQSYPRMISIKEQFLQLQDIYPYDIHLNYEKEDSIQFQLQLKSFLDEQST SQIVLLIKGPAFSIDVLFNSTFLQDEKQEILNLVSECSNQNLLETIIQLETKLTEMDKEF IKRRAVNQFKFVNNYQQVEQYNELQITRGISNVFGSVSEELDMKQSVLGFDIIKDHLVEK YDNTEPQFNPVSTALPIEQLSQLQACSFSRFQQDFDEIQLIGSGGFGKVFKSRNKFDGNY YALKRIVLDYSNKKLTEKTKNEAVLLSRIQHPNIVRYYQAWTEEYIKDQYENIEDDEEFV EDSEEESEEEDPQMLRKGSSISQEEYYEDDTQFEDQENNDMDFQIEWVNCDPQIQEESIQ TPEVKAHEPKQNSNKQLLYIQMEYCTGDTLKKKIDERNLTSEQKKKIIRQILDALYYLHK NNIIHRDLKPQNIFLDGDLNVKLGDFGLATEMKQEIKFIDHKLMRNSSTVQNNQSQKLSL TSGVGTFLYLAPEQEQSQYDSKVDIYSLGIILFETYYPFKTDMERINYITQLREQCKFPK DFDQKVGMADNEIREKITALVNKDPQKRPTTQSLLNEYKDKRVQQVIRSIANPNCPDFNE LVQTLFECKQLKQQNNFFTSCLEEAISQSPTLFFKNFQSGIIELYTRVEKIFKLRGAFQL NLNPLIPTQNTLKFSSIFGQIEEYENCPHQNSSFRYITQEGELVQYCQNLLQPVIYWLKL LPLNNLRRYTLGEVQHSDRLQLSKHNCASYDIISEQDPGPVKLIELLSISRHVINQFHNV NNFELKISHTQFFELILLELDFNSQTVIRMLRHQTILQKIAKLNSIINRSQQYRDLEKEI FVILSEEFNFTDDKTDKFLGFMKLKIPQIYMNETHQQMKNSESGRKTQEPKQKRTLREQL KGYFTKQEARFQQIISEIEKFEELAKETKVLCDFKIVYEVFQMNELWYYYSGICFEFVEI TKELTNLGKNMQLEIIHSRSEPVPKNNCLKRHQKGNIDSFIIGGNYSHYINNKDNGKHAC GIQIYMDQFYYRKFVNHLNNNFSGRVQKTFDLFNWPDSLINFKSLTLSVLIVVEDLSMKQ ALDLAVRFWNHGIATMIVYIKSINNSAWSYKRSVEIKLSLSKKFNEVKCIMKKYREKKEE EVPYPEIFQKTNSYLREYVKNESVEYKVSKRAQSKQKQ >CAK67666 pep:novel supercontig:GCA_000165425.1:CT868053:95666:96836:1 gene:GSPATT00036446001 transcript:CAK67666 MHLKFYLVIIQFFNKNLKKEDQQWFQKYLSHYQSRTGPKNQVLFDGEKRNSIRTYNDNVN NRQGFKIGWDVDQTRKEDLKIAGKVGQDQRNNNNGNAYQGNPYQAQQQKQDDDYMKQMYY QEMSKKQEQAGIQHKQSEQYYQDSLDQYNNGKPYGNYNYNNQDNLNHDYEHQQPQLLQQS NKYDHYQQPNDDEALYQKYKQGNSGNKSYSAKPPVAQYNPITGIAYANPNNYNPDAANNY QGAAHQKTNPYEQPQQDDALSQFSQCIRHSLNHLVQQHKITSIKNKSSNIFNTDLQETEN INNTRQNSRILNRKKELDDAQYKGYGQFYKTPIESAPNQYKEQKFVNRNKVDNHIFPK >CAK67667 pep:novel supercontig:GCA_000165425.1:CT868053:96938:97184:1 gene:GSPATT00036447001 transcript:CAK67667 MSQETLNGINGILSDVQGFGQESYRFLRKCTKPDKREYIKIATSCAIGFAVMGAVGYFIK LVFIPINNIILSAN >CAK67668 pep:novel supercontig:GCA_000165425.1:CT868053:97190:99271:-1 gene:GSPATT00036448001 transcript:CAK67668 MGISFQNLSSLSLCINKISAKAGIASRKNQRNFKSYLLNRIQMLRFPGYQDQNKQSENGL HIADLEEGITEEQLFVEFRKFGQISFVKLHRYPFIGKSKHYAFIYFSSQEEARKAKEAMN YKQLLREPMRITYIQEYEKDANLFFAGFELTVTLKQLEEFFLKWGQVVSVKLSTDENKKS RGYGWVQYEKKEQANALLADSTDGTIAYNEKTKVIVKRFVKKGQTDREDKRNNLYIKNFW PSLENYDLDNAEVRESLEKEMREKLNEWFSGYGSIVSILVKIDVERKAPFAFVSFNRHQD AKEAQRTLGTTQKRDPLSTGRMMYVGWAQTKTDRKQQRDNNVFAKYIYADHLSRNVTEEM IRTTLKECGYGDIQTIRLEKMQQGFQQIIRIGYIVFDQASDANKLVKNFKENEKFEEIKK LFDPSVETAGGKYFQHLFPQQSQQRGQRRNNQRQSPNRRMYSMPQGPTPFGQRMPFPPYQ MQQRMQGPGQMRRPFPQQIPGFTQPIRPNIPPPVQQPEAQKQAPPQAVPFNQRQDLIDLL ANFETFKAKSQDEQLRQIQQMLYYRIKARLSTDTEAHWKRISEILSDPTNYTTEEIIDMF KEEEQFNSFIDEAIEQLKEASCW >CAK67669 pep:novel supercontig:GCA_000165425.1:CT868053:99801:101207:-1 gene:GSPATT00036449001 transcript:CAK67669 MQSMCVFAIPQFHVLTSLIGLINFILQMENYSEQQLPLTFGILQFLISMIILGLAFVRPR YAINKTYIICFILLFLGISLYLNIANFRKESLILMILQYLSIGMICSLVILPNRMIGLAI QIQIYIVAVVLDLMSFYFYYILGKFNFNRAISIVGSGGMEAITLILCIVCQVYPQKRLAD ETHLIVGFYIFCELFVSCTFVAECANQEANIALIGFTILKISNIFLDMILYSFVKLNYPE NQTRSARVDIENPPQKLDLRSVATRETLSDYSNALQKSVQEPISLSKKGLSFGVMDQVFT KYILIYLLRYFNIYKFVAHKEEDVNVKEYLNDLLSQKIEKMSTIEEADLILDALMKTIED LDGQIEIKKKKVNKELETALAISEINLGKKTTEKQEIADELRQLKDEYQKIKAQLK >CAK67670 pep:novel supercontig:GCA_000165425.1:CT868053:101573:103443:-1 gene:GSPATT00036450001 transcript:CAK67670 MQPVQPIAEGQRTRTIYTLIKDQKYKDAINYLNYELQFCPKSRALSLLAYCHYMNQDFSQ AVAIYEQLVKYYPEIDDYKIYLAQAYYKDSLYDEALKTCASVENPQYQGKILQLQALIRY EKNEFQHAKTLLKQNDMDDPDSIINEACILYKENKFEEARQRFQDAMNLTGYSCELSYNI ALCYYKQKQLAQSLKFIAEIIERGVREHPQLGVGSNAEGIEVKSVGNSQALKESALIEAF NLKAAIEYSIKNYAAAKEALIDMPPREEDELDPVSLMNQALMNIEEKTAEGFKKLNHLLQ NPPFPPETFSNLLLLYCKYGYFDMAADILAENADLTFNTISQDDFEFVDALILTASSPEE SFRKFQLLANKHIDTLRRITKSIQDARLNRDNEGIKKSLKEFDECLEKYIPVLMAQAKIY WDKDNYQQVEKLFRQSAEFCADHEVWKLNVAHVFFVQDNKYREAIRYYEPIVKKNNDNLL SLTAIVIANLCVSYIMVNQNEDAEELMRKLEAEEQKSQYQEPEKSVYHLCIVNLVIGTLY CSKNNYEFGVSRVIKSLEPYNKKINTDTWYYAKRCFLALIEVLAKHMIILKDTSYT >CAK67671 pep:novel supercontig:GCA_000165425.1:CT868053:103922:104464:1 gene:GSPATT00036451001 transcript:CAK67671 MQEYLKSGRSLHFAQQQDEFLNKKYSEFDPASFLNNFDALPDKNLRFKTESISLLTKQAD QKKEMQKITDPKILVLPKINSKFIRDEAVEEVYFLPETKQNYSKANRLILSNNMLQPSTY RISKSSRNLNQLQAKKKRVEFNTALEIVDFEGRVSTEIISPEQQAFKKSARFSRLKTLEC >CAK67672 pep:novel supercontig:GCA_000165425.1:CT868053:104922:107800:-1 gene:GSPATT00036452001 transcript:CAK67672 MSSVCDLHDSDRHNVLGTIQSDCVDRSLVQNIQQYFLALWVSSSSRTYQEQESSAENYGP FYRTNQFILAYQNEDWVNVFQKDGLKVIYFLQNIIRNRKVLIGGKNTTLDDLCYRPISAK GCYVPSPMDIWLQDPSLLEKDEDIQFTTLCTESIDVNQTNIPCSDKNGIPIILESVFGGI DCEERANDTQPCDHCYIQAKTMAVTYLLMNDEFTKKDAEQWEKEVWMDTLDALNKREYAK LYKYYDKTLMPAPREQLLDQYRVAFMAERSVSDEIDDETNQNAWIVVVSYFMMFMYIGFA IGQFPSKIYNGFTLGLGGIFIVAVSMISSIGMVSYFSIGLTMISLEVIPFLILAIGVDNM FIITHNYKKQKHPTVPERMGHTLKQVGPSITIAAICETLAFLVGSLTKMPALQSFCIQAA VGVFIDYLLQITMFVAFLTLDEQRKKHKRYDLMICKQDPNYAIKEDRKLIQTFFKKHYSP FIQKPACVITTIVIFVALFAISCVGITKLEVGLDEQVSMVEGSNLFNYMTLEKKYIEIGP LAYLILENLDYQNQHDLELVANLSNSLSQLNETVQPPIYSWVASFNLFIREKAEWTQACE TQDIALYDLPTQLKRFLGVRINSPCCQRFGICGETFEADIVLNEQGYVKTSRLRFQHRPI HNSAGYILSLEQTRQVIDKVVKDANLKENQKVYPYSMPYVFYDQYSYIRAVAITNVLLAL ATIFFTMTLVQDVVCAIIVVLFVFLIAFNLIGTIWLTNVIFGGFVIEINAVSVVNLVTCI GLAVEFVAHIVIKFRLCDGKRWERVTGAMSTMGTSVFVGIACTKFIGVAVLGFAPSTLFK LYYFRMYILMVVLGAFNGLVLLPIFLGLFGPQFSIMNVRVKSLINQSDGPSNSSQAKKRP SYG >CAK67673 pep:novel supercontig:GCA_000165425.1:CT868053:108389:110392:-1 gene:GSPATT00036453001 transcript:CAK67673 MTDNLDKYYKLHHPEFLSEGMQVLREYIYYCKFDFIELCFRYDQFKDGTIQEKVLRDVLV EVSKSQFYFTEFDIASILKEAPYSSNRNIDYRELYKYLTECEAPKNSFHVFKNAIIRQKQ NSASNKLFLEEIQKHFEEEVSNYFDRKAIYDFKHFNLNLLDLELLSSNAVNIMFDDVVQE KMANFSRHNNNIILENFQILIAKKISVQALPQLAFQFIAPEKIEMALFDFLGIRRNQKMF PFLMKDQFIQLIKVKLYNVEMTYDESCLLYYLIWKRNNFGEFNLLTFVHFIMECRPLYFK EIIKMYDAKQPHLEIMQLPKILKEFQEMASKYTTNMLAQAFKPFQSDGLCTLENLKIVIR SLEIGYTQLDFNEFRYYLANHGLFTLSKQQQLLLKVQEFLQTLVQENFEKHDQTELTDLE KAYQTLFKSIMLSILLRYEDKAQKMMQADQLIDEVQLRKIINEDLLLVDQASVTMIIQSL EHNKEPSYQQPLRMIYFPELIEKLRARFNDEIKLPAPQLVPYDKPGPSFKELEPTFNYKD GQFVRDPDKAFQIKPLDDREVLEKLKYHLIQQFKITGENEYEKSEKAVYQLCLVLKDFDK IKCGNISKELLFEILRDLTELSIQEINNIMDFAMGVSRVDEDSFSYQFFCVQLNEFYQKH QLILAKR >CAK67674 pep:novel supercontig:GCA_000165425.1:CT868053:110759:111901:1 gene:GSPATT00036454001 transcript:CAK67674 MDSSQQISMIKLDLDVLILSNTQAYLLELETLATRSVIYREVKDWQQRAYRRLTKLIRIQ NKQFLLSAIGEEGLELYSVEGRNITFIKQFQTYKNIVDFAEVNNNVYILDSEQGLIWCYV ESANLTTQITKIDNMQGGIAVDSYNGVNVFVAYKWVRYAVVEYIVNQTSKYWYKHNMIYT KKIYDVDALADFAIIQGQYHHLVLFNYHLSDENTYLRHFRLSDFQVYYHEDKIYFSGITS KSYVFSELYVLPAQIICFSSHTPVSFSYTLQYIEQITKSKYQRHHQTIQIRVVDTYVYED QAFLVVLGCLLFLILFILLLGFLIKKNKSMQAQTQELENIIQTKYKQDVLKKEDDPPQII QSNLMSVRESKDIEHLNIQQ >CAK67675 pep:novel supercontig:GCA_000165425.1:CT868053:111912:113547:1 gene:GSPATT00036455001 transcript:CAK67675 MNFLLISLITQFTRYSLAFTITEVEEPLTSIKIHANEHRTLNLDDARDAILLSYNHSRGC EVTPLQLQFETQEFRIIQPYDFTEKDFESDTILNFRQFVSMVHIKDGMLAITSDSVAYLL KFNYNTVFEHDFEEKGQKFARILWKADLQTIAPSLVAKNELPQLLFSPSTNLAFLLFSDT AQLFSMEQMESQTTSLDVYQVTDWRKRGYRGLTKEIDGLVFSAVGRDGLDVYKIVQKDLR FIKNLKLKDFGLNSTIEIVDFAIVKHGTADGYYSMFLLDRECGLLLIDVHRDQYVNFGLR SWINFQSGGISVDTRNGRNVFMAYQSMNHHYVLEYYVDLDNGNSFSIRKKRIDNRIIDLD ATDDFVIVQGVNQHLILFSNGYDYVMNNHQDQVFKHLGLRDFAFFNQSYKLEDMDDIKKE YQYDDFFFGITAQSAFLTRFQMQPIKLKCFYHQSDVGQSFTYILQYNTTVEGVSDLVVRH TQMVNIEIIKTYFYEAEYYLLYSVCFLLGSVLLMGLGYVGYWFYNFGGIY >CAK67676 pep:novel supercontig:GCA_000165425.1:CT868053:113660:115277:-1 gene:GSPATT00036456001 transcript:CAK67676 MQQQLEQSVRRLGDYQFDTKYLLGEGAFGKVYRGSKLTTNQEVAIKKIDSSMINKDQYLI DALNFEIQIMKQLDHPNIVKFIDKFNTDRSIYIVTEYCADGDLRNIMKGRRIPESEVNQI FCQLASGFKELVKANIIHRDLKPANIMNHRGVVKIADFGFAKIVDNFSGDLLRTCVGSPL YMAPQILKREKYTTKSDIWSLGVIYYEMIYGMAPWTGVDEKSLTMNVMKQPLRFPASTQL SDFGKGFLSRALEKEESKRMEWAELFAMFESEQNLGAIQFNANMNGSYILDQPQPQSQPL VQPQPLSQQIQQSDASSQKFLCQLNNYNLQRMQINFSHFVNVEMTQHISSIAQYQKKEMH FEMLCLLSAKFTSNSFKLLQSNVLALFEQYKRTAEYIRFISQLQQESQLASELFQNTMQY FDRIGLLSNLRLSADFSQFIEGGDLGLNQLISVEKIIKERVISISKQVLQSAKENDVFSL LDYMMEMCLTRSKIMNGNTNIDFSLIHEKKQTSDQQGQLNFKLNELYSY >CAK67677 pep:novel supercontig:GCA_000165425.1:CT868053:115300:115791:-1 gene:GSPATT00036457001 transcript:CAK67677 MINSELNQFSKYQGLSTYKPKTQDRIIESRNPLDYYQQNKIDTLNWDHSLLQTKQPINKE LDRINSLAETIFIRREQQKQDHQQISEFSQSKIEDVKEDFKMLELKNNRKVQELANIKNE LLDIQCEYMSRSQLRLQLSEYVENKKKMGDEDQVLKSIKILQK >CAK67678 pep:novel supercontig:GCA_000165425.1:CT868053:115870:117357:-1 gene:GSPATT00036458001 transcript:CAK67678 MNKYTLNFKDKEIESSYQNITQQNFRVFTLTIMTLGLLAVAITKIIESILLKEYQTIQKY CLFICYLIIQYCIMKKHTKYIRFAIILLNHLISVFFSLQVSEVDDSYNSFLKGSNVMGAN FLMLISGEFLDAAISVITIGIIKIILVQVSTNSLLYSTIISSVLVIIYTIRYLYHFHKAM RSQFLLALNDSHWEKILTSIAFKQPYLVLSFIEDNMQFALKQEAQCHKFFNRLFDGSNFI RDAMYKGNQLEKFLFMQIQKYRVDRASVFNKTLVVEYFRKMIRIECSIYYGNKPTILLLM RDFLNEKKPDTSIYEQRHRNFIKLLLKVLGHIDRLSSFKCRLIERKLLILQLYEDLRLSK LRESEINIYNLAQILHNLYSHLSIKAFVSGNSNFNTISNIFLLILLIIAENSQGLQISIC LTNEEENQRWLHISGNFDKEKVKRALRQISDYINLISSSQIVEQFKIQLYLNQYILIPFQ LNELNVRTRKHLDLE >CAK67679 pep:novel supercontig:GCA_000165425.1:CT868053:117446:119216:-1 gene:GSPATT00036459001 transcript:CAK67679 MGICSSQSNSNQKNPRQKQQLKIILPNKSIDEPKPEIQVQNQSNREASAQNTPAIQNSQQ SIHSPVNVVEDKRKSVLSHGLSKQAEKPLKQNSSRNIRSGSLRSLNIPGSPSSSQRGSLQ PGVQFFSTNASKKYSFLHNKECQRNPELSFIQGNKNGKKARLEVLSKEEQDSIKYIYWLK SNQLDHKHILKNLEVHQDNNAYQLVLEDYDGWKLSRLQNSEDLTEIVLANIADQMFQVLS YFKNLSINHGNLTMNSWEYHFISGQVFIKLVDIKSISTKKVEDIDVICYMPPEQLYKEEF KPERDLWAVMLILYTLGKGSLPYQIPPQQLHDAPSVKQLMLHTTFDVEADIKKFSKDFIS ILQSVVAEKYPKKRKTLEELKANKWIVDLKYQEIPLQERLLTNMLNQKDSCLLQQAILEI MIQEFEQETALQLQKIFSEFDGDMDTIISKEELIKMFQKYTKLKDLESQIDKIFVSHSLK EEQMAQFTFLSLAAPRDQLLTQTNLETVFNLLSNNKSEIHANNVTKYLNVINEELEAQFD ELAPEKKLTLDQFIRMMELLR >CAK67680 pep:novel supercontig:GCA_000165425.1:CT868053:119325:120340:1 gene:GSPATT00036460001 transcript:CAK67680 MAVSNNYCHKVQSNTSNKPAQLQNYKDNIANPIGMIPKEHEIKYNDYQAQQLGRWDQQRQ RSVSSKQEDKIKSLYLQPEPISINQNNLYYSNFEPYPLKQPNQGYKSNQNNNIINNNQYN ANKVFSQNSQKRPQELYDQLDIALNQQTYHSQKVEIRFKLFDLFMNEIKNPNHMVQLQNS KPPSKQQEEKEEDSMNCTQASFMKTQNSKCIQCLQDIYEKKINLVCWHNYHTECLSQIVG LQIQLSDVRNLPKCLCGIKIKQKTIYQLQNGRKLIEVLMKKQLDTIFKQHHNYFKKCPKC EFRFLLDKRLGKTCYCDNCGSEFKIQ >CAK67681 pep:novel supercontig:GCA_000165425.1:CT868053:120403:122047:1 gene:GSPATT00036461001 transcript:CAK67681 MVKRSKEQFFKKKMNTKFKASQKGSKSGASTNPDRKLPEKQLNAKHQFFRSKQTIQRLNL YNEKPNKEEMWKQATQPARIDPNRKWFGNIRTIDQQQLDKLRQEMANRTHDPRSVLIKAK QLPLSLLVEAQKKNKNVPLLELESYEDTYGPKSKRKRIKLNVETMEGLADHAEQKEQTYA VEKDQKLNPVEVVQQESRDKRLMAGQSKRIWEELYKVIDSSDVLVCILDARDPMGTRSYH LENHIKKNCPHKHLVLLINKCDLIPTWLTSRWVQYLSKDYPTVAYHANVNKAFGKGPFIN LLRQFDKFHRDKQTISIGFVGYPNVGKSSVINSLKKRKVCKAAPVPGETRVWQYVALTKR IYLIDCPGVVYQHEGKDDVEVVLKGCVRAEKLEDPEFYIPALLQKARASDLKRIYDVDDW VDEHDFLKKVAVKKGKLAKGGEADTKSTAKLILMDWQRGEIPFLTYPPDYVQKEAVEENV DMEVLEQQQIELNDQKLQEILKQN >CAK67682 pep:novel supercontig:GCA_000165425.1:CT868053:122077:123081:1 gene:GSPATT00036462001 transcript:CAK67682 MISSEREYLNVKGQQYQAIHSKRFNSPGEGTLSISQMPSLPQLNLQAKQSLSKPLSKDFS SMSSIHLKPLICKNKSCIYLVRNLEDKILKCILRIKEIAKENNLLRKKLQMDQVIVDQLI PSTHRSQKSMDFNQLPDDQSQVIRSNIPSVHETYQFAKFHNEIVTTKLNKQIKDIFNNLN YAMQQPILDLNWIKNNQLSNSQIQIHKCFKCSNNSNKHMIELECNHFYHKTCFCEHIISS QNQVNIWCLCKQKINELDMLQLAKTQSIIIQEMKHIQQLCLLIKSPDKFSFFKCELNSCA FIIGSKQENPSGIQSYCQSCLEYRQFYKQEQNLK >CAK67683 pep:novel supercontig:GCA_000165425.1:CT868053:123131:124314:-1 gene:GSPATT00036463001 transcript:CAK67683 MNPKFEHYSFHSKNGIHKIPQSEQCQTQQNNVSFDTNIMRTYSLSNPKGYYERWNSHTQV EVAKKECNLTNPLIQNQQVESEFQINVENILQFNQNCINSLNFLVNGSELMEFNRDLKSN SEIAQKEDDQQYCKYDRNYESHNTDIIVLATPPQKNKLKAVGNMFLGLWRLQQNVVEPIT STEQFYDNFGSTQRHINTKNAYKKVHPATDSQEMRLMDLFNQFKLVCLFTQYSMQGSNED IQNMIKILQRDPKRNLTNPQDPNHIINSLNQYGQNALYIASKNGNAQVIKFLLSLECNTH IRSRIFEDLTESPLEVSSRWHHLECVRLLLAADKYSNAELRSATKATQNQEIINMLKRNM SSSLFSCCL >CAK67684 pep:novel supercontig:GCA_000165425.1:CT868053:124386:126246:-1 gene:GSPATT00036464001 transcript:CAK67684 MQAYFNLIMNKTFFFGGPFCIKYGINMVSNPATLMYSPIFFIGYGVCYSAYVYFEGLRNR NLSEINILALREMSLQTYEHLLYLDAEFHLSTSSRSNIYSLYKAQKGIESNLRSLNQYFM PLLADISLTTTILCFNFGWPFFTSFLATFVAYSAFTIRYSNYRRKIISQRKKQEKLADFT ISQALDNLFTVKYFSAEKYELKRYNNLLEKLQQSSIDTFRTLATLNAIQRLILSIGLTVN LVLGVSGVQAGIITPGDLILIQSLMLQLIQPLFLLGTMHREWVESAIDMKDLLQIMTRVP KIQDLPDAKDFMYKTGSINIQDISFEHTENKQLFKNFNLQIKGGEWIVIVGESGIGKSTL FNLIFRLLDPSQGNISIDDQNIKTLKLESFRKYFGIVAQQSYFFNDSIKNNLLYGLDLIY DLTPEMIKQKEEEMKRLCAQLKLSKLIESLPNQYDTQMGDQANKFSGGEKQRLQIVRCLL RGAKILLLDEPTSALDQNNEQFFIETLEQVLKIQDLTVLIITHRLHLTKVADKILYLGKN CQYEYGTHDELIKNHQSYNKYWEEYQKQ >CAK67685 pep:novel supercontig:GCA_000165425.1:CT868053:126512:126935:-1 gene:GSPATT00036465001 transcript:CAK67685 MDTSIAGFTFGADELAINSSFSAQKHPRISKCSPFYRMNKPQTEQKPRLRLHSPNKENEL MQIRKPVRVTTSPHSQHHQMSYKEICAHLIQLFKSQTKLYQQLMIADNVNIRNQLQKVQL KHDYYCKLYNQQ >CAK67686 pep:novel supercontig:GCA_000165425.1:CT868053:127045:128049:1 gene:GSPATT00036466001 transcript:CAK67686 MDEQYSDLCELVLDQKLEVRKQALMLVLQYAQTEEDRKNFLQTNLVFNLTKLLGDEDVMT SVLSVIIQFAIDEFYQEQFLKLHTLQRVMEIIKEKMLNFYKTKQTNEQLELTIQLGLLAL QNITQNEEAKEALLQLQFNDINRCFYFKLFCNFFVDERSVFMFANFKQVLLNVTSSSNVR SQLIKAEMKMMDFFCKLLETGELFAIQLFKNMVFEYEQQEALDQIIQLQFIDKAIDFLAN DIVINHEFFDLEEGELAFQLAVMKLKKQFNKTEFQSRVQEAVKCLLIMTNIEPNLTKNTY NKARLDLIIRQLRKLQFVDKDQLDVIDTVYIQAQ >CAK67687 pep:novel supercontig:GCA_000165425.1:CT868053:128082:128807:-1 gene:GSPATT00036467001 transcript:CAK67687 MNKAVRVRYSSLDESRTNTKRYQIVYTVTDQIKTQVNNLKLNHHPLSYYISRICKNVKEM RRYRTDAYIRNQLTKIYAQTIHLKEIHDVFKVPIQYMSEDLILSRCTLQLISQMPHQYAL RPQQQLTHFMQWMAYFDEQVYLEMQRIQEGYLLQIQRNIQEQYTTNVIKQQQIKHQIHPR KKDMYNYVNRLITKPSFRTMSRISVLEDYETKFGEFQLITPYARSYAKQLDTISQNLNTI E >CAK67688 pep:novel supercontig:GCA_000165425.1:CT868053:128831:129710:-1 gene:GSPATT00036468001 transcript:CAK67688 MPELLNMALYSRYQSHTPNFQLRSSTIIQFQDQVCYEENEDFYTVQNSKKKHKQLLEYYR YHTDIPRFFIKKITSLLETHHEKKREIKYNKIKFQLGMLVVEPEDQHFSFKALQGLTDLQ TSRQLQQLLKLIQSNRFDTKNIAAIIKEQKQQIASLNKKEQLKKILNIEIQQTITKKRPT NHTLPTQLTQPTQNTQNTQYTQYTQHTQHTQPSQHNLGSPKNHKTKMIGTEIYGNVVKKE FYKRWSDVLSLRQLEFHKNKMKSSLLKKKISLK >CAK67689 pep:novel supercontig:GCA_000165425.1:CT868053:129762:131358:-1 gene:GSPATT00036469001 transcript:CAK67689 MSQQVQWPVYLENDVCDKFWNRGECVLDGEILITQQLSKTDRKNQVIKERTYILTGGGML CYQKNNNIRWLLLNYQMSAKLIDLKIQNKDQDLVKVIRVKRNQQVYSYFWNADLQKFKND SSVSKLSPSSNDQQHFAFAKILNQLYTIQDLMGKGGYSKVYKLCPLNKQSFQNQYYAGKV YNKNELAQKKNLSCLAQLVKAECEILKQVNSPFILTLYEIIQLDEQLILITELLRSGSLY KLLKEKVRIQECEASAIIHRVALGLQAIHSLGYVHRDIKLENILIDKDQIKIIDFGFAEQ INREFLTSGQGTIGYMAPEIFTHTPYTEVGDVFSLGVVYYLLLTGKPPFKGLNQENVIRA NKKCEIDFSEYCFVNVSQKTIQLVKGMLQKNPKQRSSLNEVLQNLKSQNLLSDMVYRSIS VEGSQLTKQGGMRSLYLQSQCSQESPRMQHKQSNLLNITQTLEKFAVKASSFRPSLQNIE NYSFDSDDLEDNSLPHFVLEFLQISNLILNQSKLP >CAK67690 pep:novel supercontig:GCA_000165425.1:CT868053:131493:132220:-1 gene:GSPATT00036470001 transcript:CAK67690 MFTGTISVIYGPMFSGKTSELMRLVKRFTISERKCAVLNYANDNRYSDDQCISSHDKQYL KAIKVTKLFDAFEKCKDYDVVAIDEGQFFCDIVEFSEAMANLGKIVIVAALDGTFDRKPF RNILSLIPLAERITKLTAVCWFCKKENASFTKRTVQSQEIELIGGEDCYKPACRACFNLT EDKFEKHTLSQIPVYSNDERLFGQQNLIC >CAK67691 pep:novel supercontig:GCA_000165425.1:CT868053:133232:134988:1 gene:GSPATT00036471001 transcript:CAK67691 MGVCASKSDPKKVKKQKPQSVTIPPQQTLTTREHKTTTIQFQEPSTIQRGTTSLHRASPN QSITPIQKVSQNNVTTPVQRVSPSNHPTPKTMIIQSTQLQERSRNGSMLNIPQQRLSTKP GSKSIQSPASRNYSVVSRQNHKSQSKTVMQHNETGQLVLVEMIKFENRSQQFIDMLEELR LDQMNIVKILDIHMDSHKRNHQVVYEHCQGGHLSKFLDSNQLEYQTIGSMFYQMVEALAY VHSLGYSHDELTIDSFSVFDDSSTPFIKLSEIRSIYQFMYPKNSLLYEPPNSPNHHHHHK DYKDHSKPQNIQTKNRSQSNDIWALAIIVLQLLTHKFPFEIEEIHKFKAEEVYAEQEQDI YPLLIEMLHHNPNERITLEKCLLHPYLIKMKQVQPKDYIMPFTNIIKCKNMTYLHKCLFR YLLTLYASDHLKVLNKLFTTADLNKDGSLSEDELQPLLNEQPQEYSLQISDFVNITQEEF LLFAADKQQVLTQDCLTLSFKALSKSQNIITPKLICKVITDCNEEQLQQDFDTYNLNYTV KFTKISYQLQQKEYETFLINYQSPQPIV >CAK67692 pep:novel supercontig:GCA_000165425.1:CT868053:135208:139063:-1 gene:GSPATT00036472001 transcript:CAK67692 MKSKELWTSAIDQPKDANSNITNQVFACAFLKDGDIFVAAVGNALLLFDTYKSDMLCPPL RGQHKETITCLATSKDGNKLVSGSQDKTVVVWMYNSKKSDRLLEPEKWFSHSDAIQCAAI SPLQQQIFTGSNQEYSLWIPGMSNIERQKFKEKIICCAWSADGQYVSFGTISGLIVITDR QAQNLKEIQTQKGGPAWCMEWSPINSDNQQSQLTVGVWMNSLYQFDQNGQQIGARIELPF DPLHINFQHNGEYMAISGNNSKVNLYTREGGFLFEVCQQQDWIWCTKIKPKSTLIGCGTN DGQIIIQELISDTVIGLYNDKFISREQLTDAIIVSMNSNQKARIKCKELVKKVAIFKEKV AVLCGIKVLIYTCVIKGDDYMKYKQFKKFAKRVDCDHFQLSSSNVLIGGGQKLIAFNFNG DMDRTWSFEAPISFVSVQGGPPKRELVLVGLENGGIYKLFLDNSFPIQVHKVNTQIKYLT MSQTKRKLALIDGNSNLQVLDTITKEVLFGEMSVEGVAFNEEFEDLIAYSGKGLLFIKCI AFQALNQKINGNVIGFKGCKIFLFDGSKPQTIVIQQTANMQKYLEKKDFQNALKIACLGV TEQDIRALGIEALIAGEFDIARRCFLRNKDYQYIDLLQKYEKRNLDAQTLNELNAETLAY QGKFIDAGNLLIKVGLADKAVQLFKELKRWDEAKNFAKKGDVAFRAVTSGGRTGTGVRAP TSQGRTGTSRGQAVIPQPQDIAAPKIEMNMLLREEAEWMKESGDWKAAADLFVQCGEFKK AIDLYGQNKNIDGLINVCRMMDRQDNTDSIVQCANYFKKLKHHGGAKEAYLKLNDLQSLM ALHVEFEKWEEAFQIGRSNKQLLEIVKVPYANHLLQNDRYEDALKAYKSAGRYDISMKMT KDMAKNCIEEQRYHDASQYLWNLAIDCLTQIADYHNPSGDDVKSIRQYQDYSDLADVYFA YQKIHSFIGEPFQPLSGENYFLQIMNAARFIISKWKSTYQGIKMSYVYYSLAKCASQLGC YKTTRICYEKLNSFKVPPEWSEEIDLQSMLIRSKPYTDDESRLPFCARCQTSNLMLNENQ NCSQCNVCLHPLFSSFITFQNLQVVEFKVDNALSREDVEKLLNTEKVITNKRPGQLFQDK MNEIIQQQQTSQDQYLAVELDEATIKSLSPEEVLIVDLRPYCRTIDVKYYKNMDSSQPIH VCPDCTRFFFIDEHEFEYVQKKCCPFCRTTDRKIPQKDIFDL >CAK67693 pep:novel supercontig:GCA_000165425.1:CT868053:139145:140105:1 gene:GSPATT00036473001 transcript:CAK67693 MGNYCSEICNEPEIQVLRLSDLECTPQSVRSRKSQHQKGYFTESKEPVLHLNNNTEKQRR PPYRYTTGGVYEGEWVGNKREGIGIMTWPDGAKYEGEWKDNRANGNGKFWHLDGDTYEGE WKDDQTHGYGIYVHVNGAKYEGYWKNDVQDGYGIEIWADGSKYEGFYVMGKKDGQGKYYW PDGSSYQGAWSENNINGHGTYQWQDGRQYVGDWLDNCMHGKGCYKWKDGRSYLGEFVQDK RHGFGVYEWEDGRKYEGFWDNGKQHGEGNYYESNGKMRRGLWEDGKRIKWLDE >CAK67694 pep:novel supercontig:GCA_000165425.1:CT868053:140128:141654:-1 gene:GSPATT00036474001 transcript:CAK67694 MKRFDLFYEFSNQQLGQGVFGQVYLAKKKTTQEQVAVKILPKNNQLTLSEIEILNELVKH SHPAIVRIIDVYDQGTQVYVVQEFCNSGTLFDFMKKKPQYLGEEHCIEILEQVAQGLDYL HKINIVHRDIKPENILRTTYNGQIYYKISDFGLGCIGDVKLTSKFGTAYYVAPEIIDGRA ELYGYDKTVDIWALGLMFDELLHGTPFFDGHTEDEVFIKIRNEPYYPRKHEYSGSRLPPR KQIVANILMGMINKEPNQRKSLPWILDLIRQYKQNVNNSSISLLNQVPFTQTPISPLLIN DLDVIQDRKSIYQQKCAIHNQPAIYRMPIKDQDIDVSRIVSACSKCLRSIGVYELDTLQK KVDEALRILTMENFDQFFTQSYIKQELKEMRVEDDQLQSKYDAILMDQQKKAKVEYTTKI KQLVNFRDKNYTSDEQWLIYVAEKLTDSTENEFVLYIKEDLAQFSEHGVEDCRHQINQVL >CAK67695 pep:novel supercontig:GCA_000165425.1:CT868053:141700:142660:-1 gene:GSPATT00036475001 transcript:CAK67695 MDYDGEASQFPDVSRFSFNPNGVLHPKPVYSQCSFTGVKKKSFSLHGSKNKGLRNLSYKV KKIIESESQTTYRFVADKLVSEDSEQKKEEQNVKRRVYDALNVLIAAGVIIKKKKFLFAT PETFKSGKYLMILIGCHNKDKWQKIKEDQQLRTKKHQVLSHKKQYLIDLVKKMLCIRHLI TKNNNNKSLPNSQNAAEAFLKDEKVEPSQVPCSQQSIQSGILLYSYVQPQTVFQFPIFAF WSKPEDLRIVIKSQKEVSLTSKRFCSFMSEVELIQNVHQSALLSKVFDNKSFVSFYLNLT KN >CAK67696 pep:novel supercontig:GCA_000165425.1:CT868053:143280:144583:-1 gene:GSPATT00036476001 transcript:CAK67696 MGNSQQKNDEKLISTVWLGVNVMIIIMLQNDWKALMKDRLSRLEEEWVMSMIKCLAKHSP QEQNRFRQKIKQVLSETMKEDRMKQIVQQSEEFLKNNEFLREDTVIRTSSGDLTLSTSYN SCISKFQQYLVSKINENQSISSQKQEIQDYETQNAQAQKFYLVFTIINEMIKSNQHPFFY MITQFQGWAKAYLNNLQQSNQNLSQLKAQVAKFGVTTNQFLSYLIHALNEYLGDISSDQI DIAQNKYLKDKANYFNFFLSVTINETLTIQLMEVLKILHKNSIVNLMGKMTHLSLKPDFF DIPKKHMTQTKPFQEQIEYLQAIQFSKHPAEKYFVLAKGYQLLRECLTKSASSFVQLQAI NYVLVHSQIQNLHAHLHLLELFYPSSPLLLKYNSSLQFLQNIII >CAK67697 pep:novel supercontig:GCA_000165425.1:CT868053:144612:146409:-1 gene:GSPATT00036477001 transcript:CAK67697 MNKLQELNAFDHTKKFKIKTDRSSQITSPKNKEIDAQDDLVKKLTETQKMQQKEIDKLLL TIENKEDQIRKSQDLIKLQQKEIDRLTHINEYLMQANQTKLSILKDERQFNQGTKPNPKT KNLKTLLPIEKKNNKITEDGNTQSVKLFRRQTFRNPTLARFHPDDGETFKNDRNNSGASN FYDILQQEDCFRTNAILNIQLSDEDATTQYNRDGTVSLMKVLLESEENFCDIIQSISAQK LSFLYDKFKRIMSDHQQLFILVLRLKKIVTGALQMNSSVLLDDALQTIIDKCVDCLECDR ASCFIVDQAKKELWTRVAKGTQTTIRLQIGQGLAGFVAQNRIILNIEDAYRDQRFNTQQD VKNNYKTKTLLVSPILDGDKCMGVLQCVNKQNGYFTKDDEALLQIMGEFSKSVLKNAMNH DAQMLIQNKLRHIIKTGIILQSKQNNLIDLILSAEDRLRSLMNVEQAKVVYYNQVLSHIN REGKLCQTDTLLGIMGTCIQDQQLVAVSNCYTSPIFNPNIDIETNMPIICMPLKSQNHQI IGAIQVINVKGIGNISSNSEAKIHSIDLDMLELFCQQCAQSLQYSKESIL >CAK67698 pep:novel supercontig:GCA_000165425.1:CT868053:146509:147328:-1 gene:GSPATT00036478001 transcript:CAK67698 MYYQIAQLSYSGLLFMIQVLFLFIIRRRSRASNQVFSEPQQLSQFAPVPKRKDASRKSSV IISSTIRKSFQQVRNSTIKLDGAEEIPSQSMGVNSNHYIKAIMKEQKMQRKKSIKIESPN STMLQVQFAQNKSHTENPDSQRDPFQELIGDQKSQSEKNDMIIYVTYYVERIGFEQGFIL LLWYLDVNFYIFIPISLFAGVLMRIQEKFGYRLIQKILLILLHSLLVLETYLIMTNEYET FCYLIVLGIETVFSIISYILLKKCK >CAK67699 pep:novel supercontig:GCA_000165425.1:CT868053:147507:149261:1 gene:GSPATT00036479001 transcript:CAK67699 MNQIEQTGKKSIIKNSEPIQISHYQIDKKKFYGKGQYGAVYGCSDIQNPDLQLCAKVLKV EDVDQLILKREVEIIQMLMPIQRENQNLIQVFEVFNEKSHGSIYIIMELCKEGDLKQLLD KRKKKNQPFTIDEAINIVAQIANGYKTLYKSRIIHRDIKPANILIQNGVFKIADLGMGRV IEDMNYAQNFTKVGTPAYAAPQLFLESKFSSKADVYSLGVIFYQLIYGQLPIQANTQPEL LQKLRNLKATPKQCDEQCPTGIVPQNVRQLIQQMLYYDEHERCSWMDVFQSDILRQILSE TNQTQTPTKTTQVFHQQKSGPTNYQQYYRVNDISDNKILHMIRILTNKSDFAKKCHKHLQ DQKSLQTAFNLKTGELILLSLCISGYRYKLIQNILGLLLNQFHQLIPQLQAIITPEELKE NFKINDSISKRLKEYIQKTLNASILQFREDECKFFNSCFQINQLQVQEMKKVLEQDDDTN YLFFAQSFQFFFTSFENSFTQNINEPNNQELLSLLKSMINLETDFSIMESLNKCPSKILQ ETNKFS >CAK67700 pep:novel supercontig:GCA_000165425.1:CT868053:149457:150356:1 gene:GSPATT00036480001 transcript:CAK67700 MKKQNSENFQDYCVFYKDKELPAIHKTDKFMKALILDSIKDQEHPLNQLIEKKQTFVPFI NERDSMGRLISSESYHRKRKSEASSLFNSPKNSHVFISKNSISNTCSIYENQLPAAKLKL KNLLGSTPENTELFQSTLLGSQCEFKQSFQFNSKRPQYTLRTHKKNNSLQNKLPQFVKEQ NNKEFKFFKQPTTPQATRFYKSSFVIEMFNQNKIAENRLQYILLMEKVQKLQTELLKNLS ANERRRTFVKLDGNRRVKLSVLLLLSRQCIQYQMLQ >CAK67701 pep:novel supercontig:GCA_000165425.1:CT868053:150715:152766:1 gene:GSPATT00036481001 transcript:CAK67701 MFFNFARFFTQPQQLDNLLTTPDCDLETILSTDGILQEIKGLGATKFADHVIKHPEMYQK MINYIIQFEDELIDKKTQIQQFLNYTLRYPFLTSEILGSQNQKLIDYLFECPEEEIQNEN LQIRQGLYNQLLSFLENDILNVTSAGYFAKAFIAIIKKRGFDVWATIVKNRAILSNLIKH IDIKHIAEIIEKLIILDTSQENYEEKFLEERKELLERIIKLLKHKSYNTEIVDNVCDILI EITTKSMSSLYYNNGEIIPFIDIIKKPELFLKIALQTQRIGPYQLLINLVEMQSKETKKE DDDEVVHNLEKDYSIFESILPELPPNLLNQDYHTSNFINSNRSSIQPFGLARMHLLKLIQ VLVQTNDQKIIKILIHYGLIEALQVIILKYESNNQIHACCDKILRAIIDLNQDDFTLDVF ENNNLLNYIVQNHNNENSKKGFIGFLTSISNYLEDKGKQNNKILKFLRQNEQWQIFADNI LNQVNSKEKPFLCNANPRPSQEPDLLDEQETDNILNILRKFNNNFQKNDKHVGNNENGEG VQRNTEVLNEFEQDQQEDTAEDEDVQEELEQQNDDDQNEEDEQIKQEYNQSNFWRLDPNE KDAKELLDNFE >CAK67702 pep:novel supercontig:GCA_000165425.1:CT868053:153057:153850:-1 gene:GSPATT00036482001 transcript:CAK67702 MNQKSDLIKLEEISTNKFSPELFLQCILYRSQLSLDLSYFLEQAIYKKVVDDNNNFYNLQ ILRICVVCYNYYQLLQGTNFLNKDKYAGMANQLKKIFRDEKEYLNQITQFSNVKSNTADY LRKEIQMTFEKCKKLKDAVPAEFSKIVNYFRDTKKGDLKFEKLQTQLDATNQINYDIYSY FFKLYYQQEWFTQNQNLEAIKLQCFIIHFLKLDSIYPIELFADQTLIFVRILDNQPIDYY KKLMDQN >CAK67703 pep:novel supercontig:GCA_000165425.1:CT868053:153900:155787:-1 gene:GSPATT00036483001 transcript:CAK67703 MEQDQKVEDFVIKKSEFLGKGQYGVVYGCYSEKLKDQKLCAKVLQAQIEKGLIDREIKIL EQLNKVDNPNLVKIYRVIKEERQIYIVMERCTGGDLKQLMDQYQLSKKSFTLEQIQDIAR QIILGYKSLFDIKVIHRDIKPANILIENNGNGQIIVKLTDFGMGRMLDDITKKQVLTKVG TPAYAAPQLYLEDQYSSKCDVYSLGVILYQLAFNNRLPFTAKTIPELTQFLKDLKVKPFQ CPELTQEGPQQTKKAIQSLINKMMQFSEEARPGWDDLLKSELFEMPAKPSNFDSQGEMKL LDSIFLYQQQSGQQQYFNEVKFRAVCKFANQNKITKADRINHLVSLFLAKAELSFNLSQV LSEQLLISFPYFNVYHFELLQTCIIGYRYKILMNAFGFCFNCLDVICEEMRKMYDNQALK QTLQEYLNDHSEWTEQTKENISEFLNMSNKAFTHAENQIIKLMRTNTYPHNIQNFQKLMS IIDNTEFKIYGRWFQFFWKQDLRKILVYDKSKQYDVGYLKMLVLIEKFLNIDTEFPFEKY SEQDPQQIVLNENLTKQQLETLVQQRKNV >CAK67704 pep:novel supercontig:GCA_000165425.1:CT868053:156164:156536:1 gene:GSPATT00036484001 transcript:CAK67704 MLYNDEIYYSSEIHEDNSSTQSAYSCCIAFLCMLCGYVLLQTNSYIEGKSNPYYYQCTMT LPLTYFCGVAFLIAAAVRLVFLVVFCLQSQKQQPHSSLFHKKVKHSEVMIAMAQGY >CAK67705 pep:novel supercontig:GCA_000165425.1:CT868053:156740:160483:1 gene:GSPATT00036485001 transcript:CAK67705 MKQDDIQSPQLCDNFPPRPPNPRRSAIFKQQNAISLTKLDTRLQEKNDMLVAQIQKIVKH MPSDDKQLKAERHIYDAYYLRTIRNDIDLADKVILKYLWIIENSRIWSGFLLTLTIFYQI LTFFEKPYPESDFYQAPQVANIELFILIFLKIDFVITLVLLATKKNDGGFQFNTKRMVKM LFFLVCATDFINWKIDQTQIRFSRLIRPALMIFYSKDLRRNLKGIVKASKDLLLLLLLYV IIISTFAFIGINLIGQLETVDIDTQDYGDFFKLFNMLFMAATLDFYPDIMIPPIFQGTFY ALYFVIYIILFLFLFQPIPLAVVYEGFRKHRMQIAIQDIIKQKSAMMASFISLDSNDAGF LTENQFKKFLKTFYRDQLTDDEVKIIFSEIDKDFNDKIQFDEFNQLLYVLQNSKKISLPR TKPLKCWESLRNFLNKHGLKKFIESNLFALFMFLVTIINCGLIISAFFIEDLDVIAIFDT IDIVFLGIFIFECLIKMIGLGIYDFFVDGWNVFDISLIFLQILFDYILFSFVTGNIVQSI KANRILRIAKIQKVFRLFRAFRSIKLVGYLLRGLEIFAHVRNLLYKIIICVPLILRLILP VQIVFFTYSCIGIYIYGGIQTDDENPFSNNSCDPNEFRYLWGQCKYADFNSMGGSYLMML QVFTASSWGQLVFELSFDTKNLVTPMIFIGSFVFLSIFLLALIGGLVWEVFTVVSKTLFE QEMEHFKPEERVAMQLNFQDEALLGSSLGTEYDIRNGTQLSNAIQLQKKTAILNDDNPDI LEFRPRNVNFRANQNEKLEIPIELDIQIDTQMYSKVLKVGINPNQVTRNLKPQGDFAQIV EDELLEIQKLYCDYFIDYQEFLESKFIKESHNIYNVTSEYVVHIRNEIKKDEMFKRKHVN ISNHDLLIQNAVLRDTSEMYIKQQEEQYFKTEYGQKFELIKELKFQSKFKIESKILFSLM GILKFPKPNIKYFFQILYLIENYFTYQLLPDCSFFKLLHQMDGKWYLISIEDKQIVFTKI GAGPWTHDNLLFDQCQMRICENLKFMKEIKNAEVKTHVREFHNSMNKMAKQFELDVTKLD VRSTIIIYQIKNERYAPPDSTTPRMNGYKPIISPRYMTMSVKNPLVSQLNVDVNSLNEEY NPEEIFQMGDNVDECHRHVSQTMIFVLSKIQAQESRIVYQNQVMLAQFVLDLAGVIKNYS DNFFQQLEELYSLRSKQRGVKQK >CAK67706 pep:novel supercontig:GCA_000165425.1:CT868053:160807:161927:1 gene:GSPATT00036486001 transcript:CAK67706 MKRSIKQPASSSYQFLDKLGEGAFGYIWKVKHISSGQIFACKLVKNSMRKEKKLLQREIQ ILQILKSKKGFTQLFASGSDQQNTYFVMNLMGENLEQRRQNQGNFNKILPIGLEIIKLLK ELHSLGVIHRDIKPENFVMQDGKINLIDFGLSKKYIIDGHHIHYKENKGMIGTARYASIN ALKGIEQSRRDDLESLGYMLIYLHQGNLPWYSISDQDKEIRYLKIKKMKKALKIDKFFGL PIQLSSFMEYAKGLKFDEDPNYEYLISLFSQEESGTRLALQYFQPKPAIRLKFIQMQRTS FRSPPQEINSSRKASFEESSSAGSDIQEELKESIKLKNFIVGIKQPADSPIYVQQK >CAK67707 pep:novel supercontig:GCA_000165425.1:CT868053:163072:165668:-1 gene:GSPATT00036487001 transcript:CAK67707 MTRGSVRNQNETMFFISAVNLIFGITKSEMFTIISNAILFGLILIYLLYKYKSHRKQLIF EQVIVVYYCCFQLVLRGVPAIWFNIFSISLDQHLVQFVTLLIVIAFTFAQVQPMTEISIL QSILEQLILIITFLYKKYNFTNLKKHTQNKLLKQIINNVRYYTFDHKQNEVNGDNLMPSE QIQISNATLFSSRSENQKLQCQIRKDIKIKCYTKQQLDMAQQSQLITLKPSVFDTMAGFL EFYQKGKHQMKDNVILASEYEEPTGRKQQYRLYIEQFKQIQKVYTLVAFVSLQDKIPSKQ NKYIDKFKVSLSKIFTHKLKTPLNTTLGFLQAIVQENNTIDEQLKSNFLKPAFINSKIQY YQVQDILDYVNSAKLVTFKVSKVNLHKTLQLIYDMIELQCRAKQIQVVFTVNSKPFDINE RYLITAFYDRPIYLSTDQLRLERILFNLLNKSYRHTQIKGKIQLKVDVLTEHNQVQFKIN DNVQGFKQEQFEQINNFAKLQNQCIHGFRNSIAKRSTFKFSLTLQITNKLIYFLSDFQCS LQINHDLDDGASYHFFISLNCQQENLQQSFEVSQRNLSFQRKNSNSLKKHLPNHISLFTT NKNQTSDKILEEYEIDVEPLANKPLQLSTQMVQTSPKSTLLKNQPVQQSILPFHKSVFQK EIIDKSLTISMAFDHSFNVKRITDSIDIRQDMNQTIMLVDDEPFNHDTLKLMLKSLGFKN FISAYHGQQCIELVTKHYKSIKVIFMDLDMPIMGGIKVLVQQMQDGEIDYIPIVACTAHD DKDTQQECLMAGMIYIIAKPVFIRSLQETFLRINEIKVKSQNYIFGSKAISSSIK >CAK67708 pep:novel supercontig:GCA_000165425.1:CT868053:165897:166380:1 gene:GSPATT00036488001 transcript:CAK67708 MQQVEPIIAKLRLADLDDYEPLKKFLPNPNIKLSHIAFASLLLSILCTLLGIAPRVVTGL VGALIPSFQAINKYQTTGEVYTCLPYFIIFSTYLTFQGWIMWIFSFIPCFNTMCLLFVLA LHHPDVQLSNQIWNLLQKVL >CAK67709 pep:novel supercontig:GCA_000165425.1:CT868053:166910:167804:1 gene:GSPATT00036489001 transcript:CAK67709 MQDKRDEHTYMARLAEQTERWEDMVENMRKVAEITKDLNNEERNLLSIAYKNTVGQRRTA WRAITAYEIKEKQKIVKYQEVLQYYKARVEKELDQYCNEVLQLIDHTLLKKTANTEARVF YHKMKGDYNRYIAEYSQQKAQEKAIQQAQQAYQTALELIDKDKFPKTNLLRLGVTLNYSV FCYEIMSDVAKACELAKTVKDEAIKELDDMEEDQYKDVTTILQLINDNLTNWQAELPDEG GKK >CAK67710 pep:novel supercontig:GCA_000165425.1:CT868053:167806:171078:-1 gene:GSPATT00036490001 transcript:CAK67710 MNFQEQLQQIIQGIFSQNNQSRKQVEDLLAQLREAQPNEFVIYMLNLCRHEEIKIRQFAP VYLRYSLSKFAPKSHKNVWSNLVPETKETIKLRLFQFIEVENSSNVKNQLCDTIGEIGGS LYEDDNHNEWPNLLPTLWQMFLSPKNDIIECGFKILGNLFTYSIDQFDKHSQDLHTLFVQ GLASPQIKIKSSTMHALGNYIKYSLPTQYKIFQDLISNMMKAALEITISDLPLGEGIMEV FSDIVESKPKFFRKQYNIFFNGIQTIFRDSQIEIGVKRIGTETLLSLVEKFPGLFKFEKV YLMQLVEMIFFHMIQISSTISDEWKRPPEGFNDNLEQDEDQETTNFGMSSIDRLIESQGK KEMLPVLNPIVSELLRHQDWRYQHAAIMALSQVGEYIDQVADIKTTIDLILPMLNNSNSM IRYAVCHAIGQISDDMKPKFQEAYLHQVVPQFLNRLTVEDVPRVNSHILAALTNFVEGTD KGIEAYLPNLIQLSIKFLTAGISIEKEGAISVIAATAESSKKLFVPYVNELIPLLFQIFS THQTKQYRQLKGQVIETITLIASAVGEQVYLPYLQQTVQILIQVQTNNLETADPQKSYVF SGWQRLALVCPQQLTKYLGDVVPSLFQLIEQVFNLNTTGSTNEEELHTYDNEEAQVAIHT LSVFISELRQSFFPFVEKCIKLIVPLSQFNSDSNIRSSACKCLVSLVKNVRETNNPQQLM NGAKYFLGIILEAAFKEYDPVVIIEQVDSIKEIIEQVSQPFMTTEEVTELSDKVFKLLLE SDKRKAENENMAKDEDVDEDEKNAIKEETETEENLHVKIAECIGSLFKTHKEFVFTLYEI ICNQILPKVLDQQKSPKMHQFGICLIDYMVEYLGFPYIQNKFIDLAQALSVYACDRVCFV RQAAVYGIGVMAIKTPQELYLQVSQSLLKSLVESLKLQINQEDNEKQFGLARDNSISALG KIIKSQPKSLGQDLIQGMETWLYLLPLQYDKRQAYIQHNLLAEFIIQDGDQFVNGKPENA LQILKVIANCYKSKWSSPQVDSNIVSALRIFEQLDSVKVNVQEIFQKLSPEDQKKLLEIP K >CAK67711 pep:novel supercontig:GCA_000165425.1:CT868053:171098:172409:-1 gene:GSPATT00036491001 transcript:CAK67711 MQAQKKGQQQSFAEYVKQITSQSVNRFQQTSTKQFRSTNLPQLLSDMDRSPYMMTSLDRA KFPQVAKMNKTSYLNYSSSEQKNFEDLASKFNRKRHSKDQFDAILVEHIEVQETSSQKKS NAILIQHYILIGHIYSILIQTIYQRIVIFFEGSMSNDQPSEISSQNIFILIKLFKIANTS MTDFALTTFSSKGKLLQIEYALNAVAKGDTAIGIKAKNGVVLVVEKKQSSILVEESSVQK VALLTDNIAATYAGLGPDFRVLSQQARKLVKKYDLKYQEEIFVQTLSRELAEDVQVATQR GGIRPFGVSILIAGYDEEGPHLVQLDPSGAYYSWKATAIGKQAKNAKAFLEKRYNADMEI EDAINTALLTLKEGFEGQMTATNIEVGVIRDDHVFRILPPSQVKDYLEELE >CAK67712 pep:novel supercontig:GCA_000165425.1:CT868053:172424:175428:1 gene:GSPATT00036492001 transcript:CAK67712 MNCYKKEITKNWLYQEDKYIENVVGAFQVIKLHEERQIQAGNARQKITEQLQKYIDEDPE DLPPHQAAQHVLSLDEQLLDYPCYKKGGIFGSVTQRQCQLQKTTLGQSKKGNVIIKTFGA IKSFNIQHLEDAHKDFKWDVSYQKYPVRLIFDFVKHSPVWIYFKDDEEVHKYYDYFKCHI AMAQIQKLPVILQESTSLVKENYYLLFAQKIETYSIHVIQQKKKAAKLGKDEAKFLMEID VKIIRNILQKKWLSNSVQMFKREERMNQLADEERKKLDQIRQEDENKKMKWRQFLGSKSV LNVELAEWLRPMMAEWLEKSEEIQNTKKVRELVFTILIKSINIAVDINLIKPTIFVELQS VEQDSKLTMEAYLGQQKTDHFYNWLLREQNFENKILSKQQERKPCSFIISKISNQDIFQI QFKDMVNAFYDTATIPFKIIVKELLQQSQQGKSMWIPLKLVQTNSLKTESKEGIIYVEID IHVKPSIMMHNPQSYEEELEYIVEHSLAFSDPIYLSTIQIPKMNKDIMKFILITLEESNI CMKQSMQMLSYILFLQQKNPETLSKQMHQLQTVFIRKVILNLCHNFGLMEQQIKQYELVS YRLESMNFYNIKNPQEQELVNGLFLMGIPYYLRNKLWFQLLQISFEPLKTILSQNYKVSL DREDSMNESVSLYFKLSQLKLNLKKTLLQQIDLYLRQEDSRKGFGNEQFYYQLKNLLICF LLYQGNQGLIPNNMYIHHLFTFAKKMLVMQIYYHVRYNDYTEFANETKQTPSDLTNESRA FWLLVGFHNQVLADYPLYEDQTLQNRLFLEQCAKLRVYLNKKHPDLFHKMFLYGIEVENE LYELFFNLFSDVLPSETLYRAWDLIIYQQQQSIKATSERGNIIISITAALLLRVHKKINN ISTHLAFLAAIKVEAMLINDVTEFFTEVLQVQKDLNLAVQIEYQEEGPPKLEPQLEYKIK SDYRIFDFLDEKYPKGSQQMQVYQYLNFIDF >CAK67713 pep:novel supercontig:GCA_000165425.1:CT868053:175922:176810:-1 gene:GSPATT00036493001 transcript:CAK67713 MLVKFKVKNIFTKALQSLPLCKNDFLKEQVLHNSGFQEKGWNNRIVASEQILGVVPREYS NKTFLPSINGGTASIVQKYIQMHKNQQELDNLIECEQKIFATKLEQVRQVHKLYNVPKIH QNVFNQILAYSNDKLAILEEELKLMDQKLSPILHCMFTITARENCLNQIQSLLKQYQTKD LEKLKEFINDYRLLSLNTLESIVKWQQYIEHKNLNIQFCLDDNTIYCERFYTDYEILRPQ LIKLFDISESHDPFFVKLLGNNQAKLYARVRRAEVELLNLIFNNNYLK >CAK67714 pep:novel supercontig:GCA_000165425.1:CT868053:177092:178707:1 gene:GSPATT00036494001 transcript:CAK67714 MPRKTQTIKDVMKESQPIIDQKLGTTRSKKVKKNDSEEESDFVTTSSKSKQKNSSASSKV KSASNKQKPSINESKDDPKDKLSPELKPSSQRQKARTKAEKMQEKHNSKAEEVKAKQQNE EGDKEQDSDNQNKNEPNQKVGQAEEENKDNKDNTKDKQNNESHQQQNLNSNDKNQNDNQE NSKNQEQELIKPVEQEKDVNNKELLDKGDDQEQNKPQGENNQLQNKNNNIDESDKKASND QLEEKQNQQQNESNLKANSKSNMNTTENEGNNKVDQQDLKSREEETKEQLEKQLKVAPNP VQNQETDVDIVFCCDINLLEGRTIDQLKNTIEYVIQQLKQKQHLSSRIKFSIVIFNDIIA NQKIQQCQVIDLCDEAEILQSLEEIKQMGQAEIKKGLYYGLKLATQNTKWRKESKNKSYR YIIHIANQHLELDNKSEELEFIIQEMNNKNIRYKYLRIDKRIDLDFDGKQYFQNKLNSYE ESFLKEFGCLKNVVSSIILRELIHENNK >CAK67715 pep:novel supercontig:GCA_000165425.1:CT868053:178708:180188:-1 gene:GSPATT00036495001 transcript:CAK67715 MMLLWVPLILSFPLLWIILYPLCICYFYKFKYGNKVYVLYFPFLGQFKYRMDGLKFQKDV IYFKRNIAYNHPEVELIITHIFSRPYFIFIKPENVKQMLYNYANYKKRTDEIFRDIIACK GLVYAEGQEWKDQKREISNFFTFEQLSSKIPVIKTLIQDTLQYKENQRVNIIKQCARISL RAMQICFFNQMKDEQINGQHLSEEFQDLSRYFLYVTYGSLIHQLRSFFGIKSLSTMKSYF MTANEKKFLQRVYVVRNYINNLINNHQKGSEKDVFSILLREPLTEEVREQIIHQYVTFVF AGMDTTSHSAGLSIYMLGKHPQIQQRLREELTKINFDEIDQKLINSLPLLNAFLNECLRT QTPSDGIIPRLAVKDHYVGQYLIKKDWYVDVGLQPMMTHPQYFDNHLEFNIERWLNQSEP EAFLPFSYGPRNCLGQHLARIEIKLILIYIIKTYKVILDDQTDLVMDYTFLYAPSDDRLV KFIRI >CAK67716 pep:novel supercontig:GCA_000165425.1:CT868053:180307:183830:-1 gene:GSPATT00036496001 transcript:CAK67716 MKDHTISLKSLNNRTMTSMRTRTRDISHLIDSQYSHRFQEQIKQKQVLGELHLQQYVQQQ RIRKQNQAYLGNQLRVKTEEKLEQLTEQVNENQILPMLEQISELNFEQITQGLSQEKDRS IIITSLRQSLQKAINCTPSLASLKQQSSSFFSAQPTGRRDAVVLKQWFVNFIDTIHQSNK DFKDKLISLSEALHLCLLEIIRQVSYQCAERGQLLHSLVKGYILVFHKHFMIKEQEQRTL KFQLFEKDIFEAKEKEEIENRSRVQISILTQELDQLKYKENMINSERISYQQTIKKLQNK INLQETISKSAANMIGNLQREIDQLKHQLSKKNQNKHSSINKSEDAIEEQDLSLSQVLKE MNQQTKFEQNQDMIVKDVIEELPVVSHSVGVQTFQKCLCVLETQTDLQLMSPQYDKFLST QEIENTYREFELKQQLEQQSWLDGLCDQMNMHQDEPSIHDYENRGVNKIDLIYEVPENDE GSPDVMNKRKQDYIQERRFQIERSETSEKLIREFDKQSSDRLLNIKQVQQGTSSNNTQQN SQQSSQKAIKLSPQANPQVTQTNACKSDTAKQIQKQQIQQQQQQSNQQLGSQRYFPANEN LEKKNEKDKQGQKKKKMSASQQLQQQPKQHEQTSSQNNTQIIEVNEKTDSIQPNLSKQQT TMLMLLYQIQKQKAQNATARMNETNELLTQVLLFARKLTLHILKTQFKTHTQIAEEFLFE FESFRKKIQNDKEILEEEYVLDEEKEKEEKKQEALKRRKGKIQRALRMNFATRQMKQLPT IDRLTHPGVLLAIKAREQSHLFKKVVAYWPVKNVLRTISQIYLEKTSFGGEADMQIFVFN FFLNKYGFKHVAEKKYLQFLLSVIHFCQIFRVNVFARLLCLLHDEHLNFNQEESAFMISG LEYIHSQTSLGVNIVQGDSESKFYVPYLRALDYIKIHLENKLTEDEIKELRNDLDNLKES DSKNKSGVVDLDQFMSKVIDKYRNVTHRTKLFVIHAFQAADLDGNKKINHNEFLTLFRHI EFEKFNFQEALDLFEEEADIIHQGEKNLSFNRFTSVCVNQQIFTENSLNDFIGKEANMEQ IFDNLVKTWSQYKLEVQGLLTQLQPFVTSEIYQEWVNILFILEKRILNQKPDYQNVRPIL IAHKILKLELTRLLDEDEQNQNKD >CAK67717 pep:novel supercontig:GCA_000165425.1:CT868053:183888:184872:-1 gene:GSPATT00036497001 transcript:CAK67717 MAESKNSSYFKPFLFGAIAGCSAAAIIMPIDTLKVRIQIQSESLGLGVLNMLQKEGLRGF YSGLGSALLRQLTYTTTRLGIFRIITDSVKKQQQRDLTFFEKVGASSLAGFIGALVGNPT DVCLIRFQADQSLPIEERRNYKNAFEALTRIYREEGLIAFWKGSMPTVTRAVAITIGQLT TYDQIKQMSMQFKDSKNETAFDRIMASCGAGIISSIISLPFDNVKTKLQKMKSLPDGSMP YQGVIDCFIKSIQREKLVGLWVGLLVYFSRVAPQSIMILLIQDFLHQKYGKN >CAK67718 pep:novel supercontig:GCA_000165425.1:CT868053:186111:190112:1 gene:GSPATT00036498001 transcript:CAK67718 MSLISENQNFLSQESLDKTEDACISIDTKQQNDHKFYPNHLGQNDSWISKFFFLHYTSYA LLLRSEVLNKRLKITDKHLPKLSPDDDLLEQVEKAQNRIQKLNLISFRTLVKLIFFGELK WITGKCIVTYVIESVSKNGISFIMSFVIGSVSQNDYENAHYYGIILVILNFICLLSRHHA ANYSLVFSTKARLSLINLVYIKLIGLNSYSFKQANIGKILNIISGDINTLEQMFSMIFPS SVVIISMFFACFILWNRFDGIIGLLAVLLVFLAYPIQIIIQSYNQETLKQAKLHQDQRLR ITNQFIEGIRLIKMQAWEQAFQKMISGLRQIEYLSLLKILIRTAIDRLFSQTSHIWTSLL FFILLYYCDFRNGMRMAEMISTLQLLNVLRVSCVYMVSNGIQAFIQIKVTFERIANVLNL ENFVMNKVDDFNEVKQIEKEQSRIELINFSAYWTHSVSENDQPILKNLNLNFQEGELWAI IGRVGCGKSTLLQSLLCEIPAYKGLILIDGQEPQQNKLKIGYVEQEPFLFPDTIRNNILF GKAYSKTLYEKVIWVAQLESDFELMKFRDKTEIGERGITLSGGQKARISLARALYQMPDV YLFDDPLSAVDASVAQKIFTVAIKQFIFDYQLTIYKSKPKPIVILATHQIQYAIKCDKIA ILSHGELIAQGPYEQIKFHLEMINKDLAQQLDKTQNEIQKSDNLEQQPIKLTKEGADLSD SRNLTVSESDTQQITNFSVYIRYFRNWKCLAFILVLILEVVYEICKILYSRVITQFEFYE KNGQTKITFLMLSCLVIGLMVCSFIKYLINIKQVQATTQNIHKQMINSIAQAPISYFDVN PSGRIINRFSNDLSLCDNSTNQVCLDILEMIGNFFIALITLAILQPYFIFVIFFIIALNL YQYNFYNQIVSQLKENELIQRSPLFDFIKKTLGGSIQIKVYKQQNLFKKQFLDLSNVYNL NALTYFYQTRSFCFNIDFIGFIASSISLFIFLNLNYDDVAVFSQGVLLLTTYNDGLSLGL KQLINFATQMSSYNRMFQIIDVKSEAPQVKEEDRKISNFPESGDIKFQNVYMRYRCNSDL VLKGLTFDIKSGQKIGCVGRTGAGKSSILQVIFRMNEIEDYEGSKIEIAGINTKLLGLQK LRSSIGIIPQSPFLFTGSLRQNLDPFNLYDDQAIWQALEVAGLKEYTRSFSNGLLTDISD VNSLFSVGQKQLICLARILLYKKKIIVLDEATANLDMKTDDFIQNTLKQQLKDCTLITIA HRLNTIADYDKVMVIENGIVLEFDEPFNLLAKSLDSTNIDKPTQFSKLVLNTGYSNSQVI FDIAKNKQTRIQL >CAK67719 pep:novel supercontig:GCA_000165425.1:CT868053:190560:191237:-1 gene:GSPATT00036499001 transcript:CAK67719 MNPTYDLLGMIAHVEQQIALLLKVKSKEQQQVPNQNIEDQPLIVSVEERYERNSSCSSQK TLSDNTSQVLLNQLQSNFEVIKRGINELLAYNKNDQYDQLKNNLNKKQGKNNFFVRSENT YDSNQNKEIQKNLKLDVKGTQASINSYTSQMNQLTQELKQILSSKTISDLQMKQRIQQLE QQHEELRKNFFSFRQMQESITQLLQFDTCLQDTLRQCKTKCERKY >CAK67720 pep:novel supercontig:GCA_000165425.1:CT868053:191643:195349:1 gene:GSPATT00036500001 transcript:CAK67720 MQESQQLQQGQKKPELYIGKFFCQFQCNGNMNCKNKLKVKLKVFDQQKKVKQMKKKKLYV VSSDINHFESDRIVCKVRKGKEVIKKVYNNHGKILHLYDEIWFFLLNAGYVKLGVRITKP NQSIVEVAEVSVYLTQLAIDDDYEMKIDRYNKKTEQLTPEEENQMELLKYARLSLLLMLD FMNVTIEESCLIKQNKQFKIFFAQYCQFFQKSNVNFSIKSLLKSFPRPIIEKQQLFETYI NSKRFNNNLFEKKLIQDLAVYQTGPSTFLNQFKKPYSPKMEEIYPIHHELFQRIGLEFFQ YVKTFIKRSKSSVDNKFAELYDDYVLKTVFLCTFQISEIVLKDSMYANQHKNLIKVRKQI TDTLSKSNRDQLNLLSDNNLKIYQYSKNAILDLYFVDFKINRKSTQQYQDILSQFFSQRL FYQQNIQTYTEFEKHVQKEKFEEIKEQIKYQKANYESNKQDINDIFLINKLILKEYDTPK LMSSELKKHQKEALFWMLYREGHITDHQLQQKQQLSPLWQEYKLQGGESLYVNMFTGKVS KELVPLQETKGGILADEMGLGKTLMALALILETLNREHQTLIVVPKSVIKQWEKEIVKHS KPESLKVLVYYSKKSRKNKTIDFKNYDIILTTYAVLSIDFQIWNQINNVNIEQDGNVAQN DSLVERGQSLEEVSDLSNTMDIYNSSSDDDDNYQSQSYYNPFYEYCQEKRKQERQFKQQL RQRVKKEKQEKQKKKRQLNPEQLEIAKEHNNLFKQKFHRVILDEAHNIKIRQTLQSKSAI ALDADFRWCLTGTPMQNKHDDLFSLLQFLKVETFSEYFWWNTYINKEENEDDQQRILSQI LQPIILRRTKNSQRMDGLNQVEEEICWVEFNEKEKILYQKLLAGSQDIFKHFTIGKNNKT YLHIFQIINKLKLACNHPQLALKEINLDKTPMEEVIDRINSFFNNKQQHANMTEVYKKSL VENIRNGDLQECEICTNTQVDTFCLSSCGHIFCRKCFTQAINQQQLCPVCRATLSITDLI EIKVENENEFEDLKTLKFGLSSKLEAILNKTKIVQQQKEKVLIFTQSVDMIQLIDNLFQE NGIVAFRITGQMSVEKREKVIKQFKESQDAIALLLSLRATSTGLNLTMANNVFLVDPWWN PAIEDQAIGRADRIGQQNQVKVVRFLCRNTIEQSINLLHQKKKFQIKRTFSGEAKKAQEL EDFKFVLFQQ >CAK67721 pep:novel supercontig:GCA_000165425.1:CT868053:195511:196860:1 gene:GSPATT00036501001 transcript:CAK67721 MLYQEQLKYFFMNKKQIKQDKLLKKKVLYQEYWKTIFIQSNRVKDQIKDGDTSTNIVELQ SKEKTSQNNQRQEQGFEKVLSEQNHNLKFLKNENPIQENRILQQKTQSQEQNKATQTNGQ IQPASTSVETQTITQKNTHLDAKDSGKKTHLVDVKKDIKSDIKPIEGNQVSSSATILTSS QEELHSIIKTQTNFGINKQQQRTAQKIEKHLNECKQINSQLLPAQQQNINQFEEKQELIQ ESHVIPQNQPQIKQTQKIKSNKMDKEIDCLQKQQLGGNQKFENLIHDQMQSQPQNSSDRV QQLSQTIDSKENVLGNDNQPQKVNSQHDVLNENNIKQQSDQKDQANEQNESAESVTRNQL KAVHKVMRTLNEKLHQDDMKIQVIWDEVLAQSSDLVPNFEKFIQCIQFLHKLEKAHFDSQ KLTAQLL >CAK67722 pep:novel supercontig:GCA_000165425.1:CT868053:198063:204257:1 gene:GSPATT00036502001 transcript:CAK67722 MIIQFQVILLYLQFANCEWLQLAQYLTTNKTFNNLDTDGFINIDGDNIGYSQYISCGENG SYIVLNQSQRNVQNNNQFYWSYQMYFITFELIFYNSWKTGDFVNYSFGSNTFKFDSNPIS VPQYYDWKCINQKSFQQVLNATVDTKYVSGYHSFNISTSQGNLAIKNLIISGLRCSPYCL TCSGVSADQCLTCVISGSAIPNCQQTECAIATPYIVRNQACFKKCHIDQALYFKRSCVPY PIALFMNLYISQNTTLLQNRWQPIYDRESPGADPLNNIAFENYYIYGIFKHKQGYLSTLN LTDQGTYLIGIRIELILFNAMPDKSSISLQINGTYKAHIYKDGSGLKFDQFMIQNQESKP DFTYSDISYNSNYYYVLYTYVNISNYFIIKLVGNYPASSSAGWGIRSIQISSGQCPQNCQ KCDSQFKCSICKQNQWITASGQCSSCSENYQKKINATYCQGLDDQTPYSEYLVKEFIDLS INPETYKYYELLHQQGSNFFKGEGIFYSIWNQKFRIFGGPQIWAQAKFQRIYEINDPHHS VSFAFIIIFGPTFPENGLFIFYLENQIQFEITSTDQEITFEYLYLHTAKTLNVQWECKGP NNEPFNAYCGFYKYYLAVHYCQPGCQSCTNQNVCSTTITPASCLDGKYLDIYKNECKQCS KNCKLCTSLQNCQECLDGYIDPSLGCICDIKKFEDTSGCSDCSQDCNQCINQIFCLECRP GSFKVLVNNECVCQDGYFDDSTVCKNCITNCKKCTNNSDCSECFEGFIKRDPVQCDQDSN FYQSTLLQQMFPCPTNGSCNPCSSNSNCNCGDQIIYDSEQCDDGNQIEHDGCHNCQFSVQ VECTMQINGKCHECATLGWYLDQTTFTCKEQCRDGLKVGTEQCDDATDNTNCFNCRFFCK SDCQSCDLTKGVCTKCREGLEIEKNYCKNKCGDGIIVSAPDIDYYEECDDANNQDNDGCS KGCKFQCQNISICKICLNNQCQQCQYGYFLNKIMNKCECGLSCLSCDYSFGKGCTQCQHG YELRNKICYPICGDSYVTSHEQCDDGNMNIEDGCHQCQYTCEQTCELCLFGECLSCYEKY QLQNGRCQLLILPYLNQSEEGIVKVTLSYEEQLNLLKDQYYDFDLFENCTQNNCNTYISY LDLVYLFHRIRVDLQGNEVSENYIEKYEQTCPINCESCFHGLCITCTLGYHLDLDNDTCN PICGDQYITIEELCDDGNNIIQDGCFNCQYQCQEVCTNCQYGRCKACIESYFFDIKKGRC LERTICFESQGYYYDGKQNNCYSKCGDSIKAGKEQCDDGNVTPYDGCFECQYQCELLCQT CQQGRCVDCQIGYKLSMDKCITDCGDGLILGSEQCDDVNTIPRDGCTNCLIDPGFNCITL DKKSYCYTCKSYCNKCEYINGQINCISCQKGYFLASNECIKCSDQCEECLNSPNNCINCK IENCQKCDNKEGFYSDFKLKKCITKCGDMIVAGQEQCDDGNIINNDGCNSQCEFEKGFTC YNNLCQKIQQKYIEFNYSNTTTTNCLHLKGDLDFKSICPKITSEIDLFATYEFNYTLTPF EINKTQSQYGCEIQFQFFKTITETNLIHLIIPLSVDGQRLLDEYRITIIPRKQIYYSQEQ KQQAESVVATSNKFQLLLQFTGPISILLGGISFFWTILEILTWINNFYFLNIEYPLNVKI FFQKFQWDDIFYIPDFVSLNTPNDPFYFQPPLKFQEKNVNPLFIKNIQIFSCLISIAIII YFISIITIKLFKLKLRSNQFLSHKIYIFTKCQLENQQPNTTQNKQSQALNKNVKNLPYFA LLIFTTALDYQYNFWSKIQSIINLLLLDIFMACILQLYCPKPNNHYIIVINNFLAVCFLI LSLVIYYVYLYVSSKHQLLLNHSIFKKKYLSIYEALNFKNKTALRYCYFNMIRKFAFILF LVVLYDKPIIQTTFCCLSCFMNLAFLFYQNPFNSKSVFIQIGIPEVCIFFIVSLAVVIAF NDLHNILSDAAKQLIGWMIIVLMSLSIAIQMIFLLIEFYHRLKSNFKSLKYLICPQKQQS >CAK67723 pep:novel supercontig:GCA_000165425.1:CT868053:205650:213263:1 gene:GSPATT00036503001 transcript:CAK67723 MKLTLILCLIALVCSQQVLKSNECNDCGLFKSQTDCEGYKAVTCEWIAAVGTTAAKCQKK TGTQQGTFKPYCELVDKPETNCWKTLGCAYLDSKCVHFAGCSAYVKTTVSECQAISYRCV SDGTACIEAQECGKYSQSQCEGTPSISGTFKCKYDTTQSQCRDYACSEAELSLTTDTQCR DWQSTCITRGKGCWSSPLPNCSAYTGTEDDCKAYIGQDGKCELAKDTTNCKARECSGASK SISTDDECKDYQKGCITTGKGCYLGTVKPLCSTYDGDNTSCVGYIGSDGVCEGDAGGSKC RARKCENATFKTDDQCKDYQKSCVTNGVKCVSALQNCNTYKGTATACSNYIGLDGYCTGT STTVEANCAPKVCDQAGDTLTTDDACEKYQKGCVTTGKGCSTKAALKKCTDYDGDSTAAC NPRVGSEGRCTWKTGTKCTARDCASAPSSTNTNPLCANWFTNCVTTGSGCVSATTCEYTV KQTSCEGTKDCNWQPICTSNSLCSRFKKKAICMANTARVADGFTDEAKTIVKWVTKKCGW GSSGCEELQCSHLTGSFYSTHANCQNELSSCISNGVDACITKSRVFEIERNKRHLQRLSR ILHKYRCSFRNNGLYLKNNPSCETWNPGCISTGKGCVIYTTTCTQLKGDRDTCNKLYGYS TGSISDYKTVQCYNDSTATADSFCKQKTCTMASGMQEGQCDGFLSGCVFDGQSSCVNQET ATCGDYFGVASFCEAVKVKSMPDKYCFGTATAAKCTIRKCEDNQDANTTDEACETFKTGC VSKSGTGCVDKTTINCSSQTGTSDTCPTFSSSTGKCQRYNTCLDRACADLTSAGSHQDCM TYKSTCRFLKSGSACINHNTCDQYAVPDTSATGQVKFDYCTSITDSSGKSCGYKTGNNCA VRTCDQYLSTYTTLALQGTGTCLLAGTGAFICMLCSFKHLAPILMVQQVNDETKVVQSVQ KYVDEQKCQMPTWISGTTCTIQSTCEKVITQTDEKTCNDFLYPSGKGVCQKVTDSTCLTT VAVAAGCASYKIDQTKTDAQKKTLCQSLYVIDDSAKHAAGTGVFTKCIYKTGGTCSAIST CAEIPSAVSQADCDKQNSGCFYFSGLCYDAPASCAVAVIPGSATTDTLKSLFCHSMKVGT DYCKLNAAKSACEDNAVTACTSHAITGITGWDGATITVDNYCLAVADKTKKLYCKAGTSP ACAAAKCEDIPNPLNQGDCDNRVLGCAFSNGKCRTNDSTEAACTDATVPTDITAASGKTA FCQSITKSSKPCTYDEFKTPTADLKCVATGACSSYATLPTDATAKPTYCLSKVDDKFHKC AFTTGASACRDYDCFDITNATSQLSCDLGVPGKKCTYISGTCYNTTDGCDKIPASGTDKK AYCELLTSNSIKCTYISGANCTPRLADCENYDVTNATNKSTTCNSLKDTSDAECTYIWGN KCVELGACSTYNGASTPELGPETGSEETQCKSLKDATRTYPCIKHKTDAKKCRAQECADN DGDETGCKSNVAGCLYYQTKCIVKTTCASYTVQADLSTTEKKAWCEGVTDTSDNKCKWDG TKCAARTCSDDATAKFYTNYDCKNYLKTCKTNGSKCIDDTSQCNTLTGNQNYCQYLFDNT AKDLCKVNTAAVTSGACQTRTCYDNVASQSDSECDSWMKGCVTRGTGCIPRDRPCSEYRG TKEKCEGFKQFSYYDTTKQIDVYILCSGDAGNTETSSCKERKCSDNIIASSDNDCKAYLD GCVTKGTGCVEKSADCSAYKGNQTTCAKFMGSNGNDYCYNTGAATDTSSCQKKKCTNITG TNNKECSDGMKPFKATDNPFCVYNGTGCESYGKKCSEFKGTEITCQTYIAFDGPCKSTSV GTLVGNCARKVCTEAPNNLKTDKECKDYHPSCYTNGYGCTETQNCDTLINQDSCKARPEC TWANFCEPKKTGCSAQSNTSQSNCINTVITVKYCAWTELGKVCRDQKCEDLAASINTHKQ CSDFDKTCTTTGAGCITMTTCSGYKTESICKSASYVKDAKTSELGRCGWENNKCRERACQ DLSATTDAECDAHLSGCKTNGTVCVSAQTCGDFKTKQYCLSSKTGPCLWVETNSTCYDYD RCEDALFKTHEGCQAFSPLCTTNGEICIPITSCEKTVLKASCNKGTDGDCGYLPTEKCKK FDKCSDAVSSDQNTCLAYGGCITDGATCVAKGKCNEYKTEIACKNNLGTDGICFWNGSAC KLKECSDLTGTTHESCKSQVVSSGSCTTDGTKCIPLGLCSSYLEPGCFTGTDGTCIYSYP VGQTTGTKACRLKQCEDIDKGINNSACTNVISGKECVSNTKICIPKAACSTYKTLEACNG GGIDGTNKVVCAFTPNSATDKLNGTCKNFTQCSDANSDSIACGTNKSCKWNQSGTTTSCV SHACDTFATGTDCQPVPSFDAKSFTVCTVQNGKCAPGDPGTMTDPKICYTKSAYTYTWNS ATSKCEKCLAGSTQPNNSNNNSSNGNTTNPNTDDFGMILPIITLGLFGIMV >CAK73219 pep:novel supercontig:GCA_000165425.1:CT868149:6296:12134:-1 gene:GSPATT00038875001 transcript:CAK73219 RPVKGGEQKRVLNKKVNFTTLHTELQNIKITDVDFEYRLSKQLGIIKFLIFQQSINEKLI EQVGKDLELIEKEFGELFIKESPSQLSLQKIKKIFEDLKVDESLKNVMDGKLNSSELKLE KEKYDFLLSQLKQIEDFRKCLQTENWNQLILQTETVIQTLENFGCPDKEIFKMLINEELI QLKTIISQQNINYERIANQIRQERVEKAVDEKFHKIEQLQLIDLQAGKSENGVLANENGK KFNFSSYGSYRTYLSFIMKVIKLKKLILKEEMVLLHNLLEEVAFFSSTLNQIEKYKKDIQ TKFQQRLQLRIQEFSQKSEKLQFSQVNLFQKEDENFHLYLESLETKLFKRANDKEITQIK INIFDFLNCLELYLQEKLDQKRSFSNIHVEQNFLIRQIKKIYLEEDSEEVDEGEESKQQF GLLDNLVQRFKDFTNNEQWKIKQGLVFTIIQISSNCFSDSITSFCQKVLIQLWVQEKDLR VRSILKNQHLISMQMQILQKDWSNQHDRIAKKMQEMLRRIDELQEQISHEANLKKRDLYL KELDETTEQLDQQIENISEMGQQLRLITDFINHIRKVLIRVEGKINEMKEQLNNIGNDIK FLRGKSVEQLFEIRKWKVLKEAAYKNAQTIYVPLQTLEILEKPQKEVVKPSILMNLEDLN DRGGEVNEFLLQEQPEEKKTVLLIHGQAGSGKSTAAKKIEEFIWKSHDKNQKIRNQTLIP VYISLPSLKNPVFQAVEETLHQDEYGFEDLQLKECKEMLKKKQFRLLLIMDSYDEMKLEH IQKNLYISNKLKQCWSDPIVIFTTRSEIFTSNNYALWFEPEKKEEFKEVQLLKFNEAQIE KYLKKYTIQTMKMLIFEIYEWQTQVSNRVVDINKFEICWEKFFSQFPRSEVTNCENLLNE NQIESIQSFLKNDELISLKSTEGLRSVSINLQKLWSVEKYEQMIKNINLNKLVETPYMME IVVQVLPEMVTKATEVNNVKQNFFKNFAQMLKVFYKSKFLIQMYKMKQQQHLSQLNNEIE QQSKLEDQNKVQEVTLVDLENLEIINFHEIAQEVWNILEDNLTTIQFKTFANQFTHHSXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLYAVLALSIYINTYIYS WILEELSKDPNTHQINWILKWLSKEKILEEDWLEFQSNQMINEKLIKDDESLSNRQKKLD AFKKRNFESILNVLRTLQKHQFCLENYSTKPYIETRKYLIQKIQKEPWIAEFLEFLVHLT KIQYSFVQAGSNSLNLLIEMQVDLTSHNFEKIRIKNTSLQGGNFANCNLSYSEFTDVNIN GINLNGAQMFGCKWKNLKINDLHSLVGHSGTVQSVHFSPDGTTLASGSDDNSIRLWDVKT GQQKAKLDGHSDYVRSVNFSPDGTTLASGSYDNTIILWDIKKGQQKAKLDGHSDRVLSVN FSPDGITLASGSQDKSIRLWNIKTRQQKAKLDGHSDRVLSVNFSPDGITLASGSQDNSIR VWDVKTGIQKAKLNGHSDRVLSVNFSPDGTTLASGSYDNTIRLWDIKKGQQKAKLDGHSS IVWAVNFSPDGTTIASCSDDNSIRLWDVKTGQQIEKLDGHPREVMSVIFSPNGTTLASGS ADKSIRLWDVKTGQQKAKLGGHSGIIYSVNFSPDGTTLASGSRDNSICLWDVKTGQQKAK LDGHSQIVWSVNFSPDGSKLASCSDDQSIRLWDIKTGQQKAKLDGHSNRVLSVNFSPDGY VYPFMGSQDRIIDRQI >CAK69619 pep:novel supercontig:GCA_000165425.1:CT868071:35:9029:1 gene:GSPATT00038060001 transcript:CAK69619 MNNKKINQKNIILKDQLQEVEQQRQEDLKSFKEIIGNGADQQCIADLVTQKQKLQTDQRQ LLIQINKLQEELIQQQNENNEMKKMVNQLKNQQQDQIQIQENEVLKIRQNEIDDLKLDQI KLKDELIQTKQKLNEQLNQQIQKPLSSEKQIEFQQDPEKVFQISSLQAECVNLKKQIQDV EQQRKDDLKSFEKMLGEGVNETQVIGLTSDKQQLQSDLRQLQNKCDQLQQEQIALQDQLL QKNKQIVDLQDETKQSEKEEQIRGKDNEIKLLEQQVQTAKDQYQELLDLQQQNLTPGGQK EKILQQTKEIHDQEEVINQLQHKVETEVPQLQNLLCEAEEKAKEAQNQADLWNKKYKDLQ EAKQQLVDDYVKPEQIENYDLDQAKDALNKMNEELKKQKQINNEQLQIHNRCLHETQNEI DDLKLDQIKLKDQLIQTKQKLNEQLNQQIQKPLSSEKQIEFQQDPEKVFQISSLQAECVN LKKQIQDVEQQRKDDLKSFEKMLGEGVNETQVIGLTSDKQQLQIQVTGFEGQNKCDQLQQ EQIALQNQLLQKNKQIVDLQDETKQSEKEEQIRGKDNEIKLLEQQVQTAKDQYQELLDLQ QQNLTPGGQKEKILQQTKEIHDQEEVINELQQKVETEVPQLQGQLSEAQEKLKDAQKDAE LWNKKYHELLESKQTQVQNILAPEEIEKLSSEEAKNALTQLNKQYSDKAQENKELEMVVQ HLRQDLDSSQEKVQQQAKEAQQEKSVLEQQTQLLNNQLKDLVAKEEVQPTRESQWLTFWK KQYQQLSEKQPEISSTQAGDLQEQQVSQQEREVKPKEILNEEVELLKIKLEKLNDMQKEN EELKAQQILIQDELLKTKQQLNEQLQQQIQKPQGNDQQIQFQTDPEKVLQISSLQAECVN LKKQIQDVEQQRKDDLKSFEKMLGEGVNETQVIGLTSDKQQLQSDLRQLQNKCDQLQQEQ IALQDQLLQKNKQIVDLQDETKQSEKEEQIRGKDNEIKLLEQQVQTAKDQYQELLDLQQQ NLTPGGQKEKILQQTKEIHDQEEVINQLQHKVETEVPQLQNLLCEAEEKAKEAQNQADLW NKKYKDLQEAKQQLVDDYVKPEQIENYDLDQAKDALNKLNEELKKQKQINNEQLQINENL KNQLKDPQQMLSQAQNEIDDLKLDQIKLKDELIQAKQQLNEQLQQQIQKPQGNDQQIQFQ TDPEKVLQISSLQAQCVNLKKQIQDVEQQRKDDLKSFEKMLGEGVNETQVIGLTSDKQQL QSDLRQLQNKCDQLQQEQIAQQDQLLQKNKQIVDLQDETKQSEKEEQIRGKDNEIKLLEQ QVQTAKDQYQELLDLQQQNLTPGGQKEKILQQTKEIHDQEEVINELQQKVETEVPQLQGQ LSEAQEKLKDAQKDAELWNKKYHELLESKQTQVQNILAPEEIEKLSSEEAKNALTQLNKQ YSDKAQENKELEMVVQHLRQDLDSSQEKVQQQAKEAQQEKSVLEQQTQLLNNQLKDLVAK EEVQRQEILDLKNKLDQENADFWKKQYQQLSEKQPEISSTQAGDLQEQQVSQQEREVKPK EILNEEVELLKIKLEKLNDMQKENEELKAQQILIQDELLKTKQQLNEQLQQQIQKPQGND QQIQFQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXENTTETFRHKLINELQQK VETEVPQLQGQLSEAQEKLKDAQKDAELWNKKYHELLESKQTQVQNILAPEEIEKLSSEE AKNALTQLNKQYSDKAQENKELEMVVQHLRQDLDSSQEKVQQQAKEAQQEKSVLEQQTQL LNNQLKDLVAKEEVQRQEILDLKNKLDQENADFWKKQYQQLSEKQPEISSTQAGDLQEQQ VSQQEREVKPKEILNEERNQMICKKKMKNWKHNRYQFKMNYSKLSNSQMNKLQQQIQKPQ GNDQQIQFQTDPEKVLQISSLQAECVNLKKQIQDVEQQRKDDLKSFEKMLGEGVNETQVI GLTSDKQQLQSDLRQLQNKCDQLQQEQIALQNQLLQKNKQIVDLQDETKQSEKEEQIRGK DNEIKLLEQQVQTAKDQYQELLDLQQQNLTPGGQKEKILQQTKEIHDQEEVINELQQKVE TEVPQLQGQLSEAQEKLKDAQKDAELWNKKYHELLESKQTQVQNILAPEEIEKLSSEEAK NALTQLNKQYSDKAQENKELEMVVQHLRQDLDSSQEKVQQQAKEAQQEKSVLEQQTQLLN NQLKDLVAKEEVQRQEILDLKNKLDQENADFWKKQYQQLSEKQPEISSTQAGDLQEQQVS QQEREVKPKENFE >CAK69620 pep:novel supercontig:GCA_000165425.1:CT868071:9124:10380:1 gene:GSPATT00038061001 transcript:CAK69620 MNYSKLSNSQNEQLQQQIQKPQGNDQQIQFQTDPEKVLQISSLQAECVNLKKQIQDVEQQ RKDDLKSFEKMLGEGVNETQVIGLTSDKQQLQSDLRQLQNKCDQLQQEQIALQNQLLQKN KQIVDLQDETKQSEKEEQIRGKDNEIKLLEQQNLQQQNLTPGGQKEKILQQTKEIHDQEE VINELQQKVETEVPQLQGQLSEAQEKLKDAQKDAELWNKKANKLKFRTFLPPEEIEKLSS EEAKNALTQLNKQYSDKAQENKELEMVVQHLRQDLDSSQEKVQQQAKEAQQEKSVLEQQT QLLNNQLKDLVAKEEVQRQEILDLKNKLDQENADFWKKQYQQLSEKQPEISSTQAGDLQE QQVSQQEREVKPKEILNEERNQMICKKKMKN >CAK69621 pep:novel supercontig:GCA_000165425.1:CT868071:10405:12878:1 gene:GSPATT00038062001 transcript:CAK69621 MNYSKLSNSQNEQLQQQIQEPQGNDQQIQFQTDPEKVLQISSLQAECVNLKKQIQDVEQQ RKDDLKSFEKMLGEGVNETQVIGLTSDKQQLQSDLRQLQNKCDQLQQEQIALQNQLLQKN KQIVDLQDETKQSEREAQIRGKDIEIKLLEQQNLQQQNLTPGGQKEKILQQTKEIHDQEE VINELQQKVETEVPQLLRVNQVKAQEKLKRCTKGCRIVEQKKYHELLESKQTQVQNILAP EEIEKLSSEEAKNALTQLNKQYSDKAQENKELEMVVQHLRQDLDSSQEKVQQQAKEAQQE KSVLEQQTQLLNNQLKDLVAKEEVQRQEILDLKNKLDQENADFWKKQYQQLSEKQPEISS TQAGDLQEQQVSQQEREVKPKEILNEEVELLKIKLEKLNDMQKENEELKAQQILIQDELL KTKQQLNEQLQQQIQKPQGNDQQIQFQTDPEKVLQISSLQAECVNLKKQIQDVEQQRKDD LKSFEKMLGEGVNETQVIGSDLRDKQQLQSDLRQLQNKCDQLQQEQIALQNQLLQKNKQI VDLQDETKQSEKEEQIRGKDNEIKLLEQQVQTAKDQYQELLDLQQQNLTPGGQKEKILQQ TKEIHDQEEVINELQQKVETEVPQLQGQLSEAQEKLKDAQKDAELWNKKYHELLESKQTQ VQNILAPEEIEKLSSEEAKNALTQLNKQYSDKAQENKELEMVVQHLRQDLDSSQEKVQQQ AKEAQQEKSVLEQQTQLLNNQLKDLVAKEEVQRQEILDLKNKLDQENADFWKNNPEISST QAGDLQEQQVSQPRKRSKTQGNF >CAK69622 pep:novel supercontig:GCA_000165425.1:CT868071:12879:17916:1 gene:GSPATT00038063001 transcript:CAK69622 MKKLNYLKLNQEKLNDMQKENEELKAQQILIQDELLKTKQQLNEQLQQQIQKPQGNDQQI QFQTDPEKVLQISSLQAECVNLKKQIQDVEQQRKDDLKSFEKMLGEGVNETQVIWLLAYS WNSNSNLIQDNYKINCDQLQQEQIALQNQLLQKNKQIVDLQDETKQSEKEEQIRGKDNEI KLLEQQVQTAKDQYQELLDLQQQNLTPGGQKEKILQQTKEIHDQEEVINELQQKVETEVP QLQGQLSEAQEKLKDAQKDAELWNKKYHELLESKQTQVQNILAPEEIEKLSSEEAKNALT QLNKQYSDKAQENREFEQIIKDQQSSLFSKQQEIDQLQFKVDQATQISNIQKLQLQNTAD DYTNQIDQLKQFIQDLKSQIDEHNPAFWKSSYQKLLEETRQLNQTIPQQDIQKQESYILP NPPSQTLLQDYQLLKQQYEDLYQAKLLLQQELLIAQNRISQLLSEQIHKPVGQEEPIQFS TDPQKALAVLQLEQKIQLLIHQLSEIEKLRNDDLQKFKDMIGNGVNESTVATLMQEKQSL QSQIIKFKAQIDQNQQEQIAIQNQLISKTLQLIELQENIKQDISQQKIIQLQDENNLLQQ ELQKAKKSYQELLELQSENLTPNGLKEKILNQQKHIHDLEEQILISQQKNENELSSLVGQ LSEAKQKLKDSLTDSELWNKKYHDLLESRQNLPTLEIEDLQKKLLESQQNTEKEKEKYTN LLQEQYSQLSPTSSQAKLLQALDQINQLKLQLEINKAQEIYSEPQQQIDTLQEEIENLRK NIENLEKINQISNEQIEYLKEQLQKKEINQPNQQFLTDSQELLQIQEQNIMYQSQINQQQ QELQEALNLIKQQQDKVLDAQKDQQKAEFWKKQYTDLLSEKYPQEKSIQIQEQKEQQVRE VPLLQENKQIQQLENQINQLQSDKVYLSQQLIDIQNKFNNTIQPNQQQESISTRQNDHIH SLEKQIEELQRESRNVIVQMREALNGNDLQKQIADLLEINSTLKLNNSKLLIDLEINQKE LIRQQQQVQILNDTVNDLQDPTVAENTKLRVSDLEKQIDLIGQQVDFWKQKYQQVLNEYS QQLSPTSIQNKMLEQTKLIHDQEEALMLLQKTKDQSKLESDLEINNLKLQIKELQEENLV LKKKLQDYQNQTQQLSIQRVISQGENELQVQYWKDKYQEALEALYKDPEHVQEIIKQKEE VAQTLYFDKDSQSIHDFESDLIEKLRSENQTFQGEVTFYKKRVYELEQQQKIEPSQQDTV QSRQYQISQLEKDLQKAISNCAYWEQKYNEIAQQSIKIETQKYGGDPTQTATFWRQKYDQ VELNRQQLIQVIAEKEEQLELNMQSFQQILKDELKEELTKIRTQEREKMEQQFQQNYPQS ESVLIQKLKDSHEVEKEQLIQEFLRRIDQLLQSNVQKYPEGENWKELILRYEKQRQNELY ELRQHLQILQRSQISTKDIEFYAERRAYENTINELRARISQEDPQELYDTIEYQRKVILD YENQISFLQNERTNLEMHIMQLNQQIDQLKDNISKFQIQQDHRKRQRAEVLEAIEEMKRE RAADRDSFKSPYRQMRQSQRRTSWDRYPKDFLRPSNIQQPSPYQHQSSPMQKIPFQQSQF ISPKQENREINLKLQELDEVKYKYQSALNNILQLETQVIEKLQQDDLQIE >CAK69623 pep:novel supercontig:GCA_000165425.1:CT868071:18906:19904:-1 gene:GSPATT00038064001 transcript:CAK69623 MAQETVDLCCNINFEIDIHPLVIVQILDLHYRKFGASRQEETIVGGALLGTVYTNKVHIT ECFALLVDPGDETNEYTFDFGDAKDLYLSHLQANPQEVLLGGFVTTKNLSLDIGVAYLST EFSKKENGFNATAVLGQPIILKVNPTLSTNKIEMKAFKLNQSLKHYAAVASFNTMPIKIS FSDENLLQAWPFLASNLQQKDFKIVNTSNPQLLVQENIKNIEKILSYLEKIIAGNEPGDQ EFGRKLLSTLNQIDLIRNESEIVFSQVEEAQLFQYIGNFASGQAFINEKLQKL >CAK69624 pep:novel supercontig:GCA_000165425.1:CT868071:21284:22732:1 gene:GSPATT00038065001 transcript:CAK69624 MSFEISEKMIVNTIAGFGQVIKLPEGPAPEQLIAEEELQLNHQQSAEKPVISCPSSPAPP EVKEQANENAQQADELLEKKNEKQIEKQLDNQQEKQNQVQAQPAKNIAPQKDVPENLPNL PPPLNYSRQSSQQPSQEKQLLIAEDPLPFSNSLPFQQQSSVESQPQNKKAPILKRLTCDL KESLALAKKLEIKYKYPYSNDERLITIDFQLGQITTMVKHISNVIFLLVKTFFGQRNYYL AIVPVNYTVEQLRSFIIDGIGQNQKMFHNIKLIHPMIQLKELKDSQIKLSEVGLKDFSQL IFLAEMTFTWDPIKKGKSVILSNNNLTANKKGQSDYQTVLGTLALNSGRHYWEIKIEKYV DEEDIFIGIARKEIDLYTQPTTTGHFYGYICLCARKFGADGQISDYGYSAVQNDTIGVLL EFRSGIGTLSFYRNGVKCGEAFNNLTGTFYPALSMFYGEVQVTLDSKAPLPAS >CAK69625 pep:novel supercontig:GCA_000165425.1:CT868071:23405:24012:-1 gene:GSPATT00038066001 transcript:CAK69625 MVIKTDLCSFSEWRIYPGHGRRFVAKDGRLFYYLNQKSRAFSARKIKSQEIQWTVAWRRL NKKIKTDEGAKKRRIRNLKVQRAIVGISLEEIRRRRKEDEKTRKAQAEQAAREIKDRKQK QIDAQKTQRKGVTSTQKVAQKAETKAAQKAAAKTAGKQKGKK >CAK69626 pep:novel supercontig:GCA_000165425.1:CT868071:24670:25535:-1 gene:GSPATT00038067001 transcript:CAK69626 MNYKKEALELLGYEQVDCLIPKNLLNDFPDYFTDEQQPPLATNLSEITENGLIFMSALSQ TTTPQLSAQLFRSAQSKNSKGKIRTFFPQKWPVAKTNMSPFSFSRYSHFQSLIIRNWYYL DNINNIQGPFSCVEMDNWYRKNLLNADLLISYKSRDLTSFVRVQDILQESQNPKCSKILK QMYKRASSAIRIQQRIQSDSPISKASTELSLSNNNSCQKQRQPIWGVDTEYLLSF >CAK69627 pep:novel supercontig:GCA_000165425.1:CT868071:26080:26547:-1 gene:GSPATT00038068001 transcript:CAK69627 MSNILDRNTYIKYEIQLLQYIAQQYKVKENYHVAVKTIKYFIELEYKLEPRDSISIVSSR MSLETILFTSGQHTQALNQLNSIQHFINQIQDQKPLLKLTFIMYYKYGGVEWEHLGQLFQ SQINRSQSLKIAKTNKFDELLCVIEQAIKNIKKSK >CAK69628 pep:novel supercontig:GCA_000165425.1:CT868071:26802:28682:1 gene:GSPATT00038069001 transcript:CAK69628 MQKINNKLNLLRELMAQRSIQAYLVPHSDAHDSEYTSDSDERLAFISGFDGSAGIGLITN SIAYLWTDSRYYLQAAKQLEHGWELQKLEPGVPTWVEHAKANLKGQAIGYDPLLISHQLR KSRGASLEDVQFKAINENLIDLIWTNKPQDSLSEVIIHELEYHQYPTTKKISQIFENLKG KNAKSILISKLDQIAWVLNLRGKDIKFNPLFKSYLYLKDDNSGTLFINPIKVNQQVKQYL TDNNIQIKSISDVFEEKFVNAAITPGEINDRLIQQVEDPIVLNACPIELLKAIKNEREIQ GFKESHIRDGAALVHYIGWLEKQLLDGAVLDEFQAAEVLAQYRYKQSRNMGLSFDSISSS GANAAIVHYHPTENNKSVINPNHIYLIDSGGQYLDGTTDVTRTYHFTQPTIEERNAYTRV LLGNLDIERLKWPKKSKIHGGDMDVLARRWLWEANLDYGHGTGHGVGYFLNVHEGPHGIS KYRTEVFQPGMIVSNEPGYYEEGKFGIRIENLILCVQANDQFLGFENITYCPYDRNLINL DLLSPKDRQYIDQYHVLVRKTLLPLMEEQTAKDWLLKMTEPL >CAK69629 pep:novel supercontig:GCA_000165425.1:CT868071:28882:29404:1 gene:GSPATT00038070001 transcript:CAK69629 MENESYFKTVTSIKSNRSEYELSKPMKSHDRPLKESDLALYQAAREKCANKQNEKLSTPL FQVTTLITNAAPLHKPTVKTTQFSNQPTSVFDFTDNRKEQNFKPHCIKVTEPGNNSDLVY KQQLKTSNLPNRENLYRNPITNGDPKSEYNRSTKTPFPKNQINFVG >CAK69630 pep:novel supercontig:GCA_000165425.1:CT868071:29744:30274:-1 gene:GSPATT00038071001 transcript:CAK69630 MSKIKLQIQNSCNIFYKNSSQVIQPYPFQSNCFDKLSNFSFGICISLKSFFTYNCLIILY GLYLFVRIALMIFLDQIAKDEFYFERQQFLPQQSILPLQFQSIIPIDYPLIMLILHSCHD LTEYIYHCMSLLLSKFILQIELSRGCLNFYLKQRTFTM >CAK69631 pep:novel supercontig:GCA_000165425.1:CT868071:30537:30982:1 gene:GSPATT00038072001 transcript:CAK69631 MAKQGWGFSGNNVKLNTNNRVEKKLNIWETAGKADDIQYIPDAEEEKKPQNQVSDAGVVL GQKLQNINELQNLAKISSLPQPADDIDFSILTQVLRPTEEVQEVDEQWEFSKLKTEMQEI VNKLYSNKANS >CAK69632 pep:novel supercontig:GCA_000165425.1:CT868071:31008:32445:1 gene:GSPATT00038073001 transcript:CAK69632 MPRELIFVQVGQCGNQIGMRFWDLALKEHAKAKQSLLYDESLSSFFRNVNSKNQELKIGD PIQNLKARALIVDMEEGVINQIMKSPLGELFEERQCINDVSGAGNNWAHGFYHYGNQYEK HIEERLRKTVEQCDSLQCFFMTHSIGGGTGSGLGSRIVGLLEDNYPEVFRFTASVFPSGD DDVVTSPYNSLFSLYELAKHADCVFPIDNQALINIVDQIDKPNKARLVKENAHEGSVGIK ITQFGEEEKRQKPFDKMNSLIAHLLSHITCSMRFEGALNVDLNEITMNLVPYPDLHFLIS SMAPLYSLLDSKLQPRRLDQMFNDIYHPDFQMITGQPSLHKYLAVGLLVRGDVAFSDVNR NIKKLKDQLKMIYWNQEGFKYGICNQPPIGQQYSMLCLANNTCIKDTFQEMTDRFQKLYK RKVYVHHYKQYMEQSHFDETLNGIQNLMVKYQDLEMAQPKKYQRIQPIF >CAK69633 pep:novel supercontig:GCA_000165425.1:CT868071:33231:35294:1 gene:GSPATT00038074001 transcript:CAK69633 MDSQKRKRLIIQYSLKLKELKEGRDSSEQKAQRAHQKSRVLKTESSVSLVKLPQQIQMPP VDDIISKGECKLIFLQTQSDDTGFRTFNEMMRTNSSWLTQADKLANTLSSPMQLKNRLQF NHTQALKFKNRIEQKWNMTVKEVADTIIQKQEQKDQQKIKLVHLKINHFDTDEIGQQQEE CPTPSQSQEVITANLKFFWFHISISDWKPPIREGCTVTYIQNLNKCIMYGGIGNDLFKTF VTLNTQTWVWKDIGPGVGDVPLEGRFGHTATLYKQQLIIYGGEKKYNSAMKMRECYGDVR IFTPSDKTWILIKPYGDVVEGRRNHCAEVIGKYFIVYGGINSYGKILTDVAGLNLETCKW TSFQIENSVGLEGVSDATSLAMFKSEIKMENPYFSYEWVKKKGRSFLPITTEGIYVFGGR LQNGEAINDLRIIQFGFKPIKITKVKTKGQPPLARYSHSMNYFRQTNVIIIYGGRNDSKQ GNILNDIFVLQIQQFSWSQVQQIQQLYSFIFISRKNGKCRHSSVSIDSKILIFGGYAQHV FANADIQLLELDQNKVAKMIKDNKSNKNRDVVIHSDELVNNNTLKVFKTEYYNEAEDPDL KQKKIQENEFQRLCYSNFKSFMPLPPQNHSSLVTEYKNKREQAKLEILKSQFNDVSRRNS RRTTSDFKIIEK >CAK69634 pep:novel supercontig:GCA_000165425.1:CT868071:35341:36684:1 gene:GSPATT00038075001 transcript:CAK69634 MITVPQGERLECFRMDGQFVGTLTLNNTKPNKYLINQIQAVAPLKQGQITHKIFKQCPIQ DLLQGSILKLSLNVQTNAKLQVLIVDLTDQNFNKSDVKSLASYFDTYSNSSFVQKIKIEI ITIDQNQMTKQSNIINQSISLSKNIEESIYHQQEFTYEQNAVQRKNEQMKQQQNKNPITN SDPFQSVSRVSASEQKYLTSTTFQQIKQTPSKQSSNSQNNLPLDYLPPKPQSYPHQPQQP KLHNYQAQDFEEDPQIQRLNLRLNQLQIELNKQQEELQNNEKEKTLLLNQEMDQNQGQIF VSVQHIFFSALFCFLIGYVPQIDFLFFIKLQNSIISSQICNIQLRLNITYSQNMQIVSSQ ATDNIKLINQLKLYSKQQYSIKNNLQVIKKSFYFQFNDNNYKEKFLQKVRSRVFELSFHY KCLYSSDTRIDKKHFI >CAK69635 pep:novel supercontig:GCA_000165425.1:CT868071:36730:38400:-1 gene:GSPATT00038076001 transcript:CAK69635 MNSNQNLILRVTFGEVKQKLIIDDPQKQINDLKKQIFKNFLENGINIDENQVLLQDEEGF ILNESETIGNLLKTNDIVKVVQQEQKQNIVNQQQQQQIVAQEEQQEQLQQDLNQNNDPVE AIVVLFDISGSMGGMYFKEEELSRIGAVNAFFSAFADKTLAFEFNHIVKLVWFESFITDK CDFTNDFNNFIKLVDDASPRGGTKCYDAIAYAIEQLKEIKKKYPNIILRIIALTDGDDNQ SKENPQSLVNRIFENQIIIDSFVVNNDCVGLKTLTHATNGRCYCPQTLAEGMSLFEIESI LSISHREQKEYPKELQDLNSLKDKPFDTDGMKVVSMDVQKIAVMKKEEILKKISQIESAP QNSSSTSQSVNNTARILKELQDVTAQGDKLNFKCYPTADDIKTWKILLYGPKGTVYEGGL YILSYVFTQNYPFRPPKVQFITKLYHPNVSRGGSLCLDVLNTSWSPLLTTTKVLDAVSVM LQNPNADDALDCNIAAIYKHEPELFKQNALKEKLEAASPSEDNLLADILGAVDINSQEYL DTKKELQTWLEYQKSN >CAK69636 pep:novel supercontig:GCA_000165425.1:CT868071:38868:39925:-1 gene:GSPATT00038077001 transcript:CAK69636 MKTRRSISAQPSYQSEEDYIERNKHICKSIHPKLTFRQIRNKLSSEWNVLSNKPKKQTRL DDFVIQISSSPNHNEKPIPQKQQKKTTKQKQLKNHKISKNQKPLQNKKLKQITISPPPKQ VPSIVITDSESLKISLSSQEEKQPIVRENPVIISTNSLQIKEPNQQPQKTLPSIKKEESK CNFQEKQQISKENKKPKIKLPIQKLKLRRLKKLSKLKSKGKPGIPSEFQPVLAQDFINSV KTIDHVSMITISNSQVDQGQVGLDEIKSIVSHTYGIIDHGLSNSERLNFQLFFEVEFQPK ENGYVPKNTFCTSKQAAFYCKEMTLEYISKHKDIFTQNDEYYLK >CAK69637 pep:novel supercontig:GCA_000165425.1:CT868071:40433:40540:1 gene:GSPATT00038078001 transcript:CAK69637 MLFDPKQENLPYTFALIKPRYNSQTKCGVGGCKQN >CAK69638 pep:novel supercontig:GCA_000165425.1:CT868071:40655:42047:1 gene:GSPATT00038079001 transcript:CAK69638 MDEIMTYMLSGECVMFLLCHETENPIMVWKKMIGNKDPAEAKKADPQSLRATYGTSIIKN EFHGSDDPFSANKERDIFKFPIPQKIPDFKFDKMLVSLETLFKFLYPPNLEHSNALERLD IFAIYGPCVNYHSVDQCLCRECAIVGKEHLEGVRESLIATEQTKLGIKIRPQPTTATKTQ LPQRVQLPPIRLLKEEDIKQVYQQLCEKCQFHCNGYAHLQGGRNMQHVMTDQELNTLAKE MNKQEILELLYSEKGNAANVMIETIDLNEPNHYTKEMIELLFQELETDYYNRFKFYHLQN VILEDRRIRMNAWMSILINKPIHRFKNPKLVCKVPPEMRKNPESIHYTINRILPLNQTYK KKDITKMPLDFPPNIADKEKLNPNEEKLALLKKLHRDTHHMVEIQDAKKVVSNGVYLLRK YNDGRNGDWDNYSSLKGMNRGSYVNYEEKKKKEKL >CAK69639 pep:novel supercontig:GCA_000165425.1:CT868071:42227:43960:1 gene:GSPATT00038080001 transcript:CAK69639 MEVTIELQGQYQVESFETILNEKSTLLNIAEIIQEAQYYEQFNLSELFCLEVKGQNKFIS GRQTSIKACLIQYKIDIRVLTFYRGLDGQKKMNKGVVLDQNIRVESNTNQINQQVASPEE DIWKVQKNTQQAGGVIIGGGNISASQHLKNLERNINQQNQKVNFDAPNQLETVQSYSNQP IVQQNSIINSQPNQIGQAQVNLQQGTYFGKNQQQFNQQQSAQAVNQLKIQEQQIREPQNQ YQPSNISNQGGYEIGGDVTQSQYIRQLQQNSQSNIERQHQQQIDPLLTMQSAQSQQSSSI KIKDMVDIQQSQQSQNTNQISSKIVVRQQIQQTQQDNTQPVDHLLQRQQPQQQQQYNNNQ PTDPHSSNQQPHLTQQINNQQSDLFSRQQVYSTQNTQSMNNQSVDSFSSRQQVEQALSTQ QNSNQQINPLFQRQQAQSLYQNNNQPSDHLFIRQTQQQQQQQQQLNHQYVESQLTKQYTQ QPLENNIQLDESLFSRKTVQQISQTSTSKDNKTNISLNPQVQPEQSIQKLYQFLLHMNPS QEKSLIEFLQEKQIQYKYTQN >CAK69640 pep:novel supercontig:GCA_000165425.1:CT868071:44000:44651:1 gene:GSPATT00038081001 transcript:CAK69640 MQIQRKDHSKLAIIGNVDSGKSTLVGVLTKGILDDGRGGARERVFNYKHEKENGRTSSVA QEIMGFDENLKQVLPERFNQNKNKYWSQVVEKSEKIVTFLDLCGHEKYLKTTIFGLVAMI PDYSLIIVGANMGVSKMTREHLGVSLFLKNTIRHRLDKSGHSTLKYLQRNYRTYQISDKE SCDQKNSNII >CAK69641 pep:novel supercontig:GCA_000165425.1:CT868071:44665:45581:1 gene:GSPATT00038082001 transcript:CAK69641 MNEIDKWAGLMHGNNVVPIFQVSSVSGNGLQQLTRFISKVPNRDQLNKAYQTVNDPLQFD IQENFNVSGVGIVVSGIIRSGKAVLNQHVLLGPDKAKQFKPVTIKSIHINRVLTDTAQVG EFACFSLKPSKAGDKLDRADFRKGMIIIDPALKQEPVTEFEANIHILHHPTTMSKGYQAV MHCGVIRQAVEIKNILQNEVLRTGDVDTVRLKFLYAAEFLQTDQILVIREGRTKIFGYIS KLITDKQIEDEKKALVNPNLQIKQQL >CAK69642 pep:novel supercontig:GCA_000165425.1:CT868071:45625:46349:-1 gene:GSPATT00038083001 transcript:CAK69642 MFLENENMSMRLKIVEDRLGFIENLTQLQDKRINELNKQLDHVIHQFQGLNLDRCRNDEG LTYKIERFEKTILMLQREINQMTTHQDERINQLIHQLVEPSFKDIDIQIKQESQRLQLQC QRQLDEMYEEIMNKLKAPLQSSFVQGGRVSVLESTQHEDPKKKIDEEISRRIQEENEKRR SFIDSDSKQHKNGQLSTEKSRAREIQNRLNLERKAKQEKINQLNELYQFRKH >CAK69643 pep:novel supercontig:GCA_000165425.1:CT868071:46384:47508:-1 gene:GSPATT00038084001 transcript:CAK69643 MKLILIALLGLTLTQAIFVKRSNDPSKAVFTQLEAMEEHELGRKLLDTIALQLNNKAPLG DIAKMLQQLRENLILNQQEADQKHAQDEVDCETEIYQYNRRIDFASNEITESTQEIQSLS AKVEQLTQDVEDKTVQLDILNEQETQIREQRAEDAENFKKFENETENVIEAVEVIIQRLS SIQPDQEVLAALTQLNKIGATNPILALMQVASTFSAEQLNNVINKLGEVSTQLAEALEAA RQTEIQAQLDFEALVIEIGSQRESLSAAREDSQRQLQDNQQALDLQKKRKEDATDELNAA SSGKEQKEAECDAWRTQYAEESEHRQQEISIIRQVEEILATKLSNVKVYLQERSSA >CAK69644 pep:novel supercontig:GCA_000165425.1:CT868071:47689:49073:-1 gene:GSPATT00038085001 transcript:CAK69644 MVSAFSIGKAQRSTQPRSETPGPGAYQPMKKQKMTPPSFKFGSGNRSGLLRVFAPGPGAY EHPSRVTKEGPKYSFGLKKPTILAKQGPGPGAYNPNYRTMVKALPNYSMGAKLSQTYSTL QPGPGAYENPSTVVGVPCMRFPNSKRDGFYDVTRTPGPGSYLKRPNSAGPQYKFGTASRG AFSEIKNPGPGEYEARSEFNVSQKGFSMLSRRNQTQQEYVPGPGTYNWDKKQKLRPPSYK IGNETRDSLNRELLRTPGPGTYESRYEFARPKSAQVRIGSATRRPLSDTRDIPGPGTYDL NTKMGEGPKHQILGSKYEPTTTLNQPGPGAYNPNDGPSKQRPASAKIGTGQRAELNAGFG KDAPGPGNYNLRGSSDGPKWGFGTALRPNLNQTDQSVPGPGNYTLKPTFADVPSYLLNK >CAK69645 pep:novel supercontig:GCA_000165425.1:CT868071:49516:51898:1 gene:GSPATT00038086001 transcript:CAK69645 MAKQNNKRQFLVPEYASELSSLSEFLAEFRDNSIVEIDNTYGQRKYMVELQKIANRQTNR IDIYVEDLEYFFNDRIDFVNKIKTNTLSYQRLLYDACDTLMPQQSRDFDQNFDLFDEEIN VQRQQNMDQDGTNNQQKRLPPELIRRYQLFIIRGPQTKQQDLQLQQKLWQVRTSEVRPQI IVACFSCDACGYENYQTVHGKTFTPMLDCASDKCRDNKVRGRLIFNHGSSKFISNQEIKI QELKEQLPKGSIPRAFTVMARGDSNIRICSPGDMVTIQGVFLPVEKEGFFANKASFYSTY IEAFHIKRDKKKFKEIDIESVSGHKIFEDIKKYPFSDLYMKLAKSIAPEIFGMEDVKKAL LLMIVGGVSKEMHDGLKIRGDINVALIGDPGVAKSQLLRYISQVSPRGVYTTGKGSSSVG LTAAVIRDPITGEMALEGGALVMADRGVCCIDEFDKMNESDRTAIHEVMEQQTVSIAKAA AANPLYGRYNKKQTPHQNINLPAALLSRFDLIFILLDEINHEADTKLASHIGRVHQNKYK ENETQDLYSVEEITTFVALSKQYEPILTADIHQYIADQYVERRKQTFDKTLDGYSYTTPR TLLAIIRLSQSIAKLQLADRVTQRDVEEAIRLMDISQESVRRAQQIDDTVQRKDKTAKLY ELLSSLCNKNKGTITKDSFVRQAISKGSQMQEIEEFLNTYSSLNQIQIHGDQNDRITLVS >CAK69646 pep:novel supercontig:GCA_000165425.1:CT868071:52518:53803:1 gene:GSPATT00038087001 transcript:CAK69646 MNESSTISTYLRTETDEVKEFSSNIMTQRKKIPQLKNLISPRKSKQVKFNPIIDSVRSSQ SQRSSKGNLGLQTQNNNMIFKDSFELFLDGKITSVELLQLSNYPRLRQQLMEDHADSKGI SILGPLVRFKNKFKHKQVRNTQDDRSVYLKSKLQGLNNLIHTRMMQKKEDNETQRRQNLQ NVGLFKTIVDASEGIEDKLAQTKDPFAKKIPSIRSLKTMTVSYDDLKPIIKNAKESPAIS KIFLKNNLTESTKKYMDEASRQHYLKQQELNKLTKMQITKQSNSKFSLYNKDVLTSKDLE LYDYLHNKPKPIVLRNHFTEMDEETIPTHEIIATKIGNQRLKKRYHKTEQKIHEDLDNES HTSSLQSTYEIHLDNLYSQTVDLKKQLTKKTTLPKKVKQMIKLDELNQQSLQANTFKLTH >CAK69647 pep:novel supercontig:GCA_000165425.1:CT868071:53827:55537:-1 gene:GSPATT00038088001 transcript:CAK69647 MKALLQQTMQQIKLDQELKKLLAHRSDSQDILMKLQSIFEQALDDHTELYWKKEHAHTKA QLECSIQECLSLRHDLREMDEDRTEKQKIIKEQNQQINELQEALEEIEQKLNIMQENVLQ QKQELAEEFEQNLNQIQDSYKQKEQEQFQNLQQKLAELEYVVSDQQAALTKERELQQHAV KDFKQLKASLKTEQETVTQLRQQIQSMKKTNETLNIDKQCLENRSKQQKQQNEAQYKQIK SLETKVIDITEELERYKKKYQQEQANIKTQQNDQEKIYKRKLRNYKLKVDEIRNKIKSNL QKIFQSLKLDMETFRLQILKELQLVSTQTCQMVAKQLKLDNDLAQREFSDKMEQIRYSMQ SHFKNTLEKQIQEFESKDIQMKNEYELIIKTLKAQIQGLEDVQKDLEREIQLKQMESQEN QLNLQEKVEILTEQQKQLQELSNQAKDQLNLLMEQEEQIKALREQNEKYSRKAMQYEDYV SKQAILYQSQKVDEKMFRKQYEDVTESNQHLKKQIKILQEQQQSDQFAITKLKTQVSSAK LNTIKDVEERHLIRLQNIRKI >CAK69648 pep:novel supercontig:GCA_000165425.1:CT868071:56033:56254:-1 gene:GSPATT00038089001 transcript:CAK69648 MRCKESENQIINAHLNFQSAFTLYKKEMAYTKENSKKTRNGDAKLNHYRVPILKQTKSNQ KVEINAELQLFQD >CAK69649 pep:novel supercontig:GCA_000165425.1:CT868071:57234:58844:1 gene:GSPATT00038090001 transcript:CAK69649 MGCGSSDSSSANNPRKVERQKEFTGEKISSEIFVDLKDGNIYEEYEVRSTLGEGAFGCVK LVVHRKTKMAYAMKQIKKMGLIKEDQKILFSEMDILKLIDHPNIVKLHKLYQDNIHYYLI TELCQGGELFDRLTSEKNFTEKKAAEIMKQVLSALTYCHERKIIHRDLKLENILLETKSA NSNIKVIDFGTSRKVQEDEKLKLKIGTLYYMAPEVFQGQYDLKVDVWSLGVILYILLCGY PPFNGDDTTIKKKIQKGTFEFNETEWRSISKEAKDLICKMLQYDPLQRITAQQALQDPWI QSKAPNTPVQANALNNLKDFYSTSKLKNAIQLFIVTQVTSYQEKEEQLKQFKAMDTDGNG TISPEELKKHYSKIYGQESAEKLVQDIMKQVDINQSGQIDFIEFLVAAANKEKLLSQEKL KQVFQMFDKNGDGKIQRAELQYIMSGIRIDDTQWKNILEECDKDNDGEISLDELIMLMQK LK >CAK69650 pep:novel supercontig:GCA_000165425.1:CT868071:58887:59261:1 gene:GSPATT00038091001 transcript:CAK69650 MKSLLFGTLLICIALSQITYEECKRDCTMDWLDCTSGCDSIGNPSYCHKNCQNVVHGCLD ECPKIICIDECRIILEQCRKNGAGICEVHFNQCQLICEDTYNENQDI >CAK69651 pep:novel supercontig:GCA_000165425.1:CT868071:59342:60541:1 gene:GSPATT00038092001 transcript:CAK69651 MKTFVVICLVAAVFALDTSKFAVLLQAGTRGNDAVESVYNLLRDLKTENVNVQAAADKKN NTDEEIFSQVIGDLTNVASLNKQQWERLGAVRTDVEAQVRDGYQWLAWAESRLAEIERRN AQLQDQRCWANGLFVKSLADHGDAVAVVQLLQQDVAGFLTNNAGVELVEKAQSIADKLSA YSHLFQQDALQKFQSLAEVKREGTTGEQVLQILFDLQAELESTLATLQEQEIHAAFALAK YVSDTNAEVAWLNSEHERRTGLVEKLETQLPAVLAQQAKALKLWKDSLNAVAGATADLEE KREFYASETARRAEENAIIDVVIQLFKDQVRSLASQTSLGRK >CAK69652 pep:novel supercontig:GCA_000165425.1:CT868071:61613:62318:1 gene:GSPATT00038093001 transcript:CAK69652 MLTSSIVSVDSSKVYKPKASQVGLLSTAISKLGDSSFVSKSRESTNMSPVRMRLFRDSSP KQTKGLQGVVVGEYHALTPTHIVFSSIHKSTSPLRSGRNYNESPQRAKVRLDRFKNWDSK LGLELQIQNTKFTRLNNELTYLSHQPHHQTTKSAIFLGSNLSRLGVGGNQSDIRVLTAKL NSIPPSQIGTFTAGHSHELSSLQQSLSRIMKSSRQY >CAK69653 pep:novel supercontig:GCA_000165425.1:CT868071:62357:62883:1 gene:GSPATT00038094001 transcript:CAK69653 MDIKLITFSDTFIIYKEIQTISDLKKEIKRIGIQTRDIIIYFYDNDSDKVVITQDEDLQY AYQQSKALNRQTIKFYVYYKLSQPQYQQMGTQIKISTQQPQIDWINQSFQKESSQSIIYH NIYNNNSPLISRTSTIIIPKQSREEKLKLAIDSFIDQILKDEYHI >CAK69654 pep:novel supercontig:GCA_000165425.1:CT868071:62924:63508:-1 gene:GSPATT00038095001 transcript:CAK69654 MSAPFFTVKDVPAQDFIHAYAEYLKKNNKIKIPEWGSIVKTGLGKEISPIDQDWMYVRAA ALARKIYVRGHWGVGNLTHMFGSVNDNGKHESGSGKVIRYLLQQLEAIKVLKKDNKSLLK KGSRIVTKEGQQDLNRIATQVALAARK >CAK69655 pep:novel supercontig:GCA_000165425.1:CT868071:64498:64728:1 gene:GSPATT00038097001 transcript:CAK69655 MTQSKHWLFLKKTSELQFQTLQQMNKLMLEKKPQETNNTKPLLLRLLPSMMLLKLLMMLP NSSNI >CAK69656 pep:novel supercontig:GCA_000165425.1:CT868071:64728:65364:1 gene:GSPATT00038098001 transcript:CAK69656 MKQFTRDLPTTHHTANSYNQSSFALTELATHGVNQKALTKIAQLLSEIRQQLVSEKATKT DVEDRQAAHWAEFSVHLSNEHTRLVERKAQLEVQIQEQKDTIEDAQSWIEFHTLELENSE ERLAGQQAWYAVQSDIYETQTAERAAQNEIVDRLQEHISEKLSTTAQFISKRN >CAK69657 pep:novel supercontig:GCA_000165425.1:CT868071:65364:65965:1 gene:GSPATT00038099001 transcript:CAK69657 MNNSSLCILCFQQSNITNTDLPVCQSCLKQQAQNLEQFEDQIDKIHQQDQAKQDDSSSIS EIIPQKLYLGNYIAAKNKNLLKKYQITHILICGDFLKQKFPDDFKYHQIMIQDSLNQSIL EYLDETFNFIDQAQNVFVHCAAGINRSPAIVCAYLMKKNKWNYDQAFQFVKERRSVVNKQ TNFAKQLNLYEY >CAK69658 pep:novel supercontig:GCA_000165425.1:CT868071:66265:66972:-1 gene:GSPATT00038100001 transcript:CAK69658 MSAQDDPDYEPGNGRKFTFKEFSQDALEQLAIAKNFDKLYKLAEKAPQHTLTYEKLKFND EIYKTGESILINVKNFEFIATIKKIISIKSQKNDQELPLVIINLYCNKDKIASQYQEQKE YMGMSELFLTEEEHAILVDAIQSKVLVLSYEDYEQYEFKDAVYFTRAFYNTKSEEFLPEV SKWPKVCYCKKPQNPDLPYVFCDMCNQWIHLKCEGLTEEQVQNIESFICTYCKGK >CAK69659 pep:novel supercontig:GCA_000165425.1:CT868071:67486:70689:1 gene:GSPATT00038101001 transcript:CAK69659 MSDLVQFKELRQKGIDEFHTSNDMVYNIISKKWLEEWLESKEKDHPIDNYSCVNSDLLQS KSSTIFKYDPIQSHMWNKIMLPNLQEGVDYEILDKASWEFIAKKYHTTAIERDATIVNGK KQVNVNLVPLNFGAVFPSSLRKYNGGKTASLIKGDQYVSRTCKLQSFIELLASTLQTVSG YEFLRRDGIRLYKAPIGMTISEIEKHISEEIKNLGTYDDVVFDFKDGDYLDPTKYETIDD CQIAPGQVILGDFKEVQKNWVIKHPFFPMEGKCEGCYNFKVLQFPCECKKVSYCTEECKK KDEAYHLPRCEKTDSDDETMDKLQRIEKSMDGKVGLQNLGNTCFMNSGIQCIGNTFPIRE YLLSNQYKQDINELNTLGTKGELAQKFAHLLRRMWYAERTPIPPFSLKRAIGKFQPQFQG FQQHDSQELITYLLDGLNEDLCRIKQKPYVERKDYDGRPDFEVAKESWEQFKLRNDSIIV DNLYGQYKSTLRCPNCNKISITFDPYLMVNVSIPQNTTKKLEIQFIDPNLLWDCQTLIYN YEKTQDPTLGQILQSPEIQDKIQQINPTDLIYICTSAYQHDDTDENDKMSSLRKKLKYKK LYIRKALQSELNIEKDNKICIMIHESFKQNAQYQWKREITPAFNFYFDKTKTTHADIHKF IFDIHVGVLATFEDLPKYQGNNWSEYYEENILDKVYYLEFKSNQNWQVECAYCNAKSCND CVCAYVQDTCIEKYIQKEPKLEIEVFVVWKRGFKSASSVDELYNDWLKHHQRKQTDVEEL PKQLTDQEQTQEVVDDAKQQENISDEQSAQKQNFTTCLVPIEPAIPTIQPTNVQGATEKS MKLTECLKFSEQPEQLDEENTWYCNQCKDHVRAFKVMEIYKTPKILIFHLKRFKNSNKFF KSKLETLIDFPIENFDIREFVQNHHLPSDFANENPQNSKINDPYLL >CAK69660 pep:novel supercontig:GCA_000165425.1:CT868071:71012:72946:-1 gene:GSPATT00038102001 transcript:CAK69660 MNLQAIKEAAQQIKDKLTKSPLEQTLSEATSNENWNTPTKLLQEVAEASYGYTSCDTIMK FIWKRLDSDNREWRRILKTLNMIEYLTKNGAPRCVGEFRDNIYKIRSFSDFFLVEQGSDK GLSIRDKTKQLVDLLSNEKLIEEERESAKKIRERLAAAGGVGAIGSNTSYQGYGSNSYEG NKPKYGQESNSSQSTNYQNNNGGLESGGGLDRYRGTSNNNNNANNNTNNNHTNNNTNNNS NTNANISCNGISWTTPSQQTSAVPFSEPVMRLAKPGEKWDVPGPKTQQQQQQHQPQQQQQ QQQPQQQQQQPQQQQQQKNLLDIFDAPQQTPPQQPQIVPPLQPPPSQQANNQNNEWGQFQ TATPVSNQIPQPQVQQKVQTNLLPNDIFTPPTSQQQQQDPILFGQQIPSQQNNQQQFYQQ PQQLLYQQNQQQNTYQQPNLYQNYQINNYQQQQQNQNYVKPQVPTYQANQTKQQQDEFAF GDFVSATQPKSTNTNQPDLLGMLDLKKEKQELEQKKQIPIAPMQNQQPQLYTSQAELDSF QFNYQNKAPVYGQYQYQQSR >CAK69661 pep:novel supercontig:GCA_000165425.1:CT868071:74334:75601:1 gene:GSPATT00038103001 transcript:CAK69661 MLKLTLCVLLVLGATAINVQSSVWTNRDQKALAQIHTSGWGKFILNYAELHMQTGGILSE LNSEIEKLVGELEEELAGVHHEFNRRTDVHNREVARLEQEIQDKERELFNAHDFYDNVLI PQRDRFAAQLEQLQENIAQNRRTLSEAEVKRENDHETFESEVAEHNDAISAIDECLQLLS TIATPSLAQIKKVQKNLSKIQSSLKKHNQFQIFVKVLLEITVESNFADQGALRDIVVAFN NLRVELVDSLNQITADEAQAVTDFNNQVIQLNQEHAEFQRAVVVKNAEIEANATKIEQTL DLIDELDADLETLNGQLQAENDDYAFATDVYNATVAEYNKEINAANQALELLNQPRFQDY VKSQLKGA >CAK69662 pep:novel supercontig:GCA_000165425.1:CT868071:75647:78814:1 gene:GSPATT00038104001 transcript:CAK69662 MSQTPPSEAQIQTLKEEILQLQFELSQYSYLPSQSIRQKQEADEKDEFYNSEIEERDQTI AKLKAGFSESDSMKLISQLQDQLKQLNYDYDQLLMEKGSYQSDTKESQNQISDEPIQTKE NEIITLKTQIQNEKELTLKLQQQISTLQADIENMINEKQMEQEEDNNEEIQQFQKQIDMY KQQINTLESENQKLKAIQTESSNYAHQIFKLEQQISIQVNQITQFEKLKKQYEELKEESQ NYEETEEKIKDLEDQKDLLQKKTNQYLNEINELKEEIQVLKLKPSTVLINQSDNEEILRE NEQLKQYLNEIVELNTQLKHDNFIISEEYKNLKESNDQIVTESAKLLDENQYEIDSLKEQ LKDQQFLEDTITNYKQAQEIYKQKIQVMEKEKNDLQETLNQEKQKYENAFNQVKELLQKN EFDQQKLIKCEQNEQDLRDQIQQLNVQLEQIQFQNQKTTFNENNAQQLLNENNQLKQEIH QLQVASQREAFQKKDEFAQIKEQLQEWENLTQLVSGNLNAINSKDVKVQQLINCFLNYQE TNLSHQNQIEILQNELKQHKLELNKSNSEKLNLEEQLVLQKASEEQLKQKTVRKSIYHNQ IQSSDDLKQQYEILQSKYDSLLLMKQRQEQSEKKKILEKEQIIQLELENSSLKEQIQQIT TQKEKIRSKRESLAQNIKNVNDTIIEDFNKKIQEQNQLIEQYEQKIRDLDQQLKQQQFIQ VDQQEDCEQLETVEEENHIPLIRQFDESEYTEQIQLKEQNELLWKQINELKQSQNNDELK QLRAQNALLKQELQQYKQNIQQMSNIEGQFQQYSPLNILKVQSFLKQKDNKKPKDKIKKQ QLVLENESNPVSKKKEIIMQKLIQEQNQKINKIQDNYEQLMILLKNIILDIKQKQNQLKI EELTKKSQVLQYLSKLRQSTEIILNKLVLVQNKNEIYKQQYQQQQQQIQDSEDLI >CAK69663 pep:novel supercontig:GCA_000165425.1:CT868071:79279:79887:1 gene:GSPATT00038105001 transcript:CAK69663 MQMQIPKDIVRLQFDYQSLISRYQGLEQVCKKQANELAQLKKINEEVKSNAENQECGKCK KLQVQIDQQKQHNKESNLEIESLQNSLNLKKIQFDEFREQVQNEMENRIKQFENLNQVTL ERLDRVQKDNSLFEILLRERDLRIEQLNQIIYNQEQQLQQESQEDNTWKKKFIKINKDYH KLLSEYNSVKADLDAMYVSQCK >CAK69664 pep:novel supercontig:GCA_000165425.1:CT868071:80401:81582:-1 gene:GSPATT00038106001 transcript:CAK69664 MRRNADLDYVVRNPIIQAINIVLTTKKSSKFGILSCAAGFLLSFCCYLKFKRKQKKITTL QDKIKLQSPLNKNLLILLDESWRDGKYDEFTYLLPQYKQYGKMTIFKNIFLYVQMDTNMQ LGNLNFSVNSNNLDQIIRKKVQRTDLSQALTPKLTQFVSENIHIDFIRKSNMISSAFLKD FDENQIYSGLISELKKHPKQKLLIFEYISQKIVGTNTLFDGNQITWEYPTPFSVSKGNQL CMKCTAIMLDNEVRVTNVKAIANDFVRLKYFLEANIMGKLRILKTKINLTKISMVIFGLL TIYQVGKYIMNQLEPYMLKKEYPGLDQIKSKFNFAYCNSGTRCIHCKSRPASFIFIPCYH LQCCKQCFDERNQIECRTCKITIYCGIPVFVCK >CAK69665 pep:novel supercontig:GCA_000165425.1:CT868071:82181:82694:1 gene:GSPATT00038107001 transcript:CAK69665 MKGQAEQQKGATKTVKKVKTTNKRAPVRLWVKAVFTGFRRSKVQQNENQALLKIEHVNDV ASSRFYWGKRVAYIYKAHSLKNNTKFRAIWGRISKSHGSNGVVTARFGRNLPPRAIGSTL RVFLYPNRA >CAK69666 pep:novel supercontig:GCA_000165425.1:CT868071:84084:84822:1 gene:GSPATT00038108001 transcript:CAK69666 MLLKQFQVNRYYVVIHQKFEIHKLIIGLKIEIIVVEDAIIKNELKGYNTVNAQITSVLSN VNARLVDNANVLTVSAVIANAQINNVLSNANARLVDNVSVQTVSAVIANAQINNVLRNAN ARLVDNVSVLTVSAVIANAQINNVLNNANARLVDNVSVQTVSAVIANAQINNVLNNANAR LVDNCSDCQCTDKQCVEKCQCKTGGQCKCTNCSCQLPQKQDIQ >CAK69667 pep:novel supercontig:GCA_000165425.1:CT868071:85014:86103:-1 gene:GSPATT00038109001 transcript:CAK69667 MRQQTSSLIQLLCKCLKFQKTKSQSYEQIKNPIEIPQQTERSQGRKTLVIDLDETLVHSS FEPMKVNDLIVEVTMNDQKYKIYVNIRPGAHDFIEEASKYFELIIFTASISEYANSVIDF LDPHGLVDLRLFRENCTVYKDILVKDLSLLKRKLDSVILIDNSVNSFMFQPMNAVHILNY FEDKTDQELTLLIPFLKLLSQFQDVRPVHEWLFKYAHFDKFEYVDVMGIKQLFFDFPTSS DSQRDKLLSPADTPKLEEHKILVKSGLQAKIAQIQKEEDINDELNDKQNDSMSEDRCTQT PNKIVVNEEEILRDNLNINSPNAGGKQILFPSLK >CAK69668 pep:novel supercontig:GCA_000165425.1:CT868071:86921:88334:-1 gene:GSPATT00038110001 transcript:CAK69668 MKTKRHIQHHLFDSNILEQYKTNKNGFFSIVLTFLLILGSQAADFDQQVEELQNSNFGQT ILQTILMELQTGDPVVSNLIDMVQGLETTLENEQERDDKRIARITQNCDIDINTLKDQIN QNTVNSLTLKSELDSLNPQKVSAVASLERKNNEINDLKAELNYQTHKRETETITYQVILD NLEQALFGVNQVKGYFNSYLDVLVKNRKRFDKPEPSFLQETYSFKYDQSEVDDAEDQGQQ FTSFTQVAAKVNKLKHHVHLEGYRAMLEMMSRLAAKAQDEPSQAEVLTRKVLSILKQIEN YIQSERIREDQAEALRSGNFDLLQTLLSDQLVQASQDRTYMEGLVESLSTRIQQASNEKF EVDSKIVAKTRELDNRETDCRLKKNEYDTDTANRIKQKRVVAVAVDLISSKLGQLKRKLL AN >CAK69669 pep:novel supercontig:GCA_000165425.1:CT868071:88999:89769:1 gene:GSPATT00038111001 transcript:CAK69669 MSLFHIIKSVLYYLLLPLVFGSTVDISKSQNVLNKSLQGKTCQDKCRDNDDYDRCYIDCK MEKEKPMIQIISITCIVLGLMFILFLIWKRNQVLRLFRCIRNRRTIMMESFLNNAILIKN NSPLLNQLRKVNKLLSQQKNELIFIQNGKLHKEENVQITCKIDEEKQYIQITLLGNDKYG IWSGSGFTIMDFNYQIKIWFLKDYEEQREARISGLWEHLLYQGEYDEEVKIFSGQWHYDG FENDLTYSGTWLLKLI >CAK69670 pep:novel supercontig:GCA_000165425.1:CT868071:89955:90842:1 gene:GSPATT00038112001 transcript:CAK69670 MLLSTSKLTTSKLSSSQLQSPKRSTINYLSQDLDSPARQRIKLLSDKWNHIQNGIDKDKL EKREVLEERIKIIEDVLASEKPKDEQKFKVLKDQVLKLQDQAHNQKSEREAFDDKKEKDF RTLSDNVALSFDQERNARGQAETKLQKQIDERFAQITLTITRNTHQYEDRSQAKIAEVLQ QVQLVKNQLDQERRSREEAAESLTEQIDSEINKFSDQLLVEKKVREETQGKIFRMIEDVH GKLQQDISFERREREATTEALLKLLEDACIKIDKNFRQF >CAK69671 pep:novel supercontig:GCA_000165425.1:CT868071:91137:94095:1 gene:GSPATT00038113001 transcript:CAK69671 MNSDQKISLLLPDGSPYNFNVKNKDEVYYRQIAKEIKLGIPEEFVAVYDLKQNKVISKYY DKLEPTSVYRIFHLQQAASMIQNKDQEITKYKAEFQDLQERQKSFEIENQKIQLQSGTNV KELQEKLIEAEKQIQVGKDQIQQQRKDIQSLQYDIKVSSSQQDLVKQDMIKQLQEKDAKC ETYGQQCQKYIKDIENLSNQLQLQRQNLYQVKEQFQQYQQQFSNSLQQWQQHSLQLESEK KKFQLEKNQSDSEVKRLIRTIEQLEDDARTKKSEISRLKRDKQSLESEITSLQEDITDLN IEQDKFGASLRKLQSDIALKEGEVQQKNKEISLQKQNLEKINQMLASKERQIQELQNSQN QQNKNERSYDQEHILNLQEQVKQLNEQNDQLMKTLESVQNQTSEQQSKLNSEQSQAYELQ VLKENLEKKLKQCQEESKHKLNQKQQEIESLKQKLENELDMVQKNLQESIKAHKEDAERW KEKEQNYENYFEKFKLNLEEVKNEKIKFEEDANKQRQELLNQIYECDSQLCQKQLELIAI QNSAIVPVYQFRIQRLVEQVPREYFKEIEFAVETMMLNNVNPEKFLQDNQGKCQKLTFNM SQILLNKAKAEVTLYNISDQDYRKCVDITNIGKITGIQCSVQVESDSTSLDRYYFFKCLE CPNKKQFEGKIFGIKQCKNQDIEDLEAKETAIDNCLSTIIAQDVMNKFVKELQEKKAENI LEYKFREQYVIETLKDFKQVLSEIQGQQLAIQDQGIKNYFEFIESLTGEQSNEFYDFTRE YSTDRNQPADGNIIQAFLKVYENQNTMDIQELQDIQKLQEIYAKFDPKTIKNSLHHFIDF IGGNMKEMPQRLYYSIQEIEVKPDFKKYNGGHLNLDSSQEGKFLSAFTYYSIVKSYGQMC ISHLQGVGNQLYDPLVSTYDGFLDKLDQGFNEIRTIESKFQSESNLNKGIEYMKALGIKW N >CAK69672 pep:novel supercontig:GCA_000165425.1:CT868071:94882:95177:-1 gene:GSPATT00038114001 transcript:CAK69672 MKVCVFILIIGLVLCNQLNGQNNLRQDYQFAPWSLDCTNRCQSAGGVVCGYETQVCCKSG RCQKGWKSEQCGIWDRIHVLNCVPGPSSK >CAK69673 pep:novel supercontig:GCA_000165425.1:CT868071:95723:96308:-1 gene:GSPATT00038115001 transcript:CAK69673 MIPNTKRDLVAIEYLQQNVYPGLQPALMKLIDHVVKSDEVRKHQERLKKIKIFDKIEQKR VEKERLRAELGSEYESSEGSVDLDEMGINQSEFHKYMGKDQKEIQSPLPMDEQAQQGGAE EQISQDLKKDTLLSLGEIREDQEDEDQADKHELEKMKQFLRQQREEMSFNPLLFLAQQIR DIISANN >CAK69674 pep:novel supercontig:GCA_000165425.1:CT868071:97167:97649:1 gene:GSPATT00038116001 transcript:CAK69674 MLQRKIIVPSKRKQQTDSQQQDDFYFESNFETVEMEEITSMLAKRLKFENCEEGLNESRQ FKRCCFSDEKFVSCSPGLEILAKQQEISIMPNEKHSTKQVNQLKGGEKRSVQLKNITEFK HIRTGEINYLEFFGFPDVQKTMKAKRKKGNNKITKKIRKY >CAK69675 pep:novel supercontig:GCA_000165425.1:CT868071:97876:99483:1 gene:GSPATT00038117001 transcript:CAK69675 MNYKPISNDQHSLDIGEEDGELDIVVKRQEKPKSNQKSLLIWIVIVTFLGAILIYVGQSD WTTNGKNKTDKNKNFNFTKVNKTIIPDLKEKQADNLEHQDQLNNTVKEDATRNVTEGNSD KINKTFSDVSRVEDQQENQEEEKNIDFEELQKQTDQLNKEIKEYFKHKNETENQETVDVA SKDPRYGEVLCESGYYMNRDEKKCKKCEDRCAECMHIIGTCYKCQSEFYLNNYGKCIQKC EGKHDSSNLLLSDDNKNQSIPICLGNQHTSSLYMSVQKSKNTHFVLPYLIIHPATSVSLM YHNNFPLVYYFNKDIFNFEDGMKLNEIITQAQEKQLIVIIIGAHTLYDFSLFNTFLFQEA LQNIELLVKAKSSTFRSFFGQDYNAYGVIREYQSQYKNNSVDLVISDDPVEIDHEYLESN LLVTHEQHYTDYNFESLQGAQSQKTTVILLFHTKNEQDQKDYCSRFLYVSFLQCAVRDIK IDHIQRMRQGFELISQFVVQPDL >CAK69676 pep:novel supercontig:GCA_000165425.1:CT868071:99520:101313:-1 gene:GSPATT00038118001 transcript:CAK69676 MIIRQLRLFNTLIRPIQFRFIFQQKNKFFKMSDQPVQPPEQVAEGEQKSKKQLQREKKEA EKAQKKKEHQQEQKQQEQLIQEEDNCVGRYRDLNLIQSQERTNRKWTKVGDVDQTLVNQV ILVRARIHNSRVKGKLAFLVLRENYNTIQVVAEKGEFASAQMLKYISGIPVESVVDIEAL VKQPFKEILSCTQKVELEVRSIQVVSRSLPMLPFQIEDASRRITDFEDGVQEQKQEEPQQ KQDKNEKQQIQVMLKTRLDNRVIDLRTPAKQAIFRVQSGIGLLFREFLVENGFIEIHTPK LIGGTSEGGTNVFKLKYFNQDACLAQSPQLYKQMCLMADYDRVFEVAPVFRAENSNTHRH QCEFISMDIEMVIKEHFTELLDLMGDLCIYMFKGIEKRYQKEIEIISQQFPFEPFKIPEP VLKLTFEEGVKMLHEAGVNQDPHDDLDTVNEKILGKLVREKYGTDFYILHRYPKKARPFY TMPCHDDPNYTLSYDFFMRGEEIVSGAQRVHDPELLVKQIKEKGIAVEPLKDYIDSFRYG AYPHGGCAFGLERVAFLYFNLKNISNACMFPRDPVRLFP >CAK69677 pep:novel supercontig:GCA_000165425.1:CT868071:101561:102850:-1 gene:GSPATT00038119001 transcript:CAK69677 MIKPFRGTDGAQYAHNFKNTYLSEAELSKPSKEVSEQIAIHTKKQLDQLVFSKQCATMGQ LRASDGAAQQYIKYTPKQGDQQQTGQERIVKVQAQQLDPMEPPRFKHKRIPKGPGSPPPI QMRSPPRKLTLKDQQDWKIPPCISNWKNAKGYTIPLEMRLSADGRTLQHHTVNAKFAQLT DGLYIAERQSRKELEERNKILKSMQYKEYQEKEEENRQKAMEARQRKNLLLEQTTKQEDA SENQQQQELQAREELRYLRKRELERQLRQENQGKKSKTNRDNDRDISEKIALGQAQPTTQ NTLYDQRLFNQTQGVNHGFGDEDEYEAFDKPLFNDKPRANLYSGIQQGEEDNNNNNLRNV PVQFEKAENIFGMDSFIADPVAKKVKLD >CAK69678 pep:novel supercontig:GCA_000165425.1:CT868071:102854:103494:-1 gene:GSPATT00038120001 transcript:CAK69678 MIKAFAQNYFKSLSESICYGFATKMQASSTQKTKDSAGRRLGVKKFGGEEVFPNDILIRQ RGFRWKPGQNTSVGKDHTIHSKVEGIVHFRRDPYKFKKTFYVDVIPRENPNRTHYPPPPY SYHPELFPELAKNNPEPIVLLNKSEEKVEVQIKQQGFKISAKQYKPIEIPVEYKPEFTLS NLQQQLSIHKQ >CAK69679 pep:novel supercontig:GCA_000165425.1:CT868071:103541:104722:-1 gene:GSPATT00038121001 transcript:CAK69679 MSETSLITYSDREMESSLKDLLERILMQLEKYIETKNDLNAVEKELKDYENLTQLVNIIK IIFTNLMLKIERKIQKLENSIDPNMTQKSIRTEDEYEKLEQSVIKYESEIRNHIRLEQQL KLYAESMQSKLDESEATRNELLETTKNIMNNLKRENQKYYEENQSLQSEIVSFKEKIQQL EFEQQKRTIELDHVDYEVQQITKNMQQIKGLVQRKPNSKETNKTNSYSEHKLSSQFQEST DYPTQSQGSLKQNYFNILQYGQNHHLQSLQQSINQQQEQIKSYQIKHNSISSINDLIQQH NAIKNIKTHIGNYTVSKNNSQNSSMLQKNIHCKIFINHFIESIQTQPRSRSGSTKRNINQ KQKTSIHQ >CAK69680 pep:novel supercontig:GCA_000165425.1:CT868071:104840:109625:-1 gene:GSPATT00038122001 transcript:CAK69680 MELIINQISLFVQGALILEQFIWSLPLMISFALGVINELQNLAYINISYSYKAFSTTSEL DLITILSRPNTLIENTAILAYISVGFLFILVLSCICFIFILKSRSENLVIELQNAFNTNY YFKVLFIFVNVSINISKQTFGYIMMDICFQTIQQNINDYLKVFLSFLTIAGIFIIILFFV NMFSYNWALDFQREHLMINESNLTYYRIGIKFLQLSFSLYIYDDIPFRLTQLILPIISSI IQIYQMQKLKQYIYGSYYRVILAINLINIAICLQYLLHELFQQHQFKEIWVLVIILPFFY FQNQIKIDLQKHFINYQNSSIENCNFVLFQIIYNITKQKNTYKQKIVYLMFLSKHIKACY DIDCTCKQSPLEKNFQQISYEIYFKDLLSQYESLISTMSFKCTQDQQIFAYLQLLFFQKQ YLQVYQCFQIIFQKSDRTKFQNQILMTDNYKMKKKVFKINYLHKLIVLKVAQDLMRLDIA QQFSNQKNTLLNESQKIHYAIKAYLDVEGQCVQIRKIISQVVQQKINYLEKINSNISKEQ LEKLAYKSIKYQIDGLKQLKSFFKQTLDQKQQNILMFYQMEILNDMISSQNQKSSSNYND ETNLKFSNAFLSNQFSNMIIQINNKQSIKILKYKYNKLEKFDKLETLNFQDMIPNYIQVS HMKLIQNFIAGRQNKFYQQLNESYIQISPCLCKKIDLLMDLSQLNLTSIEMIVFFKKIKE SSYSIFLDDTKRILNIDDELFCDVLQFDGVFAQYFIGLDICTIYKHIDQLIQDKFYSLQT FYFVDPNKVNASFFMQSSQVKIQQQWNYHQSLICYQCDLSISQKRNEYGSSYYELIIKNP KRVYNNGDTQSENVIATTHLDESIIVDKPFAMEQSIHEEKIYNQQCILKSEFVCQNLMQS DIQQDEQLVAMKNEEEQHVSEELDMNTQKKKKLNQKLQTEGISSQQSGVSAMQKSIYYRQ FSLVYELTNSRKIPQIFKKMIYFRILLTIISIVGFGLTQYLYEIDLFHHFQKDFKLLGMK NDIFYPILSFQLIRLSIVNYNVEFYYKIITQQQYLEFLVYPKSRLIGSYEKLKNGISGIL TEPIFFTLYQDQYLNLEFLQKGNVGETSMLSFRNSLMTLLNYQYDTQNAYIQNGQADYES SYFYYNYKNLHTLLNAFTDANLVAFDAQQQHLDDSQQKIIVIFIVLCFFFVMIQFTLTVS DLVFQIQKEKLKILLLNQDQNFLNLEIQRLTLLQELIEKNYQQIQNYKLNFQEKDEYFGM IKQQCSHHSKHQQKKNTISPEYYKLKSILLTLTHFGVLAVSFIVLTTQIYHTYSKIKQTG RLYEVFANLNINVLSIYQTREILYYKSAFPFLNQTDLNQYYKIAQEGLVGLEQYTDQQWT FQPNDYFFDSEFSSLLDSFSNGNLCVELVVIQFKQSQAIDNSYNICNTTLGGVLQKGIST ALIDIKNQIKTEFEYTNFTNRSNFPILELEGITILSYGLQYILERFYSDLFYYNQQVEVD YNIITVACLCISIINGLLLIKFDQIIQLRNYKLLTKFIYSVPLAAILYDDNFLRNTRSYF VNEKLI >CAK69681 pep:novel supercontig:GCA_000165425.1:CT868071:110524:111703:-1 gene:GSPATT00038123001 transcript:CAK69681 MRVTQPVGIVQMTNIAIIKYRTNNNQKFEIACYKNKAINWRNGVEKDLSEVLQVYEIFTN ATKGDVASGKELLYCFQTNDKDQIIKTILEKGDLQVGDKEREAQLEHYYRDIVKFIQDKC IHATSGRQLTQQSIEYAISKVQFVVKTDKPVKLQALQCIKKLKESFFIKRAPMKVSISFI DEQRELVYQMLEELGLKDIVQQNNKFIVIIDPQLFRALSTKVTEKQFKSTLEVVEAAIKQ QIDGIDNIAQVELEQRLEKLQISDSEESDEEQNQKSKQKGPKKNKQQKKQQLQQQQQIKK QVEEEEQNQIKQIQQQQKKDNAKDNLGKQFKCTQCLISFDTNQEFRQHYKTDWHLHNTKL KQSGKICLSYEEFLDYQIQQEMTK >CAK69682 pep:novel supercontig:GCA_000165425.1:CT868071:112594:113538:1 gene:GSPATT00038124001 transcript:CAK69682 MKKILLISLIIVLSYQTVEYNTYDESFAKHLFYLTSASYCSEQHIKSWNCGKPCKELKPI TDITFFINATNENAGYGAYHPETDEIYLVFRGTLPWSLTNWIEDIDFIKTDYPYCPNNCE VHRGFYYSFLGIQDQVLNCLKSLSKKYPLAKITITGHSLGGALANHALVYLTTRGFTISK FYTFGSPRVGDKNFFTYVNQQLFPGPKFRITHNHDPVPHLPALIQGFHHVNQEAYYKDYL LVIHKKVQMCNDNLKEDDSCSNSNIADLSVSDHTNYMGYDMAVELLTCQ >CAK69683 pep:novel supercontig:GCA_000165425.1:CT868071:113570:114144:1 gene:GSPATT00038125001 transcript:CAK69683 MQQSDPNQTQNWGVTTFEISETQQQYPQQQFIPNQQPYPQQYQQYGQQPQQVAYGQPVVT NAYPQTVQVGVPYVQTVIGNHFNAPPQYNANGSPFPIQIICPACKTQGITNVRTEIGAGT YLVSCLLFLCTGILCCWVPCVMPDCQDKIHRCSSCQTEVGIKQYDMC >CAK69684 pep:novel supercontig:GCA_000165425.1:CT868071:114283:115258:-1 gene:GSPATT00038126001 transcript:CAK69684 MRKSLGQCLQKSSFLSQRQTNPDLTQEKKLGVRFATQYQLTIPDIVTNDPNQLELVRIKE KYKWKCEELDRTKQVMEEEHKSIEERANKLSKGEKEIRILASQIKDKLNVLGEQEQNFRE KVQLQEEKMKEREQQLQISMKQLEDQQNTLELEKNKLKIREKCLQEKEFIIDQNLREAEQ YVDKLKYQLQNVNQKENYYHQQIDKLNSGLQTIIQHELSIKNIEFNLSKQVQQLREVEQS LIEQIQNCRQQEEVFDQRDLNIQVSEQLINRKLEWDNKQIQSAKISKLNTENSRMEISNF VHSAFSQKF >CAK69685 pep:novel supercontig:GCA_000165425.1:CT868071:115944:116285:1 gene:GSPATT00038127001 transcript:CAK69685 MSLTELVNTYETRDSHHQQKKPILKSSQQKIYSTLPRLDWAGNEIIKGGSHKIAFISQEN TSRTFLDLLSNELSDQSDEVDEDYNEMLNCQNVKNVSKLQPELSEQSNCCFIY >CAK69686 pep:novel supercontig:GCA_000165425.1:CT868071:116882:118651:-1 gene:GSPATT00038128001 transcript:CAK69686 MFKKVKNYFKSLRMSNQITLINFCIVTFTVLVILATYQVQSAIFFTFIQSFSQTLSQKQE QHLVQIISDQLRQYIEHKNYQTLSNLQHSNDFFQYVIQSKSRYKVINSQFQECIEQTQIK EYKVIYNSDIICQGFEIVTSHDEEQDFNDTLTLLSPFTQQFITSEDSKMSFFDLPKAQFF ASFPNQFKYKNYNLNTRPWQLIKQPDRYVNHMAQSKIHPNSSYFYSPILLSARNNLPYSA LTYSLIRNNSMFGIVLQLLPISDTNIQNVPLNILLVHQNGDVILSTMDTFHQITGLVRIT NTTYTGFNESDWQTIQENSKINENVNNTFYLLNKIYQRSVFVYTYQFYKENLTMIVFKNV TYEQEISDEINILINNLEAELFQQIQIQMACCAFLILLTASLIRCISSPLLELIKVINEH VIKMGNNLNSEIFKMAFKTQTKKNADLFSSLACQFMALKDLQTKSSQRKSNLCQEMESIQ YSFKFQETDSSKIQESLQYLPQNESKILNQQQFFQFDSQKLFSFKQINRINFRSHQNEEI TLGEDLVKNESVNRRLHLITNLLQKIYKQNKPN >CAK69687 pep:novel supercontig:GCA_000165425.1:CT868071:118751:122894:-1 gene:GSPATT00038129001 transcript:CAK69687 MGNAIQNGKLISPISIDTQIKESQLTIRFILDPKKLMAIQKNAIPIDLEHQISDLIKELK VEPKLLQQFQSTPQNFKCLILNEYKSITGKNLLQNLPKDYVNYFKSKLANPTLQDLEQLR RHLKNLQIYDQQDQLEQFNDGINLLLEKLFRFYKQSQQLIDKKNPPCYLYQIQILNILEM LLKIEKYQQELLHIPDSMDIILKNLHPIHVELTTIVLEIASNLCRQTDEGYYLVLKSLNK LCPDSELTFFLNTLKKCKNVFMISSICSFVITLIESPTQDMEKKRMRQQLITSGIVDVYK IITNNIEEFEYKADELRFEVVIQQIIELNKQKHQFITDPNYTEEITLPMLYHRESRKCYD IGKFSKLIKIIEDQIEAFLENNKDMPTQKMQDPIKQEVKQQAKHLKPTFLTPQYLNLIQD EMGKANSTIKQESKIRGNQHVTDFADGISKYQNNFPNEQQFESNEISQLESLNPKKITNE AFVAVLQKKIGDLEKKLKSDQSNLSQLTEQLAAKNKDYRTLQQECESQQKTIQQLENEVN QLREKLSIMQQAKAQKLEQEVTPQRLSYKSDYNDDQRQQLDQLKQELQSQNQKFINQDKE IKKFKQLLKEQNENLITKEIQLTEKNKECRELQARNEKLIKKKYAKKKELQQLIEKVNSA SYVKIQANKIQSELKTIEQYQDELAKLHGIIEEQAILLEQQKKYPQKFDEMRENQKVMEN GIHKFDDESKKQLINIQILQEKLQQTLQEKENLEKKLNSQAIIAQNTFSPQLGQNQTNAL APQISQVPPPPPPPGTISVSALAPPPPPLGAKTGASSPAPPPPPGGPKPPGPPPGGAPPP PPPPGPRPPGGPDPQFGGKQKHKPNIQLKQVPWTIIKPEQIRNTIWESIDDTKLKLDYNV IENLFAAKPTSSNINVQGGTNKPGKISMLGPERMKNLEIVLGKLKMSNQLIVESLYQLDE TVLRPNIVESLITAMPNDTEVVMWQDSDQSNLALPDIFSQQKDMIIGYQFRISIRLLSLK FKYNYKELAEDLQTKIDIFKHLMTVTKNDKNTKVFMEYALAAGNYMNGQSARGGAYGFKF DMMEKLTDVKTTDNKGNLLMFIIEKAEEDLKEELVLVDENLEEIELAAKTPLTQLNADLT ELKKNSKNVDKAIKSKVSLPIQDMVEERLQNFYEQILSDLANFEILLKQLETQYEDLANY YAETKVPFDKFFEKFYKYKTALKQAKQNFTKIKLAELKEQEKRKKLEQQQQNIQSQQQHQ QNQQQPSQESSYIYKKEEDNSQSGLKMLDKGSFSNEDTKKNIGSNLLQQQDVLVKQQIQP SQTQTEDY >CAK69688 pep:novel supercontig:GCA_000165425.1:CT868071:123085:124514:1 gene:GSPATT00038130001 transcript:CAK69688 MGISLNKKFKDDYEKLFSDHPESNKAIQLYPAFSHVKIVHNDWYRLAKAEFNGLPHETEA FLQKWRSREQHPHLFLIHKIFTNESSRAVIYLDFPESVVTELDEETFLPLLDAVLQIMSK WQKQSKTYSYFGCDSVYKVRSFDGQTYYKILDPMLHPYLIKFYRKCWLQKNTKQLEQLLA KFYLSPIQLEALSKKVEYPIHNFYKSDVFCLGLYIYKCLTQDDDLYDLINYKVKLDKIRS NIQGMTFQNYAKMILLNMLEENEEDRPDFIQLELKFTHFAPEIKYKRIFHNINQMIFEES LLQITQLVTEQNNEQIVPEEIQGFNFDDRLDFENLHFSISSETNPTAEIHKMVGKGFHSQ MILNNNRQQNFQLDEGVMRKTRIDLPCLGYADLSNWKTAEGMFTKGMLQGYGRLTFVNGD KFEGFFINNRANGKGTYSFHDNQYYKKEGTWKDNKFIITI >CAK69689 pep:novel supercontig:GCA_000165425.1:CT868071:124543:125433:1 gene:GSPATT00038131001 transcript:CAK69689 MFIIYLFSVAHAYFSKKLAHDLYLYTKIAVCDTQQIKEWNCGYFCDQHPDMDSIKVIESN RNGVLSYVGINQAENRIIVTFRSTQNLLNFINDLKFMKQDYPCYDCKVHSGFMESYLDIK EDLLKQVNELSVLNPKAQLTITGHSLGAALATLAAIDLTNIGLYIHTFYIFGSPRVGNKA FAEYFSKKITTQDKARVTHFSDLVPHLPPQSLDYIHAVPEFWFNQDFKEYQQCEYSQFED DKCSNSMWSHSIRDHRDYFNIKYRCNDSFYQKKTKEQIIL >CAK69690 pep:novel supercontig:GCA_000165425.1:CT868071:125586:126125:-1 gene:GSPATT00038132001 transcript:CAK69690 MLEIYQDFVQIFDNQKCAMREYFLEKIQFLISRPETIQLLKKQKVLALPEQGSKIQEKMR FSVYKKTQANELKIQQELQNNEVNQTHNMNQLLQEYDKVQQNIEKQITESLNFQDELLEK RKMTRRMKTQVMIKSISSGNIAQQKQQDEDNDDSLILKMQNESAQRIDQIDFVLNEVDQ >CAK69691 pep:novel supercontig:GCA_000165425.1:CT868071:126551:127018:-1 gene:GSPATT00038133001 transcript:CAK69691 MFQASVNFKSYKELNSLEERQMRCKQKLSQHPEMIPVILEKHPKSKMPQLNKSLQVRSQS Y >CAK69692 pep:novel supercontig:GCA_000165425.1:CT868071:127098:128145:-1 gene:GSPATT00038134001 transcript:CAK69692 MNSGPINYARTMKPVVPTYYPSEIRQQSVGKENRGPVKHNSCSQIRFVNNLPSGKTQDSF ESQKPQEQLFDKLKLQVIQLQYEQNTLNEQFQIQIKSLNDRMSSNEQSVKQLYDSGIGQL NNDVQIKDKIKQLELKMGELQLLIINQEQKTQVYTQSMMEKLKKSILESTNKTEIKIQTQ LDAITEMVQGIKKSQISTPQSNFISQDLKRFQQFNEEVSSYLSQTISPNNINIVEQMVAE IKPELAPINTASPIKQQINNKYKCQMSAISEKTLDDSRSDYQQIQQYELDSNGYLISNGS YVLDQNGQRYQLSQEQINYLKSINVIEEINS >CAK69693 pep:novel supercontig:GCA_000165425.1:CT868071:128242:128678:-1 gene:GSPATT00038135001 transcript:CAK69693 MLSNPYNQDSNYSTVEIKQGIKRNVKEISNYAEIKASKEQFKAIKIKQKSYIKLKQQNRV CAFPCYNDNIIMHQKGEDQLLRPRNQDDDNQSDDEIIFQSLKFTLNALVEALQIENKRKY SFQQFISNDLINLLNQ >CAK69694 pep:novel supercontig:GCA_000165425.1:CT868071:128759:129135:1 gene:GSPATT00038136001 transcript:CAK69694 MARGTPAIGKRHQKTHTFCKRCGRQTFHIQKNRCASCGYPAARLRLYSGWGEKVARRRST GTGRMRYLKSIARRAKNGFRAGTQAQPKVNKTQKK >CAK69695 pep:novel supercontig:GCA_000165425.1:CT868071:129263:129884:1 gene:GSPATT00038137001 transcript:CAK69695 MSTNKEQIKAELDENRKKLAEKFGQTKMGSTLARRKHKNVHQTQINDDKKLKQVIKKFGV QQLGNIDEVNFFKDDNTIIHFSKPEVQAAIGSNTFAIFGNPETKKFQELMPEILNHIGPN QMSLLQELMKETQKEKVEKIAEADQKDEDDIPVLVQGQNFEEASKKE >CAK69696 pep:novel supercontig:GCA_000165425.1:CT868071:129972:130930:1 gene:GSPATT00038138001 transcript:CAK69696 MQQQQQETQAQNPQIQQKIHNKSNHDSIEDQKYSHEKMEESSIYQDFANFAKATNYKQSS PYNYHKQQFQQIPQLNQNSGSNNTQEIKDLQLKNKSLLQQNSILQQSLLDLQQAIHRQTI DLDLQKNEILNQKRVVQEQQIEIEQLKRQLSEKRNSSLEKTRQFAIIQTQLIQSNQMVEK LISQLMNQESQLSLYRQTQINFPKIDNLQLNVDQNKSNLINAQNLLSNPSSVAQPYSAQY QQQSQSQMPHNTTQQQQQQQSQQSYQIQQQQIQISQQQQNPNPVSMPTKQQLQQDADTSY ERYLMHKRGRH >CAK69697 pep:novel supercontig:GCA_000165425.1:CT868071:130999:133136:1 gene:GSPATT00038139001 transcript:CAK69697 MSLQTRSMERTSAGEKQQTWQQYRIFIEEMNTLIGSALVEEFRNDNENDINPNIIVGNGE SLPRGATKLINAADPKELGQIVLDSDIVIFHQNYCRAEYAYKLLKHGQFQQSKIFILISN PLTWSTTPLKDRKNDETAMRQSMGGDADDQPFLKKYDKFTENDLPTRKIHFLERLKNLEQ QIIVCDKPQLHAYVITPGLVYGNGEDILYDLFKTAWMTPDAELPIYGDGNNIIPMIHVND LANIVNKTVTLTPNEKYIFAVDYENMTQKKLVTTIAKSVGNGLTKNVTTPTEKMLTINIW LRPTQVFESEDQYIDAKKNPIIIWQCKEGFAKNILKIREQFKLYRGLDTVKLIVHGGTAS GKSTLCKQLANFYRIPHIQIKPLIQELIEQQSELGELVRTTLQQVKDQMVAEALAIFEAE KKKKKVPKGQPEPVFDPSGIQPRLPDSVLIQIYKWRLDQNDAQNLGYVLDGFPKTLEQYE KLFVKEEGQLIESIKPKGVIYLEYPDDQLKERSKLIIDNPRYTEEQVVKRLANFRKNNEQ LLQQYEQFDIKRISDQESAFEQSKDFITRNGPILVLQDVKEEEVVQIEEQQDDCTPSHQV SSLQQEKVAAVQQSQVQQSQVGPKKKGDQLNKSINESRLSKDNKKLTDEQKQDLIRQQER ELLDQRSQPLRQYLADNVVPFLTEGLINICEKHPENPLQFFS >CAK69698 pep:novel supercontig:GCA_000165425.1:CT868071:133360:134622:1 gene:GSPATT00038140001 transcript:CAK69698 MSKKYKDIRANFVFEVAPKSTHQSSDEQAMTIKQSDPIKIDNQEQDVQGMSQQRQQNFDE EKLKSYQKMFEMCQQLYNDKAQLIDSINNRNKYQNQRDKLMDMRICKQIMKRKEQQQYIQ EIETLTCQLQVVMDQRQDINQIQNNQDETYEQLMNFIYKENLSELINDLDKKYVNCCFDG LVNQLIQIYQIHIQDNIQIIYDLQSKIQDLTYNPFRITTPKSVLSDTLKQSKMSNSASNF HKTSSNNNVDKEELQQKIASLKRQGKQINQKWQEFCENILRERNEVIKYHKKQIESINEQ NIELKKTISEIIQYLGQNQQDLWIQMLDIKQKIQFKVIDLSRSDNVNKLLVEAELKQLFS IQAQLRSQLNRNRPLRKDLQ >CAK69699 pep:novel supercontig:GCA_000165425.1:CT868071:134682:136266:1 gene:GSPATT00038141001 transcript:CAK69699 MRLLHLLRPALSIIPEVQEPRFPQPLKVKVLITGITLFIYLICCQIPLYGVYRTSGSDPF YWMRVILASNKGTLMELGISPIVTSGMILQFLSGVGFIEVNHSVREDKVLFNAAQKLLSF IMAIAEGMAYIWSGAYGDINQIGAGNAILILLQLTFAGVIVTMLDEMLQKGYGLGSGISL FIATNVSENILWKSFSPITLSTEAGTQFEGAIINFFHLLFTKQNTLQALYYAFFRESAPN LNNLLATLFVISLVIYLQGFRVEVPLASQKIRGLVSSHGIKLFYTSNIPMIIQSTLVQNV YFLSQLLYRRFKTNFFVKLLGTWQEAEFGGQSVPIGGLAYYMSPLRDVKDIINDPIHAVV YVLFVVFMCGFFAKFWIQISGESAKDVARKFKDEQIKIKGLREESMVKYLSGYIPVAAFC GGVCIGLLTIVADILGAIGSGTGILLAVTIIYGYFETFHKEKSDNQSIF >CAK69700 pep:novel supercontig:GCA_000165425.1:CT868071:136721:137170:-1 gene:GSPATT00038142001 transcript:CAK69700 MFSIQKFIIQILFGYCLTEQKVYRFLSTTAILNALKQPAELNILHYINKSLMKILQLQQS WKQLRILLIPYYRVQHKLKIQDDQNYIGHIFVNDFNRLKTCSFAIQNSRNYLQQKSNSFE LSSLDINQSIPIPYLEIKIIQNYHICKTF >CAK69701 pep:novel supercontig:GCA_000165425.1:CT868071:138044:138692:1 gene:GSPATT00038143001 transcript:CAK69701 MSLMRKRMIFAKREKMKYSLVGSLVQLAFEFGFQVSAPFQLPPLLSYYIASLIFYRSDLQ ELFLQYVQFFKKDEYYTKLMDMQSQYFQQQLNHQCFSQLIFHNVPRRQYFSEDIFVDYLD KIIVGMKLDYKILLEDFITYFELFNLQKNKIAASLSDLYAFRKNDIAFGNIRFVSQQKDG LLIDFKQPIGKFQDIQFIEHLVLR >CAK61292 pep:novel supercontig:GCA_000165425.1:CT868013:3304:5019:-1 gene:GSPATT00031523001 transcript:CAK61292 MNQNQKQDYPFLIWVDSQIFNWENKLYCEKLQDVHQNAFQAFNDISQACELLQNIGDKNI TILTSGQCAYQLVKHTKQNIIVFCGRRQNHFDLFKNYIQIKSIVSDSFQQAHDDAIMSMN QLDIQNEFIKLLSPPQRQTIEYQHSKSHVSIQFLVVIEELKKNKTLNESEVFEEIENLLS IQNKQNQKHVIFQDLENKQKEKPEQKFQLFEKLIYLYTREEISLTFNQQFAEHNYSQITN IILCLYKGFEEHQNKYNKIGSLYRGISFIDEDIFKQIMRDLNQSKDDQTSLFWNTITSTS TSIKVAKTFASEKFYGILYNIILDQEIPHPCFQLQKYHSYYPTEEEVILFPQLEFIVQNI EQQQNQNQQIYTVTIKQVKNNYAFALDPLKRKTYWDSVVEQKIKPKIETLVNFQCKRIFE FLSFCEYEQKNQGFDQRKFVSLIKKELENVFQQIQIQLNKIFNESKYPDISNQLKNLTRT YIEVFKFEYQDQFGFNQKKFQEEIDEVMKTLKLNICILVLKGIIDIEQEKKSLECLQQDF TINQTNALINIMASQCLISGIGQLGFESGIL >CAK61293 pep:novel supercontig:GCA_000165425.1:CT868013:5820:14418:1 gene:GSPATT00031524001 transcript:CAK61293 MIFICMVVLKTTQAFEIQELDPLYQVAHQSVKIQDVDFQPNKYFSYGIWSKYTPLSAIPK TGPVGLFESDCYHLHHVLDKENNELNLIYYDCLDFEASKITKTIKFVNDKDEQKTYQIEV EIFKYESFWYFLEVLEWPLQQRFEIFIISQEKIIIHSIDEMNYPFKGRDLQFTFGSSLIV SNSRIESIQKDQKFSYFPGTIIKQNFMILEELTIIDWFDYVKGVFADYEVCNCQPNYFPK IEDLNIDSQQKGDFVSQNIICDSFIFSGWVKIQEIIKEDSQFLYPFIKLAASFEDSRLSN DNLSPFQIQYKLSDNQNQIIVTTYSYTFPKVSIDFSADPFLIKESFDIIQNIHLWHKIQV KLIGNSMDIQIKFYEQLMIFEYSLKVEVHQFQSYYLKLVYGNIKQLNSNYLSILMRNFFF LNCDQIFSEHNCHNSCQECDGPTNEDCLSCSEESKRIYLPEHKVCVCPYNTVDDQICIGY QETKLEFIDEPYTKTDCQFGYFEVDNECVQCPSIIRDDLIVCVECLQNPKSFSQKPFCEY DLYIGQSQQTQRLQWTPPDQLLFDGNDVSMYPCIGCNNTAINDFNYLYSGFLYSSFPSKL NCLSDDYKCLQKMSQTCKEYVISVFGVRCNRCSDLYIQDGIDCIFIFDNMEEPCQKPYYK SFDRKCKLCPKRNCVYCFEYQDDESSFKSTLYKDFESPNSDQMIQIGCAMCEDGFIFDFK IGECLRYQSKIQTCLRSFINLDGIEICTLSTQNDFSVAPEIVNCDTYYSNCLQCVLTPSS KLQCVLCREGYVSSIITGNCELNQLSLVFQNSTYVIEGGVKDQDGYVQLIQSFLMQFLPD SYYYSYSPEQISYQTIKCKNGYDLTIQSMCFQYCRSECLNCQKDYYSFICMRCPLNYYKR PMLVELNGQCIACSNLCQYCSVRNQDEISALQPNFELQESNTQFTTKCLKSVKDPNIVLD TNLGNAKYCFNKSCQNWFSFSVYFTECMLDRYLPDSYQDDINFQYCNLVGVDQMTINYII SLQDNIKICDGFAATLWNGLKKKIFSLKKTNMRLFPVKEYEMRVSSRIEILNFDAVELKN ITFVEFYGCNLENPDNKVDITLNNINFIKTSFTSLTIFQTKILGNIVIQDVNLLDSVFVN STFFDFSKQTVLISFHIKNLTFKNCRIENSILFNIDYIQDILTIENILIENCVLLNSAFF TSQTDLNRATQIRLINIEITQCNFDYSFLLQGDSRFEIIANNLFFHNNYLKNSVFITFND NLDLVKVRSSYNTLIESSILSTLILINEQRLMFTLDDFEDDSSNFQQSSLIIVYSSLQIN NFYVNIKNVKVQENSLPDKLYLQNYLFKFHCHSLIVQNAQFLNLQNLAMFYLYEINQINF NSVIFENSQQDHKVPVSQSCTDLSEFRNQPLYIIGFQQLSLLNIQIVNQFSINYSLMDIS FSTQFIIDKIGQIILENVQFRGNVILKNKVATSLSLINIFSQYNLNIKLTNFQFIQNIVN QQIDEPLDTQTNLLHISSLDSFLQIQQLYFNQNALTNSTNPIITLTATLIEISNLIFMNS NFLSQSLWQQFYDFELENQYNQQEINSIIQSTFKILNQGIYIAASTFSCEDCFFQEIIAI KASIFQIKTQGQGIIKISNLEIDSVYTNLKDTGSSGCISVDSTNSLLSIDLRQIKFTNIF NRMSTSLFSITPSLKENVIRLNNIEIKNCLSLKNTVMLAKFSAEVMKQSLVSINNLSIYQ SEELWFQLFSLIGDISLSELESILSLENAMIFLENCKVDIQNLNVEGIVISPIFKFYNAF TLRLFDCKLMFIKKLYSFDLIHITQTIIAESKISIEKLKILQSITYKEQSDGIPIFQDLN YKILGCSVWRSASQTGQTVYSNIISQIQSSADQSNQLIYVKSISDQNSIRLSHLEIINND GSDFSNGMITFEVEQFKIIKIDNIFCFQNDVKENGCFYFLIQNFINSTIIIKDSYFLQNK GSLGSAILIQNVKLRMTNCKIISNYASKSGGGLFLQLKDTDFQIKQTIITNNEALVGGGI YFNEDGTILLQNFIKSFLLFNKATQFGDNLIESPSHLTLLINNLQMQSKKQDIKSILSHH LALQPYSLIEQGKPFITNYLMIPSNQVIDQYQIYQPSKVQYSSYITNFVLILKNSLNEQL PNLLSTTCTLTSKTINELGLTQEDGLAEQQNLQFDLEKDYYNLGSLFFVFDPYNQENKQL QIEISCKSVQHQKVLNYIIQAKSLKCQLGEFYVNSGCQICSSSQGFYSVVYDATKCSIFD KTKFNNITENNIELLEGFWRPDYLSDFIEQCFKNFKFCKGGWGYGNQICDLGHIGALCEE CDIYNIRGDGKYLKNQQDSQCISCFGVEDSIIPFIAASIWSFISIIITLRSIEQSNQLFK CLKLQQRFSKIIFNLEQGHESFLIKMLLNYLWIFSVIFTFNIQFSFSFTFVDSASNTSYS MTNNLDCYLSENQEIKLIYSKIITMLVLMIFQFILIIMGYLIYNWYKKIGLSNFNLETIS NTILYLYISNYGGLVKMYFSIVSKRTVSSQSYIQGDVSLFFGSREHLIWIFAFVIPGLCV FSMIIPLSLFMVMYIKKDQHDTIQIRKHFCYLINEYNNRSYFWEEIKLIKKTIIILILTY FETYILLKASLLGLCLLFYQLLAFNEKPYILSNFNSKDLSSAQICSITIFLAAAKYVAEQ ENNQFSSISLQTIIVLLCIKLCYAFIKSILEVYSNKYANLILNYLYLILNMISQDSSLTL KLKNYLQKSSQRKKRSKYLIKKLKQYLLKASKAQLQGYQKVLSSHIKETQSTGSEQKYFL KIDTD >CAK61294 pep:novel supercontig:GCA_000165425.1:CT868013:14918:17107:1 gene:GSPATT00031525001 transcript:CAK61294 MQSELEIQAVIGFTGIITQGLVLHPDNEHILYPLGSTIVVRHIITRQQTFLRGHDNQISV ITVSRTGDYVASGQKTYMGFQADIIIWDFKERSMIHRLKLHKVLIQSLSFSYNELYLASL GGIDDKNMLIIWDIKAGKALYGTPNRDPVNQVQFYNQSDEKMIAVLNTGVQILTIDKLNK KIQSVDVSFGNVKRTFTCVAIDRNDKFCYCGTKTGDVFEIQMDNAIYKRLAPVKKLFSQG VNCLGLLQNGDIIVGAGDGMIAKVSFQTMQIVASSELLGGVTSISFTNDQTHFFAGTVQS NIYWLDTEKLIPELRNTCHYERINDVAFPHNYSDVFATSSLNDIRVWNAKNRQELLRIQV PNLECWAVAFMNDGKSIVSGWSDGKIRAFLPQSGRLLYVINDAHIHGCTSLTCTSDCQRI ISGGSEGEVRVWEIGKQTQVMKSSMKEHRGRVWSIQVRRNNDQAVSASADGSCIIWDLKS FTRVMCLFESTLFKMVLYHPEESQLLTTGSDRKITYWETFDGQAIRMLDGSEEGEVNALA ITKEGEHFVSGGEDKEVKLWGYDEGICYFKGQGHSGTITRITISPDQKTIISVGAEGAIF IWKMPESVINSKAQQELPTVQSVKKQNDIQQQSQQQQQSVPKDAQSQKSNQVAKSVKSGT KSSKK >CAK61295 pep:novel supercontig:GCA_000165425.1:CT868013:17133:19911:-1 gene:GSPATT00031526001 transcript:CAK61295 MNLDKDCIIILDEKEEIPLQVEIQDLNTKQYLCQPLTTDKVDLTMYISRYQQNQLQKRID ELTKIIEIANQKNGELDQTQMIQNLPDIIFGPKQLQTNSGQYEFRKKFCKENEEQKQQSN QKSNVSILIYQGETYKSLCQQIYQYNKDSTKITPIVLINGKTAFKKLMRSDENLFLNFYQ FKYLPFQQKFETSNHHSLYFQIEDDNTKKTVYYFVFPQELNKLDLIKFIYQGILRFIQPK FAAIAPSNVTYLQNINVLQLFKILEEDNKYFGVQSLKKIGYKGSFQDNFLGDFTDISLNL DCMFRLKQFHDPLSCIYMWERIENFIEDYVNRITKEYSLNMWAVGYNAILPKLMLEVANQ ELKIIPKQISVQQFNQNQFETVFNQFCELKQNINYQLKKCRFGSCRNLFQWFISKVIFLQ SYFAISICFFFSFQCPYQVVYNYLGDSVGYTAITIFLPFFYAINVLLFLLLTQLYHLQDK IIEKNQNKEIALIGKKDEEQQSFDFQAVVQSQNIDFMYYKKQKERYQIKVELQEDEYCKD VSYSMKDDLLKNEIFLVYQFPQLKYISSCVNIIIEIQNYLDFAVVLFILANLILIHGEQA LNEQSLQELILIASAIFVILFHFITQGSGIFRLIFKFSLLSYFWHFLKLPKSNSPAIQRT DQKKQLGSQLFLNFVLFYAFISIESYYNYSGYILIGIFAYLSIVYLLTGLFKCISNCFYN ASIPKDLSEIIEVKQFNSLKFQADNQNTLIGKTRQLIGEKVKNDNKHQEELRITQFLKNN ADIMNLANTIKIKVQEDLQSQNLKMNDIQLVTTQIELAIKKYCNQNNQQQVQPKQNEIIQ NIIQNQQMQSQQLQKVSNINSELKGDNSKFSNQSSQVNQFLQSNKNMTSILNENNKLNSQ INQNDIDGQKFRQKKN >CAK61296 pep:novel supercontig:GCA_000165425.1:CT868013:20509:21614:1 gene:GSPATT00031527001 transcript:CAK61296 MAEQIKFSHPFPKLLFDDSNIQFKKYSKYIIDTDAGSDDAHAILIASYILKYIRTDAELI GITAVAGNAALENVIKNVYITTRIGHFGDNPPKIYKGCRTDTLRRFYRDNYFLEDGLGGQ QYRLLTELGLQDKPLEFFHEKQHACDFIKDSVYKYGEDLCIICIGPMTNIYLTLQMYPEI VDKLGCLFAMGGTYMGVGNAANSVAEFNVQTDVEATAAVAMAKFKQKILLPFDVVLAYTL DKQNGKVIFENGANTKKSQFVESTFKAVSHDGNYILCDELAVMVAFLPSLIIYSLQKNIS IVSDGQARGQVIIDWLRLMKGNQKSVVSILTAFEWNSVIEIATKANQDQQ >CAK61297 pep:novel supercontig:GCA_000165425.1:CT868013:22755:23805:-1 gene:GSPATT00031528001 transcript:CAK61297 MSKIVRQRVSMHDESTTLSLQSKNVNKKKNTHRKRQPIKQLICIVSVPKSVGEYVYIKAD VELKLALSYIPDHCLGSLKETPCTYNHRVTRTDQLDDVYFYTLELLDQDNYSIEQIVNLL SHKDDPCAQLAIQLVNQRFNILNEYTQHYYISNEELLEVEQIAKDYPYQCIIQKVNKDNS SLSQRIVNDQFYTLMGVTSDMMFHHLHETKTLPSIFDIGGSLKMWCDLTIGSISSVQYFE QYINTYEGAQYKCRIEQRQMFKRTQVNPNQIVFIEFWIFKLDEPLKSYLLNPQRLYLNQI DYFNKKNTEEEYQQFQKSMKYKETPHYKNSQCCGYKLLPWI >CAK61298 pep:novel supercontig:GCA_000165425.1:CT868013:24296:24645:1 gene:GSPATT00031529001 transcript:CAK61298 MQMIIYTAVASKKSKQKNYEYLNETVMYIVLTVLVSFISFCILLFIIMACLHQRGYRFIK QEKLHQNEIQQQQQMIVIVPPHEKQQYKQFLYDVNQTMDISRLSDHQ >CAK61299 pep:novel supercontig:GCA_000165425.1:CT868013:24906:26299:-1 gene:GSPATT00031530001 transcript:CAK61299 MFNRITRLAALQHKVVKCFDLHEYQSKDLMRKFNVLVQKGEIALNADAAAKVAKTLDPSG GLILKSQVHAGGRGKGTLSSGLKGGVKICKTPEEVANYTKQMIGYKLVTHQTPKEGLQVN AVLVHEGVDIVRQLYLAFILDRNSQKPAIVASINGGMEIEEVAKTDPNSIIVLPIDVNTG LTDQIANKVIDTLQLQAVRQQAIEQLKNLYKMFITLDATQVEINPWATDPKNKLFCIDAK INVDDNAKFRQKELIELRKTSVASEQVDPHEELALAAGLNYVALDGNIGCMVNGAGLAMA TMDIIKLYGGDPANFLDVGGGANVEQVKTAFEILNSHPKVETILINIFGGIMKCNIIAEG IIKAAQLVDLKTPLVVRLTGTNSQQGAKMLDEFAKSQTKVSITTATDLDDAAQKSVKIAK VSKKK >CAK61300 pep:novel supercontig:GCA_000165425.1:CT868013:27507:27737:-1 gene:GSPATT00031531001 transcript:CAK61300 MGCVNSNSHYKKNKDLDIANVPIMLNNQKTLNIEKIQDNWLEMKNNSILARRHQQSKLSI KVTTNSKSPLSCVSRN >CAK61301 pep:novel supercontig:GCA_000165425.1:CT868013:27806:29310:-1 gene:GSPATT00031532001 transcript:CAK61301 MLQIQIGDIIDNQYEILKKLSQGSFGIVFYGKSIKTKKGVAIKVEKQEMANFGSLIREVE MLSKLKGVPQIPELVWYGEYKKCNIMITKMLGHDLIYYQKQYKQFSQHSINSIAYQLLWI LEQIHKQNIIHRDIKPENILSKNESDKIYLIDFGISKDADSNFTHKKKVLPFIGTSRYAC ISAHQGIEQTPKDDLESLGYVLIYLTTQHLPWMNIEKTDVQRLDKIGKLKESVLLEELCF GCPNSMLKYMRYVKELKPTSRLKYGMLRGLFLSKLQNTNKLGLDWTNQGMKLEKKKHHKK QRNSCDLNFQSCVTIRRPFLIETQTNLNVKTIAAQEELIVSSDNGTSHTQFSIVETKGSK NSVQYGFSVSDNVDCLAIQQNNNMAKVSTFEGIAEVCSLQSQSFEQQLMESEHQDIEIKC NLLHFTSVYFNFKNPIQQQIFKQHYQVKEIKSKN >CAK61302 pep:novel supercontig:GCA_000165425.1:CT868013:29620:32166:1 gene:GSPATT00031533001 transcript:CAK61302 MGNCKSANTIDVSCNHNKPHIAICLGKACTRDEGDRRLCEECLVDHMCNDRITIDDGICY SKSQAIKFDNYGIQEIVEKFDRDQNSPHATGGLTQTLANFKNNFFESFKSYQGYYDIYLN QYLLQGIPDAEVFGEAASQIVEAFEKNDLGYIQKQGCQILLNTYNNPQLIKQILSNLDSA SRKISVYQNQVEQKLRRLQDSFFKELLSLPYNVSKQFNGSILNIDPKTYSYEFSAYLTTV DTNRMSGSLISLNCSDHNQKIQVICCDRCPNKDNRLCGQCLITHKCNAGQRIKLKSFGQD QAAIIEAAMKYQKKKQEISEAKSKVKAIFEDIMRQAIKEIEMLGQNSKRVMGESQLDGFD SQMKQELPAYLNKLKLVHIDILLVVFANRSMVSLDQRGVAIIAYLIQHPEIKNQLVTFDA SNNAVQYLNKLVIQLEDVSKRFLQSVSQIEDIIVATFEKKPSQNLESSHIYTTNIVANHG ATITPVGMNLSLQRQPSANLNFQIDQSLENKLDGCPVIKVLKDFGEDQDNYVSDIIFYQN HLIVSNVDGLIVIYQGIGESKIGEHQFEGKCTSICGFIYQGKLAIAACIGNFEEQNIGII TFDKSISFQGHLQGHTDPILMVKQLIKPEYLVSCSGDHSIKVWDTSSSGFLESIKAKTIN NHKMAVRDVILINNNQLVSGSFDETIQIYDIKSDRVIYAIQCEDWIYCLQNITEKAFAAG TGSGDIRIISTSNYSEMQRVRVAPNYIKSIMVFQNPNYLFLSCKNRDNYIIRIQEYRQID YITKTEEVDFVPEGICLVDNYIIYGEANSVKIKYAS >CAK61303 pep:novel supercontig:GCA_000165425.1:CT868013:32195:34529:1 gene:GSPATT00031534001 transcript:CAK61303 MFQDRYQYLLASSNEIASLSIDCKYSSYFQANYIKKGSLRRIFKNVQFDTFENQQLKEFK EYLDSIPVEYLKEVQNDSVLLRFLYAHKFVKEDTVNYFVDHLNWLNNPETMNLEDIPQYS KLVQIIGRDEMLRPVLHISLSLPLDDLFIKAITNKLIIMEDYMFVPGKVESWIMIVDLSS NNPNIKPEKIEQAIKHFVTNFPMSLEQIYFLEVNLILKQIGENVLKLDQIKKLNLEDKIT ITTKAKYEKEKIQFLSPEYLHLFENDTQLNHSLQQNQQNPFSVLQQQPSQSSLPFAQSPN QVIRNQPNQSNQIAQQPSHLILNQPQPTLYGHPPKLSNEGELIGPLYPMIVYASAQPQPY VPEYHHKEFQIQPAIQPDPHHMTNNNNYNNFQNNIPNQQNFMKTQYNPQQQQYKGLSNPD YLVRTKYDDIIDQYNWNKYGVTPQLPSVEPEKIINDVTMHVSSPLQPYSPLTPTLPGIQQ TVKKNDFNLNHDIYSTYNNNHFEDPLISNKDHYPQISEHPSLTHYDHPPDTFNKYSNVDL PMEPPFNQSNKKYDFDPLPHQPYQPYQNMDSYPPIHHQIEKKYDFGPIPNRPSEHSFPNS QIIYDKPYIPDRSSIDTPITTMNYTQPKYQSYVPQTLSNYPLNTLPSNFQTIPLSSIPQN SGYSPYYPQQPFSPIPSSTNLYTAPNYPIPISKPQHSSLEQPKIVEQDGIKFQDETMVVG DNDHRQTKNSNRDPALDQQQCSIY >CAK61304 pep:novel supercontig:GCA_000165425.1:CT868013:34676:34885:1 gene:GSPATT00031535001 transcript:CAK61304 MGCSQAKARQSISLKLIQLKSAQNEAMIEQNLQKDNTKFNITRNPIIKRRAQKKNKMSQT TVCTPTMIS >CAK61305 pep:novel supercontig:GCA_000165425.1:CT868013:36526:38414:1 gene:GSPATT00031536001 transcript:CAK61305 MLRPGSSMSKAKQTKAAAPVSGGMAARVVQERMPTLEEYVKNRDWVGAISLLENEQNFND SRAEPKLWLAYACFHNGEYKRAIQVYDQMMTKPDYNKEIHIYKACCFYALCQYEDAKREC SKGPETPLSVRLQFHIAHKKNDEKNLMTYHHKIQETVHDQLCLAAIHYLRGHYEEATDAY KKLLLENREYTAINVYIALCYYKLEYFDVSIEILSSYLKQFPQSVTAINLKACNQFQLYS GKLAEEVFKPLQQQYEGINVCEDNDLLKHNLVVFRTGENALKVLPPLVEIFPEAKLNLIV YYLKNEDIAEAFNLVQDLQPTNPKEYILKAVVFAMKGQSSPDQKEALKTSQQLFQLVGSS ASECDTIPGRQCMAQCFFLLKQYEDVLVYLKSIKQFFQNDDDFNWNYGIACAGTGDYKEA EDALTQIQSEKYRSDDIYIKWMTRTYIMNGKAKEAWELYINMETSSESFQILVLIANDCY KMGHFYYAAKAFDILQRLDSDNGEHEYEDALRGSVVGVFQMVITSKETLDHLIEVMNIIT NSGDNPQVEYILKIIKKWGKENNWKI >CAK61306 pep:novel supercontig:GCA_000165425.1:CT868013:38489:40706:-1 gene:GSPATT00031537001 transcript:CAK61306 MIIISQGARRIKLLQLQKNQANSNQQFMTYPQITVCQQPLIQQITVVGNSISATSTFHYY KKQVHVTTWTPLRLIDSHGFGNINQVNNQFLITPNKEGLFKLQTQYDSINSNQVDLKVIP KLQAETVYMPTECETHVLFPSYSQFTYSAISNEDLETSVSQNIVTIKSKKRNGNYFVTVF LRQSNILIDSVDVPVVVNKPNRAILHYGRKIELGSSVRIVADFLIQDHQMNLCLCPTHKI HWYLDEKLVQTAPNSLGLSVSTVVAGKITIKIKAYDLEAQTLLEVERLNSYTRNLFINTK ALYHVPLLVPTNSRLALGQEIKSVGQLNMNPPNIIESDSITLQPQLLLATTPMLIQVEEI YQMYPMSEFVNLKVDEKLEIQIAYLNQEGYQYDDIEDNKLEVLGYTRHIVQIKVNKNGIE IQGLDQGFALIKLSCVGATLLQKKAYLGSTITYKMDNSEKPIWNSNCGSFKGNQLTIEKE LNECFVEVNDQGNKFKAGLEVIRPSHIIIESNKVDNHLNIKLNVNPKIQQSDQINPNFEI KIEVDQPQWFELVKTDNLYEYNIKPIIAQDTTPMPKKVKVNAFISNQFIQLDGSKEITYE REFYLPNKEIFIQNSQPIQTLSIPYYRNIEKTYQENHLQGLITTQFSQGRVEIVFDFSQC HEPTEGDVYFENGQKIRVKFVQESNYELIIFLAALVFFILVLMNYFR >CAK61307 pep:novel supercontig:GCA_000165425.1:CT868013:40760:43063:-1 gene:GSPATT00031538001 transcript:CAK61307 MKFALILALAILNTISGSKISNKNILLPLADIEVEYEITAEGGCFDWSASNSAIAITVQD QQGCSKSIGKVRVVTKMPSQTFIYVKPKSSDASQFIVEVVVQAISSLSIITKQKQLDLDT QEELHVQAYDDKGNTFTTLEGLKFEWKTGQLEMVKFTESGLKVSEKRARLEFNSDIIVVK GKKEGKETVFTRVVEKKYYENKIETNVDLVVIQKFQFYPDYPVFYLPTHSVIQFHLLRAD GKTKISIPSAAHVWSTTSKLSKIEQNGALTTQTVEKNLNLTVVNSNYNQIKAIYHVVIPK YIDIDIWEDGKEKREGKVTHLIVGKLYRFQAYLKDELHQRIYSTDAFSYESKDIELIEQT VISKVERQNVKLTFQRGTLESSVTIHFVQPIQISTIFKTYIHLPIHEQYNLVVTGGSGNY KYQSRYQSPTIFEIKSPHTLVASELGENILIIYDEFNVYNSLEVTVYVTDVSQILPFERR KELIVNESDDTFYQAIGDPKVGNYTQCRAVKFTIDNFDIFTTSQIAGDLSNYLVCNGLKM QSATPGQYNLQINTQKISVTQQVRVYDYLHFEKPVYYVTPHSTITTKVLGGPTTWDQTPY NEKLSEGILKIDMEKYHFNCFQSKAEFRITRINKQSDLLPNPKLVSNTLPIQCLLPEAFK IYNEKNQEVNWLFKEETVQISVVAVYKTFLFYNSSSLHLDYSTRGLKYQNRYLSYDIQSG ISDCKFIVASNSYNNHKDTFITIKSELDLIIHHTLKLIPSGEQYDSD >CAK61308 pep:novel supercontig:GCA_000165425.1:CT868013:43080:43807:-1 gene:GSPATT00031539001 transcript:CAK61308 MQQREEQFTLYTLLNVAPKATQNEIKKSYRQLALQLHPDKNQADVNAKEKFQKISEAYQI LSNEEKRKMYDETGMIEGMDEFKNAYEFYRNLYPKISREDIDKYEVKYRFSKEEENDLIE FYNKQDGNVKCLLENIILSKNEDIPRFLEFYDEMIKQKKIADYKIYQTSRNKIKTLREDP EAQQIDMDQLTKQIRQRPKNTFDQLLQQMEQKYQKPKKVKSQKK >CAK61309 pep:novel supercontig:GCA_000165425.1:CT868013:44425:45941:-1 gene:GSPATT00031540001 transcript:CAK61309 MSNQQSNFDYLYFLMINKYTLQFLDNTVEQKYQEYQLSSKRKPMLQKVIKITILIVIVKS IALMINFNLRDVYSTLGYLMVTIGFILILKFQPRLIRWVLIIINYGVLSFYYEPDEGKTQ YKHQLSSALIVSYQFIVMNTGEFIDTLVQVISFYSFYLAYFMEYQPDYSISLTLATFLLS FLLIITFYENASAERSKFKLTIIENKWDEIFQNMIVEPCIIFNYNNFKNSFMLKKSIDFI YPMESTEELKQFLRNSKVNQSHKINLEDFIFQKVQQLNKDNIQIWNQKLIILYHKEVHNI YYSILSDTSPVILIKAKPIKCQKNENDSELENKYQYKYKILIKSILHQFRLSFQQPFPNL HTIFKITVYHYLHEKIDKQFIASIKIDKILNQLKLIYPQKQITLENFQKRSKISGHKDEF YLILMEVFEIMISKQIHIRIINYESSSKFFIYGIFIEGAKEKLASRLHYYQRSLQALEIS NQYVSLVLKSERRQVN >CAK61310 pep:novel supercontig:GCA_000165425.1:CT868013:46678:49021:1 gene:GSPATT00031541001 transcript:CAK61310 MQQPKSQQLSVQAQKERDRLKAQREGQLNKKEQALNKLSDEEVVAQLKAKGLGVYGTKQE KLERLKKAIGIEVSKCEQVPQQQQIPVQQPPQPPKGSVVDNIKKMEQQREERRKNMQEMK REKAEREEQNQILGKNKQTQRRIDIRASNNTKYFKLCVCVRKRPIFKKEEVAGEIDAISC ANPMIRVHESKLKVDGITKYIENHDFQFDNTFSEVEQGKDIYEVSLAPLMELLVNQGVVT CFAYGQTGSGKTYTMKSIQELLAIDLYKLINASPQLKIVVSFFEIYGGKCYDLLNNKAPL QILEDKNNNIQVQGLIERPCESENELFQLMELANSVRTTHATVANDTSSRSHSICQIMIR QGYADMGKLILVDLAGSERAQDTQSNNRQRRLEGAEINKSLLALKECIRAMDSGQGHVPF RASKLTLVLRDSFTAKSNKSRIIMIACISPGSSSADHSLNTLRYADRLKDKSNQAKVQLE EREVSNEELLYRQQQQNMYDNKPQENNNNNNNNNNNNNNNINNNNNNNNKLAQQDKQNTP LQLPKINDARNQNNQNAANVKKNQSQGPDKEKPKSQPVPPPKQSKRINSVQEDSDDEVAQ EELVNKKNGQVKEDVRCMKETMMKNEQNNANGNGNEFFDFHEKVNTIFEEQDEILNIHMA AIKEDAKFLQQESELIQYIQGLGFVDYDVDAYVGNLETFIKKKLKIYNLLNKKLQVFKTH LKEEEEISSKMKNTFYY >CAK61311 pep:novel supercontig:GCA_000165425.1:CT868013:49100:51942:1 gene:GSPATT00031542001 transcript:CAK61311 MEEKKVTVDKQAQKKPGKQAVQLSEQDLELKTRLEQYAEEIIQNNTESLEKLKTEVRSAT TSMTSVPKPFKFLKESYGKLVEFYDGLEASRFKKQLADFLSVLAMTYGGDRDSLFYLQEG TQEEFKFWGHEYLSHIAANIGSEFQIRLQKVEGADDLLFLVDQIVPYFMDHNSEHDAIDL LSEVDQLQKIEQYVNQVNIQRVLVYLLSLVPFCSDQDELDILLATAFNICLKVNEYTNAL KIALRIDKPGNVEKVFQACQDETIKKQLAFQLARHRYQVGEEIIIDETLKKIAANQYLSQ FYINLAKDLEVLEPKTPDQVYKAHLEEKNKEANFEQIQKNLAATYCNGFVNAGFKKDALM SNKENPWLNSVKNDHTAIAAVASIGLVNLWNIDNGTEQISEYFELSDIFTKAGACIGLGL FCSGIVDENQPAQAILLAQLESPEVQVKIGAVMGLGLAYAGSARVELQDQLISLIVEADS VELASMAALSLGLIFVGKCNDEVANAIVQTMGERQESQLDISISRYFAVGLGLLYLGQAE AADIVIDTLAVIPHKINKYAKVTLTSLAYASTGNVLKVQELLGYCSEVTSDDKGTKIDKN YELQQVAILGIALIANSEDIGSEMVYRIFQHILQYSDVEVKRAIPLAVALINISNPKIQP MDLLLKLAHDRDQELSYRATLAMGLLGAGTNNSRIADKLRGLAVYFMNDSNGLFLVRIAQ GLLHMGKGLLTLQPYYSDKFLMNKVAIAGIISFLHGCLDIKNLILEKHHTLLYYLGIAMY PRMFFTLNENLENLPVQVRVGQAVDAVGQAGKPKRITGFQTHTSPVIVSAGERAELANDE YMPVQDMILENFVILKKNPDYKPEVEQPQRKKTSFSM >CAK61312 pep:novel supercontig:GCA_000165425.1:CT868013:51964:53005:-1 gene:GSPATT00031543001 transcript:CAK61312 MSKIPMQEMKQYLDQKIDFNSELTQIVMKKLGLTQRSLQRMNYLEYMTSNTSQQDYFLYL HAVAMNIKKFQQTIAKMSSKDKSIDCTDPGNVDEIIALLDERMKHKNHTSSQPCITSNRD RTLERDDTVLCTQQKIIQLSNQGIKSITERNTLKYNQLTHQNSIINSSSPNKIILKKLVI SNNQSIVDLIQKQQQASVDASSLEQLNESLPRNQPVKNIAIMSGIKHRLKDHPSHTKSID EIMQKIAKLRSTSQQSIDSGRQLPNTKIILTKQKNNNKQENVISTKLEMMLKREKLIRQE PMKIHQVKLGNIMGVISKIRNQQTKLKIFQQLNNDLL >CAK61313 pep:novel supercontig:GCA_000165425.1:CT868013:53069:54223:-1 gene:GSPATT00031544001 transcript:CAK61313 MQNNNKPKSWELRKKDASKYRFFDKQDEILVKKPGEVDGESFVLRNCVRCKIFIADFSAQ ILIDDCFDCQMFFAAVESSMFIRGCKRCKVITACGQFRSKKCEDVQTLLFTQSQPIIELS VRMGFGCFRGYYPQLRQHLQKAKLNIWNNTWSDLHDFDPEKQAEITHFYMVLEDTRYEDM LKPLNEVLSLPNFTWAEECKTENQVIPFTSEQRKKPFHEEVLLIFYPEESSRLDVDVLSS SFQPLLKEVPQEIFAEEEQQVNDQVEIEAPEQLYCYLVKSKYQPLFADNWPLFKTAVETH LPADKAAKLLDQVVVNSRLQPTFSLHIRTDNQDIKLLSYLYSVMDMKLKCNINKACVIVQ NSKAIEELTVLLFANQKDERAGQQ >CAK61314 pep:novel supercontig:GCA_000165425.1:CT868013:54284:55282:-1 gene:GSPATT00031545001 transcript:CAK61314 MSEKQIHQKHYAEHKAKSQGQKARFLNDKDDPAHPPQMRNAQRFFRKPKTTQELIVLPQP SIQYVHPVNIFMYAFMQYVPKPTPIPEAIKQIDKKIMAYRRLMERERQEQLMREQQEQER QLENEDDQKRAIDFMTNPQIQGVEIQDEEVQEEEMETIVQEPKQQEVVANRDDNKCSYSE AVKKREQTYDHTLQRMYEKRQNPTLFAKLKKKPPILNITARNKITKGYGKLDPQEHLLRV YNRENVPVMPELIIPSFTIKKDQMAKNILAYLKEYTPLFRGYKNYEYPKCVQNILQEEIE ALPNQ >CAK61315 pep:novel supercontig:GCA_000165425.1:CT868013:55491:56680:-1 gene:GSPATT00031546001 transcript:CAK61315 MNNNKTMKIKKSTEKGFDLESCFQKGESDHQDGYIKDADYYFDSYSHFSIHEEMLKDKIR TKAYQNAILKNKQLFQNKIVLDVGAGTGILSIFAAQAGAKHVYAVENANIAIHVQKRLQV IMDSVIEEIELPVEKVDIIISEWMGYFLLYESMLDCVLYARDKYLAPDGHMFPDKAVMYL STIEDDEYRKSKIDFWDNVYGVNMSCIKQWALREPLVDCCNQEQINSNSCPIFEIDLKTV KVDDLDFSHQYMLKIQKDDYVHALVGWFDVQFSTCHVPVRLTTSPYAESTHWKQTVFYIE EPLAVKNNDIISGSIAVKKNAQNPRHLDIKISYHLENVYGKKEQSTLYKLS >CAK61316 pep:novel supercontig:GCA_000165425.1:CT868013:57598:60309:1 gene:GSPATT00031547001 transcript:CAK61316 MIYSQQTQQEYKEMVLQGFDGYDHSLAQLQQEKTRLNQERQEFIYFMQEYIVDYLTYENQ EIDDDVVQKIKNICQKMVLKPLWNYEDFSVELEQQLETLTRKHKLSILNILDDYIVLNQV KLIISSFDAYNQTKFNQISTHCLETLKSLSSTETKYLKRMNHYFEVQELIPNQSDRVEEI NQLLTTLISVQDNTLSMDSWNYSHYQFVNTNQQDLQYQVKKQDADVILIDTYGISQEVAR TLFQLLPDYELVLPQLLSTINITKGNLQHALSNLYDLQFKMMDHLNPFTLKGKGMVCCLN EGLAGNEFICFYFGEVYTPQRWFEKQTIFHKRMQDGNRKTCSQSPYAEFFIHDDLLVMFK NRFKFIDPTRYGNMAQHISYSCDPNCRLIAVTVNQQNLLAVITSRKINYFEELTLPFPYT SQDQCLCGSIHCKRKQNLELENAHQISIYSNYIERNVILLQSTLITSQNTQNDIPEWLSN WQELNHQQNYINILSCVDKVKFVLQHLKTIQPPIFLVTNIFNQFWKNCETNTQKIQMESS IMNEIVVFLKRHSQLHQCQIGLEIINQMKKIIDQNTDYALQLTRMLFLLLSEIILNIESC SFNNKAFSTILYFMSFTHTYFSSTQYQGFDGKPFEETEFEYIPQPKNKSKLSLSKQYTPQ FIWGQLINWNKQTLQNPQSSMAQERRGVLCYPSLLLSFDNKHKTFPYQCKTREKYLEYFQ SKKEIQPDLSTWSYKNQHNIYGTIFFEQYFSLSKVGEDFVSHVCKLGMQTFEKKFQYWLH IENCFDMNQQLIDDLQTIFSEKFQAFFDQTPKSNSSTDYDDNQRKKVKYNQDTIIVEETQ FSTY >CAK61317 pep:novel supercontig:GCA_000165425.1:CT868013:60394:61649:-1 gene:GSPATT00031548001 transcript:CAK61317 MNSILKSNGKSLVQKANFYYFRLLNLSYEETFRLLFKLLASILSFFVFDALRFRSYLIMS DIAVIPYSSFSILLFGLIIVHYSKWTVNHLCHGFVFRTISKEYQGEFRDIRVKKILKWLF DGVYYSTTSILCWYLFHEEPWYPTGLGGTNYTSIWQDFPYMINNKWAIPFYMIQTANHLY ALVHLAIKRKEVETKYWEYMLHHTLATCLLIFSALYNQFRFGIVVLGIHDVADIILSLSR AQHDLKSIKSLMYIQYVLLLFTWIYTRVIIFPQIILEAIMHYQNYPEAAWGNKYLIVQMC ILVGMHLYWTYFMMQVGINVFKKGKKYEEINTYDNKEILKQARN >CAK61318 pep:novel supercontig:GCA_000165425.1:CT868013:61803:62750:-1 gene:GSPATT00031549001 transcript:CAK61318 MKKSVLMHILNSNNENLKDISRQLLNIGIRKVFYELSRPFEQVLFPEYMIKLLSVFHYMI QEKTLQKDEFVDNHIFSITNSQEGNAIMERLQTDLNLTASRSVNLAPSWDGTPKSYIHNN YFKASPEQKKSATDKKIEFKQLRDPLNYQQILQLYYTYLKKVCMQQNSGVPIIDCFVIQN IQCMGLRIIEGQRNQKLAFCFNLIIRDMISYQSQIIELVSNSMNNVEKTQIHEVYIQMYL NSKQLKYYGKQNRVKIDQSQFKEFKNNQNIHRLKLKLCESRERMEQKDLKDEQNFFNYER TQLYNQF >CAK61319 pep:novel supercontig:GCA_000165425.1:CT868013:62778:64049:-1 gene:GSPATT00031550001 transcript:CAK61319 MKVLILLLLWTIECQVTDYSFIQVLDYNQDFDPIRIKVFTKKLDKDNPNHKLFKKLIKSA ALFTQDTYKVRRSKNNIVFNVKECHHIKVPKKHRKRGIKNADFVLYVTETDVAENWIAKS SPCLYDQNYRPVAGEILLNNHHFSKKMSKLDKYERLGTIVHEFTHTLGFHSRLLNHFNMT EMIQDKLYLKSPGIMEYAKQYFNCSSLQYLPLEDDGGPSSQYSHFEKMTFNQEIMTGTAS RDTVYSKFTMLVLQDTGIYQANLVNAGRYQWGMNQGCLAAQGGCDSPTICKLAKNERFCS YNYQHIQFCKPSQKLAECGLVTALTDCNQRRCFNYQDPTTLLHKAKCFKSKCTSLGIRVK YNGEVQYCQSDFATISFDGQIIQCPVFKDFCNDYSACNNRGQLIDGKCRCDLGFKGKKCK KLL >CAK61320 pep:novel supercontig:GCA_000165425.1:CT868013:64163:65864:1 gene:GSPATT00031551001 transcript:CAK61320 MEGYLKKWINIVTRWQDRYFILNDHILHYCEHQGGQSKGQIHLKVAAIILVPEDPLKIII NTGTNEIQVKASSVPEKIEWVNALKRAKERSQETIPRDCIKEVNDILTDIWVTQAAFDET LNILVPKLERQSKYVELKQNYKFIRNTLQGISSLLVLQLLEVEKEKLQSQQSDDQTVFQS FRNITNGIDDFNRQSSSIDILESLKYEQPIQYKNLINNPVFQKIQFTNSQIRAALPRSQD PNEKLKVWSFIKDMIGKDLSKLAVLVYFNEPLSMLQRLAENLEYYQTLIEANQEQDQWLR MCYVMGFGVSIRNHLILFLQPIGNVYIKLKQFNDKFSYNKCTTKVHNIIFANMYIEHIGD VVFNNYTTNDKGILTLSENHKMVGTVYDSNGQEKYKLKGLWNDSLVAHNVQTGKETLVWR KYDLPQDYKNYFFFTKFALNLNLLNIDLIKQLPCTDCRLRPDQLALEYGFIDLAADEKHR LEQKQRQRRKEMQIAGQQHLPQYFDLIKEPCTNEMIYKYKGNYWRKKGEGLDLF >CAK61321 pep:novel supercontig:GCA_000165425.1:CT868013:65891:66614:-1 gene:GSPATT00031552001 transcript:CAK61321 MNNNIEQKSQIQILQFFEYQNSVQNEIDKSKKILLALLDQKKKQYNDKILQQKEIQMQQQ KQIDQYKKVVEEQQSKLKSKGNKETAQIQIGQLISYQQEQNQKIESLIEQSCNENSNYIK LQEKLIKCQNDINQLKEEREMKEKLIIQLQQITGVYLEYDYHQKILDIQLEYNQNVHIQI KLEDKLRSKQFEIYKIVHTINPKNEHFYQLIKEFNQDNQLDKLIQRIQLFN >CAK61322 pep:novel supercontig:GCA_000165425.1:CT868013:66809:68222:-1 gene:GSPATT00031553001 transcript:CAK61322 MIFLLILLSQAFGDQLVLSQILWRNGACTPTYCNWKCKEFEQQGILNGQLTPIGTRQHYV LGQWLRKRYIEDLKLLSQFYNEAEIYIESTDVNRTILSALSNLQGMYPAGTGPKINPDLN RSYLLPPNQKEFEDFGDAALPGLQQAIPVHVREKQLDIYLKGYDALACPRNEEFRNSNIN SKLYYQINSKAQSLISDFTQQLGIVASQLNITDLYEYQDTFDSCEYNGYDLPKLKESTQS QMKLLQYLYFSLEHNIDFEQTRLLATPFFRNLLLNIENVINNQTEHKFRIFSAHAATVQL ILNALNLTSFECVKQVYLNEKVQNKNCIYTFPGYASNIIFELYRKLGHGNQYYVQVLYNG TLMPICNNQYKCDYEEFKSRIQFQNVKDYEDECLISPKFKKEAASFILKAINVVITILFI ISFCIIYLLRRQSKLEEYTKIVQQFDA >CAK61323 pep:novel supercontig:GCA_000165425.1:CT868013:68938:69786:-1 gene:GSPATT00031554001 transcript:CAK61323 MNNDNQNAQVILKASLARTRITKILQKKPDFQLKLKLNALDSILSNLPTEEESPPQQASL PLQSSSVLHRAKEIITARKQNINFDSVYMELKKETFDQDSYNQLIVENLDKYTQHQDTTL KKAPPMIKHKSEQQMVHGYQLLPKVAISNQIKRKKETMRQLNQQLKSDQQLVKQQTLREL QQMKLPDIFQSVTINDLKQKENIQKFVLQGEFYQQWRKSFKLNKPPSLVQSFKSINQYLL DSADYLDKLNKIDRKQIKVQMESLNEFAGIQYGKLKIKTDPC >CAK61324 pep:novel supercontig:GCA_000165425.1:CT868013:69798:70709:1 gene:GSPATT00031555001 transcript:CAK61324 MLRKNVRLRKEYLMRIEDEKRAKQKYDNKMRLLNAEIERKNVPTDLYRDEENLRKEMNAQ DDNTIVPRTHLDDEYAMSFYREPQIVLTTSRSPSQRLVTLMKEMGLIFPNCTRVNRGAQV VKDLVIHCQQKNYSDLIIVHEHRGEPDGLIISHMPLGPTIYFGVKNAVLRHDLDVKADPL SEQYPHLIFDNFSTKLGERVTTILKHLFPVPKIDSKRVLTFHNENGVIQFRHHTYQKSFN QVDLNEQGPRFELKPYKITLGTIGQKEAIVEWQLRTFINTAGKKSVL >CAK61325 pep:novel supercontig:GCA_000165425.1:CT868013:70738:71180:1 gene:GSPATT00031556001 transcript:CAK61325 MDSKIIPLQNIDEFKTSILIEERVAILIFTAEWCQPSLNLVTQMKKELDQQRKRLSQQTG AINIFVVDHEKEGCYQIVKQFAPTTLPYVYLFEKGKYIAQFGGLDVFAMNKLIKKALESS RAL >CAK61326 pep:novel supercontig:GCA_000165425.1:CT868013:71726:72157:1 gene:GSPATT00031557001 transcript:CAK61326 MAPSKSPKADAAKKTVKTVKTKKGSDDKKKARRRQETFALYIYKVLRQVHPEIGVSRKAM NIMNSFINDIFDRIALEASKLVRFNKRRTLSSREIQTAVKLLLPGELARHAISEGTKAVT KYTSG >CAK61327 pep:novel supercontig:GCA_000165425.1:CT868013:72356:73760:1 gene:GSPATT00031558001 transcript:CAK61327 MKQVPIVYFFQNEPKTQFMFFFQGEELVVKNILQQFPFKITSHHIRFKIFVQDIEPKTQL IKFILSHAWFDISNPNAKVPVVNDTVYAKILTLQDLSYSRIAKKMVESVQQDQKVGDLKP PKNTIQSSPQTQNRVQQQQSNQSPILQQQLNSKQTLQPVAQQGGERQSNRQLSNQSNGTS QSGDLLEAFEKFDFKPNNLHTQSQPISQADLDVNNQNNTNFQNFQQFQPTILQNDQDQFH MSGLDSRPEQNDAQFTQFQQKPSQQQAKKGEDLFEFEVEKKVNPQNDQSQKRDGQDLFSF DAPNQQTQSQQSNDQYEGLTDKQIVDMRVEQAAEGLQKIWQNEADYNEQRQQAKEEIEPK ILKWAYKNNVRNNLRLLLSTLNEVLWDGTDWQCSFGDLMTDGKVKLKYRQALLIVHPDKH NQTPAQQRYIAERVFYELNQAWNDDKNRN >CAK61328 pep:novel supercontig:GCA_000165425.1:CT868013:74060:74512:1 gene:GSPATT00031559001 transcript:CAK61328 MYYQCSKCRELVVDEQPCKCDKKWIILICFVVVKISFIAFCYNSVIRSSVYGIFTFSVFV LGLIALIVSFFFCRSDQKHRIPLNMTLLQGQYNPQVVQQNPYVYVNQFQNPQPNIQQAGY YQYQNQINASQNNQVQIPIQQH >CAK61329 pep:novel supercontig:GCA_000165425.1:CT868013:74733:75900:-1 gene:GSPATT00031560001 transcript:CAK61329 MPTYKIQQQFIDWQLSELIEYPDFALLINLTRFYMSTEEPEAQGCELLGGFGILVQSILG FLCFLVLVFKRHIEKPKRVWKIFLMDTAKQFVSAVVQHFLNIGLALLLSSADSGDQCQWY FITYMLDCTLGMLINCLLITLFENLFQKLGQTQFQTGNYYTITYPQITTYSLSSEDQTEA KKAKPKVEVAYGVYMFQLTLWILIVVASKVILYFFQLLLGEYLLTAVSWMFQPINQYPEL ELVIVLVIIPLIFNALCFWVQDSFLKKSKFKKKEKTVVLDALYEKSDEPVEVEIIDGDES SRPSIIGIELQPQQKNNDLVITETKSDIELSEQQTNSSNEI >CAK61330 pep:novel supercontig:GCA_000165425.1:CT868013:76090:77211:-1 gene:GSPATT00031561001 transcript:CAK61330 MPITSEIYQELLDFEERYHKGSEKYDVIQKLIDLYALLIEHYDSIQDPVGYYFNEKLQSL FACQRALKSIRKTNQDQPPSMPTHTQSLIVQEININQNSQESAKGKQTLCVEQKKKERQT KAKIAMEIQDKIEGSQNDLQHLISGYQKASDQTQKVIEEDLKRQDDLFLQRRLKREKTNL MRKSSRMCSTKCSTERLDLFESCIEFSSGGVDQIQEINNARLMLLLKEQSGKISSEKTNP IAPEQVEEVQPFEEIQIEEMDDTETIIPPRRQIRESSSEDVTDTPPQPRRKVQQFGMLDN NGDLLLIEDEQNLISDLNIELN >CAK61331 pep:novel supercontig:GCA_000165425.1:CT868013:77961:78864:1 gene:GSPATT00031562001 transcript:CAK61331 MQKFQNLVNLLEDQRKKTSSRHSNSPKSKVTANHDEQFLKASIKAHDSRKYLLNNNPKSI HDRLSSLIRKKSSPTNKLRNKHNSLHENSFISNTYTTQDEMPQIILPINEIQMPQYHVHQ SFQQESRLKTDNTNNEIISLENQLQKYPKLQNYFQIKRIQKELIKKEQIKSNASLANTKP PQDNSKYQLKYGIKFDNFISRLQDKDAKIRIQKIYDRYTYQRISTKVYTEIPDVTKYTKL INTQTEIFQDVIENRRLSRSNQKKLESNLKEIYRQVLKN >CAK61332 pep:novel supercontig:GCA_000165425.1:CT868013:79072:81125:-1 gene:GSPATT00031563001 transcript:CAK61332 MQTHAKQVVGLINQLPKKDELKFLEKQPGNKKVISETNQAFISVINGLRSLIGDVQTNST TIPFKQIEETLDQFLENAAIQYDKMNKLSIAPKQPQVQKTNQAKPKDIYGFADVIQLNKL PFIPVLKYKHYAQTELDQKIIEAQNNPTLFFEKYAIEEFTHPYYEEITRLTPQDFVLDVP PKINRYRDLEPPIMINNADNLGELVLKIQNEVDQNGFSEIAVDLEHNHSISYLGVTCLIQ LSTRTQDYIIDPFPLWKQLGDMLSVVFTNPRIVKVFHGADNDVQWLQRDFGLYIVNLFDT FQASKELLLMQNSFQFLLSEYCKKSTDKTYQTADWTQRPLSDEMIKYAQIDTHYLLYIYD RMRQDLKKLNKPNENLNNVPNYYIESVLKRSKDTALKIYKKPLQDQDQSLQTILNKQDRR MEAKNFDLMVKLLELREELGIQYDQNPRYFLPNPFLFKLVESKPTSIQELKSQLGGDKYI HEIIKENLWQFLKILLEFDEKVPIVNVIQEEQINTTQIQIEEMRPSIQVEPLKIVQISVN IKKFDNQNKSVVKNHFRNENVDQIKSLFLCESPFKLLNVFYDGLGSQIFQSSEGIKFIAK QQKIEKINTGDFIQLPKLEEQNRDQQKDQDVRLNNTLQNQYGTKILKRHQKIEQQKIQKS NISNRFEIP >CAK61333 pep:novel supercontig:GCA_000165425.1:CT868013:81280:82405:-1 gene:GSPATT00031564001 transcript:CAK61333 MQDYIKIIDAQVMEESLINYTQYQIKGIRKGEEFLINKRYNDFVILKEVFNRTWPGCFIP PIPQKKSLGNLDSNVITYRSKYLSYFLKKILKIPYLWLGEEISIFIKGTTEQLQEMAKNK TLQQIISKYEETFTFNENQQVYDIDQFYSSTCHIIPMIENFSSMAKNLMKEKDSQNQGFI MFVEHFLPQFEKLQQQNHQVFSSQETQEQFQLSKKCCTKNEYNKVFEYTKIVERELIACK EALVQRNAIVQRVKEEDEKLYKLNIQLQELHSKTVLFGKKEEKVAAIQNQIQQQQSTVES LKLLLFLMNKLISQQEIPNFYRNTKEKYLRLIKVFSDFQIKYHQSQTEFWEKIQLKI >CAK61334 pep:novel supercontig:GCA_000165425.1:CT868013:82562:83675:-1 gene:GSPATT00031565001 transcript:CAK61334 MLFRQKEKVPDLGRDNFRDFMRREGDSCLETQMKLSTFVHKTVPNEQTKSQLSPLPLALD GSLKMTGNTWDAQHLGTFLQKSQFASVGGNSLKNTEPFQNSMKNQSFFFRSQQPRIIDDH VDYLHQHTINHIISDHPMMKSGSKYLQKKLLSVPDKPFDESKLNYITEVGLKKPKEQFQE MLVTKENQLKFHQWRSEFQDVNRAFKKAKQCFKSGLYGLDSPFNDNTELYKEENAKLRGL EQQSVRSSLQRYKSLERFNSSNPSIEFNNVAHQPISSKQKDPLLAKSSFPSQNFEFHDQW MKRKQIEQQIDTKNRLFGETSQNKANPQRCVFLREQEVRGRNYNPVNLTRSVIG >CAK61335 pep:novel supercontig:GCA_000165425.1:CT868013:83734:84998:-1 gene:GSPATT00031566001 transcript:CAK61335 MAFSASPQTTRKFLKNLYSIFKINFSIKNQSFNRITQSQIIFTYIFHKMNVCSNFALSLT GSFHECRNCGREKKFHRLDFESNNIEYVQKPINNNNAAKFQQDKLQQIATQKQVEEDDLR AKACNNFVLALVGQFQQCGNCKIEKKYHKLDTAGQIAYQPKSQVTKPAEQTKSQTKITAV AEVVQETMMVQSVKDRIAQMNKKGSSTTEAPFRPSVKREEVQEKLPGQAEIVTEQVFTSV SDKKSVFDKQRVRKTIEQSTQQIREQQNSEGIRKAESQFITSSENTQEQQEQLIENQNEN QENQQEEQEQDQKVESQEQHQQAEDDQAKEQPQQYQQEEEQQQQQEQGEYQQEQQDVQQQ EEQVESNHQEQSNNENEQVEESQQQHLDEPQDVQEQQEANDIY >CAK61336 pep:novel supercontig:GCA_000165425.1:CT868013:85058:86437:1 gene:GSPATT00031567001 transcript:CAK61336 MKIAVLIAILSLALCYRTPQFEAAQQVIVQYAAGHILEAQAGVPRQVVVDVKFKKAFEIP PQVFISAQLLDWSVGLPHGYMERVSDITTTGFKLSGVAVGPSPLYSLFVDWYAIYDPRIQ VVTFESTDVKELKTGQGERKVSFTIEHSLKDATNAIVGLIGCKHLLTNPIVEVKVEQVTP KSVTVSARTYWQAQLEFVRFNVLLGTDQSLWVSPAYVFYNDPASSHPFVKRAPSAAEVQQ TIELPKVWQSGPRVPIVTLRGYDVERARNMRLSYNKVVLESKLQYNLVTWWDTQMYGVFH QAAIFVADTTYKIFDPDCAELFSECNFKGDSITVCDRIPDLPGYGWSKPIRSLTVPVSRR LYLFNKEKYEGQRTSFIANQQCMESITFSFAQLQSFEEEDHTVLASQ >CAK61337 pep:novel supercontig:GCA_000165425.1:CT868013:87084:87489:1 gene:GSPATT00031568001 transcript:CAK61337 MQQNNKQIETNTFRDIWIVAITHFVQLASKNGQILKTRALFVNRSLNKLNKNGKDHKISK QKCKENKQNILIITRIFVKDKTQSQKEEHFNVLLELLIEFILADSIYNIYLIVND >CAK61338 pep:novel supercontig:GCA_000165425.1:CT868013:87552:88995:-1 gene:GSPATT00031569001 transcript:CAK61338 MDASYNYDQYAQKAYSANVFKVDESEFIIEKRYKPTQQLGSGAYGIVIGCEDTKPNTPEQ KMVAIKKIERTFEHRFYAKRTLRELKILRNLRHENIVNLITLQLPKSRKHFYDIYCVTEL LDTDLKRVIDKEHAKLNQDHFKLFLYQILRALKYMHSANILHRDLKPTNLLLNKQDCMLK VCDFGLSRALLQTTKTQQQNPNIMTDYVETRYYRAPELLLGLKTYTQAVDIWSVGCIFAE IVRGKTLWRGQNSKQQIKMIFETLGTPSKSKIMQVQDTFVSSKLIELVSELGTLERVPWD KVVKGLPPEGYDLLEKLLEVDYKKRITAAEALKHPYLKELHNPSDEPTRSPVSNMEFEFE MYEFTNEQLKDMIYEEILLYHYPDFKKQYEEKIANNQSVINHIMKGESAKVIDPEADDDY PI >CAK61339 pep:novel supercontig:GCA_000165425.1:CT868013:89428:91747:-1 gene:GSPATT00031570001 transcript:CAK61339 MTTFDGEKIYYTNQKFTTDEEFNHESTITDAGKRILKFLKEFHFQDKFIYRDQLKENVAN NEYILRVEINDIENSDKELYSFILDRPQDIQETFEDKIKELYSQEKMINKSDCPDFQLQL ISQQNPDLLRNLTAQQIGKLVTIKCIISASKSIKVKAKKLLIRCRECQDEQNINLGYGPK PVNLPRYCLGKAQQKGAQTDAQCPTDPYVIIPEECQFIDQQTLRIQELSEAIPTGEVPRN FMVYCDRYLVNKLIPGQRVIITGVYQVPPKGSATIKSNAIDAELLLPYIHVFGVQTNKVN IKQALSEALRQEFKSLSRNRDVYKIITNSIAPAIYGHEDIKLAIACLLFGGTSKNLPDSM KLRGDINVLLIGDPSTAKSQLLKFVERAADISVYTSGKGSSAAGLTATITYQHNTSQFTL EAGALVLASGGVCCIDEFDKMRSEDRVAMHEAMEQQTISIAKAGITTRLNAKCSILAAAN PIFGRYQENKSIQEQIELQTTILSRFDNIFIIRDVRSIENDQRLANHIISLHTGQFADQE GMQIEQDSNNSMDLMKLKQYIKYAKSIVKPLLTEQAAQMIQNLYVDDRQISQQPHHSKSG GKSHIPITVRQLEAIIRISESLAKMQLLEHVKEEHVKEAHRLFQISTMMAVSLGSKEFGL DLSNDLKQLVAKIEESILRRISIGSKLPANRLIQELSDRFNNQRAVEFAIHNLIQTEQLQ QVEMKRMLIRKK >CAK61340 pep:novel supercontig:GCA_000165425.1:CT868013:91832:92362:1 gene:GSPATT00031571001 transcript:CAK61340 MQPNTPIISINKIQLIHIQLDLNLQSSLITFITQLILHIKFYFSMDEFKSNSERPQTSHI LNQLKLLRRNLIKQPQKPHKSTRSHFVPADINNMKYVQSPKQIFGNISSSQTSFKSKATS QSHISHDESYLNRLLPKETIRNMNNQTRKTNKLFPLFQQPQGRMKTDWINSKYRLF >CAK61341 pep:novel supercontig:GCA_000165425.1:CT868013:92701:94411:1 gene:GSPATT00031572001 transcript:CAK61341 MSHQGVLSTKQQEAAFLKILKKQCNLICADCSSKSPTWTSLDFGVFVCYNCSGQHRALGQ NITRVRSTKLDCWTQENVDMMDALGNDQNEYWESRLQKNNKIQPSATPEEIKYFVQEKYV KRTWVKQGLADPKTRYTQCLMSGVPFRVSKTNTFFSENGSQGQQVKDDMKQFLSTQQQGI QRNNRQFSIDSRQTQKDANLISPDKGTQFDWNSFNHFSTVSGVPNNQSQQTNQFSIFPKK QQLNEDQSPTFKPKNSNLNEFDLLTHSTLADNSQFTAHNKAHQPALQQQTHQHFNSHNPQ SNSVNQQSWEQAQKQAQVQQQQPANQQMNYQCQQNQVINPTNNGFGSNNQQQGWGSQPTQ QTQQVQQQSPLQYNQASSKPPLPGKQNVQTNYQTTNFAYQQQPQMQQQVPNQINYQTNQQ QPQVQQQQFANKQQSGNSQQYQQYQNTNQNYQNNKQNFGFDNQNNNVANNNQQIYQDFNN FSFQTNQGSQNNNNTNNTNFYNNQQFNSFDATNQFQNQKYQNNPFAKDVQDIRNLYNTQQ PSKYDQLRNNPFV >CAK61342 pep:novel supercontig:GCA_000165425.1:CT868013:95610:95955:1 gene:GSPATT00031573001 transcript:CAK61342 MGSSCCAQQVAEEQDHELENKYKYDPHKQPAKGAFKKQHEDKKMQRQEDEEKNKDEDDSQ IGNPLIEESVVAPDAKSHKSQHTQKSHQNNKRGLFSKQVSQFVKCEDD >CAK61343 pep:novel supercontig:GCA_000165425.1:CT868013:96945:97698:-1 gene:GSPATT00031574001 transcript:CAK61343 MNQNEYLPVNMNAQSLAFPLYIPQFMSSQQIFFPFMYYQFPYVQPLQPQQTIPQPPQPNE QEQKKSCSQFVSVSGTRITLNLKLIKELPQATPTTIHEENESELFNKQIDKHFKIIKQSK NIKRHISTRNVHVNSDQTNQPYKFYFRKNDGFIHIGKGHQTEITKNKFNFNMMRMKLQQF KVWNQANMPLYDEIKQLLNLTDDKTLQILSSFKNIKLLHRKLMNGDPIAYEEVQNLLIKK HSQ >CAK61344 pep:novel supercontig:GCA_000165425.1:CT868013:97751:98062:-1 gene:GSPATT00031575001 transcript:CAK61344 MQSKRGEFLQELIFEIEQYSLDYEHNESQIQLKLSQLCIEPLLQHLLPKKKSIRKRMTKK LAIKNKESKDFQQIQEGLKTLCLNDCSQSFHQKASFNNFLKNY >CAK61345 pep:novel supercontig:GCA_000165425.1:CT868013:98115:99143:-1 gene:GSPATT00031576001 transcript:CAK61345 MNLYIPKILRIPIYTIFSEIYKVRRQDMIHPLSYYQTFNKFFTRQIKPRKIEHGMISPAD SKILSISKVTKNECLLVKRVTYQIGQFLTGIKGYEMEFKKKQESSNLWSCIFYLAPGDYH RYHCPVDFIAKSRLHIPGKLAPVKESSLRQGLYEGNERVVLEGEWEQGLMYIIFIGATNV GSMKVNFDSDLATNTNTYHKSGYRNYSNLTVTAPYSSCEKGVHIKKGQEIGRFEMGSTVV VIFESTSIEWSAKAQQKVYFGQSVASY >CAK61346 pep:novel supercontig:GCA_000165425.1:CT868013:99262:103576:-1 gene:GSPATT00031577001 transcript:CAK61346 MYVFLLMVCIALTNQSVRYISLNEVLDDAIEAYDYKVYRLQVHNAEKLQNRTLIVQLLPQ IGNPKVAVECDTEPKLLDYYEWQTGSWFMQQLTISYQERKALNCTDKEFYIGVSSEVVSG YQLVTYVLDGIQQLDYNIPLSGELVEKEILQFKLKPIQSTEQITLQITANQVEKFLKQCT SEDCSITLSDYEGSDTDTAVHLTQSSLTFVHANCQDCYYLVGLKSETKTQFRILAKRKEQ HIILREGEQEQFHVEEGFSVYYLYNIPNDTNIKSVKFQITQYNGTCMMFGSSSDQYPNAT NFEMRSSSQLKASNTQTVQTVYLSVYGYTHCQYGIYTQVERYQTVNQTHFIQLQSGVPQK YLSSDQYSFFYIQLTQKQNFTITLQNLEGNFIMYVKSNLKDNEIPDSNSYQWKDSKQIEI NIDDVNYATQYYIGVQRLNDQGEFIIQYSMHGDIEFYQVGQQIIGTVAKKKFRYYKLPME PRNITITKDIYSGNDVVDLDMYISFDQKNTHPNLKNHNIYLTGKNLTIPESDLQCQQNTT KIYERNPCYIYISVSSSSGTIFYTISTKYDNSRIQLYEGYPQTVTFDQNTLFYYILNQKE ISLQWYSYGGSQAYVEAYFGNKDNPDLKYETFKAHSYFTQFQSIIIPESEKYSLLYIHVQ PIQPHYQGDTYTIGIYEEVQLMTLSDPIIDKVQKGQTKFYKLSLTQDVKSIEVNVHVKGG GDALTPRVSKGKDKRPSLYEYDIYWTEIVGSTYILQEMSDRYLAKDDYIIGLYGQIDCEF QISYNLDDAKFYNAFLGYPFDVLFVEDIPYNYLFRDNPSDEEFKIIVFAIQGKLQIRTKK LSIFDDVSAITSNDTLIIDEIVTKQKSFQVKTCQQSSCIYVVEITAISGDTKGLIEFTSH QYYTTLQEGHQWREILNESETSLYHFNSYVNVQFSIQMISGDIRLYLKEGQELKHNDEAH HTQRIQNDTQILFQKKSSSPSIITIKVESLAPTSIFKISAIRQYNQVITIHLGQMMTYFV QAQETVQLQYQSITQPTNSQSKFITLQIQNIHQSIDNLYFEITHTSIKPINYFNKYPKAI SYYLYDQFGLYNISIKALHNSTYVRVIITNGELNALTDGVSQYQLTKIGQPNYYEIFVQS KSKLLLEVFTCSGSILVQGTKNETNLAKQIFEIQVMNSSQEHIQTILSLEAGTYYFLVKL ISAHTQDENKNKISSYYIKQQTFIQGELIPSTSFQLSNKSIDWYKVDNNLIIHIPNLIQV KKDITLVHVYFIVRMQQAIGEKSLACMFESNYVNNKTNVYGKYTQVVEQSNFSNITTVTF ATKDQQAKYLSVVGKVQLQIENEIEELTYPLPIIEMNYTNHQQESIQQWVLLGIFLTILL ITGFFVYFNIRKQVHHQNHNTLNIEMNYQTFNN >CAK61347 pep:novel supercontig:GCA_000165425.1:CT868013:105296:106375:1 gene:GSPATT00031578001 transcript:CAK61347 MTQQIRLRLGGVKNQDGRVWNCQRVRNKRSQGCSPGSVAGKLVKGFGKCNYKNTTIVLMN SQERSLCFLGRYSTSVINVGQRQLPPFEFGIDDINDQLVHQKVLKAPSKLENGAMYFGEW INDLRHGKGILICEDGSKYEGYFYQGNAHGRGRLIHSNGEVYEGQWENDEAHGLGTYIHE DGATYTGQWEHDLQHGKGSEKWPDGSYFEGFYKHGKKEGLGKFVWIDGAIYEGEFRGNNI DGFGKYTWPDSKYYQGYWKNNKKNGKGKYLWPDGKVYEGDFVNDQKHGYGILKFPDGRVY EGEWQNDKQHGKAQLRLPNGKISNGEWKNGIRIN >CAK61348 pep:novel supercontig:GCA_000165425.1:CT868013:106664:107785:-1 gene:GSPATT00031579001 transcript:CAK61348 MFQFTDRQIQIPENKRKKSEISSLIHELTMDQKISLCVEILERNPEHRRPEDLQIIQAFT SKNKFLKQIQEEEGEGTLRECYKRMLIEFYDENQVVLEQGERAHQFFIILSGNVSVYVYR DPKVDVREEGLDKAALIKKRSFNTNKLISGESFGEMALLNDNLRSASIICDSKCIFGVLS KKDYKEILQKAHENRVNQQLKEFHGCMKQYNVSTKLLDILFTAFQSFHYQFRQTVYFQGQ QSKQEIYLIKNGEFLITESNDNLFSPKKFVSNVALLQKGQFFGDHECFQNIEKRQQNVIC NSENGVVLKIQLSSLIQRLCEMLVYRENKQDWKGNQDN >CAK61349 pep:novel supercontig:GCA_000165425.1:CT868013:107874:111758:-1 gene:GSPATT00031580001 transcript:CAK61349 MAQLPLLIPCEEIYDLDAFQLEDMQTTIKSCVTDDAQWNKKAKKILDLIELELKERQAIE NFAFNIQIEDFIQQPIYQNNALQAILNDIKNRPANYLDVQISKPPLQKHEQPIQKKSEQP IQQKSEQLTNQQQHQQLNQQPQQSTQKFSQQQSQSDAMSTSTMTRSSHPQSKVQPKKPNQ LQDFMKDVLKKTDVSNQQFVIGAAINHRYIPPPPKPQLNTVEKIKQEKLMRKKKKKKVRT ESQGKAVEHLPAIGKQASVVKTQSKMEQSNVHSQSSATKQNFELKQKSLDFNINNQENKE ELSEKCAINSQTQSNNLVVITNQLDQNDPKEKIKENEHTKNIVEQNAQNNIDSYEESHVK NNETNFQQQLKTPNNQVESQRFTNENNNSQIKSQKNFELKQQDLGDQQSNIIQKGLGDHQ FISQQRQVQDSNQFQIDNVDQDYQNEFLHKNQQHSNEELERKQIGEQKQNKMQSEELEQI KMRNQLIQNHYNNVINQYINNDELIGNQNSKDDYLKQIQQLQNKQIEEEEYEDNMNDEVE NENENEEFTQQQSKLQQPNKQKKNDHENMIQLKSHQEQRNSTKNQIIVKQSVSQQSQRKQ DLKNRPSIGQKGNVQKQLTMESRRSDDFHEVESDHDQINAAKQKPNKEFGIKQLGKVDQS KQKAVVDSKQKVVLTDKEKMDEINNICKEMGLDEFKTKQQQSANPPQIIKAITPSANSRP KNPQSKYIQKMLQCIDDSKLEDYSDIVPTFQHLFSGFFNNQPHYNHLDVMREIFSIFSQH IDKVDNVDSLLGKYKSNPLAINPNELVYINDPHRLIQYSTRTGVDIQQYIQRQMVFNKRL QNDSTNLGKQERYFRVVKTKEEVYDIITRSFMRKQGWTELPHGIGLRTSWNVLWTWSKPQ IDLNKLLFFQKVNHFPFNKNLGRKDLLKKNIERCQKLGTKAQQIFDIIPSTFLLPKEYVQ FMEKFYKDSESEGQQNIWIMKPTGKSRGRGITVLNDISDVMYAEPVVLQKYLKNPLLLKG HKFDMRIYVLVTSFNPLEVFLYKEGFARLTTQPFTLDINDLKNQLVHLTNFAVQKTHVQI QDLESQLGGCKISLRQLREKLIDRNIDWNKIWEQVQDIVLKSLVACQSEIPNNPNSFELF GYDIIIDTNQKCWLLEVNASPSLARDYILDELIKQQLIDDIFDLIDSPNYDRQRLCEVLE RRIQEEQGCKSVINTMNNSKLQLQRDLNYILNGSHLRRYGEMPKQMGGFMRLAPSEKYDK LKSLVQSYKTINGRGTID >CAK61350 pep:novel supercontig:GCA_000165425.1:CT868013:111937:114213:-1 gene:GSPATT00031581001 transcript:CAK61350 MDNSFLMSMALLVSGILIAIQVIVFNSEINRLQLIITIGQIIASVILLIKPYQRRKLIYL ISFMNAQQILQQTNFTFLFIVLTQILSCELEFEIQLNKAFRILLLISQVFRVIFFWENHF FENTQIIISAIFSAILWLKSYLQSHKKKKCIVQNSHNSNNHLQFNSPTNLIVSLKQLDSE LAILDNLPIGMIVLDMQQNIKYLNSFAKQYFKNFECERNEDIVILLKQQLIKMLLDHMGG PEDLNIPTDNQISNRPFLSSIQIDDPISTILNQKEEQFNLIFKFKELSKNGISKIKFIKI SLIQQFLKSEEITLVMIQNVSSKERKKELTQFIKFQNSILNSFSHELKTPLNSSLQLLEA VSKKLSHSMNQEFIQPALNSNKLLLFQINDILDYAAMQSNQFVHKYQQFNIKEVAEYIKQ LFTQACESKGINLTCKIHLTNLIVTNDKQRIIQVLINLLNNSIKFSPSNTHIRIQFKNKF KSSKQYIKIKVKDQGFGISEQKLSFIFRELHSVSSEESVYWMTQNQLSSGIGLKISNRLI QGLAFNEHKKSSFSIKSALQKYTQISFYISDMIILKEDNESISNAQSDDAHCISMYKSVD YKLIQNIHLKSCNCSAILLVDDVPFNIQALKTILMQQKITTDSAYNGIEAIDLVINKYQQ KKCHPFYQLIVMDIEMPLLNGLQATQKIISFFNSINVKPPVIIASSAYDSTEEDLSIFSD TLPKPIDQWRLKFILNKYLTNFF >CAK61351 pep:novel supercontig:GCA_000165425.1:CT868013:114298:115560:-1 gene:GSPATT00031582001 transcript:CAK61351 MINLLDETSKIVKLDQESKHIFQNQLLNSFSHELKTPLNCIQQLVEVVMLKVNTDLQENI LKPIKWQTDLLLCQINDILDYASFEINDFRWDFQLFFLEDLLQECISIYYQACKQKDIDL KLEMNSQDKHMVNNDYKRMKQVIVNLLNNSIKFTQQQGEIVLKVQEAKNNMYLIQVIDTG LGLSSEQLWQLQMNIEQDNLQSEYHQFHVGLGLKVANRLIRGMSSLQNGLNIDSTLNKGT IISFTIEDFLEDNNSQGSGSETIMKDAQLSRQLSQLTTREFKFIKQIICKCNKILIADDI PFNHHALKMILQELNYQADSVYNGQQAIDVVQQRIQNNKCHPFYSLILMDIEMPKQNGFE ASLKIKQILGDQCDQTTIVMCSAYDNQECIKMCQNCLMSDVLPKPITKMSLQYIIEKYLR >CAK61352 pep:novel supercontig:GCA_000165425.1:CT868013:115568:116600:-1 gene:GSPATT00031583001 transcript:CAK61352 MIKLKLIIYQIICNSLAAIIISAIFEEYISISIYGSILLFTILFCIMKQSKLQQVIQFIL ILLIQENSRYNQKEYQHFQFQLSWIYLAYKLIQNNPNLELLILLELLFSSVIQMIISFEA YNSTFYLSLSTTIIYTLIIIAYQCQKRKEQYLKSLDLELMTPKMNEFQRILINKAQTTRS NEIQILDHLPIGLVVLTLDHNFQYMNKRARILLERASNTQITEDNVVIIIKELLMKCFNE KITSLGNLFRPSQTRINQLITKFNQKQRVSMPNLDDNFIKQIDPINSILNQSNDFAPNSQ QFMDAPQHIFIEQIQNSIQLQREKKMFQISFYEAS >CAK61353 pep:novel supercontig:GCA_000165425.1:CT868013:117814:118622:1 gene:GSPATT00031584001 transcript:CAK61353 MQLGSKTVAIVTGGASGLGLATVELLLQRQCKVVAADFNEEKGAELIKSFENGNLRYFKC DVQNEEEIKNLIEFTVKEFGQINLVVNSAGIPSAQMTATRSSVASTSEMNILLQINVIGT FNVCKYAAQHMINSKVKGVIVNVASLAGIEGQRGQVIYSASKGAVIAMTLPMARDLGKHG IRVVAIAPGIIYTPMFEMIQPKIKEQLESQVALGRLGAAKEFAQLVEMIYQSEYLTGCVL RLDGGLRVPHL >CAK61354 pep:novel supercontig:GCA_000165425.1:CT868013:118656:119525:1 gene:GSPATT00031585001 transcript:CAK61354 MKLDQNTVCLVTGGASGLGLATVLAFLAKGCKVVIADFNQEAGDKILSEQNTPNLKFFKT DVSNEENVIKLIKYTVSEFGGIHIVVNSAGVISAGYLVTSKGTIPVDEMMRVLKINVVGT FNVSKHAAVEMIKQEPQGEFKERGVIINVASLAGIEGQKGQTVYSASKGAVIGMTLPMAR DLGKYGIRVMTLAPGVFQTPMGHNLSEKVLAPLRKSAALERFGQPSEFADSVIGICQCSY LTGEVIRLDGGSRLPNF >CAK61355 pep:novel supercontig:GCA_000165425.1:CT868013:119531:122629:-1 gene:GSPATT00031586001 transcript:CAK61355 MLQGRMDDNQWINDDHMDQPEDVGFDKEEIQRVELFVNKPFDIPNFPKNEQIKQAGISSH MFVIITRQNNIYRWRPERDDHCVQMELPEVQQSGLTGKLLGGKKNERKDIILEKLFLFGP HALIVSDNGESFYINVRSDRIRSMEQLKGKQIKCVGWDDQCDETDTHEMLLATKDSKIYI YRIDCRQAEVREEEAKLMVTIPNERQINQIEQFTVMYDNNKYACVVVSTNFSLFFFYGLN SLSILFTKYKDPQSVARAESQPSRYHTSLLAVSQKKNSFLFTNGKSLNLFTLPEKDLNES ILQQAKQLKSVNNYSEMPVQIGLTDFHYFILSADSLTIFSKITQQEVQKYELRGMGRIMG MQYERDGQVFWIYSERTFCKIETEDEDKEAWKLLMDQKMYVEAYEISNKYNSEYTKYIAG LCGDQLFQQKRYNEAATYYEKSSKHFEEIFLKFLNCDDMKARIGLEQYLKHLINNLKGEI ERTLVLGWLGELLIYRLNEQEKLIHETRNYENDAQRDKDIKEKKQQLNQLNEDLDHFLKT YKLELDQNLVYQIMVSHGRLQNCVEYAKLNNNYEMIIQHYINEENYKEAIKNLNNVKEKS SMEIIQKYSFILMRHEPEQTLDILQKNIKKFDQTKIIGGLMNIPVEKREFGIRFLEHSIN KLDCADKSIHNILIFFLTQPLQKEKLNYYLQEQEAILKKTEKVNFDLDFALRLFKQASCI DAQITIYGMMSLYTESVTLALDYGMIEKAKEYAQKPEDDDEKKKKLWMMIAERLLSQNQD IDKVIELTKNSQQIKIEDLLPHFNENIKIEQFKDEICNSLKKYNEEIEKLKDEMKKLSAN SDQLKNELKMTKNKFLIIDTQQKCDHCAKQLFNDTFYIFPCNHGFHKDCIVTKIKSLPQH QANIPQIETYDMTMQSILVKSNPNQNASKKQQDGQSFFQIMNIFGGAKQEQRPQSTLTPD EEKLLRETKEKFDKIVASECIYCGPKVVDSIQFGFELDAREKDTWSL >CAK61356 pep:novel supercontig:GCA_000165425.1:CT868013:122685:125848:-1 gene:GSPATT00031587001 transcript:CAK61356 MIRLKRRGLEDFENKKTILWITTRKKQILNEYIILQMNGTDIIFSALSSQPENLQIELQA EQQNEYEKEYLEADLVSRIRTRNPSTNNFTIKSTTNIDTRRNCEPLINQDEDIKKNTCKK TGTNLMKYFYLKRFIQRIRNNRTKLSNVNENHIKLINDNSSDSQVMIQILKLNNHQHFQI RNVTKLFRDSTLSSHEHPFLIWIREKYQQSRKSIYEILSKIPQVHPESLKKIVWDYFTIV FRLLLLILIPLEIAYNPQILFEKLLGLTVTIIIILIVDNILRLNTICYLSGQAVYDRWKI IQVNLNLQTISDFALIFLLIYFTKNEGNQFKYLVLLISLTQHYQINQTLQKSEESQYFTK KQKAFINLFKLIIYLIYVLHLFSCIWFFNSSLNQGNSWIIFKELDHQQWQLQYLEAFYFA IVTMLTIGYGDNIPKTWNEKIVAIFFILSACLWFSYSINAIGTIIKEINQHFMERSRKIR VINRYMHQRNIPFSLQYRIREYLTFRWKEEAEIDLQQEETLLNELSEELKQDLKKQANNV FFKHCDFLFKNFSLELQNSLSPFIKRKIIQPQNTFSIYTLSEVYQPHLCFVEQGQLQYQN ILNVSLKSVQNQGQFLEVSEFIEENDNAQTFKAIGYVSLLVLSKSDFVSIIRQYPKDYQK YCNLKDQFVLQVNPKHLLFGQYCAACNINTHGLKECPNLSLSIDRELIVKRYQYSYDQHR RYTRRSKKRGKTSFSTRCDREIIEQFAIYFQNEQPKLVQNQLSKQLTQEQDFDDGKSDIN DQSPINKTFHFKRQESIQLPRCSLQSGEAISIQKQINVNDSIVSSENTSIQNLKLSTRQQ CMITTNKFMTAKLSKYKKMCSQQNQRIPLIDTDESLKIDMQDCIYQNIELLYNKIFNEET IIPIDRSIYDLQILYFSLKAQQGIEQFEVVKNFSSYMVNHNIENIILQIEQKYHTLLMKP ITQLIRFMYYPYEFIMKFQKIKTQRLKLLNFYKKRSFKNLKQKPSKINDLVRKWETPRKS YRFSVIIPNDE >CAK61357 pep:novel supercontig:GCA_000165425.1:CT868013:126141:126257:-1 gene:GSPATT00031588001 transcript:CAK61357 MRVDQVRLYSAKSQRNQTKKVKRELQQRRKVVKIGQDH >CAK61358 pep:novel supercontig:GCA_000165425.1:CT868013:126362:127617:1 gene:GSPATT00031589001 transcript:CAK61358 MLKQTKDKSLSQLIVELQQKYQDENDQSNLFQKLYQQQVEQESSTESSNIVPPIFSGSTG SQSSNSQEVEKKIKKAKKSQNPNKGTQDEKQLQTETKDKKTLLMIRNRISAQNSRDRKKA YLQNLERDFQKQSHYLQELTEQVSQLQQQLEEAQKLNQNLQSYQQNLLCVNCGSKQFVFE EEAPISVSKNRTLGNLGFSFIVILTIFACLSINFDTTPQNLNISPQIQTEKPFIKEINNT DQHYGILKQVENSRIKGVTQLMDYNSEYNYKLYDTTYEANLNTKVSFVNEMINYNKERAK QNNGQALAPLNYHKPQVDSFYCPTVYKYDNQTQNKIQVKYQDEQWIHLVIPKNNVNIFYQ NQDKSIILKETYPDQDVDMREKYQEIWCQVKSKDDFYLETLI >CAK61359 pep:novel supercontig:GCA_000165425.1:CT868013:128078:131016:-1 gene:GSPATT00031590001 transcript:CAK61359 MSQLLDSVLRQQKQRKQVFLYIKSQTVKGILKFRQDFTIPHCDNNSEDASFEPNLCSNRK IELQLPEKQSFKADCLSPDPDQDEESRSMLHVETKQKVNLWVHGGLRIMFYITKFSKQMK TYSTEVKFKSLTNQIVSLISDAASDSDILLHKLNKVEQVMKSFTWLINQIPVLDPDSIIK VLWDIFVLTQIIINIFYIPMKLGFDFEREDILSGIFLETLPSWTFVIDIILTFFTAYYSQ GQIHRDKQQILKHYANTTLLWDLMIVIPFILSSYNVPYTEYILLLRVTKVKSMIEAIEEV TNPSNNVQTILELFKSIFLVLFVSHFCACLWNLIGEMELESKQNSWLIAKNITEAEWSTK YIHAFYFSTITTLTIGYGDIVPQTDLERIYVIIMAMVICGLFGYTISSIGNILKQLTEKE ELFKQQMMHINSFLKKKKINKQLMLQVRKYFEYFLKMEQEYNEFGEKMMLNLDKKLKEQV AIDMYCEMLKKSRLIKQTLSLKSVQKLCSFVHELKVPPEEIIAYQNEQANKLIFLQSGEL SLICDQKLRETILSKIEKGRFVGEKEFITQARYEYTIRSVKFCQIAFIHYDDFLRIIRED SLEEENYCMLRDQMLFTEEKQNYGEVCYICKWTHQFKKCPLVFVHFNLDRIRKKFMISED MNRKQYERTFAKKLFQRNIIREHALALIVNDNMIQIDDLTDQYLDTLGFNMDDDENERII KTIKSQKSTKSLHKGLTGFEQFSSDSSESDHDSEIQLNKTKTPKSSRYNHLQSIDQKKVK FQEISEPEPKVLRAQNSFKRKADNRHKTFQRLTRISNNRFTNISQISQEQNYQNQPISVQ NSSVPKLRKNNSNSYSNMDLELQAKTQSKLEVVGSNMINQLDEVVSSKQGDNQYQRIDFE LDRYFITTYYFTNSNLDKILQKLKKPKKSFRSDHIQSIIRKSKIKPSLYSPAQK >CAK61360 pep:novel supercontig:GCA_000165425.1:CT868013:131405:134624:1 gene:GSPATT00031591001 transcript:CAK61360 MQKIDQNHKYNFELDLELKAVQNEDFEQEYLEHCLRDTRRQLSISNNQYAHNRTKSDKSN DGYDFRTPKLTTHTNLNNAVTSEAQQSHVITPKQVNQEKPKSTGSSIMQFFFIRRFLEKL TFQSKRLQNLNLTHLNLIDDKASDKASLLAYKQTKIQKGLTINQLNRIVQTKIAIKERKW ESFKRNLQEGKQKAIRQITNMANKIPLVQPEDDFKLYWDIFASLFRVILVLLVPLEISFH TQILFQNQIGLTLTILFILQLDLFIRINTLCYENGKAITDRWEIIIRQISKSWFTDFSLI VIIVVFLFNSNAQDAYDLFLLILLTQYKHIFEALSKTDQISYFTRPQRGIIGLIKFITSL FYIMHIFSCIWFWFSSIDKSNSWILTKDLDGQTWQLQYLESIYFAIVTMLTIGYGDNVPK NSIEKIVAIIFILGACLWFSYSINFIGSIMNDITQNQVERSQKMRVINKYMTQRKIPFSL QHQVKEYLTYRWKEDDEVDLQMEQLLLEQLSDELKEELEKQAYKVFIQKSELLQKQFSLE FRNALFKSIKRKIIQPQHTFQSEINGLQHLCFVEQGVLLYQHKDRKQRSKMNASVHQGQF FCVREFLMQTPEYEIFKAQSYVSLLVLSKQDFLETLRDFPDDFQKYCQLRDSYLLENENC QIQFSSFCPACNNFDHSLKSCCQIQMIPNKEVIIKKHQMTGEQKREVYQRKNFDKPIQTR AELTYVQECALYFQTENQNQINEQSKLQLVYDQETESYQGNSEIHNVYDPMPSLNIFQIN EISSPLKPPQSKTFPKVEFDEEKQKEKSENQKSTLLMAQSQRMNRKGSVPSKLLQRRNTK SPSILFSMNEIAEEANETTLIQHESDRLKNVNNFNYYMKENIKMLYNKLLKFSDDKSPPV HLAINSLQYIYWKYNAVTVEDFESIFNYDHYFSQQNSMNTIDMANKNQHAWQQEIISKFS KYLYFPYQFINKFLKLKRLQQQTAAINAASKFKKIQKKIWLIQLRNNLSSKKLISSSKNI KLNKSKMNSIVPYTGESLQSIQISQS >CAK61361 pep:novel supercontig:GCA_000165425.1:CT868013:134682:135737:-1 gene:GSPATT00031592001 transcript:CAK61361 MIQKLREIFKQDSEFIQFLKQSCLTKDNQVYHQEDFEFLLQYMIKERNLRDFFEFYSNLE MQTYNTKMKMLIITHQFIHSNEEFSKLFTTLRLTNFCLLKHSHRNYETWLLFNIQIPFLS YLQKLAISQKEIKSYKTCIFRKQQNSNIVIDSFKIINIVNVALSLVSNLKTALLNYPYDI LLQRLSFNLYQEIQGFQKNLINSMILIQKENPKIQNVEMYELLREINFIEQRMIYYHQFR NLYDPKESLLSPLQTQQQFEYYKTQLIQHDCKTETKKEYLQRSPRTRQSTGGEATSPKSQ RMLNYFEPQSSPKKYIKKQVMVIQEESEIQIDQI >CAK61362 pep:novel supercontig:GCA_000165425.1:CT868013:136563:137388:1 gene:GSPATT00031593001 transcript:CAK61362 MDNYFTIISLLGLRNQNLPPFREARLKRYRSIKKMVELIETAGWTQPKVPFNAFCLSSQD PEWEDDMTYPVIEYNKFGYQAMAFGLNLFLYAYNYNVITQNIRFRTFRYLFPVVQCFIFG RIYFEYKSELTKVNLFDEYVQLRAQELVKENEFLLEHEDIKKFVWWYEDYKETLCRVHRQ ANDHAATDFKDSELILQDFIRRYTNPNSARPLNIQEKGVLF >CAK61363 pep:novel supercontig:GCA_000165425.1:CT868013:137443:137941:-1 gene:GSPATT00031594001 transcript:CAK61363 MAEVEQLSEELTTLKRVVQTSLHRGQLSKGVHEVCKAIESKQAKFVVLADDCSEDSYKKL VVALAKQFQIPVWKVEKGALLGEWIGISKFLTKTKKIKSRKCSSVAVRDFAIEVSEAEKQ FVEDKIKGL >CAK61364 pep:novel supercontig:GCA_000165425.1:CT868013:138028:139417:-1 gene:GSPATT00031595001 transcript:CAK61364 MRQGDPTMPLQKSEVWDIELQKAVPQKQIEIPKYMIVENEAQRIHKIPLTYIHTNIVYPD ENDYSCDEEDYIAGIDSNAIQQLDIRNNLSNTRNYVRQYELLNSLNYPADYYNAKIQRLQ RPLLRVFMRAQEDDCRFNVAFHPSKTYKQKRLKRLNIQLPEFDISRQIIYNENIISLHLI QQIRQRELLKLKLIKQEEEQFVSNFDNCSEIFNTLKDLRVALKEEEPTEIKDNRSKIFDS CQDIECAYQFHTPMISQAVIEFMDEQYQAIKDKQIMWPRNPNVLSDWNLNSYTKIGVFQQ NKQLIYTTNDRTLFIQDRTKKNKKKVKMMLVDRNRASVFDGVRADYDHFYSHYQKQQSKI EQCIDLQKREIQKFKQDQAKKELALRLQQRKEEEKKLLKIRLKLNYPEEPKIIKSVKIKH SDDLKFKLVKDDSPEDNNCQIILK >CAK61365 pep:novel supercontig:GCA_000165425.1:CT868013:139512:139835:-1 gene:GSPATT00031596001 transcript:CAK61365 MSKNLATQLYVLASWYWVYYFRLLFFEMPLSMKPFNILGYIEFNGQDPRCGKIWIWGEGK KECNKGGQEQVMKCIWKCKVIKKNDDWIINKIKKQINQLSYEFYQQY >CAK61366 pep:novel supercontig:GCA_000165425.1:CT868013:140285:141176:-1 gene:GSPATT00031597001 transcript:CAK61366 MEIVSSQYTDITQKFLSKVHIKLVAIKREQPNQFLLTAKLAKEKVDTANERLQEFHTTSQ STGLFNDQDYKLNTILSQVKDDIGQIHIHINQLKTQLNNDLHQSIFDFVQQKALKTSDSF KKLVQSHTQRIKQQEEKRNRLNGERDRVIKRVGFNQKYQKLNETEEEANHQTIQMFEQKQ NEEKLVSMQKIESMLNDIAGVFQRVGTMVRLQETMIERIDKYTDEAQLNVSKGRKELQES HKRISSNRGLILKVFLILFIFAFIYIVFIL >CAK61367 pep:novel supercontig:GCA_000165425.1:CT868013:141392:142063:1 gene:GSPATT00031598001 transcript:CAK61367 MVFLILVFSYRIFKNGFTIKTGHVHCTRILWMTITDHALISIMVRIMRNPTKFFILISEQ FFLNIRSQGILHSHSQEFLIFVWEQIPNLQSKMIHQQQGTIQEYTNGEYKPHTLSKSTWL RHPRYWLNFTSEFKASYVLNEGICEKYFWVDTNHVGEGWQIIKDIIYFDSFKKDQFHLQL YIIKWAQQDPLNIIIIFPLKQRNKEDTDLIFWIFN >CAK61368 pep:novel supercontig:GCA_000165425.1:CT868013:142484:145422:-1 gene:GSPATT00031599001 transcript:CAK61368 MYNQFMHLALTTLLGNSQQSIFQTISTIRTQIIHSFWTLQQESQYLDLYSLQVPQIVPLS TSSQFIPNYDKNTEQCLYCIGWGLVDVNNLMTFNPQLGDLSVEQYSQLQQIAQTFQILQI LYNSNFQLNYIYYHFANQMFVQYPFVENDDLYSKSPLQQMKKSFNQSNMHTAFLIEPTSE TDLESCVSLLGSDNNFMGIVCLGINALGQQVFVNNLYQNIEYQGEIYSFFCNQNLNIFTT NTVTQYVNGSIVKNYGFPNLIDVVFNNSNQNSDQFLKLFYDIVYPNQEAQYIQLVSSVKS NVSTMKQILQNEQETFINDSINPLFQLSSILIQYDIDYYKQFLDFVIFLSIFFEQFSSGI QNETFVNIMTIAIPLAVIMCVIAWYISYRIIQSVSKPINDLTHKLELIAQDTLAIQIYSN FRQSSLEVNLLYDALDQLVTVLNFSNDRYFEGDDTSLLIKYAQGKALFQKMKSNKGMGMI LNNIGNIHRRQQRYIEAIQCYRESIALCEDDLRMLLGDIKLLEVKRLQKGISIDISGESR FSQSGSKRVSMIEREIQTSQLEDIYSSRNFSLANVLIEYADNNQSLSIEEKRQLYKESLE CLNITLSLDQKDNKGLLYRQIISHILQCKVLLNLEDSNSALAEISNAEQLSDKYENSYDR SDAQVNDSFPIPPGILKQRILYEKGLFIKRYDSIKKAAFIFTECLETSKFYDPEIRINCL KQLKEIFQSQNLLYKVPKIEQLLELNEIKKNNDIVFVIDHSGSMENIKKELAINGILKIF DNYLQDQDRISYMRFNQNIEVIFDLTSKSENTAYLRSAIERSKNIRAEGMTAMLSAVLHA YSIHEKAVKKDNQQWIVVLCDGEDNLSNITYERMKKFTSKRPQISLIVIGIGLSLKPDCL DELYDLCRLSQKGFLIESVYSEDLDIAFQSISNLIFGTSSIYDERLN >CAK61369 pep:novel supercontig:GCA_000165425.1:CT868013:145697:147421:-1 gene:GSPATT00031600001 transcript:CAK61369 MEALTKQQKEQIAEEQTNYYKDLISDLEYKLIELKRNIHDKEYAIQELERMKPIQEKEGQ LVKLIEVVTPSEQNLKLHEELESVRGVLQKITAEAQSVSESNNALREVNYHLKREIFKMR IVLRSQVTYQNMKDFVYNEYLDKTDNNLELNKEQTRTNALRTCMEPASLGYGFSPEPQQL KYLSKNERMIYQEKLHKMEMMVKGFKELFEKEKNNYIYISKLHNELVKKYDQIQDNNELL IRSNQLKDQKNEQLMAELQFYKKQNQNYMEQLKTRKQFNSIQLNQEQDIAVGIFSPRINN ERVNTQPSYFARHSQQLSNFDGKLDDTEPKENISVQLNNSNQKQIHQVLPQVQMKKLQLK ELEINTDDNFEQAPYCQTARADSKPLVRNQMQQKKTSYYDMTREECVNFIMSMSSEFFQH FDISNVKKKQLIKQMEDPLSQIKRQKRSYSNPFSYFSNESQVNFTKPPKQSVVAITKNEI QQLLGQLTCKRKQQSEHFDLFSVDVSQIDGNKSKKQMLDQDISFISNIDQQD >CAK61370 pep:novel supercontig:GCA_000165425.1:CT868013:147904:148642:1 gene:GSPATT00031601001 transcript:CAK61370 MLKQQVQLLQQELQQIHQYGNIRILWNNIFSKLETITFTSQQPLKIYQQLMFRQSISQDQ IKPEHLSQDAECQTQDDQIKFNVQDTIKSCISSIKIDLKTQQEKLSNAWKIFSTIQNTSK LNQTKKQDHPLIHLFSIPTTIINLSTKENYIAKPISNLEYELKDSQINDLKKQNQALKQD IQKLQLIQNQLSTQLEEKEAKLKLLNFMVLNHQQQKSEFGFPQYDNFRL >CAK61371 pep:novel supercontig:GCA_000165425.1:CT868013:149107:150519:-1 gene:GSPATT00031602001 transcript:CAK61371 MNTKDVKQALQKYQPQLDQDYQNKLQIAKYTNLSDIEKSNFLQISGVEQQNVCFLFNGNI QDQFDNTRITYHTINSLDNKIDQNTYTIVYIVAKHNGLNELSRFRKILKQLPSKYYTHLK YLYLFQASLLIRTRLWLQTSKRWSLWQKKTKYIYEVEDLLEIPNFQASWMNLVPNQTSSI DQMEPAQLVKQTGGSFGSNLTNQKLNQFGIPVILDCLLSYFVTNADRFLKPDIFRKQGSI NEEEQLYTLLNNENYECLNVVEDVRIVCSMIKKFFTSLPDPLIPQNTLKFIFAIKKLLEI TPQTEIVLLEEVFGKLPSLNRVLLTVMVSFLLCVGNYSEFNHMNMNNLAIVFAPCFMRAQ TNDISSLEQVGQSVRFLKVLFDNFDKMFQNVTFKLYLQYKQTQSCSSTSSNSSTGPETMC KNQILSSSEDEIVPQFQQKQQ >CAK61372 pep:novel supercontig:GCA_000165425.1:CT868013:150980:154057:-1 gene:GSPATT00031603001 transcript:CAK61372 MKNLNPEDNFSNSLDRELRAEQNDDFEQQYLEFCLKESRPSTVFQNGMFSSSRIDKTPDI TYTPKPEQDFLQNDAISLSEMQTQFNKTSKNTGSSLMTFFFIRRFLEKVGQKRRKLETLN CSILNLISDKASENLNVIAYAKQFQRKELSFINVQRIFTQQIIKRRTLEEFKESFEYTQR QIFKFLLWVISCIPLIQPESMLKMYWDFFVTFIRGILVFLVPLEISFNSRILASEDRIFS TLIFIVLQLDYFVKINTITYKTGKAIADRWEIIKVQTSRSILIDQCLTFILLINIYLPEG DYDLILILLLSQLKYVYESFLKVDQISYLTRPQRGRIGLIKFLVSLIYIAHLFSCIWFWS SSIDREDSWIITKRLGDLRWSSQYLEAFYFAIVTMLTIGYGDNVPQNQIEKIVTIIFILC ACLWFSYSVNFIGGIIDDITQNQVERNKKMRVINKYMREREIPFALQYQIKEYLTYRWKE DDEVDLEMEQELLGQLSDELKEELDKQAYKIFILKCEFLQKYFSVEFRNALFKSIKRKII QPQNTFSIEFDGEYHLCYIEQGILLYQHRDGKQRSKINSHISHGRFICVKDFLLQSQDRE LFKAAGYVSLLMLSKSDFLETLKDFPEDFQKYCQLRDQLILNLDQDLIKEGQFCPVCNKT DHQLDQCSQVQLIPNKELVLKRHIYNQNQERMHRKRRVIEKPIMTRAEMLFVQECARIFA LDNPQLIQNQQKCQHVVEQDPEANVIFLGGNEEQLPSVKVFHVSEEYLITSGHQRAVKQI GQEVQSDARIDKQHKPSYKYNPKRIYKVGSIYDHKFLRQNTKSPSLLSSLNEPSIEQSTY EAIFNNEVDAFRNRIKNFEQMHAESIKIVYNQMLKCIDDPELIKPVKEITYLYIQLFNQS ETNLDQIYNYEYYFPTHNITRIIEQINKNKSNWQQQILNRFQMYMFYPFQFILKFLKYKR NKAYVSIINKFETIQRARHKMMLLRVTKSQLKRNKVSPEIQVNRRKQI >CAK61373 pep:novel supercontig:GCA_000165425.1:CT868013:154795:156155:1 gene:GSPATT00031604001 transcript:CAK61373 MQWKNYARKLETLIIYKKRSKDFSNDFKRSFWFSFRIQIFAEIIIYKHFLFPLNKLIIFQ QNQEMNQTEGFHRSRFINYHSDCFDCLVCQHVARDPKECSECGQVYCMSCVPNQCINCET PRQIDQMARVQKKIYDDLVLKCQFCELLVKVNLIEKHEEECNKYCANFECCGNLITKENK EKFCDTICQLFNLVQKSGSRQEIYEHLKNVSQQNIVISSRIPRSIESSISSSLIISNRWD SQRKATNIKLSDGDQKAFHEDISHMFRSVIAKYGYSSGIAYWEIEADDRNESELKIGVTT NRDFNFNTTFCDHEFGWAFYALGSLRHNNSNTGPGFGRRLKNGVFGVCLNMNQGQLMFSW NGEYLGCAYKDEKLKTGPIYPAVALLNVAGCKITSGKPVPPVFQL >CAK61374 pep:novel supercontig:GCA_000165425.1:CT868013:156539:158809:-1 gene:GSPATT00031605001 transcript:CAK61374 MNHKSTFQSSFGGFTSIVTIFLVFLFFSTNFIDYLAGKNIITKQTQVYDDQLSNLQLNDQ DFIMALGIEQNNFISQPYFTLNLQQSMRDFQMEQLLKILLNFLWFHVLWIGSTQFSNVMV KTSQRTLRDSNSRTCCALSNIDMYYDRNQLNMNIGGTFTSNNFNFLKIEVTSCNQSEYTD RRCASEDELKQQVEKIGNFKVQVYIINKVIFQFENRQQTLIKLDPTMYLYIWMIEVIYLL FRSKLINRQTYSLDNINSKIVQIFIHLSKHFCMNLSQDQNSNFISIDDTQTKEITDLGRD SDAVYASFYFRKSPITTLVERKNQSIIDLLSKLGGLFQISFIVMGFIISTYNKITMLVEL SNKVYEFSTDFDEQNKQHQQNLKMIDHIYEDKQFRQEYYVNREGQQQQIPNSEIKHKSKI LQLFRKHQSDKHVMLIDRPPTENNEYVNQGSNENEDKSNQITQQAIKDHNILAQKLNCVS GLDYFKKQINLILNRSQPLRFNFKIFFNQLCFRRTFQNSLSVLFYNQAVDKINEQLDVFN ILTKLNEIDKLKEILLTNSQQLLFDFAAKPIISLIEEKEMHFSRTFLEERARSTIEQYKN KKIRRSQLIQQSLRNLFRTQSLDRDHRIYKRIYRAYDLVLQQSMDQNPQYEVNQKLIKKL GDEIQAIFKLSKLLDFDGIKRLRANSNNDQVCRNTQNIPDEIILK >CAK61375 pep:novel supercontig:GCA_000165425.1:CT868013:160103:161614:1 gene:GSPATT00031606001 transcript:CAK61375 MKKQDWKFMQVFGDKASSDNVSDEDIISAVQFERTGRLLGLGDRAGRLIIFEVPLSKKKD KAEYQYLTELQSHTREFDFLKSTDIEEKINQLQWLRAQGKNMYILSTNDKTVKLWKVSEK NVTKVIKPSGKELAMPKLQVVETGLIPSVRKVFPNLHNYHINSLTASSNEEFVLTSDDLK VYLWSVEQPSKAFVAVDLKPENLDELSEVITSSTFHPTLDNQFLYTTSKGIIKLCDMRKS GICDNTAITMAEPEDPAKKNFFTEIVTSISDACFSRNGKYIFSRDFLTVKVWDIAMTNKP VATVQVFEPLKSKLCDLYENESIFDKFSIQSTLDSNSFVTGNFNSTFHVVDRLGECNSQY ELNFNKKTVVRQIPPKYFENLGSSYDFNRKVQKISMCQTQNLVAIACLNCLYFYTA >CAK61376 pep:novel supercontig:GCA_000165425.1:CT868013:161646:161849:-1 gene:GSPATT00031607001 transcript:CAK61376 MQADKKEWPEAVGKTVEEAKQLILADDAGIMIQVLAEGSPTTRDFRMNRVRIFHNDKNIV VQLPRRG >CAK61377 pep:novel supercontig:GCA_000165425.1:CT868013:161981:162308:-1 gene:GSPATT00031608001 transcript:CAK61377 MDQDLLRPQYESEKRKHKLKRLIQAPNSYFMDVKCPQCSTNNTVFSHARGIVTCIKCSSQ LGRSTGGKLQLVLGAKYKTKK >CAK61378 pep:novel supercontig:GCA_000165425.1:CT868013:162402:162811:1 gene:GSPATT00031609001 transcript:CAK61378 MSKLKFSTQKLVTEGVRFFKGNIKISDDGIYIHLNQLMLIIIKELTNIQGQGQPNNQQSH LYEFQQESGHQNSYNQEEQQLQINEIEYFETYSQLFSKQQQYQLISINETFSSSLIELIK YPRATL >CAK61379 pep:novel supercontig:GCA_000165425.1:CT868013:162966:163916:-1 gene:GSPATT00031610001 transcript:CAK61379 MNKPIYKEYQTHEGDNEDPNNTQMVQITDDSSLNRINDTIKDSMNDYNKYGAQNIYSPSN SIHCKQQLKDDSSQNPKRLQLVIQNQSESDKLIEQNTTDHGDKEEISKTQKGMLGSQTIQ YQKKRIQFRNPHYEFKQKSIKEYNWNSEVMQKWKFSINIVIFVLSLKRGIKQRKQKGIKT TNSEHTNNIKQSNFRLRDCGLTILKLMVGISLALLTSLLLFPFILISDLFMRIYTYNNLY VRYALSHENLFYKFILLIQLYMYAIATAFAIVINLYQTIITTIELIYNIVGTLQNNISNL SRLLFQTIQNPFSKQK >CAK61380 pep:novel supercontig:GCA_000165425.1:CT868013:164217:164635:1 gene:GSPATT00031611001 transcript:CAK61380 MGCVAQKKKVEKQIYRMETQIKKLVQQNEEMTNQINTMREQEQILSQLCEQRSERQRIDL TALTDELNSRFEKLRNDLKQIDIICEEQKQAKLKEMLEWKNLFDKLDNVSKNNLSQISEE DEY >CAK61381 pep:novel supercontig:GCA_000165425.1:CT868013:164687:167315:-1 gene:GSPATT00031612001 transcript:CAK61381 MNRFKRVFKDNLMMMIVTLLVMFQNIFGNGNILIAALIGLVQVLRIILCFITQIKEYIQY FDLMQQWSLLLAIYFGSNHCSMQVLALCNTKEFFNTKINLQTIQLKKLLIVHHIVSEVIL FILCSINSVKEIHLSIVAILLHWLILFYNSKHKKHRRNIDSYTQGEIPDKIVIDSAGHTN NHSRVKFQDKPSHNDSPRDNKLEILSIFPQGIGLFKVCDKKLELEYHNENMLKLSTAETS DEILQKLFHLEQQQITDVQQKQQQEQRNSMSSFSTFQQTPIPCNFIHKGSRSQRRSQTNQ LQTSQKIEILRQNSVLSSLESRGVFLKKCDTLCEETILEQEIRLLAQQLSKTEFQSQMKN SNELIQEHIAIYGNQKPQDGNRKRVIEVKLYNALISDTPYILMLIRDITHRDYIQALQEY SKQKSKTLSFVSHEYRTPLNCIIEMLELGIDEEQKHQSQTVIMEKECRRVMKANYPININ KQIKIALDNAKYLLNLSDDLLDLAQIKVGKFKINKAKFNFNLLLESCADLFQVTAEKKQI KLFINYESKAPRFIYSDSSRLKQIMINLLGNAFKFTDDGSVTIKVSFINQKLDVSVIDTG IGITEEDQAKIFQAFGKGNSEEHKKMNKSGVGLGLLISNQILQNLNQDLQSGLKFKSQYK KGSIFYFQIGYQDLNEIQSLNSLEERNQDSEESIIMQQQQEESIHKVYVHNHISIFKRST KAIMTFQILIVDDTCMNIEMMKLKLDRLGFDSVDSACNGYSAIEKCEKKWQINHEFYKLI FMDLEMPSINGIQTTKKLLELSQKFGINITIIGCSAYESNEQKNECLQAGMKDYLTKPIQ INDLQRILQQYL >CAK61382 pep:novel supercontig:GCA_000165425.1:CT868013:168114:168955:1 gene:GSPATT00031613001 transcript:CAK61382 MYYKYKYLFSTLKFNPNKNYYQALNLTNKATQDEIKSAFYTLAKLYHPDKNPNSIDQFKA INEAYEVLKNPTTKKDYDEIQVNPAKYQKQQYEREYYQQTYKYYNQNQGQYRQAYDEYQK QQAYKQYHFYSRSGYDYQDPFRDYHKYMKDEQFDNYSKRNSDTRYLIIFMMAASAFIFFE LLDLFSNNKKLIFTDQITGQQYITTRQELRSKAYINREQQEFERRKTEYKIMQQEEIRRI RQKKLEQMIEK >CAK61383 pep:novel supercontig:GCA_000165425.1:CT868013:169412:170634:1 gene:GSPATT00031614001 transcript:CAK61383 MSIFDQNIFQECLNDLLNLNIQFEQNCYEPTMIEYQELQEEQIRKQNDQDSIQQEPSLFE IEKESDDKKVDYKNLPKLIGNNFEKYLLQNESSIPRTKGLEIFLNSRLNKKSLKDKQVST KISDLREMCQSDLQSQRAFIKYIKRQFIIDLVQSPKIENPLKYLNGISTYFATANQPEYM ISSHVLRKNNKKIKKRIICFYDLTQSKEHFRNLEMMILQTNSFFRKIHHQGSYLIKIMKL QMRIDPYVSTAQTISSLINPKNEKFCFKNFPKLLGNKLVKKIRKEAKVPKGIQTLKEKRA KTRQSEIKIQDLQQVCKTDDVSRQYFQSFVGYDMFLHILNSNKIEDPIRLIPVISNYWAA SNEPDKMISNSLMNKYFQTQ >CAK61384 pep:novel supercontig:GCA_000165425.1:CT868013:170938:171606:-1 gene:GSPATT00031615001 transcript:CAK61384 MARRGQQPPPQQAPPAQKNQTGKFNPAEFVKPGLTEEEVLEIKEAFDLFDTDGTQSIDPK ELKAAMTSLGFEAKNQTIYQMISDLDTDGSGQIDFAEFLKLMTARISERDSKADIQKVFN LFDSERAGVITLKDLRKVAKELGETMDDSELQEMIDRADSDGDAQVTFEDFYNIMTKKTF A >CAK61385 pep:novel supercontig:GCA_000165425.1:CT868013:172116:173844:-1 gene:GSPATT00031616001 transcript:CAK61385 MNQQAQTSILSRCLCSKLGKFKMRTQILIINCVIFAIIIPSIILAQTINLYYIQEILSDC EKDSMLKGMFNHLTNSANSINGQLNSVFTRSIISQIIQAQITLTNLNQLYWLQLRNKIWI NKPITRPCDYNSSVLPSDHFISIPCYANMALKQNDVEPLEDPIEQILRNHTSLVSSVILG LMGLDDPFFPNQFYFSSSIDLYEFTYIYPQILKVDSYHPKERMWFQQHFENLKKDKYNNT QLSDVYKYFGTETTYSMTMTQSMLNLVWDVQGIYCSDIVFSNKMLRAQSINIMIADQKGQ LLLTNYKNKAISNSSELKNFADQEITGFNAEDWNSLISYYNQNIIQSTCNLRMHNVLCRY NTVYEKDVVITIQKLKNINYYLILFNDLQIEKDISDQMENLNEIFNREIQWTALIVLSIF ILLIFISIILIYLIFLPIYQVIDQSSLFLKRDQECNNGRSIQFKNQIQNQILKKKVEPFN SNVLKQFKIQFDSLFDRVLTQTMTINPQCKILQQFKYPKNNTVHVLKIKNLFDQGLIKDD YYGDINNDFRNKKLNLKRTFSLNIQSN >CAK61386 pep:novel supercontig:GCA_000165425.1:CT868013:174511:175370:1 gene:GSPATT00031617001 transcript:CAK61386 MNQQFFGNARLAIQRAQQLHLFFTESVIYDQFKYTAKMPQYPKIVFLQQNPFVRNLVEKQ FVQFSIVDASKTTGEDNVARLAEQVQLQSTQTNGALLFGFPNNAAEAEKLDRLLDGVNLA IRFKLSESLAQKIAGSYLSCQSCGKVFNTSLPFVTPTHPGYQNNCQTPSKCALEQSSAPA DQINAEVANYYQQKGAYLEYEINEEHLLYDSQEFFEKLDNAVATHIKV >CAK61387 pep:novel supercontig:GCA_000165425.1:CT868013:175445:177556:-1 gene:GSPATT00031618001 transcript:CAK61387 MPKLYTFKDPNFNPLQNYVVDKTLGQGTFGKVKLGIHKCTNEKVAIKILEKEKIENEADY VRIQREIHILRKIRHPNIIQLYEIIESEIKLYLITEYAPGGELFEHIVSKSRLEEREAGR IFFQLLNAIEYIHQLGIVHRDLKPENILLDSNKQVKVVDFGLSNLYQPNQKLHTPCGSPC YAAPEMVSGLPYEGLKTDIWSCGIILYAMICGCVPFEDQNTKQLYEKIKHSDYKLPKSVS PQAADLLRKILQKDPSKRITIPEIRQHDFIQFAGKMTIPEGVNTKLDNFKIDVDYTILQL LLQYNISEEEVVQMIKNNKHNCITTCYYLLKLKQQREKLIKPEVAPKSISIEKQNLFNKT QQIEQQKSSPLVKQKLYSQQQHSQPYSQDVQQQILLHLLQNQQQQQQLLIQQQASQPKEV KQPQVSKVAETGGSSSMEMFLNKAFSDRNQNKSQTKGKTKSQDPVPHRDTSAPKKTGLPS KNQKSISQKPSIQSKDQLAQLLAVKQSKYLGNTDSTTYVRHKSNLKFKQGSTERSDRHSS NSQRSEITYLKRSVENRSSQHIKNDRKTRVISTYAYNDTQKPIQTQPNERINTDLSKEQS NVLQSQQQRLTHMDNLALGIQIYKHNVQNRFLIKQQQKRKSKQQQ >CAK61388 pep:novel supercontig:GCA_000165425.1:CT868013:177583:178461:-1 gene:GSPATT00031619001 transcript:CAK61388 MNIGWIGTGVMGVSMCRHILKAGHKLRIYNRTVTKTQPLVEAGAEFVQPRELAKHSDAVF LMLGYPKDVEQVVLGDQGILNHMKKGTVLVDHTTSSPELAERIFKQANERGVESIDAPVS GGDIGAREGRLVVMCGGQQKALDRISDILKVYSANVQLMGGAGLGQHTKMVNQIVLAGNM IGTVEGLLYGHKCGLNLEQLINTIKSGAANSTAWSVLGLRMVKGDFEPGFYVEHFIKDLS IAINEANRLNLSLPGLALVKQLYHALVAQGGARYGTQALLLALETLNNHKIR >CAK61389 pep:novel supercontig:GCA_000165425.1:CT868013:178773:181321:1 gene:GSPATT00031620001 transcript:CAK61389 MLIYTSYLYVQFSIKNTFVLVDIIMNKNYSLQYTIISSISLAISVLLLVMETLKKQNIYA NNIGASLLCVLNIEFIYNDIPQINMLQLGTFIALLITQFEDAEKWHKFMKHSILVYFFVR TFIQYKEQLYITELLTCFLWQPLNHWMLHLKKEEDRIESKKHLQDMSKYNIEQIPQLVKD QSEQDEQCSSILRSLNIQHNLNQLKQLDLKNPFVQDKENLMNNLSFKGSDLQKSTNTLDL PQIWNLLPFGIGLMNSQCEMVINNQKLLQFLKVSDNDGRNIILNLDSLLESCESWESKSI KQVGSNQSNRQSKRFPKVPQLSLISRSLNEQNSVHNPEGGTNTNLNNNIGFSSNPNNQIK DELMTTKSRFKNLNLLFTKFAQKSSSLTNNVDNSVQSIGQNIQIIKIIQDVGTMKYYLRI KVYEIEINNKIYYLFLIENITNKEELRQLNIRYKYQQALLNSLCHELRTPMNSTLSQLNA LSTLIAPNIRDKNLQPAIISAKKLMFQLNDILDYAQIDCRNFHLSNSQFELSEIFEILKE LFEQECKEKQLDFQLKSKTNYMINSDKERILRILVNLIDNSIKFTNQCGSIQVNVSDQES RIIFQVEDNGVGMSEKTLYQIKNRDDVQFYDSQLFQQTKLGLGLKISQQIAKFLCYNNEL IIESTENEYTRISFKIENQQKLISQQKFPSFYGCNITISCNCTQILIVDDVRFNHSAIEA LLSQHKMKMDSAYNGQQAIEKIEQKLQSPCCKTYKLIFMDIEMPVKNGYQASKDITEIMT QQNMNDQCAIVMCSAYNGNENNDSLRNCGIKEILPKPIEQKQLKQLLDKYLL >CAK61390 pep:novel supercontig:GCA_000165425.1:CT868013:181338:183897:1 gene:GSPATT00031621001 transcript:CAK61390 MEVLIKLLILQLIVKDIIDIAFVRQDSHLITIYLNVISLSIGLILVILDYCKLSIKYLKV GLFGLCAINSEIPFQQKINYANVAYFAYTALILFNTYSQNLSTRLIVHFQLLYVTIRVSF TQNSYDLHTLLIMISLQPINHYIIHQMTSVKCSSFTQTLVQQEQKFKQTQFEQDQLLSSE SKRDFIKYDLNESIILKAFNGDIETPQQEHRYIGINKDQMDQRIIIKKHPNNLPTTLLSD SNYNMEPLKFERNTSTKYSEIVSILNNLPFGILFVDQSLKVLDFNQKVTQLLGLTNPCDI IAFLDQAIQSGDFCEFRSSKKVRKPQKSPRKKTFQNQPSISPQKIFLEEHIQDAFSQYNN NASQFKGESDANIGKNLKSIFQNFKKIHQSQLTSLSRDNFQYIIRMDNMNKSGGKIKYKS LKLKIFQLEGFVQWESVVYLFFLENITKREEYRLLNHKYKFQQALLNSLCHELRTPINGT VSQLYALKDQLSQSLIESHLDPAIVSAKRLQFQLNDILDYAQIQCSALILNKSCFKLQEV YQLLYELFNFECVQKNINLIIENVSHISIYTDKERLIRIFINLLDNSVKFTNKGGTIKLI TQTTPLYYKLSIEDDGQGISEEVIQKIEQQAELLFQDSLHYDSNKLGLGLRISQQLAKFL YKDQFFEIDSVYDRYTKVSFRVSNQIHNYSNEFEFDKHEITQISDCDCSKILIVDDISCN HFALQVLLKKFKVKTDSAYNGNSAIDLVKQRFSQQCCKTYRLIFMDIEMPGKNGFQASKE INQLLKERNLNESCIITMYSAYSGDDDVLIASQCGMKERISKPTDIQKLEYLVNKYIL >CAK61391 pep:novel supercontig:GCA_000165425.1:CT868013:183950:185445:1 gene:GSPATT00031622001 transcript:CAK61391 MQNETNKRVAYFYHPQIGRYHYGKEHPMKPKRIAMAHNLIVNYGLYRNLDVYMNRQASLK EMEKFHEPEYLQYLSQFTSVRSQIVNEYQHYNQQPNITHFTSHIYNEFDLLDYNIPHTHE PIETNNNYPQPNSFRVGDSTDNPSFPGLYDFCQLSAGGSIDAAHVLISQDAEIAINYSGE RPVGFCYVNDIVIAILELLRVHQRVLYVDIDVHHGDGVEEAFLLTNRVMTCSFHQYGDDF FPGSGDIDSYGEGLGRYHALNIPLKIGMNDETFTEIFQKIITQVMDIYRPEAVVLQCGAD SLCHDRLGGYNLSTKGHGACVEFMIKYNVPILVLGGGGYTIQNVARCWAYETGLCLNKKI DAPIPTSEIYYEYYAPDYKLHFPIKQNVENKNKKEELQRIVEKIYGYLKSIEPVPGIGFH DLPQSFYPEMNVEEEINPDQRYEQTLNQFEGAHYEEGNEILVDPSNGFSIHRRE >CAK61392 pep:novel supercontig:GCA_000165425.1:CT868013:185941:187360:1 gene:GSPATT00031623001 transcript:CAK61392 MINKTSQFKSLFHSHSTSFWDDCKVEHFSNVSFEGIFQAKMGEIMSNVFVKVKFSYLIIL QGDKQLIANIENAIIYLIRDGTNEVGVKILKGITWLELYGDVTELFSVLKKQCIQIDFVL KYKVKKMMAKGTFANVFLAENAKTNEQFAIKCFDKNQISKSPKNLQSLQKELKILRLMRH KQVMTLIETFETVSYVFVVQEYLRGGDLHDYIARVGQLSERKVHSVISQLVSALQFIHSQ GVIHRDIKPENIILRSQDNVEDLVISDFGLADFYNLDGNYLYKQCGTPGYAAPEILMGQP YDYKVDVYSLGVVFYTLITGRRPFQGKNTDQIFQLNEKGEINFQNLHLKAEGLNLLKKML EIRPEHRYSLYMIKSHIWMKKIHIKTHLSLQYINVPESVKSGGSVTPRVLMTKTYNAKQF LKTEQNQSTERRHYKQQGGRYNISIGNFTKLQGIVY >CAK61393 pep:novel supercontig:GCA_000165425.1:CT868013:187438:188862:1 gene:GSPATT00031624001 transcript:CAK61393 MATGYDRIDQETLRRDDQLNGLLQRIRQDVMNGYVVEVKTRANSYNQLENNSKQSSGLPS MSNSIVKHPLIQQNDQRKDLDEIAVISQRDQLQMLNHSKALGFSPPKVKSEIVKPVVVNQ ELSPQQTHHSIPQKEEPAPQKTISQQLDEIETNADTGDCYNNIKILKKINAQLQQSMKES ILDCNHLFSQAIQTVRTMCLLTRFYKQVGDFKNAIKQLKAIQKQFKILEPNLVGKILIEL GKLHFLNQAYQSAQSSYYMALQHYEKLEWKSEIAHILLLMAKLHAWTKNFDLSKKLIYGS IALLKEFLPEDHEQIAEAYVALGECSYISKNSEEAIEFLMKAVKIKYQIYKDYKHLKFVE VFNLLGLSYGLVPDIQQSLNYFIQALQCFQQNCVQRAQILNNISVIYQAQGDVDKASKCH SKAKEIYSTFLPNQHSQMQRLVLNQTCLTPPM >CAK61394 pep:novel supercontig:GCA_000165425.1:CT868013:188898:190069:-1 gene:GSPATT00031625001 transcript:CAK61394 MFRKIFRLFRFSTDKQVDYYKLTDQIMNAQVENEELKLAIQKIIFDMKSVTERAYQFPLV EQVLSSVKSHYLCVAAVEQFVGSMYTPKNEQITQLFLTHAKKNAVDEPEKLNTEYYLSYL KKLDASQKGDQAKFDDAQHQLLELANKGNKPAQHLVAKEMYKVAMNMMQSKQRKDREKLL KEAYKLFYDCAENEFPHSYYYIGEMAERGDTPGGIDLKFALECYFIAAAYENPQAFFKLA KFHREGIVCEKDHNLEFHYTKKAAEMGLLDAQHNLGVIYREGRITKKDDFKALAWFTHAG NLGFPLSQFNAGMMYWEGADKIKTNKKAALVWFEKIQKSGMIDVSQQIRQLAREIQKEIE EEQ >CAK61395 pep:novel supercontig:GCA_000165425.1:CT868013:190125:190754:-1 gene:GSPATT00031626001 transcript:CAK61395 MSCIDQSFAQFQQTAQFFNPDIGNNGTLRMPQKIKKREISMPSYYFDDFKGFLKQPIKIT TNITQRRNTHTTTTQDNSQMTQSIKLPNKSLKQLTQANAQDYSKILSIISQFNNTNKLKH AQSANSMQYKLIIPLKEKSMNITRESRTNSRCSVVSAQGERKRSIKVNDPYGLINNKIMN KTNSKQFLKQKAQDKLVDKLFSQRSDSVQ >CAK61396 pep:novel supercontig:GCA_000165425.1:CT868013:190763:191478:-1 gene:GSPATT00031627001 transcript:CAK61396 MYSITARFNQIVFFTSWTLVVLSLLNQGTAYFFKTGKPTVDLKILSADKFQHYKATYYNG GATDWDQVTFKFSLEADFEPVYNWNLKQLFLYVNVHHEHQQKGYESDCVIYDKIISRPDD PSSWSTSSKLVLKNQRAEYPLKDIHKQLRNATVNFEVWIEVMPYVGYIRREKLGDFEYKM PQQYN >CAK61397 pep:novel supercontig:GCA_000165425.1:CT868013:192217:193173:-1 gene:GSPATT00031628001 transcript:CAK61397 MGSCCAIKGKKQQPEQEINCEQEQEQNKQEKQNITSPKVVADPGRKQHDHKMGQFLNMDH KSPEQQPELDNQVELQKKKIEPNNQQKHIKQEEQNIASQKVVPEPIRQKDSKQQIDPIYV ILLGTAGVGKSSFFRQMELSNISNETATSISNYHVGSLDKQYYFVDTPSFQLDDDIDQRE AFIKEFQNYFDNRKDISSFGIIVNFERTDLMKKKVLSVLKYLRKFKNIISIIVVNMELSE NEEGDKSHLRNSFKYMDCNQIIFINKNMKQEDILKEIKQINFIQTQIDFTDTIFEKITQS EENEQLRTLNLKIAQQIQ >CAK61398 pep:novel supercontig:GCA_000165425.1:CT868013:193402:194277:-1 gene:GSPATT00031629001 transcript:CAK61398 MGQQCCGGQLEPNSSLNDQRTKQPKNTLNQSENHYYNSSLNNKKQEDIKSDGIKEAEIKS KGNQKSSSYNDSNNNQFAMQLEDKSYIVLVGQSGVGKDALIELMTLKGLEINISRNSKDN EPKIKEGILGEEYVIVDTPSFQLDDEIDKREAVIKEFQEYFEVPKQISSIGVVVNFERTD IMKKKVTSVLKYLRKFKNIISIIVVNMELSENEEEDKNHLRNSFKHMECNKIIFINKYMN QEEILEQFMQITPKEKTKIDFTDTIFEKPNITEEENFLKMLNEHFSNLRQP >CAK61399 pep:novel supercontig:GCA_000165425.1:CT868013:195040:196341:-1 gene:GSPATT00031630001 transcript:CAK61399 MKSFYLQKPTQVRGNSEPKKPEKIQNNLKMSISQKVHESQTKWNGLIAKTVTLEQNLLRL RDSVNQTLRGGSKESTSSKSTAEQLHKPPTLVNMTSRIASPMLKKVSPQDCMTMSRTQNY KTVQSWFPKQRFQTFEGIPQFRIGKLLGRGQFSEVHQCIDKLTSTIYALKVIKKEKIQDE QLQKQMIQEIKVQMELNHPNIVKLYNCYSDEFNLYLLMEYCNDGELFKVQRKQPGFKFPE NKASYYIYQILQCIQYMHQNNVMHRDLKTENIMLSFNQIKIGDFGCVCSNQDRRETFCGT IEFMAPEVIQMKGYDSRVDAWQIAVLAYELVYGQTPFILLGNKDQRGIMDNIIKHRLTIP HTFSAELTFFVKGGLQSDPNKRLTIEQMLKHPWITKHQLPQSKCEYNI >CAK61400 pep:novel supercontig:GCA_000165425.1:CT868013:197240:198311:1 gene:GSPATT00031631001 transcript:CAK61400 MFQLLQWFESEGIHTESIKIAELTHGCNGVVATQPIPSDQIVIKIPLHLCIFSEDLLKNH YQRYKKFYPHIFNINLNEDAEFNSLVLYILQQRDNEMSLHKPYFDYVKDPQNILSWTQEQ VNTIMDENLKKTIQRMRVGLQLNFVRFVTFFKEQFKKGLNYDQFLYAYQFVMTRCFGGDD HLQSPCLVPFGDMLNHHDKCQTKQKIIGTDLVFITTKQIQENEEIYNFFGEHGNSFLLCW YGFTYDNNIYDKLYLLYEDDQIKEVSCYEFPMCNFKLKYYKVCQKLLNLLGEQRFKEVMM EVQAITEKQLKFQPDLLRCQLQVIQNQLINF >CAK61401 pep:novel supercontig:GCA_000165425.1:CT868013:198383:200835:-1 gene:GSPATT00031632001 transcript:CAK61401 MLNQDGDLNIQSFRNQIPKPMNITDDTISLDNDMLFGWTPKLDQSKLLTLNFNTQLNDQI ILEASQNKKLIYVGFRPQDNNQMHKQYSSLSSYPFKRATTIPNKPQFPFLGMNPTYFNRI KFNSIFESGNLDIVIQVSEFEYDLYMRVDGNTQGHTSWYNFELSGLNQGEKIQLNICNFT KSHRLYERGMKPYIWRSTTQEWLQGGDNIQYKQFQNNNCLSFCIECNKENELLKIAYCVP YTFSQLLEYCDNLEKRCNYVKRKIFCESLGGVQLPMLIFNKGKSTSKKCLILQARIHPGE SNGSWVMQGVLDFLSSQSALKLFEKCVIKVVPMMNPDGVILGNYRTGLAGKDLNRKFKQT DGIRFPTVQAMKRLVYDQYKKYGSNLIAFIDLHGHSIKKNVFLYGPEYTLQNYNYYKCRI LPKLLSQRTEMFRFYSSIFRISSNKRSTARGVFAQLYDIVNCFTIETSNGNYYNQTQTFD FTSKHWLDMGRIIGETLIDMINIQNEMDQIYNTKSDELSRSYRSTKNQYYLKKKSIIDPC QISFQNTKFSKLLEELKNDADKMIQSCSEGNSDSLDDDENEEEVIEHQSQEIMKPKSKSK SNTSKYFITLSPGQKSKLDQKCSSKPFSQQTKMHSVESHQNKTQQLCQSPTPQINLKKLV SRSQSKKKIEINKYITNYVNQQFDYIDLLENPSNLNQSIQQKIYDLIPRPSTSQLEGLDE ETFIPIKGLNSYNQSESKRHSRLHSYLTVNKQKKHRNTTKSPPKYTQEQCSIQFYNHEFK TSGEPLTGTNQEI >CAK61402 pep:novel supercontig:GCA_000165425.1:CT868013:200866:202301:1 gene:GSPATT00031633001 transcript:CAK61402 MGNCQNCLQQEQEQVEIQINNHTEEEVQAPCEQPPLLNLDVKTWQKDSYSLYDYENNTFI HSQQIPINGTSYLTCQANKVLYNEGSYSKNNDNSDEILLLPLEYDNESFQLVNLRYSKRL QTQELAEDYQKEEGDKLLSENQTIHADFQLSFNIQKLRTNTNRPSPKGSKIWLVTRSIQT NSLNEGLVLKIGDVIKLGRVKLTIKEIQLHHETNQDLLNEESICSIEDKNEFTKQCRICL STGESTLNPLIDPCKCIGSTKYVHINCLLKWIQQSSHFNSNAYCTRFIWKSLECEICKSV YPPVFERNGKQFDLIELSKPKDKPFIIMEFQKKRQNESPQSTDSNGLYIVNFGSKKELKI GRNHEVEISIADISVSREHAHIKLVDNKIILSDKRSKFGTLVLLQKNITLAPQLSGLELQ IKRTLIKLNNNLISQTSQKQRDFELYLGRNE >CAK61403 pep:novel supercontig:GCA_000165425.1:CT868013:202768:203295:-1 gene:GSPATT00031634001 transcript:CAK61403 MLQQEKINLREEKRKKVRHDKFKRKVQFLQLVLCQNQTIKDAAAISKVNFSTAKLVLKNF RQFGYIKNTDKGMFFMFMKDYGEQLELLKQISSIKSEIKQEKIEKREKEFRILSDKIKSI QPAFRKKQFQSEKEINSKLEHCQQELENLKKIQFVLVTSVLQEQIKLMKSSHRCI >CAK61404 pep:novel supercontig:GCA_000165425.1:CT868013:203965:204501:-1 gene:GSPATT00031635001 transcript:CAK61404 MNLKLYFLLNHKEQKCTSTFLWKLKLINLFIKVLPKYLLHVFQKQHFLIQKRLSDQDIFL ISFQVFQKENLSKNKVLLQVSYVKIQIKMMLYFFKAGFNLSKSWSSDYFNCQLFHEQYIN PLLLIIQNYKKLEGQPQDRFNTLILSSKAINYSIIYCYNQSFVQQRQFILSKCHTGKA >CAK61405 pep:novel supercontig:GCA_000165425.1:CT868013:204971:207018:-1 gene:GSPATT00031636001 transcript:CAK61405 MMDNSYDHWFSKYDQESFDKDFFKVPYYDFLNQLIVNPDFNLLPNEPQLSLDNVHKVLQQ TLQKNHLIISTFILPLLDNSKEPFLRWVRSVIAFIDCEKIYSIMFWNLNFIPNFLEYRLY ASFIRLYKFLISLNQNVIQPKSLATEQIRLSNKFTIKTLAERYQDALYVSFDELAKLQGQ MLKVLKPLVSQNPTLIGKIYYFIYHMYDKPTLQLLKDLDQQFGQLENQWVKKILAENELA KKYIDAYLNDDKQGGDQFEFEDSEILKQLMTSNKQESLYKVDVQDKSLLEKSKKTQFLNS LETKAKRRNYDGKSSVFVQNYSAISESTKSSTENRSKIYSEESNITTEQYTFIQYSNNNL QNSVVGFLASDLIQLEKEHQQEFKNSKLHKTFQNEESIKLNDDLVEFITKADAQISVIKD EDILLGDNYTRKRQRIKNNKNVEENESPDVHRKQTMVNSKQQEIHEEELRKLRARRLLAE QNQFHSEKYRYTSNQDNQLTTDKDYLSKQYHTNLGYSFEDSMSEDDESEDDIKLKSQQDK VIIPQDQSLNTQGIIFEKFQQYSGLSIQRGSEKNKYFLNRLFHMNENSLRISGKSLVLDF EERKWEFLKQSILPQNLIKEECSLFIKFVVPTKHFLDRQCLCILFLKSKSVIYYNF >CAK61406 pep:novel supercontig:GCA_000165425.1:CT868013:207183:208590:1 gene:GSPATT00031637001 transcript:CAK61406 MLLNVQVQSLSIVENEYLAFQEDKLLAKMRKKQERELEQLKLYEQQKAEQEKRKFSIIEQ TKSKQQQIEQTLKEKKLLVTLSRYHFQIELKKKEKEKEKLLKIKKIEQEHLKELAQNDIS KDDFMEQLNSNQNKEETILTNRNKKSNVKKIAEGIVKTQQEEILIKKMQLDKNQKEQEEL WKELNDKKEQLFNELKLAKKKQIKKIKQRNEEEKNAYYQEQLQKIEQYKKKLDDIELNQL TKQELLEQKKKEKLEKLKKVMQNYKKIMSEKSEKAQLKVQQVEEKINLIQERMKQAEDNI EQYEKDKSNHRKNIKSKNGNILNNKNETFQEKLRQKDEEHLKSITETQSLSRLKQEQERQ KKEEQIKEHKKKAAEILKDIVETKNKKLQEKLEKAQQFKDDKEKLFQQQQMLAKDIERQK KQILLKSPKNNHVDQ >CAK61407 pep:novel supercontig:GCA_000165425.1:CT868013:208639:209110:1 gene:GSPATT00031638001 transcript:CAK61407 MQQQQQQQQQPRARTKERYVFEAMNLVKLWRQIYETETRVVDGRTVRITLDQAAELVGCP RKTLEDYYYLLKKAQNLVNLEERKNEKMGFIRKICRENKKQQQQLQQEEEFYQINQFQMD EIHDD >CAK61408 pep:novel supercontig:GCA_000165425.1:CT868013:209435:213796:1 gene:GSPATT00031639001 transcript:CAK61408 MNLISLLLFVALLTTPCFQKCSQKVDSLTVYATKDEKLNWNLMDEFFNGAGLTFSLSDIQ QNQFKIVEPVENTGGSALYSQAATKIVSSRALKSNKYWVNSFVFLNKGTTSITLGIAYGE AGQSVVAPKFDEVVTLNTIEDSLDCYDLEIIADKTFIVDCSKKVKGAIKNVLYYVNDGDS YEYLIEDALVQANHGRMLGQYKVKGQGEKEYRYLVRATASYSMSKDGSLGGDSLLEVFLL NDKGQPSNTGYVLDGVTMALLLDKYYDFEFNIVDMKVLENGDIYLLDAQYDIFIVNFIPN GTFSLKNSIDTGMSFAYAFDRNIFLRRDGTYTENIAVLGYGFVELIVDGQLQSEYKLPIG IHYTFPANVDLSQEFIVVRHEDATYLFDIDAKSKDLLQLQVIPQTSYVMINPFLPDIIVL TLDTAYRYTLSQGYLQYKGSEDVQEKKMIEVIATSVDQTCKVQLTYQIIESDDKQLYKYS DTLTPFPNQVTEDAQPFQLNVLTSGPNEQYKQIVGRKQDGTAVTVQLKTYWELNFPQELQ KDLLFHDVLVGTQSSYFYLAQQNKDGKLTILKVIHDSIFNGDVTYEKVFDSVSIGTKLTK STFQMWECECPKFHFAYVENRQVNLKVFSDNQVKDIKTIPFENGLSILFLKNYLFLLQNA NVHAYTEHGDFVKSVDLDLLVEQGYKGTWAPKKIYGNRKIRENLIFVVHQDHITLIDFHI SYTFIKQIAIDESDNIEIAIGAETFFIITNQVIEYDFDRLNNIYQTKTVQLYDFELVQPI LGDFCSDTGYLFVLAKTKSQTIKEDTNKYHLLVIQPNTLQHEALFQAVPIDEPQLISTQG TSSQMYVYLQTKTSTHVYAMLTNPVLIIEPSINDPQFAASVDVSVMIYNFDDTPSITIKQ PIKVINTQTKLYIDKNKFKASSIEIDSKDYVKKEKKLGSDWYSGQISQFQIICEQCNKGK YGVQITQTVELSNVQFYKPYKIRSINNNIVQAIDALIFLNDDDTQQEIVPLDFPTQEYSC FQSTQLDDLILSLCHDGNQYTIYATSGISLTGWFPLGEVLKLNAHTKKIQLLQNDYLIVL DNESFVISKLNMEDQDNWVLDEEYVININHIQNKYEELLTFTPNDFLITPVNKVDGIQYY KVLITSGEGIFFQDFYFQNQQFTIVNLEYVNLTTELQKIQQYAISSTQYLLVKELQSFNV NTLNVFIQTNNVVHYGLKIKFAIKEGQQYLAYQSLESQFLLNRYGNWNSVRNIAVSKSHA AIPYQNQDKIVIAIYPIGESTKPSTSIGSFSFTQDASTFNPLDFGLFFRNDKLYANSPSS DTQQYQINAEPSLIFTQTVDNPLKSEKVDIKVRNDFSQSQIDFDLKIIVNPDPPGPGPDP PGPEPEKSSKLWWIILIIVGGLLIAGFIGFIIYRAKNKAVEDEYNVVA >CAK61409 pep:novel supercontig:GCA_000165425.1:CT868013:213850:214581:1 gene:GSPATT00031640001 transcript:CAK61409 MSYKYYEAFVSSKSKSIPCCNSLNCNLCLGKYYVQIQQDDINLIDRLIQDKLQSRMAEIQ SNIRINTIDQFLDYHQRKYNYQLIYLFENLTKGKPGSQINFNFRYKNNGTIDWPKDTYFK CMEPGEFKELISQVTPLPSGAEATSEISFKIPLIAQGEYKTWWRLCCKRNQEEVLFGPTI EIPCIVEESIDAANYLLLKELQNKGPSWTDPLDFNKAPMVLKEAKDQKLDMDQLYILLLK EQN >CAK61410 pep:novel supercontig:GCA_000165425.1:CT868013:214624:215306:-1 gene:GSPATT00031641001 transcript:CAK61410 MQSSNNQYLQFVGKPHITAVIAGGRRRVNYTFEDKSELVEEYDINNHELISRKWKRVSNI KESQWIYEVGEAPIDQQGELKLSNANPIFVRKDTPQHFQYRVRNLNYPEDVYKVEVDENT QEIVIRTSNKKYYKRFAIPDMRRANLKLEQGKVTHVYKNNTLIVSYPKPDQILEREYQIR QEFDKLNKKKPKEGDLECVQQ >CAK61411 pep:novel supercontig:GCA_000165425.1:CT868013:215419:217301:-1 gene:GSPATT00031642001 transcript:CAK61411 MPMTPVPSNARKVIENFSYALTDAIGKGFSSIVYRGRNDETNEIVAIKVIDKKGLKTPLH YQLLRSEVEALSQLNSNNIMRLYKVYYTENNTYLITEYCDSGDLGSLLTKQGAQKESQLQ RLFQGIIQGYKQMKQKGIVHRDLKPANILLKGQVPKIADFGFATTPQTVATMPNVNVGSP LYMSPQAFKNRYSEKSDIWALGVSLFELLFGQVPWQAGTERELAQRMATVPVNFPGHLSD ECRDFIQRCLVVDENRRATVEELERHVWLQRQELQVIKGNGFQGIFRGSHGGQQNHIQFH NIQSNGCVNTTSPLGEAHNKQQMLMQPRESTYGKENTSSIPRQQQGCIQQQPLKSIKRVQ ESRKESQVNQSMNQEYSSQRDEEWNENKKNDYIIVAQMNFCRYLYRVAALIDKYRFVTTQ YLREKLLFLVNKNIMVKMNNLKEVIDGHNALNLDKFQEYIQNPQFSKLSSAINQYNQKYT LQFNTVWLCLQNPEQKQLLVVDKKFDAIFDDNFTEYESFYIILNSILRSAIKEIEYQLEQ KITFQDTQQLLPIDIEGGVILLDYLITYFQLASLIQECFEDHYGFAVDSKIEQIADGKPV RLTFGHYIEIRSKIKQLDI >CAK61412 pep:novel supercontig:GCA_000165425.1:CT868013:217444:219339:-1 gene:GSPATT00031643001 transcript:CAK61412 MIANIITLLVSSLSLTFIGLLYTQIVTYKQQKDVEILIGFLNKFISQNYYNPQSYDRQFN SLLVQPENDYCIRSDTYKYYHPDSIMMDTYTFREYPGLIKQALQQHFFDVLPNVTLHKRN KDLPHKYKFPLQLTLPYAYYDQLLFTFKVGLSSLCLFQNYNHLPQRSSLSHKNQLMTNQL NYLKDIKNKGLHKDCIANATFMPKTYRLFEEAECKQFFSYLNSTEYQNKVKKEGPQFIVK MGLEVHRGRGITMLFPEETEQLREKFQNGYACGEIKTYKLAQQYIGNPFLFKGHKIEFRV YWIVVSTNPLIAYAYDKTLIRRCIYPFDKFSTLKGAHVCNTAIVKKTLYSMLKESENDEN DENDNNDNDELITKSTEKTTTNTQTTKTTDSTINQTQNNNKSIPDETNLQDLYIDWKLDY LQELLLKQGKIKNRKWLKQELLPQVDRMIIHAIRSTQQTFVKDSKLGEFFAADFLLTDDL KLHIMEINYNPQTLKTTEARIKQHTKMVQDMVEISNAYLRSRYIRFRKIIDSVLEKVSKE KLKIKELLGQKLEKEINQAYYSRLEPNISISPKNLFRLIMDDGLPGTSKYKDLLQAKCLK >CAK61413 pep:novel supercontig:GCA_000165425.1:CT868013:220059:220581:1 gene:GSPATT00031644001 transcript:CAK61413 MFYGIQLSAGESQTIQKRDDHNQFVLSQAVLVKGTNLNVLMNGIVIASLNQKISQMPLRI KITPEQQPAKLEAKGNGSVHLCGYYMQFELENEDADLQSVAQLLKQSNLATQPPVQKAPA IPIKKTETQPKWDEMDWEDEQFQKRVK >CAK61414 pep:novel supercontig:GCA_000165425.1:CT868013:221520:224073:1 gene:GSPATT00031645001 transcript:CAK61414 MTTKLTQIESNLDLQECQTLEHLIQDMVSQYSSIPILNQSQSKLRLQQPQKQWNVSVKQD QFRQQNQIIGIFDPSMCNCLKQIPDGFGLLAFEGVPNIHEQTNLVSVMLLCRHLQKKKDQ ILKDNLSVCRICDQEINMNTMQQHSQGCLEKANIKRLLVQENLILASISEKAYQVKHDIQ VKQGMKLQPLLSLNTRMKELKNQSKRVIRQDDVKEEELGSINQALSSIYQHAEKIFNQPE NEELKSNMLLVSELSIALLNISHQQSKQIIIDAQNSLKNRIEHLKKIQLIQNKETESQID SIKKNFLNKANSISFIHSKFSNQLNQNPLMKQGSLQPKSKAVKNLFEKTKTVHLTPDVPT RPQQIQKMSKFKMEKQKDEEEEDDEDFNSKQILKTEVIEDDDVDMNVQKEIFKEKGYNSD SEVVTFKESQNNTTVRMADFDIIKPLGQGAFGGVLLCKKKTSQDLYAIKIIDCANSSLET LKAERNIFEILTGDFVVKAYYSFVHDHYYCFVQEYMVGGDFANILKVYGALDEAYVQFYL AEIVLALEYLRKNNIVHRDLKPDNILLDSQGHAKLADFGLSAQGMNTKLKKQLQRQQNFK IKGIVGDMIQNLQINYEPQYNIHKSLKKQSVETKAIVGTPDYISPEIIKGVSSDNYSTDY WSLGVIMYELLVGVPPFNDTTVERLFDNILNLRMEWPQIGSEEDCISHNAADLIKQLMEP EFTKRIGHNNIQEIKDHPFFDGIDWNQLRKMPGLIVPRMVITGNEKPNSDKIDAFLKNMN KQDDKYQKIAQNIKKELQNFERIDLLQQKSIEEAQIKKKKQQLLIKDIEQQIQKFQSQIQ IK >CAK61415 pep:novel supercontig:GCA_000165425.1:CT868013:224490:225317:-1 gene:GSPATT00031646001 transcript:CAK61415 MQEVKPPRESLVKYENPIEVSNANDASRGLQGKKKAQLSPLESKPNTEDILNAILPPIEW DHEGKHYIQYVSHVAATREDVGNLQKLLDERLLARQARETGICPIREELLSQCFDEIIRQ VTIDCPERGLLLMRVRDELKMTIAAYQTLYNSSVTFGMRKQLQAEMGKSELEEKIVQLEQ RKQKLEEKRIDLLNKKDSLDKKIKERNQIEEQKRKQEIEFLKYQGQHLEAFLKSVQPELK >CAK61416 pep:novel supercontig:GCA_000165425.1:CT868013:225350:225796:-1 gene:GSPATT00031647001 transcript:CAK61416 MRSQINKNYVFPRTKQDLSESRCEVKKTLPIRRRTNTEPNQVELKQQFQPKSAEKKETKQ LLIKGSMTERKSVRQTIPQTQILADQILKQFELYKRNKRLMSVGQSLSRIRNLLQKQQM >CAK61417 pep:novel supercontig:GCA_000165425.1:CT868013:225802:227701:-1 gene:GSPATT00031648001 transcript:CAK61417 MQQSKLNKSSLQQQSIKVYVRVRPLLKKELGQECIIKTDQQKYVVIEQSTHILKQEYDQV FDDKVSQAEIFKFISPTIHKALQGYNTTIMAYGQTGSGKTHTMFGSDWEYSLNISLGHQS TFIDDLQTDQNHAGMIPRTIYTLFNNLQQGYYVYCSFLQIYNEKIYDLLQDHKVPQALQI HESKTEGIYVEQLTEYAVNNLYDCLTLMKRGEKNRMIRQTTMNLKSSRSHTIFQLLIETS KADSGGQLLRSKINLCDLAGSEKINKQDSMTSAHLNELKSINQSLTTLGKVIQNLSQNNK NINKLPIPYRESKITRLLQDSLGGNTLTNIFVNVAPNIYNIDETANSLKFAQRARNITQI VQANSINATDQELVNKLLKEIDYLKQLLNMKRKGLNSNDIHFKLMKIQEENERLRQANLS VNEVEKLMQENRKMREELQKLQSQSHENSESSHLIKHGSEEGFSGSEIDKQYNTYQQTQL SNNGLLIVSNPSMQKTEVTVRYKDRGNKVQTKLGYVEASEQMLQREKRLMSERKRVIERL ELLEQLQFKNNLNSSMPLNGKFPKPSKKNSYNLLNRTVEEERISLPKLKIAERKLIHDQL I >CAK61418 pep:novel supercontig:GCA_000165425.1:CT868013:227731:228894:-1 gene:GSPATT00031649001 transcript:CAK61418 MNNDESTSQNNSHDLIIKKWRDKENQSFNRNSTLYSSINSVIEKSQPFQHLKLSNGQYWG QLKMNKPNGIGSCHFNNGGFYYGEWKNNAIHGFGVYFFNVGGYIRGEFKEGLADGKCELQ YQNGQLYQGHFKLGKQQGVGNKIGRRGKEVVQYKDGIRVCLYEEPQQIEDIQMMLKDHLP QIYIHNDRVVYGLQIDLTGLGVIQYNNGRVDLGWFQNGSLNGKGKIIFKSGDIYDGLLKN GFFQGQGFYLNYNSKQLTEGQFERNQILSIQKQDYLYPPYSNEDIQIVDQRILIKNIHLK TRVMIGNKQQNSSEKKSHKVNKISNGIQHLIQSIKKTQKSESSQHEEVLDNLLARVQSPQ QRKNLEQIISQVTSPQRIR >CAK61419 pep:novel supercontig:GCA_000165425.1:CT868013:228930:230046:-1 gene:GSPATT00031650001 transcript:CAK61419 MGNNCGGQCTGCYKRDLEIVKSEQQQILSEKPIESSSKRSSKNNVQQNTVQMGSKSDLMQ KPITKSVVDMVEDKQKKTKAIVKIQSHWRGYKVRKEVYSMIKSQTSVSSQVSKSTGSYFD RLGNESKSQKLLKLYNGQWLGNERHGQGIQKWPDGATYEGEWQHNKANGKGIFRHADKIE YDGHWRNNKACGYGILKSPSGAYYEGEWEDDLQHGFGKEKWADGSFYEGQYVKGMKCGKG KYVWSDNSYYEGEWLNNKIHGQGVYHWIDGRGYIGQWKNGMMNGQGEYSWIDGRKYIGNY LNDQKHGYGVYRWVDGKEYRGEWQFGQQNGEGTYITVDGKSRKGQWQDGKLVKWIK >CAK61420 pep:novel supercontig:GCA_000165425.1:CT868013:231403:231914:1 gene:GSPATT00031651001 transcript:CAK61420 MQYVPLTTHLNALAQLYLQCASLSERCDKLARGEEIMNEEKQIPNHNDQGRIEMGYDPLS TFEGNKQRQIDSRSNTPIEINIVDEEEERIEKKDDNPISHQTKKIKRVQIQGVDKQQQIQ CSQCKQIFKNHKQMKKHFERSHNTKSTKKGNKKLSQEFMVQL >CAK61421 pep:novel supercontig:GCA_000165425.1:CT868013:232136:233104:1 gene:GSPATT00031652001 transcript:CAK61421 MKKYKKQSSFQDKQQNKFCINAAALQDHLSRQLFKLPKINSKQLSLAQKLGLVPSPPKPL NQEEWAQIEEQSLKREDIQNCVCPICLEKFRMQQHVILSCSHIYHKTCLESFERVSQTKQ CPICRRQDYEKKQFMVANKQYKIQSIIKIQALFRQFRCRKQFYERMIKMQYKPENSLLKR KLLFYKLSRISSRMSKTIQQQGNQAQQAINQLGENIQIKQEQMENNLNKIYQMKHNNEQM AKLQPIIKVDWISIKKVALERREVDCTICLQSMVNAKVFLLSCSHMFHANCLNSFERYSM TQVNNCPICRQTYQKSHFQLQQ >CAK61422 pep:novel supercontig:GCA_000165425.1:CT868013:233200:234541:1 gene:GSPATT00031653001 transcript:CAK61422 MDIKEQYAQYTQKNYTANVFKVGDHNFILDKRYNPTNQLGSGAYGVVLQADDLKAPPEGP KKVAIKKIEKTFQHRFYAKRTLRELKILRNLKHENIVNLITIALPKSRVSMNDIYLVTEL LDTDLRKVLEREHAKLTEDHYKLFLYQILRGIKYMHSANILHRDLKPRNILLNKEDCMLK VCDFGLSRALLSQGLNGQNPNVMTDYVETRYYRAPELLLGLKQYTKAVDMWSVGCIFAEI VRGKALWRGASAESQLKLIFETMGTPNKQDIKNYKDPFFQQKMLEAVVHLGQFQKVPLDK IIKGISPQGYDLLERLLEIDFTKRITADEALAHPYFEDLHSPEDEPYRQPVSDKEFEFEL YELTTEQLKDMVYEEILLYHFPDFRKEYERKIAENESVIKHILTGQSARLIDPLADDDFP DFQ >CAK61423 pep:novel supercontig:GCA_000165425.1:CT868013:234546:235229:-1 gene:GSPATT00031654001 transcript:CAK61423 MATTSYFSISFTENERGIIRNILQLPIQQYRYLENEVDALIETWRMCEKHQLPYQFYDNK QFYCPECLTSVRPIEYHYIRSIKDVPIEENQISSIRRLNDELAHYQTSAIPEINFEDRQK KLKSKYRSQSSNSQVLQNDRQSELSQLLEKRSKYLEVQNFISHISIEQKNRKIHDEMHNV DSQMKPRLQLQKILPLNQMQNNENSSFESILQEYFRRQI >CAK61424 pep:novel supercontig:GCA_000165425.1:CT868013:235484:235780:-1 gene:GSPATT00031655001 transcript:CAK61424 MNTHTITYPKPLVRILQHSRTDSSSVSSTQSYESHFTDIERPENPMIKNFVDNQEDDLDS FFDQLE >CAK61425 pep:novel supercontig:GCA_000165425.1:CT868013:236337:237834:1 gene:GSPATT00031656001 transcript:CAK61425 MSSKIKNVYLILRLRLEELRVVTIKTFQIGINILLFASLRGDQKAKNQNSLQQYGLTSQK QLQQAQQISSSLYNHNFGNFYPEFIRASITLYLVGLVINLLHTEILNVINRKFVLQKCIG TIVIVFLHILDLMIINDFLILNSEYSNLTQAVSDIYVDSVRKQKDMIQLFEAVVRQLVNI NRFLSVFFQASALWGDGIRKLIKNKRKTWGTTDSQLQSPQPQEKPQQNHVAPVSTVNNKM KGQKKDVVQHSGKKKKTQQQQSIEKSTEERGQSIEKKKKRILDKKPSKLSPKQYEQQIEA KIDESQQLALSKQVSQIQKDEQNNSTQAGSNGNKSLFEHKIKSNRSESLPNKLCSQIEIS QRYLRYSSQNQKKPVEQKKQMRPIYDKLYKFNLQTNVDKYEIKVHSITEMNNSINKIAQE NSWNSFLTINVKLNYWKEAYQQMQTQEIKEELQKVIAQYALYLMNQHAQNQEIVE >CAK61426 pep:novel supercontig:GCA_000165425.1:CT868013:238511:238786:1 gene:GSPATT00031657001 transcript:CAK61426 MGDLTILKRKFESLSIVDEEAPSIQKKNFIQDGDLYILGKKISSINLVTPLQHIINKPDI VSTCLALRTKDKYNPFLYIDRPIKKANDEQQ >CAK61427 pep:novel supercontig:GCA_000165425.1:CT868013:239918:240733:-1 gene:GSPATT00031658001 transcript:CAK61427 MLKEKQNLYVHLNIVFSKILLDNPNILINIEQQNAVELFEDYSIQVKSNGYKFEKYNEFY RSKVYKDSNRQQTTLTIVNKINLGTDEEPQETNSTGYLPNLIEESYLYEWAGIGIHENYK ILKALTLLSVIKSVFKLRFFGVKSWVDKTIIILLKDQLIVQLRWRITT >CAK61428 pep:novel supercontig:GCA_000165425.1:CT868013:240858:242560:-1 gene:GSPATT00031659001 transcript:CAK61428 MNSEPSIRTISVKYTVVFCVIIGFLFLFSQILDNLFYDYTVIETEIVFIKRVDQTNNKMI LELNEVTEQSNSLVLTLQNNMQSTIEQIYKKINYQINEEEDYTLQQMNNQYRQSFNLISE SIFLRNKMNNSIDSIYLLNIKNTINTEMAQVGMNLMLTLLDISIYSDYGTNFFDLSQAIT LNNFWNEELQLNLKNKIVTTFVEESNYILFVLYDYQNNESEIALLRDNELEIIHTTKHLI KNIATKNQNIIFNYQNDREIHFYDSNSQKISKIQSFQITHVLYFQVMKLFFLNDSTIGVF YLEVTNDKYKIIGMALNEYKNVFLNIDITDEMISLEQIQQIELLYDYNPDHNVMIVSFGK KYLYFLKIKPFMFAKQFDQWNPKKIKLNIFEQEREIFKKIIFDQQDQLIYILSESSQSKF KMHLVDMQSLENKSNKTDTMKLRMPYFKYGVQAIDFHIQKNQINNNVILFLLKNGDVACI QPLQYGEGVLKKTFIIELLDIVLTLSFIGFFRYLKRLLQKIYSKIISCCRRI >CAK61429 pep:novel supercontig:GCA_000165425.1:CT868013:242595:243939:-1 gene:GSPATT00031660001 transcript:CAK61429 MYLNSIFKSLSRFSYIKKNFSYENLQDVEIITRDYWGSLRNILKSFSNHKINLTDIEPLK LSRTHKGQNIVVKLTFEKVEDKKYNELIYDLQQRYDQVLINNDMSVPSVPWYPRTDEDLK TIGTIMEVNEENNQDHPQFKDIEYRKRREEIAKLSQAHLVGEPVPYINYTEQEEQTWKKI YTILREKVNLVMSQRYLNNFVKIENALGFKYKIPQLRDIDAYLRAETGFRIKATHGILSQ REFLNALGHRVFCCTQYIRHHSTPEYTPEPDIVHELVGHVPLFADKEVADLSQELGILSC GAKKQDLSRLGTLYWFTLEFGAYKENGFIKGYGAGIASSIGECDHFPKAKYEKFDPFIHA DRSYPIQTVQPTYMYTESFDDAMQSLIIFGKSLQKPFGLYYDFIDKELKSTRRIKTHLND Q >CAK61430 pep:novel supercontig:GCA_000165425.1:CT868013:243986:245857:-1 gene:GSPATT00031661001 transcript:CAK61430 MQIKLSFFDDAKISRSFWEKTTFQVEEELVDFQSALFEKSKTTGFWISKNYIIYNSKLMK LRPNKAYIYHVDLSLSRIERIKYKNDQEGDACHKKKYGIRIIRNQKFKELYSISKELNQQ IWEFLKKQCIKRSFKEKYVIEKLIGKGNFAKVYLCTKKQDKQQLAVKAFEKCNMNKDTDK QALIKEIQIMRKLNYKGLIKMHEVFEDDAHVLLIQDYLQGGELQKQIEQNQKHSEQIVAS ILATLLDSLDYLHKQNILHRDLKPENMILRKNGVLEDVVIADFGLADFYDPLGNYMFQRC GTPGFVAPEILQDKLYDTKVDIFSVGCLMFLLISGKSPFKGKTYNEVVMRNFHCKVDYCS IENIISKVGFSLLKLLLHPRPSQRPQPRDALRHEWYMINLDRIRYSELNKSEEQYLDTKG TIKSSNTDAGSCGFMKNFIGPSTQIKPWFMTPQLHHVGQKKQDNLLLTPQITMMQQIQEQ FNDSEENISIKNLDDDLTDVIFDDDQPQSYRLPQYLLVSKLKQAVDSNNSSQFASPIVKR TPEIIMKDINPKVMSIKEKIYEQQTLPKIAVVVKNNFKNLEQI >CAK61431 pep:novel supercontig:GCA_000165425.1:CT868013:247461:247808:-1 gene:GSPATT00031662001 transcript:CAK61431 MPEQNKPIGPCQMTSSSKLSRYDEDRNLRITQFKIISQAYRLLSNPDHRCRYDEIQAQEK AKLAYFKQQRISSMEIKQEQNRIMILIQKKQKLLNMSLKLVSYFNPKEKNQKTSF >CAK61432 pep:novel supercontig:GCA_000165425.1:CT868013:249126:251027:1 gene:GSPATT00031663001 transcript:CAK61432 MNHPLVNIPLTQGIKCRKLCQAIDLYGINPNLLIFGNQKYSSILGSLLTIIASTLTFGYL VQQVQELVYKQLPQTILSEHLVTETSPFPLENHNFTLSISVANMEQNPLKTIDKYFTIKV ENCQRSRQLNTTTNKIDVVNTCINYPTEACASDNFVTDIQKEYFSKIRLGTAQCIKKDIL QSKPPVLQGIVSGNLYSYITIKFSACKNSTEKQDCASRDEINKELINGYYVVHMSDSLIQ MSNPESIQKNFIKMQYTQFSISTSKTIYQGFRIIQSLTDDGVLINNVVEDSFLVQQYYQE STADYNEDYLILHSLILDNKYTNYQRSYIKLLTILSKIGGLWQLIFIFFGIISKPFILTE MKINLANKLFRFQQEENDLTQSIKRDVSMKSNVEKYVRQPQHKLSSSISSIIQFLFGCNK ARIKQLDQANLKIQENLDVVEIMKKFQEFDNLKSIILTTNQRVLFDIIPIPLITNIQNKI ETDSQSSQRQSKDNFERFIEAYKSFCQIRDMSDTIENIGQKIITHLDQDMIKLFYEYHLD SEQKQVLQLLSEERKSKILDRNYLDSESKISLSPCNSNHQEVQAVKIFLNNQQIKRKLGS LQM >CAK61433 pep:novel supercontig:GCA_000165425.1:CT868013:251405:251609:1 gene:GSPATT00031664001 transcript:CAK61433 MSFKFILSNKSQYSKDRNVNIDYSPVFNIQLQQKLNIFPIFNEDHQATTPGFIIEYEKV >CAK61434 pep:novel supercontig:GCA_000165425.1:CT868013:252430:253357:-1 gene:GSPATT00031665001 transcript:CAK61434 MQLNQIKSKKRRQPQRESDSQILVSESIEHQYNIKYQILDQIGQGSYSTIFIVENKLHGG YYAIKKVLQDPKQINRELEIHLNMHHPHIAPLRDYYYTVENKVKYLHLVMDLYQGNLKNA IRVPLKRVAKQIFQALEYLEEQEVMHRDLKPVNILYQSGNIYLADFGSSKKIDGSKSLSY VCSRAYRAPELLFGQIFYNTKINIWAAGCILAHLELGKQLFFGHNTVDQIVEICKLLGTP NELEKAELGCQPYIPHLQGKKLKN >CAK61435 pep:novel supercontig:GCA_000165425.1:CT868013:253937:255085:1 gene:GSPATT00031666001 transcript:CAK61435 MNCNQIFIDQLRCPDFQSKNKHASLKRPPKYSQSFIMNQLIHKPRIIRNQYSVQKYSSST DVLCQSFEQPQVLNLTISQLRDRKILNKSKKTGLCSKSKSKEKYQQLSSQYHERKRSNTY HINSLAKTALLFVNRQDKRMKTIENSDNLFDLKYLELLRSTQQSGKHNPLNTITTLPNYN YESTLISCVSQPSTSLSNQINLVKEYVSEINQYNVKWQESEKITKNIPKLLLEKYATSDD TSLYLESSRFKIERNFNQIYKSGKFRWLYPGEEAAILEGIESFLNECSKKISLLMMSIQK ILKLIITQKRLEYLFNYPICMKQIEAHWKIMATLFKLKQQNHIYKNQVTNKLWKDIQASK TLFLDLNLENNKLALFVKQLQH >CAK61436 pep:novel supercontig:GCA_000165425.1:CT868013:255150:257350:-1 gene:GSPATT00031667001 transcript:CAK61436 MQTFEYSDEEAYQDSIKEKLDKLHDLPLKFKHKTVCLRSISGTSSRKSQTCFKINKRREE SSSESSCDDSSEIQLSEFDISDENKKSNILCQYKNSFFNEKIKMFNEIKSKKFWQGKQIS RIQINKDDQSIKLNKSPSIQRQSSPISESNHNTLSFIKRTKEVGDHSFDQSFKQVNSPLM FLLTNVHQTSINNNSSSNFVNNRSCQQSLNNSQFTIDVPCNDSFAQQPSIKLNEKDLLNQ IIEAVFNRNIQLLTKIVLLLQKEYRTDILNMKDINGNTPLLLAVKLQQQQNQFSTIRLLL SNGSDPSIKDTDGWSPIEETVAQNDLLTTSLLFDYLVSKKLFDMQSERNQIDQELLKIND FYLEMKWEFKSSFIPFISKFTPNDTYKIYKRGSSLRLDSTFAGTKNYKTKRRDLTVIYNP LMGSVQKKENSSNCKFVTILNRAKKIYYYPIQEIDPEEKNQILKDLVNCESVSGDMKVIG CELIKSKNFFGNYVSQKIHNWICQKYEFRIQTSQHFNKKHQQHYYMSLDQYLNQSMDQNP TSARFNLEQITSQIVGDQLFVNSPSFKQENEQIKKKIQGNIKNENQKKSSESCQLYISQA FPLNFQQFLPIIKMLSNGNEFMQSLKTVLSNESVKQLLNDKGFPIRIEIPINFTIDAVVT FQAYKQLDMEDNQIKSLFQIPEEYQLVSRRDATKVMRRGKKRLLLANLFL >CAK61437 pep:novel supercontig:GCA_000165425.1:CT868013:257546:258143:1 gene:GSPATT00031668001 transcript:CAK61437 MAILQYSFDFYHSCTKHSNLLYDQQLGSQNQFKCHVVVEKFKYYFLKPQNIHSTFKYTMQ VITFCGLFQFQRKESQQNIGKRNRSKMEVKEKFFFLNENNNQYVEINHIYNFRVKQLLTK IDNQQREQGFVEYDKNTDLRYIKKIQQTSIKLKMKRRFEILDLILSVLRFFMLTKSLKIT EYQ >CAK61438 pep:novel supercontig:GCA_000165425.1:CT868013:258267:259102:-1 gene:GSPATT00031669001 transcript:CAK61438 MQEETNSFTSSVLSDNPMMSEQDAQLIVQSYNTTIQSLVKENDVLQKKLDEYVQNEKEEE QKLLQTKQQYEKQITRMEYLKQQQLLIDQEREQIFTSSVFEQQKQLVPNAVNYLYEFLHQ DQKQPSHTNIIHNQGMDTQNSLMQFDEEVSPKIEQNDPQLLKDLHKQKEELGNQKNLLIS QILKSISKYAELQLQKCSKKECQQCHLLYNPRTNYIDSCLFHSGKLKFYSCKKCGADDYY TCCNKCIRCSEGCKTNFHQPKP >CAK61439 pep:novel supercontig:GCA_000165425.1:CT868013:259156:260034:1 gene:GSPATT00031670001 transcript:CAK61439 MFKILALLLVVKLYATDLNTQNAEAEAQQQSQQSGQEIETEGQKVIAKLTACWVLSVQEL SEEQKQVNEIIKSQSNQNRESIFYKIQTTMLEDCYSRAPEEELNNVMKSIQEDKKNYKSF KQQLPDFSFDVFNSSSFDWTFTKNDETLIKYIRRFEEWVASKNTVTNTVQQPNQQKRVFD ELKKKISELSKKSQAKELETDNKDQMDRYQFYLSKQQGMRDPINYLFAGIAIFCFCLVFY IVYSKVNQSNQDPRLDKKNKKQKQKKE >CAK61440 pep:novel supercontig:GCA_000165425.1:CT868013:260070:261046:1 gene:GSPATT00031671001 transcript:CAK61440 MQQYSPRAIVWMTYLNYLPQDQAQQLPFLKKQQQLYNSYLDELIKKEHLEIFTILNNIDS DQNSLSFNEFLNIKTQVVNSCMDFHEYNENEQLYELIEKDVPRTLPKQSILKEQTNAKFS QYFFTDQYKRRKQQNDTNPTHADILMRILYIYGKLNPAIKYMQGMSDLLAPLYLIIKNET DTFFCFTKIMAQIKDAYISTLDFTNTGIRGLLLKFEKQFQQKEPKLYSYLHSLGIHPYMY GYRWIITCMTREFYLDQIYQIWDLMLHDRNIHDFIIKFAISILKYLKPQLIEADFKLAFD ILIYSEKDVNQILNFM >CAK61441 pep:novel supercontig:GCA_000165425.1:CT868013:261759:264200:-1 gene:GSPATT00031672001 transcript:CAK61441 MSLTLNLGYVPTEITSIKGDLQILYKSSLNLLVMNGKLLQDINTLNYSVSHYVQYNTFAQ HYKPVKVTYSKKYDIYFILFDDWQIYILSSNLVFFNKISVITSYPIAIQFIDETISLYVV QDSKIEQIFMEIKKTSLQIKVILLNKLCFTNSNKYFIGFSLQHSLIFLWEQTTLKVYSQN YQLISEKANITNFKNSITCLLYFPALYYIICGTKDGKIYTWTLYNQNQPINIFEIEHGSN VDQLHNDKNDENMFWASSNNEISLFSIKTWQCLQIYKIGLQYKNLFIINQQRLFASFHQS IYILNRQLEQRLLYQHKSDIRCLIEGISDPQFLFDNNSLIQMSSPNKFNTHYIKTKQTII QAHFVQETYYFLTETSDILKFKGETINIAISGDRLHNSEGALVKNKIINLKFIEIDFNQV YLFVYIQQGQILLFKLPNFNRSISELNYNRCSIKLSTIFKVINNDICILTIDEHNVFKLL KMKDNNIQLLKQFTFKIEIKKWLSLKNNIFILTSSGELSKFKYQNDDFQQSIIEIEGDEY YYNDFQVYLDPKIIIGSQSQMLVLMSFKKIILRQINLDSILLNYGYFNKHLILCLQNELS IIEDQRLSFTHFQLKEINSNRKIIEQCSFEIFEKGRDKIHIQKKEKSVQLLKNESTLQSK KQIKIQSNTIKVIPNSEKQAAQKNQQVTQSSFYNPNDSTQRGRIKSRNQQFLDNQQHGSN VNITAAPCRPWTQTSFNKTKQYILQQSSSVESNQFKEQDALYQPAIPLIKQTRKNTLAEQ FIESRLKKRKFKTRRDLTMNKIVQRNIRILSFC >CAK61442 pep:novel supercontig:GCA_000165425.1:CT868013:264274:264690:1 gene:GSPATT00031673001 transcript:CAK61442 MDQNYIAPDACVLEMDSEVYIHNIKELRVSTGDKVKICAVMKADAYGHGIEVMMDTFVKS IVEYISAIDNYEFRILQKWFKEHNVQHVRLLRIAPDTKEELIEFIINNWDVEEVFGSLEK GQYFSKISSEISRNLEEN >CAK61443 pep:novel supercontig:GCA_000165425.1:CT868013:264715:265272:1 gene:GSPATT00031674001 transcript:CAK61443 MSKTHINVETAMGRMGFRKVGDFKLTQQITNLKVVGIMTHLAREYEAPPNDEIATRAQVD LFKTFISQLNLEPTVIKHVANSAGFDKRNIHNLIWLELALLPMGKIKINSKTQEEQLNLF FINEEQVLQLFQDSSQQSYWLQWDLKNQIENQQQQYELVGFPQYGFSQEKKIPQNWEDHK EYACC >CAK61444 pep:novel supercontig:GCA_000165425.1:CT868013:266013:266599:-1 gene:GSPATT00031675001 transcript:CAK61444 MRIFLIFFILCIFALDVNGTFCLDNITSKAILRRNYKNVIQLYNGAMQITARTNMFIVPI IDNSPIRDLIYFPYNGVVGHVAVPLHGTIIIEFLQSYLINKIRFWMYDYDSRITHMQVFA IGDDRMTETLIYEGSAPPGVMAVKFSQLSVSKLRFYNKDGNTLYEHMSLLKIQAYYAF >CAK61445 pep:novel supercontig:GCA_000165425.1:CT868013:267126:269823:1 gene:GSPATT00031676001 transcript:CAK61445 MRACTNHPQEKIQNICIANHQCKRFLCQKCQNSHGVGDLDKLNIEDFNKIIQNQFELFQQ KSKSLQIERQKNEFKEFIKEAKNKFERLDQEMSKQFEMIDKEEQYYFELITSHSPKVNYS DQNINSLIEIIRKKLENWNFYKDGFFQQLMRIKNNLEETLSQCLNNIITYNSLDCKGLKR FCAQLQETQFQVIYTKNMEMVYVLDGEILRCDSIEDYNNKPQIMKNLQQIKHLKWHGSFN LEYKKQGVWYPTWKGLKIDAGGSYLNGLKQGNWKELGQNYWEKAEVFQQGNYQNNQKKGV WQIIRKNKQTHESTYSDHGVKIGRGFDLHDNYHEGNQVIFNGEYKQGKKIGKWNTLLKEK LLCSGEYDEQGIKRGQWEELHNNFFECNDLIESGLYLGSQIGQLPISQKSGQWNIKFEGN VIGGGQYQNGFKNGLWTEVHDQFSQQNQIFYQGAYKNGKKVEDWKILYKREEASKADLIG GGKYDENQLKTGNWKELHENFHIYGQIIYQGVYSKGKKQQKWVIQYRSQSEQNIIEIGGG LYDSEGRKDNLWVELHQNFSGQREVLLKGKYKNGKKYDKWEILQMMDNKDRIQGIQEEDV NDENQLKHGKWTDLYENFNNDAQVRYKGEYKNGKKQGAWKIDLRETKVAKIEMTIGNGDY DENGRKTGEWVELVDDYDKWKQIIYKGVYVEGVKVGDWNQWIRIEDGLKL >CAK81119 pep:novel supercontig:GCA_000165425.1:CT868386:3295:3420:-1 gene:GSPATT00039426001 transcript:CAK81119 MNNCTVRLHILTFFIEADMERQLRQYSEVDMTLFDNQDRNK >CAK82854 pep:novel supercontig:GCA_000165425.1:CT868449:876:1499:1 gene:GSPATT00039518001 transcript:CAK82854 MVNEQGLLITRGEYCSQKALVNGMNSNYKNCEQSQSDQYQLENQQYIQCSNIVKILKEII QLCINNWNKLQKRLNCKHRYTFLTPYYINIIETSYKLFYLSKKLQLIILSSSKQSTNCSP KKNLQLQRNSCQQDSQILQFLSLKEEQINLNNTLATIFHTKKYKNKNAYQQQSSKCIQLL FYTQQQNDIIDIFLLNLLGFITLGNRY >CAK72127 pep:novel supercontig:GCA_000165425.1:CT868117:2221:2544:-1 gene:GSPATT00038744001 transcript:CAK72127 MSKDLKRRKLHNQSFLSQFVMHIFIDNSNLHGSQKFIFLDTHKISIQYKCKKKESLAVQI DRFKQLCKNNLIKVVVILSISSKEDMFNINIDSHYNQNS >CAK72128 pep:novel supercontig:GCA_000165425.1:CT868117:2616:3056:1 gene:GSPATT00038745001 transcript:CAK72128 MGIGCKAAVYSYSNCSTSYLFDSCCHSQSNFKNHILFQNYYLLSHCTIQILNFATYSINA YTFIWALNRSFSDHIAKYLILFDIFSIVNLLIKIAIKLPVNNVATVFLSSQCLCFSSAPP ISLACYEFCLFLNTTFLIAYQSAKLL >CAK72129 pep:novel supercontig:GCA_000165425.1:CT868117:3119:4518:1 gene:GSPATT00038746001 transcript:CAK72129 MQSKKYQWICIITYWVQTENLANQSRNNELYNKTEIYILNLFMRIVLLVWTASYIQNQLF VYSLSSQCNPCLYKFCPVCYSVIERDSFYIYKLFFERFVILIVNQQLISIIFYCLIATSA LELNNCIYSQLELLYQCVVVASCRRTQTCYFSIKLKSVKLFMFGLKMNSGQNCDTHSSIM IAVEVAFKKYKKGCQLKIYIDNQLISYLANSFQQCIMTILVHLCLTIQLVQYLIPDVQNT YHIRFFGLYSRATIITSCTFYVGNEVTKILFSQMHEWNLKMLVHNLVTYLYYPSKELLGL LAITKLQECLLSKISPLLHHISYISYFVLLLIMATSFIISILQTIRFKCNYNNYLRLCQT LINNLYIHKENEKGDQSKSLLILHLLLSCAQSPTYYLSFTYINLINQLFTRISYQKLIMF VRMFLNSQNSLFYF >CAK87026 pep:novel supercontig:GCA_000165425.1:CT868601:2:2047:-1 gene:GSPATT00039790001 transcript:CAK87026 MSQPISINPQEKVWYDKGTALADLNQYQEAIQCLNEAISINPKYEQALVAKGNALRSLTQ YQDASKCYDEAISINFKSDAAWIGKGLVLVELNHYEQAIKCYNEAISFNPLSVNAWNNKG YALNDLKQCEEAIECYNEAIFINPKYISAWNGKGIALRNLKQYEEAIKCYNEAIYINPKD ASAWQNKGITLYNLNQNEEAIECYNEAISINPKYVDAWNNKGIALDDLNQYEEAIECYNE AISISPKYVDAWYNKGITLGNLNQYNEAIECYNEAISINPKYAEAWNYKGITLGNLQQYE EAIECYNEAISINPKVDYVWFNKGNVLGNLNQYNEAIECYNEAISINPKYAEAWNNKGIA LRNLNQYEEAFKCYNEAISINPKFAEAWYNKGFTLNNLNQFDEAIECFNEAISINPKYAS AWYNKGITLRNLNQYEEAIECYNEAISINPKYAEAWNDKGIALRNLNQYEEAIKCYNEAI SINPKFAEAWYNKGITLNNLNQYEEAIKCYNEAISINPKVDYAWYNQGQFIFKSKYI >CAK73913 pep:novel supercontig:GCA_000165425.1:CT868168:1:4126:-1 gene:GSPATT00038931001 transcript:CAK73913 MADLCQNCKHKQLRSRMIGKKFQSNILNQIQQIRSPRNHDPPYINVIEYLQSIHKKREEK PKESIQFHRLKTHTSKQKTEVQMEDAATTTSRLDQFPSNFYIPRGAMASKVMSSAFYKMK RMCSYSREESKRKNLEIGPMFNQVSKFVQPMRPCTVRSVNSKMEERLGATSTSARQEMLR QSKSEMQLRLPVSNKLSEVQSLMKREQNAIIYYLLLIDLSSLGDQVQNNNQMYYLNYEVL RSRYQQFTQFNILEQLESIYQSLKLSLESRDRNTFFQDKVQQQPDCFQVNPIYGFAQQAN ELYGSYGQPTQLCFYMHRQKRYLMIGTQHSVIICIEVVNGRVESIQAILNAGERQTRYGS VLAIQCWEKYMLVGFERGDIQLYEVGRKWERLHHESKLHSGKVVQVLFIPSSTIEALSLD EHGVIYKHSFTVMTVMDKYIVTHSKDKIYKNGKIYHIKRNTQQPKQKQSSWWNNAKSIFK KEEENSELTDPSVRQSSDNDGQLTKFALDIKLMPEAMTHGRCQPSYILIVLKFLKFYKGN NTEFINLGSLKCEIVYTLKRNTFSIDTPQQDNTESGELSWGEGYFQKSYQNAKLLCIRWG DIFHLVKCMNIEDELEIVEGAVYKMEKEKGNIIKSSFLTNNIIYAFSDSNWFAKQDDGIV ILEPKSRMLSKEDVEPQTAEISVSYEGPSKPCQVQIYENGIVSLRKNNILIERLQTWNEY LEDLIEKNQWEKAMHQGMLIYQGQIKILSEIASSLSARQEQMSQMFQKIAFTHIMFALKP LDIPLSQQEKENKIKKTIEFLLRVDNMSYALVVLKDFFKDIQQMKLYFTCLDPFIKNKQI AFIPESFFVDYVNFYQNDKEMIQQLVLQLDLHQQEPTLLIKVCMDYHLYKAMIYLCTKQG DFITGLMKLMNLWENKCQSEQKEHCHIEKEKLRQFRIKLGYNILAYVRMCVKGINILGER VPHNIYFDMLRGLVSFIFNTENLKQFILIDIRLSLQLLMQFMQHHIYTNIKSATLPGIKF EIIDHVPEILKLMLSAIENTRQEIKTQEHYQIVYNDKTQQYHQITLAEQLNHFLLFYSQL LMQFPTQLTQQFKEKLFIELLSPSYFQTVKLYNFNTQDSDPIYLESYEVNKNAYLIHIYD TSQLNDIPIVFDESTQYPEFRAFLIYKQKGYFACIQNYFLMSNSIMKRNVFEVVERLLIH SDEQFLMQLQEFIIDNCDALMTISQQYTNRIFKEYFHDVELQRKIIQKLEKTPQNQLHYL KQYIKNEKASDSIRLLYLELLCQRAPKEVLKDVQNGDFPLDDALAICEKHKVYNAAAYLL QKNGAIQKALDMLTLLFI >CAK73914 pep:novel supercontig:GCA_000165425.1:CT868168:4399:8433:-1 gene:GSPATT00038932001 transcript:CAK73914 MGNTLIEDKGKINLMKAFETYNTEQEIGTRQTLFFENKLFKTLSYKWHQQTLLLKVHLMR KQLTLQELHIHTQNFQYLIEQLEPFPNLMPFHNLINFGSTPKPQPSEMSLECNKEYLAVS RQFLYITLEERLQSNYKLPKCLLNFYALQFLNAVNTLHKRNLYHGHIRSSNVLLTSLDFL ILADFASYKPIYLNELEIIRNIYKSSIQKCTLAPEKYSDLQEVQYLNNLTRTQVVPMQAM DMFSVGCVLAEIFTGESLFSLEQLLQFKKGEYEPIIKVDGIVGDLIRNLISVNPEIRYNS EQALNLFCNEICSSQTYELLQYISIALNVNGYFMQPDARIALLRKVIATIERKQFLYSLP LAMQFINLSKFNQICKDFEFPRKIVQIQFTSGAGMDEIIANSLENSGNLIQREFKEVHQI TQSVSMQVSMVQKLKQSIQKQPEFQEHRQNLRMSTFTNVDIRQNNQEYIIVILWISNQIR NSRFMQTRLCGLELIEFLCQYIDDELIYYFLMPCLGILCEDSDSQVQFYAFSIMLNLLKN IKNPPQSSTDTLIFKLYIWEQIKNRMNDMSANHQLALKIGDIAEILHKYNRQEEKILFLQ IIQKKFQENRINELTIIISKIYEVLKYYECNQTIEILTLLISQFNNINLKIALLDVYLDI YKYYSNTENSELLLYCLQFNTRQESALFRIIRFVRQATEEKLLEFKKIVSYVKEISPLIL HHNRWIRDEAKEFITILLNRYSSKEIFFRFHPHIKKYLKLDVPTINFEIFTSLIQKPICT KWFDQKNQNEPRYPSLTERELYNYNNYFEEYFKKSKNIQETALQQQAQKAQYPIAKINQR VNDFIEAAFRVGLVQLDQNKWEDFSKKGNKTWEDFIQYIRINNGFDLSNKQDNLLTKKKV KFLQELQLQLQYHIPQSSTQWINLFNYNIIANHIFIKSSQLQIQDIQFQRVLQFPIARPF KISNQIVTTISEHKGIVTSLQKFGDQRKFISGSYDGTVRIYDMKKIEDDFTNGSVAQIRI SEGDVQSRISALCALEGTDSFVVGTNTGSVSLYTNDRHTSTFLKGDSEIRKIVDQENTFM YVTEKGTLKIEDIRSRNGQSFNIGRQRGLVSSMVHDNYTTLIGTINGYLILYDIRSTMIV NLFQLLNHDDQALPIFSLAYMNRVSQFDGMSSDSSKLVGIGYQSNNNEVGFWDLNKQNED LNPALFLYCSDKKHPIIETPRLQSVLKSEQFINHNNNLSTQCHYDFLNKSYQVDLISYLK DPLTSSERLAKDRWLRGTKNLYINLKSAFECKSTVTSLICLTSRIDNQRQENTFITASTD RSIQSWTLNSDVAKQQDKNNDRVL >CAK73915 pep:novel supercontig:GCA_000165425.1:CT868168:8452:10507:-1 gene:GSPATT00038933001 transcript:CAK73915 MSNRKTYGNKQTRISLGEIDDMFNDAEFSQAVKDTFKKQSSTVRHDGPTQTTNMFYQKPT ISQIMRLRAKGSHTGTRCPSLKKEERKTRWASLISEGRTEHHKRIPSEIENIPICAQYEQ YSFLCREQEQLIQNLSELPQSIKMMLYSNMYEVQRAKMCENKTILDDKFIFTLFNTLQQL DKQIIEPLHQQTRPVLNFKMHFVQNAVNIISETIVQSVREALRHDTRLGIFLEGFCKFWI VLIDIQLQWMGKSCADYIEAQVKEFKEQFKEAVAQKIKVEDQMIQMDKEFKSMEAQFIRK HEYLQQQLLSVQQEYQDYIVAVQQMSDLKIAEERMNSVGLKALELESMFKQYDKVVSQHS FVFQKDIKELGTALIQQKKQNQPLPMKHQEAQIRLGCPKLAEENYQLHQLNSIGIFMQVH PFQILYEVNKELEMDYPILLGNFLDYLSQINEVQSHVCRIFIDWVGEEDKLNAILTYFSE CDLDIPINLFYAIIFGIREHRQLSSVSLKKLLKYYKELQEEHDYQLNEHFTVSEAHPYFQ LMFSEEFNEKITNSQKQSMTLLELLLMLAQHFLLIENKQWAGWLYKQEIESEDLNMNVIK QFIELRAQLNRPLEESLKLVRQLSLLHPDLFYKSKQAPLERMKASVRKVQRKNSQRSPLK RSSITLIKAISMKK >CAK73916 pep:novel supercontig:GCA_000165425.1:CT868168:10519:11014:1 gene:GSPATT00038934001 transcript:CAK73916 MQVAFSVICVGLDNAGKTSLLRTLSNSQRMEIFPTPTMEIHYVICPKIGKYCLVYDMSGN GRHRSNWRILYQDVQAMIYVIDTSDSEYRFHLQRHLIEEVLNDDLIKKSAIPILFLFNKN DKKNRFNKDDLIKVLGLDSKKFKNKFIFKETLVLNFK >CAK56534 pep:novel supercontig:GCA_000165425.1:CT867987:63:330:1 gene:GSPATT00027562001 transcript:CAK56534 MDDILAATLQKMNRYEEALDNYNLAIEKNPEDSKYFNNKAVTLQKMNRHEEAFDNYNLAI EKNPEDSGYYCQKGSIKNC >CAK56535 pep:novel supercontig:GCA_000165425.1:CT867987:356:1454:1 gene:GSPATT00027563001 transcript:CAK56535 MNRFEEALENSNLAIEKNPEVNLYFSVKAFILLAMNRFGESLESCFQTNLQDPTSYFIKA YTLHLMNRFEEALDNYNLAIEKTPEDSIYYIGKGLRKYGLYLAATLQKMNRYEEALDNNN LAIEKNPDDSNYFNNKAVTLQKMNRYEEALENYNLAIEKNPEDSRYFNNKAVTLQKMNRY EEALENYNLAIEKNPDDSKNMDDILAETLQKMNRYEEALKNLILAIKKNPEDSDILANTL CNLNRFEEALENYNFAIQKNPEDSRYFNNKGLTETLQKMNRQEEALEIQNLAIEKNPEDS GYYCHKGSIKNC >CAK56536 pep:novel supercontig:GCA_000165425.1:CT867987:1480:1743:1 gene:GSPATT00027564001 transcript:CAK56536 MNRFEEALENSNLAIEKNPEVNLYFSVKGLIIMDDILAFILLKMNRFEEALDNCDLALFR NPIDFGSCFLKGTIKIDDIFQILLQQK >CAK56537 pep:novel supercontig:GCA_000165425.1:CT867987:5915:6576:1 gene:GSPATT00027565001 transcript:CAK56537 MIQQLKKLVQSNNCPLPKENGQYYQVNGNVCQAISKQNLRGKYNLTTKLCERSIGQEICT SPYLNLTGCVQITQATSCRWREEINKCEIVEVIDQVTKCTELKYSNPSACSSISEFVNNK AIECYFNSNKQLWEIIIKENLTEIECLQQGLNKYGCTMITKVGQRCRWFRGQCTNIRSKE QIAQISCIELKYVNPGTCSLCNFQQKCLQIQF >CAK56538 pep:novel supercontig:GCA_000165425.1:CT867987:7111:7459:1 gene:GSPATT00027566001 transcript:CAK56538 MILDEISIFFKTQQGFSLYVHIKSFCFQLLLSFNKQKILGRLIQLRTKSNQLLIASNLER PQIKQSNKINCLIYFEVHEETEMMNELLIDMMELDNPINRKQQL >CAK56539 pep:novel supercontig:GCA_000165425.1:CT867987:7607:7747:-1 gene:GSPATT00027567001 transcript:CAK56539 MKLQKKVKRQEDHYYHLQNELREIEIRNQIKDFVNLLWLMDTIFDF >CAK56540 pep:novel supercontig:GCA_000165425.1:CT867987:7852:8529:-1 gene:GSPATT00027568001 transcript:CAK56540 MESQLSNQLQRQIETEIIKIIDKCQGLLSTFSDTTKKNIEIVLFVGQTGAGKSTLFNFLC GAEFKIQERVLKLRNPSNKFSEMKGGMNSVSKEPNYYFNSEYNHLLIDFPGFQDTNGKLD QLLIELIFQKVVTQLPIKVIYVIKNNESTLPNRGMQIIEFIDQLFKNSNLDINKFNLLLN CYLEDLSNFELKRNIRRELKIKRKKFKGNLKLQFFHLKRNNQNKK >CAK56541 pep:novel supercontig:GCA_000165425.1:CT867987:9355:9686:-1 gene:GSPATT00027569001 transcript:CAK56541 MKSCQEWKQFNYSLDKKSNYFSEGWTFTYTTDPQCAIIDNSLLINIYQTGSINRTVFKQL QTMGNRQLLMNTIKINLINKSFILSVRHINRDINKQSS >CAK56542 pep:novel supercontig:GCA_000165425.1:CT867987:10261:10962:1 gene:GSPATT00027570001 transcript:CAK56542 MQFIYYLKSCTFYIKIICCSEFQISISNDSLLNKYFETKNNGDSQKFVELEKSQTHLRHT TVSAKFIIQRYKNHFRGQNFKDLIFYNFYPYFSLKVVQNLHFHFQIKAELINQSPNLFRD FDIHVTQNNLFCEFANVCEFLDQYLRIMFNLIQFKFTLIKLNRQTTQQDRKLFIHQSQIN LFNDKYQRCRKISIRNLNKNLIMENLEKQIKNSFLQSKRNNYWFNQSQIELKG >CAK56543 pep:novel supercontig:GCA_000165425.1:CT867987:11662:12414:-1 gene:GSPATT00027571001 transcript:CAK56543 MLKDKNDRQQSQEQSKETQFTYELLPQIYIQKKMCWAIAFDYHTKFLITGAESNIITMQF KSGALKRIQIIQKHESWITTLNFFRQMPLFISGSSCIKIWSQNQQANPKFLMKLSEHISN IQCLVLRNLNPLVIISGSKDKNIKFWYQQEAQWICKQTIREHSNTVCGLSLNQEGNTLIS CGEDDVINIIKCQDDHQWKVVQKLEGQGVRLSFISKDIFVFQPWQGSKLELYTYSTQSGL YYKYQELPIQ >CAK56544 pep:novel supercontig:GCA_000165425.1:CT867987:13604:14338:1 gene:GSPATT00027572001 transcript:CAK56544 MSDINMQQVRKPFSYEIIRESTIKQNEYSSAIAISKDNLLVLATADKAIKVYQLKLGKLK QFQHLNMFRKDGTAISLFEKSSLFITGAIDSTIRISSTTLFSSAKYIQQLYGHTSWISNL IIHPVDQDVLFSSSNDSQIRVWQNMQSWCCCQIIKEHKNDVKGLSISKTGNQLLSCSEDL QILIMEPVSNKNYFPWQVVQKIKVDQQGYRICSIDDSIFTFQQDSQNHMHIYSKDENGLY CKTG >CAK56545 pep:novel supercontig:GCA_000165425.1:CT867987:15150:15704:-1 gene:GSPATT00027573001 transcript:CAK56545 MKFIPLTQHYQTIALIYEKCADISKQIDSLSQQKRLNVQIENEETISQIRTNSQVLEQEN IKKHWNNLLQEQINQKNEKQIMKKHHKKQLNKKKSKIFGKTIGKGQLKQKVQATCNHCNK IFETQKLYQRHQYQLMYRKKLQNRKLIKLKTDTNQIEDKEQNENKISLNPKGIINHIDSI EICI >CAK56546 pep:novel supercontig:GCA_000165425.1:CT867987:16357:17122:1 gene:GSPATT00027574001 transcript:CAK56546 MDKVILENSPKWKKQVIVQIQSVKGIAFEFLALNLTGYLFLSAYSLSGYLKGGENGWPFT GNITLQDLIFALHSVVITILTILQTAYYYKKEDNPGVSLWCIIVLIILWAQAILYIILTC IFGWEVIFTQEKLNVLYWMGYEKLFVTLIKNIPQVYLNYKRKSTVGWSIFNILLAFIGGI LSFLQMLIDQINGKSANLVDMNIVKFILSWIVLGFDLIFMFQHYVLYNPKRNKSKAIKDE YQYMKE >CAK56547 pep:novel supercontig:GCA_000165425.1:CT867987:17684:18655:1 gene:GSPATT00027575001 transcript:CAK56547 MECSICCETTELLELQCSCQICSCCMLKSIDAKYYEVIEEIHCPGSCQSKHSVQGLMSNL GGLNDLLLEKYIKTTQDIRLCPKNDCQYGGIIKHNCQHFECECGYQFKIKDEKWNLRSIF LNFITIKDCPKCQRRIFKNGGCNHMTCKCQYEFCWICTQNFKEHNKLKCYSNIFIKISLL VLMFINIVYSLRLLESFMTVVLVLVKLVLFNGSLIFLYLGIIELYQISKLNLECSKYYSN GNETFKRIINQKWIMFTLLMGQFCLLCFFFYLCYQEQYQLFVLLRFIETYCHFLFSEFSI FIILGATLWIRSRK >CAK56548 pep:novel supercontig:GCA_000165425.1:CT867987:19334:20379:-1 gene:GSPATT00027576001 transcript:CAK56548 MPTSSFSLQCEHFEINQKRFQFFLETIMARGPKKHMKRITAPKSWMLSKLGGNWTTRPSQ GPHKLRESIPLSVILQHKLKYALYARESTMILADKDGNIKVDGKVRTDSGYPVGLQDVIT IDKTRESYRVLYDVQGKFILKSIKPEEAKFKLVKVTQKKVGPNNVPYIVTNDARTIRYPN PDIHVNDTLKIDLESGKVVEFLKEEPGNLCYIIGGNNIGRVGLIQHRERHLGSFDIVHVK DSNGKHFSTRINNIFTIGKGKKSLISLPDDNGLYLTALEKKQAKDHQEVEKQ >CAK56549 pep:novel supercontig:GCA_000165425.1:CT867987:20419:22656:-1 gene:GSPATT00027577001 transcript:CAK56549 MQKRQLVEDFAESKYFDNDDEKLTNEQVRKREMEQLKKDEVQNDELDPLDTYMQDIEKQY QQDLAEPKKVKKFEVEQFENEDPILSQYEIIKQNKLNQQKKQKQQNGESEDSMPSDFEVD EIDEVEKKKKNIEPLQLLDHSQIQYEEFESNFYQEHEEIANLNVAQVEKIKREYQIHVKG NNVPKPIISFGHLQLDQKLVNKIVAQNFEKPTAIQSQALPCVLSGRNVIGVAKTGSGKTI AYVWPMLVHVSAQRAVEKKEGPIGLVVVPTRELGQQVYLETKKYAQLFQISVSALLGGEN KHHQWKELRAGVDIIIATPGRLIEMVKKKATNLQRCTYIVLDEADQMFSLGFEYQIRSII GQIRPDKQILLFTATMKKKIRQLCVDMLIDPIVITIGENENQVNEDIKQLPVIVDDDEGR LRWLLQNLKTYLQNGKVLIFANQMGQCESLLSEIKQKLGIQGLTLYGDKLQYERTLIINQ FKQHVHLLIATDIASRGLDIKEIRTVINYFPPKDADIYIHRIGRTGRAGNCDGVAYSLVQ KQDWKFAIMLVRSIELAGQIVPQELEEIANLDDHFKMDRMKKKMGYDYARGKDASKILHQ ALKRQTNSKAGLGFDEQEPKKQKKIQQQQQNNPGNLETPVKILPVVTLAQPTKSIGQFRN KWQDFNPYENYDNYAATVAVPGQLERDQVIQHFQEQMRGQFRAGFKSGGVAQTTNKKPVV KFLNDPNNQSNQQQKKQ >CAK56550 pep:novel supercontig:GCA_000165425.1:CT867987:22686:23389:1 gene:GSPATT00027578001 transcript:CAK56550 MFKLNPKKVLIKTPTIYDYQANLPVETRKAIVLHVMNKNPKLRIKGGNSMTNPYKIPYEL AVADQIEQMEKYKNSILWSPRMTPKKFTFTFIYPMGFALVLLWYIHNVAIPRRMVEMKRK YGYVYPELESKGWLDGWWDYEQEETEQIFDIINMEFKKTEDKVRNKQYTDFTISDLQNFG NKPTYENSEQTANITKSGKQIMQTKEKQKIQSQFEKILQLQTKPSQ >CAK56551 pep:novel supercontig:GCA_000165425.1:CT867987:23511:25043:1 gene:GSPATT00027579001 transcript:CAK56551 MSYQIEKCQIHNLDKLYINLEKNTQAFMKTECLQCIKKESISVEEILMKFKQMISMQSKV IQNQQIMVVLQKIVQSSDLALHSFQQMHKTIQDQHEKWQKIHSQFEQIQKLSKSTYYNQE ELSQIVELTLNSNLTNVPSFAIAQLDNFQKLTIKLQQINEILHSNPQIAKVIPQQAAKQE EQQLLQPVQKGGTDQKKDFEQLSQFQTNITVYAIAFSFDNSKIVIGGGNYNQIQNKNLMV LNLSGDSKLQSETILYGHSKRVTAICYSKNEHFFVSGSLDSTLRLWRYEIKNQNWDCIKQ LNKHTSTVLGLAISNYDNLIISCGHDSKIFIWKNSNQIWQESQTISEHIAAVNSISLSDD NHLLASGSEDTKVYIWKNENNIFSKLSQIDVPSSSFIYSVHFIKYHSLIVGTFGGNISIW QINEDNNQLCYENNPNFGYIKKIVYNQNQSLMITKCEHRTIMWKIINNEKIEQIKDQEGE YLGIGLSQGPSIIAMFNCEKKALELLQCNV >CAK56552 pep:novel supercontig:GCA_000165425.1:CT867987:25198:27013:-1 gene:GSPATT00027580001 transcript:CAK56552 MYNFQDQQLLYGLELAKLRYSKEEERARNKKAHFFQQQPQHFNQYEHPSSIFTRSSLDSI PLAQPGMPVIPIPFTMMPWPMESQIQMGFDFQKSKQQQEIRQQRIENIMNLGKPKPIQKP GDKILYPHDPQYFNNSRLSSLGSSQLSQYPQQYQQQYQQQQQQYQQQQQQYQQYQQQQLQ KQQQQKQEIDKLLISNQYDKHIPYKKQEQLNDKQLKEEFKSSLHKSKLSSSLMKSSSHSS QQNFLKKAKKKLPVSTKKFRIFKASIIFISYYIKTLNSEIEKKLKICREKDAQIPNILDY LLGQYEGALEQQMIEFLNNKVDFKVEIGKDKENQAKYDKVFAFIIRFLTQAQTVKADDIS PLFFDFLRSICIPNQFPPLDYFIQYEVERIRFNTIGQIGKIGESIQLMIIEGVILLRILV NQMIFKAWEYHPSQSDQDVLFFVHRNALIVGSILVHIYLERYANEIPLKFDWKKVNWNKI NILPQIFDDEPDGKKAVIKNLLPIQIISPYLKYLAAQTKKNNEIQDLLNKIMQGYLKELN KQTEIFLRDKEEKPRMINLIMNTIYREIYVSKKPRRYDSD >CAK56553 pep:novel supercontig:GCA_000165425.1:CT867987:27038:28666:-1 gene:GSPATT00027581001 transcript:CAK56553 MSSPQEGIDVIKDQDAFRSCLQILGNLLKENLDLLETFAEMFRKMQSINEFFDLYEALHY ELKIRCEETLSIIGQLNNPQILGKSNEIPNIITNDQKPSIQEYNIIDTQIYDLVMDINMS SSWKLLVNNNELKGARVAVLGLYDKGKTHILNQLTNQNLPTGSKITTKGISFKQCIVDKN NSFVLIDTAGSYAPENLKNLKEKQQIETAILEISFELTDYFICVVNDFTSIEVRYLDQLA RKLSTSLKQFKEIIVIHNLKDITNPNLIQHVWQVQIEKILEGNIQKSTVEAMNPFTNQLE EKSVLWFNTETSRHICIVNDNSDLGKSINPWTYSLLKYWLKLVVVPHQKKTLKYDDIVEV CELKISTLLKKQIKLTIQDTHLPNEKRIVKQQATSPKFTQNISTAKLMSTPVDIDNEEGE EYEPQIDIIQGTQYIILIDLPGVSLEEVEIYRQNVSTIVRGHKKISMYTNKATYIKQERK SGPFDLRFKIPDEYDPKWRYYGMNNGVLGIVYNLDSEDSILPKKNQF >CAK56554 pep:novel supercontig:GCA_000165425.1:CT867987:28816:29969:1 gene:GSPATT00027582001 transcript:CAK56554 MSVPSRNSKRNHTTSINQNLPGPASYEPKIEVSHTNAPKWTMSGTYSREIPIIKNLPGPG QYDPRLPSSQRKTLIYGKAYQKDKESQQKPGPGAYKVEISQLSRIGFKIGSEKRYKEEKK LSPGPGDYQVEVKNHVQSYIIGQQTRSGKIYSNHVGPGQYEIPSLLKTDGGFKMVPRREK TLSMITPGPDAYEQLRQERVKSFKIGTSKREGIFQKSVSPGPGNYEVQAIKESVAYSIGK KQRQDTKLDALPGPGSYQPIKPSTAPKYSIYQRYREKTEASGPGPQSYTIGEFRETKSII FCQAKRDSYNRIQIPGPGEYETELKIKKPQVSIVFGSEKRSQSCSENTKMPGPGAYDIAS TFYVKKK >CAK56555 pep:novel supercontig:GCA_000165425.1:CT867987:30170:30917:1 gene:GSPATT00027583001 transcript:CAK56555 MGSVCQKGDNIVNWPCKSNPRGSNPRTTFTIIPEEDSVIYSQQDRSVFTASQFYQNPDSQ RQTVMLGNAIAVDNKLQILNVYISKLLSSHSIKLTNTRSNSYEVSLTNLNPELGCYCEWH LESDKLPIRSGDKIDLIHILTGRYLMATEMDEEGIVYCGQGSSRCWTIEFDDNILKDGAI FELKHNEITKYLSFINKKASLSHNRQVCLNDNEGKNNYWKLVLIQ >CAK56556 pep:novel supercontig:GCA_000165425.1:CT867987:30942:32474:1 gene:GSPATT00027584001 transcript:CAK56556 MIIRQAQLFLRWVPQPKYYHGGLKDQDRIFTNVYRDGDPYIQGALKRGDWHRTKDILTMG QDWIIDEIKKSGLRGRGGAGFPSGLKYLIHAKSQSRWKAFLFGTCKDREILRHDPHKLVE GALCVGFAMRARAAYIYCRGEFWVEANALQQAVDEAYKKGFLGKNACGSGYDFDVYVHSG AGAYICGEETGLIESLEGKPGKPRLKPPFPANAGLWGCPTTFQFVATILRRGANWFASFG RPNNRGTKLFCISGHVNNPCTVEEEMSIPLKELIERHCGGVRGGWDNLKCIIPGGSSVPM LPKETCETVLMDFDALRDVRSGLGTAAVIVIDKSTDIIDAILRLSKFYKHESCGQCTPCR EGTSWLVDLLERMKVGNADFAEIDQLEELTYQIEGHTICALGDAAAWPVQGLIRTYREEI EERIEDYHAKHPVKARQLRSNPQSSSH >CAK56557 pep:novel supercontig:GCA_000165425.1:CT867987:32851:33603:1 gene:GSPATT00027585001 transcript:CAK56557 MLLCDTSSLLSQMFEQSQLFNFFERAPKLLVKSTIYLFSYFYMSNQQESSFAYPIRLLRI MRVYNYFLFELLQLDELRLCVSIKPRVLSTTLIYSYQKTKINHLQSVNNINYKFSLRILS SNYIYPNLLSQIKENLSLQQNIPFIIYLLQFFVHANEIQLSNAQILIGLLLNCLCDYYYI IRFKLIIHCKFLQSILNYYSMISLPNILISKSFNLLSTYIFKSFHVGLCKILLRLFIQDS KNTAEPGIME >CAK56558 pep:novel supercontig:GCA_000165425.1:CT867987:33787:34968:-1 gene:GSPATT00027586001 transcript:CAK56558 MNFKSTVIGAGPAGIITVCQLLKNNMTPIAWVDPQFNCGALNQFNHIPSNTKIQLFLNTL KRMGWIEDHKEVEDPAEVFKNFDPNTTCELGFSYEMFKKVMVILDQTCKGLLAKMESVVE KIENLGHTNKITLKNNCQFESEYVFHCTGSKRNTIETVDASLYKLYPTLKEIDLYAAMSP EDVHQHITNEDTVCIFGNSHSGILAAMNLYNSPNRPKHIYIFQRRPIIVAEYLPNGKIMN DSTGLKGRVAEWAKNILIGEKPKCISEIDSAEYKNYMPLCTKVIVATGFQRNTLPIISIN DMELIDNKINYDDENMTLVYGGREISNNYGFGIAFPEKVLDANGSYQHAVGFYKFMLTIT KVITKLQS >CAK56559 pep:novel supercontig:GCA_000165425.1:CT867987:35679:37204:-1 gene:GSPATT00027587001 transcript:CAK56559 MQETEQSEKNMIGSLLDEDESECSEFRSSITKSQQHSQSVNNSLYQTIQPAKSFKDVKES PLVLDDPGQQEFPSNDPNNFYFTVQPAFIQQRQHSMSYEFHQYMVSQSSIYYPYQDGYFS QKRTKRMQSSTDSEQTIVNLPFLLQSQQCQDQYASLIIQQSFIQGNDFQRDKIFKALIDD LPLLSKHKFGNYVIQKIIENSNQNLRTLIFEQLHPYLIDMCYDKFGCRVIQKLLEFIQNH QKIQLIQSIKSQVLNLIFDQCGNHVIQKIIDLASEAEFIIDIVTNNVDHIVSHPYGCRIA QKCLEIFPNQKLQQLYISLIPLCERLQFCQYGNYIVQHMITQGPPKGFEVIGKFVKARIL EVSQDKYASNVAQKYITLASDEDIASICKILMNNCVPPMLLILINNQFGNYVMQNFYSKC NDKQKEIIQIQINKYEEHQFTQFGRHFLQFLARCQSC >CAK56560 pep:novel supercontig:GCA_000165425.1:CT867987:37254:37925:-1 gene:GSPATT00027588001 transcript:CAK56560 MYNKHHISTKNLDGPKLDTTQVRRKSCVCDHCGLTALKEDRLPNQLCEIAHHKKARLEEV FLSKLDGTKKYMRNDQKRKNFRIFARNPLFILRSKPDLDESMHQQTSPTKTNTPEQQRMK TEHPQIVQSRTHKYVPSSNCFLQNIKQQVLRQEKTINRLLRDRKAVQSLQFSPSGTIPIN KSHQKNKKIYLKQLKPLPKQQQLQSKFKCLIREFFNSSKAKQC >CAK56561 pep:novel supercontig:GCA_000165425.1:CT867987:37939:39745:1 gene:GSPATT00027589001 transcript:CAK56561 MDQSVVALKAEVKEYQMSKIDSNVILFILLVSKRDGQQWTLEKRYSEFDDLNTNLKKVFT NLPPLPGKTLFKLKEHVDIEKRRVGLDYYIKELLKRPDVFNSEQMKQFLQLEKHAQEQVV NPPKMLGEITGFIHGLRDFYIERSQNVIFVLSSDMNVASRFDAYLTNMKMPWEKEAPPTL LAVGCLECWVKTNDENEFKYERMWNKTYPSQAICLYWDAVTSTLIVGLDEGKLNLLKVPQ ESQFIRYDEQADIKAHQGRVMGVFYDSINSHIHSVSEDKKYKVLDFQRQITVAEIQPSQH GLTGLAADKDNRRIFVSDRGGYVHVFEITSATMGPSLAVSVASQTLSPIRGIFFDPVKNY LFTAGFDQGEIGIFEVGKSGREKFTKQTASLKDKPQVREIVWSPSRGECMVGNKDGTVTV WSGKKAAPIFVIKAHNTDITKLQWYEDKHMLVTSGKEKSLKFWQLPMEWRDKKIEQQEAY EYEQWKKQENIKISIESTKKKEFDSDEDDLKGWHKM >CAK56562 pep:novel supercontig:GCA_000165425.1:CT867987:39915:40797:1 gene:GSPATT00027590001 transcript:CAK56562 MLQVYLVRYDCLKIQQLIPSRIFCVIFYTICIIDLLLLLFSCSFFIIPYQQQEFEQQYII EKETSDWELDLNQQDFKKFIIEGSLNQERFKFNQVHRDPYLLLNSTTLFQKTGFHEYFGY INYQIAKLTKSKKLCLQLMYNQRFKQQMIQELPQCSNNIGVSIIPWFWKGDSIQYLAEIC LKVSIEDNQLQYQGGCYNNGFIYKELGKDNLQTFENLSIYIRHVNDPYISGVEYSNNYEK PKQDNHNQFPKFIYYCFLFGCAGIIYLIFIYLILTLRRNSEKTQFV >CAK56563 pep:novel supercontig:GCA_000165425.1:CT867987:40841:42051:-1 gene:GSPATT00027591001 transcript:CAK56563 MKKLILFTLIFAIAHSLGFPSFYHRSSDIHVEIAQTLQKCDGVELEMVRDYPKLSMVTLN KNQNKPNKGFVLFGEHARELISPETGLHFVKKLCSENEEMKSIKDNYELRMIVNLNPLSR EKVESGDFCKRENENGVDLNRNYKSHWSKEHDPEMVRTAPGPFAFSEAETQTVRDELKKF SPHVFFSIHSGTLALFTPYAYSKEQPSENLSNMMQILTEVREKHCETCKMGSCGHEIGYL SPGTSMDYAYDDLKADYLQFIIFRFPIHSHLKSITEAQMLEPKSMPSNFQKSNPPITNIK SQKKINQINYQETIPVLPPPPQMPPDECFKYFNPEEKEQYDFYVENWSKAITLSLNRVAE QRQTQQQA >CAK56564 pep:novel supercontig:GCA_000165425.1:CT867987:42086:45074:1 gene:GSPATT00027592001 transcript:CAK56564 MSYISFKVIAETRYLQNVRICGNHVLLGDWDPNRSMMLSTTNSTYPEWTHESKIQIDPTV RLEFKCLIQDGDNFIWEEVPNRLHKCDFRRNFLIITFNKEEMNVRTFQKYEISHEFVQED LMNVQRLSRSRDYDPFNNDLDSSDNESLPELVKKITGITQISIRQLESDWRDIIRTHFEG KQKQKSISKFRKISEQYGSPDIVFDYKRKRSQSSSQIFTDSFVICLTLKIPIQITTIDQQ IQVRTKKDILNKYKFTSTQDPYYNNMYDLFSNRLSLKAIWIGWLGITIPDEIEFNLIQQY MYAFPIKFSYDQYKCYGIQLDESKLSCFSQFIEPVFSNLTPHLKFESEDDYMTINQIFAR FVQDAMFCTLFNNQFTHLHSIFIFDYQLFMIPIFLKEGLIKKNEYRPRICTVMRRSFPNP KQFRTFTFSQIMLSSLLMSDLISLVELKDLHNFIQCIPFQYQKLTQVAGMTAFTIEVLGR KIILDYGNVGLDEKQLNNLISTEDCINEDSITLLGVDSISVQSGLNLKFQIVEQLHSSGL HQIQLIQILYKNNEDDFENNTSLLLLHEELTNLAQSINNKYQKPLILLIQDASQQDRIRL YNKADVLIKTSLRDVISSTHLEYIYVRQSQKQRAKIILSQWCKSKVEHQKINPFNIKMSA QIISDYIKNDLPSIINVPSSNDWLHRLNDHLSYCEDYWLDKQLYDSAKELTVGLKDRQFC SIETTKIISRFNNNQQHDNQRVIILAYTESFKQKIHKIDQLVQALEQLAQDENNTLILIS DQECELLELTFGSINNLYMIAQDGLFIKQNNQTTFQQIIDKDEMVEAKLQQLSYQEHFIL SQKNLIYTMSFQEKIQDSNAAANILISNLIKELRLELDDFIITQDNYSIKIKHQYQQIEE LLKIIIVNEVDKKGLVSFASLISFDRQWLEKIIQIFQFANMPLNKVSMSFL >CAK56565 pep:novel supercontig:GCA_000165425.1:CT867987:45221:46789:-1 gene:GSPATT00027593001 transcript:CAK56565 MYSLQKLVPNNKIQIKPGAFSQHQKLNQSVDGVLQIQQKSQQQLQTQIQIVNKKEPSKQL NNKQELLQILGNAQNNQRQTKSISPTTTPQKQRSNRKLNFNQITEQDALFLNSSNAMANM IIENILNKDEYYQKILKDNQDLKDQLTKLQLTLNELKKKYQSSELTNKDLHQNLQRERQL YQNQLLHINEQIQSMKPLKQNLQMEQKKSESLTKQLQDQIAINNGLKSFICDNCLLCIEF LSFFQKIVLHFQPQVTQAYESLIQISKHSTAFILEFISKTQNLNLPALRNCVLPEEFDVN GFFQTVESLKLNESLEISFRNKINNVTKHSIQRTNNTPPPPEPLKPIRNASASLHEQYHS FLDASGPLSITQANPYFTELDTIEKQRVLNKEKQRNQLALQLNAANRMNQEICENSNYFQ IVQESNENETIYMDQHVFREQDKQSKRNKENQQQNCQYVIAKYDYKAQKDVDLSFKKGDQ IKLLKKTTNGWWYGEDKNQVRGYFPHNFFQSVG >CAK56566 pep:novel supercontig:GCA_000165425.1:CT867987:46851:49117:-1 gene:GSPATT00027594001 transcript:CAK56566 MQYIDQGSAISTKSVSGRRLQKKNSLFYQQSVLENQEFTQRYEKNIDELKIFKDDHQLQP YKQHFSIRNARFFEQLEQIVKVESSLKDFAKGYEKYGFVVSESGILYKEWAPGAKEVYLT GDFNNWDKTQYSLTSDTFGNWEIFLPRNEDGTYVIAHGSRVKTYIKNAKDEYVYKIPAWI RATWQNEENKMFDGVFHNPENKYEFKHGRPVKPKSLKIYEVHIGMAGIEPRVHTFKEFTQ QVLPRVVKLGYNVIQIMAIQEHAYYGSFGYHVTNFFAVSSRFGSPDDLKELIDTAHQYGI HVLMDLVHSHASSNVQDGINQWDGTEYQYFHAGTKGNHDLWDSKVFDYSKWEVMRFLLSN LSWWINEYQFDGFRFDGITSMLYVHHGNGQGYTGGLHEYFNEQADLDSLVYLMLANDLIH EINPDAITIAEEVSGYPSLCRTIKEGGIGFDYRMAMAVPDKWIKLLKEIKDDDWDMEDIT NTLTNRRHLENCICYAECHDQALVGDKTLSMWLFDKEIYTQMSTLTPETLVTFRGMALHK MIRLITFALGGEGYLTFMGNEFGHPEWIDFPREGNGWSYHHATRRWDLADNQTLRFSKLL QFDIEMLSLESKNPWLPDGQQWVTEKHNDMKVIIFERGSLLFVFNFHPSQSYEHFKVGTK FNGDHFIVLDTDDVRFGGHSRVSPQYDKPFTLVREGWQGRPNHIQIYLPNRCAIVFKSNE >CAK56567 pep:novel supercontig:GCA_000165425.1:CT867987:49257:50337:1 gene:GSPATT00027595001 transcript:CAK56567 MAGEKISGQGKRKCQPRCQEHQFNLNPKNQKCWFKSPTNIDFCPLYNDALACFENIVPVF NQDEQQCLPGFILEVDRNDYLRSMQKYKEDHQRKIQEYTMKKTKLQIPKPGRKHRYCGVC RKPYQDYLEHIKSSDHINCFNRHDFVHVILKIIDEDYKSRDENKNQNDSSTYPAKVVMPK KRGPKPKVQVEQGEPKKRGRKPLEPQPAKRIKTQQMREVMIPVRQQQPHPPPPPQPFFPQ PYYFQYHPLNQMIQYGALQIPPQFRVGFPFQMPVVSDMQMEMKCEDMNGKIEDRPQFD >CAK56568 pep:novel supercontig:GCA_000165425.1:CT867987:50387:53232:1 gene:GSPATT00027596001 transcript:CAK56568 MFHKNNQSAVYSNSSILHRKQLYLSTETFIWMNFVNILTGILQNTKTHLIFEPNAIYLAG HDEDQLRVAQFKIEYIAFQEFKMIYVMKDQRTIYSYKPYTSIFYSKNYKDLYSKYRGTCL FVKDKLIKEQKQLQDQTGYQKDFDFEKYESFVIAWVPKKKEQKISKLFQTWIAEYNQSNT FTPKLELRPTMNKEYFNDADPLQQIAMTYIDFILFYSENDVEQFQLQNKILIKLVDDGRK IQFKIYSSNLLYCQQAQQNINDFLNQQSLEIFNMELFDLCEDFDKFLFDLEGILKKKCNL PVRLYKLDSILSLVKEIDRKFDTQIQNYVYSLRFKQCLFYSKQRENTQASKVIKDIVELR LKFNQIMKKKQLEMSYLSQSFQSNKSSLLNTQQCKSSILPQIIKDKEQLIPQEYREQSIH PDSTSKYQYDKNSSSFSFEQKSRVTKENIQQIQKGTMNDQFQKKSDYSNGGTYNSMESLK KNQNSFDSIDNSDTNELQEFCNDLNLKSQVQNKKNKIFEEEKVSQMISIHHISHQFVRQI LQIGFDQNSIVYKLKDQMVLHLKDWEQSLRDFLINQDIQINDLEFIVADDQITINTKLNY QYVSAICDQFFSGTTCFVVRLNQNSLDFRDELKDSYEIESKQTQYQQIGLITKKQYEQLQ VDEKGKYLAMEIQNYMYFEKNIQQRINQFINKTELDEPQPISELGKMIIVLSQSQLNYLE ENYQEPLCNRLIKFGKYLSNAFYFVEIENNKKQFYEEAQDQLKKIGYEMVEDYCNRKKQK YKNQQIIQLKQNSWKLCIYSNINKFYTKLINDLLEIKLNEKEYLQIFQGGLKLSELQIDL GKFQVKHEKDIGILEQILLGEQNCNYYNLIDQDKCASQKIDQFTPGNYLTIVVRSDQLPI ENTNHLQPDTTLIRGYYEVQGNHIKQQQQNC >CAK56569 pep:novel supercontig:GCA_000165425.1:CT867987:53816:54369:1 gene:GSPATT00027597001 transcript:CAK56569 MSGSDSELEMVPFEVAKQAEVQRKRQVAEQKTQANMKKQQQKEQIKQKIKNDKEIQLKAK QKNLFEEEPPEQSSSTQSHFTNNKIDESFQRNLNEKLSEKVIKSIKMPQIQQATTIPIEI KSKKIIKRFNEKVTIETLGRDINHKQNSQQSLNFLKQHLVDKQQRVPISKIIPKKI >CAK56570 pep:novel supercontig:GCA_000165425.1:CT867987:55330:56076:-1 gene:GSPATT00027598001 transcript:CAK56570 MHQYKEESYYPQNSFYNENDERILYDQEFEQNNNDLSQQNENFEFNSFNEQFQGQTNIER SIKRLEFDSDQGQDQENSPQSQKSTDNIGNKTNIKKNRSKKPQEEFKQGPIVGRSEKKEQ TKQSETKNLPKLYAKIILNQLESLSLKNPKQNPQIKKKISKFAKKSPSLKTLREMLQDPL INKISIEYLTSFEFFDQLLGSERLQDVGPPIKYLNKFYQGCLNSDALNQWKES >CAK56571 pep:novel supercontig:GCA_000165425.1:CT867987:56621:56954:-1 gene:GSPATT00027599001 transcript:CAK56571 MLPIFNTSKSTSRKLRYRQIACLLLNHIKTNYKNIFEQNQNFRRLLDKKTLNNLQKLKED IQAKQLALQYFSSFQLISDILTSQFEADCLADIQNLCNYLSI >CAK56572 pep:novel supercontig:GCA_000165425.1:CT867987:57633:59333:-1 gene:GSPATT00027600001 transcript:CAK56572 MYFYLQNECNNKEFWQQIGNRLTKLLKENKNFNNSFCLAQLAYIFGQLRRKNYLQNKNDI IVYQQCSNFLIHRLPKFLKDQTPQNITHFIALINQYEIKPEYVDKVVDTLLTQQDFSTFS IFDIQFLLWSLVSGSNKQLVQFSIQLLENKQIVDRIKSDFHKLKEDQQAKVLIALGQFRF NDKECIEQIVKDLKIYSTSSLLSLLSKYLKSPMNQILYQYQIVIALKEQFDEYFQMEDKD LQKSILGIKTLLYIPSQINSEVRQKFLQPIKQYERFILNNIEHFTNESLIDIINMASLFE SEKLILEKVKNKIQNFHMILEDSVSFLYCIEKYSHQFHQQINDCLTALINIHAHEIQTLN ISKHLQFVIALQLLEDQFPIAKVLQAYYDDKKIQIKLIETIKKDQMEKFISNFQFQRQFK YFQGFMENNFEYNLFLFEKQGLLKYIKESRVGCYLIDYRIWDINIEKLDIQELKQMQKSN DLQTEFLEVKQNKYDDATIDRKDEIGNTFIEIDGSCHFDIRLDVVTQTVYKKKILNKYQI NLKSIPLNENRGISNSLQAILDIIGK >CAK56573 pep:novel supercontig:GCA_000165425.1:CT867987:59579:61349:-1 gene:GSPATT00027601001 transcript:CAK56573 MSTSPTGVQRYDNSPYVTKKQYPQYPIPQQYQQSTQQLNQPDYQDDRYREFEEREEQYNY QIQKMNDYIQQLQHDLDVSEHAKQGFEADYQKVAYELQMYKDQLKKLYTIYQQQTAQFET IKKKTQESNLNAEKTYEKQFEIKNDVQNLILDRDLLLNKLELGDKQYNFDVQSLTQQLND KNAEIQDQRKRINELTELKRKADEEIASLRFTLDHQTARLEAELREKVLKLEALDKQFAE ALYLKDNELVDAFDKIRILEHEVRRILDLNRSYAQELSMLARDNRFKQEEIAKVREDAKP AMPQQISVQSGGMEPRMPPAWAEKFHIKIDACLDLFERNHAKSLKQLCLNLWKAKMELKA RNKQNKAMVEENSNLGKSVVVSGGTDMRVTTYFIDSFVAAITFARDAISNVVFDIFVKSV NKANNKKLNSFVLFFESLKEMQQKSSKGLWLALETYAKLRKALRHSVPILIDAKVQNGLL QKVELAMGKLLKHDMDFRNNINKWLEGTLAFTVQKKEAEAYDKNKDYLFLFRLLTVKYRI KFPLQGKTFEVDVDIETTLEHKICYALRKVVKQQ >CAK56574 pep:novel supercontig:GCA_000165425.1:CT867987:61400:62967:1 gene:GSPATT00027602001 transcript:CAK56574 MKFVLLAIFLLLVLAGEDYYQLLGLKKGASEAEIKKAFKKQSLKYHPDKNKGNEEKKQFQ KIVNAYETLKDPEKRKIYDQYGEEGVNKHEQQQQQGGGGTYQNFGGGFEEMFSQFFRGGS GGGGGGRQQFHFNFGNQGGGGFGNQGGGFGNQDFYEEEGQRKKENLFENTDVYTLDMSNL SRFYRREEVWLIYFYLPNGEGQKHKKLIIELAEKYAGIFRVAAIDCEDDEALCEDEFSVK RYPSVSIYASRLSAEPIKYKGKWELNELAKEAVDLMEDFVIVLSGFNFQEYIEKSKPKVI LFTNKKSTPPLFKALSKEFKGKLEFAMIRQSERQLSQIFKITEYPSLIVAQNDQDFKLFE SEFKKDQIVKFLRQFAYGQKKEPQQKVIQQLTGQNYEQCISKGKDLCFLLLIQNELDLEM ISKIPSKYQNENISFYYGSLKQLASKFEPNQTSSYAVIIKPKRQTYAVQNSIETNDIITF IDDVLGGGRTFNKMHSTIRREEL >CAK56575 pep:novel supercontig:GCA_000165425.1:CT867987:63021:64185:1 gene:GSPATT00027603001 transcript:CAK56575 MDNIVQHVDDEYNEGKQDSYQQPEKLSDNLARTVFFDSNNLPQVECYYHKGYYISNMCRA PQCIIPLCPLCIHLHSKEHVNEGTYPVFESLEVLLNQASDHVNNELKKFTNSYYDIKKHV NTFEVHADKTIKKIREIKKRIQDVVEQFFNGLENEVQHKQKKNVNNQERDAKHLLSMIEE RWRSMKQMLNTFQSSDCLTALIPYFTTTFQEDNHVYYKKIGEYINAFPTVSSEVQIDQHR ASELSLFLGSIIRVQHTSIPDFIGIHQLPTTNVTESTKINSLQGSRIKEPLRVTIQEKKT QFESQDHQKLPVQDIRAQRSPPPNAQSIYPYPVHPSMHQYPPQHYLPPQYHRF >CAK56576 pep:novel supercontig:GCA_000165425.1:CT867987:64913:65350:-1 gene:GSPATT00027604001 transcript:CAK56576 MKQVILAILFFGLCINAQVERHTLQGALNLLEQAQNDVSIYDQQEPRLYTWFCSLRDGFA RLFQPITENKLASHYAFFGSVPAVIFMAGFMPMILLVVFSYGMFKFITYEPKKMEFKYSK LPIKDVPKPQNNKLQFPAFGLFSFI >CAK56577 pep:novel supercontig:GCA_000165425.1:CT867987:67484:68933:-1 gene:GSPATT00027605001 transcript:CAK56577 MRIILSLLIFRCTICEVLTNNTTVSHDLQSAYQYLIENENQLILGQWKVNSKHPVYLSIN FDSKNFILQVYLRQNNNQREPYFMRLSYLLNNSSYYFDSVQNRMTWKNVLTQITLQINRN HTPQYCKATLELEIQKPKNLQIYSDFDNACQLTEEKIYLYISHNSMQMLIYLALIIFIQM FQIFNSHHYFNSDPRPNQGGSITMSIILTQDIYMCIFNILLFDTIGLCFYIPCLGSQMIT ILFDLKMKVKLAQTANYDMKEIVFFFVQFLIITFLFLQIQNSYGQILLNLILLPQILFTF FTGKRQRFNSNYIGILFPRLLFSLYFTGYSNNILMLKYNIVIFGTIILIFLIQSLIYYFQ CHYGLFILSNKKFNYLIKQTNDHSKIDCSICLVNLTSPPELILNSEEPVHIQTLNMATQL QLLMSTPCNHIFHPFCLIQWMQINLTCPLCKSSLPQIY >CAK56578 pep:novel supercontig:GCA_000165425.1:CT867987:69069:70654:-1 gene:GSPATT00027606001 transcript:CAK56578 MAQLAQEAEVSQQIQKVLKQSVSKREKKSLIKSIKKSSIAQTGKASSLQTIISVSNSMVG TSTLVFPVLFCQSGIGLGIMIAIIIGLISCRTTQLLIVHNKTDEMDLPDTLQRVLGSKWK TVFNISNVILLWASGIIYMILICNQLYPLIQIICDNIGIESAQLTEITLSKLSYQWIGIG YTLFILPMFFQKKLGIILQLLPYGIISVFSFILFTIYQGIYMLVTDHQGVVDNLKWFSWD VSTMAGTFALALLIQSMVVPIMKNNMIQQNNNRDIAIGFGWTWFVYCMAGTFGAFAVAGA LANNQKQDGKSGSTLLDYYPSDNPLVIVIQVLLFLQLTLVFPVLQFITRSQFFGLIYELE RQPKWQFYAFSLTYAITCLIVQCVEVDLSLIISLCGQENLIIFYRAVIGLFQEYIIPIAL HLTCLYRKKATQVQNQPNYNQIQASVESTEYLVDQVDFTKFSLNDEEDLKCNDHSGLLKR MPKNLRISLYSFILVIGIAIGIGKLIDIFK >CAK56579 pep:novel supercontig:GCA_000165425.1:CT867987:70990:73239:1 gene:GSPATT00027607001 transcript:CAK56579 MGSTFACQGQKKERKKNAEYLFVISKFINICNLSFYYAVDNYELQMLFMIYRDLADRSQN HLICRDTFNTYFKIIGIWGEQIFNKFTHKQEDYMSFEEFLTGLQMYIKCSEDQQIKNLFK LYDLKNQNGIAKTDFLQMLHNYSKEEIKVILNDQLFLEEQQIINAYHRTTKVKPRKGSED FLKMSLQMFRKYSNISENREPIQNDHQNHLQMDVLQSQNSRQSIDQIFTLDSKAQIDGIP MKGFGINLTFQVNGQKIEMQANLNLLIKKYVEMVYKAKNDQPIHLEDFTIFVRQHPNLIT PLYCVFNFDVWGMNNNIPKYKSLPLDIQGELYRISQKSKIKTKFCQLYPNILMEFQKKND VKPTKIICLSGLIIEERVDHVNQKFGFEIFHMNPHYKHKVYHCSDEISFREWQRALSLYF NGEVNKKYSILDKIGEGKYSIVYRCQSKIDKKFYALKVINKMNLPQEEQDIVKHEISITK LLNHSCIINLIDSVENRDQIHIITEIIEDGDLFDYVQNKQYLDESEAAIIFNQLLDALSY IHSIGIVHRDIKPENILMILDKNTVKQIKLIDFGLANHLSKIQKNNEYQNYHCGTCNYQA PEMLQFQEITFSVDVFALGVILYYMLSGYLPFDSDIPLEIIQNTIDGIYNMDDYHWQCVS EEAKDLIVALLHNQPSKRLTVNEAKEHIWIKKQLAKNNK >CAK56580 pep:novel supercontig:GCA_000165425.1:CT867987:73255:73721:-1 gene:GSPATT00027608001 transcript:CAK56580 MELSNRYHIVDHPSMDDPMLDEGYTRKFGAYKPKFQGSLPGYYPFEFKDYIYVILFTLVT CGACLPIWYGLVEGAKANSMQFSIISAVIFFGFYFVQALATYYGGRLKKVVENKMIQDKL IEMEKKEAEKQKLLAAI >CAK56581 pep:novel supercontig:GCA_000165425.1:CT867987:74290:77623:1 gene:GSPATT00027609001 transcript:CAK56581 MSESESKSIKKAQKLQGKLSRSQMLEEKFKMEEQLKEIREQYGNLPEKKAEPKTNTQYRW NYILNEMNEMQQYMTRRLECFKFKTKKLAEQAINKRLKYYEAIKEKERNEEKNQFRQLQK VGKTVQREFWKYVWQIRKQVQQFKDDKIKQETQKQKLGDIVIRLKEVTEEIGQKLSQYQN AQQEDETDLIPIEKFKTLYFELKRRQNAWPGFKKQIQSVQSNIVIDLLKVGQNRYEIAKV ESKDEEVDESILDADKCLKVLKKSKQFPFLFEAEDSLLEQQPFLLNGQLRIYQLVGVHWM ASLHQQQMNGILADEMGLGKTIQTIALLAYLAANKQIWGPHLVIVPTSILMNWEIEFKRW CPAFKIMTYFGSPKERKLKRQGWSQLNSFHVCITSYKIVIQDSKVFKRKKWYYMILDEAQ HIKNFKSQRWQVLLNFNTRSRLLLTGTPLQNDLGEIWSLLHFLMPSIFDSHQDFLQWFMS IEKAISENKTISEEVLRQLHDILRPFVLRRLKKDVEKQLPEKREVIVKCDLSRRQKYLYD EFIQSSGNFEIQGTDFVTMMNKVQQLRKVCNHPELFDQRPVEQPFFFPALKFTYPKRIQI NLKQSPIKLHTTFIKQRFPSQEVLQYYSNLLEQRILHKKASKFGKRWVELENQQVQSRFK SNMSNSYLKIIFYHALLTYNPDLLSCCKDKIKSFLMPTVDYLIEKMQDTLNEFSCVIRKV DALPQEIYFSPYQAQQQDITPKGVDSLFYMIQKQRMLFPNKKLLIYDCGKMNTLVSLIYK LKSQNHKIIIFTQMTKMLDIFEAVLSLSKISYLRLDGSTPVEMRQKIVESFNQLNITCFI SSTRSGGIGLNLTGADTVIFYDTDWNPAMDKQAQDRCHRIGQVRPVTIYRLITNSTIEEN IFLKSLQKRQLDDFVMQSGMFSPEQILKSFQLFDDEKMDQAIKQVEDIDDRQAAQKALIE EQNYQMNGAEEIEEIEKGDNMDWLIPNQLPPIVKYGLKMSDCIVNDNAEIQKLQDEMDEG EEDGVDDEVDDEVEEEEEQSKKEEIEDQQQMNEKKKKSNSKSVDYSDSENHVFCVENQK >CAK56582 pep:novel supercontig:GCA_000165425.1:CT867987:77655:82096:-1 gene:GSPATT00027610001 transcript:CAK56582 MQESRVQLALREIAFIRQSLSSKKKYIPMKDALNNFQRVLEENKKNGVQDIPRAEIQKCL IPAQGQLRSAKFHTSFISFLLKLINLKVINDIQMIEDVLDYFLKIKDIQKEELYYKLIQS FNTFVHQDFINFGNYSFVEKVEFQSHFQCLTLVLYMKNSKIPIIHGPAATSLQRLIELLS DSTQLIIAGQGFDEMNIVLDLDNQQEQNQQQMAQPIDHSKEFQNLHSMLSDLIKLSDNVR PHWYPILVPLDREFGLTSINNFLTSMGKFFIQYKTISELLTDNLILILKKIITTPLLRSQ VVIETYKCLKILVENEQSEVVWTILISQIKQNEHSINKNLAFQTLIYFVQNQVFLNKLVQ SLSNEKNIILEIITTLSNLAKEVQEPSEAEWKRLVELAHPTINLVDNSEVYPSDNGLVCR MLSEFQSRFANSLCVYADQQKIQLGNLIKLQSNDKFSQLIELTWKHNLRAIKYLLLKELD EQTLQNLLIAFQQYINIVGSTQMKSAQSAFIKTICEFCKPMQGEEFAKKHIQINKMVLNI ANCLGNLLECSSWICIFKTFEECENHYLRNRLAKNSSQEEQIKTFDITILFQSLDQLFSQ SPTYGNEHLITVMDAINQITIECLEQQQTMDQKKSNVQFGEQKKYFSLSKLVELIKFNVF RLDVFWELIIAHFISVISSRNTNLVLNAADTLSQIIFYGFEYLTKFYKKNQQQNNQQFIK DKWSNKDSIYQQTLFQPWVDMCTLRLNDIKEIILANILKMIQNNGHEVSNKGWDSILILL LNISSEQTTLFVKQGLGCTEQIINQFLSNLDGKQIFQLFDIIDNFKSNSNEQNINFQICN MLWHLGDYITKNNSNQEQGNLLTNEQLEMNLKEIFQKLSVIALDPIPEIRHSAIHIFSNL LIHLNSQNQYLEWKKILEEIFLELMHKITQVFQDKNQAKELDVSQWEETVKSVYQAFVKL VKKYFLVIEESSPNKDQDIEAIIRQSIPDFIIVFQQSKALLSMEATKQLRELFLYQPIIC LQNFDEILNQISEIFNFPSSDIKYLKTVILHITPDVLELLNDIIKLSKDILIEQVIDTYY KILEFPLIAHMKIDLIQNKIFFEDNLAPKQILVTLSQTLPKQSPKLIPRIIYNVKELIKD PPNDKYKHMLLKRHFSQFNTMMDNNIQHFFQFSELYEYILITMRNNDQYIYYSELLNEDK SIYMMMAENYLSRAKTYLQTNKEDSLKVLQALQQILPDRFLLDKQQGQNKILQQENQSLE LYQIELITEIYRGNPQLSETSEFQQFIQKLHEMSANSQIAINKLCLLSQNQFVIPYLLDA SQKILERGIGDEGHNQKIILDLLNNLQSISIPDNSFLLFQQLSGDFIAYPETSLLQSEFG HLFYLMPQLVQIITHKSEEIRIKVKEILEQISKIILKQQK >CAK56583 pep:novel supercontig:GCA_000165425.1:CT867987:82098:84060:-1 gene:GSPATT00027611001 transcript:CAK56583 MFNNYQVNLERHSGLTKFLSTLNEQQQNELLSVISDIQHLLNKNMEEKEKLLNVVNNMQI QTQNSLDSELLKNAELTKSITQQKHIISKQIEEIAQLNQFVIQRKKERRSLYSSPLQTSN KQMIQQSILPVTSIDYYQKLLEEQCKREDSMLKLWRKLQQQSFEKVKSLQEAVEYYLIDQ NTKYEQNLALQTDIINKLEDQLNKYKVALKLIMNQNYKKPISELLAVAQSLNQENEIQEF DYEQLIETLIDFSHKRDLLYQLQQKETEVVLLKNKATNNEEYDKLNEQYNKLQEEYKIID DQRKELLSLSQQMNDKITLQSNQINSMNEQIAQLTSLFAKSLQDLETVQEENETLKKCQS NFTTRTSEVHSRFSVGLDDKLIKCFNSVCSNYNSQSTRHNKSKNRSMLSQLSKSSYGDGE ELCSLLDQVLNQGFSLKIIESITQLSNTGLRKRLKDLLFTIYNNNNEMDALFSSLGTLMR DLEIPFHDFEIINEGFLSSTCKLERNTKQICELLHHLVNRNNKQQTTKHGAQQIGLLSKV LLQLCQGNDDTIVEHIDKHIEISKQLKDKKNKQLRDLQFSACKMIMDIFKKWKQNYIHIT TILFSIQRGLQQDQEIHIQSYLNELTKSDLGKSIQKELNKLFQLLI >CAK56584 pep:novel supercontig:GCA_000165425.1:CT867987:84092:85004:-1 gene:GSPATT00027612001 transcript:CAK56584 MEKKIVVESLEPSINQEGQLVKKCEGDTDKIQQGSIDKQQQLNNYQEDDCGYNQQLKFHN DDVQVEEVQSYDAEEIGTYLSLLFQEQPGLSDEQVYNNEQYQGTDNVNFDSDQNQQLFSN EGFIVDQTPSEQEHRIDNRQRTLVSTRVPTKFPGETKNLPKCLARRIKDFITSVVKDSED PEIKMILNNPEIKRFLEMKSERISKQKLDLFIQSDIGSIFCKEFFGNCLWSYGVTKEGKT NVETCFRYNIQYFTKTIKKRRLN >CAK56585 pep:novel supercontig:GCA_000165425.1:CT867987:86336:88425:-1 gene:GSPATT00027613001 transcript:CAK56585 MGEKKVDRFVFKMSDMLGEGSYGQVFKGQDEKTKEWVAIKMLKKAVINEDEYLRDGLLNE IKIMGFLQGNNVVKLIDVLETPNNYYIVQEYCDGGDFQHQLKKRKFLPQKEAMQFFVDVL LGMMELVKKGIIHRDLKPANILISKGIYKIADFGFSKAIDNFRKQMIESIVGTPLYQSLQ LLKAEKYTSKSDIWSLGFIFYETLFGQTPWTARSIPELVKNITSQPLKFPQDKNVDPQII DMLKGCLQLQEKDRLGWDQLYRHPCFCQSFSFYTNQAKQLEDKAMFLVQDLRFKVMKDKI DLEKVFNKYDKSGDKSLDMKELTLLLHEIDPKLEREEIEYMFNKIDLDGSNSIELNEFKK WLEENQVQMSMRNQPKSNFSRRGTLEMPKVNPNNSDQPVPEFDNSQTQQQQSTSPTQHSQ QIVNQYQQQQQYQKQYSNQQSLQQQQVSQPIQPSQHYPQQYQQYPPQQQQYYPQQQYQQY QYPPGQQPMTASQSQQNYPIQPQPPYPQMNNSQQYNQGYQQPPAYVPNHQYPTPQNLAQE RAMMTIQKLVIAIEKYNINIFDLFRKYDKSDSQTLDVKEFGVMLRKIDSQLTDQDISQAF WIFDVDRSHEITFKEFQDGIVQHLNQWRAQSSNQNNPGYPYQKY >CAK56586 pep:novel supercontig:GCA_000165425.1:CT867987:88460:90360:-1 gene:GSPATT00027614001 transcript:CAK56586 MFDNRNQQQPTMFGVQQQQQQQVFPPQYQGVDTYAQRSPPKAQGGSLGFQQQPQSSYIQQ TPQQGTQTVYEHKVITTGQVQSPTIHQNPVAFQQVSTIKSKGSYCIDWCVYFIPILFFVA ILCFMSMIIAQQNRDFNQEPINNVLKSFSHNQALTPIADIVAPHTVSSSSMHSHFQHKAV TELCPEGFTISTLGEWPGINSGCICDKQIKKEGFCWARSGCDSIDSQDSKSFQTWNGGRI CQKLTVGWSKLNGNSCSEGYKKCGNVCVPTGGDLTKSCPLSDLTLLSYDPTTDSDPISLN TQVVKIGDKWYRKSYDGIPIVQFQIIPGNSEQNKNSAPCFNDITTTAFESQQSYPLLKKP ATGCDDFGNFQNEAVKIDTLTAKKVHDQNGILSTLHGIPGYLSYEVESDSYTLEGIRRIT VNPTSECSTLSPGDVNQIVEDSEDIYTYRRWFSIIIFWICVVGIVLFVYLYLIRSRNFQS LNVSQIKQPKILILVAVIVAILCIVFGSLYFYNLSGDDGLRNVDSRFKSQLDNECFNDKG IKNAIQQLHNFAYSQYSTIGLWVTWAFWLSIAFLVLLIILIVVQRGSHGNLCINPWQSNW VFGYNEFQ >CAK56587 pep:novel supercontig:GCA_000165425.1:CT867987:90455:91317:-1 gene:GSPATT00027615001 transcript:CAK56587 MDLINCQNPNHDSQKNKYICVDPICQTQQKIGCADCFLEDHVTHQRKTVEQFHDQVKQKL DQFDQIEFQPIANEVQQDLEKQIEKELENCLSCIQYRFTSIKSDLKSMLDGENEKLLDSY NALQENKNQELDSLRNVNDVHSISQEELNDLVKFYQEAPKIQKNYIKASEIFQDEKQKIK LKKQKYLCKLRAIMQGLMKDFNDLMTTKNLQYDDFSEFETPQKSSMSPNKMSSFVESTRS SRRFYMNQSKKLLFGRKNE >CAK56588 pep:novel supercontig:GCA_000165425.1:CT867987:92030:92708:-1 gene:GSPATT00027616001 transcript:CAK56588 MSQPQAKGGKTQQAKPEAKAQAPAKVVKPQETKENVMRKIRIEKLMVHICAGESGDKLTK AAKVLEDLTGQKPVFGKARYTVRSFGIRRNEKISVFCTIRGDQAKDILFRGLRVKEMELK KRNFSESGNFGFGIQEHIDLGLKYDPYTGIFGMDFYVVLSRPGLRVAQRKSRNARLGTAQ RVSKKEAMEWFKQTFEGNVY >CAK56589 pep:novel supercontig:GCA_000165425.1:CT867987:93054:94352:1 gene:GSPATT00027617001 transcript:CAK56589 MGNIQAYINYHTELSFTQDLDDQIHLCEATSHPSLGKIQIWKTKENSSLKLFSFTRHIYH SDSTLLQIHQQRCSLQHPNLLQYYACTQTEPSFCGSVESQNFFFEYQPCTLQKVLDERTG PFPEIDIWKFLEQLVDVLDYLEENQCVHGNISIENIFVNEESTIKILDSFNKQLTDYLLK QDVYDLASVIIELMTKQKFHKQYKETLKSLTDQYSLQLLSILARMLSNNPDKRPRFKDLS VSIKNRATQPIQESQKMEQVQLSFHIKQNLNDTSSTNILVQNNTYTYLLDNTINYYPKQV FPELKFPINQIQFVKKQNHLVGVGQFKVDYQENQSDTVDRNNKPSLHGSQASTINSHHRS FLETYRGNIIATKQPCCLNKKNIQQQNSLDQNIFIQDDLNKTSSTFY >CAK56590 pep:novel supercontig:GCA_000165425.1:CT867987:95251:100012:1 gene:GSPATT00027618001 transcript:CAK56590 MQKLYLLFWPSQSQTKHSDEVYLNISGSLFSWITFQKPLEMINSVQLNILISVLYTFLHS TMFIVFCFSLYNWQIISKVFTFYISYFQLLILYHTEIHHLNQKFDLPITVPLGVQLYINY LIIVYCSRNYFIISQQHMLRRYSFYNYLIVLADFLYFITFSLCSDYVSKYSLLLLSFVYF IDAIIMQPYCIKINLFYIGATSVFLISVVIRIALWQESLYTQFYTISLIVPLCSYVLMKI YSTICDNIFQQKLSISLILHLGEIINHHNQTSIQKGVGDYIIKQFIDVQTLNVQSILQLL EFHLRNLEKTGDLEEIQLYRILLKHEIQGTYLQSLLETKRYQIQNRKHSLFFKTIGFLIS KKYDQQIQDLYSGESKSAQNHHYQIIKIKETDNLFQNYVGLFTSLLEQKIYIWNQLQQGY DKIENTVNDLTKMYEILNKLNYQILSILEPQEMGQILKVQTLKRFNIVELRLLSLYYSFL LNDYQQTKNVEIYIEELIRMENNQITSSLLNLNILNNDQIIISSSILEQTGKMIKSNTEE ISRFFGYDENYKKQNLTLINLYMPKFISQDHDKFIQKFINKGNSTLYNQGKQVFCKDYEG FIFPIMLSFLHINEKSEDFILTTSLQKIKSNQDYILFDQNGKILGISKYAYSILINSQTH NGSTQSFQSAIDQSIYECYIQFWIPNVDTLIQNSNFDHDKGQINQLILELSILANFMQYL YYFKQYQNINPHFSKEASYFQEFLQYFKRQLSNQSYMISVFQYEVQIQMHLLPTGQFYYI CSMSKQQKDVQSMLSFDKEASTYQEELMNKQYHDRAQTPIIQISPKLITELEKSTEMALL TNRVIEKQQLIKEITTDRKDEQVLSNRNSQQIINKHNSSQYERQKSDAIIEFDDQKISQS SRQPKNTNSQVQDQIRDLQQNKGQCVSIKKIWIITTFLYFSIYLLVVVMLSILSLQNQLL QYDIDLVKIPDQFNRLYCSFATIGQMDLERSLLGKDYGPYLSYRNINDAKSIRNEMESMM TNLKNRFSILENENRLQNLTVRIPTQFNYNELVVTIIQFDMIADIYTEELYQHIVQSFND SLINRLSLIDQYYKLQFLKANLINQIISSQNLINEIVVEIQNSQGITMTILYILLSFKLC FVSGGIFCLIQLWKKPFSIIQLQVKLLSYISEEQIKLSISAAKEAKQLIFHPYRWKRTNY LIECYQKTVVQDQQGILTKVQNNMAATKKNKQNLQLLDYQFNYLSIYLKNFMYLILLLSF ILSSFLYMKYGIDSSQQEISLTIQFVQFKQDLDSLVILSQLIKTNSILSGKVRELGFLDL NPELLDPNKYFHTLETELLDQFKIKSEKAQEVNQYIYQSIINSKKISTSDKQILQALYQE DLCSVMAEELPFCNFTNNQFYYFPDYPTPNNGDNNREIFRNGINGIFQKLLVILQSYYQQ ELQGLRNTDQNETEYLLSTPEFKHYIQEYFFDINKAVVKFYDTILGSTIDILQNDLINAQ FYYLIFGISVLVVQGTLQIQNLSKIQKLVQASKFAFILMPQESLTEAKCIAIIKSIIYQY EL >CAK56591 pep:novel supercontig:GCA_000165425.1:CT867987:100426:101675:-1 gene:GSPATT00027619001 transcript:CAK56591 MIKQSTIRFLFLMIYFSNFQNTFIAYYYLNLSLYQEVNQIFFYLIMSILKIICPALSGFI IYHVQFNLNFLFIGQWLTIVSYIYFIANIYQEVEDYLYFAIGFQILGQQLQIIIILTMIS KHFNQNEIPQQQIYYQILGKFQNFINLNVVVDQIVTLNQNKLKYEKNIQLILSMIPFVIL LSLAICIHRSFPIFRQDIYEKIPELQQIPISSKSNMLQAMKDVCKTDVILISAAASAVIS IIQIWEQFKLSLFYYKYNKDTNETTDVWLVVLTFTISEFPIILCINKVKFSFIDCQQTGT QNKKLDGCSLIFNQCYIQFFNLLYSKHTLADLLQQFKRSQIIHFNSNYHNVIIQSNNLIC KFQYYHKRSQAPYQLVFKKQIKGLWDYHLEFYQCLQTSLKSGLFVF >CAK56592 pep:novel supercontig:GCA_000165425.1:CT867987:102224:103113:-1 gene:GSPATT00027620001 transcript:CAK56592 MSIMAIFKNCYEHFRGKFSKQYMPAQSPKMHSKKKVLVLDLDETLVHCEFKENNSFQHEA LLKVNHKGQEYKIYLRTRPHLNQFLIEASRDYEIIIFTAGYEVYCEKVLAFIDNNRLISD YYARGSCQFINGVCFKDLSLLDRPMEDIIFIDNNPNAFDRCQENGLLIPSYLDSDDDDCL LRLIPFLKYLSKKKDLRPIAQHLHQFENNIGTTLFSENQKSLEIQQEAMDEDTLSEGNVV KKDNDITDLDIKHKKTQTHLEVVSKVSNKIRSATLFSG >CAK56593 pep:novel supercontig:GCA_000165425.1:CT867987:103224:105692:-1 gene:GSPATT00027621001 transcript:CAK56593 MHSSSPRGTSKILDNSDIGDERIFSIRTENHKQSLIKKKYQFTVQQIDNDEQIEDINLLF DDRQLKIINDLAFAQIAKIKEKAKRSSISRKMSVMIQKKEQEEKQPSCSYIKVFTQEDRI RIVWDLFTMLVIFLAILILPVDISFNIQSTFLDDFNLFSLAVFTLDIFINFNTAFQHKGQ YVYDRSLIIQNYLKWWFWIDVVSTFPFDLIVEAATSSVEQVDEDELTQEQSQAQKDSFVQ TMKLLRILKFFRLIKIIRLLRVLKLKQLFNKIEDYIDISGSVVTIYQLLKLTFIMLFVAH WLACIWHFIAEQENSSNGYSWLYMLQYLADNQWYIKYVASVYWATATMTTVGYGDIVPVT SVEKLFGIIVMLLACCVFAYIMNSIGGIFVKLDTNEKTIRLRLGQANQFLKSNEIPKDLQ ARVRKYLEYKYETESSQVNEKDALSVLSSTLKDEVLQNVNSSLIKQSALFNSGKFEKEIL SQLPYLLEEQIYGPEECIFLEGIDPIEKENGNNIQDRNLYFLNQGQVLICIQKTITCLKI IEGGATFGELAFFTDKPRSASAYTLNFVYVQILNKKKFFEKLKLNSNQNQIYLMNKHIVE INNDYTPLGQCCFACQSASHFAYECPKLHYAINQERRLEIIKEEQNNQAQHLKLFNKQFI RQNKRIKYNSRANSQLTNIVADEIKFVYNHIRESEDEFQTQLNQSNCDEEEDENPFKISA KGQLPYFSEENNIDRMCNFPLFFPNYNYDKIISSYNQFTKEKVSQKISGNKPQTIKKNRS HRVSRSHSAERAEYFQKLEEKCFAEQ >CAK56594 pep:novel supercontig:GCA_000165425.1:CT867987:106048:106722:1 gene:GSPATT00027622001 transcript:CAK56594 MFETQFSIDQQELETQQSLPILNSLGSSPNIQLRIDSLESILRLNIQDDESSMNELGSQY GIDQGINDSIELYKQAQSLKDVVNTTYHPSILFGKKHYQAYQRLCWNELMGLNNIEELSE ESFGQSQEIRNYVLSTLAQIQSTLINQDKAERDPAGDHIQFIIQESEDLILHEISLSSES NSDAELDNEQKNDVEQKIQNYNSNFNILEKFTAQYKSQSQIDNF >CAK56595 pep:novel supercontig:GCA_000165425.1:CT867987:106847:108495:-1 gene:GSPATT00027623001 transcript:CAK56595 MKYISFQPGHIIFKEGQPANNFYIILNGTVHIYQKKKFLQIEIEQYVEQYYMLDEQQKKN HQREIIDRLNQILTFKSANQINNHISKLRQIRQYKDYLKETGDLYPDQFSDINYFFEQGV FTQNLITKQSQGEAFGELGLILEQPRSATVLAADFVELAQVDASSYKMILQSAQVSSINK RLQFLYEHFLPSDTKQQTATQYAYDFKKIKFTKGRIIYKQNEISDCFYIIYKGEVESYKV NENQSVKISRMGVGNIFGAIEFKTQGKREYTVIVVSEKVTLFLLKYYQFQKMLEETQSLQ ELFENHTLQKTQLLKDQIKRTMSVKLKTEQFEGINSQKSLLQQQHSKHFQSDRLLKKLVS QIDDYKKKGMIPTRTSFIKQQQQLQSSLMNVQDTHLQMKQITYKRAYLMPNDEEDKLKKQ QKQSIILRSMFNDKDTSQVDRKQIISYQLMKSHSKTQSKLEQLRADTEVSAFSISKTDCS LGNLDKRKNSSLSPSKKIVVSTKHNLFRSRPNKFFLFCQNYLNRTRNCKVME >CAK56596 pep:novel supercontig:GCA_000165425.1:CT867987:109610:112609:-1 gene:GSPATT00027625001 transcript:CAK56596 MKIAVLLLILSTLGIFAKDVTKIHDWIQKNIQSLEKYIQETDMSSDMLLGIQRNDQAKNY QNWIFSTNHKQHIELTDGQVKKTSAFGPESEYFTETTQNLVFLGTQIKDKEWIKIQMSKG NEDTQRIDMFSQDNYQGVSLLNSNIEGILNRLLIVEKKNEIISSYEEHGKSIIQISNLSE GQALFYVLYSQNSEVSDIEKLFNYIVKNVIDLDKETEIKADEQPVDKDNGEEESDPNEVE KENKKEGEEDTEGEEKGDKQEEEVHDQEVPEEDCPGGEEDDTVKNKVDVDPTQQLPPQED HKNVKIQTNNVNSDETVEVVEESEEEEVEIVPQKTTKVGGKTSLPPKKNQEEDEKSEPEP PSEEYQNCAGEELDTVKNKRDLNANVESKPDKVFQAKEVSIKETIQEEEGEGEGNNGGEG ANGGDGNSEEKGTTEGEGKSEEHGTTEGEGQSEDHGTQEGEGKSDEHGTTEGEGQSEDHG TQEGEGKSEDHGTTEGEGQSEDHGSQEGEVKSDEHGTTEGEGQSEDHGTTEGEGKSEDHG TTEGEGQSQDHGTTEGEGQSEDHGTQEGEVKSDEHGTTEGEGQSEDHGTQEGEGKSEDHG TTEGEGQSEDHGTTEGQGQSEDHGTQEGEVKSDEHGTTEGEGQSEDHGTQEGEVKSEDHG TTEGEGQSEDHGTQEGEVKSDEHGTTEGEGQSEDHGTTEGEGKSEDHGTTEGEGQSQDHG TTEGEGQSEDHGTQEGEVKSDEHGTTEGEGQSEDHGTTEGEGQSEDHGTTEGEVKSEDHG TTEGEGQSEDHGTQEGEVKSDEHGTTEGEGQSEDHGTQEGEGKAEDHGTTEGEGQSEDHS TSEGEVRSDEHGTNDVKEDTDNQKKHPENETEEPCVILYSECHYTGDELKLCGAHPVIPN DMKNFKVKSIKVPEGVQVTFFNKPNFDDEKLHTKTEMECLETPLRLNLLELMNNLRMSKQ VNINSISVTK >CAK56597 pep:novel supercontig:GCA_000165425.1:CT867987:112844:113441:-1 gene:GSPATT00027626001 transcript:CAK56597 MTFIVKTRKVLLNKVLNRRQLSVELLHQIKPTPSQESVVKELASKYKADERNVVVYGLRT TFGGNRTTGFALIYDTQQYLLKFEPKFRLRRRGIIPKRDGSRKGWKEVKSKLKKTRGAEK TKIYMSRKTDKREVIRAQKETYLKGFVGK >CAK56598 pep:novel supercontig:GCA_000165425.1:CT867987:113816:114069:1 gene:GSPATT00027627001 transcript:CAK56598 MGSQPNKNMKNQKDIDLKAYVNNQTRPRSIPICPYNPYFFQQASLTQYNVTINNVLFQVV NPPEDNDSITMAENFDEV >CAK56599 pep:novel supercontig:GCA_000165425.1:CT867987:114249:116969:-1 gene:GSPATT00027628001 transcript:CAK56599 MQLNWRLIQFYSKNTMNVTIGLLLYLYDISLIPSLVLLLVNGMILIISLMIIQKRKLIPK IYFDWFMSVTLSAHISYCCFLDKDLTRTAYILLKAQEIQMVKKQSPTKSLVLHFVYNILF VILFIIHKENTILYIVTIYCTVSLYKLKKGGIMQQNQEFELPVQMTKPTQRNSEIINLIQ DSISNSWLIRLQNIPVGIMIVKKDNLQIMFQNQSLLQMFDGIKDITKFLMNELQFKLQIK RKRKQVKETSIKVPKQSPNLHNSIPQFFSCNKMPSISKLPNTLNEILQELQSGNLDQFYS KENNIELLAQLSKSKQPAFHEEDYARSIQCKIFCGQNDLEYLIIMDDISLQSYLQKLETR EKFQVRIIDSFSHELRTPLNSAKLFLDGLLNDPSINEDHKQNFIYPASNALKLQAYLIRD IIDFTQFHSQIIKYKFEEFNFEDIVQEVNDIFRPILQMKCLGLHINVKNQVPPLIYSDFD RIMQILVNLISNSIKFSERGLITLDISCYDTTLTFCVKDQGVGIENDQLQKIQEFLKSFN LNRDFSCHDEWQGLGLLVSQMNLFKLAPLNKTQLRINSNGLGDGTQIIFKIRTTQSSNTQ IVGSTTMKKQTIRTAYTVPDLCMGIQGILIINNPKCDNLLFKKRLQQIPIRHHSSIANYF RPQQSIGLLNQYVVEETDMMHEEDTQKGNLQENLIKLNNTGKSFSIFINNQDLKEKSIKR RKKSSFSISEMDRSSSKRPNTLISRLIQREEQEIEMEEKFENLQFPCLCARILSVDDDLF NQKALQVIIGQMGFRLLIAYNGHQAIELIQSTEKCSESCSLFRFILMDCQMPIMDGWTTT KHLMDLIRDKIIPDIPIIGLTAFNSAEDINRCLEVGMRDVLTKPLNINQLKQVLLKIFN >CAK56600 pep:novel supercontig:GCA_000165425.1:CT867987:117347:118345:-1 gene:GSPATT00027629001 transcript:CAK56600 MSTFEKVIQKQRKLNKQFEFTHNSTESHSNASFFDEERSQDFSDSDISYLDNTEMSSNCT DDSNIPPQSQDNIANFNSMPLQDVAPMKARSANQRIVYLMKGINNNNSQTGKGIAIHVLG QGSIGKLNKKGKKLEKCNKKQIQNLNNQININNNNLQDQQSQQSPSSNFNSNQQSISQQN KQTCQIQKVILAYLMVESDVLKQAQQRAQNFIEKFMPNINDKELQVNIQETYKIMLLPDQ IIQQLAQVLSEEERLLHLNLCLHRLNLKHNKHPLAAKAADKITMNLKQSC >CAK56601 pep:novel supercontig:GCA_000165425.1:CT867987:118386:119736:1 gene:GSPATT00027630001 transcript:CAK56601 MDQNNLHTLFFIDHILKNEQAQKIQQLAKEYSAENAHIRDMAKDQADLFLSQLPISSYGE EKVKRLYFDGCFDLMHSGHFNALRQAKELCETLVVGVIKSDAIAKAKGPPIMTDEERLAL ASGCKWVDEVVIQETYDPTIEQIDRHSCSHVAHGDDLVQTADGKDAYQPFKDAKRMKIFK RTEGISTTDIVGRMLLMTKEGMWEEKKVFQQQTIVEASNINSLEIDQASIEQTLNNKILN TTRRIMQFSNNKKPKSGDKIVYIDGSFDILHQGHVDVLRKAKEMGDFLYVGVYDNETINK IKGRNYPILNLQERVLNLLAIKYVDEVIMGVPYKVNEQLIKNFKIDLVVEGSCSSKTSED PYELPIKLGIYQQIKAVHKQTTDELIERIVGNRLRFLEKYNSRKKKEINFFENHDYKVEE I >CAK56602 pep:novel supercontig:GCA_000165425.1:CT867987:119745:120445:-1 gene:GSPATT00027631001 transcript:CAK56602 MKYILTEEHVDIPEKIEIKANSKKVEVKGPRGILHRNFKHASIDIQKEKSRVNIRMWQSY RKQRCQVNSVAAQIKNMIRGVTSGYKFKMVLAYAHFPIIINLLEKGAGVEIKNFLGEKIV RTIKCLPGVVITRNEAEEKNVLTLTGNDLNNVSLTCALIHQACAVKNKDIRQFLDGIYVS EKRLEV >CAK56603 pep:novel supercontig:GCA_000165425.1:CT867987:120479:125669:-1 gene:GSPATT00027632001 transcript:CAK56603 MDSQVADNDLLAAAKTASSFNDKLEHEVRVAADLEPVPHLGEYPTKLKMDPSRDPPSPNA ASKLEEKIQQMKQVVAEESVFKVGWQDDDKLYNKIIEHLIELDPEEQPDFLLQILKNEMR SNRTRVVQRLKDILAMIMDETIPIPLEKLYEVCIRANMEMEVEEVFLEELNISLDPIRNG EIGEAEQLVEELQELEQLEDEQIQHQEQISVPIEFQIVLRKEPKFKTDNKLNPIETRSVN QVHILNDQEIQDHNKQKIKQYTMRLDDFFISHQGEENVEQPEEQQQEQQEGADQEQQEGD LEQQEGDQGGDQEQIEGDQEQIEGDQEQIEGDQEQIEGDQEQIEGDQEQIEGDQEQIEGD QEQIEGDQEQIEGDQEQIEGDQEQVEDNQQIDEQIATEQEIQFEPGPRELFRNPQIPFDP IEEIIQNGGFIPHEFMNPQHRDDIYVNDHQYIPLTPEEMVYQNKPIIPHEIFNPINEDDK YNTYGNKKINKDPYFHYNQGAQQNSTERQPDVAQSINIVQGDAEMQSINIVLDPVQMQSI NINFDETQAEQYKQQQLYHKYRNQKHFINRDSFHYPNDPQYPNIHTVQDHTRSPYYYQGY YTGEVPPHPYQVYEPPQEEPIQEIVNEEIVQEIINEEIVPPEEANLESPPEEGATEIQPE EGAGDAPPEEGGGGGEAVPEDVAGEAIPEEAVGEAKAEENIEAKGEDGTDGTTPEEGAIE DGNVQQEEATQQEAAPEETLQEGGGGEEIKEAEPVEDQQQEQEQAGNEVPADNAEVTDQV IQEDPLPQEYEPWINWEDVPDEEIEYLISRLIDPRFYDPHHPDYNPQDPRNIVFTSTHLQ RSQVYLQMKNPLFYLPGQGPYAKLKSCNTPEDLLDQITPEDLHSLVNEWKKRTQHYDNIS DAEYRTRHQQQSQQQSSIYRPFQESSTLDQAPIDQQEFQPGEVPKQRKSSDTVPYEFADE DFITPRDIGKFGRKKPFQMQGLDPNDPRSIPNFNPDKSNYFGNNEYVDENPILKVIQGNK MQQEERGDYQQMYPDEFHRPPDFDDDRSYRTGRDGKVYQHNHRGDWHDEDYYDEYDDYYD YYEDRDGDGIPDVFEYEDEYPPYYERRQPYADEYDRRRQSHYGGRRHGDYEGRGYNDYDD YEHFRGHDGYDKGRRNKYGRRGGYDDYNSRGPRRPHGRRYELGDYEDLEQDKIGRELNRS KRDIGKKTKYQKGDDTQPRIEEFHIYHDLDQYSQTRNINDKRSREEKAMQDPKYHPGNIN GVPRIRDTNRDTTRDFDDYSRKGKPEDKDEMESSGLAFANSLKEQGRQKRNKKLSDEKLK QMGLKSPKKQKKNQNQKKKKKNEEGDEQTNNQNQQQNEEQQQQQQQQQEQQEQQQQQQQD QDQQQNKQSQYKVQALAANKQNNVNSSDSLVKPQNKKTTQKEMSKANEIEEPDLKHQARN NAEKSDENKALGKNEEPLLNKNKKAKTLLQISDEDCSHLDSRSKTYTCIQCNTQKSYHLN DDTWYLIGLAQGYKKEFNTVFKLIKELINPQQLYTSFGNNNKICFSSQEKQIINLDKIIT IFQGKKVTNTLIKLPENLLMSYFQLSFSNQEFSDFELEIDDNSIQIDKAGVTVSKINIIW QALQVQLGYQGIIHLNRTERKFDYRQIISEDCTNENLRIYLHFPHDYQFSVQMIKQIFNQ QYIELYEQYLLQQIDQKDRWVVVQFKSRQCEESSEYGISLQQ >CAK56604 pep:novel supercontig:GCA_000165425.1:CT867987:125786:126534:1 gene:GSPATT00027633001 transcript:CAK56604 MKYIILLALLFNLNQCISFYAKEGVEKCFSDEVPSQTIVVIYHELMSEGVAKNEKRDIPK MIKDGITLNVYGPDGNIVKTAKTVEGKNKLSFTAKTMGRYKFCVIKSKQFWSVNEYKYSI KIQQGVDHNLQDAANKTHVESIKDRISALKNRTDDFISLQQLNREQEDKLTTQSIDISRR VTQTTILQIVVLLASGVYQIWSLKKFFKQRFLM >CAK56605 pep:novel supercontig:GCA_000165425.1:CT867987:126559:127011:1 gene:GSPATT00027634001 transcript:CAK56605 MLFNKGLYIHQYCVSHHSNPDPIQITFHFEESLPICPLIKMPSQKIEWLQTKLPSIKTRN SKAEAQTERQKQNKKSCHTRMASQVKSTPTSPFTVIMRTNNLSPNELENTAKTVRYQQFQ QQSYLVNKYLAPRKLGLHRGTNPLNFGSEK >CAK56606 pep:novel supercontig:GCA_000165425.1:CT867987:127355:131391:1 gene:GSPATT00027635001 transcript:CAK56606 MWLPFLIVISEACDVRIDEINIYPTQGENYILPMDTLFSGRNLSYFCQNCPNNLILYNTF ETIVSKDNYGFTTKSIDSNKTHFAVLTYEQTLNIYNNDLDLVSNLQLTDGWTYFNAIYTI NNEVLLNCYNQNVLQFLFQKQNKFVSIQKLTSPTPMKTKMRSFITQKKEALLYAQFYAEY STLTLFIWEDDHYKNTSEWQHQILDFDISDKGFIYILVPNVGIYQAIIDKELKFSVPTMH LSPLQLLTITIGTSVTLNSQLDILIITSFDYGSSVQKYVAWENSIYFFGDFILTYFDFSL YSTLQVQISQYFLIIQQDNYFTVNSAEIIYQSQNRKSMFTFSRNTNDITQIYSYLDPATN RLYEFSNKLTVSTIQNPQLKGIFDLNISKGHFSISAFEIELPFLNRFCRSQITFNLLPKN DTNIYQVYNQKLPKVIMQNSIVQYAVDSFSGPLQKINANISDPKLGKFDDETFELLNVQI DQEFDLVKLFYVTQLSLEGLILVGVQDLTLYIYICQSNYTCMQVYNNIFNQKIHFIEISF YYLSNFTVAINQGSVELILIQANYDEQLFGQNIAFSETVICCQPFEQFLLTYKNLVLLTP DKRIIITTFNQDVIFSLDEEIVNQLFYDQIEKRLEFNPKSIITNQLNLASIFFINNRDNF IIIDIAYNNSLIPISITFVNYEIYSLNLVNEQIVVSNLLEDDMLEFEVYKIYNILKPEFQ KQLPPLQILRGVPIYSDDAHLYVRSQANYMVVYSPALPYHSTQYFRFKFTGNYFSCIDLN YTSYVTFSNKYYLLHVAITEQFISSNVINATYISELTQIFAVSSALNVESKQYFDDTIYI INPLIDIEVDSKNLTYQFQKAEHFRIDLDFLFNFQILKYDLQTNEQDSKQSSVACQLYPY FQGQQNYSFPNFTIVLNVNNEFYLQSNTSILGVQSGVQTQYLYQFQKCMASASYQNKIYT ICISSQITFLIQFEISGANITQLFGYAALPLSCQVIKKMVQFQNLTFVLGQNVISSKYEY IYVYNLYNLSNITVQNVSCKKGIEDFAVQYLYNQTQSEQIIAIFFICDNALYYNLGYLNQ INYTLHLDKDFQNVILKDKKLLILKQTAMLQIIPLALKYNEILLALTTTNATTFIFTMTF SKDLYVKKELQLQTIVQTIPPYGNYTQQYFGLAMKGILLLLFAQQNERVFAVYNYTKIQS NIREPLMMMGGLNQSLPNPQGVAISIVSNKLGGQIVDLTNGSLNIYNFTSSNYLTCQSTQ RAHNDQYYSLSLYNSFSSDTIELIFQYKKEGPTYWIYILLAMVILSVLSFVYVYRQKMKD HRYFFEGEEELTDEFEL >CAK56607 pep:novel supercontig:GCA_000165425.1:CT867987:131808:132726:1 gene:GSPATT00027636001 transcript:CAK56607 MGSCKSCETPQDDKILHALPSDSKRPKSAEIIAPMTGETEEHLLMKMEQNILENGTILFY ILFWIGICRDGFGTQVWPDGAQYEGQWKDDKAQGKGVFKHADGDIYDGEWENDKANGYGI YIHADGSKYEGLWKDDKQHGYGSETWTDGSKYEGTYKYGMKDGTGTYYWPDGKVYQGQWL QNKMTGYGVCHWKDGRCYIGEWLDNNMHGHGKYTTPDGKQYEGDYYFDKKHGFGTFTWPD GKKYSGNWKKGKQHGKGKIIVDKIEKHGMWEDGKRIRWIEDED >CAK56608 pep:novel supercontig:GCA_000165425.1:CT867987:133209:135250:1 gene:GSPATT00027637001 transcript:CAK56608 MSNHRNLKDLFPSKLNQMKPKVQQSETTKQTHKSPLGLSQFTSFFQLAQLSSKNKNKQSQ QRSVTPQKIKIVQLNIQKQDQSQIQIQSKHLRNQSASNINSSRSNSFFKEKQDYLFQNTS SRSPIQTFLKQELKQSKLLINSQLHHQQASNLDLQSKSKDQSPIKPAFYDNLDIINRNTA RKNSQSPNTSIQKISPFINLKQSSSTNIKYPDHQIKQQNSLVKENKPADKPYQLINHEVP QIQIKKQEFQVPSQFKQSKGLDNLFNSMLSIQHISHISRNENSKSIFRQQEKPQSSDDEE ETLIVDCNIQINKYSFQFHYVIGKGGFGKVWRVEMKKSKQYYAMKEMSKAKIIAKRSVNS VMNERNLLAQFKHPFLINMNYCFQDRENLYLVMDLLTGGDLRYHIGKMRRFKEHQTKFFI ACVFLALEFLHNNNIIHRDLKPENLVLDKQGYARLTDLGIARVWKSENSQDTSGTPGYMA PEVMCRQNHTIAVDYFALGIMGYEFMLGRRPYNGRSRQEIRDQILTRQVQIKRSEIPDDW SVEAADFINKLIQRKPANRLGFKGPEEVKNHPWLRNFPWQKLLNKEIESPYIPKQIDDNV EYLNQISEDNDSQDELIKENKLLLKKNSVQNLFSGYSYECNTKYTKSTSSTLFLG >CAK56609 pep:novel supercontig:GCA_000165425.1:CT867987:135290:137047:1 gene:GSPATT00027638001 transcript:CAK56609 MFRRVSNIDKLKQQMKLSQQVKELLQRGEIDIENPFHFEIIIAILSKDEKQRNQNDLNVL ASALSTIKYFHEMLKTTSFDEMLNLYKELQYINLPARRTLFRCGDIGKNFYIILRGSVWV LVGRSGLGDERLEKQEKKPDKKYDKKQEKKHEIGKEFDEGEESDEDQYEDLEDEKMLENK YPNMMKVGKIEQGGSFGEIALTNSVPRQATIVCAENCQFIKLSREAFNKFLSEYYTRIQN KNFLFLKSINIFSDWSDGDVGLIQYHLQNIEYTMNTVIYREGELIKGVYFIVSGVVEIQQ KSKSNHKIQQNQLIHKQQIVLNRYSAGQVFGFLEILQNKKARETKAVCLTEKVKILFLDE DRFKLYCCQGQSQKVLDKMSKQMEYTFEKANFLYQEALKQSNQSLSLNLAESDSYLQRLK TENQPICKTNRSKIVKRSLDILGNISHQSSKILNYCECVSAFNKDNQVKLNLNNPLIQIE PSKRVKMQQIQFQQLYSPGPPPTSRIPQFSSRDSRQTILRQLKLPSLFKSQQSEQDDRIS IRQLDPVI >CAK56610 pep:novel supercontig:GCA_000165425.1:CT867987:137116:138455:-1 gene:GSPATT00027639001 transcript:CAK56610 MREILVLQLGGFGNKMGVKFWEEMYIDSEFESDIDLQEQNKNNLLNSSNILFYNLSEKTP LPRTVQVDLGQDLPYSNTDFNPCNQFSFNYSSGNNFGFVKNNCCNQIVDIIFDRIRQEIE QCDSLQGFQIFASIIGAVLSQMLNDEYSNAITQCNLLVPSVKLNDNCVVSPYNSALAFNQ LIDSAEQLIFFDNEGLKQNLSRLGIQFDYQDANLHVAQTICCNSSPFRNPGDINSSLRKL STNLSPFAFYKLFTCNMTNNLYSDYYKEYLKMDEYQIFKELISSDHNQTSAVYTQGKFVS AALSVRGKVSNKEFDKQCSCFDYYLEHQRYRTGVKKKFEGINMFFTNICQKKYQNYEFFG SSIIHSSSITQSLKSLSEKFKKMYPKKSYVHKYIQEGIEESEFVGAQSRLDDTIFLYQDT LDTYQDEHFEYQE >CAK56611 pep:novel supercontig:GCA_000165425.1:CT867987:138776:139804:-1 gene:GSPATT00027640001 transcript:CAK56611 MNKFLLITLLLLCISARRFHKQDEGNGSGQNGEDEQPQSSNGEDSQGGEDSSQPQDDWPT GEDDGVDKWSSDGEENGEEGEQSEGSEGEGSEEGSEGEGSEGEGSEEGSEEGSEGEGQGE SEGESQGEGESEGEGEGEDEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGE GESQGEDEGQGEDEGEGEGEGESQGEDEGQGEGESQGEDEGQGEGEDEGEGEGEGESQGE DEGQGEDEGQGEDDGQGEDDGQGEDEGQGEGEGDNGDNGDNGDNGDNGDNGDNGDNGDEN RKEKRAHKKQNLHFRGRN >CAK56612 pep:novel supercontig:GCA_000165425.1:CT867987:140594:141508:1 gene:GSPATT00027641001 transcript:CAK56612 MFNSAQKRAPPQLLVTPRYRTTQSEYNNPNSERLFEKAKENSFNQLKVLQQIGYGKFSKV MLAMLNGEKFALKIINKGCTIKTQTQQHLQREIQIMKQLNHPNIVKLFKEFSDEKNVYLL LEYCNQGSLFNQKFDQTQIKQIIKEVLLALKYLHSQGIMHRDIKPENIYLHNNHVKLGDF GIAQYIKKQSKSFCGTLDYMAPEVINQNFYDNRIDIWSVGILTYELSNLEPPIKDRDQKL QMRRIISEEVQYPRHFDQKLKNFISKCLKKRSDERATIDELLNDEYIHS >CAK56613 pep:novel supercontig:GCA_000165425.1:CT867987:141647:142489:1 gene:GSPATT00027642001 transcript:CAK56613 MSNFNSLSSIVEDDNEQESFTFKSNKSDFSENNSLSFENENANFDNQFYKIKDSFEIIKD LIQQDKKRQYQQKKKQEKQQMFQNQLPVFNQQMIQKDSQQNNQQMQIQKTKHQQKQHRRS HSDKIKDFKEQMLNLNGKQVNENHQDHELEHILDKLHQVETCEYCKIKIERHILKAHEEQ CVYKETNFDEIQCPYCGDSIVRAFINDHFEECLMYIEDKFEKLAGIQECSICMNEIVGNK KTLKCKHYFHSDCIQDWLERKNVCPVCRIQI >CAK56614 pep:novel supercontig:GCA_000165425.1:CT867987:142845:143143:1 gene:GSPATT00027643001 transcript:CAK56614 MNSENYKTEIHNMIENGKDPKDMVIQMCRPQCKWYDDKYDRCVKAFLSLKNADPEKNCMY PYRDLVTCVEACVQPKIQHALRGNEHGSIFA >CAK56615 pep:novel supercontig:GCA_000165425.1:CT867987:143937:144501:-1 gene:GSPATT00027644001 transcript:CAK56615 MKFVLVVYLFCVIFVNCSISQSTYIFYQVLSPKSSKRSQMVRIDEISQEYEDAIYEYDAY PDELSLGHQLDLGRMIDNYDIPEDCFEEVISVYEYYDVDEPDQNQEKSNPNNFQVHDMGE EEFLKLEQGVDENGNVQSVIVKQQQREQMQGTQGNDKKSKQKKKQSSMHLRKKQKK >CAK56616 pep:novel supercontig:GCA_000165425.1:CT867987:144519:145224:1 gene:GSPATT00027645001 transcript:CAK56616 MQENLIQIDFSQIESLDPCIQGGYKIIFNQEIPFMIKFPDQEDQSIIEIIRVRIMILGNG KDLQQLTLELSSENDLFFYYFHTVDKDNFQVVKTNQKLNTDFFGYPEVCVKTFRRCLLEK QQFAPVLYIQQNARAQVSIVQTLEYKDLTLITFEMIAGDEEIIKQHIYYKHGAVKSKFQI LNAKIKDIHELVKVKNPQLLLHLQKYLPN >CAK56617 pep:novel supercontig:GCA_000165425.1:CT867987:145332:147103:-1 gene:GSPATT00027646001 transcript:CAK56617 MQRVPQYVQRPVVQDSFRQSFKQTPDKENSYAQLQKRKPIQAYPQYQSTQTLQKPEMRPN SSQPRQPSVQAVPRPSSASNDKRAFSNQKQPSRCSFKELKNSTYIQPQQATPQSRYYSLK QVPDNCLVKSQSNQQLANKQIDQSEYKKLHEKLLFLENKINKIKSNIEISNSQLQKQSER GKQKPVGLAAKFFQKKDQENNEPKEASTPKEFNQMCNCSESITQQGFKAKSNCLNLDQFV TQVKQIKKPTQPQQPQQQSQISRHQSLEISQTAVPIIKTVINEKSYSSQKPKKDENFLYY ISSVMRALVQEQSNKNDEVVRDHIVQTIQGLEYARNLQLQFQEDKIVNLPKSNHFKTLVF DLDETLIHCNESIAVPGDIVLPISFPTGETIQASINIRPFAQQILQTLSRHFEIIVFTAS HSCYANIVLDYLDPKKQWISHRLFRDHCLQTEEGAYVKDLRVLGNRKLSNILLIDNASYS FGQQIDNGVPIIAFYDNKQDQELLYLQNYLMKFRMVTDVRELNSQLLKMNSYTNYQDPLH LVQDLFPDYLPK >CAK56618 pep:novel supercontig:GCA_000165425.1:CT867987:147346:152286:1 gene:GSPATT00027647001 transcript:CAK56618 MNQLLEDLIQQFIKDGEEKQSEQISRQVMQKHPVVYLCKCSEILDEMTEINSEYIRVLRL TLQVSGLLTRTEEAKLGVIQCTQVLKRIINRILSQPQISEELNQLLTDIHIKLMDVFDEL IINHISDFFESGRVPEIVILKIIQQIFESQSKEYFRQFSYEILQKILSSLSLVKTDQCRL DYCSLLQSIYKTLTHFEENQIQINQEQVQNVSWLIFDQIIKDWMQTTTQVQVLSLVGFMS QFISRDRLERSLERLTSVYLSVLKQKSNQLRPLFEGFNKFLERLYHLDRIGYEGIIKSIM VSLYEFYKNPIFSPNYPFDPNALKYKNDLLTLLQTVSKFNIDNCLDLFIGRINSKDQIER VSCLWICNFILSRNFKLISEPYKRQLVLQLLNLQFEQDCEVRYSICEIILQIYYKLKDEE LPINQTYLDVKALLFYLFNQVSIHDKELEEYGKQRAAPFETTLELLKSRAQKVLTTLSQD QYFYDLLWPMGLEIINNQKFSPGLSHIFKCYTQILQKLSIITPNSPVAQHVIVVRMLLLI QIPYFFPNLGFEAVNFLPYLIKTFDLKVPSSFHQNVEALKQYLINKKPLDEFYEEKVQQI WKVCLSLFIQNKELSQIEEDFQVQLSMYLNSQALRLPIMKMQATLMSFLTNQETIKYNLD KLFSICHQEYTNQALNLNVPIQEPDFNLRLCVAECFGYIGERHTQLVLDKVKSILNCEVI QKKNTGSFKESVLSIFQKNGSDEYLASFRATLLIAYGCLAKQVSLETLEKNILPNVMPFI GHEQLFNSLQISLESISKAIERSIENTDEAAASSFLLSYQKYREQVLNHCQKNITRGNIF RNLNTINLQYRLAPVEYNKAKQLLSQHLDQKYDLSIEQVSLTFQNCFLLFLGTVKLEEDS QSISVWQSLLYLIKSIKDNDQLIEVLQKSIQRVKLKMEQNHKNYFKVILTLLSIQYRNTN AKRSVVLILEKVMLSLGVYLDIYQKTDEEFNSQVIKSLREKLHHNELAVLMQELQNLITE PIVDSLHGFVSLIQCIYQSDYGDLNKVLFLLQSICQQGAGSSSEEVINSNLSKAMDLLAQ NNLSDSLKVLLDNQSEFPVSMFPRLFLNCVSQKKTFMVQSFKFLTDVLNNPEGIITGDIG NYLVNASLFIGQLLIDENKILAEMLHKSMASILGTFLLRFMTCHDPKLSGSSQSVQTAIW AFQNFLTICNIEGISQHVFKKLLIEEEVEDGVQEIVMIYCKGASFQDQQKLFYFIEGFTK KEQASYRLGAIVVISQFIRSYKKEYAQQNVYENLLKTLLESAEDDSDNVRAQVAFGLGGL SYYLKADETIEKELLQEIIECLINLLDDQQEICVRSAMISLQNLVDSKTDFIAYLHQLIF TTTANFEKTMTKIRISAFSLFSKLCNTCFVEEIMNEQLMSYLRQALVSVMLHLLDESVQV RQVCKLALEPIGNLLQAPLLKQLSNNEIQSELETSHLEENEKIIMIMTINLEQDHLNTYI KALIEFCKSPEHNIKGAALFSLIILLAFREVGDYRPQIEQLCKENSKAKLITKQLVMKAA YYL >CAK56619 pep:novel supercontig:GCA_000165425.1:CT867987:152380:153967:-1 gene:GSPATT00027648001 transcript:CAK56619 MDNNIVIKKQWFIKSSTSKIEDAYEFDHKKLLGQGTYGQVVKAKLKGSKYYRAIKIIPKS KVRNPDRFRREIEIMRNLDHPNIIKLFETFEDNRNVYLVMELCEGGELFDRIIDKGHFSE NEAKITILQIMQAVNYCHQNGICHRDLKPENFLLLTKADDSPLKVIDFGLSVIFHDNHVE NMTTRAGTPYYISPEILDGKYDESCDIWSGGVILYILLSGVPPFYGNTDPEILDAVKKGI FTFNIPEFKKVSEGAKDLISKMICKPEKRLKSHEVLQHPWMKQQTAGNSSLSVNYQSLKN FTNFNKLKKVTLTYIASQLSEQEISELGKLFKQLDKNGDGVLTMDELTHGLTGLKKESQN EIMSVIKSIDTDGSGTINYTEFLAATIEKSVYMKQERLFQAFKMFDLDGSGKISREELRQ VLGKTGSGFDDNTFKALIADADKDGDGEIDYNEFIEMMDKMKP >CAK56620 pep:novel supercontig:GCA_000165425.1:CT867987:154774:156412:-1 gene:GSPATT00027649001 transcript:CAK56620 MTQQILLVSLCILFLQKWLFENTLVYKQYDQVKDIIKQMNTLTTTNFFNNRDYYTSKRLY RFDLSKSQIYLNDSYCNQSDTFKFYHPDVVLKDIHTTRDTDDLTQEIVQSISFIKITNYT EGKIRNKSVLPEALTIPFNYYDSYLTKTTTGLSAMCLFQKHNHMRGRQQLAYKNELLNNY YLYVDKLKTMPEFCSTNVTYIPKSYRLWLKDECSAFFEFINTTEYQQKFQKQGPQFISKL GLEVHRGAGITMLFQKETQQLIKEYQNGQNCGLNSKYIIAQKYINNPYLFKGHKIEFRIY FIIASTYPLIAYAYDSSLIRRCATPFDKFSLEKSSHVCNTAIVKTKIEGQDLDEDKQFFI EWKLDYLQELLLKQKKIKNKKWLEDYLYPKIHTTIQHLIMSTQHFFDKDSKLSEFFGVDF MLTDDLQIYVLEVNSNPQLLKTIPERIVQNTKLVKDIVEIQVAYLRSKYVRLRSLITSSL QSKSNPQKFEKDFNEAYKDKLEPEFPISSNNLFRKIIDKNLKDSKAYNDLIQTKCII >CAK56621 pep:novel supercontig:GCA_000165425.1:CT867987:156611:163097:-1 gene:GSPATT00027650001 transcript:CAK56621 MQQVNAVKQQKQVSVFQQPQKGKQAQKKNKWDAQSDPKQEQQDQNKQKLQTASVVLDKNK PESQLSKGTQMMKESLKSSQKSQTLRSDKKRFTQNRTTQNNQTLILLQENQIYEKPARDE ESASEQYNTYNIEQEHSMIILNEALTRQASKKAFEQLLKENESYRLDASNFGDCIDESQT NKQQQTIQREVQDNQEDLKKQFQTTNAEEKPEEQEEKENNILLMLHENLYNEESQNYNIE LKVNHNFKWLLMEIKYYQDNNKLLYFRKILFFIVVGFEICCYRLLQWTAFDLYEMFLTLT NIILFLNRSSTQQNEIQSYQYILCALYFADSLIHLAGQGFKKYISSFWNIYDLIILILYF LFLIENDYIPFDVSTFRLIRIPFYVGKISSTLNIMLMSIQEAVKQIVENILILILFTILI ALIMLYAFNGVIRYRCMNEELGIFSLEKFEEDVCGYVECEHGYICARQLSNLDTPTHFDD IIFSYFQTIRTSVVNDWTISMYVLMKQFNPLVCILYIFIIFAINKFYFQLLIAVLKVSYH KTQEYYVKNPIKPESYEDQISVNLQKLKAIGMWQYYQISYKNYLKGKSGRLNSKSFSNNS QDEPHIKGQKHIFPETANQEKTKLLSARQKNEMINERHLIQQQYTFGSWIIYILFPNHKL QNQMKIIIQANENHFNELQLYYTFLSIDYLSLNKQIIKGTPNQFNSMEDLEIQPKAPIPT QTKAKTKKIPKIFATQTYVVDTQKDPVKENSKKEEHLFPIRRSNFAMHYNQIQQANEENN ISVRELSEDEISSNLDQSKLEKYPQEIIQIKKGKSLKHMYSQKFQQSTQFSKSIPQLSRY MLLDGIQLPYQQIEKIINAELKVETQEIKDYEQKYYDQDSQIIEGFIEKKWSGNDLMKNY KANSVIQDLNRGWNYYFAKGFMFYLEYIKFYLGIFITQQFVMVIIDSFVCIDTLLIAFSD YYDSGISDFFDLSVNILLVLEILIKSICIKGYLYKVSNILGCLVIMISAIERILTLTIYQ DEEVLIESFTYIRILKSLFFFRVIKYNTFAQNMMVISYQTFPNYAMMTLLLFFLILNYAV FSLQIFNFPDSGELALFHYFGNIYQSWIAVYDISTSDDWYGVVILSTQYSASYIAFLFCI SLVFIVNYFGFGLAFVIILDGFASYLENVEEIEEEKTDEQDNQTHTSAKIKKNFTLNNIL SSLIQPEENEKFKNIKNQYSLFLISKKNKFRKLCFSITENRFFQSLMILILWGSLINLIV ITYTDQYRHEEWGICEIIKLICNLIILIDGILQIITYGLLSEDGYFNSIWVFIDFVYIIC YFLHIATQHEALEWMLFLGYLRPLKVTSTYGFFINERGAIMKSLGDIISIFYVVLLFWFI FAIFGMTIYRGKMGYCGEATNYGVSKEECEEEKEEWIVYQYNFDNILEAMKSLYVLSTFD LWAQTLFICINSAPETTGPIRFNNEWISYLFFFSFTFVGTLFFLQFFAGVIFVNFQQNKS QLLNPDLTLDQELFLKLSDIIMQDTPNYSKPPKKGIRLGAKKLLSNSYYIFVTKYSLLIN LFILMLLYESASNHYLNILNGFQHFFSLILTIDCVVKLFSLNIKRYFDDVWRQMQLIFVI CAIIDFFLDIQHSIFIRYVRASRDDPYFVWLRLFILHRCLRMALIIQQFTRIRKLLNVIY FNKMALLRILGLFVTVLSCYSYIGCELFSDIETGFMMNDQQNFTNFFYGLIVTFKCTIEN GWRFIYQDSQEYMKEHHQPWILAQIYYYSLIFVGARVLLNLIVCELVQEFEKFYDTSSSC VETYVETIDTFRNLWCKYSEEFQGTKIQTKYLAHFLLELGEPLGARRGDNIWDAAKHASE FELKKDFDGCLTFRNLLYEVFKAAFKESIFKISTKAGKKIMKDNDKKVKYRLYNQRNPHY RREVVSQIVDLQSNFNILQEYLYLYMTIKAWKAFSEHFLKAAEQIRNTIECTESDVDVEI EKFNKDYNTNGKGRIYNQDADDDSGEEEEAMQFNPVRRQKKRRPTAEHLRRPTDVYILPY YDELRGIDKRAFDADGNIDNSKYILKPTDQKQQNKLKSITVIKKKEQLIKYNDYNKRRDA IDKQIQSRNKYLYGYHDKMVLRQIVDDKQVMSRLDPMKVPSNYNIMKIGSRLK >CAK56622 pep:novel supercontig:GCA_000165425.1:CT867987:164037:164896:1 gene:GSPATT00027651001 transcript:CAK56622 MQSPIARKKHPSKTFVVKKNTQLFQSADHGNKRQKSQKMDKSSRRRGNFLDKQDAFQINK YAAKINHSSDSESDSDVSIGKSGKSESSESSIKSAKTIRPRSSKRIRGNLNQYTQDLFST IIRKTESQKDQQKEEIQQKLFERMADKATAENYLLHQNLEKFDQPFKRSNQFSKDGQDSE HSFEIFSNLSNEDKQSYNSDTSVQIEEVQDQQRISQQRENSCHSLKMSIQTPNAEFSSTY FKPRVSNLRVSLAGFSPLQVSHSSQSSEDV >CAK56623 pep:novel supercontig:GCA_000165425.1:CT867987:165043:170991:1 gene:GSPATT00027652001 transcript:CAK56623 MQQSKIIESSYIDNYKMLKFRKYVLYLYLIIKRLSKIIVRSRLFQYILTLVTLGNITIYV FEHLQQQENKYKDIYFIFLWFYVVDIVLQLCAFGLFRTKLIFLSRVIDASLIILFYYHLS YSDNILDITPLRMTRLLKHLGGIFAGLQRMIEALIESLKFILESTAIVILFALFFASLGT SLFMGLLNQRCLPSDESEWVQCQQGLCPDGMTCQMTDKSYNIPTNFNNIIYSFGLILKTV TMDDWSWVMYYTIRAFHPIVWIYYVLIIFVGGFFGFNLPIAVFKTHFSEMQFREVKHQDE RENVLSKSNLTRIGFYHYIIQLNQFLLSDSPDIIINEPQTNKIQQFFDLQPRILLGSVLN ENRMNESNFSHLKNQIKNFSLKFYLLPKLSILQKFYLNLDIKKFTLDSKIQETIKQFSKS SFLLEKYVDYKYQYIQTSQLDILRNSLFQVLKTTDNKNSKAYKKKVFYYQPIQRKKQGIQ REGKSFKSESIGAIQANNPRSFAQLPIKVSKRIKKNSLNPSEIHGVKSSSMSYSPNVGEI HNKEIEKQRRKFPYFISEQQKFVPKETLYKPFTIYHCGELKVLLHGNYLDYEDVQKRIKA KIKFKQEEELRFQDEYTKIKRKEFQKRFIKSRNWSGNNILANNWVLIKKFNDIFQQLNLR DIEIWQKSFSGILMALRKYAFLIMHFKLTKFIFDLTILINTLFLALQGIIQRSIIDQVED IATILLSTEIILQLIVYKPRRIAKNKHLVIELLIVILSLIEFGFSSYLNVSEQYLRLMRS TKCLLFYRCIAYIYMARIIGAIAQITYKSYVYLAFLMFFMIFTFGLIGMELYANKFDSYH KEGYMHSFDDPAKAFMTVFNIMTNDDWFGVYRIGTEVQTELSITYSIGLVFTLNYFIYGI MMAILLDGFSQYLERESTNDQNEFLKDKIKQINKLNKQLNSQASEHDSDSESESNSSSSS IQQYQDQANNVQSVTNRYHVRKESMSPDNILASSNNLREQLKRDSNFTEKIFHSLQFPVA RTRKMDQQEEEKKKIKEDFRRLKGKVNMLRVKSSEPKTIKYLQDNLEQVYKKTFKQNHKL YAGVDCLQSYYCFSRSNSLRKLFFRISVSAYTKYFIDLILLLSITYLALPQDIQETPLWV TILFILNSTIFIEFIIKSIAFGACLDKGSYLNYTWKVIDLVYIIVYYLEFFKIANLPIFI VIETFIYFRPLKLLYRIKWVVRVRAALTQSLFDIINVFVVLLLVWLMFAVFSMMLFAGKM GFCEEQINYDVGQQECMKQGKEWRVYKHNFDNITTAMPVLFIISTFDGWGSVLWVSQNSR EASNGPHPYFSQIPSYLFYVAFCTVGSMFFLQLFTGVLFINLKANSKLMENQQLTQAQLE YIKISEIILHDHPIRASLPRSQLRRILSNFIMNKYMDLCMFSILLVNCVVIMMIYHSADD LYNGRINKIHHVCTLIFATWLLLQFLILGINRFKDNLWRTYTSLVIILGVVDLILDYKYD WFRLYCYSTQLTQHYQLLRVLYTLRNLRIILIFQGLQKLQRLVRVMSFAFPFLLKILFIL LIIMVVFAFYGVMLYGTIDKGEVINDLINFSNFWLAMLTLFKCVSGDDFRSIMNDCMHHN PYCSEDPKYCGSPYAQIYFISFMLISNYILLNLFVLAMIEQFEFFFNNQDSILQTYVENI DSFRKAWFKFSSQNGQVLSIRCLPHLLIEIKEPLGCKPYDNVWDAAKLSLQFNLFCDIGD NISYNQLLYEIFHRRYQKQIFDQCSEMAAQKMSQFHKTMIFRLAYYRRRGFRQRRKNIGP QLKLDGNGNIMHMLLMSLVAFKVWRSYTNHIIANIITDQQYFSERTVRDTEPIRHSITLK SIESQESRIKLNDNPVYQKRRMGRMKRVSLQGQINDYLPGDCINPKPVLKRKSSFGIEEG NVQTVYHSRQIQRIQKIYKLN >CAK56624 pep:novel supercontig:GCA_000165425.1:CT867987:171060:174866:-1 gene:GSPATT00027653001 transcript:CAK56624 MKKRESPFLCIDQPIYSQNITSPRFEELLPIYSNDNEAEQTIDEALKHKRKIFDSMNFRA LNDGHKGESSEDDDYLSSVSDNRYDQESARSRTNTFIRNQRIANNQYNNQGSNRKAHTGL IIDSRFNQQVQGAKSCSRIDKYQSFVQQFELEEVKLRKTKEPLKQKSYQTNELSSKRNSR RKEGKIGLNNIWAVKGFIIIRLVSRFIQQLKTKTETIKFKLMTRKIFSIIGDLSSNFEYI LISRQIKQKPSLFLILKYNFQKRATRLIHCLEYGLELLSRIIIVIRPDSKFKIVWDILLL LFIVMNIFYIPINISFNIQTQGVFEYLFDLLPSWIFIAEIIINFNTAYYDKGLMHEDRKS IVKHYLKDNFFWDLVVVIPFLMSNMNIPFVRYTLLFRLTRLTPLMQNIEEILNLEENIQI VVDLLKLIFFLVLTGHFCGCAWHFVALTEYESFGITDNWLTHYDRQAFDYHWFDRYIISL YWSVITTVTVGYGDIVPVTTFERIFVIVVTLLLCGVFGYCISNIGNIFKQMSDKKTTYKF KLRQIHSHIRKRGLNLNLSLKVKKYFEYFFQLEQEEDSHAEIFINQLTKHLREEVLTDLY SNTLKKSRFLRENFKELTINNLCQFVKEKKVLPEEVLYSRFDQPTKIWFVLSGALEFVAD HKNENEYYEATETFLNKVNSGAVLGEREFITQQPYEYKVRALRFTQMAYIDYEDFINVIS ESDQEYEIFCMKRDRLLFDPQFKGTGNVCEVCGWTHNFIQCPFVFLQPNINKIASSFKTV RLNNRLKFPYRQSMKSRTREALNSIQEGALKLLVENITEQQIIQVIIENIQTSTCKKIEL DSSVADEISKNLQDSPIITNGEIQKAFQKKSTKTLTGGMTTDLKDITPTHELKKSLTLFQ KNQFGKEKYKAFQVFKKEILEKETEALEQQQSQIYGVANNQIPTSFNNKLYHKALQSSPR RSCNFDELNNFFLSQKFGNLTYQLDQDKYLVKQLSRTSEQSDMKESLIKKQIENQERRMQ IGGERRRKKRKTTLQLGQQLQRKINQSQQVNLSPSQQQKQQLFATLDQKLGRVSIRESKK MGQANPIPSITQIDPVSQSAEYIQENYLQQKILDIVHPNQNIEIDCCKSTLVYFPEFNID EILKKITYYYSYVKGVEKKMYKRSKSNRNPFERIRPSKNVSMRSFHNNSRLQQDEV >CAK56625 pep:novel supercontig:GCA_000165425.1:CT867987:175478:180551:1 gene:GSPATT00027654001 transcript:CAK56625 MQIIGKIIKNQLREMQQLLKESKASTQINLKILSLFYVGIRLSILLPTSQFANENYNDEK FILLKTIINWFTVLSPINSMNNHSIIIISVIIISILHWISTLIIIFGSFESKILHHYSQK YFTYLSSLLLYPTFIHIQNLKFIGDFNEIVIVSIIPVIFNSTIQNYIQRNYSLPTRNPFV KRHRISNYLISLIEMIGLVSIVYISEEYQCVLLILIYFVQLLDALFVNPYQYYVNLQYCF WSSLLFFASLFKLIAFFWDTYQYFYLGIYLIPLLSFVVQGYFQQQQEDNLIQNCLQKELL LQIVDMYYSGNEITKNKILYIIRTKFQIVIKEKFDIDLQLQQCIMQLFTKIIEQQNISER YQDEDFYIYKILFFLQTCEKQNLGYIKMKKFQASTNSQSLYFQILADNIYSSVVKNKMKK KITQQTDDSQNSLSLTEIRASQMLHEKSMTVIAQILSTKLKFWERLQQGYSKIEELAKQS ENLSNLLIKLKNLVFSELQINVFSIQKDLFKLNILDLRLLSLIFSGVLNDFHITLEIEQR IEELLSFERNLQSRNILNTTLLNDEVILVSVSMLKNQGQIMASNRTKMQKFFQYPTEDSF MQIQHINQLLPKYLQERHDEFVNNFLRIGESFIFEQSRDVFPIYQTGFSFNSTLQLVHSY DNLDDYIVTAAIKQVNENTDFIIFDDTGRILGISKSIQNILLSNSKQEFDEQILKSYIFF WFHNLFDSVQQFQQEQQNDQLNTFKISTQKTFVTPISDIDIILREHETYRKSHLITQTSG MKTEQQENTYHQVQSQPKYTCFKKNYIQQTQDFVSSCSLKENSVHPYYQIVYNLILNVMK NKYPYFLMQITEYRYKDVKKQGLELSNSAISSFYQSKNMKTTDQVEDDFQLDENDGPLNF VINQPVVNKLLINDQDDETYQEANVNRNLPQQKSIDPRESNLVSPRGNREILIQKEYDYE DEEEEGRDQKSKVDKSSSQKEQRADILQVVLREQNLEQNDGHKQNKQSVTSATSDKTQNS VYNLIRKLTYSEQMQTSIIKSIVICIVLTLIMMVLIISEMQIARSNTDQLKYDIPLVRIP QRFNRLYCTFVTLGQLDLQLNLLNQSYGALYEYRVKNESIIRREEMLSMMTDLKNEFAKL EEENRLPVVAVRIINEFSYQELNITMIQFDSMVNEYTERINQYLQGTDEISYVEKIQVLV QFLKGNLINQLNLTIKLVKEIENEFFNLITYFETEYLIFLLVLLWIIFFMLILQFRQWHQ PYIYMQTVLLLISRISEKDIEYSCQKMLYISNMLDKDKQLWKHINYFREYFWFSRTSTHN SIKYTSSSVSQLKQTSKQSSTRVKSVSRIQETNFSILNIYLMLFFLWVLLSGYVLSTFLI MKQNMEDSQPELRLAMEYVRFKQDLDGVMIISQLLKNQQILIDETMASGVFRLNPELSTK DKYFTRLYAELLKQFVILQEDSTSIFEHIYNDIVASKKISSANKDLLLKMYETDLCLIIP SQLPFCEYENGQFKYFPTYPSAEDMDDNRMLYRYGINGIFQTIQSIFTTHYSLELNGIKD TNLTIVNQFLQSPEYIQVILSYTFDISFALLEFYYTIIQASLDILEEDYQTIVIYVVTFG LGALITFYLVIFIRATTLQQRVQSIRQALIIIPHESLQDQSILNAIRKIDRTL >CAK56626 pep:novel supercontig:GCA_000165425.1:CT867987:180587:181340:-1 gene:GSPATT00027655001 transcript:CAK56626 MFTDVDKMALYNLDYINSKADLPINQYLVLTGKITSNNLQPTFSDKSVRAILSTIVPTIK EKQKDVGDGLMINLGQVITFKRDHLISFTLGNDNHQLEVKYLPNRSLVLYNLPKMRENIM KSELSQIKIANQHVFQTTYTEFALLPNQQYGVCGKLQQDGVFTPLIILGSSKESFIDSLE EELLKINKAAKFWLLCSGGITIAAAIYARMYKRSRKHKENQ >CAK56627 pep:novel supercontig:GCA_000165425.1:CT867987:181441:182156:-1 gene:GSPATT00027656001 transcript:CAK56627 MQFNKNDVFWGKIQGYPYWPCTIAYDQPDKQKCRVFFFGDNQQGELKYQDLKPFEEHFEH YCSQAKKNNNLKISIEQAINKETHKHLLPIYEKIVKGTNHSKVQESKQSKNNPEIKIQSI KQSQPKKIEIFIQKHFKDVKGEVIQQILEKCNNNDKKVVKAIKAYSSLQAGIVNKIFNFE SFENYYDSSINIIKNENYSMLTQLLEPSEIKIFF >CAK56628 pep:novel supercontig:GCA_000165425.1:CT867987:182175:182666:1 gene:GSPATT00027657001 transcript:CAK56628 MASPSQLIFIGLIHFEIREQDRRILKKQSLAQIEDSDVKEFLRSEQEYERTRNKAQSPFF KKMDKNPNYLLVLEPSLVSQVNQTLRGIEDHIAEHLPIELPEDEWKQRAIKILLTWNLSE KNELAKFATGSEQVEKHYLEFGLLFLVLAVIGYVMIFVMKHMI >CAK56629 pep:novel supercontig:GCA_000165425.1:CT867987:182705:183392:-1 gene:GSPATT00027658001 transcript:CAK56629 MNREVKVVLLGDSGVGKSSIVLRFCSDIFKVTHESTLGAAFMARTIEVNGINFKFQIWDT AGQEKYKSLTPLYYREAQVALIVYDITHKDSFDVLKSWVNELKAHGPKKIIQVLVGNKND LIEDEKVSYDEANNYAQQIGASLKLTSCKENKGIQELFVSIAEQILYEEQNKLVEGKKVD KPQNASVRVTADDQTKKKKKDGGCC >CAK56630 pep:novel supercontig:GCA_000165425.1:CT867987:183440:185218:-1 gene:GSPATT00027659001 transcript:CAK56630 MLLLILLLKCIDCSITETQTTRLRQSCQSKTTGYYWNGQQCLRCRSPNCQCESQGGCTSC IDNYYYDKEDTSCKPCPKGCQNCCKNPLLTQYVCTLCQSGYRNINGVCVFMGRCALISMH GRCLECDLGYYVDFEFVCRQCGEGCEDCANLAFCNKCQDGYFLTTSQSNLVCKLCSVSGC KTCEKDVCQECQRGYFKDGSNSCIQCIKDCDTCENTTLCNVCNYYSIPNDDKTKCNRCQD LFPNCMDCTTLFRDDQSSYFECSQCLNGYFYNEDDKKCDTCIVNQENTAHIRRCESLEMI TQCQPGYLLLQDRYVDLEKEIPYLLKYTCIKNIYNCATINDQNGYCKTCLIGFILLTENS TQKCITCKEKTQNCLDCYITPKNVFQCIQCADGYFLELDGKTCSSCGDNCITCNEKVKQC ETCKEGYIQLNGVCESCEVENCKSCQVKGVCTDCEDGYGAIDGQCKICQFGCKQCNFNQE LCQQCMDNYFLYMKSCVPYPSHCLETNDDGFCYLCQTIIDYDTKLDQFVDEGSVPPTYQE QSISIFFKSVNGYCLKCSDYDTGQYFCPDTCLSTIHSSEIMLVFFWLFHIIL >CAK56631 pep:novel supercontig:GCA_000165425.1:CT867987:185237:187330:-1 gene:GSPATT00027660001 transcript:CAK56631 MNYLLQLIFAILTISQAITVYPNLGSSKVQWEEQIWMDCKSEQLIVPTNCKNNDQNWIEC YEIPESGLCLKDKLKISKQSTYNLIFTFQNLSTQTPQILINDSKQEQKSYPNNQIIIKTV LDQQKINIKILGTNSKLQKFALTTDCHLNCKECDANFECTNCIDHFSMINYQCIPDSCFD TLSGLTTQSAYKANSLIDKQGMYQVTVDFDVNLGQCLIPKVYIIQEIKSNKIEYVLASNQ ISLNSEKQLSFHLTQDQVTQYCKIMVQDKTKIIRQCSLSIALTTAQIAQYNLLLLGDITT NLETSASISTTQIITIPEDGNIQLEVTNEITKGQVNNDLLTITQAIYNEDLKIENVMLKE AYLEQNGQAYDSLDFMLSFVRDQKITYKFKIKKSDIKFDQQIDLVLSSDLLASRRVLTQD RSQLKIQYKATNSIKFLPNELSQMNSNTDSKANYLIYGITIFLVLSLLITIVWHNKSKKN HYVIGEEVNQKNI >CAK56632 pep:novel supercontig:GCA_000165425.1:CT867987:187494:189170:1 gene:GSPATT00027661001 transcript:CAK56632 MIEGENEIITILFPVTERSQKRKSTITVFLSPRKSQSRQDLYQSPVRISARSNSRIFKEE KITENILTFTERSTQIQSISLASESPTRRDRDGGSSVHKAASSFHSNVEGQKIDKEPDLH PIVQQQIQKLGSFKFLQDQNYSDCIKLQPHRFSNGDVYSGCWKNNLKHGRGKLFLASGSY FEGYFKEGQMMVGKYFFKNGNIYEGEFFEGKFNGKGFYLWTDGEYYNGQWKLDQRDGQGA FQCADGRRYVGEWKNDKKNGQGEYISPDGNKYEGQWKDNRRNGFGTAYLPDGSSFKGQWL NDKKSGKGEYRYANGDVYYGDWYDNLKHGKGKLTQKDGCSYDGQFFNGQMEGEGTYIWSD GKKYVGSWSRNTRKGFGIMTLQDGKYYKGEWENDERYGQGEFHWGPDQYYIGQWVENVRE GSGQYVEDGTKYIGNWVSDKKHGQGKQVYSLYTYEGSWIANQREGQGTAIFNNGLKYTGQ WMNDCIHGQGIVEYKDYKFNGDWSRNRMDGNGVFTYNFCIYTGQYRSGVRVGVGTFKDGA GNVIKQEFRNGNLVDFSE >CAK56633 pep:novel supercontig:GCA_000165425.1:CT867987:189556:189993:-1 gene:GSPATT00027662001 transcript:CAK56633 MRFNFQQQIRKSKIEDQLKDARKKYYNYGINLNDQHILNQNSSSEYQQKIQYELKNLEKQ IEDDENYIISLAKSRFLEEIATPTLIQNFQAINSMFFQIFIKMIEFAKRKNLLWIILQFR HSQFLNCLQSLEHSNDFQRFINSLI >CAK56634 pep:novel supercontig:GCA_000165425.1:CT867987:190440:191198:-1 gene:GSPATT00027663001 transcript:CAK56634 MDNDYYDFLDHSIDYKPKKRGQRALTDQEIILRERMKLVKNRESAKNSRKRKKMYVDILE NKVAGLNQQLEQYKQLQESSQEVLRSTQIQLLFGKPQSSQNQLNHYFNEIIEQAIPKIAL HLKSNKSNPGLELCFQNFYNCFNDLNIIKEEMIQELKQTEETMKTVKEIPEFQKFIEHVN QLDYKVQLDNIEEELGTIIKSNDLMLSVREIYELYNQSIQFAKKPKLN >CAK56635 pep:novel supercontig:GCA_000165425.1:CT867987:191403:197723:-1 gene:GSPATT00027664001 transcript:CAK56635 MKKVESIKYTERSIINKEVTQNSGSHGLNDKFFYLQYVRKHQKGPETPERAKSLSVDRMQ KQGQSSVDQLNRIQFHEETIPQDCNHEINQDNSKMSEYSLQSIEREDMIEDRIQRHTLHK SIHVYSKFVSSASHQLNMQQRSEPDPEKPGIALNLLNAFNQQQEVQSEYFNDIEYDKITR RHLKLIERNTMKDPYYVRLVKLSEFQRLNYELQKYNDNLALLNLRKAFIYVIYSIPIMAR KIVNNFLFKILMTFLILFNVVLYIVVKTNGRTDTDNIEEVVMILFISEIGLRIIASGIFF NDYAFFRNMENIYDFVLIFFTAMNLYYPEIIIIDISPLRLVTLLMYLTNIFQGLNVMMTA LRQSFKFLVEALMIVILFSLIFASMGIFLFQGLFNYRCQYENGDETEGWIQCNQAQCPSG MHCMYSDYTPKMPTSFNNLIGSLGQILRTITMDDWSWVMFFTMRIFHPWVWLYYLLIIFI GGFFGFNIVIAVLKVHYSEATEENKKREEENEIQKRLKEEEEYPERNLLEILDVAHLREI KIYKVIKKYRTNLNEESLKQYSIEDPNSFKMKQYQTERTLSAKQKQLESGIIKLPFIEYI TSFTWKRFLLPKFDILDALIKKIKVKNYTEVEFDMKVIEKLKTIKFSRLQPQVNMNVKQN FTSSNDVLLKLIDIQDQIQKEKNFNLEKIRSTKFQMIYHQIKIEKDKLYGKTQFGKSAFP TKSKSVSPFMSIYQKKVEQSTDSIVNFDQINLKSLNKMKATQILETKYKTFYVVRNGKPY IHIQGYYTNFDGVKLKINQKIPMIVHDQVSNKFKYQSMRMKEYQNHRRITKHNWSGKDVL EVTKFRMQYFHVVLSMLNKVDIIIWINGIRGIYIMSQKYAFIIVTSRFSQFFFDLVILNN FTFLSLQGIVDINIISTVEDISTIFLCFELMMRFLGFRFKDLLRSPDLILQSVIVIINFF ELTISDYMTNYLSEQNLRLIRGTKCLLFYRCLKYNKMAITIGHIASMTFDQYIYLTFLMF LVIFMYALTGMEMFVGKFDQNDSLGQLHSYENIFKSFMTIFNIMTNDDWYGVYVIGSDID FTFSIIYSFSLVLILNYLTYGLVMAVLLDGFGKYLDQPVEEIQNEIQKNINEQLQHITQN SDEIQMQLVETNQDQQMPNKNLSNDEINKSKPNLIYNLIKSIKQINKKLLSKTPKLYEGI ECESSLFLFEKDNLFRIVLTHLTTSMIYVYIMDIVTYLSIIAFILKTYNDYETDSESYPD TLQFSCNIIQLADTIFNVIAKGLYMDQGSYLVSTFQVFDFIYQVSNIIAFGKDPEDFKPI LKILLYLGYFRPMKLMYRLSWLTNLREAIGRSLFDILNVIITLLSVWIMFGVYGIILYEQ QFGYCEDKMAFEVNKQTCQEEGKIWVNYKHNFDNITIAIPTLFVTATLDGWGEIYQIAEN SQVASIGPQGFNSYIVTYIFFLLFVFIGSMFFLSLFTGVLYTNLKKNQREIENTEVTQSQ KEFKEISQMLINDFPQFSTPPTNGIRKMASDITSSLTSQKCLFLLLWVDFIILLMFTSDM SDEYFRRINDVHNALTGIYLIWVILLFLALGVNRFFDNSWRRFYFFLIVIAVIDFVADYS VDWIMIYYKSTPNDEGFQLLRLFFSLRSLRIILIFQGFINLQRLINVILFALPYLGKIFS ILIITMLVFALFGCQLFGTIDKGQVLDDQLNFMTAGSAMMTLFKCASGDDWRTIMTDTMH YNPLCWEDPKYCGSFASQIYFFLFMFFSTYVLLTLFLLSLVEQFESFFQLQASPIQSYVE NIDKIKTIWCKYSSDTQGQTMHYKFLCKFLLDIGKPFGGGEEENLWDVAKIASSFKLKCD HYGYIQYNQLIYELFRVKFHADVFKEGTPDSIKQIKQFNKEMQLRLMYYRKNRHIERSNI SSALQLKANFNILHDYLTVLILFKTWESYSKILIRKLAIKQNQFSDDAISIDSELQQNNN NNVNHIMEPDMFEASCEEATINCNFENKKHHHTNESQNLSHIELPIFKSASPFSIQGEDI KMIFSAAGEKQPII >CAK56636 pep:novel supercontig:GCA_000165425.1:CT867987:198176:199568:1 gene:GSPATT00027665001 transcript:CAK56636 MATKYISILENRYGIIKVIGKGEFGEVYLAENLQTKQQVAIKQALKSELEKVKKRQELFQ TEKRIMEMVNNDNVINLKDHIETKDKHYLVMEYCNGGDLDSYIKLLREKNKQPLSERQAK EFLKQLLNGFKGLQDLFVIHRDLKLKNILVNDGVIKIADFGLSKVGEVGVTQVGTPYTKA PEVFKGRGRIRYDNKADIWSLGVIFYQMLFDLQYPFVGQNEKDLFDNIEKAKDLNFAPAK SNVKISEDAKRLLRRMLTKDPNLRITWEQLYQDPYLEFGQQKDLGPFDQYFQSIMNKFKM IKELQQKIQETLGNSESLRKDYKVIKNLNQYYVQFMLAKRVIILSRILEQLLQELKNQQK QQSKPLQLIVKETEENYYVYQLYYENTKFNLESFINRRKKEYKDFDEKVLNEMQNSKCNC YIQNRNGLVILYQYCVELFLLLIHLG >CAK56637 pep:novel supercontig:GCA_000165425.1:CT867987:199618:199841:1 gene:GSPATT00027666001 transcript:CAK56637 MIDVLKCLESDAAFEGDKLKFKSQSNDELESKQNNDPMMGEFTKEQYIEIQKINVKELFQ IMLNDK >CAK56638 pep:novel supercontig:GCA_000165425.1:CT867987:199861:200187:1 gene:GSPATT00027667001 transcript:CAK56638 MDKQKLRTTWQSSEWSFSRQKKLYELQHNQSTLRFQQQRIKTEPKETLKKFTNPTSFHEY VQLIEKKYRIQNLSKPKRKVGNGRSLVQICSTKSLVLYESLPNLLQIN >CAK56639 pep:novel supercontig:GCA_000165425.1:CT867987:200222:202249:1 gene:GSPATT00027668001 transcript:CAK56639 MQTIEDKIKLCLTVGNLTEDINLELTKEEQSIEMQEKIKDDPVKIVYKEIQFKQQELMQS TWQVLDKVWNCPIFNQAAISKELYQNLSECYYLLNKIHQNAIDLTKKFNEVPDFLTRFFQ AIKITFIGILQLEQIGLITNDKDIESKQINQQYNINSNRQKLNQKAICILVEFLITHILS HLAVLFETIEVRREKYELRQLVIYLSKLQTCFEQLPCAAQLDIEDIFCYDRSDPRWQGLN SIISEVVLGPREQISESYGKLADGIMLANAMISKGSEFSSEAAQQVMQGFGALYYLVAKK EAKLKANHFMAEPNKNLIFKVWNLGESGFLSKLLPLLFPQITYNRKIYIPQLFKPLVEDN ILQQYKENKINRIQNDCGECLNTNLPNCQELLLKNKEKVIVRVLCHYNLQEIQTLSLLQQ TLKLIQGQKIFDQIVIHIHGGGFVSMSSRSHQTYTRKWAKNLQVPIFSIDYRKAPESPYP YGLDDCWQAYMFIMNYIDKYFNIKPKKVVLVGDSAGGNLVAALTIYIIKAGARIPDGILM AYPALCLDIKKFTPSSLISLNDPLLHHTVLKLCISSYVPEKFDASLDPLMSPSIASDEIL ARFPPTRMVLGTNDPLHDESFRFADRLLKLGKDVKITEYKWMPHGFLSFDVVQGMKEAEQ TVLDAQKIIQNLLQY >CAK56640 pep:novel supercontig:GCA_000165425.1:CT867987:202666:203712:-1 gene:GSPATT00027669001 transcript:CAK56640 MGNKIQIQLNSKFYFPNQAIQGIVIIEESEYTLKEISKLYVHLQGEETWEKGLACVESNN FNIFYSQFKLLLDINDERNPEDQKLKITKTIAIPFTYSLPDNLIGSMQREKDFKLRIDYN ISLIAETLKLDRITLKKLPVIINQNAVRQNIVKSISNSNVIRGYLNKPQGISKLECKYSG EEFSFGDQINLEISIDLRESKQYIQEIVMSLNKRTTIMAKEPKVIVEQISKLSLPGVIQG IVQTIKKSILLFDFHNRMELSTTGQLIKVDYLIRIQAYSTLFNINNNDLRIDIPINIFQR IIKLPDLKFEPNQIKNLSKLYDVVRL >CAK56641 pep:novel supercontig:GCA_000165425.1:CT867987:203717:204552:1 gene:GSPATT00027670001 transcript:CAK56641 MNTEISRAELLQWVNDTLKLQLQKIEQLGTGAVYCQLVDAVHPGKVAMNKINWKAKQEYE FVNNFKVLQQTFSKLGIQKPIEVEKLTKCKYQDNLEFLQWLKKYMDQHVVPKDYDPQSKR GNAELDDQAAIIPKRNPERSRTSIRRDTFNSPNQLQSSSSIPKTNSFAHMEPYQKKQPSM QDLLIQIETLKQEKDYYFQKFKDLDFFIEGASQLTQEQMYKGIKDILYSTVDKSVIVLPN GELEVQGLPPSSEEQSSSQDVMQQEIDL >CAK56642 pep:novel supercontig:GCA_000165425.1:CT867987:204565:207644:-1 gene:GSPATT00027671001 transcript:CAK56642 MQQKPQLMLSSNDNLEDYKDPNLDISVDDVAQINDDFYEQKPQVLSIPESLSQNMLTQIQ EYSGFPAHQELNIKKAMTQKENRKFTIRVYYFVKRFLTKLSVSRRHKLFFKSVHFQIVGD KASGEVENLVSNSFSVRKKKKNKQSFICYNPITELLRVMPIIYPQSISKIIWDLCLCFVL IYFFIMIPLQLAFNTSLMYMQSIWLTTPFYVFLISDYLMKMNTVYYEYGQPIVDRYLIFN NYLKQGLIIDGISLLVLLCGYFNDYFLQSRWLNLSLIIFITQYHYFAKIIRNVEESIHLS KIHSSIMNLAKLLFTILYFLHIYSCLWYFIGSYANDIGMVNWLDSRNLTDASYEIKYLEA FYFSTVTMISVGYGDIVPLNSLEKICTILFMFTTCVQLSFSVNTVGEILNSISLSAENTT DKIRIINKYMNRKHISFELQYQIREYIKIYWSQQMQQENEEEEKLITSLSENLRNKLINE ANFSIIEKCDLFNQTFSLETKKKLIKLFKTVLITPEQIITCELPHYNEPCLCFIDYGTLS ICTNFTDRSDIIKFSQGQYFGLDELLTGQQSKLQLQSQSFVKLLVLTRSDFINTLQDNPQ DFESFCKMKDEIMMHLRDSNTKCKSCSCYGHDIQNCPIVHFVIDKERVIKSHQFYTQQER VPGLRNRSRIRNQFNAIFDQWFLQEIAQMMKNQEDLPIIQFYNIKQIDPSEPTQSKKPVL QSKDSLIANEKESLQYVESNTQTNISPPKVNDHQTFYPQNKIVRKNYTRQSIRIKNQGVK LKFQRIIKKVIKMQHYSKIFTKRNELEASQKFNKNIKEIVDNYFDNPQLKIYLNKFEFED LYFLRMKCNLIQHSEYALVKPFEVQKEYLIYNVQNNLSQIIKRSDLYLDQLKIKQFRVDM YNSTQILMLSQDERKSILQESLIKYLSYPNIYFKKYHDVDKPNENSESIGQQKAKALFKA YKKRQIMRQNAFLLPIVKRSFTRSTKQTLHINANVIVPIS >CAK56643 pep:novel supercontig:GCA_000165425.1:CT867987:208385:210236:-1 gene:GSPATT00027672001 transcript:CAK56643 MDQKYKVQSLMNNYVKKEINRSGVVNVKIYLNNELQHSIQFPTLNQSTYNLVNYLKNLLN SPLVVGFQTIDQNIPLDFYLTQNQDLGFLTNQTIQLKPLHAIQQPLISLDSFYFLQCIGV GGFSRVYLVRCKSNGQFMALKMISKQFIQEHDKFQIIQNERNIMVALAEQSDSHPFLCKL LSVFETKNWVCFALEYCPGGELFQQLKRVKRMDEQQAKFYFSQVCLAIHHLHENGIIYRD IKPENILIDQDGNIKLADFGLARPNMKDDLMAFSFCGSPEYMAPEMLLKSGHNYQIDHYC LGALLYELLTGLPPYYSNTPEQIYKNILSHELTLPTKNCSVESRDLMYKLLQKDVFNRLG KDYGIYEILKHPWLQDKSLFKILNRHYEPPFKPDLMKMNFDQNEITRGENNFQRDLQKSL NSESENVFTPFFSNFYFSSITSKTQTRSSSITQISSSNIDEKINNLLINSKAKNVIQTHT ASQPNIHCQLKQKKVSQERSSDNNKENIMKNFSSLITKTCKKHKKNNQSMPDQSCAVSSE MRSLSTQKIIENQRVPSTFNTTLLKSQFTETDDDSSVNKTHKTLFGEAKSLNKNFTNQCT LLRFFKQ >CAK56644 pep:novel supercontig:GCA_000165425.1:CT867987:210537:211382:-1 gene:GSPATT00027673001 transcript:CAK56644 MDPLTRYKMKLEKDKQHLQEYERIQKQKELQNEMKLKQKNEKYSNIQKEQERQKEIARLK AQLRRNHFERTLFQSQQIEKEKLNGYKKKIEKTLDCLEKYENGYHKEFMTSLHRKLSNHS HQIECVQQQSEAILENKINKINQSLELRFKKSEDVLSNLSKQNSERKKSEKLKVETYQQL VQKHQEKLQQLREFKAQQFDDKLNKVEKLQKLKEQQQREKQLLRLEADKLKEKMSKEFEQ ELAVKIQNSIIQKMKSKQIKLIQN >CAK56645 pep:novel supercontig:GCA_000165425.1:CT867987:211402:212778:1 gene:GSPATT00027674001 transcript:CAK56645 MQCNQKCFAQPGQTLIDLAIINNCNVWRLKKANKLEHCHLIPGQEVIMQYDNKRVLQRDL LKSINISIPILYMWDRDHIQGNLQICYDYLIFTVNSKKLSKEHKGKEDHLNFSIYVNEIY KIIFNPIAELMQIIIWGICGNRVPENQKKLLIELKAIKGETKAVKAFVREFWQMKGKSKR MITMEAFTYLIQQLQHEHESVTGDSFNTRVKKGESNIECLSSVYNFNYVEINEKNGSMEV CKARQQQQPKEQAKVSLSEESRIISMKQVLEIADGMPSYLQTKPWFLLFSINRHGSSYQE FLRRTEYAGPHVILIKDQGKKVFGGFLLSSWRLSKNEFFGQGESFLFICLYNHTRIFKGS QKNRCFQMADETGFSIGAGDKYGLFVSSSFSKGESNPSETFDNEVLSSEVNFQIQEFEVW GLDEEAVHQLKFQDQKRSLFTK >CAK56646 pep:novel supercontig:GCA_000165425.1:CT867987:212794:213174:1 gene:GSPATT00027675001 transcript:CAK56646 MNKQQCCQQCDSNENVLVCVKCSQGLCDECFQQFGGKDFEALCPVCQMLSLFRQSQVEIC EACADIHESEQEEMECLYKSMNLMKEKMNQLFLVGQQRLKRVEQLKQQIQINENEINMLK AQGQIL >CAK56647 pep:novel supercontig:GCA_000165425.1:CT867987:213244:214338:1 gene:GSPATT00027676001 transcript:CAK56647 MGVCCTHSNVKFENLIPPQLIKEEIKYDQFALKIQKHYKGYKARKLYHKMKIKTEESPVV HHPKPEIAQKLSQTPTDYALTVQKALYKLGPFRYNDFINSDDPNKRSTNFQFTDEDKKLP FLEPHRLIDGAIYIGQWKNGIKWGKGKQIWPDGSVYEGFWQNNKACGIGRLIHQNGDVYI GDWLNDQCHGFGVYTHSDGTIYKGNWVEDKQEGDGTEEYPDGTIYKGQFLNSMKHGQGEC FYSNKNQYFQQITIKLQRGILVQFYSRLQGSLMWSDGKEYKGAWENNQMHGYGEFKWSDG RSYKGDYKHDLKDGEGEFIIDENTRYKGSWKSGKQHGDGVLVAKDFARTGVWCEGIRIQW KDKF >CAK56648 pep:novel supercontig:GCA_000165425.1:CT867987:214466:216398:1 gene:GSPATT00027677001 transcript:CAK56648 MSEEIQQSNSGQQLITNYFNQNPENSMDRLKQMKKRKIENEISKKKMNTKSKSDEESSND NFPQAPVNLPNCQKIYKYLKNPKEICNEAGNVGKTQMQKSLEESKQKMSTNDITNYMKSK EVKIVQQPIQKPQDEEANKLQQKLLEKEQIEKQLRQEKQQLESERQELILQHNAFKKRTQ DVFAEALKQVEELKREKMREYLERERYRLGEFVSSRNNVRFVEEWQDGYEIKQVKESLQK LENERTSLEQQKNEIKDKQSTKNQKDKQNKLFELDFVNGDLDANQKKLRIQFQLSILKKE EEELKLKLTKLEEEKQQLAYKTRRFMEEQKADPRWPLIAQRYQTLGLLGKGGFSEVYKAF DLQEYRLCACKIHYLNPQWNENAKNNYIKHALRENDIHKRLKHVNIVSLYDTQEIDQDSF CTVLEYCDGTDLNQHLKKYKIIAEKEAKLILRQVLAALHHMSCSLTKIIHYDLKPQNILF HKGEVKLTDFGLCKVLDQDTTRQELTSQGLGTYWYLPPECFMEQPNIQISTKVDVWSIGV ILFEMVFGRKPFGEGMSQERIAQERVILNSFQVKFPQKPNVSQDCKDFILKCLTYNMEAR WNITEAFYCNYIQS >CAK56649 pep:novel supercontig:GCA_000165425.1:CT867987:217415:217704:-1 gene:GSPATT00027678001 transcript:CAK56649 MGSCSSKKFQTYYSTTNQSQITQDHPFPRMSWANKYIQQPQERTITPYNPNSLEYQYNVE VEGVIFDVIAPPDFLDDDNLEPFENDE >CAK56650 pep:novel supercontig:GCA_000165425.1:CT867987:219688:220138:1 gene:GSPATT00027680001 transcript:CAK56650 MQKILNFREYFLNPKGIKIVPTSNFKRATPVEALFDQSVVLHRAEKQLEKNVVAFKLQPY KYSLNKPEIKQYFTQLYGLNVDKVNTINYMGAIKRSPKGGRYREKDFKKVYLQLNEEIEP FFQKIEK >CAK56651 pep:novel supercontig:GCA_000165425.1:CT867987:220165:222560:-1 gene:GSPATT00027681001 transcript:CAK56651 MEFVTALVFIFIACACGIVWAIFNWMAVHKVEIHHKHEGLTELLQGAEQEKIETLLEIGE HIQDGAQAFLREEYTDCSVFLAIMAVLLIFISPWSSLAFVLGAATSMLCGYLGMAIATAA NYRTAFSAITSLANAFQMAYRGGCVMGFLLVSISLSILTLIIIIYNAIIVKSEDNNFEDL VTMFDYVAAYGLGGSTFALFGRVGGGIYTKAADVGADLVGKVEKNLPEDSPKNPATIADN VGDNVGDIAGMGADLFGSFAESTCAALVVSSTQLRVAQGDSYVIDISQLMYPLMVSAFGI GICILVSAYAVYISKVNHINKIESTLKFQLLLSTVALSPIIIGIAYWCLPADYVMVAADG SIQLQELKPWHAFLCSLMGLWSGLLIGYFTEYMTSHSYTPVREVAKSCGTGAATNIIYGL ALGYLSTIVPIVAIAITALLSMKMLSFYGVALAALGMLSNLTIGLAIDAYGPISDNAGGI AEMSELGENVRESTDALDAAGNTTAAIGKGFAIGSAALVSLSLYGGYLTRIQTYKVGDQY PFAEGAKIDDPIIFAMLLVGAMLPYAFSAFTMKSVGKAALQMVEEVRRQLHEHPGIYAGT EEPDFRACIAISTKASLKEMIPPGLLVIVTPTAVGLFLGPYAVAGLLPGALVSGVQMAIS ASNTGGAWDNAKKYIEAGFYRNEAGEVKKKGSDEHKAAVIGDTVGDPLKDTSGPSLNILI KLMAILSLVLAGAFCRTGWLYKPQ >CAK56652 pep:novel supercontig:GCA_000165425.1:CT867987:222717:230128:1 gene:GSPATT00027682001 transcript:CAK56652 MMKKGEIEHDEYKRPPNLLKAKKHGIAKRFAAPMPFTDFDKGSVCPCCGYPYKNQILPLC VSISEFSFIGSGIVLYFDFVIYSQIILLIYMLVSIVGVYTFYDNSQGFRCQIENKGTASG CEEDIYNKFSRSNVYKELDVVASYLNFAAIILLIISNLIYRRHINKICLEIDDAEIEASD YSIMIYNAPKNCKKEDIRSYFLQEEGMEELELRKICMAYEVKPFLQLKIELEEQQAELSH VLDLERQKKKSSKSKAEILLKIKDIHEKFDYVEKYKEIIFRFTGVIFLSFNYEKHADQVC DQFQQTKFQLLLEQLNLKKYQNRKFLGNSVIVRKAPLPGDVLWENLGIEIKEQYKRIVTT NVVTAALLAVGFAMIFGLSYMQEYINAQVNYDPTTTAVIINFLGFLASLIISFINSVLSS TIIKLIIREQHSTKTEYDISIAKKIGLAEFINVAILTLLVNILIKGQNETTINTMYQKGG LNSDVMWIFMTDSFMPWFLFIVDFEHIKKLYIRRQIKQLGSSCKLTQKEANEAFEGPMIN LAEKYPAIAKTLLMALFYAPLLPACSFFALASIMGIYWIEKILLLRRDSKPLPTGSDMAQ AMVEFYIDLVILIYSLGNCVWEYTIFKTMHWSTWISFIICSIFYLLPKERVLEFILKIGI DNATEESYDARSPTFLDDYDRRNPVTAEEAKRIWIENQQQQNSEMNQINQLLVCLPSNLT FQSIVADFDDQSQVIFESSSRVQDRKQLQSEKAIILIKLMNTIIIKRKLCTLYEIIREQK QQTYLNPDFVWLMYTGTRFSLILRLDQFNEKEYQDQELILVKNIINWSHLLTPISQIQLI TIKSVLAIIIAAFHILSIFMIVFEIKQSNFLNQYQNIFYTYFQILILYPSFIHIYQIQDH NPNNILAWCCTIPLLINYMIFSYFQRNYLLPIQNPFTKRYRIQNYLQNFIEITVLIAIPY FEEIVQTTVMMLLFLLQLIDALFNQPYSMQINLNYCFCSSILLFGSAIKCLSVSFSQKEY LFYSFMLVPLFGLLMKRVLILTYSTNLEQNKLSKQLIFQIADEFYSMNPITQNKILFILK NKYKIMANKQATEKWQNHYIFILLLRLYDTYSQQQKTSEEELQLYIIFFIFQTQEKLNLS YIQLKQHQMQSSHQSVYFQIIKIYCSNLILHKINQDLKSSQGRLDISSVKQSHSLVEKSM PLIIEILILKNKFLDSLSIGYDRIGQLSQKCINLSGKIVELKNLVFEDMEIDILVIQKQI IKLNILDLRLLSLVFGSVLNDYHITFQIEQRIEDLLNQERNVLSRDIQNTSLLNDDVIIL QISMMKTQGLILNKDKTKILKYFKFPNDESFKSISQLNQLLPEYLVAKHDRFLKEFLTTG ESKLFNTSHDVFPSYYSGFSFLVTLQLIPSYDEMDDYILSAILKKSYENSDFIIFDESGK ILGLSDQMLQLLVYSDSDFSKSLLNSYIYFWFKDLLMIINQQMESMLDYTQQFTLYSQAA QIQPITNLQELIQCHDLYYKQQFLKSNRTEQDQQNEQKQTLKVSCYQINYVIEMYDFINE NISKFTQNQIGFQVTFNLQFQKMQGQHPLFILQVTEFVEKQLKFINPKSIGTISPYSSIS KIRSPPQQSDLNSLSNEDMDELHQELVINQTIINKLILRQEREDARVFIQNGQDGEHFQA MMEKENRESNLISPRSHREILMQKGLIEDDSEDIKGNESNVIKSSSQRENIQLGNKQAEF QIENQSRSSATSDRTQNSVYHLIRNLQYKITYQTGIVKAICNTICLSAFLIILVGVQLNV ERNNSDELQYRIPLVRLPQRFNRLYCTFTAIGQLQLQNKLLNVSYGEYYEYRIRNESAQK RNEIQSLISDLKDQFSHLQLPPLTIRIINEYIYQLDNTTMLQFDIIANEYTSQINQYLQM QDQTLEDVFKIATLLEFLKGNIIAQLKITINVVDEIEREFFDFIDYSSIEQLVFLTVMLL IILIFLIIQLHQWQQPYKYMQVVLLLISKISDKDIELTISKTLYLLDRIISNPYQTKSIN YFRDCFWYSKKIYSFQSVRKSSEYSKNNQNGKNVQQKQKKSSRIQDTSLSILNIKIILLL IWLCLSGFAFSAYIIMNQNMNASLPELRLTMEFVKFKQDLDGIMIICFLLKNQQSLIEQT LQAFAFNPELNTRDKYFQTQYQELIEEFNPLLVEMDEIYSRIYGDIVASTKISDDNKNLL LNLYEKDLCQIIPSILPFCAYENGVFLYFPTYPSTSSLFNNQQTYKYVTYQNIFRIISIL NTHYNLEKNGIIDTNLTEANLFLENSEFIQIILPYFFDLSYAILEFYYTIIYSTLDILES DYEMIFEFYMAAGIGCLIILYSVTLIRAITLQRKVRLVLQAIILIPQESLIDQAIIMTIR KIDRTL >CAK56653 pep:novel supercontig:GCA_000165425.1:CT867987:230234:232617:-1 gene:GSPATT00027683001 transcript:CAK56653 MDFVSPTVKKQYSIQNILNDATSMLKKNGNRKVILLLDKHFMSYSQNDDASLNIQISRRL LKASQNLLIEYIQRDNISKVDLLLDRSNLYIAVLYINVQRKLKNEKGIVQYEELNSAQLE QRIGLSRLRQRIRQTICIPGNLIKATQLNRLKEKDKIKFYINECWVLLLKQLIIYAKIFK STNEIPNSLRMMFKVDHILSIKEFHKRKNLEIMKVQIEHYQNSGQSYLQLREFRLSLQQY DLALKILQDLIFTILKKNNTPNVIDDNDLKPVQQYIMKIILILYLESLCYEYLSEYSQMK ECIHLSQWLCSEVLRLEDEHQLHILIFSRAQDIQKYFEVILAECEIRHIIFSSFCDNNQA EMKAISAQIKEDYIQLLNEKFFLKFHHQKLHRQQFFKLNLKSPQSSQPYLLYSVPKGSRT ERETTTQQLSKQYQSFTTQDGVEQQYSRKFIQVESETKLLKQNSLSSFSRKTRPTDFSQY CKTEQSDYPKLDQELAGVIVNQLKQEKPFFMLSDIQRTCEDEISENQRQQQDYELGKAVL MFKKQFSRNAITEPKEADSLKQLNNQIKTEFQLISGYLEAQEHLKRKKQKQKLFEQMQKP KQKKQTPLRKIFLKHSNTLGFKQIKKKLQHLEEESQLMKLYHLISDKKEGEKEQPKEDQI LRKMIQTNLNAIKVIMDENQKQQETAQSQLRKRRPISVSAQMKPNIHPQSPQLRDVITDL AGSTQYLSTKGQSTKNVTSMVELANLKKKLNSIQMKSPIRYSQLFKKQ >CAK56654 pep:novel supercontig:GCA_000165425.1:CT867987:234210:234527:-1 gene:GSPATT00027684001 transcript:CAK56654 MDYRDLNDSMEIYTNTEEKKTEIEIVKYLNNLGIRTKTDPLQKEQEYWRRKKLETQKKML SILCLTESTQSLILNEYASKLLHHRVSQSFFETRMINNTPTMIIE >CAK56655 pep:novel supercontig:GCA_000165425.1:CT867987:234870:236496:-1 gene:GSPATT00027685001 transcript:CAK56655 MIKNNIRPRASSERLDRLAALENQTSLEWIMKNSQMLEMKSNIRMIPKDTLSLNIHVPEH EGVATIWADKWFGQKKISQFRGLLIYDDQFCLVLNDCFIEKKRYQSSHFRAYFLKLINQT GQLVLIFSHPLSLKQWNNCIKKFCKRNNFNKKFKVVELICQNFYQIQHKKQKKLMSSQVI NLASIQNYDQAELLDNYLKILRNSSINNYIETLGVFEEKQMLFIVCKYFKGNSLDQFYNQ SKKQYLTQNQIATICISILLALKSLSEEELFHGKISFENILYYSNLKIQFGTYLINSHYK FYDQSTLFKYLQSTPGYLIAPEIKQGINPSIQTDIYQLGMVLGLITFFNFTKAFNQDYFL EQSTSLMQLIVQQEKLIIKQKESYDYPQLFSINQLDLIKQMIQPNPNLRISLNDALKHVW LINNKEKKVQKLIPNQIAFPSLRTIIEIKDSECDIKKTINNFSDENSSYVKQLQDPNSLF EQIKYNQQVNSETFVDSNDDDENLLNDKIEKLNQQNNLVPSFKEHCCMYQSRCI >CAK56656 pep:novel supercontig:GCA_000165425.1:CT867987:237401:240296:-1 gene:GSPATT00027686001 transcript:CAK56656 MSNHQKNQKLPTSNNERLETQREMDQHLRTSAARKSSFLPSRTKSLGQRLLNFVGKQISV DYQERLVLKSLQAENNNAKKIFEKLLARKNNLKNLTNFHLSLLNDKAIENVSLFQRQTSC KIFIDKLLTKKLLRIFKPFVDNLTQHIKALPLLYPESTKIVLWDILAISSKLYFLYLIPL ELAWTSRSLLYNRYYSSTIIMLIILVVDFIIGLNTAYYNAGSLITNRIQIFKHQITKSLG LEWISTIILIILFIICKSTDIMINVTENPVYLILLSVLSHQINVHYKTSQYELALNLSKK VSSCLELLKFLLLLFYVIHLFSCLWFWVGNYSRENYEFTWLDTLKDLPIIDWTDEYLQSF YYVCVTMFTVGYGDITPKSGLEKSICIFLILISSIQLPYSINTVGSIIEKISDYGEETRN KLRTINSYMNKKRVPYNIQNQIRQYLNHYWESLQGQDTEEEKVIISQLSENLREQLIIQA NSRIFAKVPLLQLNFSHQLQQSLLKKVQSIQLQPEQIIELDNKISCYFVDEGEINLLIES GLVIQKAKKDDVIGLENLFLGFQSKNQRLKSIGFTKLQILSRQDFLQDLKDFPNDYEKFC AIKDDLLFNFKSSYIQKQCDSCFQTGHEIINCQMLHYVPQKDLLIKRCQYPKKQTRREFE RRIVLPELDNQEFNETKSQFIKKYYIPQQAININNIKINDKLNEQNTLSNIQDDVIEQQD QKMDNLRVSTTLNQKQQFKRESVLAISYIPGNNIDQIKRSINNNKKVGLNQSNKKQQLDI QLESIGLQRQNSLTSRRQSQAVNMMNIYQLSLNNNAYKQNYLQELISTNYDINFDEELRA RYDNLNQIKNMSPEDKQAIELLYLKQVNKQKYQKKGLMEFETIKCYHDYFPQYNLNRQIS KANKPGNPLLKTLIQKYINYILYPSEFVSKFKLLQSDQQRIRLNTEEEKY >CAK56657 pep:novel supercontig:GCA_000165425.1:CT867987:240339:242152:-1 gene:GSPATT00027687001 transcript:CAK56657 MSILNQTKQNINSKLFNKYCQSQNYYFTRDINEILNEAITKSTVRFKDWNYYDEQDEYLK RIYHLSEYPVKIQLLAEYYKFHHDIARMFQEPVATILNKYYDKKRKIEYYRIAKIIDQEN RNNPHRPPKGIIGERPSPLNSQLSQPNKEQDVPESNIKNIQILKELSCLDQLQQQIDKPT RIKFDVSQTLNEICKQIANCPDQSSLFIPTNNNKNEEIKLNHFLDFINQQQSKQQLPLQK QQTKLSQPQEKLIHSIIKQRLTNKQKSTDIQSFLKTQNFDYPSTQSTIDQRQSKTNLHTE ENIIKIDSKLSESLKNRSKLRVNKEPYKSSSPTNQLNFNQNNTQQILKTQQSQFKLNGNN FISKLLIEDQIIESDYKWKTGALTHRPTTGSSNHFNYSPQIQKMKLDNCKLLKTKTQHQP PQFHNLKSQPTNRNKEISRKTASQNIHIRQGSNQERIITLQNDHHRTQLTNHVFKEIQPF QSSTHRKQVSDNRALFQKDYQQDYNCLTERRPNVEQLFKNSNLDYNSLMKTNYNNIQSGS FQNNILNNQQQQNIQQLIRKVAKQNQQLYLNNYKNQQNHS >CAK56658 pep:novel supercontig:GCA_000165425.1:CT867987:243797:248801:1 gene:GSPATT00027688001 transcript:CAK56658 MYCLFLIEYFKQRSRDFIEFMNESQTEINSTLIMIIFSFQRVSLLFDFDKFANKPYIDFK LQIMQHFFNWFTLQTPIQLIGNSSLNQILSYIICVYLWMILVIIFTKLKLRYTLQSFFTY YQLLVLMPIIKHLSNQLQNEQDLILNILNFIAIFICYILYFLVNRNYFLPQKNVFLRRYS YFNAMLIISDVALMIIKSIVSELICSIFLVLMFFLFLIDSIIIQPYCQEINSKYVSATSL MFFISLLKLVSFEIGQTETFYFVLLLSTSSVMIITQLYKQQISNQIYNSNISQEKMLAII DILSQTKTNPIYLHSILCHVKNKYGIWIECESFFLKIQQTILSLMNLVIEQIKTKDILVT ENLQLYKIMYIINACKKTQLGYIELKQYMTNSQRQSFYFQVISNILQEKMAEKKKQLQFG DQEKKLQLSDIRKAELLYEDNLSLMISILDTKIKFWIDLDKGYQRIEDFALSTFNLSEKI WKLRDALFNWFAIQPMKLQTRLVHFNILELKLLSILYSAILNDYHATLDIEQRIDEIMSF ERNVQTENINNLTLFNNDLVMVATSFARQRSLILNRNRGQLAQFFGFKDEKDFQNILYIE QLMPTYIQQIHDKIVFRYMKKGYSLLIEHSKEVYLKMKNNFIQAANISLLHMFENDSDYI ITGALQKVKQSYDLMIFDRRGKILGVSQQLFSILGQGFTLDQLLSRGFVYFWFQTIFQQI NEDKELLLQQTELQARGTPKSYVLSPINNFNQLCQDHDFSRANSTVKNQESQNYFTENNE TEQQHYYTQANINSIHFLREQNVSFINDFLSKFSQDKYIDQDAQFSIKYQLYFSVLGGDI LPQFVLQINDIEKLNKKKSINHPSTSYYSTIKKTLPSDPFNESEFQLDHDAIDNAISFNP PIINKINKIQNQDLDENQKKQSYMNESQQQQQLISPRGNKEILINVGYDEEDEEEQKQAY EIAKSSSQQKQNKQNVEEVIQQYKEMELEFKDNAKQSQSSATSDRTQMSVFNILRNLQYT QRYQTTLIKVFINTMLLFLVLLFLVILELIIARSNTNNLQYQIPLVRLPDKFNRLYCTFT VLGQIDLEMRLLGFQYGDYYVYRIQQESNSLRVELLDLIVQIEQEFSILDQQGKLNKAEI KIVNEFVYQVQNISFLQFNQMVNEYTEALDKLLDENDELSVINQQIRLLFLKANLNNLID FVSNVVENIVSEFFSNIDNYEFLYLVFLFVQLLIIIVAVLLQFKLWVEPYVYKQNILLMI CRVQEKDIELLLLKYKTFKDVLLNDISKWKQINYFKEFFVCRISQLRRNQKLTHSHQVEK KQLKLQQRAKLNQRIQETQYSILHIYLMLFFLWFILTAYVLSSYLFQDINITDSFPELNL CMQFVRFKQRFDSCLIISQLIKNQLLLSEYQRKSGIYFKNPEYNKTDGFFQENNQVLLKQ FKTSQDLQKEQYNSIYDNIVASTKISDYNKHLLLGLFQDDLCDYIGDQLPFCFYQNGKFQ YFPDFPLPLDINNNREHLKQGFNGLYQEIQALFSSKFVKELNGELETDVDEIVNFLETAE NLQLLMPYFFDLNKAIVEFYVTIISTSVDILETDYQKYLIYYIIFGIAASAMLFSVVIFA TRKLQRQIRAIRQALVLLPHESLLDVQVYNAIKRFEQKM >CAK56659 pep:novel supercontig:GCA_000165425.1:CT867987:248846:249359:-1 gene:GSPATT00027689001 transcript:CAK56659 MNIWEIDLCGKSIANSVSFIEIFAYYPDMINELQGLFVILILFLFNNIQFMQLLSLNSNS NIQIEEIQTDKKYQEDTKQLLQMKVQDFHKKMQKERNENLFKKKRSMAAQPPILQDDQPY PYVLDENDFEDEETYHMNNFEEIQKELEAFYSYQI >CAK56660 pep:novel supercontig:GCA_000165425.1:CT867987:249470:250657:-1 gene:GSPATT00027690001 transcript:CAK56660 MLLQNRIFNNQFSVQKKLSAGSFGIVFLGLDQSTKQEVAIKVEREENEDVRSLDREVQIF KHLGGIDGIPKLIWSGEEYGYNVLVMQLLGNDLAYHFKQLKRFTLKTALLIAIQLIDVLE KLHFQGVIHRDLKPENILLGSGKDNGKIYLLDFGISKIYRDCNNKHIPFREHRSFLGTTR YASIAAHLGHELSRKDDLESMMYIILYFIRGQLPWQNMQNVTDDERTKKVGEMKILLQQE IFRDQPKEFQKIFDYIRKLQFQSEPNYKMITFELKKAAESLKLNLDGFYDWSEIRSSTHY DSLVPHNSIEMKKSIEKQLSGILNQQKQSHVCLLYSTNQLLAPPPQFSSRNNLNGREENK KPTLASFQGSLL >CAK56661 pep:novel supercontig:GCA_000165425.1:CT867987:251824:253075:-1 gene:GSPATT00027691001 transcript:CAK56661 MQVNKCMFCTKLNTDGSFHLILTRALSSKYSQSQNFYYQKDINDILDDKQVKSTIRFKDY TQFYQQTEFMRRFYRFFESDDRIPALLEYYKYHINIPRNFHCKPINKRMQKNRDIQYCKI KMELGLYEEVKEQTQSKVKDTSDDCSVNQLKYLLKDLKLESNQTDISKMSNTTMLRDLVH MIGDSQNQAKPFEIFNQNKLIQNSLKSTIDAQKQINSNYQSTLKKTIEMQLRQVQLLRSP PLTQRIPKPAQTSRQTSAQKQRSKIEIPIKIIRKPPQLKSRHASLGNIEMTKSCLTTARN TPQQNELVLELAKKMFSTKMVFNNSNSKRKVASQQQNNFFVKGRTSMFSSQKNFEDLKSS MKSLRSKYLTPSQLCSSNHDTPKIKKK >CAK56662 pep:novel supercontig:GCA_000165425.1:CT867987:253199:254436:-1 gene:GSPATT00027692001 transcript:CAK56662 MSVFRGFSAYDYGREYFAIFVRRNFQTSQRWCEVRSELEKKLQEQKKKPESSFEMESEFG NFYCQFEKQTSQLCAYILECYFILLSNQNTKKEEQQKVLQTIIEQIKKVNNYIKLTREEF EQKLKQDILDSLSRAEQEYIETHGNLDYLLIQQSQEKKKFRKDLAQQIDKKAEHKQKTYE LIEKLIIKTKTADLEPQYKFMFKGFMMFDYVRQYFLMLINIAIPIQNKWINDRNALQKII MDNQKKPQEFIQLKGEGGQFYAKYHKEKKCYFILYTDEVIDLEKQKQVVDQVYQLITPDG KYIKMNKEEVDLKYSQQVKTILQQNEKVDTEQLMKIEGNNRQKTISPEQQSDINDPLKSN KTGSINNQQRNNLYLQEEMKPLNQ >CAK56663 pep:novel supercontig:GCA_000165425.1:CT867987:255087:255588:1 gene:GSPATT00027693001 transcript:CAK56663 MLNKSIKVTKKVIIINQKTDTISENTNLINREPSKNGGEVQKTNQKNSNQTQMNNEIDQC KICVIRKERCDLRQLQEMIDIYLKNNQKIKEIKSIVDSLKNRTSKLEQQMRQQEGRYKVK RKRNIKFKLVIIISSVSQRIVRRVMGPWCP >CAK56664 pep:novel supercontig:GCA_000165425.1:CT867987:255628:256231:-1 gene:GSPATT00027694001 transcript:CAK56664 MQGAPEVPRRFRLLEELQNGEKGIGDGTVSYGLEDAEDMQMTNWNGTILGPYNTSFENRI YSLKITCGPQYPKVAPQVKFVTKINLPCVQANGQIDINKFGILKNWVSKNTLENILTGLK NEMSSAANKKLQQPPDGQTY >CAK56665 pep:novel supercontig:GCA_000165425.1:CT867987:256292:257401:-1 gene:GSPATT00027695001 transcript:CAK56665 MQSDYFSNKYGKNHHSTQQLRSMMSMTQTIYSVPCMNCENLIPINEIDIHTMKCQSVSKN VTAVLKSNKILDEINFKIQKLRESILQLNQKESKSDNIKYLNRADEMSEQILTIHNTNQI ELRKLQDLNQELKTITESYRGSLAIALYLERLHSLALQKQAQLEKEIRMPKVDTQKINLI NFNNSNYNPQNNNYSSVQSFRYQQQPEQNRTSQLPFTSQFQSPTYGRPTMITKFSGSQDR NQLNDIKSEILTKISTSQFDESEINSNEVDLNNSNQFNQQQRIFYSKCLAQKTKLPNTHP SQKIPLCILHKEMIHRKISNGLWDKFIIDALNNPHQYLDMNKVQNTQGLKNQLRSMTQEH QFKQRIHNI >CAK56666 pep:novel supercontig:GCA_000165425.1:CT867987:257528:257905:1 gene:GSPATT00027696001 transcript:CAK56666 MLFDLCNTNKIFKYPTKSTQSYSFLQFASINCCLRKSDILFTHSNRLLAKYYFNSYDLLF LFVFINKTQKFFGIENELNELQLLTMQLDSFQWLWELSCQIFYQFDSSLCITATIMQEMD GTIKF >CAK56667 pep:novel supercontig:GCA_000165425.1:CT867987:257978:259305:1 gene:GSPATT00027697001 transcript:CAK56667 MFRKKRPSHLQLPDSIDKLSTPAILSQRTPLSLQSPKSPPIIHRMKSFQITTHRKLPENS FAFDDLVKTPFDEEIMRFKHRSTANQHLRLESIYKFVYEKKLHGATKYNLNPKSHQQALP VPNGSISIMLNKQKKDQGFSISQFKKKIPPKSKFKMKWKTIQWILLNRKDAIDQIFSNYQ KIIQKAKDKKEGMNKEEFSELLNSVQLGADRNLAEKLFYVFDEDQSGTVDYKELIVGLEV LKDDTIEEKLKIFFDLCDLDGSGKISEKEIFNVLKSNIVSESDKYQLRQSIKEMIKNCDS NGDGELDKQEILAAASNNAVLRRLLEQTISNVKRIDTIIQNDLEEPFHQFVPATAHFVQQ KEGIHFPTQQKILDMIQEMESIHETAREYITPRNKHSSLQNSQFYQGLQETKNLDDSQN >CAK56668 pep:novel supercontig:GCA_000165425.1:CT867987:259596:263671:-1 gene:GSPATT00027698001 transcript:CAK56668 MTEEDDNIIAFEEDDDNVPPKEQPKISTRFKHKQVRQQEISNEQAQEASEDGPVINFTKL FKTSKEAGATQGIKVDSQYVAPMAKSLFLNPDEKEEEDDDPGVLKLEDPEDQPLPPEEGD VFIKSKSNRSQRSTPKKDESILDVIQKYDGKNMENSLEFSVHPIGKGTTEQFPASQENRD ELVDTIFSQQLKIGIQSTDPKTDFYGISMLTQKGSLFQNALKMSLKRAFMTPILPFIIII TILDYTMTSVNQFQFFIIYILILASLPTFLWYRVYRQELDLEFKFDRQLVSVFDFAQKRF STRSIIRLFPGCIVQLKKGDRVPAPMIILSAEGREHAQVNFGDDIKTYKVAAPKLQEPDL TYIDGQLEFNQLPIFSENTKARLRLDLVEPIDVQLNHDNLVEENGIVESSELIGVLLHSS TRQKYLREALSFNLPLYLNPLNSEFFSIGIAIVLSITFSIAGSIWSSNNKDKHLYIREQS NYNFISLLIDRLIIFSNIVPIFVEVFRPIFLLLARKKVIDDIGMDPQRSGQLTKLNSYIA LDNLANTKFVNYGQVLNEPKLRVGKATLLGGPQGEKKDLEKNNDDVKLQGYEPKPNKEIM EDQIQVNQSTAFEQIDLEYDAQCDDKERSTFVFERALLTLPYKTLNPTQLAVQKYCQLGE CVQFSYDDNQRSVLLPKEVLRTYTVKLIVNTEQWDAYLYEMPLLEEKDLESDDEEASDLQ QLQDRIKKDRELFEKMNSKRYIWVLQADIESTLCKEHPQLSQFMQGKAIFEYTFQEQEYQ RLYKQLGSSAFKRKYIQLPPFAEEQLMTQIKPCGVFQFQTVAHHNFHLLLDMNLAIIRQW FFIRDVERDQTLQYLKQYMECVEFTKDDLHNEMNSVKSQAAPLFKQSTREFKVFDNLYKI DDKFITLIINQEVLDQALSDGEMRSQFYEVIMIASFVVFHDLTKIQIEQLITFQKDQSKT QAIVSVGLHDEDLCLCKVNTIRASVQSQSAKNPSLFSAMSHVELVSLDGLSKLILTHARQ THVRTAGFLLFILYKGFLITMCEFFFSFFNGYSSTQLFTTSFHFMFQTYFTPLYGFLIFS FYSDVNHRVIRMFASEFIKCQRDYYFNRKKLFLIFFDSVYQGFIIFYLPANILQYANNQD GIIEGFYYISLVSYLTVLLTTQMQPILYLSTLSKEQIVVIMFNITICILILFTTCSSETW STSIAQYIHHLEAILDSVKSVFIVIFLSCISLTPTIILQQFETVSDSQLIRMIHYYEEKE EVEEEPKQKAQEQKPSQPVDTKQLQTKGMEQSIMIEQTSQDQINMSQDNLLQK >CAK56669 pep:novel supercontig:GCA_000165425.1:CT867987:263822:264655:1 gene:GSPATT00027699001 transcript:CAK56669 MFQNHKSIGMMNIPTRSSEHIRSSRLHTKTKTYIKPKERPKFKQFPTEKTMPTLGSLNSP RSEDEGDSTHKSQFSFRRTLIDTLSLRQSRKTSLEREKQMEDNRQERIENDKSSDKQALE EEAKDPTLDEPEIRPKKESQSIRPKNESVSSQFTQLTDEIHSVWDNMDLYLRGVQFDDFD HQNQLQSFLQLYKMRSGGFPGAKENLQNEEVIRRAVEYAKGNRQVFKKYFPTKQVVFEND EDYTQNYDVNADPNFRKFHIYLKNYNQQS >CAK56670 pep:novel supercontig:GCA_000165425.1:CT867987:264706:266579:-1 gene:GSPATT00027700001 transcript:CAK56670 MQTRIQQLSQLQPDKRILNYTFSQQAVIGRGSYGIVYVGMNIDTNQVVAIKVVPLQCDQQ SLRKEIDIMKDLDCPNIVKLLDVVQTPNNCYIVSELCTGGDLREYMKRHGCLTEQQALPI ITQILKGILQSFKRGIVHRDLKPANILITAENIFKIADFGFAKRFQHLEGDLMSSLAGTP LYMSPQVLLRKQYTSKCDVWSVGLIYYELIEGRTPWNVMDILDLINKQRNTSIKFSKKIS PLSQQFILGCLQYEEQKRLGWEQVFTHPLFDNKFVMKELDQKSQRSQHSTSQIKNESDSS NINAKLVAVNSPPQTKSPLQIYNRQKTSQKELLSKVDSPKQEIRQLFHHSKTMMIPQTLK KDRTISIGNQPQSTRNSGNKMFGENQKGFSRQRSENDELQNNKQIIQNQIEFLLLMKQLR IQLLDYSQVTIQQTTVYKLQFIILKNIMMKTTQLKHGLIDGNVNNFELKDFEIFKQSDTF KNIEKQILELHFDSFNSFQECQLLLNENYTLIMNDLEFMDIFNNNFEYSIQFCNLAKHLS LQFIKCNEFDYIQNIYIKQFWDMKILRFNCSHFCCATMRSSLESTLRMWNNCYRERMYKL Y >CAK56671 pep:novel supercontig:GCA_000165425.1:CT867987:266858:267462:1 gene:GSPATT00027701001 transcript:CAK56671 MINHQELSSSEEQNQIKSTRLQQQSSEVGKPQTQERTEPKESQPDLSSQSEDNSHSLDTS QHQQISTSSRNTIKLSDAKLLYKPVPIKKEPENVFNKIASTTIDSLPMELFHIGTFSHQG SFINGDQCERVVEVKTVGKNIIFPNDSYELAILEWKPRANGVKPKNSGELLKYVQELYPD LLKKYYDGIRKE >CAK56672 pep:novel supercontig:GCA_000165425.1:CT867987:267651:271359:1 gene:GSPATT00027702001 transcript:CAK56672 MSNCVGFQEGDFLQPPSQNSYSVPVELSPNNPIKNQIKKTLITGTGRQSVFEEESSNHGK PEFLRLIIAKSLQNNFINNLWNKSYLRKLHQLTEYQIKTIDDLRFSSSSAESAYDSLDVF TPYSKFIFFWDISQIVLYLFIFFWLPFKLSFGMNEICEFWNDKNKHDLEIIILSILSCDV LVGMNMAFIHKGQIIKNRKRILQNYIRYIILFIILVSLAVLTFHFFLHDNSKQATTLLII FAVVFYILRLNKIEKILALIQEFFNLNGKLNDLLALVQMITIIIYIIHICACVWHGIANI NCHPNWIDSYELRDTDIYVRYNVAVYWATMTMTTVGYGDVTAKNNIETAVNNVTMLIGSI VFAYSVNSIGIFVSNLYKSRQEYNHTVNLINTFMSKNNIQFDLQTRIRSYLQYIWQEEQQ MNEEQVGSIVQKLSSHLQDELNFQLKGNILNQCKIITKTFSLKFKQHLLLVMEEQSFSPE ERIITLNQQDDNSLYIITKGEVEIVFEGTNQNGDVLQRNSLQWLKAGDYFGEISFITGKL RSASAIARGFVRVFKIKREQLLKLLTLFPNEYEKFCCLKDQLMMKQAVASAISCYSCKSD QHLINECHFHHYCADMESLIKKEQFPVEQKRKSYDRRGYTSKLHPWLNQYVLTNKAKQYA YTNTITDNDSEENDDEENGIYQSSEDDEEQAQLRDNSQSISATQHLNDTEDEDNNRIPKY KRNIAIPHETIKTAGFGQHKQERQQQHDSPQIEGVIAESKKLINTYQVKPPQGDNRTNPK TSKKRITLVKEAAQEERQGSEQFQKTFRQATKKRSKTARGVKDHSQFTDGYLNQEGQLAA YFDKMQIFSYYFPINNYDVILKRYARIQKQFGKKRVFPDSSIYSFFILTIKKGYKLRRLG EELQNRAGPQKLPITSKKPHRKSKPTLLFGKDFIMNNNINVNYFQEGEFQGPNPQLLSNK VVFQQGDIQGDSSKSITVPEQFVIQQSPVRTPRNMERTKTMGGRRSEYQSAYDQEDVESI KDSKPQFLRLIIAKSLQNNFINNLWNRSYLRKLHQLTKYQIEQLDDLQLEQESFSSVGNQ NTKSFIQSLAFWKFIDVFTPYSKFIVIWDVFQILTYIMIFFWLPYKISFQIYFISDLFEM ENTKIIEMLLLSILALDVVVGLNLAFIYKGQIIKDRKKVIINYFNQYAFVDLV >CAK56673 pep:novel supercontig:GCA_000165425.1:CT867987:271374:273760:1 gene:GSPATT00027703001 transcript:CAK56673 MVSLFTVSAQFFIPNLDSQDNTTLIIQILLCAIFYILRSTKINKILAQIQEFFNLNGSLN DMVGLMKLLMVIIFIAHICGCTWHGLAYYSTSYSWLDAYNLRERSNGTKYNYSIYWATMT MTTVGYGDITAQNNSELLINNITMFVASIVFAYSVNSIGIFVSNMYKGTMEYSRSVTLIN TFMSKNKIQFDLQTRIRSYLEYIWQEEQEMNDDEIGSIMKKLSRHLQDELQYQLRGNILK NSRVIVKLFSEQCVKSLLQQMEEISFSPEERIITCNQIDDCSLYIITKGEVELLFSGKNQ LGDLIKKNSIKSLSQQECFGEVAFFTGNPRTATAISKGFTKAFKIKRENFIAILQSFPND YEKFCDVRDRLIYNEYSTLQLNCYSCNSNKHLINTCHILHYCADQEKILKKELYPVEQLR NTKFYRQKNNKEPQSQSMQKYHSARAHDLMQDLNQEEKGGMDVDEADSHTLPINDAYEDE EDQYSSINQQRSQSRTLSQRQTQQQEIDDDEKNIKSHSRRQISQRQTVATAGFGKVLIYP QIVTWNVEELSNSKDSSEEQDQVVLPKQHVIQQKSNENLQKPSLKRQLSRTGSNDEHSKP VAKQSSQQKHQSDLEIKFSSQREQARKITNRTRTHNEEQPTIIGENATMNNIYFPAPTLS LLVSNFDKMSIFQYYQPLNNYDAVLKRYARAQKHFGKKRMYPETSLYSFFFIAIKKGWKL RKLGNVVPKNISSFLANTKVLKRAVKKFKNEKNNILVQIK >CAK56674 pep:novel supercontig:GCA_000165425.1:CT867987:273775:276950:-1 gene:GSPATT00027704001 transcript:CAK56674 MPQFISHVHDQLVENFIQKGQSRLCQQGQSTFYQDLKGYIIPCNIHIIPQQGQNDYLINA IITKDLNYNQCVVFGMNGRLYGMTQDFFEFSQQSMQFDTYSKKLSINDLVDKGSLVQYYI ENIQEQIQLLKQSIEKHQNYVISEAQSQWQYPDNHLNCLFNTNSILKQQYNSSINQMLSF SNFMSQKTYLQTSKQTEKSAQISDFDESGSQNKELIVDGVEWSILNQSFHNSIRQMLDQF SDKQKTNRIRLVMIYSLTFKKISFGKRSLGYFVMELKDYRQEFTQKTTSQYFTTYLTKKT ESSHKNVSNYTFPMSEVEEIYSEKPIIDDDLDNQIKQINLKNHLLYLNKLEIDKQTIVLN ENQISSINVTKQKMQSYDFENSSRLLKIQTDRQPKKHLLTTRIELQSINIQHDDDDIMQE VEKEFDEIVFERKMINNQDQDQDYDEDNFDMQRDKNQKDYYLKQKTKIINEQQSNQTFTR QLSALKDTFQYLEKISSNNFTINSLKKFTYFSLCVIILLLINVVMDSLRAYTHVSENDSF IIQTLDEIKYHRICAIKLSLFITKLLGQQSIINKNQVIFQLQQKQSQYVYDYNIYNQQYL LINNYESTQQVTADQFNMLIQQFDNDMRKSHQNVEQTSILNSTFQFTNETQLIFNYIYDI VMQDLDKYDDQKLDYISASIFIILYVLLIVYQIKFLYAKQKVIIKLLKLTHQTNINKIQN QIARLSTIKETFDCNNSKNWKLTSYVQIISEDVQHEKSQKKQNHDLEGNIGHQYIYPNII AIVLLCLFAAMGLLFQQIYYQQEYLTIQKQFLKLNIMIDHSLIYGSLIKTYYILQISRSL DNSIIENFNESINNQIDVTNEIIDHLETLQERSLLDTLINDQCLYYKDMIEYCQNSYYQY NEMYSLIERGIISLTNNIQKVKNTEFNQELTTKQFLKESKELTDYINSQSFINTFLVYFS ESVNVLDKEIDNVYQVGQDQFSSYVLMIELYEIGVGISLGLMYLLYGYLTQIYHRLDFKI IILFLRTIPNEQMQLKNILHQIKNIVQEK >CAK56675 pep:novel supercontig:GCA_000165425.1:CT867987:276997:278699:-1 gene:GSPATT00027705001 transcript:CAK56675 MKKIIQKAKNFHYILHFDQANISESILLFTNFLYFAQLLTLGKHFQPNSEIVTIYSLLKS TFILNLIPEKYFPHIQILLLIYNLSLAISILTVVLLNINHNSKNWILLMITINVTLNVYP NVFFIPNLWLNIKQCFTQSILYFFIALINIIFTLVITFFVVFFQRGDSLAQNENITNTII VTRILIKLTEFITVYISFLDDTISFILEQFILVCIILLNLFKLTSISASKVQLGILFLIF NLSLYADYRMMDYLLISILILSLQSQIQFSKLQQILFNSDTILSCQLAERVYKKCLVDKQ ARIQLLVFKNNHKCSKCKAFYDIIECILKRTCKNERDKIIYANFISKKWPLRALVELLKE QNEDFYFQSAILTFQKTNESKFDISNYIYTSYQSQIECENIKSRLLSLITFMIKFWNQTI MNQFGIKQFYKQVQQVGKKIDQINKTIEKVYDVKNCKLKGNEFSDVITLRLLQIYYCIAN VDLIKAQQMEDKINDLFRSDKFRQSNTIDNNQLATSKYCANIRQIHAFNNKSYIQYQQIA LTKLLINKFIF >CAK56676 pep:novel supercontig:GCA_000165425.1:CT867987:278981:283010:1 gene:GSPATT00027706001 transcript:CAK56676 MFLAFMIQIVFGCQVYEKERNLFPTEEEYYNVYMNELFNGDNMIFQCKNCPENVTLWNTM NLIGKPFNTGYIFRSIANNNSHFVALSDNNTINIYNWQNQSLKTGQILNIGSDLNCLNVV FTLDDQFLLDCYIGDMIQIYYLEGLNLSLVFQDYAAYPLRTNLYSFRSNQINYTIYAQYY KQYQILTLLKYDDSFQNQQFINVSTWASIFQDVEISIKGIEVNTFYVLNNQEIILLKITE DEKFSSDYFFLINPPSQQMTIYQSVNKYSECDQLFLKSNSLIYSLLVCEDQPDQELYQQD EMFYQIDENIEIQQFYANDLFLILQTNNSLMIISLETKTLLRQSQAESMQNFTNSQIYFN QQRNELMIFNYYTYVYQLEIPRLSGYFQVTDTKKQMDEIYIFAIDNFGIDICFVKLKVKT LNLNDYDVYELYENQVENFYTFEQKRFVQQIKNINGPLLEIQYNQNNYNLGAFNNITFYK LYDFNKEFEMMKMIYIETTYIVGIQNKSMTIFVFELPELLDQSSVIQLDTQDHQILRDLQ LAYSFQESQVTIIIGINFDQTTLLFYFVTEQQADFTILSNITVSTMHFNQFLLTFNCYIL MFDNKIILYSFDNVKQIKVDQETIQQYLGEYVDFNPTEMTLDQQLQSSVVFVSNQYNFII FVIDFALRMIPYGIYYVDYIIQQINIVNQKLILSYKCDQGLCFDVWNTQNLLKPFYEKKL MSISQQNGVTCYSDNLFYYVKIDKKQTLIYNPMLSYHQSLFTSIQLNGSYFSSIVVEGLS ALAFGNNLYSLFPFFTFEFIRNNSYQTFEYLPSTIYNFTIRSQLNGGDKSYTTNNQSLSI LNPQLNMFIENITIVIEDESDLKYTYTFDNVSTIGQILYFELEPEDDRHQISIKNFQNAI QLSSQLNNDDQFTILTVVNNLFVLQNYNKLMIQNTNVVFNLNDTQICYQSYAYNSTLYSY CQNNSSYYIISFQINNISIVQNEQIIILPNNELYVSETSLSVISDGLFYLTPDSYLYYYN LQSKQNVIITQCNTNTFQPIVIKQASNYLLIAVFYVCQDNDKYLSYIIGNYSKINYTIQF QNNFRTIDIIETYFSNIGDFYIQHLQVIKQELKEIIMLITTNQQLIFLILFNVNYGIHDN TDIEIKFQDIISTIAPYNTIDFEIQQHPRMAGSNLLIFLSNKKITTEFLLLVYDLADLSQ FNRSSPIRLQGGYIIPQMQYNFILGFQIFSENRNKGQILILTEQNIYNFNFSTFTFCLDM PLYSKEKYITFRLTGANTYFSTTSLIQFQYETQEGWLYGLYTIIAAIMIISLIYCWQRRK APNDYEINNDEWIEF >CAK56677 pep:novel supercontig:GCA_000165425.1:CT867987:283061:288397:1 gene:GSPATT00027707001 transcript:CAK56677 MLQSIEKLFKLICQIIHPPTSQKQSNALHYLFTILNKIQELYLIEDIMQKTGMFTNSLTQ FIDYFFVINSLSSTQLGFVMILFFVLHLMLPLLIIKFAIHRDLVTQMITHKFLINYPLIL TIPIAYTSFAVFFNESIYTISEENSNRDLSFFFSIMNLLFLIFLTGIHIYFARCETIMDD NNILKLDQSIPKKILENLLLLSIIALKQSNQLQSLRVILLLIKYLLYIISSLKWINEKHF VIIECISSAITVGIVLKLSFFDILLISLLLVSIMLSVQDYVLSFYITTKEQDNFVTIQII EECLKMGTNSKHAIILKTIANNHLCPRKTKRAESITDCLLRKMANTKEQSNKNRNILIYC QYIADNAPLKGLIKLITVKTEDFYHRSSYSNLCTLLNDRVKQFQQEAQQLNGKKSSNEHT NNLDAESAYTTTNSNDLLFPILSKALTAKIQFWNKLINGYQDIDNLLGETLQTTEKMLKC KLSFEQRFDFENMKLKNGKTTDVLTMRILQIFYSGVYTNSYFAYMLEKQIEDLLKSERFK EEESLDNVQLVENRIIILKTSLVRKRGELIDVNNKQLSQFLNEKDEAIKHIKHCTQLMPK FLTQIHDNLMDNYTSNGYSKLMIHGESSFYELLTGYLEPCNINLYNFYDNEQDFILNMIM TKVQSKNETILFGIDGRILGFTKQFYEEAFKDQTLSSRQTLLVQELLIRMPLIAYYFPSI ISQTQELQAQINSSSNYLLNNLKSQWIIPDNHMECLQTSTLILSQFKKKQENSNYRSLKS QTQSRYSQYSQNTQIENYDDKDFSKDLKNLLMINENPIILLHPEYNELITRQISYNNNQN SSLEVHYSLQYKTLKMKKGEFGYFQISIKEYKKWTQSLSQTGAQTNQTTIEIQQSINVVS DNGIKSRSQQMSNVNSEISEKFDEGLKDIKQIQYFNSLQHFKDNNNSANQSKLQSVSNSR LIVQKLGNTDRVYESEQQTAGQISLATNTRRCNSNLFLQSQLTLQPQYVQPKKFDIQILQ EVNDIENEMNFIENQEQLKEQQELDRKNLYDQEDQLEVVQSNSQSEKKKSNILEKFQKSQ LRKQKDNYGDFQSNPSRTSTSSTSKEALTIVQQLYDNKKLISPLKKISLILVIICCGVLT INVINVNEIENNLLTQIDQINNVRKPLNINYFYFGVLFQQLTQLLHEKNILDLSPFLANR IKEQLVNMYEYGREVMFDHIVDVPILAKALDITTFPCKIVENNERVTLNTTLHEFYSIYF ELAEDLYRRNLECTKNCDYSDLYTQGFLRQNIVIMMEFHDKLIDQITKVTLQTQNQVKGD FLQIMLAEMCVVLFFIGIQLKIWLFVDQITKHILFLISRLNEIQAFDQIQKLSTIKYYID LESNNQWKMTNFSDLMFKYSEKIKVQKAVLISQKETDKINNNYKSTTALYSRIQKTYYIN RINVILTFALALTWPLYLMTGYLIHMQNNNDFQPSLEVTLNMVQFRHNMDASAILAGLIK SESLLPNNNLNFINQTYIIELFSYLKDNLSPIINDMATVVLDNANQNNQKSRFDDLLNND LCISSNSTVLSMCEPGLIQLSYYEQSSLLFNSKDDYSDIIKNGALGFVAGYIKFINQDFN IELTTQQYYPNKSENLQEINTQQFNNYLISYSTDILQVMRMFLILLQLENQSIANQIMSI IKAYYLGLGISLFLIYSFTSIMWVYLAQKQMNSLRQILVLIPVDLILSANIRSQAKEIHS WLYS >CAK56678 pep:novel supercontig:GCA_000165425.1:CT867987:289007:291071:1 gene:GSPATT00027708001 transcript:CAK56678 MNQSIFAYGFLNNGLVYSKQGKFEKAIIEYNKAIEESPQYAAAYQNRANAYQGLMNFDEA LGDYCMAIRINPQYSAAYFNRGLLFGKQGKFEEAIMDYTQYIKMVPDNAQAYNNRANAYQ NQGNFNEAIKDYSKSIEINPNYAAAYNNRDFGNAYVNFGKFDEAISDCTKAIELQMVNSD AFYIRGNAYKNQEKYQEAIIDYSRAVEINPQHSNTCLLQQRQIKYVENKDLFILIKNYSI KQFQITKEPQKIWQQIHCFLYFSLKQFGKANQYFESALEYSSKVSVQQRLQFQLSKEKML FLQQKVDILRSIYKELQIAQEEISDLLRTSKISQTQHQYYQFKITKIENQFLQLVPNQSK ENQVEEFRKLKQFMEEIICLRTEIVNLETNQIQGIETLIQKIKQINSFQQTKKSNIQEFR EQMSPSRKLYHRSLFWHLFNYFNAVKLISEDLAVRNVGIHYVKVLEMLPKSENLKDQDQG SVPHMSTIFSLLNSALDSVENIKNKKFESRINALRQTLQVFTRFPSEFESEIERASLFMA NNINFCFEKFKTNPFNQYVDRISILEFDFEQYSKNNCWKTGILHTLIILKYLIENFQEII LQYSFYTFKDILHRSITEFNFEYLEKTKFQDKKVP >CAK56679 pep:novel supercontig:GCA_000165425.1:CT867987:291340:299964:-1 gene:GSPATT00027709001 transcript:CAK56679 MISIYFLIWMLKAVYSEQLIRLNSELPYWIEEFSILDFIIDDGVIQRWTSWFQYLPLSSI VYSDQLGVLDSNCYHLFSSVEKESNQLNLLHYDCQDLTSRTITKVIQFIGNDDLQYSYEI DISIFEYENYWYYFDFIFFPYTNQFQIIIIKNEEVIMDEFLQIVPFSNVILIQKIGGSFI VENSRLINIEKGRKFASFPGRILPVSCNNKLKDFAAYAIQFISRSKLCRCQENPQNNLDD YDFLELNTQIYISKYNNCNSFLFSGWFKIKDIIQSDVTMTYQFIKLSSNYQNGQLQNQNL SPFQLFYKFEENSKKLIITTYSYQFPSVNLDFTNNPFLITREVDINHNIQLWHLIYVKLE EDDFQVNIKFFDMRKIYEYNMQMNIKQFHQIYYKLILGNNQQSNFNFLNIMGRNLYLIAI IVVVNVMVQRRRIVQLAPKVHQEFIFKIINNVFVPISQLMILIARTIRIFTCSQSLLRKK IQNANMGNLNQMGNVMTVQNQDAVTCLECVLNPKGWSKNPECKSSLQFQQDGSTSAFVEE ENDGWTQYFNFNGINLEFCVGCVNSSIIDLNNINYDNNAKFQPFMQFCWSTLFQNDCYFC QTSNCSLCALSITGQICIVCDWYSERINGQCIALEMGILDEKNCRTPYYITSNYDCVLCS ITNCVYCFEYVFDDLTKSTLYQNFQKFNGEDNLKVGCALCEDGYIFDFQLGECLKKSSKI DTCLRSYINQEGTEICTLSSKQDFSVAKEIINCEQLISNCLQCFITVQSILRCIICKVGY TSTTTEMNGRCSPNKRFNAKISIEGDFYIYDAWMQRIQSFMGSFLPNQYFYPKSNSYFVD EVAISCLEGSEMYQNKTCLTACQPDCIECKLRTDTPKYYCKKCPIDQYKRPQRVQRDGQC LNCPPLCLYCQERTAEEISLIQPNFIVNENNQLYTKQCIQPIFDPNVKLSTKQYIPIYCL DEQCSSNLVYDYKGPCYLYDNSMTDLLINQNINYLNVIGAQSIIIQLQFTPPNAQCNQVI RINSNQLKEQIFSLRTTNLTILGNGIRYQPKDEEVKINNFEIINIFSIDIQLSNLTFNLL GDQKLKFTMKNVKILGINQNQVNKLFNSQQFESIDLVNITIMNIVLNESSFFKIEFQLLE AQVQINQLTIINSTFINTDLFLITNSQISIKVDSLLIENCTLINSTILKMKQNQIVAKLT TISHIRIRNCQLSYSSIINYDDLTQLQIFNLQILQNTWQNSNFMIFFYNLSLTDANFEDN ILNNSILINAIDTNATSDVTFFLEQLSVINLKLMNSNLIYLSSSSQVKMIIQFSNFILQK VEGIDTFNNVQNLFKFIHCFSCSIKYIQILNTKNIPIFYFFESNEIIIESLIFQQNTQKN QIHYCQQSDEFNNQLLFVQGFSFIKLNQLKIINYENFNQAFIEILSSPQQLENVSQNIEI TNSLFQNNIIKKVLQKSIISIISIYSKKQQFVNFEDLNFQQNFFHQYLDDPTENFAGLIY IDSTQGQINISNLYCKENAITNSSTSFLVLISQSIFFNNATVSYHNVISQELWNKFYQFD LGQQYDQDQINQIIQQLYPTYVQGGVAKINCEQFVSQNSKYLHILALTSAVFDIKTLGQG QLQFINNTIQSISSIYRYGTDNFGCININSLNSYLNLEVSNTNFLNVQNGLSTVLFTISP SQRKASILLKDIQMINCLSFLNQLIKVQFPQYKEQSLFKISILNIKLIFEESAWIRYFSQ FGASTEITQMNQDNGIFNIVGGQITVEQFVLKGIILSHIFKIENSIQLLIKNMQIIDVFS FYQLPLIFVDQQVNSIIILEQLIIQRFSIYQFRSGEEEFFYITPQYVVVECQLQYLSQQD EQHQQNLISQNIQQLQEESNNIGYPLLYFISSNNKARFIVNSVQLIQNKCLSCSKGGLFF DLTQFNYVKIKEFLCIYNQIKENGCLNFKLNSSQNNQNKVMIYNSNFIQNNASQGGAINA ENIALFIRNCKIVGNRVTQAGGGIYADIDKKDFEINKSIVILNKAKVGGGIYFAKQYNLN DENFFQSVLLFNYADVYGNNLVETPTHLSLSINDIDIMSEQQKFGRNTMLTSNIRPYNVI EQGQLLKVKQLMIPSNQKINSYQIYVPSYQIFINYIHNILISVKNRFNEKLENQINSSCQ VNAAIFYENNHSVSQEKVTYNVELDQNQKAFDLSSLSFRFDPYNQDQSLLQIEFKCLFDQ NSEQLEYLIYAKALKCQLGEFYVDKGCQICQSNQGFYSVTYNTTKCSIFDKQKFDNISSN QIKLQPGYWRPNYLSDYTESCFKNPKFCIGGWDVGNELCSLGHIGGLCEECDNFDLMGKG QFYKNLSDFQCQECNEIWKSIVPFVLTSIWAFLQILITLRSIEKSNKLFSSLKVGQKYSK IIFKLNQDHESILIKMLLNYLWIFTVVFNISVSFSFNFIEQTSNTLFVMVNNLDCYLTEI QNIELIYLRLIFMLMLIIIQLLIIWAGFAIHAKCKKQLLNRSYISNTLLYLYVSNYAALI KQFCSIISTRQISQIAYIQGDVSLLYGTSNHISWIIFFAIPGLGILGLFIPFSLFFIMYI NREQLDNIKLRRHICYLFNEYNSESYFWESIKLTKKTIIIIILTYFEGNIFLKASLLGLC LLVYQLAAVKQKPYIISSLNYLDIQTGQICSITLFLAGANYVCEQENYTSILIPLQICII LLCVKLCYPFLINIFRVYFKKYKVPFVQLLYKISRYINANCSLTNYLNNQLKIINQREYR LRNNFIKIRCHLISLSKAQLGYQKQIFSLINSQSTIRYRQTLVDIDVNKLITS >CAK56680 pep:novel supercontig:GCA_000165425.1:CT867987:303470:303688:1 gene:GSPATT00027710001 transcript:CAK56680 MIHFFYVLNNFHQCQKFIDFINQIQSKIVLHYLFYILLFIKQMQRQVTMNMEYFISQSII FYVQNRIMVLQN >CAK56681 pep:novel supercontig:GCA_000165425.1:CT867987:303748:304287:1 gene:GSPATT00027711001 transcript:CAK56681 MIILIFIHLIIESNSICLKNQNYFLSIIRGNYKNVLQKNGEAIISSRLQNGMKAGKDGSC LNDSMYDLDIYTWNYHGQSIAFQLRQVYELNTLKIWFWDGDSRIYRFKIYIQNENQEKLV YDGTGKSIFILKFPDLFVSRFRLQSIISFFFKIILSIVNVSGNTFNNQMHLLRAEAYYN >CAK56682 pep:novel supercontig:GCA_000165425.1:CT867987:304798:306564:1 gene:GSPATT00027712001 transcript:CAK56682 MFHYRTTEKQEISIYEDNVWDCYHSRFCRTKFQINQSSKQEIQYSTIEGLVLRIDQITDI SDKPEILTNLEQIKYLNWLGEYGDNLKKVGKWIAKWKGEILKGVGGCYSEKGDGQKLGLW KELIKNYWSKAQVYEIGEYCDGQRTGKWIYMYKNQEIGGGFYNKSGEKIGKWIVINDAFW EQSYVIFSGQYEKGKKVGLWDTYYNNNCGEQQTQLMGTGVYDEQGSKVGKWEEMSEGSWR RRLINIVGNYKKEQKVGSWDTYWTWDKQIIKMQIGGGNYDENGGNVKVGKWIDLNDGFRS DYQVIFEGDYKFGTKVGLWLTYWRWNHISTFEKIGGGTYDIKETNKKIGYWIEQGDNFRS DAQVITFEGDYQNDKKVGRWNYYWRENIQKNYEQMQQIKTYVNSGGGYYDKQGNNLKIGK WIEVSNKFFKKSKVIYKGTYKNNKKVGTWDEIKIDDNKRGKLRELQEFTKFSTFLDYHEL >CAK56683 pep:novel supercontig:GCA_000165425.1:CT867987:307678:308385:-1 gene:GSPATT00027713001 transcript:CAK56683 MKFVIKLQHIKHWNTKYTSTARNDIRILHVQQLCTEINRKYNSPMQNNVQQKISPNSTSK IYCDYNFIQLLPFSTFSQFQIQSEIQYIREKRLPQLNRFHLSQIYRTHQTSIYNKGKQKQ LSIIQIFPKFKRETVFIMKNLYPLLNLVQEILIVHQLEYLNMPSWVSLSYDNIIIRMNIM VGINFILLLELLWVQISNFNFYYQTTIMMGLTGLCNSKLDNLFVTFIIRFNNKKY >CAK56684 pep:novel supercontig:GCA_000165425.1:CT867987:308492:308693:1 gene:GSPATT00027714001 transcript:CAK56684 MGIIYLPFFYLLIAVLPYPICILNAFKQILKGRFNLQQDEVVAASIAAFYGMFVICED >CAK56685 pep:novel supercontig:GCA_000165425.1:CT867987:311755:312801:-1 gene:GSPATT00027715001 transcript:CAK56685 RCVSTRECFLQTQDPQSELQNLEKRSQRGLNQFTFELIDQDSIIQDETCYAIAFNKDNSI VLAGCNSEMKVFQLQQGKLNQIQLISEHQDIVFTLNFMNKTNNFISGGFENLIIIWEMIG NDQWICQFKLNAHSSFIQSVLLNNTDDLIISSSQDSTIKFWTKQNLWLCSQTISEHNDGV TSLSFNEQQDKLISCSKEFILVIEECQLERKWSVSQKIQLNLYGYRLCFINNNVFTFQPF CKGKMQVYQLDINNKQYRMAKEIAVNCSLNQDEIFFPQSYVKSKCLLVNKNGKNVNLMRE QENGEFVLQQSIEFDCHDVFGQLSNDGEYLITWDIGSKEIQIRKYTEL >CAK95058 pep:novel chromosome:GCA_000165425.1:undetermined:3270:3631:1 gene:GSPATT00027387001 transcript:CAK95058 MQVLTPADLKSDKKVILYGATHCPYCSKAKALLANLNIEFEYRGTDVSAQFEQEREALGK HLNYETIPMIFVNNQFIGGNSDLHELHEKGGLLPLLK >CAK95059 pep:novel chromosome:GCA_000165425.1:undetermined:4473:6372:1 gene:GSPATT00027388001 transcript:CAK95059 MSRNFKLVGEIENQTKIKLAKFSGQNSRLFTFVDDINQIFINKIEFSKNLSELGIQNPFN KQFGISQNEISSIIFSQSENELVNGSNKGILTYWDISTQKIIQNIKGHLSGISCLSVFPN DNNLLISGGMDSQIKIWDSRQQNNGLTLRSHKLSINSMMVSKDGKLLASGSNDGSVKIWE MNTQKVLASFNESDWPICCVQFNPVDKAVAASSDDGCIRYWDVDRLSLISQTIPDKQCIY QIKFCENGQQLFSAQNFSLKVWDMERDGLLLDYIDSQWKCILDLNVFDNKDIIGLTTQNS TGLSIFGTKMNNINNEKHIYRNHSEKVQGCQISDHGYERHSVNVLKPKNTHIQSYGNILE EQGFQLCSNNSNKFLPTSQIAQRINYESVIQEEDMKQSCLDLIISHDEVNLSSFVIDDSN KQKFKQMDQINDISKDHSKVIQILNQRINYMKPIMHWWSNNNIKSAVNAINQLSEPSILF DALLMCAQSTKFKSIPMEQLPQLLEKCKILIDSKYLSHIRGGLLFCYRTFTTYRDDIQTI KSFNQMSKVDLSREERIAKYDKIVEQLKQIVQMSKLTKLIERNKMEVSELAKKLQIEMLS LLKRINQQ >CAK95060 pep:novel chromosome:GCA_000165425.1:undetermined:7480:14090:-1 gene:GSPATT00027389001 transcript:CAK95060 MKFNKLNNQNIPQIDRSNTEKLKQNSQACVYCKGPTGTIKCNKCNAYAHVYCILLKITDS GGFRLDYSESSKENQWQIYFNYKQMLSIDNMVQSNRDKQSLKNNVQNIFEQYMKIQGNSK QIKLDVLFQQYFQTDKIEEEKESILSWICFKHKSAKSYCYCKQEVDSDDMVQCETCTEWF HDQCVRKYQKDFQEQKSKEIYCCPFCIRWAKNKLNHILRFNPISNLNQLFPPVLKLNFIS LLIIGIYCERALDRMISKYNEAEYQILQFILSNLPFPNSLQTQLGLIEEKSKLTDLMNFI FTQKIPYRDENQWIIRSQLDDKNNTIPENIQHNSALKNKLLQVITLFKDLEECQFYQELL QKLNHLQKAFDIIIMKQKITKTTILMEYKSTEFQEFIYLQQQIPTYKRIKREFVSTLQKS QDKFQDFETKFNGIIDSSEEEEDEDDELEEYQKLQKYFELEIEPIHNYQEFFNLISQSRI KLNQCKINVEYVNKILKQIENLSIKVSWISYIEQEVNQGLHIIGQLEDSKLYNQNNAIQI LINKMEQTFCYYKDFPKHYAFLSKIKLYELYIQDLDYYQDRDDDDDDSELQQITKEIQIK ISIPHLQMLKDLQKETKAPQKEVQLLSRVEKQMNEYRQKLRNITLQKGLNEQLRKKYQAE LQMNKLYDVPEIKELQQKLEIFQEVEKIRLAKTQTFQQLEMCLEKSKQYNFDDKIIHQFE CEINKYHQKKKEIQRLLENEIYECEQLDQAKLYLYEISQSKIIFEEKQQLQSLNKACQFI QQLYEFYQKYKSPEQEMEIEDDKNPDLQFKINQISNPFSIIFKNDQESMINDLEAILNKQ QNILDKRINNVFNQYSNFLWQKQTKILLSLHEQKSEISNQLIESVITKKFQLQDHVEQTK LDQFIELFNKQFVILNQIVVPLQDYINKQPKEISLSDWFEGYNKITLNIKGGIWDQVKIY NVWVTIITKFQEIENSELHTYESLKLLLEIIQMSHMPKYSAIIQIINQKVNNFNNLVDKF KEYGQRKQVFLEKKKSKQESSSKQKLHFQILDAIDLEQKLKRISNMVHDFFSKEFCTDLE NIKQIQEDFNNCNNDDIQIYSQLYQRLTQSFIQDDLLLDQLKIKIYQSKIRDIVKGKKTV ELQKAKKQYTSLMNLMEGTNNEFEEYVSQFNNILAIAENVQTIANKIKNEQDYKFEELEL CIEDIGKILNIEINDKEDVLIKYQECQQVKKDINEIQSSSVKCKEIVVQNLVEKLEQLPL QDEKQLAQTWLNQYEQLKGNYSTIKQMLQKQKSSQNCKQLTELMKKLQKQNEDCIIIHPE TENLLRDYQEFNKRLEQIECNPLSDQSQKEFKELKTNLRWGEQYERVRMAIWIKQVNQFK SGQSGKQGFSSFQNLVHQGYEILESALLNNQKLQVEKLKGFITYLEQLMNKIINVVTSST NGNQLIEGKIDISQLVLELQHASSYQKQEKLKDLQPRKPFDDLNQYLDKIQMKQKKRMIP STAKDKPEQSIKKVKKSSYDNYYEKLRIEKRHELLTAMKQVPILKDRKDLSEKAKEIENQ QFGEYVQNKSKYEEVMQLIIETYRELQKFPNYSKQLFSAQINLETTAKAMQKYQDGKLQK CEDKYKQVRQQDVSSLSQIKNNNTLQTQKIRLKSQTQSTVQKQQPQIMQNVKLDKLNQQL NNQCLLIQKLQESKQQQQQQKQQILQVSSNQKQQPKLKEQNQIQSSISSSQDSVSQGSSE FKLVPNNKATQKEEEKDPKIFQNKKEVYPYIYGDKLTKIGQNGSKLVLPDGSTFTIDYFS HQTELRYNKFPKLIQDLKISNYQDQEYILKEIEKISQQNRQQVLSGQIVPERLQDLEALN RVSEELQKDHRILEYKLVDTIVYLMHHEQMLRSDLFCNFRLEEIILRQHLIKQEEIYKQL DNYISTLCFVISLKQQQQGIWVSNFSGISIEIIKNLSQSYEFRKWKESRKNQEKMQQKQI QENSKLQFEPATSEEEFNDNNNQVAILSIHLAKSQRFKILRIKSQSNWFSLQQRKFQLQM KLCIEYQDYNKFVFLINVYWLFFGNASRLLQQLQQLVFDLVVDWPILLIILASLLELIWC IIAQCGGLSERVDEPQYLVKQFVLMCEAQEIVRQYEVFNQMRIGKAQLCK >CAK95061 pep:novel chromosome:GCA_000165425.1:undetermined:14751:16454:-1 gene:GSPATT00027390001 transcript:CAK95061 MQDIEQERQRKQDLLVSSIVDAGYDTIAFQVYCEQIKQNGSQEIDLWTFEELQKTIQDFI KKEDSKEFEKQPIQQSTNQQFQIQKIQDDSNFVTEVQCQLPGRTKLTDFIMENTHKQVEI IMSEYISKSDGIISSYISFKIETKPIGWVVNRRFTDFLKLRDILVMTYPCHLVPPIPGKK LKSQTGAAYLEKRMRIMELFLQNCLYHPLFKTHPLFSDFLEISEEAKLKEKFNQYEKQKG PSKPGEYITTNGSCVLEISNELQNYSNSLSDYLKNSQDIYKKTGNNFSKLCTDLENLSNT LTAITENFNTLDKLTDGFIKQTKSQGAIEQIQLVYQELSKFVTGWQYGVNFQMKNVKENF YEFFRYHKKMQSSAFDYLQSKNQLQQKYQNLKNKVNEKKEQLYIKGDPTKWELPPDIPKT LKELQFNKGEAFKYMLPNETKQVNEIREMYAFMNHQLQEEINQMIDFRIKVYAKKFVRMG QMRALETAKFHHILANFLQVLAEMQGSTTGQMYNRRASVFIDKRYDQFFGIVPK >CAK95062 pep:novel chromosome:GCA_000165425.1:undetermined:16883:17815:-1 gene:GSPATT00027391001 transcript:CAK95062 MYNYKIQVAPQINHAQSDHYYHPYSTLSYQSYTNKPTYLQQQANQKYYNDCYYQEKQYYP QADRQLFQIQKEQHYEYMSKLNKNLEFDFQTLKNKIETLSVSYIEERELFQQMIYEIASQ LRDIERCKSNVVYINLQKLQNNITAIDLLEYLHSQDLTQIKKSDIELFFNSINQQVQQDQ ISKLKLQNLIGKDFLTKQEQDEHIDQIQARVIAMIIQTYTHLEYYRQKFQYMALKLDYVF NWLDFDRDGILSYADFKSRFPSADIVFKFLTQQSLDISSFVKLFQPHSQAKYF >CAK95063 pep:novel chromosome:GCA_000165425.1:undetermined:17827:18942:-1 gene:GSPATT00027392001 transcript:CAK95063 MEDNQAQIAFDYVAFIKNIPHNYPYECMGFILFLIYIFMYFTGNTTNKKLALKFASTTYD FFKTNFTAVGITNKENGPLLQSISSNSFGFMAQGRNNLNCLAVTIELKKRQDLLSMLLFS FIWPERDTITIDIPIAATPQPICFALVKKRDAKSYKEANIDLKYLCEKLSIDKIDDNLTL VTLGEGKEPLTTIFDSKLCQALKKYDKYIQNIHFTDQKTLLANPYHLRATLINIESLEDY TEFIQLILQIVDKIANFKLSQSARQQNEEERAKFEEIKFKDEKQKKIEEAQKKKTEKLQK EKQKLLSLPREQQIKLQEKEKRDEIKKKYAKRTMYM >CAK95064 pep:novel chromosome:GCA_000165425.1:undetermined:18991:19788:1 gene:GSPATT00027393001 transcript:CAK95064 MDQNIIQLTQDQKAKLHQLKQSVYIEAQKLLRDDLIQKYTDQAHLLRLLIAKDWKLDEAW EQWNRWVEWRKQYKADDIKIEEIKKELDMNKTFWNGQDKLGNPCLIIKARRHFPGQSDPD TLIRYMLYMIDIGIERAEQGGTGKITVIWDREGVTTKNFDSSMFKIIKRMITLVQDNYAE RLHQAYILYPNFLYKTVMTIVKPFLSERTKQKIILCNEFKDLYPYFGENFIISDGIGDEQ IQQLQDNIQDQQQIESFIQQQLLQQ >CAK95065 pep:novel chromosome:GCA_000165425.1:undetermined:19859:21501:1 gene:GSPATT00027394001 transcript:CAK95065 MHKILRSIKSFKGSRFTNKLPTYLERLKQQEEMDRKTNLDVLRREVFNDSIQLPIKFAAY YITNQQTFWAELTRSMIDASNHVILFATNYINYGPNKQFPYGIEKVKHLVALIPSALFLY FGASISYESFLNIYNYGHYVGEIHSNAWGLALYLLSIGIETSVVFKNIRDAQAVDSNDDT KMGLFKQFLSVFQKKDPVLQAILYENAITLGSTLIPLLSSAFTLIYPTHAFEIVGSLAIG LIQLQLAYHLSAKNLSSLMGEQSISDLEVKKILEIIKQNQYVEKIQNEKAVMLGSRKFRF SAEITFNIKQINQDTESKYLERFDKVIYSESYRDREEYLQELLREIQEYVLASLHIQIQK IESAIRNEFPNCIHLDFEINNKSLAETYSIDDGNWLFRETLLKSPFKVEKWMLDEVDRLI KKITKKKTETYIETYQSLCSSIQYNQWNDLEDDDEQLKIIEDYRFEQLQNELKSIDEIQQ INNQSKGK >CAK95066 pep:novel chromosome:GCA_000165425.1:undetermined:21807:23243:-1 gene:GSPATT00027395001 transcript:CAK95066 MNKSLGPNLKYLQKIEEQEKMIEFLKIKYKETTGFEAPHMQTQNEVVTQSKVNPHSITLP QAKSIIFTQQNEEEKQSEQFQTFYDAVSNLKLPTKLLPSKTRPKNNLKTNIDFKNHYHLI EVIDLSNFGSKKFNRLAFKEFLEQVQDMRTLHTLKLRNNGIDDSYSDELKFIVSNTHVKS LDLSHNELGPKGMELFLGSIKEVTYFKSFDFSRNNFSHSLITLNQLYSALIQQTDLYHVC LDAFKPGQQDYKGADVLIKLVINQKSLRSVIIQDSIIQDLQKLSQSLSSLQCHLTELTLK YCFLTSEHISVLAQGLANNQSLIYLNLQHNGLNDLSGKYLAQMIQTNYYLYSIDLEHNQL GNDFIQLISVALKRNNVLNSLKLGYNSISEIKPLLNVIGPENTTLTDLGNIQQNPLLLAQ VEQLQKRFKGSKDSTSNYVILKPINFTQILHRKQENYRVWNI >CAK95067 pep:novel chromosome:GCA_000165425.1:undetermined:23280:24253:1 gene:GSPATT00027396001 transcript:CAK95067 MNEQEKLASPVTKKVSEDEIINEKEVLQALSYINFKLLNTLILQNKQENNVIILFKALLT IFQESENWIPKNIKDWATIQRTIGHTSKFIQMLQNFKKYYITECKIQQTQVLLQEVHIQK LSQRANNLLKIVKSLLKYYSNPFFNQSLHEDSQLTYQFQNEPPLSPIKNSNLLDQDSEII MQELIKKEKLSTVPNEMKRSCQISKVRSRSPTTASSGSKSRTIQSCQPHRLSIPVGNPIR QLSSSQQKSNFTIKQFSKTINNTASLKSSGIYFRERSQTNTQNKFDQVEKSPLQQTKQQC IKESLFTKKSTLKNNK >CAK95068 pep:novel chromosome:GCA_000165425.1:undetermined:24551:25323:-1 gene:GSPATT00027397001 transcript:CAK95068 MIMHQIAFSDCRIQHPKKHIGIVQKPISILQLIQEPLIGQERVNQFEIERLEFDSNLVLQ QELIEEDVSEFPKQNYTIPQFEKSSEQKQSAYKQEFQKVKQLLIKLHYKEVLICLFVVSV FSSFIWCIIKLCILFRPQKHPDNEEEQKLLGADYSILNSKTISDDEQLNQSTQIKYKITD MTPQIRNPYDESFVKASIKATTRGSACDQSLLEIDELLQEMLK >CAK95069 pep:novel chromosome:GCA_000165425.1:undetermined:26520:27103:1 gene:GSPATT00027398001 transcript:CAK95069 MNDKITIPMCSVYFKENSQFIQNKITELYTGWMIQYNNFLIQKFKFFELVMLVINARGRN SKNIIRQKLKMCLGFNGNQSLFRGYLWRKRKYTKKQGVIDELDRTKNRMNEVKLCKIKSD SHDELVLGVFHHVQRQEIGEMMNINLRLLCEKYLNRIHERMNDLIKKTE >CAK95070 pep:novel chromosome:GCA_000165425.1:undetermined:27301:28033:-1 gene:GSPATT00027399001 transcript:CAK95070 MNPLIFQLSEPIVSSEVSQIYIDKQPSKADQASLIQEQRSLLQQHCQKLFSTLSQQYQKA AETQNPYYYTTIQNLYLASQLIFKQLLEVKQQIHVKQEYESVSPTLTQYPLQIQPHIYQP TYAAMLPRVNS >CAK95071 pep:novel chromosome:GCA_000165425.1:undetermined:28384:29184:1 gene:GSPATT00027400001 transcript:CAK95071 MYINAYQQGKILKLLYIDFQMLESQHSSLPQFSSQSRFLISQCNQTTYFLQHISLLLHIY YIKSQFQQANFIHKITSTQSGSSIQKSYVKGMFTLFSTQQFSLQSKQYRFLIIYIMMLEI LFLHFKWYSNSYYEMILTFQMIIGIRVNLMQLQHFQIIFCLMKRNPYSQSYIIQVNTFLL FVVLQMATIQRLPSNQRRMRSFQNGQIEPFTSIISSGVDKMRCQFRGQLMMAQRDFESFK EMFGHQSDIYQEQFKNQMFLKQFKLG >CAK95072 pep:novel chromosome:GCA_000165425.1:undetermined:29264:30969:-1 gene:GSPATT00027401001 transcript:CAK95072 MGSCSGKRSQNNSATKNSGNKPDTDNSSPQKLQLNDAQAVLGNLIITSEKLGRITKDYTL LNPPLGSGIHIIQTLLGAYGEVRKAIHKSTNLMKAVKIIHKAQTSKEEQERLMNEVKMLQ KLDHPNIIKIHEFYQDERFFYIVTELCTGGELFDKIRQEGSFSEKKAAEIMKQILSAINY CHDEKIVHRDLKPENLLYESDKENSMLKIIDFGTSKEFVPNQKLNQKLGTPYYIAPEVLK KKYDEKCDIWSCGVILYILLCGYPPFDGKTEEKIMEKVSKGVYSFDTQEWEEVTKEGKEF IRKMLQFDPTKRYSAQQALNDPWIKKFTNPTEFDRPLMTKVLTNMRRFTEQQKLQEAVFK FIVNQLATKEEKAELLKIFQCLDTNQDGKLSKEELLVGYSKIMKPVEAAEEVNRIFQQVD KNNSGSIDYTEFVIATIDRQQLLSKQRLQVTFRMFDKDKSGSITIDELKEIFSGIPEEMW KQVVQEFDSNSDGQISLEEFFTMMKKID >CAK95073 pep:novel chromosome:GCA_000165425.1:undetermined:30994:31687:1 gene:GSPATT00027402001 transcript:CAK95073 MGTCSQGQNIENVRGSERTLKKLVGYFYSISMQQHPDIDSLVIPKLERQKIISRGLSKNL IQEIVIFLNSRQIRNKEQDDLLDHFNYVYNQSFKKLNDFNTNLGKMILITSIEVLLCYKS LEMGELTPVDVWWVEDHFLWRYCQLQDQYKVEYDNFLDFSCLIKFMYLLKECTRIELKKM NLELKQLIGSIKTEHSQQQEFPNTARTADSFRPQQKRIDTF >CAK95074 pep:novel chromosome:GCA_000165425.1:undetermined:31701:32553:-1 gene:GSPATT00027403001 transcript:CAK95074 MNTSKSIFDQPLNNSISKQRYTFSKAPRETYSTKQTNGSMYNLPDSLSKRMAAIGYGQKH DFTKHVKQVPAPNKYEIKSFAELNMEEKKGIQFALGREDTWLQGIWATLTQTTPPPDRYQ IPSTVTRRQKFTFGQRTQSLQKFNTPGPGNYEYVQATTQKGVYPLSKFQNSGACIIGKDQ ERFKTLGVNDYPEPGRYSLENIGINQSGVYPKNGMRSAVQQTFSKSTRKGPYEINKVTPG PGRYKMFSEFD >CAK95075 pep:novel chromosome:GCA_000165425.1:undetermined:32623:34778:1 gene:GSPATT00027404001 transcript:CAK95075 MSQEKQQEEPAKFGKPINEQIGELMDHLDKEHDSEDEGMEDYKIGGYHPVHIGEILLNRY VIIQKLGWGHFSTVWLAKDFKYDTYVALKIQKSASHYLEAAYDEVEILQKVAQNVQNPVW IQSLKEYYADQGRTSFNRDDTHTVQLLNSFVYKGPYGHHFCMVFEILGVNLLEIIKRYEY KGCPMDITRRMAKQILIGLDYLHRICGVIHTDLKPENVLLCLSDEEIKDIVENGQLTSNQ LFSDRIHIYRQMLGIEKPTVPEPIAKKEDDELSESTTNLSKTQKRKLLRKKKQQQQHEES RKESQEVQVDQIEMPKSIKELFQQQKKISFTQQKKLPDNFRLKIADLGNACWIHHHFSTL IQTRQYRSPEVLLGIKYNPTADIWSFACMIFEMLTGDYLFEPRQGPNFSKNEDHLAQIQE LLGKFPYEYSTRGAKAKRYFQQNGQMKRIPQLHFWNLHNVLTEKYRFKQEEALSFASFMM PMLHQLPEYRTTAQEALKRELCQNDLDPQIRKCLLKKYIDQIFQFQNFRDIIPSSGWLQQ KTNPGVGHKMNEDEYKKYTKLKKVNEEVEQLDQAVDGIQPTLLSNYRRASTRSYDGSNSQ TPQQDKFKKQDGKYVDHRVIDRSFTDLGYIGYGDGIDLEQLDSTGNWQFS >CAK95076 pep:novel chromosome:GCA_000165425.1:undetermined:34801:35708:-1 gene:GSPATT00027405001 transcript:CAK95076 MLPRITSTARLGIKNLYQLNHIKSFHNATIAHKAQVMNDESQYVVKTDRIYKPTYTIEFD RIGEVLLYSCDPHKHLTIFLKYPYVLYETAIPLSIYLWFKNPLDIAWYWNNLFIYAPSFL WIPRMWYWRSLQYKIHRLSLLRGGKVLKIETNTLANDKNVYWVETYQFHPLTADLKQFDD RDNADYLTEEGQLKYELACQLDHIQELGTTVQDEVIYFMKEGVVHHPELFEAATKGYVID TSNFVINTAHNIRAFEGHHNQ >CAK95077 pep:novel chromosome:GCA_000165425.1:undetermined:35972:37122:1 gene:GSPATT00027406001 transcript:CAK95077 MKGIIGYQSCIGCGLKCHQHKRIKSWPLLQTGTKASDAIDTVFGLLNDLKQSNIDAQFAA DQKNETDEWVGAQTIEQFTKIKTLNQKLFQQAVENRAEYENVLKQTKNYLAWNEARRDSI AAKIETLQDNQCFSNQLFVKSIKHNQEALEVIRLLKQDVAGYIVNGDSFEFTQVQAQSVA EKLKQYSNLFQEHQIKSFLALAQEGQASESSGHGQTLAERVLGVLEGLHSELEGSLENLK QNEINASWELAGWVSLSEAEITSLEVEYERKQVFADRTATQIQAALAQQAKSKIILQESQ DALDQAQADLESKRADYAEAKAKRQEENAILDEVIIMFKKQVASWSGR >CAK95078 pep:novel chromosome:GCA_000165425.1:undetermined:37372:37994:-1 gene:GSPATT00027407001 transcript:CAK95078 MVRTNLPLFQVHLESNINVSHFSGGILSTLQTLYNPQLLLIHQQTLTRSKDSPLFCIASP YVQAVPIPLYYFIDLAISIFEGSLFEPCRFCLWYHHVVQQLNYNQISIIVVTAIILRKEY EWQDTMIMATHTLLMKYPFIFVLNIIYVALVTYYDYYSPLREKWINRFLGKFFPFIYYSF IIALIYDCTNALPFLY >CAK95079 pep:novel chromosome:GCA_000165425.1:undetermined:38076:39713:1 gene:GSPATT00027408001 transcript:CAK95079 MFQSDSEEEDSLIIGDIIQGRFEIHSKIGYGSFGQVYKVIDQKYGNTPYAMKVEFTNQEC NLLEKEIKVLIDLRKKVGFPEIKFYGKEKRFTFCVMNILGKNLESVIRKCGGSFSLQTVL RLAIQMIERIEALHSCKYLHRDLKPDNFVLENTTSPKIINLIDFGLSKKYANSKGDHIKL IKKPGLIGTARYASINAHESFEQGRRDDLQSLGYVLLYLLTGHLPWMNVKIEMKNLKYAK IHQMKKNMKLEQLFSKQPKCFIKFMQDVQTLDFAQQPNYKQLKGHFQDELSQLSLNFLNY GFDWEKLPEYSSKKKKHQTIAIMNSSGRDEIMNIQFQKFDPNKHEQLLRKSTKKLDNKPF LINVAEPSEHQKQLDVQPQHISGNPFLQIPQYNSCTKIDQLSSLNQSNHTSKTNNYLQSD DVMSEELLPNIEMKESSLPGFKKMIDYEMIGIKKPQVLNKKTSQMDTIRIMQQNFFKPQV IKDEEENPELELSD >CAK95080 pep:novel chromosome:GCA_000165425.1:undetermined:39728:40718:-1 gene:GSPATT00027409001 transcript:CAK95080 MDIRLAICNLISVVSNFVTETKLKGQLDQLYLLSIKPDSNLEEIQRKMINLGKNNKTHHR MSSQPVVLPSPKQNKVQSMSGVNTPAFSGGMPSKAIGFGSRIQYTTPFDLNREKVEKHKN RSDRQISFKFEISKPSPKNITEFKPIDKKIVDITQKTKLNSGIQRSSNVVPVLQTELESY PQNTITLKSLPIKNVIRQQQLEGEHKEKQYDQTKISGRMLDQISQNMKEMKQNTFKLIKV FENTHEIKTQSSQVETSFISPFRKQQNPLFNGIHGKITDQDLHNIQQNFLMKKLIIKAKQ NDEIEFEPPSMGIFFVTSVKG >CAK95081 pep:novel chromosome:GCA_000165425.1:undetermined:40949:42267:1 gene:GSPATT00027410001 transcript:CAK95081 MKSVEQLFPQFQVVNIVRQNHYKKSVIVKRNGYNYMLRIFSLEGIPKDRVQSIIKMLNKL SNHKNPHIVKFYEASFDHDMTYLGIVSQYLDKQYEYPLKEVDIWSIIQELSIALQQFHPK RVHGKLLLSNLFQSKGMTILGELNVLYYLHKQNYQDIYLLAPEFIRSQIYDHKSDIWMVG YMLYQMMFKDPPIITNNVEILHKKILKGIQITYNPNYSLNLNNLLRLMMCYDAELRPNVE QIQYFCQQSKLSNEEININRILPKFKVDKVALPKKKTEKKSEPVQSAVYLIEDQFKQPYF PPSKIKKQKRCLQQKQTTSVMDFGQSQKTNYSVQLPKVLNSQLSKLKVKEASTISGSIEK SNEQEILNNKAQLIKLEIDSIFPQTKITLHTQRKSPNRIQLQQVFQNRQKSQRFFY >CAK95082 pep:novel chromosome:GCA_000165425.1:undetermined:43528:44728:-1 gene:GSPATT00027411001 transcript:CAK95082 MKVIAVTLVLLLAGNAFAGDLIHKYPIIGKSKPSLVSILTELESKLTSGGSVDTAVNFLD SLRASIDSEQIRHDQLYTDQRNQCSAELELRTKDVKDAEQVLNRATEQYENCSTSKKKAD AELDNNLDSQKATDTDIKILDSIRQAGAQNYNAKKQDHIDALRSIQESLKILDSFQSGGA SLAQMSEISLRMIQDAVKLKTTNIMSQISSIFAQMLTQNGGYIEVFERLKQLLQNLEQNL YTNLQKISEEEDQSIQEYDDRRVHLVEYYNNLKRTENKLRDHITTMDICQDQQSAIIDSA RGKKVRNGEIFDSATRMCNDFASEYEKATSVRKQELDLVDRVRSKIIERGQ >CAK95083 pep:novel chromosome:GCA_000165425.1:undetermined:44774:46222:-1 gene:GSPATT00027412001 transcript:CAK95083 MQSFQDTDNNSENLNEEDKQCNIVYNSSSSINQQVPQFPQKKAPSYSREVPQILKLQNQF RNAQGNIRRELECQLIKRLVDLKKNTDSDWYRLNGAWAQKYTTYLYDNSSTLPGPIDNTD LLQNRNQMRNVDFFVVNETVWKFLLEEYSGGPEILDEKIPPSPTSNASSTTDRAKSVDIS IISSVSQLDINPEIPIKGLKNELYFCYMHSTLQCMMSIQELNNFILNSINRQHSQSMTLC RNYQELLRQLKDTQYDYIKINSLRNTISKKFNPKHQHDAQEFLLFLISSLEDEISNFNKK QGQQQTKLPNIIEKYLKGQTISEIICKNCSHKSSITEDFLTLSLALNKINSINQSLDEFL KDELIQDYKCDCCHKKKTAIKKTRIIKLPQYLILHLKRFKFFPKSNKIIQHVKFSLESTF CGIKYSLVGIIVHSGSLEQGHYYSYCKRQNKWWLFNDQKIKQVNNIDVLQQQGYILFYQQ LL >CAK95084 pep:novel chromosome:GCA_000165425.1:undetermined:46757:47294:-1 gene:GSPATT00027413001 transcript:CAK95084 MCQMIKIVQIQENIISFKRIKDLNSWGTFSEQQDGEEVTIIKKEDQPTQEITQSQYRYYN LYITYDLYYITPLLYLSGKVDDRQQSYQEVKEKQFNLIYLLGCLGRVC >CAK95085 pep:novel chromosome:GCA_000165425.1:undetermined:47334:48444:1 gene:GSPATT00027414001 transcript:CAK95085 MGCAQSRQNEKSNMISCEIKYLLPELVQAIKLGQQCLYSEDSPIKLTPIKEIEGIYCKLE SLLPSGSVKMRAMYHMLYRLQQKKRHKGIEQLNLVICSSGNAAMACIECLKLMKQEKRQD LQAEQTVSEFLQDDTPTDPGQSALIKDQQLSSKIKPYELLGQVFIFSKHLNELHHFQDLP NVKVINSQLNRSETEFEAFKYAEDNGYDYLDLYNDVDVFGGYATIGFEIDQWQVLTKTKI DYVFVTLKGGALIAAIAFYLKYIAKNQVKVIGVMLYGSSRKESTLCSQIIEGFIDEIMFV TTYEVEKAQCELAKAEEIAEFNSAIAYAGCKKTQYKNSLVVLSGSNVPVSDLSKLISKYE >CAK95086 pep:novel chromosome:GCA_000165425.1:undetermined:48479:49952:-1 gene:GSPATT00027415001 transcript:CAK95086 MQTYNPDGQFESVRDIDRDLITQQTLENHLPPQNPLPPPLKPINPELKGNIFREMSINRK SEGFQQFKQSEEFILTNARQHLLCSDNVIEQLDLRVLISDRSLQAIIAFLKDRIAQEQNN VKLLTHKNPSFLSIFKDQDQVIYPKFSASLEHLNQLHQAQGKSLSILVTNMEKMIKEKFE TNLSAYSNNINGIVAKIKAKRKSAREQLAILTERSKKFYKLYNEMMSNGGNHKKRSKDLF NQERKFKHAMHQQITQLTQLGEDIIAYYNEIIKQESQRLEIISVGMRYYLEKFHETYSGT TPSAQVQLVLQKFKEYNSIEESSQIVFKDVAFIKDVLKSQEIGFPQIKYYLTQFPGSLLK PTMPQTNPFILKFFSRLQRDVGSVLTKWQNCLAIVSIDRNILLYDSEDMTIPNQKASIKF VLEHTQLIQKPKQPLVAELKQVTPGFMYNSKESVVIKFHSQDDYDEMQFYLANQ >CAK95087 pep:novel chromosome:GCA_000165425.1:undetermined:50126:51683:-1 gene:GSPATT00027416001 transcript:CAK95087 MNMIQQQQEDGAKQEIKEEGESRNDPAHKTSTNKRTSSNDSSPSSSFENKIGKRYQSNSE FKVHFYIRHVHMNGMSDNEFLSFVKRKVNLAGSCEVVQMFNQQGSFCDVAIGMTNDDNAK LVYIGKKHFNFGRNGRQKETVELSDAFKNYLENKKMLDKEHLERSLRRNQQSKGRSDSSE SSRRYKSRSTSKKRKSKRVDNKQVWQGSKRRSLSSSSEPRRVKKTKKNRHSSQSSSRDSI KESVQPIKPQQVFTDKNTVYIFSIPQEVNENEVIQEIVSQHKQSAPISHVWNTLDKMQFL ELQFQDENTAQFLCNLRPCLHVKGIPLLVVAKKQRPVQELLRNYEVQVELDRDVLAFNVY QEFKKYGDLIGIYVFQLNRNYLLLYSSSSQLQEALRPPVHLQLTINDQIVNANAKIIDKP IDIQRPLIKETLAYQLKNQEDEDNKKRKTKRKVEKPQKK >CAK95088 pep:novel chromosome:GCA_000165425.1:undetermined:51751:52474:1 gene:GSPATT00027417001 transcript:CAK95088 MFTTPELYLDHPFNINRYQNIFFKYHPNVIIGEGFKRTYSYESLITEQQLLKKRNEYWET QIIGDQEVWIKLKQILDMDEESAKRHLEDANLTLVENSIQMVYDERGNRYQIPIFAINLP VSYSDSGIITLNNDFEAKDVTFKIRSVRWDQDQVATYNTKDSVEFLIQELKVKENVENVR LFIQGKEMTQQFGNYDITEESIVQAFLF >CAK95089 pep:novel chromosome:GCA_000165425.1:undetermined:52498:54519:1 gene:GSPATT00027418001 transcript:CAK95089 MNKQRRQKVLPIQQIQAKLKQLKQSIRASEIKSLRSSCLFTESTPNEKFDILKPKQNQNS SLDERTMYIKPTFKKKMKTTKSPQSMKAKTSSIITKSKTTVSPQKHIKDILQSYIKSKFP KSRTTSKSKSPPKLKKVLSPQQRQIRSESKKKLKSKLKTTVSPQMKKSKKLKTQVQYYQP QISKISSIRLNTFSVSEDPHKMILNSIIEELQLMNEKQMIELQQYLRNIKQEKKDEALQT SIHERFDMQKKHQQVASQESLPLNIINQICHQREEGIKLRVAMQMDAFNNLLQQQKISPR SFKNNNQALQQWEVQQTQKLNRYQEQLKNIQYVTNKIQQKTLKDFQCIEELELNKSIVIQ QLCTRIIIQINRISNQALRYNRRISISRGKILLQTLTFNPNKIYLFVEILCQYIVETNLS SFIRRMNYPFGLQPFSKLRQIHGHEVIEDDKYLYPVQEYIFTELQQNSSLYEKIHNRAIF DTFNEILNQYRPFYYSNGQPYPWEYNRNLVVILYDSENINLLLMKAKEKLIFYASTLCGL INEDDEENGEQLLNYEQVLQNLINSDYLSQLRNERLQLCINNELQEYEYMWSYTDTTETL VEITDHIFEDLINELTLELQ >CAK95090 pep:novel chromosome:GCA_000165425.1:undetermined:54529:56017:-1 gene:GSPATT00027419001 transcript:CAK95090 MLLLSLLIIVQADQYFKILSPSTLASEEILKEIQFNIANFGYVPYGQKISAELELAQPYN FCELQEERIGNYNNDYANSKILLVERGECLNFKKAINAQNYGYVMLIIVDDTNQELNLGA RNDSESNLDIRIPTIMISKNQGNILKNFLLQINHKNLYVQVKFPDFYQTDIVKYEYWFSS MDQKSYKFLRQFYSFHMQMNESLQFTPHYTLGRCAQCAKTNFNKRDSLCLSGGRYCAPDP DGDGPLDGQDAVREVVRQLCIYNVDKVKWWKYVIKYSQQCLGSSISIANLCYKYVLEQVQ IDQQKIENCYKESFSGLNDDLDDNLLLSKEYEKNEELQIRAWPILYINDIKYRGSLTVSG YKSNFDQGDQEIYDSSRFGPFQAVCKSFINSSLPEVCKRRMVGYLDDDGSWINYTQETNT WIVWLVVLSIMGILMMCTLYLYKRLFIKKTNEEINQQVNINLAQYYAMNEQDRNLRN >CAK95091 pep:novel chromosome:GCA_000165425.1:undetermined:56112:58398:-1 gene:GSPATT00027420001 transcript:CAK95091 MEPIDQRIFEWFTINMIIIDFLYIVGIGGASLAEYFFDLQRSYQVYAMISSLGVLSMWFG WRFKRRNFKNDRIELLILLLVGNSLRTIGSTHISEMYFALFPKRLLYIIPIQNHQHPKKM FLCMPFIAVVVSAKLEFSYEHTLVGYAFILMAWLSINRSAEKVRQVSKNHSYSKTWINPS DSLIISQNDKYDLVVTRQGKMVSQTSEQQKLLGSDDPVAIQQILKEIVIHKLNKQFKKYK IGTLLQLIETADLIKCKVLDCSAPFGDNYMIELALINDNVGLRFHDMFELRDYWERKVTK QLMNQLFRSFSHEFSTSLNCIRILAENAIEDIDDDYIVNTCIQPILNSCYILNSIVQDVR DFSLILSKNFILTIQIQNIYLLIHEVSELYRQQLNMKGVQLNVKMNECQIHTDGQRFKQV LNNLLSNAQKFTFSGSVNIDVQEQIIHDQSFIKVSVQDTGSGMDQNTQNRLQEFLKQPHK RKSNLNFGLGLMISNTICNGLSPNYESGIHFQSNNKTGTTFWFYLEDLKTMDLPDVVSRR TIKYSKIHSSGRSFLEQSFIISPSDKKRQSSFTFSLKGKQKLIEKQSENFSEPDDVICAP YVFREGPKKPKKQKYSIDQVVSEYAEERAKILIVDDEFVNIYALTTMLSRLNIKCDSAHN GKEGLEKFKKENYQVILMDIEMPIMNGIQATQQILEFCRSADLDPPIIIAQTAYTDMQTK QMCNEVGMDYFLQKPISTIEIKQILQTIQIAL >CAK95092 pep:novel chromosome:GCA_000165425.1:undetermined:59594:60675:-1 gene:GSPATT00027421001 transcript:CAK95092 MDKKNKVKSIVKFDDEKQPEPVIEEVQQIKKEKKKKKEQQKKKLAVEQRHVTIAIPDSII SNAQSSELRSYFMSQLARMFAIFQVDEVIILRDYSYIPKSKQFDVASYVVRNLQYLETPQ YLRKYLFPIHSDLKNVGLMNPIESKHHLLTEQVCPFREGVVVERPSKGDTSWVEIGLKRQ VLINYPLQPGTRVTLKLDDPNSTHLTGTPVSSQDAKKEGYYWGYTVTIESKFHRLLERDD FDMKILVETEKSDEAQQTTTFNPSQLSQQPLKLLLLFSGLQKITDFTENDEKSKLSKEDI YGKFDHVYRYGNSEYGVKQLRLEEQMFMFLQSIQ >CAK95093 pep:novel chromosome:GCA_000165425.1:undetermined:60696:61208:-1 gene:GSPATT00027422001 transcript:CAK95093 MSDVEDWEQYADEEQGEEQVQKEDDKSKQPQTQQQKSEVQSKESDMNNVFDLFGGDKPVK KNIGQVDLKKIGENFAAALQNVGPNYTTEFLKTLLTKLEGKLLLNHYEELFKAVEEIYIK KRKQFEAEEAIKKKSQQQGPPKGVSFGKTKNIVEDEEEDFDNVDDDDDFM >CAK95094 pep:novel chromosome:GCA_000165425.1:undetermined:61411:61849:1 gene:GSPATT00027423001 transcript:CAK95094 MARTKQGKPSNNKFSLSPQSMCNDSLENKKQIYKNQQKRKHKFRPGTVSLREIRKYQSST QLLIRKLPFQRLVREVSQRSEKDFRFTQSALYALQEATEGFITHLFEDANLCSHHAKRVT LLTRDLQLAIRIQGIEY >CAK95095 pep:novel chromosome:GCA_000165425.1:undetermined:61871:64008:-1 gene:GSPATT00027424001 transcript:CAK95095 MFSNPFFKNSGPSSPQNNMQSTQQRPSVQDIMNKYKYNPQERVSHVDEQILGSLNESSNF LNEQSRHDKMFLNPIQPQFDLDPPPKKDAIARLTFGEENKKFESQKLQNQRLLNENDLFD DMSHLKDKVLNMLERYSVALKAPEQQNKKNTIKHQLELDANQVAQEYSQIVDKFNNPDLS AISIADSTIPAYKEQQLTQMFNLVEEFLTKFKNISQVQQEKSQLLRSRINAGESQLQPQS NKAAESEKHKHSSQAVKNKAQAQFAQSITVGQSNLTSNNYEQNFSKTNGNQQQSPTKTAT YPSVPTTIPSYEQKSIPAQQPITQLDMTVYTQFPKMEDSFYDQKQVSMQRINSKIAALKQ KLQQELQKENMPYTQKILGEMISSLQEIINTQDYQLVKTIIFRIEQGIQQLSNNKEGSIN LYKYINKALLNSKMKTDLFTQRASMPVHALPEQRTSSTTKSSLNNYQTPSKVESSNTMIT QQIDVDRNFDKKARDQRHLEEHNLYFDQRLSNINKPDIPRPKQQSVVNQVSYESRGSVNY ERKSDDHKPYSQDARLSYQLQFESRPSINLEQRYSSNEPKNIYYATEYQRRSEQSSLQES QQKFTQERISEVQRQSDMQRPSEQTMKRLFFDAALTQKNKLPSNHPGRNIMVSDLYEDYV KMGGDMQKFIQQQFDRC >CAK95096 pep:novel chromosome:GCA_000165425.1:undetermined:64052:64985:-1 gene:GSPATT00027425001 transcript:CAK95096 MRSSVLILVVLAATVNCAFVYNEALATEEAALSFAAYCPDTAINTWTVGYVTTNYPNIEK PLVFENNIAGTKGYIAYNPTYNAITVVFRGSSNIQNWLDNIQFDKVNYNTACNCQVHSGF LDAFNSIKPQVDSLFTKYRGMYPKAIIHVTGHSLGAAMATLYTTELAIAGYTVQLSTFGL PRVGDTAYYNYFSSFTKVTHFRVVHDKDVVPHVPPENFGFNHVDREIWYHRNSYTVCQLD EDPNCSDSVLVPSIQDHLSYMGWSSTVDC >CAK95097 pep:novel chromosome:GCA_000165425.1:undetermined:65469:66343:-1 gene:GSPATT00027426001 transcript:CAK95097 MKYLIVLIAIVSAFDYDPALANQLTAFSFAAYCNPDNILSWDVGTISQQYPHLSKIQIFE NIELETRGYIAFNSASQAITVVFRGSNNMKNFIADIDYKKIEFNTICKCQVHEGFFAAYT SLKVQLDLLLGEYRMKYPYAKYHVTGHSLGGAMATLFASELSMIGIKVSLVTVGSPRVGD SDFYDWFSTLKVTHSRLTNKKDIAPHLPPVKYEFEHVNTEIWYKDGVNYVICQEVKGEDQ KCSASVLNPNLADHLTYLGWSNNSCDAQTTE >CAK95098 pep:novel chromosome:GCA_000165425.1:undetermined:66779:67468:1 gene:GSPATT00027427001 transcript:CAK95098 MNRSYYNKSNSNLTPGKAKSQHVTQIQLNISKYIRDSSNENNKRGDNLLNTLIQQSQQAI QSARQFSVDRPIKTSPIESSPHIQKKMISTSQNLQLRKSTDQQQRSSAQFDRLLNENTSL LMRIQELEQKVRNQCQQCSNQSNKRSQENVLKITHQNKGDIDVFLQSHHCNENENRILKE LINRQSSIMHIPSVIQTLSLIK >CAK95099 pep:novel chromosome:GCA_000165425.1:undetermined:67510:68847:-1 gene:GSPATT00027428001 transcript:CAK95099 MKAMLHTLQKRGSMTLMEPINDGMDKVQEKHQTVQGYVDQVNQKTVIAIEREEERVNQRY FHLMNQLERLMFQIQKLEEKKQQQKDLDNHPAVKEMVYELHKLQKYQKALSDNHAKMVEQ IKLNNLTIQQLKQTVYQKRVEIRDVVRDSFRLQDEIQKSRQEKRAISIVRDSADMFLTMP ATNIPKPSHLPNIPLKHKSKSTLLHIMRNAQSPNQQEKINDIVFVYNQVQQLRKKAAQST LIIQQVTNKYCQIKKLMSECAMISIQNFKNRQKITNKNGYANSIYFDMSTLNISKNESSI IKERKIQNILYDTLQQIMIDLIDSRQKTDLNGSSIADSIIRNSVSQEQFMQFTSVQILGL LALQPRLLTELVGVFELKQKQIGLLCNKTRQFYKHLGLQ >CAK95100 pep:novel chromosome:GCA_000165425.1:undetermined:69425:70227:-1 gene:GSPATT00027429001 transcript:CAK95100 MHFQSIMEEGMKESSYLQLQQQVNESKAQKALSEIQSLERIWFSWMTQQQSGQSLAEEMV RKSDSIDVECTQETNSVDKNNQLKKFFENLKQRMIDDKIYSTLTQTQTTQFFEEVTTQIQ NKIKLYEDIQKLKLLSNYPTNTKRTYSKQANMVLKKWLIENYNNPYPKQQQVEQLVQQTN LTNKQVLNWFINARNSLKNKSSQEKKFKHIVETKFKEIATQKKRKLDQRI >CAK95101 pep:novel chromosome:GCA_000165425.1:undetermined:71575:73177:-1 gene:GSPATT00027430001 transcript:CAK95101 METDPMNVTQNQTQTRRHYPKDVQGLKSEWYGIEHHMYEIGQDIQRNEREILNLRKKELL TELDRKMLEQLQIKQKELEQKYEDAQEMKRRQMKFQEEQRKFLEDKAVLQKGISSIYDKQ KQDSLIREMDLRQQKLDWEQQALDENRRRMEQDFLQRRLQKEQWAKEQDADLKLSQIRHL EEKERFRNDQIEIQELNRRNAEKELIKEENYKNFYKLCSQNQAQLQKMHIDNVLQPLLER QAQLESMISKNMDAYQRKLLQEELDRVLRRQEEYRQTLNVNKKMLDEREQGKVQEKSVKQ ELNKQRLEDIQNYNQFLVQRKVDQVEQQRQYKEYLDQQRIEKEEQRLKQLRMGRQEKSMN MLDLQAYKNQDAQLNAKIIGWSPQVGQLPPKQDYLLKQQSLAQLKQDEMNTINQNLSSVS QVSRGNALRGAGQNAIHQSHNPLTNPIPFNNQNPYIQKQYEQMIRPRPY >CAK95102 pep:novel chromosome:GCA_000165425.1:undetermined:73785:74132:-1 gene:GSPATT00027431001 transcript:CAK95102 MSQKIQKSQLQILSKSKQMKVKEGCDEVNIFNSYISLHTEERDNHHKDYKDQEKQQRKES ERNCQSVKKTEMSTHQQRKRQSQSAHQSSAVKVQFQTPLQKRTHRKFYKTPFPKQ >CAK95103 pep:novel chromosome:GCA_000165425.1:undetermined:74591:75303:-1 gene:GSPATT00027432001 transcript:CAK95103 MQFRRKQSEQQLGLLMNRLNLIQQNQLKVIRRIKQQQKSVEMKQFIQSEHDQFNQSRNII NMQKLQKIHQVQLMAKTKKHQSEEGRKKTQEQQKQFRQQETQKLKIKYLELERQVQQQKE SELIKNIVRYNKLKQERAQSQVKMRIRQKSNEELRNKEYSQLLEVECQKRIQTEQSIRKL EQKEQLLIQELQTSQQLSSTYSRQLDPRIITKIRAKSYYQS >CAK95104 pep:novel chromosome:GCA_000165425.1:undetermined:75371:76464:1 gene:GSPATT00027433001 transcript:CAK95104 MGQTCNGCQCQHRSNESIQEINVKKKPKKKVEKQEKPEKQEHRSNEEKQIENSQQQNTKI SQGDAALRIQRNWKKHKKSKEIRVEKAGAHIKQQYFQEETANAQPPQDPNRVKNPPYKFH SGAIYEGEWKDKKRDGFGIQKWTDGARYEGQWVENKACGQGKFFHADGDLFDGEWRDDKA NGWGIYSHFNGAKYEGEWKDDLQHGKGTETWQDKSKYCGDYVNGKKHGKGRYDWADGSYY DGEWRDNKINGFGTYKWADGRGYTGQWLDNNMNGVGVYQWKDGRKYDGQYLNDKKHGQGT YYWADGRKYEGGWRNGKQHGTSKYYLNDGTIKIGIWEDGKRLRWLEDA >CAK95105 pep:novel chromosome:GCA_000165425.1:undetermined:76477:77456:-1 gene:GSPATT00027434001 transcript:CAK95105 MSLVRNSCQFVSENSKHVKINKEELQKQVNEWFINNKHKYKEFDEYECHLAADEEQVVDF LFILDSLNFCFWPQKDYEYENLSSAIKECFQKSPQQFKAESILKMSFEEFQQLLFPNHPD FPLITERYRLLKHASEVLVTFFEGEFVNVIKAAKNSAAKLLNILTTQFLGFQDHAIYQGR QTFFYKRSQILIGDIYAALKGQGLGKFDDIEILTMFPDYRVPQILNQLNVLQYDEELENK IKNQVEITHGSEYEIEIRANSVIAVELIKEEFQKLGQKLNSIEVDWILWQMGEEQRFDIV PHHRTLSIYY >CAK95106 pep:novel chromosome:GCA_000165425.1:undetermined:78153:78611:1 gene:GSPATT00027435001 transcript:CAK95106 MIEQEDDKCHQIVNEKVEQKNPTPDQQEIEDKGSVNVSINEDISPAMLKLKSSLSPSPGR YRSGKILDFLEKKLSHAKLSQKELHNGYSKEYSRKYNTNQPLQISVDFGEQEDVSLKNKI ELEINNHNFFKQTKFIS >CAK95107 pep:novel chromosome:GCA_000165425.1:undetermined:78615:79671:-1 gene:GSPATT00027436001 transcript:CAK95107 MIREFQKDNTESCLDLIDKGENLDEIDKKTGKSLLQIALTNNNLKLVKALLAKKADVNFV NHIDKSTPLFTSIQLNFLEGAQALLQTHQVDVEVEIEGRSYLEHAVVIGTVQMIQLLIKY KVPTKGNLLHLAINAEQDENALYLIQNEIGLLNQDEDQENVLHILAFSGNAELLNAIIKR HRDNALQIDIWNQQNKEGNVPLHIAAINDKQLFLKVLLQNKDNVNLDVNKLNRAGLTYLQ IIEDRKKKIELEQQEQQVRKRQIAEDRKERQQQRAKEVENQISEEKEAKKRQKEAEANKE ILQKKEDTRNRLVMLGIFAVVILGFYVVLQFSIKKK >CAK95108 pep:novel chromosome:GCA_000165425.1:undetermined:79729:80484:-1 gene:GSPATT00027437001 transcript:CAK95108 MKSQEQWLLYLMDDILTQYILAKDQQKLITQFDRSDDKQREKDYLTEYYQKVGIKSIIFA SHEDSRETLCNLFDSEMKDSQPQKMIPTESELLSLQNCYDEVLQLELQEIYNCFVQYSSK LLKGLPVMNDQQKVQLVKEIIKKLINKSYDEFKSLVKYRGNDEQQFLLFQDFKRFTIGTL NESVHDLKLNNIELENFTQNELNTFEKNFEVGRQICLKKIKENAKQQKINYL >CAK95109 pep:novel chromosome:GCA_000165425.1:undetermined:80898:82637:1 gene:GSPATT00027438001 transcript:CAK95109 MKGLRNLLNKDYEDFLQQKLEQNNPNKLITKRTVATRESGRPVGSRMYQRLQKPESASQS MGNLFANVKGNLFANPKHSLQQEASPYLIEQQEKFIVKQYWRSLLRWQGFDDYLFLRDPL NIKHIKGRDILTKNDVVEELNSSNMHMQVMYQADSGMQEYKDQHRRLQMQQLQKLYQPGE ANYQKFNPDKYKQTFFGGRDAAKLQQIVFENPKFVEFIEKIQKLLQIMNEKMVVEEVNQI SPIGERMIRLLWKTGCLNMFLVGYYIHNMILEIYGKEKLAYSNWKKVLRICNMQGNHCHK YKLIAYKHIAKICIKLQQYDKSLIYLKKMLKLSWIVNDTNYEIFTYDKISLCYYYKQNMN KAIYYHEKFAQGEYEEPGKGMRNVGEAAYLLDAKTKEGFIDQNSYSEDEYDLDVLLQNGN LNKWETENKRKDLKILVKKIPMEYKKGHSFGKIHRPTNKVIDFKHAMLILHQFQNKQAVP LGPIYQKEVQEQQEQTVKKFKKKDQTQSCQYLFNQKSTNRVASNFLLQEEIKDQTTNDTL CFKPSENIYYIKKMIRVFQYDLKYLLQKQSLFKNSTDSI >CAK95110 pep:novel chromosome:GCA_000165425.1:undetermined:82663:84605:1 gene:GSPATT00027439001 transcript:CAK95110 MHQHITLNCQNTKKGYLIQRTGNKPGDRISERQSFAQYVNQLSETQQQLITQIKSTPRKN QQFNSHPNLPKLHSPDIMSRRFVLNIAKCPINNYQSPIFRPNGVQQQQQFYHPVQSSRHS SLDIRKSMVVQNKLAPIQKSSIINLSGRSVRDEDMSLFYYKIGGDDVVDQLNEEFYNFSS QHDMIKNIEDHEQYKAHFKIFLEYIMGKPVFYNLEQLKDKHKNLGLKNNDFNEFKNYLIT CFLKVNKTQPEHVFEFSSMIEQYRYCIINSDTPFAIIYNQKTEKLSTKEVPDTILCMAER SYQKIFADNTLAHYFIGIQLHEQAKKLGKILHQMMGWDQTSDQALLDMRESHKSMHLNNV HFTLFKQHMIESMRQLHIQEKQIELVTSRMDGYRSYIINQDSLLEFYRDQPTLLQVQQKK YVQLLRRDHRMQNFPQEAFSRHAQFILRYLTHQHLPTLTHNDLWTIHSKYNIAIEWIESF QDNFFLLIQNLNLNPLVIQDYEDIWFKLKSNLTQQYSVQKLVGKQKVDQILTKVQFKMQD NENFNEYFKNANYQMSQHLKRIMTFIFKDQHLYKSNDLKVIHQSLKIKEPTFDLFVIFLK DAMKEEQIHHHLIKTAEETCEFYKKSICN >CAK95111 pep:novel chromosome:GCA_000165425.1:undetermined:84769:85287:-1 gene:GSPATT00027440001 transcript:CAK95111 MFNKSVQSNRTLISGQLHRIIMNKQSQREEVDYVRRKNSLKYPIKEQINFQKSNNYFNAR NSMDNLEQVFRVETNKKFKSPVVALPNRKPFVSNKNRGKSHYSSSQEPGPLMPGVKKSYE CGFRIVANRASRLPTIQMDEEVTKEESKIISSKDPVSFSDWVERIYGKEWFY >CAK95112 pep:novel chromosome:GCA_000165425.1:undetermined:85782:87297:1 gene:GSPATT00027441001 transcript:CAK95112 MRIQATSFRLPSLKLQTKDSYQCFSNQDNNLSNSSMIKHQSSLLWNYDPTPIKKRVRGMN IIIYNSHEKLKTADYYNRTEIITQIQETNQSTSVVNTFRRYRNKLKQLMQPSKNEGINSR NPLLYIFKDPRPPIRLDRLNTIINVTNFKKNKVRLLISSQQLYQEPINQDIFHVTLVKLK DEYDCLYQDFNDYYVQINKLDKHVIKLLNHLEIIQTLLKPKQPNKVIKQQQQQPYIRQLD EQSLIGEVHEVIEDTHQQSQIVQHQEFSFLEQNEQNINQPREPSIFIPLTPKQYNVAQSL SDLEDDYQNKPNKPKKQKNLNNNPSKPQDIINVDLQTIETIIQKTNLRLLYKLTPQNNQT QSIQQNDIYDQSNILKEDNNPQIEKPTFKRITSCLGSTSKRRNAMLESKDGYNNQNKVGT ILEQDNNNQEDDEEQEVLQMDCLTDLNDEQQYETKMKNCINIYKYPFQQDWEFHIHEIIK STIICEYDL >CAK95113 pep:novel chromosome:GCA_000165425.1:undetermined:87956:89701:1 gene:GSPATT00027442001 transcript:CAK95113 MLNEFTSFFDNLDVIQKIKHIWSKFQQSTYEKDSIIFESECHKISRKTAKLKPIIIQLGQ EHLYLFKNMNPHGMLLLTVAVMSIQKHDQGVVIRLLRNGQYIDILTTDAIVLKQLLVYKC LQTTFHDEFGVTKMIGKGSFAKVYLAAKKQTGIQYAIKAFNKEFMLEQFKGKESLENEIR VMRKLNQENLVHLHEAYETQNSIYFVIDLLQGGELLTRAKTNSFSLDTLQKLMYNFIKAL VHIHSKRCIHRDLKPENLLLKSKDSNVDIVIADFGLAAFLGEEILFKRCGTPGFVAPEIL MYKEDDPFYDEKCDIFSAGVIFYVLLTGKQPFQGADYKAILRANKNCEINFNIKQIQQSS PKLQELIKSMLQQNPKERPSAEACLQHPYFEEIFNKNDLVEIHENLIEYEIEHEHRLQKK GSFDSQVGSMELQVRTPILNGRTDTIGSLSACSGQGSTSRLEKPQQQQQSKFSQFCQTMK TVQQDTITNSNSMASPQNKKKDQQDLHKFALKNSYQQKQTSKDDDFVNEESAHLDDAIQK LNSQAPKIGIFKKSASFKVPKSTQE >CAK95114 pep:novel chromosome:GCA_000165425.1:undetermined:91018:93310:-1 gene:GSPATT00027443001 transcript:CAK95114 MWKKNSLKIFCTATKFMVKLKTAVDHYRLRQITHRIYQIIGDKASDYWYYQAKGLVNQKW TFQSYIKSLLIYNFWVEMQIGVIKPDSKIKLLVDCTILILIVMNIFYIPMQLSFSLQNNA QTVDFLFSTIPSWVFLMEIIINFNTAYYYKGMIHEDRTKIFQHYIKGDFFKDLLVVIPFL ISQYNIPYLNFVLLLRITRVNKIFEQIEEVTMIREKFAAPIDVLKLMIFLIFVAHVSGCA WHYIGIQEFFENNTGWLIKYGYSEKDWVNRYVVSLYFGTVTSFTVGFGDIVPQTLVEQVF LIIMVLITSLVFGYTISSIQNIFGQLREKTDQHRNKMAKINSYMKKNRINPVLQMKIRKY FEYFFTLDESPELLMDNLNEDLKLEFRTSIYKPILTKCKLFKKFDDNLLNQLCSVVQIQK FIPGQMIFQENDSINKAYFLIQGEVDVQINKVSIQQQSEGSLGIREFLLQKPIHYSLKAT QFTEIAYILYEDFKVIIREKQSHQEQYCQMKDQLLYQTEQLKCEICSQLHHFRKCPVVFY SPPTDVVVCDYSEAIIQPRYSHKRKQRMPSSTLVSAQYNLSAAVNYMYENELLNEGINDT TLKKFGYVDIEEKQQTIEDVKLKSLKVIQKLQEHHASIPKMKRMIYKNLLKKDENKQMLN KEESILENMHQEFINFKKEDLNNFDLHMEFSHYHQDKNLSKVLLNFSKQSRFKPKDQFFS KTRLGKTKQLSSHNSTSNNLMTNNHSIVKTIFNKK >CAK95115 pep:novel chromosome:GCA_000165425.1:undetermined:93436:96277:-1 gene:GSPATT00027444001 transcript:CAK95115 MNNQQQIIAVLTKIKESQQKIKVKTSKYLKQLDTFKMQLTSQEIRLYLVGNEQLPYGLLY CIGNKSKVDGSLRKSAYYSAELFLNILEKHPQRIEFIKVFADLEDDEYKLLSLSTHVLAD KKLQDKGLIAFKIIKFLKDERPHFNLESILEIKKLKEQFDNWYKTVRIQQIQEHNKQTSW KESDQPPPENLNLQREQNKRQKKLEHITLQWDGNPEYLIKTFYNPFVPQQRTTKSNKNRS AALKKKQIAESLNAVIDVESVEQIKQQNKNLDKLIVKIHLDSPQFDAQTFLKIVQPQITK ESMTMLQQQNIEMNKNIVYYFIDDFFCINQGILQIHNEFISKEFKDQIKRKKSLASNDKS GQPMQVDQILILGSLSKRTFQLLSKLNSISQSMNQLRMSQEKLKSVIDYIRKCEQYVQLP NQLKEAYLQRNNARVMKLISLQKENMNQYKNISLFKQLNEQINQVMKQIYNDMLQQIKQD NLDYNQILEIAEYINELNLTQNSTQDIVNNLQQSIKTSFNAYFNKTLQQRENEAYQVEMI RGDFIIEFLQQCSLPQKELQLQNCKALESFVSGKFSKQVKQFQNLCRDLNLNPNMQKLKE EIENKLLQFLDSTQKSWFSQQYSAILCQRINQDIESIKNMAQIIGNLFGETPKIDNSIND IEVQSLSLQFSNYTLKQVKPNFVVPQRDFFGVNFSEFILQIFNEILMISKNKNVDGQLFL QLVQDSLNYIQSSCKEAQDYLIFLHNIKLISSQIKELAQSVYSNSKKQKTFKEFAEPIQQ QINGILSKYYQPCLQSYVKLLNLDQPQGMDMIPRPYVIQWLTVLNEQAVVLAQINLDQSV TDSIMSFIVSQCFKNIEAVSTHAKRAKFLDQLNHEINLIKIMTLTFQGSVSQEGFQRLQR KLEELSQNTLSDTSEWARTQKFKMSFNFKALYGYYQQQ >CAK95116 pep:novel chromosome:GCA_000165425.1:undetermined:96293:98618:-1 gene:GSPATT00027445001 transcript:CAK95116 MDEQIINVIFDPNFTSFDSDLANMSLDKMMQVTFSEEILQKNFSVIISILKQLHKGMILI NGQVKDNSDWVQKLREESQQTDTKDKVDVIDSQVKEIHQNFATKAELQALKQRFDNILRD QDIQIKEIAKAQEQEQQQINKNAEDIIQKQQQIDTINEELDKLRKLITDQNKLLSDLSSQ KPDTNVYQIQSKPLSDDSLKDVLNRLAQLESQYKELLKQMNNQPKSLLQPISLKDQDYVE QPNSEVDLSDINKKLDNHEEEIQKLFELVDELRKKNREGVTGGASNVDAEDLLIIKNDIK KLFTLLEQLQTQLNLASFGNSGEEGNNVGENQMVIILAEINKIRALLENYATQSDLTNLG QKVALQQKQTYDHIDEEIEKVRREFKLNLNKKGDLSEQEKIKNELTQLKDILNKSKRGSQ PQLDNSPTKGPQLSPDFLNTFKELQDQVAQNEQELINHKSQFQQNSQQIQLKIAEIENKM KQTKTDSIISGLQELREIQEQMKKDQDTNKAKIAQFGKKIDGVVTREDLLALFEPKLKQV RDELTKQIEELRIKLEKKAELEDLEKLQNDLVSRLEEVVQALIKQLANKSETKKALIYLE QRINQIFMMLEGEGGSKDQEGLFAKKQLWSCASCDKELDKFKGQLGDYRGWAHFPPKETS PERMGRFGVGYKQMQDKSKNNRDKIDKERYQNDKDRPNSQANQQFYQTGSQMSQSQNNLP KINK >CAK95117 pep:novel chromosome:GCA_000165425.1:undetermined:98632:99209:1 gene:GSPATT00027446001 transcript:CAK95117 MNRFFFTGQATPLENSEFDDEYTLKVPSEDEVRIVAIRLRNCQYFLTGVDVCREKIFRKH VEDQKATPNGFLPCKPLVDSYYYCISQGSYGQSVSDAPTEAQDNLQKFQSCLFNKLNPAN YCKGFASKAVRDLYHLPGTKIKDTTI >CAK95118 pep:novel chromosome:GCA_000165425.1:undetermined:99212:100301:-1 gene:GSPATT00027447001 transcript:CAK95118 MDQYQNTFQMLGQNMFSKAPPSGYGVGYHPLIQAHSNKQKFDQLIQLMQQQEQTVSDYNT RMKEKEDQLLYLEQQSMQLQRDLIQKDKQRNEHNQIYYKNYQPTFNVQNTTPMESLQTDQ QQVQNIKLNKSMQAGDYPEIQPQQMQRKASIQSKQNQTRPQSTAIQGNQKLLNMQKQIEE QNQYIQQLSTLVGSRKPVFEAEKDDSLAQLLYERDDLQKQHILNEIKSLKQRIDDFDHSR QQMIQQQYGLMPQQQLLQQQGMMQMQNPFGMFPPMYPPNMQQQQQQQQSEESDDNDLNNE ILMKLIDQQNRQKKRGTRNRQNSRDNKDKNQKRDRRHTQKKKQQDDDDNNGGDGD >CAK95119 pep:novel chromosome:GCA_000165425.1:undetermined:100576:101444:1 gene:GSPATT00027448001 transcript:CAK95119 MQIVRLNFKADTCRNRPLKSPEKRQTTTIQFPNYIFLGPSENIKIVKFSKTIISSSIKLI RSTSPQKIQNISLAVEFAHAQPKHQKTQSVQLSQQNVDQLFKAKFQNHESIQGKPYPSTK YSYGLVNNHKSEMTLDTISDYPNTPTLTVPALKVVDKASNVWSGNRFNLSKKITGQIQFQ NGFVYEGELLNFDDTVILDGQGTLYSNGSKLRVIYEGRWKNNLFHGKGKYFNQYQIESSK DWHFNWRMIQGTFNNGEIIQCKIDFFLSEEILLHNIDYQK >CAK95120 pep:novel chromosome:GCA_000165425.1:undetermined:102059:103124:-1 gene:GSPATT00027449001 transcript:CAK95120 MAKDKQGEIDVDNIIERLLSVRGSKPGKNVNLTEPEVRGLCIKARDIFISQPILLELEAP LKICGDVHGQYFDLLRLFEYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENF FLLRGNHECASINRIYGFYDECKRRYTIKLWKTFTDCFNCLPVAALIDEKILCMHGGLSP ELSNLEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWADNERGVSYVFSQEIVQVFLKK HELDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFDNAGSMMTIDESLMCSFQILKP AEQGAQGASQQNKPPSAKFVN >CAK95121 pep:novel chromosome:GCA_000165425.1:undetermined:103156:104290:1 gene:GSPATT00027450001 transcript:CAK95121 MANQSNDSILDLRDICSKPKNSIHDNLLWKYQDTQFSRPAESQYNPESCKISYTIKEYSI QGKLLNKDYKNIRKIQLKHDRKSYFGLHLCLESMGNKEVSVFEVNPILRKSIIQLEAENE RPDIPIINFIENKYRNIIDQPQIWIIEVHDIEEIEDNEKLTLEEREQKVKQLKSQATQLF KEQKYKEAIQVYKNIHSKIGQIPKALKNTMTQEQKTFFQVELSRVYSNQAICHLQLKEYA KAIETSKQAMNDWDQNFKAYFIYAKAHFERDQKSEALEYFQQIIQKFPNEDVTEVQKYLD KCKQPKTTKNEQQLYKKIFEKLQDKDEDEIQREQKEKFEKVEKNRQTEKILNSAKNGQSI NHEEIQKLQ >CAK95122 pep:novel chromosome:GCA_000165425.1:undetermined:104299:108840:-1 gene:GSPATT00027451001 transcript:CAK95122 MINQTTNLFSQLANQYLKGEIEQDVITDPEIQKIVHGLQKKSETTKSKTLSELKTILPNK SQEFFDQFTPTFVNIYRRYVDNEYERRVLELANQVLSLICEYGKKSLSKKFKQLFPQWFI MINDYNSEISTAAMNAFQVAFPTKEKQVQAIKMCSDQYIIDLKLYLSLSIATIKQESNLE DEKVDHIYERLKVSTINSIKTAIQWNPDNDFIEQIMKLLDFTNKTTIIVELLNTQKTRIV ATIIETLHFLLSNEVSAPFVLNKSDPLLKKTVQLFEQKDRLIVSALWKGFVTKLFQRVQQ DQILKLQGLENKSLELISKAGFGVGKLLYDQLVVFVSLNPVYSVVDIKKEEVYRQKLASM TKLLNAILSGITHEESRFFSENLINSYFETLYFLICKRMIPPLVQYNQCLGQVKNIIVQS IRSVLQNTLSQQGGQAYNHSPYKQVPASLLQFLNILKVKEEQQEFLNEIIKAITAEFKTL QLDDYSVFVNIIQQLLKVKEESPAYQAVQQYLISVFDEIYNNNDFDKGSQLFEIIPNSKN FKRENFGQKIQEIINNLFSSIEMVSSEEVLKRCAQIKICIYNLQRINQQQLIESILQKII TQEYKQVIPKEEQQSFKLKWICLHNLVDASLDPQFYQKYLTMKDSQLEYNLIEKFVKYQQ LQQNETFVTKFLSQSLSITNLSQKIFIPCIIQNANQQILNILISKYTQIGQSVIKTMDKN YLQIFEELSYVMNKLQPQQLIFDVLLQLYLKNQSHKGTFLFKLINKKYQDLFVQFFQTTL PLIRTDTLNQHLHFFQDLFAQLNSQDLLQFLNFNFQSPISWKILSLIEIPQDYFKKMYQN NQLELLAVFLASNYSSIMLYDHKLKRFIQNIVGNQIIPIFLDSYEQQEPKSLDLIKVLFN NTDIVYGVALKNLIKQIIIEERENNYRSKQTDQLAQLIVDQLKIALDGNNVNKLITIRQI INVVDLKQQFQNVLQTYYQSNKISYLDLYTLLLRQQPNLLELEDEAELDKIIKLLFEMNL ESNYDKMVAFDFINLICERKTITPFAAYIKQINNLFRQLFQQNVQLKRFYSFSIVSALQF VRKILNFIEAFPIEFIDYLNFELTDSLLQIIQFCENPNPEFMDEQNDTLFIEYAETLLRC IQMEQWQKIDDTIYYQLLQCPFISIQKAAFIALKNIQDHSGLSPVLDGFDMKKEEMRRLR QEDEEDVEDIITFPLDGKILTNLLAWLVVLQNKNCVQFKDKYPTYLSNLLYILQFLNDNG VMARFNYKEIYQQDLNLMVIQDDQSELLKMIVISFYQFIVAQQSYFKQWYNQECNTTQKK QLEDLLQIISKAIYTREIELIELNQEEWRSEGFDIFISKNQQEITATYQKEEQKIEILIQ VPVGFPLKPLKVETKKGVKISEDKLKKWSLMIRMILQNENDTILTALKLWKKNIDQQFQD LEECAICYYIIHQNGELPKMACRTCKHKFHSTCIQKWFHSSNKSDCPLCKSQFL >CAK95123 pep:novel chromosome:GCA_000165425.1:undetermined:109206:110207:1 gene:GSPATT00027452001 transcript:CAK95123 MLKVHEFFSVAIQLAYNSAKIINSVRLSKDIGQKWKGVDDPVTIADIQAQTYIVQQLHRH WPKLTIIGEESISYSQPIDLPDTQLQLYDEDIFNKTHDNHLIRTQYEIDDLCVWVDPLDG TLDFVKGDYENVTTLIGVSYKKQALMGIISQPFIKVQEPQNSHEFKPKIYFGHHPQQRVF YTSDCSSKIPQELKKPQFDPSNVRLCTQRNRLSNQELQKIKSLGCQLYQMGGSGKKSLTV LEGETDMFICLGVGMSKWDICAPEALFKTFGGDFVGLAGQHYEYNPKDKSFDNPYGNLSS IHSELLQKYLPKTYGML >CAK95124 pep:novel chromosome:GCA_000165425.1:undetermined:110235:111483:1 gene:GSPATT00027453001 transcript:CAK95124 MGNEQIKPSIAHSTEWTFIREIKSHPLYGHIKVYKGPSDQLQCLKTVIVEESQYKDQMDS LKKMMVKDVLSQNQTNCSSLICINRLETQKQEEFCSNYVKIYLTADYSDQPIQQLKDVRS FMLDIIQALSVMDSQGVNNCQFCPAKILQFGDRVKVVNTQLFTEISDYEQLYFNEVSKDD WMIAPEVMNSLKVNCPPTFNSESAVIFNFGIMMINLLTGVHPKDASIYDYKNFALKDNLS AYAHSIDQLQIPSHIKQLIQDCVNVKPTLRPTFKMITNSLKQIEIPLQVSQIKNEEVTTN QIFNPCYEDVKKVLSESHVNKNLNYHNESPQKIEKQQFMTDTQQSAQFRKSKQIYNLENA YNSRASIQQKQPIQQASTKIKTQAKVQKVKSPNKKPKPIRANGNHQK >CAK95125 pep:novel chromosome:GCA_000165425.1:undetermined:111535:112843:1 gene:GSPATT00027454001 transcript:CAK95125 MSDYQIKYQEIKGSLALLLQQNYILKQQLKEATQEVQEKLQLKEEWAQEVQRVQETIHQL AYDNQNYQQENEQLSRENQESQYVIEQQIEQITTLKQQNETLLLQLDESRQQNLQKEITI KQQRDEFNKQLEKHQSQHYEEIKKLQGIIDNQSGLIQSYEKLNEIEKLDTQNSFYQQQMA IEDQMIELKNRNIILTNKINEEKQKYEQLYEQHKDEVTKLQGVVKDQKELLSQYERIIER DKKQMQPKPENNLHYTQSQVIPQQAVTLYQHEQQPQQSFQPQSQQVLSSPLQYEQYIQPA LSIQTQPTYSQPAYTQPSQNYTQPMNTNIIYTQPVSHRQPKTFFTNNKINHQLQQQQLKE TKNQREHPFQKNSFHLVEGDDGQRSGREFQEQFLYKCQNVIGRMEDKLQQMQSELNISDV SDKPRKLK >CAK95126 pep:novel chromosome:GCA_000165425.1:undetermined:113360:114382:-1 gene:GSPATT00027455001 transcript:CAK95126 MSIQYTSDEQLTFQYFFTICDKTDQGILRKDMATNFLQASGLNQQILKTIYEIASSNDKI FTKDEFFAALKLIALAQDGYYPEQKLLTQNIPTLLPQIQGYQHPIYDIPEEQMRKYETYF VKLDKDGNQTIKGKHAKALFSKSGLSQDKLKEIWNLCDIGEKGHLSKGEFIVAFHLVLLC CKCKYPLPTRLPDSLLQLAARISINPRIRLESNQNAVPQQRLGSNSNLLNQPRQRLGSDA NNGIQQVQNFDQIQQVIEPLNANILPGYDQNEMNSMRQQINDSTQQVYKMQNQFNEFYQN NTLLNKEEMYQLQAQNDKQMND >CAK95127 pep:novel chromosome:GCA_000165425.1:undetermined:114408:116629:-1 gene:GSPATT00027456001 transcript:CAK95127 MTETTNVQSIGYLPPQISSHYITFEKGSKVLLFLNYFQVDDQSLILISPRNFEYSNLSFT MFSSNIQPTNRTSKKLIVSIEQFKSIVSFNILLFCDLYQNNSAKTYSKQARSTESFINPN QCDQVNILTVPGEKGIDFQKVQQFLDCKFKSIKQLYRSNSLVYMDSPYKLYNVLFRFDKN TLLIEYFRFLIDNQIYNELSEIQQHYQVTNLDITLYKFFDSKELPNSMNSVQAIRKKFNN QTRDQQFYLLQQMKHINQMHHQADYQNLMCPDCQNTSKCSFLVSKSQQIFLAGESELFAY PVRIEYVNLIGRLFSQLICQMMHFAKTQNVYNRMNNIAQIERIEEAISCPSSNLERNYQN LEMLGDSVIKYLTSAMLFEDKGLNNESLLSSLRIKLITNKHLSDVYSILQIRTMNSKIHY KKVRNHMTTTINDVDDFKLSRKQQADIYEAICGACYIRDYEFSDVIKFFKLTNFGFQGVF PSFYTGNCLIQFSDVKNCGIYPLKLQKQIRPFVQNSIYNQSLDKFEQFLGCKLSRLNEAM TVEDYERLEFLGDAILEFLIVANVHKECEKYYYSQDQQQMCREGKLESKLLLCPGMLHTA KISLLDNGFMGTMALYHGYHQYAIGLCQETQNEIEKVLEALRQEEFNEFYLINQYSTQIP KIMGDLWESVAACIMIEYGWEGVVKIYGELYKPYIQYVVQNIYSIYDYHQLINRNIQIEK N >CAK95128 pep:novel chromosome:GCA_000165425.1:undetermined:117075:117526:-1 gene:GSPATT00027457001 transcript:CAK95128 MENQESQQNPLADTQQQVCQTYQATIEFFDHLPNCITTLKKQYLLDKASVNTRFVFLCLT TLTAAGLTARFTPSFIKVTRNTIFSYLGAGLFIVPEVFNPYLIYRQ >CAK95129 pep:novel chromosome:GCA_000165425.1:undetermined:117546:125163:1 gene:GSPATT00027458001 transcript:CAK95129 MQKPELQRIFKDLAAKVPNEQKEKAAMELQSVYYKYIQQSDELFNKIQKLINSSEIHEKF GGILALDQLTTTVQEAQALTFVNKFIPNVSGQFIYNDEKFLRKSAEVFGKLLRLGGTKIA QVVDSHFVEAQNQLKSEKYKLAGVLCLKEILNEAASITFNKLFQTMQTDRNFTLIHGAIR SKQYSLREAALDLFSEIVKQIAQREYYLVKIYTDEIESRKKSKEEEYIHGNIMMIKILLT YAKQDVFSQQQIYDQGEYVLSKKDHKSIIINRAVIETLPILAKHAKHHAVQEFMEQSISF LLSQTVQARSVKDKSLPYMTLAMLISFLNENMLQDLIRKVILHIRQELLQKNFCVEMIHC LQVIFQNYTRKFAEFTSVDVLVDQILLNGLHPQSVQFLNQLCRQQPRQSDYIQQKLLQTI AAILLRKIINFVNPKQQNFDGSILNDFQGYLQKAITTTEFRSPEAIANAIQTLSTFSFDL QDSLAIFVKDAVLPNLANSNPIIRKATAKAGCLLYIKKGRSTGQQMISKNVMYEILDKFM NVAISDTEQDIRQTMLASLNENFDPYLNSPNNLRKLFLCMNDPISEVQEIALTILCRLSI LNPSEIIPFLKKTLFEYLQTLTFDSNQPEKQTINKLYLLTSLIKHGRTIVQPYTSNIAKV IQQHLKNPNTNAIVTSYLLKAFAQLTETANQEILVNLKEVFDIIITAMQDKSSTLKREAA VKSLNLIIKNTGFVVLPYYRFPNLMDVIFQLIRTETIPEMRQECLKLLGNLGAVDSFIYK SVQGVPTKQKFKFLKNYQNALNSVSSSELMNEVRGYSEDLILIGNFSSKMFLHLGKLKMQ TDQDTLLYQNNNALITKSVTLDTQSHYHQAINSQDIEELFQQVPVIQLNDIDYYSKVTLK TLLQILLDPSLSNNHELALETIMWIIGTLKAKTASYLNYLIPVFARLLQRNEEMKEKVLT SLQKVIHLCGIQFNPQYIDQVIACVMLFCQGQESSKLVLIGLEIMETLIKSSKPHLRHKV EPLVRLINQEISQFEEEKDLVRKGIKIYILLENLLDCQLHMFIPFMCKLLSKEVSSVLLE VRKDIINLFVSLSRKCPTTVQYLSLIVNSLLNLVELSAKTQQQLEMHQTVLNCIVNLILQ HKNLMLVYLPMIHLQVQKYKIHHQQYQKLVEIFLMYGNLEDLNNLLDEDCKAIEQLFPSQ ITQYYSIEPNAPMYKKIEPEELVAKFDTEQRNLKEEWQEWMRNTSVELLKLSPFLVLSPC SSIAEMYQTLAYELFNIAFDSAWYFLNDKHKELMVQYLVRVIKADNIPLQISQTILNLAE FMQHDKEGLQIDISSLGELAEKCMAYAKALYYREHEFETANSKAIQSLISLYTNLGLQES ANGLLTYAKQSLKIQVQNTDYERLKKWDEALQEYRQQQLKYENDQRMDLAIKLVVPKMRC LNALMQWQTLISQAEEIFKSNEDAKQKEIAHLAANAAMHLGQWDKLATYNEQVNAEESDK PFWKAAVCISKGQLDEAKIQVRKSRERLDGLVTGLLQESYDRAQDGVLKLQQLVEMEEII EIKQFENKVQKAAQENVGEYSYIKLLDELEIRKKKLKDIWHDRLSGAPKDIDVWYRLLST RQLYLPKVHDLDIWIKFAKLCLKRQKMVLCKSTIEILKEQFQNQGLAPLPVTLHIFNMQF EYVHAKHEIQILDQVREYFTNQEQISSIDSKLKAKTFFTLGKWAYERAESTSDLEQITKQ FDESLQYNSTYAKAWHYYGLCNFEVIEQQENRQSMNAHVFAAVKGFLKSISLGSRDIKKG RYILQDTLRLLSLIFKYGMEAAISDEFRQNYKQIDVIAWIDVIPQILARIQIQNPIIQQL LQDLLIHISRIHPQALIYPLTVACKSKNQIKRLQVLKILDDMKKHSPILVNEALIISEEL NRTAILLKEAWREGIQEAWTSFSQDKNKTHVERILRGLHDNMRVKLESLSEISFHQTYGQ EIFEAEAWLQRYLRTEDQVCLCVAFDIYTRIYHKVQKSLEKMKKVHLENVSPKLLATQNC EISIPGLYKTNKQLITINGFAPRLDVLSSKQHPRQVKIFGNDSKEYQFLLKGHEDLRQDE RVMQLFSLVNRLLTNDTETERKDLTITRYSVIPLSHNTGLLGWVQNCDTLQQLVREYRDK YAIRSNAESTLMEQFCAQYQNLPLPNKVEIYRHILENTRGEDLQKVLWIKSPNSEVWLER RINYTRSLATMSMGDRHPSNFMLQRLTGKIVHIDFGDCFEVAMKREKYPERVPFRLTRML VKAMEACGIEGVYRHTCNIVMRVLRENKESLLAVLDSFVYDPLLTWRLLNAQDHKPKKEA RNVDLHKQIPHQGKSLIQVIPEAKRRGSIIDDGKQQQTLKRKESGREKEIYFEFADEERE IPDDLQNQKGLEVIERIKKKLQGRDFKEHEVLSTESQVNQLILQATNHENIAQAYLGWCP FW >CAK95130 pep:novel chromosome:GCA_000165425.1:undetermined:125218:125702:-1 gene:GSPATT00027459001 transcript:CAK95130 MDGKKVLVADDEPFAQNLIKMLYGALKVECVVVSNGKEALETYQKSPNFAHVLMDIHMPV MDGYDSTKQIRAHEKAKGLPKCKILGLSGDGDPKTKTACLNAGMDDLLVKPIKKEQLSQY L >CAK95131 pep:novel chromosome:GCA_000165425.1:undetermined:125710:127882:-1 gene:GSPATT00027460001 transcript:CAK95131 MDQTKVKKSGGFESMGLIPELYRAIKSQGFNVPTPIQRKAIPQILAGRDIVACSKTGSGK TAAFLIPLINKLQNHSTVVGIRGLILLPTRELALQIASVLKALLKFSDIQYSIMVGGHGF EGQFESLASNPDILICTPGRVLQHLLEDRLKLSRVQMVIYDEADFLFEMGLADQLKQILS HLPSQKQSLMFSATIPEQLSMFASVGLKDYIFCKLDKEFQLPDSMQLHFLFAANDNKLSA LIYLIRSLDDTCLIFASTRFLVDMLSYALNKFHISNVHVYGKMDQLDRKEQLDNFKRNQV KVLIVTDLASRGIDLPFVANVIHYDYPSNPKIFIHRSGRTARAGKAGYVYALISSEEILY IKETMIYVGRKLVNEGDFSDPSLSFYGHMPIELLMQNQEKLNDLNDDIEFQNYKEIATRA NEKFRKTRGSAKKVKTNIDTSLVHPLFKDKIQVEEDTKDMLKQIKNFKSAQSVIEIKKFE SNQKSDPFMKAVSHLKDVQKRKPLPKIELKEQPKSLNIENFMDQKFFIPTQRDPTKKSEF EDLHKITLEDINPFVISDGTDALRKRKQMVWDKDKKKYVNPKAAQQQDKEDRGMKVEKGK QNFKEVVETNKHLIVECGRRGGKDIFELQDQQMVSRAKELFKRRQMRGKGYYFNQEDGGQ QRGGKQEIKRPEQLLKSKKIKKNLKLKNMEKGKRRQIEKKHRKNKL >CAK95132 pep:novel chromosome:GCA_000165425.1:undetermined:128073:128981:1 gene:GSPATT00027461001 transcript:CAK95132 MERAANLILTAGSLLVGGGMLFKSFFYTVDGGQRGLIFDRFQGVKESIQGEGMHFFIPVI QSPIVAEVRLQPKTVASHTGTKDLQTVDIAIRMLHKPIEQYLPEIYKTIGLNYEEKILPS IANEVLKAVVAQYDADQLIKMREKISQEIKEGLIERAKEFKIVLEDVSITHLGFMKEYAQ AIEAKQVAQQLAERQKFIVLRDEEEKNAKIILSEGESEAARLINEAVKSYGTAQIEIKKL ETAKHIAETLAKSPNISWIPTGNGVSNLLNLKTF >CAK95133 pep:novel chromosome:GCA_000165425.1:undetermined:128982:130873:-1 gene:GSPATT00027462001 transcript:CAK95133 MAPEYGATMGYFPIDHKTIDYLNLTGRPESKVRQIETYLREQGLFRDYKSGNDPHFSGDV LDLDLASVQPSLSGPKRPHDRVPLAEMKKDWASCLNNKVGFKGFGIPQEKQTDVAEFTYQ GQKYSLQHGSVVIAAITSCTNTSNPESMIGAGLLAKNAVEKGLKVKPYIKTTLSPGSNVV TKYFEESGVSKYLDQLGFTTAGYGCMTCIGNTGELDNEVAEAIKNKDLVAAAVLSGNRNF EARIHQQVRANYLASPPLVVAYALAGTVNIDFDTTPIGTDKNGKPVFLKDIWPSREQCGK AVEQALKPQMFRDIYSRIAQGTERWNQLKVNKTDLYQWKPESTYIHNPPFFQTTELNPKQ VQPIKNAYYHISPAGSISENSPAGRYLKSKGVAKKDFNTYGARRGNDEIMARGTFANTRI INKLVSKVGPQTVYVPTGDVMDVFDAADKHMKEGNQTIVLAGQEYGSGSSRDWAAKGPYL QGVKCVIAQSFERIHRSNLVGMGILPLEFLKGESADTLGLTGKEQFTINVNESNLTLGQT YTVETSTGKKFQAKSRLDTEVEIEYYKHGGILQYVLRKLVKA >CAK95134 pep:novel chromosome:GCA_000165425.1:undetermined:130890:131809:-1 gene:GSPATT00027463001 transcript:CAK95134 MIRFTSRFRFGARANPYIKAQKTLKVDGKEYKFFSLPALGDSKLNHLPYSIRVLLESAVR NCDEFAVTSKDVQNILNWETNAPKQIEIPFKPARVILQDFTGVPAVVDLAAMRDAMKRLG GDPQKINPLCPVDLVIDHSVQADVSRVPRAYEENEKIEFSRNYERFEFLKWGSTAFKNFL IVPPGSGIVHQVNLEYLARVVMEEQGYLFPDSVVGTDSHTTMINGLGVTGWGVGGIEAEA VMLGINNINGLTRSRWFQITWKITSKCHSHRSSPYMHINVKKERCRWQIRRILWTRS >CAK95135 pep:novel chromosome:GCA_000165425.1:undetermined:132737:133725:1 gene:GSPATT00027464001 transcript:CAK95135 MATIYNLKRQIKDQTNMKISYAKKYNSVLKKEPANTSPLIQMIIQLQYQKEINLQYSTIM GDQKIIYKIVSIQVSKKTNFILSGGMDNMIRLWEQQQDQNQWKEKIFKNTRSPISCMILN KQENSIIVGNKYGYLQIFYLNIENSMITFTNEIQHIHTKEICGISLNPSQNRIITCSLDK KIVLWRTNEKGYLENIEESKRDNAITGIFFLNDNKILLAENKKGLIQLKLANDIFQKNEQ IIIQDENEDNYYFPIQYNQIRKILLIKSNRCIYILKELNNDVYEEKRKLELRSIKIYGTL STNSKHLVIYNEEQIYSYVLFE >CAK95136 pep:novel chromosome:GCA_000165425.1:undetermined:134016:135372:1 gene:GSPATT00027465001 transcript:CAK95136 MNTQVYDLFTSNENPKFVAKMLAQYRIIQFLGQGAFSSVFKAFCDGEFFAIKVIQIVDKM KGNAEKLQQEALILSQLKHPNIVRYHRTFESKRRLYFILSYIEGKTLQQYIEDGSVRFSE KECIALIHQLVDILSYLHSNRIIHRDIKPDNMIVDKNLNLTLIDFGLSYITTQQITTHQN CGTLIYMAPEVLMKKEYFKSVDIWSCGIIQYQLLKNCHPFWKNQKKESYIKQMSIPQKVD FSGMSKYNFKFERAAISFFEKTAAFEPEARITAEQALLHPWITCQSSETQIMTSKEIFQA YRGIVVFTKFQKLLVFIQFIKSNSEKAIRAIVLPQNQRFFSKQKSPKKPNFIKIPTRSCL NSSNSSRESSEKHKIKLPPIIHSKRSPKGEASPYPFPSNYKISNPTQTTLEFKKSHFRLK >CAK95137 pep:novel chromosome:GCA_000165425.1:undetermined:135506:136615:-1 gene:GSPATT00027466001 transcript:CAK95137 MTTTNHSNFSEHQQQGNKDSYSKIHTPIKRKLSEYVIQKQSSYAQIHKPERQKQKSTPDI LQLLKKYQKCHTNQSQHQPNQQRDVVSNYQIKKHKTLHNTDASPIRVSQEALPLKNIIIN RKRMSINDRDTTLLKLQELKKKIIEKVPNPLEIWGPEINPISNRITFQASLQTEDSKLIQ KTVDTPGTAQFMQSNREENGQEPRNPFERTKSSQDMKIQENQITLQKNLDLFFEKRKHSC CEDNFKPVQKTQYQYGKPYFESNKGEEIPNSNFTNRLECRKIMIILDQDKEKILEQIALN PNEIHNSPTSKIWDKALKIDRLDEQLGKQKTEESLQVKCQKIKSKRGSIKNENIPYWKLR DLEQKKIKK >CAK95138 pep:novel chromosome:GCA_000165425.1:undetermined:136670:137050:-1 gene:GSPATT00027467001 transcript:CAK95138 MNLSKQINDLKFIKYYKLFNFNKMGCCQNRPFMQQQHNGNHKSQTYPNKMKLEPLLIAVI GDSDRMDMMDEIFFIKQTSIKEIHSPCHLRFPSESVIIDLRSGTSLASPPRQKSSKNLNQ RFLEML >CAK95139 pep:novel chromosome:GCA_000165425.1:undetermined:137527:138009:-1 gene:GSPATT00027468001 transcript:CAK95139 MRGLVYNINNTLKSMDNIIQLALVIKLQVSNLKQNILSLQIINQIIGCCQQISNAEKEHQ ITGFVSIKEQFSPKTLQMQPLQLNLIKGDNECIDLEEKMERLGSTCIDNVLIEKQLSQLE NQLSLQSKNDVIINLRHSNSTQLVKDNTTKNLNKKFIGML >CAK95140 pep:novel chromosome:GCA_000165425.1:undetermined:138218:139395:1 gene:GSPATT00027469001 transcript:CAK95140 MSNNLFNVLNLSDTEEVPEQQQKKSKKNNNNKEQVIPVEQVQKENTKHANPAPKQKGVPA EPHPKDRQSGTGRGKEQRKEGGGRNNWGNYKDDLKEEKYTAKEKKPQDAPREEQNEQTTQ PVQPPAPEKTLADYYQQRGANVEDVLKKTEAKPQVTKEIDEEALRKDKLFVMKTREDEKK QQEQKQKKTRQQQPYRSELNKEAAEYLGFTNQTQEPERKNERRGERRQQDKQEPVQEQQQ QSETQAQEEKGERKERGDRQGDRQDRGDRQGNRGDRQDRGDRQNKGYKGDRQDRPQHDRQ NRDNNTRDQRRGDNHRKGDDNRQDKRPQQRQQEQGIQLDDKDFPSL >CAK95141 pep:novel chromosome:GCA_000165425.1:undetermined:139425:140398:-1 gene:GSPATT00027470001 transcript:CAK95141 MQLPTRIIDGLYLGDQGAAHDLEFLVTNKITKIINCAAKHIPNHWESIGIEYMSYQWLES ETQFAFDHLRCYAFINDTLEAGEAVLVHSIRALNRSVFVVVVFLMRKFKWTLQKTLQYIH NLKNDFEIRSNVFQQLLNYEKWLQISKLSNNWDVAHNDDETLARNTYLNSQRNYSNEPKR KKEICLKKVQWKKNLVSQFIPPYQLIEFKKFSTPQPKMYYSQMPIKLMVQPSDNNWINKK FTLNQIVDEQLQRKFNLFSIKSDRQAIKPLSRPSTAPSKRLLKGKVISSSHRLLVKQS >CAK95142 pep:novel chromosome:GCA_000165425.1:undetermined:141270:142391:1 gene:GSPATT00027471001 transcript:CAK95142 MKQNNCKIKDIYSEKLIQPLYDFQKYHLKVTFNINLLQNPNKQTPPHKPLDKQIANKTVS QFYNQQAFLQKHKTNQQLLLQILQPSQHKLHKKEYPKIVVDQKNISEQNTCKVIKINSQP LVDDRKKQEKDNRKQISEVVLMQKYHTTRLIEQQDQKTNSILNKLTNNKFNKSRYSNLNF LQSINKYSKFIIFVIQKFDLFGVKHSSSFIGKNPPTYQCSFYSDQKLLYKSKPFEYNEFL ESIIGFQCQEFTSIYVVIEKIKQNEQFQVGQVIYIESYSHITNTPLKENIIIKVSDEIDG EIKKTISDEQVTVGILQYKVLQLCQQNNQNKLQVPQASYAIQIQQSTPRHSATQIENNVS TQLK >CAK95143 pep:novel chromosome:GCA_000165425.1:undetermined:142639:143315:-1 gene:GSPATT00027472001 transcript:CAK95143 MSSKIKQPTLLSKVHPKVLYNTQELNARVVKVQSPFEYMNYIKRRQEYLNKQRELLNNLQ LSKENYEKLTFSVKQIGVVNDDGRFGTYSQRRYLLKSMESTRQQKSESIQCPQTERAQYQ FRAKALPSSRKEKFRFSPQSTAKFFLLPERQETNFEVKNSPVDKILKRSRQKKRIPQSQP LPPEVIFPKSQLNPKYSSYSRELQELTTTVEFNKLP >CAK95144 pep:novel chromosome:GCA_000165425.1:undetermined:143334:143858:-1 gene:GSPATT00027473001 transcript:CAK95144 MSEELVRLQTIEFKQEIEKRFAKSRHNHNYVFEREGNDFSDDDSLDSLRNIGLYNLCKPI RNKSMETERTVPKTSKTLLIRLLKPKKQPSVNENRSNNTSLYKSRSQSKNGTTRLRTEYY VLFLIRGSVLRESKNITLISPKIPKSPLYIQVDNLIKSAKNQHLYKLNNYSMAV >CAK95145 pep:novel chromosome:GCA_000165425.1:undetermined:144376:146403:1 gene:GSPATT00027474001 transcript:CAK95145 MKMMKSQQLRRDLEAFQKQLRQALPTIINRVTKDIGQMLYFEKLFKDLKPNSVQELYFQS LLRLDPHIARQQPQLDEPIQNINELIHLYFEQQAEKLQEMVLLEKELKMMRELEELQVSQ ESTQIQNSLIELEQSKGMDVEKQNMEEVCNTIKRKYWRQAQSPSCDQCFCGNQLHYKQIV KCQLCEKHFHINCLDKSYDERYVKHFTCPRCTLYHMDQFCEVISVIIEPFSFKKTGLTST KTVKFKSDTNMIDVRCIRMDCPLSAEEITWPDLGELHINNKKVAEFIPLAQQSCQHKRKD EKLIFSIPQNEECSLMMKETIPGMEQKRKYRIQGEQLHYVAGYKTKQYSGKQLIEKIITS SENWMSVEQAQDFIILQMNYISSTGIKQIKQTISLLCCLCSTLMVTPVRGIYCNHIQCFS LENYLLMLEMSNPRKWRCPICKAKLFKLQIDALQYTILQTIRQYNLQEKYSEISFDHMGN ILDDLIQKFIDFNNLPEHAKTSRNRILQLETLSNQRREFDNEMEESEQLNNRPLNPNSIV IEQYQIIMLILILIPFTFSLSSDCQYRSITDCLELNMHNLKFRCSIKNNRCIEQRPEMSQ PQHQGTLKFQYLSKKERLEVQKNAQKRRSKFIKNRFQDEYSEQDFGTSFSISNSYSGDLF DNFNGDGSYSYCIQI >CAK95146 pep:novel chromosome:GCA_000165425.1:undetermined:146596:148593:-1 gene:GSPATT00027475001 transcript:CAK95146 MFQRKSEVGEEQGETVIALYSQQTNMSYIPPVYLKNGPNQNRSCTNLCCCLLFLLSIGAL GYFYFLTYSSQHIERLYTPVDSEGRECGQGNLNKFPLIYFVTPEEKYLYRTVCVEKCPKK EDKLLLCAPNKLINDCHNNPSPSDPEKRVLVYESDSYSGNICLPTDDNLKNAVQPAILVG EIQQALINTRANLPIIALSGGAAFLLAFFFTIMIGLCTTLIVYVFILVYISLTGFLSTYF LLYFLRSPLDLFPYFDKSTYAWSPYMLAASITFAVLCVYAIFTLCWNLRKMKFMISLVKL ATTFLYQNKTIIIVPIVFFFLVMSTLLVWIASALAVLSEQQMDYSLDSQIYPFEKIQLKF DTLIKLMITVLALIWLMQYILSLARFLNASTATLWYFHASSNKGFLYDSFKLAVRYHNGS ITAEAIYSFFFSGIAKAFNLIYDSLNRCRLKRHNFILNCFASISLCLCCVCENFIMYINN YAFVYIVMTSADYFESSKAVHFVIKRNHSDFETLSGLGEQFTHFSKMFIFLITTIGTFIY VKEQTDFVLQLYTFAIIAFITLSIATLFMDMFGQSADALLLAYFTDCEVQKYHFGLDECG SCPQEIRDQVQNIREKQKIYYG >CAK95147 pep:novel chromosome:GCA_000165425.1:undetermined:148699:148944:1 gene:GSPATT00027476001 transcript:CAK95147 MLLREIASQFCSQFEKNIQKTRRAPQVVNQVKAEETTEEKESDYSLRRMPFYRFPVGLQK NLYLMLQLFVKLLFNRSINFS >CAK95148 pep:novel chromosome:GCA_000165425.1:undetermined:148955:149481:1 gene:GSPATT00027477001 transcript:CAK95148 MQSPYFSYQLTNAFCQLNDVYIFGFAGIVGAIAGCHFVSGKPFNYLGLYSSSQLICLLGN SVLMYCLSPYLFGFYFLSILSHLIWYNNAKSIIGVPKTFNNLYLKSMVFSSLFIFAAIGG VVLLNQEIKHIEIKIEELQKLSDSLKSGL >CAK95149 pep:novel chromosome:GCA_000165425.1:undetermined:149487:151115:1 gene:GSPATT00027478001 transcript:CAK95149 MKLSQTPQTKVSRMDETFSTDIHNMCYSRVNILTNEVEKLTDVLGTASQEMEVLKEQNRD LQNQLTEMEHSNQIVNQEFDNICERLKQKSIEAEEYAFQVQKLTLQKQEITQNLNKVLNE LEQINQVIDIKDQEIQSGKQQFIAKTEELQQSLQQTYHLDQALKVVKEEKLKYIYEYQQL QKLVEEQQQQIKELNEYRIGYETLKVDLNILRVNYTNLLNQKLEEKKELEAQIDVLRDMH SYTIEDGQLKFADQIKQEIESFKQLYDEQYKFERMTYESTLDQLKNQVATLSMQLQNAEI LVSTHKQNLMNQAQLSMFEKSNQVSELKAQNYVLQESLITANRELNELKQQMENGNIIRF DEDVRRQIEYKLDLCQQLQKDKEELNKENQQLKRENKLLKENNELMQQQLSQQQSNISQL PQSLLLSQISPSGISPGQKINDSEKIKYLLKAVDQLQNAIKDRDQEITKLSQMASTNVGL SSLINKPNSRMRFYHLNSDDLNSFDKQL >CAK95150 pep:novel chromosome:GCA_000165425.1:undetermined:151135:152471:1 gene:GSPATT00027479001 transcript:CAK95150 MKKNNTKKTANTKVKVNPCSNDRYYMDQSIDEEVYIKNNYKVVRELGRGGYGVVYKAVGL NQGQIDKNKKYAIKVNFSTVSPELIFAEIGFLKLIYGKENMPQLVNLFLIDQKIYIVIEY FTYKPFITFFATFDMMEVRRYLYELLKALNVLKQNGIYHRDVKPGNFLYNPKTGKGILID YGLSEIDRSFVAKLIEKEKELSKKHPQSDEVQEIRRKINLYNEIQKTIDQIGNNKIGTES FMPLESILHYQDQSYEVDMWAVGVIFLQFLTKKYNLFSNVRMINKPVVTKNFFYVNFILE LASLFGSEQVKQICEQFGKIKLFIKIEYDLKLPSVVATKPVQWKSIIHIDGFDSDAEDLL SRLLCLNPKQRIKVEDGLTHPFFKPLLEQEKQTQTNFQDNQEIMQQKLQEN >CAK95151 pep:novel chromosome:GCA_000165425.1:undetermined:152723:153041:1 gene:GSPATT00027480001 transcript:CAK95151 MQRIIKQFSVLFIWIVSCEQNALLTFSYLRASVIESLVKEHYDYGLQKRDNDPESEIALH HNGFKLEYLIRYSQFESNQEFVWLLQCMDECLRGFLIQI >CAK95152 pep:novel chromosome:GCA_000165425.1:undetermined:153277:155537:1 gene:GSPATT00027481001 transcript:CAK95152 MTDQEKSSDVRLVQDGQKNVQKQKTGLKQINLDNLVYNKRERKQTGGPALLAIPQIQQKK IVQNKQSYKKKNAELQTPPREEVQQVQEPTLIKQQPISCQQLVFESDNSSDFDPKIKAKK SKKFRRTHKRLDIEDSSDEEQYKRRLILKRVNKIFKKSYEQKQILASGSEQENLVKELFK NIYVKIFKDIQISPAELKQLSNPEYSTSQISDCKQQDEEIKPEEQIRILTEDGTDFETKR NLKLLGIENSEILSKLGEVKSYINCDIRYFNLDFLVEKVGGFDVVLMDPPWRIKGGQQND SSFMFTNSKFSLDYNTMSNQEIMDIKIEKLSKKGFLFLWILNTQLNIAYEMASKWGYEIV DQIIWVKLNPQGNNVYLSTGYYFMHSFEICLVGYKCPPGEHVEYHSKISNNIIFSPVRNK SQKPIEMYEIIEIMMPGAKKVEIFARNNNLRHGWFSIGNQLGETYQKWLVQISCNVCGIA LQPGICRYKSKKIANFDICQQCYDKKISEGEFKESEIFFLANKADEEVLHQYHQCNRCDQ NPIWGPRFECITCDNYDCCEACFDNLLQSGDLTHMDHDFKVIELPTFAEGIPCHDAKCNG CFQKPILGVQFICKQCTNFSLCQNCFFTRTQSELNGQRHKADHRFEPIYEVQNFSKKTYK CQGCELEKAGCVYKCENCFGFYFCEECFALKKDDWKCYVATSHKNYHTFIKI >CAK95153 pep:novel chromosome:GCA_000165425.1:undetermined:155758:156827:1 gene:GSPATT00027482001 transcript:CAK95153 MLKKKGSEQLDIIFEAGEDLLNFSKNQVIMIKNNSIKLPIIRPRTFLSYSLEFCGITGLN YKMLRFQSLGRDIRPETSIMTSCLVKVIHTTEFSQMAQNPLKTSFQGLFIQGFHSDILLQ INEESPIPLHKCILACRSPKFSGMFSSNMIESNQTLVKVEHKKPDLFKVMLQWIYCGYWK EFPEEIEDTCDLMLLADEYLITDLKQKCEEDIISKLDSSNILQILLFVEKHSNIISQQLL EKTNSMFIDDFDKIHKLNPNLEQDITRVPGLMTKLFENLHQKKIRKGRKVHFVVDDFEES DSDDYVRNYTQHFYQ >CAK95154 pep:novel chromosome:GCA_000165425.1:undetermined:157394:158651:1 gene:GSPATT00027483001 transcript:CAK95154 MQVSCRTKNVNKYYKVIGEVGSGTYGKVYKARCLKTNDFVALKKIDTKDQKIMAEGFPIT AIREIKLLKIVNHKNILRLREIIISKASFRNNFRGSTFLVFDYYDHDFAGLHRQRNIFTL PQIKCIFQQLLEGVKYLHESKIIHRDLKCANILMNNKGQVTLADFGLARTLSNVNNPKYT YKVVTLWYRAPELLLGQTNYNTQIDMWSLGCIFAELITGEVLFKGDIEFRQMERIYELCG SATESNWPNCVKLRQWEEFKPRRNYERLLVKHIKEVCSAQNKQIDQVTLDLIDHLLILDP EKRLNSVQALNHDFFKQEPKPCQPSEMPQFEKEFHETLLKNEMRLQQQRLERQQIRPLST NTQKLQKVVREERNTSKPQQSSPSRNKQELDFEDLIKLELAQEKKKVKLN >CAK95155 pep:novel chromosome:GCA_000165425.1:undetermined:160038:160298:-1 gene:GSPATT00027484001 transcript:CAK95155 MGSSCCAKLQSEKEIQQVIKSSYNPSLTSIKSRVFFSKPLQEVQYVSHVLLNIDEEPIEE IKEDYKSQRLSPGANNLNKKFLLMLK >CAK95156 pep:novel chromosome:GCA_000165425.1:undetermined:160314:160628:1 gene:GSPATT00027485001 transcript:CAK95156 MNQQSVFNSKLQQALDCLIDDSLKPFDPYRFVTIMETKQIQQGSAEQPNKVNKEIPHELK SQKTRETLYLLQSKIKQELHVKICQMILGKIFKHNCENKYSDSA >CAK95157 pep:novel chromosome:GCA_000165425.1:undetermined:161047:161559:-1 gene:GSPATT00027486001 transcript:CAK95157 MKAILFIALILCFANCSLETIGLRHKLSQIIQEHQSSLQEKQMSGGWIKQPLEEFEQQNN SILITSLDAVEAKYNLSKDGYEYEKLLQVTTQVVAGINYKVLVQYAKDDAKRIFEVQQYV VPWNQSANSITKVEEISSSSQ >CAK95158 pep:novel chromosome:GCA_000165425.1:undetermined:161564:163068:-1 gene:GSPATT00027487001 transcript:CAK95158 MKIIIILVLLLSINAYMLRNKKDQVKTHVIQQIRETIKNPYSPLYHSSYNRLAYYVDTYG PRMWGSEDMADSVDALVQEIQNYGFDKVWKENLGEITSWKRGEESVTLYEPRQYPQKLNM IGLGWTPAGTVKAEVEVVHSFEELQTKDVKGKIVCFNYEWKGYSAGVKFRTNGPKLAEDA GAVGTILRSVASVSISSPHTGMTNYQNIKYPAVAITVEDADMIDRMRQRGQKVVIEIKTG GQQYKTTSDNILAEIKGSKYPDEIVLMGGHWDSWDVGSQTGANDDGAGVLECLEALKVLK HLGIKPKRTIRFIAWSGEEMGMANDGAQHYAKTHSQENHVVAFESDLGSTKPYGFGVTGG ERFTQLITYLAQEYLTEIGAEQILPNAGSQADSAALRAATGTPIMNNKIEDNETHDFYFA YHHTAGDSMWMMNADDMDDNVIAIASMMYLIADHDDPIPKN >CAK95159 pep:novel chromosome:GCA_000165425.1:undetermined:163184:164383:1 gene:GSPATT00027488001 transcript:CAK95159 MISNILKSKKQQLLQEGQQNITLGKLVKFSHYETIFKYSFFAVLFVISLYSLDVIQFQQF LKEKNLRRFDWSELKWLVIGLILIHITKEINRYLVHDYVSNSLDKKYVGFDRQLRVQKIV KWIYDTFYYSVATIFAYIVFREEKWFPTQLGGQNFTETMYDFPNMPDNPWVPFYYMIQTS SHVHALLLLMFHGTKIELKYWEYLLHHFLAVSLLYFSTIYNCESIGIVVLVLHDISDIFL AYGRTYADIGKNKILVYVSFSAIQISWLYTRVYVFPIKIYDIIVNHPGFSLYWENTKHAL YNQVGLMVVLFGMHIYWTIFMIKVGIGIFSAGKYKNVYDNRENKLDHKKEN >CAK95160 pep:novel chromosome:GCA_000165425.1:undetermined:164399:165899:-1 gene:GSPATT00027489001 transcript:CAK95160 MLLSFFEWLGGLIGLPEDQARMVFGFIITIPLGFLFKTLKSAKLRKYFGLTIGLLLSYML YQEKLISVIIQNIIVYEMTKRITLNKIGIKAKWVFYETLIYVAVHHIYRQYTDYGGWKLD ITTILMMNTVKWTSFAYNYEDGLRKDEELNSDQQERKLQKMPSYTDYFGYMFFFCGCLAG PSFDYYDYDIFVKRTNVYEKIPSTFWETFRLFRNALIYSFFIVVVYPRWSLESLMSAEYD EACLLYKILWLNLTITLHRTKYVSGWLFSESGLAAAGFTYNGNQDGKDKWLRIRSIDPTI DLTTNPKDKIELWNISVQVWLKKYVYLRVYPESVLKSSPAKSQKAQLITFAVSAFWHGFY PGYYISFFHWNLIGMINKYIHKLGQNTNVLKIWDSNILFKCVRFYFVNSLFNCFGVGFQL MNVRDNLRFYGSVYYIFNLTTYILFAFFTVTQFGQKPRKKQ >CAK95161 pep:novel chromosome:GCA_000165425.1:undetermined:166019:167286:1 gene:GSPATT00027490001 transcript:CAK95161 MDVPINNFKGVMLCNRPNENVMVIKEKPFCSRVQPYDQWGLTKKYEEPKVVVPQTNPVLE RHKKWLEEFKLQNQIKKHAKEELQAREDEKFLRVRELAKKDREVTKKMKEDYKQMNELIK KELQEEAPKTKTVALTAENLKKLEEKDSKSESKPGDKKKRYKTKPVWAMTKEEEEQHLKN EEDELLNFVENLDYDSYVNDLEVNVMLKALQQRVADIKKQEYQQEKPENQPEQKQEQREP DIYDKISQSLGKNDEARSVHSEKTQNSINQLRKKQEQLESGKQDWDKTTTNGDQKASLED RIAKHVADEILNQYKNMSNIHSNSSIRKILEREAKKTLLETGLPGPVISVIKNEKMPRDP NTLPYLHRNPAI >CAK95162 pep:novel chromosome:GCA_000165425.1:undetermined:167388:168901:-1 gene:GSPATT00027491001 transcript:CAK95162 MEFQPKWIFCLLLCTNIIINLDQGIIPAAIQQIEDSLELTSEQLGYLGSQVYAGVSLVCL FGGKLFLHFNSKLIVMLSYLGMISSLALFPMNYGSSWPFYIFRFLTGCSKAPMMIYFPVW VDNFGGDSKTIWITILQGVIPLGIFVGYSLSSVISSIWKWQVAFYAQVIMLTICAIIFII FVQNKDFDIKKSTKNKFGNKSINDEQQTGSLLAHQPNQTYWQMMKELYSIKLWLCCTIVI SILYFIVTGIQFWMTDYMIKEMHQEKQTVNIVFAIVSITAPVFGCITGGLIAQKLGGYQR TKSLYVCVLYCSLCCISAAPVPFTETFWFGALCLWFLLFFGGAIVPPLMGIMLSSVPKHL KAFANSSTTMFQNLFGFLPAPSIYGFLMERHNSQVAVFALMYYSFVGLFVMLLAVHFRRM QNKKNVGFEEVFEKQQEIKQSILQDEENFNITVRVLQYGDAPLVTSLAPHIEDQIPNYDN LEEGNIKDI >CAK95163 pep:novel chromosome:GCA_000165425.1:undetermined:169751:171191:1 gene:GSPATT00027492001 transcript:CAK95163 MLQQFQELEKLGSGSFSEVWKVMRRADHQIYAMKKIKMGTLNEKEKQNALNEIRLLASLN QEFIIGYKEALYNEDTQTLGIIMEYAEGGDVAKQITNKQNKTQKFQEYEIWQALIQITQG LKELHEKLIFHRDVKSANIFISNGVYKLGDLNVSKIAQRGLLYTQTGTPYYASPEIWRDE PYDNKSDIWSLGCVLYEMCNLHPPFQALDMEGLYKKIQKGICPAINGYSNQLVSLIGQML RLNASARPSCDQILNSNLMHSDFSRLYIKTPSIAINKRMMKTIQLPKNLSTLNDILPTKK YYNENKENDNESVRYSIQSSKLMPINRKSVNNSCIEYEKRFYRISSVHEEILPTLPSEYK QPKVLSRRRPSSQYKEEEINLERRAEAIVSRKQLQKQLSEQHPLPKPILKKQMSNLPHLY RNVNDSERQENNKSKYHQSPNNYARRNIRKQITSQQ >CAK95164 pep:novel chromosome:GCA_000165425.1:undetermined:171213:172724:1 gene:GSPATT00027493001 transcript:CAK95164 MFIIMFLFLIESIQEYCEPYGVRLFLGHYYTNLKIETDALRIVFNTKNQCNKQFQVFIKG DQEFNITTYKTKFLNMTDIYVGTKANIEYETYIHTFQIPIQQTSRILYALKNNDKLIKEA QVKLPQLQQDTTKVLFFGDMDSRWLFNRSKQTFDWFEEQINQNIEYDSLLFTGDMAYDLE SDNCERGELWISRISLFTSQYPFMISPGNHDGGFDYKQTFLREHFQMLYLTEYDTQNYQN DFYSFNIGMVHFIQYDPVMIVYKADPNNYIKNRLLSQFRNDLSKAVQNREEVPWIVVFTH YPIYCNFMDDDQCVNNFKYLAEFEKLFQEFHVDLYVSGHQHNYQRNQPYYQNHSVSYQID GNIYYNYESPITIIEGAGGADYGPEIMIYNDQPYTAKQLAQNGVGLLQVMNKTHLQFQHI RVSTNQVMDEIWIMKTYDKEKDNSFEYWIITIIICAIVIVLALFIFIFVKYKGRKSKTYQ KAMDNLV >CAK95165 pep:novel chromosome:GCA_000165425.1:undetermined:173289:174627:-1 gene:GSPATT00027494001 transcript:CAK95165 MELAVSKNSIRSFCFLQIVNLSSCSSKNDILLWNVKTGKIKLIKKQYHDITSVNFTPFGT LASSIECFVYLWNGKTGKQLKIFVGHQNSVCFSPDGIKHQCLRVSVYGILRQEYKNVNFR VKIDQSSSLRMELYQQEMNFKHYHVMGCQDRIIIDQNDSDTTQCFSPDCSILATSKMMEN YTLMGCQESFIKAKLDVHTHVIIRSVSLLMVLHQYHGVRVTRSDLGCQDRQQNFKFDGSK IQSQNIGFSPNGMTLAFSSFYKSICLWDFKTALKKIKLVFFSPDGKTLASVKITPSIYGI KGITIKSQIRWSFKFSSLSLFLFQWYQISIRQRGILLFVNGLSRQLDKNKIRQLQIKPHG QPVSPLIVLNQHLAVMITLSVYGMLIQKSKIRQSYQFNLVRKLLSRWYYIRFWQQKQVYQ ISLTFFISRSFISSKI >CAK95166 pep:novel chromosome:GCA_000165425.1:undetermined:175466:176984:1 gene:GSPATT00027495001 transcript:CAK95166 MFIILFLFFIESIQEYCEPYGVRLFLGHYYTNLKIETDALRIVFNTKNQCNNQFQVFIKG DQEFNITTYKTKFLNMTDIYVGTKANIEYETYIHTFQIPIQQTSRILYALKNNDELIKEA QVKLPQLQQDTTKVLFFGDMDSRWLFNRSKQTFDWFEEQINQNIEYDSLLFTGDMAYNLE SDNCERGELWLSRISLFTSYYPFMVTPGNHDSGYNRKQIFLKEHFQMPYINELDIQEYEN YFYSFNIGFAHFIQYDPVKIIYKADPNNLIRDQLLLQFRDDLSKAVQNREEVPWIVVFTH YPIYCNYMDDDQCVNNFKYLAEFEKLFQEFHVDLYVSGHQHNYQRNQPYYQNHSVSYQID ENIYYNYESPITIIEGAGGADYGAEIMLLENKPYTVKQMDQNGVGLLQVMNKTHLQFQQV RVSTNQIIDEFWIIQNRDSYGLYYSNYEYWVLFLLVGILICFLILILLYQVRRLKLRFNN QYLRTNDQIV >CAK95167 pep:novel chromosome:GCA_000165425.1:undetermined:177465:177830:-1 gene:GSPATT00027496001 transcript:CAK95167 MIHYKKRLVVQNDIDHILLPQICDYRSLSINKETIIHPESKERKQDQRQVAILQFSFINS KDNNSDRFHSKPQHMMNIERKKKMLNIQLMRVCDSNSLVSHHCKHYKTFQEINFIKYKKI A >CAK95168 pep:novel chromosome:GCA_000165425.1:undetermined:178086:179915:1 gene:GSPATT00027497001 transcript:CAK95168 MGKEQNELKNKLYQINEIFKNNNNCFYMINSIKNQFKQQLELLQDKKYKKPAFEAYNMKR AFVTLDQAFEYVGDNSKYQQRITLLLCIQFLFYSFFVMGMPYLLQNPSKYCTDEICIEYP NCNNRIDSLIGFDSIAKEFGLFCNGRKAFIAALFFVGQMFGGFTFPILANIFGRRKILLF GMSLGSISIFVGSLCDNLLSLYALFFIAGFGLSGYETVVYVYITEISALRFRSIASSLLI VIWSSSMLIYPFIVDILQSWRLLMMWSIGVPLLITIILDYFYFVESPRWLISKQQYDECR RVFRFMSIFNKRRPFEFNFMEELDKFNNRCVKVASMKKVETQIKLIQQSNQTAGYWELFK RSKLLTQTLILVQMWFLRYFTYYGLQFSVSTFGISMTTTLRMLALVELLTGMSSLYFKLK YPRIISLQFCLLTMILSATLAYIDVPLECQGSLCWQQILHLVSAILIKASITIYNSMLNT YTGEAYVTTVRSYGYGVCMTFGQLGSTFAPLYVSYVQEIYQQANAITIVGLLALLALGLT WFLQETYKQDMYDNLSDEVMATQTLPLINQEMQFDEIELQQLR >CAK95169 pep:novel chromosome:GCA_000165425.1:undetermined:179942:181476:1 gene:GSPATT00027498001 transcript:CAK95169 MQQLRSSHFQLGQTDQKNQFTSTMKMNFKGDKVNDQATGVGDKLNLRGVHFHLGESKPSY NTIFKTDFQGKQIGEPATLNEEKKNDLRTNHFVLGHQQAQQVSITRATYNEKPLQPGLQN EQEIQKNKMRSHHHNFAESSHKMMQTNYNQQYQPQQLEPKQDLAERARQLRVSNIFLGKE QVPMITAQQEDYNKKEGGAQASFKPGFQSTHFNFGNANTDFKTMNQEFFHQQEITSNPFA EENRQNLRATHFTLGKDNQPYQSEQMSHFRPHSENKINYINNTAALQGSHFTIGDPRFMN HKTQTFYNTTMKPPEQQQHQQARDQQMDRGSNFKVGTDNISYRSEMQSNFKNPSGQPAQL SEKLLKDLRSSHFGLNDNVSKNTYLTTKQAEALNQQQGQPNKLDPHASANLRSHHFNLGQ TKGDLISQTHDIHRPLIGKPNTLNQQQANNLRKHHFALS >CAK95170 pep:novel chromosome:GCA_000165425.1:undetermined:181774:182771:-1 gene:GSPATT00027499001 transcript:CAK95170 MADSTTPNVNWAEISDDEEITQPQDQTQDVKQEQPQEQNNEQKKQSKKPYQKHHKPEWVR LKELQKKQAEQIQKEQQAALEARRIKPSKNNFQGLLNDSDEEEQKPEEQVQTEEQTKEQE QPKEEQVDQYQILKQKQTQEEKQQQQKKKDQKKKENEDLDAILNELGFTQKEGEQAGDQE KKKKKKNKNKEADKQPAQGAAEKKPEQQQQQQQQQPQQQQPQQQQADKVDIKKVLAEKAK KAQSGSHQVDKDLERVKQEIEKRNQKSKKNKKQDLDL >CAK95171 pep:novel chromosome:GCA_000165425.1:undetermined:182819:185279:-1 gene:GSPATT00027500001 transcript:CAK95171 MQETREQQVQNRFLHFLQEFRTINPQTGESFYYYHEEARIMRDNDRTTLNLDFTHITQTE GYQDLSIIMQTDFYQFEPSFRRAIQEFMFIQHQDVEDQCKQKLYFLAVSNLAATEKIRDL RANKIGKLSSFIGTVTRTYEVRPELLSGQFTCQMCDRIIDNVEQQFKFTEPKKCPNTKCD NKSRWTINLNKSQFTDFQKVRVQEDSKDIPAGSMPRSIDVILHNEKCDAAKPGDKCTFNG YLTVIPDVFSLGKPGLKSSMTTQNQGNNQRGQTQDGITGLKQLGVKDLCYKFVFIACSVE TNNNRFNLQKQQIDDKEYTESLSDQDAKRGQQFDDYEKQEILEMKKQPDLFKNLANSIAP AVQGYEDIKKGILLMLMGGVYKTTKEGVHIRGDINVCIVGDPSTAKSQFLKFTCNLLPRS VYTSGKASSAAGLTASVHRDIENGEFCIEAGALMLADNGICCIDEFDKMDSKDQVAIHEA MEQQTISIAKAGIQATLNARTSILAAANPIFGRYDRSKTLKFNVNMTQPIMSRFDLFFII TDACRPFVDEQIATHIVRLHSQQEGAIEPRFSQDQLRKYIRYARTFKPILTHESAQYLKE AYIRLRENDQTSQRTSYRITVRQLESLIRLSEALARVQCDDFIKISYVQEAEKLLGQSIL QVDETPKFIDDLEEQQPIQTRAMGIIEEELQQKERERRRQGQKIQLAQDEYLDIVRALTS FMIQKEREMQEEITEAKGVKWEDLAEWYITNKLDQIEHEEEVHQYERLVGAVIRQMINKE KILVVVQDHEDCNLRELALHPNVPR >CAK95172 pep:novel chromosome:GCA_000165425.1:undetermined:185612:186647:1 gene:GSPATT00027501001 transcript:CAK95172 MKQANLIEVSVEDPKLKPLLKENNQSPVQTKSLLIKFKFAKISEVVIKLNQKPRNIIAKL CNLSQQSTQSNQYDLEYIKLSYVTNENQKVIYCSSVNTNQVTITVNIKLYTNLLIKLEQN DVAFYSIQLYGQEQAPKAKQSFWDNFFVQPLSNGTPDHHTNNKDQEERRIQEMNKIAQKE KMELEKAVENSFVSAKYEQINQKQIIESIKDTKQFQQRQVYQFQEDEQMSQEILMENNDL QKYQYGGKKQPQVRSENFGYTDKNSVPDLGFKGNAKEKQGQNSDDQIKIHFMTKYKADDI NQAFMRMHQLLEETGGAKRVLKNVMYN >CAK95173 pep:novel chromosome:GCA_000165425.1:undetermined:186665:187722:1 gene:GSPATT00027502001 transcript:CAK95173 MAQNPAIIEVAENPEEIKKKIKALPLKEKLKAVALNYYLQQKKKLDIELEKEMKKLQIQF DEKAAKIYKASNEIIEGTRLLTEEELKDVDFYLEGEEATQKNQALTAEPINGYWFKALKN SDIIAQEIKDRDDPILKSLTKIEYEPQEKSDNFSLNFYFAPNDYFKNSVLKKKFILKDGE NPVKSEGTIIEWNDGKNVTRKLIKKKQLEKKSGIVKTISQEVDAESFFIFFKPINLEDKE QIEKWVQENKLDKYAERMDIDYDIARMIIDEIIPYSLEYYLGVKINDAFDDIEEVDAESS KGSDEEEENK >CAK95174 pep:novel chromosome:GCA_000165425.1:undetermined:187825:189432:1 gene:GSPATT00027503001 transcript:CAK95174 MYVKPLPSLTHIGRYYVRIIKDLSVVKQIPAGSTVLVGGFGLCGIPECSINALKEAGTKN ITVVSNNCGTTDLGLGLLLNNGQLKRVIASYAGENHNLAKQYFDGTLELELVPQGTLAEK LRAAGAGIPAFYTHTGTDTVVEKGGIPIKYSKGGNSVEIESKPKPVEYFNGKKYIREDSI WGDYALIKANVADTNGNLRFVGTARNFNQDMVKAAKVVIAEVDSIVPVGSFGFDQAHVNG IYVDYLYQGNNYLKTVERLVYDQSVYDKNKDIKPQGKQNAIRNRIAKRAAQEFKNGMYVN LGIGIPTLIPAFLDSSMTIHFHTEIGAIGVGPYPKLGQELGDLQNAGKESCTLNPGATTF ESSESFGIIRGGHLDMTVLGAMQITKQGDIANWVIPGKMVKGMGGAMDLVASGSKVLVVM EHTAKGEVKFVNQLQYPATGMNKVSQVITDKAVFVKRDGQLVLTEISSDTTLEWVRANTG FELTVADDLKTFQV >CAK95175 pep:novel chromosome:GCA_000165425.1:undetermined:189448:190438:1 gene:GSPATT00027504001 transcript:CAK95175 MVKQQKKSSFTVIPPQPDFQEQEIELLQEDLNDDLIKTLSKANTNSIATIREKEKKVVEF KSRVLELVEIFVSNTKNPTIFIERIYPFIKMISDFKNPQKVQYINRVCKLIHQIIQKGDL GEHIDNMKQCCETLITYLHKCQDKSINKRFFELLDLILKQISQHDKKAVVDIIVKGLVLM RKNSNIQMQNIRKLIFNYQFALVPVLLKLCQLSEINENYERSKYNFSHLLFTLIQNEQIK KKIVSKEKVKQAIAKLAQHTLENYASQNYKRVAIFRQILLSYKALELNELQEQIQTFQSE DQNVQHIINKLQ >CAK95176 pep:novel chromosome:GCA_000165425.1:undetermined:190460:194791:-1 gene:GSPATT00027505001 transcript:CAK95176 MQQVEEKWLICADPDSCQIYTLNINTNEVRVEQDVDQDYRQKALQAIQQIESILKLKTGM MSEFQIGRYLAHGFKADRSISQKKDTLLLAAQIIFLDSNIFVSINSQSGICQIKLNQYRE LFQSMPDEVQLMEKPNIVEISASLVTGADEVIKSVLREVYQRFNVKLRPKDDNNQFILQI SGFKEFLTGNHPMLSYDRVRVQLRGMKHLEVILTEIPKQFNQTFLFPPIIYRIKGGEPHP QIDWIKFRDVPALLWYSPIPLKKYESEIPNTQLSLGCGPSPQIKFDKIKVQNSQDVFINH EDTIKRMSFDENPTRAPSKENTNTNVQKQKSSGQIYDLISMKNELQKLNMLKIEQNLLYS GECDWPFSVKICSIENLLQTLEQDNKYENQLQRATYNGLIPPLYITKKYAGTKVEEEQHK QGNKKNDESDINKRQQVHLKLHKRTGRVLENKNIDIKRYIPFGGQDNLDELATLQERYDL DFLPYLISVQVSLFHGCKLLTPWCQAETKNQPFSQCPKFYQTVTFQGIKISQLPLEARLC FNIIAHSATGQQQIIGCTTMYIFYEETKFRSSVNQLNIWPFYKIDPRLLCMGQYWGWVKQ QNQQIGIPLHSNKNQHFISSQLINRSYGRLLVQLDQFNQTMKWSLRDEKQMEELGFSKSA RSQRYQEMRNKFIPNSDYSNYQQSQSSALNQDQFISTYQNTNKNSAYQQFNTNSQSNSFS NSQIFLKKQRTQMASLYNIHHRQQANPYEQYMQVGYSTQDQGTTLRFGEMQDRQTNFAQS MMHMNQGSSFNVHDQSSTQVSTFKLNHRFSKQSIQQNQSTQENYNQVFPQKNWSTTPRTE DLAILQGLLKYNPLNRPYYTDQHKYILMICRNHYKTLPYALQIFLMAIEWDDPEQVREAH NMIKLWTPLPPEDALPLLDAHFADETVRLYAVERVSILSDDELQLYMLELTQCLMFEKHL FNPLAEMLLERSLQNPWVVGHELFWLLKAQLHVRSSYERYSLLLEQLLMLCGEFRQQLMN EVLVDNELFKIAQKIKEQNVPKDLRQHFLKTELLQLYPKLPKPFSFALDSRMEVSSIYYD KCKVMDSKKMSLWLAVMPKFLENDDELQLQLPIDIQQQEENPFRNFSVVRPQDENLYSNN KQQQDKLEQKYYEIEKEEICKQHLLKIIFKTGDDIRQDMLTLQLIKIMDKIWLDDGLDFR MKPYKAISTQDNVGMIQVVSSSETIEKIHSQLGLMGAFELKTIWNYLKKKNFDTKSFETA TDNFLRSCAGYCVATYILGIGDRHSGNIMITETGHLFHIDFAHFFGNFKQKFGIKRERSK FVLTEEMAFVIGGRDGDLFKKFQQDCTNAYNLVRKHGHFIINIFLMNLSAGMPELQQASN VKYIEDQLALNLSDQEATAKFKQEIVNSLNDTWRQVDNWYHYMRRK >CAK95177 pep:novel chromosome:GCA_000165425.1:undetermined:194895:196785:-1 gene:GSPATT00027506001 transcript:CAK95177 MSRTQSCQMVQSNKLLELLKKKKKAESQNPSLSPRQQLSPPRLGRLKSPKLLDVQKIVSA AVKLKGVSHIRTLNSDRDNYELIITLITQDKQYTLMQHRYIVPIGLDQTTTDLYQKLITK MSVQDPQNYEKIAYFQSYTQNYNVDYYLSLDDKPLSVFSKGRTLKLIPIYYGESKSNSFD DFTLIKCIGVGGFSRVYLVRQKRNGQFFAMKIIDKSFIIKNNKESMINNEKWIMSNLDSQ FLTRLHCSFETKYYLVFVMDYCHGGELFFHLRKMGTLSEEVAKQYFGQLCLAIHYLHEQN VIYRDLKPENILLDIDGYIKLSDFGLSKPNISRDKQTYSFCGSPEYMSPEMVSKRGHNQL LDCYSLGAVLYEFIYGYPPYYDQQLQNILNSIQYDKLEFPEHIKISDQLKNLLLNLLTKD QNERLGRMNGIEDVLNHKWLSNFNYTALYKKQLNIQYKPQPLQTNYNVAEFSKGDREFQQ KLQDNLYREQKTKFDKEFQNFDFVSDQPKSKREQFVEKIKYASLRSSIRTSIIRNKITTL SLNGNDLCRIRVAPQLSAVGPRFQSVKNSQISQCGSTQSFRNSSSSKRFNTEYDLQKLLK FKQ >CAK95178 pep:novel chromosome:GCA_000165425.1:undetermined:197159:198827:1 gene:GSPATT00027507001 transcript:CAK95178 MDQSPDHPQNQVLQRSETQAIPKNTTGRIVYQTYFCLLGTTLLILSIISYYFYSDAFDNY KYIIENWQQQPIESIRLTFDNCNNDVRMNIMTQEINLINYKWPGTVDGCDCRYGFRLLAV KTNNHHTWIPRNKIYNNHACNITQRAWGCVSIYAKNPIDFNRFPSKTQKEGFKLCAVLEK DNSFYSRHPDQDECPKGQIKCGTQLDYFYCTSQPQCPIFEIGFKDVTAVSDAQEQTEKGF TLLYNRKSEYKLPLVEVRVSEGQGICMKNHERGLTSGRTEYSLMRENKTECDIDKRFIKL QSSNEVEFYESNNQLKLQDQLRGYEISTQYQWGLYARGYIEWKMSCRGEIFDNFIDQEQI LEEILHHQKYLMIISIIYFIVISVVWSIIQAHTLQGGDWPCIKGRGTEESNTIFYLEILT KILLQSLQAFIIISSFSKTKVESDFLESVILRNCSDSYVQLQLEYLRDMLTENIYDFNKG CLILFCITTVFDFCVALYLVISFIRDRKQKESSFEHRDEIKQGYELSQMQGMTNQNQQQF NYQSPYNN >CAK95179 pep:novel chromosome:GCA_000165425.1:undetermined:198859:201535:-1 gene:GSPATT00027508001 transcript:CAK95179 MYKPIELELNKEVGFMVVNNSFQHSDDQEGIFDERTTTSLTPVDIFQGSGQKQEDQGQGL ISTLLNNCQDQQNENRIKVMICITMYSEKLSELEKSLAGIGKNLTHLLDIGIAPHQIAVT VIFDGITNVHNVAGSYRDNIVPYFSSEIDKKYGFQQEQTLTYQYNQYQEQLKRFQDYKPE NIKYLRAHPDELLKKYKKHRVALKKTMERLKGSQYNLVEQDEWIEQNIVDAMEDQYNRAK DYVQNRKNTAWVYQDGLVDKGLDGILPIFYTFKFSNGSKLSSHMWFFKGFCKIFNPDYCV LMDVGANPQKDAIFQLIMAMEQNPKLGGVCGTMRIQIEEDDKDDVFSIQKCQSCEYDIAH LLDKQAEAALDFIHVLPGAFSAYRYNAFFNNYQDDEARMNTILDKYLGQVLDQNYEHQTL QEANMFLAEDRVLCKLLFCNGYYLRYIASSLVYVDPCSSLKSLILQRRRWINGSWHALSY ISDNYDDDLNSSQHSWWDRQKFKLSITQAKIQQLMIYFMESFQILWLYMILYAIVDTGDL GLNNFIISTVIAFYVYLVFMIIYLAINYDPALIRELGSSDKQKAETDYYFSRLFFVSTCL GFISLGTVVYTIYLLISEIFFNFGFNDIVEKPQVPQSYYLVLVVVSIGSIILPIMSSICL LPQNILNAALTMIPYFYYQPTYMHLFVIYSFCRIDDLSWGTKGLTNTGSSSVNSDKAYQK FKFLLKWIVLNTLFTACLLILFQIQLYLLPQGLILFISVILTLLTILKGCLALWYRIKYY GISRQINQYIQSKSTQRLDKDYFDEKIKAIKKTINEGNGLTAASTNLKQDISKYSYVRAS AFVAFLENFYKG >CAK95180 pep:novel chromosome:GCA_000165425.1:undetermined:201787:203241:-1 gene:GSPATT00027509001 transcript:CAK95180 MGGSKSKAIKKHAKVAFADSELDILEVYFELLKCHDSLNGYLTQKSMVPEFPENPDFSIK LYNWMRERCKNQQIDYTNFVITLELLLKEQQEYYLSDYKFRVLEKFELFALISLGCLENE KEAINRFQVSYSQGSTVIKELLNVYYFNKEVTNSQRNDLAARSVANSIFDQKASLPFNQF IGLAKQQLIYANKMCKQYFTKKFIDPHFKYGIPALTSSSFILNDQILALLQMSSPDFNRI KQLDLKFSSSVGYDDLEHITEIIIESQQPLLFIFRNREDETQNDTFQQQVFGAYISIDQT IETHFVRKQQKDPMGILYNPNDLVSLYFGDEKSFLFSLLPKFQLFMSTFDIKSKQCFGYI NSRAFNLKVPQPYGLGFGGDGKGNFRIWIDENLKGNATNRINNSCDQTYEMGYILEPHIE FLNLTSIEIWGAIFEEKETRPSNPTKFRS >CAK95181 pep:novel chromosome:GCA_000165425.1:undetermined:203282:204035:-1 gene:GSPATT00027510001 transcript:CAK95181 MTDNNTKKEAPPKRPQCAFFIYKQEVYQQVKDANPGKKMTDITKIISEQYKQLAKDKIDQ YEQKYKDSKAIFEKEKKAYEDVHGKIKNERKKKKDDTKVTKKPQNKKQKKQASEEDDSSE DDD >CAK95182 pep:novel chromosome:GCA_000165425.1:undetermined:204891:206039:-1 gene:GSPATT00027511001 transcript:CAK95182 MDLSNLFGYEESQQQPLIQPFIQPQKVNDEKESIIQIKRNITKKNKKLNLFDYRMAVKPS KKASTKEQGPMNNIGTNQAKHLNETTLLKILEYSEVLRSMLCNVDNLVKKQLQESNEDKL VISRQEINIDSLQNCLTNCLLQFIRIQSNDPNNLFENQNNCFSLSMISAQKELRDNHILQ KHLLQHSSIKFSGTQKHESIKLAKMRLQATSNLYGCAICEESLSKEVVSKFAFKINKIDG NISIGICNKDIMAKQNYQHTDSNQTEGLYLLDNCGRMYPNSGNQVMYGQPFEFEESNIII VIVDFQMQCIKWKKYNHQSIIVQLLPQFQCIPFNINYELSPCVVFSKPPQFRKTSQVTIV NPKTLRVIDGSCNF >CAK95183 pep:novel chromosome:GCA_000165425.1:undetermined:206471:206640:-1 gene:GSPATT00027512001 transcript:CAK95183 MNQIYFYNLRTSIRKLGWEDLVAKNQTEKLNEFVAQVVFMKYQIE >CAK95184 pep:novel chromosome:GCA_000165425.1:undetermined:206752:207482:-1 gene:GSPATT00027513001 transcript:CAK95184 MMADQIFNCEGYEDISNYIQSNNQDSLPLSFCNFQENFNYEDNTTEMEVLFDLNNEDVIM ESDQEEKQEIYLHRIKIKEKLENVFRRQLKKEKKSKFQNECRYIVGDAIQAMENFDLPLE VVKYYRRIASSIIGFQALKQHLILNKDDSNETVQRKRIFQSYLIEFLQKKASLYILKSRK KQKLRQYLQYKNKIMMFYVKYPEQWQRNELPHFDQQQHCQSQHLLD >CAK95185 pep:novel chromosome:GCA_000165425.1:undetermined:207733:208394:-1 gene:GSPATT00027514001 transcript:CAK95185 MPKNKGRGGKNYRRGKNENLTKRQLETKEDGQDYAQVIKLLGNGRLICVCLGDSKQRLGH IRGKMRRKVWIQNGDIVLVALREFQDEKCDVVYKYFPEEIKQLKNLKEIPENLEEGGGDN LGDNVVFVNKEESSSSDSDLSSSESDDSSDSEPKQIQKQQPQKPQPQKAQAPAKDNKEKI TKKDIDDI >CAK95186 pep:novel chromosome:GCA_000165425.1:undetermined:208507:209965:-1 gene:GSPATT00027515001 transcript:CAK95186 MQVFKISKEEYINHYIWPGIEQGCDCRFDDYYIAPRRMLFDRTNELFKQECNSSMREAGC KDINEMSSRDFKILPVESGTKKLKLCGLREEGNNSFALNSPKINECKENELKCGTLSDFF YCTQEKECPIFQIKNNSIVESGTQDYFQIIRENEMTLPLVEFKIAQGDGVCKKVNDRSIT PGRPNYDLISDPGLECDRDPRFKLIYKFNELDFFQANDALDIAKQAPGYEISSQYQWGLY GRHYINFSLPCREYQQELMDSVDSFDEIESQQQILMIISIISVCITILMFTLNCCTMCGV DLFFIKGKGTEESNTLFFIQFFFKESAQIANAVIIILTFTPIQKLVDFFNNLINRACSDR VTLDEFQKIIDLLITSTYAFDFIYIIIFCVGVFIDFLVGIFLAYKYVQERKRLEEQNKYQ DPNNQGQNNPGVYNPYPQIQNYQIDADPFNPRKQNDIQIQA >CAK95187 pep:novel chromosome:GCA_000165425.1:undetermined:210596:212412:-1 gene:GSPATT00027516001 transcript:CAK95187 MDNSLKKAETSLIPSNVRARIVFQCYLLSLGITLMVLSIIAYVSLSENIIYIRNIVQNWN TLPIKSIRQTQGDCLQNEEYINHYIWPGINQGCDCRDGDQYIAPRRILFERTDELFQKEC NDTMRDAGCEDIDEMGSRDFKCVPVEFGTKKLSFCGQREQGNNSFAQNSPKINECKVNEL KCGTVSDYFYCTKEKECPIFQIKNNLNFQLGTQDYFQILRENDYTLPLVEFKIAQGDGVC RKVNDRSITSGRSNYDLISDPGLECERDSRFKLIYKFNEYKFFQANDALDIAKQAPGYEI SSQYQWGLYGRHYINFTLSCRKYQQEFIESIDVLEDIDIKQLVLMIISIICLVLFIILFI LNCCTIKGVDLPCIKGKGTQESNTLFCIQAGIKETFQLIQAIIAIISFSAVNTQVKFYSQ LIDEGCSDVVTLDDIQTIRDVLEKKIYNYNLAYIVMFFIGACIDLIVGLLLLKSYYQERK KLAQIRNQEQQQDWRKNNIQSNPDQEVFKQENLNAQFNNFQYPPNFYPQQQQNIGAEYIQ QYPQTNYQQQQQYNYQNQPIQNQQFYQNPIQN >CAK95188 pep:novel chromosome:GCA_000165425.1:undetermined:212557:217869:-1 gene:GSPATT00027517001 transcript:CAK95188 MQFQQGSKSPKQKNAPQKKTIFHQDMHPQDGQEKEDDKNEKNIQKSRFVNYMKILIHQQQ NVNLRESIISLKKRKTLEGFSGETPVSIQQCFSFLSSAIQNARSLRSLDLSGMEIDPLLA IELGQGLQKNSSLYEINLSGCKLNSFSLQYIFSSISNHQTLQSINLFNNQGFNIDLMNDI NQFVFKGKNNLKKLKLTHCNISSTAMSYLLRNLKYSRSINAIDISMMQFTTDVLTSLGMA LQHFKGKKVLEYLNIGDTNIKNQGVEVLAQRVSWNMRKINLKELNIRRNFINQSGVQHLE TFLRKINNLQKLDLSQNQIEEFNCQNLLSRKMYEVNLSSNLISCFPQQFFLNTLVINLTN NNITSNGAFQLSSILRQNPLWIELNLSNNQIKSEGFNSLIFGLRDNKMLKKFIVANNNLD GEAIITYMINHEQVQFEHLDVSYNKIRYALIFFLLKFIKSGCLRCFRCQFQQKQQNELWD INQNFSSLLEEEKTVKSAQNQFQLISFNLRELDFSKNANIFTPVISSLATYFNKIEILDL SSCKPVTEQDLDLLCVFLKKSTVVHDLNLRDLNIGSLNLQILKKLRDCVLNSSLKKLDLS QNQLFKIANQFKYDEIITNFKLESIDLSYNGLQSTHTSYIEIIISSYRNLSFLNLSHNNI NFTGLIAISRIMSENKCLKSLNLSHQQMSADDFLILGQIICIENLQYLNLSDNPQMKKLK TFFNLCQTEKMELLQLSDIHLDQSNQKNLQKIVKKQKRNILGVTFNHCSFSQSGYEKFGM QLLKCKQLQMFSMQFNPLMYVDLNKASALLNYFKNLKILKYNQVTFTDESFVHAKNWLLN PETCSLTTLDISDNVLKQEWLNELSIGIQGNKTVQNLFLNKCNLGSFNLEPFWEALSMSS TIRIVSIANNNIINNLCNNKFVAVSEYKGKQFEELNLSDNPLDEKELIQFFTPQALINKK KQPIVIKQLNLSNCKLKISFFENLIIEHQIYKEQFLFSQIMSLSLSNNQLDDSFGELLKQ TIAQSTILKELYLQQNFFTCKGMIQILEGIFESQTIKTINISDNKVGDQFAKKLDELKFH KCSIQFLLLRDNKFQKEGLKILAQILYYQKDLFIDNIWQDLDDEDADLILEQYTRICQKY RLDQTTLPSFLKEIQLSKSNLTDKFCESFGKYYPLLGFIEFIDVSDNPCITMYGKVYLFF HLFDYSYEKHQLRALHISDSQETRKVFDDGLLRYLTLRFRNFLLGQAMRKAKPLAQQESS QKQIEKIFGGLFGSWLIHKINKLMTYLDLIEPQIFIVSTLFIGKFRRNNIYIKILVVLFW IGYVLVNISQFFKLFVYNGNKDALTGHPKDNQQTISVFFMDFIYACSIVIVIFIIEILEL ILTVALRRKIQPALQIIHPKMLDHLHTRFPHKREIFLMIYSIASKSSTLLERIFFASVMN ISDDNLDLDISNYKITFSIVIFVRFADSLIITLINLIKFIFATPSDDQAKYLSLLQRTLY YQNYFISSDLLVTLCPVQGYQFTKTIKVNYEIIIETYRMIFIELVLFIFVLIFTSNTKMI ELYNSIDPNWPIMLLWKTFLLAKCVISIILSLFKILTVRPAVVKQNGFNQALAIKRFHSN KNQFVKPQNIQIEQNLQKIDHELKAKQQQQRQSSRELDQKREIKLQNKIQEIDRIDEEDD VLISKYTSNFDSSNNSSSSDLEILDPPQHPLSNAIPKLKYNI >CAK95189 pep:novel chromosome:GCA_000165425.1:undetermined:218180:219681:1 gene:GSPATT00027518001 transcript:CAK95189 MFTFSQQLLNLFEGQGINSEIQQYFPQGEWVTSPYFKNQTYEQFYRNLTAFFLLIEKQKN LPISNQQNYVSLSMLFNDQFNQKEFKLQNVAIQMQSAAHWLQLYMRVNLVPSNIVFQYGN KDHQKVKIMMLTLQSEPRQSYIFGLQKQIMQCKSCSQLKHIQNQKKPELKFNIQQQPTVY LDNKQNLVQKGLQVQKQQLKIQQFGNHQPIHAQQVLLPNPQSQPQENNQDQPFQANQGTE GIQVRTTQQISEISAMKLARPIKTKLKLSISKVKQNVEILCDACNERYQESPDQQICLPC CRRIVHKSCMLQELETNQEILSNMKCRFCQKIFEITFLKQNIRSNIFKEKQKELVYYVEC CSCSGTIVVFPQQRKQPIRLECKICNTYICSKCLLGFHGSDQLCQENKNKFQRQKTECLI TSDGIDSITCDQSKIDPLSVDRSSILQVGNAPNGQGTIIQERVKIFEFCQQTTDNQSSIP NELETNKKKKGF >CAK95190 pep:novel chromosome:GCA_000165425.1:undetermined:221103:221957:1 gene:GSPATT00027519001 transcript:CAK95190 MSTIQELITTLFNLIVSDDEVQHRNRFLKTNNPIEQLRIIIEIVIQQQHQKQQQDYKALE KQLQKFEFEVRNHIRDEYLMQQNQEDLISRLEEMEKEKNDLFSNTKQTIIKLKRENEELY QKVRLLSVENQQQKSLNEKLTTYQERHKMTDHLTQESTKISQSKYSTLENHNQKIIKLKT HPTPKKLHTETSVDISLIAAVNQKRLSQQLIKPNYFQKKGSITNNQFIKKKRQSCSFANQ SLNFLTTSM >CAK95191 pep:novel chromosome:GCA_000165425.1:undetermined:222213:223421:-1 gene:GSPATT00027520001 transcript:CAK95191 MNSLIQQHRSASSRHRSFLNITASQQLRMQSPLTYTENSQINQKDLIHKLVQTLRLQPTK KNKENKNRQQKARSQLNSGQKQRVLEDRLQKLDTANSPTLGSQNRRHFNLTLPHITQAKY KSFKMLQFLGKGRYSNVHLAIDENTNYHVALKIMKKAQIQSMSQSIAQEIKIQYLLNHPN IVKLYTFFQNTDEIVLVLEYCPNGQIHKILKGLPECCFPEKLASSYIRQILSALIYLHRN GIIHRDLKPENIFLCYNQIKIADFTYSVYSPEDQRQTQCGSLAYLSPEIIRGENYDKSTD MWSLGVLAYELCCGETPWEDLRQDEMQQMIQDGIIKMPDSFSCELKDFISKLVTSDSKSR MTAKQAMTHPWVQQQEEQLTQYEFNL >CAK95192 pep:novel chromosome:GCA_000165425.1:undetermined:223623:224489:1 gene:GSPATT00027521001 transcript:CAK95192 MANVAFLDPMKNEPNLQTAQDVDDYINTLTQHQNACEKQGKYMEAEDARKRIIELRKQLI TKKKKELINNWLNQKDQAEKAHLEEYNQFNQFWDNKMVNFNEEAKQVEIELQNRQQNEYK QLQEELERTTPYKPKESSEVLNLKKIEEHLAKQKNYVDAHAIQNKRAQLEEEEVKLYSTQ RSLKIKSQLQQFEQRHLNELNALQQRIKAGQDELRKNRSIDLERLLQKYQNMKKDLEQRE QMDILAFDGQFKTKGCSSQSTYKSVMMMAKK >CAK95193 pep:novel chromosome:GCA_000165425.1:undetermined:224527:225804:-1 gene:GSPATT00027522001 transcript:CAK95193 MFATVDPLTGGLIWEVQGQKEKEQKEKTKVKYVEIKDVNVQFNPRPSRLSLEEKIKLLEP IGEELIHKDELINLLKNKTFPICYDGFEPSGRMHIAQGLLRALNVNRLVDAGCIFIFWVA DWFALLNNKMGGDLNKIKNVGRYFIEVWKAAGMKMHNVKFLWASDEINKRPNDYWLRVLD LARKFNVSRIKRCGQIMGRNDDEQDDKMAVAQLFYPCMQCNDIFFLEADICQLGMDQRKV NMLAREYADKSTDAYKPIIMSHHMMMGLLEGQAKMSKSDLNSAIFMEDSAEDVKRKIKCA YCPPQITKDNPVLDYTKEIIFGWRNKLQILRKPENGGDKEYTTYAELEADFVSGALHPGD LKPAVTQVLNELIQPVRDHFAKDPEARALLQEIRSYQVTK >CAK95194 pep:novel chromosome:GCA_000165425.1:undetermined:225979:227191:-1 gene:GSPATT00027523001 transcript:CAK95194 MELEGNTKFNEYEEKIRNHQDNCHNYHQDKLHQKKESDAQFLIAQNPLIMRNLLIIIDIT RSSKISDFKPSRLAVALQFLPAFIEQFLECNPLSQIGIAVAEEYRCKTILDFTSSWVNIK QYLSTIHSINEAGFSMAACLQTALHVFSTTQLHAQSSILVVTTSTYSDDKLDLNEWSERC QSAAIQINVISFTGSINQLIKITQATDGQYLCPLNEFQFSQEIQKFVSPQESKNHKMITQ LVKIGFPQKLIVSQPTLCQCHLEIVYNFYKCPVCYSKVCSPPLLCPICSTWIVLPHQILR SDGYNTLGVFEITNDGLDHVCSGCLEPTTVIHSTCERCKNFFCLDCDILIHSKFKVCPGC A >CAK95195 pep:novel chromosome:GCA_000165425.1:undetermined:227364:228658:-1 gene:GSPATT00027524001 transcript:CAK95195 MRFEQFHKNQVIFSKNQLDQSKLIIVLSGDVVLNKGNQEMIHLKHFGSNLLLFGSECYSD ISDWNVTSKTVCKLAAISKHDFRVNLMNFEVSRITKEISQLYAFPIFKNVPYAQVRRFYA NSMSQNFQCLDIVYLQEQMIKQIYLVIKGIFELRELEKGVAKAVHIFTQGQLIGENELNN KTSLCNGTAFCISEEGQLLIFDHDFFKAQVLPTIEENLPNKCKTQVSRNEKVFNNKVSLT GEIMPKKTLSRHYNFKKSSEDVEEQQKQTVVQKNQIRSTHSINSVHQSQSNNSEDLNESQ INNLNSIANPSQNKQSAVFNRLRMGLRNTQKSVHLQNEQLRPSIINSPVRSTVNRQSSFQ LSLQKMNEEAAIKKQMNLRMPLKNELLYKFLNRKEEYGDIAAKNRMRRTLSQTTNVKKPL QINK >CAK95196 pep:novel chromosome:GCA_000165425.1:undetermined:229123:229579:-1 gene:GSPATT00027525001 transcript:CAK95196 MSYLYSPYRSYARPHQYSPTRSYVSPVYTSTPPRGKTPLLNIQGNSWVERIPVEQRYTEY VPEQRIEYKPVERRYTDYVEIEHYRDYVPVPRLERRVEYVPIERYDEAVDYVPVERSSVV RQPLSNSLAYSRYSRYPSRYYYY >CAK95197 pep:novel chromosome:GCA_000165425.1:undetermined:229617:235294:1 gene:GSPATT00027526001 transcript:CAK95197 MSNKDIQKLQSLFQINNSVVQEYLGIRLINQTLIDQLVNSDSAGEIQKIKKIEGCKDWDK LKHKLLAYFVASQLTYGKPIHKYIQQAYEDFLIKYHIFYEGLIEFQTCSMLNQYLLLKQK LLKDDCNLKWEFRKLINMLIWKTNQLHFLIRIIIGYIGYVKISKQIGNVERVFEQIAKLI EKSLKKGANYNHLLFKMDVELFSSYWNKIIQVSNNDTNAIILIQLLQQSQFYFNDYKFQS DIIKTLQAIQQAKFSINSQSQVNEETKPKGQIRKPILQINNLMPQEVPKESTKSTKRYSE LGKIVKTEQTLTSATSKRIIKASASKLLNSQYQHIEEDPIFQIQVENYHINKIVRKGCFK IQKPVTLSTCTYTKHRLLHKKIYHYLPSKTSKLPSPVKPATESDHKISGKDHSITHSQSS QGKIDKLNNLSNPYKLKNLISSRFQQILDIAQLSASSTPRNADLGKSIRRQKTISIKKEH KEFQLQPQQLSIPLLQQIPSQKDPDPQTSERRRSGMVVSSPDAASQISQAIQKSSLVESI PTKSQIIIAIPVQQQQQQNQQQTQQQNPKRPQRRNILALRTATTLFMEQSNTYIPKFQFS LCYHSRTIQWSCFLQIASSDNILIAFQDTTNNKIVYRAQLQNLQIDNIKNWAQIIEVFIK EYQSQASQCLPYLRYNEIMSNRNGDNYNFIMFPKYQFELTKNEIEQMGIMKDLFRWMYTT YLYEQINITGIRLKLYSVEKDKTLNAYRELINVVNLYRRMIIQSTLCDEKEKFHLLILSY GECIKNNIILLNRILNFKINKQKDQQYMIHKQLVSLRNLSLTNDEDNIFFIIKVSIIFQK ENILYYKKNDYHTKYQVDVLFEAINSPVKIKPLRLQFDAIEKILEKRYHNNLKQIINQIF FSQRKCWHMFYEFIDQKLMINEQKQLQVKMNIFSLHDAQKMEACGMKLGFLIDLQTKVKP FAITEIERYIYDDTQKKLDYQSKSQTIHNREHMFDKHFAQIFGEDITNNEQQHFHQTTLI DLVKRYQPNINAEAKQEIITKKVGPIVIYQENPFTDVHIDDLEKLESQFFSKQNLKLDRI QKYRIQLKHLSIQISMAQKQIILIYHYNQRLQRFRISPFDEEDNLISNIVQLIQNGPTCW IVQMLYKKISQRSLKQSFISSQLSDGLELLKYKKSKSQLAEIPSYNVLHYYILNNYMVLL KYFTLKQFVIVQKIKNYGKYQITIKVFFRCQKPDILAENDYFLMRIDFQNIMLKTGMMKM IFNKYDITEMLKIEIPYNMTDANIVQTAMNIFQKCHLGTFTLSNVPFFAVSQDDLKQNES QITHNSYEDHSTKINVQQIRRIGNFPENTTFKKSDLCKGFLEHYIKNSRLIVTHIKKSYL IHSISTGQLIKISNNKVTQLLNDQQQIIDYVLIQIYRHLLLDIWIIKVYVPKTTRQMVGL LRSEDFKENDGKDLMDSIMIYKKQAVLSFKDLDSFTDFTPRQSVNMQKSNHRLSQPRISQ FHSPAVSSIQAQNQLAQSQVTPYYLNDFFYITKLVTEFTEYRLENGNKEQYIIKEKLIWV NIINNNFSLEQHPKQQNCFLSLMFDQKEINLQELLFQRYIRIERVGESHIEFYMKYTQKA DQLKGIALLKGKINQLHLHNSKFPSIISEAKLNEEQIKFLKRTCIFFPFDAISYANTSNF NIELKYFSYNKFTEVKEQINLRELLNLYIADGFYKYQTNYMNSKLNHSDIISICYYMIHK IKTQNFLNLSQYHTIPNLNQLKTQKQSNKIQKPIRLQIRTFKKPNIFIISLLYNEVQVCC TNWKTCTQMNDLISNIWQTMNLEQHYKGLQKQLINQ >CAK95198 pep:novel chromosome:GCA_000165425.1:undetermined:235311:236702:1 gene:GSPATT00027527001 transcript:CAK95198 MKFVILILLIQHYHTCEIYYNNDISFDFTDTIGSNVQLTDKKILNMNICGSIPYQCVTQD DKQIMVRNEKSTQNLIIVENEECDFYSKQHDYAKNDLKLIDENNPFAGVVLKLQGVEDDD NLELLLICSNSDAFEIQTPCEQDYCIKHQSVCPILVTNPIMKFYQYLYIPLGVIFMLLGI ALIIFGFQFSRLTTVLLAFMIGTAIYTIVLGEGVLDQDSSNFAIIIVLCSGIGVGIIYAN TTYVRYLLGVFNMGLVFGVVLSLLLEPLFLHLFNSHPMFLALTLTLSISGLLFGFLACKF LNTFGIGATALAGSYLLIKPIGWFAGGYPNELYLVKRGFYGFDKEIDFRFYLYFSSIMIL TIGSVFFQYRQLRKRMSMDEMFAYKEMDYCEMGNFEKGNKSEVKGFVDETEVLHIIIAIL QEVMQSVQFVKKLGETGQRRSDSSQQSQ >CAK95199 pep:novel chromosome:GCA_000165425.1:undetermined:236702:237388:-1 gene:GSPATT00027528001 transcript:CAK95199 MSQILKKAAKYRTVSTILQHGEAHLRDPYTLPPEIITPPQPRKERKPDDITDFPEQKFVL LPESIPYPEGKYRPASVPYVAGFYPYNCYLQKGKIYQWCSCGISQSNPWCDGMCNASVTR NRPIKFNVDTSGYYKLCNCKQSANAPFCNGTHRQVIRQYHDGFRGFYELWGWAAFMGTTG FMIWNFHN >CAK95200 pep:novel chromosome:GCA_000165425.1:undetermined:237977:239601:-1 gene:GSPATT00027529001 transcript:CAK95200 MKENQKSDIAPQDKQWEWIPCQYDVKRISKDTETTWNLSPSVQLKIPSEQGQIDPVIDLK IEQSRNFIYTNKNGLGWNWHKSWNLPDIGVELEVCALCFKHPQITDPTTDEKLAPPGKIY AKIQIVKPTVSPMKTFHLLEVGVKGNQKMDLENNKCIFSGLKFNTTSYNHDHQKFHIIIT LYLCQSKFEFPQILISRISPPIFVDSRKSARDIEQQNKQKLQSYFDPFPITTLEKQFTTT KPQNTEVITNTIEGLNNYFSAPNIRHKVKHPVFLCIKFSSCIKLYLNTNVFKFQDSKSLV LTIQQVLYKSTNNNVNNKLDQKYIILCVDSSNTISKFQQHLKKLQEFLEPFNNDDIEVVI DPIELPKNFTQITDLADIKQAYIDVYDKLLQLKKNEQQQDDNQEYEEQPRKKKKPKEQEV VQNNQEKIIRKVIQIQGPNISQQRSHHTHVIQSAEMLIEQQHQYLDKEHVKEEHIVQEEK PHQFGLPDIFQQTAININKILLLRALLPQQQPPQ >CAK95201 pep:novel chromosome:GCA_000165425.1:undetermined:239846:241593:1 gene:GSPATT00027530001 transcript:CAK95201 MIEYLLSMDSDNPKLIRHQFLISEILSYDISILVDYLFEPEPNIPNYQVQEEQRQQLLPL LFQPFQADYLNYTQVGYLTKIFESIIRKRGNSLWSWFDNNQCVLDQLIKHLDVYHVASIL SHLITSESTSQDLKSNQHTTKRIQLLLRLHKILLNKVHNYEIVHNCSELLVQVCTTTQQF IQYFDHPEHFYKAACDSQFVEFLNIIIALLQCQPSDEEYHHSKYYSIALHLHRSFSNEYM NFAFNSTLGEISTTLGQFKLSLLTIYHLLLKTENSNLLNLIHHVEIYQTLFKYIIKFEFN NQLQIQFNEITRFIFKTRSLNWIQDQIQKDLFEFISTHNTQTKSIIGKIKYPINRGYFGI LSQLSYELEHIIYESPNWNQYKENTLQQLKNIEQNYYFDFNPKSNEVEQISISIKENLQQ VSQCKPDSIEVEFNNKQHNSDLEQILQAKIRISFPIKTLLSFSDMSSKGVALQTAYYNGS NAEPSDLELDSFNVTELKKKKICNSQNEYLKESINSNPKQLNEDQNATDEIGMNSIHQKK I >CAK95202 pep:novel chromosome:GCA_000165425.1:undetermined:241698:242188:1 gene:GSPATT00027531001 transcript:CAK95202 MFIESIDHSTPRTIQENEISEPEYDQKVNYKLEVRKAHLLQQFLRFQVENTQRSIAKLEK KLSNDEVIVELICFYLTICSLLYIFVLIQFYQVKNKRISRKNSITKPFKCSNCTHTYSSK AALKQHLKLKHYEEHTPLTQPLDQQKVLVEPLSQI >CAK95203 pep:novel chromosome:GCA_000165425.1:undetermined:243078:249889:1 gene:GSPATT00027532001 transcript:CAK95203 MLPESAKIKLASRLFDRGKPTQSNNNNERPLTSKIAIRAQLNQTMTKIEKVPDKLPAIRE ERSYSHQKSGGAGLNQTRGKSQNNNDSFPKHNKTFDLTNDIFLDERRLLKSRQVHELMDR MIGRAKPPSPPKEVQKVVQVLPSNILDNTRMTMTRDNRTAPRKTIRAQQMRKEILNKTSM SQVSDSSEDSSEENGNFGLKDVDAFIQMIKEHKLSQQEFMYLIKRKKHSNDAYNMRIVNY PYIQKHQLNNFYTLSAKGLTRYENNITIEFVSLKHLVRRKGLIQCNQIIKFFQEIPQVED SQEMVKDITLTSNFYCMLILNPIYQKLIVSHRSLCYDIEALRIVDIEKIEEELTDKKDQY LSLQEFSLLQQKQRNKLVEKIQIQSRKMKENCLSGLKTVIDKLRTQINQEKQSDDDQVPL KIEKNMSQSRQIDKKQQIIETFGFPERMDYGHRALMRTEFIKFLRLAYLLDFIAVQSLGQ IYIKSATEFVDKLIKVQQCSKNNRKLPKLTENEEEKKDFQDYDPIFTIELRFNPIYGHLD IERLQVPWDTLNENLLDFDLQECIRLKEEKVQTIEKVEKKQQNTQNANQQQPIEPQEELI EDPEFVCKREVQNLYETQLEVHPSKRDFLSRIQEAFCDGLDQIQVIERWSKHPDFLQYVQ ALEEWDEIIGDKWTQPDSINLNPSYWIKEHPMNNIFMHEISQELDQAFSKLEFFQKEFNE YLNLIWRQENSEIEQLENPRLMYQSKIFSCALKSAQLIDHILQQKLIPQVDIGLYRVKIQ NIQKQLSARQSQILAQLEELYLQLFRNRSLILKAWLQQSIKSLSGMVIRIEEFIEQKNSV DRITKELPSKREEIETAISIISLAQDCKLFSMKKEDKDLAQELNYLESSLNVALSEADNN TAKNIEKFKKTLKERIDKLKGECLDVSEAVNKEKFLTLNTDLSDAIKELNEIDTKTKQLE QSAQLYTQYEEILQCQEQAQYEILENTREQLNLRLGMWQGIQEFRKLSQEWQQLQFTSIN AKEIAQKTEGFVRIVQRCEKNLQENRVIAYLKKIVWEFKDTIPVVTALRSQYLQQTHWQE IKQLVRQEFDVNDQQFTLNTLLDLNVAQHNEQITEIAVKAAQEDSLNTQLKQLETQWNEV ELKLKPYKDQLDVMVLGEVEELVQLFDEGLANMSNILASRYVRPLRQRAEKFQSDLLLLS DIIEKWVECQKKWMYLESIFSSQDIKKQLSNESQLFDSCDRLIKKLVKQVNLRPQIMRLL AMQNMLDNLTKTLETLEQIEKSLEDYLEVKRGSFPRFYFLSNDELLEILSKQTDINSVQS HLGQCFEALVKLYFGDQPNVIQGIYSSEGELVQYYKSIPARGNVETWLHLLELEMVETLR KLCKQGLQDYLNGMQKTKTDWILNHKSQIVAVVSQILWSINTEDAINESSVKANALYEWH DMMEIQLKQLTALVRGDLTVVQRKTIVSLITTDVHNRDIVMKLADNSIETTSDFQWQQQL RYYWDEECDDCLVKQVTSVFHYGYEYLGPTSRLVITPLTDRCWITITSALTNQLGAAPAG PAGTGKTESTKDLAKCLGRYCIVFNCSDQITAATMNKLFSGLAQQGAWACLDEFNRIDIE VLSVIAQQLLTIRIAQLQQLTEFLFDGRHIQLKNTYGVFITMNPGYAGRTELPDNLKSLF RPVAMMIPDYRLIAEIMLFAEGFENANDLSSKMVQLYKLSSQQLSQQDHYDFGMRAVKSL LVMAGSLKRADTTIPEDIVLIKAMRDANIPKFLKDDIPLFMALIQDLFPKVEIANSSFQF LEQQLNKKCRQFKLQIIPNFITKMLQLFDTQNVRFGTMIVGGSGSGKTNCYQILAETISE IKVQNLSQDPRFQELQFIILNPKSISMGELYGEVDPFTNEWQDGLASSIIRECNNSKERH WIVFDGPVDALWIENMNSVLDDSMTLCLANSERIKLRHELRMIFEVQDLSVASPATVSRC GMVYMTVQDINWYNYVECWIEEVFSDQEKGQIKCCNQLKEKPKKSLQIEQKYLDLIRNLF KTQYKFLQEKLGNLDEPFITIDVQRVKSVCNILTYFLKSILVQKSADLPKQITAVFAYSC IWGLCASYEQKYYDKVHYFLYHLKNFKFAVNLAPICLSLQIDGIRYLQKLNPRGNQMKFC RFELCLNYYQEHPITLNAPYILILLFAINYYFRLPPMSKTNFNHCSTRKEIPYLISTLMA MTSPHYNRGNLSYKSSNLQKINRFSVQLFKLQTL >CAK95204 pep:novel chromosome:GCA_000165425.1:undetermined:249904:256029:1 gene:GSPATT00027533001 transcript:CAK95204 MQLLIREQIPTLITGQTGVGKSMLVQSLLFEMKLNENIQPVLLNFSAQTKSKQTQLAIES KLIKKGKILFGARVNEQIAIFIDDINMPALEKYGAQPCIELLRQMIELQGTFDRTKLFWK NIEDVTLLIAGGPPGGGRNQLSQRFVRQFNVLNMPNQSDSILEMIYGSILKGYFNSINFS ESVRKTSDQITRITVELFRRISQELLPIPAKFHYTFNSRDISKVFQGLLMIRPISCNNSN DTIAKLWVHECARVFCDRLISVQDKLWFYNTAVDLLMRYFSVNKDDITSNILFSDILKLE AANVLYEEVTEKRKVIVKSLQDKLDDYIMATNDKMELVFFDDALEHILRIARIFRQPRGN AMLIGVGGSGKQSLTKLASFLMRSEIFQIEIVKTYNADSFRADLIKILMKTGGERIPLTF IFNEAQIVQESFLEDINNILNTGEVPNLFAKKEDLEQVYNNVRPQAIKAKRQDSPESLWT FFVEGIRNSLHIVLCMSPVGNQLRIRCRKFPSLVNCCTIDWFTQWPKEALLEVANKFLDK IPNLKQKDQLAQMCMEVNLQVANLCDAYQKELRRQVYTTPKSYLDQIQLYADLLIQKQQE HGLIQRKLADGLDKLFKANEKVAELKIKMQGIQPQLIEQSAKTEEFLKQLAIDQSEANLK ERLVNDEAQIVNQQALEIKIIADEAQAELNKAMPVMKEAEEALQKISKAEITEIKGFINP PPVVQLVLEAVCILLQEKTDWNSAKQVMISSDFMERLTKYDKSQITEQMLRKLRFITIKP EFDPICVAQKSQACKSLCMWCRAIDSYSKIAKEVEPKKRRVADEQQNLEIKNQELAVKQE ELQKVRDKVAKLQKECDETVEKKNGLEKKLETIKKRLIASEKLTYLLADEGIRWKDQIKL IEQVLQQIIGDVFLAASTVSYLGAFTGQYREQLIKNAVSKLKEFDIPFSENYSLSSTLEN PIVIRDWVISGLPNDAISIDNGVIVTRADRWPLMIDPQGQANKWLKQFNKELKIMRFTES HFLKGLQQCISSGYEVLFEEVEEKLEPSVDSVLQKQIIEVDGRRLIKVGDQKVDYHNQFK LYFTTKIANPNYLPEVFIKTTVINFSITFEGLCDQLLGDVMKFEKPEIEKQRDEIIIKMS NANKQLKGAQDSILDLLANVTGNILDNEQLIHTLEVSKYQSADIQKSLEETIIVEQQINE SRNLYHSVAIRGSILYFVISDMSLIDPMYQYSLQYFKKLYNVSLNLTPKTELLSERLLYL EETITQTVFKDICRGLFQQHRKIFSFLICAQVQRQNGSISNAAWNLLLRDQIPSTPIINP DKVFLKDSQWNLILCIQEQISDLTDLSQDIKNNLLYWKEFSQIDDIYQASFPAQSPLAVS STLNPFYRLLIIKALKPEKVMFGLTEYVIELFGEFYINLASSSMEEIYQSSESHTPIIFI LSPGADPTQTLFKLAKEQQSQIDVISLGQGQGKKAETLIQKGQKDGTWIMLQNCHLARSW MPQLEKLMESLTSHHIEVHNNFRIFLTSMPAAYFPVSTLQNGLKLTTEPPRGLKSNLLRS YQEFQQADNQQKLFKQLFFSISFFHAIVQERRKFGPLGFNISYEFNDSDLDISIQMMKMF ISQEEEIPWDAMQFMIGQINYGGRVTDDQDRVCLTSILKKYLGDHIENNVKFSNSGIYYL PEDDYIHYISQLPNQEDPEVFGMHENANIVFQTQESQKILDIILSIQPRVSSTSAQKSPD MIVMEKAIYFQSNLPELLDKNVCNQRHYQITENGSVQSLSTVLFQEIDKFNKLLELIQST LIQLQQAIKGFVLISQELDEMYLAFLNNAIPPNWMKQSYSTLKPLSSWFKDLIARVTFIR SWMEKDFIPAYWMSGLFYPQGFLTGVLQTHSRKYKIPINKLNFKFKVLDIDQDRIRDEIK DGVYVYGLYLEGARWDYQHETLIEQQVGQIYFPLAMMYFQPMEEYQIGDEYYNCPCYKTS NRTGVLSTTGQSTNFILSIDLMSKTEKPEYWTLRGTAIISQLND >CAK95205 pep:novel chromosome:GCA_000165425.1:undetermined:256681:260013:1 gene:GSPATT00027534001 transcript:CAK95205 MQNKLQQQAHETPNAERVQAYQNQLQGTIHYSFKVGQSTQLKPSELPPKVLQQNPTTEVR RIKNKSISQHIGGNGIHQQVNYYKQPNKRIIYDSTNCLNPQINRSSSNRIKKIHSVSSQN YESFIQNLEDHSRNNLVCNEVATSTELNEGKKLFTDNKTEEALKIFQTYQSKYGLNPDAL YLSGLCYMSLDQEEKYIEQFQTLIKTFPTYKRTAYMYLAICLKKNNHINEAINVISQGIT HFNRYFEALIFRAKLFLKHKCYDKAMKDFNSAIHINPRKPVCYVGLSDCYKQANQLQQAI EELNKAIEFEEFSKQKSIVLKRFTIYLETKEFEKAKQDMMLLLEISPNDSEVYYFKGILM QKQKRLQDALLAFEQSIKFNSEKKPVTKSLYEIVKIKIEQNDYYSAQHELDRAAYLDVDK TQLQKFELFVEGAIYLMKRKFEEGTHLLTEMITKNNQSDNLKFQAHQYRAYGYFCQSKYN LANQDLELYQENLLEKASLYNKLLCHGIIKYEDNELEQSKREFFQAHKMLPNKMEPLFYQ AIISIKKYCSSLEKMSEENRIKYLKEALDLLERAAKICEQSNLLFYKGILHFALGNLDQS AVDLEQAIEKSEDNQAQHYYVRGLLYCQRQLFKQAVNDFSICLSLDQNHPESYLNRCKLL TMMGDVATAYLDLQKYIELKEQYSINYHTVGILYFLIGAYEEAIQAFADCKTLEGQYEKV KVLIYGKELNSALNELSIIIEELHSHEATIDKQMLTILKETSQQISTKILEDSISSISQI QKGQQEGLIFRLSDIYFYKGLFYTYLGQYSKAKQCLRFSYDLKEKEIKKSRNTQLINQNT LEDFDFTNKTYNIYEHLYNCAILDVILGNIEEAFETLTLLHEHLTQIEFRVQLQILMELL RDDLLDTPPEEEFSKIQEFQLFPQHNRLCSIYPALQLPLKKYSVVIRMSFCLPIVEFPSQ PILFDEQLLQKISPKVVENKPEAPWIKRSNEGVIFTDNIQCIDDLDLQSTVRKEENEETE QENINLQNDDEITKLKEKLKLDDQITKKLNSILQQIEE >CAK95206 pep:novel chromosome:GCA_000165425.1:undetermined:260682:261546:1 gene:GSPATT00027535001 transcript:CAK95206 MNIRGAFGEIMKTIRKVTNQVRAIKVISKEKASKAEVETLRIKIEIFKNLVVLRIVHRDL KPESILQEANKPQSPIKDLFASGYKMNQKLGTPEVLERRYDEKCYVWSCGVIFYIFFVDF PLLMEILKRRSQKALEWTNYRQQKCKRVQNGEQVLNKLNETNGQLHIKIRLRQIQLNQLR MEKKFQEAALTLMINQMATSQQKQELLSQSQALDLNGDERLSKEELIIGYQKLMSRTDRE LE >CAK95207 pep:novel chromosome:GCA_000165425.1:undetermined:261556:261786:1 gene:GSPATT00027536001 transcript:CAK95207 MKQIDQDKNQSINYSEFVLATFNKLKLIENSRLEQAFRIFNKDGSGSISIDKIKGIFGCN DSGCQR >CAK95208 pep:novel chromosome:GCA_000165425.1:undetermined:262155:262442:-1 gene:GSPATT00027537001 transcript:CAK95208 MLLHVHTSSGYRESLNRSLSRKRDRTLSFQLISRTQLTDLTNQGTSKFGKEEAKLEQKKP KSKNLKPNNCKKKLNKQPSYLPEMTHYQKILQKYL >CAK95209 pep:novel chromosome:GCA_000165425.1:undetermined:263418:265475:-1 gene:GSPATT00027538001 transcript:CAK95209 MKFEVEDNLQSIIDELDQDLQLKAKLIDFQQIFSLIKEQINKDHEKIDCLSIQIERLQYN LNENIKQREQESHQYQQQIIIKSEELAKQKANYKKEKAVFDDQVKQFKYQNAIQIQELEE LRKQINQEQETNYKLSQNLRKTKELEQMLKDQQQHYETTISKLRVQLETDNQVFKNELEQ QEIRIESKYRQLIQEHKQLTQQISELKQSYNQMKQQKESVEKTLDQNNQKIKELKSGVIQ DKQTMSKSEKAMEELQKQLVYFKNKVIIDEKREGILKQKAQQDEDLISELLQIKEDYQNL RQTLKHENQIEIDKIMMNNYKQIQGFVEQIQIQRLKYEKLLGNKISLQEVQQYQQVNFSQ QYEDPVHLEYVQNLEQLSENQKLEVCNLKQQIVFINEEHSQEIEHIQKSYEQRISDLICQ QQQELQQQSAEFQEFKEKVQADFNYKAQIMTQQFSFQLDQQRQEQKQLQQIIDQSKLSGD EKTKLLEIASEEILTQKQKYDHDIYEERNIQKQLRYEFENNILQLKSENQRQLDYFKRDA ENLQNQIKDLIQRDQLSKQRISELFNEQKQDKSHINQLEIQLSKKQTELKKQNEVIKNLN IHISSLMMEIENAKQTYNIFASPSLKSSHHYLKKVDLDILQDSQRSSQRNLRDSQDFQTI DMKASRNKSQQAQKFHQIKQQLLPY >CAK95210 pep:novel chromosome:GCA_000165425.1:undetermined:265825:266379:1 gene:GSPATT00027539001 transcript:CAK95210 MISEMNMHQLLCKIDNINQLFKDANVRYSSLIELCFLMVIKDFPSQDSPCEHIASKKGIL WILLNQRRLLLIRLNMNQQLLKTYLILRQKLRNLGKNAKSSLLLLSYLIEEDYHFQIALE SLKQLYQRSILELIQVHEQSQTCYQILIKHRNVIANNYKYQQIHQKFGQCC >CAK95211 pep:novel chromosome:GCA_000165425.1:undetermined:266894:267631:1 gene:GSPATT00027540001 transcript:CAK95211 MQNQITYGQILSHQIQTQGNKNVSENGQVQQDIKNKPLNQSTTSNNKNIKIISETELNDK SHYNQTSQQQNNTQQSLQQQIIIKQDSFQKNSTDQNKFELESRIIISSQFFQDDLTENIA FTQVLSCSYCLGSLASVNISLPCFHLYHQECFNEIINNFLSRGYSMVFQCKCNISIPYKT LIRLAQKDSKLVEELLIQQQAWIISSAPVTIQNQISGYKKSINNIKTFIKEQINHYQAGL IKIDL >CAK95212 pep:novel chromosome:GCA_000165425.1:undetermined:267673:268668:1 gene:GSPATT00027541001 transcript:CAK95212 MKYSKIPLTTPPLYLKNNLASTDQHMAYLSYNSDKLKLNVVNKKNKEVRLISIDDQSIPT SIQYMSDQTLIVTTMNGYYIYSENGQQQHHKLRNQLGDISQYFIGATLINPENQKPFYAI GFENKIIFVDQKTPKQEFFGVNLKNIQPTCLTSYYQYLIYGDSKGYIHQNKCLAIQQVTE TKSLNLQTKDTTILSISVLEKKDTETKVLVIVGDFIGRLTVVDLMNWEILCVINSHIKPV TTLAINQQREQFLSGSDDTFINIWKLNQNLQPQLIQSHHLDDTIIVGITSDIFVAAYDQH ELIYIENN >CAK95213 pep:novel chromosome:GCA_000165425.1:undetermined:268809:269665:1 gene:GSPATT00027542001 transcript:CAK95213 MLLKEQQEYKLKFIEERMEQYETSLLKRVNHLEERIRSFNQKFERIDELGERVNDLHSLF DKLKENFDTKLMLQDDKIAKANFNINKIKQEDLQQIYNIVKKGFHNSKDLSLEMQNIKTK DIRQSEVLFKQELKQSNILGEKLQSPLLHQRMDSYQKSDRMRSITLEKDKGQEQKVKFEN ETEDLENNKPNSKGIAKNDTQKLIDKYQFTLQTKLQNENIQKLSNVKIEVQNTEQSKILT QQQQSLLERTISQDHIPRSKYLTSTNQIKEQLKNLRK >CAK95214 pep:novel chromosome:GCA_000165425.1:undetermined:269683:271214:1 gene:GSPATT00027543001 transcript:CAK95214 MYDQIRNNKFNLPIRMKQKAPQISPNKLYASLLKKKNESDQQQKIVKSPVIQGNVYINSL RNNQGQLKVDAKKSRPTSGKDLKDISTVLLKKTHARQNSKIIETKENSQNLTQSALQLLQ KIKAERPDNKIGQIQTSKEILQYHQQLIQKQYQKLLDLSKQTEHNQLQQQQQQQQQQQKQ KLFKGPRSISNPDISTLQLCITKAHAKSIPGMLYTGQTKINQDSYKLIQKFGTKENDWYL QVSDGHGTNGHQVAQFVQEILPAYIEQEVMEAPYYYDRDKTINNIFKQSFLKTNEDLLNS GIDVTYSGATTVVVIAFENILYCANIGDSRAIIGRYDTKLQVVELSKDHKPDCFLEQARI IQRGGRVQAYSDEEGNPIGPARVWKLDEDVPGLAMSRSFGDYVASQVGVIQEPEIIKHQL LPSDKFLVVASDGIWEFLSNEWVIETVNEYYKKGDAIGACNKLTISCKRGLVEGR >CAK95215 pep:novel chromosome:GCA_000165425.1:undetermined:271406:272794:1 gene:GSPATT00027544001 transcript:CAK95215 MDYESLYKLIPKEPKKWLTQDVINWLKFIGLGQMEQKFVECSIDGAVLEDLTEKDLDEEL GITQRIIKRKLLNWVNHGLKEYNEYIKQMKMPNLNIKKQQDEDFTIQKNIGNVQFEQMLM ESHENNGNEQFTSSVARQEEQAIKQEIPGFNKFEHQLILQPIEGQQSNFYCVREAGAKIG RHSSNQILILEENISRFHAEIIFQDSMFALKDIGSTTGTFIKILKSLTLKQGMLIELGSN QFCVQKLETLENGGIEISLFVIEGPNLEDTIIFQLNKDKPSVALGRKSTVDISFPEDHHL SNQHAKFYLVEQTVTIEDHGSTNGSWMRLSGEGKMSNLFYLDPNEEIIIRIGTTNQYICQ QNKMKVNEISGENLCIICVERERDCLILPCKHNATCLKCSKSLALCPFCRVKIQETIRIY KN >CAK95216 pep:novel chromosome:GCA_000165425.1:undetermined:272830:275041:-1 gene:GSPATT00027545001 transcript:CAK95216 MSLFNTKQFWGTRVGPEEFDEQHLFVDNSIIYVGSYSGNLRIYQPQRGDYKPTHLKQEIN LQDPILQILIGYFGNKSLCVLFPKRVVFYSLDMELLKEHKLQRNGFNMCSGQFGQGRKDL VCIQSCDACLMIYEQEQLLHICQLSTEYFPLPGPIIYSQQLDSFILQNSCYELESYKYAN LCTLQKKRAQPNWTLNLGEQAQKIIEIPSQNIFYVICEQMLFQVQQSGIIFHQKRLDYPP ASILVNDTHTLIITSFTHHLMIYKDLNLVWAAKTDHVCHGISIGKFDDIDKLLVVLNDEG YLQVIYLGTEQISIQKQFQIEQQHSYQQLNELYTSTLQQIQVKEGNPVIESNEKSQLQIN AEIIKLAPTRDFIEDKENYLQVESLVIQCLVYLNITSKQQIENLQVQLVYDESIFISNPR FEINVLQGTIIHEFNVYSTKNCPIDRVVKVLCNHKNGCYSTEFTLPLSLFCYMIQPEKNA KYKITVNVNNLSIYNVFKDIKPIDSSLINQSLMKLQLTNSTVSILANKQNDRWRLQSDNF EAICFILHELVVRERENVKYTDDVPLKDIFDVIDEHFTLKLSLKEYEKDLEQKANEFRAI QKKILQRFKENNPASVESMNSLLELCFQQFIQLMDNTQKCQNIFKNLQHNLQCMIHLMLQ LCYLRFKITQEQLEELKRLFYCEKDWEEEVFININYYLKGQIPDVVHENTQKIKKIITML IDKLSKQ >CAK95217 pep:novel chromosome:GCA_000165425.1:undetermined:275063:276239:1 gene:GSPATT00027546001 transcript:CAK95217 MQDEFDIEVNFEQNSSMTFEMFKLLINISGHNSVRFPKAGIKINNEGLTTTNGEQIQNTQ ESITHQQIKVGDVIGQGVSSYVCRGLYLPYNCQVALKIINVFDKDKRHQMLNDLSTLLNG CECEQLIKFYGAYYEEGTIRLVLEYMDQGSLRSIIQQIYKHNLSELINEQIIATITYNIL MGLQYLHQQKHQLHRDIKPENILINSLGQIKLTDFGISKQLENTIAIARTFVGTLMYMSP ERTEGKNYSYASDIWSLGLIIYEMATGKHPYSVSNKQMTYIQMIQNILKSESPKLDNYPY SVEMRDFVNIWQDLNIDFSLNKDQSKRLDAQTLLQHNWIIKNAQNSQYVQMWILQKDQKL QLVQQK >CAK95218 pep:novel chromosome:GCA_000165425.1:undetermined:276317:277209:1 gene:GSPATT00027547001 transcript:CAK95218 MDLEIVINYEHNQQVVDDLCESFDELEEQNEKYHLYMNRGSRREMLDRYQVSNNHYAIFD GHGSSHVADYLQQNLLQRLQNGMSDEEYKKGMCLAMSITIQANTCNLGLLLYYCLFKIKL LQQQTQEIPRLLYSERISFEQLNSIHIPQSKEEQQRIHKQGGYDYQSYLCRLITKRQNIC RVSGSLTVTRSFGDFYLKKYIISEPEIFRYQISEGDRFIVMASDGFWDELSEQEVLQIIQ KLDQNKNLAKQLYQAISSDYIRDNVTIMVFPL >CAK95219 pep:novel chromosome:GCA_000165425.1:undetermined:277319:279095:-1 gene:GSPATT00027548001 transcript:CAK95219 MNPIRVYPLKFTNQDSMANSPGLKKKAQPSDRAYTFQLNPPSQQSRSNQKRHPTGGINSQ DEKIKIVTQKKLQLLQPSSYSPKKRVISNNDKPYIFKFSNQSSEVSFMQNISQSLSPTKL PNLKRSESTNAPQELQAKIDLTQSQRRSKRTEASNTENTPQNKTSFLKLYQDSSPKLRSI QSRVSSQAIVQDIKIKPKMPQQVIMLHGRSTSQAALVNSNVTEDDELQDFVQLFLSKTQA GQNGNKQTKTNQDSIIISNNFGGIKNRYLFSVCDGHGFYGHHVSQLIKRVLPTIIDQQLK TFIGKQEIDIGEDLYSEVEKTFQSSYQKMTKDLSSCGIDISFSGSTCSTVFVSGNNLWCA NIGDSRSVFNQVGESNKWKIVELSNDHKPDLPCEKKRIMASKGRVQPFVAENGQNIGPAR VWLLHEQIPGLAMSRSFGDYVASTVGVISDPELIYHKMTQKCGFLVVASDGVWEFLSNDE IQHIICSYWSPQMNAKKIDEMVESIIRESTRRWQEEDDVVDDISIIIAYFS >CAK95220 pep:novel chromosome:GCA_000165425.1:undetermined:279114:280362:-1 gene:GSPATT00027549001 transcript:CAK95220 MINKKSSTKQATRMTTQANDQQEVVTQNQQASKYTLNQIVGNGTFGMVYLATNNQTNEKV AIKKVFQDKRYKNREHLIIQELNNPCVIKLKEAFFTQGDKGDDVYLNLVMDYIPDTLSKV IRYYKKAKQPFPAALLKIYSYQMFRALAYLEGIGISHRDIKPQNILVDPNNHILKICDFG SAKRLQKGEPNVAYICSRYYRAPELIFGATEYTTAIDVWSIGCVIAEMTIGEPLFPGESA TDQLVEIIKILGTPTIEQVKQMNPMHKEFKFPQIKNHPWNKVFQKFKPDPLFVDLISKIM LYPPRERLRPLEALSHPYFNEIRDEKFGIPNVKLPNFFDFTKEELSIQPEIAFKLTPQWY QQKRI >CAK95221 pep:novel chromosome:GCA_000165425.1:undetermined:281007:281933:-1 gene:GSPATT00027550001 transcript:CAK95221 MNSYKLIKTQQDNNTNGEDESTRHLSELADTKISSIKVAPATPINFLSLRDRFGQISDQY PLPIKFQQLLQTMRNIEQTYWKKRKPLTYDIKTNAIKILQIGQLLKLDKDLYEVKIVNKS IELIFNHIPTENLPQFQPFQSQLPAIFEKRITSIRNSMDKLVQETHENYLKSIGQESFLE YSKEFKIYYPTFDMNFVQDISPIQLPFQIYSDEQRDQIKQIVEITIKYFNQRSVSFVFYN NLIQHLLNKIPLSKEIMEKSLMFLIKIQPDFLQITNAKKEQQKNKWIVQINKLSTEQKQI ESLKTYFY >CAK95222 pep:novel chromosome:GCA_000165425.1:undetermined:283331:283669:1 gene:GSPATT00027551001 transcript:CAK95222 MEGTDSYNYDEMDLDELMLPPPALIRQNAFIQEEDETPQFILTTQTDCTYKEEECPICFC PLNEENTVKLLCGHVLCQDDLNQMMQSQHSETLKCHICRTLQIVNLSCVCVK >CAK95223 pep:novel chromosome:GCA_000165425.1:undetermined:284631:285972:1 gene:GSPATT00027552001 transcript:CAK95223 MQATSDQVYKKKIYSQPPFVSLENYDNIDSIRSKFPPLANINIDHNFNNLKDCRFFIIRT QGEDNVHRAMKYGIWTSSSRKNERLDEAFKNKQEDVYLFFTEINSMCFSGMAKLTSEFNA KAHFKYWLIENKWFGTFQIQWLYVKDIPFKLFDEIKQIQKLEGSEETLKSVYDLIDCTEL TVDNGIKMTKIFQAEKTNKSLFEEFPQLDKLEFESRQERTNNIRFEKKFQELSQVFETLP FSFSVASYERKKTNRKAQGPYYQSQYGQQYYYQQPYQQYYQQPFVGYQFQQTPQYWQFNN GFYQNQYQQNQYTNNNSNKQGFTKPQQSYEKYQHQQNNYSNQQQQSNTISQQTQQNTNQE GNQDVQSVPLEQRFQINSQQLQKRGKFDKIRNKNNKDNVQYVEKNSTEAHVQKY >CAK95224 pep:novel chromosome:GCA_000165425.1:undetermined:286325:288055:1 gene:GSPATT00027553001 transcript:CAK95224 MREIISLHIGQAGLQIGNSLWELFCLEHSIQPDGTVPTDRILEGLNSNVDSLFSLSQYGR YVPRAIFFDEDPTTINAIKNGPSRGLFNRSYIHQCQKESGGCWARSFGTIMNQEGEEKIA DKIRKQVESCDGLQGIMLYHSVGGGFGGGFTSKILDLLSSDLEKVTKATVSVLSSNHSLQ SSLIEPYNSLLTIKYLKEKADMSIMLENQALFKVANQQLDIDNPNFSTINRMIAQLISSV TQSSRFNGSKFVDLIEMRMNVVPEPDFQFLHASYAPFINIDKQNTELTNLQQITNSLFDQ RSSFINYNHKTVKYMAANLFFRGDCPWGEVKSVVESLKQSPNIQFAEFAVPTFQIAISDK APIPFPNSEQGRSNKAVCMIANSSAIGIPLFRIRRNASTLYSKRSHVHWLVGEGREEGEI QEALDTVKSFIINYRQVKQENPDKDYCTRVQHGTDYIRQFVYTCDCLDVEGYIKSGIEKG EDMEGKYLGVCVQCARKCHVGHNLKAKGIVNEFFCDCGLENCKVKCQCQKEEAQEEEQEQ QQEQQQELQQV >CAK95225 pep:novel chromosome:GCA_000165425.1:undetermined:288471:289929:-1 gene:GSPATT00027554001 transcript:CAK95225 MMNSYLTCTFEGHENEQIIGFCVNEICTQIPQFCLQCLKERHKNHVEDCKNFQQYQEIVI KSLKQQQQELDELENVYNKINKAYKQYSQILVNEISKKTKLIEMFAQRQYYDIKSLIYTQ NYSQKSFMPKTQCMCQKYFLEHFLSFIQTIENAIKGSTKLNHSQEFVQQPIQYQNDKVQS QELFKQGSNNFNLIRHETIWEQQNFSEALNFFNESIKYDASNDDVYRWKGRTLIKLGKAN EALNACDIAISINPQNDLAYTTKASALFEQRRYDDVIIWCDKGLKINPQNDAAFVNKGLA LGRLYRYQEELMCYDKSIEINRKNETAYINKGCALNRQKQYQEAISCSDELLKINSQLHQ AYSIKGIALYELSQYKEAVKQFDKAYQISKLVEYLVHKADSLFYSGQKRQSKQLYLEAKN KGYQDIEYLERKISEI >CAK95226 pep:novel chromosome:GCA_000165425.1:undetermined:290830:291732:-1 gene:GSPATT00027555001 transcript:CAK95226 MMLNQFQIEYQEFHVTNESIYTLAVNHNLQLLAFGGKTEMIQIFDLNNESIYQILEKTHK LPVLCLIFSKNNRLFQFGFDHFFVIYSYANKKYVEPEIIKTPNVIHRFAILTNNDESVIS IGDTNVISIYQISSKQLQSVILPDCNKLICITTNHQKQIAIVSGIRSLFFLNISQQRIIF RDQISSSFYVQFSNNNYLFAQSHGNNIKIKSSNNKKLIRKQSGNQNYCALIIRFTKDDKF LLAGSIDYSITVYNVIQGGFNTYDNHEDYIFSLEFQDEEEKVFYTGSKDYRIGKWVKKDN >CAK95227 pep:novel chromosome:GCA_000165425.1:undetermined:292567:293970:-1 gene:GSPATT00027556001 transcript:CAK95227 MTSWHDTNIRWFVLVLIGIYPLVVFLVGEFPALLGEQIKQHFQITQKEVNYLLSIRAFPN MIMSLVGGLIIDTFGVGRSYTLFGAVIILGQFLCFISVISDNFAIMVVGRLVFGLFDDSG FVAESYYINKWFKGKENSLAFGIDTGLCRIGSITGAIIYPYIYMSQDNDLSKCLLMCLYI SILSFVLIIILTRIDKCSDSRDKTEDQKLESVDLRQIKNFSLEFYVALISCATTYSIFFI FGYNCYNLLPRYKLDQSTANLLFSIPHYISAALTVFVGHYVDRKGRNIEILLFGGFCQVL AAAIFYLMPECDTYCVAFPVIGSILIGIFFGTYYAVMWPYIPKIVPSNLVGTGFGLTYAC INIEITIFSIIISHILEVENYENYTKMNTLLLFLSFVGFLPLLYLYKFHRTQYHQCNVTQ ETESQIELIDRTKSL >CAK95228 pep:novel chromosome:GCA_000165425.1:undetermined:294974:295409:1 gene:GSPATT00027557001 transcript:CAK95228 MYFLKIDSSNILKEAKPKYQVQLFYSLFSIQKKQMIFLTQDEMFSRRPTFQKLQYMAEAE TVYFLANSLRYCKTNISCQSSNSLKKYQINRQCKLVKQNQVVVSTQSHIKKDNDIQSGKC QLKINAKISETDILY >CAK95229 pep:novel chromosome:GCA_000165425.1:undetermined:297652:301482:-1 gene:GSPATT00027558001 transcript:CAK95229 MGNCGPVKQTKKLQTQELKNDLCEILFSLTDLNESIFTLIFDMLKKEQIEDCLEYLSKEI NQKNNSQQYQILQVENVQQMKITSALQKIKDHDFNKNNYSIAQNEQIKKDLIKKISWNKQ IIEFFKFLVRLTALDEKFIQCGSNSLHFLVYMKVNLKKQSFPKIRIRNTKLLGANFLRSD LSGSEFENVIISGIKLNQSLLFNCKWRNLGINEGIKINGHLDRINQVCFSPDGNQLASSS DDNSIRFWDVKTGKIQCVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXFVYLWDLKNRVIKKNSQLVIFLSQIQSVSLLTVENQH LVVSQIPSIYGMLRYDIKQPNQMVILTLQLQYVSLLIVLYQHLVVWIPLSVYGMFKQENK QPNQMVIQIGFNQSISLLMALLQHLAVMIPLSVYGILRQDNKKPIQIVIPIGFIQSISLL MALHQHLVVQIGISVYGMSRQANKKSNQIVTQQMHGQSNSLPMVLRQHLVVMITLSLYGM LRQNNKKPNKIFIVILSIQSVSLLMVLHQHLEVLISLSVYGILKQDNKKQNSMVIREIQC QSLSLLILPNQHLEVLIIQSVYGILKQDNKKQYQMVIWELQCQSLSLLMVLYQHRVVWIS LSVYGIFIQSKKQPNYLVMKEVLIYSASLLMVLHQHLVAKITQSVYGMLRRDKKKPNLKV ITEMQCQSVSLLMGIHQHLVVKTRRFVYGMLRQKKKEQNXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTCQ ARYGSYAANRENISYY >CAK95230 pep:novel chromosome:GCA_000165425.1:undetermined:307774:308442:1 gene:GSPATT00027560001 transcript:CAK95230 MGFFCRLQRNYATIFIFSYIAQNLFQFPSAIQKLSKGVIQIHLNYFCDYEDNFLAAFHEI WIHLQFLTQAQLMSVCINFSFEKLIIAQGLPYNSLVFKFQITTSQDLVSTCVAQIYQDER KLVSSFLSLVYCHHFLFLFATINLLIRIRIKLNTSVYESFKQQAVITYEKKESNLICIIL CLTYYQIDYPRLISFESLVCGVAIQFDYLQDTQFKQNQICIP >CAK95231 pep:novel chromosome:GCA_000165425.1:undetermined:310392:312185:1 gene:GSPATT00027561001 transcript:CAK95231 MMEFCKDYQNNQIIIDQNNKNQVACIQISEFKKLTHLSSQICIQKGKRITSNGSMIKIKI YRILTQEQKRLIYFRKATEKINETKIKIRKQKIQQYVKKNIKRLKETQEISASPNQKNLK ANILDKSNIFGEALENYDLAIQKNYQDSYCYFNIAVKLAEMNQLEKALENYNLAIYKYSA DSRYYNSKANALGKLNRFKEALENYDLAIQKNPENANYFYNKAITLHKLERVSEALESFD LAISKNQEDSEYFYCKGISMNNFVAIALDSVNRLEEAIENYDLAIKKNSEDSRYYHGKAN TLQKMNRLELALKYYDLAIQKNPEDSRQFYNMAIALEEMNRLEEALEKYNLAIRKNPADS RYYHGKANALNKLNSLQESLDNLNLAIQKNPCESQYNNGKGISNLWYYLAYILYQLNKLE EALESNNQAIQKNPEEADYYFDKANTLEKMNNLDEALEYYKLAIDKNPDNARYYQWKGVQ NIFYFQAYTLHKINKIEKALESNNQAIQKNPEEPEYYFDKGIIKLYEFQLILQKR